>Ma11_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:126500:175913:1 gene:Ma11_g00240 transcript:Ma11_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQETQQQVSSPAVSAHIASLLKSLAVVPSAAAPAIIDCVLVSSSFSPSALFSLLLEAFAGAAEPLQSNYILSYTSSLCHLIKKTRFQDGSMRQLIWRVFLPLLKSINSDDSELFNQVNGLFCDLVSEIQSWDLLGATLVPFCLRSLGLIIGMPQNEDLAAYKWTTSDVVVEQGSDDIALGVLPLRIVSHILMSLLESAMTCREEIQSVGQTLINGGDSLECFINKLTWDLSRLALGVLMQGSECRSCAMHLLLPVVFSSLSKLSLVTIQVQGSQYSVSRACFSEELWNCCSSLFTLGHLERLDAFSILSLYFSRFYEIEDFELSVDKTTYALNIIAKKEFWEEIRRGLVDKDAFVRKQALYILKIMLRHYSFLEGQYGGCCSGNSVMVVENNKINLSSATPSSASVTKREKWADTEARSLGVGEVCHLGYQDLDSHGRWKVFILLYEMLEEYGTHLVEAAWSHQVSLLFQSRQLISSSSKLFSCEVYESQMENLEAIFSWLAVLWERGFCHENPQVRSLIMDSFLCIDWENLGTYAQKIPTSFVLGPLTQALNDVVHHKDFGIKGVYTSKTIEHGMKFYHEFSRQWTLSDRAAFVRILASVLLSDSFGRAGLMALALCMASAACHSDTHSGSQVCSDAELKSTQSRFLPCSSADLLDSLGIIIERSKQHFNPNYRLKVCAHVLKAASSLINIVEVQLDLLLHFISTVPREFTDHAGSLRVMVRQWLMQSGGTNLQSSDAHLHVLNNLINFPSSFIMQTQTSALVAFDDEDVDLWGREAQRWARVLFLVITEEKHMEAVFMFLQKSSSCLCKRDSCKEWVPIKFLILIFALVEELQVGRSDTGYSSTARFQIDSGISDHFSSLLISIYDKSTETFLPLLEELVSYAKLVSPTFWSHPVVKDMQLPFSVKGKLGGPSQRRLPSAMISRVLQAIFSIRTVASISTWCNDMARGKISDSSFIFLWNFSWKAIRSSTYDSEAGAEICLAMYEALASVFKAFYTTFTNSHLDFVMEYNKTWLPNGEVTHLLDPLVLTFLHNTNNLLANCQLTRSRRAVLMNWKWVCLDSLLSIPLNVISKGHLKSADSLFSDSTLRSVFVDIVESLENAGECSVLPMLKSVRLVLGLLCSNMMPPIITPHGVTSEMMLQLAQSSWILHINCNKRRVAPIAALISAVLHESLFGDLSMHEMDDNNPGPIKWFIEKLLDDGKRSPRTIRLAALHLTGLWLLYPATIKYYIKELKSLTLYGSVAFDEDFEAELSENHEAQIEVSLLARSPDHEFTEVFINTEMYARASVAALFYKLANFNSMRGEREQKDAVLSGKMFLLELLDSVANEKDLAKELYKKYSGVHRQKVRAWQMICILSHFVEDDIVGKVTSNLHICLYRNNLPAVRQYLEIFAIQIYLKFPSLVAEQLVPIFRNYNMRSQALSSYVFIAANVILHESELAVQRKHLNELLPPIIPLLTSHHHSLRGFTQLLVFHVLCKLWPTMIINGSDVASLEKKCFEELKSYLAENIDCSRLRASMEGLFNGFDPRSSATPTGVFDVQKEGSEFECVPVSLMEQVMDFLNGVREDLRYSIAKDSMIIKNESLTNNDKGMAKRPDGNAEEPHATLKDINLDFQKKITLPKEARSPIDASNEITTSDVEFPKLISDLEKEDQLFGSVLQARNRALDTIRQKQQQFILVASLIDRIPNLAGLARTCEVFKAAGLAIADASILQDKQFQLISVTAGKWVPIIEVPVCSIKVFLENKRQEGFSILGLEQTANSKRLDQYSFPTKTVLVLGREKEGIPVDIIHVLDGCVEIPQLGVIRSLNVHVSGAIALWEYTRQQRQNLS >Ma04_p36730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34974832:34976669:1 gene:Ma04_g36730 transcript:Ma04_t36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTISTKRNHGLRCRPGSIPWRRQAAAVERRESERECRWVTAFVLAPGTSSPGPSGRKGTSPLRRTCVPTGSATRSRLRSTALYTRACRTSSTTVAPVSSGTSPSAPSASRSTSRSATGSSREGSMSAWSMCSRPGAREDFRSRMKKNDQLKAEAKACGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGG >Ma05_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25544969:25545929:-1 gene:Ma05_g18910 transcript:Ma05_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRVIPPLTEMAASLTEAGAKFVAPASRSSAVVRLRPFVAIRVPRILPHATSTLRLRPAAFPRCFASAPAAVASSTTISVGDKLPDATLSYFGPDEEIKTVTVSELTKGKRAVLVAVPGAFTPTCTQKHLPGFVEKAGELRARGVDTIACVSVNDVFVMRAWKEDLKIGDEVLLLSDGNGDFTRALGVELDLRDKPAGLGVRSRRYAMLVEDGVVKVLNLEEGGAFTFSGADDMLKAL >Ma04_p21100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23722669:23727362:-1 gene:Ma04_g21100 transcript:Ma04_t21100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKIPELKMLSPQLPPFDKGPGVHTSSLRSERGPPTSFKSQILDAPVLVSSLKGKDMLGEHNELAPEVDSFRSMDSFEEGRSSFNGASHPPEPVDSDLVTTVYVAIEQDKSDTRCLMRGLSVKGPFTEDLSVQLPAPKPNTALASRGESVEPNEPGAVSSPFSIPRASHTMEATYLAPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCTSTYRSEGVTSDGTMGGACGSAKGSMILDSLESTKTSNSRASDSSGLSDDSSWSNLSGSANKPHKGNDPRWKAILAVRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSSLDSDPSKRAAGAFCVQPACIEPSSVCIQPACFMPRLFPQRSKKKTRKPWSEVPRQQAAALPELVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGSGNRATLFNVVGQQLSFSEAPSTSYASRDLIRGLLVKEPQQRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPLKFGAADGFGGTTTSSKRVVGADVKSGGKYLDFEFF >Ma04_p21100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23722669:23727373:-1 gene:Ma04_g21100 transcript:Ma04_t21100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKIPELKMLSPQLPPFDKGPGVHTSSLRSERGPPTSFKSQILDAPVLVSSLKGKDMLGEHNELAPEVDSFRSMDSFEEGRSSFNGASHPPEPVDSDLVTTVYVAIEQDKSDTRCLMRGLSVKGPFTEDLSVQLPAPKPNTALASRGESVEPNEPGAVSSPFSIPRASHTMEATYLAPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCTSTYRSEGVTSDGTMGGACGSAKGSMILDSLESTKTSNSRASDSSGLSDDSSWSNLSGSANKPHKGNDPRWKAILAVRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSSLDSDPSKRAAGAFCVQPACIEPSSVCIQPACFMPRLFPQRSKKKTRKPWSEVPRQQAAALPELVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGSGNRATLFNVVGQQLSFSEAPSTSYASRDLIRGLLVKEPQQRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPLKFGAADGFGGTTTSSKRVVGADVKSGGKYLDFEFF >Ma04_p21100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23722669:23727362:-1 gene:Ma04_g21100 transcript:Ma04_t21100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKIPELKMLSPQLPPFDKGPGVHTSSLRSERGPPTSFKSQILDAPVLVSSLKGKDMLGEHNELAPEVDSFRSMDSFEEGRSSFNGASHPPEPVDSDLVTTVYVAIEQDKSDTRCLMRGLSVKGPFTEDLSVQLPAPKPNTALASRGESVEPNEPGAVSSPFSIPRASHTMEATYLAPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCTSTYRSEGVTSDGTMGGACGSAKGSMILDSLESTKTSNSRASDSSGLSDDSSWSNLSGSANKPHKGNDPRWKAILAVRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSSLDSDPSKRAAGAFCVQPACIEPSSVCIQPACFMPRLFPQRSKKKTRKPWSEVPRQQAAALPELVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGSGNRATLFNVVGQQLSFSEAPSTSYASRDLIRGLLVKEPQQRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPLKFGAADGFGGTTTSSKRVVGADVKSGGKYLDFEFF >Ma04_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23722669:23727368:-1 gene:Ma04_g21100 transcript:Ma04_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKIPELKMLSPQLPPFDKGPGVHTSSLRSERGPPTSFKSQILDAPVLVSSLKGKDMLGEHNELAPEVDSFRSMDSFEEGRSSFNGASHPPEPVDSDLVTTVYVAIEQDKSDTRCLMRGLSVKGPFTEDLSVQLPAPKPNTALASRGESVEPNEPGAVSSPFSIPRASHTMEATYLAPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCTSTYRSEGVTSDGTMGGACGSAKGSMILDSLESTKTSNSRASDSSGLSDDSSWSNLSGSANKPHKGNDPRWKAILAVRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSSLDSDPSKRAAGAFCVQPACIEPSSVCIQPACFMPRLFPQRSKKKTRKPWSEVPRQQAAALPELVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGSGNRATLFNVVGQQLSFSEAPSTSYASRDLIRGLLVKEPQQRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPLKFGAADGFGGTTTSSKRVVGADVKSGGKYLDFEFF >Ma06_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16048743:16049386:-1 gene:Ma06_g21690 transcript:Ma06_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLEAQNNLTKYTHIISKTSLMKNASLPSTCTNSSSFSASHFLERCYLCRRRLQQGNDIYMYRGDRAFCSVECRNRQIFMDEENGRRDNCSLDAELQAERGRPRVVARKGRATAGGFAY >Ma10_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32053077:32053301:-1 gene:Ma10_g22870 transcript:Ma10_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFLEVILAILLPPVGVFLRYGCGVEFWIDLLLTILGYIPGIIYAIYVLVG >mito8_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000019.1:11409:16408:-1 gene:mito8_g00010 transcript:mito8_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASDISWPIPHHLLSQYNGMDSDKDQQMLGNSSIQSFSRILIYCWISREFLYSILFLPDSYLLLDISEVYGFSIFPEPLVSYRQTPLGGYPTSSLHCPSIWLNNLFSTSSSGTIRRFSGRNTGFCFWWQHAIWVVVPLMGTIPRVDMNLDRRAQSRSSNIANPITLREIQDIVPYKHYLPVLFDSSNLPFEIKGGTVIGLLREWNPCLGSIGTGRSYLVKYLATNPYVPFITVFPNKFPDDKPILLLMTFFLINMGIAYLEKKMFHTNGLGSITMDKLGFPIPVQDHGIRIYQIGRAVAQNVLLSNCPAPEILYIIKNVKTRRGFLLVCINGTSTWDEHEEINDTSLSFELFCRIGRSRSLNLVHDLLELCLVHGLLEVSTEKDCCQFENKRVTLLFGPNPAQENQLDMMHPCIILLLIRFLYMERISLSLSLFNSQSRLVGG >Ma04_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6294392:6299120:1 gene:Ma04_g08820 transcript:Ma04_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIQVSVLELMEPPPSASPALTDAKTRSLSLKVTAGKREYETVGKMEITFPVVSLRENLVVMLYSLDGNLLSRIEFKTLSVVERGMLDDTFPLEGGGTVRVRLQFLLSEEERLRIHELRNSTLRRKHGEMLKEGLERDNIKDMMLTCNAEHNIEGTVITQPKHADQDECSNPSITQGLEKHSRDSENDACKLSVTDKGKSKRISAIKGFQERDTIPEDSSDLHREFAASLGPRNAREEIIPFSIELKDHIQRNEKNPLARSTRSNIWKMISAFENSLSQGLGHPVDSGILSVGKNQNEQSLKRISSEESMEKKFFGQTMAKSFSVETLSDNSLQDNLKIPERPHHENKERRDIDNATKLEDIVNYNQILDFEKKVKNKGSDQKNKSLHAALCQTSESIIKVQKPIMTDDNICLGGSIEQMNVQKLKAIYAGHDPCNEAKPRMDKAHACYMATDQNVGNEVNAFLHKNQNEDRKFQKETNRSRSITSEAVNVDPSTRTYQQECLINTAPEDLYCRSCRGHYEELVSGNSFESIGLFYTQTEEYLWGTLGIWAPRHLCITTGSKQLRNLLESCRICLRSLSAEDKSMDRNIHEHYQRNSKDYANGGLSYIEKMFSDSSVSVIKNENVSRSPRKLNHENLVSTSNSNGRLIDQGVRIIIVIIACGTLLLRAI >Ma04_p08820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6294837:6299120:1 gene:Ma04_g08820 transcript:Ma04_t08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSLDGNLLSRIEFKTLSVVERGMLDDTFPLEGGGTVRVRLQFLLSEEERLRIHELRNSTLRRKHGEMLKEGLESNIYGDNIKDMMLTCNAEHNIEGTVITQPKHADQDECSNPSITQGLEKHSRDSENDACKLSVTDKGKSKRISAIKGFQERDTIPEDSSDLHREFAASLGPRNAREEIIPFSIELKDHIQRNEKNPLARSTRSNIWKMISAFENSLSQGLGHPVDSGILSVGKNQNEQSLKRISSEESMEKKFFGQTMAKSFSVETLSDNSLQDNLKIPERPHHENKERRDIDNATKLEDIVNYNQILDFEKKVKNKGSDQKNKSLHAALCQTSESIIKVQKPIMTDDNICLGGSIEQMNVQKLKAIYAGHDPCNEAKPRMDKAHACYMATDQNVGNEVNAFLHKNQNEDRKFQKETNRSRSITSEAVNVDPSTRTYQQECLINTAPEDLYCRSCRGHYEELVSGNSFESIGLFYTQTEEYLWGTLGIWAPRHLCITTGSKQLRNLLESCRICLRSLSAEDKSMDRNIHEHYQRNSKDYANGGLSYIEKMFSDSSVSVIKNENVSRSPRKLNHENLVSTSNSNGRLIDQGVRIIIVIIACGTLLLRAI >Ma11_p24520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27451442:27456959:-1 gene:Ma11_g24520 transcript:Ma11_t24520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRVGETGLPDSGPSTRSLINGTIGNTPSATNFFDQEGAAYFGELEEALMQGVDGLRETEDRKSFIATSPATLEIFPSWPMRFQQTPSVNTQSARSTDSGAAQNSLSHLESDFPNSRKASSDQSTNHKRPQEMMMASGASRTEAARNPHPSSQEERKMTGSTAARDGKVIDAKTLRRLAQNREAAKKSRLKKKAYVQQLESSRIKLQQLEQDLQRARSQGLLLGVAGGNAAISSGAAMFDMEYGRWLDDNCKIMSDLRAALEAHLPDDNLGVVVDHCIRHYDDLFRLKAIVAQSDVFHLLNGIWKTPAERCFLWMGGFRPSELIKILIRQLDPLTDQQWMGMRGFQHSSQQAEEALSRGLEQVHQSLGQTVAGGSLSDGIDVGNYVGHAAIAMGKLADLEGFIGQADNLRQQTLHQLRQQLTTKQAARCFLAIGEYFTRLRALSSLWASRPQESLVANDSVVPATADPHIIHQPLHNHFSAF >Ma11_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27451442:27456880:-1 gene:Ma11_g24520 transcript:Ma11_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRVGETGLPDSGPSTRSLINGTIGNTPSATNFFDQEGAAYFGELEEALMQGVDGLRETEDRKSFIATSPATLEIFPSWPMRFQQTPSVNTQSARSTDSGAAQNSLSHLESDFPNSRKASSDQSTNHKRPQEMMMASGASRTEAARNPHPSSQEERKMTGSTAARDGKVIDAKTLRRLAQNREAAKKSRLKKKAYVQQLESSRIKLQQLEQDLQRARSQGLLLGVAGGNAAISSGAAMFDMEYGRWLDDNCKIMSDLRAALEAHLPDDNLGVVVDHCIRHYDDLFRLKAIVAQSDVFHLLNGIWKTPAERCFLWMGGFRPSELIKILIRQLDPLTDQQWMGMRGFQHSSQQAEEALSRGLEQVHQSLGQTVAGGSLSDGIDVGNYVGHAAIAMGKLADLEGFIGQADNLRQQTLHQLRQQLTTKQAARCFLAIGEYFTRLRALSSLWASRPQESLVANDSVVPATADPHIIHQPLHNHFSAF >Ma11_p24520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27451442:27456458:-1 gene:Ma11_g24520 transcript:Ma11_t24520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSYLNSLSHSNISLDITRGDQEGAAYFGELEEALMQGVDGLRETEDRKSFIATSPATLEIFPSWPMRFQQTPSVNTQSARSTDSGAAQNSLSHLESDFPNSRKASSDQSTNHKRPQEMMMASGASRTEAARNPHPSSQEERKMTGSTAARDGKVIDAKTLRRLAQNREAAKKSRLKKKAYVQQLESSRIKLQQLEQDLQRARSQGLLLGVAGGNAAISSGAAMFDMEYGRWLDDNCKIMSDLRAALEAHLPDDNLGVVVDHCIRHYDDLFRLKAIVAQSDVFHLLNGIWKTPAERCFLWMGGFRPSELIKILIRQLDPLTDQQWMGMRGFQHSSQQAEEALSRGLEQVHQSLGQTVAGGSLSDGIDVGNYVGHAAIAMGKLADLEGFIGQADNLRQQTLHQLRQQLTTKQAARCFLAIGEYFTRLRALSSLWASRPQESLVANDSVVPATADPHIIHQPLHNHFSAF >Ma10_p25980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33878242:33878331:-1 gene:Ma10_g25980 transcript:Ma10_t25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGIVGFGKHLKDTFPPLLLVTCQNQCK >Ma08_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21392027:21397141:-1 gene:Ma08_g16890 transcript:Ma08_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVVPLTSSAYHLELNLKSLAKNARHALMAIVKEITENYVDTSEFSDASFKTDFKVIVLYEVNKVTENVQNLIKWIMECYTHACKIIICCEDDADILDCIKNRCELIYVDAPVTHEIKEVLFQIAMNEGFELPAKFATGVATKCKQNLRKAIMALEACKVHNYPFIDGQPIPIGWEEVLVEIAAEILADPSPKRLVSTRGKLQKLLVEFVHPRLILQKLVEQFLKGIEARLKRGLYYWHAYYDKRLPVGTSALLKLEEFIAKFMSMHRKSFSKPLYI >Ma05_p17820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21748777:21751901:1 gene:Ma05_g17820 transcript:Ma05_t17820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPGSSDVTSDKSNEAFVYNGSKSIHINEISLVLGRQLAIEVKDVEELIQGDVGSQEKDSSVAHPECLTSHCPLREDTSISNSLCAFPSTYVDTPWDLDRTDIWVSSLDLEREDSELLKDREEEFDIFISDFPSPSLGAMGHLQIWSLGSRSLISGGQEEKFKNTTTDSDEPLYWPFNHNLYNGLDFENFLCPSPCKDARNIGIAGFPDSKLTRLRLHQNRLQVDRKDSQRIGRRITISPKPAAVTTEHETRVAGNGAQRSALMSSRLSRSFKTPSYQHPWNISKRRGRPQLKISVGKHGGSSTELLNQPLKELELHDSVFEGNSIEKIVGLNEFDGHEGINVDCEEQFILQSSPYRGLIPLKTIERVRGQC >Ma05_p17820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21748775:21751901:1 gene:Ma05_g17820 transcript:Ma05_t17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPGSSDVTSDKSNEAFVYNGSKSIHINEISLVLGRQLAIEVKDVEELIQGDVGSQEKDSSVAHPECLTSHCPLREDTSISNSLCAFPSTYVDTPWDLDRTDIWVSSLDLEREDSELLKDREEEFDIFISDFPSPSLGAMGHLQIWSLGSRSLISGGQEEKFKNTTTDSDEPLYWPFNHNLYNGLDFENFLCPSPCKDARNIGIAGFPDSKLTRLRLHQNRLQVDRKDSQRIGRRITISPKPAAVTTEHETRVAGNGAQRSALMSSRLSRSFKTPSYQHPWNISKRRGRPQLKISVGKHGGSSTELLNQPLKELELHDSVFEGNSIEKIVGLNEFDGHEGINVDCEEQFILQSSPYRGLIPLKTIERVRGQC >Ma05_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21748805:21751901:1 gene:Ma05_g17820 transcript:Ma05_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPGSSDVTSDKSNEAFVYNGSKSIHINEISLVLGRQLAIEVKDVEELIQGDVGSQEKDSSVAHPECLTSHCPLREDTSISNSLCAFPSTYVDTPWDLDRTDIWVSSLDLEREDSELLKDREEEFDIFISDFPSPSLGAMGHLQIWSLGSRSLISGGQEEKFKNTTTDSDEPLYWPFNHNLYNGLDFENFLCPSPCKDARNIGIAGFPDSKLTRLRLHQNRLQVDRKDSQRIGRRITISPKPAAVTTEHETRVAGNGAQRSALMSSRLSRSFKTPSYQHPWNISKRRGRPQLKISVGKHGGSSTELLNQPLKELELHDSVFEGNSIEKIVGLNEFDGHEGINVDCEEQFILQSSPYRGLIPLKTIERVRGQC >Ma10_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33275370:33276907:1 gene:Ma10_g24860 transcript:Ma10_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGQNSNHQNQDAAPQMSKGLRRALIVLNCVLMALGNTGSPLLLRLYYRSGGKRQWLSSWLETAGWPFIFVPLLITYLHRRRRCQLRPGNCHPTKLFFITPRLFLACAFIGLITGFDDFLYAYGLSFLPVSTSSLLISTQLAFTAFFAFLIVKQKFTPYSINSVALLTVGAVVLGLHVSSDRPDNVTRGQYHLGFILTLGAAALYGLILPLVELMYSKSKQAITYTLVMEMQLVMGFFATAFCTVGMLVNKDFQAIPREARHFELGEFRYYVVLVWSAVFWQFFFLGTVGIIFCVNTLLAGILIALFIPVTEVLGVVFFKENFSSEKGIALVLSLWGLASYSYGEYRQEKDKKDKAAPSNQVA >Ma01_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17423275:17428592:1 gene:Ma01_g20240 transcript:Ma01_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYYAPARNSITHKLPKLSKSMTALAILYILVSIVATSEAIRRDDFPSGFVFGTASSAYQFEGAAGEGNRGKSIWDTFTRRPGRILDFSNADVAVDQYHRYKSDVDLMKGMGMDAYRFSISWSRIFPNGTGEPNQEGISYYNRLIDTLLQKGIQPYVTLFHWDLPQALEDRYNGWLSQEIIQDFKHYAYTCFKAFGDRVKHWITFNEPHGFSIQGYDTGLQAPGRCSILIHMLCRRGKSSIEPYIVAHNILLSHAAAFHTYKLNFKEKQKGVIGIALDSKWYEPISGAKEDLDAANRAMEFELGWFLNPLIFGDYPLSMKNLVRSRLPRFTSDDSTSLAGSLDFLGINHYTTLYARNDRTRIRKLVLNDALADALVITTSSRNGERIGERAASGWLHIVPWGIRKSMNYIKENYANPLVIITENGMDDPNFTTLDKALKDDKRIRYHADYLSNLQAAIWEDGCNVHGYFVWSLLDNWEWNSGFTVRFGLYYVDYKSNLTRIPKASVQWFKHVLEKNDTLAQKGITFKDQIG >Ma10_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31986037:31990921:-1 gene:Ma10_g22740 transcript:Ma10_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKRFKGNDKPSEHLGSSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYAEDDPKSHEGLDLHKVTTKEVISKYGLEDNTIDFIGHALALHRDDSYLDEPAIDTIKRMKLYSDSLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDADGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMSHPIPNTNDSHSVQIILPQKQLSRKSDMYLFCCSYTHNVAPKGKYIAFVSTEAETDHPEMELKPGIDLLGLVDETFFHIYDRYEPTNNHEHDNCFISTSYDATTHFESTVQDVISMYRKITGKEPDLTVDLSAASAATEDA >Ma08_p28260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40255238:40258563:-1 gene:Ma08_g28260 transcript:Ma08_t28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGFVPHGGKCLPLPGLSRGAILTSYSYNRGQRLFCGSKGLLKSFTSHNSGRMSVSCNASPNNYKRNPDFSRQQKGSSRGKSRKHQEPEQPENTEEIDFMSSKNGSLPNLSSNPRYQATAAPGQREKEIVELFRKVQAQLRERSAIKEEKKIESAQQGPSERGTVDSLLKLLRKHSVNQKKKGAPEEDSSVDQRQKNNIFEDEQNFNLFDPGDIKSEESQEPGPPPSTRPASNFRRKSPVPRMKFQPVFSVEDSNTTPSKSRGRRKKNDKIESKPVAQAEPLIPDSPDEPSLDNELVLSNSDETAEEETTESSAAEVSPDLGSLKLSELRELAKSRGIKGYSKLRKGDLVELLSA >Ma11_p20640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25045772:25048825:-1 gene:Ma11_g20640 transcript:Ma11_t20640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGLSSTLAMLDGRNRDVDNGSSLSSGSEDPRTPENRKESKVGKPPRHLSVIRRSVNTGCLEFDFASLALLSPPEEQIGFLPVFRSGSCSEMGPKTYMEDEHVCIDNLIEYLGASVKFSSPGAFYGVFDGHGGTDAASFVQKNILKFILEDSHFPASVDKAIKSAFIKTDHAFADSHSLDRTSGTTALTALVFGRSLLIANAGDCRAVLGKRGRAIELSRDHKPSCNNERLRIEKLGGKIYDGYLNGQLSVARALGNWHMKGTKGSACPLSAEPELQVTVLTEEDEFLIMGCDGLWDVMSSQCAVTIARKELMIHNDPERCSRELVREALQRNTCDNLTVVVVCFSPDPPPRIELSRSRVRRSISLEGLHILKGALDSDV >Ma11_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25045772:25048822:-1 gene:Ma11_g20640 transcript:Ma11_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGLSSTLAMLDGRNRDVDNGSSLSSGSEDPRTPENRKESKVGKPPRHLSVIRRSVNTGCLEFDFASLALLSPPEEQIGFLPVFRSGSCSEMGPKTYMEDEHVCIDNLIEYLGASVKFSSPGAFYGVFDGHGGTDAASFVQKNILKFILEDSHFPASVDKAIKSAFIKTDHAFADSHSLDRTSGTTALTALVFGRSLLIANAGDCRAVLGKRGRAIELSRDHKPSCNNERLRIEKLGGKIYDGYLNGQLSVARALGNWHMKGTKGSACPLSAEPELQVTVLTEEDEFLIMGCDGLWDVMSSQCAVTIARKELMIHNDPERCSRELVREALQRNTCDNLTVVVVCFSPDPPPRIELSRSRVRRSISLEGLHILKGALDSDV >Ma11_p20640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25045772:25048822:-1 gene:Ma11_g20640 transcript:Ma11_t20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGLSSTLAMLDGRNRDVDNGSSLSSGSEDPRTPENRKESKVGKPPRHLSVIRRSVNTGCLEFDFASLALLSPPEEQIGFLPVFRSGSCSEMGPKTYMEDEHVCIDNLIEYLGASVKFSSPGAFYGVFDGHGGTDAASFVQKNILKFILEDSHFPASVDKAIKSAFIKTDHAFADSHSLDRTSGTTALTALVFGRSLLIANAGDCRAVLGKRGRAIELSRDHKPSCNNERLRIEKLGGKIYDGYLNGQLSVARALGNWHMKGTKGSACPLSAEPELQVTVLTEEDEFLIMGCDGLWDVMSSQCAVTIARKELMIHNDPERCSRELVREALQRNTCDNLTVVVVCFSPDPPPRIELSRSRVRRSISLEGLHILKGALDSDV >Ma06_p34890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34982136:34985516:-1 gene:Ma06_g34890 transcript:Ma06_t34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRWFCCFPKETFTHRPHSSPSSDQLSHPTSSAPPAAPPFVSVPTAAAGVTKEMGAKLPYAHVDARLRALAGQAEGFGRHAIGGLHGPIYHVTSLADDGPGSLREACRIREPLWIIFEISGTIHLSSYLRVSSYKTIDGRGQRIKLTGKGLQLKECEHIIICNLEFEGGRGHDVDGIQIKPKSRHIWIDRCSLRDYDDGLIDITRESTDVTVSRCHFAMHDKTMLIGADSSHITDRCIRVTIHHCFFDGTRQRHPRLRFGRVHLYNNYTRNWGIYAVCASVEAQILSQCNIYEAGQKKVVFKYMPEKAADREEVASGWIRSEGDLFMNGAQPCLIQGAGVESVFNALEHYPTWTMEPASVALKEVLQLCSGWQALQRPADW >Ma02_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12436186:12478095:1 gene:Ma02_g01870 transcript:Ma02_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSSKKKSPSSGRYESEHVMNYRGGSVLGKKTILKSDHFPGCQNKRLTPQIDGAPNYRQAGSLHVHGVAIPTIDGIQNVLNHIGAKQDLKKKGVLWHNLREEPVVYINGRPFVLRDVERPFSNLEYTGINRARLEQMEFRLKEDILREAARYGNKILVTDELPDGQMVDQWEPVMHNSVKAPLEVYEELQEEGYLVNYERIPITDEKSPKEGDFDDLVHTISQVNLDTEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTTSIGKVFASDGDVTDYQPNSEESIRRGEYTVIRSLIRVLEGGAEAKKQVDKVIDKCDSMQNLREAIATYRNSILRQPDEMKREALLSFFVEYLERYYFLICFAVYVHTERAALHSVSSDQISFSEWMRARPELYSILRRLLRRDPMGALGYSSLKPSSTKISESTDHSPYDVGVVAAMRNGDVLGSQTVLKSDHCPGCQNLSLPERVDGAPNFRDATGFPVYGVANPTVDGIRVVVQRIRSKGGRSVLWHNMREEPVIYINGRPFVLREVERPYKNMLEYTGIDRERVERMEARLKEDILREAEFYGGTIMVIHETDDGQIFDAWEHIDAESIQTPLDVYKHLEAEGLPIKYARVPITDGKAPKSSDFDEIASNIASAPKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDHGKPIKMQQDDTYHEHLDIGSSSGEESIGDNGPPISDLLKSVDGKEPRCTFGIDDILLLRKITRLFDNGIECREVLDAIIDRCSALQNIRQAVLQYRKVINQQHVEPRVKRVALNRGAEYLERYFKLIAFSAYLGSEAFDGFCGQGETKILFKTWLHRRPEIQTMKWSIRLRPGRFFTVPDDSKAFYEAQDGDVVMDAVVKSRNGSVLGKGSILKMYFFPGQRTSSCMQFKGTPHIYKVDAYPVYSMATPTIDGAREVLSYLGAGDPASMNHGQKVIITDLREEAVVYINGSPFVLRELDRPVDTLKHVGISGPLVEHMEARLKEDIFAEVTQSGGQMLLHREEYNPVSNQISVIGYWEEISLDNVKTPAEVFAALKADGYRIEYKRIPLTREREALAVDVDAIQYCKDEFARYSLFISHTGFGGVAYAMAITCLGLSADMKFASEQTVETHFVSTSPFQTLPFQSSGEDALKQGDYRDILSLTRVLVYGPKSKDEVDMIIERCAGAGHLRDDILHYKKEFDKCPSDDDESRSYLMDMGIKALRRYFFLVTFRSYLYCSSPTETSFSAWMEARPELGHLCDNLKLD >Ma04_p15010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11366895:11368880:-1 gene:Ma04_g15010 transcript:Ma04_t15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQERSHFSFPSHDELSSLVSWKPAGGATDSAGDPRGFGPRAVPPPVSLTDVLRGSMMDYGALARAFDLPCSAPSDVLAPGGMASSRELMADVGNAGNSMTPSSGCGGSATPMTPNSSTSWSSTEAAGEEDAERCKKDEPKQEEEVEKQRVKVEEEGGDTSEKVNKSKKKGEKRQRDPRFAFVTESEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQNPRIVITTYEGKHAHECPATVRGSTHLLPPPSAMSTSFCQNLVMQQMNQLNNDNSLQANINPNVYLANLPPSLQQLQLPDYGLLQDIIPSFIHGGQP >Ma04_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11366895:11368881:-1 gene:Ma04_g15010 transcript:Ma04_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQERSHFSFPSHDELSSLVSWKPAGGATDSAGDPRGFGPRAVPPPVSLTDVLRGSMMDYGALARAFDLPCSAPSDVLAPGGMASSRELMADVGNAGNSMTPSSGCGGSATPMTPNSSTSWSSTEAAGEEDAERCKKDEPKQEEEVEKQRVKVEEEGGDTSEKVRNKSKKKGEKRQRDPRFAFVTESEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQNPRIVITTYEGKHAHECPATVRGSTHLLPPPSAMSTSFCQNLVMQQMNQLNNDNSLQANINPNVYLANLPPSLQQLQLPDYGLLQDIIPSFIHGGQP >Ma05_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33428313:33460354:1 gene:Ma05_g21680 transcript:Ma05_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESPLSDDLEPPVSGSMLISVYVALAVGSSACILIRTLLLVIAGYKTATMLFDKMHACIFRAPMSFFDSTPTGQKTNHEEPLLNGRNINGSSTVSGAGYAAISQFENAGILSTLSFSWVSPLLSVGRRKTLDLKDIPQLASDDDVHTVFPIFKSKLESYTSASSNGKITSFMLAKSLIFSVWGHLLLATSSALLSTVASFVGPYLIGYFVRYLSGDPQFENQGYLLVLAFILSNLVEGFCSRYESFIFQQVFIRLRASLVSVIYQKGLTLSSRSRQCQTSGEIINYMNIDAPRVSSFSYHMLHLSSVPVHVILALLILYPQMGLASLISLAATFILMLINVPIAKLEQNYTEKIMESKDRRMKATTEILRNMRILKLQAWEMKFLSKIMELRKNEISWLWKIAYVSAAAIFIFSCSSIFVAVVAFGACMLMRIPLGTGKVLTALATLGTMQNPIFSIPDTISMLVQTKVSLDRISSFLCLEDLQPNVVEKLPRGSSEVAIEVSNGNFVWDPSSKTPTLKDLNFQVLKGTRVAVCGTVGSGKSSLLSCILGEVSKISGTVKLCGTTAYVSQSPWIQSGSIRDNIIFGKEMDIDKYDRVLEACSLKKDLEIMPNGDLTVIGERGINLSGGQKQRVQIARAIYHDADIYMFDDPFSAVDAHTGSHLFKECLLGFLSSKTVVYVTHQVEFLPSADLILVIRDGRIVQAGKYNEIINSGTEFMELVGSHMEALAAHNMIKHTSNTSSDSIQGGPSASKSSVPVPQQAELMDTENGPSDEVRPRGQLVQEEERETGKVGFLIYWRYITMAYKGALVPLILFSQILFQVLQICSNYWMALESPLSDDLEPPVSGSMLISVYVALAVGSSACILIRTLLLVIAGYKTATMLFNKMHMCIFRAPMSFFDSTPTGRILNRASTDQGGVDTDIPLQIELFAFSIIHLLGIISVMSQVAWPVLIIFIPVVATCIWYQQYYISTARELSRLIGVCRAPVIQHFAESMSGSISVRGFGQEKEFVNTNYNLTNDLSRLQFHSTGAMQWLCFRLDMLSSLTFAFSLIFLISMPEGVIDPGIAGLAVTYGLSLNMIQTWVIWNLCQLENGIISVERILQYTNIPSEPPLVIDENRPDHIWPSKGEIDLLSLQVRYGLDMPFILRGLTCTLPGGKKIGIVGRTGSGKSTLIQTIFRIIDPTVGHIFIDGIDISTIGLHDLRSRLSIIPQDPIMFEGTVRSNLDPLEEYTDEQIWEALDCCQLGEEIRNKELKLDSEATEKGENWSVGQRQLVCLGRVVLRKTKILVFDEATASVDTATDSIIQRTLRQQFLGSTVITVAHRITSVINIDVTLLLDNGLIVEHDSPIRLLQNESSLFAKIVSKYVQNSLG >Ma00_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2016513:2016875:1 gene:Ma00_g00680 transcript:Ma00_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAAIAPAEILYHSRRDDAHHRVYTHRSEEAMLVTNNQEDRAFIQEQSFDKLIRSGMRYIHLGILQTRIQTLHRQEEGTLALLVFRDNRWTDDRSIIATMEVDLTRTNNCTKEYAFLQ >Ma04_p38900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36228272:36229435:1 gene:Ma04_g38900 transcript:Ma04_t38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDCLRSTWWQDRRMRLPWSDESLPCPRTPLRAEHVRLKLRSSTVQSDDASPTCILNVGMFFTGGSHVATCQL >Ma07_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11888087:11889024:1 gene:Ma07_g15730 transcript:Ma07_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDLILVPLGLLFPIVYHLWLWHKVRSQPLRTIIGINSAGRRFWVQAVIKDNDKKNILAVQTIRNTIMGSTLMATTSILLCSGLAAVISSTYSVKKPLNDSVFGAHGEFMVSLKYVALLLIFLFAFLCYSLSIRFVNQANFLVNVACGLDDECPVTPDYVCDLLEKGFTLNTVGNRLFYAALPILLWIFGPVLVVFSSLTMVLILYNLDVVYEEEKGGVGVMMEKIGGKDCV >Ma09_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8405469:8427400:1 gene:Ma09_g12510 transcript:Ma09_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLQQQLKEVGSKLQNPPASKDALIKLLKQAENCLSEMEQSPEPSILDSIQSFLNAIAKKELLTHQDRDVKVLVATCACEATRITAPEAPYSDDVLRDMFHLIVGTFAGLGDISSPSYGRRVVILETLAKYRSCVVMLDLECNDLIHEMFRTFVSVVSDDHPQNILTSMQTIMILILDESEDVQENLVTTILSALGRKKNGYSMAARKLAMNVIEHCADKLGPCIVQLLVSSLSDDNSYLDHSLDHHEVIYDIYQCAPQILTGIIPYITGELLTDKLDIRLKAVHLLGDLFSLAEVPISEAFHPVFLEFLKRLTDRVVEVRLSVIEHLKNCLISDPSHPEALQIIKALSDRVLDYDEDVRKKVVAAVYDVACQSLNVIPPETASLVAERIRDKSLTVKKYTLERLVDLHRLYCLKSSDGSIHIEDYKWIPGKILRCLYDRDFRSEAIELILCGSLFPPEFSVKDRVKYWVTTFSVFDKFEVKALEQILAQKQRLQQEMQKYLSLRQTYQEDAAELNKRIFGCFKGMSRLFNDPVKTEENFQFLNQLKDANIWKILTTLLDPSTHLHQAWSCRDDLLRILGEEHPLFDFMGTLSLKCSYLLFNKEYVVEILSEADEQQSAGNAKLISSCMNLLTVIACYSPLLLAGCEEDLIRLLKEDNEIIKEGIAHVLAKAGGTIREQLTVASSSVELLLERLCLEGTRKQAKYSVQAIAAITKDDGLKSLSVLYKRLVDMLEERRHLPAIFQSLGCIAQTAMPIFETREDEIMEFIMNKILQSSNKADEVSLDDTEWSERSELCLIKIFGIKTLVKSYLPAKDAHLRPGIEKLLEILKNILSYGEIAQVIISSDVDKAHMRLASAKAVLRLSRHWDQKIPADIFYLTLRISQDAYPQSRKLFLNKVHQYIKERQLDAKYACAFLLNVNDRLSLEYEECQQSLLELVQICQQVRMRQLSAQSDMNTTAAYPEYILAYVIHALSHDPSCPNIDECMDVQAFEPTYWRLNLFLSSLLHGDEGSQSGAFPNQRKESYNAIFSIFHSIKNSEDIVDGLKSNTVHAICDLGLSIAKRIVSEKVEVSGFDTVPLPCMLYKPVDKSKDENAMDDDNQTWLTSDSALAHFEALKLEHEEKGDSGAAKDEMVLEENNGDDSEVPLGKMMEILRSQAARKKKKKAVKKDNLPSVLENFENDFDVLGVVREINLDNLERVQTTEIDNLVADIECKSAKMADKSNDEKYMVSPKKKQDGPSIEAVVPATKRRRSVSTHRSNSLKGQKGSTKVSPPGSFGKDEAVHSLVEQSLFEDMAETTTHLLVSPGISSTKGRKIADRLHVEKALNSTPEKLALSEDNRKKGDQSKSLTSLTKKRKRRSIAGLEKCSSHISQISDAELVGSRIKVWWPLDKRFYEGLVHSYDSEKKKHTILYDDGDVEVLQLGKEKWEVVSNIHTPRKKENTRHTQAVKDKSPESINNKIYHSDSEKNKDTRKKSSSSNSRKKGPTKKHVGKKSKIVLKSNSNADSSLDSRGDSDVSDIHPRSMFNDVTDGLEEKEASPDPEVGVDTKVGSKELDDKLSKEESPDHSSSDGKDDSDDELISAWKLRAGKST >Ma09_p12510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8405487:8427400:1 gene:Ma09_g12510 transcript:Ma09_t12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLQQQLKEVGSKLQNPPASKDALIKLLKQAENCLSEMEQSPEPSILDSIQSFLNAIAKKELLTHQDRDVKVLVATCACEATRITAPEAPYSDDVLRDMFHLIVGTFAGLGDISSPSYGRRVVILETLAKYRSCVVMLDLECNDLIHEMFRTFVSVVSDDHPQNILTSMQTIMILILDESEDVQENLVTTILSALGRKKNGYSMAARKLAMNVIEHCADKLGPCIVQLLVSSLSDDNSYLDHSLDHHEVIYDIYQCAPQILTGIIPYITGELLTDKLDIRLKAVHLLGDLFSLAEVPISEAFHPVFLEFLKRLTDRVVEVRLSVIEHLKNCLISDPSHPEALQIIKALSDRVLDYDEDVRKKVVAAVYDVACQSLNVIPPETASLVAERIRDKSLTVKKYTLERLVDLHRLYCLKSSDGSIHIEDYKWIPGKILRCLYDRDFRSEAIELILCGSLFPPEFSVKDRVKYWVTTFSVFDKFEVKALEQILAQKQRLQQEMQKYLSLRQTYQEDAAELNKRIFGCFKGMSRLFNDPVKTEENFQFLNQLKDANIWKILTTLLDPSTHLHQAWSCRDDLLRILGEEHPLFDFMGTLSLKCSYLLFNKEYVVEILSEADEQQSAGNAKLISSCMNLLTVIACYSPLLLAGCEEDLIRLLKEDNEIIKEGIAHVLAKAGGTIREQLTVASSSVELLLERLCLEGTRKQAKYSVQAIAAITKDDGLKSLSVLYKRLVDMLEERRHLPAIFQSLGCIAQTAMPIFETREDEIMEFIMNKILQSSNADEVSLDDTEWSERSELCLIKIFGIKTLVKSYLPAKDAHLRPGIEKLLEILKNILSYGEIAQVIISSDVDKAHMRLASAKAVLRLSRHWDQKIPADIFYLTLRISQDAYPQSRKLFLNKVHQYIKERQLDAKYACAFLLNVNDRLSLEYEECQQSLLELVQICQQVRMRQLSAQSDMNTTAAYPEYILAYVIHALSHDPSCPNIDECMDVQAFEPTYWRLNLFLSSLLHGDEGSQSGAFPNQRKESYNAIFSIFHSIKNSEDIVDGLKSNTVHAICDLGLSIAKRIVSEKVEVSGFDTVPLPCMLYKPVDKSKDENAMDDDNQTWLTSDSALAHFEALKLEHEEKGDSGAAKDEMVLEENNGDDSEVPLGKMMEILRSQAARKKKKKAVKKDNLPSVLENFENDFDVLGVVREINLDNLERVQTTEIDNLVADIECKSAKMADKSNDEKYMVSPKKKQDGPSIEAVVPATKRRRSVSTHRSNSLKGQKGSTKVSPPGSFGKDEAVHSLVEQSLFEDMAETTTHLLVSPGISSTKGRKIADRLHVEKALNSTPEKLALSEDNRKKGDQSKSLTSLTKKRKRRSIAGLEKCSSHISQISDAELVGSRIKVWWPLDKRFYEGLVHSYDSEKKKHTILYDDGDVEVLQLGKEKWEVVSNIHTPRKKENTRHTQAVKDKSPESINNKIYHSDSEKNKDTRKKSSSSNSRKKGPTKKHVGKKSKIVLKSNSNADSSLDSRGDSDVSDIHPRSMFNDVTDGLEEKEASPDPEVGVDTKVGSKELDDKLSKEESPDHSSSDGKDDSDDELISAWKLRAGKST >Ma10_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28377498:28383969:1 gene:Ma10_g16810 transcript:Ma10_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGNMGHSGFLRYPNDCMRIIINIFVGVVIGFFIGVSFPTANMPKLHLAGSITTCIEDKNSAFATQALLNHTWPSIHSHNGNNSTVHYNDTSKIYVPKNPRGAERLPPNIIVSKSDLYPRRLWGNPSEDFQIQQKYLVTFTVGYDQKNNIDAAVKKFSENFTIMLFHYDGRTSEWDEFEWSKRAIHVSARKQTKWWFAKRFLHPDIVDSYEFIFIWDEDLGVEHFDAEEYIKLVKKHGLEISQPGLQPSKDLTWLMTMRREGSEVHKETKEKHGRCSDPHLPPCAAFVEIMATVFSRDAWRCAWHMIQNDLVHGWGLDFALRRCVEGAHERIGVVDSQWIVHQGIPTLGNQGQKQNGKQPWKGVQDRCRKEWKMFQDRMADAEKAYISKRW >Ma10_p16810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28377498:28383969:1 gene:Ma10_g16810 transcript:Ma10_t16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGNMGHSGFLRYPNDCMRIIINIFVGVVIGFFIGVSFPTANMPKLHLAGSITTCIEDKNSAFATQALLNHTWPSIHSHNGNNSTVHYNDTSKIYVPKNPRGAERLPPNIIVSKSDLYPRRLWGNPSEDFQIQQKYLVTFTVGYDQKNNIDAAVKKFSENFTIMLFHYDGRTSEWDEFEWSKRAIHVSARKQTKWWFAKRFLHPDIVDSYEFIFIWDEDLGVEHFDAEEYIKLVKKHGLEISQPGLQPSKDLTWLMTMRREGSEVHKETKEKHGRCSDPHLPPCAAFVEIMATVFSRDAWRCAWHMIQNDLVHGWGLDFALRRCVEGAHERIGVVDSQWIVHQGIPTLGNQGQKQNGKQPWKGVQDRCRKEWKMFQDRMADAEKAYISKRW >Ma10_p16810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28377498:28383969:1 gene:Ma10_g16810 transcript:Ma10_t16810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGNMGHSGFLRYPNDCMRIIINIFVGVVIGFFIGVSFPTANMPKLHLAGSITTCIEDKNSAFATQALLNHTWPSIHYNDTSKIYVPKNPRGAERLPPNIIVSKSDLYPRRLWGNPSEDFQIQQKYLVTFTVGYDQKNNIDAAVKKFSENFTIMLFHYDGRTSEWDEFEWSKRAIHVSARKQTKWWFAKRFLHPDIVDSYEFIFIWDEDLGVEHFDAEEYIKLVKKHGLEISQPGLQPSKDLTWLMTMRREGSEVHKETKEKHGRCSDPHLPPCAAFVEIMATVFSRDAWRCAWHMIQNDLVHGWGLDFALRRCVEGAHERIGVVDSQWIVHQGIPTLGNQGQKQNGKQPWKGVQDRCRKEWKMFQDRMADAEKAYISKRW >Ma02_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15692381:15692967:-1 gene:Ma02_g04210 transcript:Ma02_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLILDILYFQQRPVPSLSPVLLPVLSPIRHRERGRLGSKPGDLPRSPQIASPKGLVRRIHCYPLLWYRDSSLLSDERSIHIAPNGTFSSPLYGICFV >Ma03_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24999252:25000532:-1 gene:Ma03_g19730 transcript:Ma03_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAWRRGRIVGRGASATVSVAASLSSGEIFAVKSAELSSSGDLQREQRILSSLDSPYVVSYFGFEVGLCYDLFMEYAPGGSMSDEIKKRGGRLDELNIRSYTCDLLRGLAYLHSNGVVHCDLKSQNVLICSGGRAKIADFGCARRADEEDKDGGGRVQPRGTPMFMAPEVARGEEQSAPADVWALGCTVIEMATGQPPWPHASDPVSALHRIAFSAAVPEFPCWLSEDGNDFLSRCLRRDPRERWSAEQLLRHPFVASWTSNPLDYRWVSPKSTLDQGFWSALSEEEEEEDEESLEQPAEDASERMQSLLGIAPNWTWGEDWVTVRSDGRDRSVDEVTRGSEAFTDVSVTSNSEEFVFSTNHTEGSSDRAIIKANCNFNDTSYVEIAEGIKEQLALICECVRMCI >Ma05_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6615585:6619697:-1 gene:Ma05_g08990 transcript:Ma05_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRGGVCPQLLDLMPNKRDWIVKDDGRGGEMKASQLEVESLELRLGLPATEEEKDPSVLSLALFYKSSKTTTTNNNNNKNHHCAGSKRGFFGTFESRTEGPQQQKTSGANLKDELPQSQERKAAHEAPENASAANMNSQTRAASVPLVGWPPIRTFRKNFATGSSKPSPEPQSGDLQSKVKLEDCKKGLFVKINMEGIPIGRKIDLSTYNSYEKLSLAVEEHFRDLLAAQKDPSTADTLKDGEKQVFTGLLDGTGEYSLIYEDNEGDRMLVGDVPWDMFVSMAKRLRVIKSTDLSVIRVQDQYAGKEQQQSVELY >Ma08_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34408200:34408874:-1 gene:Ma08_g20300 transcript:Ma08_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIKVGAWGGDGGSAWDMAAAYRITNIKFRAGDAIDGIVITFTRYGQTETKSFGGTGGKLYEIPLQEDEYLVGVEGSVDTMWGITLVRNLTLRTNKKSYGPFGTSGGKPFSVPVASGKIIGFFGRAGAMIDAIGVYLAPK >Ma04_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22952878:22957783:-1 gene:Ma04_g20220 transcript:Ma04_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAHYHNRLRSWPNTWFAAAAVDKPLKHVVFKMQLSDQTPTIEDPAPRQRHGGDGGGGADLTALFSDKLLLRILAAVPDPLRLPASLVCKRWLRLVGRLRHSLTLLDWSFLDRGRLFLRFPDLAELDLVPGSFASPSSVAARGRVLLSWGPFSVTVDTNADSPVGECCFLDPDVIDRGLETVARSCPGLRKLSLVASDSEAGLMAIAGGCDTMQELELHRCSDLALRPIFAFKNLQILRLVGSVDGLYCGPRVTDIGLTILAHGCKRLVKLELSGCEGSYDGISAIGRCCMMLEELTISDHRMGDGWLAALSFCGNLKTLRMQSCRRIDADPGPSEHLGTCPAIETLQMQRCQLRDKRSLQALFMVCEAVRDVVFENCWGLDNNMFALVSICRRVKFLSLEGCSLVTTEGFESVVLSWMDLQRLTVISCNNIKDGEVCPALSSLFSVLKELKWRPDSKSVLAGTGMAKKGARFFRRL >Ma03_p33100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34595805:34599691:-1 gene:Ma03_g33100 transcript:Ma03_t33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAGSLKSTALVGSASPRLLLNSAPLMTLTTRLYCQAQRSPQDLKEALAHAISRCCSAHHLRGLHGRAIAFGLRHNLYVASLLIARYFHFGDPATARLVFDDGRRGGPSKTLLWNSLIRGYLNNGRPRSALHVYREMVAARPLAQCEPDRVTFHLVITACTHLSEFDLGSRVGGHARAKGLDSDLMVGTALLDMHGKAGEIGSARKVFDGMASRDVVAWNAMIAGYSRVGSFYEAVSLFNVMRLGQGVLPSEATLVSLISGCGRSGSPKDGEAIHADAIKLGFEASLFVLNSLIEMYTNCDCLGVACKLFDRMVFKDAVSWSTMIGGYVQHKRPYDALKLFEWMIMNTQTPPTRSILVNVILACADLGDWEEGKLIEEKYLTSKQSESAWDPSMVTTLAYMYAKCGKLDVSLNLLDRVEVRGDTIAWNAVMKAYAELGIVDKVLYLTLVMQRRGINPDLVTIVTLLSAISHTTFLKKVMEAHAQIIKRGFEMERQIANCLVDAYAKSGSITDSSKVFDDIAEKDVVSWSTMIKAFAWEGKVAEVLNHFELMRETDTTPNHFTFLSLLSACSHAGLAEKGWKIFNCMKEEYGLEPGVEHLTCLVDMFCRAARLSDAYSLLKNVMQRTISHAALWNTLLSACRLHGDVVIGEAAARHLFQLEPENAANHKMLANIYISAGRRDDANGVLRLLNANGLDSMPGCSWYEAG >Ma06_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5978278:5988057:-1 gene:Ma06_g08450 transcript:Ma06_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MSMGSLVRLPTCNPKGALLQEDARFFLRGSPLASVTTGNKRQQRRRNLLVVEAKGKRGMMAGRQSQRPPPPRLPKIEDDGNPRFVVFIRTSNVYLWYPLSIISGGTTAKIMVAAKDNFLGKYIYKDTIARNIAAVIYRDEKEIQKTAVKQYRVLRSATSFRYGYKLVENNNLRAAISTSNVIELPTQEELKTVLDKVKDFFGNATSDAKESFGKLTSLGSLTDEEPESQSEVKS >Ma11_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9645579:9649977:1 gene:Ma11_g10270 transcript:Ma11_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQFFSPTSQSPKIKPNHLLSSSSSSKNSVTRATNFSTSFSCSTSQLPTENPNPNPSRCICSSKNPTFSSARCISPPKSSNFWVSRPRQRRSQVGCGRGVEARDGEVDSPPLSEWKSGGGGNGDGGGGNGGEEGDEKEKKKGLLPEWVSITKEDAKTILGALAISLAFRTFIAEPRFIPSLSMYPTFDIGDRVVAEKVSYYFRKPCVNDIVIFKSPPVLQEVGYTDDDVFIKRVVAKEGDVVEVHNGKLVVNGIVKDEDFILESPSYEMSPIQVPENTVFVMGDNRNNSYDSHIWGPLPAKNILGRSVFRYWPPTRIGSTVLGEGGEDMKPKNSLVSK >Ma05_p28160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39239092:39241268:-1 gene:Ma05_g28160 transcript:Ma05_t28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDIGGRSKKLLLLIVAISLQSTAVLADDPSSSNDAAKAEANPSKSASNMSREVFFICLAIAGTVLVSVFLFKLWQKKKREEQHARLLRLFEEDDELELELGLRD >Ma08_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5373822:5375732:-1 gene:Ma08_g07830 transcript:Ma08_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKVANPHQSSDGSFAAAGSDEMEEDAAAAAGMMYSSVTAQAALLSGHRRSRETSTMVSALTRVMAGEQRPRPVPMAVDSMSAVSSSSSFSYIFSPPPSYSSPSTAFLPMLQSPAPAAAAVEEASPASSNQEERERAAPKRKYRGVRQRPWGKWAAEIRDPYKAARVWLGTFETAEEAARAYDEAALRFRGSRAKLNFPENVRLQPSHSVALAAQVPPSNSPATSSGAVSDYLAYSRLLQGASEYQRLPPASLLDPFVHSGVNDSSSLPASSFHANSVPSSTVISPSSSSSSSYPPSYASSTPTERQMIWGGASGFPETSWTHSSQFPPSSSGDS >Ma02_p22290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27663337:27673397:1 gene:Ma02_g22290 transcript:Ma02_t22290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGSVGSSSNANRPPSNSVPQPSASQAASSSREQDVEKQVLEDQSALNDPREPSESGDDKILKHDDPGASTVVEGGSNVCFEEDRSMHDVSASTVIRQETSEPCVNQKDSVRLCTGSSQIVNGGSYPPPPPAPPPKPFSTNLGSRRTSLGSSNTARISSLRRQSAWPGVSTRSPSESRPSSPRSYGEGEGYNSADEQGPCYASSFDDSERERLFDLEIRRTKGFEVKKMLEDGNCLFRAVADQVYGEPESYDLARQMCLDYMEKERDHFSQFVTEGFTSYCKRKRRDKVYGNNIEIQAFAEMYNRPIHIYSYSTEPINIFQGNYDTDTPPIRLSYHHGNHYNSLVDPLRMTVGVGLGISSLRERNLDKDQVKAAIRAQQDQQIDNALVAEGRFYSDLELTEKEIERMVMEASRAEYLAKDNLKQYPSFRESSTSRAEPSSSVATTGSSRSTLEADGQRVFLPETVPTSSMHTVLSMGFGYMQVIEAYSIFGDDVDSMVCYLLEMGGNRSPLGRNRHKGKAAE >Ma02_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27663337:27673397:1 gene:Ma02_g22290 transcript:Ma02_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGSVGSSSNANRPPSNSVPQPSASQAASSSREQDVEKQVLEDQSALNDPREPSESGDDKILKHDDPGASTVVEGGSNVCFEEDRSMHDVSASTVIRQETSEPCVNQKDSVRLCTGSSQIVNGGSYPPPPPAPPPKPFSTNLGSRRTSLGSSNTARISSLRRQSAWPGVSTRSPSESRPSSPRSYGEGEGYNSADEQGPCYASSFDDSERERLFDLEIRRTKGFEVKKMLEDGNCLFRAVADQVYGEPESYDLARQMCLDYMEKERDHFSQFVTEGFTSYCKRKRRDKVYGNNIEIQAFAEMYNRPIHIYSYSTEPINIFQGNYDTDTPPIRLSYHHGNHYNSLVDPLRMTVGVGLGISSLRERNLDKDQVKAAIRAQQDQQIDNALVAEGRFYSDLELTEKEIERMVMEASRAEYLAKDNLKQYPSFRESSTSRAEPSSSVATTGSSRSTLEADGQRVFLPETVPTSSMHTVLSMGFGYMQVIEAYSIFGDDVDSMVCYLLEMGGNRSPLGRNRHKGKAAE >Ma02_p22290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27663337:27673397:1 gene:Ma02_g22290 transcript:Ma02_t22290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGSVGSSSNANRPPSNSVPQPSASQAASSSREQDVEKQVLEDQSALNDPREPSESGDDKILKHDDPGASTVVEGGSNVCFEEDRSMHDVSASTVIRQETSEPCVNQKDSVRLCTGSSQIVNGGSYPPPPPAPPPKPFSTNLGSRRTSLGSSNTARISSLRRQSAWPGVSTRSPSESRPSSPRSYGEGEGYNSADEQGPCYASSFDDSERERLFDLEIRRTKGFEVKKMLEDGNCLFRAVADQVYGEPESYDLARQMCLDYMEKERDHFSQFVTEGFTSYCKRKRRDKVYGNNIEIQAFAEMYNRPIHIYSYSTEPINIFQGNYDTDTPPIRLSYHHGNHYNSLVDPLRMTVGVGLGISSLRERNLDKDQVKAAIRAQQDQQIDNALVAEGRFYSDLELTEKEIERMVMEASRAEYLAKDNLKQYPSFRESSTSRAEPSSSVATTGSSRSTLEADGQRVFLPETVPTSSMHTVLSMGFGYMQVIEAYSIFGDDVDSMVCYLLEMGGNRSPLGRNRHKGKAAE >Ma07_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6814753:6820436:-1 gene:Ma07_g09080 transcript:Ma07_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELRCSSRPDNKRKFGDRSARASLSPPARRSTGFSAPIASSSPEGGAPIQPSYNSVSLPLDGIELAKQRAQEIAARLFSDAEAKRPRIEDGGGVEDSREKGFGSFPNDFAKKPFSHPIPSQVGMTSQSFHTYGYQGTSKTIEIPNGRVGVVIGKSGETIKYLQLQSGAKIQVTRDLDADPNSQTRSVELVGTSEQISKAEQLINDVLAEADAGASGINSTRKHGSVQPGAEQFQMKVPNNKVGLVIGKGGETIKNMQARSGARIQVMPLHLSPGDTSTERTVYIDGTNEQIESARQLVNEVISENRMRNTAMSGGYPQQGYHPPRPSTSWGLPGAPPMQPPGYGYMPPGSYPGPPPQSNMPQPPYGGYPTPASTGFSSGWNQTPNQPTQQTAPGAGYDYYNQQSQQQQQRQQPLGGSSALADSTSYNYGQPPPDYNNQVSYGDAAYSQASMGQQGYVQDGYSSVYNCSASQPGYYQPTLDPQNGFDQQGYGSAFGYGTVANPCEDGSASAYSAEGGSTQAPPTQQAPSSQPSATQGYTGQPPQTPISTTASYPTQGTAPSGYGIPPTSQLGHGSQIPTMAGYGQVPSPSYGQPSRVQDPPGTQGVYQQGQQTVSTEAGCVQSAPVQPGYKQAPSSQAGYGQQQTYGMSPHNQPGYGPQQQQPYSDSYVGDVHSQPPAYSNDNAAQGAYDASTAPPAVSSGAAITKSPTT >Ma07_p09080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6815631:6820436:-1 gene:Ma07_g09080 transcript:Ma07_t09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELRCSSRPDNKRKFGDRSARASLSPPARRSTGFSAPIASSSPEGGAPIQPSYNSVSLPLDGIELAKQRAQEIAARLFSDAEAKRPRIEDGGGVEDSREKGFGSFPNDFAKKPFSHPIPSQVGMTSQSFHTYGYQGTSKTIEIPNGRVGVVIGKSGETIKYLQLQSGAKIQVTRDLDADPNSQTRSVELVGTSEQISKAEQLINDVLAEADAGASGINSTRKHGSVQPGAEQFQMKVPNNKVGLVIGKGGETIKNMQARSGARIQVMPLHLSPGDTSTERTVYIDGTNEQIESARQLVNEVISENRMRNTAMSGGYPQQGYHPPRPSTSWGLPGAPPMQPPGYGYMPPGSYPGPPPQSNMPQPPYGGYPTPASTGFSSGWNQTPNQPTQQTAPGAGYDYYNQQSQQQQQRQQPLGGSSALADSTSYNYGQPPPDYNNQVSYGDAAYSQASMGQQGYVQDGYSSVYNCSASQPGYYQPTLDPQNGFDQQGYGSAFGYGTVANPCEDGSASAYSAEGGSTQAPPTQQAPSSQPSATQGYTGQPPQTPISTTASYPTQGTAPSGYGIPPTSQLGHGSQIPTMAGYGQVPSPSYGQPSRVQDPPGTQGVYQQGQQTVSTEAGCVQSAPVQPGYKQAPSSQAGYGQQQTYGMSPHNQPGYGPQQQQPYSDSYVGDVHSQPPAYSNDNAAQGAYDASTAPPAVSSGAAITKSPTT >Ma08_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:506094:513795:1 gene:Ma08_g00520 transcript:Ma08_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVLHCAGTSLSQLFSENWILALVILIYVIIQSLEESAESLRDRCLKFYKGCHKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHILNDRLLQFVEIDLHEVKDTRKHFDKASLLYDQAREKYLSLKKGTKADIVTVLEDELYSARSSFEQTRFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYIHQVHPYPLLNALFMYFSSCVAYKLL >Ma05_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3435579:3436244:1 gene:Ma05_g04500 transcript:Ma05_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSGFNATATAAAAPVYTGGHHSPVPYLFAGVAATLGLTACALLVLACFCSKFYDRLESRDAGASSGAAAGGGVGLCEEVIVVVMAGDEKPTFLAAPTSSRASSFVDWSCKKDDDEEEGEEEKVEGSKRTDRKSSPD >Ma02_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8300054:8300854:-1 gene:Ma02_g01190 transcript:Ma02_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNCSVLDPWVYHSESAWISEVSARENAAVAMALHISLSDTTTSSSSSSSAASADTLSSPLLLLQHQLTPPSCSSASGDATLRRRNALGPALQGRVSKRKSHASKRSATTYISADPIDFQEVVQRATGFRFAGEPLVKPEPVRSAAGDRAALQQIRLPTLDTSVSFLDTGAVGIPSGGFSSGSPPPAYAPDFDFDPLLPAFPTLDSWGVM >Ma00_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3260839:3261196:1 gene:Ma00_g00860 transcript:Ma00_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQEFGSECSFRNQRRVYLSSLDSVKFFRPVTKSVTGEALRTFVYHEILIGYLGYCKIPGFNSCYIWACPSLF >Ma10_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25107621:25109416:-1 gene:Ma10_g11670 transcript:Ma10_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKLVLIAAAAVLILCQIPRFANAQLRQNYYANICPNVESIVRDAVTAKFRQTFVTVPATLRLFFHDCFVEGCDASVIVASTGNNTAEKDHPDNISLAGDGFDTVIKAKAAIDAVAQCRNTVSCADILAIAARDVIALAGGPSYAVELGRMDGFTSTAASVTGKLPQPGFNLNQLTSLFAANGLSHSDMIALSAAHTLGFSHCSSFASRIYDFSPQNPVDPTLNRAYAAQLQAMCPRDVDPRVAVNMDPVTPRAFDNQYYKNLQQGMGLFTSDQVLFTDARSRPVVDSWAQSVAAFDQAFVAAITKLGRVGVKTGANGNIRRDCALFN >Ma02_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4870121:4870640:-1 gene:Ma02_g00380 transcript:Ma02_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHCKQRSLTFVKLLLVLLEGDVF >Ma07_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8673165:8678111:1 gene:Ma07_g11650 transcript:Ma07_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRIATDLRDEECGISAPEDSPMAKKPLRADSSRIPLTRWEAAAAATVFLIFSVGLFCIFLTMPEADYDKILRLPRNLSDLRILKDNLAVYARDYHAKFILGYCSIYIFMQTFMIPGTIFLSLLAGALFGVVKGVILVVVSATSGASSCYFLSKLIGRPLVSWMWPEKLRFFQAEIAKRKEKLLNYMLFLRITPSLPNTFINLASPIVDIPFRIFFLATLVGLVPASYITVRAGLALGDLKSVRDLYDMKTLVVLFLIGFISIFPTILKRKRTYE >Ma04_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25110501:25112213:-1 gene:Ma04_g22920 transcript:Ma04_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHHEPPHLHLHPSACMALLIPLLVFLVLHSANAQPSPGYPLSSKIRSSRFYQGYRNLWGPQHQSVSRDQSSVTVWLDRSSGSGFKSIRPYRNGYFGTSIKLQSDYTAGVNTAFYLSNNEAHPGFHDEVDIEFLGTTPGKPYTLQTNVYVRGSGDGRVIGREMRFHLWFDPTAAFHHYAILWNPDEIIFFVDDVPIRRYARKIEATFPDRPMWVYGSIWDASSWATENGKYKADYRFQPFVARFTDFKITGCSANAPSSCRPVPASPSGYGLSARQYAAMQWAQRNHMVYDYCHDYSRDHSLTPEC >Ma09_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12498224:12509838:-1 gene:Ma09_g17030 transcript:Ma09_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MSRTFHHTPPPPPPHEPRMAPPPPPNSVPAAEGSSGGAGVAAAATLLVRHLPEAMPFDVLSRLFSHYGASSVRPCAGGRLRNCTFVDFKDEALAAQAQSQLNRLRFLGKVLTVERAGRANLKNTDQQNECQSAKDSASAKTSHLNESLVTQKNSSTGEPIAPRLGVDYPFPPNLEYAYPPPDGNILTNMVNALIAVPRFYIQVLHLMNKMNIPAPFRMALPTPPLPPPVPAAAPPPPPPPPTTTSTKPNLNDLSSDESEMESSEEDAESGRNRKRMRREVIVGPAVDKGVAHEDVGLKPVSLVPVIRKKNPVLQIKVTPKPTTKETTDYSGSTKEPDSANQVLEQKVFALPQEIESGKLPPEEILSLPMFKNYSPGNPANVLYIKNLSKDVVVDDFYYIFGSLFESIEAARAGLNIKLMQEGRMRGQAFVTFPSVDLAQNALNLVNGYMFKGKPIIIQFGRNPAAGKGN >Ma09_p17030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12500901:12509858:-1 gene:Ma09_g17030 transcript:Ma09_t17030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MSRTFHHTPPPPPPHEPRMAPPPPPNSVPAAEGSSGGAGVAAAATLLVRHLPEAMPFDVLSRLFSHYGASSVRPCAGGRLRNCTFVDFKDEALAAQAQSQLNRLRFLGKVLTVERAGRANLKNTDQQNECQSAKDSASAKTSHLNESLVTQKNSSTGEPIAPRLGVDYPFPPNLEYAYPPPDGNILTNMVNALIAVPRFYIQVLHLMNKMNIPAPFRMALPTPPLPPPVPAAAPPPPPPPPTTTSTKPNLNDLSSDESEMESSEEDAESGRNRKRMRREVIVGPAVDKGVAHEDVGLKPVSLVPVIRKKNPVLQIKVTPKPTTKETTDYSGSTKEPDSANQVLEQKVFALPQEIESGKLPPEEILSLPMFKNYSPGNPANVLYIKNLSKDVVVDDFYYIFGSLFESIEAARAGLNIKLMQEGRMRGQAFVTFPSVDLAQNALNLVNGYMFKGKPIIIQFGRNPAAGKGN >Ma06_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4008646:4012991:1 gene:Ma06_g05400 transcript:Ma06_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLNSVSGTQSDAAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFFDARIDCVDEEIVGFFGVFDGHGGARAAEYVKQNLFSNLIRHPKFHSDTKSAIADTYNHTDSEFLKSENNQSHDAGSTASTAVLVGDRLLVANVGDSRAVVCKGGKAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQFVVVDPEIWEEVIDSSLEFLILASDGLWDVVTNEEAVAMIQSIEDPEQAARRLLQEACQRGSADNITCIVVHFSAGENNTTVEHQ >Ma04_p27420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28589300:28593433:-1 gene:Ma04_g27420 transcript:Ma04_t27420.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MAFGQNATDNCLQEGSHVALINGLPDEISILCLARVPRRYHHILRCVSRKWRALLCSEEWHYHRQKNNMEETWIYVMCRANLKGNLCYVLDPDPANRSWKLIQPIPSPCLKKDGMSVEALGKKLYLLGGCTWQEDATDEVYCYDASANKWDVASSMPTARCFFVSASLDDKLYISSGLGLRSTAPNSWDIYHSDSDSWISHKNPLHNHDVIKLIALEGKLCTIHKSWDGFSYAGIYDPASATWQGIDNEIALSTYGPTVVVDGVLYMLHESSGMRLLKWQEERKEWVAIGRLSSHLTRPPCHLVAIGRSIYVIGQRLSTVVIDVDEAAKVEGLMVSSSFSPRFECDHTVISCNTITI >Ma04_p27420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28589300:28592638:-1 gene:Ma04_g27420 transcript:Ma04_t27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MAFGQNATDNCLQEGSHVALINGLPDEISILCLARVPRRYHHILRCVSRKWRALLCSEEWHYHRQKNNMEETWIYVMCRANLKGNLCYVLDPDPANRSWKLIQPIPSPCLKKDGMSVEALGKKLYLLGGCTWQEDATDEVYCYDASANKWDVASSMPTARCFFVSASLDDKLYISSGLGLRSTAPNSWDIYHSDSDSWISHKNPLHNHDVIKLIALEGKLCTIHKSWDGFSYAGIYDPASATWQGIDNEIALSTYGPTVVVDGVLYMLHESSGMRLLKWQEERKEWVAIGRLSSHLTRPPCHLVAIGRSIYVIGQRLSTVVIDVDEAAKVEGLMVSSSFSPRFECDHTVISCNTITI >Ma04_p27420.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28589300:28593414:-1 gene:Ma04_g27420 transcript:Ma04_t27420.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MAFGQNATDNCLQEGSHVALINGLPDEISILCLARVPRRYHHILRCVSRKWRALLCSEEWHYHRQKNNMEETWIYVMCRANLKGNLCYVLDPDPANRSWKLIQPIPSPCLKKDGMSVEALGKKLYLLGGCTWQEDATDEVYCYDASANKWDVASSMPTARCFFVSASLDDKLYISSGLGLRSTAPNSWDIYHSDSDSWISHKNPLHNHDVIKLIALEGKLCTIHKSWDGFSYAGIYDPASATWQGIDNEIALSTYGPTVVVDGVLYMLHESSGMRLLKWQEERKEWVAIGRLSSHLTRPPCHLVAIGRSIYVIGQRLSTVVIDVDEAAKVEGLMVSSSFSPRFECDHTVISCNTITI >Ma04_p27420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28589300:28593396:-1 gene:Ma04_g27420 transcript:Ma04_t27420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MAFGQNATDNCLQEGSHVALINGLPDEISILCLARVPRRYHHILRCVSRKWRALLCSEEWHYHRQKNNMEETWIYVMCRANLKGNLCYVLDPDPANRSWKLIQPIPSPCLKKDGMSVEALGKKLYLLGGCTWQEDATDEVYCYDASANKWDVASSMPTARCFFVSASLDDKLYISSGLGLRSTAPNSWDIYHSDSDSWISHKNPLHNHDVIKLIALEGKLCTIHKSWDGFSYAGIYDPASATWQGIDNEIALSTYGPTVVVDGVLYMLHESSGMRLLKWQEERKEWVAIGRLSSHLTRPPCHLVAIGRSIYVIGQRLSTVVIDVDEAAKVEGLMVSSSFSPRFECDHTVISCNTITI >Ma04_p27420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28589300:28593408:-1 gene:Ma04_g27420 transcript:Ma04_t27420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MAFGQNATDNCLQEGSHVALINGLPDEISILCLARVPRRYHHILRCVSRKWRALLCSEEWHYHRQKNNMEETWIYVMCRANLKGNLCYVLDPDPANRSWKLIQPIPSPCLKKDGMSVEALGKKLYLLGGCTWQEDATDEVYCYDASANKWDVASSMPTARCFFVSASLDDKLYISSGLGLRSTAPNSWDIYHSDSDSWISHKNPLHNHDVIKLIALEGKLCTIHKSWDGFSYAGIYDPASATWQGIDNEIALSTYGPTVVVDGVLYMLHESSGMRLLKWQEERKEWVAIGRLSSHLTRPPCHLVAIGRSIYVIGQRLSTVVIDVDEAAKVEGLMVSSSFSPRFECDHTVISCNTITI >Ma09_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36369971:36376572:1 gene:Ma09_g24750 transcript:Ma09_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAMAAFMGVRDAISTGAGGVAASVAMAAGGSPDAALNFGDGAVLPYSPAKVKAAPRGRASSWSSLLLLLGRTRKLAKVRLLLAAGVLLALVLLASPVGPPIGWNHQPSSSASSPSRDGYMVLINTWRRTSLLKKAVAHYASCPKTDAIHVVWSENDPPPESLKTYLRNIIMSRSRNSQKPKLQFDLNEEDNLNNRFKPINGLTNDAIFSVDDDLLVPCSTLEFAFTVWQTTPDTMVGFVPRMHWLDEEKNGVVYYKYGGWWSVWWTGTYSMVLSKAAFFHRKYLDMYTYKMPSTIHDYVTRERNCEDIAMSLLVANVTQAPPIWVKGKIHEIGSYGISSLAGHNKRRNNCLNDFISLYGAVPLVSTNIKAVDAREEWFW >Ma08_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1943514:1949413:-1 gene:Ma08_g02550 transcript:Ma08_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCLSPEAVVREDVNTHFTVAHHDHGGSTGKNHHHHNGGGGHSKRMMVLSSDARSVGGIEEKYVLDRELGRGEFGVTYLCMDRDTNELFACKSISKRKLRTAVDVEDVRREVAIMRHLPKSPSIVSLREAREDDGAVHLVMELCEGGELFDRIVARGHYSERAAAVVVRTIVEVVQQCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPETEQGVAQAILRGIIDFKREPWPCISEGAKKLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSRMNRFKRRALRVIADHLSTEEVEDIKEMFRMMDTDNDGIVSHDELKAGLAKFGSHLVESEVQMLIEAVDTNGKGTLDYGEFVAVSLHLQRMANDEHLRRAFSYFDKDGNGFIEPEELREALAEDGAPDSTDVADDILKEVDSDKDGRISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSVRLMKDGSLNSSKN >Ma08_p02550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1943514:1949419:-1 gene:Ma08_g02550 transcript:Ma08_t02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCLSPEAVVREDVNTHFTVAHHDHGGSTGKNHHHHNGGGGHSKRMMVLSSDARSVGGIEEKYVLDRELGRGEFGVTYLCMDRDTNELFACKSISKRKLRTAVDVEDVRREVAIMRHLPKSPSIVSLREAREDDGAVHLVMELCEGGELFDRIVARGHYSERAAAVVVRTIVEVVQQCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGIIDFKREPWPCISEGAKKLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSRMNRFKRRALRVIADHLSTEEVEDIKEMFRMMDTDNDGIVSHDELKAGLAKFGSHLVESEVQMLIEAVDTNGKGTLDYGEFVAVSLHLQRMANDEHLRRAFSYFDKDGNGFIEPEELREALAEDGAPDSTDVADDILKEVDSDKDGRISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSVRLMKDGSLNSSKN >Ma01_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10367873:10370447:-1 gene:Ma01_g14180 transcript:Ma01_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFRNLNRAKPQNYGIGLLAVVGLVALTYVTMSRTTGMRFSVGGAGEFVKAEKRPMCDLSNRKSDICEADGDVRIIGKDTRMVYVAPPGSVDAQGGESWTIKPYARKWDPGSGARVREVTLKLVHGHGEDRRCSVNHTVPAMVFAVGGWTGNYFHDFVDVLVPLFETAHPFGGEVQFLIANMYPPWMDKYRPFFRKLSRYEIIEYDNDDTVRCFRHVTLGLRCTSAEDFQMEPSKSPHGYTMFDFAKFTRSVFSLERSHPLRVGDKTDKKPRLMIITRAQTRRFMNVEEIVRMAEEVGYEVVVAEGDPDISKFSRLVNSCDVMMGVHGSALTNMVFLPTNSVVIQVVPWGNLDWIAGHYFREPSKQMKLNYLEYSINEEETTLTALYPRDHAVFKDPMSLHPQNADWDTFSRIFLKEQNVKLDVRRFRPFLEHALHILKEQPRE >Ma06_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3918732:3919496:-1 gene:Ma06_g05290 transcript:Ma06_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVVEIARVMRPRSMAKDLSGTIKEILGTCVSVGCTVDGKDPKDLQTEISDGDVEVPLE >Ma06_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11565195:11568802:1 gene:Ma06_g17080 transcript:Ma06_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHDGVFVASNGRSNGAGALELRASLDDTPPDVAGPKSVSDVSDSANGYRLRVAYQGLPGAYSEAAAAKAYPDCEAVPCELFETAFDAVECMLVDRAVLPIENSLGGTIHRNYDLLLRHNLHIIGEVKYAVRHCLLANSGVKKENLKRVLSHPQALAQCENTLAKIGVVREAVDDTAGAAKFIATHKLQDAGAVASSLAAEIYGLDILSLDIQDDPDNITRFLMLAREPIIPVTHKPFKTSIVFSLEEGPGVLFKALAVFALRNINLTKIESRPKRTKPLRITDNGTNGRLKYFDYLFFVDFEASMASPNAQNALGHLKELATFLRVLGSYPRDMSEA >Ma03_p07640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5367711:5369553:1 gene:Ma03_g07640 transcript:Ma03_t07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPNPSASPSSSISRPPPPPPPVPSLLPDPSSYVHPTAGTPDNSGGGYGGRGGERLHSDGDLNIDPSEAGNPPHPDQPHGSSSEAAGKVLRKDAKEEDGMVDSNEGWNTHVNSNCSSSAPVSAWEQLGDAATSQKKKRGGYGMDIEKKPKGKGRAKTSDTPANGSACTRVDASDNGRCQGEDKEEGVGWMDGNGSNGKKRRSPAVLMEGSRCSRVNGRGWRCCQKTLVGYSLCEHHLGKGRLRSMASVRGQLGTSTGKLKRSSERTTALSKPLEDKQPQPDDADEIKMEDDKEKTAAPKRKKIGMVKARRISSLLDEINRPLPSLLSQPPEDPFMRMPDGSEAMV >Ma03_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5367711:5369553:1 gene:Ma03_g07640 transcript:Ma03_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPNPSASPSSSISRPPPPPPPVPSLLPDPSSYVHPTAGTPDNSGGGYGGRGGERLHSDGDLNIDPSEAGNPPHPDQPHGSSSEAAGKVLRKDAKEEDGMVDSNEGWNTHVNSNCSSSSAPVSAWEQLGDAATSQKKKRGGYGMDIEKKPKGKGRAKTSDTPANGSACTRVDASDNGRCQGEDKEEGVGWMDGNGSNGKKRRSPAVLMEGSRCSRVNGRGWRCCQKTLVGYSLCEHHLGKGRLRSMASVRGQLGTSTGKLKRSSERTTALSKPLEDKQPQPDDADEIKMEDDKEKTAAPKRKKIGMVKARRISSLLDEINRPLPSLLSQPPEDPFMRMPDGSEAMV >Ma05_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6962581:6963445:-1 gene:Ma05_g09640 transcript:Ma05_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRWKRCVVLLLFVLSNASACAYGKEQIDRNLQPRLVAEKRLSFSGTRRSLSSSNLVFCVANSSASPSALRSGLDWACGPGSANCSAIQPGQPCYRDDNLVALASYAYNDYYQKMRAIGGTCDFRSTAMITATDPSHGSCIFTGSSGNTSAGRNDSATGQPPFVPAGTDGAMPLQVFRATYLLLIILHFLL >Ma07_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30802997:30807663:-1 gene:Ma07_g23050 transcript:Ma07_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAQQANSRRLYQVWKGNNRFFCGGRLIFGPDVASLLLSTLLIVGPAITFCCQIIIKLHEDEKSDESPDRPILWLSILMVAFFVTISVSNISDLPSAIQDLVFLFMTSSIDPGIVPRNTGPPGTDESVNVNTPSMEWIDGRTPQLQLPRARTKDEIVNGFAVKVKYCETCMLYRPPRASHCSVCNNCVHKFDHHCPWIGQCIGQRNYRFFFFFISTSTFLCIYVFTFSWLNIITEMNHHQYTIWKAMKCEILSPMLIVYTFIVVWFVGGLTVFHFYLICTNQTTNENFRWRYDKKHNPYDKGFLRNFGDVFLSEIPPSMHDFRSWVTEETIQVEFYTPNIDADVISPTENIDIEISTEPAIDDNLSVPSIQQNFDCSSNDALDPSVHPVTQNPSFHAPTHSMESYDGENGMPTDETKGEDVGGPVKDESVTKHSCSNMVVTPVEDVDMRST >Ma03_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23406848:23408648:-1 gene:Ma03_g17810 transcript:Ma03_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWIPCSFASTGEHDTAPPRSRTLHLSASTTSSSSSSNAHVGAPAATVFDDAASLLTLPSLQSLVPIASYPDTTPSPASYLCLSSLKPLRPSSSAAALAVSAASSLLYSASQSEITVLDLVTIRPVESFTAVPSAGSVKSVALSPDGKLFTAHQDGGIRVWRRSTRSGRHRLEATLPTAGDRLRRLPLPGNYVTVRRHKKLLWIEHADAVSAVATSGSLLYSVSWDKTLKVWRAGGDLRCRESVQAHENAVNAVAVAGDGTVYTGSADGRIRVWALSPPEEGRGRGQRQPKHGPVATLERHRSAVNALALSDDGAVLYSGACDRSILVWEREESADHMVVAGALRGHRKAILCLACVGDVLFSGSSDRTIRIWRKEGEGKGYSCLGVMEGHVTGVRSLVAVGVSDPAEPESESEEYRVCSGSLDGEVKIWRVRIPTTQRSDSK >Ma08_p34330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44388191:44388922:-1 gene:Ma08_g34330 transcript:Ma08_t34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLFLRDAIFALILLPLMALHHVAATDYTVGDDDGWNTGTNYLTWSEKYNFTVGDVLVFRYLAGQHDVYQVTEETYRSCNSSSGVLRRYASGADRVALEEATTYWFICNTSGHCLGGMKFGISVANSSSQGGVEAQTPAQGGAAPRGAPVWLLGLTMGLWGSLYY >Ma09_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29821908:29824077:1 gene:Ma09_g20680 transcript:Ma09_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPNPVDLSQEERAAYKPFSPLRRGEGGSSRSRGGTVHEKWYSDKVLFLFLVNIELLFSLLICFRMNKQTQFCSSFIFFCYLFDGKSRGKNLVSSFFLNV >Ma11_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21619022:21622327:1 gene:Ma11_g16010 transcript:Ma11_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFPHVPPGFRFHPTDEELVDYYLRKKVASRRIDLDIIKDVDLYKIEPWDLQEKCKIGTEEQNDWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYSKNNLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENAPPQEEGWVVCRVFKKRLAAVRRVSDHDTPCWYDDHASFITELDSAKQISPQPEMAYHQHHHLLPCKPELEVHYHLPHDSFLQLPQLESPKLPMYVSHATAFQSSIIAEEDLIQSSKQFQIVSTYDNTGNINQVVEQVTDWRVLDKFVASQLSHNDICREPNCSNSASDVQTSEKLDAASEYASTSNSSGQVELWK >Ma05_p27340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38678841:38679405:1 gene:Ma05_g27340 transcript:Ma05_t27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVVVGVVLFVLLSPGLVLEAPGTHRAVDFGSMRTNGKAVVLHTLVFFALYSVIIVALRLHIYTG >Ma09_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3665576:3667803:1 gene:Ma09_g05690 transcript:Ma09_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLFFSHHLRSLKPYYAPAAFPFASHLRLLSFATPEEAAAERRRRKRRLRIEPPLSSLRHQQHPPASSAPPPRSPRTAPNPNAPKLPEPISALAGSRLNLHNRILTLIRENDLDEAALLVRHSIYSNCRPTIFTANAVLYALLRQSRYADLLSLHRFITQANVAPTVITVNLLLQAYCDCRKTDIALEHFRLLLKDDAPFPPSPTTYRIVTKGLIDNSKLDQALEFKDDMLAKAVVPPDPVVYNHLMWGFVKKGDPDKVVSLYEELLEKLGGGKILDGIVYGNLMKGYFGKEMEKEAMETYHDVLGEGSKVRFGAVSYNQVLDALGRNGKLEEAITLFDRMLKEHDPPRTITVNLGTFNVMVDAYCLAGRFQDAVTVFGRMGEQKCTPDALSYNNLIEQLGSNKLVAEAEELYKEMGERGINPDEYTYVLLVEACFGVDRVDDATAYFQKMVELGLRPNATAYNKVIGGLANVVTLDEAKNFFDQMLETEVKPNVASYELLLKGFIDCGRLEDGLKTLKDLLLDDGVTLSQEMKELVEEALRKEGRDEEMGKVYEDVEREKAERLAREAEEKARAEALAREEEERKKREAAEKEAAAARASAAAIEAILGRKKDAAKEESPAGGGEEKNGSVESKPTDAPPEGEVLAEARNGGEGTEGAGDPSQQVSTT >Ma08_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36853652:36854101:-1 gene:Ma08_g23460 transcript:Ma08_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFCGFLSPAGRKEGGRGNETDGGCSGGGGTGTGTVATRRSQMEKPPFRIAKDDSKPLLRDPILRSDPIETEQAVLRLPPFPRGNI >Ma03_p27580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30906333:30915806:1 gene:Ma03_g27580 transcript:Ma03_t27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDSVRKVALEELKRLFPEVFNDLFQRLERKMEEKLEHVIPQILNRFENIMHQQANGVIAHNSQLPRSMPTEDVQPASDLQLAFTKKLLLPSFSEDAIKDEDHNHLQVSLEQFKHDQRCVFPLHSPIEVEIVVLDGGFPREKSQDWNTDEFNSSILEKRENKRSLLLGESKAPLSQGIASFGTLKLTDNSSRVRTGKFRLGVRVSPGSYKGPRIKEAITESFLVLDHRSKFNQKSHPPSLDHEVWRLVNIGRNGAFQRRLDVAGIKTVQDFLKLSVVDQQHLRKTIKMSDKKWEETIGHARTCRLGDDLYLYDKPPFTVKLNPICEVVEVRKNGVSIALTQLASEETVQHATEAYQNWNRLERVPGTPSMIGAQKQNSIKKCGLESDEPRCSNPLDGSQRELSAGNVTPPLGASVCVLEQLPSPQRAGAENQNWNPSDSDGGTMEAQQQSDHFGDLGRSAALWSPSSQLAWSPNMFCGTGESPGETIIGDIWQ >Ma11_p06860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5479774:5490063:-1 gene:Ma11_g06860 transcript:Ma11_t06860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MKIVTYNVNGLRQRVSQHGSLLRLLTSLDADIICFQETKLSRRDLSVDLTMAEGYEAFVSCTRTTNKGRSSYSGVATFCRVKSAFFSNEAALPLEAEEGFTGLLECPRKREIIRDFMLEAPFEEEDLEITDDDLLKVDSEGRCLITDHGHFVLFNIYGPRAENDDEERCRFKFIFFKILQRRWEFLLSQGKRVFVVGDLNIAPAAIDRCDASPDFEKNMFRKWLRSLFKECGGPFFDVFRSKNPGRKEAYTCFSPRVGAEEFNYGSRIDHILIAGPCLHQQHDTEDHYFLYCHVEACDIMSQFRRGNSGNAPKWRGGRKIKLEGSDHVPVYVILRDVPDLPTHSTPSIAVRYIPEVRGWQQSIVSFLVKGQVSHHRLQNNLSSDSNARETYDECEISSQDCSKIEQDIIANASQHSSDQCFSNLNSGQKPNPSLNEDSSLTFSQKKTESLKYLSSDCTRGVKKMTRNNTCSQLTLKYFFKQPKIVMTADEETLKHDLTFPKADPGEIREETSQSTEQSDLKNEYANHSCEISGTSIDIHDGKRVSEPCFPVKRENDNFAVQEWRRIQQKMKMTIPICKGHGEPCVSRSVKREGPNRGRLFYVCARAQGPASNPEANCGHFQWGSAKSKDKHK >Ma11_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5479774:5490075:-1 gene:Ma11_g06860 transcript:Ma11_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVILYFLIYTDHELKMMMRRDAALSSFSSRSYRWEFLLSQGKRVFVVGDLNIAPAAIDRCDASPDFEKNMFRKWLRSLFKECGGPFFDVFRSKNPGRKEAYTCFSPRVGAEEFNYGSRIDHILIAGPCLHQQHDTEDHYFLYCHVEACDIMSQFRRGNSGNAPKWRGGRKIKLEGSDHVPVYVILRDVPDLPTHSTPSIAVRYIPEVRGWQQSIVSFLVKGQVSHHRLQNNLSSDSNARETYDECEISSQDCSKIEQDIIANASQHSSDQCFSNLNSGQKPNPSLNEDSSLTFSQKKTESLKYLSSDCTRGVKKMTRNNTCSQLTLKYFFKQPKIVMTADEETLKHDLTFPKADPGEIREETSQSTEQSDLKNEYANHSCEISGTSIDIHDGKRVSEPCFPVKRENDNFAVQEWRRIQQKMKMTIPICKGHGEPCVSRSVKREGPNRGRLFYVCARAQGPASNPEANCGHFQWGSAKSKDKHK >Ma11_p06860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5479774:5489941:-1 gene:Ma11_g06860 transcript:Ma11_t06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MKLLYLALVLLTKGAQAILYLGVATFCRVKSAFFSNEAALPLEAEEGFTGLLECPRKREIIRDFMLEAPFEEEDLEITDDDLLKVDSEGRCLITDHGHFVLFNIYGPRAENDDEERCRFKFIFFKILQRRWEFLLSQGKRVFVVGDLNIAPAAIDRCDASPDFEKNMFRKWLRSLFKECGGPFFDVFRSKNPGRKEAYTCFSPRVGAEEFNYGSRIDHILIAGPCLHQQHDTEDHYFLYCHVEACDIMSQFRRGNSGNAPKWRGGRKIKLEGSDHVPVYVILRDVPDLPTHSTPSIAVRYIPEVRGWQQSIVSFLVKGQVSHHRLQNNLSSDSNARETYDECEISSQDCSKIEQDIIANASQHSSDQCFSNLNSGQKPNPSLNEDSSLTFSQKKTESLKYLSSDCTRGVKKMTRNNTCSQLTLKYFFKQPKIVMTADEETLKHDLTFPKADPGEIREETSQSTEQSDLKNEYANHSCEISGTSIDIHDGKRVSEPCFPVKRENDNFAVQEWRRIQQKMKMTIPICKGHGEPCVSRSVKREGPNRGRLFYVCARAQGPASNPEANCGHFQWGSAKSKDKHK >Ma04_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5274895:5277594:-1 gene:Ma04_g07270 transcript:Ma04_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVTRIAAWNSDQLPIYEPGLEDVVKQCRGRNLFFSTDVEEHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFNPDRVLIGGRETPEGRKAVQALKEVYANWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAFAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTIAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPLHLQPMSPTAVKEVSVTWDAYEATRGAHGVCILTEWDEFRKLDYAKIYENMQKPAFVFDGRNVVDPEKLREIGFMVYSIGKPLDPWLKDMPAVAA >Ma04_p07270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5274895:5277595:-1 gene:Ma04_g07270 transcript:Ma04_t07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVTRIAAWNSDQLPIYEPGLEDVVKQCRGRNLFFSTDVEEHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFNPDRVLIGGRETPEGRKAVQALKEVYANWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAFAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTIAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPLHLQPMSPTAVKEVSVTWDAYEATRGAHGVCILTEWDEFRKLDYAKIYENMQKPAFVFDGRNVVDPEKLREIGFMVYSIGKPLDPWLKDMPAVAA >Ma04_p07270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5274895:5277594:-1 gene:Ma04_g07270 transcript:Ma04_t07270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVTRIAAWNSDQLPIYEPGLEDVVKQCRGRNLFFSTDVEEHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFNPDRVLIGGRETPEGRKAVQALKEVYANWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAFAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTIAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPLHLQPMSPTAVKEVSVTWDAYEATRGAHGVCILTEWDEFRKLDYAKIYENMQKPAFVFDGRNVVDPEKLREIGFMVYSIGKPLDPWLKDMPAVAA >Ma08_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14010135:14027572:-1 gene:Ma08_g14640 transcript:Ma08_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MASTSSTFLHASLCSPQTLSSPSFPFLFSLSLPQSQSQSRTLLFRRPLPSTSPSLSPSSSSSLRWLRVSTVPVEQAPAPEFDFGEEIAHLDALRSGLLAAKSLAERLNVLDADSRVRNFFGPSAHPVLDRLEASEVFLLKCLVAGGQEHVLGAEMDWGGQLHQAERSALRTAFYALADMIEKWSLDGNEIGGNERSKRDIGDIEIDQLKMLLHTLEEVEEFYDCIGGIIGYQVMTLQLLSSSKVDGQSMNSYLHSYKARGSERVKLHVPRGLDLLENTEDASQATLWGIEGLPKLGEIYPLGGAGDRLGLVDPNTRECLPAALLPFCGRTLLEGLIRDLQAREFLYFKIYGKQCITPVAIMTSSVKNNHNHLIALCEKFRWFGRSKEKFRLFEQPLVPVLAAEDGRWLITRPLTPVCKPGGHGAIWKLANDKCIFQWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLHYDKKLGFASCQRNLGATEGVNVLVEKHNHDRTWSYGITCIEYTEFEKFGIMDRPTSFDSLQPQFPANTNILYVDLKSAEKVGSCRGTNCLPGMVLNLKKPVSYVDHLGIQHSAFGGRLECTMQNIADNFLNTCNTRCLGDIESVLDTFIVYNERKRVTSSAKRKRKQTSNSLHQTPDGALLDVLRNAYELLSSCDIEIPKIEDNSIYFNSVPPFLIFLHPALGPLWEITRQKFYGGSISEGSELQIEVAEFVWRNVQLSGSLIIVADNIMGSARMNQNNEPVLHYGQRCARCKLQNVKVLNRGIDWMSSENVYWKHDVQRLETMKIILHGNAEFEAVDVVLQGNHVFEVPDGYKMCIIPENSGFMIRLDPIREDEMETGSWFWKYEMKGTHINLEMVEL >Ma10_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27517058:27517423:1 gene:Ma10_g15430 transcript:Ma10_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFTSHHSLPLPSRWHRRPPPPSSPFLPSSTPEATQAHVPASVPACPPPRQYSSSFGRPWSPCATSVAPSVASFTVTPTQPAYASPATRSSTPPTPSPAATSAPSSAISASPGPPPFDA >Ma03_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25931500:25931700:1 gene:Ma03_g20860 transcript:Ma03_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFQVFYKHKLNISTYQMCILMLFDSADGLTYREIEQATQIPASDLKHCLQSLACVKGENVLSIV >Ma02_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26284974:26285099:-1 gene:Ma02_g20240 transcript:Ma02_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVKLAWIANDSMRRATFKKRKMGLMKKVNKLAMLCIK >Ma07_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7861609:7889947:1 gene:Ma07_g10550 transcript:Ma07_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MLRPHHYAVQIIKMQSFRNLLQQKKILLFSRSVFGSRCLSSNVAQRSKLSYYSSSFGPRDRGSEEGSAGWSETSSASASATPWASYKPFTEQLESRGEEEPVEWQEKRASGRGSGSGGDLDKGEDEHEVTTFFDPLEGKMMTIPVVEERDAAVRRQAEKSTCIENSDQKKISGRPQRLGECQSKGFQKNEVSLGTTSIMKRTAGKVKVSWVCENCGGTFGQWWGTCPTCQTVASVKKFIEAEVSRARGAEVSEAAVRSKSWLSPKSGTMVPLSLADVNMGRNQSEWRIPLAGHFGMEVSRVLGGGIVPGSLLLIGGDPGVGKSTLLLQISAILAQGFNFKGPARIVYVSGEESIEQIGNRADRMGIATKDLFLYSSTDVEDILDKVQNPPPQALIVDSIQTVYLRGVTGSAGNIMQVKECTSAFLRFAKQTNIPVLLIGHVTKTGDIAGPRILEHIVDAVLYMEGERYSSYRLLRSVKNRFGSTDELGVFEMSESGLAAVSNPSEIFLSDNFPDSDVLAGLAIAVVVDGSRTFLIEIQALCVSDPSSASRYANGIKASRADMIIAVLMKQAGLKLQGNAIFLNVVSGFNLTETAGDLAIAAAICSSFLEFPIPNDIAFIGEVGLGGELRAVPRMDKRVIAVAKLGFKRCVIPKAAERTLTSLNLEITILGCKNLKEVINTVFQPA >Ma10_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25112856:25114200:1 gene:Ma10_g11680 transcript:Ma10_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYMNQYDKEYLKMAIMKQEATFRQQVHELHHLYHVQQLLMREMNNTNKTDAHNRTPDLKLPAGEFSGKKDRNVSTTVEAYRESDLELTLATGSSARGKRKETSSTSDSGSSFSSSSTQSGGTKRSSNGSGQCQVRDMRFQHGIEERMREDGIKQPPWFSRCLSLNMA >Ma01_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7814041:7816534:1 gene:Ma01_g10860 transcript:Ma01_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAHPVIRPAGIRKSTYCSSLYQHCETTRRTVHIVNLDPAAEHFDYPAAVDIRELICLDDLMEKLGLGQNGGLIYCMKLMILAWSTF >Ma01_p10860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7814041:7816537:1 gene:Ma01_g10860 transcript:Ma01_t10860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAHPVIRPAGIRKSTYCSSLYQHCETTRRTVHIVNLDPAAEHFDYPAAVDIRELICLDDLMEKLGLGQNGGLIYCMKLMILAWSTF >Ma11_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25333914:25338933:1 gene:Ma11_g21040 transcript:Ma11_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTRRHARPESNNAARLKLYRAAEAEIGSRVFPLPAPPPAPMPSVGARRSTRVYVAKASSASAAANACGDGDSRVLRSGKRSASWKNRDEWLGAFGGDATADLRWWQGEEGEKRDLGGPTVRNDAEACAGVVVTESPEFDVPNDNLDSPQGKKFGIVYRRKRQRQLQSSAVVSSLSAGDGTAEIDRRFGLFFSRKSCRKRLKVAPLYEGIKCEPANVDMDPVETSMKRRVSKRELRAAVSALNFAKKIGIMEDSLCTCIGGPVVLLLLVELSCLGSSLFFSRLLIAILRWTRRATVSVREFAFFLLSGSLASVFSQQGVHVLPIRWHRDNNLFANALPSFGLCKIYGARLSVPLVWLDFSALPFYFRSLHISMLVGSLYFPCVLKRHSMYSHPDPSAMVDTGQTFSHTLKEAGCLGTKLSGTLVFEKHNSKNVAYKSITRNTTIFCGSRLSMLRRKRSSSRFFRSRNPSLMSSHPEAPLSLHSSQSGSSSSSEAEAGFLPVPMIRPLFIEVPDACAEDSFSCKDESDVSTPIGFHRKQRKSAKKSPVEQNRELKSALAEVKQNIDSVHCNANVLVTDADRCWREEGFEVMLLLASKEWCIAVKSQGEVRYLHRPLDMRPCFVNRFTHAYMWAGDGRWKLEFLDRWDWLVFKELHMECHERNMQMSSRMIPVPVFKEVPGYEDDAGATFGCPDEYIRMMDDEVQRALSSKIAMYDMDSGDEQWLIKYNSSILHIETSEFVDITKDSFEKIIYAMEKDAYSNVDDVFDKEKALDLYQHFGKRETLNAIYDYWIRKRNKRHAALVREFQGPPLRRVQLAHKPFLRKKRSTKRQRVQTPRAKPEVISQAGTNVEDLQRVQEAENAANRAVEFAIHLRNRAQILMANAELAVYKSVMALRIAESMGASDAPDLASFILD >Ma11_p21040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25333914:25338933:1 gene:Ma11_g21040 transcript:Ma11_t21040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTRRHARPESNNAARLKLYRAAEAEIGSRVFPLPAPPPAPMPSVGARRSTRVYVAKASSASAAANACGDGDSRVLRSGKRSASWKNRDEWLGAFGGDATADLRWWQGEEGEKRDLGGPTVRNDAEACAGVVVTESPEFDVPNDNLDSPQGKKFGIVYRRKRQRQLQSSAVVSSLSAGDGTAEIDRRFGLFFSRKSCRKRLKVAPLYEGIKCEPANVDMDPVETSMKRRVSKRELRAAVSALNFAKKIGIMEDSLCTCIGGPVVLLLLVELSCLGSSLFFSRLLIAILRWTRRATVSVREFAFFLLSGSLASVFSQQGVHVLPIRWHRDNNLFANALPSFGLCKIYGARLSVPLVWLDFSALPFYFRSLHISMLVGSLYFPCVLKRHSMYSHPDPSAMVDTGQTFSHTLKEAGCLGTKLSGTLVFEKHNSKNVAYKSITRNTTIFCGSRLSMLRRKRSSSRFFRSRNPSLMSSHPEAPLSLHSSQSGSSSSSEAEAGFLPVPMIRPLFIEVPDACAEDSFSCKDESDVSTPIGFHRKQRKSAKKSPVEQNRELKSALAEVKQNIDSVHCNANVLVTDADRCWREEGFEVMLLLASKEWCIAVKSQGEVRYLHRPLDMRPCFVNRFTHAYMWAGDGRWKLEFLDRWDWLVFKELHMECHERNMQMSSRMIPVPVFKEVPGYEDDAGATFGCPDEYIRMMDDEVQRALSSKIAMYDMDSGDEQWLIKYNSSILHIETSEFVDITKDSFEKIIYAMEKDAYSNVDDVFDKEKALDLYQHFGKRETLNAIYDYWIRKRNKRHAALVREFQVSGPPLRRVQLAHKPFLRKKRSTKRQRVQTPRAKPEVISQAGTNVEDLQRVQEAENAANRAVEFAIHLRNRAQILMANAELAVYKSVMALRIAESMGASDAPDLASFILD >Ma07_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29611828:29619961:-1 gene:Ma07_g21540 transcript:Ma07_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MEEGGIVEEASSARTVRWVDGSEVDSESPPWSIEEEALVLGPELQATLRRRLVKKARSVDSLDVEAMDIADAHKRREKDISIWSTVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVDVLGALSLVMYTIALIPFAKYIFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRADEDISSFRLKLPTPELERALYIKELLEKNSFSKRLLLLLVLMGTSMIIGDGILTPSMSVMSAVSGLQGRISGFDTDAVVIFSIVILVVLFSIQRFGTGKVGFLFAPILALWFFSLGSIGIYNILKYDISVLRAFNPAYIYFFFKRNSTKAWSALGGCVLCITGAEAMFADLGHFSVKSIQIAFTSTVFPCLLLAYMGQAAYLMKHPFSVEGIFYDSVPDILFWPVFVIATLAAMIASQAMISATFSCIKQSMALGCFPRIKIIHTSRKFMGQIYIPVINWFLMIMCIIVVATFRNTTDIANAYGIAEVLVMMVSTSLVTLVMLLIWQTNLFIALCFPAVFGTVEFIYLCAVLSKIMEGGWLPLAFATCFLCVMYTWNYGSVLKYQSEIREKISMDFMVELGSTLGSVRVPGIGLVYNELVQGIPSIFGQFLLTLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCQKDYHMFRCVARYGYKDIRKEDHHNFEQLLVESLEKFLRREAQELALETSPIDIEHDHESVRSQDSAAPSGVDELQIPLLSDTGIRRNRATSEAGVSLLPSSSITSDEDPSLEYELSALREAMDSGFTYLLAHGDVRARKESWFWKKLVINYFYAFLRRNCRAGAANLSVPHMNIIQVGMTYMV >Ma06_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9135073:9136576:-1 gene:Ma06_g13330 transcript:Ma06_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTGGGVGVGDRGGTPDRGSSEADGEEEQQKQQRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIGSLLEGYGNRVEIVQLDEATGEIRSDPALSFEHPYPPTKAMFVPDRDCLRPDLLATSADFLRIWRVADDSSRVELRAFLNGNRNSEFCGPLTSFDWNEAEPRRIGTSSIDTTCTVWDIDREAVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESADPPGTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELHRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGSDQASGHQPVASAATAEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Ma11_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3140822:3151051:-1 gene:Ma11_g04050 transcript:Ma11_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPETAGEGKTLSDAWDFKGRPAIKSRTAGWACAAAILGVEMGERLTTLGIAVNLVTYPTGTMHLGGADSANIITNFLGTSFILCLFGGFIADTFLGRYLTIAVFTAVQACGVIILTISTVAPGLRPPACAGPSSGGCVPANGLQMGMLYLGLFTTALGTGGLKSSVSGFGSDQFDESDRIEKKQMMKFFRYFFFFINVGSILAVTVMVYIQDHFGRRWGYGICAVAIIAGLALFLSKTNRYRFKKLVGSPLTQFAAVVACAWRKRRLALPEDPSILYDIDTGVARKGKSKKEKVPHSQQFRFLDRAAIIEGDAPTEQSKWRLSTLTDVEEVKQVIRMLPTWATTIMFWTVYAQMTTFSVSQATTMDRHIGPSFEIPAGSLTVFFVGSILVTVPFYDRIVVPVTRRITGNPQGLSSLQRIAVGLVFSILGMCAASLTERKRLSTALANPTAAVVPLVVFWLIPQFFLVGAGVAFMYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFLSTALVTIVHKVTGSGGKGAWLTDDLNKGKLYNFYWLLVALSTLNLVAFVAASRGYVYKETRTSVDDAQGVELEQQA >Ma07_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11217449:11223094:1 gene:Ma07_g14950 transcript:Ma07_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNVSAVYIHVVDDVISKVREEFVNYGAGESVLNELQALWEMKMMQCGALSDVERSSLRKNAAPITPVHDLNVPYEGPAEEYETPTAEMLFPPTPLQTPIQTPLPGTADTAMYNIPTGASDYAPSPISDIRNSIDLKAGRPSPYTQPPSPWMSQRPLGVDVNVAYVEGREEAGDSSHQSTQDFFVNSTGKRKRDDYASRLNSGGYVPQQDGSGDVTVELSLPQNAVAQGQKSSIRDGQGTANFKFYFNKDAKPTPVLPQHDGIHDDYDDIFQFQGVASEDYNTPGDHVELRAATPSVGTPKPGKNEAAEDDEPSLNEDDDDDELDDLDQEEDEPNTQHLVLALFDKVTRTKSRWKCTLKDGIMHLNNRDILFNKATGEFDF >Ma10_p25300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33522587:33523276:-1 gene:Ma10_g25300 transcript:Ma10_t25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYFHDFADLIVPLFATARQFHGEVQFLIADYKIWWLFKYRHIVEKLTRYEIIDLDKNDRVLCYPLVFVGLHSHDDLKVDPARTPNGYSTADYTEFIRMAYSLVRESGIRMGEQAGKKPRLLLIARKGTRKFTNVKEIVRMAEELSYEVVVADAKFEANVSEYAATVNSCDVMLGVHGSGLTNFFFLPTNAVVIQVVPLGNLEGMAKHDYGDPPMAAKLHYLQYTISQ >Ma07_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21932099:21962818:-1 gene:Ma07_g17790 transcript:Ma07_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSDSDSSSHGGDQKTFRQISRDRLLYEMLRSTKVKDSKSTWKVLIMDKITVKVMSYSCKMADITEEGVSLVEDLYKRRQPLPSMDAIYFIQPTKENVVRFLADMSGRSPLYRKAFVFFSSPVNKELVTLIKKDASVLPRIGALSEMNLEYFAIDSQGFVTDHERALEELFGENAEGSHKYNACLNTMAIRVATVLASLREFPNVRYRAAKSSLDVSMLTTLRDLVPTKLAAAVWNYLAKYKATIPDFPQRETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLNMDGNKYTHEVPSKTGSTTEKKEVLLEDHDPIWLELRDAHIADASERLHEKMTNFITKNKAAQIHHSSRDGGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRVIREQGLREVGQLEQDLVFGDAGTKELINFLRTKQDVSRENKLRLMMLYASIYPEKFEGEKGSKLMELAQLSSDDMIAVNNMRYLGGSDTKKASGSGFSLKFDISKKKHAVRKERNSEETWQLSRFYPLIEELLEKLSNGNLPKDEYPCMNDPSPTFHGTSQNTSVRTTHAQPAQAHSVRSRPTATWARPRNSDDGYSSDSILRHSSSDLRKMGQRIFIFIIGGATRSELRVVHKLTSKLNREIILGSSSLDDPPQFITKLKLMSAQEISLDDLQI >Ma03_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25247836:25253123:-1 gene:Ma03_g20030 transcript:Ma03_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVIIDRLQETPCKRKRKTPSPSGFEISKRARIGATLQLLPQGDCIELHRKRHGYRLDHFERKRKKGAREVHELSAFAQKVLGVKAKLFAKKRYAEKATMKKTLAVHAESSSRRKVDDKVDEGAIPAYLLDRDTTTRMKVLSNTIKQKRKEKAGKWEVPLPKVRPVAEEEMFKVIQSGKSKSKFGRWKRMVTKATFVGPGFTRKPPKYERFLQPTGLRFTKANVTHPELKCTFNLDIIGIKKNPNGPMYTALGVITRGTIIEVNVSELGLVTPGGKVVWGKYAQVTNEPENDGCINAVLLV >Ma03_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1447271:1449818:-1 gene:Ma03_g02120 transcript:Ma03_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRDQRHCARALLPLLAWLCGIAAALSLRYGYYGSNHLALGPNSSRMMMTSSLFVEQLQVKDEAGQGLLLYGFNDRPQLSSETNWTISSDLFVDTYSRQGFSMWLNRGSRIWMAWQVGYGGESYADMLVVLIKGEQNLEELERYSHDDMGNSRDGSNREFTVAEDGMYYLGVINLSLRSISMNMNIKIASKMYDTSKATSICSTSNGECKLKLLFPNAQYYVLATSDSEVWHIQLSFVARLTSYLLVTGFLVVIVSVILKHLGACCVEQTRREQGVEAAAAEAEAETEPIAPRKEMACGYGATEEEAESSVCCTADDLYDGKICVICYDERRSCFFTPCGHSVACCSCAQRVIEEQNKVCPICRRLIHKWRRLPGL >Ma03_p02120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1447271:1449818:-1 gene:Ma03_g02120 transcript:Ma03_t02120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRDQRHCARALLPLLAWLCGIAAALSLRYGYYGSNHLALGPNSSRMMMTSSLFVEQLQVKDEAGQGLLLYGFNDRPQLSSETNWTISSDLFVDTYSRQGFSMWLNRGSRIWMAWQVGYGGESYADMLVVLIKGEQNLEELERYSHDDMGNSRDGSNREFTVAEDGMYYLGVINLSLRSISMNMNIKIASKMYDTSKATSICSTSNGECKLKLLFPNAQYYVLATSDSEVPLKVWHIQLSFVARLTSYLLVTGFLVVIVSVILKHLGACCVEQTRREQGVEAAAAEAEAETEPIAPRKEMACGYGATEEEAESSVCCTADDLYDGKICVICYDERRSCFFTPCGHSVACCSCAQRVIEEQNKVCPICRRLIHKWRRLPGL >Ma03_p02120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1447271:1449818:-1 gene:Ma03_g02120 transcript:Ma03_t02120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRDQRHCARALLPLLAWLCAALSLRYGYYGSNHLALGPNSSRMMMTSSLFVEQLQVKDEAGQGLLLYGFNDRPQLSSETNWTISSDLFVDTYSRQGFSMWLNRGSRIWMAWQVGYGGESYADMLVVLIKGEQNLEELERYSHDDMGNSRDGSNREFTVAEDGMYYLGVINLSLRSISMNMNIKIASKMYDTSKATSICSTSNGECKLKLLFPNAQYYVLATSDSEVPLKVWHIQLSFVARLTSYLLVTGFLVVIVSVILKHLGACCVEQTRREQGVEAAAAEAEAETEPIAPRKEMACGYGATEEEAESSVCCTADDLYDGKICVICYDERRSCFFTPCGHSVACCSCAQRVIEEQNKVCPICRRLIHKWRRLPGL >Ma05_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21042672:21044837:1 gene:Ma05_g17650 transcript:Ma05_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHISLLAALVVLGSHLPLPTSASSSPCAFPAIFNFGDSNSDTGGLSAAFGAVPPPNGETFFGAPVGRYCDGRLIIDFIAQDLGLPFLNAYLDSVGTNFSHGANFATAGSTIRRQNTTLFQTGYSPFSLDVQSWQFTQFKSRSQRASKKGAVFKHLLPPLEYFSRALYTLDVGQNDLTAGYVSNMTTEEVKATIPDILTKFTDVIKAVYGLGGRFFWIHNTGPFGCLPYVLDRYPLRAPEVDHVGCGAPFNEVARLFNLKLKETVMQLRKRFPRAVFTYVDVYTVKYTLISQARTHGFEHPLVACCGHGGKYNYDIHWGCGATAVVNHTKVLISKSCEDPSKRICWDGYHYTEAANRWVYDQIVKGAFSDPPIPVTMACRKQSN >Ma08_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4712491:4719140:1 gene:Ma08_g06910 transcript:Ma08_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVESNSEDSSAKNSRKDPGWKYNYLKDPKDPNVVTCIFCEKTTGGGIFHAKQHQVGNLKNVSACKMCPPDVKEELLAYMNDKKIQRNESYEISPEDDIQYLTDDDEGVDHSENVNASGKRISHKKGKEVMISKKTKKGPMDLYMFQESKKVIRGQKGAKLIQTGINDDCDEEIRARTIQHIARFFYQAGIPINACHLDSFKEMIEAIGRYGPKLRPPSYHELRCPLLKKELEYTNDLLKGHKNTWVKHGCSIMSDAWTDMRQKSIINFMVNCSLGTMFVKSIYASSFIRSGEKTFELLDKFVEEIGEQNVIQVITDNGSNYVLAGELLQAKREHLYWTPCAAHCIDLMLEDIGKISYIKMTLERAIFLVGFLYSHTGTLNMMRGFTSKKELVRERN >Ma02_p22970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28176383:28183125:1 gene:Ma02_g22970 transcript:Ma02_t22970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLCDELVQEILQRLPPSSAPSVSLVSKRWLSLLRSSTTSLSLRIPPNIVTATDATAGTGNSPSLSSILAYYPFLTNLTVISAREDADALLLSIAAAACSPRLAILRFLPASPLSPAALHSSAAAFASLTSLRIASLLPLSFCWLSSLPSLRSFSLVRSRPKRLPGCRREPPWQEAEEPPPPPAEEDEDDDFDQSTPVTLPLESLSLSGICAADRGLSWLWRRCAGLRWLQLRACEGTGDGPSSPAFPLCLPGLLGLELRTCRAIADRVLLHAAERCRALTSFLLYDGGSRDALRHFIHRRGAALRTLDLRLPLDLHNDHLFAIAADDHRGGTGAPSGSGHQLAALRLHSCCLVTGDGLRSLASSPAGAAIEELALVNCDVVEREPGLLTFLSQSMQRLRRLDLSHTETLTDKEVGSMLASCRNLVEIRLRGCGALTGASLISLLKHCGQQLEVVDITRCPGIVSDAIELLVMNACRLSQLMVEENKVTEAAMIWLSRKAIKIG >Ma02_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28176383:28178866:1 gene:Ma02_g22970 transcript:Ma02_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLCDELVQEILQRLPPSSAPSVSLVSKRWLSLLRSSTTSLSLRIPPNIVTATDATAGTGNSPSLSSILAYYPFLTNLTVISAREDADALLLSIAAAACSPRLAILRFLPASPLSPAALHSSAAAFASLTSLRIASLLPLSFCWLSSLPSLRSFSLVRSRPKRLPGCRREPPWQEAEEPPPPPAEEDEDDDFDQSTPVTLPLESLSLSGICAADRGLSWLWRRCAGLRWLQLRACEGTGDGPSSPAFPLCLPGLLGLELRTCRAIADRVLLHAAERCRALTSFLLYDGGSRDALRHFIHRRGAALRTLDLRLPLDLHNDHLFAIAADDHRGGTGAPSGSGHQLAALRLHSCCLVTGDGLRSLASSPAGAAIEELALVNCDVVEREPGLLTFLSQSMQRLRRLDLSHTETLTDKEVGSMLASCRNLVEIRLRGCGALTGASLISLLKHCGQQLEVVDITRCPGIVSDAIELLVMNACRLSQLMVEENKVTEAAMIWLSRKAIKIG >Ma11_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8986828:8991836:1 gene:Ma11_g09710 transcript:Ma11_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLAREPLLPKEKLSHGSGKTTSFLPSLARLGLKSLMWAIFLVWVAAIFFFPTELVKSLFSRWTKLTEQTVFGITGSFFLGFSGPILVIALLAFVYIIAFPRDDFKKKSARLPRFRLWTFPVIVDGPFGVVSAAEFIGIVLFSAYVLWTIIAYTMENRSLISKLLFPSNIKLYLFLELTGLRLGLIGLFCLAFLFLPVARGSILLRLIDIPFEHATRYHVWLGHLTMAMFTLHGLFYVISWALQGRLLHEILEWKDIGIANLPGVISLAAGLLMWVTSLHPVRKNYFELFFYTHQLYVIFVVFLALHVGDFVFSIVAGAIFLFVLDRFLRFCQSRTTVNILSAACHPCGTVELVLSKPANLRYNALSFVFLQVRELSWLQWHPFSVSSSPMDGKHHLSILIKVLGEWTGRLRDIISKVPEQPQISIPSQPKITASVEGPYGHEVPYHLMYENLILVAGGIGISPFFAIISDICRRINEGKPCLPKNVLIIWAVKKSKELSLLSVLNDQAISSSCFNSLQLDILACVTQESEAPLEEGIINADENSKSSSLLTTSGSRMSCLVGTGNNIWSGIYFAVSTFGFVLLYGLVEAYYIVPSAISAWWYRGLLFTICMVASVVILGGSVVFFWHLWEKHSSSARSTEDDEKERTLRNETTTANFASMLTTQYGRRPDFHDLFGSFAKTKGSVDVGVIVCGPPGLQTSVAKECRSQNIRGSWNQPVFHFNSHSFDL >Ma07_p26750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33405490:33405785:1 gene:Ma07_g26750 transcript:Ma07_t26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWENRFHKSESEDETSYRRIPFELWNVGKFATRADAAIAGIMPPPLLELQLLLLLGGQVSSTMV >Ma01_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10936447:10939142:1 gene:Ma01_g15060 transcript:Ma01_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFFLPSLFSQIPTISSSKPQPGALALSSLSLCSSKPCSPFKSVSFPSNNSFPVLRKAPALDSAMVLKVALSSNVEQEEEAEYSPELKLFVGNLPFSVDSAQLAGLFQRAGNVEMVEVIYDKLTGKSRGFGFVTMSTINEVEAAIKQFNGYTLEGRSLRVNSGPPPRREEFPSKGFRTVSNLEAANKVYVGNLSWGVDNLALETLFSEQGKVLEAKVVYDRESGRSRGFGFVTYSSAEEVDNAIASLNGTDLNGRSIRVTVAEPRARRDF >Ma04_p28100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29231381:29233725:1 gene:Ma04_g28100 transcript:Ma04_t28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGPATRSAAAFKPRVSLTSDAGLRHRHHPVLSRLPTSPSLPHLLQAHARLLVLGLAAHRAALAHLLALCAALSPPAPPRYFRLLYAAIARPNVFASNNLLRCLARSDCDAARDALPFYAHMRRAGIPTNNYTFPFVLQACSRDPVFVEGTQVHNHAVKCGLEEDLYVRNAFISFYSSCGELNHARRVFDEFPGQRDLVSWNAILAGYARAGGTDVAQELFDRMPERDPISWSTMIMGYVQSGALEKGLELFRELVGKGLTVNEATLVIVLSASAQLGLLEVGQFIHSTIRSMDFPFTLALGTALVDMYAKCGCIELSRHTFDEMKQRNVFAWNAMICGLATHGLGKETLELFQRFLGEGIRPTGVTFVGVLNACSRAGLVAEGRRCFKLMIEEYGIEPEMEHYGCIVDLLGRAGLVHEAYELIQGMSMTPDPVLWGILLGACKVHGLVDLGVSIGNKLIELEPEHDGHYVLLAGVYAKANRWEDVAKVRRLMAHRGTNKVAGWSLMEVHGTVHKFVAGDKEHKDSVEIHKTLEMVIMRLTEAGYSPDVSAVLHDIGDEEKVHVMKEHSERLAIAFGLMVVERGRPIRIVKNLRVCGDCHEFSKMVTKVFGREIVVRDGSRFHHLKEGKCSCFDYW >Ma03_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8850774:8855050:1 gene:Ma03_g11390 transcript:Ma03_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMAAAEEPQQMEATSFAAAAAGEAETALLVADADPETAFLQQKDGGVATGRGFEWEVYKENVRPLKRGRDVKLLNHALRSQVDRSLNASLLRTRRRMIEAIDEYQGEDPLQPWLRCIKWVQESFPTGGECSGLIVMYEQCVRTFWHDDRYRDDLRYLRVWLEYADNCEDAEVIFQFLEANQIGQSHSIFYTSYAMHLESKNKLRKADEILNLGLARKARSLVKLEDAYRTFLVRSTQKKHGNDEGLADHPLPIRSFGTVLTSAESRRQPAENFGQSKRMVALQRIDTNKPLTIYKDANTGANDQCSNLKANERSWSTLGSRSDRSKENVSIPKKWSSYKVPQKIGQRTGSATSSTCIEVYVDEECAESPPAKVAKNLNSSVLKLRQATSQNLKNETEMLKVNPLRNFHLHSLR >Ma09_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29049269:29051840:1 gene:Ma09_g20330 transcript:Ma09_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVRGYDGGLASPGSVSKAEAAAAEEEEGLVADDVGGGAPGKAGEREEEVLSGDGEEEGEEELDLGLTLGAAKRGKSEPSAARWGPCCRILTAKDFPSLSSLGSPRSPSASSVSSSSGTNLGGGIGTGVAGTKRAAESVSHDVGGSSHPPSQVVVGWPPIRQFRMNNLFNHSKDSTLETDSAVAIKRSNIAGGADGGSKADNGNKDHESRGKVRSSFFVKVKMDGDPIGRKVDLSAHDSYEALAVALELMFRLPTISSAIEASVHGAKISKLLDGSLEFALTYEDKDGDWMLVGDVPWGMFLEAVKRLRIMRTLDANGLGRSIHFGKHQEHYPSTRSVA >Ma02_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25619603:25623154:1 gene:Ma02_g19450 transcript:Ma02_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSLIYSFVARGTAILAEYTEFTGNFTTIASQCLQKLPATNNKFTYNCDGHTFNYLVDDGFTYGVVAAESFGRQVPIAFLERVKEDFSKRYGGGKAATAAANSLTREFGSKLKEHMQYCVDHPEEISKLAKVQAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHSQAQDFRQQGTKMRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKC >Ma04_p16620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16174235:16181894:-1 gene:Ma04_g16620 transcript:Ma04_t16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MAAACCSSSFTRPFSVACSSSSPAEKETSKLRDDWRKRSKPIRPGGVYPAKDHCSRCGLCDTYYIAHVKDACAFLGDGMSRIEVLEPTVHGRARTVEFDEIYFGVHEQLLYAKKIKPVEGAQWTGIVTTIAVEMLKANMVDAVICVQSDPDDRLTPRPILARTPDEVYAAKGVKPTLSPNLDTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLSLDKLYVLGTNCVDNGTREGLEKFLNAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYAGISMTEHPQYITVRNERGREMLKLVEKLLEITPTTSSGNRKPFVMETVKADDKAKSGKGPEPAPKFIGNFITFMLNLIGPKGLEFGRYSLDYHTIRNYLYVHRQWGKQRAERHIPSYAKKIVESYNDDGQIYEMLSQD >Ma04_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16159036:16181894:-1 gene:Ma04_g16620 transcript:Ma04_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MAAACCSSSFTRPFSVACSSSSPAEKETSKLRDDWRKRSKPIRPGGVYPAKDHCSRCGLCDTYYIAHVKDACAFLGDGMSRIEVLEPTVHGRARTVEFDEIYFGVHEQLLYAKKIKPVEGAQWTGIVTTIAVEMLKANMVDAVICVQSDPDDRLTPRPILARTPDEVYAAKGVKPTLSPNLDTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLSLDKLYVLGTNCVDNGTREGLEKFLNAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYAGISMTEHPQYITVRNERGREMLKLVEKLLEITPTTSSGNRKPFVMETVKADDKAKSGKGPEPAPKFIGNFITFMLNLIGPKGLEFGRYSLDYHTIRNYLYVHRQWGKQRAERHIPSYAKKIVESYNDDGQIYEMLSQD >Ma05_p30620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40952552:40957586:-1 gene:Ma05_g30620 transcript:Ma05_t30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYRCCICFTRKFRWSEAKPTEDVREAFATYAEGGAHMTADQLRQFLEEAQGEAGATAADAERVMERVRQLRRPSHLAKLSKPPFTLDDFHHYLFSEELNPPLQPQVHHDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIRALQRGVRVIELDIWPNSARDNINVLHGRTLTSPVELIRCLKSIKEHAFSASPYPVIITLEDHLTPDLQAKVAKMVMETFGDMLYYPDSESHKEFPSPEALKKRIIISTKPPKEYLEAKSFKEKDCDTQKENDSNEEEAWGKEVSDIQTEFELANKDEEVQDEELADDDDDQKERQNAPPEYKCLITIRAGKPKGHMSEALNVDPEKVRRLSLSEQELVKAAATHGADLLRFTQKNLLRIYPKGTRFNSSNYNPFIGWIHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYIKKPDFLVKAGPNNEVFDPKAVLPVKKTLKVKIYMGDGWRMDFSQTHFDAYSPPDFYTRVGIAGVPADTVMKKTKTIEDNWTPVWDEEFTFTLTVPELALLRIEVHEYDMSEKDDFGGQTCIPVSELRPGIRAVPLFDHKGNKFNSVKLLMRFVFV >Ma11_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5831740:5833228:1 gene:Ma11_g07350 transcript:Ma11_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVSAGAAGETEEEVRNEEELGLGLTLGRLGRGSRCRRRGAVLAHLDRQELPLAGVARLSDVPNRLLGLLVLRHQPGFAGTKRAAESVSPDSPPPPNSQVAVGWPPIRAFRMNRLFNHSKDSTSNSLKKTNLIIGNNDQENKGKETRNSLFVKLKWMVILYVGRWISLPIILINLLKLHLSSCFRSLLWALPFGLYLWSKGFKVVRWFF >Ma08_p25980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38640187:38641539:1 gene:Ma08_g25980 transcript:Ma08_t25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLGNGKGRRVHPVPPPGAALPAAVMALAAVLTAEDQEVLAYLLSGGGGRWREQRRRRAHPPELECGCFGCYKSFWARWDASPNRHVIHRIIDAVEESLEARECDRGGGGRRRRRSGRGGNKGAILPPNATAVAEAEEAADSLRVEGKGSPALDAEHFPHDDGDDYDDDDDGDEDDEHDDEEEQEMNESNNNNKSKNSVRRFMSFIGETVWGVWN >Ma08_p28730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40528942:40533270:1 gene:Ma08_g28730 transcript:Ma08_t28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTGTTKDDSPSSGNDLSANGLTWKDTLISLRQQASVYGVAAGYCISASLLSIINKWAVMKFPYPGALTALQYFTSAFGVLLCGWLKLVDHDRLELVTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAVGETVFLNQPWPSPKTWISLATIFGGSVLYVLTDYQFTVTAYAWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELKKMKHDISDESNWYSFSVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVVWDKHSTLIGTIGLLICMFGGVMYQQSTTKPKKAETATKAQSRDEEQQHLLEMQASAVSDSTTQHVGSVDPK >Ma08_p28730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40530303:40533270:1 gene:Ma08_g28730 transcript:Ma08_t28730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTGTTKDDSPSSGNDLSANGLTWKDTLISLRQQASVYGVAAGYCISASLLSIINKWAVMKFPYPGALTALQYFTSAFGVLLCGWLKLVDHDRLELVTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAVGETVFLNQPWPSPKTWISLATIFGGSVLYVLTDYQFTVTAYAWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELKKMKHDISDESNWYSFSVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVVWDKHSTLIGTIGLLICMFGGVMYQQSTTKPKKAETATKAQSRDEEQQHLLEMQASAVSDSTTQHVGSVDPK >Ma08_p28730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40528942:40533270:1 gene:Ma08_g28730 transcript:Ma08_t28730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTGTTKDDSPSSGNDLSANGLTWKDTLISLRQQASVYGVAAGYCISASLLSIINKWAVMKFPYPGALTALQYFTSAFGVLLCGWLKLVDHDRLELVTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAVGETVFLNQPWPSPKTWISLATIFGGSVLYVLTDYQFTVTAYAWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELKKMKHDISDESNWYSFSVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVVWDKHSTLIGTIGLLICMFGGVMYQQSTTKPKKAETATKAQSRDEEQQHLLEMQASAVSDSTTQHVGSVDPK >Ma02_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13769189:13781945:-1 gene:Ma02_g02530 transcript:Ma02_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKSPAPGGGGGGGSGRGLPPHLPRYSPFGSPSSSFSFMGDLSASSSSQPSSNSGHFAYETPSDARRFSYDVSRMPDFPQRNAGHRRAHSEILGLPDDICFDSDLGVVGSHGGPSLSDEAEEDLVSMYIDVEKFSSGATSAALSIGEVSQSALPPVQAPLQGENVASSSSEKPRIRHQHSQSLDGSTAIKSEFLLSGGEGPLSAEAKKAMSAAKLAELALVDPKRTKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLTMLQRDTNGLTAENNELKLRLQTMEQQVHLQDALNEALREEVQHLKLATGQMLPNGGQSTNTIPPSLGANHQFYHQNQAMHSLLAAHQFQQLQIHSQHPQQLQPSHQIQPPSSLQHLHTLQPQQQPPADLRMKGSSTSQNQRGEATSDNNSAQE >Ma02_p02530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13769189:13781945:-1 gene:Ma02_g02530 transcript:Ma02_t02530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKSPAPGGGGGGGSGRGLPPHLPRYSPFGSPSSSFSFMGDLSASSSSQPSSNSGHFAYETPSDARRFSYDVSRMPDFPQRNAGHRRAHSEILGLPDDICFDSDLGVVGSHGGPSLSDEAEEDLVSMYIDVEKFSSGATSAALSIGEVSQSALPPVQAPLQGENVASSSSEKPRIRHQHSQSLDGSTAIKSEFLLSGGEGPLSAEAKKAMSAAKLAELALVDPKRTKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLTMLQRDTNGLTAENNELKLRLQTMEQQVHLQDALNEALREEVQHLKLATGQMLPNGGQSTNTIPPSLGANHQFYHQNQAMHSLLAAHQFQQLQIHSQHPQQLQPSHQIQPPSSLQHLHTLQPQQQPPADLRMKGSSTSQNQRGEATSDNNSAQE >Ma04_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25493205:25497719:-1 gene:Ma04_g23310 transcript:Ma04_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHPSLSSISRSDTDPDPDCHAELDCPFGRLDTLGRSDLRETAYEIFFMSCRSSPGFGGGSRSPLNYLPSSSSSPWSAAAFDGGSGSGGEGSPKWGPGMSVPNSRIKKVLGLKARRSSPMRTGMTRGLNSPGKLKRPMTSAEIMRLQMRVTEHSDHRLRKTLMRTLVGQMGRRAETIILPLELLRQLKPSEFNDAREYHQWQRRQLKILEATLLLYPSLPVDRQNPAAIRLLEIVRAGEIKPIDTSKNSEVMRNLCNSVVALAWRSSGGASTEVCHWADGYPLNVHLYLALLHSIFDLREETVVLDEVDELVELMKKTWPSLGINRMIHNVCFAWLFFKHYIETGQNEPDLMCAAVTMLTEVANDAKKPDLEANYVRLVSAVLVVMQRWAERRVLDYHECFDKETTASMEHILSLAVSTAKIIGEDLCNSGMVFVIHDGEVGMNPSGNRVDYYIKSSIRSAFTKILENGAALDESMTIKADDEPRNILVQLASDTEELAVFEKDAFSPVLRKWHPLPTAAAMVAIHGCFGIVLKQYLAKVTSLTTELVRVLQSAGKLEKLLVQMLMEDSADCEDGGKEIVRQMVAYEVDSVVANLLKNWMEERLSMGKEFLNRAKETETWMPRSKKEPYAQSAVDLMKLAKVTVDEFFEIPLGVRDAMVQDLADGLQTIFQEYTEFIGACGIKQRYVPSLPPLTRCNQDSKFIKLWKKTACRAGAGLLHGEDGPINTGHQRPSTSRGTQRLYIRLNTLHFLIANLHALDKSLAFFSRGSPSPSPRLGSSNRRFGATSSHFDPVLSSAQYAIQYVSEVAAYRLIFLDSRHLFYDGLYVHSVAEARMRPGLRILKHNLTLLASIVTERAHPLVLKEVMKASFEAFLMVLLAGGSERAFAREDSETVLDDFRGLKRVFSTCGEEEVGREGEVVEGIVTLMGLPTERLIEDFSIAACEASGVSVTGVSGDGVPVGTKVPMPPTTGRWNRADPNTVLRVLCHRDDELANQFLKRTFDLAKRR >Ma03_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27988308:27989882:-1 gene:Ma03_g23420 transcript:Ma03_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVKKVGDGFFGSAGQGKCFPRLEELTFRDMPQWEEWSWADGRQMFPCLRKLQIVQCPWLKRTPPLPASLQLLSLCQVGLTEVPRLLEEIDGSSIGMTVSELKIYSLQVVELEDIPECEELPCLGQLPSLEVLRIERMPAMKKVGDGFFGSRDQGKCFPSLEELTFRDMPEWEEWSWADDRQLFPCLRELEIIQCPRLKRLPPLPPPLQTLHLHEVGLTELPGLWEGIHGGGNCITAALSTLRIRKCPNLRNLVEGLLSHRLPKIRDIEIAECAELVRLPVKEFKELTSLAKLSIRSCPKLLSMTRDGDNDILLPPSIKELVLSDCGNLGKLLPGCLHNLTSLTRLEIGDYRCIESLPATSLLHLKRLKYLKFWKCGELRSKDELLLNEGNEQVEGSSVTELCIDDTALFKVSLLRRTLPSVRALTISNFPRATMSDEEEQLLRSLTALRSLEFFNCENLQSLPRELHALSSLRLLKIIRCPKIQVLPEKGLPTSLTNLIFSYCHRMLREQLEKHLAEMKSSG >Ma05_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8222274:8224100:1 gene:Ma05_g11400 transcript:Ma05_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKSKAAASSKDDTKVAGKRKAAGKVDKKAKRGRKAAKDANKPKRPPSAFFIFMEEFRKTFQEKHPENKKVSVVSKACGDKWKSMSESEKAPYVAKAAKRKAEYEKIIASFDKKQSESSGGNASAEEEVEEEGSDKSKSEVEDDEDGNEEEEEDEE >Ma02_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10051683:10074198:1 gene:Ma02_g01490 transcript:Ma02_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDMVRDMASLDPELLQLPEVSQLALKEKPKIAEDLYCQWLSLPETRKLVKSLIEDAKAGSPLNVAGSSGTAIAAANNSLPSMFPAGSVPPLSPRSTSGSPRFMKRSSSAAGPSPFGSPLKLVNEPVKEVIPQFYFQYGRPPPRELKEQCLSRIDHLFFGNMDGLQIQEFKTLTKEICKLPSFLSSSLFRKIDVECTGMVTRDSFVEYWINSNMMIKDIATQIFTILKQPDHKYLTQEDFKPVLRELLATHPGLEFLQGTPEFQDRYAETVIYRIFYHVNRSGNGQLTLRELKHGNLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVERIFSQVPRKFKSNADGKMGYEDFVYFILAEEDKSAEPSLEYWFKCIDLDGNGILTANEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGSENESYFTLRDLKGCKLSGNVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDIWDESLEAPF >Ma05_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:978509:983051:-1 gene:Ma05_g01610 transcript:Ma05_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQSDGNNIRFVATELATDIVVNVGDVKFHLHKFPLLSKSPRLQKLVVATGEEEDEEIDIPDIPGGPAAFEICAKFCYGMIVTLNAYNAVAARCAAEYLEMHEAVEKGNLIYKIEVFLSSSILRAWKDSIIVLHTTRSLLPWAEDLKLVVRCTDSIASKASVDPSEVDWSYTYNRKKLPSENGLDPHWNGVRKQQSVPKDWWVEDLCDLEMDSYKRVLIAIRTKGKIADDVVGEALKAYAYRRLPGFAKGSVTCGSDPMRSRTILETIVWLLPTEPGSVSCSFLLKLLRSASALECGETCKKELIRRAGRQLQEAAASDLLLPSATGETVYDVDLVASVVEEFVTQDGGTARTSPEASEEVVEVRSPVFVSPSSKAAVANLVYEYLAEVAKDPDLPLPKFVELAEMVSAASTPVHDGLYRAIDVYLKEHPGLSKSEKRRICSMMDCRKLSTDACVHAVQNERLPLRVVVQILFFVQMRAAAAPAAGGVSQLPGNVKALLRENGGSYGSSRSAVTTNTEDDWDGVPTAGGDINSLKSAGPVGRGGGSQRSSGGSDVSKHGDEKGNGKVKGILLPKRILSKLWSGKGQGGENSSSDMSESPGSVAQEEAKSTHSRNTRHSVS >Ma05_p01610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:978509:983051:-1 gene:Ma05_g01610 transcript:Ma05_t01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQSDGNNIRFVATELATDIVVNVGDVKFHLHKFPLLSKSPRLQKLVVATGEEEDEEIDIPDIPGGPAAFEICAKFCYGMIVTLNAYNAVAARCAAEYLEMHEAVEKGNLIYKIEVFLSSSILRAWKDSIIVLHTTRSLLPWAEDLKLVVRCTDSIASKASVDPSEVDWSYTYNRKKLPSENGLDPHWNGVRKQQSVPKDWWVEDLCDLEMDSYKRVLIAIRTKGKIADDVVGEALKAYAYRRLPGFAKGSVTCGSDPMRSRTILETIVWLLPTEPGSVSCSFLLKLLRSASALECGETCKKELIRRAGRQLQEAAASDLLLPSATGETVYDVDLVASVVEEFVTQDGGTARTSPEASEEVVEVRSPVFVSPSSKAAVANLVYEYLAEVAKDPDLPLPKFVELAEMVSAASTPVHDGLYRAIDVYLKEHPGLSKSEKRRICSMMDCRKLSTDACVHAVQNERLPLRVVVQILFFVQMRAAAAPAAGGVSQLPGNVKALLRENGGSYGSSRSAVTTNTEDDWDGVPTAGGDINSLKSAGPVGRGGGSQRSSGGSDVSKHGDEKGNGKVKGILLPKRILSKLWSGKGQGGENSSSDMSESPGSVAQEEAKSTHSRNTRHSVS >Ma06_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10398879:10401838:-1 gene:Ma06_g15310 transcript:Ma06_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKKASVGGADRPYSHRRSRGGDLFFCFGSRPSAAAAAPSSSSSSAAAAAMRAPSSKSLLSPGRGRDPAAAPFLSSSLSRRLRNSGSVKGAQSPMFPTVVAGRRKGVAFEAAEPSSPKVTCIGQVRVKSKKKKAAAAAAAAAAAAAAKGKASVMRSRSMRGSGREASFRRTEDMGGAGECLPSRNQRWVYHLPVSICEALRAFGSEFNCFFPCGGRSLCSSSSSSRSAEGREGGCGERRSNSCGAVFARWLMAVPDTEEGNGREIVGVAVEDGRDREMGMVIKERLRSEDLEFGIETEKTEEVVMVVEKREEKEEEEEEEARVSICIPPRNALLLMRCRSDPVRMAALASRFWDSPAMQVRVQEEDAEEEEDGDDDNRDQHGERNEEVRGEERDDETAQTAEPYREEEGVEESHQGVILSEELMKASDGTEAQEEEVQPNPVDERQNKDEDLITGTAAEPVEAIGNSERDKKEQEVEAAEVEYSQLKEKPEEKEMTNDTPPKDREVEKEEDKGRRTSSCSSTADKEERRSNRLASKSKEGSRRHSSALRERDKRRHSFSTEREVGRPSLGRPSFGGEKEVRRASFSIEAKGRWSFSIEKDGLRLEEEVTDEAKKTKKECFPEKKGPNGNMEVQYSHTKEKEGNDGGDKRGRKQCGVECEAGEKGEEEKKGTELPDCLLLMMYEPKLSMEVSKETWVCSTDFLQWRLHHPNYRPCHPSKPVAAGATATTTGAGKVGTRADEVTKGEASMEITDDRSSDNKETVSPIQEAPQPSSLPSPPVLPTVSDKDKKLRGNAGVLPSPAPAPAYGPFVLTRCKSEPMRSSAKMAPDACFWKDRHRPIGVTGIGF >Ma04_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25914444:25915823:1 gene:Ma04_g23830 transcript:Ma04_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSDPVSVVVAVLGGLFLLRAGLSFKCLLYLLGRWWSWLDEHTHAYQHFEIPRYTESGLENPLIRHATAYVASLSSHECAVAIVSSGYEPNEFSVHPAPGHPVPDSFLGCRLSWSATGGGDRLVLRLRRQDCSRVLRPYLQHVESVAKNLELRRRETNLFVISRGGGESGEPRWRPVAPFTHPARLDTVAMDPEVKAWVRADLEAFLNGRAYYHRVGRNWRRSYLLHGPPGTGKTSFAAAIANFLCYDVYDLDLALVSDGIDLKALLLATGTRSVILVEDLDLYLSAKGGHEGNSRHARMLNFMDGILSCCGDEKVMVYTMTSIEAVATAVLREGRLDVHIHFPMCDFQAFKTLARIYLRLNDHKLYPMVEEVFQSGAKMSPAKVGEIMIANRGSPKRALKLVITELQHLSSAPNLGQQLLERKDRGGDGPVAEDDPPTVREIGRWCGVIRTRSRRLC >Ma06_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7283490:7289393:-1 gene:Ma06_g10520 transcript:Ma06_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCVAVKDKHLPSPAQFDVSTYRTVRHSPTWSFRWDNRTHIEDVIDNAAQFCHQHSGNTGLEIKSESPTEAESPSDSGSPSNAFQLQKQHISPSRTGTAGKLKDVAADDQFFGRNSSPKSKGSPKSSCLASTSDINLSISVPSTPSSSSFKADPSTSRSRSLPSDPTSSRKACRSPGYQLCRQISDSRIPSLQSRNENSSPEGRKSFVLSFCSNDLSTGGSHGGSSDGWSMCTFSELVASSNRERLSFDSDNLNFFSSQLTESNPQQTTQVFPDQQTCRVCSKQLTKHCVVAVLVCGHLYHAECLEKMTSEIDQYDPTCPVCTHGEKAALKLFTKAESKAKNKLSRIGIADSDAQADALCDHQNRAAEGPRMEASSNMKSSFGRPFLRRRFSFGRPSWSASESEANRKKGFWERRRRE >Ma06_p10520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7283490:7289393:-1 gene:Ma06_g10520 transcript:Ma06_t10520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCVAVKDKHLPSPAQFDVSTYRTVRHSPTWSFRWDNRTHIEDVIDNAAQFCHQHSGNTGLEIKSESPTEAESPSDSGSPSNAFQLQKQHISPSRTGTAGKLKDVAADPSTSRSRSLPSDPTSSRKACRSPGYQLCRQISDSRIPSLQSRNENSSPEGRKSFVLSFCSNDLSTGGSHGGSSDGWSMCTFSELVASSNRERLSFDSDNLNFFSSQLTESNPQQTTQVFPDQQTCRVCSKQLTKHCVVAVLVCGHLYHAECLEKMTSEIDQYDPTCPVCTHGEKAALKLFTKAESKAKNKLSRIGIADSDAQADALCDHQNRAAEGPRMEASSNMKSSFGRPFLRRRFSFGRPSWSASESEANRKKGFWERRRRE >Ma06_p10520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7283490:7289393:-1 gene:Ma06_g10520 transcript:Ma06_t10520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCVAVKDKHLPSPAQFDVSTYRTVRHSPTWSFRWDNRTHIEDVIDNAAQFCHQHSGNTGLEIKSESPTEAESPSDSGSPSNAFQLQKQHISPSRTGTAGKLKDVAADDQFFGRNSSPKSKGSPKSSCLASTSDINLSISVPSTPSSSSFKADPSTSRSRSLPSDPTSSRKACRSPGYQLCRQISDSRIPSLQSRNENSSPEGRKSFVLSFCSNDLSTGGSHGGSSDGWSMCTFSELVASSNRERLSFDSDNLNFFSSQLTESNPQQTTQVFPDQQTCRVCSKQLTKHCVVAVLVCGHLYHAECLEKMTSEIDQYDPTCPVCTHGEKAALKLFTKAESKAKNKLSRIGIADSDAQADALCDHQNRAAEGPRMEASSNMKSSFGRPFLRRRFSFGRPSWSASESEANRKKGFWERRRRE >Ma03_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28268236:28273023:1 gene:Ma03_g23820 transcript:Ma03_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEGEETWIADHRVGETGLSDPRLSTHGIHGTTPAATKFFDQEEASYFGGLEEALMDGVDGIRETEDTFLTARLPTLQIFPSWPMRFQQSPRGSLWSARSSASSSAQNTASHPESDNPASRMASSDLCADHKQQQEVMMRKMTGSTARKDGKVLDPKRLRRLAQNREAARKSRLRKKAYVQQLETSRIRLQQLEQDLHSARLQGVFLGVAGCANGSTSSSAAMFDMEYARWLDENCKHMSGLQGAIQAHLPDGDLGVIVDQCAVHYDELFRLKAIVVKSDVLHLLNGAWRTPAERCFLWMGGFRPSELLKMVVAQLDPLTEQQFMGICNLQQSSQQAEEALSQGLEQLHHSLADAIAGGFLSNGVADGSYMVIALGKLDNLEGLVRQADKLRQQSLHQLRSLFTTIQAAKCFLAIGEYYARLRALSSLWASRPRESLIRNDGVVSTSTDLQIVHQPLQNHFPYLPL >Ma05_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31869624:31880456:1 gene:Ma05_g20260 transcript:Ma05_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRSGGGGGVNRPAWLQQYDLVGKIGEGTYGLVFLARTNLRKCCIAIKKFKQSKEGDGVSPTAIREIMLLRETCHENVVKLVNVHINHVDMSLYLAFDYSEYDLYEIIRHHREKLSHSINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGDGEEHGVVKIADFGLARIYQAPLKPLYENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNSFQLDQLDKIFKVLGHPTPERWPTLVNLPYWQNDQQHIQGHKYDNHGLHNFVTVPQKSPAYDLLSKMLEYDSRKRITAAQALEHEYFRMDPLPGRNALVPSQPGEKIVNYPARPVDTTADFEGTVAVQPSQPVSSGNAASGNIAAASVAPSRSMPRSMHVVGMQRMPNTGMSTFNVAPQAGIGAPSSGNIPIPRGAAVQAHQQQLRRKDPSMGMQNPGYPQQKRRF >Ma05_p30700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40987101:40988994:-1 gene:Ma05_g30700 transcript:Ma05_t30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKLLKEKKFWVASFIVAWAAALQAHMMWMQRQDSFKQKFGDSSKEIDGGN >Ma05_p30700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40985669:40988994:-1 gene:Ma05_g30700 transcript:Ma05_t30700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKLLKEKKFWVASFIVAWAAALQAHMMWMQRQDSFKQKFGDSSKEIDGGN >Ma05_p30700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40985617:40988994:-1 gene:Ma05_g30700 transcript:Ma05_t30700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKLLKEKKFWVASFIVAWAAALQAHMMWMQRQDSFKQKFGDSSKEIDGGN >Ma05_p30700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40985617:40988994:-1 gene:Ma05_g30700 transcript:Ma05_t30700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKLLKEKKFWVASFIVAWAAALQAHMMWMQRQDSFKQKFGDSSKEIDGGN >Ma09_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7125526:7126385:1 gene:Ma09_g10470 transcript:Ma09_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAIKVGAWGGNGGSAFDMGPAYRIISVKVFSGDVVDGVDVTFTSYGKTETRHFGGSGGTPHEIVLQEGEYLVGMTGEFANYHGVVVVGKLGFSTNKKSYGPFGNTGGTPFSLPIAAGKISGFFGRGGKFLDAIGVYLEP >Ma09_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2259074:2261330:1 gene:Ma09_g03340 transcript:Ma09_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDLLVKAFLLSCIALLALSDAAVAPPTGITRHYKFDIQMANATRLCHTKSIVTVNGQFPGPKIVAREGDRVVVKVVNHVEHNVTLHWHGIRQLRSGWADGPAYVTQCPIQTGHSYVYNFTIVGQRGTFFWHAHISWLRATLYGPIVVLPQLGVPYPFAKPYKEVPVILGEWWKADAEAVISQALETGGGPNVSDAYTINGLPGPFYNCSAKDTFKLKVKPGTTYLLRLINAALNDELFFGIANHTLTVVDVDAVYVKPFESDALLISPGQTTDVLLRAKPDYPNAMFFMSASPYVTGSGTFDNSTVAGVLEYRNPNSSSRASFNSKLPLYKPTLPSLNDTSFFANFTGKLRSLATARFPANVPQAVDRRVFFTVGLGSSPCPENQMCQGPNGTKFSATVNNVSFALPTTALLQAHFLGQSRGVYMPDFPVTPLMPFNYTGTPPNNTLVGNGTKLMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPAKDPTKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVLDGSLPHQKLPPPPSDLPKC >Ma03_p24210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28507211:28511828:1 gene:Ma03_g24210 transcript:Ma03_t24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAMKTAAKAATLCGYRLVGPLAGRASAIARWPFKPVNVTVSAAAPAAEGGPSISVSTTDNGLQDAVPVSAVQRPPLETHDRETKDENFDGWAEYEQGITLDPVQPAPRLVFGSIPTLEEAKEATSDLIDAFDKVYPPSHNVPAIEVAHKSAHHEASSIIPSMPRHVVETFSLLQRSPEVQTVVASIASDENVWNAVTKNEKVMEFYKTHLSVVHPEPIVTTEVPVAENDHGSSCAKANKSSAFSDFLHNVKNKVVDMACNISSLLQEHFGNSSGTSSPKTPDGPFAQFPMGASLMTLAITVIMVVLFKRV >Ma03_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26657575:26658250:-1 gene:Ma03_g21730 transcript:Ma03_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPFADASITVPSDIKVMAMEKTLSSQDSTNRRRARRRPTVDSSEEKMLLTVVVVLLLTECVAASDGDGVAVTRPERELDMMCPCYPCGQPCSIPSPPPPPPPPPPACPPPPLPPSPPCYSCNQPPTPPCYSCYTPGNVYPVDPEYLLSGAWRTHHGWWTGMISCGLLAMLF >Ma01_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2498681:2499540:1 gene:Ma01_g03750 transcript:Ma01_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKILIIFSDNKSLV >Ma08_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4173927:4175920:-1 gene:Ma08_g06170 transcript:Ma08_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKSLLKSLYLIYKFSIRRLRTSYASYGRTQKHPPPEKLSGKTIVCDMEGGLLRTSSTFPYFMLVALEAGGLLRGLLLLILCPLISCLSHEVGLRVMVMVCFLGLRKDKFRVGRAVLPKFFLEEVGLEGFEVVRRGGRRVCVSSMPTVMVEEFLQGYLDVEVVLGRELKVFGGYYTGLMVEEEEEETKASLALEELLGDEKVGKGGAVGFGSYPNSLRKQRLFSHCEEVYSVSEAEKRRWHPLPSSKYPKPLVFHDGRIAFRPTPLAALCMFVWLPLGFLLASARALSFILLPYVLSIPLLALLGMRNRVVTSSPSPRKEEEEEEEARSSRLYITNHRTLLDPLVIAAALRRNVTATTYSVSRISEWISPIRTVRLTRNREEDKRRMKQLLEEGELVVVCPEGTTCREPYLLRFSPLFTEVSREVTPVASETSVTMFYGTSTNKLKFLDPLFFLMNPFPCYEVEFMGRVPTGSIAGEVCSSYQMANHLQGEIGRLLGFHCTSLTRKDKYLMLAGTAGVVDADDKGR >Ma09_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8649284:8651011:1 gene:Ma09_g12820 transcript:Ma09_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESMPACFRGGGAGMPPSKAAGPSLTTSVYETHLGVAALTWSRTVLGLSLRTELRLSADEEDEEEPVRFRIRPWLLWKRRGTKRFHLKDDRGHRCVDFAWDLTRASFPPGGGPEPAAGFFVAVSVDGEMLLVAGDLAEEAFKKSKARLPQAPLLSRPALVSRQEHVVLVDQGGRRSYLTRARVGGRDREISIELEAKDKGRDVAMSVGVDGERILQVRRLRWKFRGSDKADVDGCGRIQVSWDLHNWFFHSKDDTAAPHGVGSAGAAETGHAVFVLRFEGEEEGKQEGHIGNAMYQSLVARGYNGKHMNRNWSESSSGGGAGDRRMGRKKSLRKTSSSSSSTSSASSVSNSTVMEWASPEEVELQRARGFSLLVYAWKS >Ma05_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8048973:8058433:-1 gene:Ma05_g11110 transcript:Ma05_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRRSWPWKKKSSEKAATTTDSSTAISSSSGGNKVDQDSNTISYVQISVESYAHLTELEDQVKTLQEKLSAAQTEMTTKDNLVKQHAKVAEEAVSGWEKAEAESSALKNQLESVTLLKLTAEERASHLDGALKECMKQIRNVKEESEQKLHDVVFAKTKQWEKMKAELGAKLDDFDQELLRASAENAALSRSLQERADILMKITDEKLQADTEIEVLKGNILSCEKEINSLKYELHVVSKELEIRNEEKNMSVKSADAANKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDHGESRLRRSPAKNLGTNHISTPALDFASESIYTLQKENEFLTARLLATEEETKMLKEALSNRNSELQASRNIFAKTASKLRSVEARMLALNPQKFLSNPSFDISSDTNLSQNESHPPSLTSMSEDGNDEVESYSEPWATPLTSDLSQIKKEKGTEKSKNTGNSNHLELMDDFLEMERLACLSTESNGTMTISDGVLDKLKTVNNDGTLSADVQKDATSKEQHLASEKTGLPCTNQMCSEGELATNKLSSLLRKLQSRINSTFNLSDQEVDIGKVLEDIKHIVQETQEELPQHSVSCVIEENYSTDASCHKRDCYDDVDKTTDIGISSKQDDISCADDKQNLGQEFKNALSEIQDFVTSVGKESSELQDRQSGGPILSEKIQQFSSYVNDVLHNEKSLNDLILILSHILSEASEMGFKMTFKMGNEWESNISDCIDKVTLLENRVAQHEPRNEILSGRSIAPSHSSSHPDIEGPISDSFEQRSTTQKFSLKEFEEMRLEKKNMQTELSTCTELLEGTKLRLVEAEQSLAELKSQLAASQKSNSLSETQLKCMAESYKLLESREQQLEAKVNILRTEVQTLNNELGEEKRIHQDDLTKLRDLQEKIERNENCSMCSDADNDKTKQEKEIAAAAEKLAECQETILLLGRQLQTLRPPAEQSDSFPNNRNHLNDYFEDALDSTGFNTQSMHNSRYMASETESAAAFVTPRTGGESPLDGYSSQISPSDNEASPFPRSPINSKHQKHRSSRSSSSTSFPNALPDKQGRGFSRFFSKTKGDH >Ma06_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10889934:10892704:1 gene:Ma06_g16050 transcript:Ma06_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVLLSFGEEGKSEQKEKAAPLGGGSGEAQVVVSERGAQVFTYKQLHSATGGFGKRSVVGHGSAARWVEGRRQADGPTREARGGRVQDGGLLLVPVLSTVSPDLHVELLTRLHSPYLLILIGHCSDGGQRLLVYEFMANGGLQEHLYPTKGSYGGISKVDWDTRMQIALEAAKGLQYLHAHVNPPIIHRDFKSSNILLDIYFHSKVSDFGIAKLGSDKAGGHVSTNVLGTQGYVAPEYRQSLHLF >Ma11_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5932867:5933500:-1 gene:Ma11_g07450 transcript:Ma11_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHAESNSREMKRKGFRTSAQLEQKPDHAKSSRPGPAVMSGSSRKCFSLLHKQPCDFIVATDEPV >Ma01_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2743139:2760876:1 gene:Ma01_g04200 transcript:Ma01_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSTFLNMINSKSNTTETTNIMYNACGGGSLLYGESPTNGSINGLGNTRLPHLSHQNEVIVQRKYEKYGRHPIQSSSRSSAVELDTGLESVGSLLQDFPVVDSDEPLPDTCAEQCHLDDFSLKSESSIDSSPLPSSRNSTSDDAHVFVIDESEEWVPDSLTNPHNNWQKTLTKIERDQVHESHYKQHNGPNQSNDDAPKRNFMTSCISVDDDADIFILDDISNSARPLLPPVNVKSHPMLEHSGFVETCQPRYIGMKLKANDERSTFRLALQDLSQPKSEASPPEGVLAVPLLRHQRIALSWMVHKETVGPHCSGGILADDQGLGKTISTIALILKERSPPPKSSSSMGKQDRLEALNLDDDDDDGNDNASEIDGPKQPRISSLSEVTGSKRKENSVVTVMSRPAAGTLVVCPTSVLRQWAEELKTRVTSRANLSFLVYHGSNRTKDPHELTQYDVVLTTYAIVSMEVPKQPLGGKDDEEKGKPESLMSHMSDKKRKGSPNSMKKCTQSALLESAARPLARVGWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRYDPFATYKTFCSMIKIPISRNPTNGYKKLQAVLKTVMLRRTKGSMIDGKPIITLPTKTITLKKVEFSEEERTFYTNLEAESREQFKVYANEGTVKQNYVNILLMLLRLRQACDHRLLVNGYDSNSVRRSSIEMVRKLPNEEQKYLLSCLEACLAICTICNDPPEDAVVTICGHVFCNQCISEHLNGDDNICPSADCKVRLGVASVFSRNTLVSSICELPVEECCSSGCGSAMVHAAETSGNRLSSYSSKVKAAVEILQSLPKSQCSLPNCNLEKLIEETDVSLQNQICSVDTDDRKSSNQNCHTSEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSVAARERAIKDFNMLPEVTVMIMSLKAASLGLNLVVACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEKKREMVASAFGEDESGAGQTRLTLEDLNYLFRV >Ma01_p04200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2743206:2760876:1 gene:Ma01_g04200 transcript:Ma01_t04200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHRSCTARRATGKESLLEEKSLCPYSSMDLDSTFLNMINSKSNTTETTNIMYNACGGGSLLYGESPTNGSINGLGNTRLPHLSHQNEVIVQRKYEKYGRHPIQSSSRSSAVELDTGLESVGSLLQDFPVVDSDEPLPDTCAEQCHLDDFSLKSESSIDSSPLPSSRNSTSDDAHVFVIDESEEWVPDSLTNPHNNWQKTLTKIERDQVHESHYKQHNGPNQSNDDAPKRNFMTSCISVDDDADIFILDDISNSARPLLPPVNVKSHPMLEHSGFVETCQPRYIGMKLKANDERSTFRLALQDLSQPKSEASPPEGVLAVPLLRHQRIALSWMVHKETVGPHCSGGILADDQGLGKTISTIALILKERSPPPKSSSSMGKQDRLEALNLDDDDDDGNDNASEIDGPKQPRISSLSEVTGSKRKENSVVTVMSRPAAGTLVVCPTSVLRQWAEELKTRVTSRANLSFLVYHGSNRTKDPHELTQYDVVLTTYAIVSMEVPKQPLGGKDDEEKGKPESLMSHMSDKKRKGSPNSMKKCTQSALLESAARPLARVGWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRYDPFATYKTFCSMIKIPISRNPTNGYKKLQAVLKTVMLRRTKGSMIDGKPIITLPTKTITLKKVEFSEEERTFYTNLEAESREQFKVYANEGTVKQNYVNILLMLLRLRQACDHRLLVNGYDSNSVRRSSIEMVRKLPNEEQKYLLSCLEACLAICTICNDPPEDAVVTICGHVFCNQCISEHLNGDDNICPSADCKVRLGVASVFSRNTLVSSICELPVEECCSSGCGSAMVHAAETSGNRLSSYSSKVKAAVEILQSLPKSQCSLPNCNLEKLIEETDVSLQNQICSVDTDDRKSSNQNCHTSEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSVAARERAIKDFNMLPEVTVMIMSLKAASLGLNLVVACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEKKREMVASAFGEDESGAGQTRLTLEDLNYLFRV >Ma01_p04200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2735719:2760876:1 gene:Ma01_g04200 transcript:Ma01_t04200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPGNSFDTFDADNAFLLDDNLSISVDGLYAILDEQPLLPPDDQSQVTLECLDKSKLAGGPANPDNAFQPHAGLLEPSARREFNERLGYQWGPSNVMGVSGCKKEMNLGISFGSEGSFDCHFFPMSGDTMNLVGSSYDSLTGSNFDNQQLQCLQNCSFDDAEETDLNFSKYADFGHDLYLDNDQKDIDQMRAENSLQNRVIKHEYYADGMSSFMHCKVEQHSQCNFAHTRNILADNISSFCFADSSLNMINEKSGLTDGKRFDDRRATGKESLLEEKSLCPYSSMDLDSTFLNMINSKSNTTETTNIMYNACGGGSLLYGESPTNGSINGLGNTRLPHLSHQNEVIVQRKYEKYGRHPIQSSSRSSAVELDTGLESVGSLLQDFPVVDSDEPLPDTCAEQCHLDDFSLKSESSIDSSPLPSSRNSTSDDAHVFVIDESEEWVPDSLTNPHNNWQKTLTKIERDQVHESHYKQHNGPNQSNDDAPKRNFMTSCISVDDDADIFILDDISNSARPLLPPVNVKSHPMLEHSGFVETCQPRYIGMKLKANDERSTFRLALQDLSQPKSEASPPEGVLAVPLLRHQRIALSWMVHKETVGPHCSGGILADDQGLGKTISTIALILKERSPPPKSSSSMGKQDRLEALNLDDDDDDGNDNASEIDGPKQPRISSLSEVTGSKRKENSVVTVMSRPAAGTLVVCPTSVLRQWAEELKTRVTSRANLSFLVYHGSNRTKDPHELTQYDVVLTTYAIVSMEVPKQPLGGKDDEEKGKPESLMSHMSDKKRKGSPNSMKKCTQSALLESAARPLARVGWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRYDPFATYKTFCSMIKIPISRNPTNGYKKLQAVLKTVMLRRTKGSMIDGKPIITLPTKTITLKKVEFSEEERTFYTNLEAESREQFKVYANEGTVKQNYVNILLMLLRLRQACDHRLLVNGYDSNSVRRSSIEMVRKLPNEEQKYLLSCLEACLAICTICNDPPEDAVVTICGHVFCNQCISEHLNGDDNICPSADCKVRLGVASVFSRNTLVSSICELPVEECCSSGCGSAMVHAAETSGNRLSSYSSKVKAAVEILQSLPKSQCSLPNCNLEKLIEETDVSLQNQICSVDTDDRKSSNQNCHTSEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSVAARERAIKDFNMLPEVTVMIMSLKAASLGLNLVVACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEKKREMVASAFGEDESGAGQTRLTLEDLNYLFRV >Ma01_p04200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2743159:2760876:1 gene:Ma01_g04200 transcript:Ma01_t04200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINEKSGLTDGKRFDDRRATGKESLLEEKSLCPYSSMDLDSTFLNMINSKSNTTETTNIMYNACGGGSLLYGESPTNGSINGLGNTRLPHLSHQNEVIVQRKYEKYGRHPIQSSSRSSAVELDTGLESVGSLLQDFPVVDSDEPLPDTCAEQCHLDDFSLKSESSIDSSPLPSSRNSTSDDAHVFVIDESEEWVPDSLTNPHNNWQKTLTKIERDQVHESHYKQHNGPNQSNDDAPKRNFMTSCISVDDDADIFILDDISNSARPLLPPVNVKSHPMLEHSGFVETCQPRYIGMKLKANDERSTFRLALQDLSQPKSEASPPEGVLAVPLLRHQRIALSWMVHKETVGPHCSGGILADDQGLGKTISTIALILKERSPPPKSSSSMGKQDRLEALNLDDDDDDGNDNASEIDGPKQPRISSLSEVTGSKRKENSVVTVMSRPAAGTLVVCPTSVLRQWAEELKTRVTSRANLSFLVYHGSNRTKDPHELTQYDVVLTTYAIVSMEVPKQPLGGKDDEEKGKPESLMSHMSDKKRKGSPNSMKKCTQSALLESAARPLARVGWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRYDPFATYKTFCSMIKIPISRNPTNGYKKLQAVLKTVMLRRTKGSMIDGKPIITLPTKTITLKKVEFSEEERTFYTNLEAESREQFKVYANEGTVKQNYVNILLMLLRLRQACDHRLLVNGYDSNSVRRSSIEMVRKLPNEEQKYLLSCLEACLAICTICNDPPEDAVVTICGHVFCNQCISEHLNGDDNICPSADCKVRLGVASVFSRNTLVSSICELPVEECCSSGCGSAMVHAAETSGNRLSSYSSKVKAAVEILQSLPKSQCSLPNCNLEKLIEETDVSLQNQICSVDTDDRKSSNQNCHTSEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSVAARERAIKDFNMLPEVTVMIMSLKAASLGLNLVVACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEKKREMVASAFGEDESGAGQTRLTLEDLNYLFRV >Ma01_p04200.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2735719:2760876:1 gene:Ma01_g04200 transcript:Ma01_t04200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPGNSFDTFDADNAFLLDDNLSISVDGLYAILDEQPLLPPDDQSQVTLECLDKSKLAGGPANPDNAFQPHAGLLEPSARREFNERLGYQWGPSNVMGVSGCKKEMNLGISFGSEGSFDCHFFPMSGDTMNLVGSSYDSLTGSNFDNQQLQCLQNCSFDDAEETDLNFSKYADFGHDLYLDNDQKDIDQMRAENSLQNRVIKHEYYADDSSLNMINEKSGLTDGKRFDDRRATGKESLLEEKSLCPYSSMDLDSTFLNMINSKSNTTETTNIMYNACGGGSLLYGESPTNGSINGLGNTRLPHLSHQNEVIVQRKYEKYGRHPIQSSSRSSAVELDTGLESVGSLLQDFPVVDSDEPLPDTCAEQCHLDDFSLKSESSIDSSPLPSSRNSTSDDAHVFVIDESEEWVPDSLTNPHNNWQKTLTKIERDQVHESHYKQHNGPNQSNDDAPKRNFMTSCISVDDDADIFILDDISNSARPLLPPVNVKSHPMLEHSGFVETCQPRYIGMKLKANDERSTFRLALQDLSQPKSEASPPEGVLAVPLLRHQRIALSWMVHKETVGPHCSGGILADDQGLGKTISTIALILKERSPPPKSSSSMGKQDRLEALNLDDDDDDGNDNASEIDGPKQPRISSLSEVTGSKRKENSVVTVMSRPAAGTLVVCPTSVLRQWAEELKTRVTSRANLSFLVYHGSNRTKDPHELTQYDVVLTTYAIVSMEVPKQPLGGKDDEEKGKPESLMSHMSDKKRKGSPNSMKKCTQSALLESAARPLARVGWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLRYDPFATYKTFCSMIKIPISRNPTNGYKKLQAVLKTVMLRRTKGSMIDGKPIITLPTKTITLKKVEFSEEERTFYTNLEAESREQFKVYANEGTVKQNYVNILLMLLRLRQACDHRLLVNGYDSNSVRRSSIEMVRKLPNEEQKYLLSCLEACLAICTICNDPPEDAVVTICGHVFCNQCISEHLNGDDNICPSADCKVRLGVASVFSRNTLVSSICELPVEECCSSGCGSAMVHAAETSGNRLSSYSSKVKAAVEILQSLPKSQCSLPNCNLEKLIEETDVSLQNQICSVDTDDRKSSNQNCHTSEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSVAARERAIKDFNMLPEVTVMIMSLKAASLGLNLVVACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEKKREMVASAFGEDESGAGQTRLTLEDLNYLFRV >Ma03_p25980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29868837:29876930:1 gene:Ma03_g25980 transcript:Ma03_t25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKEVYASLQEIFPQVDFRILKAVAIEHANDVDTAAESILLEVLPSITGSCEASCTLQDKDAMKHPSSAVGKDKQPMLFGLQEVEEGRRYNLGQEPVACETTVSNGYTSSHVMSTCIGEADPRDLEVGIVDYSKGLECPTSHYNFFKELNTTQTDLEPNFMERGIDLISDIENISVHGKSHVHDELVDFAPCNTSSQVNVGLVSPGSTHDDRPLGQNDVQSFIGESENVINELHDSAQIDSSAVASVVGCDVQNASVEPFERNSDDLGNKMIMKDGSFSIYGSEEQSGWSFQPAIAQCVYELEANTVSAGAISGEDFKDFGEAAGSWGCGINQVTTTTAECEELHSKLVDESIVDLSFERGILSANDDNLTATLLARSGHFVNIDYLEDLISDAKSNKKKMLSAMELITERMKELEHLKERNKKAQEESSCAGLDTLTKVEELKKILKDTKEANDMHAGEVYGEKAILATEARELQSRLHSLSDEREKSLSLIDEIHQALEARIAAVEEEIVAAEQEKLKKEELARKSLSEQEATVASIVEESKKLQEEAGANSKLREFLMDRGSIVDALQGEIAIICEDVMSLKERVDGRVPLGRSFCSMTSSLVASSSSSYPCRTRHSSEEVLQHEDFTMTPAITGNEQHEVQQPENRNDIHGASVDDGWEILKGGVSC >Ma04_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26576578:26597933:1 gene:Ma04_g24610 transcript:Ma04_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGKVSLGGFPDLAGAVSKLSESVKNIEKNFDSALGFEENQNSSDEGPAKWPSASDNKGIFEPMMTFMGHKGQENASEASLKAEFLSHTSSAEEYEQISAAGSPVSTVETTTEKQTKDFISRANGTGSNITSTMVDKPGEVDWNEVMVGSNCLKAETETYLSGSKEQPDSFSLSQHKTDADGGTNDKAQPRDSLLQIADGEESNVASFLCVAEELHQASDLQGSNVKNETEAVQIVDEGSPTQSNIVVSVQEGLEIDTSVEIPVSVMKYDHANELSDHSDLNPISTEQHQVTSSESVTHDTDVSPKSMEVSSKAMDVEAEDDKSSFGASNNKSNSTDPIVEVEKVKREMKMMEAALQGAARQAQAKADEIAKLMNENERLKSIIEDLKRKSSEAEIDALREEYHQKLSSLERKVYALTRERDTLRREQNKKNDASALLKEKDEIISQVMAEGEELSKKQAAQEATIRKLRAQIRELEEEKQSLNSKLQVEDTKVESIKRDKAATEKLLQETIERNQAELAAQKEFYTKALNEAKEAEALAEARANTEASVEVESRLREAVERETMLVQTLEELRQSLTRTEQQAVSREEILRRDIEDLQKRYQGSELRYTELITQVPESTQPLLRQIEAMQETATRRAEAWAGVERVLNSRLMEAEAKAAAAEEKERALNERLSQNLSRITVLETQISCIRAEQTQLSRSLEKERQRASESRQEYLAAMEEAATQEGRAKQLEDEIKEIRSKHRKELQDEVVHRELLEKELERLRTAKTELQKPISRETPPVANQDQTKNLPIRKLSSAGSLSSMDESVFLHTSLDSSDSFPLERRPSAEGNISPYFLKSMTQSVYEVALRQKDGELASYMSRLASLESVRNSLAEELVKMTEQCEKLQAEAGVLPGLRAELEALRRRHSAALELMGEHDEELEELRADIIDLKEMYREQVDLLVNRIQTMTLSAGAT >Ma07_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8112358:8115034:1 gene:Ma07_g10960 transcript:Ma07_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLWLRLVAGALLLLASLPGGAPDLASDAAALLAFRAAVGRYALTTWNSSAPGAPCSWLGVSCESGRVNVLRLPGAGLIGQIPAAVGNLTALRTLSLRFNVLSGPLPSELAGLAELRNLYLQGNRLSGEIPAFLSSLKNLVRLNLAGNQFTGGIPLGLNNLSRLGTLYLENNRLTGEIPALDLANLVQFNVSYNQLNGSIPAKLRSQPATAFLATGLCGGPLGLCPGEIAPSPSPEGSGSVNPTGGAESNHKKKKLSGGAIAGIAIGAAAFLLIALVVLILLCRGRKAQSSAVGGKQMEMGAAAEQRDNGLGAGGANVNGGSAAPAAAAGKTVATAAASAGAKKLVFFGGGPRAFDLEDLLRASAEVLGKGTFGTAYKAVLETGVTVAVKRLKDVNLEEREFKEKIETIGAMDHPNLVPLVAYYFNKDEKLLVYDYMPMGSLSALLHGNRGSGRTPLNWETRTGIALAAAQGIQYIHSTGPSASHGNIKSSNILLTKSYDARVSDHGLALLAGAASAPTRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPAQALLNEEGVDLPRWVQSVVKEEWTAEVFDVELLRYQNVEEDMVQLLQLAIDCVAQYPDKRPSMPEVVVRIDEISRSSPASSYRDQQSTPRSVGDDQSSKQNDSTAGSNPPGADL >Ma06_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10205086:10219408:-1 gene:Ma06_g15000 transcript:Ma06_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH2 [Source:Projected from Arabidopsis thaliana (AT3G18524) UniProtKB/Swiss-Prot;Acc:O24617] MTASDSPAANKLPELKLDARQAQGFISFFKALPADPRAVRFFDRRDYYTVHGENATFIARTYYHTTTALRQLGSGSEGISSVSVNKNMFEAIARDLLLERTDHTLELYEGSGSNWNLTKTGTPGNVGSFEDILFANNDMQDSPVTVALFPVFRENQCTVGLGFVDMTKRKLGTVEFLDDSQFTNTESVLIALGCKECLLPVECGRSTELKPLYNSLSRCNVLLTERKKYEFKSRDLVQDLGRIIRGSVEPVRDLLSGFDYALGALGALISYVELLADDSNYGNFTIEKYNLDNYMRLDYAAMRALNVLESKTDLNKNFSLFGLMNRTCTAGMGKRLLNRWLKQPLLDVHEIIHRLDLVQAFVEDPELRQGLRQHLKRISDIERLTHALKKKTANLPPVIKLYQSSIRLPYIRDVLEHYEGQFSSLIRKRYSEPLNFWMDEERLNKFIALVEVSVDLDQLENGEYMISPGYDPNLAALKNELTAIEQQINDLHKQAANDLDLSLDKALKLEKGTQFGHAFRITKKEEQKVRKKLTNHYIVLETRKDGIKFTNTKLKKLGDQYQKLLSDYTSCQKGIVARVVDTSATFSEVFEAVAAILAEIDVLLSFADLATSCPIPYVRPDITPSDQGDIILEGSRHPCVEAQDGVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGCFVPCERATISIRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASEKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTSLAHANAHDCPNLSSVGVANYHVGAHIDPSSRKLTMMYKVEPGACDQSFGIHVAELANFPEDVVNLARRKAEELEDFSQPSNISDGSEKEVGCKRKRVCNPDDMSSGAARAHRFLEEFSALPLDQMDLKQAMEHVCKLRNELEKDAANSPWLQQFF >Ma04_p32260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32317685:32320747:1 gene:Ma04_g32260 transcript:Ma04_t32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKGAFDQGKGKERCVLVGLQMDANGKELLNWAMSRVAEQGDRVMAVHVCRDSDLKNTTTLSLIRQLDGYLAAYDGFCEFKQVVLVGRVSRGNSIRKVMVKEAKLCDAMKVVVGVNQHCALVGSASLAKYCAKKLPPTTAVIAIQDGKIVFEREVAKPSQEEEPRTFHPSTVIKHKAISPSSTKKPDVGTTVLAQKKMAEARLGWPLLGRGVPKHLEASREEVSRKMSVVQWVMNLPNRSLSFTTLQLDLIQELKTILGHTNSDCRWFQSDELRSSTNQFCSGNVIGNGGSSRVYRGRLPNGRHVAIKSSKLSEEASRDFLSEFNIITKLDHKLIVPLIGICVEDNTLLSVYNYFPTGSLEENLHGKDANSALPWDLRYKVATGVAEALSYLHNGCSNPVVIHRDVKSSNILLTDEFEPQLSDFGLAIWAPTTSTCLTHDDVVGTFGYLAPEYFMYGKVSDKIDVFAFGVVLLELLTGRRPISDDNPKGQESLVMWATPILERGDFMELLDPNVKETYDEVQMRRIALAASLCTTRRACLRPRMTEILSLLQGEEEIEAWIGCHIDATSNRLDCQDDEAFPSSSVGSHIGMALLDVDEDDASLSSFDQSNLGSLEEYLRDRWSRSSSFD >Ma10_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14964403:14965464:-1 gene:Ma10_g04280 transcript:Ma10_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKMQKIFSLKLLISVLFLLFVLYWVMSPSSFRLQQQALSVPSTPPSCTKLPPSLADAILHYATLNITPQQTIDEISVAARVLREKSPCNFLVFGLGHDSLMWSALNHGGRTLFLEEDEGWIEAWRLRLLPVVAETYHVKYDTRVSEAEQLLELGARADCKAVGDLRRSGCRLALKQLPPVFYEVEWDLIMVDAPTGYHAGAPGRMAAIYTAGVAARWRKEGKTEVFVHDVDRAVEDRFSKAFLCEGYMKHEEGRLRHFTIPSHRANTERPFCPP >Ma09_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34041678:34077469:1 gene:Ma09_g22070 transcript:Ma09_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLIKFLIGLLICCAVGWVVFVFAARLLGWILNRMVGISIGFQVTGCNRINEVFVTFKKGAIESILIGEIKLSLRESLDKGFNIFSGDQKVQLFISDLEVYLRTSSQSIKKNKVNKPRSSRKLGRGTWVLLSHIARLLSLSVTEVNVKGPKFTAQVKGLRVDASVHGNSNPTFILNLQLANFLFDMFHSEHNFDQSSSFTVERPAYSASVEKKIPLLCEDISVICELEREHGVQFGNLDVTFGAITVNLRENLFVKTKESNSSMGVDESKEGALDFTKKSEKKSSSLKKHIVAFPEKVNFNVRELDITFVHQAQDISANSTVTGILLTSTKSVVYDDSGEATSNFVLNMDFSKIYLLRESNASVMELLEFTVIATVDVPMQPLPINAEVNVKLGRISCNFIFSRFRPWLSLKLGKKKQMVLREESSYHEKRSTDGTDTIMWRSTVSAPDMNIMVYDLNDCPLYHGCLQSSHLSASNTVSKRLELHAELGEFHFDTADAYQKSLDKNLAAIETISGSLVHIEQMNLDLGHRETESHDEHDLKRLKLVLAVDMTGMGIFFGFKHVESLMKTIMSFKEVLKGLSSSSKKMAKDKVAHSSKKETAKGINIVKLSLEKCSIKYFGDTSLEDMTVADPKRVNFGSQGGEVIISVSADGKPRKASITSIRLNDCQHLKFSMSLEISHLRFVFNKEKHSMQIEVNRTRSFYYEYPEEQNPGTEMTLLDMQKAKFVRRSGGLNDTALCSLLNVTDLSVRWEPDVHLALLEFVTSLKSLLHNQKLHGSDSQMKEESLDTVSVPQREITSDQASTEKQHKKRESVFAVDVEMLKISAGLADGVETIIHVQSIFSENAKIGVLFEEVMVSFNEARILKSSRLQISRVPVSVMHNMQDSKSQMVTTWDWVIQSPDIYICMPYRLQLRAIDDAVEDTLRCIKLINAAKTDLIFPGKKHSTRKAKTKSTTLRSVRLLIRKITVEIEEEPIQGWLDEHYQLMKKEVCEAAVRLKFLDDLLSSMTSSSQEPNALGSEKKILYKEIEIDASDVSSVECLRTEIHKKVFQSYYEACQKIVISERLGETTRGFQSGFKPSINRASLMSLCARELDVTLTEIDKGNVGIVEFIKRTDPVCSDKDIPFSRLYGRDFVLSAGSLLVQIRDYTYPIFCGSSGKCQGRVVIAQQATSFQPQILQDVFVGRWWKVRMMRSASGTTPANKVYLDLPISFEKGEVSFGVGHEPVLADISYAFTVALRRANLGTRSCPDQYEVTSQVPAVDPSVQELPKKERSLPWWDDMRYYIHGKITLSFTETRWFILGTSDPYEKLDKLEVVSANMEIQQRDGYIGLSAREFKVYLSSLQCLTDSFSLKPPSHVCGPFLFSSTFLLEVSMEWGCDSGNPLDHYLHSLPVEGKPRTKVYDPFRSTSLSLKWNFLLNGSQITDNKLTSSNDVEKKSDGSTSESSQKLAVKSIDSPTVSFSANDLVWLSKFCTLNYLPPNKIRIFSRCPRFGVPRVARSGNLSLDRVMTEFFLRLDTTPFCIRHTPLRDDDPANGLAVKTAKLKFEICFSRGKQQFTFDCKREPLDLVYQGVDIHMLMVCLDQINEVSIAQDIKTAQRSSRSEPLDKLGNENCDYGCKDKKKDDAFLLHSDYFTVRRQTSKADPTRLLAWQAYDRKDLEVTSVIAEVEKGSESDHTQSDLIDDDGFNVVVADNCQRVFVYGLKILWTLENRIAITSLAGGISKAFARPKPSPSKQYAQRKLLERQQITDETDVPSEEAVDSVPCISPSESSTSVQHEKNQGQDSSLSTSSSAVTVKQENDCDTDEEGTCHFMVNVIQPQFNLHSEEAKGRFLLAAASGRVLARSFRAVLHVGHDIIEHALSTSNVVIPDNVPEMTWKRMELSMMLENVQAHVAPTDIDPGAGIQWLPKIIKGSPNVKRTGPLLERVFMPCQMYFQYTQYKGGTSELKVKPLKELTFNSPNITAEMTSCQFRVMLDVLTNLLFARLPKPPKSSHSCPSDDNEDSEDEADELVPEGVEEVDLARINLEQKRRERKLLLGDIKTLTTATDISDGTDLSLENGDLWMVVGGKSTLVQGLEKEQGNIRKAIKEASSTLRSVLQEAAQLRLMEKEKTKSPSCALRVSVKINKVAWSMLADDKPFAEAEINEMIYDFDRDYKDIGIAQFTTRSFVVRNCVPNAKSDMLLSAWNAPPEWGKRVMLRVNAKQGAPKDGKSPIELFQVDIYPLRIHLTETMYNMMWEYIFPEEEQDSSKRQEVWKVSTTAGLKRGKKSLYGSETVASNSPLSKEPEASGKSAASKLSSFTSGTESQVDSTQVKKKQNLQGNTASGSNPELRRTSSLGRTWEETVADSVANELVLQAHTSSVSSSQSGLFNAATENKNANTEEPKNKPRDPKPLKSGQLLTYEEKKSGKSQDERRARPKKLQEFNNIRISQVELLVTYEGSRIAVSDFRLLMDTFHRDEFTGTWRRLFARVKKHIVWGVLKSVAGMQGKKFKDKTQNQKEAQANVLPENDLISISDSDGEQRGKSDQFPAPFPKRANDGAGDGFVTSIRGLFNSQRRKAKAYVLRTMRGDADHDHGDWSDGDVEFSPFARQLTLAKTKKLIRRHSKKFSSRTSSSVQHQKDPSSPKETMPYESDSSGASSYGDGDINE >Ma03_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11518681:11520176:1 gene:Ma03_g14350 transcript:Ma03_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAASLVPPWLEPLLSTHFFAACPLHPDAPRSESNMFCLDCGASASSFCFYCRSDRHSGHRVIQIRRSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPQPRGTGRGGASGSSAAGPPSSSSPHTCEICARSLLDPFRFCSLGCKLAGIKRNGDATFVLHPGDEDASGGGESSSTAAAEESKGGRRGRWGGVSCEEVGHDARDPARPPPPPNSRRRKGIPHRAPFAS >Ma04_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20466297:20469656:1 gene:Ma04_g18490 transcript:Ma04_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVDALTEVLRKPTMGGVVAELAILAAPLWIAALVGLLLGWAWRPRWATGIAAGGEKAADSVVASSPPSDGLGGPSLDPPMASLLSCSGGSSVPQQPDGEKSAVTEKDLQHLCKLVEMTDGGPAWRKMMEKSLPNMSYQAWQRDPQTGPPQYRSSSVFEDATPEMVRDFFWDDEFRIKNGWDDMLLQYSTLEECPSTGAMVVQWVRKFPFFCSDREYIIGRRIWQSDRSYYCVTKGVPCSSVPRHNKPRRVDLYYSSWCIRPVASKRDGQMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKKVEPGFRAYQIARTSNEPVSRSSSMAQITTKFDADHLRSFEDNPVSSSDVVEVEKQKHWACNIPKFLVVGGAVALACTLDHGLVTKAVIFGVARRFAKPGKRL >Ma07_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3384870:3387641:-1 gene:Ma07_g04600 transcript:Ma07_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETMEQPLLQQADEEKGPGRSDGGEAERVSETKVEDYPPVREAREAWEVLVAESKKLWSIGAPITFNVLCLYGFSSITQMFVGHIGNLELSAVAIALNVVYLFNFGFLLGMGSALETLCGQAFGAGRVEMLGVYMQRSWIILVASSIVLCLLYIFATPALKLIGQEDDIADLAGKFTISIIPQLFSIAFIFPAQKFLQAQSKVLVMAWIGLLALLLHVGMLVLFIFVFDWGLGGAAAAFNISGWVVSLAQIAYIVGWCKDGWTGLSWSAFRDLWAFVRLSFASAVMLCLEIWYMMILTVLSGHLTNAEVAVGSISICMNINGWEGMVFIGLNAAISVRVSNELGAGRPRATKYAVIVILLESLAIGLICMVVVLATRNYFSIIFTSDKEMQRAVANIAYLLGITMVLNSIQPIISGIAVGGGWQGLVAYINLGCYYGFGLPLGFVLGFLLHLGVKGIWVGMLGGTLLQTLVLFFVIWKTDWSAEASQAAARVQLWGGQEAEKAQT >Ma06_p35530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35331946:35332905:1 gene:Ma06_g35530 transcript:Ma06_t35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRPDRSDAHLAPEEEVKAEAEAREYFDSIAPKRHTKPSRSEYSAVYSDSVPPSDGDSTPELDKFRDLEAHDQKLVCEGSEAAEEYVETEYYTDLNCIEKQHHTTGTGFIKMDKGDGNRFELTPACACDASGCHPHLQGNPATNEWIPSADTVRPSPDSHKPTRSDL >Ma09_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:360657:363470:1 gene:Ma09_g00440 transcript:Ma09_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRVRLASFFVGAASASVAGFCLLDKDYMLAHDAIAQQVKGIYENFDECYEGPSQAYVIALENQRETETSKPAEASD >Ma10_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32984179:32987809:1 gene:Ma10_g24320 transcript:Ma10_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATLRSILRRNSLLPLFETCRLRDLLFFSSYVDIAFAVCGTTSPDPHFVMEYLVNSCGFSPSEVAKFSKPITYLRSTDKPDVVLNFMRSQCFNGVGIRKLISWKASYLCMNVEKNLAPKFLFLLDLGLLESDIVDVILKNHVILLLNVHHSFVAKLEMWESLLGSRELVLKHLKKTGWFFFFSFEKTLHPNLKFLRDECGIPEKRASLVLRSHPQLISQKPESLRALVARADELGMPWLSRMFVRTLMFSKWGLNGICKTSCCVDQDYHCEFCVAVHEFLILQLSSASRILE >Ma11_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9132758:9134118:1 gene:Ma11_g09900 transcript:Ma11_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLLAKQVTYGDKIRGGAHRSLVEADAMEGTARRTPRYFGDGKGRVLSEEGRAAENVYIQKMERERVETLRRKAEKEKERLEAGKAESEKTTEEPRKKG >Ma06_p35600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35383232:35383789:1 gene:Ma06_g35600 transcript:Ma06_t35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLSCYLHCGLCLHSYQVGGSLYLISHNDRGACPVSPAMEDWCRLRKTLLNLTRVMPA >Ma08_p08120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5611213:5621690:-1 gene:Ma08_g08120 transcript:Ma08_t08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGPCIMSPSASRYRIFGSRFIELLTIIFISPIFSMLKSTVCFNFLAILPVFLVIPISSSLSLETKVLLEIKSHFKDPFNYLESWSELRSSCEFTGIHCDIDSGHVIGISLPNISLSGVISPSISLLPNLTTLELWENAISGVVPSAIAKCTNLQVLNLSANFLTGQLPDLSSLQKLQVLDLSKNSFDGKFPAWVTKISGLVNLVLARNNFDAGEIPENIGSLKNLTWLFLAKCNLQGEIPASIYELTLLGKLDLSYNQISGQLSKAISNLSKLYKIELYQNKLTGVIPPEVANLTLLREFDISCNQFTGKLPPEIGSLKMLTVFHVYMNNFSGELPQGFEDLKFLVSLSLYQNSFSGELPSNLGRFSPLEKIDISENNFTGDFPRFLCQNNKLQFLLALDNNFSGEFPESYNNCKTLIRFRISQNSFTGRVPDGLWGLPSAVIMDIADNGFIGGISSDIGMSASLTQLYVQNNRFSEELPGELGNLSQLDKLYASNNSFSGQIPSQFGNLKQLSSLHLQKNALAGTIPSELGTCDSLVDLNLAHNSLCGTIPGTLASLAFLNSFNLSHNFITGSIPNGLQTLKLSSIDLSDNQLSGEVPEGLLLIASEGALYGNEDLCIGTSNQKHRQLRSCSSSNSYKDATRKPIVVVLTILLVMFVLFFGLGFVRYWNLKLKKYFNRRDLKEGEEKDSKWLLQSFHPMVLNRAEISDLDEENLIASGGMGKVYRLDLNGNRGTVAVKKLWNTVSERVLTAEIGIMVKIRHRNIVKLYACLIGEESKYLVLEYMPNGNLYQALRREMKGGQPELDWNERYKIALGAAKAIMYLHHDCNPAIIHRDIKSANILLDEEYEAKIADFGIATVDDGSEWTCFAGTHGYIAPELACSLRVTKKSDVYSFGVVLLELITGRYPTDPYFGEEDIVSWISRLFKGQNLSEAYDPRLSSFAAEGMAKVLDIAILCTSERPSSRPTMREVVNMLTDANPASVVTTGKSSSKNW >Ma08_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5611213:5621690:-1 gene:Ma08_g08120 transcript:Ma08_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGPCIMSPSASRYRIFGSRFIELLTIIFISPIFSMLKSTVCFNFLAILPVFLVIPISSSLSLETKVLLEIKSHFKDPFNYLESWSELRSSCEFTGIHCDIDSGHVIGISLPNISLSGVISPSISLLPNLTTLELWENAISGVVPSAIAKCTNLQVLNLSANFLTGQLPDLSSLQKLQVLDLSKNSFDGKFPAWVTKISGLVNLVLARNNFDAGEIPENIGSLKNLTWLFLAKCNLQGEIPASIYELTLLGKLDLSYNQISGQLSKAISNLSKLYKIELYQNKLTGVIPPEVANLTLLREFDISCNQFTGKLPPEIGSLKMLTVFHVYMNNFSGELPQGFEDLKFLVSLSLYQNSFSGELPSNLGRFSPLEKIDISENNFTGDFPRFLCQNNKLQFLLALDNNFSGEFPESYNNCKTLIRFRISQNSFTGRVPDGLWGLPSAVIMDIADNGFIGGISSDIGMSASLTQLYVQNNRFSEELPGELGNLSQLDKLYASNNSFSGQIPSQFGNLKQLSSLHLQKNALAGTIPSELGTCDSLVDLNLAHNSLCGTIPGTLASLAFLNSFNLSHNFITGSIPNGLQTLKLSSIDLSDNQLSGEVPEGLLLIASEGALYGNEDLCIGTSNQKHRQLRSCSSSNSYKDATRKPIVVVLTILLVMFVLFFGLGFVRYWNLKLKKYFNRRDLKEGEEKDSKWLLQSFHPMVLNRAEISDLDEENLIASGGMGKVYRLDLNGNRGTVAVKKLWNTVSERVLTAEIGIMVKIRHRNIVKLYACLIGEESKYLVLEYMPNGNLYQALRREMKGGQPELDWNERYKIALGAAKAIMYLHHDCNPAIIHRDIKSANILLDEEYEAKIADFGIATVDDGSEWTCFAGTHGYIAPELACSLRVTKKSDVYSFGVVLLELITGRYPTDPYFGEEDIVSWISRLFKGQNLSEAYDPRLSSFAAEGMAKVLDIAILCTSERPSSRPTMREVVNMLTDANPASVVTTGKSSSKNW >Ma08_p08120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5611213:5621690:-1 gene:Ma08_g08120 transcript:Ma08_t08120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGPCIMSPSASRYRIFGSRFIELLTIIFISPIFSMLKSTVCFNFLAILPVFLVIPISSSLSLETKVLLEIKSHFKDPFNYLESWSELRSSCEFTGIHCDIDSGHVIGISLPNISLSGVISPSISLLPNLTTLELWENAISGVVPSAIAKCTNLQVLNLSANFLTGQLPDLSSLQKLQVLDLSKNSFDGKFPAWVTKISGLVNLVLARNNFDAGEIPENIGSLKNLTWLFLAKCNLQGEIPASIYELTLLGKLDLSYNQISGQLSKAISNLSKLYKIELYQNKLTGVIPPEVANLTLLREFDISCNQFTGKLPPEIGSLKMLTVFHVYMNNFSGELPQGFEDLKFLVSLSLYQNSFSGELPSNLGRFSPLEKIDISENNFTGDFPRFLCQNNKLQFLLALDNNFSGEFPESYNNCKTLIRFRISQNSFTGRVPDGLWGLPSAVIMDIADNGFIGGISSDIGMSASLTQLYVQNNRFSEELPGELGNLSQLDKLYASNNSFSGQIPSQFGNLKQLSSLHLQKNALAGTIPSELGTCDSLVDLNLAHNSLCGTIPGTLASLAFLNSFNLSHNFITGSIPNGLQTLKLSSIDLSDNQLSGEVPEGLLLIASEGALYGNEDLCIGTSNQKHRQLRSCSSSNSYKDATRKPIVVVLTILLVMFVLFFGLGFVRYWNLKLKKYFNRRDLKEGEEKDSKWLLQSFHPMVLNRAEISDLDEENLIASGGMGKVYRLDLNGNRGTVAVKKLWNTVSERVLTAEIGIMVKIRHRNIVKLYACLIGEESKYLVLEYMPNGNLYQALRREMKGGQPELDWNERYKIALGAAKAIMYLHHDCNPAIIHRDIKSANILLDEEYEAKIADFGIATVDDGSEWTCFAGTHGYIAPELACSLRVTKKSDVYSFGVVLLELITGRYPTDPYFGEEDIVSWISRLFKGQNLSEAYDPRLSSFAAEGMAKVLDIAILCTSERPSSRPTMREVVNMLTDANPASVVTTGKSSSKNW >Ma09_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9506101:9510841:-1 gene:Ma09_g13980 transcript:Ma09_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPPTPLPLSLECTLRPFLFSSSPHRPSPSSTAFFSNTTTLSLHKSRALPLLKLLRRFSSPSAPQPVTPSPLDSSPWPGAFLVPDGLQVLRDFCVTVELDHGGGGGSGGFLEIRPMLAEELDATAQLLAESFAESMFVPARYVPLLAFLVKQYVAERRCLEPHVTVLVGFYRERGTEGAAQLACTAEISLDARGANAAPPTPVPPRECPYICNMAVRKALRRRRIGWHLLRACEELMTQMKTERNVYLHCRVIDKVPFDMYQKAGYKVVKTDGFLVWLTLQRRKYLMSKELPHEIG >Ma04_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1824296:1827965:1 gene:Ma04_g02070 transcript:Ma04_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEMKATGPEASLDLLSHAWCNSAIQVFKPTVDECSSMFKDKQIVALENDKRAPSSLQKGERSFKVDEGDFKSTPQIKLDDLKSWIWLQKAIHPELDYDMCTRQKWFRKIASWNGISIKKWLKEMKQKRKEEKRLHKAEVHAAISVAGVAAALAAIAAEDTEANKQKSLKESAVASAAALVAAQCAQVAEAVGAKREQLSSAINEAVTVTDASNIITLTAAAATSLRGVATLRGRQSHTKKIKGSSPTLLCDELDFNFERCRALLAKGDEILVATSDGKCRLRSVSAILNKDDKVILKIKKINMLMALTTATECIINELHTNPLGEPTQDANGAYCIEMTTSQGKIDLKINDYVCYKKWITTINHMLMLSITFSRKGHVPLP >Ma04_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2564187:2565871:1 gene:Ma04_g03310 transcript:Ma04_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRKLLLLVALFHVFSSAILTESQSMIGINYGQVADNLPPASATAQLLQSTTISKLRLYGADAAIIQSLAGTDISLVLGVSNSDIPSLASDPSAAANWAAVNVLPYVPSSSISVVSVGNEAINSGDPSLASGLLPAMQNLRTALSASAAAASVKVSTVHSMAVLAQSDPPSSGAFHPDFAASLTGVLGFLRDAGSPFMINPYPFFAYRSDPRPETLAFCLFQPNPGRLDAGSKLTYTNMFDAQVDAVRSALDGLGFPEVEIVVAETGWPYRGDPDEVGTTVENAMAFNGNLVAHLRSLAGTPLMPGRSVETYIFALYDEDLKSGPTSERSFGLYRADQTMNYDAGLAKPSSSISNTASASPGWTQSAETCVPVVTVQARVEGRPMQSGERCYLPSAVGSPAVMGLLFQYVAVMLLLT >Ma04_p03310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2564184:2565871:1 gene:Ma04_g03310 transcript:Ma04_t03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRKLLLLVALFHVFSSAKSQSMIGINYGQVADNLPPASATAQLLQSTTISKLRLYGADAAIIQSLAGTDISLVLGVSNSDIPSLASDPSAAANWAAVNVLPYVPSSSISVVSVGNEAINSGDPSLASGLLPAMQNLRTALSASAAAASVKVSTVHSMAVLAQSDPPSSGAFHPDFAASLTGVLGFLRDAGSPFMINPYPFFAYRSDPRPETLAFCLFQPNPGRLDAGSKLTYTNMFDAQVDAVRSALDGLGFPEVEIVVAETGWPYRGDPDEVGTTVENAMAFNGNLVAHLRSLAGTPLMPGRSVETYIFALYDEDLKSGPTSERSFGLYRADQTMNYDAGLAKPSSSISNTASASPGWTQSAETCVPVVTVQARVEGRPMQSGERCYLPSAVGSPAVMGLLFQYVAVMLLLT >Ma03_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4643113:4647601:-1 gene:Ma03_g06760 transcript:Ma03_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKARASLPAMKASLKRDKGICKERKVEMQGNGSMIIANGPNKCQSRRERKIALQRDVDKLRKKLRKEESVHSALERAFTRPRGALPRLPPYLPSHTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIYSSSCKKSKKLGYDVHPLTRNSKTLEQPQFMKGSTSTQWSLNMGQNMPSSNKFVYGKFAPNKMNSSISITENQQGKENQLRTNIGKNCKQTPVKRDIKIAAATLGNRQVDAQPKCDALDHERTEKNSRRLSDEAILNQSSAPKEATLCKSSGPNKLSEDILKCLMNIFSRMSSPGNTKELLETSPVSYCSASLEETDSLDPYGICAEFGKRDIGPYKHLRAVEASSKFPNLLSSCSFFTCRLKCLLRELASADLSNLTHQQKIAFWINIYNSCMMNAFLKKGIPASPEIIIALMLKAVINVGGHLLNAMTIEHFILRLPYSLKHVCPKGSKSDHVTVRGLFGLEWPEPLVTFALSCGSWSSPAVRVYTAAQVDKELERAKRDYLQAAVGICTPNKLAIPKLLDWYLRDFAKDVESLMDWICLQLPDELRTEGIKCLEVARRSPIPQPIQVLPYEFRFRYLFVP >mito10_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000021.1:584032:584361:1 gene:mito10_g00060 transcript:mito10_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIVFLPYILRFQASPKFVHFPIKETVEHLAASTYITRFSVGSLSSSLSWTLSFSLLRYFSTITVFFQNFPAGVSNDPGLSIMMDILTNQERIEMNSYDSNTIEQSSF >Ma07_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29550470:29552661:-1 gene:Ma07_g21440 transcript:Ma07_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKQRWKLSFRRSVATSPSTSASTSPAPSFTAEMPVEFLCPISRSIMADPVIIHSGHTFERSCIQACLDLAFPPPGLPLDLHLPFSSPEAAPPLLLISNAALKAAIVAWCSRCGLPPPLPIPPEAAVAIVRRLMPQDQPLPPSAAASVATGSAAVLAGGGKDGKDEVCNGGKEKGMELRASEFVDGGKDAKDGILPSVLEESKQTMNPTTSPPLSERQRGKNKSSSFSSASTPSSASYHSSSSYSSSEIVVVDERLGREQAPRFTNSPSRRTTDAMEEVLTKLTDLDEGDQESAAAALRQATRESCDRRVALCTPQLLGALRPMLLSSCAAVQINTAAALANLSLEPVNKVRILRSGIVPAIVEVLRRGHSEARDHAAGALFGLALQDENRTTMGVLGAIPPLLNLFARHSADGPRARRDAGMALYHLSLAAANRTKIARAPGAVHALLAVAREREEEAATLGQGTKPARIALMVIHNLASCNEGRAALMDAGAVAALVALMRGPPLEAAEEEHCVAVLYWMSQGSLRFRGLAKAAGAEQELTRVATEGGGRGPRGEMARRALRAIQGEEGDNEAAASLGFDLGDDVSSALSDGLMSLRPRRNVFDNRPAGINSAKF >Ma06_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7147344:7149445:-1 gene:Ma06_g10350 transcript:Ma06_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCARRVVVDARHHMLGRLASIVAKELLNGQRVVIVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKIKRMVIPDALKVLRLQPGHRYCLLGRLSSEVGWNYYDTVKVLEAKRKERAAVAYERRKQLTKLRLKAEKAAGDKLGSQLDILAPLKY >Ma04_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2205127:2206539:-1 gene:Ma04_g02630 transcript:Ma04_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAEDGRMSLSDTVFSFLEEGERGAEASYGSSCGGYGDDGFDSGDEGTSESSAKNEAFWESQKQLLQEAMSRTSSTEAKLLQRTKYAVNKMLQADPVDCTCSNRMAKECRNCALACIAQQLRELGYDSALCKSKWRRSADIPSGQHSYIDVVMEARNSKKGTIRLVIEPNLRAEFEMARGSREYNSLVSCLPKIFVGKSEKLRGVVKIMCAAAKKCMKENKMHMAPWRKHKYMQSKWFGTPERTGPGASSPAVVSDRQPKLRASMLTFDLHCTEVEVS >Ma05_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5594094:5597384:1 gene:Ma05_g07700 transcript:Ma05_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRGFPCSLLCLLSFVAAAGASLGSARLALRQGDALNEFIFDVTKLRREAVDVASSKASMFLDDLTSRVHDNDGLKEKDKIVKLPGQPQGVDFDQYAGYVTVDARSGRALFYYFAEAVGRDNSSKPLLLWFNGGPGCSSFGYGAMEELGPFRVMSDGRTLYRNPYAWNAVANVLFLESPAGVGFSYSNTTSDYDKSGDQRTAEDAYVFLLNWMERFPEYKGRDFYLAGESYAGHYVPQLALTILQHENRSASAAAINLKGIAIGNAAIDMESDNRGMYDFFWTHALISDATVDAIHKHCNFSSEDDTQPPQCAQALQDAERVFEELDIYNIYAPRCSSSSLSPTPKKPEIDNFDPCSGVYIKAYLNDPDVQKALHANVTKLDYPWSGCSQVIPNWKDSPSTILPIIRQIQANGVQVLVYSGDIDGRVPVTSTTYSLSLLKLPVKAPWRSWTVNDQVGGYAVVYDGNLTFATVRGAGHEVPSYQPARSLEMIKSFLNGENLPA >Ma08_p29590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41138002:41142675:-1 gene:Ma08_g29590 transcript:Ma08_t29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKLTLYSYWRSSCSQRVRIALNIKGLEYEYKAVNLLKGDHFDPEFEKLNPMKYVPALVDGDTVIGDSFAIILYLEDKYPQHPLLPQDPKKKALNLQAASIVGSSIQPLQNLPVLQFIENKFNADEKLTWAQNHINKGFAALEKLLKEHAGKYATGDEVQLADVFLAPQIYAGLVRFQIDMSLYPTLARLNDAYNELPAFQAALPQRQPDAPSPS >Ma02_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8287854:8295236:1 gene:Ma02_g01180 transcript:Ma02_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNRWSRSRGHITPAFSLLLSPFSPSLLTDLYFFRCCEIGRGGGMAEQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKIMMAK >Ma02_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27359536:27362016:-1 gene:Ma02_g21830 transcript:Ma02_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMNGGGCGGAESKVPALWRLADEMNAPGTECRALEAEYVRSFHRHVPNENQCSSAIFKHIKAPVHHVWSLVRRFDQPQKYKPFVSRCIVQDGTGVGSLREVNIKSGLPATTSFERLEHLDDDERVLSIRIVGGDHRLKNYSSIITAHPETIDGRPATLVIESFVVDVPDGNTKEETCYFVEALIKCNLKSLADISERLAVQDYTEPIDC >Ma10_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15057262:15060445:1 gene:Ma10_g04380 transcript:Ma10_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARRRSPGLRRALCCTFAASTQSPDRPRHDCPHPRRRPSKPPPKTPCPGSLHSSPSPTSRLGLGIIDRRRILSPGRVSPIDSDAPLGPLPEISDSVSIASVVAESEPERPDPVSKDRSLASENPDAENRGCFGESGSELKLSLKGKDGRCLVLELEPGILSESSALLAAMLSKSARQVPDASGDSCKIEFSGLENVDVFKETIELMYAKDAARWLIKGGVSRAIDILEVSSTIMFDRGMKSCLRYIEAVPWSENEEEKLKSLFARCKFDEAISEDMLARLGPQGQNNPEDLAVHLIQSITNGTNTKARKEMQSLVSGLLSKSSVYQKDPAGVDKERLYDTCHSCLNSLVHLFEEASDRGIVGRMETKPLIERVSKQVENLNWLLEILMEKEMAEDFVHMWANQEELIKMHGRASPMMRYELSRISASVFIALGRRKLQCHGDERFAVLRAWFEPMLWDFGWLQRCSKGLDMRTLEESLGQALLTLPLKQQQSFFEEWFRCFAEHGTGCPNLSKAFQVWWRRLFWRSQKHADEFFNT >Ma11_p04860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3797296:3803568:-1 gene:Ma11_g04860 transcript:Ma11_t04860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFQKRRVQLLLFIAGLITLSMTAEKFREFVGEEASSKSGKFTFLNCFDMGSGSLACVVKEGVKLYVYNIRNAHVERARQLATEIALTEALTEGLSASVAAKQAQKTGAKAAKEASRKAKRILGPILSSGWDFFEALYFGGTMTEGFLRGAGTLFGTYAGGYHGEQRLGRFGYLMGSHLGSWVGGRMGLMVYDIVNGIDYLLHFVQPEEETSSGSEDAYNSRENVDYENPSEQNPEASEDWRLF >Ma11_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3797169:3803568:-1 gene:Ma11_g04860 transcript:Ma11_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFQKRRVQLLLFIAGLITLSMTAEKFREFVGEEASSKSGKFTFLNCFDMGSGSLACVVKEGVKLYVYNIRNAHVERARQLATEIALTEALTEGLSASVAAKQAQKTGAKAAKEASRKAKRILGPILSSGWDFFEALYFGGTMTEGFLRGAGTLFGTYAGGYHGEQRLGRFGYLMGSHLGSWVGGRMGLMVYDIVNGIDYLLHFVQPEEETSSGSEDAYNSRENVDYENPSEQNPEASEDWRLF >Ma05_p25640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37516714:37517699:1 gene:Ma05_g25640 transcript:Ma05_t25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G14150) UniProtKB/Swiss-Prot;Acc:Q9XI73] MGSFAKHMILCHMTPTPSTPGHHHHQQRRGVEPPPRPPAVAEEKPNHSTRRWTVLSTVLTASTALGLQRAPPAALAQAEQSWGTRSFIKEKYFQPGLTPEEAAARIRQTAEGMRDMRHMLDTMSWRYVMFYVRLKSAYLDADLKNAVAAVPEPRRKAFVKTANELVDNMAELDRYIRSPKVYESYLYYEKTLKSLDELVALLA >Ma08_p34060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44157424:44157821:1 gene:Ma08_g34060 transcript:Ma08_t34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQMPGESSWGHEVKRATMALVGSLTQKLRENSRAGAGACRSSVNSEDPMRKLMFLGPWSHT >Ma09_p28150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38979565:38982132:-1 gene:Ma09_g28150 transcript:Ma09_t28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSWCWLIVDHVLLGEGFDRRRRLSFFVHPVFVVLFQLYVFAQLLITSLSNPIFCAFRIARSVIVTVFLFVRCFLFYVSEVDEISSFTTNASQTPANPDTFQCIVTHDDCGGHLQVCSLGLYSEGGEDEDEEHEESSLHVEHSSILGELNFASASHHLFPGLQERAYELADEEDEESTASSVAYDLYDPRLSLDIYEYGDADRMDDHGGLMVQTEDDPDIDDYSQHVSVFRTESKWVEIDLFYETYRGRMRWFDQLNDERRIAVSVVLGKKLGATSFHDRIDPLNFSFPVVAHKKLAKSIESDFELVYVGQSCLSWEALCHQHRKVKLISDAHRGCFHGEVAERFQQFQILLERFIETENCESKRFWNYAQTKFCNAQLLQIPDVSGYVEDVGEGTKGETMEGSQVSEAIEKAMSSFWLFLRSDNKRCRGILKKLKLSDCQVEDPKDLQLFTSLSKEAHKKNEKMKALVKKSRSTKTTPPREEIQVENLICLVDINLVIRVLKMSVVTSAQLRWCHEKLSSIEFKQGIVRTPNASGLLFPHS >Ma11_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4671023:4701280:-1 gene:Ma11_g05890 transcript:Ma11_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKSLDPAFQGAGQKVGTEIWRIENFQPVPLPKSDYGKFYSGDSYIILQTTSGKGGYGYDTHFWIGKDSSQDEAGTAAIKTVELDAVLGGRAVQHRELQGFESDKFLSYFKPCIIPLEGGFTSGFKKPEEQKFETRLYICRGKRVAKIKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNVQERAKALEVIQHLKDKYHDGTCDVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKVFTEDDLVLETAPVKIYSITGGQLKLEEDNPSKALLENNKCYLLDCGAEIFVWVGRVTQVDDRKAASKAAEDFIIDQNRPKMTRITQVIQGYETQSFKSNFESWPVGTGTGTGTSSGDEARGKVAALLKQQGVDVKGAPKGSLVSEEIPPLIEGNEKLEVWLINGSAKTPIPKEEIGKFYSGDCYIVLCTYRAGDKKEEYYLCCWIGKDSIQDDRVMSTRLCNTIWNSLKGRPVQGCVFQGKEPPQFIALFQPMVVLKGGISSGYKKFLADKNLNDETYTSDSIALIQVSGTSVHNNKAVQVDAVAASLSSNDCFLLQSGSSLFAWNGNSSTTQQQQWAARVAEFLKPGVALKHTKEGTESSAFWFALGGKQSYTSKKVIQDIVSDPHLYTISYVKGNLEFTEVFNFSQDDLLTEDMLILDTHSEVFVWVGQYVDSKEKQKAFHIGQKYVDLAVSLEGLSSDVPIYVVIEGYEPCFFMTYFSWNSAKAIAQGNSFQKKLSLLFGPVMHASESNKPNNVNHNGPTQRASALAALSSAFNPSSSSLLQSNNKPNNVNHGGPTQRATALAALTSAFNPSSSSLPQSNYKANDVNHDGPTQRASALAALSSAFNPTSSTKTLVPKPSGPRQGSQRAAAVAALSSVLTAEHKSADSDTSTARISRSPSPGPRVAVTVPLRTQSVDSGLENLLESSAGKETIELDGYQSENNVLDTEATEDSNKGEPGGECTYSYEQLRATSSNPVRGIDYKRREVYLSDAEFQTVFGMTKELFYQQPQWKQDVQKRKKELF >Ma11_p05890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4671023:4702553:-1 gene:Ma11_g05890 transcript:Ma11_t05890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKSLDPAFQGAGQKVGTEIWRIENFQPVPLPKSDYGKFYSGDSYIILQTTSGKGGYGYDTHFWIGKDSSQDEAGTAAIKTVELDAVLGGRAVQHRELQGFESDKFLSYFKPCIIPLEGGFTSGFKKPEEQKFETRLYICRGKRVAKIKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNVQERAKALEVIQHLKDKYHDGTCDVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKVFTEDDLVLETAPVKIYSITGGQLKLEEDNPSKALLENNKCYLLDCGAEIFVWVGRVTQVDDRKAASKAAEDFIIDQNRPKMTRITQVIQGYETQSFKSNFESWPVGTGTGTGTSSGDEARGKVAALLKQQGVDVKGAPKGSLVSEEIPPLIEGNEKLEVWLINGSAKTPIPKEEIGKFYSGDCYIVLCTYRAGDKKEEYYLCCWIGKDSIQDDRVMSTRLCNTIWNSLKGRPVQGCVFQGKEPPQFIALFQPMVVLKGGISSGYKKFLADKNLNDETYTSDSIALIQVSGTSVHNNKAVQVDAVAASLSSNDCFLLQSGSSLFAWNGNSSTTQQQQWAARVAEFLKPGVALKHTKEGTESSAFWFALGGKQSYTSKKVIQDIVSDPHLYTISYVKGNLEFTEVFNFSQDDLLTEDMLILDTHSEVFVWVGQYVDSKEKQKAFHIGQKYVDLAVSLEGLSSDVPIYVVIEGYEPCFFMTYFSWNSAKAIAQGNSFQKKLSLLFGPVMHASESNKPNNVNHNGPTQRASALAALSSAFNPSSSSLLQSNNKPNNVNHGGPTQRATALAALTSAFNPSSSSLPQSNYKANDVNHDGPTQRASALAALSSAFNPTSSTKTLVPKPSGPRQGSQRAAAVAALSSVLTAEHKSADSDTSTARISRSPSPGPRVAVTVPLRTQSVDSGLENLLESSAGKETIELDGYQSENNVLDTEATEDSNKGEPGGECTYSYEQLRATSSNPVRGIDYKRREVYLSDAEFQTVFGMTKELFYQQPQWKQDVQKRKKELF >Ma07_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1590549:1593123:1 gene:Ma07_g02060 transcript:Ma07_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDRKYAHSQYSSLLLRSIHASQRYMKAFSRCIPSKPLRPCLPPTSYRSVAALLLPASSVPLPNSSPPHPLVETFASLCHRGAFSDAIAAMGSLEVHGLRADPISYSNLIKLCIRNNAVDEGRLVHRHLSSDGDHPELFLSNTLMSMYTKFGLVYEARQLFDRMGERNVVSWTTMISVMTNLKRNEEALRLLISMQREGVAPNMYTFSAVLRACQTLASLWSVHCCIVKYGLESDVFVRSSLIDVYSKFGDLDYGYRVFKEMATRDLVVWNSIIGGFAQGGDGYKAINLFAQMKRAGFLANQGTLASVLRACTGMVLLEMGRQVHVHVLKHERDLILNNALLDMYCKCGSLEDADAVFQRMSERDVISWSTMISGLAQNGRSGDALKLFESMKILGPKPNHITIVGVLFACSHAGLAEDGWYYFRSMKKLFDLQPGREHYGCMVDLLGRAGKLEEALKFIQDMNFEPDTVIWRTLLGACRVHKNANLAAHAAKEILRLQPDDEGAYILLSNIYADSHRWNEVEQVRKSMRDHQVRKEPGRSWIEVGKKIHVFIVGDMSHPRADGIEKELSRLISRIANIGYVPDTDFVLHDVGKEQKEESLRYHSEKLAIAFGVLNSTRSKPIRIMKNLRICGDCHTFAKLVAKSEDMTIIIRDPVRFHHFQDGACSCGDYW >Ma03_p27950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31168094:31173065:-1 gene:Ma03_g27950 transcript:Ma03_t27950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGRSDDDPTEEEDDNEDDDAASASCSLVPSPTASASAGASSPRTMTTISRHYFGGDSSADDHVFFIDIIENMREDYGMFVWPCSKVLAEYIWQHRSRFSGVSVVELGAGTSLPGLVAAKVGANVILTDNSYQAEVLDNMKRICDFNKLNCEILGLTWGEWDEPMFNLHPQIVLGADVLYDSTDFDDLFATVAFLLENSPGSVFMTTYHNRSGHHLIEFLMVKWGLKCSKLLDGYSFMPPCKACSLQGNIQLVEITLDKRFSG >Ma03_p27950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31168094:31173065:-1 gene:Ma03_g27950 transcript:Ma03_t27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSGRSDDDPTEEEDDNEDDDAASASCSLVPSPTASASAGASSPRTMTTISRHYFGGDSSADDHVFFIDIIENMREDYGMFVWPCSKVLAEYIWQHRSRFSGVSVVELGAGTSLPGLVAAKVGANVILTDNSYQAEVLDNMKRICDFNKLNCEILGLTWGEWDEPMFNLHPQIVLGADVLYDSTDFDDLFATVAFLLENSPGSVFMTTYHNRSGHHLIEFLMVKWGLKCSKLLDGYSFMPPCKACSLQGNIQLVEITLDKRFSG >Ma10_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25077903:25079994:-1 gene:Ma10_g11620 transcript:Ma10_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREELIIVVREYDAETDRSAAEAVDRICEVGTSGKVSLCMDLLGDPVSRIRHSPAYLMLVAETSGPVREIVGVIRGSVKIVACGRKMPRQGGGGTRTHGPAAAPIYTKVGYILGLRVLPSHRRMGIGLKLVKRMEEWFGEKGAEYAYMATTKGNDASLGLFTGCGYSKFRTPSILVHPVFVHRLPVPRSAAVLRIPPADAEVIYRRRLAATEFFPRDIDAVLANPLSVVTLLAVPAGCAASERWSGAEAFLAAPPESWAIASVWDCGGVFRLEIRGASRLRRAAAAATRAADRAMPWLRIPSVPDLFRPFGAWFLYGIGGEGPAAATMAAAVWREAHNTARGAAAVVAAEVAETEPLRRGIPRWRLLSGADDVWCVKRLAEEYSDGAVGDWTKSTPGPSIFVDPREL >Ma08_p15430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15515420:15526641:-1 gene:Ma08_g15430 transcript:Ma08_t15430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNQSRAERTQGQLRKPSRSGSSGQQRGSIGSGALGKGGSSAPSPISSSASSAAPSSISPSLSTNRSIKKSGNGHGGQSRIIPASTTSEASGAAPSTTAHRAVQNGAQAPQPSPGFSDASVPSGAKLIDMPTPRNASRGIPKSPYSQSATGASSSSTTSAPPKGDTSRTFPLQFGSINPGIVNGLQIPARTSSAPPNLDEQKCDQARIESFGAAPTLPVASIPKQQQQQQARKDVSGAQQSNSVEAHPLPQSKRDVSIPVPSASVTSMPKSSVLPIPGMPPMPMPMPFQPHQPQIPPQLGGPSPQMQSPGLAANSLQMTMTLPVGNVPQVAQQIYVPGIQSHFVQQQAMMHQGQGLGFAPPISHQLSQQLGNMGMGISSQFPQQHMGKFSGPRKTIVKITHPETHEVLRLDKRMDSSKDGVSSGQRSLSNVIPQAQPIPTYSAAHQMNYYAPMQQNSYSPSPLIFTTTTVPLTSGQVPLSSQAPKYSYPVSQSGQNLSFMKSSMANAVPGGKPALSMPEAVNLEGLPVSTSLPYAVQINVKGLQSEIVGASSGTPPVVISMPLTEAEPVKSVKTVADATVSCHKNNETSPDGPAQQLKSGSEPLLTLPVLDKSSAAAPPVLSSQRMLSEASSTPESRTGDSGSVQSGSDIRKREPLRRSDSLKDNQKKQNRKDLRNSQQEHQLDVSSPEGAKLSSPKPTKSSYAGELIYQEGCTNTENTEAVLASDLATPSAWSCNKAENMILSEVGATEPFKGEIMPAASGLSGSILEKEASQGTSLFHADSFGSAPDGVSIKEDVPSEVTTSLSPMMDGTNSRSLCTSSCLVNEVLDVMRDEMLDVTKHEKSEVSDASLQDSSDNNVHQPSTTKKSYKLFDPVMLLKQDDGGGNDGKVKFSDYHEADNKQFSSFVVGTKEGESRIANEENKTIDASLDPADSGTAPSNDIRSANDDKDKVDIFTTKCEIKYSEDIGLTDSGVIETAPVPSPSLSEVTQKSESEVVGLHSGLVSATSLRQKEKPSLETLKPKITTTRKKKRKEILSKADAAGTSDLYNAYTGPEEMHETVSNPESIDNSMTDTKSAHVDFTNKEVAASEEDGQNKAELDDWEDAADISTPKLKTSEHGHSADGHDYDGDEATTQKKYSRDFLMTLSQQFTELPVGFEIGSDISDALMSTPLGKSPCPSPGRIIDRPSGASRVDRRMVGNLDDEKWTKSPSFGLGRDRLDIGHGAAIVSLRPGQGVSHGVLRNPRGQASNQFGGILSGPTQSVASQGGMPRDADRWQRARGLMPSPQTPLQVMHKAERKYEVGKAVDQEEGKQRQLKAILNKLTPQNFEKFCAQVKEVNIDSAATLTGVISQIFDKALMEPTFCEMYANFCFHLSGALPDFNEDNERITFKRLLLNKCQEEFERGEREQAEANKVEEEGEIKQSEEEREKKRLRARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDVEALCKLMSTIGEMIDHPKAKEHMDAYFDMMTNLSTNQKLSSRVRFMLRDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSSRLARGPVISNFPRRGQVVDYGSRGSTPLTSPNSQQVGSLRGLPTQARGYGTQDVRLDDRHHFETRTVSLPLPQRSTDDDSITLGPQGGLARGMSTRGHPSISISNVLASESPAVGEHRRLTSGPNGTSYMADRFSGTAHDQVKPHDRTSYYGSRDFKISDHTSDRSVMSILPAGRTHGTSDSSLTSASEIRTLPEEVLREKSILAIREFYSAKDENEVALCIKELNAPSFYPSVISLWVTDSFERKDAERDHLTELIINLCKSRDSLLNQVQLLQGFESVLSSLEDSMNDAPRAAEFLGRIFAKFVMEDMVTLREIGRLLCEGGEEPGRLRETGIAADVLSNIFETIRSEKGGTILNEIRASSNLPLEDFQPHPKQSKMDAFL >Ma08_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15515219:15526641:-1 gene:Ma08_g15430 transcript:Ma08_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNQSRAERTQGQLRKPSRSGSSGQQRGSIGSGALGKGGSSAPSPISSSASSAAPSSISPSLSTNRSIKKSGNGHGGQSRIIPASTTSEASGAAPSTTAHRAVQNGAQAPQPSPGFSDASVPSGAKLIDMPTPRNASRGIPKSPYSQSATGASSSSTTSAPPKGDTSRTFPLQFGSINPGIVNGLQIPARTSSAPPNLDEQKCDQARIESFGAAPTLPVASIPKQQQQQQARKDVSGAQQSNSVEAHPLPQSKRDVSIPVPSASVTSMPKSSVLPIPGMPPMPMPMPFQPHQPQIPPQLGGPSPQMQSPGLAANSLQMTMTLPVGNVPQVAQQIYVPGIQSHFVQQQAMMHQGQGLGFAPPISHQLSQQLGNMGMGISSQFPQQHMGKFSGPRKTIVKITHPETHEVLRLDKRMDSSKDGVSSGQRSLSNVIPQAQPIPTYSAAHQMNYYAPMQQNSYSPSPLIFTTTTVPLTSGQVPLSSQAPKYSYPVSQSGQNLSFMKSSMANAVPGGKPALSMPEAVNLEGLPVSTSLPYAVQINVKGLQSEIVGASSGTPPVVISMPLTEAEPVKSVKTVADATVSCHKNNETSPDGPAQQLKSGSEPLLTLPVLDKSSAAAPPVLSSQRMLSEASSTPESRTGDSGSVQSGSDIRKREPLRRSDSLKDNQKKQNRKDLRNSQQEHQLDVSSPEGAKLSSPKPTKSSYAGELIYQEGCTNTENTEAVLASDLATPSAWSCNKAENMILSEVGATEPFKGEIMPAASGLSGSILEKEASQGTSLFHADSFGSAPDGVSIKEDVPSEVTTSLSPMMDGTNSRSLCTSSCLVNEVLDVMRDEMLDVTKHEKSEVSDASLQDSSDNNVHQPSTTKKSYKLFDPVMLLKQDDGGGNDGKVKFSDYHEADNKQFSSFVVGTKEGESRIANEENKTIDASLDPADSGTAPSNDIRSANDDKDKVDIFTTKCEIKYSEDIGLTDSGVIETAPVPSPSLSEVTQKSESEVVGLHSGLVSATSLRQKEKPSLETLKPKITTTRKKKRKEILSKADAAGTSDLYNAYTGPEEMHETVSNPESIDNSMTDTKSAHVDFTNKEVAASEEDGQNKAELDDWEDAADISTPKLKTSEHGHSADGHDYDGDEATTQKKYSRDFLMTLSQQFTELPVGFEIGSDISDALMSTPLGKSPCPSPGRIIDRPSGASRVDRRMVGNLDDEKWTKSPSFGLGRDRLDIGHGAAIVSLRPGQGVSHGVLRNPRGQASNQFGGILSGPTQSVASQGGMPRDADRWQRARGLMPSPQTPLQVMHKAERKYEVGKAVDQEEGKQRQLKAILNKLTPQNFEKFCAQVKEVNIDSAATLTGVISQIFDKALMEPTFCEMYANFCFHLSGALPDFNEDNERITFKRLLLNKCQEEFERGEREQAEANKVEEEGEIKQSEEEREKKRLRARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDVEALCKLMSTIGEMIDHPKAKEHMDAYFDMMTNLSTNQKLSSRVRFMLRDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSSRLARGPVISNFPRRGQVVDYGSRGSTPLTSPNSQQVGSLRGLPTQARGYGTQDVRLDDRHHFETRTVSLPLPQRSTDDDSITLGPQGGLARGMSTRGHPSISISNVLASESPAVGEHRRLTSGPNGTSYMADRFSGTAHDQVKPHDRTSYYGSRDFKISDHTSDRSVMSILPAGRTHGTSDSSLTSASEIRTLPEEVLREKSILAIREFYSAKDENEVALCIKELNAPSFYPSVISLWVTDSFERKDAERDHLTELIINLCKSRDSLLNQVQLLQGFESVLSSLEDSMNDAPRAAEFLGRIFAKFVMEDMVTLREIGRLLCEGGEEPGRLRETGIAADVLSNIFETIRSEKGGTILNEIRASSNLPLEDFQPHPKQSKMDAFL >Ma07_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20226766:20227575:1 gene:Ma07_g17520 transcript:Ma07_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRPGKLSDRFPQPYSNEQAARFANGGVYPPDLSLISKARHNGQNYVFALLTGYRDPPAGVLVRFQITINALVVANLVSTFSCFHLHEHVTLFVTLLSATPLDLSSYICNLH >Ma05_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9998546:10001948:1 gene:Ma05_g13760 transcript:Ma05_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLGDWPSYDPHNFSQLRPADPSAQPSKLTPATYHPTHNRTLPPPNQVISNEARNILLRHFYQKSEEKFRPKRAASDHLTSEHNCKQPRAAYADGAH >Ma04_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24423034:24429564:-1 gene:Ma04_g22000 transcript:Ma04_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNRTVVDETLRGKECSKNGAIQNGREILLQAFNWESHKHDWWHNLERKVGDVAKSGFTSAWLPPATNSLSKEGYLPQNLYSLNSSYGSQDGLKSLLQKMHQHKIRAMADIVINHRVGTTRGHGGTYNRYDGIPMSWDERAVTSCSGGLGSRSYGENFHGVPNIDHSQAFVRKDIIGWLKWLRKDIGFQDFRFDFAKGYDPKFVKEYVEESKPVFSVGEYWDSCNYVAPDSRLDYNQDSHRQRIINWIDKTGQVSAAFDFTTKGILQEAVKGQLWRLRDSQGKPPGVVGWWPSRAVTFIENHVTGSTQGHWPFPSDHIMEGYVYILTHPGIPTVFYDHFYDWGNSYHYQIMKLMNIRCHQDIHSRSKINILEARSDLYAAKIDDKICMKIGNGSWCPSGREWTLATSGNRYAVWHK >Ma09_p17300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12854491:12884514:1 gene:Ma09_g17300 transcript:Ma09_t17300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVNAIRRGLAPHLKSLMGPWWYSQFDPIPEVSQSARRSLEAAFPAQERRLDALMFCVNEIFLYLDENLKLTPQSMVDKAIPADELEDMHQRAISSSLLAVATLVDILLGTKMQNCDNESSLPEQKLVSKARTATIYSAENMLATHKCFLQYMKSKYPIVRTATYSILTSFVKHIPHAFNEEYMKVLSSAILGAFQDKDASCHSSMWDMILLFSRKFPNGWSYCNVQKVFLHRFWQFLRNGCYGSQQISYPVLVLFLDSVPTDVDLGEQFIYDFFQNLWDGRHSSHYSAANTLALFGAFKECFLWVLRNVSRYFTARDGNNDPAIKLTNDILVELLLNDYLMLPSLKKQDGNLLVRSDVSTDDGKENSKQRTSSSYEASYIQELVRCIVGILVDISLEDRNLLNVFSTSFQKDCLEILWQGECLQNFHEHVERITRFFLLLDELVLQKGHDWPLKFLGQPLIMTTFPVIKSMDSPDAVKLLSVLIEIFGSVVILSNFVSPKDEVRVEVNVEHFLQTFNSDLIPWCLQGNSNSSSLKLDLLLDLIQDECFSKQWCSIINHSIKQYEMSDNSSHIEVLAMLIEKVRERIRTKTLVNLQRSGFFPERWQHNLLDSVAITIAHHSPVRSCHAQFLCAVLGGSVEDDQVCFLSEEACTIVWEEILKNLASFLTSFSFCWAEFACSLFQCSESKDLLKLQEPSFSTRFAMAQFAFEVLKGSIYCLNIIDKNCSLVSSILAALFIVDWQYSITSQVCQDDSSEGLKNTTDIDVSVCATQNVISNDSKEQDDAMLNLGRKIHALRHTISSSFWKSLSADTRSRLGNIIVQTVRFVLLDTDDLVAPEISHSCCEWMLDILEIICHNKEELQILLDQLLSEGKSWPLWVKPFIRRGSILATFQEATSTGINEHSNYRFVAFVDKLIARLGVNIVIAGFTETCTSVASPDTEIVSSFPSPYKREWLAAEMLCSWDWKESSVTESFLPLLNKYAKTEASIPEANVTSSIINMLLDGTIMHGSYDQWISFDSWKVPHNEAEKINDPFLRGLVSMLSSMFVDEKVGGNFAAIVLFEQLVDRLFMDTSIDQSCLRVLPFVISITIQSLLESSESTDAIANISLSSTEDNLVRTYIISWLEKSLSFPSLCLGKTEQNVGEWIQVVISCFPLRTTLETGNSIVDLLRHASNNESSLLLSLFRKQLYCYDASAAIDQISPISSSSGDLVSSLLVQIHHAKLTAVSVGYCWQEFVEDDWNYVLDKSHRWIELSVLLMEEIAESIDDAIVHYTTTDDLEHTAKKLELSVQAYDSLIISISTTALVIFRLVSQLEEHKTDSTNALHLLRLGKWADMKDRIMASILRLFFATGATEAIAMSCNEVFSTIVASSRLPYSYFWGLVASFVSNSPKHVKSAAAESMELWGLSKGSINALYAILFSSRPISYLQFAAYSLLSSEPMCHLSLAKESSLEGEGNLFVESDLSSNVELSTEGIFSFRDELSSLIQKPSAELLKMDLLSQDRVNLFIAWALLLSCLNSFPSSSKAREKIVQHIQDSISPMILDCIFQHIPLKIGASNLKKKELELVVEASKAANAAKHSITTCSLTLYVQSLWPVGNETVASLAGSIYGMMIHRLPSYVRNWFSSLRDRSLLTVIESFTKAWCSPPLLLNEFSQVKETVFADENFSVSVNRSASEIIATYKKEETGMDLVIRLPSSYPLRPVDVECTRSLGISEVRQRKWLLSLTAFIRNQNGAIAEAILIWKSDFDKEFLGVEECPICYSIIHTTNHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >Ma09_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12849270:12884258:1 gene:Ma09_g17300 transcript:Ma09_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKGDGGRSKTRPSSSSLAASLLPSGVSTVGFGGYLGNSRVESSSPADDSLPFSDVDSEMAQHLKRLGRKDPTTKLKALTSLAVLFKQKSSEEIVQIVPQWTFEYRKLLHDYNREVRQATHVTMTSLVNAIRRGLAPHLKSLMGPWWYSQFDPIPEVSQSARRSLEAAFPAQERRLDALMFCVNEIFLYLDENLKLTPQSMVDKAIPADELEDMHQRAISSSLLAVATLVDILLGTKMQNCDNESSLPEQKLVSKARTATIYSAENMLATHKCFLQYMKSKYPIVRTATYSILTSFVKHIPHAFNEEYMKVLSSAILGAFQDKDASCHSSMWDMILLFSRKFPNGWSYCNVQKVFLHRFWQFLRNGCYGSQQISYPVLVLFLDSVPTDVDLGEQFIYDFFQNLWDGRHSSHYSAANTLALFGAFKECFLWVLRNVSRYFTARDGNNDPAIKLTNDILVELLLNDYLMLPSLKKQDGNLLVRSDVSTDDGKENSKQRTSSSYEASYIQELVRCIVGILVDISLEDRNLLNVFSTSFQKDCLEILWQGECLQNFHEHVERITRFFLLLDELVLQKGHDWPLKFLGQPLIMTTFPVIKSMDSPDAVKLLSVLIEIFGSVVILSNFVSPKDEVRVEVNVEHFLQTFNSDLIPWCLQGNSNSSSLKLDLLLDLIQDECFSKQWCSIINHSIKQYEMSDNSSHIEVLAMLIEKVRERIRTKTLVNLQRSGFFPERWQHNLLDSVAITIAHHSPVRSCHAQFLCAVLGGSVEDDQVCFLSEEACTIVWEEILKNLASFLTSFSFCWAEFACSLFQCSESKDLLKLQEPSFSTRFAMAQFAFEVLKGSIYCLNIIDKNCSLVSSILAALFIVDWQYSITSQVCQDDSSEGLKNTTDIDVSVCATQNVISNDSKEQDDAMLNLGRKIHALRHTISSSFWKSLSADTRSRLGNIIVQTVRFVLLDTDDLVAPEISHSCCEWMLDILEIICHNKEELQILLDQLLSEGKSWPLWVKPFIRRGSILATFQEATSTGINEHSNYRFVAFVDKLIARLGVNIVIAGFTETCTSVASPDTEIVSSFPSPYKREWLAAEMLCSWDWKESSVTESFLPLLNKYAKTEASIPEANVTSSIINMLLDGTIMHGSYDQWISFDSWKVPHNEAEKINDPFLRGLVSMLSSMFVDEKVGGNFAAIVLFEQLVDRLFMDTSIDQSCLRVLPFVISITIQSLLESSESTDAIANISLSSTEDNLVRTYIISWLEKSLSFPSLCLGKTEQNVGEWIQVVISCFPLRTTLETGNSIVDLLRHASNNESSLLLSLFRKQLYCYDASAAIDQISPISSSSGDLVSSLLVQIHHAKLTAVSVGYCWQEFVEDDWNYVLDKSHRWIELSVLLMEEIAESIDDAIVHYTTTDDLEHTAKKLELSVQAYDSLIISISTTALVIFRLVSQLEEHKTDSTNALHLLRLGKWADMKDRIMASILRLFFATGATEAIAMSCNEVFSTIVASSRLPYSYFWGLVASFVSNSPKHVKSAAAESMELWGLSKGSINALYAILFSSRPISYLQFAAYSLLSSEPMCHLSLAKESSLEGEGNLFVESDLSSNVELSTEGIFSFRDELSSLIQKPSAELLKMDLLSQDRVNLFIAWALLLSCLNSFPSSSKAREKIVQHIQDSISPMILDCIFQHIPLKIGASNLKKKELELVVEASKAANAAKHSITTCSLTLYVQSLWPVGNETVASLAGSIYGMMIHRLPSYVRNWFSSLRDRSLLTVIESFTKAWCSPPLLLNEFSQVKETVFADENFSVSVNRSASEIIATYKKEETGMDLVIRLPSSYPLRPVDVECTRSLGISEVRQRKWLLSLTAFIRNQNGAIAEAILIWKSDFDKEFLGVEECPICYSIIHTTNHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >Ma09_p17300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12849270:12884514:1 gene:Ma09_g17300 transcript:Ma09_t17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKGDGGRSKTRPSSSSLAASLLPSGVSTVGFGGYLGNSRVESSSPADDSLPFSDVDSEMAQHLKRLGRKDPTTKLKALTSLAVLFKQKSSEEIVQIVPQWTFEYRKLLHDYNREVRQATHVTMTSLVNAIRRGLAPHLKSLMGPWWYSQFDPIPEVSQSARRSLEAAFPAQERRLDALMFCVNEIFLYLDENLKLTPQSMVDKAIPADELEDMHQRAISSSLLAVATLVDILLGTKMQNCDNESSLPEQKLVSKARTATIYSAENMLATHKCFLQYMKSKYPIVRTATYSILTSFVKHIPHAFNEEYMKVLSSAILGAFQDKDASCHSSMWDMILLFSRKFPNGWSYCNVQKVFLHRFWQFLRNGCYGSQQISYPVLVLFLDSVPTDVDLGEQFIYDFFQNLWDGRHSSHYSAANTLALFGAFKECFLWVLRNVSRYFTARDGNNDPAIKLTNDILVELLLNDYLMLPSLKKQDGNLLVRSDVSTDDGKENSKQRTSSSYEASYIQELVRCIVGILVDISLEDRNLLNVFSTSFQKDCLEILWQGECLQNFHEHVERITRFFLLLDELVLQKGHDWPLKFLGQPLIMTTFPVIKSMDSPDAVKLLSVLIEIFGSVVILSNFVSPKDEVRVEVNVEHFLQTFNSDLIPWCLQGNSNSSSLKLDLLLDLIQDECFSKQWCSIINHSIKQYEMSDNSSHIEVLAMLIEKVRERIRTKTLVNLQRSGFFPERWQHNLLDSVAITIAHHSPVRSCHAQFLCAVLGGSVEDDQVCFLSEEACTIVWEEILKNLASFLTSFSFCWAEFACSLFQCSESKDLLKLQEPSFSTRFAMAQFAFEVLKGSIYCLNIIDKNCSLVSSILAALFIVDWQYSITSQVCQDDSSEGLKNTTDIDVSVCATQNVISNDSKEQDDAMLNLGRKIHALRHTISSSFWKSLSADTRSRLGNIIVQTVRFVLLDTDDLVAPEISHSCCEWMLDILEIICHNKEELQILLDQLLSEGKSWPLWVKPFIRRGSILATFQEATSTGINEHSNYRFVAFVDKLIARLGVNIVIAGFTETCTSVASPDTEIVSSFPSPYKREWLAAEMLCSWDWKESSVTESFLPLLNKYAKTEASIPEANVTSSIINMLLDGTIMHGSYDQWISFDSWKVPHNEAEKINDPFLRGLVSMLSSMFVDEKVGGNFAAIVLFEQLVDRLFMDTSIDQSCLRVLPFVISITIQSLLESSESTDAIANISLSSTEDNLVRTYIISWLEKSLSFPSLCLGKTEQNVGEWIQVVISCFPLRTTLETGNSIVDLLRHASNNESSLLLSLFRKQLYCYDASAAIDQISPISSSSGDLVSSLLVQIHHAKLTAVSVGYCWQEFVEDDWNYVLDKSHRWIELSVLLMEEIAESIDDAIVHYTTTDDLEHTAKKLELSVQAYDSLIISISTTALVIFRLVSQLEEHKTDSTNALHLLRLGKWADMKDRIMASILRLFFATGATEAIAMSCNEVFSTIVASSRLPYSYFWGLVASFVSNSPKHVKSAAAESMELWGLSKGSINALYAILFSSRPISYLQFAAYSLLSSEPMCHLSLAKESSLEGEGNLFVESDLSSNVELSTEGIFSFRDELSSLIQKPSAELLKMDLLSQDRVNLFIAWALLLSCLNSFPSSSKAREKIVQHIQDSISPMILDCIFQHIPLKIGASNLKKKELELVVEASKAANAAKHSITTCSLTLYVQSLWPVGNETVASLAGSIYGMMIHRLPSYVRNWFSSLRDRSLLTVIESFTKAWCSPPLLLNEFSQVKETVFADENFSVSVNRSASEIIATYKKEETGMDLVIRLPSSYPLRPVDVECTRSLGISEVRQRKWLLSLTAFIRNQNGAIAEAILIWKSDFDKEFLGVEECPICYSIIHTTNHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >Ma09_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11168216:11203533:1 gene:Ma09_g15830 transcript:Ma09_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRFMSEDGHCYIRLPSEPAITERQWIFDELPTAKIVAASRPDAGDITPLLLSYTIEFQYKQFRWHLLKKASQVLYLHLALKKRAFLEELHDKQEQVKEWLHNLGLGENIPTVQDDDEADDVSVPLPHEDNSLVKSRNIPSSAALPIIRPSLGGQQLISDKAKLAMQGYLDHFFSNLDIVNSQEVCKFLEVSRYSFLQEYGPKLKEGYVKARHLPKVQELDDGKSCCACHWFSCCNGSWKKVWAVLKPGFLALLENPFDTKILDIIVFDVLPHSNGNDDGRILLAKETKERNPLCFGFQVFGGSRTTKLRMRNNAKIKEWVAAINDAGLRPPEGWCYPHRFGSFAPPRGLTEDETYVQWFVDGQAAFEAIASSIEQAKSEIYITDWWLCPELHLRRPFSLHGSSRLDAMLEAKAKQGVQIYILLYKEVPLALKINSVYSKRILLNIHENIKVLRYPDHLSTGIYLWSHHEKIVTIDNQISFIGGLDLCFGRYDNFEHKVGDMPPLIWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVQCALWGPPCHDVARHFVQRWNYAKRSKAPNEKTIPLLVPQHHMVIPHYMGSREMNHQNDKQNAIPEDVGKHTFSRSCQDIPLLLPHEPDGTATTNSDKVHGLDRICGLSEHPNKTSQSQPVSLIKTKIEHSVQDMQMKGFIDDYSYPKTQREQDFNMFVQPPIQNSDWWVTHERGSQVVSTDESRQVGPRTPCRCQVIRSVSQWSAGTSQTEESIHKAYVHLIEKAEYFLYIENQFFISGLSGDDTIRNRVLEALYQRIIRAEKEKKCFRVIIVLPLLPGFQGGIDDGGSASVRAIMHWQYQTICRGSNSILQKLHDTIGPRAHDFISFYGLRTYGRLFDGGPLVTNQVYVHSKLMIIDDREVLIGSANINDRSLLGSRDSEIGILIEDKEYVDSFMNGKPWKAGKFSLSLRLSLWLEHLGLHAGEISKIRDPINNAAYKDIWMATAETNTIIYQEVFSCVPNDLIHCRATFRESTNYFKEKLGYTTIDLGISSEKKDPNHKEHKGTDPIQRLESVRGHLVSFPLKFMCNEDLRPAFSQGEFYVWPLVFL >Ma03_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6626559:6627597:1 gene:Ma03_g09020 transcript:Ma03_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRASLDQKLAMAKRCSHEGVVAGAKAAVVAGFAAAVPTVSILFLVYRVTSVVFSSGPSVEPSLLASVGQRSDTAMGEVQPQPHSSSSHRLHSCRNVILHCCRQDCAGSRQEELLQGCLPRQHQCLRSGLD >Ma03_p09020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6626569:6627654:1 gene:Ma03_g09020 transcript:Ma03_t09020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATRASLDQKLAMAKRCSHEGVVAGAKAAVVAGFAAAVPTLASVRILPWARYNLNPTAQALIVSTAAGMSYFIVADKTVLAAARKNSFKDAYPGNISA >Ma05_p27960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39064296:39065289:-1 gene:Ma05_g27960 transcript:Ma05_t27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEEEKGSARRPRNGEEPWRLKGGGGGGVTLEGYVPRPGWFARTRSLTDEDLEELKGCLDLGFGFSYEEIPGLCNTLPALELCYSLCQSVRLDDHPDRYPSPSSSPVANWRFSSPGDDPDEVKARLKYWAQAVACTVRLCSLKRVKSVSST >Ma07_p12190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9090169:9103004:1 gene:Ma07_g12190 transcript:Ma07_t12190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGVPLPSRLHVGGGSSDGRRVHGADRYNGGRWKDMVLAYRTLGVVFGGLVTSPLYVYPSMNLKSPTEQDYLGIYGIMFWTLTLIGVVKYVCIALNADDHGEGGTFALYSLLCRHTNIGILPSKKADSVTNAAHANPSVGTEKRSRLGIFIERSMTARRIVLFIAILGMCMLIGDGILTPAISVLSAMDGLRGPLPSIHKSAVEALSAAVLIALFLLQKYGTARVSFLFSPIMASWTFTTPIIGVYSILRYYPGIFKAISPKYIVHFFLRNGKTGWQLLGGTALCITGSEAMFADLGHFNKRSIQIAFLFSIYPSLVLTYAGQTAYLIRNPNDHNDGFYKFVPGPVYWPMFTIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPETNYILMLLCVAVILGFGDGKELGNAFGVVVILVMLITTILLTLVMIIIWRIPIIVSALYFVPFSILEGAYASAVCTKILEGGWLPFAVSIVLAFIMFGWYYGRQRKLEYEMANKITLEWLGELLESSEIQRVPGLCFFYSNIQDGLTPILGHYIQNTRSLHRVTIFTTLRYLLVAKVAPNERIMITRLGLDGVYGCMIQYGYADFLNHGGDDFVTQVTNSLRAHIDNSSEGLSPAYVEEEISQLERAKEAGAVHVRDRHCPLWGFPFDSVWR >Ma07_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9090169:9103004:1 gene:Ma07_g12190 transcript:Ma07_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGVPLPSRLHVGGGSSDGRRVHGADRYNGGRWKDMVLAYRTLGVVFGGLVTSPLYVYPSMNLKSPTEQDYLGIYGIMFWTLTLIGVVKYVCIALNADDHGEGGTFALYSLLCRHTNIGILPSKKADSVTNAAHANPSVGTEKRSRLGIFIERSMTARRIVLFIAILGMCMLIGDGILTPAISVLSAMDGLRGPLPSIHKFLIALFLLQKYGTARVSFLFSPIMASWTFTTPIIGVYSILRYYPGIFKAISPKYIVHFFLRNGKTGWQLLGGTALCITGSEAMFADLGHFNKRSIQIAFLFSIYPSLVLTYAGQTAYLIRNPNDHNDGFYKFVPGPVYWPMFTIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPETNYILMLLCVAVILGFGDGKELGNAFGVVVILVMLITTILLTLVMIIIWRIPIIVSALYFVPFSILEGAYASAVCTKILEGGWLPFAVSIVLAFIMFGWYYGRQRKLEYEMANKITLEWLGELLESSEIQRVPGLCFFYSNIQDGLTPILGHYIQNTRSLHRVTIFTTLRYLLVAKVAPNERIMITRLGLDGVYGCMIQYGYADFLNHGGDDFVTQVTNSLRAHIDNSSEGLSPAYVEEEISQLERAKEAGAVHVRGKTRFHVGKDTSLFDRILLGFYEFLHGNCRSALPALGIPLRQRLEIGMLYKA >Ma07_p12190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9090169:9103004:1 gene:Ma07_g12190 transcript:Ma07_t12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGVPLPSRLHVGGGSSDGRRVHGADRYNGGRWKDMVLAYRTLGVVFGGLVTSPLYVYPSMNLKSPTEQDYLGIYGIMFWTLTLIGVVKYVCIALNADDHGEGGTFALYSLLCRHTNIGILPSKKADSVTNAAHANPSVGTEKRSRLGIFIERSMTARRIVLFIAILGMCMLIGDGILTPAISVLSAMDGLRGPLPSIHKSAVEALSAAVLIALFLLQKYGTARVSFLFSPIMASWTFTTPIIGVYSILRYYPGIFKAISPKYIVHFFLRNGKTGWQLLGGTALCITGSEAMFADLGHFNKRSIQIAFLFSIYPSLVLTYAGQTAYLIRNPNDHNDGFYKFVPGPVYWPMFTIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPETNYILMLLCVAVILGFGDGKELGNAFGVVVILVMLITTILLTLVMIIIWRIPIIVSALYFVPFSILEGAYASAVCTKILEGGWLPFAVSIVLAFIMFGWYYGRQRKLEYEMANKITLEWLGELLESSEIQRVPGLCFFYSNIQDGLTPILGHYIQNTRSLHRVTIFTTLRYLLVAKVAPNERIMITRLGLDGVYGCMIQYGYADFLNHGGDDFVTQVTNSLRAHIDNSSEGLSPAYVEEEISQLERAKEAGAVHVRGKTRFHVGKDTSLFDRILLGFYEFLHGNCRSALPALGIPLRQRLEIGMLYKA >Ma09_p30410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40539861:40551819:-1 gene:Ma09_g30410 transcript:Ma09_t30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRIVKFFKNKSILVTGSTGFLAKIFVEKVLRVQPEVKKLFLLVRAGDAASANRRVQTEILEKDLFKVLKDKHGDGFHSFVASKLVPLAGDIVQEDLGIHDSDLRRNLWKEVEVVVNVAATTNFDERYDVALGINVLGAKHILEFAKRCVRLQMLLHVSTAYVAGVQSGLILEKKFLMGETLTGDSYLDIEAELSLADKKKRDLRAEDATEEAEKLAMKELGINRAKLFGWPNTYVFTKAMGEMLLGHSRGDLPMVILRPTIITSVQSDPLPGWVEGTRTIDSVLIGYAKGKITCFFGDLDIIMDVVPGDMVVNAMMATMAAHSKQQAEFTYHIGSSVRNPVTYATLEHCGFRYFLANPRVGRDGSVMPTKRLSFIKSMVLFRVFMTLRYKLPLEVMHLVNLLSCGRLARGYNELNRKYKFMMYLVDLYKPYVYFDGCFDDLNMERLRMAVKKDDAEAKMFDFDPKHIDWEDYFSSIHIPGVMKYAFK >Ma04_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5627724:5631911:1 gene:Ma04_g07740 transcript:Ma04_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASATAEVAAEILEPLELRFSDLLLLSSAAPSHPPPSPAELARLESISAAAMQALGSSGPGLLAITEVPKAPDLRRLILPFARKLALLGRKDRARVLKEHGLGSDVPLKNLDRNVSSFALQLKYAHNLNSESSSIRSLCTEENHYYAEGNPVEDSFEKFDDKEFKILGSTFKELSLCMMELGLRLAQICDKAIDCRELKQSIMDGSAKGRLIHYHSTIDNIILKETNRTKKGSKKKVEPATLDPSPSDECTISRDFHEKTSVTHWYSESRSVKDRTSQITLSNLWQQWHYDYGIFTVLTSPSFMFSCLAEDCSHISCCRECSSPDEHTYLQLFDIKKNKIFVVRSPADSFIIQVGEAANILTSGKLQSTLHSVGRTVDMDNLSRQTFVVFLQPAWSKILSYSGYPEETSNHDKLVLRTEMTTSSKKEDAFLRYSTSDSKDSHQLMQEILRKIPPLSIRFRDGMTFAEFSHETTKQYYSSSGTQSMR >Ma08_p30910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42010981:42012669:1 gene:Ma08_g30910 transcript:Ma08_t30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPMRPTPMPKPQRLSLSFSSLPSSTFFLRLLYLLRSFPPRLSLPYPIDSLSCVFVTNGEELVQKGMGRKEARFGQALQVKIPSYFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLQRLIGLWSSAYASCSPSSAVGDAPVAGEILRDLRFSASGGDPIPPLRKLAEFLSSDEVDEFDKNNLVNAGGCASVVVSLLHGDAVSEAKEAAITVLIVILTLDSIEEGNKKITISELTSDVRRSVSALTRVLRGGSSLESRIDAARVLDSILAAADAECKFRISEEELVQELIRLIGPSDEKGTAMDQRAIEAGLACMVGLSASRRIMPLMVRMGVVAPVTRVLGAESAAATVEKALRVMEAASGCAEGRASICEDGAAAAMAVVRRMVKAGKAGAEAAVAVLWRVCHRFRDRRAVEAVAAAEGVVTKLLLLMQSGCSPAARQMAADLLKIFRVSSKSCITGYDTKTTHIMPF >Ma09_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10910818:10917422:1 gene:Ma09_g15570 transcript:Ma09_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRITPMASAAVAAAFRPPVSPSFSAFRLSPNSRFGRSSSPSSVPPRRRAPPARLGSGVYAVLHLEQLLKKKVNCTMASAFGNTAETSTPVNQEEALEWVNKDNRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVDKYEIGTGFGHFGIAVEDVAKTVDLIKAKGGKVTREPGPVKGGKSVIAFIEDPGGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMELLRKRDNPEYKYTIAIMGYGPEDKSAVLELTYNYGVKEYEKGNAYAQIAIGTDDVYKTADAIRLYGGKITREPGPLPGINTKITACLDPDGWKTVFVDNIDFAKELE >Ma09_p15570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10910818:10917422:1 gene:Ma09_g15570 transcript:Ma09_t15570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRITPMASAAVAAAFRPPVSPSFSAFRLSPNSRFGRSSSPSSVPPRRRAPPARLGSGVYAVLHLEQLLKKKVNCTMASAFGNTAETSTPVNQEEALEWVNKDNRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVDKYEIGTGFGHFGIAVEDVAKTVDLIKAKGGKVTREPGPVKGGKSVIAFIEDPGGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMELLRKRDNPEYKIAIGTDDVYKTADAIRLYGGKITREPGPLPGINTKITACLDPDGWKTVFVDNIDFAKELE >Ma11_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26329248:26336320:-1 gene:Ma11_g22610 transcript:Ma11_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDISSSTRRLFRSLIYLAVQRCRIAETLCRLSISIRCFRVSNPPFMRISISDTGVGSSLVEFQDLDRGMCSVSSDKWDGMLSITTTGIRDKDIHNYHLNLREALTSKLRLNTLPPTCKNHGTFSGTEVCFSTAEEDNIDDFMAWVFPFVRKIFLLKTPNLAVDLTVEHTENLGLRYNHLHQETDDIYLPLSLSNNERLLLSLQSYVLRHRNALDKECQLCFTSRDHLKFGTGVASNIQIRDSGRIVEVAIVITSVQSQCCLWRLNYATSQVYIFK >Ma08_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9692170:9692868:1 gene:Ma08_g12700 transcript:Ma08_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVDASSGAHSATFSFKNNCPFTVWPASLANSDKGALSQTGFQLDNGASFSLDAPPAWGGRLWARQTCSTDSAGKFSCITGDCGTGQVACNGAGGAPPTTLIEFTLQGDGGKDFYDVSCVDGFSLPVLVVPSGGSNCNSTSCPVNVNALCPQELRITAPDGGVVGCKSACLAFNTDEYCCRGQYGSPDTCKPSSYSQIVKNACPQAYSYAYDDRTSTFTCVGANYDITFCP >Ma09_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1038970:1040394:1 gene:Ma09_g01460 transcript:Ma09_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGFCYRMPQSERQWRAVEEEERSRKRRRRLPAGGGGGADSGGQKMATEGVAEDVPDLFDGLPDDLVVSILSKVSASAASPSDLISIMITCKRLKGLGSNPLVLAKASAQSLAVRAKNWSESAHRFLKRCADAGNLEACYILGMIRFYCLQNRGSGASLMARSAMGSHAAALYSLAVIQFNGSGGDKGDKDLHAGVALCARAAFLGHVDALRELGHCLQDGYGVRRNVAEGRRFLVQANARELAAVLNSSSLSAAWRQHHHLHRHALASGCSLLSDFGCNVPAPEVHPANRFMVEWFAARGASGTGEEGLRLCSHGGCGRQETRRHEFRRCSVCGAVNYCSRACQALHWKLAHKADCAPMDRWLDAAAGAAPPEPAGGELAFN >Ma10_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31653743:31660417:-1 gene:Ma10_g22200 transcript:Ma10_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKLAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREKKAQLCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLMFKVAETPQESAEEIQTYQRYRYPTMSKTQGNFKLKVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEDSDVEMPEFNVSYKPQKISPKFQYTVRHLLHLKIRDSYMHPQFVTDVMKPLQIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADKVIVYEGKPSVDCIANAPQSLVSGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >Ma10_p22200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31653747:31660417:-1 gene:Ma10_g22200 transcript:Ma10_t22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKLAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREKKAQLCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLMFKVAETPQESAEEIQTYQRYRYPTMSKTQGNFKLKVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEDSDVEMPEFNVSYKPQKISPKFQYTVRHLLHLKIRDSYMHPQFVTDVMKPLQIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADKVIVYEGKPSVDCIANAPQSLVSGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >Ma06_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1975606:1976861:1 gene:Ma06_g02550 transcript:Ma06_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKSAKKSRTSNKPRGPAFALKKIVHDLQHAPGLVGGIVEKGFPPTGEGSSKPYAVPLPRLTVLPFPVARQRSHGPHWAPVGSASVDAEEDDMEEDKDETDYDPIASFADPIERKKKESLDFSRWKEFVPQDDASVPQSTKEAKADAGKVIQVKKSSAAISEGKKEAIPRTSSPNVDMLDSEEMTLRDLMNRNFSAAITTTIEPKSIMGKLQSDAPRDSDLDSSCAVNDVPARPGQNHVINNVDMTEANNQGSSSLMDDIDAENLSRLKQMSEEDIAEARAEIMEKMDPALVEMLRKHGQKKLRSRNDIEHEQNKGQQVLVSTKLAESGKSTKPISNNSSWEAWSERVEKVRSLRFSLDGNVLEIDSAQHILS >Ma03_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9276863:9278994:-1 gene:Ma03_g11960 transcript:Ma03_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAKALVLAMMIMLSWIWAVRAEIREMEVWSDARSIIPFDEFGFTRWGGLELNVTGIAFSSALESTAAAAGDMDLSQLGFFLCTRDAWLHVLRQLQDLDITCALQSDLVRVVYSFDRLLPPPNPSGVAVARASTFRLALNLTDSPGQFTLVFANCLPQLRVSMSVRSAMFNRPDPFDPSRRAYLSAGAAALPLVYSLLFFAYAALATIWAAVLLRRRRATFGIHYFMLAVVVLKAFNLLCEAEDKSYIERTGSAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLQDREKKVLMAVIPLQVVANIAQVAIDESGPYARDWITWKQVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALVTITSYRYLWTSVLAGELATLAFYIFTGYRFRPEAHNPYLAIDDDDEEEAAAEALRLDDEFEL >Ma06_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2199597:2201369:-1 gene:Ma06_g02940 transcript:Ma06_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIIFFNSFYDVYSTRIKTLETFPEKLEVVKSMEGWMEDNVLTFLKPVESSWQPQDFLPDPSGEGFLDGIKEIRERAAEIPDAYYVCLVGNMITEEALPTYENVLNTFDGTRDETGASMTAWARWTRKWTAEENRHGDVLNKYLYLSGRLNMRQIEKTIQYLIGSGMMIEADNDPYRGFVYTSFQERATFISHGNTARKAKEHGDVLLAKICGLIAADEKRHEMAYTKIVEKLFEVDPEATMLALADMMRKRIKMPARFMFDGQERDIFRHYSSVAQRLGVYTSKDYGDVVEFFVERWKVGEVTGLSGEGRRAQDYVCSLPSRLRKTEERAQERAEKKTSMVPFSWIFNREVEI >Ma05_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3486116:3488687:-1 gene:Ma05_g04580 transcript:Ma05_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPYLVMTMTQFCLAGFLVILRSVLAPSAGVSATVLVAYQQLLSALVLSLLALLFDRRQGPKPTPKILAWSAVIGLLQIPLGELMFTTSLRYVTATFQSVAMNTIPVAVFVLAAATGRERFRFCSLGGQWKLWGTLASATGATIVVLLSGRDSAGLTAGDGGRLVGIVMVGVAVLAEATANLLVERVALQYHADLKLSAMITVFGTLQVAVVAGTMERDLSAWRIKWSGSLELLAILYGGILVNGVSYFARNWCIHKKGPVFGSAFSPLLVVFSFLLQIILIGVTEELASIVGSVLVILGLYLLLWAKAKDDMVEQSHPVQASTAEPLLPTES >Ma09_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12080309:12080950:-1 gene:Ma09_g16670 transcript:Ma09_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRDGISAGKEASAQEGFNIGFKQSASSGYKWGIVRGITSAFVNLPDQFKEKLVKKLEDRCTFQSLYKPVQEISTDDALRMYHFQLQQENKQSHQRSKATVSSAIEDFDCNKLDFFFKELMLLVSESSEIKASVDVVKGEEEE >Ma03_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2904400:2908150:1 gene:Ma03_g04450 transcript:Ma03_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARFAFFPPEPATYEVFRERGGEGRLCLSGLPPENNVEVHLVETRAGNRVVATFWRHPNARFTLLYSHGNAADLGQMFDLFLRLRAHLRVNIMSYDYSGYGGSTGKPSEFNTYYDIEAVHDCLKKEYGIRQEDLILYGQSVGSGPTLHLATQLQGLRGVVLHGAILSGIRVLYPVKVTFWFDIFKNINKIQQVDCPVLVIHGTADGIVEWTHGKRLWELSKEKYDPLWIKGGGHCNLEAYPEYIRHLRKFISAMEKLPLVRQEKQSSVPTSTITESKHNKCLRFGKR >Ma02_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24761542:24761781:1 gene:Ma02_g18150 transcript:Ma02_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKWKLSKKGSRREGGPSWKGSGSVQEGRRRRRTSSSMATATRSPFASRCARLVKEQRARFYIISRCVTMLVCWRDYP >Ma02_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25446615:25461667:-1 gene:Ma02_g19180 transcript:Ma02_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRGGARPQDAAENLMAAFVGEKPYPLSSDFWNQLLELPLTLQWPQDRVLQACQLFAQHNYHTNHLAKILIHLAWCLQDLISTSSGSATAYRKSVNAAYISSVFLKFIIENVKGANFEELHLNLDKDEKRQCNLPTDQSIEVFIIRGMLSFVGSSDVSPRSCLLHHELLNLMLVAMSTQLCSGPSPGPKDVHPFIDAAMLQESAVVASTVQKLLLNFITRPRFPFDGSTYPFSPEGNQSGVLQRVGSVAANFVLLPYYTFNYLLSSSSAGARSPLAEKSLLVLLVLVHYRKCLVVKSLTDNNMGDVDSTTYLRESSSFYENPYCKALENARDIQFDRVDIEGNAHNGPLVRLPFASLFDSLGLCLSDETSVLLLYSLVLGNSDFLEYVLVRTDLDTLLMPILETLYNASRRTSNQIYMLLIILLILSQDSSFNASIHKLMLPSVPWYEERLLHHTSLGSLMVIVLIRTVKYNLSKLRDIYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYTKLAELKTDKVIKVSADQMEGVSLTEDMSPEIHIYTDFLRIVLEILNAILTYALPRNPEVVYAILHRQELFQPFKNHPRFNELLENIYTVLDFFNSRMDMQHMDGEWSVDKVLQVIIINSRSWHGDGMKMFTQLKFTYEQESHPEEFFIPYVWRLVLAQGFNFSPHAINLLPVELSGDDALSGEQGEQSV >Ma09_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9246247:9249987:-1 gene:Ma09_g13630 transcript:Ma09_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHTLPSPSALPPCNLRRRSEAVIASATVEEPGAGRRKANLWAKRKEMVKLPEYSDGSGRVFPVSEFLSHPTGVEALLNTRALQRFEPLDSNTYRCTLHKIQFLKFEVAPVLDLRVTRTDEDCTVEMLSCRFEGSKAVEKQNQLFSAFMKNYIKWEAKNSEPCLIVDVNLRVTLEVYTKPFSLLPLSAVEKPGNLLMQGLLD >Ma10_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33096962:33100482:-1 gene:Ma10_g24540 transcript:Ma10_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLIPSPSPLSRRLPSPHRLALSPLRLPHSPRLRSPRPLAVAASSSPSPLQALIFDCDGVILESEHLHRQAYNDAFEHFAVRCPPSSSQPLHWGSEFYDELQNLIGGGKPKMRWYFGENGWPSSSIFETPPASDSDREKLVDVLQDWKTERYKEIIRSGSVEPRPGVLQLMDDAKGAGIKVAVCSAATKSSVILSLENLLGLERFQGLDCFLAGDDVKAKKPDPLIYITALKTLGLQARNCLVIEDSVIGLQAASGAGMSCIITYTSSTANQDFSDAIATYPDLSNVRLEDLKLLLQNALVASG >Ma04_p25890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27509210:27514122:1 gene:Ma04_g25890 transcript:Ma04_t25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGEKKRRVCVTGAGGYLASWLVKLLLSRGYVVHGTVRDPGDPKNAHLKNLDSAAENLQLFKADMLDYNSIVSAIAGCEGVFHVASPVPSKKASNPEVEVIAPAVTGTLNVLKACSEAKVKRVVVVSSVAAVSMSPNWPKGKIRDENCWSDEEYCRKTENWYFLSKTLAEREALDFAEKNRLDVVTVCPSLIIGPLLQSTINASSLVLINLLKGLRPTVENKVLSIVDVRDVADALQLVYEEPNASGRHICAPHRVKMHDLVDILKSIYPHYKYPEIFTEVEEDSEIRSAKLNNLGWKCRTLQESLTDTIECHRAAGLLDRV >Ma03_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11336117:11338241:1 gene:Ma03_g14200 transcript:Ma03_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVAGGGGVELELAAVGKEEEEEEEQEEAVVAEDCPPVRGVREAWELFTTESKKLWWIGAPIAFNVVCLYGFSSSTQIFVGHIGNLELSAVAVSLNVVSTFSFGFLLGMGSALETLCGQAFGAGQIEMLGVYMQRSWIILVASSFLMCPIYIFAAPVLKLIGQDDKIADLAGHFTISIIPQMFALAFNFPTQKFLQAQSKVVALAWIGFVALLLHIALLVLFIVVFGWGLGGAAAAFNVSAWVVSLSQVAYVMVWCKDGWTGLSWSAFRDIWAFVRLSLASAIMLCLEVWYMMILTVLTGHLDDAEIAVGSISICMNINGWEGMIFIGLNAAISVRVSNELGSGRPRATRYAVVVVLVQSLAIGLLCMTIILATRNHFSVIFTSDRDMQRAVADIAYLLGITMVLNSIQPVISGVAVGGGWQALVAYINLGCYYIFGLPLGFLMGYALHWGVQGIWFGMLCGTFVQTLVLLFIIWNTDWKAEAAEAAERVRLWGGQEGYQKVQI >Ma01_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11727198:11729500:-1 gene:Ma01_g16170 transcript:Ma01_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEVGMSPGNVPVYYGGGKLRAVGRRMEVAEVVLRCAICGLGVLAAALIGSATQVREFFSVEKKARFTDMKALVFLVVANGIAAGYSLVQGVRCVVSIIKGGVLLKKALAWAIFSCDQALAYVASAAIAAAAEAAELGQSGQAELQWMKLCNLYGKFCTQVGEGIASAFLACLCLVIVSSMSAFNLFRLYGRTKEQNSGSW >Ma02_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19635957:19636763:-1 gene:Ma02_g09850 transcript:Ma02_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERETRDFMNLDSFSHLPFIRPARERASTTASGVRLFGVEVPHVPDNGEKSSKDLTTNPDSATVCSPGSGSESRRRFVCHYCCRHFPTSQALGGHQNAHKRERQHAKSAQLYPDMAAALHHQPSTVDGHNVHGLSHYHRHHPCFGLDFPTIPHYPSWRASTSVGARFLGSATQPINTSPFPWRVPAAVHGGTNMGLVHADRVMPLPLTKGDESRVGGAGGGFGWSGNAAISASSSSSSSITTTTSSSSRFYYEMQSVTETVNLDLHL >Ma04_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19660903:19667432:-1 gene:Ma04_g18110 transcript:Ma04_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSGAEVVAAGDGPVLSLMSKRLRALRKKYNRILQMEESLAQGKPLNKEQEEVLRSKPAIAVLIDEYEKLRQPLAVALQEELARTAASPPPSFPPPAVQEETHVPAAASAVKEEPDLAVRDILTLLYFGCLFDVKPQSEFAATMLTRTHERGCCLTYDYVTDDAIELLGERDLDAISALGSLVTSRPVYSGVSHKNALEACLQHAKHWLSSADQPLLTGTSITYAELREKLNKILASDYFTTTPEMKAPGDVAAAVGKYGVACQVPISESMVAPSPVVQTEGAPTPAPVSCQHKVDEQQNQMEEVHPDRLVIDEPLKMDEPDKVSSLDAAFDQQEQQKQEADVEDQNQRDTEQKEQQYISQSYRNQRGDIHGGDRGSGGRRGYSNGSGGRGDYQNGQGQGQYYDSGFYPKNYYTTNA >Ma02_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5608404:5610473:-1 gene:Ma02_g00550 transcript:Ma02_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDIVLVAGILLSVLVHLLLRRRLHSIRRLPLPPGPAGIPILGSLPQIGPMPHASLANLAARYGPIMYLRMGTTGVVVACSAGAARSFLKALDLQFANRPSPISGKDVTYDGQDFVFANYGPRWNLLRKLTNLHFLGSKALTMWAPVRRDEIGRMLRAMLESSRNSRPVMVSEAMVCASANIIGQVMLSRRVFESQGEESKQFKDAITELLAWSGKFSIGDFVPAIAWMDLQGVQRKLRRVHVKLDTLITALMAEHEATAHEREGRPDVLDLVMANRVDADGVSLSDVNIKGFISDMFIAGTDTSSIIIEWALAEMLRNPTILQRAQDEMDQVIGKNRRLAESDIPSLPYLRAICKEALRLHPSTPLSLPHYTFEACEVDGYHIPPNTRLIVNVWAIGRDPDVWEHPLEFNPERFLSGRTAKIEPLGNDFELIPFGAGRRICVGMHAGLIMLQYGLGSLLHSFHWKLADDVEELDMKEKFGAALPKAVPLEAVVSPRLLESAYM >Ma07_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31572562:31576232:-1 gene:Ma07_g24130 transcript:Ma07_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGNWSPPAVSGRLFTLGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMRVVPMQAVRSRLQFAKIVALSLVFCGSVVSGNVSLKYLPVSFNQAVGATTPFFTAVFAYIMTVKREAWITYVTLIPVVAGVIIASGGEPSFHLFGFIMCIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATLVMEQNVVGITLALAREDIKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVTVTGMFGYTITVIGVILYSEAKKRNK >Ma06_p27530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29502122:29503155:1 gene:Ma06_g27530 transcript:Ma06_t27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEQKHHHHFFHHHKDEESPSEVDPKKEEKHHKHKEHLGELGALAAGAYALHEKHQAKKDPENAHKHKVAEEIAATVAVGSAGFAFHEHHEKKEAKKHACE >Ma07_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7673775:7676542:-1 gene:Ma07_g10270 transcript:Ma07_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILVTGGAGFIGSHLVDKLMANVHQMKRMRYISGQSQEWIGHPRFELIRHDVTEPLLVEVDCIYHLACPASPIFYKYNPVKLPFSQTRLQLRQNVIGTLNMLGLAKWVGVRILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHDIEIQIARIFNTYGPRMNIDDGHVVSYFVAQALR >Ma03_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1681826:1685358:-1 gene:Ma03_g02460 transcript:Ma03_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPHAGCYAVALAAVAFSRPRPLYSSLFQHRSLAFSSRASLRFILSAGMEHFSTKGSMDKNEGLVEQLKHYGMIKSDKVAEVMQIVDRGLFVPEGSPAYVDSPMPIGYNATISAPHMHATCLELLEEHLQPGMRALDVGSGTGYLTACFAMMVGPQGRTVGVEHIPELVASSINSIERSAASSLLNEGSLSVHAADGRKGWPELAPYDAIHVGAASPDIPRALLEQLKPGGRMVIPVGNFFQNLKVVDKNDDGSVHVYSEASVRFVPLTSRAAQLQDY >Ma04_p33590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33143161:33143412:-1 gene:Ma04_g33590 transcript:Ma04_t33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLDDPTSFPNTNINLRGVEPILCLPLYQTGNMPDPSVPSTLNQLSVDYNMINMVNYQPHPLERRRDPEPPITSLRKSVHAA >Ma06_p37150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36294977:36301024:-1 gene:Ma06_g37150 transcript:Ma06_t37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMVKPEDVLESLMNDGTIDAIRLKIINQLKANEELKNNTITMVEQSKVLNTPGAEKQTKRELFDALRRELETPVLEKASRAVWELIVDNNGLGKEISETVERVYCRLNGRELPPPVEPSTSDTQVPEKEKETIEKRGEKVKETEMLESLTRKRTFSEMNVQEGTELVSNGTSDDPPVPSDDAVLPHTNSLA >Ma03_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29255921:29259025:1 gene:Ma03_g25140 transcript:Ma03_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAVGEGGGGRSEEGTSKEFGKNRWKQQKRNERRLGGKGLSLEAFANAKSRSSGYNPALIKKQKEFYRNAKYVTKYKKTLKQQNLASDHMPKVSDDKDGDKTENSQMRSKTKKKSLLSLREEYEKKHAEVEKAKTDREAIIQAKKEERAKAENRRKAEREKMFKKTRSGQPVMKYRIEHLLEGLLDGSK >Ma04_p25860.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27481284:27487547:-1 gene:Ma04_g25860 transcript:Ma04_t25860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLSRTIYVGNLPIDIRESEVEDLFYKYGHVVEIQLKNPPRPPGYCFVEFESSRDAEDAVRGRDGYNFDGHRLRVELAHGGRGQSVSVGRGGRHGSGGSKFGVSHRSEFRVIVKGLPSSASWQDLKDHMRKAGDVCFAQVFRDGDGAMGLVDYTNYEDMKYAIRKLDDTEFRNPFSRSYIRVKSYERSMSKSRSQSRSRSRSRGPRRSRSKSIERPLSRSVSKSASPRPRSVSPARMSRSRSRSKSG >Ma04_p25860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27481284:27488352:-1 gene:Ma04_g25860 transcript:Ma04_t25860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLSRTIYVGNLPIDIRESEVEDLFYKYGHVVEIQLKNPPRPPGYCFVEFESSRDAEDAVRGRDGYNFDGHRLRVELAHGGRGQSVSVGRGGRHGSGGSKFGVSHRSEFRVIVKGLPSSASWQDLKDHMRKAGDVCFAQVFRDGDGAMGLVDYTNYEDMKYAIRKLDDTEFRNPFSRSYIRVKSYERSMSKSRSQSRSRSRSRGPRRSRSKSIERPLSRSVSKSASPRPRSVSPARMSRSRSRSKSG >Ma04_p25860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27481284:27488335:-1 gene:Ma04_g25860 transcript:Ma04_t25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLSRTIYVGNLPIDIRESEVEDLFYKYGHVVEIQLKNPPRPPGYCFVEFESSRDAEDAVRGRDGYNFDGHRLRVELAHGGRGQSVSVGRGGRHGSGGSKFGVSHRSEFRVIVKGLPSSASWQDLKDHMRKAGDVCFAQVFRDGDGAMGLVDYTNYEDMKYAIRKLDDTEFRNPFSRSYIRVKSYERSMSKSRSQSRSRSRSRGPRRSRSKSIERPLSRSVSKSASPRPRSVSPARMSRSRSRSKSG >Ma04_p25860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27481284:27488315:-1 gene:Ma04_g25860 transcript:Ma04_t25860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLSRTIYVGNLPIDIRESEVEDLFYKYGHVVEIQLKNPPRPPGYCFVEFESSRDAEDAVRGRDGYNFDGHRLRVELAHGGRGQSVSVGRGGRHGSGGSKFGVSHRSEFRVIVKGLPSSASWQDLKDHMRKAGDVCFAQVFRDGDGAMGLVDYTNYEDMKYAIRKLDDTEFRNPFSRSYIRVKSYERSMSKSRSQSRSRSRSRGPRRSRSKSIERPLSRSVSKSASPRPRSVSPARMSRSRSRSKSG >Ma04_p25860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27481284:27487547:-1 gene:Ma04_g25860 transcript:Ma04_t25860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLSRTIYVGNLPIDIRESEVEDLFYKYGHVVEIQLKNPPRPPGYCFVEFESSRDAEDAVRGRDGYNFDGHRLRVELAHGGRGQSVSVGRGGRHGSGGSKFGVSHRSEFRVIVKGLPSSASWQDLKDHMRKAGDVCFAQVFRDGDGAMGLVDYTNYEDMKYAIRKLDDTEFRNPFSRSYIRVKSYERSMSKSRSQSRSRSRSRGPRRSRSKSIERPLSRSVSKSASPRPRSVSPARMSRSRSRSKSG >Ma05_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36866084:36868707:-1 gene:Ma05_g24630 transcript:Ma05_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAVVPTTPIYTSLFRLLLRCTEQKNVARGSTLHAYALKSGSWADVFLSNSIVVMYAKCDKLPRAAAAFEDMGAGRDVVSWNSLINAYSQLGPHGSPAAFHLFRRMRAEGGVHVLPNCFTFAGVFTAASHSPGASGYGREAHCVAIKTANCDDVFVGSSLLNMYCKLECITDARKVFDRMPQKNAVSWAAMISGYAVDKAGLEAFELFRLMLEAGECGTNEFVFTGVLSALSAPGFLEMGQQVHCLAIRNGILSFVSVENSLVTMYAKCECMDDARLMFESSAGKNSITWSAMITGYAQNGASRKALSFFLKMHMAGFMPSEFTFVGFLNACSDAMALVEGKQAHAFLLKVGFELQAYVKSALVDMYAKCSCIDDARKGFDGLHDADVVLWTSMIGGYVQNGEHEEALALYGSMRKESILPSNLTVATVLRACASLAALKQGKQIHACSLKYGFSLGIPIGSALSTMYAKCGNLEDCSLVFRRMPQRDVVAWNSIISGFSQNGRGIDALNLFEEMKKEGTEPDHVTFVNLLSSCSHVGLVERGWSYLRSMLNDYGLVPLTEHYACMVDILSRAGLFKEAKDFIESVPINHGTCLWRIVLGACHNYRNFEIGAYAGERLMELGSQDSSAYILLANIYAAWSRWNDVERIRGLMRLRGVDKDPGCSWVELYNTVHVFVARELQHPEIEDIYAELRRLIKNMKDEGYRPASRSPCHDYLESEIKFQTEEASQLIASALS >Ma11_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1481540:1483233:-1 gene:Ma11_g02080 transcript:Ma11_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCAARLLGTPPVCSSPPLPAGLVSSPSPPPQPRSWESETSSAAATSSSVGLLCELNRSPWDDLLCLDLMASCDQEEEEEDGGSLGNGVKDEVEESKGIMGNRIKYEAAAVASLPDSEASMNRLDGKAEGKARKKVTAKVKRKKKDKVIKTSGGTAAVMKGSANCKKSDGKGWHCKRPAQHPHSLCRYHLSQLRSYSCTHSNGKVAESVKEGAVGVAGRKRKTDIAGVDSNFYYYYSGFGPWRGKMRGGSSDNGDQCDHDASDEDDGNEYSESGNGYDAAVAGDDQDSDDEDCFNDGGSEGNKRSCRKRGRRKMKARSLKSLL >Ma11_p02080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1481540:1483233:-1 gene:Ma11_g02080 transcript:Ma11_t02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCAARLLGTPPVCSSPPLPAGLVSSPSPPPQPRSWESETSSAAATSSSVGLLCELNRSPWDDLLCLDLMASCDQEEEEEDGGSLGNGVKDEVEESKGIMGNRIKYEAAAVASLPDSSEASMNRLDGKAEGKARKKVTAKVKRKKKDKVIKTSGGTAAVMKGSANCKKSDGKGWHCKRPAQHPHSLCRYHLSQLRSYSCTHSNGKVAESVKEGAVGVAGRKRKTDIAGVDSNFYYYYSGFGPWRGKMRGGSSDNGDQCDHDASDEDDGNEYSESGNGYDAAVAGDDQDSDDEDCFNDGGSEGNKRSCRKRGRRKMKARSLKSLL >Ma04_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3187732:3192369:-1 gene:Ma04_g04150 transcript:Ma04_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLRPTSRSTAAPLLRPASSTSLLLPHLPSTPCTITPLFLRRPYLSTSSEALSPSLTGFFPGYPSPLLGPKRRISVNAAASFASSGGKSERAKLAQASKKLGNMSRHFERLGSLGFWWQLVCTVVAAVILSFSTVVTGNIKSPVTFYTTAFSVAAAFISVFWSFGYIRLSERLRRTANEPSKAPPRADVVKSLKNGVGLNLLGMGAAILGMQATVGLLFAKVLTTSALPNYQGIISPGQNPVLPLDVFLVQASANMILSHFLGLVFSLELLRSVTLPHSESVPALKAA >Ma09_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9277038:9279221:1 gene:Ma09_g13700 transcript:Ma09_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVNILRLMLLIYLDYHQLDFLCKGLFRLRHFLFHGVPHYIAFIFLSSLLKMAHVGSMSVYERVMMSRNREHKKKLVVWAFAVSLAIALSAGLALKTIKQAGAKPKPLGHSLHSHNVVSRATDTSTAVTESSVASTCKSTLYPEACEAALASVASTASTKEMFDVSVESAKSKARVARDVAYNLTQPSAQKSTSRSQSSVNDCLELLDITLDQLDDVLRAKKSSGFHDVQTWLSAALTNQATCSEGLETVKAKGGDSLNAQVESLSQYISNALALHKKVKAKDKDGHGGRKLLSDRFPEWLSAGDRRLLQASPEDIRADAVVAKDGSGTHTTINEAIAFVSLASKGSGDGSTKVIYVKAGTYKEYINIPTKQKNVMLMGDGKGKSIVVGSRNANDGYTTYGSATVAAMGAGFIAKGLTIINNSGPSKHQAVALRVGADKSVVYQCSVQGYQDTLYTHSNRQFYTETDIYGTVDFIFGNSAVVLQNCYIQPRKPGGGQKNSVTAQGRTDPNQNTGISIQKCKIQGSSDLGSTPTYLGRPWQKYSRTVVMETSLDDSIDPDGWEPWSGSFALSTLYYGEYANTGPGASTSGRVRWAGVHPSLSSSEASKFTVAEFIVGDYWLPSTGVSYTSGL >Ma04_p40160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37073200:37079743:-1 gene:Ma04_g40160 transcript:Ma04_t40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSASFLDRASQAIRRRPTISKLIVICALSSGGVLAYADAKSDHDFETSELTRKKKLVVLGTGWAGTSFLRNLDTSQYDVQVVSPRNYFLFTPLLPSVTCGTVEPRSIVEPIRKIMHKKVGGIKFWEAECYKIDPTNKKVLCCSNIWTNMDGSGEFAVDYDYLVIALGAKPNTFNTPGVVENCHFLKEIEDTQRIRRSVMNCFERASLPELSEEDRRKNLHFVIVGGGPTGVEFAAELHDFVSEDLAKLYPTVKDLVKISVIEAGDHILTMFDKRITEFAEEKFQRDGIDVKTNFRVVKVSDKAITMTSASSREISVPYGMVVWSTGIGTRPVILDFMKQVGQADRRVLATDEWLRVPNCDGVYALGDCATISQRKVMEDISAIFRVADKDDSGTLTVKEIKDVLDDICERYPQVELYLKSKQMKDFIDLFKDANSNAVKESKELNIEEFKKALADVDSRVKMLPATAQVAAQQGNYLAKCFNKMKICEENPEGPLRMRGSGRHRFHPFRYRHFGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRFLVVSDWMRRFIHGRDSSCI >Ma11_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:726697:732060:1 gene:Ma11_g00970 transcript:Ma11_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAVAVAPLRHSSWPRLSSLFSSGKHRQHLFFSVARSLRPLAPQAPEGDGDGEPDAPAVRKSRNELKREARRAVKWGMELASFSNPQIKRVLRIASLEREVFDALILVKRLGPDVREGRRRQFNYIGRLLRQAQPDLMDALIQASKDGDNDSLLALAGPQTLSDENDEEEEEDTYGEEAVYDTWASVEVSENHAEIAAKWFDGLVDKDALITKEVYSIHNVEFDRQELRKLVRRVQSIREGILVDESGVGYDAMLTSAKKPLIRFLCSLAKKTLAA >Ma09_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29290940:29291005:-1 gene:Ma09_g20390 transcript:Ma09_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSKRFHRVKDGSCSCGDYW >Ma03_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17689807:17690205:1 gene:Ma03_g16080 transcript:Ma03_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTPKTASGESPFSLAFETEAVLPPEMVFPTLRTTVYEQDNSKDCLRANIDLLEERRAEAHLRTLAYKKATARMYNYKVHPRPIKVRDLVLRKTEVSDPTRPERGENSRQTRKVPIGSTMWSEKAPTTSRL >Ma07_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2127919:2128482:-1 gene:Ma07_g02690 transcript:Ma07_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHVVPPSKLLSGSIMPPILAADGDLSVLYAQEEGRPCEYMDFQVDIDEKKRSIVADRLIEVHHNYELLPETLYLTFQILDRYLSKERVLGTELLLVGVSARKVHISCLLTQVLLLPFPLMMSRETCMLIVPCVRNVDYGEVSYHVYTKEQILAKEKAIAKALGWNLSVPTQYVFLVRFLESSHVR >Ma05_p30120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40637050:40638724:1 gene:Ma05_g30120 transcript:Ma05_t30120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPTGERECWGLDDLAGEHERHGGRSSSHQVMMEEGGGYGNSFESERQQKLCVRGHWRPAEDAKLKELVSLYGPQNWNMIAEKLVGRSGKSCRLRWFNQLDPRINRKAFSEEEEDRLLAAHRVYGNRWALIARLFPGRTDNAVKNHWHVIMARKQREKQSSTYRRRKRPSLRYPPSQPLPRWEEVTTTCNNACSGESTTTREETASTCTDLYLGSVTVPNACAGFRIGAPTMQVRGFDLSVCTGTTFEVTANEPAAYHMTNALPSGETTYEKEKISLPFFDFLGVGA >Ma05_p30120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40637053:40638724:1 gene:Ma05_g30120 transcript:Ma05_t30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPTGERECWGLDDLAGEHERHGGRSSSHQVMMEEGGGYGNSFESERQQKLCVRGHWRPAEDAKLKELVSLYGPQNWNMIAEKLVGRSGKSCRLRWFNQLDPRINRKAFSEEEEDRLLAAHRVYGNRWALIARLFPGRTDNAVKNHWHVIMARKQREKQSSTYRRRKRPSLRYPPSQPLPRWEEVTTTCNNACSGESTTTREETASTCTDLYLGSVTVPNGFRIGAPTMQVRGFDLSVCTGTTFEVTANEPAAYHMTNALPSGETTYEKEKISLPFFDFLGVGA >Ma06_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12212206:12215283:1 gene:Ma06_g18000 transcript:Ma06_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFDFVGSHRDRNTVSTVCKAWYQVERLSRRTVFVGNCYAIGPERVMARFPGINSLSVKGKPHFADFNLVPYDWGGFALPWIVAAARLCPGLEELRLKRMVVTDDGLELLARSFPNFKSLVLISCEGFSTDGLAAIATYCRGLRELDLQENEVEDHGCQWLSFFPDSCTSLVSLNFGCLKGEVNGGALERLVARCPNLRSLKLSPAVSVESLNKILARASHLVDLGTGSFAVDHRTDVYHTLMNSFPKFKSLRNLSGFWDASPCCLRAVYPVCTSLTVLNLSYAPAIQGDDLIKIICLCFKLQKLWVLDSIGDKGLAVVASTCKELQELRVFPCDIYGAGTTSVTEEGLLAVSSGCPKLNSLLYFCYQMTNAALITVAKNCPHFTRFRLCILDPGKPDPVTNQPLDEGFGAIVRSCKNIRRLSLSGRLTDQVFLYIGMYAERLEMLSIAFAGDSDKGMIYVLNGCKNLRKLEIRDCPFGDIALLKNVAKYETMRSLWMSSCDVTLGGCKALAAKMPRLNVEIINENEETYEFEENLSDRHKLEKIYVYRTVAGPRNDAPDFVWTL >Ma04_p39410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36573450:36580803:-1 gene:Ma04_g39410 transcript:Ma04_t39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREIARPNVPSFDQTEINWDKLDKTKLFVVGAGIFSGVTVALYPLSVIKTRMQVASSDVVQKNAFSAFRNMLKVDGIPGLYRGFGTVITGAVPARIIFLTSLEMTKVASLNLVEPFKLSEPVQAALANGIAGMSASLCSQAVFVPIDVVSQKLMVQGYSGFAKYNGGFDVARKIIKSDGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRVIWKLLGHGNDKENVPSQSKIVCVQATGGIIAGAVASCVTTPLDTIKTRLQVMDNMQKQNVRQVVKRLIAEDGWKGFYRGLGPRFFSMSAWGTTMIVAYEYLKRLCAVSEEI >Ma05_p28200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39287463:39293807:-1 gene:Ma05_g28200 transcript:Ma05_t28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTVFWEPNRPLTIEEFHMPKPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVEHGAHTDSGIIKRFPVGSHVVGAFIMPCGNCFFCVKGQEDLCEAFFAYNRAKGTLYDGETRLYLRSNGKPVYMYSMGGMAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGAMRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGAAEIIAVDVLDEKLQNAKILGATHTINGLNEDVVDKIKEITGGRGVDVAVEALGKPLTFMQCTKSVRDGGKAVMIGLAATNAIGEVDITRLVRRQVKIIGSYGARARQDLPQVVKLAETGIFNLRDTVTRKCKFEEANAAFDDLNHGKIIGRAVIEVM >Ma04_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21798123:21798934:1 gene:Ma04_g19220 transcript:Ma04_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPWVKATPSSTATGATCRSPTFSSPTLKDIQALLRDDPHQPLACPALRRVIHRARLASSALRALRSAFASAAPSSDPLDRRRHGLVLYFTSLRIVRRTFEDCRVVRSILRGLRVAVDERDLSMDSRFLAELQVALGCRQPTLPKLFLAGRCLGGADEIRLLHESGELKALVDGIASLPPSASDCEACSGVRFVLCAACSGSHKRYSDKTGGFRTCGECNENGLVRCPDCFAAAL >Ma04_p25680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27383655:27387500:1 gene:Ma04_g25680 transcript:Ma04_t25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFDVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELEAARTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMETAGIHETTYNSIMKCDVDIRRDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYEESGPAIVHRKCF >Ma06_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3789903:3797733:1 gene:Ma06_g05080 transcript:Ma06_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFVGVLVSDPWLQNQFTQVELRGLKSKFLSTKRESGHVIVGDLPPLMGKLKGLKDVVTEQEIAGILAESYAETSHELDFESFLQAYLNLQARVAEKSGEMKNSSSFLKATTTTLLHTINESEKASYVAHINSYLGEDSFLKKYLPLDPASNELFNLVRDGVLLCKLINVAVPGTIDERAINTKGVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEGRPHLVLGLISQIIKIQLLADLNLKKTPQLIELVDDSKDMEELMSLGPEKLLLKWMNFHLKKAGYKKTVTNFSSDVKDGEAYAYLLNALAPEHSSPAVLDTKDPNERAKIVLEQAEKLDCKRYLSEKDIVEGSPNLNLAFVAQIFQHRNGLSTDTKSISLSQMMPDDIQVSRDERAFRLWINSLGIATYVNNLFEDVRNGWVLLEVLDKVSPGLVNWKHATKPPIKMPFRKVENCNQIIKIGKELNFSLINVAGNDIVQGNKKLILAYLWQLMRFNILKLLKNLRYHSQGKEISDVDILNWANSKVKGSARTPQMESFKDKNLSYGIFLLELLSAVKPRTVNWKLVTTGESDEEKKLNATYIITVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQQTGENFEPSEEDSVSQTAPSDGDGSAVAESISDLAIDDAASDTSQSENGNSTIGG >Ma09_p28880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39515759:39522320:-1 gene:Ma09_g28880 transcript:Ma09_t28880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPSSPQTLDPNADSSDAGNVPSSAPADPSSAPPRAPGETPASNPSNPIPSPSPMAPPPPAVPSFAPSFRPLGAPPVPHYSAVPNPMAQNPTFQAPGIQPPGVPAPALMMPPGAASGGLPARPGVPYQMHGQPPGAAPMPYGQVGNGYMAMPTPQGPMGMPPPGMPRYPAPYPTMIRPGFPPRPIPPAGVIPQIPRPPMPSIRGVPPVVTPVIRPFIPIVAPAEKPQTTVYVGKIASTVENEFLLSILTLCGPVKSWKRAQDPSDGTPKGFGFCEFESAEGVLRSLRLLSKLNIDGQELVLNINQATREYLERYVEKKREKIKEAETGGPTKESNSKEGSETQDPAKPVEEGTKKDSEDSGDNENQDSSKKFGIVTDEDREADREALEKLTNMIEERLKNKPLPPPPIDGSAKSTCEVGSKSRDGDSDIDVVRSDAAEDKNDDETTSENKPGTESDKPETSSPDKSRRHDRSRERDRERDLKRDKERELERVERERERERVRRERERELKVREAERLYKDRLKEWESREREKEYQRQYEKEREKERERERRREILRQEEESSGDDDDDSRKRRRRSVILEERRRKRQREKEEDLDDRSKEEEEIAEAKRRALEEQQLKLDSRSPDQMIIEDENPIVQEEENFVEIKQIASVQAPEVSGNTINNVATSDTKQNNNIPARKLGFGLIGSGKRTTVPSVFHEEDDEDVDEKKMRPLVPIDYSTEELQAVQINASTPQPNLVAASEFAKRISGVNPKEEKSDVDRERNRRSSDKLSLRERDRNDDDSSRFKDESREKMHEKNVDREKGREDKQKTENKKLLDAKQLIDMIPKTKEELFVYEINWDVYDKHELHERMRPWISKKITEFLGEEEATLVDYIVSCIKDHIQASTMLEMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLSVKSKS >Ma09_p28880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39515761:39521864:-1 gene:Ma09_g28880 transcript:Ma09_t28880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYPAPYPTMIRPGFPPRPIPPAGVIPQIPRPPMPSIRGVPPVVTPVIRPFIPIVAPAEKPQTTVYVGKIASTVENEFLLSILTLCGPVKSWKRAQDPSDGTPKGFGFCEFESAEGVLRSLRLLSKLNIDGQELVLNINQATREYLERYVEKKREKIKEAETGGPTKESNSKEGSETQDPAKPVEEGTKKDSEDSGDNENQDSSKKFGIVTDEDREADREALEKLTNMIEERLKNKPLPPPPIDGSAKSTCEVGSKSRDGDSDIDVVRSDAAEDKNDDETTSENKPGTESDKPETSSPDKSRRHDRSRERDRERDLKRDKERELERVERERERERVRRERERELKVREAERLYKDRLKEWESREREKEYQRQYEKEREKERERERRREILRQEEESSGDDDDDSRKRRRRSVILEERRRKRQREKEEDLDDRSKEEEEIAEAKRRALEEQQLKLDSRSPDQMIIEDENPIVQEEENFVEIKQIASVQAPEVSGNTINNVDGIFRNSSADDLNMVPVATSDTKQNNNIPARKLGFGLIGSGKRTTVPSVFHEEDDEDVDEKKMRPLVPIDYSTEELQAVQINASTPQPNLVAASEFAKRISGVNPKEEKSDVDRERNRRSSDKLSLRERDRNDDDSSRFKDESREKMHEKNVDREKGREDKQKTENKKLLDAKQLIDMIPKTKEELFVYEINWDVYDKHELHERMRPWISKKITEFLGEEEATLVDYIVSCIKDHIQASTMLEMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLSVKSKS >Ma09_p28880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39515760:39522322:-1 gene:Ma09_g28880 transcript:Ma09_t28880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPSSPQTLDPNADSSDAGNVPSSAPADPSSAPPRAPGETPASNPSNPIPSPSPMAPPPPAVPSFAPSFRPLGAPPVPHYSAVPNPMAQNPTFQAPGIQPPGVPAPALMMPPGAASGGLPARPGVPYQMHGQPPGAAPMPYGQVGNGYMAMPTPQGPMGMPPPGMPRYPAPYPTMIRPGFPPRPIPPAGVIPQIPRPPMPSIRGVPPVVTPVIRPFIPIVAPAEKPQTTVYVGKIASTVENEFLLSILTLCGPVKSWKRAQDPSDGTPKGFGFCEFESAEGVLRSLRLLSKLNIDGQELVLNINQATREYLERYVEKKREKIKEAETGGPTKESNSKEGSETQDPAKPVEEGTKKDSEDSGDNENQDSSKKFGIVTDEDREADREALEKLTNMIEERLKNKPLPPPPIDGSAKSTCEVGSKSRDGDSDIDVVRSDAAEDKNDDETTSENKPGTESDKPETSSPDKSRRHDRSRERDRERDLKRDKERELERVERERERERVRRERERELKVREAERLYKDRLKEWESREREKEYQRQYEKEREKERERERRREILRQEEESSGDDDDDSRKRRRRSVILEERRRKRQREKEEDLDDRSKEEEEIAEAKRRALEEQQLKLDSRSPDQMIIEDENPIVQEEENFVEIKQIASVQAPEVSGNTINNVDGIFRNSSADDLNMVPVATSDTKQNNNIPARKLGFGLIGSGKRTTVPSVFHEEDDEDVDEKKMRPLVPIDYSTEELQAVQINASTPQPNLVAASEFAKRISGVNPKEEKSDVDRERNRRSSDKLSLRERDRNDDDSSRFKDESREKMHEKNVDREKGREDKQKTENKKLLDAKQLIDMIPKTKEELFVYEINWDVYDKHELHERMRPWISKKITEFLGEEEATLVDYIVSCIKDHIQASTMLEMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLSVKSKS >Ma09_p28880.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39515761:39521864:-1 gene:Ma09_g28880 transcript:Ma09_t28880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYPAPYPTMIRPGFPPRPIPPAGVIPQIPRPPMPSIRGVPPVVTPVIRPFIPIVAPAEKPQTTVYVGKIASTVENEFLLSILTLCGPVKSWKRAQDPSDGTPKGFGFCEFESAEGVLRSLRLLSKLNIDGQELVLNINQATREYLERYVEKKREKIKEAETGGPTKESNSKEGSETQDPAKPVEEGTKKDSEDSGDNENQDSSKKFGIVTDEDREADREALEKLTNMIEERLKNKPLPPPPIDGSAKSTCEVGSKSRDGDSDIDVVRSDAAEDKNDDETTSENKPGTESDKPETSSPDKSRRHDRSRERDRERDLKRDKERELERVERERERERVRRERERELKVREAERLYKDRLKEWESREREKEYQRQYEKEREKERERERRREILRQEEESSGDDDDDSRKRRRRSVILEERRRKRQREKEEDLDDRSKEEEEIAEAKRRALEEQQLKLDSRSPDQMIIEDENPIVQEEENFVEIKQIASVQAPEVSGNTINNVDGIFRNSSADDLNMVPVATSDTKQNNNIPARKLGFGLIGSGKRTTVPSVFHEEDDEDVDEKKMRPLVPIDYSTEELQAVQINASTPQPNLVAASEFAKRISGVNPKEEKSDVDRERNRRSSDKLSLRERDRNDDDSSRFKDESREKMHEKNVDREKGREDKQKTENKKLLDAKQLIDMIPKTKEELFVYEINWDVYDKHELHERMRPWISKKITEFLGEEEATLVDYIVSCIKDHIQASTMLEMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLSVKSKS >Ma09_p28880.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39515759:39522320:-1 gene:Ma09_g28880 transcript:Ma09_t28880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPSSPQTLDPNADSSDAGNVPSSAPADPSSAPPRAPGETPASNPSNPIPSPSPMAPPPPAVPSFAPSFRPLGAPPVPHYSAVPNPMAQNPTFQAPGIQPPGVPAPALMMPPGAASGGLPARPGVPYQMHGQPPGAAPMPYGQVGNGYMAMPTPQGPMGMPPPGMPRYPAPYPTMIRPGFPPRPIPPAGVIPQIPRPPMPSIRGVPPVVTPVIRPFIPIVAPAEKPQTTVYVGKIASTVENEFLLSILTLCGPVKSWKRAQDPSDGTPKGFGFCEFESAEGVLRSLRLLSKLNIDGQELVLNINQATREYLERYVEKKREKIKEAETGGPTKESNSKEGSETQDPAKPVEEGTKKDSEDSGDNENQDSSKKFGIVTDEDREADREALEKLTNMIEERLKNKPLPPPPIDGSAKSTCEVGSKSRDGDSDIDVVRSDAAEDKNDDETTSENKPGTESDKPETSSPDKSRRHDRSRERDRERDLKRDKERELERVERERERERVRRERERELKVREAERLYKDRLKEWESREREKEYQRQYEKEREKERERERRREILRQEEESSGDDDDDSRKRRRRSVILEERRRKRQREKEEDLDDRSKEEEEIAEAKRRALEEQQLKLDSRSPDQMIIEDENPIVQEEENFVEIKQIASVQAPEVSGNTINNDGIFRNSSADDLNMVPVATSDTKQNNNIPARKLGFGLIGSGKRTTVPSVFHEEDDEDVDEKKMRPLVPIDYSTEELQAVQINASTPQPNLVAASEFAKRISGVNPKEEKSDVDRERNRRSSDKLSLRERDRNDDDSSRFKDESREKMHEKNVDREKGREDKQKTENKKLLDAKQLIDMIPKTKEELFVYEINWDVYDKHELHERMRPWISKKITEFLGEEEATLVDYIVSCIKDHIQASTMLEMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLSVKSKS >Ma09_p28880.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39515761:39521864:-1 gene:Ma09_g28880 transcript:Ma09_t28880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYPAPYPTMIRPGFPPRPIPPAGVIPQIPRPPMPSIRGVPPVVTPVIRPFIPIVAPAEKPQTTVYVGKIASTVENEFLLSILTLCGPVKSWKRAQDPSDGTPKGFGFCEFESAEGVLRSLRLLSKLNIDGQELVLNINQATREYLERYVEKKREKIKEAETGGPTKESNSKEGSETQDPAKPVEEGTKKDSEDSGDNENQDSSKKFGIVTDEDREADREALEKLTNMIEERLKNKPLPPPPIDGSAKSTCEVGSKSRDGDSDIDVVRSDAAEDKNDDETTSENKPGTESDKPETSSPDKSRRHDRSRERDRERDLKRDKERELERVERERERERVRRERERELKVREAERLYKDRLKEWESREREKEYQRQYEKEREKERERERRREILRQEEESSGDDDDDSRKRRRRSVILEERRRKRQREKEEDLDDRSKEEEEIAEAKRRALEEQQLKLDSRSPDQMIIEDENPIVQEEENFVEIKQIASVQAPEVSGNTINNVDGIFRNSSADDLNMVPVATSDTKQNNNIPARKLGFGLIGSGKRTTVPSVFHEEDDEDVDEKKMRPLVPIDYSTEELQAVQINASTPQPNLVAASEFAKRISGVNPKEEKSDVDRERNRRSSDKLSLRERDRNDDDSSRFKDESREKMHEKNVDREKGREDKQKTENKKLLDAKQLIDMIPKTKEELFVYEINWDVYDKHELHERMRPWISKKITEFLGEEEATLVDYIVSCIKDHIQASTMLEMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLSVKSKS >Ma09_p28880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39515761:39521864:-1 gene:Ma09_g28880 transcript:Ma09_t28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYPAPYPTMIRPGFPPRPIPPAGVIPQIPRPPMPSIRGVPPVVTPVIRPFIPIVAPAEKPQTTVYVGKIASTVENEFLLSILTLCGPVKSWKRAQDPSDGTPKGFGFCEFESAEGVLRSLRLLSKLNIDGQELVLNINQATREYLERYVEKKREKIKEAETGGPTKESNSKEGSETQDPAKPVEEGTKKDSEDSGDNENQDSSKKFGIVTDEDREADREALEKLTNMIEERLKNKPLPPPPIDGSAKSTCEVGSKSRDGDSDIDVVRSDAAEDKNDDETTSENKPGTESDKPETSSPDKSRRHDRSRERDRERDLKRDKERELERVERERERERVRRERERELKVREAERLYKDRLKEWESREREKEYQRQYEKEREKERERERRREILRQEEESSGDDDDDSRKRRRRSVILEERRRKRQREKEEDLDDRSKEEEEIAEAKRRALEEQQLKLDSRSPDQMIIEDENPIVQEEENFVEIKQIASVQAPEVSGNTINNVDGIFRNSSADDLNMVPVATSDTKQNNNIPARKLGFGLIGSGKRTTVPSVFHEEDDEDVDEKKMRPLVPIDYSTEELQAVQINASTPQPNLVAASEFAKRISGVNPKEEKSDVDRERNRRSSDKLSLRERDRNDDDSSRFKDESREKMHEKNVDREKGREDKQKTENKKLLDAKQLIDMIPKTKEELFVYEINWDVYDKHELHERMRPWISKKITEFLGEEEATLVDYIVSCIKDHIQASTMLEMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLSVKSKS >Ma09_p28880.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39515761:39521864:-1 gene:Ma09_g28880 transcript:Ma09_t28880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYPAPYPTMIRPGFPPRPIPPAGVIPQIPRPPMPSIRGVPPVVTPVIRPFIPIVAPAEKPQTTVYVGKIASTVENEFLLSILTLCGPVKSWKRAQDPSDGTPKGFGFCEFESAEGVLRSLRLLSKLNIDGQELVLNINQATREYLERYVEKKREKIKEAETGGPTKESNSKEGSETQDPAKPVEEGTKKDSEDSGDNENQDSSKKFGIVTDEDREADREALEKLTNMIEERLKNKPLPPPPIDGSAKSTCEVGSKSRDGDSDIDVVRSDAAEDKNDDETTSENKPGTESDKPETSSPDKSRRHDRSRERDRERDLKRDKERELERVERERERERVRRERERELKVREAERLYKDRLKEWESREREKEYQRQYEKEREKERERERRREILRQEEESSGDDDDDSRKRRRRSVILEERRRKRQREKEEDLDDRSKEEEEIAEAKRRALEEQQLKLDSRSPDQMIIEDENPIVQEEENFVEIKQIASVQAPEVSGNTINNVDGIFRNSSADDLNMVPVATSDTKQNNNIPARKLGFGLIGSGKRTTVPSVFHEEDDEDVDEKKMRPLVPIDYSTEELQAVQINASTPQPNLVAASEFAKRISGVNPKEEKSDVDRERNRRSSDKLSLRERDRNDDDSSRFKDESREKMHEKNVDREKGREDKQKTENKKLLDAKQLIDMIPKTKEELFVYEINWDVYDKHELHERMRPWISKKITEFLGEEEATLVDYIVSCIKDHIQASTMLEMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLSVKSKS >Ma03_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1566776:1578147:1 gene:Ma03_g02310 transcript:Ma03_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSAEGDEEDWSFITNSFAAVAATAAATKPPSQPQPHDGQTALGYESPGTPTVAADPISFRRLSLDNPPPTAAVTSRSYAFPQPNLPHPIPPLSDRGAHGPPPSAPRLSGSLSEFTGMAVRHGIFRPPTRAPVHPGTPPSIELRPHPLRETQVGCFIRTIAGSTSQIWAGTENGVRVWNLSDVFEGFGRGGFASWKPGRGDEESAPFRESSFTYPTICLVVDSDRGFVWSGHKDGRIRIWRVEQPESNNSAPERGNLAECLSWQAHRSPVLSMAITSHGELWSGTEGGVIKAWSCKAIYKCFTLRKDERHMASLLIERSSVDLRSLVTVGGVCSLPTADIRYLLSDNFSSKVWSSSCLLFALWDSHTKELLKVFNIDGQVETRFDTFQVQDSHEEGDAKMNFLSTSKKAISFLQRSRHALLEAADAVKKAAVKGAFGDDCRRMEALTISVDGMIWTGCANGLLIQWDGYGHRLREVQHHSSSIQCLCTFGTRLWVGYMDGMMQVMNLEGKLLGGWIAHSSPIINMAVVGPYIFTLANHGGIRGWYVTSPGPLDSVLQLELTNNNASYTRLANLRILAGSWNVGQERAYNDSLMSWLGSATPEVGLIVVGLQEVEMGAGFLAMAAAKETVGLEGSANGHWWLDAIGKVLVEGTLFERIGSRQLAGLLIAIWARKSLRPFIGDVDAAAVPCGFGHAIGNKGAVALRMRIYDQKICFINCHFAAHLEALNRRNADFDHIFWTMTFSPSSNGHNTAAAGASSVYPHRGGNANIDRTPELSEVDMVVFLGDLNYRLQGITYEEARYFISQRCLELLTEKDQLRAEMKAGRVFQGFREGVIKFPPTYKFEKHLTGLSGYDSSEKKRIPAWCDRILYRDSCCDSRTECSLACPVVSSICMYDSCMDVTGSDHKPVKCIFNVDIAHVDELTRRQEFGEIVFSNEKVRRLIDDFGNVPETTFSSNNITLQGNDITVLRITNKCRRDGAVFQFFCGSQSAVKESEQASEHSSRDPFGFPNWLEVTPRTGIIKPGKTVQVSMWHQNIDTVDEFTGRSHKTQQNNDSSDKVAVLKVRITGNYPTDCKSYEIHVSHCSSNNRYVESRGVSRWSPPNLI >Ma06_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23016755:23016862:1 gene:Ma06_g24500 transcript:Ma06_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYAGKDAFHLRARVHPFHVLRINKMLSCAGADR >Ma07_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5761988:5762619:-1 gene:Ma07_g07750 transcript:Ma07_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRIPSLALLLSPSESLRLSPPSLSLRLRPTSPSISASLSGGAGSRGLSAQSVDFNSWYLDVIASAELADYGPVRGTMDIRAFGYAIWEAMQEYLNVKFKETGHSNMYFPQVRLLLSYSCEMYYPLSGIIVNACNLDCHFRLSS >Ma08_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18868818:18880229:1 gene:Ma08_g16500 transcript:Ma08_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFTLLTVFLCGFWAVTLLYGEMVAYWAAFWTCSWPQPRLSSSFSKTDHYVKVAVLADPQLMDRTSHGLPPKSLLLEAAQFYTDLYMRRSFRSSILPFKPDLIVFLGDQFDGGPFLSDEEWQESLNRFKHIFSLNEKGRDLAIPIYYLSGNHDIGYAGFHSQYPKVISHYEKEFGARNYQISVGKINFIVVDAQTLDG >Ma05_p27530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38796286:38800162:-1 gene:Ma05_g27530 transcript:Ma05_t27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLTLRDSLSQGRNLLSNWFSVESPCNWSGITCVGPAVQAIDLSYTPLNSSIPSCMGEFRHLKALNLSGCGFSGQVPDSFGDLQTLQSLDLSRNQLSGALPSSLANLKMLKELVLDSNSFSGGLRIIVEHLKGLTKLSISGNSFSGSIPLDIGSLQNLEYLDLSINYLSGTLPSSLENLSRLLHLDVSRNRLSGSIFPGIGSLGDLLTLDLSSNSFIGSLPSTIGRLTSLDSLWLGRNGFTGSVPVEIGKMKQLKVFSLHSCKLTGTVPREISELRNLIDLDISENKFEGELPRSIGNLVNLMYLVAADAGLSGHLPEQLGSCKNLKILDLSFNSFSGPLPASLAGLESITTFIVEGNHLEGPIPPWISNWKMVNSIRLGKNQFSGSLPPLDLPFLTSFSADANQLSGEIPSKICDCRSLSSLSLSENKLTGSIEETFRGCSNLTDLILLGNNLHGEIPDYLGELPLVTLELSQNNFSGHVPDQLWRSPTILEISLSNNLLAGCIRIAVGNISNLERLQLDYNFFEGSIPKSIGKLRNLTNLSLHGNRLSGEIPTELFNCTNLVALDLGSNNLTGSIPEAISRLNLLDDLVLSNNQLSGHIPGEICAGFQRAAYPDSEFTQHYGVLDLSYNNLTGQIPAAIKNCAVLKELRLQGNMLSGSIPPELAELTNLTLLDFSFNSLSGPILVQVSPLQSLQGLLLSNNQLGGLIPSELSLMLPSLVKLNLSSNRLTGPIPETVFDIKTLTDVDISSNSLSGSIPFGGSIARGISSLLIFNASNNYLNGTMSESVSNLTSLAVLDLHNNSLTGSLPSSLSKLDYLTYLDLSNNGFLGDIPCDVCSIVGLSFANFSGNKLYRYAPEECASANRCVAQLVPYPPPTRSPNGGLIWGVGLGAAVGLLALVFLLLKWKAMRQQSLDLVSTDKANLAAVEPASSDELLGKKMKEPLSINVATFQHALLRLTPSDIMKATENFSKARIIGDGGFGTVYRAVLPEECVVAIKRLHGGGQFQGDREFLAEMETIGKVKHRNLVPLLGYCVFGDERFLIYEYMENGSLEVWLRNRADAVDALSWPVRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDKDFEPRVSDFGLARIISACETHVSTDLAGTFGYIPPEYGLTMRATAKGDVYSFGVVTLELLTGWPPTGQEEVEGGGNLVGWVRWMVGRGKEAEVFDPCLPHAGGGPSREQMMRVLAVARACTADEPWKRPTMLEVVKMLNEIKMEACGDLVTRHV >Ma08_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33399459:33404005:1 gene:Ma08_g19650 transcript:Ma08_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGVGGDSRSKEAVGMLALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGNLMLMWEDGFCRTRVDDIDGEDQVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDALPPEWNDQFASGIQTIAVIQAGHGLLQLGSCNIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRNSSPSPSAPVKQIPARPPPPVFNWSHPPLASPAYHPSAQMGLPSNKDDTQLYLLPPSSEAQMDEMMPEQESDLKWPNGLSFFTALTGRTDDAKLLFGAEMLGHQPPPHQHPLMTARKNLTASSPVSICGNADESKAIEASGLPGQGNIGDSGAALSASNTEDLLSLESHSGKARKMENSKFKRSFTLPARMTTSSSSSSLDHHHTSTPQPMDYRGSEAGIYQDIMETFLD >Ma08_p31130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42131488:42135642:-1 gene:Ma08_g31130 transcript:Ma08_t31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSAGHYNPRTVEEVFRDFKGRRAAMIKALTTDVEDFYQQCDPEKENLCLYGFPNERWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWILSVAFYFGARFGFDKVERKRLFGMINDLPTIFEVVNGKNKVRTSETNHSNNKSKSNTKVRVSDSHPKYLKGQPKEEDDELDEDDEEEHGDTLCGACGDNYASDEFWICCDICETWFHGKCVKITPARAEHIKQYKCPSCSNKRPRPS >Ma10_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28181920:28182324:1 gene:Ma10_g16510 transcript:Ma10_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGRGEGGRRYRASTWPASMDLKREHERLVIEPGLAALTYIQLDGNMKTMEKSWSRPPEELKGVAQFEGGRTWWPESASTASACTPPPPPPP >Ma01_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8763918:8789723:1 gene:Ma01_g12070 transcript:Ma01_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HAPLESS 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) UniProtKB/Swiss-Prot;Acc:F4JP36] MKRQRRWSPAIPLLFRLCLAVLAPLPLATVAVEILSKSKLERCIKASGSDSVDCEKKIVLNMAVPSGSSGGEASIVAELVEVEENDTQHMQTIRSPPIITIKKSAAYAVYELIYIRDVPYKPEEFYVKTRKCKPDASAKVVKFCERLRDQNGHIIEHTQPICCPCGPQHRVPSSCGNLFDKLMKGKANTAHCLRFLGDWFHIFGIGKRSLGFSIHIEVKKGSSLSEVIVGPENRTVLSNDKFLRVNLIGDFVGYTSLPSFEDFYLVIPRSGPPGQPENLGQNFSRWMLLERVRFSLDGLECNKIGVSYEAYRNQPTFCSSPYWSCLHNQLWHFWEADQNRIGRNQPPQYMVERRFERINQHPNAGTHTFSVGITEVLNTNLLIELSADDIEYVYQRSPGKILSIKIPTFEALSQFGTATITTKNIGELEASYSLTFHCLSGVSYMAEQFFILKPDEEVTRSFYIYPTTDQAARYQCAAVLKGSDFSVLDQAECQFTTTATVLENGSQIVPADELKKNEINSFFEAIKGAWSMMWSGLVDFFTGRTCRSKCQSFFDFSCHVQYVCVSWIVMFGLLLASLLTGTVLLWLLHQKGFFDPIYDWWDDRLKGTKKRNRINSKHAKNVKKPGLRHQKETGRDVHHKHKPIPSHDGKKPKSSHSHRVPHKHIHLGLAENDRSHRKHDTHLHLHKVRHKHKHSESYLAQTHKSNKNRPISTEMGDMKHKITRCSDEKDSRHKHVYRQLHGANSHAKHDKDLWNYM >Ma10_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27473444:27479273:1 gene:Ma10_g15340 transcript:Ma10_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPQQLEASASRSPRPQQQQQARPPPSGTLTFDEISKLFSLPIAEAAPVLGVCTSVLKKICRDYGIVRWPYRKYLAGKTVEDIRRDIAKERSKELADLSKTNQKNDVSNVMSSPVGSLSALTPNPAQESSKMQRVSMPGHVSQLQGSRFTQNGWPNVLHQNQSKNIPTFMDDFKYGFPENGLSSTSFKWWGISRLEETERTVPGEGETTTEKDDNQEVQDSSNEGEEPDSGAEDNVIVTKPSALLCSLRRKSVEYGRESLKRGISVAGIPNKLTKRQKLTLSQVFGSSLPEEWKENFS >Ma02_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15908123:15908890:-1 gene:Ma02_g04510 transcript:Ma02_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVGVNENTKKPSMNIVVHEEFGIAEGLMTTVHATTATQKTVDGPSMKDWRGLCGAGQNIIPRLVQQRLLEKYFQN >Ma04_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3423505:3432119:-1 gene:Ma04_g04490 transcript:Ma04_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAGWRRSGRRFLEQSPALSTLKSIYPLSSSECGVDRPRYGSTVAVKGTGHLIRKGTGGRSSVSGIVATVFGATGFLGRYVVSQLAKMGSQVLVPFRGSEDSHRHLKLMGDLGQIVPMKYNPRDENSIKAVMAKSNVVFNLIGREYETRNYSFEEVNHAMAEQLAVIAKEHGGIMRFVQVSCLGASSSSPSRMLRAKAAAEEAVLRQFPEATIMKPGIMIGTEDRILNRWAQFAKKWSFLPLIGDGSTKIQPVYVIDVAAAIMASLKDDGSSMGKVYELGGPEVYTLHQLAELMYDMIREWPRYVKIPFPIAKAIATPRELLLKKVPFPLPNPDIFNLDQINSFTVDTVVSDNALTFKDLGIVPHKLKGYPVEYLISYRKGGPSFGSTVSERVTAE >Ma04_p33950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33336883:33341926:1 gene:Ma04_g33950 transcript:Ma04_t33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTDLWDDSALINAFDHAMSTYKAMHRESYPVNPLEEKTLTSESNQDKPGHTEILTREIDDHGENNVMKDVTETNMPSYKDLTSTEGLQSQEGSLGANICPTESNPCLSDFPVAAMETDKYNYQQSVEYNNLLKQYYELEEQRQKVLQQLQQANYWNYQDPVQSGQHQVEQVPTNNVSDSCSQPICSLCSCPSLALPSITASSCAICGPSFGGYYCWPQSCSMSLPHQFSGGQGHIQSGICSVGASCTGNPSKKTTHMDDQAVGITEKEKGKEEEISDCGTNQETDLAVVLSAWYSAGFHTGRYLSEQSRRKAPQ >Ma08_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36869484:36871392:-1 gene:Ma08_g23500 transcript:Ma08_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLRMGEAPARRPLRAAKAAPLKGLSRLDLVLGIGRSEEGEEEKGREEEVETEEKEEGEEKGSGEPPPQLNLEALLPAPPQRSSPALLRRRWATETRNSEASMRGLDVNRSPSAEERASSSSSPNSTVSCPQMDFSAQRGGVQKGGGGGVGAAAAAAVGRASSRVSDEEENGLVRKKLRLSKEQSDSLEESFKEHSTLNPKQKLALSEQLNLRPRQVEVWFQNRRARTKLKQTEVHCEYLNRCCQTLMEENRRLQKKVAELRALQTSCHDFSMHLPATTLSMCPSCERVAPTPTSNSASAAAASDHQPNSFSALIPKPRPSSLAVQPAPAASRQSSPAS >Ma04_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3889000:3892921:1 gene:Ma04_g05190 transcript:Ma04_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHFAAGLMAMAVVAAAVAGSAAQSPPDCVSNLVGCAGYLNSTTTPPDSCCKPLKQAAKTQLPCLCSLFNNTAVLRAFNVNITQAIQMSKRCGVSTDQRACETATASGTTATPSSSNNDTGSSAGKDSSSANKVTSIGLPGLVSLLLCWWSLMT >Ma04_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:469675:518916:1 gene:Ma04_g00540 transcript:Ma04_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAIPVEEAIAALSTFSLEDEQPDVQGLAILLSTERCATNSPLEFGDVAAYRLSLGEDTKAINQLNALIHEGREMASLLYTYRSCVKALPQLPDSMKHNQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLMNGPTITHFWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSVQWQDTDSMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHMLLRVLPVLVVLATSSEKESESLYKRVKFNRLINIFKSDPVIPAFPDLHLSPAAIMKELLMYFQSFSSQTRLLTLPGPHEIPPRELQDYQRHYLIVNHIGTIRAEHDDFSIRFVSALNQIVVLKSTDGADTEWSREVKGNMYDLVVEGCQLLSRWTGRIWEQCAWKFSRPCKEPAAVGAHQSSTTFFDYEKVVRWNYTADERKTLLELVGYIKSIGLMMEHCDTLVADALWETIHAEVQDFVQDRLDTMLRTTFRKKKDLSRLLSDMRTLSADWMANTSKAEPELHSSHQENEETKQSAFYPRPVAPTAAQVHCLQFLICELVSGGNLRKPGGLFGNSGSGISVEDLKQLETFFYKLRFFLHIIDYKATICTLTDLGFLWFREFYLESSRVIQFPIDCSLPWMLVDHVIESQDAGLFESILMPFDIYNDSAQHALTVLKQRFLYDEIEAEVDLCFDQLVNKLHEIIFSHYKSFAASVLLDQSFLSACDDAYNCSTKPMRFNKIFKLRRIKVLGRTINLRSLITQRMNRLFRENIDFLFDHFESQDICAVVELQKLLDVLKLTHQFLCQDLELDSFTMMLNEIQENLSLVSFSSRLSSQIWAEMQNDFLPNFILCNTTQRFIRSAKGPCQAHEKETVPSGKPYLYCGSQDLNLAYQNLTSLYSEFFGIPHMFAIAQLLGPRSVPWIVRALLDHISSKITTIVPRITGLQEALPKSIGLLSFDGGVAGCQKFIHEQLTWGAKSELKIEVLHGLKEIGSALYWLSLLDTVLRQNDTTQFMQTATWLGLLPAANGQVKQFDTGVSPIVSLFKGITSSIMANPSCLNPSSFLIMSKQAEAADLLYKSKLSTGSVLEYTLAFISAALDRHYSKWSATPKTGFIDITTTKDFYRIFSGLQFEYLEETTLDASRRHEFLGDSVAWAGCTIIYLLGQQQHFELFDYSYQFLNVAEVESPTFVQPPFSDQAKINNYSQKGYENLLEAMKKSRRLNNHVFCILRARCPLEDKAACAIKQSGAPLHRVKFLNTVSAFETLPQKDT >Ma04_p00540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:469675:518916:1 gene:Ma04_g00540 transcript:Ma04_t00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAIPVEEAIAALSTFSLEDEQPDVQGLAILLSTERCATNSPLEFGDVAAYRLSLGEDTKAINQLNALIHEGREMASLLYTYRSCVKALPQLPDSMKHNQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLMNGPTITHFWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSVQWQDTDSMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHMLLRVLPVLVVLATSSEKESESLYKRVKFNRLINIFKSDPVIPAFPDLHLSPAAIMKELLMYFQSFSSQTRLLTLPGPHEIPPRELQDYQRHYLIVNHIGTIRAEHDDFSIRFVSALNQIVVLKSTDGADTEWSREVKGNMYDLVVEGCQLLSRWTGRIWEQCAWKFSRPCKEPAAVGAHQSSTTFFDYEKVVRWNYTADERKTLLELVGYIKSIGLMMEHCDTLVADALWETIHAEVQDFVQDRLDTMLRTTFRKKKDLSRLLSDMRTLSADWMANTSKAEPELHSSHQENEETKQSAFYPRPVAPTAAQVHCLQFLICELVSGGNLRKPGGLFGNSGSGISVEDLKQLETFFYKLRFFLHIIDYKATICTLTDLGFLWFREFYLESSRVIQFPIDCSLPWMLVDHVIESQDAGLFESILMPFDIYNDSAQHALTVLKQRFLYDEIEAEVDLCFDQLVNKLHEIIFSHYKSFAASVLLDQSFLSACDDAYNCSTKPMRFNKIFKLRRIKVLGRTINLRSLITQRMNRLFRENIDFLFDHFESQDICAVVELQKLLDVLKLTHQFLCQDLELDSFTMMLNEIQENLSLVSFSSRLSSQIWAEMQNDFLPNFILCNTTQRFIRSAKGPCQAHEKETVPSGKPYLYCGSQDLNLAYQNLTSLYSEFFGIPHMFAIAQLLGPRSVPWIVRALLDHISSKITTIVPRITGLQEALPKSIGLLSFDGGVAGCQKFIHEQLTWGAKSELKIEVLHGLKEIGSALYWLSLLDTVLRQNDTTQFMQTATWLGLLPAANGQVKQFDTGVSPIVSLFKGITSSIMANPSCLNPSSFLIMSKQAEAADLLYKSKLSTGSVLEYTLAFISAALDRHYSKWSATPKTGFIDITTTKDFYRIFSGLQFEYLEETTLDASRRHEFLGDSVAWAGCTIIYLLGQQQHFELFDYSYQFLNVAEVESPTFVQPPFSDQAKINNYSQGYENLLEAMKKSRRLNNHVFCILRARCPLEDKAACAIKQSGAPLHRVKFLNTVSAFETLPQKDT >Ma08_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33806544:33815545:-1 gene:Ma08_g19930 transcript:Ma08_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQLSSSSFLVFVFVFVFLHSLKPASIYCHPLDPLTPSEISAVSNIIKSSHLGSSKSLSFHYVGLDELDKPDVLAWASTHRWNKAAPPRRAFVIVRSEKKTHEIYVDITNHSIVSDKVYEGFGYPMLNFEEQEAASALPFDYAPFVESVKKRGLEPEDVLCTTFSVGWFGERKQGRRLLKIQCFLTGDTVNFYARPLEGVTVVVDLDAMEIVEYEDRMVVPVPGSTGTDYRAAKQKPPLGPRTKPGIIVQPEGKGFEVDGHMIRWANWEFHLGYDVRAGTVISLASVKDSEKGIFRRVLHRGYVSEIFVPYMDPSEEWYYKTFFDVGEFGFGLLAAPLEPMTDCPANAAFMDAYITDRDGLPIKQSNAFCVFERYSGDASWRHTEFGIPGQVITEVRPEVSLVVRMVSAIGNYDYVIDWEFKTSGSIKLGVSLTGILEMKGTQYTHADQISGDQHGTLLAANTMGVYHDHFVTFHLDLDVDGPDNSFVKSKLKTVRVTDDSSPRRSYWTVAKETARTEADALVELGAEPAELLVVNPSKKTKMGNDVGYRLISHGATAASLLSDDDYPQIRASYSKKQVRVTAYNKSEKWAAGLYADESRGDDNLAAWTARNRVIENTDIVLWYTVGVHHVPYQEDFPVMPLLSGGFELRPANFFESNPLIKTPANKLVHLPNCPRNP >Ma10_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23680158:23683554:1 gene:Ma10_g09580 transcript:Ma10_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGNRRLVVEVCNARNLMPKDGQGTASAYVMVDFDGQRRRTKTRLRDLNPQWDEKLEFLVHDPESMAAETLELNVYNDKKTGKRNTFLGKVKISGTSFAKAGSEALIYYPLEKRSVFSQVKGELGLRVSYIDEAPPPAADEAPATEAKPEAPPVAEDKKPAAEEEKKPEEAKKTDEAKKPEKTKTDEKAAPASKDEEKKKQPEKTKPTEAAPASTDAGKPKEDKQKAPPLPAPTPPATPAKDSHPLGLSDLEIRPFAGERPSSSYDLVDRVPYLFVRVLKAKHGGAEGRPVYAQVVIGSHSVRTRIVRSADWDQVFAFHKENLNSTALEVFVHEEKKDGDKPAEDVSLGSVCFDLQEIPKRSPPDSPLAPQWYTLEASTPEPTAAPGNDVMLAVWVGTQVDEAFQEAWQSDSGGLNVHTRSKAYLSPKLWYLRLTVIQTQDLHLPPAPDTKSPISGGATGPEILVKGQLCGQVFRTGRAPLVTSSSSANPTWNEDLVFVAAEPFDPFLTVVLEDATAGQPVGHTKVPLSSIHRRLDDRAEPPARWLNLAGDDEGRPYVGRLHVRVCLEGGYHVLDEAAHVASDVRAASKQLSKPPVGLLEVGVRGATNLVPMKPATQGGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIGVFDNSRFRPAEAGGSGSSKPPVKDARIGKVRIRLSTLDTNRVYVNSYALTAVQPAGAKKMGEIELAIRFSCPSWLSLLQTYGSPMLPRMHYVRPLAPAQQDALRHTAMRLVAARLSRSEPPLGPEVVHHMLDTDAHTWSVRRSRTNWARVVGGLTRAAAAARWVHGVRTWSHPPTTALVHVLLAAAVLCPQVILPTAALYLFLVLVWRYRARPRGPAGMDPRLSQVDAVGPDELDEEFDVFPSSRPADLVRLRYDRLRALAGRAQTLLGDVAAQGERVEALLGWRDPRATGIFAAFCVLSSIVLYAVPFRVLLLFAGFYYLRHPRFRGDMPSAGFNFFRRLPPLSDRIL >Ma09_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6162075:6165691:-1 gene:Ma09_g09320 transcript:Ma09_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein HCF164, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37200) UniProtKB/Swiss-Prot;Acc:O23166] MARVASSHFGLLRELPPPRLDPSDLPRRLRQATVLRLRSTRPLRFPKMACLAESKPVEAPPIESPPEDENTAQPGAVEEGSQDASVSQSANFVEFPNKDINRRVALASTLAAVGLFISARLDFGVSLKDLSAAAIPYEEALANGKPTVVEFYADWCEVCRELAPQIFQVEQQFRNQVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLENVAALVNGEPTIPHARVVGQYSSAEARKVHQVVDPRSHG >Ma06_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2547537:2549234:-1 gene:Ma06_g03480 transcript:Ma06_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVEEEEQQLPPGFRFHPTDEELITHYLTHKITEADFDARAIAEVDLTKSEPWDLPEKAKLGEKEWYFFSLRDRKYPSGVRTNRATNAGYWKTTGKDKEIFDSSTSELVGMKKTLVFYKGRAPRGEKTNWIMHEYRLHSELASKYIKDEWVVCRVFMKSSSSGKKYPPSQPRANPYYVELGPVSTPSLIQNELMELSRFARDSHGPTSPIQPQLSYHGGGGGGGGGFTLAGMKLNMGALPPPPSPAAMPVPLFQPLGVAEPPPSSAIANGFVGAMDGGFGSDVRYHSMDSATELDVFWSPY >Ma05_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9694077:9695030:-1 gene:Ma05_g13340 transcript:Ma05_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHTRPELKSLRLRASTRSAVACTSLYRHYTLNARLLSLSRSLSLTGRNPNPDRSFPSIASLFLMATSCVRSVSRRIPLSSLGRVGVRSRAAAAPSSCSLHAFAAAPCTIRRFSSLSRIPVELGCYGGSLLPLHSAVAAARLTSRLSTTSRGGRVLSQGTLCRTYPGL >Ma11_p09530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8626470:8627112:-1 gene:Ma11_g09530 transcript:Ma11_t09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLCDGSDIGPICYTSSSTVAMLKERIISEWPRGAVYDVGL >Ma11_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8626667:8627112:-1 gene:Ma11_g09530 transcript:Ma11_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLCDGSDIGPICYTSSSTVAMLKERIISEWPRGTTSCFSLLHQLVKISFLL >Ma03_p03340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2237630:2249217:1 gene:Ma03_g03340 transcript:Ma03_t03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKLVLFGDSITEESFGDGGWGAALAHHFSRSADIVLRGYSGYNTRWALKVLDRAMDGASFGEPPAAVAVFFGANDASLSDRSSGFQHVPLCEYGTNLRAICSLLKDKWDSTIVILITPPPIDEDGRIQNPYGDNPSGLPERTNEEAGAYAKACVAVAEESGLPVIDIWTRMQKFPGMDCTSLPLEIGFCSRRWFGSLKTRA >Ma03_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2237613:2249217:1 gene:Ma03_g03340 transcript:Ma03_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKLVLFGDSITEESFGDGGWGAALAHHFSRSADIVLRGYSGYNTRWALKVLDRAMDGASFGEPPAAVAVFFGANDASLSDRSSGFQHVPLCEYGTNLRAICSLLKDKWDSTIVILITPPPIDEDGRIQNPYGDNPSGLPERTNEEAGAYAKACVAVAEESGLPVIDIWTRMQKFPGWEKLFLRDGLHFTSLGNRVLFEEVVRKLKDTGLSLETMLVDQPLFYDIDPKDPLKTFCN >Ma04_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5346711:5349175:1 gene:Ma04_g07380 transcript:Ma04_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVSSRNHRRKGEFRAKNALQICIFVAVCVWLLYQMNHSHARRKAYAESFDEGQSDITRFGRKDLLPSTGNADSVVDAKVKEDAEVTEQDTEHEEGAENHRTDGRDKVRAENTTREVGAFDDREHDERSREAREKSFKGDDASSEVVHYSSQDIKHEELTQQARERSFRADDASSAVDHHVVQVQEPESEAELLDSFKGTNSSVIHEDNSTLPNIEDATTADVLQKTEQTKLDKWNSSSTTGEADENMEEQTDLGIVDHIDNETKPQTYAANESVSQIELGVRSIDLPKNQIKAHENHTAVSEDWTEAQENQTTSNVNQTSLHPLQGINSTANLQTPWKEDKTENTIMIQKSNKTARPEESEESSETTAIKDDHKKVLLQQSKDYLHSTAAGEKKDKRDGLSSLKEIQSKVEESGKEEAAE >Ma04_p07380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5346711:5349175:1 gene:Ma04_g07380 transcript:Ma04_t07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVSSRNHRRKGEFRAKNALQICIFVAVCVWLLYQMNHSHARRKAYAESFDEGQSDITRFGRKDLLPSTGNADSVVDAKVKEDAEVTEQDTEHEEGAENHRTDGRDKVRAENTTREVGAFDDREHDERSREAREKSFKGDDASSEVVHYSSQDIKHEELTQQARERSFRADDASSAVDHHVVQVQEPESEAELLDSFKGTNSSVIHEDNSTLPNIEDATTADVLQKTEQTKLDKWNSSSTTGEADENMEEQTDLGIVDHIDNETKPQTYAANESVSQIELGVRSIDLPKNQIKAHENHTAVSEDWTEAQENQTTSNVNQTSLHPLQGINSTANLQTPWKEDKTENTIMIQKSNKTARPEESEESSETTAIKDDHKKVLLQQSKDYLHSTAAGEKKDKRDGLSSLKEIQSKVEESGKEEAAE >Ma08_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4402001:4402628:-1 gene:Ma08_g06520 transcript:Ma08_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSPO [Source:Projected from Arabidopsis thaliana (AT2G47770) UniProtKB/TrEMBL;Acc:A0A178W1Q1] MASQTLKHRPKEEPTTTATTTATPSTTSHKYRKDQRLAMAKRGLRSLAVAVAIPAVGTAASISIAGATLASTKPSWSPPVWAFHLGSLLMSALLGFSSWLVWAEGGFHGRSEALPLYLSELFMSLMWAPLVFGAGFPRPGMAVCVAHFAVLFMLSQSYRQVNPMAADLIKPYLAWVSFLAVFNYKLL >Ma10_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31552241:31556101:1 gene:Ma10_g22010 transcript:Ma10_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSPTSPPPAPASAPPPATPATPPSTSPPPPATPSAPPPTSPPPSPANPSPSPPSASPPPSSPSGSSPPPPVTPSGSSSSPPPPPPSGRSPPSPPSPTPPKTPSTRSPPSSSSPSSSSSISTSLVVGVAVGGVVILLLLSFICVCCWKKKRRLASPPPYYFGAPPPPPPGTKADRYGEYWQQSAPPAADHVVKVPSGPPPPPLPPPPFASRPPQSAGDLPPPPPPPMIHSSGGSGSNYSGSEFPLPPPSPGVAFGFSKSTFTYEELAMATDGFSDANLLGQGGFGYVHRGVLPNGKEVAVKQLKTGSGQGEREFQAEVETISRVHHKHLVSLVGYCISGGKRLLVYEYVPNNTLEFHLHGRGRPTMEWPTRLRIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDYKFVAKVADFGLAKFASDNNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGIMLLELITGRRPVDSSQTFMDDSLVDWARPLLTRALEDGNYDALVDPKLGMNYNPNEMARMIACAAACVRHSSRRRPRMSQILRALEGDVSLEDLHEGIRPGHSRFYSSYGSSDYDSGQYNEDMKKFRKMALTTQEYASSEYSAPTSEYGRNPSASSSEGAQTQEVEMGKKKDSYEYGSSL >Ma08_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15801125:15802865:-1 gene:Ma08_g15610 transcript:Ma08_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDQEPPFQDLNVKNRRAMGDGGPEDDSRWPRWLRPLLTTRFFGHCNLHGDSPKSECNRYCLDCTNGALCSHCLAYHCDHRTIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQLRPCKGVTNTCSVCERRLLDSFRFCSLGCKVCFLIDSVDFRWFSCLSDSVTGCCEQPFVGMLVQIAGTRNGRGEKKSTNKNEMAAASDSEESHTSSSHVTQSFSPSTPPPAVVSYRSSKSRKGIPRRAPFGSVLMEL >Ma08_p15610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15801125:15802865:-1 gene:Ma08_g15610 transcript:Ma08_t15610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDQEPPFQDLNVKNRRAMGDGGPEDDSRWPRWLRPLLTTRFFGHCNLHGDSPKSECNRYCLDCTNGALCSHCLAYHCDHRTIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQLRPCKGVTNTCSVCERRLLDSFRFCSLGCKIAGTRNGRGEKKSTNKNEMAAASDSEESHTSSSHVTQSFSPSTPPPAVVSYRSSKSRKGIPRRAPFGSVLMEL >Ma11_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1415713:1416612:1 gene:Ma11_g01960 transcript:Ma11_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMVKWEDRQPFHVIPLVYRCNIWKCKGKEHGGDLEKKWNSILRYYETKYKDETKDFEVLLDGGLPSGWEASLPKWSTSDPVDATRCDDFKLPASPRGRNIRYGVREHAMALHGSGLKPFATTFLTFSDDMKNSIRLSALSHAGVLYVFTHDSIGLGEDGPTPAGEATGRPSSYSSYVSLPTCRRKRESDLKQQFLINPNVIT >Ma11_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14772424:14775976:-1 gene:Ma11_g11690 transcript:Ma11_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLVTGATGYLGGRLCHRLLEEGHVVRAFVRRSGDLSSLPSSHPTLELAYGDVTDLPSLIDALHGCDVLFHTAALVEPWILDPSRFFAVNVGGLRNVLQAFQAMKTLRKIIYTSSFFALGPTDGYVTDEKQMHQGKMFCTEYEKSKAVADGIALQAAADGTPIVLLYPGVIYGSGKLTSGNFIAKILIERFNWRLPGYIGDGNDRLPFCHVEDVVSGHIAAMHKGQPGERYLLTGDNASLVQVLDIVAAITKTRRPLLHIPLGIIEIYGWISVFFSHITGKLPLISYPAVRVLRHQWAYSCDKAKAELGYNPRSLHEGLSEILLWLKELGMIRY >Ma10_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15273788:15275975:1 gene:Ma10_g04620 transcript:Ma10_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTAMTIEFLRARLLSERTVSKAAKERSEQLTTRVMELEEQLRIVSIQRRKAEQAATEAISILEIHGSNNLSEAVDSSSEKDGSPSDGKECDEAFKEDEASTASKGERLEVEDAQSISEHEVSPSQVGSLSWKSRSSSPDFTRKLKGKQFRSKQRRISLRSSVETSPKYNLGKSCRKIKRKEMRSTAEDKGDYHHILDDHKPELSRNVSESQSSFVDVQEKEADGSHYAIGNGRDEEMERVLKEQAQLIGQYEAEEKAQREWEQKYNENKNSNT >Ma02_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18548324:18552863:-1 gene:Ma02_g08100 transcript:Ma02_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALARLSRRTLAVRPRLIPLHRLLSADATAAAAAAVAPPPQTASDRVKWDYRGQRRIIPLGQWVPTIAVDAYVAPNVVLAGQVTVYDGASVWNGAVLRGDLNKITVGFCSNVQERCVLHAAWKSPTGLPAETSIDRYVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGEIWAGNPARFVRKLTHEEILDIPKLAVAINDLMQNHFSEFLPSSTVYLEVEKMKRALNISI >Ma07_p08240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6130203:6147356:1 gene:Ma07_g08240 transcript:Ma07_t08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWNRKNAVSPSSSSPSSSSSPASIASSPVGRSWSRDLHLPWSSRRVARQHERPKLSDIKVGGLSFDTGATAGPCFVSSTPVSPRSSNLNCNPGRISSSLILLPRPLPLPEFVATAPASPHRECTSALGLGLSSPKLAGCPLPSPKGASSRSEGNETTHAPAECSSMVDPINEKAFSGGVVGRFPHQSLHRSSERSGISLNGFTFRRHRKRFQDPNSAGYVNFMLNIPAKSAPASGFSSPVRSPISSPRRSNNVDFSTIATRGLQVRSATEMPSTDRESVFPLQTSSEEFIGGPDQTAKSLVLRTRNPTTPSSPLHRKMFSDNSASCQENGGNISVHPLPLPPVAAPPSQSGFSHHYGTKSEALSMRSQWKKGNLIGSGTFGNVYEATNIHTGALCAMKEVNIIPDDAKSAECVKQLEQEIKFLSEFRHPNVVQYYGSETIDDQFYIYLEYVHPGSINKYVHQHCGAMTESVVRNFTHHILKGLAYLHGKDIMHRDIKGANLLVDARGVVKLADFGMAKHLSGVAGTRSLKGSPYWMAPEVMQATMNKDIGYDLAVDIWSLGCTIIEMFTGKQPWSGLEGAAAMFKVLHKNPTIPESLSNDAKDFLQCCFHRNPAERPTANMLLEHPFIQLSDHYNGHGSLQAFAGIKIIDNTISTREKNKSRSESCVRGRHSINGESRQSHPGTSETDVSRVSPRSAPEIVLSPSQPQPNHIMSSSSSTSANILNSVRLAAANVQPCVLPMPYKKAIVNLS >Ma07_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6130203:6147356:1 gene:Ma07_g08240 transcript:Ma07_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWNRKNAVSPSSSSPSSSSSPASIASSPVGRSWSRDLHLPWSSRRVARQHERPKLSDIKVGGLSFDTGATAGPCFVSSTPVSPRSSNLNCNPGRISSSLILLPRPLPLPEFVATAPASPHRECTSALGLGLSSPKLAGCPLPSPKGASSRSEGNETTHAPAECSSMVDPINEKAFSGGVVGSRFPHQSLHRSSERSGISLNGFTFRRHRKRFQDPNSAGYVNFMLNIPAKSAPASGFSSPVRSPISSPRRSNNVDFSTIATRGLQVRSATEMPSTDRESVFPLQTSSEEFIGGPDQTAKSLVLRTRNPTTPSSPLHRKMFSDNSASCQENGGNISVHPLPLPPVAAPPSQSGFSHHYGTKSEALSMRSQWKKGNLIGSGTFGNVYEATNIHTGALCAMKEVNIIPDDAKSAECVKQLEQEIKFLSEFRHPNVVQYYGSETIDDQFYIYLEYVHPGSINKYVHQHCGAMTESVVRNFTHHILKGLAYLHGKDIMHRDIKGANLLVDARGVVKLADFGMAKHLSGVAGTRSLKGSPYWMAPEVMQATMNKDIGYDLAVDIWSLGCTIIEMFTGKQPWSGLEGAAAMFKVLHKNPTIPESLSNDAKDFLQCCFHRNPAERPTANMLLEHPFIQLSDHYNGHGSLQAFAGIKIIDNTISTREKNKSRSESCVRGRHSINGESRQSHPGTSETDVSRVSPRSAPEIVLSPSQPQPNHIMSSSSSTSANILNSVRLAAANVQPCVLPMPYKKAIVNLS >Ma01_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1432723:1434185:1 gene:Ma01_g02150 transcript:Ma01_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVRSKSKAFMYIAHLLAATAAVMVLVWCIHFRGGLAFESANKSLIFNVHPVLMLIGFIIMGGEATMSYRTLPWSKRVKKTIHLILHAIALVLGVFGIYFAFKFHNESGIDNLYSLHSWMGLGTICLYGIQWIFGFVTFLYPGASVFLRTAYLAWHVLFGVFVYLLAIATAELGFLEKLTFLETSGILHRYSPEAFLVNSTALVVILLGASVVLSLIVPYIDYSNIYTVD >Ma10_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1768529:1769229:-1 gene:Ma10_g00530 transcript:Ma10_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLRFLSSLSLCLLALLLHRATVTSGCFSMEREALLDFKAGIHDTHNRLSSWVGQDCCTWKGVTCDTTTGHVVMLDLRNTFDRALRGERMMNSFYIPRQPRLALPSYLPEEPGLELVEANRCPRLVLIREHAAIPPSVKYVSCWSRYHPSFCCPCQLHLLSYRP >Ma06_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17802444:17827489:1 gene:Ma06_g22280 transcript:Ma06_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGALLKSVEEAQEHAELTKHSNFSESTEAVLNLVCATCGKPCRSRTETDLHTKRTGHADFDDRTLEAAKPIELEAAPKAAAASGESAGDESSQPEEMVVPEVDSDLLGQLESMGFPTARATRALHYSGNSSIEAAINWVAEHEDDPDIDQMPLIPKDKMTEANKPSLTPEEIKMKAEELRERARKKKEEEERKTEREREKERIRIGKELLEAKRIEEENERKRILALRKAEKEEEQRAREKIRQKLEEDKAERRRKLGLPPEDPVSSKPSAAPEEQKKSFLPVKPATKAERMRDCLRSLKQHHKDEDAKVKRAFQTLLTYVGNVAKNPNEEKFRKIRLNNPTFQDRVGGLHGGVEFLELCGFEKLEDGEFLFLPRDKVDMAVLNSAGSELNSAIANPFFGVL >Ma02_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24439319:24446214:1 gene:Ma02_g17600 transcript:Ma02_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNESCIVAVARQRGIDVVLNDESKRETPAVVCFGEKQRFIGTAGAASSMMNPKNTVSQIKRLIGRKFSDPELQRDIQSLPFKVTEGPDGFPLIHVNYLGEQRTFTPTQILAMVLSDLKGIAEKNMHAAVVDCCIGIPVYFTDIQRRAVLDAATIAGLHPLQLFHETTATALAYGIYKTDFPENDQLNVAFVDVGHASMQVCVAGFKKGQLKILAHSYDRSLGGRDFDEMLFKHFAAKFKDEYKIDVYQNVRACLRLWAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPILERVKRPLEKALVEAGLSVENIHSVEVVGSGSRVPAIIRILTEFFGKEPRRTMNASECVARGCSLQCAILSPTFKVREFQVHESFPLSIALSWKGSTPDSQKGESESQQSSVVFPKGNPIPSVKALTFYRSITFTVDIIYTDGGDLQVPSKISTYAIGPFQSGKGGIVKLKVKVRMNLHGIVSVESATMLEEEEVDVPVSSATELTMEATNMDMDEATNDSSKTENDVNMQEAKGSVGNSSGGIENGTSEIEENAVRMETDTKVEVPRKVKKTNVPITELVYGGMLAEELQKAVEQEFEMALQDKVMEETKDRKNTVEAYVYDMRNKLHDKYQDFVTSTEKKEFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKTGDPIEERYREWAERGPAIDQLVYCINSFREAALSSDPRFDHINITEKQKVINECGGVEAWLREKQKLQDALPKSATPVLLSADLKRKAETLDRFCKPIMTKPKPPPAKPQPPLTEMPAAQPQTGEQQHMGDRTAEGSSQVPPTTAPEPMDTDKSEAESAA >Ma11_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6315699:6320642:-1 gene:Ma11_g07930 transcript:Ma11_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREGFFLLLVLVMSWASADARSLDNFDIMEAGIERPQSQNKVAKVVEGYDQLCKLCENFTAQATQYLGENKTQTEIIETLHQACSELKPFKDQCILLVDYYASLFFVEISKIHPEEFCTKFSLCQERLSVKSDDACSLCHGVVAKLLMKLQDPDTQFEVIKMLLQECNKVENYVQECKKMVLHYGPLILVDGEKFLESTDVCAAMHACKTSQAELVSTALVA >Ma10_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22330157:22333998:-1 gene:Ma10_g08030 transcript:Ma10_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQIDGGGFMLSQSSQNPDSGFSKNRGAPGVLPVTVKQIREAFDSAGDKSSLLLDGVDATNIRLLGLVMNKAERATDVTFTLDDGTGRIDVIRWVNDTSDANETAIIQNGMYVSVNGSLKGFQDKKRAVAFSVRPVSDYNAIALHFIQCIHVHLWNTRQKGGAFQHQTTPVKTTTTSFEGLRVPQTSVANQSSGSANTNGPETDIYKLVLNVFQEPANLDSDHGLHVDEVAKRLGVPINKIKEAIDYYVDIGHIYSTIDDYHFKSAFID >Ma01_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5844787:5847498:-1 gene:Ma01_g08150 transcript:Ma01_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDAVTAENAPALGEPMDLMTALQLVMKKSLAHDGLVRGLHEAAKAIEKHAAQLCILAEDCNQADYVKLVKALCADHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVLKDYGEESEGLHIVQEYVKSH >Ma11_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2976987:2977259:-1 gene:Ma11_g03890 transcript:Ma11_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEENSQQGVESTYESTGGMAWWVGASVVSAFFASLERCSCINLSTAEDEYDEPEEAKDRPLMLTKPISHDEYDDLQLQPPFPSPPHYS >Ma11_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27880315:27880777:-1 gene:Ma11_g25130 transcript:Ma11_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDMVPETPAPVHLNVFQGKKSYVIIPRKLNTSIAYPFALIEPCGVHGDVTLNDINQRIHAAPPSRLKHKKDEDPSIFNPTSAFSGKPVIVKTKVRTEGGQCRITVMRTKG >Ma07_p05720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4148555:4151284:-1 gene:Ma07_g05720 transcript:Ma07_t05720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQKVLLYACRNCDHQEVADNNCVYRNVVHHSVVEFTQVLQDVAADPTLPRTRAVQCAVCNHPEAVFFQATTRGEEGMTLFFVCCNPSCGYRWRD >Ma07_p05720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4148555:4151284:-1 gene:Ma07_g05720 transcript:Ma07_t05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQKVLLYACRNCDHQVADNNCVYRNVVHHSVVEFTQVLQDVAADPTLPRTRAVQCAVCNHPEAVFFQVMMPPLQLLFSATTRGEEGMTLFFVCCNPSCGYRWRD >Ma07_p05720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4148555:4151284:-1 gene:Ma07_g05720 transcript:Ma07_t05720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQKVLLYACRNCDHQEVADNNCVYRNVVHHSVVEFTQVLQDVAADPTLPRTRAVQCAVCNHPEAVFFQVMMPPLQLLFSATTRGEEGMTLFFVCCNPSCGYRWRD >Ma07_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4148555:4151284:-1 gene:Ma07_g05720 transcript:Ma07_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQKVLLYACRNCDHQDVAADPTLPRTRAVQCAVCNHPEAVFFQVMMPPLQLLFSATTRGEEGMTLFFVCCNPSCGYRWRD >Ma05_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3577233:3579483:-1 gene:Ma05_g04720 transcript:Ma05_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGGDWSAKQLSGDLEASASSTYGLQRELVQAVLAVDSSGGVQSSFSMVSPSSAVFQVIIGGGGGAFIGGGAPSGGASAGSGGGAPAAEAPLEEEKKEEKEESDDDMGFSLFD >Ma10_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25292886:25293226:-1 gene:Ma10_g11940 transcript:Ma10_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFMAVILFVLLTPGLVFQAPGKSRLIEFGNLQTSGISIVVHSIIYFALVAVFLLAVHVHMYIGH >Ma11_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24437369:24439335:1 gene:Ma11_g19670 transcript:Ma11_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTDRLDFFSRRCAWVNGPIIVGAGPSGLAVAACLKEHGVPSVILERADCIASLWQKRTYDRLKLHLPKQFCQLPKFPFPEDYPEYPTKKQFVDYLESYAKHFEISPRFNQSVQSARYDETCGLWRVRTVGAGAEAGNRSHEVEYIGRWIVVATGENAEKVVPDLEGLGGFGGDVIHACDYKSGEAYRGKRVLVIGCGNSGMELCLDLCDHDAFPAIVVRDSVHVLPREVLGKSTFELAVLLMKWLPLWLVDKILLLLAWLMLGNVEKYGLRRPSTGPLELKNTQGRTPVLDSGALAKIRSGDIKVVPGIKRFSPGRVELVDGQALDIDSVILATGYRSNVPQWLQGCDFFSKDGFPKTPFPDGWKGQSGLYAVGFTRRGLAGASSDAARTAKDIGRMWKEETKPAKRPVACHRRCISQI >Ma08_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20211599:20229217:1 gene:Ma08_g16680 transcript:Ma08_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWWAISDIPMNWFIDAQIMEQRTGYLSDKSSLWLELSKKLSVRDWRNHLCNHYFGSKVRGTWWRKLLFLWVAGWLLGSLWIFWFMNSQAVEKRRETLASMCDERARMLQDQFNVSMNHLQALAILISTFHHSKEPSAIDQITFSRYAERTAFERPLTSGVAYAVKVLHSEREQFEKQQGWRIKRMDSTEQSPAWEEDADLVNEETSRVQGEYAPVIFAQDTYKHVISFDMLTGKEDCENILRARESGKGVLTAPFRLLKSKRLGVILTYAVYKSELTSNATPAERIQAAIGYLGGIFDVEALVDKLLHQLSSKHSIIVNVYDTTNPDEPISMYGSNMTGTGICHISTLNFGDPVRKHEMHCRFKQKPPLPWLAITTSIGTLVIALLVGYIFHATVSRIAKVEDDYRQMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQEDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRAVLDDILSLFYGKAQEKGLELAVYVSDQVPEILVGDHGRIRQIITNLIGNSIKFTERGHIYLTVHLIKEVMTSLDMETEAHSTNTLSGFLVADRRRSWERFEICKLEFPGSDPYLSSTTSDLINLIISVEDTGVGIPLEAQSRVFTPFMQVGPSISRIHGGTGIGLSISKCLVSLMKGEIGFVSEPQIGSTFTFTVVLSRACTTSNEYKSSEFYGMNALVVDHRPARAKITKYHLQRLGINAILEIDPNQVLARLTSGGLTTKMVLIEKETWSKGNSIWPSIIRRLKDDQLDIPKVLLLANPSSSVKNNSTNSMEYISTIITKPLRASMLQVSLRRAMGCGDGEPSRNGRPPQLSLHSLLHEKQILVVDDNIVNLRVAAGALKKYGAEVTCADSGKKAISMLKPPHKFDACFMDIQMPEIDGFEATKRIRKMEADTNNLIKHGEVSVETFGNVLHWHVPILAMTADVIQATHEQCLRCGMDGYVSKPFEGEQLYREVTRFFKTVTKKNQ >Ma08_p16680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20211452:20229217:1 gene:Ma08_g16680 transcript:Ma08_t16680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWWAISDIPMNWFIDAQIMEQRTGYLSDKSSLWLELSKKLSVRDWRNHLCNHYFGSKVRGTWWRKLLFLWVAGWLLGSLWIFWFMNSQAVEKRRETLASMCDERARMLQDQFNVSMNHLQALAILISTFHHSKEPSAIDQITFSRYAERTAFERPLTSGVAYAVKVLHSEREQFEKQQGWRIKRMDSTEQSPAWEEDADLVNEETSRVQGEYAPVIFAQDTYKHVISFDMLTGKEDCENILRARESGKGVLTAPFRLLKSKRLGVILTYAVYKSELTSNATPAERIQAAIGYLGGIFDVEALVDKLLHQLSSKHSIIVNVYDTTNPDEPISMYGSNMTGTGICHISTLNFGDPVRKHEMHCRFKQKPPLPWLAITTSIGTLVIALLVGYIFHATVSRIAKVEDDYRQMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQEDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRAVLDDILSLFYGKAQEKGLELAVYVSDQVPEILVGDHGRIRQIITNLIGNSIKFTERGHIYLTVHLIKEVMTSLDMETEAHSTNTLSGFLVADRRRSWERFEICKLEFPGSDPYLSSTTSDLINLIISVEDTGVGIPLEAQSRVFTPFMQVGPSISRIHGGTGIGLSISKCLVSLMKGEIGFVSEPQIGSTFTFTVVLSRACTTSNEYKSSEFYGMNALVVDHRPARAKITKYHLQRLGINAILEIDPNQVLARLTSGGLTTKMVLIEKETWSKGNSIWPSIIRRLKDDQLDIPKVLLLANPSSSVKNNSTNSMEYISTIITKPLRASMLQVSLRRAMGCGDGEPSRNGRPPQLSLHSLLHEKQILVVDDNIVNLRVAAGALKKYGAEVTCADSGKKAISMLKPPHKFDACFMDIQMPEIDGFEATKRIRKMEADTNNLIKHGEVSVETFGNVLHWHVPILAMTADVIQATHEQCLRCGMDGYVSKPFEGEQLYREVTRFFKTVTKKNQ >Ma08_p16680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20211599:20217699:1 gene:Ma08_g16680 transcript:Ma08_t16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWWAISDIPMNWFIDAQIMEQRTGYLSDKSSLWLELSKKLSVRDWRNHLCNHYFGSKVRGTWWRKLLFLWVAGWLLGSLWIFWFMNSQAVEKRRETLASMCDERARMLQDQFNVSMNHLQALAILISTFHHSKEPSAIDQITFSRYAERTAFERPLTSGVAYAVKVLHSEREQFEKQQGWRIKRMDSTEQSPAWEEDADLVNEETSRVQGEYAPVIFAQDTYKHVISFDMLTGKEDCENILRARESGKGVLTAPFRLLKSKRLGVILTYAVYKSELTSNATPAERIQAAIGYLGGIFDVEALVDKLLHQLSSKHSIIVNVYDTTNPDEPISMYGSNMTGTGICHISTLNFGDPVRKHEMHCRFKQKPPLPWLAITTSIGTLVIALLVGYIFHATVSRIAKVEDDYRQMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQEDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRAVLDDILSLFYGKAQEKGLELAVYVSDQVPEILVGDHGRIRQIITNLIGNSIKKCSHSAVHRERTYIPDCSSY >Ma03_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2843195:2845067:-1 gene:Ma03_g04320 transcript:Ma03_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELSVLCDIDIALIMFSPSGRLSHFSGRRRIEDVLARYVNLPENDRGGVVQNREFVINTLKKLKCENDTAALVNPGVVNSNAEELQQEICRYQQQLQLMEQRLRFFEPDPLSFTSITDLESCEKFVMEALQRVTARKEYLLSNHLSPYDPSTTSMQMYLQPQQERLPNPYGNEMVQWVPEGASNPSHQIFVGSDPLMDLREHAIYDSIAPQSMGLQLDPGAAGCHVGNQHDTSWHQGYTSTEFLSALIPSPPYPLIQHPMGPADLPTMVPHEQVQGMAGCPSVPVDDGGAATNTYDGNAAPANVR >Ma09_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31438271:31439837:-1 gene:Ma09_g21000 transcript:Ma09_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKSKADAPKKADSKLSVKKGPERASKKPRKSKADKDPNKPKRPASAFFVFMEEFRKSFKEKNPNNKSVSVVGKAGGDKWKSLSEAEKAPYVAKAAKFKTEYTKKIAAYNKNQSGGGSHAAGDEDESDKSKSEVNDDEEDEEGTEEEEEDDE >Ma01_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4352827:4355787:1 gene:Ma01_g06090 transcript:Ma01_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFLLKFFLLFSSVAILTGNLVTSTSYDYSASIECLAEPQRPQYGGGIVRNPEFNDGLKGWSVFGYGKLAERTSDTGNRFLATDGRSLSHQSMSQKIYLQRGMLYTFSAWLQVDQGNTTVTAIFKTAKDGFVHVGAVEARSGCWSMLKGGLTAKSSGPAEFYFECENTSVEIWVDSVSLQPFTEDQWRAHQAESISKVRKKTVAIQAVDANGRALPGASVSVQQKRPGFPFGCAIANTILENSAYQSWFTSRFTVTTFENEMKWYANEREQGKETYTDADAMVAFAKQHGIAVRGHNVVWNDPQDVQNWVKSLPTQQIGEAVNRRFNSVMPRYRGKVIAWDVVNENVHFSYFESQLGQNASSVFYQQAHQLDPNALMFLNDYNTLEAPVDGNVTPDKFLQKLWQIQSFGNLSRMAIGLEGHFGTPDISYMRSALDKLAGANVPIWLTEVDVGHANQSKDLEDILREAYSHPAVQGIVMWGAWHPQGCWRMCLTDNNFKNLPTGDVVDKLIFEWKSDNLAATTDVEGLHRAELFHGEYKITINHPSSNSSSMRSLTVDSASQNNSVLRVIV >Ma08_p33420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43725159:43734364:1 gene:Ma08_g33420 transcript:Ma08_t33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MPSSSPCSIRALWILSSQDNVAFSRRFPVVEKRWRLACARENERSESVGYSVLPLLPTDPELVSAFSERKRREGSAQGFGIRLAQSTQGSDSWVDDPITRHIISLSIKREGGEEEFMLWPLVLHTKGSYYIIILPFVEPQQFKAYEKLCTRSDCGGSVGEEYSLSSLLYNLPCITGAIMVAHTVGDIITGDVVDPDVVISSSPSVGGLLDSLTGSIGISARAKPVGASVAASSTSGASAVGTIAMDASKSTSRPIDKDALLTFLSSSMPFGTPLDLDFAHVSVIKAHGFSSSDLPPAELKQPAWKPYLHKGKQRMLFMILETINAAMYDRDEIPDSISITGQVNCRADLEGLPDVSLPLSGLKNASMEIVSFHHCVQVSEHGDDKQALMFSPPLGNFVLMRYQALCGPDPPVKGFYQLSMVSEDEGAFLFKLQLMEGYRAPFSMDFCTVSMPFPRRRVLSFDGNPSTGTVSMTEHSLEWKIVTSGRGITGKSIEATFPGTIKFFSRTIQRGPSLPRSVSRNMVEEDTHSDAEQDTANNTLNIEDNLMEKMNKDLESVDLEEPFCWQAYNYAKVSFKIIGGTLSGMSIDPKSVSIYPALKAPVELSMQASSGEYILWNTLGKCPSAVSPKVY >Ma06_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8089633:8093143:-1 gene:Ma06_g11610 transcript:Ma06_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMGLGSGMMKTVASKALVIKINLAFLSLFFLSYILLLLLQPSSVYEQNAAAEIVRCSLRDCRVKKVEGVRVQRKAARENRTAMETVPGFLRTLHGNAKLGLVNIVEDEAVEWGLVGGRTTTVDFERVSENLKWEDLFPEWIDEEEENEGPSCPELPMPDFSLYGNVDVVVAKLPCRRSGTAGWSRDVSRLQVHLVAATTAARRGRRDARGAVKVVLLSACRPMMELFPCDEMVVREGKWWLYEAEARRLEQKVALPVGSCNLALPLWGKGIDVVYDASKLAGGPVSPHRREAYATVLHSSDTYVCGAIILAHSIVRTGSTRDLLLLHDKSIPHDKLRALAAAGWTLREIDRIRNPHAQKDSYNEYNYSKLRLWQLTDYHRVVFIDADILVLRNLDLLFRFPQISATGNDGVIFNSGIMVIEPSHCTFQALMASREDVISYNGGDQGFLNEAFVWWHRLPRRVNFLKNFWSNTTAEASMKNRLLAADPPELYAIHYLGLKPWMCYRDYDCNWNVGDQRVYASDAAHGTWWKLHDQMEAGLQRFCSLSGTRREQLEQERRQAAELGFGDGHWRLKVSGNGRTVTTE >Ma06_p11610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8089633:8092879:-1 gene:Ma06_g11610 transcript:Ma06_t11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVPGFLRTLHGNAKLGLVNIVEDEAVEWGLVGGRTTTVDFERVSENLKWEDLFPEWIDEEEENEGPSCPELPMPDFSLYGNVDVVVAKLPCRRSGTAGWSRDVSRLQVHLVAATTAARRGRRDARGAVKVVLLSACRPMMELFPCDEMVVREGKWWLYEAEARRLEQKVALPVGSCNLALPLWGKGIDVVYDASKLAGGPVSPHRREAYATVLHSSDTYVCGAIILAHSIVRTGSTRDLLLLHDKSIPHDKLRALAAAGWTLREIDRIRNPHAQKDSYNEYNYSKLRLWQLTDYHRVVFIDADILVLRNLDLLFRFPQISATGNDGVIFNSGIMVIEPSHCTFQALMASREDVISYNGGDQGFLNEAFVWWHRLPRRVNFLKNFWSNTTAEASMKNRLLAADPPELYAIHYLGLKPWMCYRDYDCNWNVGDQRVYASDAAHGTWWKLHDQMEAGLQRFCSLSGTRREQLEQERRQAAELGFGDGHWRLKVSGNGRTVTTE >Ma10_p25700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33715966:33717500:1 gene:Ma10_g25700 transcript:Ma10_t25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRYGSYFYGDYQVGTERKEIGVGSVEVLGGKNEFKGRQIVVKNQSSSDGWNPKGHSHCSIHASNCLPRAMKWWEKSIIPNMTEITSSDHLVESLATAGDKLVIVDFYSPGCGGCKALHPKICQLAESYPDAIFLKVNYEEHKSMCHSLRIHVLPFFRLYRGAQGRVCSFSCTNATVKKLKDAMAKHGTERCSLGPAKGLEEEELLVLASNGGARFNRPPALSSI >Ma01_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10169393:10169695:-1 gene:Ma01_g13900 transcript:Ma01_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAISKIEMLLNFIFDEVGEGEIFTVLGMNGSSKPTLIDALATRIVWESLSGPIILNDKKLESWLLELIFTYDIHDNLLYPMLTVEETFMFSVEFWLS >Ma07_p25890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32841575:32846630:1 gene:Ma07_g25890 transcript:Ma07_t25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAFTPSAASVPLSKSLKPAAPKTLGFGSSLSAFKCLRRLLPRLDMDAAAGNGTALAARMVSSVPSIGRSAPSLDFETSVFKKEKVTLAGHDEYIVRGGRDLFPLLPDAFKGINQIGVIGWGSQGPAQAQNLRDSLAATKSDIVVKIGLRKGSSSFDEARAAGFTEENGTLGDIWETISGSDLLLLLISDAAQADNYEKVLSHMKPNSILGLSHGFLLGYLQSVGLDFPKDISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTENGMSEELAYKNTVECITGIISKTISTKGMLAVYNALSEEGKKEFNAAYSASYYPCMDILYECYEDVACGSEIRSVVLAGRRFYEKDGLPAFPMGKIDQTRMWKVGEQVRAVRPEGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKESPLNQDLISNFLSDPVHGAIEVCAQLRPTVDISVPPDADFVRPELRQTSN >Ma08_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1941575:1943290:1 gene:Ma08_g02540 transcript:Ma08_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFSKKNIPKEDLLPPASGVVKEHEPNGKPESHPTQKTSDEANQKDAGTANIAAQTFTFRELASATKNFRPEFLLGEGGFGRVYKGCFEKSGQIVAIKQLDRNGFQGNKEFVAEVSMLSLLQHENIVTLVGYCADGDQRLLVYEYMPMGCLEGHLLGIPADQKPLSWYTRMRIAYGAAQGLEYLHEKANPPVIYRDLKSSNILLDEEFNAKLSDSGFARVGPMGDKGHVSAMVMGTDGYCAPECARTDQLTMKSDVYSFGVVVLELITGRRVIDASKPTNEQNLVAWAMPMFRDQKRYPELVDPLLQGDYPAKGLSQAVAVAAMCLQEEASVRPLMADVVKALSFLTTAADSLPEDSVLEDEYEQSDESSD >Ma05_p32090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41781857:41783350:-1 gene:Ma05_g32090 transcript:Ma05_t32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGLSEEEFGPQIHFREYSFLQNPSVPKHVKESLLNVQLCDAHSKGCNISDGTTSRGFIQFPRNSTEQMYMQVFSQYKDIKVLHFSSMANAFQGFNDEAREVKFRNRMKRYVGLWCCVENRDPGHIYYDIYWDEKPEWKPEPPRTSQDDHPPWD >Ma03_p31650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33790326:33793357:-1 gene:Ma03_g31650 transcript:Ma03_t31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLSSSWDSDDEYEKFIRRMNPPRVVVDNDSCADATVIKVDSANKHGILLEVVQVLMDLNLIITKAYISSDGGWFMDVFNVRDRDGNKIGEEMKLNEINGFIRKSLGEESSYIPSRRRSIDFMPSSDHTSIELTGTDRPGLLSEVCAVLSDLECNVVNAEVWTHNTRAAAVMQVSDKGTGTAIADPEKLSRIKKLLYNVLKGSNKSREAKTAVSVGATHTERRLHQMMFDDRDYERSGEDDGNVCHRPKVTVVNWFDKDYSMVTIRCKDRPKLLFDTVCTLTDMQYVVFHGNVDAEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELCTSDRMGLLSDVTRIFRENGLTVTRAEVTTKGGKVFNIFYVRDAAGNSVDPKTLDDIRAEIGQTVLQVKGHSDHLKSPQESPTRFLLGSLFKSKSLYNLGLIRSYT >Ma09_p25330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36836086:36837174:-1 gene:Ma09_g25330 transcript:Ma09_t25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHKGEEERWSQLHTNVMKLILKHLTIVDHIRIGAVCKSWLSTTSTINFLFDSRAPWLVVSPGHPAPRRWSLCTISNGRRSLCLEIPEEFRSQWCCGSSKGWLTFSYNPPEVLFGSKARLLNPITGDTVDIFPCVHRLVKCIISTSPLATDCLFITLGSEFFDSYRSVTVHKPGNHTFERLEIDDPMDIMFHRGRLYVLTESAELIVYMFEPCRKASVISVPSLFRKGDHHPGSFQGRLVGSDGDIFIVYYNTDPRSEPQRLKVFKVREGRLWRQVVEVNSLGGRTFFIGGFSEGVSVSNMESSLESELIKSDCVYYRRRVNDNLRRYCMRTGLTFQVAGLEVAGYLLGWFTPKSRTDRA >Ma04_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3778919:3779840:-1 gene:Ma04_g04980 transcript:Ma04_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALAEGSLRSHGPRWKPKANNQYIWVSFGVSDHLNESSVIPLLIVPPSIPSLNIDTPSTPPISSSSLSCLLLYKYSLLRISSSPFLRARQQLLLLPPQPEETMGGCASKPKTTDGQAPEVPPPVVVASAPADVTSTPAEATEAVVESNENAEESTEEPSSEAKPEEATAPIAAGGNHTAEEAKSTEEAATGTA >Ma05_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31770806:31770910:-1 gene:Ma05_g20180 transcript:Ma05_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSVPYSSSRAIALHSHLLVSSEINPNPNWL >Ma11_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27884841:27892043:1 gene:Ma11_g25150 transcript:Ma11_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINQKVCNCNFSAAAVFFCIALFIGSTLITVDVKEKITAWLPTDSSQKFQFDLNNNTANSKPNESDPCSNQCRPSGIEALPKGMVSQTSDLERQPLWGSIEKKGNSSSFKNLLAIAVGLKQKQVVNEIVKKFLFSNFTIILFHYDGVVDEWSDLEWSDTVLHISAINQTKWWFAKRFLHPDIVALYSYIFLWDEDIGVENFHPGRYLEIVEREGLDVSQPGLDTEKSKVHYRFTERQREGDVHRRIYDLAQGLRCNENSTGFPCAGFVEMMAPVFTWSAWRCAWHMIQNDLIHAWGLDMKLGYCSQGDRTKKVGVVDSEYITHMGIPTLGGSNVRKDSNGSSTTNLRAAVVDRCYAELDMFNKRWQDAAQKDKCWTDRYPEA >Ma04_p29070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30024096:30026100:-1 gene:Ma04_g29070 transcript:Ma04_t29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLAGEKDERSLGFQETELRLGLPGGGSGGEGDVGKNSGKRAFAETIDLKLKLQAAGDTKDMAAALKMKRSPSQMSIAASATDPEKPPAPKAQVVGWPPVRSHRRSMLAVQSEKPSKEDGERPAGSLAAFVKVSVDGAPYLRKVDLKMYRSYQELSMALQKMFSSFTSVSSSCGSRGTNGGDSMNEGKLVDLITGSEFVSTYEDKDGDWMLVGDVPWEMFVESCKRLRLMKGSEAVGLAPRGMEKCKNRS >Ma08_p31870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42662071:42666408:-1 gene:Ma08_g31870 transcript:Ma08_t31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTLALRPRSPTVPGTSFFRNILHFLLFLALGPCDLGITRRAEKEVRFARWRSHALSKPTTPEYGVGLIQNGLPVLHLPENEPQDADEDEDDDGSLELCGSRGIWRMVGEVRAMLRRMGDGEISISAYDTAWVALVKNKDVSGGPRFPSSLRWIVDNQLPDGSWGDAVIFSAHDRMINTLACVIALKSWTIYPDSCRRGLAFIRENMWRLREEEAELMPIGFEVAFPSLVEIAKALELEIPYGDPSLQEIDAKRSLKLKRIPRDVMHEVPTTLLHSLEGMPGLDWDRLLRLQCSDGSFLFSPSSTAFAVMQTGDDNCLNYLQRVIHRFGGGVPNVYPVDLFEHLWVVDRLERLGISRYLEQEIKDCLDYVYRYWTEDGICWAKGTRVHEVDDTSMGFRLLRLHGYDVSAGVFRHFEKDGEFFCFAGQSTQAVTGMYNLNRASQVAFPGEEILARARSFSYMFLREKQAADQVVDKWIITKDLPGEVAYALDFPWYASLPRVETRLYLEQYGGSGDVWIGKTLYRMPLVNNDVYLELAKLDYNRCQSLHQLEWFDLEKWYEEAGLRWHRVKRRSLLRDYFLAAACVFEPDRAVERLGWARTATMATAVSSFFSSATCTDEMRRSFILDFLDDRSDGHDISSDVSEFERRMGGKKAGEVLVGLLRQLIERLAADTRPAFQQQLVRHHLQQAWKEWLMAWHSDASDGFGREETGLLLVRTMESCAGRFSSTELTVTHPNYSRLCHLLSSLCHNLRRRQMVAAKGITEERAVTSSCKDKAVEAEMQELARCVLQTSDDLNHHTKQTFLLVAKSFYYVAHCSPAALRSHISEVLFKPVA >Ma02_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17491836:17495313:-1 gene:Ma02_g06500 transcript:Ma02_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDQKKHYVLKLALSVGFSDSSSSAADAAHDRHSNSRPAAAARSPRSFAAGDGAVGLAIVAAMSAASEEPAAGLARSDPVPIGAVVTKPGLRTAAPKEGEVGEDMELSESYTCVISHLGGNRVKKRVYFGDDGLLFEPPPPPPPGLADPPFVVAEFLRCCFLCKKKLDGMDVYMYRGEKAFCSEECRCQQMLHDELGEQFASGAPKNYECSSSPYASSLVISAGVAAA >Ma04_p25570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27314349:27319183:1 gene:Ma04_g25570 transcript:Ma04_t25570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMINTFDLSAGMDRSKLLAERPQRDVIRNCSHVVTKPIYPVEMEIEGKQIISEQRISSPNKKSGGTTIKMLMAQEIYDDTESRHNPPSVVARLMGLDSTPFQQSVTVDGKIRNNDYLCNGLTGEFQHHQQQECEIRSFSHEKDCRDVYEVWQQPSKNILVKEQSPQKVKYDENSYQRRMTLVQKKFMQAKQLATDGKLLDSKEFQDAVEVLNSNRDLFLKFLEKPNSLFKKHLFEHQSFPLPSPTTSVTVLKPSNTTERNGNKSMARQLLRDSDGSVRKVNKHFWSSSFSGPKGQNFSQQTRIVVLKPSPGKPYNTKTKLSNNSPTMSGGQNSTGALATDELVSSREISKGITCRMGESLNNNIRDEPLFSSTPSNGYIGDENSFNRSDGEDIEDEVGSLRDSKIASPATVYSCDYINKIGSPFSALSFSRASHSSESSVFTEAKKRLSERWALVASSVNSQEQTQLRRTSSTLSEVLAIPEINGEGSQEDLAHSSSKLSSGEDDLKEVTFSSTFETTYEDARETSHRNLTRSMSVPTSPSACEIAEFNGKISSSLIGKLIVQPEVPKSNKKKFSFKNKVSKLLFSKSKKSNGQKPLKSPSVGDDRGQCSCSNGSDASNDYLLPSVNDTLSARIKLVTTDRMSHGSTEETPFRKGVLSLGMPGTFGNFVQSQDQSCQISFSETPLVDHVNNGLSQSSGSIIAECPQALSRSPPIGSVARSLSWSSSYLDITSATTLKPSVKFSKADEEHEQFVFVNKLISSVGMDDNKSTIFGGWHSLDSPLNPSLLYESLQIEDEEDKCRERQSHWRLLFDFVNAALLDISQSILLTACPWKTACHGPRRDDTAGTSVAEVWSLVRNTLSGDTKSGNGGIEVDRLVKEEVGGRQWTESRWLEGYELSKEISEKVLEELVEEAVSGLSCH >Ma04_p25570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27314349:27319183:1 gene:Ma04_g25570 transcript:Ma04_t25570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMINTFDLSAGMDRSKLLAERPQRDVIRNCSHVVTKPIYPVEMEIEGKQIISEQRISSPNKKSGGTTIKMLMAQEIYDDTESRHNPPSVVARLMGLDSTPFQQSVTVDGKIRNNDYLCNGLTGEFQHHQQQECEIRSFSHEKDCRDVYEVWQQPSKNILVKEQSPQKVKYDENSYQRRMTLVQKKFMQAKQLATDGKLLDSKEFQDAVEVLNSNRDLFLKFLEKPNSLFKKHLFEHQSFPLPSPTTSVTVLKPSNTTERNGNKSMARQLLRDSDGSVRKVNKHFWSSSFSGPKGQNFSQQTRIVVLKPSPGKPYNTKTKLSNNSPTMSGGQNSTGALATDELVSSREISKGITCRMGESLNNNIRDEPLFSSTPSNGYIGDENSFNRSDGEDIEDEVGSLRDSKIASPATVYSCDYINKIGSPFSALSFSRASHSSESSVFTEAKKRLSERWALVASSVNSQEQTQLRRTSSTLSEVLAIPEINGEGSQEDLAHSSSKLSSGEDDLKEVTFSSTFETTYEDARETSHRNLTRSMSVPTSPSACEIAEFNGKISSSLIGKLIVQPEVPKSNKKKFSFKNKVSKLLFSKSKKSNGQKPLKSPSVGDDRGQCSCSNGSDASNDYLLPSVNDTLSARIKLVTTDRMSHGSTEETPFRKGVLSLGMPGTFGNFVQSQDQSCQISFSETPLVDHVNNGLSQSSGSIIAECPQALSRSPPIGSVARSLSWSSSYLDITSATTLKPSVKFSKADEEHEQFVFVNKLISSVGMDDNKSTIFGGWHSLDSPLNPSLLYESLQIEDEEDKCRERQSHWRLLFDFVNAALLDISQSILLTACPWKTACHGPRRDDTAGTSVAEVWSLVRNTLSGDTKSGNGGIEVDRLVKEEVGGRQWTESRWLEGYELSKEISEKVLEELVEEAVSGLSCH >Ma04_p25570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27314313:27319183:1 gene:Ma04_g25570 transcript:Ma04_t25570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMINTFDLSAGMDRSKLLAERPQRDVIRNCSHVVTKPIYPVEMEIEGKQIISEQRISSPNKKSGGTTIKMLMAQEIYDDTESRHNPPSVVARLMGLDSTPFQQSVTVDGKIRNNDYLCNGLTGEFQHHQQQECEIRSFSHEKDCRDVYEVWQQPSKNILVKEQSPQKVKYDENSYQRRMTLVQKKFMQAKQLATDGKLLDSKEFQDAVEVLNSNRDLFLKFLEKPNSLFKKHLFEHQSFPLPSPTTSVTVLKPSNTTERNGNKSMARQLLRDSDGSVRKVNKHFWSSSFSGPKGQNFSQQTRIVVLKPSPGKPYNTKTKLSNNSPTMSGGQNSTGALATDELVSSREISKGITCRMGESLNNNIRDEPLFSSTPSNGYIGDENSFNRSDGEDIEDEVGSLRDSKIASPATVYSCDYINKIEAKKRLSERWALVASSVNSQEQTQLRRTSSTLSEVLAIPEINGEGSQEDLAHSSSKLSSGEDDLKEVTFSSTFETTYEDARETSHRNLTRSMSVPTSPSACEIAEFNGKISSSLIGKLIVQPEVPKSNKKKFSFKNKVSKLLFSKSKKSNGQKPLKSPSVGDDRGQCSCSNGSDASNDYLLPSVNDTLSARIKLVTTDRMSHGSTEETPFRKGVLSLGMPGTFGNFVQSQDQSCQISFSETPLVDHVNNGLSQSSGSIIAECPQALSRSPPIGSVARSLSWSSSYLDITSATTLKPSVKFSKADEEHEQFVFVNKLISSVGMDDNKSTIFGGWHSLDSPLNPSLLYESLQIEDEEDKCRERQSHWRLLFDFVNAALLDISQSILLTACPWKTACHGPRRDDTAGTSVAEVWSLVRNTLSGDTKSGNGGIEVDRLVKEEVGGRQWTESRWLEGYELSKEISEKVLEELVEEAVSGLSCH >Ma04_p25570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27314349:27319183:1 gene:Ma04_g25570 transcript:Ma04_t25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMINTFDLSAGMDRSKLLAERPQRDVIRNCSHVVTKPIYPVEMEIEGKQIISEQRISSPNKKSGGTTIKMLMAQEIYDDTESRHNPPSVVARLMGLDSTPFQQSVTVDGKIRNNDYLCNGLTGEFQHHQQQECEIRSFSHEKDCRDVYEVWQQPSKNILVKEQSPQKVKYDENSYQRRMTLVQKKFMQAKQLATDGKLLDSKEFQDAVEVLNSNRDLFLKFLEKPNSLFKKHLFEHQSFPLPSPTTSVTVLKPSNTTERNGNKSMARQLLRDSDGSVRKVNKHFWSSSFSGPKGQNFSQQTRIVVLKPSPGKPYNTKTKLSNNSPTMSGGQNSTGALATDELVSSREISKGITCRMGESLNNNIRDEPLFSSTPSNGYIGDENSFNRSDGEDIEDEVGSLRDSKIASPATVYSCDYINKIGSPFSALSFSRASHSSESSVFTEAKKRLSERWALVASSVNSQEQTQLRRTSSTLSEVLAIPEINGEGSQEDLAHSSSKLSSGEDDLKEVTFSSTFETTYEDARETSHRNLTRSMSVPTSPSACEIAEFNGKISSSLIGKLIVQPEVPKSNKKKFSFKNKVSKLLFSKSKKSNGQKPLKSPSVGDDRGQCSCSNGSDASNDYLLPSVNDTLSARIKLVTTDRMSHGSTEETPFRKGVLSLGMPGTFGNFVQSQDQSCQISFSETPLVDHVNNGLSQSSGSIIAECPQALSRSPPIGSVARSLSWSSSYLDITSATTLKPSVKFSKADEEHEQFVFVNKLISSVGMDDNKSTIFGGWHSLDSPLNPSLLYESLQIEDEEDKCRERQSHWRLLFDFVNAALLDISQSILLTACPWKTACHGPRRDDTAGTSVAEVWSLVRNTLSGDTKSGNGGIEVDRLVKEEVGGRQWTESRWLEGYELSKEISEKVLEELVEEAVSGLSCH >Ma11_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26306806:26309594:-1 gene:Ma11_g22580 transcript:Ma11_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIAAGAIPSSATTNNPIVSGPTRRRLPEFTDPERTVADDEEDEDADAHDHDHAPAAGPIGALKRTVSRRLLLRHGKGGGGRWGSINAWMRLLPLVLLLVLAATVLLGTARVGVSHERKDVVVQIGNVRDEWSSWTLENTTRIKRRPNPPIPEIWMNPNNNGYEQCISRPKGDCKTCNATAGYLIVHANGGLNQMRMGISDMVAIAKLMNATLVVPLLDHKSFWTDPSEFKDIFDVKHFKEALKGDIVVVDSLPRQYAKIKPLQRAPISWSKVSYFRSFGNILSRRKVIEFTHTDSRLANNGLPPSIQRLRCRATYQALRYTRDIERIGRRLVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLSAAEAEELRVMRYSVRHWKEKDINSREKRVQGGCPMTPREAALFLKAMGYPFATSIYIVAGEIYGANSMGALKAEYPNIHTHRSLASAEELAPLQMYQNRLAALDHIVALRSDVFVYTYDGNMAKAVQGHRRLAGFRKTINPDRQRLVKLMDQLDSGGISWGEFQQQVKRDHADRLGGPYERKVHRTRRHEECFYANPLPGCLCTKEYKSR >Ma10_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33116358:33120371:1 gene:Ma10_g24600 transcript:Ma10_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDRSAASPPVSSSSATDTPSPSPPMLCAACAGATASWSSPPQWSDSSPPPAYRPIRAPAINAPTATASIVLAPVPQPLPVPPAEPPYRFEIPSKRISSPDDIRRFHSSEAGRHFVGFVAALSRSVRGRKLSDPVPSPLPTPLSGLLSLLHTLARWIDEIPPLPHASRYGNPAYRSWHARLTDEGHGLVVALFPRSDELHPAADELLPYLLDSFGNAYRIDYGTGHETNFAAFLYCLARLGLIKEDDYPALVLRVFATYLDLMRRLQITYSLEPAGSHGVWGLDDYHFLPFIFGSAQLIDHKYMKPKSIHNQDILDNFSNDYMYLGCVAFVKKVKKGVFAEHSPMLDDISGVPNWSKVNSGMLKMYKAEVLEKVPIMQHFLFGWLIKWE >Ma11_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6664204:6667832:1 gene:Ma11_g08370 transcript:Ma11_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAGPTEFVVGNGVEALSLESGQAPAESQKQQEYVISSAHAVDKDSWQQVGLMIVTGFNCAYVLSFSNLMLVPLGWAWGLTCLAVIGAFAYYANWLLSGLHVIDGHRFIRYRDLMGFVFGRRMYYLTWFLQFMTLLLGNMGFILLGGRALKEINSEFSNSPLRLQVFILATGVVYFIFAYFVPTMSAMRNWLATSAVLTVTYDVVLVAILVKDGKANKTKDYNIHGSEVEKVFNAFGAIAAILVCNTSGLLPEIQSTLRKPVVANMRKALMMQYTVGLAVYYGISIAGYWAYGSSVSEYLPYQLSGPRWGNVLINSTAFLQSVVSQHMFCAPIHEALDTKFQKLDEGMFSKANLRRRFALRALVFGLNTFVTALFPFMGDFVNLFGSFTLFPLTFVFPSMVFIKVRGKTAGREEKAWHWANIFFFSLMSIVTTAAAVRLIIQNTRIYHFFADT >Ma02_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20782898:20787969:-1 gene:Ma02_g11750 transcript:Ma02_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSHRLERDPTSCQNCLFSSESIYLSFQGNVHGGSSRLNNGHDRNMGEGNSSRMARVEQQLALDEALDRELQESENQLADTSFGEVTRIEANITLAQSFTASAECNSASTSSQELQQWGETLGTESRGLPDESISLLQSSTYKAGLFSKKDKHNSMR >Ma02_p11750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20783786:20787969:-1 gene:Ma02_g11750 transcript:Ma02_t11750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSHRLERDPTSCQNCLFSSESIYLSFQGNVHGGSSRLNNGHDRNMGEGNSSRMARVEQQLALDEALDRELQESENQLADTSFGEVTRIEASNITLAQSFTASAECNSASTSSQWEEKKILN >Ma02_p11750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20782898:20787969:-1 gene:Ma02_g11750 transcript:Ma02_t11750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSHRLERDPTSCQNCLFSSESIYLSFQGNVHGGSSRLNNGHDRNMGEGNSSRMARVEQQLALDEALDRELQESENQLADTSFGEVTRIEASNITLAQSFTASAECNSASTSSQELQQWGETLGTESRGLPDESISLLQSSTYKAGLFSKKDKHNSMR >Ma09_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9133341:9135973:-1 gene:Ma09_g13530 transcript:Ma09_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCISSKSMTLHHWLHKCSSSVGSVSAAVAVAVAWKKGKTKKLCLLPVNCTPLEQNPKTEQEHMTEEEEEEEFRILCEPCNGRGWLLCDFCKGKKNNVKAENNRIYRRCPTCKAVGYVLCSKCKVFKCITFPDYSDGKLTA >Ma00_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36060420:36062423:-1 gene:Ma00_g04260 transcript:Ma00_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSMQGTSEPNNVLADLPVVLEASSAPLVQALKSTAEQDVACFHFPGHNRGKAAPNLSNLTGPGAFLHDLPELPELDDLFSPKGAILDAQAEAAKLFGASETWFLVGGTTCGIQASIMATCCPGDVLILPRNAHISATSGLVLSGAVPKYILPEYNSCWDIAAGIRPSQVEAAIKELEDVGKTAAAVLITSPTYHGICSNLNEITKVCHSRCIPVIVDEAHGAHFGFHPNFPSTALEQGADLAVQSTHKVLSSLTQSSMLHMSGNLIDTERISKCLQMLQSSSPSYLLLASLDSARAQLGKNPDAIFCDAVNLSLETRKEIGTTAGVSLLDLSSFLSGFTAIDPLRITLGVSQLHISGYIADEVLWEGHRIIPELVGSSSLTFVINLGTSRKDIQRLILGVKNLSRNFFNGNKIKSDVWNGVRAPATYSSMQLSPRDAFFAKKRRVNIRESVGEICGELICPYPPGIPVLIPGEVITEEALSYLLRVLRMGAAIRGAADHQLSSILVCRI >Ma05_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:253449:254330:1 gene:Ma05_g00400 transcript:Ma05_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQFRTGFDVSQGAGGRASKFGDVVAPPSSTLLPGIILRIVAIVLTFISAVVMGAARQTTTVTGIDAETALLTSITVTVKSTYSAAYVYFVVANVLVFFYSVVSLVLSMVNKARLTSMSLPFSIADLLMVVLLFSSNGAAAAISVVAEKGQQNLAGWDKICNLVGGLCARVNAAIVLSILASVAYVILVVFGMANLRRSQ >Ma05_p31600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41449338:41450172:-1 gene:Ma05_g31600 transcript:Ma05_t31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNSTTRWCPTPEQLMILEEMYASGVRNPNASQIQQITAHLSFYGKIEGKNVFYWFQNHKARDRQKLRHKNLPHPSEEASPPTSVHHENPSSFLTQGCFQDATTQEMNLVGKLESAAGERQEAAVTASSSMEGDHPQWADVGLTVSSCFKPLKTLDLFPTKHECSSSSSKSSSRSKSTH >Ma11_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19414852:19416544:-1 gene:Ma11_g14160 transcript:Ma11_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDSSPKFHQALGLPQPHKSEPTTASAAAESRDLAPSTGSQAVAAEKDEPRKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALASAAAAGSLSRPGATVSAGLHPKLDELSQGAGPAVRPNWAMLGAANFGRPLPGFLLPPGVLDSGFLHSGSAAASSSSLATAGGVDGSMGSFMQRMGMHGLDLPGANMGPMSFASMLAAHGQQLPGLELGLSQDGHVGVLNPQTLNQFYPQIGYGRAAAGSGGSGQLHDQEQQQQQQERVFSAEDDSDESE >Ma00_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:25573985:25577184:1 gene:Ma00_g03150 transcript:Ma00_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCDSSENGTRIFYRRFGYGSTKVLLIIGLAATHDSWGPQIKGLTGAVEPNDEEPEAAVIAGDGGLDGLQEGIEVCCFDNRGVGRSSVPANKSEYSTTIMAKDALALLDHLGWRKAHVFGHSMGAMIACKLAALAPERICSLALLNVTGGGFECFPKIDRQMMSLAFRFLRARTPEQRALVDLETHYTKEYLDEHVGSCTRRDILYQEYVKAISSSGMQSNCGFKGQVNACWTHKMTSKELDTIRSAGFLVSIIHGRYDIIAQLVHARRLSEKLQPCARMVELHGGHLVSHERPNEVNQALIELINASKSSVRPEEWSYLPEKQTGCMVMEATPLSLSNTNNNVATSLRTFYNLLGKLQLSFLYFVGIFMMGYEHMRSIFKTMKPVRVATSNS >Ma11_p01370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:967212:969368:1 gene:Ma11_g01370 transcript:Ma11_t01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVTAAVSLPSSKSSALNRTPSVSPVSLERISFQKAARLQSRKVPAADARKLVSVRAQVTTEAPAKVAKVSKKDDEGVVTNKFKPKDPYTGTCLLNTKITGDDAPGETWHMVFSTEGEIPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGADVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHHDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKSPDNFRVDYAVSREQTNEKGEKMYIQTRMAEYAEELWQLLKKENTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWLTYKRELKRAEQWNVEVY >Ma11_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:967212:969368:1 gene:Ma11_g01370 transcript:Ma11_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVTAAVSLPSSKSSALNRTPSVSPVSLERISFQKQAARLQSRKVPAADARKLVSVRAQVTTEAPAKVAKVSKKDDEGVVTNKFKPKDPYTGTCLLNTKITGDDAPGETWHMVFSTEGEIPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGADVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHHDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKSPDNFRVDYAVSREQTNEKGEKMYIQTRMAEYAEELWQLLKKENTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWLTYKRELKRAEQWNVEVY >Ma01_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9684180:9687864:-1 gene:Ma01_g13240 transcript:Ma01_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVGAAASAAAAPPGCRRRCGEVDVPYPFGIDPNCSREGFSLDCNTTDDGLEKLFVFNVEITNISLPLGQARMLNEISWRCYNDSTKSMDSSFWYLNFVGTPYRFSDVHNKFTVIGCDTLAYIGGLNFGGLKGNGSYRSGCVSACQNEVSLVNSSSCSGIGCCQTSIPKDLTYYEVWFDSNLNISSTWNFSDCSYAVLLEANQFEFLASYITTTKFWYNRNGTAPLVVDWAIGNETCEVAQRNITSYACISEHSECLNSSNGPGYLCNCSSGYHGNPYVSHGCQDIDECSDKYRYPCHGICQNLPGNYSCFCPRGTYGDAFTGTCTHTQHQKLPSSAKVAIGCSGLIFLLISGMCIYVILQRRKFIKIKERYFREHGGWILLEEIKAKERYFREQGLAFKIFAKEELEKATEKYDQNRVLGRGGQGTVYKGVLEDSRIVAIKKPKIIDERQKNEFGKELLILSQINHKNIVKLLGCCLEVEVPMLVYEFVWNGTLFQLIHDNNSASPFSLATRLRIAHESAEALAYLHSSASPPIIHGDVKSSNVLLDENYTAKVSDFGASKLVPKDEDQFATLVQGTCGYLDPEYLQTCKLTDKSDVYSFGVVLLELMTRKKAVYFQASEEEGSLASSFILATKENRLMEILDDQIRNEGDMELIQEMSKLAEQCLNFRGEERPTMKEVAEELGRLRKFKQHPWVPQNTEEIESLLSQPSVDHETYYHGIETTTSYNPEKRLGLDIEYGR >Ma08_p27260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39569394:39577939:1 gene:Ma08_g27260 transcript:Ma08_t27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPSVPRGVSSGPAFPLSVLLAVVSLEIVLLLVIFGGTGAKPQDSKPPFWQDTEAGENVVHLTHSCIHDEILHRRRRPGRKEYSVTPQVYHESSLSRSHHHGGRALLEVSSVSPLQKDTKQPIRIYLNYDAVGHSSDRDCRNVGDLVKLGEPPATSISRTPVCNPHGDRPVFADCWYNCTVEDISGEDKKQRLRKALGQTAEWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPHEYIEDGVADSDLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTVQVMDEKLGRMVTRIVLPRVVMRARYHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGRNQGTEFVTSPCNHWKGAYHCNTTQLSGCTYNREAEGYCPIVSYNGDLPKWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRALGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCMNNTLEIAVDGIWRMCPEAGGPVQFPGFNGELICPAYHELCSSTPVPINGRCPGSCSFNGDCINGECHCFLGFHGNDCSRRSCPGNCSGHGTCHPNGICECESGRTGIDCSTAICDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSSLLPSLSICSDVLARDVFGQHCAPSEPSILQQLEAAVVMPNYNRLLPGGRTLFNILDNGYCAAAAKRLACWISIQRCDEDGDNRLRVCHSACRSYNAACGAGLDCSDQTLFSSEEEKDGQCTGYGEIRPWWIRRFGNLYLQSQRKL >Ma11_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24573207:24576883:-1 gene:Ma11_g19910 transcript:Ma11_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASAFSLSLLLLLLDALLVSAATPPQTHVNRSLETQAEIDALTAFRLALRDPLGALSGWDPASPSAPCDWRGVACATDAPRVVELRLPRLRLSGPISARISDLRLLKRLSLRSNLLSGPLPSALATLGCLRSLFLQSNALSGPLPPAVLANLSALQVLNLAGNLLTGPVPTALPPGIRYLDLSANAFSGPVPANLSVVAPRLQFLDLSFNRLRGTIPGDLGRLPALAFLWLDGNLLEGTLPAILANCTSLVHLSLQGNGLQGIVPAAIAEMPKLQVLALARNRLSGDVPASIFYNTSAAGSSSLRIVQLGYNEFAGLALPPQGQHASTALQVLDLKENRLTGDFPAWFTNATGLTVLDLSGNTISGSLPPEIDRLASLQELRLGRNSMAGPVPGEIGRCSALQVLDLEENQFYGRIPAAFGSLSLLRDLYLGGNLFSGVIPANLGNLSELQTISLYGNKISGAIPDELMKLSNLTTLDLAGNDISGEIPSTIGDLAGLQTLNLSKNSHTGVIPAGIGRLLNLKSLDLSGQRNLSGDLPAELFGLPSLQVISLADNAFSGQVPEGFSSLWSLHVLNLSANSFSGPIPATYGYLQYLRVLSLSYNNITGEIPADLANCSNLTVLQLRYNHLSGPIPEDLARLSALVELDLGQNNLSGDIPPDISNCTSLVTLKLDGNHLSGDIPESLSNLSKLQALNLSDNDLSGSVPSALARISGLVYLNVSDNSLRGEIPGLLSSRFRDPSSFAGNPDLCGQPLQTECRRRKKSYIILVIGLAVAAACILVLFCCCFAFSLFRWRRRFLESRAGVKKRSSGRASGSSGGSGENNGGPKLVMFNNRITYAETVEATRQFDEENVLSRGRHGLVFKACYNDGTVLSILRLPSTSADGAIVIEEGAFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASQQDGHVLNWPMRHLIALGVARGLAFLHGSGVVHGDVKPQNVLFDADFEPHLSDFGLEPIVVTAGAAAAAAAASTSAAAPAVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGTFAGEDEDIVKWVKRQLQRGQVAELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPSMTDIVFMLEGCRVGPDLPSSADPTSQPSPA >Ma10_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32399938:32400962:1 gene:Ma10_g23480 transcript:Ma10_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLACNACNKEFENERYLVLITSFLVLGISRYLPIGCPILSASQELGPSAAGISTIKPLVDLDASCCFICDLKHESIESCMVHMQKKHGFFIPDVEYLKDPKGLLMRVGLKVKRDFTCLYCNDRRPSFPKFGGCEEASI >Ma05_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32506279:32511408:1 gene:Ma05_g20800 transcript:Ma05_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASEMSVKNIETSELRTMEDEYARLMLPSETRMANFDSQQAVEKAKSNSCIWWMKFFFCCFLLLLLIFVFVKWGIPFAFEKVLLPIMEWEATAFGRPVLALVLVASLALFPVVLLPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMLLPYFIGLLFRERIHGWLNRWPQQTAILRLAAEGSWFHQFRVVALFRISPFPYTIFNYAVVVTSIKFGPYISGSIAGMIPEAFIYIYSGRLIRTLADVKYRKYTMTPVEIIYNIVSFVVAIAITIAFTVYARRALSDLRKAESNSSGGINRNGSSVELAKLPQERSIVHSWQINDV >Ma08_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5830288:5832033:-1 gene:Ma08_g08370 transcript:Ma08_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFLRELFLCVALFYALRYVVRRLLPRRALPPGPRGFPVVGALPLLGSTPHVALARMAKRYGPVMHLKMGQFGVVVASTPSAARVFLKTLDTYFSNRPVDAAPIRLAYEGQDLVFAEYGPKWKLLRKLCNLEMLGNKALDAWSGVRRDEVGHMLRSMKASGRKRERVMLGEMLIYTMANMIGRVILSRRVFETKDTQANEFKDMVVELMTLAGQVNIGDFIPAVAWMDLQGLERRMKKLHNKFDSVLSRMVAEHEATKSDREGRPDLLDTVLTNRDGSDEVKLTNDNIKALLLNLFTAGTDTSTGTIEWAMAEMLLNPSILKRAQAEMDRVIGRDRRLEESDIPNLPYLQAICKESFRKHPSTPLNLPRISTQACEVNGYYIPKNTKLLVNIWAIGRDPDVWENPLEFNPDRFMTAEGSKMDPRGNDFELIPFGAGRRICAGVRMGVVLVQYMLGSLIHAFNWNLPEGENLDMGETFGIALQKTVPVAAMVSPRLAASIYE >Ma05_p24300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36553058:36554513:1 gene:Ma05_g24300 transcript:Ma05_t24300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLVGYTKMDDRIAIQEATAAGLRSMEHLIRHLSNPHRHQQQQLDCHEIADFAISKFKKVIAILDGTGHARFRRGPVAPVPLAEPSLPAAAKSLTLAPIPLRVIHPHHPLPPPPKTTLTLDFTRPVSTAAAGELPPPTRYGKEYFSISMPMTSATSSFVSSVTRDCSVSNGNLALSSLLLPLPAAASASRPPLSSAPKWRWHEHSHAHSDHVAGKNAVPGTRCHCSKRRKPRVKRTIRVPAVGLKAADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTLRGCPARKHVERAPDDPSMLIVTYEGEHRHSAAAI >Ma05_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36553058:36554297:1 gene:Ma05_g24300 transcript:Ma05_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLVGYTKMDDRIAIQEATAAGLRSMEHLIRHLSNPHRHQQQQLDCHEIADFAISKFKKVIAILDGTGHARFRRGPVAPVPLAEPSLPAAAKSLTLAPIPLRVIHPHHPLPPPPKTTLTLDFTRPVSTAAAGELPPPTRYGKEYFSISMPMTSATSSFVSSVTRDCSVSNGNLALSSLLLPLPAAASASRPPLSSAPKWRWHEHSHAHSDHVAGKNAVPGTRCHCSKRRKPRVKRTIRVPAVGLKAADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTLRGCPARKHVERAPDDPSMLIVTYEGEHRHSAAAI >Ma04_p29170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30129715:30131763:-1 gene:Ma04_g29170 transcript:Ma04_t29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRKRSRATDGKQVLMPDAKPRPSSLFPLCLSYHGSSDSEAAMSPTSILETKNLSSTGNHFFSDRQPNKPPFDAVTTTTTTTTATSSAIGLGIIDALNGEKSVAISPKAQSRMLLLGSQLKIQIPSLCSGSMSPVGSPIEFGLKNRDSQLALLSPAPASSPRVFTGSIPMSEMELSEDYTCVISHGPNPRTTHIFDNCVVERCGDGSSAADRGGYLLDDFLSYCHACKKNLGQGKDTFMYRGEKAFCSSECRNKEMLNDGGMEKCSEESSLTM >Ma10_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26858364:26862442:-1 gene:Ma10_g14390 transcript:Ma10_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGDRGAKEGEEEEEHHRNPFLSNSINKPWTSKTQFRSEVSKACWDEEMGMGEIIEKKGSMWATTGVIRNGKLYCHIEEILFLAERGALILTSPDGKTINVGDIYKMILGSKFGCSWESFEAYRHLKSLGYIVQRYGIPWTLKNDIICCSSNSPSENNTRCFEISNLLQDMQISELKPTFKVYLPNSKFRKSSPGDPSFLLCLLSGNPPSRSEVENLEKKCDGLPLKFCYVDHGRVSFFSFDKERLPTLP >Ma10_p14390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26859716:26862351:-1 gene:Ma10_g14390 transcript:Ma10_t14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGDRGAKEGEEEEEHHRNPFLSNSINKPWTSKTQFRSEVSKACWDEEMGMGEIIEKKGSMWATTGVIRNGKLYCHIEEILFLAERGALILTSPDGKTINVGDIYKMILGSKFGCSWESFEAYRHLKSLGYIVQRYGIPWTLKNDIICCSSNSPSENNTRCFEISNLLQDMQISELKPTFKVYLPNSKFRKSSPGDPSFLLCLLSGNPPSRSEVENLEKKCDGLPLKFCYVDHGRVSFFSFDKERLPTLP >Ma09_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6775509:6783896:-1 gene:Ma09_g09920 transcript:Ma09_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMTWHPRCRRGGPPLGLKNLGNSCYLNSVLQCLTYTPPLAQFCLSSRHSSLCKSLFANRDKECPFCILERQIARSLSLDGPLEAPSKIHKSLALFAEHFRWGRQEDAHEFLRYVIDACHNACLKIHKRNGGGGTPDGNPKAEGRSGPSTVMKEIFGGALLSQVKCLACKGESNKSDEIMDICLDLFQSSSLKDALARFFHPEVLDGNNKYNCGNCKKLSVARKQMFILRAPNVLVVQLKRFEGIHGGKINRNIEFDEALGLSEFMFSMSQDPEPEYNLFGSIVHSGFSPESGHYYAYIKDASGRWYCCNDAQVSLSSRQEVLSEKVYILFYVRSNGSPKPSKIVSSCNAYKPSVTNGNDTSSLKSTETLKPTIIKSDGVSSKNTASTMLKNGKTFSSPQFKPINLKNLEIKQAISNGNGNLDLQNKGSIEKGTVALECGFVGETKIVQKVKTSMASNLSSANVNFSSDTVEKNNKQTLFLPNVNACTKELDMDAHVEDIEGAATLNRGFDNGSSSKLETNKHHAIVSTFNTELSNTKTTENLASDLPRGNGSHKNQRACGKRHYQEEIEKFKEVLAGEASSELHSCGWVDQVQNFMRARKRLCMQESGTSSDNSLIRRHLINDARKTFISQIPESLKEHLIEHLRFFSQETPLLDS >Ma03_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28467808:28472416:1 gene:Ma03_g24110 transcript:Ma03_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAAFFLDGAARAYRHRPTFSKLVLVFAASGGGLVAYADARAEVAAESPGVAPKKKVVVLGTGWAGTTFVKNIDSSSYDVQVISPRNYFAFTPLLPSVTCGTVEPRSIVEPIRKIITKKGGEIKFWEAECFKIDPENKKVYCRSDIGTNLEGNGEFLVDYDYLVIAVGARANTFNTPGVVEHCHFLKEVEDAQKIRRSVMDCFERATLPDLDEEERKRTLHFVVVGGGPTGVEFAAELHDFVSEDLAKLYPTIQDLVKITVIEHGEHILTMFDKRISKFAEEKFQRDGIELKTGFKVVKVSDKTITMENALHGESSVPYGMAVWSTGIGTRPVILDFMKQIGQGNRRALATDEWLRVRECENVCAIGDCATMSQRKVMEDIMEIFRFADKDNSGTLTVKEIQDVLEDICIRYPQVELYLKNKQMSDIVDLIKASKGDVKKEALELNIEEFKNALANVDSLVKNLPATAQVAAQQGNYLARCFNRMKDSEEKPEGPQRITKMGRHRFLPFSYKHFGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSIYASKQVSWRTRALVISDWTRRFIFGRDSSRI >Ma10_p07270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21606226:21614933:-1 gene:Ma10_g07270 transcript:Ma10_t07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISRKRAVEGQIDDDQAAAAADDTLLKKTRGDCLISSAALEGTSAMEEENNQANGMEVDEGGRKQADIDEDLHSRQLAVYGRETMRRLFASNVLVSGLQGLGAEIAKNLVLAGVKSITLHDEGTVELWDLSSNFFFSKEDIGKNRALACVLKLQELNNAVLVSTLTGTLAKEQLSNFQAVVFTDVSLEKAIEYDDFCHNHQPPICFIKSEVRGLFGSVFCDFGPEFSVFDVDGEEPHTGIIASICNDNPATISCVEDERLEFQDGDLVVFSEVEGMTELNDGKPRKIKNARPFSFTLEEDTTQFGLYKKGGIVTQVKEPKVLQFKLLRDALRDPGDFLLSDFSKFDRPPLLHLAFQALDKFRHDMGRFPGAGSEDDAQQFIDFAVNINESLGDGKLEEINKKILQHFSYGSQAVLNPMAAIFGGIVGQEVVKACSGKFHPLFQFFYFDSLESLPAEALEASDFKPLNCRYDAQISVFGSKLQKKLEDAKVFIVGSGALGCEFLKNLALMGVCCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAVSINPDLHIEALQNRASPETENVFDDGFWESLDAVINALDNVTARMYMDGRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNTFLKNPNAYAASMRNAGDAQARNLLEHVLECLDTDRCETFQDCVCWARFKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSSSDPSHVHFVMSASILRAETFGIVVPEWAKNPKTLGDAVDKVLVPDFQPKTGVQIVTDEKATSLSAASIDDAAVINDLIAKLEECAKKLPPGFRMDPIQFEKDDDANYHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKLEDYRNTFANLALPLFSIAEPVPPKMIKFRDMSWTVWDRWIIHGNLTLGELLRWLKDKGLSAYSISSGTSLLYNSMFPRHRDRMDRKVVDLMKEFAKVEVPPYRRHLDIVVACEDEEDGEDVDIPLISIYFR >Ma10_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21606226:21614930:-1 gene:Ma10_g07270 transcript:Ma10_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRKRAVEGQIDDDQAAAAADDTLLKKTRGDCLISSAALEGTSAMEEENNQANGMEVDEGGRKQADIDEDLHSRQLAVYGRETMRRLFASNVLVSGLQGLGAEIAKNLVLAGVKSITLHDEGTVELWDLSSNFFFSKEDIGKNRALACVLKLQELNNAVLVSTLTGTLAKEQLSNFQAVVFTDVSLEKAIEYDDFCHNHQPPICFIKSEVRGLFGSVFCDFGPEFSVFDVDGEEPHTGIIASICNDNPATISCVEDERLEFQDGDLVVFSEVEGMTELNDGKPRKIKNARPFSFTLEEDTTQFGLYKKGGIVTQVKEPKVLQFKLLRDALRDPGDFLLSDFSKFDRPPLLHLAFQALDKFRHDMGRFPGAGSEDDAQQFIDFAVNINESLGDGKLEEINKKILQHFSYGSQAVLNPMAAIFGGIVGQEVVKACSGKFHPLFQFFYFDSLESLPAEALEASDFKPLNCRYDAQISVFGSKLQKKLEDAKVFIVGSGALGCEFLKNLALMGVCCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAVSINPDLHIEALQNRASPETENVFDDGFWESLDAVINALDNVTARMYMDGRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNTFLKNPNAYAASMRNAGDAQARNLLEHVLECLDTDRCETFQDCVCWARFKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSSSDPSHVHFVMSASILRAETFGIVVPEWAKNPKTLGDAVDKVLVPDFQPKTGVQIVTDEKATSLSAASIDDAAVINDLIAKLEECAKKLPPGFRMDPIQFEKDDDANYHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKLEDYRNTFANLALPLFSIAEPVPPKMIKFRDMSWTVWDRWIIHGNLTLGELLRWLKDKGLSAYSISSGTSLLYNSMFPRHRDRMDRKVVDLMKEFAKVEVPPYRRHLDIVVACEDEEDGEDVDIPLISIYFR >Ma01_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12831596:12836172:-1 gene:Ma01_g17520 transcript:Ma01_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGVNRPATYNFERTSAPQRLYVPPSSRGGGHDNHEDADLDNIDYDQPDFPERSSPAGDDGEIDPLDAFMEGIQEEIRAPPPASVNPKDKDDKYKDDDEDDPVESFLRSKKDTGLTLASEALHAGYDSDEEVYAAAKAVDLGMVEYDSDDNPIVVDKRKIEPIPALDHGTIDYEPFNKDFYEEQPSISGMNEQEVEEYRKGLAIRVSGFDVPKPIKLFEECGFSPALMGAITKQGYEKPTTIQCQALPIVLSGRDVIGIAKTGSGKTAAFVLPMIVHIMDQPELDKGEGPIGVICAPTRELAHQIYLEAKKFAKPYGIRVGAVYGGVSKLDQFKELKAGCEVVVATPGRLIDLLKMKALTMLRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILSDPVRVTVGEVGTANEDITQVVNVISSDAEKMPWLLEKLPGMIDDGDVLVFASKKGTVDEIESQLIPNGFKIAALHGDKDQASRMDILQKFKSGIYHVLVATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGTAYTLITHKEARFAGELVNSLIAAGQDVPAELMDLAMKDGRFRAKRDARKGSGGKKGGRRGKGGNGSGRGVRGVDFGLGIGYNPDTANAATSHSVPSRSAAVNSLRTGMMAQFKSNFVAASSNSEGNTSSTTRPSLSGFVSGGSIGGEAYKAQSLTTFIPATKNEGSRIENGNQKNSESVRDRPRERKRPSGWDR >Ma02_p24330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29004910:29009472:-1 gene:Ma02_g24330 transcript:Ma02_t24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYREARLGPALDRVGRSRPVCSPEFNVGGLDTCNKIFFSKEMLNRTKAAHALAARVVLRLLPPLPRHQDRRPRFPGCCLRACSSSFSRESDPSKYSWSSCVAPPNPMQVCFHVISFLLCDCIILQLIGTKSYARVL >Ma10_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30593074:30597179:-1 gene:Ma10_g20430 transcript:Ma10_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAGRRFLRLGSEHRAPTAAVAARGYHERVVDHYDNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDDATGKIVDACFKTFGCGSAIASSSVATEWVKGKHMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKTKLAAGNAETTQTEKVDGA >Ma09_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35975298:35979501:-1 gene:Ma09_g24290 transcript:Ma09_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSALAAELLRRNAGNPALAWRLLRHLLSSPAAPLPPLRLIISLSRLLVVARMLPELHHLRRLILLPRHPPAAVRTALSALVSVSASAGLLDDALAHFRSLRSQFPSHPPSTRLYNCLLNCSLRAHRADLVEVLYRDMLLAGVPPETYTFNILIFSLCDSDRIEEARLLFDNMPLKNCQPDEFSFGFLICRYCKAGLSHRAVELLDEMERLGRSPNIVIYNTLISSFCKEGSIGEAEKLVERMRKDGIFPNVVTFNSRISALCKAGKVLEAYQIFRDMQEDNVLGLPRPNQITFNLMLDGFCKGGMLEEARALVELMKVGGSLTSLQSYNIWLSGLVKCGRLLEAQQLLEQMVQQGIEPNSYSYNILIDGLCKEGMIYSARSVMNLMKNNGTSPDPVTYSSLLHWYCTRRNISGAIKILHEMTSSGCFPNSFSCNILLQSLWKEGRILEAEKLLQEMNKKGYGLGIVTCNIVINGLCENGKLDKAIEIVNSMWQHGSAALGELGNAFLGLVDDRNEKKKCCPGLITYSILINHLCKAGRLDEARKLLLEMMGRNVAPDSIIYDIFVHGFCKQGKVSSAFKVLRDMEKKACRPSTRTYNLLIWGLGKKHQIEEILDLINEMQEKGIPKNVRTYNNLIHALCDREMVDKAASLLEEMLHNSILPNITSFSMLIRAFCKISDFDAAQAMFHGALTACGQKEVLYSLMCNECFLYGKISEAKELLQIALEKEFSLEHFPYKSLIEELCKEDRIDDGHSLINTMIAKGYVFDPATFMPVIDALGKKGNKQEADKLSEKMMDMAAHHDGSMVSFSDRLHGDSENKHQKQKQEQEVSLGSDWHNLLHRDDGSGIAMKLLKRVQKGWGQGSIPAPRPQNFDLVDEWEITN >Ma11_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24469793:24472562:1 gene:Ma11_g19710 transcript:Ma11_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVHSVAVSSSAISRCPKNHHSLPASMSLPTTARPIFRSAFSGVSLHLPPPKVIPRRNSYVSKVTVMMAKPAIQFIQGTDEQTVPDVRLTKSRDGTNGVAIFTFDQPSVFDSSGELGDITGFYMIDEEGVLQSVDVSAKFINGKPARIEAKYVMRSPRDWDRFMRFMERYSQANGLQFVKK >Ma07_p27190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33663409:33664682:1 gene:Ma07_g27190 transcript:Ma07_t27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARPGYWKGRDVEAAGAPPLYPMMLEPPQLRWAFIRKIYTILAVQMLLTVAVAAVVVSVPPVSRFFVSSGAGLGLYIFLIILPFIVLCPLYYYYQKHPLNFLLLGVFTVSISFAVGLTCAFTSGKVILESVILTAVVVVSLTLYTFWAASKGHDFSFLGPFLFSAVMILMVFALIQVFFPMGRISAMIYGALAAVIFCGYIIYDTDNLIKRYSYDEYIWAAVALYLDITNLFLSLLTLFRASEG >Ma08_p18000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27803402:27807635:-1 gene:Ma08_g18000 transcript:Ma08_t18000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPKTRNGSSEVPGKNSMLTLKSKCPTKVTGSELNTSSTNSSKLLADRSPKIECRTAKTMETEKRGSGRVTELECQIAQLQDDLKKTRDQLNCTESWKRRAQQESEEAKKQLLVVALKLEDSKSQMKELSAAEESRIQELRKISQERDRAWQSELEAIQNQHSIDTAALASAMNEIRRLRLQLEMVLRSEAALTKKSEVEHIEMQSSKRDLAEVSFSIENLKLQLISSEKAEAEAKSTLAETRKQLEVAQSTIEALLTDGSKLMESFSIVATELKESRSRVKSLEETVKRLQEERFTAHLQALAGFGNPKKICFGSLDSEVEQLMSALEDAWIKNQQEQIERTVKIQCTREMMEKMSTDSRLRESELELRLIDTESEVTVLKSNLFDKEEELQRISDMNKKLLADSEEARENQIESELGLKLTKSIADAKDLKSKLTNLVIDLQRLSEENEQLKSELRRTETENHKACEEAIAEMKSAKAAEEEALMKLGSISDKAEKNNRRATRVAEELEAVQAVKSEMEAELRKLKVQAEQWRKAAETAIMMLTADNNGKFSGAVDSERKSAEGKLMSLRLSDDIGEESSRKKKNYVLRRIRGMWNKEQK >Ma08_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27803402:27807617:-1 gene:Ma08_g18000 transcript:Ma08_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPKTRNGSSEVPGKNSMLTLKSKCPTKVTGSELNTSSTNSSKLLADRSPKIECRTAKTMETEKRGSGRVTELECQIAQLQDDLKKTRDQLNCTESWKRRAQQESEEAKKQLLVVALKLEDSKSQMKELSAAEESRIQELRKISQERDRAWQSELEAIQNQHSIDTAALASAMNEIRRLRLQLEMVLRSEAALTKKSEVEHIEMQSSKRDLAEVSFSIENLKLQLISSEKAEAEAKSTLAETRKQLEVAQSTIEALLTDGSKLMESFSIVATELKESRSRVKSLEETVKRLQEERFTAHLQALAGFGNPKKICFGSLDSEVEQLMSALEDAWIKNQQEQIERTVKIQCTREMMEKMSTDSRLRESELELRLIDTESEVTVLKSNLFDKEEELQRISDMNKKLLADSEEARENQIESELGLKLTKSIADAKDLKSKLTNLVIDLQRLSEENEQLKSELRRTETENHKACEEAIAEMKSAKAAEEEALMKLGSISDKAEKNNRRATRVAEELEAVQAVKSEMEAELRKLKVQAEQWRKAAETAIMMLTADNNGKFSGAVDSERKSAEGKLMSLRLSDDIGEESSRKKKNYVLRRIRGMWNKEQK >Ma08_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3857629:3867972:-1 gene:Ma08_g05670 transcript:Ma08_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPAAQDKMQGNREASPGCPKDNASHSNSQESGNVVQRFPELKDGKDLPVCDFTKANNSMSWEPYAILNQGYSGPFYRQETLALPFPHPDFLMLRQVQLNAFENNVYPVTRDYPFPVENGFSYMPPVKMFSQVHPHKFQIQEFQYFVVIDFEATCDKERNPHPQEIIEFPSVLVNSATGQLEAVFQTYVRPAYHQHLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEKKGIKHKNFAVVTWSNWDCRVMLESECKFKRIRKPPYFNRWINLKVPFQEMFHGVRCNLKEAVQLAGLTWEGRAHCGLDDARNTARLLVHLMDMGFKFSITNSLMSQTSEFPLKYETSRNILLDQNQHTQKPKELVGAPFQFHPFVSSGGKERQMFCYCGVLSSKCVVRKPGPTQGRCFFGCGNWTASRRAVCNYFAWASP >Ma07_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2257244:2258557:1 gene:Ma07_g02890 transcript:Ma07_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTLEFGGGLELLCQSSKIHNVDVKPNPGEDKLTMRDLLAWVKSNLIKERPEMFMKGDSVRPGLLVLVNDCDWELCGSLDTELEEKDVVVFYLYLAWWL >Ma05_p06790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4989187:4994526:-1 gene:Ma05_g06790 transcript:Ma05_t06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSGYLFQPRVHGNIAPYTRRRSRFMRWLCKVFKGTGTGVSNGHHPQVNGEENLFHGPIKPVDDRSKVENEDLDRAIALSLDEDAKRPNAAGYKGRGNDDDELARAIQESLSMSSSQPYYPVQVLPRGYRICGGCHHEIGYGHYLSCMGTFWHPQCFRCHACGQIIYESEFTLSGIDPYHKSCYKELHHPKCDVCHEFIPTNRAGLIEYRAHPFWGQKYCPSHEHDRTPRCCSCERMESRSRRYICLGDGRSLCLECLDSAIMDIVDCQSLYHSIRDYYEGMNMKINQQIPMLLVERQALNEAMEGEKDGHHHMPETRGLCLSEEQTVSSILRRPKIGGNRILDMRTFPQKLTRQCEVTAILVLYGLPRLLTGSILAHELMHGWLRLRGYQNLSPDVEEGICQVLAHMWLESEVLPGSTSMPSSSSSSSSSIPSSKKAGKSATDKKLGEFFMHQIAQDTSPAYGEGFRAANAAVNKYGLRRTLEHIRLRGNFPM >Ma05_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4989187:4993130:-1 gene:Ma05_g06790 transcript:Ma05_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTCFMDQLNQCCYQDDRSKVENEDLDRAIALSLDEDAKRPNAAGYKGRGNDDDELARAIQESLSMSSSQPYYPVQVLPRGYRICGGCHHEIGYGHYLSCMGTFWHPQCFRCHACGQIIYESEFTLSGIDPYHKSCYKELHHPKCDVCHEFIPTNRAGLIEYRAHPFWGQKYCPSHEHDRTPRCCSCERMESRSRRYICLGDGRSLCLECLDSAIMDIVDCQSLYHSIRDYYEGMNMKINQQIPMLLVERQALNEAMEGEKDGHHHMPETRGLCLSEEQTVSSILRRPKIGGNRILDMRTFPQKLTRQCEVTAILVLYGLPRLLTGSILAHELMHGWLRLRGYQNLSPDVEEGICQVLAHMWLESEVLPGSTSMPSSSSSSSSSIPSSKKAGKSATDKKLGEFFMHQIAQDTSPAYGEGFRAANAAVNKYGLRRTLEHIRLRGNFPM >Ma03_p26330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30088396:30091467:-1 gene:Ma03_g26330 transcript:Ma03_t26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGIHPQLQWISYVTQTGRLINVMMTRLHRVGRVYQLRPRRQMAPTLSQIAKFNLRYGLKNEENSEK >Ma11_p02110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1499200:1501964:1 gene:Ma11_g02110 transcript:Ma11_t02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPVKVVLGSIAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFRVIPPEEAYDAIDLPILGLLFGTMVVSVFLEKADMFKYLGKLLSWKSRGGKDLLVRICLVSAVSSALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVQSGISFEKFLAGLFPAVLLGGLLNAAILLCYFWKLLSVEKDEEVASAVGEVVAEDDMTLHRFSPATMSHVASLNSQEFGSSLEAFFRSPSLSGEFAHISSIRSRGNSIDIDVQNASNVGIESMRASAASRDAAEGAGIAHREEGVSSRRVSRTYSSQRCVSREEASLQAADSKESSMEMWKSRMWKACVYLVTIGMLISLLMGLNMSWTAITAALALVVLDFKDACPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWEFVEPYARIDSASGITLLSLVILVLSNVASNVPTVLLLGGRIAASAALISPAEEMRAWLILAWVSTVAGNLSLLGSAANLIVCEQARRVQYFAYNLSFLGHLRFGVPSTLLVAGIGLFLVRSY >Ma11_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1499210:1501983:1 gene:Ma11_g02110 transcript:Ma11_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPVKVVLGSIAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFRVIPPEEAYDAIDLPILGLLFGTMVVSVFLEKADMFKYLGKLLSWKSRGGKDLLVRICLVSAVSSALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVQSGISFEKFLAGLFPAVLLGGLLNAAILLCYFWKLLSVEKDEEVASAVGEVVAEDDMTLHRFSPATMSHVASLNSQEFGSSLEAFFRSPSLSGEFAHISSIRSRGNSIDIDVQNASNVGIESMRASAASRDAAEGAGIAHREEGVSSRRVSRTYSSQRCVSREEASLQAADSKESSMEMWKSRMWKACVYLVTIGMLISLLMGLNMSWTAITAALALVVLDFKDACPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWEFVEPYARIDSASGITLLSLVILVLSNVASNVPTVLLLGGRIAASAALISPAEEMRAWLILAWVSTVAGNLSLLGSAANLIVCEQARRVQYFAYNLSFLGHLRFGVPSTLLVAGIGLFLVRSY >Ma06_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19556000:19557399:1 gene:Ma06_g22800 transcript:Ma06_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTQSWPDAGARAFSSKSASADVIGVDLGTTNSCVAVMDGKSPKVIENAEGARTTPSIVAFNTKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRRFDDPQTQKELTMIGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLEVLRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDYLVTEKAKQLPERCRHFSKRTR >Ma05_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33892658:33892807:1 gene:Ma05_g22160 transcript:Ma05_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYVRSGTLKHFVPDLEIKYIPEESHFVQEQFPDQVNQLVITFLKNHI >Ma05_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32881483:32894556:1 gene:Ma05_g21220 transcript:Ma05_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEADSRLPLVLAPALEKIVKNASWRKGHSKLAHQCKSLIDRLSHPPPPPSPSSPNSSSSLPGPLRDGGSAVYSLADSEIFLAPLIAACSSGSPRVAEPALDCVQRLVAHSYLHGEADPAGGPDARLLAQLIDAVCGSLALSGGGDDALELLVLKTLLSAVTSTALRIHGDALLQIVRTCYDLYLGSKNPVNQTTAKASLIQMLVIVFRRMEADSSTVPVQPIVVAELMEPADRSSNAAAADVSFVQGFITRIISDIDVVLNPSTPLARTESARKHDGAFETTAVENTNPADLLDSTDKDMLDAKYWEISMYKTALEDRKDELGPEGVVDRDDEAEVQIGNKLRRDAFLVFRALCKLSMKTPPKEAVADPALMKGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASAHLIVFQLSCSIFMSLVSRFRPGLKAEIGVFFPMIVLRVLENVAQPIFQQKMIVLRFLEKLCVDSQILVDIFINYDCDVHSSNIFERMVNGLLKTAQGPPPGAPTTLVPPQDVTMKFEAMKCLVAILRSMGDWMNKQLRIPDPYSQNTETADGNTGGSNELPLGNGNSEEPAEVSDSHSETANGTSEVASIELRRAYKLELQEGISLFNQKPKKGIEFLINAKKVGDSPEEIAAFLKSASGLNKTLIGDYLGEREDLSLKVMHAYVDSFDFEGMKFDEAIRCFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFTSADTAYVLAYSVIMLNTDAHNPMVKNKMSPDDFIRNNRGIDDGKDLPEEYLRSLYDRISKNEIKMKEDNLAPQQIQSSNSNKILGLDGILNIVIRKRHSSTETSDDMIRHMQEQFKEKARKSESAYYSATDVVILRFMIEVCWAPMLAAFSVPLDQSDDETVISLCLEGFRSAVHVTAVMSMETQRDAFVTSLAKFTSLHSAADIKQKNIDAIKAVLYIADEDGNYLQEAWEHVLTCVSRFEHLHLLGEGAPPDATFFTIQQTELDTSNQTKSSILTTTKKKGPSSVVARRGTYDSAGVSGQASGVVTSEQMNNLISNLNLLEQVGIAEVNRIFVRSEKLNSEAIINFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWSVLSEFFVTIGCSENLSIAIFAMDSLRQLAMKFLERKELANYNFQNEFMKPFVIVMRKSRAVEIRELIIRCFSQMVLARVSNVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCAAKLAEGDIGASARYKNKEAFVNNGPPSPHIIKDEKQDTPLIIDKDDHLHLWFPLLAGLSELTFDLRPDIRQSALQVLFDTLRNCGHHFSLPLWEKVFDSVLFPIFDSVRHDVDTPRGIPQGQGSENDTEELDQDAWLYETCKLALQLVVDLFVKFYDTVNPLLKKVLTLLTSLIKRPHQSLAGIGITAFVRLMSSAGPLFVETKWEIVVLSLKEAAKATLPDFSYISSGAHLDNAASDNGNSPLMQENGESRGSIDDDSEGLRTRNLYSAIGDAKCRAAIQLLLIQAVMEIYNMYRAQISAKNELLLFEALHAVACHAHKVNSDADLRSKLQEIGSLTQMQDPPLLRLENESYHLCLVLLQNSVVDRPLNGDVEVEAHLVQLCREVLEVYLKAAKGQPVVASTGTQPRTHWLIPVGSGKRRELAARAPVVVSALHAISGLGDTPFRKNLALFFPLLSCLISCEHGSTEVQVALSDMLNTWVGPILLRAC >Ma09_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27009073:27019129:1 gene:Ma09_g19970 transcript:Ma09_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSRSSGDEDEMGRAQESSRRVELTVKVDGKSSEQKPGTPTTPRSKHSATEQRRRCKINDRFQILRDLIPHSDQKRDKASFLLEVIEYIKFLQEKVQKYESFPGWNQENEKLMPWSSNQGPGDGMVDPPNLTKNGSQSGHLFSGKFVDSSIPGAPMSLSNAHNVAEADMSPGAVLVPMQSNYYASVGRGSGFMQPQERVISDSDNPVSQSQSEWQSSSCMADCNLSSDMLNEHEELIIDEGTISISSVYSQGLLTALSQAMASSGVDLSQASISVQINLGKGASKRPTTANMSNAKDHSDNSHIHQIMGNSVPGSNIEESEQVPKRQKG >Ma06_p34990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35039758:35040414:-1 gene:Ma06_g34990 transcript:Ma06_t34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma03_p32310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34163411:34164843:1 gene:Ma03_g32310 transcript:Ma03_t32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPGEMGIPSSSSGYNASLGVRSAFSKPTLSPSSSLVSPRGAAVDGGGVGGGPRNGDILGNTKPSNLVSNLIALDHRPGSLGNNADQASISNSSPGVAGVGVSVNSEPAASATTTVTAADIPTKYKECLRNHAAALGGHVVDGCGEFMPNGDPDTPEALKCAACGCHRSFHRRETEGGANAVNSYYHGTTRPPLLLPPPHPQAQLHHHQKHFQLGGFSSSPSPALPGSPGFVHFGGNNPSGNGGTTTESSSEEMIDTGTPTPSAMPKKRFRTKFTAEQKEKMLAFAERWRIQRQDDAVVEQFCSEIGVRRQVLKVWMHNNKHMITRKQQL >Ma06_p12100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8400845:8405279:-1 gene:Ma06_g12100 transcript:Ma06_t12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVDSSSLVENARAPRNSPYPSKAVAGRSLFSVSSTPMTYSTPSTLSVRSYSDKTSNGSLPTPRTEGEILSSSQLKAFTFNDLKNATRNFRPDSLLGEGGFGYVYKGWIGEQSFSASRPGCGMVVAVKKLKPESFQGHKEWLTEVDYLGQLHHPNLVKLIGYCSEGDNKLLVYEFMPKGSLENHLFRRSAQPLSWTTRIKVAIGAARGLTFLHDAESQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDKTHVSTQVIGTHGYAAPEYIATGRLSVKSDVYSFGVVLLELLSGRRAIDETKMGIEQNLVEWAIPYLCDKRKLYRIMDTRLEGQYPKKGAHAIATLALQCIEHETKLRPRMSDALASLEQLQDLKSAAFPLQADQYKPSSVISKSPTRHHH >Ma06_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8400847:8405280:-1 gene:Ma06_g12100 transcript:Ma06_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPFHLDRGGGDGELRRLFVAGRERPRPAEFPVDKTSNGSLPTPRTEGEILSSSQLKAFTFNDLKNATRNFRPDSLLGEGGFGYVYKGWIGEQSFSASRPGCGMVVAVKKLKPESFQGHKEWLTEVDYLGQLHHPNLVKLIGYCSEGDNKLLVYEFMPKGSLENHLFRRSAQPLSWTTRIKVAIGAARGLTFLHDAESQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDKTHVSTQVIGTHGYAAPEYIATGRLSVKSDVYSFGVVLLELLSGRRAIDETKMGIEQNLVEWAIPYLCDKRKLYRIMDTRLEGQYPKKGAHAIATLALQCIEHETKLRPRMSDALASLEQLQDLKSAAFPLQADQYKPSSVISKSPTRHHH >Ma03_p28910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31952066:31955353:1 gene:Ma03_g28910 transcript:Ma03_t28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFISGLVGTLKDMAKEEVDLLLGVPGEIQKLRRSLRNIHSVLRDAEKQRIENESVNDWLMELKDVMYDADDVLDECRMEAEKWTPRESAPKPSTLCGFPICACFREVKFRHAVGVKIKDLNDRLEEISARRSKLQLHVSAAEPRVVPRVSRITSPVMESDMVGQRLEEDAEALVEQLTKQDPSKNVVVLATVGIGGIGKTTLAQKVFNDGKIKASFRTTIWVCVSQEFSETDLLGNIIEGAGGKYNREQSRSLLEPLVAGLLRGNKFLLVLDDVWDAQIWDDLLRNPLQGGAAGSRVLVTTRNAGIARQMKAAHVHEMKLLPPEDGWSLLCKKATMNAEEERDAQDLKDTGMKIVEKCGGLPLAIKTIGGVLCSRGLNRSAWEEVLRSAAWSRTGLPEGVHRALNLSYQDLASHLKQCFLYCALFKEDYVFARSDIIKLWIAEGFVEARGDVSLEETGEQYHRELLHRSLLQWQRYSQDDYYEYFKMHDLLRSLGHFLSRDEILFISDVQNERRSGAIPMKLRRLSIVATETTDIQRIVSLIEQHESVRTLLAEGTRGYAKDIDDYMKNFVRLRVLHLMGRNIQSLPHYMGNLIHLRYLNVSYTDITELPESICNLTNLQFLILFRCRQLTHIPQGIVRLVNLRTLDCQLTGLESLPCGIGRLKLLNELVGFVVNTATGSCPLEELGSLHELRYLSVDRLERAWLEVEPGRDTSLFKGKQKLKHLHLHCSYTSDDHTEEEIERFEKLLDVALHPPSSVVSLRLDNFFLLRFPSWMASASISSLLPNIRRLELIDCNDWPLLPPLGKLPSLEFLEIQGAHAVTTIGPEFFGCEVAATGHDRERNSKLPSSSSTSPPSLFPKLRQLELWNMTNMVVWDWVAEGFAMRRLGKLVLVNCPKLKSLPEGLIRQATCLTTLDLTDVCALKSIGGFPSVKELSISGESDLEIVADLPALELLKLGGFFFPYNHLPEWLAACPGCFTTLQRLDVWGTTQLLRRCLQNGADWPMIKHFPNFSIKDDRGNYINYIKHSGTFETNLVDDNAAFAAAAEDEEEVKRHQ >Ma11_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3739866:3751161:1 gene:Ma11_g04790 transcript:Ma11_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MQVFSSPKSQFPLFPSPSSPNPNSNPNLIPRSFSHLPIRSFSSLPLLRSPPSSSKTSSPAPLQEQVLSSVSDDDEIEEDLEDPDEGGGPEGLLVVRRPVKEGLEEAAVSSLVESDKTSGGEEKTTPSSNIDRRLSDFAKKMPMFEPERVVGAEERPLGINLELALYRAKVLIRNFQFKEAEEILLKCISFWPEDGRAYVAQGKLLCKQSKFAEARSVYERGCQATQGENSYIWQCWAVLENKAGNIRRARELFDAATVADKKHIAAWHGWAVLEIKQGNIKKARNLLTKGLKYCGGNEYIYQTLALLEAKGKRFKRARYLFQQATKCNPKSCASWLAWAQVEMQQQNNYTARKLFEKAVQASPKNRFAWHIWALFEANQEGDIDKGRKLLKIGHAVNPRDPVILQSLALLEYRHSSANLARVLFRKASALDPRHQPVWIAWGWMEWKEGNINTARELYQRALSIDSTTESAARCLQAWGVLEQRNGNLTVARRLFRSSLNINSQSYITWMTWASLEEQQGNPVRAEEIRNLYYQQRTEVVDDASWIMGFVDIIDPALDSVKRLLNLDQSSDLKGQEILRSLEEANYSSSEASSNPDNREMEVAEYVGSHDSDFDLDGFVGEKLSLDVSDLDALMEAFEHKRTKSRRRRVGIWERKIVLVQH >Ma06_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1596216:1608306:-1 gene:Ma06_g01980 transcript:Ma06_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKDLPGFYYDVEKNRYFPIKGPIPGSKRPLVSSSVSCSSSKRATNGEFSNPYQDHQMHQASRRKLLKSSELLQVREIHGRSIVSNKYRCNFEQEYQKLQASYPMVWKYQNTVGVSDGALEQLHGIVQTPKGLQLQKFLTMGSMTGYISLYEVATVSQYFDYRFECIPKPIWSVRNPKTCDDSGIAGIWCLAESLPGFSSSISCIKKFSGNFSDTTQDGSSFRHAFLTLHMMTTFGSGAAGGSVYILNLHEPLDLILSSGSLFSHISQIASFDRTIWTADCNSHGTQAVIGTNLGATLINLETEEVSWFYRSRSDVFSQQFIHSGNVVLCGQRNGAVISVDIRQKQSRHKLGRNLNSSDAVFMSSAVCSLVALQSDDNYFLASSMDGSIKLFDCRLLQRGSVQSYAGHVNSHTRLQLGVNPSETFLISGGEDCFLRIWSIKNGELIFGEKVSDSILTTVCWPKTGNEFDGKQKSRKQLECCSEYSYFPTHSWGTWLGSPDGLFYMHGT >Ma04_p38920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36238546:36239228:-1 gene:Ma04_g38920 transcript:Ma04_t38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVGIWMAKLGRKQRSTPSCSLARHEEEEGKIRGELRSREEETAQVKARRNSSVALSETTLCLLMDRFAPA >Ma10_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23075779:23076902:1 gene:Ma10_g08780 transcript:Ma10_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCLLLLSSLSCFSAIALSWQQPRPETVDLAYHMGPVLTSPVNVYTIWYGHWEAAPQGIIRDFLLSLSAPSPSPSVGDWWRTVRLYTDQTGSNVTGSFVLAGELHDSAYSHGATLSRLAIQSVIRSAVAAHPQRLPLDPRNGLYLVLTSPDVEVEDFCREVCGFHYFTFPAVVGVTVPYAWVGHSGSQCPGMCAYPFALPEYMVGVHNASGGVRALGAPNRDVGADGMISVIAHELAETSSDPLINAWYAGDDPAAPAEIADLCVGVYGSGAGGGYVGSVFKGSEGEAYNLHGVNGRRFLVQWVWNPVKMTCFGPNAMD >Ma05_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5854009:5857809:1 gene:Ma05_g07920 transcript:Ma05_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYSLFHAYGLDEIGQNTEAVRNSVLDLTRFGKVVKLVAFHPFSSALDALNQCNAVSEGLMTEELRNFLEMNLPKVKEGKKAKFSLGVAEPKVGSQIFEVTKIPCQSNEFVLELLRGVRLHFDRFIKDLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIINDNYLYARVTKFVENKSDLSEGHIPGLADIVGDEDKAKEIVEAAKASMGQDLSPIDLINVQQFAQRVINLSEYRKKLYEYLVTKMTDIAPNLASLIGEVVGARLISHAGSLSNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRIARYLANKCSIASRIDCFSEVNTSVFGQKLREQVEERLDFYDKGVAPRKNVDVMKVAIESVLSNGSQEDDDGQQNGEISEKKGKNKKKSKGENVAAEPMEEDRPPEVAADEHASIEPETDKKKKKKKKQDQEDEVVLSEVNAHDTEQNGTPKKKKKSRVDLDNDGDVQTGTEGKKKKKKKVKAQDD >Ma06_p27710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29616372:29617779:1 gene:Ma06_g27710 transcript:Ma06_t27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSPVTLDLNKEEGHCSNGAVVFDTSFLRRQAKIPESFVWPRNDRPHPLEELEVPVVDLRGLFEGDEASISRAAEASRAACVRHGFFQVINHKVDAKVSGDALDAAGDFFKLPLSTKLRARRQPGSAWGYVGAHADRFASKLPWKETLTFGYDYGERGDGVVDYFTSKLGEGFEPMGRVYRRYCEAMKDLSLSIMELLGISLGVGREYYRQFFEDGSSIMRCNSYPLCQEPELALGTGPHCDPTALTILLQDQVGGLQVFTEGKWQAVRPVRSALVINIGDTFMALSNGRYKSCLHRAVVNSERERLSLAFFVCPRGDRVVRPPRELLLLLEEEAVPRAFPDFTWTELLEFTQTHYRADTTTLQSFARRRFLASSP >Ma02_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27053539:27061168:-1 gene:Ma02_g21440 transcript:Ma02_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGGNSLPGVGPDATNRKVCYFYDSEIGNYYYGQGHPMKPHRIRMTHALLAHYGLLSHMQVYKPNPARDRDLCRFHADDYVAFLRSISPETQQDQIRALKRFNVGEDCPVFDGLYSFCQAYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLSILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYQSLFRPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRYMRSFNVPLLLVGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQQLDEIRARLLDNLSKLRHAPSVQFQERPPDTEFPEPDEDQEDPDERHDPESDMEVDDSKHPEDSSRKPFSNNIQNMRIKKENMDRELKDQEAQKVVGDNAKAVEPMAEEVSGLKAPDITPMAVDDPGSIKVEQDSQNKMSDAAAFMHQKS >Ma06_p27880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29749829:29750336:1 gene:Ma06_g27880 transcript:Ma06_t27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADVLWPDRDRDGDEDTEEWHAGLGAHRKASSPVRIPSRPRAVRSWTPGFAYAANCDDDDDDSDDNEGGRKSDTIPPHLIVARRVADKMAFSMCVGIGRTLKGRDLSQVRNSILRMTGFLER >Ma01_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12537177:12543394:1 gene:Ma01_g17150 transcript:Ma01_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEERDPQRLKRIAAAAYDYDNDPRWAEYYSNILIPPHMAARSDVVDHFKRKFYQRFIDPDLVVETMSSTSSSQSSRASDRPSSQPTQSSRASERPSQSTARNVRPQNSGSGSGSTGTAASVGGNANPLRLDQRSIHFSVNAWVLVVAVLGMLPVASRNLSNKAYRLSLLGTACSSVYSVYSLYGKPRAWNLPAIQTWVQTVVGGKDFIHLIYCITLVTLQLRFKFALIPVFCWALDHVARFLRCNFARSSLYRKYMEEPCLWVEAKGTTLNILSSNAEIGMGFLLIISLFTWQRNIIQTFIYWQLLKVMYHVPVTAGYHQSAWARIGRTVNPYIYRYAPFLNSPISAVQRWWFR >Ma10_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32235827:32238583:-1 gene:Ma10_g23180 transcript:Ma10_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSLITVKQNNSPEGTKHLCHSSPLSVQFNNEQDCQKLSRSSYVETVLHNSSSFSKDLPLHTKKSSSGPEPGSSCSYVSHPQYPEHMFSRSSTFCTSLYSSSSTSSESCQKLQNLPFLPHPPKCKHQNSAVQSSNSPLQFSGDISATSGEDEHTDDLMKDFLNLSGEVSDGSIHGENCGSSGLALNEQIELQILSEQLGIAITDNGESPHLDDIYETPQVSSLPLSANHNQTDQPSKPHTKVQLHSPPSINPASAANKTRLRWTLELHERFVEAVNKLDGAEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYLPEAKEDKKASSREDKKSSSLVSNDGDLANKRSIQVTEALRMQIEVQKQLHEQLEVQRALQLRIEENARYLQKILEEQQKANNSSSSTQRFSSEPPLELRSPFTEKADARVDSSPLNSVKQRGNESNDRESDSESVEDSKRMRLDVEHIRPS >Ma01_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9756577:9756826:-1 gene:Ma01_g13330 transcript:Ma01_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGIYPNVVIYNSVINGLCQQGCLNEAFNSCWCFVYGRFPG >Ma04_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17240395:17240784:-1 gene:Ma04_g17030 transcript:Ma04_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWWSFSFQGTRNKPDFVSDRRWGKPIHSRPPSSKAAPTIFSFLLLVTQKLHHAAASACHERRLGYLNSLSLSLSLSMNEKGESNCNGDRLGNSSRAQNSVHHPLLDSISSRPKILFLPPHPPPCLTR >Ma07_p28480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34508393:34510998:-1 gene:Ma07_g28480 transcript:Ma07_t28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTDNGPPEWHPASLELKDACRDAAAHCKEKGKNISKVALQYSLMNKEIATILVGMNSPKQVEENVTAALGLSSLGIDQELLHEVETILEPVKNQTWPSGIQ >Ma09_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30011496:30012252:-1 gene:Ma09_g20780 transcript:Ma09_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERCNLDKEAEILKLSSSSSPSPSPPTPPSSSRLPPSLFLNPYEESPNPRPGTPDVETPVVASSAQKPKEEPKPPVRFSNRCSTCRKKVGLTGFRCQCGDLFCGRHQYTDTHDCSFDYKAFGREEIAKANPVVKAPKIIKI >Ma11_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9111557:9111880:-1 gene:Ma11_g09850 transcript:Ma11_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGHGESQNLDLKLNLALPSRGDTSRRMATTGGDDSPRGSSSPSSCLSSEADSPEGPSMVLAGCPRCLMYVMLPGDDPKCPKCKSAVLLDFLHDTTTTKKSRKT >Ma08_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37497971:37503784:1 gene:Ma08_g24250 transcript:Ma08_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSCANLLELGSDNTMDFSTSVKSLPRVMTSPGIINDLDEGTSTNEDSCSSKLSSQDRKIIVADFLPLNSMKDQATGQWCFTWDQDSLLLQLKDGFSSDTEVIYVGCLDADIDISEQEEVSQKLMEEYKCMPTFLSPELFQMYYHGFCKQQLWPLFHYMLPICLHKGDLFDRSLFQAYISANKKFAEKVMEVINSDDDYVWIHDYYLMLLPTFLRKRLNQVKIGFFLHSPFPSSEIYRTLPVREEILKSLLNADLIGFQTYDYARHFLSCCSRMLGLHYESKRGYIGLEYYGRTVSIKILSVGIHMGRLQSVLNHPATASKVQEIEKNFKGKKLLLGVDDMDLFKGISLKLLAFELLLERHPTLREKIILVQIVNPTRSMGKDVKEAIEDTLLIAERINNSYGTPSYEPVVLIDKPIPFHEKIAFYVAAECCIVNAVRDGMNLVPYEYVVCRQGTEEMDKSRGFHVGSTHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVSDALYRSINSGETERQMRHEKHYRYVSTHDVAHWARSFSQDLKRACKDHYSWRCWTLGFGLNFRVLYLSPNFRKLMLNHLFSSYKNTHRRAIFLDYDGTIMPEASVNKTPRQETLSILDSLSTDPNNTVFIVSGRGRASLGEWFTSCESLGIAAEHGYFIRWRKDAEWESSSLLVDLDWLKIAEPVMQLYTETTDGSYIELKESAIVWHYQYADHDYGSCQATELLDHLESVLTNEPVVVKRGQHIVEVKPQGIGKGLVVENLIGTLNKCGKPLDFVLCIGDDRSDEDMFESINSIASSNLFPFVPEVFACTVGQKPSMAKYYVEDTDEVIRLLQGIASVSNQEHRVTYNQLPIERSS >Ma05_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9310004:9311659:-1 gene:Ma05_g12890 transcript:Ma05_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGPLDALDFSALGSLLRLNLSYNQLGGAIPPTISALSRLVSLDLTSNQFTSKIPVGMGSMKELRFLSLSQNQMVGAIPPSLSNLTGLVSLHLEDNKLVGVIPKGLGRLHELMYLNIGVNNLSGSIRLKNLTKLRFLALYQNQLSGPIPFEIGNLIEVTNLYFSENLLTSSIPSSIVNMTKLESLYLWGNQLSGFIPLKIGNLIKVTDLLLSKNLLIGPIPSSIGNMTKLNILYLQDNQLSGFIPLEIWNLIEVTDLALLKNQLSGPIPSLIGNMTKLKKLGVLDNQLSGPLPVEINNITGLTYLVLSNNNFVGYLPSDICKGGALQYFILSMNNFQGPIPTTLKNCTTLERVRLEHNQLTGDVSQCLGMYPHLYYMDLSFNLLSGTLPPDWARWHNLTLFRISNNNITGVIPTEFGQLTKLRELDLSSNYLQGEIPKSFGSLTLLYNLSLGNNQLVGQVPSEFGMLTNLELLDLSSNNLAGRIPDQLGNCMKLRSLKLNNNNFSGTIPLAIGNLVDLQDTFDVSHNSLTGEIPSQLSKLVMLQSLNLSH >Ma08_p32510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43218624:43219081:-1 gene:Ma08_g32510 transcript:Ma08_t32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILKERASDIFRRSPYRGALGNVEMLTPKKGTSSILNTHSRKGMLVSEKITIL >Ma07_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1224543:1228695:-1 gene:Ma07_g01580 transcript:Ma07_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDGISKLVLLHLFGVTVAGAAAAAVRYHRQRKQRPTTTMDLRLMPRLVLTDSGRVEELERFSHYVARQMGFEDMIECPQLCKLARDYLKTNKGCEDNIFAFFANEPNPESLYVKLVEELDKCILAYFAFHWNHATLLVSQVLSADSPKKKLKNFVMEATRKLRFERVTKDLKVTRVFSTLVEEMKAIGIESRCTDVMVPAALSDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTIAMARNVHRQRYRMGVGYKVVDDGTITENYWEPAEDDDDDEDEEYKSKDRKPYRIELVGVVCDAYLAVVRGIRRAIIMGRAVRVKSQLKSHHMFANAFPRYCQLVDNARLYSTNTMGSAKLIGWKDGSSSLLVDPREISCLENVKELNEEADSIYQLYPRSNTSCGSTSIWNEMVMSPTRTLIQQELKAAVKAIESRGS >Ma07_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5783380:5786854:1 gene:Ma07_g07790 transcript:Ma07_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLQRVSRLVRHNHRTAVALVRRTACRPPPDHPTATGKQMSSLCKLFSSTNPAPSRLLLYRLKSSNTTRAKSKSPARKTSTRSKGPTPASVYQTISQLVEAEEKPAVDHASTQVLLAKDGSFARPVSSFDGSPDACRIAQESTSTPRAGETVFRAGDSERNPLQNSNLEDVSPIVHEITNIVRSEKSQLRMERRLEELDSVLSSDVVEKVLKRCFKVGHLALRFFNWVKERPNFCHTTEAYNVMIYIAGEAKDFDLMEKLVDEMDEEPCPRDVKTWTIIISKYGKAKQIGKAMRTFEAMKKSGCEVDIGVYEIMFRALCCANKPELAMEFYREMTSKNMAVKRNLYEMLMDCLAISGDAAAVRSVGLDMMKNAQVSESEVYTRILRSFCISRKTEEAQHLFEEIKKKNLLVDSDTYDILVKGFCRAGKMDKSVDIVKGLRQNSGVDSKLYGCLISGFLKKGDIEKALKLLQEMRESGCQPMVSTYTEMIQRLFRSDGYQKACELSEEMLRNGIKPDIVAITAMVAGYVQNNKISEAWKVFETTKQNGMRPTRKAYTVFINELCKVSKPLEALKLLEEMINSMINPKDGVFHLVISSLTKIGELEKARNVEKICRSSRLYCLEEEPACQSSCHQFLDHEHEVSSFSPKQDEQDMKSVKTTTFSNADLKEVHRIISSYTEWCSIQEVLESSAICFTPELVEAVLRSSQRQSRAALQLFSWIGRKTGYTHTAEAYNMAIKLAGSAKDFKHMRHLFREMRRSDLAITPNTWTIMIAQYGQAGLTQMALDAFKEMKKDGYEPYGSTYKYLIVFLCGKKGRKTDEAIKIFQEMIRAGHMPDKEMLDIYLSCLCESKQLVDAQRSLKNLCRRGFTAQVGYSLLVKSLCRAGRIEEALKAADDMELLGCTRDQYVYGSIVHALLREGRLDEALDKVETMKRAGISQTTHIHTSLIVHFCKEKKIAEAMKIFKKMKDDGCEATVVTYSALIRGFMNMGMVTDAWNIFRRMKLKGPYPDFVTYSMFMACLCKSGRSEDALQLIHEMLESGIIPSAINFREVFHGLNREGKQHLARNVLETKWRLKRERMISL >Ma04_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7612861:7617364:-1 gene:Ma04_g10720 transcript:Ma04_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATVATAPQPYVLHETLTSHNRAVSAVKFSPDGSLLASASGDKTLRVWSTADFSLLTELSGHEEGISDLSFSSDGRYLCSASDDLTVRIWDLAAATAVKTLSGHSNYVFCCAYNPQSNMIASGSFDETVRVWEVKSGKCLRVLPAHSEPVTAVDFNYNGSLIVSSSYDGLCRIWDSATGHCMKTLIDDESPPVSFVKFSPNGNFVLAATLDNTLRLWHFSAGKFLKTYTGHANSRFCIPATFSVTNGKYIVSGSEDNCVYLWDLQTRKIVQKLEGHTDGVIAVSCHPSENMIASGALGNDKTVKVWVQRTEEQMED >Ma10_p08610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22938898:22949061:1 gene:Ma10_g08610 transcript:Ma10_t08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDRSGLRLASGEMNQILNSVGNSSGPSVGASSLVTDANSGLSGGPQLQKSTSFNNESYMGLPASPISFSSNFSGSSVMDGCSIVQQSSLQEQVQKQGLSTATSQLVQQEPNNLMNAQKKPRLGVKHEDVLQQQLIQQLLQRHDGMHPQVQQNPQLQTILQQQRLMQRQQHQQQIMQSLSQLQQGPITLQQQQQLRHHLQPQSIQSATLIKRPLDNGICSRRLMQYLFHQRHRPPDNSILYWRKFVAEYFAVRARKRWCLSLYDNMANNTLGVFPQLAVDAWQCGFCGSKSGKGFEATFDVLPRLFQIKFDHGVIDENLFLDMPHESRLSSGIMVLEYEKAVQESVYEHLHIVREGQLRIIFTPELKILLWEFCTRRHEEFLPRRLLAPQVNQLLQVAQKYQATVTENNTAGVSHQDLQTSCNMFAAIGRQLARNFDLQSLNDLGFSKRYVRCLQISEVVSSMKDLIDFSQEQKIGAIESLKNYPRHAAAKHQKQKLDEEQAMSSHALPGDPSTLKKATGIQAGLNNYINNNLAASQAANSSQQGVHALNSFQNMLRNTLNLKQNVLQEEALSSLSGSNHAQPLQFQGSASSVSIDASVSNLSGQHRPQPPLDIRLQQQYNPQNPQVNQHMQQHVIQQMLQEMMNNKGASQQAVIAPNANAGLAAGDVTGGDITGTPVRIDTGSIRNALELQSMPTNLRNNGTGGMGSKSYSFQSAATASNPTISGNIINSRPDLPQNMGLPEMDHIAQEFAENGIFDADSW >Ma10_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22938781:22949061:1 gene:Ma10_g08610 transcript:Ma10_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDRSGLRLASGEMNQILNSVGNSSGPSVGASSLVTDANSGLSGGPQLQKSTSFNNESYMGLPASPISFSSNFSGSSVMDGCSIVQQSSLQEQVQKQGLSTATSQLVQQEPNNLMNAQKKPRLGVKHEDVLQQQLIQQLLQRHDGMHPQVQQNPQLQTILQQQRLMQRQQHQQQIMQSLSQLQQGPITLQQQQQLRHHLQPQSIQSATLIKRPLDNGICSRRLMQYLFHQRHRPPDNSILYWRKFVAEYFAVRARKRWCLSLYDNMANNTLGVFPQLAVDAWQCGFCGSKSGKGFEATFDVLPRLFQIKFDHGVIDENLFLDMPHESRLSSGIMVLEYEKAVQESVYEHLHIVREGQLRIIFTPELKILLWEFCTRRHEEFLPRRLLAPQVNQLLQVAQKYQATVTENNTAGVSHQDLQTSCNMFAAIGRQLARNFDLQSLNDLGFSKRYVRCLQISEVVSSMKDLIDFSQEQKIGAIESLKNYPRHAAAKHQKQKLDEEQAMSSHALPGDPSTLKKATGIQAGLNNYINNNLAASQAANSSQQGVHALNSFQNMLRNTLNLKQNVLQEEALSSLSGSNHAQPLQFQGSASSVSIDASVSNLSGQHRPQPPLDIRLQQQYNPQNPQVNQHMQQHVIQQMLQEMMNNKGASQQAVIAPNANAGLAAGDVTGGDITGTPVRIDTGSIRNALELQSMPTNLRNNGTGGMGSKSYSFQSAATASNPTISGNIINSRPDLPQNMGLPEMDHIAQEFAENGIFDADSW >Ma02_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29076718:29077781:1 gene:Ma02_g24460 transcript:Ma02_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLPSFTLLLSILVLLISFSRRIKPAAADASETGGCEVSSRCGDAVDISDPFWLSGGGAFCGDPAFEVECTGGLPILANSLGGGSYFIKQIFHRNKSLLVANTQFARSDCPIPHDDSQLGLHDHVDHHFTISTANKVLFLFRNCSKIPPEDHERIRCANDTFAELGESYDYPKPPNWSSECEVVNAPVFPYGAEEESGRTNYEDLLKNGFLVEWWSNPEGCEECRESDGKCGSHHETGDFVCHCPRGWDEPSSCGDCYQSV >Ma08_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8366484:8367535:1 gene:Ma08_g11310 transcript:Ma08_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKRDRDRDRDRLHTLRRPRRPRILHCVAITAVSLLALVGILVLVFWLVVRPSLLRCSVEKAHLQGFNVTDNALSATFNLTLEVHNPNRKVAVYFDRVEVAVWYDGQMLALNEVAPFYQPRRDVRMLKVDLVARSRPLLSSVETSLKQDRSAGEAAVEVRMRAMVRFKVGLLKTDDYTLRAYCLPVLVRFSSSVTQFQKVYCDVYI >Ma03_p18360.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23908947:23913889:1 gene:Ma03_g18360 transcript:Ma03_t18360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALDCRGNQHWSLLHALFLVCANKRSSCRSSDFRQADKPFNSFFPERNSSWKLHTLKLGLILIVFCTFLTFLHSPAAYHNDHSLHAGSRTRFKDVGWLWDKSKPDPRYVSHSNVDWAQIMMCVAQMDASKGNLKIGLLNFNISEINQWRQLLPNAGISVIQLDYAESKITWEVLYPEWIDEEEESEVPSCPNLPEPKFSKGLHLDLIAVKLPCSGSGSWSRDVARLHLQLAAAKVAAGSPAGRHPVHVLFVTDRFPIPNLFTCKNLVMRRGNNWLYKPDLHLLKEKLQLPIGSCQLALPLEAKERPQSDTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRGGLEAAGWKIKTITRIRNPKAERDAYNEWNYSKFRLWQLTEYDKIIFIDADLLILRNIDFLFTMPEITATGNNVTFFNSGVMVVEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWFGDEEEIKAKKIRLFGADPPLLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHATWWKVHDTMPQHLQSFCLLRSKQKAGLEWDRRQAEKANYSDGHWRRNITDPRLKICFEDFCYWESMLWHWGDPNWFDNDTTSDPTPKS >Ma03_p18360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23908947:23913888:1 gene:Ma03_g18360 transcript:Ma03_t18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGAAGAGQSEARHRSGGSPVCANKRSSCRSSDFRQADKPFNSFFPERNSSWKLHTLKLGLILIVFCTFLTFLHSPAAYHNDHSLHAGSRTRFKDVGWLWDKSKPDPRYVSHSNVDWAQIMMCVAQMDASKGNLKIGLLNFNISEINQWRQLLPNAGISVIQLDYAESKITWEVLYPEWIDEEEESEVPSCPNLPEPKFSKGLHLDLIAVKLPCSGSGSWSRDVARLHLQLAAAKVAAGSPAGRHPVHVLFVTDRFPIPNLFTCKNLVMRRGNNWLYKPDLHLLKEKLQLPIGSCQLALPLEAKERPQSDTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRGGLEAAGWKIKTITRIRNPKAERDAYNEWNYSKFRLWQLTEYDKIIFIDADLLILRNIDFLFTMPEITATGNNVTFFNSGVMVVEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWFGDEEEIKAKKIRLFGADPPLLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHATWWKVHDTMPQHLQSFCLLRSKQKAGLEWDRRQAEKANYSDGHWRRNITDPRLKICFEDFCYWESMLWHWGDPNWFDNDTTSDPTPKS >Ma03_p18360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23908947:23913888:1 gene:Ma03_g18360 transcript:Ma03_t18360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGAAGAGQSEARHRSGGSPVCANKRSSCRSSDFRQADKPFNSFFPERNSSWKLHTLKLGLILIVFCTFLTFLHSPAAYHNDHSLHAGSRTRFKDVGWLWDKSKPDPRYVSHSNVDWAQIMMCVAQMDASKGNLKIGLLNFNISEINQWRQLLPNAGISVIQLDYAESKITWEVLYPEWIDEEEESEVPSCPNLPEPKFSKGLHLDLIAVKLPCSGSGSWSRDVARLHLQLAAAKVAAGSPAGRHPVHVLFVTDRFPIPNLFTCKNLVMRRGNNWLYKPDLHLLKEKLQLPIGSCQLALPLEAKERPQSDTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRGGLEAAGWKIKTITRIRNPKAERDAYNEWNYSKFRLWQLTEYDKIIFIDADLLILRNIDFLFTMPEITATGNNVTFFNSGVMVVEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWFGDEEEIKAKKIRLFGADPPLLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHATWWKVHDTMPQHLQSFCLLRSKQKAGLEWDRRQAEKANYSDGHWRRNITDPRLKICFEDFCYWESMLWHWGDPNWFDNDTTSDPTPKS >Ma03_p18360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23908947:23913888:1 gene:Ma03_g18360 transcript:Ma03_t18360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGAAGAGQSEARHRSGGSPVCANKRSSCRSSDFRQADKPFNSFFPERNSSWKLHTLKLGLILIVFCTFLTFLHSPAAYHNDHSLHAGSRTRFKDVGWLWDKSKPDPRYVSHSNVDWAQIMMCVAQMDASKGNLKIGLLNFNISEINQWRQLLPNAGISVIQLDYAESKITWEVLYPEWIDEEEESEVPSCPNLPEPKFSKGLHLDLIAVKLPCSGSGSWSRDVARLHLQLAAAKVAAGSPAGRHPVHVLFVTDRFPIPNLFTCKNLVMRRGNNWLYKPDLHLLKEKLQLPIGSCQLALPLEAKERPQSDTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRGGLEAAGWKIKTITRIRNPKAERDAYNEWNYSKFRLWQLTEYDKIIFIDADLLILRNIDFLFTMPEITATGNNVTFFNSGVMVVEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWFGDEEEIKAKKIRLFGADPPLLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHATWWKVHDTMPQHLQSFCLLRSKQKAGLEWDRRQAEKANYSDGHWRRNITDPRLKICFEDFCYWESMLWHWGDPNWFDNDTTSDPTPKS >Ma03_p18360.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23908947:23913888:1 gene:Ma03_g18360 transcript:Ma03_t18360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGAAGAGQSEARHRSGGSPVCANKRSSCRSSDFRQADKPFNSFFPERNSSWKLHTLKLGLILIVFCTFLTFLHSPAAYHNDHSLHAGSRTRFKDVGWLWDKSKPDPRYVSHSNVDWAQIMMCVAQMDASKGNLKIGLLNFNISEINQWRQLLPNAGISVIQLDYAESKITWEVLYPEWIDEEEESEVPSCPNLPEPKFSKGLHLDLIAVKLPCSGSGSWSRDVARLHLQLAAAKVAAGSPAGRHPVHVLFVTDRFPIPNLFTCKNLVMRRGNNWLYKPDLHLLKEKLQLPIGSCQLALPLEAKERPQSDTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRGGLEAAGWKIKTITRIRNPKAERDAYNEWNYSKFRLWQLTEYDKIIFIDADLLILRNIDFLFTMPEITATGNNVTFFNSGVMVVEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWFGDEEEIKAKKIRLFGADPPLLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHATWWKVHDTMPQHLQSFCLLRSKQKAGLEWDRRQAEKANYSDGHWRRNITDPRLKICFEDFCYWESMLWHWGDPNWFDNDTTSDPTPKS >Ma03_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23908947:23913888:1 gene:Ma03_g18360 transcript:Ma03_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGAAGAGQSEARHRSGGSPVCANKRSSCRSSDFRQADKPFNSFFPERNSSWKLHTLKLGLILIVFCTFLTFLHSPAAYHNDHSLHAGSRTRFKDVGWLWDKSKPDPRYVSHSNVDWAQIMMCVAQMDASKGNLKIGLLNFNISEINQWRQLLPNAGISVIQLDYAESKITWEVLYPEWIDEEEESEVPSCPNLPEPKFSKGLHLDLIAVKLPCSGSGSWSRDVARLHLQLAAAKVAAGSPAGRHPVHVLFVTDRFPIPNLFTCKNLVMRRGNNWLYKPDLHLLKEKLQLPIGSCQLALPLEAKERPQSDTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRGGLEAAGWKIKTITRIRNPKAERDAYNEWNYSKFRLWQLTEYDKIIFIDADLLILRNIDFLFTMPEITATGNNVTFFNSGVMVVEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWFGDEEEIKAKKIRLFGADPPLLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHATWWKVHDTMPQHLQSFCLLRSKQKAGLEWDRRQAEKANYSDGHWRRNITDPRLKICFEDFCYWESMLWHWGDPNWFDNDTTSDPTPKS >Ma03_p18360.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23908947:23913888:1 gene:Ma03_g18360 transcript:Ma03_t18360.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGAAGAGQSEARHRSGGSPVCANKRSSCRSSDFRQADKPFNSFFPERNSSWKLHTLKLGLILIVFCTFLTFLHSPAAYHNDHSLHAGSRTRFKDVGWLWDKSKPDPRYVSHSNVDWAQIMMCVAQMDASKGNLKIGLLNFNISEINQWRQLLPNAGISVIQLDYAESKITWEVLYPEWIDEEEESEVPSCPNLPEPKFSKGLHLDLIAVKLPCSGSGSWSRDVARLHLQLAAAKVAAGSPAGRHPVHVLFVTDRFPIPNLFTCKNLVMRRGNNWLYKPDLHLLKEKLQLPIGSCQLALPLEAKERPQSDTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRGGLEAAGWKIKTITRIRNPKAERDAYNEWNYSKFRLWQLTEYDKIIFIDADLLILRNIDFLFTMPEITATGNNVTFFNSGVMVVEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWFGDEEEIKAKKIRLFGADPPLLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHATWWKVHDTMPQHLQSFCLLRSKQKAGLEWDRRQAEKANYSDGHWRRNITDPRLKICFEDFCYWESMLWHWGDPNWFDNDTTSDPTPKS >Ma03_p18360.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23908947:23913888:1 gene:Ma03_g18360 transcript:Ma03_t18360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGAAGAGQSEARHRSGGSPVCANKRSSCRSSDFRQADKPFNSFFPERNSSWKLHTLKLGLILIVFCTFLTFLHSPAAYHNDHSLHAGSRTRFKDVGWLWDKSKPDPRYVSHSNVDWAQIMMCVAQMDASKGNLKIGLLNFNISEINQWRQLLPNAGISVIQLDYAESKITWEVLYPEWIDEEEESEVPSCPNLPEPKFSKGLHLDLIAVKLPCSGSGSWSRDVARLHLQLAAAKVAAGSPAGRHPVHVLFVTDRFPIPNLFTCKNLVMRRGNNWLYKPDLHLLKEKLQLPIGSCQLALPLEAKERPQSDTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRGGLEAAGWKIKTITRIRNPKAERDAYNEWNYSKFRLWQLTEYDKIIFIDADLLILRNIDFLFTMPEITATGNNVTFFNSGVMVVEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWFGDEEEIKAKKIRLFGADPPLLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHATWWKVHDTMPQHLQSFCLLRSKQKAGLEWDRRQAEKANYSDGHWRRNITDPRLKICFEDFCYWESMLWHWGDPNWFDNDTTSDPTPKS >Ma06_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10722732:10725480:-1 gene:Ma06_g15810 transcript:Ma06_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49170, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49170) UniProtKB/Swiss-Prot;Acc:Q5G1T1] MRCALPLPAKIYPQNHSHPYCQRNPTVAASVTSPPPRIPTKHPSLEPLKHRLIRLADAGRVDDAISTLDLMSDRGVPADLVSYSVLLRSCIRSRDLVRGRIVHRRLQDSGLRLDSLVTNSLIALYSKCGEWEVACSIFEEMGSRRDVVSWTALISCAARNGMEEKAILLFCEMLHTGIIPNEYSFCGVIQACSKSEHDWVGRVVLGFVIKMGFFWWDPSVGCALIDMFARRQDLSSARKVFDGMHERNTVVWTLLITRYGQHGRGRDAIGLFPDMLHDGFEPDRFTISSVISACSDSESLEFGRQMHSLAIRYGLASDACVGCSLIDMYAKCTSGRSTEDSRKVFDRMSEHNVMSWTAVISGYVQSGGHDKAAIELFCKMMEGRVRPNHFTYSSILKACANLSDLQLGKQIHAQVTKSSLAYVNFVGNSLVSMYARAGRMEEARRAFSLLYEKNVVSYNAIVDGYAKNSDCEEALELLYQIESMDVGASAFTFASLLSAAASVGMMGKGQQLHAQMLKAGFGSDVGVGNSLVSMYSRCGSIDDACRAFGEMGDRNVISWTAMITGFAKHGDAARALGLFHDMVATGTKPNAVTYVAVLSACSHAGLIEEGWEHFRAMQRDHGVAPTMEHYACMVDLLGRSGRVEEAFGFVKSLPAEADALVWKTLLGACRVHGDIGLGEIVAKNILELAPEDPAAYVLLSNLYAEAGRWEDVAMIRRGMKERRLSKEAGLSWVDMENSIHKFHVGDTSHPQAREIYAKLDELFAEIKEMGYVPNTNFVLHDVEEEMKEQYLLQHSEKIAVAFGLINTSAPKPIRVFKNLRVCGDCHDAIKYISKATGREVILRDSNRFHHICNGECSCGDYW >Ma08_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1459508:1463847:-1 gene:Ma08_g01750 transcript:Ma08_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHFLTTLRSLKLIDGCKGTQVYAVNPAVSNSNAPSSSSSDKPLLRSTFSLRNKSIQGCNGGGGGGGGLHHCPSYLLLDSLLPFGLPSADRIDPPVDPFLRPVDPVSALSDSFRRLSSTSDANSGTGAERLLLLCDLYLEQHSLLRPLADPKLLRRTLRSARLHAPDTHHRVVLSAWLRFERREDQLFPSSFPSPLSACTTTSPSLECPRAALLSTSNDLLCPCRRPPPVPSPSPSSSSSSFRRHEPEEGADADVWFCIGDDEVPCVRSNIAALSKPLSTLLCGGFAEAQRERISFTHNGISARGMKAVDVFSRTGRLDEFPPDTVLELLAFANKFCCEGLKSACDLKLAYLVRSLDDALLLVEYGLEEMAYLLVAACLQVFLRMLPKSLGDTEITRLLCTQEGRKRLDAAGHASFVLYHLLSQVAMEEDMKSNTTVMLLERLVETAAPGWQKQLALHQLGCVMLERGEYKDAQRWFAKATSEGHVYSQVGVARAKFKKGHKYSAYKLASGLIDEHEHVGWMHQERSLYCIGKEKMADLRIATKLDPTLAYPYKLRAIALMEDDKVGAAIAEINKIIGFKVSTDCLELRAWFLLALEDYEGALQDLRALMTLNPNYMMFHGKLHGDQLIDILQQNVKLWDMADCWMQLYDRWSAVDDIGSLAVVHQMLAKEPMNSSLRFRQSLLLLRLNCQKAAMHSLRLARNHSTHEHERLIYEGWILYDTGHRDEALAKSEESLSLQRSFEAFFLKAYALADSSLDPASSSYVIQLLEQANSCASDNLRKGQAHNNMGSIYVDCDMLDEAAECYLKALGIKHTRAHQGLARVYYLKNQKKAAYDEMTKLIEKAKNNASAYEKRSEYCDRDMAKSDLNMATRLDPLRTYPYRYRAAVLMDEHKEHDAIAELSGAIAFKPDLQLLHLRAAFYDSIGDSASTSRDCEAALCLDPTHSDTLDLYKKALGTADPQST >Ma11_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26649676:26653889:1 gene:Ma11_g23230 transcript:Ma11_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSSRTSRPDAIVDTRFCASYPIDLTFFIGASWLNCDRLAVTDTNGNVVFKVVVRKRSLRSWHVVVDASGKPVISMREKLRSVHDRWQVFKGDSSDPKYLLFSVKRSSSLQVNTVLDVFLAANIKEEIMSKEYKLVNSSVSKDAFGVAVNPNTDFSFITALAIIRHEFFKVDAVTSDEISFAISAAVGGS >Ma00_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12356475:12356666:1 gene:Ma00_g01790 transcript:Ma00_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKDVLQLTPDEDEEACLYAMQLLGGSVLGMTLKAAVELKLLETIVRAGPGAVLSPSEIAAK >Ma07_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6405160:6406191:-1 gene:Ma07_g08610 transcript:Ma07_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKQKAWLWEKKQQQDALPKYANPVLLSRDLKRKAETLDRFCKPIMTKLRPPPAKPQPFPTETPPAQPQTAEQQQVTDGSSPTPPTTASEPMDTDKSV >Ma03_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25281960:25284492:1 gene:Ma03_g20100 transcript:Ma03_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEDNVTLGPAVREGEQVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Ma08_p30730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41885715:41894180:1 gene:Ma08_g30730 transcript:Ma08_t30730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDEPDEAEELGGRQKAEIAKWFLANAPAGEIQYVAKDMRSILGDDAIYEMAAAEAYPVYNKAHLIALQMPDRSGDVLITAYGELDKNNYFDPRTAQVATVDHVKQVCTNVRPASDEELPSPYIEEFRSTLADELSKYVGETYPKGTCAVYCISGKDAEGPGADFEFVIVISATKYSPQNFCNGRWQSTWNVDFKDDLQFVEIKGKILVGSHYFEEGNVHLDAKNEFKDSTIFQSPEDCAASITNIICHHETEFMASLEASYVHLPDTTFKDLRRKLPVTRTLFPWHSTLQFSLTRDITRELEIGQ >Ma08_p30730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41885715:41894180:1 gene:Ma08_g30730 transcript:Ma08_t30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDEPDEAEELGGRQKAEIAKWFLANAPAGEIQYVAKDMRSILGDDAIYEMAAAEAYPVYNKAHLIALQMPDRSGDVLITAYGELDKNNYFDPRTAQVATVDHVKQVCTNVRPASDEELPSPYIEEFRSTLADELSKYVGETYPKGTCAVYCISGKDAEGPGADFEFVIVISATKYSPQNFCNGRWQSTWNVDFKDDLQFVEIKGKILVGSHYFEEGNVHLDAKNEFKDSTIFQSPEDCAASITNIICHHETEFMASLEASYVHLPDTTFKDLRRKLPVTRTLFPWHSTLQFSLTRDITRELEIGQ >Ma09_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2874837:2880167:1 gene:Ma09_g04370 transcript:Ma09_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQEWPEPIVRVQSLADAEAIPERYIKPPSERPNLNPGTAMAAGETECLPVVDLGGLRGGAAERQATMRAVSDACREWGFFQAVNHGVSPALTAAVMEAWRQFFHLPMAEKQAYANSPTSFEGYGSRLGVKKGAILDWGDYFFLQLLPQSIKNYDKWPTLPASLRETTEAYGDELVKLCGVIKEVLSLTLGMDEGFLHRGFGEPGACLRVNFYPKCPQPELTLGLSPHSDPGGMTVLLTDEHVKGLQVRKGDDWITVQPIPDALIVNIGDQIQVLTNATYKSVEHRVTVNATTERLSLAFFYNPDDDLPIEPAAELVTPTSPPVYKPMTFKEYKMYMRMLGPCGKSHVDLHKAA >Ma10_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31183785:31189067:-1 gene:Ma10_g21320 transcript:Ma10_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRKIMDLKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTDESLKNYKGERVGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDVLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYAAKFGVDEAKMMERLWGENYFDPATKKWTTKSTGSPTCKRGFVQFCYEPIRQIISTCMNDQKDKLWPMLQKLGVTMKSDEKDLIGKALMKRVMQTWLPASSALLEMMIFHLPSPAKAQRYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKETDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKARSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGAVAEENMRAICFEVCDVVLHADAIHRGGGQIIPTARRAIYAAQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEPGSQAGQLVSDIRKRKGLKEQMTPLSEFEDKL >Ma01_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13873157:13877948:-1 gene:Ma01_g18580 transcript:Ma01_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAAAAAAVGCRPPFTATQWQELEHQALILKYLMAGVPVPPELIIPIRRSFEALPGRYYHPSLSYYSYYGKKPDPEPGRCRRTDGKKWRCSKDAYPGSKYCERHMHRGRNRSRKPVESQTVSPSQTSSSTLTSLSPSGSNAGGTGVGSLRSITLQSIAGPSNAQNSCLGGGASSSRLPMDTDSLGNRYSSGLKPDVDERSFFSEASGTARALGVDSSVDSSWLLMPPQASSFPMSKSQDLSILHSAYSQLQTVQDLGRAKMSSLSRQEQQQHSFFGSEFGSSEPVKHETQFLRPFFDEWPKTRDAWSDLEEDRSNRTSFSTTQLSISIPMAASDFSSTSSRSPNGGSLS >Ma11_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6844747:6847181:-1 gene:Ma11_g08610 transcript:Ma11_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGREQDQVEEGGGGGRGGGGGGGMWRPVALELRELWAMAAPITAMNCLVYLRAMVSVVCLGRLGPLELAGGALSIGFTNITGYSVLFGLASGLEPLCSQAYGSRNWELISLSLQRTILLLLLAAVPIAVLWVNLGPILVALGQDPAITAAAATYCLHSLPDLLTNALLQPLRVFLRSQGITRPMAACTAAAVLLHIPLNILLVFVLRLGVPGVALAAVVTNLNMALFLLAYLRLSRACELSWGGWSRLALRGLSPVLRLALPSCVGVCLEWWWYEIMTVLAGYLPDPTSSVAATAVLIQTTSLMYTVPMSLAACVSTRVGNELGAGRPKRAKTAALVALGCAAVIGVINVVWTTAFREQWAKLFTADASVLRLAAAALPLVGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVGLAFQLRVGFGGLWYGLFTAQAVCVVLVLAVVLLKTDWEVEALRAKKLTTLEVAVISEEKKGLIASDRDDDGAVVGV >Ma09_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23838880:23859887:-1 gene:Ma09_g19450 transcript:Ma09_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGCCRMDNFSPEKDEFLKEFYIPSYIFLPELPTRHPSFVPSCPVVVFINTKSGGQLGSALLATYCNLLNKLQVFNLKEEAPDKVLHKLYGNLEKFKSNGDHLAAEILNRLRLIVAGGDGTASWLLGVVCDLRLARPPPIITVPLGTGNNIPFSFGWGMRNPGIDCQSVKSFLEQVMKAREMKIDSWHIIMRMRVPKEGSCDPILPLELPHAMHAFQRVSESDSLTMERHQTFRGGFWNYFSIGMDAQVSYAFHHKRKKHPEKFKNQLANQRTYLKLGCTQGWFCTSLFQPTSRNIACLAKVKIMKKTGHWEKLHIPNSIRSIVCLNLPSFSGGLNPWGTPDKKKQRDRDLTPPFVDDGLLEVVGFKDGWHGLVLLAPGGHGTRLAQARGIQFKFRKGAGDHAYMRIDGEPWKQPLPQDKDEVVVEISHLGQVSILATDNCIAKSVYDVSTQPDEDSTSEDSDNSYSEERKNFGAADAFRYYDDIDRTCIS >Ma02_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23964386:23965622:-1 gene:Ma02_g16740 transcript:Ma02_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATQASVAALRPCSSRSRFMTGSSGKLAREFAARSSPSSSYRSLKVEAKKGEWLPGLASPTYLDGSLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKYPGSVNQDPIFKNYSLPPNEVGYPGGIFNPLNFAPTLEAKEKELANGRLAMLAFLGFIIQHNVTGKGPFENLLQHLSDPWHNTIIQTFQG >Ma10_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32319515:32319875:1 gene:Ma10_g23300 transcript:Ma10_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCDEEERVLGTHQAPGSCPFCGGVVMATDVESAWRFCFLPLYFHTKRRFYCTLCTRRLVAYP >Ma10_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20104579:20107333:1 gene:Ma10_g06670 transcript:Ma10_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNCLGWDGLGHAALRQAVAASGPYLLCAAAFFLLWEQLSYLRKKGSLPGPHFVVPFLGSALPMIMNPTRFWERQAALARDSGLGVSANFLVGRFIVFVRSTELSHKVFANVRPDAFHLIGHPFGKKLFGEHNLIYMFDQKHKDLRRRIAPNFTPRALSTYINIQQRVILAHLHDWLARSAPSPKPIALRLLCRDLNLETSQTVFAGPYLSAAAREQFNRDYNLFNVGLMAIPFDLPGFAFRRARLAVSRLIRTLSGCVAQSKERMRAGDEPSCLIDFWMQETLRVVAEAEAAGTRPPPENGDEEIGGHLFDFLFAAQDASTSSLLWAVALLDAHPDVLARVRGEVAALWAPESGAPITAEQVQQMRYTQAVAREVVRYRPPATMVPHIAGQPFPLTEWYTVPKGAIVFPSAYESSFQGFTEPDRFDPDRFSELRAEDRVHKRHFLAFGAGAHQCVGQRYAINHIVLFIALFTSLVDFERHRTDGCDEIAYVPTIVPKDDCTVHLSRRGAPSL >Ma05_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8044549:8045156:1 gene:Ma05_g11100 transcript:Ma05_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRSNKLPQAAAIKQILKRCSSLGRRQQPADVPKGHFAVYVGESRSRFIVPISYLTYPEFQSLLRQAEEEFGFDHDMGLTIPCEEVVFRSLTSMLR >Ma03_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11595770:11601907:-1 gene:Ma03_g14440 transcript:Ma03_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSASPHGEMKDEDRVYVAVEKDVREGRANFVWVLQNTAEERKITVVHVHRTAQKVPTALGWFPANQLEEQEVTAYRNIEREKMHKCVDEYLNMCASVKVQKAEKLVIERDDVGKGLVELIALHGITKLVMGAAEDRYYSRRMKAPKSKTALAVQEHADPSCKIWYICKGNLICTRDASLDGPIITQSPTGTPNSISSQSEMQRSRLLLHEAQGKRVNVSSLTPMMQDLFSQRSRSTNFTPCPELTMSASPAEGLVESSISGSIEGSVIDPWDDISRGSEFAEAAGRMTSLPVKPQDVDKEDGSLVLPSGEDLFRFPQHDLQDRGVDEVMHKRLQAALSEAKDSKREAYEELFKRQKAEKNFNNSMQKVGVIEILYTREVKLRKEIEETLEKEKVELLTLKQQRDEIHEELQKARQKMEELQPQISDSEQTLKDARGKLSEAYNHLDSIRQVHEVICQERDDAVRENEKLHQKKGDATICTHRAETFSEFSLLELVQATENFHESSKIGEGGYGCVYKGFLRHTTVAIKRLDPRGMQGKTEFQREMDTLSNIRHPNLVTLIGACPEALALVYEFLPNGNLEDRLTCMDNTPSLTWQTRIRIAVEICSALVFLHSCKPPNIVHGDLKPANILLDENFVSKLGDFGIWRLLIQSINSTILYHCTRQPKGTFAYMDPELLTSREITAKSDVYSFGVILLRLLTGRPALGISRVVQEALDMKCLDKIFDASAGDWPYVQAEKLAKLGLKCCEMNTRNRPDAKEAWRILEPLMKSVSFVRLSTSSIRLVPEDSSSSIPSYFICPIFKEIMRDPQIAADGFTYEAEAIEGWLRGGGDTSPMTNLRLSHHELIPNYGLRSAIQAWLQQQN >Ma06_p32130.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33094949:33097726:1 gene:Ma06_g32130 transcript:Ma06_t32130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDGEERAWEELFPDALGLIFRNLSLQEILTVIPRVCKSWGRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLHMLIARSSGSVRRLSVSGLHTESMFSFIADHAGSLQRLELPRSEISDSIVEIIAPRLSNITFLDVSYCRKIGARAIEAFGKHCKSLVSLRRRMHPLEVADKVCQDDEAYAIARSMPKLHHLETAYLLLTTRGVLEILSQCRDLEYLDLRGCWEVKLDDKYLKEWHSGLKVLGPDIIDCYEQNCWDECSDYSDSSVYSWEFMEDGEDVYEGVSDNDSIWEAEQGLEGLEVRFYGGGFTDAVTGFDWPPSP >Ma06_p32130.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33094917:33097726:1 gene:Ma06_g32130 transcript:Ma06_t32130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDGEERAWEELFPDALGLIFRNLSLQEILTVIPRVCKSWGRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLHMLIARSSGSVRRLSVSGLHTESMFSFIADHAGSLQRLELPRSEISDSIVEIIAPRLSNITFLDVSYCRKIGARAIEAFGKHCKSLVSLRRRMHPLEVADKVCQDDEAYAIARSMPKLHHLETAYLLLTTRGVLEILSQCRDLEYLDLRGCWEVKLDDKYLKEWHSGLKVLGPDIIDCYEQNCWDECSDYSDSSVYSWEFMEDGEDVYEGVSDNDSIWEAEQGLEGLEVRFYGGGFTDAVTGFDWPPSP >Ma06_p32130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33094603:33097726:1 gene:Ma06_g32130 transcript:Ma06_t32130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDGEERAWEELFPDALGLIFRNLSLQEILTVIPRVCKSWGRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLHMLIARSSGSVRRLSVSGLHTESMFSFIADHAGSLQRLELPRSEISDSIVEIIAPRLSNITFLDVSYCRKIGARAIEAFGKHCKSLVSLRRRMHPLEVADKVCQDDEAYAIARSMPKLHHLETAYLLLTTRGVLEILSQCRDLEYLDLRGCWEVKLDDKYLKEWHSGLKVLGPDIIDCYEQNCWDECSDYSDSSVYSWEFMEDGEDVYEGVSDNDSIWEAEQGLEGLEVRFYGGGFTDAVTGFDWPPSP >Ma06_p32130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33094489:33097726:1 gene:Ma06_g32130 transcript:Ma06_t32130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDSRDVASSAASTDMAEGEDGEERAWEELFPDALGLIFRNLSLQEILTVIPRVCKSWGRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLHMLIARSSGSVRRLSVSGLHTESMFSFIADHAGSLQRLELPRSEISDSIVEIIAPRLSNITFLDVSYCRKIGARAIEAFGKHCKSLVSLRRRMHPLEVADKVCQDDEAYAIARSMPKLHHLETAYLLLTTRGVLEILSQCRDLEYLDLRGCWEVKLDDKYLKEWHSGLKVLGPDIIDCYEQNCWDECSDYSDSSVYSWEFMEDGEDVYEGVSDNDSIWEAEQGLEGLEVRFYGGGFTDAVTGFDWPPSP >Ma06_p32130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33094949:33098071:1 gene:Ma06_g32130 transcript:Ma06_t32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDGEERAWEELFPDALGLIFRNLSLQEILTVIPRVCKSWGRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLHMLIARSSGSVRRLSVSGLHTESMFSFIADHAGSLQRLELPRSEISDSIVEIIAPRLSNITFLDVSYCRKIGARAIEAFGKHCKSLVSLRRRMHPLEVADKVCQDDEAYAIARSMPKLHHLETAYLLLTTRGVLEILSQCRDLEYLDLRGCWEVKLDDKYLKEWHSGLKVLGPDIIDCYEQNCWDECSDYSDSSVYSWEFMEDGEDVYEGVSDNDSIWEAEQGLEGLEVRFYGGGFTDAVTGFDWPPSP >Ma06_p32130.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33094530:33097726:1 gene:Ma06_g32130 transcript:Ma06_t32130.7 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDSSCLYRDVASSAASTDMAEGEDGEERAWEELFPDALGLIFRNLSLQEILTVIPRVCKSWGRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLHMLIARSSGSVRRLSVSGLHTESMFSFIADHAGSLQRLELPRSEISDSIVEIIAPRLSNITFLDVSYCRKIGARAIEAFGKHCKSLVSLRRRMHPLEVADKVCQDDEAYAIARSMPKLHHLETAYLLLTTRGVLEILSQCRDLEYLDLRGCWEVKLDDKYLKEWHSGLKVLGPDIIDCYEQNCWDECSDYSDSSVYSWEFMEDGEDVYEGVSDNDSIWEAEQGLEGLEVRFYGGGFTDAVTGFDWPPSP >Ma06_p32130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33094949:33097726:1 gene:Ma06_g32130 transcript:Ma06_t32130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASSAASTDMAEGEDGEERAWEELFPDALGLIFRNLSLQEILTVIPRVCKSWGRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLHMLIARSSGSVRRLSVSGLHTESMFSFIADHAGSLQRLELPRSEISDSIVEIIAPRLSNITFLDVSYCRKIGARAIEAFGKHCKSLVSLRRRMHPLEVADKVCQDDEAYAIARSMPKLHHLETAYLLLTTRGVLEILSQCRDLEYLDLRGCWEVKLDDKYLKEWHSGLKVLGPDIIDCYEQNCWDECSDYSDSSVYSWEFMEDGEDVYEGVSDNDSIWEAEQGLEGLEVRFYGGGFTDAVTGFDWPPSP >Ma04_p36990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35108579:35110320:-1 gene:Ma04_g36990 transcript:Ma04_t36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF4.1 [Source:Projected from Arabidopsis thaliana (AT4G00940) UniProtKB/Swiss-Prot;Acc:Q9M161] MDATQWPQGIGLMKPMEESASSITTTAGGNTCTTARSQEMERRPRPHKEQALGCPRCSSTNTKFCYYNNYSLTQPRYFCKSCRRYWTDGGSLRNVPVGGGSRKNKKSSLISSTTTKSSSSSSSSYAAAAAALPTASTLFIPPSASLSTSPEAPKFHEARQDLHQHSHPGNTFATVAPLSTMELLKSEMEARNHLGPFMPEYPSGFGLQQLRPPTLTFPLDGIAGYRSLPGIQANASGKLLFPFEDLRPVVPSINVSDQFHQNKEQGSDPPGFWHGIIGGGGGGGSW >Ma09_p01760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1306679:1317004:-1 gene:Ma09_g01760 transcript:Ma09_t01760.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MOCS3 MDSKEIGSGSSGADLLREIERLRSEKEELESRIHLLEAQIKPRGAAEKDKSGSCSLSSLSCSQMNGATISGLSPEMIHRYSRHLLLPDFGVEGQLKLSKSSILVVGAGGLGSPVAMYLAACGVGCLGIVDSDIVELNNLHRQIIHTEAYVGQSKVKSAAFSCHAINSSVKLVEHREALQAVNALDIVSKYDIVVDATDNLPSRYMISDCCVLLDKPLVSGAALGLEGQLTVYNYNGGPCYRCLFPTPPPSAACQRCSDSGVLGVVPGVIGCLQALEAIKVASAVGEPLSGRMLLFDALSSRTRTVKIRGRSPACLICGENAAFTREKFQSFDYENFTQSPMSDKSSEKLNLIPESSRITAIPKSMNIPLSNLEDKLSTIDSALKQAAQDSGKCASLFVVCRRGNDSQRAVDYLSKNGFPSAKDIVGGLQSWSQDVDHTFPSY >Ma09_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1306679:1317004:-1 gene:Ma09_g01760 transcript:Ma09_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MOCS3 MDSKEIGSGSSGADLLREIERLRSEKEELESRIHLLEAQIKPRGAAEKDKSGSCSLSSLSCSQMNGATISGLSPEMIHRYSRHLLLPDFGVEGQLKLSKSSILVVGAGGLGSPVAMYLAACGVGCLGIVDSDIVELNNLHRQIIHTEAYVGQSKVKSAAFSCHAINSSVKLVEHREALQAVNALDIVSKYDIVVDATDNLPSRYMISDCCVLLDKPLVSGAALGLEGQLTVYNYNGGPCYRCLFPTPPPSAACQRCSDSGVLGVVPGVIGCLQALEAIKVASAVGEPLSGRMLLFDALSSRTRTVKIRGRSPACLICGENAAFTREKFQSFDYENFTQSPMSDKSSEKLNLIPESSRITGKEYNEIVNTGEPHVLVDVRPIHHFKIAAIPKSMNIPLSNLEDKLSTIDSALKQAAQDSGKCASLFVVCRRGNDSQRAVDYLSKNGFPSAKDIVGGLQSWSQDVDHTFPSY >Ma04_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2588500:2592050:-1 gene:Ma04_g03350 transcript:Ma04_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGGGSGGERWKAAIMNLSEMGANLDAMQKLMAKKAVFVDEETFVKASLISEQGRTIKVLEHRVEALEKELDAAIAAAARARSDKRQAEAAQRAAELHAQDLTRELENTTNVFKLHMEELRSQKEEISKKESEIKLLEAIIQTISKNGVDSDD >Ma05_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18106824:18107433:1 gene:Ma05_g16760 transcript:Ma05_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLALREDQPASLMENSTHDEKRLYEKWDRSNRMSLIIIKRSILEAFRGAVSEGTTEAKDFFTEIEKRFLKYDKAETNTLLQSLFSMSYNYQKDKWSLNELISYYVQEEERLKQEKTKSANLASTSKDKGMNIKYKSGKNKADKGPIQKR >Ma06_p16520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11181562:11190535:-1 gene:Ma06_g16520 transcript:Ma06_t16520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRNRPSNEVLLGQLKDGTACFELLQPPAALAPTGVRFFARIGSSLAGDLPATKKVEHYTVQKVKGDGRCMFRALVKGMANNKGLVLNPRQETDDADDLRMAVKEVICDGETERPQYEEALIAITVDESLKRYCQRIERSDFWGGESELLVLSKLCQQPIIVYIPEHEHTHGTWGNGFIPITQYGSEFGKKLRNGKQRAPVRLLFSGRNHYDLLV >Ma06_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11181562:11190599:-1 gene:Ma06_g16520 transcript:Ma06_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRNRPSNEVLLGQLKDGTACFELLQPPAALAPTGVRFFARIGSSLRAGDLPATKKVEHYTVQKVKGDGRCMFRALVKGMANNKGLVLNPRQETDDADDLRMAVKEVICDGETERPQYEEALIAITVDESLKRYCQRIERSDFWGGESELLVLSKLCQQPIIVYIPEHEHTHGTWGNGFIPITQYGSEFGKKLRNGKQRAPVRLLFSGRNHYDLLV >Ma09_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2960512:2961423:-1 gene:Ma09_g04540 transcript:Ma09_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPATEFCTAHEVPEAVARHHEHAVGTNQCCSAVVQTVTAPVAAVWAVVRRFDRPQAYKHFVKSCDVVVGDGDVGTLREVRVVSGLPAATSTERLEILDDERHVLGFRVVGGEHRLANYRSVTTLHPDDDGGGRTVVVESYVVDVPPGNTTEETRVFVDTIVKCNLRSLARIAGSLQVRRRRATHN >Ma08_p26430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38990120:38994683:1 gene:Ma08_g26430 transcript:Ma08_t26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVTHPTVSQKVAGQFHLRSYFSQDLHARNRSFYGPSLYERRITTGNFINQDFQKPYMHCGIPTVTSVSPVFANAPAEKGFASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGITDCFARTIRDEGVGSLWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKTGGERQFNGLVDVYRKTLQSDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGKLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLVLFGKKYGSGGA >Ma08_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30558123:30560590:1 gene:Ma08_g18440 transcript:Ma08_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENDSDFISRVIQSSSEQESNWICVDYDFLESSGNKKRPLDEEVEEQEQEDQVQVKRKKSPQHPDTPDIKDVQHALENSDNHGNDVDYNDPSTFINPLDRELTISCLLHLSRSYYGTVACINSSFCSLIRSGELYQLRRQMEIAEHWVYFSCSVLEWEAYDPYRACWITVPKMPPTESFMCSDKESLAVGTDLLVFGRELNSYIVLRYSILTNSWSPGVVMNSPRCLFGSASLGEKAIVAGGTNAQGDILSSAELYNSETQTWETLPSMNKARKMCSGVFMDRKFYVIGGMDSNKEMLTCGEEYDLARGSWRVIPNMSAGLNGASGAPPLVAVVNNDLYAAHYADKEVRKYNKDNNAWVTLGKLPERSVSVNGWGLAFRACGEWLIVIGGPRGSHGGIIELNSWIPNEGPPEWNMIASKHSGSFVYNCAVMGC >Ma09_p17090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12558881:12560477:1 gene:Ma09_g17090 transcript:Ma09_t17090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVHPNAAAAAAESPTAEASCDGRARAAEATALTVWRKSLLFNGNGFTVFDSKGNLVFRVDNYSSGSSDEIVLMDAAGKPLLTIRKKKLSLGDHWLVYDGEEAVNPRFAVKKHKGLLHSTKALARVTAPCTSGSKSRLVYDVEGSYSRRCCVVYDDRRRQLAEIKKKESAQGIALGLDVFRLIVEPELDAAFAMAMVILLEQMFGSRRSLLGEACVFMRL >Ma09_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12558881:12560477:1 gene:Ma09_g17090 transcript:Ma09_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVHPNAAAAAAESPTAEASCDGRARAAEATALTVWRKSLLFNGNGFTVFDSKGNLVFRVDNYSSGSSDEIVLMDAAGKPLLTIRKKRVFMMQKLSLGDHWLVYDGEEAVNPRFAVKKHKGLLHSTKALARVTAPCTSGSKSRLVYDVEGSYSRRCCVVYDDRRRQLAEIKKKESAQGIALGLDVFRLIVEPELDAAFAMAMVILLEQMFGSRRSLLGEACVFMRL >Ma09_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1760176:1764329:-1 gene:Ma09_g02500 transcript:Ma09_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEDNVTLGPAVREGEQVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Ma01_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21321175:21323778:1 gene:Ma01_g21540 transcript:Ma01_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSLLRRSLRLHLSPALFRRHGRSLFTSSPLAASSALSLSPSHGAIPRGLRPPSSLLFPRFASSNISGDENLKRVIDSEIQCARELDNHGQEVDVPENFPFEIVDSPGDQAVFLKREFAGENIQVTVLMNFDEQDDMEENDEDDGDDESNESSMEPSVSLVVTIEKGESSLLEFCCNLNAHELEIESMVIKKRDDPDDQGAYQGPEFSDLDENLQKALHKYLEVRGIESSLFDFLHEYMLAKDEREYLTWLKNMKEFVAK >Ma11_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2478437:2479380:1 gene:Ma11_g03310 transcript:Ma11_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKIYRFDEVAKHDDAEDCWLIVSGKVYDVSSYMAEHPGGRDVLLSATGKDATVEFDNVGHSSSGRELMDNYCVGTIDSSTLPKDGDRVKPQQAPEGSADGTPEFVMRILQFIAPVMILGFAFVVRHFTK >Ma04_p36460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34835621:34837678:-1 gene:Ma04_g36460 transcript:Ma04_t36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFLTQDGVNLLDKRFLPALDKHGRICHVYLTPDHAMFLHNLLGGDGVQSVAQFNKDVLFRDYRISSQNAGRIAFAVDVALLHRALRSALTIQAQARDDTAAIQIKLVKKLAAGSRDPAPFLTFETKGRVSAVVQDVPISKPLPRDDVQQLQSALEAAQDLPQTLVQVQDLAQLQSLVDRLRNVGDLLTVAITQYGDLHLQVSTSLITVGSEFRRLRVLGVRADPPIGDRTLSAPTRTEMAIQKGEGASVQVSLKHLAKSLQCHLAKPDCAFYGIAPQGACLTVIFQFFIPGTRLTDKSISFYCRLPVLDPGSGP >Ma02_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13606625:13608810:1 gene:Ma02_g02370 transcript:Ma02_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTNAPAGFWEWPDVQILTAGERRIPAHSKVLASASPVLESMLDQPRQRGGEERVISILGVPCGAVDVFVRLLYSSRCVPPAAEMGEHGVHLLVLSHVYQVGWLKRACEMALASGLAAESVVDLLVLARRCDASWLHLRCMRVITGDFAAVERTEAWRFLQDNDPWLELDILQSQQDARLRQKRQRRKREEQALYAELSEAMECLQHICTDGCTDVRPSGREAPPRDRTHCPNPTTCRGLQQLIRHLPACSRKQQQQLGCTRCKRLWQLLRLHASICLHPDPCSVPLCSQFKRKMEQMNFKEEEEDVKWRLLAKKVASARVMCYLARRNAVPVSLAEAELID >Ma08_p14410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12715589:12727410:-1 gene:Ma08_g14410 transcript:Ma08_t14410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPGDYVYFKSQVPLHKIFIENKQWRYYDFGPKTVPPLICIPGIAGTTDVYYKQIMSLSMKGYRVISVDVPHVWSHHEWAHAFEKFLDTLSIYHVHLYGTSLGGFLALVFAQHRPRRVKSLVLSNAFLETHKFAASMPWSSVVNWTPSFLLKRYILSGIRDGPHEPFIADSVDFVVAQVETLTREDLSSRLKLNVNVASVGPLLLSDSFITIMDTNDYCAIPQQLKDQVSERFPGASQAILKTGGDFPFLSRSDEVNLYLQPDKVQDSLEDGGGDDTSNYDNPSGHGESGATDDPQQPEPMGPSGASNGNLQPEYTGPSDHSLNASFLRRETGSMKVLDSLIHYRASFIYRHIVAVQHCKSIKI >Ma08_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12715589:12727410:-1 gene:Ma08_g14410 transcript:Ma08_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPGDYVYFKSQVPLHKIFIENKQWRYYDFGPKTVPPLICIPGIAGTTDVYYKQIMSLSMKGYRVISVDVPHVWSHHEWAHAFEKFLDTLSIYHVHLYGTSLGGFLALVFAQHRPRRVKSLVLSNAFLETHKFAASMPWSSVVNWTPSFLLKRYILSGIRDGPHEPFIADSVDFVVAQVETLTREDLSSRLKLNVNVASVGPLLLSDSFITIMDTNDYCAIPQQLKDQVSERFPGASQAILKTGGDFPFLSRSDEVNLYLQLHLRRVGVEAQPDKVQDSLEDGGGDDTSNYDNPSGHGESGATDDPQQPEPMGPSGASNGNLQPEYTGPSDHSLNASFLRRETGSMKVLDSLIHYRASFIYRHIVAVQHCKSIKI >Ma10_p25640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33680956:33686202:-1 gene:Ma10_g25640 transcript:Ma10_t25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLISVKMTRISCFPALLIGKKKKSKESSKIIHPKKENGNGDFSVEPEEFVYASIEKARKEASFEDSVSVKTRVKEVPSTNVKLVRNAKKDEDLVQTDATIEAAYEGGDEHDDFLSMKRDFSDFDLQALAEEKGETTCHGLNQELSNGGLENESENLDDITPEVMIQSGHVSDPGMGRTTAFWGSPMLMRSCSNIETKRASKLFRSTTNARSYEDDLQNLSGNFLGVAPKSIPGSPTSVITSWSADKVMLKKRSSSQVLPSRSRKLWWKLFLWSHRNLHKTWSSKPERNVALDDGSNQKDGYCSDTLEPSCGADLKNKKAVEESDIRCRNGAWPPNQWVAFSAESSSFDRVSAWVHNLDDSPFCPIEDDENEEDETAAGSQTHPIFLETGESSSRNCTRNGRRAAEEVSQANNIIQSLNSLSSVAHIASMGLKVIPAISVFTSLRSVNLSGNLIVHISPGSLPKSLHMLDLSRNKIATIEGLRELTRLRVLNLSYNRISRIGHGLSYCTQIKQLYLAGNKLSGVEGLHRLLKLTVLDLSFNKITTAKALGQLVANYNSLLALNLLGNPIQSNIGDDQLRKAVCGLLPHLTYLNKQPIKPLRAREAATDSVAKAALGNSGWGTRRRATRRVGQVSTSSVKGMTGEGSSHRGAGSGGGHKSSRHRSKSRHQHSISTRK >Ma06_p37170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36320591:36323434:-1 gene:Ma06_g37170 transcript:Ma06_t37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRKPCFYLGDKSSVFFKRALSWAGCPLLLFPTLLHYFPLAGELTYLLYSGNVVIDCSPAANGPGVAFLEAEAEGDLGFLANAEVHDHSAFLRLVPELDVRELPAPLFAVQVTRFCGPQGVDSVAVGFAAHPAVVDGRALWRFVEAWTAECRGDADLPPPTIERAVIGRPRSQGILASGGALRRR >Ma04_p19610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22212676:22215433:1 gene:Ma04_g19610 transcript:Ma04_t19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGGAAYPGSNAIAIIPDASGPPDSWPPGGNDQPMWATDEDYRSWSGDPSADAASNSAYDGRLSRSRAGSEQPPGKKGRNSQADAQGGNRTTTSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGIEELRRPPPNWQEIVAAQEEGSSEPREERRIPTMNSSVVAGESERSYKGRRCKKFYTEEGCPYGDSCTFIHDEQSKARESVTISLSPMVGGSGLGGGANAPTQKPSNWKTRTCHKWEMTGYCPFGNKCHFAHGAAELHRYGGGPVETEGRDASLVVSDSKHGGVHTKFLPSDASVASNSSVPHADMYHIGVPSQRSAGVVQRQGQRSVGKWKGPDKISKIYGDWIDDIE >Ma04_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22212676:22215433:1 gene:Ma04_g19610 transcript:Ma04_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGGAAYPGSNAIAIIPDASGPPDSWPPGGNDQPMWATDEDYRSWSGDPSADAASNSAYDGRLSRSRAGSEQPPGKKGRNSQADAQGGNRTTTSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGIEELRRPPPNWQEIVAAQEEGSSEPREERRIPTMNSSVVAGESERSYKGRRCKKFYTEEGCPYGDSCTFIHDEQSKARESVTISLSPMVGGSGLGGGANAPTQKPSNWKTRTCHKWEMTGYCPFGNKCHFAHGAAGMVGVLWKQKVEMPPWLFQTLSMVGCTQSSSHRMLPLLQTVQFLMLICIISASLLRGPLVSYRGRGRGQLENGRGLTKLVRYMVTGLMT >Ma03_p29910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32737605:32740756:1 gene:Ma03_g29910 transcript:Ma03_t29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKVNPSLQELKHLKYLDLSMNNFSGAPVPTMIASLVHLEYLNLSNAMFDGLIPPQLGNLSNLHYLDLQGWYYDDLLHVDDLDWLSRVPSLKYLDMSSVNLSKVTNWFYVINSIPALEVLRLSHADLPYVPSPLPTFNLTAITTLDLSGNSNATSAMLRWLSNATSLEYLLLSGCGSLTIESVQVALGALLNLKKLDLSANSLKGEIREILNNVSSRGLKHLDLSWNQLFGDIPPGSLRDLDGEIPPTVGKFVRLEYLYLSNNGINGKIPQAIGNLSNLLELHLSGNKIVGWIPPSIGNLTNLVHLDLSRNNISGYIQETLGTLIHMEELYLFNNRISGQIPETIGDLQNLRMLFLSNNHISGQIPKKIGKLHRLWIPSFLKYCDQLIILDFGENKLFGKIPKWIGRNLSSLKVLRLRSNLLYGVIPENIANLTFLQVLDLSSNNLFGSLPSSLGNFTAMVEVQNKTWSWLKENYSYIESRLFTSNGLIVEEYYSYSESILLTTKGEIADYTTILSLVTFIDLSNNQLSGEIPKDLTKLLGLRFLNLSNNHLTGRIPEKMGDMKLLESLDLSVNSLTGEIPSSFSAMHFLERLNLSYNNLSGKIPTSGQLSTFDSWTYVGNKGLCGIPLPDCPVYQTPPDATVKHEDDEKLDKLLEYTSVVIGFVVGFWLFIGTLIMKQAIRFAFFRWIDEASDWIYVQFAVKLAKLKSKWQTTT >Ma04_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23356898:23360251:-1 gene:Ma04_g20580 transcript:Ma04_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLVSVTASLGSQARTLLHWKSTLDGRQFLESWNLSSNPCNWTGVTCNLTHRGRPVITDISLQSMSLQGTLDALNFSTLRSLVSLDLPYNQLDGIIPPTISVLSNLVYLDLIGNRFSGELPVEIGSMKALQFLYLNKNQLSGSVPPSLSNLTGLLHLHLRDNKFTGFIPEELGRLQKLEYITLGKNQLSGFIPPSLGNLTNLYHLSLYINRLSGRLPPELSNITGLTAILMSDNFFSGDLLPNICRGRILQYLALSNNRLRGQLPETLKNCTGLLRVRLEHNQLTGDVSQHLGVYPHLSYMDLSFNRLSGALSPEWGRWDNLSFLAISNNNITGTIPPEFGKLKGLRGLDLSSNYIQGEIPKSLGRLPHLYKLNLSCNRLGGEVRIEFGRMPDLEILDLSVNSLTGRIPSQIGNCLKLRSLKLNGNKFGGGIPPEISSLEYLQDALDISHNSLTGEIPSQFSKFTMLQILNLSHNNLSGGLPSSLSALISLLIIDVSYNELEGAVPESPVFRKAPAKWFVHNKGLCGVVKGLPPCLSYTARKDDGSKHHRAIISAIIASVVVLFILVFLGAFLLFQKTKKHSMPYEENGNKEGIAFCVFNFDGRYAYKDIVAATEDFNEKYCIGSGAYGSVYRAELASGQMLAVKKIHLQEDETTSNEQSFQNEIHTLSQIRHRNIVKLYGFCSSARHKFLVYEYMERGSLGSLLRSEAAAAELDWVKRVNIVKDVARALSYMHHDCDQPIVHRDITTNNILLDSELKACVSDFGIARLLKPDSSNWSMLAGTYGYLAPELAYAMRVTTKCDVYSFGVVTLELLIGGHGEELVSVLSSPSSPKNTLVKDVLDQRPSLPTTEVADEVAAVFMLALCCVEHDPESRPTMKQVFGTLSTLDTPPSLPSLDVLKLSDLMNAKI >Ma03_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5856537:5865354:-1 gene:Ma03_g08130 transcript:Ma03_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS4 [Source:Projected from Arabidopsis thaliana (AT1G33390) UniProtKB/TrEMBL;Acc:A0A178WIP4] MRSNVANNADQSLDEVELLMPAGIEESWKSCDGGGSNAIILPGKKKNKLKVKSNKAKKKEIQTLSKSKQRKLRQLEEERQKKLLQEKSIKILEEHKIWDGAYSLLHSSGTIGRAETFKERRFRAVQISKAGLEVPEDILPFKEKHHPNVFNDDEAALEVDPIQSLRNDVGVDITLPEKCMKIDDNNHNLSIDVPLPSPKVRETNMSASAIADQKCSSTTASYDGYDILAQDNMLGYSDKKYQEIEGITDLTVQEHVNATTVVHISRPREVEEHRKDLPIIMMEQEIMEAINEHFIVILCGETGCGKTTQVPQFLYEAGFGSSLRSDRKGIIGVTQPRRVAVLATAKRVSFELGLGLGKEVGFQVRHDKLIGKSCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKLRQKLYAEQQEKILAGETISPENMVTQLRLVLMSATLQVEDFNSNRKLFDQNLPVLEIPVRQFPVTSHFSKRTCQDYLGQAYKKVMAIHKRLPPGGILVFVTGQREVEFLCRKLRKASQQLTKRCSIKQPDNELTAGSEANMKEINEAFEMENDLPDQQTDRFSSYEDDNHSDVFSVSSGSGTESDLDSESENEDTVKLEAPEKTGLLLDFLRDVGSLSSLKASFDALSGNSSEPNCHVEPSFPAASDVENHSESGSLSAGPLYVLPLYAMLPASSQLRVFEEVPEGERLVVVATNVAETSLTIPGIKYVVDTGKEKIKDYNHSNGMATYEVSWISKASAAQRAGRAGRTAPGHCYRLYSSGAFSKDEIFPKFSSPEISKIPVDGVVLLMKSMGIDKVSNFPFPTPPNSEALLEAEHCLRALEALDIQGRLTPMGRAMAQYPMSPRHSRMLLTVIKIMRNQKGYARANLVLGNAVAAAAALSFPNPFIIQFEGNQRTNNDMDLGETLDTKKDKEEKQRQKKLKAMAREAHARFCNPSSDALTIAYALWLFELEANPVIFCRDNSLHLKTMEEMSKLRKQLLQLVFHQSKFSEEFSWNHGTPGDVELSWRTHSDKQPLLMTEEELIGQSICAGWADRVAKRIRTVKKSLESDTKVRSVRYQSSVMEDIVYLHRRSSVSQAAPEFLVYTELLQMKRPYIYGVTTIKSDWLVKYASPLCTFSAPLTDPKPYYEPLSDRVLCWVSPTFGRHNWQLPLHSIPIKNDILRLSVFASALLEGNVLPCLRSVQNLLAAPPSSMLRPEALGQRRVGDLLNRLKVGSKIIDSRARLRDAWSKNPQFLRSEIQQWFQERFHNKFGELWELMHIEVHHEGHELFPKRAKKERKMK >Ma05_p31990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41656858:41658645:1 gene:Ma05_g31990 transcript:Ma05_t31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRFSDMMPSAWFYKLKDMSHRGNKNYGAPHFTGSGLGVPSKIAAATTSKPFPPAETDLLPNRASCYYPNRTEAERFSFSPTHSKAVDTHFPVEAPRLSKKKGRKKPLRSPTKPKLVASSVSAGCTCRAWNTESIPEFPSAASLEAPPYPLDYYVDVKDLEFQKINYSGDDGFEDNNNLASWQHSGSCRFRSSATDIIIDTDTNNSITGKLELVDEFDSVSELKLRPIITKPGMKEPEAAKSEEDDVDDKVGIGHNVSLGKAQNKVPVKKSSPGLHRLRMRANSPRLASKKVQAHRSRRCTVSTTTSATATKQRMGLSESFAVVKSSSDPQRDFRDSMLEMIVENNIRASKDLEELLACYLSLNSDEYHDVIVKAFQQIWFDLCQSIKL >Ma10_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28237090:28241665:1 gene:Ma10_g16590 transcript:Ma10_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRVDLDDVPSLDLMTELLRRMKCSSKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAEKLDEMLAKQGTKIDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKVPGIDDVSGEPLIQRKDDTAEVLKSRLEAFHRQTEPVINYYNKKDVVAQLHAEKSPKDVTAEVLKSLS >Ma10_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30204443:30206737:1 gene:Ma10_g19780 transcript:Ma10_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAGEDENGLVGATAGDLDAALFYLFNSLRFRYALSSANSFCSRFLLDYAYWKELGRYIRFKIDGLDATWFDVPICFLGGKTSLLRRENSLRG >Ma04_p28730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29722223:29728578:-1 gene:Ma04_g28730 transcript:Ma04_t28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGRGGMGDFFGFGDPFAGSGGLSRPGSLISGFFGGRDPFDDPFFTQPFGSLMGLSMFRPSMFGPSMFADRGSVFGETSRVGFLEQAPYVNKSKGPIIQELSDDDNGGEETDKEQKENPRKHSRTSKEPYVQDPDEVVEANKRRRMQYVNNFNQSDRMQSHSHSITFQSSTVTYGGPNGAYYTSSTTRRMGGDGFVMEENKEADTTTGRATHRISRGIRDKGHSLTRKLNSDGRVDTLQTLHNLNEDELPVFEEDWKGNAKQHLPGWKPGLDLLDDRNLRSGNNSSQKHTRGWVLPSTERPHDSGRMRSQPRSDSSKTRGSIKRNLKGH >Ma07_p13160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9889353:9891330:-1 gene:Ma07_g13160 transcript:Ma07_t13160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSESVNLVFGDVGSWCSRGRGEERREMLRGMNKVQKDHCKGQLLSDSTSDSCFSSNGLIQKARNATLFSVPGLFVGFSAKGLSESDAVRSPTSPLDYKGFSNLGHSFLGFSRSASLDGKPRCWDRTRVGLRLVDTLNDETKPCGKLLGLSESSNILFAPRMRINISSPKPHLVGPGDDCTGAAPKSLPKDYRTSPQTGRSKGAESECGEVGLLRSCSVVVSTSSSTPIDSKSESEVFASDSKSSMAEGSANFDKLSGSLPVSIGGSPCGVIASLSASEIEQSEDYTCIISHGPNPKTTHIFGDCILESHSFPSRDLRKKHRKDEERSAWMLKPSEEDAPPCPSGDFLRCCFSCKKKLEEGKDIYMYRGEKAFCSCDCRDQEILMEEEMEEKPTFGSSGSSTSSFHEEIFLEDMTMAE >Ma07_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9889353:9891330:-1 gene:Ma07_g13160 transcript:Ma07_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSESVNLVFGDVGSWCSRGRGEERREMLRGMNKVQKDHCKGQLLSDSTSDSCFSSNGLIQKARNATLFSVPGLFVGFSAKGLSESDAVRSPTSPLDYKGFSNLGHSFLGFSRSASLDGKPRCWDRTRVGLRLVDTLNDETKPCGKLLGLSESSNILFAPRMRINISSPKPHLVGPGDDCTGAAPKSLPKDYRTSPQTGRSKGAESECGEVGLLRSCSVVVSTSSSTPIDSKSESEVFASDSKSSMAEGSANFDKLSGSLPVSIGGSPCGVIASLSASEIEQSEDYTCIISHGPNPKTTHIFGDCILESHSFPSRDLRKKHRKDEERSAWMLKPSEEDAPPCPSGDFLRCCFSCKKKLEEGKDIYIGEKAFCSCDCRDQEILMEEEMEEKPTFGSSGSSTSSFHEEIFLEDMTMAE >Ma07_p13160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9889353:9891797:-1 gene:Ma07_g13160 transcript:Ma07_t13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGMNKVQKDHCKGQLLSDSTSDSCFSSNGLIQKARNATLFSVPGLFVGFSAKGLSESDAVRSPTSPLDYKGFSNLGHSFLGFSRSASLDGKPRCWDRTRVGLRLVDTLNDETKPCGKLLGLSESSNILFAPRMRINISSPKPHLVGPGDDCTGAAPKSLPKDYRTSPQTGRSKGAESECGEVGLLRSCSVVVSTSSSTPIDSKSESEVFASDSKSSMAEGSANFDKLSGSLPVSIGGSPCGVIASLSASEIEQSEDYTCIISHGPNPKTTHIFGDCILESHSFPSRDLRKKHRKDEERSAWMLKPSEEDAPPCPSGDFLRCCFSCKKKLEEGKDIYMYRGEKAFCSCDCRDQEILMEEEMEEKPTFGSSGSSTSSFHEEIFLEDMTMAE >Ma01_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8986401:9008030:-1 gene:Ma01_g12400 transcript:Ma01_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MAEMSSLLEIFQEGGAGPKDLAHRLRSELAKPGLERFLAVLDAGIADSGGGRLGFQSWTPDQIAAVLAVARLIVPALLGTSVEQVRSVASAIVARSLELGISYLERSQYDGDDLSLEDGFALLLELMLGGRISTEYDIQYHGLLSTYLEQISVIPFRPCTVDYDIQPICHQQDFDCLKDRHLVENILVTLSSESVQLESVATQFTVSVDPQTSNRNISVALHSAVIGSKCLPRLLMLCKRLLDPPLSLCEQKNDMNFTLRLSFSRRILKFLRDLVKEVPYGACDVDLLHSVTRYADKLPTLFKLKFEFLNGNLGYKESKYGGLLLEMFEEFLQFGKIVFYDSSIFRNIQACMIASMMGILGSEVWRYDRSSSSPSPPLVYSPQVVLCLLKFLNDAKSWASPTHDLKINLDVGFTDHSCESEASGPSCHVRDEKILLLRRHTFEELLNIIFPQSEKWMDNLVHLMSFLHSEGVKSTLIEKSCLSSTKPVVASDLEIVTTHEEEAIFGNLFSEPTKPVGSADGHDQPTAAVTSTVNSDLSLQAVSELLSFMKVCIFSPEWCCSVFEDACRKVDKNHIDQLLSLLDCYSYLSDGRNENSPVLGSHLNVPYVSGICFELLQNLVVCHALSGPLKEHLVDQVLKVEDGNYVYGQHSLTLLAHALILREDLDHGHIIKKIYEGYVNFILQKARDVCCKCPESSDILGSLPSAFHLEIVLMAFHLSNDSEKASLASFLFSSLRKVDSPPSGCSVKHLSWWGLIVSRLLLILCHIILYPSTCPSWLLFRLRSRMREIPSKACHSNSPSNHLSTWASTTVESLLGNSINGVPTVSSLLPQLIDVADLPSAYYGDETTQQLLGLNWTELISKFSWILNFWSGKKVESVDQLILERYIFSLCWGAVSTKLLGPSNTWLQGHNWKDLNILDVKSFLNFGLILVNESADIWKDVDFSEIICDILDQICSEQLLDVSSLQNWDFLRKGAWISLVLSLLNAGLMSYSMGNVACRDDRLSIHHSGSSGLSYIGESIILKIFQGSKAAWLINALTSILKMYLQILDRAIICTLDHNRFFEDVFSPLLLAKHSCFEKSKHDILLEKSGCSLSHLESIYGLLAKLDEIAEEVDTGSIKGNIMRCLLHGFPSNSDYSDGAILSCVLTIKEIICFLDEYLKVKDTGGRVQIGSEIICQLLETIMAVKSDRIFQCIHEKCDSICDSLIGQKEFLGYQDLFVLKQLEGFLASINSREVLGSGLQEMLIDIFVDFIDGIRRDNSKKQLFDFYLGSDEDVPVKAKDLFNQQHSNLLVLINSLDNCHTETVNLKVLNLFIDLLSCGLCSSLKQQIRKKLIDMDLLNLSHWLEKRLLGMLTESTAGCMIAKGSSSILRESTMNFLIRLVSQPCEILARELYGRLVEALLIPLDKAFMFFDVQIAKAYFNFVIQLSNAEPSLMNQLFEKTIMLMEKLVGEEGLLHGLKFLFGFINALLGDSGSDKSNTDKLSSKRCSSSTLGPGSVASKTVNSRKNSENLVLPTNQENSTASIDCDATSADEDDDDGTSDGEFGSIDKDEEEDNNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSICAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGDNNVPPHSVSNLQALLPYPADGDQGADSDSDLDDDVFVDTDNSFMLSVSKNVLDELPVLLGRLDVEGRLLELCDKLLPTVTSRRELNLSKDKKVILGDSKVLSYNIDLFHLKKAYKSGSLELKTKADYPNSRELKAHLASGSLTKSLFSVSTRGRLAAGEGDRVVIYDVGQLIGQPTVAPVIADKTNLKSLSKNIVRFEIVHLIFNPIADTYLAVAGFEDCQVLTVNPRGEVTDRLAIELALQGAYIRRVDWVPGSQVQLMVVTNMFVKIYDLSQDNISPKHYFTLSNDVIVDATLVPASMGKAFLLVLSEAGHLFRLEVSMEGDVGAKMLTDIIRVQDKEIQVKGLSLHFSSTYRLLFLSYQDGTTLMGRLDSNATSLTELSHVFENDQESKVRPAGLHHWKELFAGSGLFVCLSSHKSNGALAVSLGPNEMFAQNMKYGAGLSLPLVGVAAYKPLSKDKTHCLVLQDDGSLQIYSHVPTGVDTVANMSSEQTKKLGSSILNNKAYAGVNPDFPLDFFEKTMCITGDVKFNSDAIKNGDSEGIRQRLASDDGFLESPSSAGFKVTVSNSNPDIVMVGCRVQVGNTSASHIPSEVTIFQRIIKLDEGMRSWYDIPFTVAESLLADEEFTITVGRTFDGSTMPRIDSLEVYGRAKDEFGWKEKMDAVLDLEVHDVGGASGASGAGKKRRTSQSSPIQEQVLADAIKLLSKIYSFFRSQKSTETEDADVELSTLKCRILLETIFQSDREPLLQSAACCILQAVFPKKEIYYQVKDTMRLLGAVRSFPTLISRIGVGGPAAAWVIKEFTSQMHAVSKIALHRRSNMAIFLETHGSEVVDGLIQVLWAILDLERPETHTINSIIIPSVELIYSYAECLALQGNQTHSVAPAVGLLKKLLFAPYEAVQTSSSLAISSRLLQVPFPKQTMLASDDPAENPVTTKVSSDVNTASGNAQVMIEEDSTISSVQYCCDGCSTVPILRRRWHCNVCPDFDLCEACYEVLDADQLPPPHSRDHPMSAIPIDIDSIAGDGNEIHFSMDELSDASLTPVATEKSMQNPSSSIHVLDTNESGDFAGSLSDHTIVSISATQRALNSLLLRHLIAELKGWMETTSGFRAIPVMQLFYRLSSAVGGPFMDSSKPENLDLENFVKWLLDEINLNKPFAAKTRSSFGEVAILVFMFFTLMLRNWHQPGSDSSQSKPSGNADLQDKGFVPMPLSSSTASSSNDDTERSEFTMQLIRACSFLRQQSFLNYLMDILQQLVNIFKSSSLNIESGLSAGSGCGSLLTIRRELPAGNFTPFFSDSYAKSHCTDMFMDYHKLLLENTFRLVYSLVRPEKQEKSAEKEKTYKTNAGKDLKLDGFQDVLCSYISNPHTTFVRRYARRLLLHLCGSKTQYYNIRDSWQFSNEVKRLHKLINKSEGFENPVPYERNVKLVKCLSAMSEVAAARPKNWQKYCSKHLELLPFLLNRVFNFGEESVIQTLKLLNLAFYTGKDMGHGLQRTGADASASSTRLQSSDSKKKRKGEDTAENGSEKTCLDMEQAVEIFSTKDGQLLRKFIDSFLLEWNSSSVRHEAKNVLYGLWHHGKQSFQEGLLTALLEKLKQLPLYGQNILEYTELMAWLLGKMPDSSIKQYETELVNRCLTSDVISCIFETLQSQNELLANHPNSRIYSTLSNLVEFDGYYLESEPCVTCSCPEVPYSRMKLESLKSESKFTDNRIIVKCTGSYTIQSVTMNVHDSRKSKSVKILNLYYNNRPVSDLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRAVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFSFDNMENDEDMRKGLAAIESESENAHRRYQQLLGFKKPLLKLVSSIGENELDSQQKDSVQQMMVSLPGPSCKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVVMTYLQQKNSDDAMDSSRFAAPRSPNNCYGCAITFVTQCLELLQVLSKNAKCKKQLVAAGILSELFENNIHQGPKTARVQARTVLCALSEGDADAVSELNTLIKNKVIYCLEHHRSIDVALATREELLLLSETCALVDEFWEARLRVAFQLLFSSIKLGAKHPAISEHIILPCLRIISQACTPPRSDAADKEQGTGKSSILQSKNDHSAKPSASPNSLPTASKPTEFMEKHWDGGRKGQDIPLLSYSEWERGASYLDFVRRQYKVSQTFKSTAQRIRQDPQKNDYLALKYALKWKRRACRGATRSDISSFALGSWVSELILSACSQSIRSEVCTLVSLLCPQNSSRSFQLLNLLMSLLPATLSVGESAAEYFELFFKMIDSEAARLYLTVKGCLTTECRLITREVHNIESQERSLSIDVSQGFILHKLVELLAKFLEVPNIRVRFMQDELVSEMLEALFVIRGLIVQKTKLISDCNRLLRELLDGLLLESTENKWKFIRACISGLQNHGRERKCRTLLFILEQLCNMICPSKPEPVYLLILNKAHTQEEFIRGSMTKNPYSSTEIGPLMRDVKNKICHQLDLLSLLEDDYGMELLVAGNIISLDLSISQVYEQVWKKYYNQTQNSLSSTVAPSSAGLTSTRDCPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEVEFAIAGAVRECGGLEIILSMIQRLRDDELKSNQEELGLTLNLLMYCCKIRENRRALLQLGALGLLLETARRAFSVDAFEPAEGILLIVESLTMEANESDIGINQSVFTVTNEKSGAGEQAKKIILMFLERLCHPLGTKKSTKQQRNDEMVARILPYLTYGEPAAMEALIQHFDPYLQNWSDFDHLQKQYQDNPKDESLAHQAAKQRSALENFVRVSESLKTSSCGERIKDIILDKGIIGLAVKHLRESFAVAGQAGFRSSAEWASGLKLPSIPLILSMLRGLSKGHLATQSCIDEEGILPLLHALEGVPGENEIGARAENLLDTLADKENHGDGFLGEKIHKLRHATRDEMRRRALRKREQLLKALGMHQEFAMDGAERIVVSQPVIEGLEDVEEEDGLACMVCREGYTLRPNDMLGVYSYSKRVNLGATSSASARGDYVYTTVSHFNVIHFQCHQEAKRADAALKNPKKEWEGATLRNNETLCNCIFPLRGPSVPLAQYVRCVDQYWDNLNALGRADGSRLRLLTYDIVLMLARFATGAAFNKDCKGGGKESNSRFLPFMIQMASYLVDQGSPNQQRQAMAKSVAAYLSNASSTSDLSRASPPLSGSRSSSGPSDETVQFMMVNSLLSESYEDWLQHRRAFLQRGMYYAYMQHKHGRSTLRFSDSASRVKRSDEGSSMDLNDSKKLFSIVQPMLIYTGLIEQLQQFFKLSKRSTAISSEPAEGDSTTTSGLERWEIIMNERMVNMKEMLRFSEDLLSWLEDMTTATDLQEAFDVMGALRDALSGGVSLCEDFVHAAILSGKS >Ma05_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15508364:15510077:-1 gene:Ma05_g16180 transcript:Ma05_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTSATCGLTSRALLDPKAASSQLRPHFVRLPTLPPPRPTASWSHRKTASHMSRSVIAMATGETPAEVSSELPEVMKTIKDAWDKLDDKYAVASLVLVGLVALWTTTGMISAIDRLPIVPGVLELVGIGYTVWFVYYNLVFEPDREALIEKIKGTYSDIIGSRS >Ma02_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25296192:25296846:1 gene:Ma02_g18960 transcript:Ma02_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNPSLSLRAEPPASERRGRAPLYHAALHSVQKPPGKPWKKPDQAPPPQPRVYRVDPRGFRQLVQRLTGVARSTPQPLRETAPPPPPLKLAAPALTVQSLFADGENVGTDAVSGVQSPTGFLGLLSPSFYSSLCSFPLLSPAGPIESMGHI >Ma11_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6260439:6261283:-1 gene:Ma11_g07850 transcript:Ma11_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDHGRPLPAATAAMPRTLPSDAAFAKPRGGARVLAFILQTFVMAVALALFFLFAGVAAVVLLHLLVAGRTLRRRSRNGPLFPNAADDASPAGLSVAQLKGLPWFEYSGRSASPPWPPPDCAVCLEGFEKAERCRALPSCGHVFHVACVDRWLAKSRGCPICRTLVGVA >Ma03_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5273300:5276319:-1 gene:Ma03_g07500 transcript:Ma03_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLELASGESPSLGRISRGIPRVVTAPGIVPDLDDSDDDASNASSERSSLSPRDRTIIVANQLPIRAQRRPEGRGWTFSLDQDSLLLQLKDSISEHPDMEFVYVGCLREEIPIPEQDEVSQTLLETFKCVPVFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADMILEVINPDDDFVWVHDYHLIVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLGLVLSLPETEVKVAELMKQFRDRGRVMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGQGKDVKEVEAESYAMVKRINEAFGLTDYNPVILINKPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYIIARHGNDKLDEVLGLNPSTLKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMADAEKQLRHEKHHRYVISHDVGYWANSFLQDLERTCKEHDGRRCWGIGFGLKFRVVALDQNFRKLAMEHIVSAYRRTSTRAILLDYDGTLMPQASIDKSPSPKSIQIFNSLCRDKNNLVFLVSAQKRTSLSDWFSPCENLGMAAEHGYFFRLRRDAEWETCVTVADRSWKQIAEPVMRLYTETTDGSTIENKETALVWCYEDADPDFGSCQAKELFDHLESVLANEPVSVKSGPNNVEVKPQGVSKGLVAQRLLSTMKERGLSPDFVLCIGDDRSDEDMFEVIMTAMSGSALSPTAEVFACTVGRKPSKAKYYLDDTAEIVRLLQGLASVSDQSPGSSVPL >Ma03_p29800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32664113:32664964:1 gene:Ma03_g29800 transcript:Ma03_t29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLRLRSNLLYGTIPENIVDLTSLQVLDLSSNKFFGSLPSSLGNFTAMVEVQNDTRSLIEDYYSYIESILVTTKGSMVEYTTILWLVTCIDLSNNHLSGEIPKELTKLLGLRFLNLSENHLTGRIPEKMGDMTLLESLDLSVNSLTGVIPSSFSAMNFLARLNLSYNNLSGKIPTSGQLSTFDSWTNVGNKDLCGTPLPDCPVYRIPPDARVKDDEKLDKLLEYTSIVVGFVAGFWLFTGTLITKQVIRFAFFRWIDKASDWIYVQFTVKLAKLKSKWQTMT >Ma11_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26781375:26783642:-1 gene:Ma11_g23450 transcript:Ma11_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Ma07_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21267971:21268923:-1 gene:Ma07_g17670 transcript:Ma07_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLHVQHAVTVFEAKKGPHYYGASADLQVFQLSGVSINQASTSQIILTKGERGPKNYINTVQAGWQSDGYQKTGCINLDCHGFVQDRFTSNWMLYNDREPIGYWPKEIFNNMADSSQVQMGGLVYSPFDEASPPMGNGEK >Ma05_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8609991:8611066:-1 gene:Ma05_g11760 transcript:Ma05_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKQSPMADQQRIHPVDVEAPQPTVPLVPRELSRSEKGDPEPYPRRTLPVAHSRPPKKHRSCCCRCLCCTICTIILLVVAVAATLGILYLVFDPKLPKYSVDRLRVTAFSVDQNLTAHATFEVTVTATNPNKGIGIYYVSGSRLNVLYSGYDLCQGTLPEFYQGHRNTTVLGVVLSGDVQLGSTVMNELQQQQQTGTVPLDFKGDVPVRVKLGGLKLWKVTSRVRCSLVVDSLTANNQIRIKNSSCKFSLKL >Ma10_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15316226:15318500:1 gene:Ma10_g04690 transcript:Ma10_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVRTDGGRGKGYPARMTLYVFLTCVVASSGGLIFGYDVGVSGGVTSMDSFLLTFFPSVYKKEKQDRSTGIYCKFDSQLLTAFTSSLYVAALLTSFVASLVTDFFGRKWSMFRGGMAFILGAGINATADNLFMLILGRFLLGVGVGYAIQSVPIYLSEIAPPRLRGMLNIMFQLMVTIGILIANIINYFTNKIEGAWGWRVSLAFACVPAAIIAVGSLVLPETPNSLIERGHTEEAEATLRLIRGVDDISLEFSDLVAANKRCKEIRDPWSVIMERKYRPQLAMAILIPFFQQLTGINIIMFYAPLIYKAVGFSGQTSLVSTVIIGTVNVVATLISIITVDKVGRRGLLLHGGVQMLISQLIVGTLITLKFGSTGEATDISRAYASCVLFFICVYIAAFAWSWGPLGWLIPSEILSLEIRSVGQCIAVSVNMFFTFLIAQIFLTALCHMKYGLFFFFAGWVVVMTVFVALFLPETKNIPIEEMSLLWKEHWFWSRFIKDEENTETGNLGRPRGCYSRVQVAAKVFSRNRV >Ma07_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3706305:3710943:1 gene:Ma07_g05080 transcript:Ma07_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPNAGPSNPQPPPPSSDEGLGKPQVPYISDFQMYPFMYTRPFPSQNLEEDDSGPGIYAIPCLPYMSPMAGFSPNTLIPLRYKIPTRQESTGGANEQHGQEVRQQQGPQRQPVVRRFQFAIQIDLGLILKLAAVVFLLSQDGSKHRLILMMLCASLVYLYRTGVLAPFIRWLQQAGAPPQPRQLVQPQNDHPVGHGDANNPQPDQNVGVERQNQHHQPTEGQERPAANENQPEPEGGRGINWWLIVKEIQVFIIGFVTSLIPGFHNNG >Ma09_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:573197:576099:1 gene:Ma09_g00860 transcript:Ma09_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERTEIKAGDHIYSWRAAYTYSHHGIYVGGSKVVHFTRKKDTSDGINSSSSSSLSSGVPSVCPTFPDCGFHQSNSGVILSCLDCFLGNGSLYCFEYGVPPSVFLAKVRGGTCTTAESDPPETVINRAMYLLQNGFGNYDVFENNCEDFALYCKTGLLSLEELGIGRSGQASSFFGVPLAALFSTPFKLLAAGPVGMATVTAGMYCAGRYITDIGVRKDVVKVAVEDLTANLGWRRCPMERARGKDCDALASVASIEEEKRQHL >Ma11_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20420237:20420618:1 gene:Ma11_g14720 transcript:Ma11_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCRDPLLSTHSGWVKAFGFFHWKSKSCSASGEWGIGFPSPGILRNMVFEKVMAFLVQI >Ma06_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4619776:4622129:-1 gene:Ma06_g06370 transcript:Ma06_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSWKWWLCLGVIGASVFIALEAALEFLWWRPKRLEKHFARQGIRGPPYRFFIGSVKEMVGMMLEASSEPMTPQTSHNILPRVLPFYHYWKKIYGSTFLLWFGPTARLTVADPDLIREIFLSRPDVFERYESHPLVRQLEGEGLVSLRGEKWAHHRKVLTPTFHMENLKLLMPFIAKTVLRMVENLAASGEEVEIDVSEWFQTVTEDAITRTAFGSRCYEEGKAIFHLQTQQMVFAAEAFRKVFIPGYRFLPTKRNTNSWRLEKEIRRSLIRLIGRRKERADEEKPDGGNPKDLLDVMINAGTMAAPRTSTITVRDIVEECKTFFFAGKQTTSNLLTWATVLLAMHPEWQGRARDEVLRVCGAGDVPSRDHLLKLKTMGMILNETLRLYPPAVATIRQAKADAELGGRSIPRGTELLIPIIAVHHDPGLWGPDVAQFNPARFADGASRAARHPAAFLPFGLGARTCIGQNLALLEAKLTLAVILQRFAFRVAPSYVHAPTALMLLHPQQGAPIVFRSLARPSDPWTSTAAQNDGCYFHCD >Ma06_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:719474:721332:-1 gene:Ma06_g00870 transcript:Ma06_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSGCNGFLVGSSVMERSTQALLFLILLVCCAAMAASAKDLPAEEFGVSLAEKGHKHKHSGFSEAECPGACQYRCSKTAYKKPCLFFCQKCCFKCRCVPPGTYAHKEVCPCYNNWKTKRGGPKCP >Ma06_p14800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10096802:10108617:-1 gene:Ma06_g14800 transcript:Ma06_t14800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSMRAESAASSLDGPKFSAAYHNGQRGAFSGSGLERSGSFRESSENRIMVTGPGTSRNTTLSSELPSLSQYLSLEPFSMGEQKYSRTGELRRVLGVTVEEHPFGSVQSKALPPIASEDLKCFKASISESSSRARDRTKLLHESILKLDKYRNLISRKRQRIDQSNEKSGTSNPLKMVSQTHQNPAELTSPRLEDRSKNVVPNKRIRSSMAEVRSEGRGTFPLRQGAVMDKDRNVLFDKDKGMLRSCNGGSIPSEDKMCGLPPGADGWEKKLKRKRSVGLNRGTEGDREIKQSIQQRSNNESRLRSSDGIGFRPGLSSGTTVSNKMDSSPQLSGANSRSTPKNDLDSGSNTNERREHSGGLDNERIVPKGSNKLSTREDVQAGNQSPLIKGKASRASRIGSGAAMNASSNFLRSSGNTDGWDQAPCINKVQPLTSSNRKRPISNESSSPSVTQWVGQRPQKISRTRRVNVVSPVSNLDESQFLQEGFITPDVGTRLATMDTSGLLVSRGMPSNTHQTKLKLDIVLSPAVLSESEESAAVENKFKDKGVDNFEVENAAQTTLKATTFLLSTKKNKTPPKEEIGDGVRRQGRSGRGSVQAKTRLPVPKEKMENIDPTKPLKNGKLGSERSESRIGRPPSKKMSDRKACARPQVLSSGSLELTGESDDDREELLAAANAARNASYHACSSTFWKKMEPIFAFVTLEEISYVKHQIHFAEELDASLPNWFEASHDSEVVADVVPSPYSSFAREQTNAVGPTNKSFGTPCSIDGTQHVKTSVGRLDTKRWYDKMVPLSQRLLSAFIVEDETENLDNDTQGETFLQFSSDYVHYDTKDHVIDQAEDLNMDYDLESEYRNHKNSLGDGFMPSNNFRHFSDEPLAENSAILNADNGSFSRYQQNSRNQMHIMYSTSPYECQFEDMPLDERILMELHSIGLFPETVPDLAEGEDGEIDKVISELKMRLYQQVRQKKNQLVKLEKAIQDAKETEESDRKLEQFAVNKLIEMAYKKLMGGRGSSSHKSGATKVSKQLALAFGKRTLSRCRRFEETGRSCFSEPALRDVILSVPLQNIDTKHSDGSSAIYHVESRSGQLGVRASGVTSIMSARHGLGNKIDRGPLDPYQGFPQMGDPSVNKRKKEVLLDDVAGAASRASSTPTHTLPSSAKWKKTERDRDQNKDTLGRSSTAKAGRPSLSSGRGERKTKTKPKQKIAQLSTSGNGLGRVTEAANFMSPALHEPFDTVNNIITKIDQEIELQSSSTIAHDSSKEIDDAIFTNLPLHGIDSIDELDVTEGLGGQGQDIGSWLNVDEDALQDHDLVGLEIPMDDLSDLKLNF >Ma06_p14800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10096802:10108617:-1 gene:Ma06_g14800 transcript:Ma06_t14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSMRAESAASSLDGPKFSAAYHNGQRGAFSGSGLERSGSFRESSENRIMVTGPGTSRNTTLSSELPSLSQYLSLEPFSMGEQKYSRTGELRRVLGVTVEEHPFGSVQSKALPPIASEDLKCFKASISESSSRARDRTKLLHESILKLDKYRNLISRKRQRIDQSNEKSGTSNPLKMVSQTHQNPAELTSPRLEDRSKNVVPNKRIRSSMAESEGRGTFPLRQGAVMDKDRNVLFDKDKGMLRSCNGGSIPSEDKMCGLPPGADGWEKKLKRKRSVGLNRGTEGDREIKQSIQQRSNNESRLRSSDGIGFRPGLSSGTTVSNKMDSSPQLSGANSRSTPKNDLDSGSNTNERREHSGGLDNERIVPKGSNKLSTREDVQAGNQSPLIKGKASRASRIGSGAAMNASSNFLRSSGNTDGWDQAPCINKVQPLTSSNRKRPISNESSSPSVTQWVGQRPQKISRTRRVNVVSPVSNLDESQFLQEGFITPDVGTRLATMDTSGLLVSRGMPSNTHQTKLKLDIVLSPAVLSESEESAAVENKFKDKGVDNFEVENAAQTTLKATTFLLSTKKNKTPPKEEIGDGVRRQGRSGRGSVQAKTRLPVPKEKMENIDPTKPLKNGKLGSERSESRIGRPPSKKMSDRKACARPQVLSSGSLELTGESDDDREELLAAANAARNASYHACSSTFWKKMEPIFAFVTLEEISYVKHQIHFAEELDASLPNWFEASHDVMSEVVADVVPSPYSSFAREQTNAVGPTNKSFGTPCSIDGTQHVKTSVGRLDTKRWYDKMVPLSQRLLSAFIVEDETENLDNDTQGETFLQFSSDYVHYDTKDHVIDQAEDLNMDYDLESEYRNHKNSLGDGFMPSNNFRHFSDEPLAENSAILNADNGSFSRYQQNSRNQMHIMYSTSPYECQFEDMPLDERILMELHSIGLFPETVPDLAEGEDGEIDKVISELKMRLYQQVRQKKNQLVKLEKAIQDAKETEESDRKLEQFAVNKLIEMAYKKLMGGRGSSSHKSGATKVSKQLALAFGKRTLSRCRRFEETGRSCFSEPALRDVILSVPLQNIDTKHSDGSSAIYHVESRSGQLGVRASGVTSIMSARHGLGNKIDRGPLDPYQGFPQMGDPSVNKRKKEVLLDDVAGAASRASSTPTHTLPSSAKWKKTERDRDQNKDTLGRSSTAKAGRPSLSSGRGERKTKTKPKQKIAQLSTSGNGLGRVTEAANFMSPALHEPFDTVNNIITKIDQEIELQSSSTIAHDSSKEIDDAIFTNLPLHGIDSIDELDVTEGLGGQGQDIGSWLNVDEDALQDHDLVGLEIPMDDLSDLKLNF >Ma06_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10096802:10108617:-1 gene:Ma06_g14800 transcript:Ma06_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSMRAESAASSLDGPKFSAAYHNGQRGAFSGSGLERSGSFRESSENRIMVTGPGTSRNTTLSSELPSLSQYLSLEPFSMGEQKYSRTGELRRVLGVTVEEHPFGSVQSKALPPIASEDLKCFKASISESSSRARDRTKLLHESILKLDKYRNLISRKRQRIDQSNEKSGTSNPLKMVSQTHQNPAELTSPRLEDRSKNVVPNKRIRSSMAEVRSEGRGTFPLRQGAVMDKDRNVLFDKDKGMLRSCNGGSIPSEDKMCGLPPGADGWEKKLKRKRSVGLNRGTEGDREIKQSIQQRSNNESRLRSSDGIGFRPGLSSGTTVSNKMDSSPQLSGANSRSTPKNDLDSGSNTNERREHSGGLDNERIVPKGSNKLSTREDVQAGNQSPLIKGKASRASRIGSGAAMNASSNFLRSSGNTDGWDQAPCINKVQPLTSSNRKRPISNESSSPSVTQWVGQRPQKISRTRRVNVVSPVSNLDESQFLQEGFITPDVGTRLATMDTSGLLVSRGMPSNTHQTKLKLDIVLSPAVLSESEESAAVENKFKDKGVDNFEVENAAQTTLKATTFLLSTKKNKTPPKEEIGDGVRRQGRSGRGSVQAKTRLPVPKEKMENIDPTKPLKNGKLGSERSESRIGRPPSKKMSDRKACARPQVLSSGSLELTGESDDDREELLAAANAARNASYHACSSTFWKKMEPIFAFVTLEEISYVKHQIHFAEELDASLPNWFEASHDVMSEVVADVVPSPYSSFAREQTNAVGPTNKSFGTPCSIDGTQHVKTSVGRLDTKRWYDKMVPLSQRLLSAFIVEDETENLDNDTQGETFLQFSSDYVHYDTKDHVIDQAEDLNMDYDLESEYRNHKNSLGDGFMPSNNFRHFSDEPLAENSAILNADNGSFSRYQQNSRNQMHIMYSTSPYECQFEDMPLDERILMELHSIGLFPETVPDLAEGEDGEIDKVISELKMRLYQQVRQKKNQLVKLEKAIQDAKETEERKLEQFAVNKLIEMAYKKLMGGRGSSSHKSGATKVSKQLALAFGKRTLSRCRRFEETGRSCFSEPALRDVILSVPLQNIDTKHSDGSSAIYHVESRSGQLGVRASGVTSIMSARHGLGNKIDRGPLDPYQGFPQMGDPSVNKRKKEVLLDDVAGAASRASSTPTHTLPSSAKWKKTERDRDQNKDTLGRSSTAKAGRPSLSSGRGERKTKTKPKQKIAQLSTSGNGLGRVTEAANFMSPALHEPFDTVNNIITKIDQEIELQSSSTIAHDSSKEIDDAIFTNLPLHGIDSIDELDVTEGLGGQGQDIGSWLNVDEDALQDHDLVGLEIPMDDLSDLKLNF >Ma06_p14800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10096802:10108617:-1 gene:Ma06_g14800 transcript:Ma06_t14800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSMRAESAASSLDGPKFSAAYHNGQRGAFSGSGLERSGSFRESSENRIMVTGPGTSRNTTLSSELPSLSQYLSLEPFSMGEQKYSRTGELRRVLGVTVEEHPFGSVQSKALPPIASEDLKCFKASISESSSRARDRTKLLHESILKLDKYRNLISRKRQRIDQSNEKSGTSNPLKMVSQTHQNPAELTSPRLEDRSKNVVPNKRIRSSMAEVRSEGRGTFPLRQGAVMDKDRNVLFDKDKGMLRSCNGGSIPSEDKMCGLPPGADGWEKKLKRKRSVGLNRGTEGDREIKQSIQQRSNNESRLRSSDGIGFRPGLSSGTTVSNKMDSSPQLSGANSRSTPKNDLDSGSNTNERREHSGGLDNERIVPKGSNKLSTREDVQAGNQSPLIKGKASRASRIGSGAAMNASSNFLRSSGNTDGWDQAPCINKVQPLTSSNRKRPISNESSSPSVTQWVGQRPQKISRTRRVNVVSPVSNLDESQFLQEGFITPDVGTRLATMDTSGLLVSRGMPSNTHQTKLKLDIVLSPAVLSESEESAAVENKFKDKGVDNFEVENAAQTTLKATTFLLSTKKNKTPPKEEIGDGVRRQGRSGRGSVQAKTRLPVPKEKMENIDPTKPLKNGKLGSERSESRIGRPPSKKMSDRKACARPQVLSSGSLELTGESDDDREELLAAANAARNASYHACSSTFWKKMEPIFAFVTLEEISYVKHQIHFAEELDASLPNWFEASHDVMSEVVADVVPSPYSSFAREQTNAVGPTNKSFGTPCSIDGTQHVKTSVGRLDTKRWYDKMVPLSQRLLSAFIVEDETENLDNDTQGETFLQFSSDYVHYDTKDHVIDQAEDLNMDYDLESEYRNHKNSLGDGFMPSNNFRHFSDEPLAENSAILNADNGSFSRYQQNSRNQMHIMYSTSPYECQFEDMPLDERILMELHSIGLFPETVPDLAEGEDGEIDKVISELKMRLYQQVRQKKNQLVKLEKAIQDAKETEESDRKLEQFAVNKLIEMAYKKLMGGRGSSSHKSGATKVSKQLALAFGKRTLSRCRRFEETGRSCFSEPALRDVILSVPLQNIDTKHSDGSSAIYHVESRSGQLGVRASGVTSIMSARHGLGNKIDRGPLDPYQGFPQMGDPSVNKRKKEVLLDDVAGAASRASSTPTHTLPSSAKWKKTERDRDQNKDTLGRSSTAKAGRPSLSSGRGERKTKTKPKQKIAQLSTSGNGLGRVTEAANFMSPALHEPFDTVNNIITKIDQEIELQSSSTIAHDSSKEIDDAIFTNLPLHGIDSIDELDVTEGLGGQGQDIGSWLNVDEDALQDHDLVGLEIPMDDLSDLKLNF >Ma08_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3657073:3661266:-1 gene:Ma08_g05340 transcript:Ma08_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQPFSDSDATSSSLCHPVAVAVAVAGQTVAAAEVAALSRLSEQLGFLLRSPGLSFCSDARITVRSSGGEPPRVVPVHRCLLAARSPFFRDKFAGGAAALELGEMVNGFEVGCEALEAVLQYVYTGRLEELPRGVAECVDEGCCRHEACWPAVHFMLQVLHAASTFEINELVSLFQRQLLNILQKVATDDILMILLVANLNNKLCQRLLTKCIGMVVQSDIDYVALNKKLPPDIVEQIKQSRSNFGLDGQENLEFPEKHVKSVYGALDSDDIELVKLLLEEGGTTLDNAKALHYAVAYCDSKITKELLSLGLADVNGRDHRHYTVLHIAAMRKEPEIIMSLLTMGARPFDHTSDGRTALQISKRLTRSTDYYRSTEQGEPSPRERLCIEMLEQAEMRDSFMEVTSVPVEMISDNLQERLLYLESRVWLAESLFPTEAKAAMNNANVDGTLRFKSSCLTNLISGNKRSAEDVVKASFKMTEKDFSRMEALTKTVQLGMHFFPRCSKVIDKFLGDDLSGLSIVEHINTEERKNRYNEILEEMNMAFTQDKNEKAFGRSAASCSAASSSKSARAARNKVAKK >Ma04_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22793719:22798968:-1 gene:Ma04_g20060 transcript:Ma04_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKTRILCSVRRKLIYSRFSYSAAASVSSSLPISDSDTPPPYEDIPAAHGSLCLSLLLRLVRRGLLSAACSVLDRIVTRLPLSDATAAVDLVAFLGLSPDHGRLIRSLVYSEQFLKAEALFAHATSGALSDDPSVLDAIIECLCKMGKLVEAKFHLDQMIKLRTLPSQRTYNALLRALFTEAKFLEAVDLFLLMAGAGVYPYLLCYNLLIPNLCSKGYLGQARYLFDTMIGTGLHPAAHVYKSLICGYCKEGRLEPALDIFKAMKENTFLKPDSYAYNSLIHCFLKLGCVDSALELYNEMIETGLQPNVVTHSMMICWYCRNHKLDCALKLLDMTFRHGLVPDVQCFRVVTTALCKENRLVEAEQLFDKMLESGLIPDPMMFVLLIQNFPQGYGFKFLWKTLQAIAKANSSADNLYSSVLSICSSDEKSLKEIAVLLDEIMKCNVLPIDVVLNIMISTMCAEGKLGVAYCLMDQMVSSGCEPSISTFNFLLKCLCKENQMDDVRLLLNLMHSRGVVGNEATYSIVIHAYGKLGDIDSAFESFDQMIDQGVEPNVVIYDSIISCLCRMGRPRAAELVFDRMIGGGVMPDEAAYTTLLNGYSKIGRPFDACRLFDEMIDQGLQPSSHAYSALINGLIKRNMFRKACHYLDKMLMDGFLPDTVLYTMLFSQFFKKGDVSLALDLVDLMMRNQIEPDLITYGSLVNGLCRNVLRRNRMPPPLSWKLEDARCMLFRLLPQKTVCLEKIEHRDYCRSTAQTIELALNIMQDLADSGMMPDLHVYNGMLNGLCRANMIQDAYDLVTLMQKVGIIPNQVTFTILMNAHIRVGEIDRAVQLFNHMNGDTHVPDKISYDTLIKGFCKAGRVDEALSLVKMMQKRGFCPSNTAYDTLAESLFLSSSTDLAIKLLEEMLSNGYVPRPSNFYKLI >Ma03_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2421291:2421762:1 gene:Ma03_g03610 transcript:Ma03_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQNAKACHSEPFNDNTYPCSILNTGFIPRVDTSNNVPQLILDWSMVLQMEADYHMQTMPILMSSMRNSTKECCWKGANCGRRPCYRGFQVVAPITGFYVLRHPRFRHKLPSAPINYFERMPARTDSMF >Ma00_p03700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30654194:30663021:-1 gene:Ma00_g03700 transcript:Ma00_t03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYADLDRQIEQLRECKFLPEAEVRALCDQARAVLVEEWNVQPVRCPVTVCGDIHGQFYDLVELFRIGGEAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALNRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHNNGLTLIARAHQLVMEGFNWCQDRNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Ma00_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30654194:30663021:-1 gene:Ma00_g03700 transcript:Ma00_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYADLDRQIEQLRECKFLPEAEVRALCDQARAVLVEEWNVQPVRCPVTVCGDIHGQFYDLVELFRIGGEAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALNRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHNNGLTLIARAHQLVMEGFNWCQDRNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Ma10_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:6207652:6219069:-1 gene:Ma10_g02010 transcript:Ma10_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAMGGHLRDASLSLLFISLLPLLTAAVGTMADQQAHDRITALPGQPPVTFAQFSGYVTVNKEQGRALFYWLTEAATDAAKKPLVLWLNGGPGCSSVAYGASEEIGPFRISRTCSSLYLNQFSWNREANLLFLESPAGVGFSYTNTSSDLKTSGDERTAGDALMFLIGWMSRFPQYKARDFYISGESYAGHYVPQLAKKVIDHNKASSEPFINLKGIFVGNAVTDNYYDSLGTVAYWWTHSMVSDGTYRSILSSCNFSSTKTSRKCDRAISYAMNHEFGDIDQYSIYTPSCANAAKRRLRFKNTLLRRSSYGYDPCTENYAEKYYNRPDVQRAMHANTTGIPYLWTACSDVLIKTWGDAEFSMLPTYKELIGAGLRIWMFSGDTDSVVPVTATRFSISHLGLKIKIPWYPWYSGRQVAGWTEVYEGMIFASVRGAGHEVPLFQPRRAFQLFQSFLAGKPLPKT >Ma02_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20611476:20614998:-1 gene:Ma02_g11490 transcript:Ma02_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAASPPSAAREGDRSDCMSSVNPNPSPSNSYAAVVIGGTFDRLHQGHHLFLKASAELARERIVVGVCNGPMLSKKEYAFLIEPPEKRMQSVKDFIKSIKPELMVQVEPITDPYGPSIIDKDLDAIVVSKETLPGGIAVNRKRTERGLSQLQIEVVDLLPEESTGTKISSSTLRKINAEQAVKDQQQQHGQL >Ma02_p11490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20611476:20614998:-1 gene:Ma02_g11490 transcript:Ma02_t11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAASPPSAAREGDRSDCMSSVNPNPSPSNSYAAVVIGGTFDRLHQGHHLFLKASAELARERIVVGVCNGPMLSKKESIKPELMVQVEPITDPYGPSIIDKDLDAIVVSKETLPGGIAVNRKRTERGLSQLQIEVVDLLPEESTGTKISSSTLRKINAEQAVKDQQQQHGQL >Ma04_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3877857:3881781:-1 gene:Ma04_g05170 transcript:Ma04_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEIIASAKHGGLNVIQTYVFWNLHEPVQGQYNFQGKYDVVRFIKLIEKKGMYATLRIGPYIEAEWNYGGFPYWLKEVQNISFRTDNPPFKYHMKRFVEKVVSLMKDEKLFASQGGPIILSQVENEYNNVAHAYKDAGLRYVRWAGNMAVDLKTGVPWVMCKEKSAPGPVINACNGRNCGDTFEVPNNSTKPFLWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKNGTLVNYYMYHGGTNFGRSGSSFAMTRYYDEAPLDEFGLHKEPKYGHLSDLHHALRLCSKALLWGVSSVQTFDIDGFEATVYENPGMKACAAFLSNSNRKIEGTVNFKGTDYYLPRRSISILPDCKTVAFNTQKVISQHNARTFHVAKESSMNNQWQMHKDHIPRFRDTRVRSRSTLELFNMTKDTSDYLWYTTSFRLEDDDLPRRHDIRPVLLVSNLGHAMHAFVNGRHAGSGHGTNIEKSFVFHQPIALGAGINHVTILCMTIGLPNSGSYLEHRIAGVHTVVIQGLNTGTLDLSQNGWGHQVGLVGEKLGIFNEKGVNNVNWTQAQNDMPITWYKRYFDAPSGNDPVALDLTSMSKGMVWINGESIGRYWVSYLNPLQKPSQSVYHVPRSLLKPKDNLMVVFEEHRGKPEGIVMMTVKRDNICTFVSELFPGQASSSLRESSKLGTVEHPEARLKCTGKKVIHSIAFASFGNPEGLCGNYSRGSCHAPQTKAVVEKVKFHYHSTHLS >Ma05_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22958328:22958732:1 gene:Ma05_g18220 transcript:Ma05_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAVKFLIRASDVGSISMPAILRHSNLIETGAELIEFTSFFILVCMALCFHPVSLDEIGAELPRDDGQWTRHAIHVSVIRLTVYPSLFQQPDLSCVCVNNVDNNLNNRQDLSNYMMRYRKSVKKNPLKKNSPF >Ma09_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7118524:7119371:1 gene:Ma09_g10460 transcript:Ma09_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAIKVGAWGGNGGSAFDMGPAYRIISVKIFSGDVVDAMDVTFAYYGKTETRHFGGSGGTPHEIVLQEGEYLVGMAGEFANYHGVVVVGKLGFSTNKKSYGPFGNTGGTPFSLPIAAGKISGFFGRDGQFLDAIGVYLEP >Ma04_p25400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27180736:27182760:1 gene:Ma04_g25400 transcript:Ma04_t25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLSPSPSSSSSSSSMGDTTDVVVTDDETTVPENLKSSEKKALLELRTMLEDAIAAGQLLHHPSHRHERSKTRVANSCSVNSSCVKAEEAEEDLSDVSLWGVPLLPSRKHESTDVILLKFLRAREFKAAEALDMIGRTLRWRRDFGVDGMMEGEGGADAAPPQPPPPHLKSAAYIDGRDREGHPVCYNIYGVFKDKDVYRQTFGDEERQEKFLRWRVQLMEQGIKQMSLKPGGAAAMLHIIDFKDSLRPGMRELRSATREIVSIMQDNYPEFVAKNIFLNVSFRYYAYHALFSPFITPRTRSKFIFARPAKVTETLLKFMSPENIPVQYGGLRRGEDDDEFSAENERASELVIRGGGIGRIEIPILEPGVTVVWDITVVGWDVNYREEFIPEDEGSYKILIQNEKKPEECIRNSFYISEPGKVVLTVENRTFKKKRVFYRSKSKPTIPLYDLLSQTQQPQL >Ma04_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11804134:11805620:-1 gene:Ma04_g15540 transcript:Ma04_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSCSNELDACETEEVEIASEINDARPGSPGSGDDSKPVHSLGASTSSPYPKRSRRGVQKRVVTVPISDSKGAGEGAPPPDSWTWRKYGQKPIKGSPFPRGYYRCSSSKGCPARKQVERSRVDPTVIVVTYAFDHNHTSPLPKNHHHKHAAAAAQPVEEQPLTPQLNQSGTPDSAERDEKFSDLITEEESAFTVHAGGCFPWFADVCSVHPTSPSAADSDELLYGSVLFAGAATGAALPEELEEAAGGGGGGDDDSLFAGLGELPEYTVVLRWGLASASWVGTAG >Ma04_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7709629:7720289:1 gene:Ma04_g10920 transcript:Ma04_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATLPATGGRELSDPPSDGISNLRFSNHSDLLLVSSWDRSLRLYDAGADALKGEFAHVGPVLDCCFHDDSSGFSACADHMVRRFVFGSGKEDILGRHDAPVRCVEYSYATGQVITGSWDKTLKCWDPRGASGPDHALVGTYPQPERVYSLSLVGHRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGFALSSVEGRVAMEFFDLSEAAQAKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGYVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRQLAVASSYTFEEGDTLHEPDTIFVRNVNEVEVKPKPKALPAPPP >Ma05_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4580424:4582446:-1 gene:Ma05_g06160 transcript:Ma05_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRGGQGRGVNEHGSPHLRLRLFLTAPLYVLPLPDLLSPRSHRASASPKASRPNPLPVCVRAMRFIDGSVRREQPMAGTPRGARPGGKRIR >Ma04_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11056379:11058389:1 gene:Ma04_g14580 transcript:Ma04_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVSSRNHRAKGGFKAKNALQICMLVAVCVWLLYQMKHSHDRRKAYEERFGADEARSEIASFGRKDLLPRTVEADSVPDTRAKEDLHDEHPAEEAEHKEHTAHGVDKDEKKTGALEDQEHEEQSHEAREKSFKADDAASEVVHSDSQHVEHEELTQEARERSFTADDASSAVDHFVPVREPESGIGPFDSSKGTHSSVAREDNSTVPDVKVTTSTTGEADDGVDDQTELETADRIFNETKPQTHSANGSDDRTELELGSTYSSKKQTDVRKNHTAETPKRQTTMDVMALRHPSNSHPLQDQLPWKKKSETENAVIVNSEPHRTAQTEEPEGSSIQGGNKRVLPREPRDSNLHGIASVEERDGRRDPTSSRKIQHKVETGKEEVV >Ma11_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17492881:17494580:1 gene:Ma11_g13200 transcript:Ma11_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAIRTGVLSSRWRSLWRHRWPYPTVLDLSPHTVAASADDFVAGVDRFLSARGCGRRIDTLFVALPPGRRYDADIKRWIEYAASCSVEDLRLVVSPSSLAGTSARPGRRLRRQERAAVSSVFFHSVCECSNLTRLALSGLRLWSPSANIKRLSYLEVLDLHAAHVTDAALRRVVAACTLLRSLDLRLCRKLRRIVITANSRLTSLTIVECPRAMEVTVSAPDLRCFRYSGNYLTSYSFDSPKRLEEVCMSSGGPPSCLPPSNWVKTLGGLSNIKVLTLCSLSLQYVAIEGGSATRECNNFRNLRELQLLMGMMTVDNLTNIYTFFRMCKCPRLEKLFIELPTTMNDPYVENYLMVPKEEPPEVDFEYLKIIKINNFNGHRNEMQLVRFLLGKAGVLEPLMVITSKDFMVEEYINTVDGCHDSLDFLQSQLSLFTKASVNAQIILSDRDDNKLIPTHWEVYSKV >Ma04_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24270937:24274730:1 gene:Ma04_g21820 transcript:Ma04_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGDEFVCVLMQRLVLLCFFILFRAELTNSQNQSCNSGDLNALRGFYRGLKSEAIGWSIDASSSDCCSWDGVSCGDFFDSGRRVIGLDLQNKSLKGSLSDSLAELDHLSWLNLSSNVLHGTVPSGLFNLSRLKRLDLSVNKISGSIPADLHLPSIEFFNVSYNAFGGAHPNLAGLTKMVVFDISFNEFSGTIDTTICNSSAGIQVLRFSMNFFSGEFPLGFGNCASLEELSIDINAVSGTLPEDLFKLPFLRRLHLQENQLSGSLSSRIGNLSNLELLDLSFNWFSGRIPNIFSRLRKLEYFSLQSNSFSGHLPYSLSNLSPLRTLNLKNNSLIGEITLNCTAMNHLGSLDLGSNLFAGSVPSDLSDCVELKTLNLARNNLVGEIPVSFKKLTSLSYLSLSNNSLSNISSALTILQEIQSLTGLVLTRNFQDSERIPTDGIRGFPNIQLLAIANCGLSGSIPPWLSGFTKLNVLDLSWNHLEGIIPEWIGHLDHLFYLDLSNNSLGGEIPDSLAQMKGLISGSASQPGPPTEDFPFFVRKNISGKGLQYNQVSSFPPSLILCQNRLVGPILPGFGNLKRLLALDLSRNRLSGTIPEELSGMASLETLDLSRNDLTGSIPSSLNKLNFLSSFRVAYNNLSGPIPVGGQFSTFSSSDFEGNPGLCGFHLNPCDAGGHGVSARSVSRRRRNRGVIIGLASGIGLGTSFLVAFAYFLVSRTRHGRQEDSLRVAADSNGDPEAAGSRLVLLFRNMNSSELTIGDILKSTNHFDQSNIIGCGGFGLVYKATLPDERKVAIKRLSGDYFQMEREFQAEIETLSRAQHRNLVLLQGYCKIGSDRLLIYSYMQNGSLDFWLHEKPDGGSILDWEKRLRIAQGAARGLAYLHQSCDPHILHRDIKSSNILLDDNFEAHLADFGLARLILPSDTHVTTDLVGTLGYIPPEYGQSSVATFKGDVYSFGVVLLELLTGKRPVDMCKPKGERELISWVLQMKKEKREAEVFDPHVFDRMLSLQLMKVLQIAGLCLSDSPRSRPPSKQLVSWLDNIGTD >Ma01_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14559177:14570661:1 gene:Ma01_g19130 transcript:Ma01_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKREIFQDLAPLLWNAYGTIAALLQEIVSIYPFLSPPTLSPGASNRVCNALALLQCVASHPDTRILFLNAHIPLYLYPFLNTTSKTKPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFYAVGQVLGSMVTSLAEQPSARLLKHIIRCYLRLSEHPRACGSLNNCLPNMLKDGSFNNYIDDPTTRHWLQQLLHNVGGINRVANLQGGLDHIIGS >Ma01_p19130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14558969:14570661:1 gene:Ma01_g19130 transcript:Ma01_t19130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPQPHCLAPAFGGGPAPPPLSSAAGGGQPVRDRKIASAEQLVLDLCEPDLRENALGELSKREIFQDLAPLLWNAYGTIAALLQEIVSIYPFLSPPTLSPGASNRVCNALALLQCVASHPDTRILFLNAHIPLYLYPFLNTTSKTKPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFYAVGQVLGSMVTSLAEQPSARLLKHIIRCYLRLSEHPRACGSLNNCLPNMLKDGSFNNYIDDPTTRHWLQQLLHNVGGINRVANLQGGLDHIIGS >Ma01_p19130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14558969:14570661:1 gene:Ma01_g19130 transcript:Ma01_t19130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPQPHCLAPAFGGGPAPPPLSSAAGGGQPVRDRKIASAEQLVLDLCEPDLRENALGELSKKREIFQDLAPLLWNAYGTIAALLQEIVSIYPFLSPPTLSPGASNRVCNALALLQCVASHPDTRILFLNAHIPLYLYPFLNTTSKTKPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFYAVGQVLGSMVTSLAEQPSARLLKHIIRCYLRLSEHPRACGSLNNCLPNMLKDGSFNNYIDDPTTRHWLQQLLHNVGGINRVANLQGGLDHIIGS >Ma03_p28670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31779211:31785616:1 gene:Ma03_g28670 transcript:Ma03_t28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MDGRGDPPMSSRHSNLKKSFKLGIRSLLTAFSKEDVEKAFPTITNSERESLYLMFVQVLKSLHENIEEEFESICQETEVGKTLDIIEQLVEEHNLDVLAADKTDIGGITEKILKAKKDEIQDLTSLLQKVDDYNNVMKARIESLKTSQDLSTADIVEQLRSWNGNFEKYHGSLNG >Ma01_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2352610:2358674:-1 gene:Ma01_g03560 transcript:Ma01_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MAVSLQSTSLRPLALDPKPSLSLTSKSFVGLKSQSSGAFGYGPPNVSAGFHCRVHQSLFLRHPTKRGSQGRIFMMPIGTPRVPYRTPGEGTWQWVDLWNALYRERVIFIGEHIDEEFCNQVLATMLYLDSIESSKKMYLYINGPGGDLTPSMAIYDTMQSLKSPVATHCIGHAYNMAGFLLAAGEKGSRVGMPLCRVTLQPPAGAARGQADDIRNEANELLRIRDYLFGELAKKTGQPVEKINKDLSYVKRFNAQEALEYGLIDRILRPARIKADAPRKETAQQGQA >Ma09_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10839217:10846887:-1 gene:Ma09_g15530 transcript:Ma09_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAATEGAYFLHESKNAVGRLAQKLPPPPPPSASADGVKALTDDAVGTADVLPEILRHSLPIKGAAVPEPSSLSFSSRWLVHSSSSSSAPVLSDSHNPLRSYISLPQATFGPKRWQVPLEQPNISASTANELRHDRYSPADSEKIKAALVGYSQIGKAFAVATSIVFGGATILLAYAANSLQLHSIDDIKTKGRDLIQPGADMVKEQMGPFRIWAEKMSRKWHREVDGEAKEKTIIKELARTLGSRTSN >Ma08_p28190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40214963:40222819:1 gene:Ma08_g28190 transcript:Ma08_t28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MLTPRAMLGFRSLRALFDSAGLRRHLSPRQQLSARRLHRESSMDRRTQESGFIHPTAVIHPDAVIGQGVSIGPFCTVDSAVKIGNACQLQAGSHIMGETEIGDSCIIQTGAIVGADLAGRTIIGSHNVIGHHAVVGAKCQDLKYKQGDECFLHVGDQNDIREYCSIHRSSKSSDRTVIGDNNLIMGACHIAHDCKVGNKNIFANNTLLAGHVVVEDYAHTAGAITVHQFCHIGSHSFIGGGSVVTQDVPKFMLVSGDRAELRGLNLEGLRRHGFSNTEIRGLRRAYQKIFMPNESNSGGLDDRLAEVEQDKEVAHFSAVSFMVQSIRESFQQNRRGICKFRNWSAS >Ma08_p28190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40214963:40219609:1 gene:Ma08_g28190 transcript:Ma08_t28190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MLTPRAMLGFRSLRALFDSAGLRRHLSPRQQLSARRLHRESSMDRRTQESGFIHPTAVIHPDAVIGQGVSIGPFCTVDSAVKIGNACQLQAGSHIMGETEIGDSCIIQTGAIVGADLAGRTIIGSHNVIGHHAVVGAKCQDLKYKQGDECFLHVGDQNDIREYCSIHRSSKSSDRTVIGDNNLIMGACHIAHDCKVGNKNIFANNTLLAGHVVVEDYAHTAGAITVHQFCHIGSHSFIGGGSVVTQDVPKFMLVSGDRAELRGLNLEGLRRHGFSNTEIRGLRRAYQKIFMPNESNSGGLDDRLAEVEQDKEVAHFSAVSFMVQSIRESFQQNRRGICKFRNWSAS >Ma08_p28190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40214963:40219605:1 gene:Ma08_g28190 transcript:Ma08_t28190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MLTPRAMLGFRSLRALFDSAGLRRHLSPRQQLSARRLHRESSMDRRTQESGFIHPTAVIHPDAVIGQGVSIGPFCTVDSAVKIGNACQLQAGSHIMGETEIGDSCIIQTGAIVGADLAGRTIIGSHNVIGHHAVVGAKCQDLKYKQGDECFLHVGDQNDIREYCSIHRSSKSSDRTVIGDNNLIMGACHIAHDCKVGNKNIFANNTLLAGHVVVEDYAHTAGAITVHQFCHIGSHSFIGGGSVVTQDVPKFMLVSGDRAELRGLNLEGLRRHGFSNTEIRGLRRAYQKIFMPNESNSGGLDDRLAEVEQDKEVAHFSAVSFMVQSIRESFQQNRRGICKFRNWSAS >Ma07_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21173671:21175686:1 gene:Ma07_g17650 transcript:Ma07_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLFLFLCASSGLLLDAPRALFLRLHKGTDSAGGSTRFRENTQGKGGGGQGVHSGARRELDSGSDGIINNSSAGWKIVRQGFTFPAGAAPFNSCHASTIVEVERGNFLVAYFGGSREGAPDVKIWLQRYNDGCWQPPVMADEQHEVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGCMKRSVDGGITWSDREQLPPGILGPTKNKPILLHDGRLLCGSSVESWNSWGAWLEVTTDCGRSWRKHGPIYIEGETLGVIQPVPYLTARGSVRMLLRSFENIGYVFMAQSEDGGITWSFARPTELPNPNSGIDGVKLRDGRLLLVYNTVSRGVLKVASSVDDGDSWVEIMTLEEDLDMEFSYPAVVQASDELIHVTYTYNRTQIKHIVLQPSDVGEL >Ma04_p32780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32698705:32701528:1 gene:Ma04_g32780 transcript:Ma04_t32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFGGISIPEFMGSFHNLQYLILSSAGLGGLLPHQLGNLSNLQYLDLYNDIFVAPVHEFSIGDALWISHLSSLKHLNLNSVNFQNGTHWLEALNMLPSIVEIYLSDCAIQSVPLSLPHVNFTSLSVLDLSDNLINSTMPSWLSNISGLEHLDLSYNNLQGNIPPTFGNLASLEELNFNNNSLQGGIPTSFKNLCRLQNLILRAINISKDLLELDEIFSGCIKMSLESLDLSETNIRGQLPEWLFQLRKLKSLQLEQNLISGPIPVSIGQLASLQELYLGVNQLNETIPESVWWLSQLVVLDLGHNNLEGVMSEAHFGNLTELKYLILSSNSLALKVKSNWIPPFLLEFLWMGSCKLGPEFPAWLQSQINIFEIDMSNASIIDAMPNWFWSLISTAGSVSISGNQISGHVPNLLHLNNLIELDLSSNYFEGPLPYFPPGLELLDLSNNSFSGTISLDIIMNMPDLLYLSFSENNLSGEIPFSVCQLQALWVLDLSKNMLSGEIPNCWNNSSSIKDMDFSSNNISGVIPETICSIESLLSLHLGSNSLSGELPLSLKGCTKLVLLDAGHNDLKGEIPTWIGESLTSLRFLNLRSNMLDGDIPPNLSKLSALQFIDLADNKLSGSIPRSFGNFTAMKVNGKFSSRMTDATSYKEQMLVTTKGQTQDYKKSLSLMNILDLSDNNLFGEVLEELTSLSGLFSLNLSGNYFTGEIIENISKLQQLESLDLSRNNFSGTIPSGLAALTYLAHLNLSYNNLSGEIPLGNQLLTFTDPSIYTGNPGLCGFPLNQSCKDSETTQGQSNPDDRDENEMIWFYTSMAPGFVVGFWAVWGTLILNKNWNLYYFRFIDNMLDKVYVFTVLKVSRIRKRCCSEQG >Ma11_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19070486:19073540:-1 gene:Ma11_g13910 transcript:Ma11_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHREMALLPLLILLCLFSSLAKGGRFARLGINYGTLGDDLPSAARSVALLRSIGAGAVKIYDANPAILRALAGTRLRVSIMVPNEIIPSLGTNASLADAWVAAYLTPFYPAVRVRYLLVGNEILSYTSLANSTWPFLVPAMVNIHRSLIARSIRDVKVSTTFAMDALGISFPPSAGAFRSDIAEPVIRPLLRFLHKTRSYYFVDAYPYLAWASNPSSIRLDYALFTANSSFNYFDPGSKLTYTNLLDQMLDAVAAAMGRLGFGDVRMAVAETGWPNAGDLDQIGANVHNAATYNRNLVQRMAARPAAGTPARPGALMPVFIFSLYNENQKPGPGTERHWGLLYPHGKMAYDVDLSGRRPLASYPSLPPPENNEPYKGRIWCVFGGGRRAASNATAVGAALAYACGQGNGTCDGIRPGGPCHKPNTIVSHASYAFNSYWQLFRRAGATCFFDGLALQTKTDPSYGSCKYRSLAN >Ma11_p13910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19070486:19073535:-1 gene:Ma11_g13910 transcript:Ma11_t13910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHREMALLPLLILLCLFSSLAAKGGRFARLGINYGTLGDDLPSAARSVALLRSIGAGAVKIYDANPAILRALAGTRLRVSIMVPNEIIPSLGTNASLADAWVAAYLTPFYPAVRVRYLLVGNEILSYTSLANSTWPFLVPAMVNIHRSLIARSIRDVKVSTTFAMDALGISFPPSAGAFRSDIAEPVIRPLLRFLHKTRSYYFVDAYPYLAWASNPSSIRLDYALFTANSSFNYFDPGSKLTYTNLLDQMLDAVAAAMGRLGFGDVRMAVAETGWPNAGDLDQIGANVHNAATYNRNLVQRMAARPAAGTPARPGALMPVFIFSLYNENQKPGPGTERHWGLLYPHGKMAYDVDLSGRRPLASYPSLPPPENNEPYKGRIWCVFGGGRRAASNATAVGAALAYACGQGNGTCDGIRPGGPCHKPNTIVSHASYAFNSYWQLFRRAGATCFFDGLALQTKTDPSYGSCKYRSLAN >Ma11_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26646030:26648936:1 gene:Ma11_g23210 transcript:Ma11_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLISKFAAPSGAIVDPRFCASYPIDLTFFIDAAWLNCDHLAVTDINGNVVFKVEAHKWSLRNRQVVVDASGKPVISMQQKLSSIHDRWLVFKGNSSDPKHLLFSVRRSSAFQFKTELDVFLAANKEEEVCDFKIKGSFRNRSCTVYKGDYSMVIAQMSKEYKLVNGLVSKDAFGVAVNPNTDYSFITALTIIRYKFFKKDTGISDVISDVISVVSSNGISTALISAISAALGGS >Ma03_p11690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9072435:9080446:-1 gene:Ma03_g11690 transcript:Ma03_t11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLEGLHAWEERKGKRSDVESSEDERRRLTIGSLKKKALNASSRFTHSLRKRGKRRSVHRTSSVSIEDVRDVEEERAVYAFRKELISRDLLPDKHDDYHMMLRFLKARKFEHEKAVQMWADMLHWRKEFGTDTILEDFNFEELEEVLQHYPQGYHGVDKEGRPVYIERLGKVEPNKLMHITTVERYIKFHVQEFEKALHEKFPACSIAAKRHIDSTTTILDVHGVGLKNFGKTARDLLLKMQKIDGDYYPETLHQMFIVNAGHGFRLLWNTVKGFLDPKTTAKIHVLGTKYQSALLEIIDSSQLPDFLGGTCTCSVEGGCLKSNKGPWNDPNIMKLEYNAEADSLRHTRRMSGGDEAFVGSYFLKGRSSDTWTLESGSDVDDLVFKPVEHSRLAPVREEIRARDSTAYYSCDEHFVVVDKAMDCVRRGEQSYEKTKDIKYEEQRSYGFSTSNQHDSLSMASHPVTKEDTGEGIFQLFSRVLIASLVKILLFFHVDGFRKRKLSNIRTSDAQNLAVQHSSTVKNLEDHLGPCLERIKRLEMMFDELTKKPAEIPSEKEHMLLESWDRIKHVEFDLEKTKRVLHATVMKQLEFAESLDALQDLKLEKRMFC >Ma03_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9072435:9079982:-1 gene:Ma03_g11690 transcript:Ma03_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLEGLHAWEERKGKRSDVESSEDERRRLTIGSLKKKALNASSRFTHSLRKRGKRRSVHRTSSVSIEDVRDVEEERAVYAFRKELISRDLLPDKHDDYHMMLRFLKARKFEHEKAVQMWADMLHWRKEFGTDTILEDFNFEELEEVLQHYPQGYHGVDKEGRPVYIERLGKVEPNKLMHITTVERYIKFHVQEFEKALHEKFPACSIAAKRHIDSTTTILDVHGVGLKNFGKTARDLLLKMQKIDGDYYPETLHQMFIVNAGHGFRLLWNTVKGFLDPKTTAKIHVLGTKYQSALLEIIDSSQLPDFLGGTCTCSVEGGCLKSNKGPWNDPNIMKLEYNAEADSLRHTRRMSGGDEAFVGSYFLKGRSSDTWTLESGSDVDDLVFKPVEHSRLAPVREEIRARDSTAYYSCDEHFVVVDKAMDCVRRGEQSYEKTKDIKYEEQRSYGFSTSNQHDSLSMASHPVTKEDTGEGIFQLFSRVLIASLVKILLFFHVDGFRKRKLSNIRTSDAQNLAVQHSSTVKNLEDHLGPCLERIKRLEMMFDELTKKPAEIPSEKEHMLLESWDRIKHVEFDLEKTKRVLHATVMKQLEFAESLDALQDLKLEKRMFC >Ma03_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8027730:8030666:1 gene:Ma03_g10650 transcript:Ma03_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPIPAEAPHDLPITDVFPYSTEPNTKILTIKIAGPSSENGEKSVPISPSISISPHLNSPSPPSSAFVSALQSPYISPRALEPPSENNTVPTTTVPSPVSYSGSHSDDIPSTSYTPPSERYDFVADQIDQKPKFSDAAPPRISFSFPVPRISFTKCSDSPSSNAKLRSCDVYIGFHGLNNNLSRFCKWLKSELELQGIASFVADRERYSDTQRHEIADRVICSATFGVIVVTPSSFLNPLSVEEIRFFAQKKNLIPLLFDTEHSEIMSLFDGRLEDKECREAFEGLTRCNEFKLETNDSNWRSCIVKAAGILKSKLGRKSSTVKENGVSEELPFPRNRHFGGREKELTEIEAAFFGCCEVHEIEYPNHTLVKAGSSDGFADEESDTVRTSGKYISLEMRKCKEPTLEAWIEPVMELTSKGRSLQKQRSKHKKSRSGASKGYGNANVFCINGTSGIGKTELALEFAYRYAQRYKLVLWIGGEARCFRQNILNLSTDLGLDVSAEGEKERGRIRSFDEQEFDAFQRVKRELFRDIPYLLVIDNLETEKEWWEGKDLHDLIPRNTGATHVIITTRLSKVMSFEPMQLPLLSLADSLLILRGRRKECSVQEIEVLKKFDERLGRLSFGLSVIGSLLSELAVSPSELLEAIDRISLNDNTFSLGGSEDAFCRNNTFLMKVLVFCFAALDRAKGRSLASRMVLTGAWFASAPVSSTILAAASNNLPTKGSFHQWGKGLTIAFLCGSNCCLSPQARKNEVESALLLVKLGLAKGTLRQPGCWIQFHPITQMFAKMRGGLPPAKAMVHGVMKVGNAATNLDHLWASAFLIFGFKSEPPLVQLKPGDMVFFIKKTALPLAIRAFMTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWHRGSLCWKNRLHSNQKVDEYVWQDVTLLKATLLETRAKLLLRGGLFDNGEELCRTCISIRTVMLGHNHLQTLAAQETLAKLVRYRSKI >Ma04_p11990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8557029:8558592:-1 gene:Ma04_g11990 transcript:Ma04_t11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFSSILLSILQCSSAQPSPGYYLSSRFKPLPGFYHGYNTLWGPRHQTVSADQSSVAIWLDRSSGSGFKSNRPFRSGYFAASIKLQPGDTAGVITAFYLSNNQVHPGFHDEVDIEFLGTTPGRPYKLQTNVYVKGSGDGRVIGREMKFHLWFDPAADFHHYAILWNPNEIIFFVDDIPIRRYPRKSDDTFPLRPMWVYGTIWDASSWATDNGKHRVDYRHQPFVARFTRFVVRGCSAYAPSGCRPVPSSPSGFGLSKQQLAAMAWAQRNYMVYDYCRDDRRDRSLTPECWA >Ma04_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8557022:8558592:-1 gene:Ma04_g11990 transcript:Ma04_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQCSALPWLLPKQQVQAFAWLLPWIQHSVGAPASNSLSRPVLGGHLARQELRQRIQVESPISKRLFCGIDQAPTWRHCRSDHSFLREFFLGQLPLPSCLLACASFDIVLQLSNNQVHPGFHDEVDIEFLGTTPGRPYKLQTNVYVKGSGDGRVIGREMKFHLWFDPAADFHHYAILWNPNEIIFFVDDIPIRRYPRKSDDTFPLRPMWVYGTIWDASSWATDNGKHRVDYRHQPFVARFTRFVVRGCSAYAPSGCRPVPSSPSGFGLSKQQLAAMAWAQRNYMVYDYCRDDRRDRSLTPECWA >Ma04_p11990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8557024:8558592:-1 gene:Ma04_g11990 transcript:Ma04_t11990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFSSILLSILQCSSAQPSPGYYLSSRFKPLPGFYHGYNTLWGPRHQTVSADQSSVAIWLDRSSGLLLLLLPHGSSQSHVNPASAASITGSGFKSNRPFRSGYFAASIKLQPGDTAGVITAFYLSNNQVHPGFHDEVDIEFLGTTPGRPYKLQTNVYVKGSGDGRVIGREMKFHLWFDPAADFHHYAILWNPNEIIFFVDDIPIRRYPRKSDDTFPLRPMWVYGTIWDASSWATDNGKHRVDYRHQPFVARFTRFVVRGCSAYAPSGCRPVPSSPSGFGLSKQQLAAMAWAQRNYMVYDYCRDDRRDRSLTPECWA >Ma10_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17428189:17429609:-1 gene:Ma10_g06000 transcript:Ma10_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPANKDSAKESALPGEDGSAAENAYASFQGLLALARVTGSGSNEARGSCRKCGRVGHLTFQCRNFLSAKDSAVFDKDKDADAIQAAAHTAFEQIKKSSGLEPESSEEEEEESDSSDSDIDPDIEKIIAARFSKKSRKRMEDNSEEKKGSQWWQRRSKKRSDNKNSGGGNDPDSEEEDEKREKRRRHRRSDDDGEEKPRHKHSRKSRKDKKTRRHRKDDSDDESEGKSDRHHRHHHKRKNSQRNDSESDSDEHEQTRKKRAYSENGSESNGSDDLHLRKGKKSSKHRCRNHQRKKRN >Ma10_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32738175:32742109:1 gene:Ma10_g24010 transcript:Ma10_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHWRSTNDPVSSHYQARKIETQLDDQMSSYRRLVSTKPDGSENELESGIEHLLKQLQQVNLQMQTWVSSGSSQIISHTLTRHKEILQDLTQEFFRLRSSLRAKQERSSLLDFRDFDRAKADLEEGADSAEHALLKEHTAISRSSGQMDNVISQAQATLGTLVLQRTTFGGISTKTSNVSSRLPTVNHILSAIRRKKSMDTIILSLVASVCTFLILIYWLSK >Ma10_p24010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32738175:32742109:1 gene:Ma10_g24010 transcript:Ma10_t24010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVHVAESGSKCLPQPHLLPNLLLRTDPEFDHRPAGGWVSMEASSWDALRKQARKIETQLDDQMSSYRRLVSTKPDGSENELESGIEHLLKQLQQVNLQMQTWVSSGSSQIISHTLTRHKEILQDLTQEFFRLRSSLRAKQERSSLLDFRDFDRAKADLEEGADSAEHALLKEHTAISRSSGQMDNVISQAQATLGTLVLQRTTFGGISTKTSNVSSRLPTVNHILSAIRRKKSMDTIILSLVASVCTFLILIYWLSK >Ma11_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23973316:23975063:-1 gene:Ma11_g19000 transcript:Ma11_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKVAVLSVSAIVLVAVVATVAVTVSNRQSRPAQTELSTSNKNIKDFCRPTDYQATCESSLSAVAGNTTDPKELVNLAFQVTIDHMKEAFNHSTVLSEAAKDSRTSDALENCRELLDYAIYDLKSSIDRLDGFSLTKLDKFLDDLKVWISASITYQETCLDGFENTTTDAAESMRKALNSSAELTSNILAIVTNFDSALDSLNLGFNRKLLAEEYPSWISPGNRRLLQQSPAELKPNITVAKDGSGDVKTIGEAVLRVPTKSNYTFVIYIKEGVYEEQVQVNRSLTNVMMIGDGTSKTKITGKLNYIDGTATFKTATVAIVGDGFIGKDLWIENSAGAAKHQAVALRVQSDKSVFYNVRMDGYQDTLYVHTKRQFYRECTISGTIDFIFGDSPTVFQNCLIQARKPLDNQQNIVTAQGRKDRRQASAIILHNCTISADPAYFPARKKLPTFLGRPWKEFSRTFVLQSQLDDLIDPKGWLPWSGDFGLNSCFYTELDNRGPGADMSQRVNWKGVKSIDYAHAQKFTVEHFIQGNAWLPKTGVPFIPGLLPMTESGRIH >Ma05_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36921702:36923359:-1 gene:Ma05_g24750 transcript:Ma05_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMELDLDRLRAVRVIGRGAMGTVFLVTSRCSGATTTDPQPCLPPLFALKVVEKHSPSAKPDSDRRARWELNLLSRLSSAGGDGQRSQSHPFLPSLLGSVETPELLAWAVPFCSGGDLHALRHSLSPDAAFSPTAIRFYLSELVAALAHLHSLRIAYRDLKPENVLLQSSGHITLTDFDLSRHLSTSASPSASSTAPPHPLPPENRPRRRHRRQLTRIFAFGAASAYAEADHHRHHLKKARSARVSPVSRRRISFSTSGTAGGGERSFSFVGTEEYVSPEVVRGEGHGFAVDWWALGVLAYEMAYGRTPFKGRNRKETFRNVLTRPPEFPGGRRCDLTDLIERLLAKDPARRLGSAAGADEVRAHPFFRGVRWEILAKVTRPPFLPPPEEDLEAAVIGLGEDGGFDVRDYFNGLRQPASQPSPSMGSLTEF >Ma05_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7137446:7143262:1 gene:Ma05_g09950 transcript:Ma05_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MGSLPHPDLLLPILILLLSLTPLTSSTPDYDAEELLRSANEDKEWLVSVRRRIHEHPELRFQEHNTSALIRAQLDRLGVPYSFPYAGTGVVAQVGSGEPPVIALRADMDALPLQELVDWEHKSKNDGVMHACGHDAHVAMLLGAAKLLNQRQSKLKGTVRLIFQPAEEGGAGASHMIKDGVLDGVEAIFGMHVNYQVPTGSIESQPGPTQAAVCFFEAKIEGKAGEAAKPHLNVDPLVAASFAILSMQLLTSREDDPLHSQVLSVTYVKGGSSFDETPPFVEFGGTLRSITTEGLHRLQRRVKQVVEGQAAVHQCTAFISMLEEDFPFYPAVVNDAGLHDHVQQVGALLLGRDKVKTGKKIMAGEDFAFYQQLIPGIIFATGIKNESAGPVYPAHSPYFFIDEDVLPIGAALHTAIAELYLNQHSS >Ma05_p31080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41172002:41173651:-1 gene:Ma05_g31080 transcript:Ma05_t31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDPVVATSPSTPSFHLRNANAHLSPHRLDLADNPATPNSSGSKNNNHANDDDAIGDDHSGGGGGGGSGGLDVLEAGSGGSGSSGRRPRGRPRGSKNKPKPPVIITRESPNALRSHVLEIASGTDIMDAVTVFARRRQRGLSILSGTGVVTNVTLRQPAAPPGTVVSLHGRFEILSLSGAFLPAPSPPGATGLTVYLAGGQGQVVGGSVVGELVASGPVMIIAATFTNATYERLPLGEEELDTVAAPPGSEGMPSQQSPGGSGADGVGGSSSQQPHARLAAEPPLLNLPPNLLPNGQMPHEVFGAWASTGSRAPPSY >Ma02_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26088771:26092793:-1 gene:Ma02_g20040 transcript:Ma02_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMVVLCASFSKIWGLRNDVKPAMAGSADGFVVWKDFPRGLKILLLDKDAPSAVETKSKLEEMDYVVSLYHNEEDALEAISKEAESFHVAIVEVTTSDNCGSFRFLEMAKDIPTIVISDVHCLSTMMKCIALGAAEFLQKPVSEDKLRNIWQHVVQKAFNAGGNELSKSLKPIKDTVVSMLQKQSETDEHKIKEKESEKDDKYGCEKTMENDRFSAPSTPQLEQGGRFPTNGEFQEITHCSIAKELPQPGKHSSSVSKSVGNTCDNSVSIANNKDVLPSKAEAEEEVNSIDGSKTDECSAVKERFLPSHFHSVDDLQKNRLSYSDGARNNRKKMKVDWTPELHRQFVQVVEQLGIDQAIPSKIVELMKVEGLTRHNVASHLQKYRMHRRHVLPKDEDRRWQADKDPTQRGYIPRPILAYPPYHTDCGAPTNQIYPFWVHPNYHTHGVGQAGYTRWHPPPQSWPWKTYPVVHADAWGCPVFVTQYGQYPMSSPLQRSLMYSDFDTSGDSNEMFQESYDLHQVKTPHH >Ma05_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4350936:4358622:-1 gene:Ma05_g05730 transcript:Ma05_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKASTIFPLSLHRVSSATASALAVLIGIAVSLVRPPPLLVKKKRMRDFRLLYFFCCLLCFCFPHHGPVKVQAFTGTFGINYGRIADNIPPPESVVTLLKAAKIKNVRIYDADHNVLNAFRGSGLDLVVSVSNDHLKDMSVNEDNALSWVKENVQPFLPDTRIREIVVGNEVLGGSDQELPEILLGAIKSVYNALKRLQLADDIMVSTPHSQAVFVNSFPPSSCTFKEDVLVYMEPILDFFSKIGSPFYVNVYPFLAYTYDPDHIDINYALFESNPGIYDAKTNLHYDNMFDAQIDAAYAALEAAGFDKMEVRVSETGWASSGDENELGATTHNARTYNYNLRKRLFKKKGTPLRPKIVVKAYLFALFNENQKPGASSEKHYGLFKADGSISYDIGFTGLKPSCASPSLLSLKDIHMQSWLAPYSMIITCFIAVIMALTF >Ma05_p05730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4350958:4358626:-1 gene:Ma05_g05730 transcript:Ma05_t05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKASTIFPLSLHRVSSATASALAVLIGIAVSLVRPPPLLVKKKRMRDFRLLYFFCCLLCFCFPHHGPVKVQAFTGTFGINYGRIADNIPPPESVVTLLKAAKIKNVRIYDADHNVLNAFRGSGLDLVVSVSNDHLKDMSVNEDNALSWVKENVQPFLPDTRIREIVVGNEVLGGSDQELPEILLGAIKSVYNALKRLQLADDIMVSTPHSQAVFVNSFPPSSCTFKEDVLVYMEPILDFFSKIGSPFYVNVYPFLAYTYDPDHIDINYALFESNPGIYDAKTNLHYDNMFDAQIDAAYAALEAAGFDKMEVRVSETGWASSGDENELGATTHNARTYNYNLRKRLFKKKGTPLRPKIVVKAYLFALFNENQKPGASSEKHYGLFKADGSISYDIGFTGLKPSCASPSLLSLKDIHMQSWLAPYSMIITCFIAVIMALTF >Ma07_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:697370:697935:-1 gene:Ma07_g00890 transcript:Ma07_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLSRVVDCAQYESLRWSGKGRRHGEPAQGHFPVYVGEEMERFEVRTELLGRPAFVRLLRLSADEYGYEQRGVLRIPCPVPLFRRLLAAASSTEEEEEKKEKEKELLRSFPELLLGSSDRS >Ma02_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23768537:23769972:1 gene:Ma02_g16370 transcript:Ma02_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAETASRPPDSATAAATPPCALFKTWGSQRLLPFVNASGKGKTAVAEAEGASMRNPACGDDAGLDQVREKLLVHLREAADRMKFVVPSPPLPSQQPKDGRPWNLRARRGRPRVPTEIEQHLGGSPSPAVERRAARARPAESDRPRFSISLSREEIEEDIYAVTGCRARRRPRKRPRVVQKQLDGLFPGAWLSEVTVDSYRVPE >Ma09_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6823698:6827574:-1 gene:Ma09_g09990 transcript:Ma09_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCRNMELDREQTMISSSPFVISFLLLVSVPVLFVLAPRVLPPKTLPSIPNRDEMDDLALFRRATLASAGGGGGLRRRAAAPPKIAFMFLTNSDLSFAPLWERFFRGHERLFNVYVHADPSARLLLPPTPSFLGRFIPAKATQRASPTLISAARRLLAAALLDDPTNAFFALLSQHCVPLHSFRFTYHAVLANSDVPLATNGDGVLRRRHRSFIEILSGEPGLWDRYVARGDDVMLPEVTFDQFRVGSQFFILARRHAVMVVRDRRLWKKFKMPCLKSKEDSCYPEEHYFPTLLQMQDPEGCTHYTLTRVNWTDSIGGHPHTYRPPEISGDLIKELRRSNSTYSYLFARKFSPDCLDPLLELVDITIFRD >Ma09_p09990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6823698:6827574:-1 gene:Ma09_g09990 transcript:Ma09_t09990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCRNMELDREQTMISSSPFVISFLLLVSVPVLFVLAPRVLPPKTLPSIPNRDEMDDLALFRRATLASAGGGGGLRRRAAAPPKIAFMFLTNSDLSFAPLWERFFRGHERLFNVYVHADPSARLLLPPTPSFLGRFIPAKATQRASPTLISAARRLLAAALLDDPTNAFFALLSQHCVPLHSFRFTYHAVLANSDVPLATNGDGVLRRRHRSFIEILSGEPGLWDRYVARGDDVMLPEVTFDQFRVGSQFFILARRHAVMVVRDRRLWKKFKMPCLKSKEDSCYPEEHYFPTLLQMQDPEGCTHYTLTRVNWTDSIGGHPHTYRPPEISGDLIKELRRSNSTYSYLFARKFSPDCLDPLLELVDITIFRD >Ma09_p09990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6823698:6827574:-1 gene:Ma09_g09990 transcript:Ma09_t09990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCRNMELDREQTMISSSPFVISFLLLVSVPVLFVLAPRVLPPKTLPSIPNRDEMDDLALFRRATLASAGGGGGLRRRAAAPPKIAFMFLTNSDLSFAPLWERFFRGHERLFNVYVHADPSARLLLPPTPSFLGRFIPAKATQRASPTLISAARRLLAAALLDDPTNAFFALLSQHCVPLHSFRFTYHAVLANSDVPLATNGDGVLRRRHRSFIEILSGEPGLWDRYVARGDDVMLPEVTFDQFRVGSQFFILARRHAVMVVRDRRLWKKFKMPCLKSKEDSCYPEEHYFPTLLQMQDPEGCTHYTLTRVNWTDSIGGHPHTYRPPEISGDLIKELRRSNSTYSYLFARKFSPDCLDPLLELVDITIFRD >Ma04_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6339179:6344547:1 gene:Ma04_g08890 transcript:Ma04_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFVKQAAIYAEARPMYPWFSKLASFTSHHKLVWDVGTSNDQATISVVDHYEQVMATDVSEAQLKHGTRDPKFHYIHTPLSTSDDELVLMDLMTVAQAVHWFNLPRFYSVVKRVLRNPGGVIAVWGYNYRVSSLEDTAKRFLDTTLPCLDPRARYVIDGYRNLPFLSKSVGMGGEGNPNILDMVVLDDEGNNNDGILTNVICSRVTSPRSRRPRSYGGRLPSSDLTAPDRSKPKRRPRHITILQEPGPSRNANADVRRYPMYDPAPYKQAHQETDDGPATDHRDLLGNLPGIDQRLYWPRDHSVKMLTLTLDVTFDRFWGLMRSWSAVATAREQGVELLSEDVVKKLESDRGGSSEVTTITHKVPMPVGTSEQDHMVFDKMLVLLL >Ma10_p25740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33728005:33728354:-1 gene:Ma10_g25740 transcript:Ma10_t25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMSSRRSRITDEEINELISKLQSLLPESRRRSMSRASASKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDSNSPQAEIIRSILRS >Ma04_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24130381:24132464:1 gene:Ma04_g21610 transcript:Ma04_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKKASSRNSHASKAIPNEKKAEKPSGAAVESAAVQKQAVVIIQNARKSASKSAAAEEKVKDKKAETPTNKVDRKVETCGADPVLVVPVVEEFRPTTVVGSSSCTKEELDAILIQCGRLSRSSSGNASNGNGGGHRKYSGSKRSYDFDSEKKAEEEEEECGEKPVSRPSPHRRTPGRERSGSRERGGGGGGGRRVSRSPGRRTEVPASPVATSERSRQPAKMVAVPARDKRRGVSPAAPNKRGRETGAVRSASPQPRSPASTTRISNENASYRAPPPNQPQSLSRSSSRKAEQSPYRRSPMKEVNENILNRTNQNKSSENGAQVSKGFQKNGAAKAVTNAVKSSNPVTDCVREQLMGCRGRDAPAAEEETEKASAKVEVQNPRTITRTRSSRRSSRDLDHALGLNQEALLNPNPYASLLLEDIQNQHPDAAFSVPACVSKAFSIVEAVADLNSGSSENRSYAGDRFSHNNGSLDGRHGRRGLATEQPFVETEIVAKDDLLEPSLHRYVTMTDLGVGDVEPQESAGSNSVVGQPWASPWEPTNSVDSTDQCWTSRSNNGDEVEQEARLSSSSYGGLYQWQQQPQESETRVRRPWAGSSENKRDCYRRTPLHPAGAGAKTGSRSCSLPVSAAAAATT >Ma09_p29570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39997068:40003811:-1 gene:Ma09_g29570 transcript:Ma09_t29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGVDGATAAGRWRFGPNASLASVSASSIRAVLDKVIANIRDDGPRPVLPLGHGDPSAFPCFRTTAVAEDAIVAAVRSANFNCYAPNVGVPPARRAIAEYLSRDLPYKLSTDDIFLTAGCSQAIEIALSVLARPGANILLPRPGFPFYEARAGVNGLEVRHFDLLPEKGWEIDLKAVEALADENTVAMVVINPGNPCGNVFTYQHLAEVANTAKRLGIMVIADEVYDHLVFGSNPFVPMGVFGSTVPVLTLGSISKRWVVPGWRLGWIVTSDPNGVFKETKIVDSLTIMLNITTDPATFIQGAIPQILENTKDDFFEQTINLLRKTADICYDKIKEIDCITCPRKPEGSMFVMAKLNLSHLEGIHDDIDFCSKLAKEESVIILPGVTVGMKNWLRVTFAMDPSSLEEGLGRLKSFCHRHTKNST >Ma07_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31888171:31890203:1 gene:Ma07_g24560 transcript:Ma07_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHDLGCPHQDQERDGPLRLFPPVLPRGRTSMATTASPASPRSCTRRQLVIVDPVVDIVTVHYKCVKLWIKMKPRCPAKEGDLEGWRGAGRDVRVRDLPRARMPSSNFSMLSGFLSFSFLLLPDEAPPATRGRRSPRARRNRETYCRTFCPSYGTVRRTSAPPLSTPARIRNGHQLVV >Ma08_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29495978:29528065:-1 gene:Ma08_g18250 transcript:Ma08_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MANHQGGGGGGAGGLIGHASLPPPIPAGDQTVWADAGPLIVASCSELQDGELIHAENFSLFAAMSALEIMDPKMDSGIENSGYHSIEEAIENNIAPVPLSLDSTLDVQCILDVIDHLFSCEATWHKGHSLAQTVFSCIYLLRIERTSPHSLLHSYCRIMRAICNALVSVVSDARTNEEEDLFAMAYALPLKGEGDEKCLSILNSVEETISRQLRACRAQSSRKKSLEDIEPLQRNPDLEEGYCRALLCRLRFRKHYFHVFMCMRKPHGKGLELARKHVALCLSELSYMSKSLEFLRSLPYGSFQDDIESSTTASGCKPIGFDASLNSTLSAPGPPRATHILSWKKAVGYFQKLLHDLDFVCSFNMEPVLEDVLHYVVQFQKLQPDLVARTHLQILLVQDGKLCGKDSFHDVISRALALPELTADKEFQKNEFIVQLGQLIVSLLKILCTNIAWQRRKLGKILQDWGVLSLQLEMAFKREFGDRLNVMVDENMCMKVSRRLLIWTDEHTYWIASRFLTLGFELELYSPNEYCMVYWYMYVVLMRLMEKMQMRIAINSETCKRKREKKKDHAKDPARDGSLSSSSLLIHCYICLCEGLAMMLAALHNESKAFQMRSPFNGEEERFSQHFDLLQKAHVPDHVSYYLFKESTVHARISGVVKHNYFREAQRITSSLKGSFAGDPNRMLELQQLEQVAEHNRIALNVINQVGADDPSLRVSFEFTHHPHFAMAVVKRS >Ma08_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3275177:3292462:-1 gene:Ma08_g04720 transcript:Ma08_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDLELRRACEAIIDGGGGKQKVVLSIRVAKSRGVWGKAGKLGRHMAKPRVLALTTKAKGQRTKAFLRVLKYSGGGILEPAKIYKLKHLSKLEVVQNDPSGCTFMLGFDNLRSQSVAPPQWTMRNKDDRNRILLCILNICKEILGRIPKVVGIDIVEMALWAKENTTAVSNQLSAEDGRDASAMIQSNAQVTVERDLVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHSLMESESIIEEVLQGLEVATVCVEDMDVWLGIFNVKLRHMREDIESIESRNNKLELQSVSNKALIEELDILLERFEIPAEHEASLTGGSFDEDNMVKNIEACEWLTGALRNLEASSLDPCYARMRGIKEKRAELVLIKCTFVRRASEFLRNYFPSLVDLMLNDKNYFSQRGQLKRPDHADLRYKCRIYARLLLHIKSLDKNCLGPLRKAYCHSLNLLLRREAREFSNELRAGTKVTRSQIVWLEGPMPSNQTSNADSSTVSEAYSKMLTVFIPLLVDESSFFAHFMCFDVSALAPSDACADTDKAVSDGINECTPGKIVAQGNDSAELGILNECLRELLDGLQEDFCSIVDWAYKIDPLCCISMHGITERYLSGQKADTAGFVRLLLDELETRISMLFSRFVDDACYQIEKCERNARQMGVLPYIPRFAILASRMEQYIQGQARDLIDQAYTKIVSIMFVALERTAQIDPKYVDIVLLENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACLRHVSMVIYIHFEKLFQFARRIEELMFNVAPEEIPFQIGMSKVDLRKMLKSSFSGLDKIINAMYRKLQKNITAEELLPSLWEKCKKEFLEKYGSFVQLVVRIYPNETVPSVIEMREALSNL >Ma04_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23590773:23596602:1 gene:Ma04_g20910 transcript:Ma04_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPNLGFLTKRDTEVKLPRPTRVKNKTPATIQITAEQILREARERQEPEIRPPKQKITDVHELADYRLRKRKEFEDLIRRARWNTGAWVKYAAWEESQGDFARARSVWERVLEVDYRNPTLWLKYAELEMRHRFVNHARNVWDRAVALLPRVDQLWYKYIHMEEMLGDVAGARQIFERWMDWHPDTQGWLSYIKFELRYNEVDRARAIYERFVADHPRPSSFIKYAKFEAKRGEISRARAIYERAVDLLSDDEEAEQLFVAFAEFEEWCKETERARCIYRFSLDHVPKGRAEELYRKYVAFEKQYGDRKGIEDAIVSKRRFQYEEEVRKNPLNYDCWFDYIRLEESVGNKDMVREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDMDRTREVYRECLKLIPHKKFSFAKIWLMAAQFEIRQMNLKGARKILGNAIGMAPKDKIFKKYIEIELQLGNITRCRTLYQKYLEWAPANCYAWCKYAELERSLSETERARSLFELAIAQPALDMPELLWKAYIDFEISEGEYERTRQLYERLLDRTKHLKVWISYAKFEASAGGDDEETDDEVLEKNDINNEERQRKRIERSRGVFERAFDYFRTSAPELKEERAMLLEDWLNTESSFGSLGDVSLVQKKLPRKVKKRRPISSEDGTPAGFEEYIDYIFADEVAMAPNLKIMEAAYKWKRQKLGADDD >Ma04_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9833658:9834011:-1 gene:Ma04_g13000 transcript:Ma04_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding INKEGRAAGVLENYAEGEKYAEHSLRRFVRNRNPEIMPAINNFFTNPSK >Ma08_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3244425:3248706:1 gene:Ma08_g04660 transcript:Ma08_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDRVKEEALRMLGLFPVLPKLVVFDLDYTLWPFYCECRSKREMPSLYPHAKGILYALKDKGIDVAIASRSPTSDIAKTFLDKLGIQSMFVAKEIFSSWTHKTEHLQRIHRRTGIPFKSMLFFDDEDRNIEAVSKMGVTSILVDDGVNLEELRSGLRNYASNSASSNTKQSD >Ma06_p28150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29912385:29914421:-1 gene:Ma06_g28150 transcript:Ma06_t28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIIESLVLKLPAISRSAGPGVHPSTTPCFCSIELQDCPSSSHTTPLPVAADPTSTTNLMEAPASAVPSAPVAISLDPATLQRLSGKRARLTVSVYVGRTGSTCGFSSGRLLGRVRVAVDLEIAPARPTVAQSGWVMVGHGRSAARLHLVVRSEPDPRFVFQFGGEPECSPVVYQIPGNCGSSRSGCIRQPVFSCRFSIDRRRSTRSSSISLPSDAASHNNSMRCWFGSLGAKKNQRRREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSDRVSRSDPGAWLILRAIVPPSSSSSSSSSSSSTNWKPWGRLEAWRERGAVDALGCRFELVPDTGPIGGVPIAESSLSVRKGGHFCIDPSVIGDVVAGPWPFLGGFVMGSTVEGEGKASKPSVQVGVQHVSCMADVALFIALSAAIDLSMDACQLFSQKLRKELCKDQQDCSL >Ma10_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25863183:25863379:-1 gene:Ma10_g12870 transcript:Ma10_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINSSPLAVSADEYCSYTEKCIAMTMVG >Ma05_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10548395:10549570:1 gene:Ma05_g14430 transcript:Ma05_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWANLPADLIRCIHGCLEDLMDQINFRCVCVSWQHAVDGNPHHHLRPLPPWLILPVSGHGGGYTLLPSVDLVSYAERRRRKNIRLPWESTDDGLVLMGSAAGWVVAADQEAELHLLNPITGAHARLPSITTLPTIVAVLQTDGRVTGYLFSISPYLIYETSCESTRCMLYDKVTVCSSSSDSWTTMIVFYQGRLAFASAGDERWSLLPTENESWACQVTYHRGKFYSLHNDGSVSAWNLTDGASPRVSFNVQPPRLIDVSILDDFLVGSGDDLLRVSRWRDRLEADEHRTLRFRVFRLAESGWVALQSLGERALFVGSNRGISLSTVAFPEFKADCVYYAKNGVVGICHLKHGLILPHRASIPNLEDGFIVPDYMYSWPPPIWFTPRAF >Ma03_p27760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31036289:31040581:-1 gene:Ma03_g27760 transcript:Ma03_t27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVMAEGAAAAALRTVLQRVRQAAERSGRRAEGVRVVAVGKTKPVSLVRGLYDAGHRCFGENYVQEIMEKAPQLPLDIEWHFIGHLQSNKVKPLLAAVPNLDMVESLDSEKIANHLDRAVASLGRKPLKVLVQVNTSGEESKSGVEPAACVELAKHVKLGCSNLIFSGLMTIGMPDYTSTPENFRMLSNCRNDVCKALGVPEEQFELSMGMSGDFEQAIEMGSTNVRIGSTIFGPREYPKKNQSQ >Ma10_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29480199:29485754:1 gene:Ma10_g18610 transcript:Ma10_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRTLTRAHSFRERIGDSLSSHPNELVALFSRFIQQGKGMLQPHQLLAEYAAVFSEADKEKLKDGAFEDVIKAAQEAIVIPPRVALAIRPRPGVWEYVRVNISELAVEELTVPEYLQFKEELVDESTQNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRKHNYKGMSMMLNDRIQSLSALQAALRKAEQHLLSIASDTPYSEFNHRFQELGLEKGWGDTAQRVYENIHLLLDLLEAPDPCTLENFLGIIPMMFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRALENEMLLRIKRQGLDITPRILIVSRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTENGIIRKWISRFEVWPYLETYTEDVANELAGELQATPDLIIGNYSDGNLVSTLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFENQYHFSCQFTADLVAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADLSIYFPYTEKHKRLTSLHPEIEELLFNPEDNTEHKGVLNDTKKPIIFSMARLDRVKNLTGLVEFYGRNERLKELVNLVVVCGDHGKESKDLEEQAEFKKMYSFIEKYNLHGHIRWISAQMNRVRNGELYRYIADTKGAFVQPAFYEAFGLTVVESMTCGLPTFATVHGGPGEIIVDGVSGFHIDPYQGDKAAEIIVNFFEKCKEDPTCWDKISQGGLKRIEEKYTWKLYSERLMTLSGVYGFWKYVSNLDRRETRRYLEMFYALKYRNLAESVPLAVDGEAAVNGAK >Ma10_p18610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29480188:29485754:1 gene:Ma10_g18610 transcript:Ma10_t18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRTLTRAHSFRERIGDSLSSHPNELVALFSRFIQQGKGMLQPHQLLAEYAAVFSEADKEKLKDGAFEDVIKAAQEAIVIPPRVALAIRPRPGVWEYVRVNISELAVEELTVPEYLQFKEELVDESTQNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRKHNYKGMSMMLNDRIQSLSALQAALRKAEQHLLSIASDTPYSEFNHRFQELGLEKGWGDTAQRVYENIHLLLDLLEAPDPCTLENFLGIIPMMFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRALENEMLLRIKRQGLDITPRILIVSRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTENGIIRKWISRFEVWPYLETYTEDVANELAGELQATPDLIIGNYSDGNLVSTLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFENQYHFSCQFTADLVAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADLSIYFPYTEKHKRLTSLHPEIEELLFNPEDNTEHKGVLNDTKKPIIFSMARLDRVKNLTGLVEFYGRNERLKELVNLVVVCGDHGKESKDLEEQAEFKKMYSFIEKYNLHGHIRWISAQMNRVRNGELYRYIADTKGAFVQPAFYEAFGLTVVESMTCGLPTFATVHGGPGEIIVDGVSGFHIDPYQGDKAAEIIVNFFEKCKEDPTCWDKISQGGLKRIEEKYTWKLYSERLMTLSGVYGFWKYVSNLDRRETRRYLEMFYALKYRNLAESVPLAVDGEAAVNGAK >Ma10_p18610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29480124:29485754:1 gene:Ma10_g18610 transcript:Ma10_t18610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRTLTRAHSFRERIGDSLSSHPNELVALFSRFIQQGKGMLQPHQLLAEYAAVFSEADKEKLKDGAFEDVIKAAQEAIVIPPRVALAIRPRPGVWEYVRVNISELAVEELTVPEYLQFKEELVDESTQNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRKHNYKGMSMMLNDRIQSLSALQAALRKAEQHLLSIASDTPYSEFNHRFQELGLEKGWGDTAQRVYENIHLLLDLLEAPDPCTLENFLGIIPMMFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRALENEMLLRIKRQGLDITPRILIVSRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTENGIIRKWISRFEVWPYLETYTEDVANELAGELQATPDLIIGNYSDGNLVSTLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFENQYHFSCQFTADLVAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADLSIYFPYTEKHKRLTSLHPEIEELLFNPEDNTEHKGVLNDTKKPIIFSMARLDRVKNLTGLVEFYGRNERLKELVNLVVVCGDHGKESKDLEEQAEFKKMYSFIEKYNLHGHIRWISAQMNRVRNGELYRYIADTKGAFVQPAFYEAFGLTVVESMTCGLPTFATVHGGPGEIIVDGVSGFHIDPYQGDKAAEIIVNFFEKCKEDPTCWDKISQGGLKRIEEKYTWKLYSERLMTLSGVYGFWKYVSNLDRRETRRYLEMFYALKYRNLAESVPLAVDGEAAVNGAK >Ma04_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22056497:22066588:1 gene:Ma04_g19470 transcript:Ma04_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKVLDKSEGVMHNLKRKRESGACFTTFGHGLVANPQIPDLRGCNIKRCTNDFSCSIQSQILNKYCNFTKSGLPCRVLSFEDGEWKDFAENIISLVQEDFRLKKAITEAYFKNQHFLLDFVHMVYIDLKTGLQKPIAWIDADGKCYFPEVCPENYGLNRYHHYGKGKQVHMICDPNGTHEIDARLEISVSAAESSSSGSDDEVMSNVKRIKREEHTLCAQGNETVGENEPCPFLPSDISALESWQEKKVRPTGDPRVSAVLDLLLKSLGQVIDAKDILRILKTPAKNDLGMVRFSLFQEQAMVTQKVRGNANVRYAWLSTSKDVVEEVMSKGVLKKPIQKPAFGNGIHLAPANCSNIRASCSDIDENGVVHMMLCRVIMGNMELIPMGSMQHQPSHENFDSGIDDIQNPKRYITWDLNMHTHIYAEYIVTLNLPTNAKEGLVREASISNVSALTNSYSPHSLFQDKCQPSPVVGNQSTGLLSGRAPKTPTSPWMPFSMLFAAISTKVLPEDMDLVNTHYEDFKKKKISRIDLIKKLRQIVGDKLLVSTIMRLQHTLPPMARHPPPKSWSTELQNKPGNGGSPSLFPGWC >Ma04_p19470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22056497:22066588:1 gene:Ma04_g19470 transcript:Ma04_t19470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKVLDKSEGVMHNLKRKRESGACFTTFGHGLVANPQIPDLRGCNIKRCTNDFSCSIQSQILNKYCNFTKSGLPCRVLSFEDGEWKDFAENIISLVQEDFRLKKAITEAYFKNQHFLLDFVHMVYIDLKTGLQKPIAWIDADGKCYFPEVCPENYGLNRYHHYGKGKQVHMICDPNGTHEIDARLEISVSAAESSSSGSDDEVMSNVKRIKREEHTLCAQGNETVGENEPCPFLPSDISALESWQEKKVRPTGDPRVSAVLDLLLKSLGQVIDAKDILRILKTPAKNDLGMVRFSLFQEQAMVTQKVRGNANVRYAWLSTSKDVVEEVMSKGVLKKPIQKPAFGNGIHLAPANCSNIRASCSDIDENGVVHMMLCRVIMGNMELIPMGSMQHQPSHENFDSGIDDIQNPKRYITWDLNMHTHIYAEYIVTLNLPTNAKEGLVREASISNVSALTNSYSPHSLFQDKCQPSPVVGNQSTGLLSGRAPKTPTSPWMPFSMLFAAISTKVLPEDMDLVNTHYEDFKKKKISRIDLIKKLRQIVGDKLLVSTIMRLQHTVRFHSFDCYHQWPDIHLQNPGPRSCKTSLEMVEAPPCFQVGVS >Ma07_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30147457:30153002:1 gene:Ma07_g22090 transcript:Ma07_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKRARADGTTNGGSGATGPKRIRETDSNPSGLGSKSKPCTKFFSTAGCPFGEGCHFLHYVPGGIAAVSQMTNLGNPTVAAARNLMPPSTIPNGLPSSAVKTRMCNKYNTADGCKFGEKCHFAHGEWELGKPIIPSHDGHMAPSMGGRFAANMEPPQPTGLAAATSFGASATAKISVDASLAGAIIGKGGMNTKQICRITGVKLAIREHESDPNLRNIELEGTFDQIKHASSMVRELIVNVSSATSLPPKTSAAGAPNALGSNYKTKLCDNFTKGSCTFGDRCHFAHGASELRKTVL >Ma10_p16520.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28182615:28188214:-1 gene:Ma10_g16520 transcript:Ma10_t16520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLAVLSSHLLQTDPVLPSATHRRAGPVEPLDLASTSSCCAGGGDAGRDEEKGCVFCRIIRDESPAFKLYEDDVCICILDSNPLILGHSLIIPKSHFPSLEATPPPVVAAMCSKIPFLSSCIMKATQCDSFNLLVNNGSAAGQVIFHTHLHIIPRKAGDELWRSESCRRHPIESNQEIDHLVNSIRHLVSSSSSQQ >Ma10_p16520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28182602:28188214:-1 gene:Ma10_g16520 transcript:Ma10_t16520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLAVLSSHLLQTDPVLPSATHRRAGPVEPLDLASTSSCCAGGGDAGRDEEKGCVFCRIIRDESPAFKLYEDDVCICILDSNPLILGHSLIIPKSHFPSLEATPPPVVAAMCSKIPFLSSCIMKATQCDSFNLLVNNGSAAGQVIFHTHLHIIPRKAGDELWRSEKAPHRIKPGNRPSREFNQASGFFFFFPAIAADLIHGSSQRICKIRDPNLVYYLLRTLYIYEYAIIIVYLNRFIALIIILKSVTVSLRF >Ma10_p16520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28182602:28188214:-1 gene:Ma10_g16520 transcript:Ma10_t16520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLAVLSSHLLQTDPVLPSATHRRAGPVEPLDLASTSSCCAGGGDAGRDEEKGCVFCRIIRDESPAFKLYEDDVCICILDSNPLILGHSLIIPKSHFPSLEATPPPVVAAMCSKIPFLSSCIMKATQCDSFNLLVNNGSAAGQTHLHIIPRKAGDELWRSEKAPHRIKPGNRPSREFNQASGFFFFFPAIAADLIHGSSQRICKIRDPNLVYYLLRTLYIYEYAIIIVYLNRFIALIIILKSVTVSLRF >Ma10_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28182602:28188214:-1 gene:Ma10_g16520 transcript:Ma10_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLAVLSSHLLQTDPVLPSATHRRAGPVEPLDLASTSSCCAGGGDAGRDEEKGCVFCRIIRDESPAFKLYEDDVCICILDSNPLILGHSLIIPKSHFPSLEATPPPVVAAMCSKIPFLSSCIMKATQCDSFNLLVNNGSAAGQTHLHIIPRKAGDELWRSESCRRHPIESNQEIDHLVNSIRHLVSSSSSQQ >Ma10_p16520.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28182440:28188214:-1 gene:Ma10_g16520 transcript:Ma10_t16520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLAVLSSHLLQTDPVLPSATHRRAGPVEPLDLASTSSCCAGGGDAGRDEEKGCVFCRIIRDESPAFKLYEDDVCICILDSNPLILGHSLIIPKSHFPSLEATPPPVVAAMCSKIPFLSSCIMKATQCDSFNLLVNNGSAAGQVIFHTHLHIIPRKAGDELWRSEKAPHRIKPGNRPSREFNQASGFFFFFPAIAADLIHGSSQRICKIRDPNL >Ma05_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10482376:10488271:-1 gene:Ma05_g14380 transcript:Ma05_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVMNMTTAALDWVSVAFDAPLARAVVFGVHIDGHLVVEVLLVAVILFQLTRKSYKPPKKPLTEKEIDDLCEEWVPEPLHPSISEDMKAEPPTLESAAGPHTIIDGKEVVNFASANYLGLIGNEKIIDSCVSSLEKYGVGSCGPRGFYGTIDVHLDCEERIAKFMGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWGVQNGLHLSRSTVVYFKHNDMKSLENTLEKLTRGNKQAEKIRRYIVVEAVYQNSGQIAPLDEIVQLKEKYHFRVILDESHSFGVLGNSGRGLAEYYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPFLASAAVSAVNYLEGNPSVLTSLRSNIALLWKGLSDVPGLTIGSHPLSPIVFLKLKKSTGSSKGDLELLNNIADRMLKEDSVFIVSTKRSVLDKCRLPVGIRLFVSAGHSESDIRKVSDSLRRVATTTLAEHL >Ma08_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36953924:36955479:-1 gene:Ma08_g23620 transcript:Ma08_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLFPTAFCFFFFFFFVCSPLFLFFCNASAALLESLPTLSFEEGYAQLFGGGNLILLRDGKRVRISLDERTGAGFTSQDLYLQGFFSASIKLPADYAAGVVVAFYMSNGDVFPKTHDELDFEFLGNIRGREWRVQTNVYGNGSTAVGREERYELWFDPTEDFHRYSILWNHERITFYIDDIPIRETVRSEAMAGCFPSKPMSLYSTIWDGSGWATSGGRYKVNYRYAPYVAEFQDFVIHGCAVNPMDHSSACERPDAAIYGSSTMSPEQRAQMDGFRRRHMTYYYCYDRDRYPTPPAECKIDQIETRQYYGPDGVRYGGHRRRRGGPRHNRSSVAQADAAL >Ma04_p28880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29882021:29884371:1 gene:Ma04_g28880 transcript:Ma04_t28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASESGAAAVGEPLIKHRGWKTMPFVIGNETFEKLATIGTLSNLLVYLTVVFHLSSVAAATSLNVFNGTTNLATILGAFVSDTYWGRYATLGFSSMASLLGMAIMTLTAAVSKLHPPPCIQDQTCEGPTSFQLFFLILGFGLLVIGSGGIRPCNIAFGADQFDPTTESGKKGINSFFNWYYFTLTIAVAFSSTVIIYLQSNVSWTLGFAIPTMLMAVSCVFFFVASRIYVKVKPEGSPVTSIVQVLVAAFRKRGMKLPDDPKQALFNPPHVSTLVAKLSYTDQFKFLDKASIIYSADEINPNGSAANPWKLCSIQQVEEVKCVARIIPIWSTGILYYIAVAQQTTYVVFQALQSDRHVSKNLEIPGASFTIFSSIALTVWIPLYDRIVVPLLQRITKKDGGISLLQRMGIGIVLSIVAMFVSGLVEERRRSIALHYPSIGTTTGGGGISAMSSFWLVPQLLLLGLSEAFNLVSQLEFLYKQFPENMRSLAGSLLFCGIAIASYLSGLMVMIIHHATADNGQGKWLAQDLNEGRLELFYYFIGVIGAVNFIYFIACAKWYRYRILDGESH >Ma03_p02590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1746971:1753464:1 gene:Ma03_g02590 transcript:Ma03_t02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVGSTLLRMATETGVSGFGIFVWLITAGSFALLAVVYAFLKFQRQASLNWVKAAAREKKKAWENLKCPTSPHVWTEDNSHSGQPSACCVCLYSFVSPQTFGDDRTCDVPIHRCSVCGVAAHFHCSGYATTDCKHVAQAGASRLLHHWSERWVDLDENSELSCFCYYCDEPCGIPFLGASPVWRCLWCQCLIHVDCHAKLLKETGNVCDLGPLRRLILSPLSVKEMGGKQGNSGMLNSIKEEIIASSVKGRMRRRRNRSKYGSNHSASFGVSINKLQNAVEENLLLEPMLRSLAGWSKSNEKNNSTLASFRITRNGHHQKNKETVAANGKDYYNLVDLPQDARPLLVFINGKSGAQNGTSLRRRLNMLLNPVQVFELSATQGPEVGLKLFRNIQYFRILVCGGDGTVAWVLDAIEKENFESPPPVAILPLGTGNDLSRVLQWGGGFSSVEGQGGLRALLQHIDHAAVTMLDRWSVTINEHNSEQSENAKQTKFMTNYLGIGCDAKVAYDFHMTREERPDKFYSQFVNKLRYAKEGAKDIMDRACADLPWQVKLEVDGHEVEIPEDAEGVLVLNISSYMGGVDLWQNDYEHDDDFDMQSMHDKTLEVVCISGTWHLGKLQVGLSQAQRLAQGKVIRLHVHSPFPVQIDGEPWIQQPGCLEITHHGQVFMLRRASEEPTGHAAAIMTEVLVNAECSGLINSAQKRLLLQQMALRLSS >Ma03_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1747089:1753464:1 gene:Ma03_g02590 transcript:Ma03_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVGSTLLRMATETGVSGFGIFVWLITAGSFALLAVVYAFLKFQRQASLNWVKAAAREKKKAWENLKCPTSPHVWTEDNSHSGQPSACCVCLYSFVSPQTFGDDRTCDVPIHRCSVCGVAAHFHCSGYATTDCKHVAQAGASRLLHHWSERWVDLDENSELSCFCYYCDEPCGIPFLGASPVWRCLWCQCLIHVDCHAKLLKETGNVCDLGPLRRLILSPLSVKEMGGKQGNSGMLNSIKEEIIASSVKGRMRRRRNRSKYGSNHSASFGVSINKLQNAVEENLLLEPMLRSLAGWSKSNEKNNSTLASFRITRNGHHQKNKETVAANGKDYYNLVDLPQDARPLLVFINGKSGAQNGTSLRRRLNMLLNPVQVFELSATQGPEVGLKLFRNIQYFRILVCGGDGTVAWVLDAIEKENFESPPPVAILPLGTGNDLSRVLQWGGGFSSVEGQGGLRALLQHIDHAAVTMLDRWSVTINEHNSEQSENAKQTKFMTNYLGIGCDAKVAYDFHMTREERPDKFYSQFVNKLRYAKEGAKDIMDRACADLPWQVKLEVDGHEVEIPEDAEGVLVLNISSYMGGVDLWQNDYEHDDDFDMQSMHDKTLEVVCISGTWHLGKLQVGLSQAQRLAQGKVIRLHVHSPFPVQIDGEPWIQQPGCLEITHHGQVFMLRRASEEPTGHAAAIMTEVLVNAECSGLINSAQKRLLLQQMALRLSS >Ma09_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:184571:187236:1 gene:Ma09_g00190 transcript:Ma09_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEA >Ma04_p27520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28708890:28715500:1 gene:Ma04_g27520 transcript:Ma04_t27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGTTAASTATNGSGGGGGGLSRRRQRNSSGFRDSPEDDGRMEMPETSRLRDRGAKKDRDRDRSSRRKRRRGERMLHGSNRDEGDQSSENSIDEDEEDEDDDLFVPVRLPTPSPPLPNPGGACSSSQQNHHHHHHQQQQQQLLPRKNLPPKVMRWKTDAMIGVTIPRKARSASKRSHESLVLGGGGGGGEQITQQASISPSSLSPASATQLTPPSSNGSLRKKMHQKQITGAKHRPPKISKSTSFGQDEIEIEVAEVLYGMTRRFECLPKQDNHKLDSKDVDGGSGNEAKSRVSSPSSPSPSPAAYPSALPSSNSCSNPAPLPTIAPKRKRPRPVRFDEESSTSPVGLYNLSSISLSSITKMDPEHQIKAEASSPRSEKNNASPAIIQGGGSADVLVSQAGLSDMQQQESSKTEKKDLHPSSGGSDARDGVENKEELVSPAKDSTCTDVDANLRETPARKIVPDSPKEVKMKIDLMAPPPGKLSPDAGDLHDFDSDLKQQGPEIEMALKMNNENKEEKATESALVRDGQQTEKSMEKDFDLKKQVAIKQNLDLQLDLENPKQDIIVTDKVQMSKLQVKDPKAEPKQEKSASASSLHVPVTVGAWPGTFPPYGYIGQVPHLQAVVPMDATACHSNSSQLPALHHMHSRPKRCITHCYIAQMISNHQKFARMNSFWTAAAGAAPFLGVKNCNLTSEAALSGKPMLGSFLGMNIGSMEEGKATPALIAAYTGLTSQEKMPAATKLETTQRKQLILQQMPQSGTATNMPHGPAFMFPINHQQNATASTVAAAANRAGAAKSSTGAGAAELRVPGDSGSAVGNGGSGGSASPMMNLSFNGFPSNEAQYLAFLQSNAYPFPMPPHITGATPFRGASYPQAMPFFYPSHMLHPSQLRPQQQHAVLPLPPHAQQSHQNPGTSNGSSQKQLQQSQCIGESGAGRTGSSSHSFLANQQHDLPQHSHAQEYSKGMEDNLSVANAAIAISSGGGHGDKQAIYQQAHQKQNVKVDFTSPQPFGIPGASFGGILSAPPGIDFSSIAQNHAIFQSLPDASRYGYHQMAAAAAQAAEQKKVHKVAEDGKPVARELVNTNMTSEDNRKIMSASKGPGNCPQNSFTSTKSEGEPPISSVVGNNVVAASRSLNLIQATANGGVSADRSPGIGTASTPAPTVTTSIANSPQQQHHFYQIQKQQQQQLQMHHQLASSRTLPSATSNNVNVHPERPGDSTSTKFPQSLTSYPQALIQGGSPTQWPQAKTSAARGAVPAATAPTPVVKNSLLQLQGRVSQQPLPTQSHQAQISFGTTSSKVVPSGGQHLLGACGSLSPSSAAVAVGSPSNSNSASKSAGGSPRSCTSVKPGPQSSAIPLPQQSTVKQSALGSISKSLPMSNSSMPSILGHPQKVPGHSSNTKQQQPSQQLQKQQPFSQAQLFFSNPHMQQVASAQPGASAPNAAQYYHKRQPEQTQRQSQQQQQQNSAVSSSGMLSVCASSALMPAGSSTSSDPAKAVTAMNSMKGLPPPCFFGAAQLAVAAQSASGSPHPSIPATFAYMSLPSVSMKPSAEQKPAAG >Ma04_p27520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28708890:28715500:1 gene:Ma04_g27520 transcript:Ma04_t27520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGTTAASTATNGSGGGGGGLSRRRQRNSSGFRDSPEDDGRMEMPETSRLRDRGAKKDRDRDRSSRRKRRRGERMLHGSNRDEGDQSSENSIDEDEEDEDDDLFVPVRLPTPSPPLPNPGGACSSSQQNHHHHHHQQQQQQLLPRKNLPPKVMRWKTDAMIGVTIPRKARSASKRSHESLVLGGGGGGGEQITQQASISPSSLSPASATQLTPPSSNGSLRKKMHQKQITGAKHRPPKISKSTSFGQDEIEIEVAEVLYGMTRRFECLPKQDNHKLDSKDVDGGSGNEAKSRVSSPSSPSPSPAAYPSALPSSNSCSNPAPLPTIAPKRKRPRPVRFDEESSTSPVGLYNLSSISLSSITKMDPEHQIKAEASSPRSEKNNASPAIIQGGGSADVLVSQAGLSDMQQQESSKTEKKDLHPSSGGSDARDGVENKEELVSPAKDSTCTDVDANLRETPARKIVPDSPKEVKMKIDLMAPPPGKLSPDAGDLHDFDSDLKQQGPEIEMALKMNNENKEEKATESALVRDGQQTEKSMEKDFDLKKQVAIKQNLDLQLDLENPKQDIIVTDKVQMSKLQVKDPKAEPKQEKSASSLHVPVTVGAWPGTFPPYGYIGQVPHLQAVVPMDATACHSNSSQLPALHHMHSRPKRCITHCYIAQMISNHQKFARMNSFWTAAAGAAPFLGVKNCNLTSEAALSGKPMLGSFLGMNIGSMEEGKATPALIAAYTGLTSQEKMPAATKLETTQRKQLILQQMPQSGTATNMPHGPAFMFPINHQQNATASTVAAAANRAGAAKSSTGAGAAELRVPGDSGSAVGNGGSGGSASPMMNLSFNGFPSNEAQYLAFLQSNAYPFPMPPHITGATPFRGASYPQAMPFFYPSHMLHPSQLRPQQQHAVLPLPPHAQQSHQNPGTSNGSSQKQLQQSQCIGESGAGRTGSSSHSFLANQQHDLPQHSHAQEYSKGMEDNLSVANAAIAISSGGGHGDKQAIYQQAHQKQNVKVDFTSPQPFGIPGASFGGILSAPPGIDFSSIAQNHAIFQSLPDASRYGYHQMAAAAAQAAEQKKVHKVAEDGKPVARELVNTNMTSEDNRKIMSASKGPGNCPQNSFTSTKSEGEPPISSVVGNNVVAASRSLNLIQATANGGVSADRSPGIGTASTPAPTVTTSIANSPQQQHHFYQIQKQQQQQLQMHHQLASSRTLPSATSNNVNVHPERPGDSTSTKFPQSLTSYPQALIQGGSPTQWPQAKTSAARGAVPAATAPTPVVKNSLLQLQGRVSQQPLPTQSHQAQISFGTTSSKVVPSGGQHLLGACGSLSPSSAAVAVGSPSNSNSASKSAGGSPRSCTSVKPGPQSSAIPLPQQSTVKQSALGSISKSLPMSNSSMPSILGHPQKVPGHSSNTKQQQPSQQLQKQQPFSQAQLFFSNPHMQQVASAQPGASAPNAAQYYHKRQPEQTQRQSQQQQQQNSAVSSSGMLSVCASSALMPAGSSTSSDPAKAVTAMNSMKGLPPPCFFGAAQLAVAAQSASGSPHPSIPATFAYMSLPSVSMKPSAEQKPAAGSDNLQSWQAEKR >Ma04_p27520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28708890:28715500:1 gene:Ma04_g27520 transcript:Ma04_t27520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGTTAASTATNGSGGGGGGLSRRRQRNSSGFRDSPEDDGRMEMPETSRLRDRGAKKDRDRDRSSRRKRRRGERMLHGSNRDEGDQSSENSIDEDEEDEDDDLFVPVRLPTPSPPLPNPGGACSSSQQNHHHHHHQQQQQQLLPRKNLPPKVMRWKTDAMIGVTIPRKARSASKRSHESLVLGGGGGGGEQITQQASISPSSLSPASATQLTPPSSNGSLRKKMHQKQITGAKHRPPKISKSTSFGQDEIEIEVAEVLYGMTRRFECLPKQDNHKLDSKDVDGGSGNEAKSRVSSPSSPSPSPAAYPSALPSSNSCSNPAPLPTIAPKRKRPRPVRFDEESSTSPVGLYNLSSISLSSITKMDPEHQIKAEASSPRSEKNNASPAIIQGGGSADVLVSQAGLSDMQQQESSKTEKKDLHPSSGGSDARDGVENKEELVSPAKDSTCTDVDANLRETPARKIVPDSPKEVKMKIDLMAPPPGKLSPDAGDLHDFDSDLKQQGPEIEMALKMNNENKEEKATESALVRDGQQTEKSMEKDFDLKKQVAIKQNLDLQLDLENPKQDIIVTDKVQMSKLQVKDPKAEPKQEKSASASSLHVPVTVGAWPGTFPPYGYIGQVPHLQAVVPMDATACHSNSSQLPALHHMHSRPKRCITHCYIAQMISNHQKFARMNSFWTAAAGAAPFLGVKNCNLTSEAALSGKPMLGSFLGMNIGSMEEGKATPALIAAYTGLTSQEKMPAATKLETTQRKQLILQQMPQSGTATNMPHGPAFMFPINHQQNATASTVAAAANRAGAAKSSTGAGAAELRVPGDSGSAVGNGGSGGSASPMMNLSFNGFPSNEAQYLAFLQSNAYPFPMPPHITGATPFRGASYPQAMPFFYPSHMLHPSQLRPQQQHAVLPLPPHAQQSHQNPGTSNGSSQKQLQQSQCIGESGAGRTGSSSHSFLANQQHDLPQHSHAQEYSKGMEDNLSVANAAIAISSGGGHGDKQAIYQQAHQKQNVKVDFTSPQPFGIPGASFGGILSAPPGIDFSSIAQNHAIFQSLPDASRYGYHQMAAAAAQAAEQKKVHKVAEDGKPVARELVNTNMTSEDNRKIMSASKGPGNCPQNSFTSTKSEGEPPISSVVGNNVVAASRSLNLIQATANGGVSADRSPGIGTASTPAPTVTTSIANSPQQQHHFYQIQKQQQQQLQMHHQLASSRTLPSATSNNVNVHPERPGDSTSTKFPQSLTSYPQALIQGGSPTQWPQAKTSAARGAVPAATAPTPVVKNSLLQLQGRVSQQPLPTQSHQAQISFGTTSSKVVPSGGQHLLGACGSLSPSSAAVAVGSPSNSNSASKSAGGSPRSCTSVKPGPQSSAIPLPQQSTVKQSALGSISKSLPMSNSSMPSILGHPQKVPGHSSNTKQQQPSQQLQKQQPFSQAQLFFSNPHMQQVASAQPGASAPNAAQYYHKRQPEQTQRQSQQQQQQNSAVSSSGMLSVCASSALMPAGSSTSSDPAKAVTAMNSMKGLPPPCFFGAAQLAVAAQSASGSPHPSIPATFAYMSLPSVSMKPSAEQKPAAGSDNLQSWQAEKR >Ma11_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1264505:1274167:-1 gene:Ma11_g01790 transcript:Ma11_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYATAVNVMLAVQEKKTAAADLYRSLRQYIAVTYGEREAQAAEDDLDAVRQLRLDLEKPPDAAASSTGSRRDLLLAYYRALSLIEPRFPISPDRAHVHSLTFTWFDAFKPSKKASQQSIHLEKAAVLFNLGAVYSQIALSADRADAAGLRQACNAFQSAAGAFAYLKDNAAARATASGATTVDLSVECAGMLEKLMLAQAQECFFEKVIGDAKPPVICSKVARQVGLYYEETYAALNAPPLNQHFDRTWISHVQLKAAQYYAEACYRYSMDLHEREEIAEEITRLKIGISAVADAKKSARGVAQPLLDAVNKLETDMNRNLERAMKENNRVYLMRVPEASSLAALPAAPLVKPTPMADVLDASKERLFSRLVPDSSTRALSKYTDMVDNIIRTQAEKLQQGSEITRVKLKEMDLPDSILALEGNFNLPSDLKEDAEAVQISGGPSGLEAELQQLRDLRRVNQELLVQTEELLQKEATEDAQFRTQFGTRWTRPQSSTLTKNLQDRLNKFAANLKQAADSDARIERTVRDNFDLMAILDHRPIESALPSLARPIMSLDGNEDAIVGALKLSLRQLENLGAQRAGLEDMLKEMKRKDDILPKLMANTGSQEDLFRKEISKYDQICEEIAQNIEAQEQLLLQIQAQNDEFSAVFNLEDYKVAREKCYKQISAAIAKYREIKENINDGLKFYVTLQDAITNIKQQCSDFVMTRNIQCRDMIEDVQRQLAGLNFKGDGKVGYNYPSAEQSNLQRISSQQAEPQSVPPHPAMTHHHPPREQPRPGYSHPYPTYPTPQQAPYYSPSGQFHHPQLQSNQEYGQPAYPGWRGPYYNAHQQQPGSHPPPPYTIPSPYPPSHQGNYYRPQ >Ma07_p09140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6857346:6870535:-1 gene:Ma07_g09140 transcript:Ma07_t09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHLRRRQPPPRPRDAAGSLVFSFLFVAVFFGLACLAGAGDSDAANATEALKGEGNKEGSFADMIDRALEKEFPENEQNGGETDPGGFNNSVAEKQAVLETVARVTTKKNETKEEKSFQFQDVFNLDNENRAEDIPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFRFISEMVQVETVAQFGVIFLLFALGLEFSITKLRVVRAVAIVGGFLQIALFMCLCGIIASLCGGKTSEGIFVGAFLSMSSTAVVLKFLMERNSVNALHGQVTVGTLILQDCAVGLLFALLPVLGGTSGIFQGLVSVTKSLVVLCTFLAILSILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLSQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILFAAVILVIIVKTVVVTIVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFNSDSSELGYKGDCLRSDSANKRIALMIQGSHDL >Ma07_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6857346:6870535:-1 gene:Ma07_g09140 transcript:Ma07_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHLRRRQPPPRPRDAAGSLVFSFLFVAVFFGLACLAGAGDSDAANATEALKGEGNKEGSFADMIDRALEKEFPENEQNGGETDPGGFNNSVAEKQAVLETVARVTTKKNETKEEKSFQFQDVFNLDNENRAEDIPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFRFISEMVQVETVAQFGVIFLLFALGLEFSITKLRVVRAVAIVGGFLQIALFMCLCGIIASLCGGKTSEGIFVGAFLSMSSTAVVLKFLMERNSVNALHGQVTVGTLILQDCAVGLLFALLPVLGGTSGIFQGLVSVTKSLVVLCTFLAILSILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLSQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILFAAVILVIIVKTVVVTIVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFNSDSSEFHVQLGYKGDCLRSDSANKRIALMIQGSHDL >Ma07_p09140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6857346:6870535:-1 gene:Ma07_g09140 transcript:Ma07_t09140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHLRRRQPPPRPRDAAGSLVFSFLFVAVFFGLACLAGAGDSDAANATEALKGEGNKEGSFADMIDRALEKEFPENEQNGGETDPGGFNNSVAEKQAVLETVARVTTKKNETKEEKSFQFQDVFNLDNENRAEDIPTLIDRKVVQVCRKPSILHDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFRFISEMVQVETVAQFGVIFLLFALGLEFSITKLRVVRAVAIVGGFLQIALFMCLCGIIASLCGGKTSEGIFVGAFLSMSSTAVVLKFLMERNSVNALHGQVTVGTLILQDCAVGLLFALLPVLGGTSGIFQGLVSVTKSLVVLCTFLAILSILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLSQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILFAAVILVIIVKTVVVTIVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFNSDSSEFHVQLGYKGDCLRSDSANKRIALMIQGSHDL >Ma07_p09140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6857346:6870535:-1 gene:Ma07_g09140 transcript:Ma07_t09140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHLRRRQPPPRPRDAAGSLVFSFLFVAVFFGLACLAGAGDSDAANATEALKGEGNKEGSFADMIDRALEKEFPENEQNGGETDPGGFNNSVAEKQAVLETVARVTTKKNETKEEKSFQFQDVFNLDNENRAEDIPTLIDRKVVQVCRKPSILHDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFRFISEMVQVETVAQFGVIFLLFALGLEFSITKLRVVRAVAIVGGFLQIALFMCLCGIIASLCGGKTSEGIFVGAFLSMSSTAVVLKFLMERNSVNALHGQVTVGTLILQDCAVGLLFALLPVLGGTSGIFQGLVSVTKSLVVLCTFLAILSILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLSQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILFAAVILVIIVKTVVVTIVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFNSDSSELGYKGDCLRSDSANKRIALMIQGSHDL >Ma05_p28170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39243484:39255951:1 gene:Ma05_g28170 transcript:Ma05_t28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILVCLSAWKQESEIITPFRVAAAMSRNGKSSSNKKQHIETLGKPSSPVSLNGIVSSIPEETVDQNAENNSETLSSASDLSPKDDISATESLLRMESHKQQTEIILESFKNSNFFIRIIESDEQLWSRRNDPSAMNSEVVGRRSHPNNGSKKVPKSNVFSAVVDKGSFDGNASGGVARDTVKCYSLSNGDIVVLLEVNVGVNNLKDPVLEVIQFEKYQSSNSAFEDHNNLLVPNIDDPCRELLNWLIPLDRTLPSSRPLSPPLTSSVSQKSAYPASGSQIFSFGHFRSYSMPSFPQITGPPASITSFSSSKPAFDLEDFDRLSQEKLMKNQDTRNEGLLSFRGVALEPQRFSAHCGLEGIYLPGRRWQRKLEIIQPVEIHSFATECNTEDLLCVQIKNVSPAHIPEIIIFLDAIAIVSEEEASKCGPPLLLPIASIETGNGHSLPDLPLRRGEEHSFILKLATTANKDHKGNNEAIPYSRTGAAASNTHKMSSISEGVTVFSPVNQFAILVSCRCNYTESKLFFKHITDWQPRIARDLMISITSESHQQTGSPNVRAPQLPVKVLTLKATNLSSEDLTFTVLAPETSSSPSVLSLSSTPKAPMNSYAAFHDYVPRIRDKSESIVQSQSSVHIATKSQNESQQTAIKSDVISRNSSGLTHLWLQSAVPLGCIPARSSATVKLELLPLTDGIITLDTLQIAVKEKGLTFIPEHSLMIHATSSIATGIS >Ma05_p28170.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39243470:39255951:1 gene:Ma05_g28170 transcript:Ma05_t28170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHILVCLSAWKQESEIITPFRVAAAMSRNGKSSSNKKQHIETLGKPSSPVSLNGIVSSIPEETVDQNAENNSETLSSASDLSPKDDISATESLLRMESHKQQTEIILESFKNSNFFIRIIESDEQLWSRRNDPSAMNSEVVGRRSHPNNGSKKVPKSNVFSAVVDKGSFDGNASGGVARDTVKCYSLSNGDIVVLLEVNVGVNNLKDPVLEVIQFEKYQSSNSAFEDHNNLLVPNIDDPCRELLNWLIPLDRTLPSSRPLSPPLTSSVSQKSAYPASGSQIFSFGHFRSYSMPSFPQITGPPASITSFSSSKPAFDLEDFDRLSQEKLMKNQDTRNEGLLSFRGVALEPQRFSAHCGLEGIYLPGRRWQRKLEIIQPVEIHSFATECNTEDLLCVQIKNVSPAHIPEIIIFLDAIAIVSEEEASKCGPPLLLPIASIETGNGHSLPDLPLRRGEEHSFILKLATTANKDHKGNNEAIPYSRTGAAASNTHKMSSISEGVTVFSPVNQFAILVSCRCNYTESKLFFKHITDWQPRIARDLMISITSESHQQTGSPNVRAPQLPVKVLTLKATNLSSEDLTFTVLAPETSSSPSVLSLSSTPKAPMNSYAAFHDYVPRIRDKSESIVQSQSSVHIATKSQNESQQTAIKSDVISRNSSGLTHLWLQSAVPLGCIPARSSATVKLELLPLTDGIITLDTLQIAVKEKGLTFIPEHSLMIHATSSIATGIS >Ma05_p28170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39241860:39255951:1 gene:Ma05_g28170 transcript:Ma05_t28170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIRAAHPVVPEVSNVAETENHKGVTPISRQTSTLEGLIAEDPFQSPSTGDDADTDSDVITDVGSSTPAPTSKNQGNHTDVLEDDGWITIPYKELPDNWTDAADIQQLRSLDRSFIFPGEHMHILVCLSAWKQESEIITPFRVAAAMSRNGKSSSNKKQHIETLGKPSSPVSLNGIVSSIPEETVDQNAENNSETLSSASDLSPKDDISATESLLRMESHKQQTEIILESFKNSNFFIRIIESDEQLWSRRNDPSAMNSEVVGRRSHPNNGSKKVPKSNVFSAVVDKGSFDGNASGGVARDTVKCYSLSNGDIVVLLEVNVGVNNLKDPVLEVIQFEKYQSSNSAFEDHNNLLVPNIDDPCRELLNWLIPLDRTLPSSRPLSPPLTSSVSQKSAYPASGSQIFSFGHFRSYSMPSFPQITGPPASITSFSSSKPAFDLEDFDRLSQEKLMKNQDTRNEGLLSFRGVALEPQRFSAHCGLEGIYLPGRRWQRKLEIIQPVEIHSFATECNTEDLLCVQIKNVSPAHIPEIIIFLDAIAIVSEEEASKCGPPLLLPIASIETGNGHSLPDLPLRRGEEHSFILKLATTANKDHKGNNEAIPYSRTGAAASNTHKMSSISEGVTVFSPVNQFAILVSCRCNYTESKLFFKHITDWQPRIARDLMISITSESHQQTGSPNVRAPQLPVKVLTLKATNLSSEDLTFTVLAPETSSSPSVLSLSSTPKAPMNSYAAFHDYVPRIRDKSESIVQSQSSVHIATKSQNESQQTAIKSDVISRNSSGLTHLWLQSAVPLGCIPARSSATVKLELLPLTDGIITLDTLQIAVKEKGLTFIPEHSLMIHATSSIATGIS >Ma05_p28170.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39241860:39255951:1 gene:Ma05_g28170 transcript:Ma05_t28170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIRAAHPVVPEVSNVAETENHKGVTPISRQTSTLEGLIAEDPFQSPSTGDDADTDSDVITDVGSSTPAPTSKNQGNHTDVLEDDGWITIPYKELPDNWTDAADIQQLRSLDRSFIFPGEHMHILVCLSAWKQESEIITPFRVAAAMSRNGKSSSNKKQHIETLGKPSSPVSLNGIVSSIPEETVDQNAENNSETLSSASDLSPKDDISATESLLRMESHKQQTEIILESFKNSNFFIRIIESDEQLWSRRNDPSAMNSEVVGRRSHPNNGSKKVPKSNVFSAVVDKGSFDGNASGGVARDTVKCYSLSNGDIVVLLEVNVGVNNLKDPVLEVIQFEKYQSSNSAFEDHNNLLVPNIDDPCRELLNWLIPLDRTLPSSRPLSPPLTSSVSQKSAYPASGSQIFSFGHFRSYSMPSFPQITGPPASITSFSSSKPAFDLEDFDRLSQEKLMKNQDTRNEGLLSFRGVALEPQRFSAHCGLEGIYLPGRRWQRKLEIIQPVEIHSFATECNTEDLLCVQIKNVSPAHIPEIIIFLDAIAIVSEEEASKCGPPLLLPIASIETGNGHSLPDLPLRRGEEHSFILKLATTANKDHKGNNEAIPYSRTGAAASNTHKMSSISEGVTVFSPVNQFAILVSCRCNYTESKLFFKHITDWQPRIARDLMISITSESHQQTGSPNVRAPQLPVKVLTLKATNLSSEDLTFTVLAPETSSSPSVLSLSSTPKAPMNSYAAFHDYVPRIRDKSESIVQSQSSVHIATKSQNESQQTAIKSDVISRNSSGLTHLWLQSAVPLGCIPARSSATVKLELLPLTDGIITLDTLQIAVKEKGLTFIPEHSLMIHATSSIATGIS >Ma05_p28170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39241849:39255951:1 gene:Ma05_g28170 transcript:Ma05_t28170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIRAAHPVVPEVSNVAETENHKGVTPISRQTSTLEGLIAEDPFQSPSTGDDADTDSDVITDVGSSTPAPTSKNQGNHTDVLEDDGWITIPYKELPDNWTDAADIQQLRSLDRSFIFPGEHMHILVCLSAWKQESEIITPFRVAAAMSRNGKSSSNKKQHIETLGKPSSPVSLNGIVSSIPEETVDQNAENNSETLSSASDLSPKDDISATESLLRMESHKQQTEIILESFKNSNFFIRIIESDEQLWSRRNDPSAMNSEVVGRRSHPNNGSKKVPKSNVFSAVVDKGSFDGNASGGVARDTVKCYSLSNGDIVVLLEVNVGVNNLKDPVLEVIQFEKYQSSNSAFEDHNNLLVPNIDDPCRELLNWLIPLDRTLPSSRPLSPPLTSSVSQKSAYPASGSQIFSFGHFRSYSMPSFPQITGPPASITSFSSSKPAFDLEDFDRLSQEKLMKNQDTRNEGLLSFRGVALEPQRFSAHCGLEGIYLPGRRWQRKLEIIQPVEIHSFATECNTEDLLCVQIKNVSPAHIPEIIIFLDAIAIVSEEEASKCGPPLLLPIASIETGNGHSLPDLPLRRGEEHSFILKLATTANKDHKGNNEAIPYSRTGAAASNTHKMSSISEGVTVFSPVNQFAILVSCRCNYTESKLFFKHITDWQPRIARDLMISITSESHQQTGSPNVRAPQLPVKVLTLKATNLSSEDLTFTVLAPETSSSPSVLSLSSTPKAPMNSYAAFHDYVPRIRDKSESIVQSQSSVHIATKSQNESQQTAIKSDVISRNSSGLTHLWLQSAVPLGCIPARSSATVKLELLPLTDGIITLDTLQIAVKEKGLTFIPEHSLMIHATSSIATGIS >Ma05_p28170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39243411:39255951:1 gene:Ma05_g28170 transcript:Ma05_t28170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHILVCLSAWKQESEIITPFRVAAAMSRNGKSSSNKKQHIETLGKPSSPVSLNGIVSSIPEETVDQNAENNSETLSSASDLSPKDDISATESLLRMESHKQQTEIILESFKNSNFFIRIIESDEQLWSRRNDPSAMNSEVVGRRSHPNNGSKKVPKSNVFSAVVDKGSFDGNASGGVARDTVKCYSLSNGDIVVLLEVNVGVNNLKDPVLEVIQFEKYQSSNSAFEDHNNLLVPNIDDPCRELLNWLIPLDRTLPSSRPLSPPLTSSVSQKSAYPASGSQIFSFGHFRSYSMPSFPQITGPPASITSFSSSKPAFDLEDFDRLSQEKLMKNQDTRNEGLLSFRGVALEPQRFSAHCGLEGIYLPGRRWQRKLEIIQPVEIHSFATECNTEDLLCVQIKNVSPAHIPEIIIFLDAIAIVSEEEASKCGPPLLLPIASIETGNGHSLPDLPLRRGEEHSFILKLATTANKDHKGNNEAIPYSRTGAAASNTHKMSSISEGVTVFSPVNQFAILVSCRCNYTESKLFFKHITDWQPRIARDLMISITSESHQQTGSPNVRAPQLPVKVLTLKATNLSSEDLTFTVLAPETSSSPSVLSLSSTPKAPMNSYAAFHDYVPRIRDKSESIVQSQSSVHIATKSQNESQQTAIKSDVISRNSSGLTHLWLQSAVPLGCIPARSSATVKLELLPLTDGIITLDTLQIAVKEKGLTFIPEHSLMIHATSSIATGIS >Ma05_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25497051:25497790:1 gene:Ma05_g18860 transcript:Ma05_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPHTVLAVDVYNDKIKHLLDLPAPGTEAGQEARRHPWHGRIQFHRLNIKHDSRLEGLIKMSNLTINLAAICTPADYNTRLLDTIYSNFIDALPVVRYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRTVHTTTL >Ma01_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5087294:5090754:-1 gene:Ma01_g07070 transcript:Ma01_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDWLHGEVLKAVFPLLDGKDLASCMLVCHQWRDIARDEYLWKCICAKRWPSICKRPPPAISYYKLFVTFSRSQFNQSLPPARLSFDDLEFYIDVWLEQTLVFSEAVSGAALTTGIKNPLVDGADYKMIMQVEPRFALSLGQRISVSVLLARKDTNEMACIINQSVFEHVDANAFQALAYDYLRFSAGHPFISGVRACVSLLFMANSYNNVVDVFGIKIDFCDAANSENEVLWLLDMLDWK >Ma01_p07070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5087294:5090754:-1 gene:Ma01_g07070 transcript:Ma01_t07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDWLHGEVLKAVFPLLDGKDLASCMLVCHQWRDIARDEYLWKCICAKRWPSICKRPPPAISYYKLFVTFSRSQFNQSLPPARLSFDDLEFYIDVWLEQTLVFSEAVSGAALTTGIKNPLVDGADYKMIMQVEPRFALSLGQRISVSVLLARKDTNEMACIINQSVFEHVDANAFQALAYDYLRFSAGHPFISGVRACVSLLFMANSYNNVVDVFGIKIDFCDAANSENEVLWLLDMLDWK >Ma09_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34653829:34659092:1 gene:Ma09_g22750 transcript:Ma09_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTNGAVVVVVGEGDLKATFRQIYDRLKSELLQDDAFDCTDEARQWIDRMLDYNVPGGKLNRGLSVIDSYKLLKEGNQLNDEEVFLGCALGWCIEWLQAYFLVLDDIMDNSHTRRGQLCWFRVPKVGLIAVNDGILLRNHIPRILKRHFKGKPYYVDLLDLFNEVEFQTASGQMLDLITTHEGEKDLSKYTLPVYNRIVQYKTAYYSFYLPVACALLMAGENLDTFVDVKNILVEMGTYFQVQDDFLDCFGDPEVIGKIGTDIEDFKCSWLVVQALQRANENQMKTLSEIYGKSDPTCVAKVKSIYKDLDLQNVFAEYERTSYEHLISSIEAQPSKAVQGVLKSFLQKIYKREK >Ma09_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12516005:12517621:-1 gene:Ma09_g17040 transcript:Ma09_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSVLNNGEVCMELPPGFRFHPTDEEIITHYVTPKIINHSFAARAMGEVDLNKCEPWDLPSKAKMGGKELYFFCQRDRKYPTGLRTNRATEAGYWKATGKDKEIYWGKGVLVGMKKTLVFYKGRAPRGEKTNWVMHEFRLEGTYPLPNLPKSAKDEWVVCRVFHKNNALKKSPINSFEDDPSPLPLMDPPYPPSTSFINDDRIFDLKAIPPGFSAMMNHQLGSNPPHDSVLYYSQVPPQAYLHHVDEAMLRELAATNEGSPSAMTKHCKVEQNSNQSMGCPSQDTGLSTDHNTAISSFASKHWDRDGFDDPWASYGF >Ma09_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6337062:6338252:1 gene:Ma09_g09630 transcript:Ma09_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERREKSGEQQVRVVEEEEEEAAEDDPVLPGFRFHPTDEELVGFYLRRKVEKKCFSIEIIREIDIYKHDPWDLPKVVSAREKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAKDPGGECIGLKKSLVYYRGSAGKGTKTDWMMHEYRLPCKNSDTATPSIHEAEIWTICRIFKRNTFKKSWKQSNNVKLPTDSSSKSSSFESDDGGNEFKFLASSSYLNEEEMKYVGNCFAENEQIYGSQWNSMAQAPTPTLHSDVVSTTPSVNDFFRDGNWDELGRIVGFMTDQSVASCCQYP >Ma01_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6871795:6873489:1 gene:Ma01_g09550 transcript:Ma01_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSLLANVSESRLLLPLRISCIQECFISSSSSVVAPAHSWGGTIEITDAGGRPREQRRGLKRVYDRSADSNDTSPSDSSSSSGACNSFGNVTSNEPRARSAPGVAEVGGEEGEGGETELQGHELVSLLTSCADSISSGNYEAINYFLGRLGDMATPMGTPVHRLVAYFTESLALRVARLYPHIFTINAPPRRLIDASEEDDATALQLLDLISPIPKFLHFTLNEMLLKAFEGRDRVHVIDLDIKQGLQWPSLLQSLASRPRPPSHVRITGVGESRPDLHATGVRLARIAESLHLPFEFHPVVDRFEDLRLWMLHVKREECVAVSCSLMMHRALHDESGKAFMDLLGLIRSTNPATVVMAEQEAEHNEPDWGRRLARSLNHYAAIFDSLDDVLPQDSHARIKVEELFAKEIRNIVACEGDERIERHESFQGWRRRMTQEGGFTCLGIGEREMLQSRMILRMYSCDKYSIDVEGEGHGLTLKWLHQPLYTVSAWVPVDVAGSSSAHLSQI >Ma05_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7549748:7550605:1 gene:Ma05_g10470 transcript:Ma05_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSHEQAHDVAVVVVPLPAQSHLAQLLHLSLLLCGRPGVSVHYATSRTHIRQAKSRLHPAWGSGSVSQIRFHELPIPAFPSPPPDLNSASTKFPAHFQPMFDVFEHLRTPLSTLLRSLSTSSHRVVVVHDSLSSFVAAEAAALHNVESYTFHCVPALFQLVFCLPSVADELRDGGLSLPPLDGIITEEFGVFARRQLAEASAGAGTLFNTCRPIEGLFIDLVAREPEYRDRKLFTVGPLSPMALLLNGGGLRQPHECLDWLDKQA >Ma01_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5168392:5169220:1 gene:Ma01_g07180 transcript:Ma01_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLINKAKEFVAEKIAKMPKPEASLESLSIKSFTRDSILFHSEVAVLNPYSHSIPICQLSYALKSAGREVASGTMPDPGSLTASAETKLEVSVKVPYDFLISLMRDIGRDWDIDYEMQVGLTIDLPIIGDFTIPLSTKGEIKLPTLSDLFGGGGGGGDD >Ma11_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7059870:7060412:-1 gene:Ma11_g08930 transcript:Ma11_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSPAPLLPPVAQHLQQSSLMVSSPVSGSLSLEPPDEQHMSFFLYPLILVLAAVTLAFSYYLHKRRVPDRRLPVYLPQQDRPARPTTVPNLATKTDVCAEIPVIVYGDGRSPAEADEKESCAICLDNFEAGGEVGMLPRCSHMFHPACIKKWWLYADCKTCPLCRAVVIAETAAVTEP >Ma07_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:743134:752413:-1 gene:Ma07_g00940 transcript:Ma07_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFHKLQPRGEKVKPGPAKKEAGSVKDMQKPPIDEAPSNITKQKVAAAKQYIENHYKAQMKSLQDRKERRWMLERKLADAEVSEEEQNNILKNLEKKETEYMRRQRHKMGVDDFELLTIIGRGAFGEVRICKEKTTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLLFSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRHGHMKLSDFGLCKPLDSSSFPNLNEPEHAMGRNIRPTLDDKRFNVAPAPRRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRNHLKFPEEAKLSSEAKDLISRLLCNVEHRLGTKGAHEIKAHVWFRGIQWESLYEMDAAFKPEVNDELDTQNFEKFEETSAPVETSSKSGPWRKMLPSKDVNFVGYTYKNFEIVNNHEVPGIAELKKKSNKPKRPTIKSLFDMDISPASQPIQGSFLKLLPTQMEMPESLESSPHSSSSSLDQPQFRNR >Ma11_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16475939:16481235:1 gene:Ma11_g12570 transcript:Ma11_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMDCHCTRQQLVRRIPSSVVIAMESTWWCVQQNEPIIFSNCFRGQPHSPNYQASHNKPRPYPKLFKLDLGTRRDELRRQRERASERERKGEGAPPYSLHPRPSMAFFTHWYDLLCLAMLAGAVLVSLWGILRGEDRSKSGDDEEADRAKYGGLLANGDGDGDERASSTVRSEQLWMSRWRALHPACLLVLRLVAALTMAGVLLWDLRTYDWSIMLYYTEWTFSLVIIYFLIATCMSAHGCWIYAKHAKENDEANAFFKRDFGEDLHATLTGTNRNRKASKSHSFCDHDDKEQKFGFWGYLMQIAYQTSAGAVALTDIVFWGLLVPFLSVEHFKLDLLMGCMHSLNFVFLLLDTALNSLPFPWFRMAYFVLWSCIYVIFQWILHACGFSWWPYRFLELSTPWAPLWYFCLALLHVPCYGVYSLLVTAKNTLFPKIFHTRP >Ma11_p12570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16475939:16481497:1 gene:Ma11_g12570 transcript:Ma11_t12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMDCHCTRQQLVRRIPSSVVIAMESTWWCVQQNEPIIFSNCFRGQPHSPNYQASHNKPRPYPKLFKLDLGTRRDELRRQRERASERERKGEGAPPYSLHPRPSMAFFTHWYDLLCLAMLAGAVLVSLWGILRGEDRSKSGDDEEADRAKYGGLLANGDGDGDERASSTVRSEQLWMSRWRALHPACLLVLRLVAALTMAGVLLWDLRTYDWSIMLYYTEWTFSLVIIYFLIATCMSAHGCWIYAKHAKENDEANAFFKRDFGEDLHATLTGTNRNRKASKSHSFCDHDDKEQKFGFWGYLMQIAYQTSAGAVALTDIVFWGLLVPFLSVEHFKLDLLMGCMHSLNFVFLLLDTALNSLPFPWFRMAYFVLWSCIYVIFQWILHACGFSWWPYRFLELSTPWAPLCLLVTAKNTLFPKIFHTRP >Ma11_p12570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16475939:16481201:1 gene:Ma11_g12570 transcript:Ma11_t12570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMDCHCTRQQLVRRIPSSVVIAMESTWWCVQQNEPIIFSNCFRGQPHSPNYQASHNKPRPYPKLFKLDLGTRRDELRRQRERASERERKGEGAPPYSLHPRPSMAFFTHWYDLLCLAMLAGAVLVSLWGILRGEDRSKSGDDEEADRAKYGGLLANGDGDGDERASSTVRSEQLWMSRWRALHPACLLVLRLVAALTMAGVLLWDLRTYDWSIMLYYTEWTFSLVIIYFLIATCMSAHGCWIYAKHAKENDEANAFFKRDFGEDLHATLTGTNRNRKASKSHSFCDHDDKEQKFGFWGYLMQIAYQTSAGAVALTDIVFWGLLVPFLSVEHFKLDLLMGCMHSLNFVFLLLDTALNSLPFPWFRMAYFVLWSCIYVIFQWILHACGFSWWPYRFLELSTPWAPLWLVLYFFSFLPSISTIVMTKPTCRYFCLALLHVPCYGVYSLLVTAKNTLFPKIFHTRP >Ma11_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26880815:26886007:1 gene:Ma11_g23610 transcript:Ma11_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLPPSSRLKDTTGSSVSVDTLPHAMNVMKIKDDKEVEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETVETVAIKKVLQDKRYKNRELQTMRLLDHPNVVCLKHCFFSTTEKEELYLNLVLEYVPETLHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHRSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGETNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPHIKTHPWHKIFHKRTPREAVDLVSRLLQYSPNLRSTALEALIHPFFEELRDPSARLPNGRYLPPLFNFKPNELKGVPTEIVSKLIPEHARKQCAFLGL >Ma02_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20577733:20578893:-1 gene:Ma02_g11420 transcript:Ma02_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWCTTLCFMSLACIGIGIAGAQAPAAAPSQQPPPTAATAPVTSPPTSSQTSPQVPPASSPSPPVLPPPPATPPPLTPPPALAPLPPAPTSPPPTSPSPAVPPPTLPPVIPPSPQVPTPAPAALVPVSAPPELSPAPAPAKSKKKHRRRRRHKKKHAQAPAPTLHSPPAPAVPTPPEENVPSPAPQPDDLNRSSVISEVGWWARTVLAILVLSWSYY >Ma08_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7669460:7670403:1 gene:Ma08_g10500 transcript:Ma08_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPGARIMLSLPEIASLRTHFLMVKVSSRFGILTSLGGSLELSTAKNQEEQRIRGYPQCYRPVSCAVHVKDIEWDQEKEAMEMGEAEEFAVYLNQAGELLLIPQE >Ma05_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4807725:4810607:-1 gene:Ma05_g06450 transcript:Ma05_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSASLLVAPLLLPHHSKKLILPRRRARAAITSSLRLGVEDLAELAHNKVLVAAAISGAVGQLSKPLTSAIRTGKGIDLWAAVSAGGMPSTHSAAVAAAATSLGLERGFSDSIFGMSVVFAFLVMYDAQGVRREVGSHAKILNKILSSQEEDDVQSPSSRSSSVNCEKMSPFASISEKAESYGQNSESYSFLRPGITSTKVDMPSPKVNVKVPLLKKYSNYPLLNESVGHTEVQVLVGAILGLIVSVAVDVIL >Ma04_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8410794:8413738:1 gene:Ma04_g11790 transcript:Ma04_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRSCLPKPGRFYRPIPNSCLSLSIPIPTPFFPSLISKPVSIPTGLALVPVKASSFAVSETTDFGATMDDIGGGEEDEDLVGSPWEGAVVYRRDPSVCHVEYCTTLERLGLGKLSGGVSRSRAAAMGIRLPVRRAKDSAFGDDETPVLISLDVTRRKRRLKLDGILRTVITLRCNRCAEPAAECVFSNFGLLLTEEPIEESDEINMGTLFGQDIHSSSSGSDNEMDGENAIDLDDRLHFPAEEKEIDISKHIRDIIHVEITINAVCEASCKGLCLRCGTNLNKSCCKCSKDAVEDKLNEYGPLKGLRKQMQKT >Ma04_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7119337:7122294:1 gene:Ma04_g09960 transcript:Ma04_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGWISDELMGTFSPIVVYWLYAGMYQLLPPLDQYRLHTRKEEEQKNLVPLSSVIKGVLLQQLVQATVAGLMFLITAKPSGEGSIIQPSLPVQLIQIMVAMLIMDTWQYFIHRYMHQNKLLYRHIHSQHHKLVVPYAIGALYNHPLEGLLLDTFGGAISFLISGMTARTAVFFFCFAVIKTVDDHCGLWLPGNIFHIIFQNNTAYHDVHHQLHGTKYNYSQPFFSIWDRLLGTYMPFSLVNRKEGGFEARIGKRLNGMS >Ma08_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2598718:2602242:1 gene:Ma08_g03590 transcript:Ma08_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDLDLKRVLLEVLPSSALRAQVEELGVRNHAEMIRGRLWVIHGVIMDAQLRAMEELVLEARAKDVGEWVTDVRVAVLDFEGLLGRIVTWQPTGTFNRLQRCYSLDDDDKGASRDAILRKLKDTAARLNILVSRARGLNLRKEMMDSMDPCEAEFSAILKAEIVGRDEVIEEIIGKIRQRQQVSTDSVPLIVNIKGRKGMGKTTVARMIYHHRWAREQFSHRIWVDSPGSLFFDPMRIATHLADSMTKKPCSHLEHHLHGIWKLVNESLRGSKYLIVLNDIRIKNSDAGMWDKLHNILLREGGAGSTVIIVSSEDVALNREMMEFDVRRLSKKDWEQVFVRHAVIHPEEEEEASSAAKLLPRAILRTGNPLHAKLLASEMLSHDEGSKHLSSGYVQSPPVLRFFSLRFFSLYLLLSRDERRHLYYSFFPPGYTFDFQDLLQMLTAEGFIPHSTDKAAAIEYLQREVQSSMSRTSQFSVLDHYCGQLCLPVDWKTRALLMPLPYFLRPSILIEEEENALMDRKCQTAQIPQHESTKLTGVHVLDPLATQILKLPEEHQLLNLRYLNLSQTKLKKFPDPICYLGNLLTLKLAHCQQLKQLPEQIHDLGKLQLDLEGCHSLIELPQGLNNMKSLTELNLHRCSSLTRMPRKMKQLRNLHKLSGYTALEEKPNLRSLALQWGCQKMDDGTEASAGSSSSQVIEALRPNISLRKLEIIAYTGEAFPSWMGIKQEYHCTLVEIKLINLRRCGSLPALGELARLKIVEISGMQKISSVDDKFYGDNGRFSTLEKLTFSEMPNLEKWQTVVRKQDLFPKLAELTLIECPKLEKLEVRLSRVKRLNIWLDNDRLWTLTPSNFEGWDNLEELEMVGCTQLRSLPEDIKNFKCLKSLRLVGCENLISSPDWPKGYEGTLSLQISDGVALLPTPEASNLHHITSDWPKGFEGTLSLKISDSTALICVPKASNLREDHPSLHRTYEDYQHHYNRFDMTDTDDEEEDHPNLPHTDEDHQERHNLSDTTDEEEDHPNLPHTDEDHQERHNLSDTTDEDRHSSPD >Ma08_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7200345:7201488:1 gene:Ma08_g09890 transcript:Ma08_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRTMEITLISAKDLKNVNVFSKMTVYAVVTISSDPRVWQRTTTDREGGRNPSWNSTHRLTVPNDVAHRHFLHILLRTERALGDRDIGEVRVPLSDLLAGAGDGPRPVQFVSYQVHGMTSGKPKGVLNFSYSLSERVLAPATASAAAPFDAHRPPFTSYPAVSASHSAPSSAKADEPVMAYPPGPSSAPYPAYAAAPPPYPPQSGYQQAPPYGYGYGYPPAGYGYGAAPAPVVQPQRKNRLGMGLGAGLLGGALGGLLIGDMMSDASAYDAGYDAGFDDAGDFGF >Ma00_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:54493:54678:-1 gene:Ma00_g00060 transcript:Ma00_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSCSYSDHPTPSHPSRFPIFERCLVLFFFKLAWHVDCSCLQRTSDYYSLYASDVTNIEA >Ma10_p07470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21857197:21862542:1 gene:Ma10_g07470 transcript:Ma10_t07470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEIIVVPFHDSSHVFPTTELASRLASRGYRVTLLLPSASSSSSALDPLIRIMEYSMPRPSGPPILPSSSLSLSCASPRPHSRNDCSAFRDLLAERFNGGGDDMSPPVCVIVDVMMSQLLDVCGEFGVLAVLLFTSSGCSTAMDHAASKLSTADLGPGGMVSVPGLPEEMVLTAADLMSQGPPPLPFGSGDLAFEEVATGADDAGPPPPLVWPSPPLPGLHGRPPPPPPDFGLQYVPLLPRGWGHQDGPPPPPPFQPGHQARLPPTQSRGVPVVPPPFLPGGGFASPKLPFGGGSPPQAKPATPSGPPLFRLGEGGGPTPPPGPWRGGGPQHDLAETKAVALLFNTCDALERPFLDYVADKAKKPVWGIGPLLPSQYWSATGSVIHDNAIRPKGRGDGDAAIASETDVLEFLDSKPRGSVIYISFGSLVVPSDAELAALASALEESSRPFIWAIQPRAMRHDADGRPVEVGGGVGYFPEGLAERAAGRGLVIHGWAPQLLILSHPATGGFVTHCGWNSTVEVLGRGVPVLTWPVHGDQVWNAKLVARRLRTGLAIKDERGAVTKAKVAEAIERLMSDVGTRERAAALAAGLFSGGFPASSEAALDALLDFVAAKCQRK >Ma10_p07470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21857197:21862542:1 gene:Ma10_g07470 transcript:Ma10_t07470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEIIVVPFHDSSHVFPTTELASRLASRGYRVTLLLPSASSSSSALDPLIRIMEYSMPRPSGPPILPSSSLSLSCASPRPHSRNDCSAFRDLLAERFNGGGDDMSPPVCVIVDVMMSQLLDVCGEFGVLAVLLFTSSGCSTAMDHAASKLSTADLGPGGMVSVPGLPEEMVLTAADLMSQGPPPLPFGSGDLAFEEVATGADDAGPPPPLVWPSPPLPGLHGRPPPPPPDFGLQYVPLLPRGWGHQDGPPPPPPFQPGHQARLPPTQSRGVPVVPPPFLPGGGFASPKLPFGGGSPPQAKPATPSGPPLFRLGEGGGPTPPPGPWRGGGPQHDLAETKAVALLFNTCDALERPFLDYVADKAKKPVWGIGPLLPSQYWSATGSVIHDNAIRPKGRGDGDAAIASETDVLEFLDSKPRGSVIYISFGSLVVPSDAELAALASALEESSRPFIWAIQPRAMRHDADGRPVEVGGGVGYFPEGLAERAAGRGLVIHGWAPQLLILSHPATGGFVTHCGWNSTVEVLGRGVPVLTWPVHGDQVWNAKLVARRLRTGLAIKDERGAVTKAKVAEAIERLMSDVGTRERAAALAAGLFSGGFPASSEAALDALLDFVAAKCQRK >Ma10_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21858620:21862542:1 gene:Ma10_g07470 transcript:Ma10_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEIIVVPFHDSSHVFPTTELASRLASRGYRVTLLLPSASSSSSALDPLIRIMEYSMPRPSGPPILPSSSLSLSCASPRPHSRNDCSAFRDLLAERFNGGGDDMSPPVCVIVDVMMSQLLDVCGEFGVLAVLLFTSSGCSTAMDHAASKLSTADLGPGGMVSVPGLPEEMVLTAADLMSQGPPPLPFGSGDLAFEEVATGADDAGPPPPLVWPSPPLPGLHGRPPPPPPDFGLQYVPLLPRGWGHQDGPPPPPPFQPGHQARLPPTQSRGVPVVPPPFLPGGGFASPKLPFGGGSPPQAKPATPSGPPLFRLGEGGGPTPPPGPWRGGGPQHDLAETKAVALLFNTCDALERPFLDYVADKAKKPVWGIGPLLPSQYWSATGSVIHDNAIRPKGRGDGDAAIASETDVLEFLDSKPRGSVIYISFGSLVVPSDAELAALASALEESSRPFIWAIQPRAMRHDADGRPVEVGGGVGYFPEGLAERAAGRGLVIHGWAPQLLILSHPATGGFVTHCGWNSTVEVLGRGVPVLTWPVHGDQVWNAKLVARRLRTGLAIKDERGAVTKAKVAEAIERLMSDVGTRERAAALAAGLFSGGFPASSEAALDALLDFVAAKCQRK >Ma10_p07470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21857197:21862542:1 gene:Ma10_g07470 transcript:Ma10_t07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEIIVVPFHDSSHVFPTTELASRLASRGYRVTLLLPSASSSSSALDPLIRIMEYSMPRPSGPPILPSSSLSLSCASPRPHSRNDCSAFRDLLAERFNGGGDDMSPPVCVIVDVMMSQLLDVCGEFGVLAVLLFTSSGCSTAMDHAASKLSTADLGPGGMVSVPGLPEEMVLTAADLMSQGPPPLPFGSGDLAFEEVATGADDAGPPPPLVWPSPPLPGLHGRPPPPPPDFGLQYVPLLPRGWGHQDGPPPPPPFQPGHQARLPPTQSRGVPVVPPPFLPGGGFASPKLPFGGGSPPQAKPATPSGPPLFRLGEGGGPTPPPGPWRGGGPQHDLAETKAVALLFNTCDALERPFLDYVADKAKKPVWGIGPLLPSQYWSATGSVIHDNAIRPKGRGDGDAAIASETDVLEFLDSKPRGSVIYISFGSLVVPSDAELAALASALEESSRPFIWAIQPRAMRHDADGRPVEVGGGVGYFPEGLAERAAGRGLVIHGWAPQLLILSHPATGGFVTHCGWNSTVEVLGRGVPVLTWPVHGDQVWNAKLVARRLRTGLAIKDERGAVTKAKVAEAIERLMSDVGTRERAAALAAGLFSGGFPASSEAALDALLDFVAAKCQRK >Ma04_p32320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32340096:32342618:-1 gene:Ma04_g32320 transcript:Ma04_t32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRRGRDGGRILRPPVLELSPSPEPPPSPELIPEDAVPYQYEACPLCNKVKGNFSTTERFTAKYTGIAIMLMVSKKLKKHVTSPALHEAAPTWTTRWQSFRHVRIRWFKD >Ma11_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26419213:26420820:1 gene:Ma11_g22770 transcript:Ma11_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSLVTGLPGPSGFGSSSTAEQVTEGVDASNLTVIITGGASGIGAETARVFALRGAHVIIAARNMEAATDAKQLILKTTPSARVDLLELDLSSLKSVRAFSDKFLSMDLPLNILINNAGVMFCPHQLSEDGTEMQFATNHIGHFQLTNLLLEKMKSTARKTGIEGRIVNLSSVAHIYTYEDGIRFSELNEKYGYSSKKAYGQSKLANILHANELSRRLQEEGANVTVNSVHPGLIMTNLMRHTLFLMRMLKMVSYILWKNVPQGAATTCYVALHPSVKGASGKYFVDCNEAKPSSLAGDETLARRLWDFSEKLVNAKSCDRR >Ma10_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8574616:8587230:-1 gene:Ma10_g02640 transcript:Ma10_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRKSMSRASPLLLLLLGSGLFFAAYNLLAMVHHHQRRESGRELPRDDQVTWMPHEVKGSSGPRRPFHVAVTATETTYSRWQCRIMYYWYKRVKDGEGSEMGGFTRVLHSGRPDSLMDEIPTFVVDPLPANIDRGYVVLNRPWAFVQWLEKATIEEEYILMAEPDHIFVKPLPNLARDEYPAGFPFFYMSPDEHAQTVRKYFPEENGPVTKIDSIGNSPVIIKKSMLEMIAPTWMNVSLNMKADPETDKTFGWILDMYAYAIASALHGVQHILCKDFMIQPPLDEKLGNTYIIHFTYGCDYSSKGELTYGKIGDWRFDKKSYTSGLPPRNLSLPPPGVPETVVKLVKMINEATANIRGWDDERNYL >Ma10_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4536373:4538230:1 gene:Ma10_g01380 transcript:Ma10_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIAPEVAQHRLNINPEARPVKQRLRRFAPNQQKVIRDEVDRLIKARFIAEVKYPRWLLNVVLVKNPNGSWRMCIDYTDLNRAYPKDCYPLPRIDQLVDAIAGHERLMFLDAFSGYNQIRMATQDQEDTAFVTNRGAYCYKEMPFSLKNTSATYQRMVDKIFKHRLGRNMEVYVDNMIVKSRVTMTHLADLAETFQTLKRFNMHLNPVKCVFRVSSGKFLGFIIHQWVIDANLEKATSASPECEEAFEKLKACLAHLPQLASPKPGETLGLYLAASAQAISSVLVREKLQPYFQAHTIKVITDQPPRQTLSNFDTSSHMLDGRSSSANLTFSTPPGPPSKLSEAEYEALLHGLRLALELHVDDLEVFSDSQLVTGHVNRSCEARDPTMVSYLMEVKRLAYRFSHLSVARIPRARNERADALAKSTSTRISGLAPATESVETPTIMTHEVAKMNIPPNWIEEILRYKAGGKEPDDPIVARWLR >Ma08_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15208386:15209597:-1 gene:Ma08_g15170 transcript:Ma08_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEYGVTVGRVEIDTSPPFRSVKEAVMLFGERMLAGEVYASRLDEIRAPANSNEIERSRMGSIVAELEETRQNLEKANEERQEMLNRLSSLGEELEKTKMEIKRLKAGESEKRVRDIEIEDVKFVENAGEVEVAASLLGNHHQVVELQKKRYVTFADPPSLAPVLVNAEEQMLERQVSVDKESTRVTKKTTKKKPLLPLIAALFAKKKDRQDGAVRRGRGL >Ma09_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2153968:2155445:-1 gene:Ma09_g03150 transcript:Ma09_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSSSASYIRMVQHLIEKCLLFHMSKEECVEALSKHANIKPVITATVWAELEKENTEFFEAYSKNREESVMEMRTMQMIRTMLTRMASRDPDEDED >Ma03_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28512071:28529246:1 gene:Ma03_g24220 transcript:Ma03_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLSAELILELTMGVVICMLLVTGAKLWILPHKKRTQESPSHSDSKEGGPSWMKGTKRKKLPKYSFKDLKRATRNFETLIGQGAFGPVYKSQMPTGEIVAVKVLATNSKQGKKDFQTEVVLLGRLHHRNIVNLVGYCAEEGQHMLIYDYMTNGSLASQLYSEKHNVLSWDLRVNIALDVARGLEYLHDGVFPPVVHHDIKSSNILLDNFMRARVADFGLARVKMVGCRTSSVRGTFGYLDPEYISSRSLTRKSDVYSFGVLLFELITGRNPQQGLMEYVNLAASNAAGRFGWEEISDPRLDGAFDITELNDVAAVAYKCINQLSRNRPPIRDVVQTLYRISQASFRKHHCSRSSPFTAEVESLDIGPSKYHRSISEHHRVASFGTVLDLPDV >Ma04_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2302198:2303260:1 gene:Ma04_g02800 transcript:Ma04_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKLASLLRHLQRRRFCGSSFPDLIETPPKCSIRAAIESRDHRNIPHLLLASCSNPNPNPFSYLSLLPPTLAAATVADLLQSFAALRPRSLPYPAYAALLSFTLPNPIPSPAPSSVLFPAALAVLQSALRSGRPPPRETRHSLPLNWLALRRRCSVVAIISSMRPLGFRPTDLNTLNYLISSLCAAGETDEAATVLRGMPTAGIDPDSGSYCEVIEAIDGDAAEELLVEMVVRRGMLPRKGTVARVAAAMRAQGDARRGAELLRLLERAGCAVGFEAYEIVAEGCLKSGEVVAAARVLAEMVGRGFVPSIGVRLGVVEGLAAIGQGQLSVDVRRRLAGIRS >Ma07_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:708586:709866:-1 gene:Ma07_g00900 transcript:Ma07_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEVSSREGERGPITLDLLGGCTSVAPKDVDAGSKPSFGCRGDLRPLDLNRLPDDGPKPVAAALAGSQSVCTIEKVKWALERAERESRGREMEEEQQRQRRRRRRMGASPSSSSSITTASIKRRGDGEEGCAGCDSAGGSLVAAGCPSCLSYVLISRMNPRCPRCDSQVAPPLAAAAAAPPPPQKRPRIDLNFALFPL >Ma09_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8781542:8806348:-1 gene:Ma09_g13050 transcript:Ma09_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) UniProtKB/TrEMBL;Acc:W0TY53] MPLRSVAFGWLDDKNAFQAGDTATIKIKILDDSCRSRNSSFSIAPMNFSLIINGKKGNSSYISGVLQYLDGDPVFWNISFTPIRVGQFPVVITDENIGILDSSLHFTVTTGHIYPPACMVSWMNFVTEFVAGTKAYLFLLLKDAFGNTISSEIDGPSGDYFMVSASCENGSTADLLDVKSNGWNELGYFGMEFVPKTAGSLSLRVHCNDLTLRGSPLPFIVKPGLMNITSSQGEWKYRTNFFQVFSKLEIFIYQKDQFGNLVPGFYPFDARVVEKATNLSIPIADLFFEEVAQGTQLLSFVVSEPGEFMLIIFDAKLHESIWNMTYDFSVFIGYCHESNSFANGSGLASSVAGKISSFTVYLEDLYHNPSPVEAETIRVEILTENGTSNVLPIIFPLQTLIEHSNVAHQSDGSARWAPALPANDNHTIIGNSTARVSDFNVTYTPEKSGDYKIWISCGNIPVNDGNLYMMKASPGLVDTSLSSVLRFASDVKRHAKNEVLVQIVDSYWNPVSSKQTKLNLQVYSVNSSSFIKWDFLNNEDGSYTGYYMARDLGSYNICILFEEKHLSPCPFEVHVYGREYFSEVTNDSIYVWEDESLALDVLVNDYIAGGKFNIIEFSIPLHGSLLQYGQLFRYTPYQGFFGKDSFSYTISDVNKNVATAVVLISVLCKPPQFVSLPVGLHVIEDIISPKFGGFPGFEIMYSDVKQNISLAIRAQSGNVFLSPMQMQLQQTKGSLFSATRDDRARKDLVISGHVETINSALQFVKYVGNENFYGNDIITLHAMNENGVQEARVPVFVEPINDPPIISAPKFISLARKEGNNGLQIFDKQRDAFEFLIMDSDIFNFVGNKSHFRVMLSMEVNDGTISTTLPVSLVNTAELKIKGSNQWQPLQTFVTISNHFVLKGKGIRFHGSIGDCNNAIQRLFYQTTESDAALTVTVNDLGNHGCYPDCLEMMSLPLYNEVTVNLFKRRPVSQMEALGTDFVLHPLAMFILLGSAIIVEIIMMLLLGGVLLFFICKCVNALHREGSDATI >Ma03_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28497480:28500759:-1 gene:Ma03_g24190 transcript:Ma03_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKCLHQLDCHQNVWSVKAIFVVFFNACDDQSVRFRFKTSSYHEQR >Ma03_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6999292:6999495:-1 gene:Ma03_g09470 transcript:Ma03_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIHEDHDWELFDRLSPRKKRNIINLNDTEMDRAISFFTQEKVFLFCLLIANIVQGTLHKQVRAH >Ma05_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7435850:7437322:1 gene:Ma05_g10310 transcript:Ma05_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLFLVVPNLAQSQILFQGFNWESWRQQGGWYNFLKDKVSDVANAGVTHVWLPPPSHSVGVQGYMPGRLYDLGASKYGNQDELKALIGAFHDKGVKCVADIVINHRCADKQDGRGIWCIFEGGTDDARLDWGPHLICRDDTQYSDGTGNLDTGEGFAAAPDIDHLNTQVQRELTDWLIGFDGWRLDFAKGYSSIAKIYVEQMQPNFVVAEIWSSLAYGNDGKPAYDQNGNRQGLVNWVQQVGGPATTFDFTTKGILQAAVEGELWRMRDPQGKAPGMMGWWPEKAVTFVDNHDTGSTQRLWPFPSDKVMQGYAYILTHPGVLSIFYDHMFDWGLKEKITRLAETRTRNGIHSGSALNILAADADLYMAMIDGKILTKLGSRYDVGNLVPSNFHVVASGNDYCVWEKR >Ma10_p03320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13147663:13150536:1 gene:Ma10_g03320 transcript:Ma10_t03320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISKRNRTVTLSKTKKKGKEHKEAIVNAIKQALEDYASAYVFTFENMRNQRFKEFREQHKSTGRFFLGSNKVMQIALGRSVADEVKPGIHKLSKFLRGDAGLLCTNLAKEEVQRFQEYEEHDFARTGSIATEKVEIKEGPLDQFTHEMEPYLRKQGLPVRLNKGIVELVSDFVVCEKGMPLSPESARTLRLLGIKMATFRLHLVCCWTPEDFEIYNEELDLSDIE >Ma10_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13147663:13150536:1 gene:Ma10_g03320 transcript:Ma10_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISKRNRTVTLSKTKKKGKEHKEAIVNAIKQALEDYASAYVFTFENMRNQRFKEFREQHKSTGRFFLGSNKVMQIALGRSVADEVKPGIHKLSKFLRGDAGLLCTNLAKEEVQRRFQEYEEHDFARTGSIATEKVEIKEGPLDQFTHEMEPYLRKQGLPVRLNKGIVELVSDFVVCEKGMPLSPESARTLRLLGIKMATFRLHLVCCWTPEDFEIYNEELDLSDIE >Ma11_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23311268:23312195:-1 gene:Ma11_g18200 transcript:Ma11_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEGHTIFLIVQIADREGQVRSIYVPFDVVSDTPMDVANEMVKELEITDREPSEFAGMIAQEIAVLVPDWKARGGHSDLHHVYNYADDAEDGCNHPFYNLSSPASSPCSAFGVGQYVGVLGLQQHPHQEGWLRGGLFSDDDDMSSTHSGKYSALTYTSGNEQESEMSCHHSESSHNATKFCADERHTEDTSLANQLEKKCNVSLPEPSRKSRSRTKEVDRPAENRRLTRNLSMVDDRENNGTVDAP >Ma06_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2399405:2405630:1 gene:Ma06_g03240 transcript:Ma06_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTMEEKLSSLDMLNDEKLDTKAIPEPAVTVELPRADSLHILLRQALHAEDQALLLDCLYTRDEKVIAKSIALLNPANVVKLLKCLLFMAESRGAVLVCALPWIRILLCQQASSIMSQESSIRILNSLYQLIDSRISTFGSALQLSSCLDHLFPRIADEADDGGADQPIIYENSDSEESEDAMETDEGSEGLSDVTDAHGSDLSDVMSDEDGGH >Ma10_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30185548:30186939:1 gene:Ma10_g19730 transcript:Ma10_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGACVGVGGLVVVSLLGLLFFRREMWKRLISSVPLHRTASSGSTSEQDPEFGGERYVTQVFKYEELQKATGGFSSSNQLGDGGFGTVYKGNLRDGRTVAIKRLYEHNRRRVEQFMTEVRILSSLRHPNLVTLYGCTSRRSRDLLLVYEYVPNGTVADHLHGPRAGEAGLPWATRMSIAIETADALSYLHAVTPQIIHRDVKTNNILLDNSFHVKVADFGLSRLFPVNATHVSTAPQGTPGYVDPEYHRCYQLTDKSDVYSFGVVLVEIIASKPAVDISRRRHEINLADMAIAKIQNDELDQLVDPNLWCQSNCEMIRQVAEVAFSCLQADGDMRPTMKEVVEALRGIEDAKGVEADAMVEEDDYLLKERPAYPIIILSQSRSTTSLSNNNSQSK >Ma11_p03750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2795298:2798727:1 gene:Ma11_g03750 transcript:Ma11_t03750.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 9 [Source:Projected from Arabidopsis thaliana (AT5G23870) UniProtKB/Swiss-Prot;Acc:B9DFR3] MMMSALWVVLMLLGVTPWRSIHCLEVKQEKRLLVAMTLVPHAGSTGAVCLDGSPPAYHLHEGLGSGARNWLLQFEGGGWCNDVASCAARSKTRRGSTRYMNKLEVFSGILSNDSTANPDFYDWNRVKLRYCDGASFGGDSEFLNSTTALYFRGQRIWKAIVLDLLPKGLIQADKVLLSGCSAGGLATFLHCDDLTRFVPETATVKCISDAGFFLDVRDVSGQYTIRSFFSSLVSLQVRFLLLFVLSLTFRFAKLDVVPQGVQKNLNPNCTSSYGLFAYQCFFPQHALSYIRTPYFILNSAYDVYQFHHIFVPPSADPRGRWYSCKLNPMACSPIQIAILQGFRNEMLEALESFEGSQNGGLFINSCFTHCQSELQEAWFGPSSPRLHNKTIAEVVGDWYFGRGMAKEVDCPYPCDSTCDDLIPSNQVLEGSQESMPKINW >Ma11_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2795298:2799033:1 gene:Ma11_g03750 transcript:Ma11_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 9 [Source:Projected from Arabidopsis thaliana (AT5G23870) UniProtKB/Swiss-Prot;Acc:B9DFR3] MMMSALWVVLMLLGVTPWRSIHCLEVKQEKRLLVAMTLVPHAGSTGAVCLDGSPPAYHLHEGLGSGARNWLLQFEGGGWCNDVASCAARSKTRRGSTRYMNKLEVFSGILSNDSTANPDFYDWNRVKLRYCDGASFGGDSEFLNSTTALYFRGQRIWKAIVLDLLPKGLIQADKVLLSGCSAGGLATFLHCDDLTRFVPETATVKCISDAGFFLDVRDVSGQYTIRSFFSSLVSLQGVQKNLNPNCTSSYGLFAYQCFFPQHALSYIRTPYFILNSAYDVYQFHHIFVPPSADPRGRWYSCKLNPMACSPIQIAILQGFRNEMLEALESFEGSQNGGLFINSCFTHCQSELQEAWFGPSSPRLHNKTIAEVVGDWYFGRGMAKEVDCPYPCDSTCDDLIPSNQGREVPSTTPLRICTIGCPLFVICLLLPLMII >Ma11_p03750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2795298:2799033:1 gene:Ma11_g03750 transcript:Ma11_t03750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 9 [Source:Projected from Arabidopsis thaliana (AT5G23870) UniProtKB/Swiss-Prot;Acc:B9DFR3] MMMSALWVVLMLLGVTPWRSIHCLEVKQEKRLLVAMTLVPHAGSTGAVCLDGSPPAYHLHEGLGSGARNWLLQFEGGGWCNDVASCAARSKTRRGSTRYMNKLEVFSGILSNDSTANPDFYDWNRVKLRYCDGASFGGDSEFLNSTTALYFRGQRIWKAIVLDLLPKGLIQADKVLLSGCSAGGLATFLHCDDLTRFVPETATVKCISDAGFFLDVRDVSGQYTIRSFFSSLVSLQVRFLLLFVLSLTFRFAKLDVVPQGVQKNLNPNCTSSYGLFAYQCFFPQHALSYIRTPYFILNSAYDVYQFHHIFVPPSADPRGRWYSCKLNPMACSPIQIAILQGFRNEMLEALESFEGSQNGGLFINSCFTHCQSELQEAWFGPSSPRLHNKTIAEVVGDWYFGRGMAKEVDCPYPCDSTCDDLIPSNQGREVPSTTPLRICTIGCPLFVICLLLPLMII >Ma11_p03750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2795298:2799033:1 gene:Ma11_g03750 transcript:Ma11_t03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 9 [Source:Projected from Arabidopsis thaliana (AT5G23870) UniProtKB/Swiss-Prot;Acc:B9DFR3] MMMSALWVVLMLLGVTPWRSIHCLEVKQEKRLLVAMTLVPHAGSTGAVCLDGSPPAYHLHEGLGSGARNWLLQFEGGGWCNDVASCAARSKTRRGSTRYMNKLEVFSGILSNDSTANPDFYDWNRVKLRYCDGASFGGDSEFLNSTTALYFRGQRIWKAIVLDLLPKGLIQADKVLLSGCSAGGLATFLHCDDLTRFVPETATVKCISDAGFFLDVRDVSGQYTIRSFFSSLVSLQHALSYIRTPYFILNSAYDVYQFHHIFVPPSADPRGRWYSCKLNPMACSPIQIAILQGFRNEMLEALESFEGSQNGGLFINSCFTHCQSELQEAWFGPSSPRLHNKTIAEVVGDWYFGRGMAKEVDCPYPCDSTCDDLIPSNQGREVPSTTPLRICTIGCPLFVICLLLPLMII >Ma11_p03750.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2795298:2799033:1 gene:Ma11_g03750 transcript:Ma11_t03750.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 9 [Source:Projected from Arabidopsis thaliana (AT5G23870) UniProtKB/Swiss-Prot;Acc:B9DFR3] MMMSALWVVLMLLGVTPWRSIHCLEVKQEKRLLVAMTLVPHAGSTGAVCLDGSPPAYHLHEGLGSGARNWLLQFEGGGWCNDVASCAARSKTRRGSTRYMNKLEVFSGILSNDSTANPDFYDWNRVKLRYCDGASFGGDSEFLNSTTALYFRGQRIWKAIVLDLLPKGLIQADKVLLSGCSAGGLATFLHCDDLTRFVPETATVKCISDAGFFLDVRDVSGQYTIRSFFSSLVSLQCFFPQHALSYIRTPYFILNSAYDVYQFHHIFVPPSADPRGRWYSCKLNPMACSPIQIAILQGFRNEMLEALESFEGSQNGGLFINSCFTHCQSELQEAWFGPSSPRLHNKTIAEVVGDWYFGRGMAKEVDCPYPCDSTCDDLIPSNQGREVPSTTPLRICTIGCPLFVICLLLPLMII >Ma01_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21076189:21081292:-1 gene:Ma01_g21400 transcript:Ma01_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSVAEHEEVEKEWVIVKKQRIVILIPPPSPVDQPESPEANSTKSTKIIRRSSGNPKKRGRRLSNSRSYKPTATISKGESHIEVELKPSAKPSENGTQTDGERLGYQRSLQNLVSPVAIGTTDNIQHHASRMPLMQHAPLTHELINGNCMVYSKMQTFMFSRKTGGIPRLPIGMSKVANWRLRALNLERKLQILGGLRTWLVSVGLGQFIHIFEKEKVGIYQLVNLTMSKLKDMGADAVGPRRKLIHAIECLCNPYYTEECSN >Ma01_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11160761:11162589:-1 gene:Ma01_g15410 transcript:Ma01_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKNVSALSRMLVNCASQTKEYGRCISKRVPEIERDMCLKEFLALKACMQSTENIC >Ma01_p15410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11147783:11162589:-1 gene:Ma01_g15410 transcript:Ma01_t15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKNVSALSRMLVNCASQTKEYGRCISKRVPEIERDMCLKEFLALKACMQSTIRNKV >Ma05_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12009280:12013078:1 gene:Ma05_g15680 transcript:Ma05_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKAYARASLAEASKYEKGPEVTFPTGGPELAEHDDALVISARIASAQVRRIMVDTGSSADILYWDAFQKLGLVKENMKPVCSTLTGFTEQGPNPRWRTPGSPRPEPRESTIDLPLIKGRPDQTIKVGSGLPELEQQQLVGLLQANADIFAWTPSDLVGVHPEVALHHLNISSDARPVKQRPRRQAPNRQLAIREEVNRLLAAGFIEEARYPQWLSNVVLVKKPNGSWRMCIDYTSLNNACPKDCYPLPRIDQLVDATTGHARLSFMDAFSGYNQIRMAPEDQEHTTFLTEQGVYFYKVMSFGLKNVGATYQRTVNRMFAHQIGRNMEIYVDDMIVKSRTAKAHSSDLAETFDTLRRFGLRLNPAKCAFGVTSGKFLRFIIHERGIDANPEKVQAIIDMQPPRTIRDLQRLNGRLVALSRFLSRSGDRCLPFFKALKGPKSFQWTAECERAFEQMKQHLANLPRLASVSPGEKLGLYLAASRHAVSSVLVKENSSDRLPVYYVSHMLSGPEGRYPPIEKLALALVLSARKLRPYFQAHPIEVITDQPLRLVLSKFDVAGRLLKWAVELGEHDIRYIPWTAIKAQSVADFIAELTPITGEEPEPPCETWTLHVDGSANAKGASAGLVLVAPDGRSIERSFRFGFRATNNEAEYEALLAGLQLALEMRVSDIHVLTDSQLVAEQLDGGYEARDPTMAKYLAQVKNLATKFTRFELSRVPRSENQRADALTKLGSGSDPWAQPGTKEPLRRATEIVTTVTDGAPTTWVQEMLRFKRDGTVPHDETTARRLRRTQAWYSEEGRLYKRSFSRPLLRCLEPNEAQTILSEMHEGTCGEHIGERTLVHKILRQGYYWPTMRQDAKAFVRRCSSCQEHARTARGPAVMFTPVDCAWPFAQWGLDILGPLPPASGQRKYIIVGVDYFTRWVEAEPLATITESQSIVTDNGPQFASRRFQEFCAKHKIQLRFSSVAYPQANGLAEVTNRALIDGLKRRVSAARSAWVDELPSVLWALRTTPKTPMGESPYNLTFGTEAILPSEVAVPTPRTAGYNEETSGEGVRSNLDLLEEKRADAHRKTLSYKRAVARVYNRRVRPRLIKLEDLVLRKVEVSHPTRVRGKLAPKWEGPYRVIGVSRPGTFRLATMDGDPVPRTWNIQNLRKYFV >Ma10_p30820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37065512:37065835:1 gene:Ma10_g30820 transcript:Ma10_t30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVLRRVENPSGGEPGGGLRRKVLVHLPTDEVVTSLAMLESELAELGWERYPSTPDLIQFHKRSSVHLISVPRDFSRFTSVHMYDIVVKCRTVFEVRDA >Ma03_p16360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18649475:18652928:1 gene:Ma03_g16360 transcript:Ma03_t16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MRVLSAISHHPSPLPASASASASASAATATVGGGGASPCSRSTPSPLLSFPPSCCSAAASSCPRFFLPFVSDFPSSNHGRSNGPAIKGRGLMALRSAFPTSPALISSVSDLFEFICAGPLMKRLGLTAESVAESIDKWLETGVHLCQLFRLNELSLSPPEKIRIYHFYVPVFLWCEDQLMRHRSMFSEGDDIPPLVIGISAPQGSGKTTLVFALDYLFRRSGRNSATLSIDDFYLTAEGQAELRSQNPGNALLEVLKEFRGNAGSHDLQFSIDTLTSLSKLTKKGMKMKLPRYDKSAYGGRGDRADPSTWPEVEGPVEVVLFEGWMLGFKPLPNEAVKAVDPQLELVNENLKAYYNAWDKFIEAWIIIKIRDPNCVYQWRLQAEVAMREDGKPGMSDEEVLDFVSRYLPAYKAYLPTLYSEGPKGLDPDRLVVIDIDEERNPLSED >Ma03_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18649475:18652928:1 gene:Ma03_g16360 transcript:Ma03_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MRVLSAISHHPSPLPASASASASASAATATVGGGGASPCSRSTPSPLLSFPPSCCSAAASSCPRFFLPFVSDFPSSNHGRSNGPAIKGRGLMALRSAFPTSPALISSVSDLFEFICAGPLMKRLGLTAESVAESIDKWLETGVHLCQLFRLNELSLSPPEKIRIYHFYVPVFLWCEDQLMRHRSMFSEGDDIPPLVIGISAPQGSGKTTLVFALDYLFRRSGRNSATLSIDDFYLTAEGQAELRSQNPGNALLEFRGNAGSHDLQFSIDTLTSLSKLTKKGMKMKLPRYDKSAYGGRGDRADPSTWPEVEGPVEVVLFEGWMLGFKPLPNEAVKAVDPQLELVNENLKAYYNAWDKFIEAWIIIKIRDPNCVYQWRLQAEVAMREDGKPGMSDEEVLDFVSRYLPAYKAYLPTLYSEGPKGLDPDRLVVIDIDEERNPLSED >Ma03_p33460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34802864:34804436:1 gene:Ma03_g33460 transcript:Ma03_t33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGEDSSSAPPGDAQTPSPGSKLPSDSNPAAGNDDSEVETLARQVQESLALGTHHRFWETQPVGQFNDLGDTSLPEGPIEPPSLLSDVKSEPYNLPAPYEWTTCDMDDEHTCTEVYNLLSLNYVEDDENLFRFNYSKEFLRWALHPPGYFKAWHIGVRVKATKKLVAFITGVPARIRVREDVVRMAEVNFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAVYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGARMTVSRTIRLYKLPESTVTPGFRKMELRDVPAVTRLLRQFLSQFVVAPDFDENDVEHWLLPLENVVDSYLIVSPETQEVTDFCSFYTLPASILNNPNYSVLKAAYSFYNVSTKTPLLQLMNDALIVAKQQDYDVFNALDVMHNEAVLKELKFGPGDGHLHYYLYNYRIRNGLRPSELGLVLL >Ma11_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9557846:9595626:1 gene:Ma11_g10230 transcript:Ma11_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASDPSRARIDQFFASRKRKTPLPKDGKPDKESRSPVAGSPGAKGTLDGFLARSPDVVSVAGQGQTRNSPRHDLVKRNLVSEIDSIADAVRKPDLVSDSAETGSSSRTAPGQESGSGDHLDFVRPPSAVVVAEKDSPEAVLACETSNEGNLELKRFAANFLSLYCSDLPSAESVPLEPDQKGQKRNGSPSLVAVCSKTLSKRQCALDHSDMLVEAETTSHAFPEPPTHKLHPVAEEVVNNKVPGVKLCSEIDGVVSLRRCTATPDGSFTKTRHYMIGMCCNNRVTDDTPKSALRCSIFSPGDEFWSEAIQVADGLLPAASNLPEGLQFSKCGTSDNKLRTDESSCRATNGVLDSGSMASKVSQKIGEKPIVENKTSLKISQHIEVSPLPVKHFDFSCEDSFRQRGVEKNESAIGVSVEPANSNYIQQKHLDSLLFKKHSEDMENCLAPKENSDFSFCNSGGSSSSTGKSYQTGAGSDLDACPGKGNLPTQENNMGQIRSVRLPVCLPGTGYDSANLERKQKNKLIANGNYEEFGTPSSSVPPKYRLQLHSWLPPEVCNIYKRKGISELYPWQVECLLVDGVLEKRNLVYCATTSSGKSFVAEILMLRQVLSTGKMALLVLPYVSLCAEKAEHLELLLEPLGKHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLINRLLEEGRLSELGIIVIDELHMVADQHRGYLLELMLTKLRYAAGEGSSDSSNGESLGSSGASSGKADPAHGLQIVGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFVKVGNTIFDKKMNVVRIISKTADLGGKDPDHIIELCNEVVQDGHSVLLFCSSRKGCESTARHVARFSKKFSDGIHEDCEFNDASAAIEALRRSPAGLDPILEQTLPSGVAYHHAGLTVEEREIIETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRVGRDFIDGTRYRQMAGRAGRTGIDTKGESILICKADEAKRIAAILNDCCPPLHSCLSEDKNGMTHAIMEVVAGGIVQTAHDINRYVRCTLLNSTKPFQDVVKSAQDSLRWLCHKKFLKWNEDTKLYSTTPLGRAAFGSSLTPEESLVVLDDLLRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMEMCALDQSVGNRVGVTEPFLMRMAHGAPMPVQNRLRGKKSGLNEKFQTRNSEVDNGMLSNEQTLRVSKRFYVALMLSRLVQEVPVSDVCEAFRVARGMLQALQESAGRFALMVSVFCERLGWHDLQGLVAKFQNRVSFGVRAEIVELTTIPHVKGSRARALYKAGLRTPLAIAEASVTEIVKAMFDSSAWTHQDDSKLRRMQFGVAKKIKNSARKIVLDQAEESRLAAFSALKSLGVHVPQFSRPLLSDIVESPGGGKMPCFGEDPSKDVQPAESLLADHKIVNSDSASPIGNREILVETMKAAGETSSAIPMQYNGNDTDVGSLIKRETANHANAAIEMRVECNPGPGDKDGLNGNTSNKKSEGPISASSFPGGFDCFLDKWASVNEFCFDLHFLKRPNSQSSVFYEVFGLAVCWDKSPVYYCNLSKDLAACSNLDKFDIWELARSRWNRITKIMGQDGVRKTTWNLKIQIQALKFPCASVQKIGWLNGDYIKWDDIKIIDDSFILLPSVSVHNGVDLCLVSWILWPDEESKSTPNLEKVVKRRLSNEAAAAANRDGRWRNQMRKAAHNGCCRRVAQVRALGSVLWKLLVAEGLVEVLMKIENPLVAVLADMEHWGMAVDMEACLRERHVLMRKLKELEKEAYKLAGMAFSLYTAADIANVLYTHLKLPIPQGRNKGKLHPSTDKHSLDQLRGQHPIISVIKEHRTLSKLLNSTLGSICSRAQLCVKSQKYKIQGHWLQTSTATGRLSMEEPNLQCVQHMVVFTTHRVDQNSSSSSECDHHLINARDIFVPTQDNWLLLTADYSQIELRIMAHFSKDLALIELLSKPDGDVFTMIASRWTCKPESAINSEERDYTKRLIYGILYGMGANTLGEQLQCSPEEAAEKIQSFKNSFPGVSAWLSEAVASCRQKGYVETLMGRKRFLSKIKFGNSKEKAKAQRQAVNSICQGSAADIIKMAMINIHSVIVDGRSNGNLNNDLAVKLSNVKGRCRIILQVHDELVLEVDPGIIKEAGILLQMNMENAASLLVPLRVKLMVGKAWGSLEPFRVEPSNSH >Ma11_p10230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9557846:9595626:1 gene:Ma11_g10230 transcript:Ma11_t10230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASDPSRARIDQFFASRKRKTPLPKDGKPDKESRSPVAGSPGAKGTLDGFLARSPDVVSVAGQGQTRNSPRHDLVKRNLVSEIDSIADAVRKPDLVSDSAETGSSSRTAPGQESGSGDHLDFVRPPSAVVVAEKDSPEAVLACETSNEGNLELKRFAANFLSLYCSDLPSAESVPLEPDQKGQKRNGSPSLVAVCSKTLSKRQCALDHSDMLVEAETTSHAFPEPPTHKLHPVAEEVVNNKVPGVKLCSEIDGVVSLRRCTATPDGSFTKTRHYMIGMCCNNRVTDDTPKSALRCSIFSPGDEFWSEAIQVADGLLPAASNLPEGLQFSKCGTSDNKLRTDESSCRATNGVLDSGSMASKVSQKIGEKPIVENKTSLKISQHIEVSPLPVKHFDFSCEDSFRQRGVEKNESAIGVSVEPANSNYIQQKHLDSLLFKKHSEDMENCLAPKENSDFSFCNSGGSSSSTGKSYQTGAGSDLDACPGKGTGYDSANLERKQKNKLIANGNYEEFGTPSSSVPPKYRLQLHSWLPPEVCNIYKRKGISELYPWQVECLLVDGVLEKRNLVYCATTSSGKSFVAEILMLRQVLSTGKMALLVLPYVSLCAEKAEHLELLLEPLGKHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLINRLLEEGRLSELGIIVIDELHMVADQHRGYLLELMLTKLRYAAGEGSSDSSNGESLGSSGASSGKADPAHGLQIVGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFVKVGNTIFDKKMNVVRIISKTADLGGKDPDHIIELCNEVVQDGHSVLLFCSSRKGCESTARHVARFSKKFSDGIHEDCEFNDASAAIEALRRSPAGLDPILEQTLPSGVAYHHAGLTVEEREIIETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRVGRDFIDGTRYRQMAGRAGRTGIDTKGESILICKADEAKRIAAILNDCCPPLHSCLSEDKNGMTHAIMEVVAGGIVQTAHDINRYVRCTLLNSTKPFQDVVKSAQDSLRWLCHKKFLKWNEDTKLYSTTPLGRAAFGSSLTPEESLVVLDDLLRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMEMCALDQSVGNRVGVTEPFLMRMAHGAPMPVQNRLRGKKSGLNEKFQTRNSEVDNGMLSNEQTLRVSKRFYVALMLSRLVQEVPVSDVCEAFRVARGMLQALQESAGRFALMVSVFCERLGWHDLQGLVAKFQNRVSFGVRAEIVELTTIPHVKGSRARALYKAGLRTPLAIAEASVTEIVKAMFDSSAWTHQDDSKLRRMQFGVAKKIKNSARKIVLDQAEESRLAAFSALKSLGVHVPQFSRPLLSDIVESPGGGKMPCFGEDPSKDVQPAESLLADHKIVNSDSASPIGNREILVETMKAAGETSSAIPMQYNGNDTDVGSLIKRETANHANAAIEMRVECNPGPGDKDGLNGNTSNKKSEGPISASSFPGGFDCFLDKWASVNEFCFDLHFLKRPNSQSSVFYEVFGLAVCWDKSPVYYCNLSKDLAACSNLDKFDIWELARSRWNRITKIMGQDGVRKTTWNLKIQIQALKFPCASVQKIGWLNGDYIKWDDIKIIDDSFILLPSVSVHNGVDLCLVSWILWPDEESKSTPNLEKVVKRRLSNEAAAAANRDGRWRNQMRKAAHNGCCRRVAQVRALGSVLWKLLVAEGLVEVLMKIENPLVAVLADMEHWGMAVDMEACLRERHVLMRKLKELEKEAYKLAGMAFSLYTAADIANVLYTHLKLPIPQGRNKGKLHPSTDKHSLDQLRGQHPIISVIKEHRTLSKLLNSTLGSICSRAQLCVKSQKYKIQGHWLQTSTATGRLSMEEPNLQCVQHMVVFTTHRVDQNSSSSSECDHHLINARDIFVPTQDNWLLLTADYSQIELRIMAHFSKDLALIELLSKPDGDVFTMIASRWTCKPESAINSEERDYTKRLIYGILYGMGANTLGEQLQCSPEEAAEKIQSFKNSFPGVSAWLSEAVASCRQKGYVETLMGRKRFLSKIKFGNSKEKAKAQRQAVNSICQGSAADIIKMAMINIHSVIVDGRSNGNLNNDLAVKLSNVKGRCRIILQVHDELVLEVDPGIIKEAGILLQMNMENAASLLVPLRVKLMVGKAWGSLEPFRVEPSNSH >Ma11_p10230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9557846:9595626:1 gene:Ma11_g10230 transcript:Ma11_t10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASDPSRARIDQFFASRKRKTPLPKDGKPDKESRSPVAGSPGAKGTLDGFLARSPDVVSVAGQGQTRNSPRHDLVKRNLVSEIDSIADAVRKPDLVSDSAETGSSSRTAPGQESGSGDHLDFVRPPSAVVVAEKDSPEAVLACETSNEGNLELKRFAANFLSLYCSDLPSAESVPLEPDQKGQKRNGSPSLVAVCSKTLSKRQCALDHSDMLVEAETTSHAFPEPPTHKLHPVAEEVVNNKVPGVKLCSEIDGVVSLRRCTATPDGSFTKTRHYMIGMCCNNRVTDDTPKSALRCSIFSPGDEFWSEAIQVADGLLPAASNLPEGLQFSKCGTSDNKLRTDESSCRATNGVLDSGSMASKVSQKIGEKPIVENKTSLKISQHIEVSPLPVKHFDFSCEDSFRQRGVEKNESAIGVSVEPANSNYIQQKHLDSLLFKKHSEDMENCLAPKENSDFSFCNSGGSSSSTGKSYQTGAGSDLDACPGKGNLPTQENNMGQIRSVRLPVCLPGTGYDSANLERKQKNKLIANGNYEEFGTPSSSVPPKYRLQLHSWLPPEVCNIYKRKGISELYPWQVECLLVDGVLEKRNLVYCATTSSGKSFVAEILMLRQVLSTGKMALLVLPYVSLCAEKAEHLELLLEPLGKHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLINRLLEEGRLSELGIIVIDELHMVADQHRGYLLELMLTKLRYAAGEGSSDSSNGESLGSSGASSGKADPAHGLQIVGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFVKVGNTIFDKKMNVVRIISKTADLGGKDPDHIIELCNEVVQDGHSVLLFCSSRKGCESTARHVARFSKKFSDGIHEDCEFNDASAAIEALRRSPAGLDPILEQTLPSGVAYHHAGLTVEEREIIETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRVGRDFIDGTRYRQMAGRAGRTGIDTKGESILICKADEAKRIAAILNDCCPPLHSCLSEDKNGMTHAIMEVVAGGIVQTAHDINRYVRCTLLNSTKPFQDVVKSAQDSLRWLCHKKFLKWNEDTKLYSTTPLGRAAFGSSLTPEESLVVLDDLLRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMEMCALDQSVGNRVGVTEPFLMRMAHGAPMPVQNRLRGKKSGLNEKFQTRNSEVDNGMLSNEQTLRVSKRFYVALMLSRLVQEVPVSDVCEAFRVARGMLQALQESAGRFALMVSVFCERLGWHDLQGLVAKFQNRVSFGVRAEIVELTTIPHVKGSRARALYKAGLRTPLAIAEASVTEIVKAMFDSSAWTHQDDSKLRRMQFGVAKKIKNSARKIVLDQAEESRLAAFSALKSLGVHVPQFSRPLLSDIVESPGGGKMPCFGEDPSKDVQPAESLLADHKIVNSDSASPIGNREILVETMKAAGETSSAIPMQYNGNDTDVGSLIKRETANHANAAIEMRVECNPGPGDKDGLNGNTSNKKSEGPISASSFPGGFDCFLDKWASVNEFCFDLHFLKRPNSQSSVFYEVFGLAVCWDKSPVYYCNLSKDLAACSNLDKFDIWELARSRWNRITKIMGQDGVRKTTWNLKIQIQALKFPCASVQKIGWLNGDYIKWDDIKIIDDSFILLPSVSVHNGVDLCLVSWILWPDEESKSTPNLEKVVKRRLSNEAAAAANRDGRWRNQMRKAAHNGCCRRVAQVRALGSVLWKLLVAEGLVEVLMKIENPLVAVLADMEHWGMAVDMEACLRERHVLMRKLKELEKEAYKLAGMAFSLYTAADIANVLYTHLKLPIPQGRNKGKLHPSTDKHSLDQLRGQHPIISVIKEHRTLSKLLNSTLGSICSRAQLCVKSQKYKIQGHWLQTSTATGRLSMEEPNLQCVQHMVVFTTHRVDQNSSSSSECDHHLINARDIFVPTQDNWLLLTADYSQIELRIMAHFSKDLALIELLSKPDGDVFTMIASRWTCKPESAINSEERDYTKRLIYGILYGMGANTLGEQLQCSPEEAAEKIQSFKNSFPGVSAWLSEAVASCRQKGYVETLMGRKRFLSKIKFGNSKEKAKAQRQAVNSICQGSAADIIKMAMINIHSVIVDGRSNGNLNNDLAVKLSNVKGRCRIILQVHDELVLEVDPGIIKEAGILLQMNMENAASLLVPLRVKLMVGKAWGSLEPFRVEPSNSH >Ma08_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:907511:908923:1 gene:Ma08_g00980 transcript:Ma08_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKVRQSSSNSNSRSSRSSCEEKQGGEPKPIRHEFFDNTTMRKKKRRAIRRSWGCMLDALHDRRQSLQTNY >Ma09_p26740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37941118:37945091:-1 gene:Ma09_g26740 transcript:Ma09_t26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPWKKFRAGGGGGGGGGVSRLLAELRPPEQGGSLVVQTGFPTSLADLVVKNRGRLKKPSRKKKLPPSDLDSSASGPVATPVVATPSDDGARPGAAFVNRPVPVTEGGCSSSLISTETDRKRLKTGLGFLLAMMSVLVLLAIERKKLVVGITVSAFALRLLDSLSFQMLGFLKPCPEAQSRLNSVVVGGWDLEGRGVVSPIREVGISSSSDTVRSERNSVESIDLDPRREALLERRDLVGAGKACHEHDHRSKGNSKVKKLFRKFVPKKFCRRSDKKNVEELISVLSRRGASDGITEIEEEEAVNGDDDSDAVLGSGDVLSINAFNKVTDHDRKDVEFSRENLCESKSGSSHQLCFCAVVLLGLLGGKSVALVLTVSWFLFHKSIKTLWRKGTDLFV >Ma06_p15790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10685971:10700146:1 gene:Ma06_g15790 transcript:Ma06_t15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHREDKFVRFHDWSSEQSLDSERAFLGRKQRSLSSVKRIFQGGFDWVRSIFKFQSSTNSSAGVPKSKKKVLDPQGPFLQRWNKIFVISCIVAVSVDPLFFYIPVIDGDNNCLYLDKKLEIAASVLRFFTDIFYLVHIVFQFRTGFIAPSSRVFGRGVLVKDLSAIAKRYLSSYFLIDILAVFPLPQIMILLIIPKLEGSALLNAKNALMFAVIFQYVPRVLRIIPLYLEVTRSAGIIAETAWVGAAFNLLLYMLASHILGAFWYFLSIEREGTCWGKACAQHDCKIDSLICGQQNNQNNGFLGGDCPISPKNGTIFDFGIYLQALQNVVRSEKFLEKFFYCFWWGLQNLSSLGQNLKTSTYIWENIFAVCVSIFGLVLFALLIGNMQTYLQSTTVRIEEMRVKRRDAEQWMSHRSLPESLKERIRRYEQYRWQETRGVDEEHLLHNLPKDLRRDIKHHLCLSLLKRVPMFEKMDDQLIDAMSDRLKPVLYTKCSCIVREGDPVNEMLFIMRGKLESMTTNGGRTGFFNSDILKAGDFCGEELLTWALDPYSSSSLPISTRTVKTLSEVEAFALMADDLKFVATQFRRLHSKQLQHSFRYHSQQWRTWAACFIQAAWRRYSRKKLEDSLHEKEKRLQAAMVSGGASSPSLGAALYASRFAANVLRNLRRHKSRKAPPLMLLQKPAEPDFSAE >Ma06_p15790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10686043:10700146:1 gene:Ma06_g15790 transcript:Ma06_t15790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNHREDKFVRFHDWSSEQSLDSERAFLGRKQRSLSSVKRIFQGGFDWVRSIFKFQSSTNSSAGVPKSKKKVLDPQGPFLQRWNKIFVISCIVAVSVDPLFFYIPVIDGDNNCLYLDKKLEIAASVLRFFTDIFYLVHIVFQFRTGFIAPSSRVFGRGVLVKDLSAIAKRYLSSYFLIDILAVFPLPQIMILLIIPKLEGSALLNAKNALMFAVIFQYVPRVLRIIPLYLEVTRSAGIIAETAWVGAAFNLLLYMLASHILGAFWYFLSIEREGTCWGKACAQHDCKIDSLICGQQNNQNNGFLGGDCPISPKNGTIFDFGIYLQALQNVVRSEKFLEKFFYCFWWGLQNLSSLGQNLKTSTYIWENIFAVCVSIFGLVLFALLIGNMQTYLQSTTVRIEEMRVKRRDAEQWMSHRSLPESLKERIRRYEQYRWQETRGVDEEHLLHNLPKDLRRDIKHHLCLSLLKRVPMFEKMDDQLIDAMSDRLKPVLYTKCSCIVREGDPVNEMLFIMRGKLESMTTNGGRTGFFNSDILKAGDFCGEELLTWALDPYSSSSLPISTRTVKTLSEVEAFALMADDLKFVATQFRRLHSKQLQHSFRYHSQQWRTWAACFIQAAWRRYSRKKLEDSLHEKEKRLQAAMVSGGASSPSLGAALYASRFAANVLRNLRRHKSRKAPPLMLLQKPAEPDFSAE >Ma06_p15790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10685980:10700146:1 gene:Ma06_g15790 transcript:Ma06_t15790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHREDKFVRFHDWSSEQSLDSERAFLGRKQRSLSSVKRIFQGGFDWVRSIFKFQSSTNSSAGVPKSKKKVLDPQGPFLQRWNKIFVISCIVAVSVDPLFFYIPVIDGDNNCLYLDKKLEIAASVLRFFTDIFYLVHIVFQFRTGFIAPSSRVFGRGVLVKDLSAIAKRYLSSYFLIDILAVFPLPQIMILLIIPKLEGSALLNAKNALMFAVIFQYVPRVLRIIPLYLEVTRSAGIIAETAWVGAAFNLLLYMLASHILGAFWYFLSIEREGTCWGKACAQHDCKIDSLICGQQNNQNNGFLGGDCPISPKNGTIFDFGIYLQALQNVVRSEKFLEKFFYCFWWGLQNLSSLGQNLKTSTYIWENIFAVCVSIFGLVLFALLIGNMQTYLQSTTVRIEEMRVKRRDAEQWMSHRSLPESLKERIRRYEQYRWQETRGVDEEHLLHNLPKDLRRDIKHHLCLSLLKRVPMFEKMDDQLIDAMSDRLKPVLYTKCSCIVREGDPVNEMLFIMRGKLESMTTNGGRTGFFNSDILKAGDFCGEELLTWALDPYSSSSLPISTRTVKTLSEVEAFALMADDLKFVATQFRRLHSKQLQHSFRYHSQQWRTWAACFIQAAWRRYSRKKLEDSLHEKEKRLQAAMVSGGASSPSLGAALYASRFAANVLRNLRRHKSRKAPPLMLLQKPAEPDFSAE >Ma06_p15790.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10686163:10700146:1 gene:Ma06_g15790 transcript:Ma06_t15790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNHREDKFVRFHDWSSEQSLDSERAFLGRKQRSLSSVKRIFQGGFDWVRSIFKFQSSTNSSAGVPKSKKKVLDPQGPFLQRWNKIFVISCIVAVSVDPLFFYIPVIDGDNNCLYLDKKLEIAASVLRFFTDIFYLVHIVFQFRTGFIAPSSRVFGRGVLVKDLSAIAKRYLSSYFLIDILAVFPLPQIMILLIIPKLEGSALLNAKNALMFAVIFQYVPRVLRIIPLYLEVTRSAGIIAETAWVGAAFNLLLYMLASHILGAFWYFLSIEREGTCWGKACAQHDCKIDSLICGQQNNQNNGFLGGDCPISPKNGTIFDFGIYLQALQNVVRSEKFLEKFFYCFWWGLQNLSSLGQNLKTSTYIWENIFAVCVSIFGLVLFALLIGNMQTYLQSTTVRIEEMRVKRRDAEQWMSHRSLPESLKERIRRYEQYRWQETRGVDEEHLLHNLPKDLRRDIKHHLCLSLLKRVPMFEKMDDQLIDAMSDRLKPVLYTKCSCIVREGDPVNEMLFIMRGKLESMTTNGGRTGFFNSDILKAGDFCGEELLTWALDPYSSSSLPISTRTVKTLSEVEAFALMADDLKFVATQFRRLHSKQLQHSFRYHSQQWRTWAACFIQAAWRRYSRKKLEDSLHEKEKRLQAAMVSGGASSPSLGAALYASRFAANVLRNLRRHKSRKAPPLMLLQKPAEPDFSAE >Ma06_p15790.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10685682:10700146:1 gene:Ma06_g15790 transcript:Ma06_t15790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNHREDKFVRFHDWSSEQSLDSERAFLGRKQRSLSSVKRIFQGGFDWVRSIFKFQSSTNSSAGVPKSKKKVLDPQGPFLQRWNKIFVISCIVAVSVDPLFFYIPVIDGDNNCLYLDKKLEIAASVLRFFTDIFYLVHIVFQFRTGFIAPSSRVFGRGVLVKDLSAIAKRYLSSYFLIDILAVFPLPQIMILLIIPKLEGSALLNAKNALMFAVIFQYVPRVLRIIPLYLEVTRSAGIIAETAWVGAAFNLLLYMLASHILGAFWYFLSIEREGTCWGKACAQHDCKIDSLICGQQNNQNNGFLGGDCPISPKNGTIFDFGIYLQALQNVVRSEKFLEKFFYCFWWGLQNLSSLGQNLKTSTYIWENIFAVCVSIFGLVLFALLIGNMQTYLQSTTVRIEEMRVKRRDAEQWMSHRSLPESLKERIRRYEQYRWQETRGVDEEHLLHNLPKDLRRDIKHHLCLSLLKRVPMFEKMDDQLIDAMSDRLKPVLYTKCSCIVREGDPVNEMLFIMRGKLESMTTNGGRTGFFNSDILKAGDFCGEELLTWALDPYSSSSLPISTRTVKTLSEVEAFALMADDLKFVATQFRRLHSKQLQHSFRYHSQQWRTWAACFIQAAWRRYSRKKLEDSLHEKEKRLQAAMVSGGASSPSLGAALYASRFAANVLRNLRRHKSRKAPPLMLLQKPAEPDFSAE >Ma06_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10686043:10700146:1 gene:Ma06_g15790 transcript:Ma06_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHREDKFVRFHDWSSEQSLDSERAFLGRKQRSLSSVKRIFQGGFDWVRSIFKFQSSTNSSAGVPKSKKKVLDPQGPFLQRWNKIFVISCIVAVSVDPLFFYIPVIDGDNNCLYLDKKLEIAASVLRFFTDIFYLVHIVFQFRTGFIAPSSRVFGRGVLVKDLSAIAKRYLSSYFLIDILAVFPLPQIMILLIIPKLEGSALLNAKNALMFAVIFQYVPRVLRIIPLYLEVTRSAGIIAETAWVGAAFNLLLYMLASHILGAFWYFLSIEREGTCWGKACAQHDCKIDSLICGQQNNQNNGFLGGDCPISPKNGTIFDFGIYLQALQNVVRSEKFLEKFFYCFWWGLQNLSSLGQNLKTSTYIWENIFAVCVSIFGLVLFALLIGNMQTYLQSTTVRIEEMRVKRRDAEQWMSHRSLPESLKERIRRYEQYRWQETRGVDEEHLLHNLPKDLRRDIKHHLCLSLLKRVPMFEKMDDQLIDAMSDRLKPVLYTKCSCIVREGDPVNEMLFIMRGKLESMTTNGGRTGFFNSDILKAGDFCGEELLTWALDPYSSSSLPISTRTVKTLSEVEAFALMADDLKFVATQFRRLHSKQLQHSFRYHSQQWRTWAACFIQAAWRRYSRKKLEDSLHEKEKRLQAAMVSGGASSPSLGAALYASRFAANVLRNLRRHKSRKAPPLMLLQKPAEPDFSAE >Ma01_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11989798:11989926:1 gene:Ma01_g16550 transcript:Ma01_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGKSLFGLTHSDPYRVYIRSIEYKDDHFFPSLIKYANKI >Ma03_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7583016:7586512:1 gene:Ma03_g10150 transcript:Ma03_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFTRFSGWIWGGKDYESPNSLSASPDLSSGFREPDYLKFPPVSGPRIRSNSRRIKKKWQSREERRIDKEYDIVLVPSDGGCMSGSESSDSDWSIGWLEPHAPEFQSDSETENSSFAVLVPCYGRGRCEQVESSKTHVLGAVDRLDDDLSDGKKYIEEWLSSL >Ma06_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1889987:1897683:1 gene:Ma06_g02440 transcript:Ma06_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAVPSLELHSEGETDRHPLLMDRAATSNGHEHIIDVPRGINGDVDNLFSESHNLDGNSTNTTPHVLQASPVSPDAANSRNASLIRRGDNYGRRGRSPLNSGLWISVELVVNVSQIIAAIIVLSLSRYEHPQAPLFEWIIGYTIGCFANLPHLYWRYTHRNGQGSTQESDRPNQRTTHNNPPESSAYTTSFVTQASGRESDNAVAPIAQVGQDMVIANTRINALVDHFKMALDCFFAVWFVVGNVWMFGGHASSEDAPNMYRLCIVFLTFSCIGYAMPFILCAIICCCLPCIISVMGFREDMGHDRGATSESINALPTYKFKSKRRRARDESGSNSEGQGDGGILAPGTDRERFVSNEDAVCCICLAKYVDNDELRELPCTHFFHKDCVDKWLKINALCPLCKSEAGSTTTS >Ma05_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4734668:4736205:-1 gene:Ma05_g06350 transcript:Ma05_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPNSSEPESSANSMSSLSSTSSSSSPSISEALKDRIKQTAAASRKGAGGAGGAAIEKRPRDRSKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGAAAVLNFPELASSLPRPATLSPRDVQAAAAKAAAMDPGARSDHPASTPADSDELGEIVELPRLDDGCCFLDSADSGGEFLYEDPVDAWAFPLPWVENADPLWAASDTVVPTTIFEALPWD >Ma06_p28840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30404709:30411184:-1 gene:Ma06_g28840 transcript:Ma06_t28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKNSPELDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAVRILREIKLLRLLRHPDIVEIKHIVLPPSRREFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARAAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLGSMRKKQPVPFGQKFPNADPLALKLLERLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRLTKEDIRELIFREILEYHPQLLQDYINGTERTNFLYPSAVDQFRKQFAHLEENGGKNGPVIPLDRKHVSLPRSTVVHSTTTPPTDQPNMSSSRDRQGTDEACKNPRDIEKSYGSIARASQAAQRISTARPGKVVGPVMPYESGSVKDAYDPRRYIRNAVLPQQPVIPPAYCHHGSAGNLEADRESIQHKSPQPCIPGKVSPDIALDMRASPFYLSAATAEPAERNTVAASLLQVKSPFNGIVAAAAAGAGAGHRNVGTVQFGMTSMY >Ma03_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25393854:25399706:1 gene:Ma03_g20230 transcript:Ma03_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARDSKAPKRDGSNRLGSLGGAEGPETRPAFTVDGADEDECLRRSDVLSREEVLRRRARRLKQLAGCHSRQYWALMEEVRVKHRDYYWEHGVSPFEEGEEDGDGGGGRGREAGENGGAVGGAVEGREDNRMARVGVGLGLGFGKGEGSGGRRGERNRCAFAGCKSKAMPLTRFCHPHILADKKQTLYKACSYVTRSGQSGPITCGKPVLRVAVPSLCQVHFQKTQKSITQALKRAGHNVSSRPAPKFSILIAEYIHQIQSRRRDAVNSAMGGIEH >Ma06_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16354439:16354902:-1 gene:Ma06_g21860 transcript:Ma06_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLKLLFALLLLLLCSIHGGRGQPCSTSDINVEQSKTGVTVEGQAEYEVTVSNTCDCPQSKVMILCYGLRSVVAVDPCAIKPVDAKLCVVAEGRPLSKGTPIKFKYAWKTPQDFPVVSTKIRC >Ma03_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10574429:10576084:1 gene:Ma03_g13450 transcript:Ma03_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19940) UniProtKB/Swiss-Prot;Acc:Q941D3] MASSVALFPPATLVSRPALSNQRVVFPQTHRCKIPIVRASVSAQPFVAAPDDLVASILSKVKGTDRGVSLTKDEHKEVASVASQLAGYCVDEPVKCPLIFGDWDVVYCSVPTSPGGGYRSAIGRLVFKTNEMVQVVEAPDIVRNKVSFSAFGFLDGEVSLKGKLKVLDGKWIQVIFEPPQLKVGSLGFQYGGESEVKLQITYIDEKIRLGLGSRGSLFVFLRRG >Ma08_p31390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42318304:42320576:1 gene:Ma08_g31390 transcript:Ma08_t31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLFGPQSSRDLSRNSSSSRSSEPHQIHYQHSFLHQNSFARQQEVEFYREECGTEHHLYMDEDFSSSSSSKHFQNPHQPPSTTTTTTTTTPTPTPIFDPADLSLPQDLNLDFASPSSSSVGASGAGRRWSSQLLIECARAVAARDSHRVQQLMWMLNELSSPYGDTEQKLAAYFLQGFFARLTSSGPRTLRTLSAASDRNCSFDSTRRTALRFQELSPWSSFGHVAANGAILEAFLDPSSASQRLHILDLSNTFCTQWPTLLEALATRSADDTPHLSITTVVWSASPSTAVQTVMREIGQRMEKFARLMGVPFRFNVVHHAGDLSDLDLDTLDLREGDSSSLAVNCINALHGVSPANRRRETLIAAIRRLQPRIVTVVEEEADLEGGGGGGGEEEGEAFFKVFKESLRFFSAYFESLEESFPRTSNERLALEREAGRSVVDLVACPAAESAERRDTAAGWSRRMRSAGFAPAAFSEDVADDVRALLRRYKEGWTMRPTAEDSEDASAGIFLEWKEKPVVWASAWKP >Ma05_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33302504:33303712:1 gene:Ma05_g21590 transcript:Ma05_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSDSGLATCCCSTDPRLCSALRPPWCNLPVDIVMEIAERLLPNAADLVRFASVCRSWWLLVKEETSLARQLPWLMLAEEEIDAPSSGSNICRRFYSHSKNEIYELPVPKSHGRFCCGSYASWIATVGMDLRMQLVNIFTGGSVELPSLYTFGASLHQSGDWSPESRRSLFVSKVCMSSSPSAGRDCHVVAFYGVGRMLGYARVGDDRWTTVNCDWWHYLDASFYKGQFYLVNRKRDVVVLDVSQQQVHLIATKPKQRMVNYRWQIYLVESSGDLLYVVRVVKYSRKPTYDTKSFTVYKLNVSDGELQQMSSLGGRSLFLGLNSSISVEASKLVGCQKDSIYFTDDLGDFKTYCTPGGGHDMGIYSMVDGSITPHYGGVSLSRVSPPLWVPIHPLFSPNI >Ma02_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13108247:13109750:-1 gene:Ma02_g02110 transcript:Ma02_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLEQPLPFIFGILGNIISFMVFLSPLPTFYRIYRKKSTEGFQSVPYVVALSSCMLLIYYALVKTNAVLLITINSFGCFIETAYITIYLIYATKKARIFCIQIFVLLNVVAFAAIVLLTRLAFTGPDRVTVVGWICVGFSLCVFAAPLSIIRLVIRTKSVEFMPFYLSFFLTLNAIAWFGYGFFTKDLYVELPNVLGFVFGVVQMVVYMLYKNNNNKKDAAVAAVPEHRVKIAELSSAPASELQVSLEEKDQNKRSMEDSQDTDKNETAYEEGHDISAV >Ma03_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11461600:11467213:-1 gene:Ma03_g14310 transcript:Ma03_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEISPSYAGSRALPPNDLSSRPINPLLAFKNRRPSLPCSARRIPRILCSVSPNQVQAPVLSATPAGATSKRECFGVFCTTYDLKAADKTKSWKGLINIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGKDPYEVFQDAEWALLIGAKPRGPGMERAGLLDINGQIFAEQGKALNDVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGMPVTEVITDVKWLKEEFTERVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLITPTPDGDWFSSGVYSTGNPYGIAEDIVFSMPCRSKGDGDYELAKDVIFDDYLRERIKKTEAELLAEKRCVAHLTGEGNAYCDLPEDTMLPGEQ >Ma03_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1299778:1302096:-1 gene:Ma03_g01890 transcript:Ma03_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGTPRVAIIGGGISGLAAAKELRWLEPVLFEATNSIGGVWRHCSFRSTRLQTPRPDYEFSDYQWADRNDPTFPTHSEILEYLHGYATHFDLWRFIELETKVVEIRFVGHGETKTGFTDLWGDKGRPIADGPVWEVGVVTPRSNTVQWCKFEFVVMCIGKYGDVPNMPTFPRGKGPETFLGKVLHSLDYCKLDDEAAKSLMKGKKVVIIGYKKSAIDLAVECAEANHGSDGQPCTMVIRTLHWTVPSYSISGLPFFLFFSTRLSQFLHERPNQGLFRSLACHLLSPLRRGVSRFIESSLTWKLPLEKYGLKPNHPFVEDYASCQMAILPENFFAEADEGRIAFKRSSRWCFWEGGVVLDDNTKLEADVVLLATGFDGKQKLKSVLPEPFRGLIVDSSGVMPLYRGTIHPLIPHMAFVGYVESVSNLHTSELRCKWLGRLLRGHFKLPGTGAMFRQTGAEIDVMKRTTRFYRRHCISTFSINHSDDMCEEMGWSSWRKRSWLAELFSAYNNQDYKEDESQ >Ma10_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29974345:29980031:-1 gene:Ma10_g19400 transcript:Ma10_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDLSNRPSTAEMSTIEGPNKDTHLVDSLSNKWTDEKHTLFLNSIEESFVNELYNGEYHSKSFVGWLSRIKKHKGFCEPYENDSKFGQTFKALCRSCHESRRFDGDNNPADIESGFLSFYANPWIQHFRSPSIMKKRHVRSSDGVDDIEFIRPSVQLANVRHDGEATSSKWTCHEDSVASSAEVSDQNFIADELVAGKKSIRIHRKRRLGNAVVHEPITDQVVPSGETLLASTSNEKHACLQAMNAWSSGRTPEVSAGFLPVETEASLCANQRVNS >Ma10_p19400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29974345:29980022:-1 gene:Ma10_g19400 transcript:Ma10_t19400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDLSNRPSTAEMSTIEGPNKDTHLVDSLSNKWTDEKHTLFLNSIEESFVNELYNGEYHSKSFVGWLSRIKKHKGFCEPYENDSKFGQTALCRSCHESRRFDGDNNPADIESGFLSFYANPWIQHFRSPSIMKKRHVRSSDGVDDIEFIRPSVQLANVRHDGEATSSKWTCHEDSVASSAEVSDQNFIADELVAGKKSIRIHRKRRLGNAVVHEPITDQVVPSGETLLASTSNEKHACLQAMNAWSSGRTPEVSAGFLPVETEASLCANQRVNS >Ma01_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3086502:3091226:-1 gene:Ma01_g04570 transcript:Ma01_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPKPGSPRSDGFLTSPSSSPAAAVTSPAASAASPSLSRYESQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPMCPFFGHPNPPSPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREIREMQSKARGISYEKKKRKKQPPPPQPQPQPQPRPPHPHSPSPATGAAT >Ma04_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2930067:2935353:1 gene:Ma04_g03800 transcript:Ma04_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYTAAITRHRPIQLQPLDRVWGTPTGRPLRRAAVVAVARKAIEGVGDELNAVAAENMDFAPARRRVRYAFADVHRRLDHFLFKIAPTGIQMEERYEMNSKGVEVFWKSWLPKPGTTTKAALFFCHGYGSTCTFFFEGIAKIIADAGYAVFAMDYPGFGLSQGLHGYIPSFDGMVDHVIEQYAAIRAMEGVRELRHFLLGQSMGGAVALKIHLKQPEEWDGVLLVAPMCKIAEEVTPPGPVLKALTLMSYVLPEAKLFPQKDLGVLSFRDPTKRKVAELNVISYSDQMRLRTAVELIKATQDIESQLKKVRSPLLILHGAADKVTDPNVSKILYNMANTNDKTLKLYEEGFHCILEGEPDGRISSVINDIISWLDSHSDKN >Ma04_p03800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2930067:2935003:1 gene:Ma04_g03800 transcript:Ma04_t03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYTAAITRHRPIQLQPLDRVWGTPTGRPLRRAAVVAVARKAIEGVGDELNAVAAENMDFAPARRRVRYAFADVHRRLDHFLFKIAPTGIQMEERYEMNSKGVEVFWKSWLPKPGTTTKAALFFCHGYGSTCTFFFEGIAKIIADAGYAVFAMDYPGFGLSQGLHGYIPSFDGMVDHVIEQYAAIRAMEGVRELRHFLLGQSMGGAVALKIHLKQPEEWDGVLLVAPMCKIAEEVTPPGPVLKALTLMSYVLPEAKLFPQKDLGVLSFRDPTKRKVAELNVISYSDQMRLRTAVELIKATQDIESQLKKEQSEEPWPAYLKQNSEF >Ma08_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7389337:7391710:1 gene:Ma08_g10140 transcript:Ma08_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQISSLFNGLAKSLYAGKRKVTGAEEGREAADELRSEAKKNDMILRSSGSIRSAGSKSLVSVFSQRGEKGVNQDCSIVWEEFGCQEDMMFCGVFDGHGPWGHYVAKKVLKSLPSSLLCNWQESLALASLVADKKLCNFDLWKQAYLRACGVVDKELEHDRSLDSFHSGTTALTIVKQGELMVIANVGDSRAVLATASDDGSLVPIQLTIDFKPNLPQEAERITESKGRVLCLRDEPGVHRVWLPDEDAPGLAMSRAFGDYCIKDYGLISVPEVTQRSITSRDQFVVLATDGVWDVISNQEAVDIVSSTKDRRKAAKRLVERAVCAWKRKRRGIAADDCSAICMFLQSTL >Ma08_p10140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7389323:7391710:1 gene:Ma08_g10140 transcript:Ma08_t10140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQISSLFNGLAKSLYAGKRKVTGAEEGREAADELRSEAKKNDMILRSSGSIRSAGSKSLVSVFSQRGEKGVNQDCSIVWEEFGCQEDMMFCGVFDGHGPWGHYVAKKVLKSLPSSLLCNWQESLALASLVADKKLCNFDLWKQAYLRACGVVDKELEHDRSLDSFHSGTTALTIVKQGELMVIANVGDSRAVLATASDDGSLVPIQLTIDFKPNLPQEAERITESKGRVLCLRDEPGVHRVWLPDEDAPGLAMSRAFGDYCIKDYGLISVPEVTQRSITSRDQFVVLATDGVWDVISNQEAVDIVSSTKDRRKAAKRLVERAVCAWKRKRRGIAADDCSAICMFLQSTL >Ma08_p10140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7389360:7391710:1 gene:Ma08_g10140 transcript:Ma08_t10140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQISSLFNGLAKSLYAGKRKVTGAEEGREAADELRSEAKKNDMILRSSGSIRSAGSKSLVSVFSQRGEKGVNQDCSIVWEEFGCQEDMMFCGVFDGHGPWGHYVAKKVLKSLPSSLLCNWQESLALASLVADKKLCNFDLWKQAYLRACGVVDKELEHDRSLDSFHSGTTALTIVKQGELMVIANVGDSRAVLATASDDGSLVPIQLTIDFKPNLPQEAERITESKGRVLCLRDEPGVHRVWLPDEDAPGLAMSRAFGDYCIKDYGLISVPEVTQRSITSRDQFVVLATDGVWDVISNQEAVDIVSSTKDRRKAAKRLVERAVCAWKRKRRGIAADDCSAICMFLQSTL >Ma10_p25370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33539149:33543561:1 gene:Ma10_g25370 transcript:Ma10_t25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKPKSQSGVWSTVKPFVNGGVSGMLATCVIQPIDMVKVRIQLGQGSAVQVTKNMLANEGFGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLLQKAAIGLTAGAIGACFGSPADLALIRMQADATLPAAQRRYYKNAFHALYRIITDEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDSLGLGEVSTVIGASAVSGFFASACSLPFDYVKTQIQKMQPDATGKYPYTGSLDCVLKTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQIQKMEKSLGL >Ma04_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:587015:587586:1 gene:Ma04_g00620 transcript:Ma04_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPAVAEAGQRKPRISVAGGGLGGLVSTLVAKRRGFEVQMFEKHLSAIRGEGHETRSPCSTPSTPTSPARSPPPTYASWAIASTASPTASPANGMHSALALCNWSWSDFSFVGGFRLSVSLTGLPSLTC >Ma02_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14665620:14667987:1 gene:Ma02_g03300 transcript:Ma02_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAWMEPSLDVDKLSHEIFSILESKFLFGYDEPKLFLPSTPATIAAAGRVRVLSIDAADGALAGAALVRLEASVRKQCGDPAARVADFFDLAAGSGAGGVLSALLFTRGPDGRPLFSAAEALRLLTKHRHRLSSGAQRKGILRGILGRSGGLFRRVFGDATLRDTLKPVLIPCYDLATAAPFVFSRADAVEADGYDFRMGEVCAATCADSLAGAAPVDMHSVDGRTRIRAVGGRLVMWNPTAVAITHVLNNRQEFPAAAGVEDLLVVSLGGADSAASPGKAVRRPTSAAELARIAGSAQADVVDQAVAMAFGENWATNYVRIQGHVAVPVTTAAAEAALADRGVDSALFRGRKLSERTNGEKLDLFAAELIREHDRRRKRGDAPTVAIKPSTPPSPCSSESTTWPTILLPVQELRN >Ma03_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10989541:10995527:-1 gene:Ma03_g13960 transcript:Ma03_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPTSKSSALSLKDYLRRYETGADDQKKKRNKKKKDKPQSRTVGGILVVDEDPVWQKPVQIEQEESEPSGDEKPQIEEDIEVKRMKRLDAIRARKPYHAISEDGSGWVSISDPSKTSKSAAIGRDICPPRQGRARFDTPSPEPKEKPSGSQNSDLSPPRQRRADTPSPEPEKAVAGDGTADISPPWRRSRDDNSPPRRTRRAHSPVPDLSPPRRSQKDLSDDPKVSSRQQDPVDLSPPRRRQRVSSPDISLPRRTRRLSPGAGGPRASDDADLSPPRKSSKCLSDDLSPPRRIHPQSPEAIRRQSSPVADLSPPRKSRKEAPSAKESRRAGLFSAKEIKEEIEKKKKEDTSRFASMDPFLSGRGAEPVFRDKEGKRISKEEMLKTQEKEKPKEKKLEWGKGLAQKREAEANAKELELEREKPFARTRDDPELDKMLKERIRWGDPMAHVVKRKSSDLILEDLGDNEKMKESGFIIPQTIPSHSWLKRGIDFPPNRYGTKPGRHWDGVDRSNGFEKELFKRQNEKRATEREAYLWSVSDM >Ma03_p13960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10990026:10995527:-1 gene:Ma03_g13960 transcript:Ma03_t13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPTSKSSALSLKDYLRRYETGADDQKKKRNKKKKDKPQSRTVGGILVVDEDPVWQKPVQIEQEESEPSGDEKPQIEEDIEVKRMKRLDAIRARKPYHAISEDGSGWVSISDPSKTSKSAAIGRDICPPRQGRARFDTPSPEPKEKPSGSQNSDLSPPRQRRADTPSPEPEKAVAGDGTADISPPWRRSRDDNSPPRRTRRAHSPVPDLSPPRRSQKDLSDDPKVSSRQQDPVDLSPPRRRQRVSSPDISLPRRTRRLSPGAGGPRASDDADLSPPRKSSKCLSDDLSPPRRIHPQSPEAIRRQSSPVADLSPPRKSRKEAPSAKESRRAGLFSAKEIKEEIEKKKKEDTSRFASMDPFLSGRGAEPVFRDKEGKRISKEEMLKTQEKEKPKEKKLEWGKGLAQKREAEANAKELELEREKPFARTRDDPELDKMLKERIRWGDPMAHVVKRKSSDLILEDLGDNEKMKESGFIIPQTIPSHSWLKRGIDFPPNRYGTKPGRHWDGVDRSNGMLVLISPPFLLSIYFFFLISCFFYYIPVTM >Ma05_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6153314:6154589:1 gene:Ma05_g08300 transcript:Ma05_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELQSCGGRKRTATTIALRPREEGLKCPRCHSANTKFCYYNNYSLSQPRHFCKACRRYWTIGGALRNVPMGGGSRKSKKSKSSSASRLPVDPVRGLAETGSGLRFLDGVSSSVAMDFHIRLHAPPASGAFSCSNQCINFVDISRSVYGEVGGTSISSAVNGISVSSIEPLSSISQDLHWKLQQQGLSMFTGLSPRPLENQQTLKSSDIAGRAGAEACEGNGTRNLCVGAGADSSPAWFPDSSYTMPTSNSSMPCNTISNNINESAKSVTNVNNMSYWGGTSAWNETPQFSTLP >Ma06_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3692437:3696844:-1 gene:Ma06_g04930 transcript:Ma06_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEHAEKPQVPEGGGLVAEEVPSESGSGTEKRGREEGDEEDCGLKRQKVEGYWEEEKTDGVDGKREGGGDLEVGGCGDGRESEAANVGPKGFKSSVEMFEYFLKLLRSWSPDLDINKYEHMVLLDLLKKGHPDPAKKIGAGIEAFQVRNHPTFKSRCFFLVRADGTSDDFSFCKCVDMILPMPDHLKAQSTSDNGRVFYHKRGSHPRGGGRSGGRGYGKRGGSGK >Ma04_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10405528:10410839:1 gene:Ma04_g13740 transcript:Ma04_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIRPPPSPPPLLSLPIPLLFLVLLFSIAAVSLASDYACQERSTTCGDVTDITYPFWLANDSAELFTYCGYPEFMVICRNNTPILRLATDNYTVTHIDHDHLIISLADDDIVSSVDACPRVRHNLTVLTNSSLAYAPSDTYLTFFFNCNDVRTEYTVPCLGLAGGNISFVLTDEMIKNNSFVPHNCEAVIVAPVLQEYLKSYQYELANGFREVLHEGFELNWSASTNTTCSHCEQSGGWCGLNKTSSTTSVFSCFCSDGRIASYNCSDAAGKSKKSPMKHGVIIGIAASAGFFVLLCVGFIYYRHKKKQGNSPSSKSLVQNLSSMSSSKDPEKGSSAHFQTHLFSYEELEQATNHFDESEELGDGGFGTVYKGKLRDGRIVAVKRLYENNYRRVEQFRNEIDILSRLRHPNLVNLYGCTSRSERELLLVYEFVQNGTVADHLHGSRASEGILTWPVRLNIAVETADALAYLHAVNPPIIHRDVKTSNILLDSCFNVKVADFGLSRLFPTDVTHISTAPQGTPGYLDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRHRKDINLANMAVDRIQNGELDQLVDEGLGYQSDEAIRKMITMVAEVAFRCLQKDGEMRPPVKEVLDTLKAIQSEGYKVAKEGKDGADNGDDAGLLKNIAPMSPDSVMNRWVSRYTTPNTSE >Ma06_p34810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34916809:34919785:-1 gene:Ma06_g34810 transcript:Ma06_t34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYPAVSEEYQKAVEKARRKLRGLIAEKNCAPIMLRLAWHSAGTYDVSTKTGGPFGTIRFAAELAHGANNGLDIALRLLEPIKEQFPTLSFADFYQLAGVVAVEVTGGPEIPFHPGREDKTQPPEEGRLPDATKGSDHLRDVFGHMGLSDQDIVALSGGHTLGRCHKERSGFEGAWTSNPLIFDNSYFKELLSGEKDDVIQLPSDKALLTDPVFRPLVEKYAADEDAFFADYAEAHLKLSELGFAED >Ma01_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10209804:10226422:1 gene:Ma01_g13980 transcript:Ma01_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYGLDPRRDASGGRRDQLPPTPWLPPMESGGGGAGGVGNLTDRFFRLGLGAVPNGNLHQVMMAVEGAENTIKKQLEENNQLKNELLRKTRELERDRLNATSLKPSEVSTNDHVEGYEPHHSNSSSGNEAERNKWMDNHSLNSQGILLIHQNGFSGREERFVETSTNNQQLGSNKVNGDLKKIPLVRFGVEGAGPSQDSTPSSRSISPSKNQKDGEHEKRFNSSGNGLLPVSDTSPNILWKQELIVKVRKHEEEIAQLRKHLTDYLVKETHICNDKYVLDKHIAYMRTAFDQQQQDLVNAASKAISYRQDIIEENILLSHALQAAHAERSTFVSSLVPLLSEHGLQPSIIDAQSIVSNLKVLFGHMHEKLIISKEKLKESQYQIAPWYAESSHNAGFPTQSPSRPLSSQVAVSNKNNLEIVPQPANPHAQTPISSSNFQTRLYWEAVGDQNQQFNQSSVPTKSLDQDTAEIYTPVRRDPVHNDASAQTNLDVTHVALLESKSQRPSFKHLVKSGKTDDPEMVALQHGKEHSVHWAPGNSYLPSGQDVQNSYSFLPTVYEEPSSSFSEADDDPLPAIDSLRISGEAYPGRELQASGYSINGTTSCNFEWVRYLEDGSVNYIDGARQPTYLVTADDVDSYLAIEVHPLDDRKRKGELVKVFANEQRKIICDPEMQEQIKRTLSVGLASYEISLSAKFLDIWEPAVLAIKREGYSIKCKGPRGGVVMEKFQPNTTILIPYGHPTEFLIQDASGDSLLKTEASSVVRDTIVLTMRLFKAMPINHQFSWRSKHVQRMTILIIDYSRD >Ma10_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26581855:26601200:-1 gene:Ma10_g14000 transcript:Ma10_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQESSGTTLMDLITSDPATAASAAPSPAPAAAPSTLGKPVTTDRKSKRATLTQIQNDTIAAAKALNPVRAIPQRQKKKPVSYAQLVRSIHELAATSDQKSSQKQLVQHVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDSGSQGLSPGGGIPTPNWDALADIDAVGGVTRADVIPRIVNQLTADATNADPEFHSRRLAALKALTSTSASSSEILEKLYEIVFGILEKVGDAKQKRRKGLFGKQGGDKESNTRSNLQYGALSALRRLPLDPGNPAFLHRAIQGISFADPVAVRHALAIISDVATRDPYSVAMALEKHIEHGGALHDILHLHDVLARVSLARLCHSLSRARALDERPDITSQFSSLLYQLLLDPSDRVCFEAILCVLGKFDNTERTEERAAGWIRLTREILKLPEAPSVTIKDTDNPTAKVNTVKPSSKAKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYALGLYDVDEGIQLHAYSENAESLDSEFNSGSQSEGTRKTVPVSNGPGGMDTIAGLLASLLEVVRTTVACECVYVRAMVIKALIWMQNPHESLEELQSIIACELSDPAWPSALLNDILLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPEGKHTALEAVTIVLDLPPPHPGSMLGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIASQALITIAIRSGEPYRLQIYEFLHALSLGGVQSQFSESQISNGEDQGASGTGLGSLISPMLKVLDEMYRAQDDLIRDMRNHDNNKQEWTDEELKKLYETHEKLLNLVSLFCYVPRAKYLPLGPTSAKLIEIYRNRHNISTSTGLNDPAVATGISDLVYGISELVYESKEAEKESDAIDPELALAWAAGLEDGLWGKNAPAMEKVNEFLAGAGTDAPDVEEEENITSRPSVTYDDLWAKSILETYEAEEDDARSSGTSSPESTGSVETSISSHFGGMSYPSLFSSRPSGYGVSQNSEIRSGNNGRRFSNTSTGGPTLEGIGSPVREEPPSYSSSVLQRFESFENPLAGRGAQSFGSQDEDTGSQNPQFGKALYDFTAGGDDELSLTAGEEVEIDYEVDGWYYVKKKRPGRDGRMGGLVPVLYVSSS >Ma07_p00090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:114913:130346:-1 gene:Ma07_g00090 transcript:Ma07_t00090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAAATTAKICFNSQCKEPFPDTPPTRRKGWRLRSGEIAELCDRCSCMFEQGNFCETFHSDDGGWRNCETCGKRVHCGCIVSAPTYVFVDAGGVDCVACARKSLVTAPNQMLSSPMLMSQQVSERKEFPAKSWKPLNGPFFGQWRQSPHMWNMTSAQSDLQQRLSYEFDRPNCIEKLTPGGRHSILAHEKKFENLSERPITSNVARERYVNGKIGIDAASTFGMFHGEDNADGVQDSCRLVGENDSVSTRKAVNGDPCSTSASGANLDAHLNSCVKPATSSAIKEDTSPLGLAAPFQSANDLKEPSRYSNQSQGQAGYPLSKQFYPQSMMDAELQTHIRNGKSRVDNRARSQLLPRYWPRITDKELQQISGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPTISQPEGLPLKVQDANGKDWVFQFRFWPNNNSRMYVLEGVTPCIQAMQLQAGDTVTFSRIDPEGKLIMGFRKASSVSNEQDSQTLKSGNGFSTPPEGDNKGTAKDFKGNPEPRNLINTAEQWNCTKLANEGLLQKDGLNARSSQGSRRKGGNLGSKSKRLRIENEDSMELKVTWEEAQQLLRPPPNCIPSVVLVEGHEFEEYEEAPVLGKPTYFTTNQSGENYQWAQCEDCSKWRRLPVDALLPSRWTCSNNMSDPERSLCSAAQELSMEQLAELIPCKAGASKRSKVKVETDNIEVSDGLDTLANLAILGEGENLPPSQPTTKHPRHRPGCTCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKRQSEKEAETARKQQKQQSNQSPEKAPGGSDPLKTDLGVNKTPRKAMTNDEGISDEGLERRRASQSPLKAPQIDLNIQPEREEEPSPKSDSGSMMRLLQDAAT >Ma07_p00090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:114913:130346:-1 gene:Ma07_g00090 transcript:Ma07_t00090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAAATTAKICFNSQCKEPFPDTPPTRRKGWRLRSGEIAELCDRCSCMFEQGNFCETFHSDDGGWRNCETCGKRVHCGCIVSAPTYVFVDAGGVDCVACARKSLVTAPNQMLSSPMLMSQQVSERKEFPAKSWKPLNGPFFGQWRQSPHMWNMTSAQSDLQQRLSYEFDRPNCIEKLTPGGRHSILAHEKKFENLSERPITSNVARERYVNGKIGIDAASTFGMFHGEDNADGVQDSCRLVGENDSVSTRKAVNGDPCSTSASGANLDAHLNSCVKPATSSAIKEDTSPLGLAAPFQSANDLKEPSRYSNQSQGQAGYPLSKQFYPQSMMDAELQTHIRNGKSRVDNRARSQLLPRYWPRITDKELQQISGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEDANGKDWVFQFRFWPNNNSRMYVLEGVTPCIQAMQLQAGDTVTFSRIDPEGKLIMGFRKASSVSNEQDSQTLKSGNGFSTPPEGDNKGTAKDFKGNPEPRNLINTAEQWNCTKLANEGLLQKDGLNARSSQGSRRKGGNLGSKSKRLRIENEDSMELKVTWEEAQQLLRPPPNCIPSVVLVEGHEFEEYEEAPVLGKPTYFTTNQSGENYQWAQCEDCSKWRRLPVDALLPSRWTCSNNMSDPERSLCSAAQELSMEQLAELIPCKAGASKRSKVKVETDNIEVSDGLDTLANLAILGEGENLPPSQPTTKHPRHRPGCTCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKRQSEKEAETARKQQKQQSNQSPEKAPGGSDPLKTDLGVNKTPRKAMTNDEGISDEGLERRRASQSPLKAPQIDLNIQPEREEEPSPKSDSGSMMRLLQDAAT >Ma07_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:115100:130346:-1 gene:Ma07_g00090 transcript:Ma07_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAAATTAKICFNSQCKEPFPDTPPTRRKGWRLRSGEIAELCDRCSCMFEQGNFCETFHSDDGGWRNCETCGKRVHCGCIVSAPTYVFVDAGGVDCVACARKSLVTAPNQMLSSPMLMSQQVSERKEFPAKSWKPLNGPFFGQWRQSPHMWNMTSAQSDLQQRLSYEFDRPNCIEKLTPGGRHSILAHEKKFENLSERPITSNVARERYVNGKIGIDAASTFGMFHGEDNADGVQDSCRLVGENDSVSTRKAVNGDPCSTSASGANLDAHLNSCVKPATSSAIKEDTSPLGLAAPFQSANDLKEPSRYSNQSQGQAGYPLSKQFYPQSMMDAELQTHIRNGKSRVDNRARSQLLPRYWPRITDKELQQISGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPTISQPEGLPLKVQDANGKDWVFQFRFWPNNNSRMYVLEGVTPCIQAMQLQAGDTVTFSRIDPEGKLIMGFRKASSVSNEQDSQTLKSGNGFSTPPEGDNKGTAKDFKGNPEPRNLINTAEQWNCTKLANEGLLQKDGLNARSSQGSRRKGGNLGSKSKRLRIENEDSMELKVTWEEAQQLLRPPPNCIPSVVLVEGHEFEEYEEAPVLGKPTYFTTNQSGENYQWAQCEDCSKWRRLPVDALLPSRWTCSNNMSDPERSLCSAAQELSMEQLAELIPCKAVMQVHLRDQRLKLKLTILKFQMGWIRLLTLPFLERVKTFPLLNLQQSIQGIGLAAHALYVYSLQVARALSTSRLAHAMFASQSNAGSGPSCLGGRSVSQRRKLRLQGSSRNNSPTNHQRKHQEEVTH >Ma07_p00090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:114913:130346:-1 gene:Ma07_g00090 transcript:Ma07_t00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAAATTAKICFNSQCKEPFPDTPPTRRKGWRLRSGEIAELCDRCSCMFEQGNFCETFHSDDGGWRNCETCGKRVHCGCIVSAPTYVFVDAGGVDCVACARKSLVTAPNQMLSSPMLMSQQVSERKEFPAKSWKPLNGPFFGQWRQSPHMWNMTSAQSDLQQRLSYEFDRPNCIEKLTPGGRHSILAHEKKFENLSERPITSNVARERYVNGKIGIDAASTFGMFHGEDNADGVQDSCRLVGENDSVSTRKAVNGDPCSTSASGANLDAHLNSCVKPATSSAIKEDTSPLGLAAPFQSANDLKEPSRYSNQSQGQAGYPLSKQFYPQSMMDAELQTHIRNGKSRVDNRARSQLLPRYWPRITDKELQQISGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPTISQPEGLPLKVQDANGKDWVFQFRFWPNNNSRMYVLEGVTPCIQAMQLQAGDTVTFSRIDPEGKLIMGFRKASSVSNEQDSQTLKSGNGFSTPPEGDNKGTAKDFKGNPEPRNLINTAEQWNCTKLANEGLLQKDGLNARSSQGSRRKGGNLGSKSKRLRIENEDSMELKVTWEEAQQLLRPPPNCIPSVVLVEGHEFEEYEEAPVLGKPTYFTTNQSGENYQWAQCEDCSKWRRLPVDALLPSRWTCSNNMSDPERSLCSAAQELSMEQLAELIPCKADGLDTLANLAILGEGENLPPSQPTTKHPRHRPGCTCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKRQSEKEAETARKQQKQQSNQSPEKAPGGSDPLKTDLGVNKTPRKAMTNDEGISDEGLERRRASQSPLKAPQIDLNIQPEREEEPSPKSDSGSMMRLLQDAAT >Ma08_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25475639:25577331:-1 gene:Ma08_g17450 transcript:Ma08_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MGQPSVPPVPPLAPPSLPPPVPEPPPGSRQVRCAGCHGILAVAPGMMEFICPKCQLPQMLPPELLGAPPPRRAPPKAQGIDPTKIQLPCARCKAILNVPHGLARFNCPQCGVDLAVDLSKLQQYFPTASSSPAAFLGFPPVPPEEINEVAIDVEREEDEGGTVGETFTDYRPPRLSLGQTHPDPVVETSSLSAVQPPEPTYHLKIMDDLEKSNALSCLQIETLVYACQRHLHHLQDGARAGFFIGDGAGVGKGRTIAGLIWENWQHGRCKSLWVSVGSDLKFDARRDLDDVGASCIEVHALNKLPYSKLDSKAVGIKDGVIFLTYSSLIASSERGRSRLMQLLQWCGSEFDGLVVFDECHKAKNLIPETGSQPTRTGEAVLEIQAKLPEARVIYCSATGASEPRNLGYMVRLGLWGAGTCFSQFHDFLGALDKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEVIEAPLEERMMDMFKKAAEFWAELRVELLSASAFLSEDKSNSSQVWRLYWASHQRFFRHMCMSAKVPAAVRLAKKALAEGKCVVIGLQSTGEARTEEAVTKYGLELDDFVSGPRELLLKLVEENYPLPPKPDSFPGEESVKELQRKRHSATPGVSFRGRVRKVAKWNVAGDSDSFEESLSESDLESTESDEEFQICEICNNEEEKKLLLRCSCCARLVHPGCLVPPWTDLVSDDWSCYSCKEKTDEYLKARDAYVAELLKRYEAALERKTKILDIIRSLDLPNNPLDDLIDQLGGPENVAEMTGRRGMLIRTSGGKGVIYQARSTKEVAMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRVLNQKRRVHITLELPWSADRAIQQFGRTHRSNQASAPQYRLLFTTLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKRALMMMYRGIMEQDPLPVVPPGCSSENPTTIQEFITIAKAALVSVGIVRDTIICNGKDGGKVSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFEFFISFLDLVVHNARNEGQFDSGIVDIKANVIELQGSPKIVHVDSLSGAATVLFTFTVDRGITWESAKTLLDERQMDGVAYVNDGFYESRREWMGRRHFILALECSGAGTFKIFRPAVGEALREMLAAELKNKYKKLSSIDKASKGWQNEYEVSSTQCMHGPKCKLGNYCTVGRRLQEVNILGGLILPVWGSIEKALSKQVRQSHRRLRVVRLETTTDSQRIVGLLIPNAAIESVMQDLSWVADVEG >Ma08_p17450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25475639:25577331:-1 gene:Ma08_g17450 transcript:Ma08_t17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MGQPSVPPVPPLAPPSLPPPVPEPPPGSRQVRCAGCHGILAVAPGMMEFICPKCQLPQMLPPELLGAPPPRRAPPKAQGIDPTKIQLPCARCKAILNVPHGLARFNCPQCGVDLAVDLSKLQQYFPTASSSPAAFLGFPPVPPEEINEVAIDVEREEDEGGTVGETFTDYRPPRLSLGQTHPDPVVETSSLSAVQPPEPTYHLKIMDDLEKSNALSCLQIETLVYACQRHLHHLQDGARAGFFIGDGAGVGKGRTIAGLIWENWQHGRCKSLWVSVGSDLKFDARRDLDDVGASCIEVHALNKLPYSKLDSKAVGIKDGVIFLTYSSLIASSERGRSRLMQLLQWCGSEFDGLVVFDECHKAKNLIPETGSQPTRTGEAVLEIQAKLPEARVIYCSATGASEPRNLGYMVRLGLWGAGTCFSQFHDFLGALDKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEVIEAPLEERMMDMFKKAAEFWAELRVELLSASAFLSEDKSNSSQVWRLYWASHQRFFRHMCMSAKVPAAVRLAKKALAEGKCVVIGLQSTGEARTEEAVTKYQGLELDDFVSGPRELLLKLVEENYPLPPKPDSFPGEESVKELQRKRHSATPGVSFRGRVRKVAKWNVAGDSDSFEESLSESDLESTESDEEFQICEICNNEEEKKLLLRCSCCARLVHPGCLVPPWTDLVSDDWSCYSCKEKTDEYLKARDAYVAELLKRYEAALERKTKILDIIRSLDLPNNPLDDLIDQLGGPENVAEMTGRRGMLIRTSGGKGVIYQARSTKEVAMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRVLNQKRRVHITLELPWSADRAIQQFGRTHRSNQASAPQYRLLFTTLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKRALMMMYRGIMEQDPLPVVPPGCSSENPTTIQEFITIAKAALVSVGIVRDTIICNGKDGGKVSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFEFFISFLDLVVHNARNEGQFDSGIVDIKANVIELQGSPKIVHVDSLSGAATVLFTFTVDRGITWESAKTLLDERQMDGVAYVNDGFYESRREWMGRRHFILALECSGAGTFKIFRPAVGEALREMLAAELKNKYKKLSSIDKASKGWQNEYEVSSTQCMHGPKCKLGNYCTVGRRLQEVNILGGLILPVWGSIEKALSKQVRQSHRRLRVVRLETTTDSQRIVGLLIPNAAIESVMQDLSWVADVEG >Ma10_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11780071:11785340:-1 gene:Ma10_g03180 transcript:Ma10_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKEMKGGSGMLILLLILSSGFSSASAASPAKIVGVAVSDAASALLKRFWSLKSTTKTAVSGHRPLMKFESGYTVQTVFDGSKLGIEPYSVEMTQSGELLLLDSVNSNLYRFPLPLSRYSRPKLIAGSPEGYVGHIDGRPCEARMNHPKGFTVDERGNIYVADTMNMAIRKISDAGVTTIAGGKWSRGGHLDGPSEDAKFSNDFEVIYIASSCSLLVVDRGNQAIREIQLNFDDCAHQYETGFPLGTAVLLAAGFFGYMLALLQRRLGTMVSNQNEHQTLTKASMSPYQKPIKPSIRSPLIPTGDEAVHMDEEGLFSLIAAAAEGKHSVSARELSHPR >Ma10_p03180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11779708:11785340:-1 gene:Ma10_g03180 transcript:Ma10_t03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKEMKGGSGMLILLLILSSGFSSASAASPAKIVGVAVSDAASALLKRFWSLKSTTKTAVSGHRPLMKFESGYTVQTVFDGSKLGIEPYSVEMTQSGELLLLDSVNSNLYRFPLPLSRYSRPKLIAGSPEGYVGHIDGRPCEARMNHPKGFTVDERGNIYVADTMNMAIRKISDAGVTTIAGGKWSRGGHLDGPSEDAKFSNDFEVIYIASSCSLLVVDRGNQAIREIQLNFDDCAHQYETGFPLGTAVLLAAGFFGYMLALLQRRLGTMVSNQNEHQTLTKASMSPYQKPIKPSIRSPLIPTGDEAVHMDEEGLFSLIGKLLLAIAEIFGAMFPIFRKRSKTKYHHHHQQQQQRANTRSVPGSLAIPDDEIPTPRKTYAFMSKEPEKIHHIRHAPPYLMPQQQKQQVHQQHHLQWNRQFSSGTETYYEQSCEMMTNEIVFGAVQESDSKRRAVEMKAVNYGDPMFEQYGVRYRNHYISYGDY >Ma10_p03180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11779708:11785340:-1 gene:Ma10_g03180 transcript:Ma10_t03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKEMKGGSGMLILLLILSSGFSSASAASPAKIVGVAVSDAASALLKRFWSLKSTTKTAVSGHRPLMKFESGYTVQTVFDGSKLGIEPYSVEMTQSGELLLLDSVNSNLYRFPLPLSRYSRPKLIAGSPEGYVGHIDGRPCEARMNHPKGFTVDERGNIYVADTMNMAIRKISDAGVTTIAGGKWSRGGHLDGPSEDAKFSNDFEVIYIASSCSLLVVDRGNQAIREIQLNFDDCAHQYETGTAVLLAAGFFGYMLALLQRRLGTMVSNQNEHQTLTKASMSPYQKPIKPSIRSPLIPTGDEAVHMDEEGLFSLIGKLLLAIAEIFGAMFPIFRKRSKTKYHHHHQQQQQRANTRSVPGSLAIPDDEIPTPRKTYAFMSKEPEKIHHIRHAPPYLMPQQQKQQVHQQHHLQWNRQFSSGTETYYEQSCEMMTNEIVFGAVQESDSKRRAVEMKAVNYGDPMFEQYGVRYRNHYISYGDY >Ma10_p03180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11780071:11785340:-1 gene:Ma10_g03180 transcript:Ma10_t03180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKEMKGGSGMLILLLILSSGFSSASAASPAKIVGVAVSDAASALLKRFWSLKSTTKTAVSGHRPLMKFESGYTVQTVFDGSKLGIEPYSVEMTQSGELLLLDSVNSNLYRFPLPLSRYSRPKLIAGSPEGYVGHIDGRPCEARMNHPKGFTVDERGNIYVADTMNMAIRKISDAGVTTIAGGKWSRGGHLDGPSEDAKFSNDFEVIYIASSCSLLVVDRGNQAIREIQLNFDDCAHQYETGFPLGTAVLLAAGFFGYMLALLQRRLGTMVSNQNEHQTLTKASMSPYQKPIKPSIRSPLIPTGDEAVHMDEEGLFSLIAAEGKHSVSARELSHPR >Ma06_p17780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12078030:12082822:1 gene:Ma06_g17780 transcript:Ma06_t17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTGSNEEGTGSESPMYAISAQTAGGISFSGPLTGPLGDKNGARCSVPDSPSSAATAKGTEEEEEEDGTYVEITLDVRDDAVAVHSVKAAGGGGDGEGDPEVAALARELERRSAAAAFGSSVMRTASLKFRQVSQEIRRLASFGRRSGVGKLDRTRSAAAHALKGLKFISRADGAAGWAAVERRFDELAVDGNLHRSRFAQCIGMKESKDFAGELFDALSRRRHIRGDKITMAELREFWDQIADQSFDSRLQTFFDMVDKNADGRITEEEVRKIISLSASANNLSNIQEQAEEYAALIMEELDPENVGYIEIYNLEMLLLQAPAYSAQIGTTNSRNLSQMLSQKLRPTREPNPLVRWYHEARYFLEDHWKRAWVMALWLGVCAGLFAWKFVQYRRRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITCLRTKTRLGKVIAVGVAIGVGLHAISHLTCDFPRLLHATDQEYVPMKRFFGDTRPDDYWWFVKGTEGWTGVVMVVLMAIAFTLASPWFRRNRLNLPTPLKRLTGFNAFWYSHHLFVVVYILLIIHGTFLYLTKKWYKKTTWMYLAIPVILYASERLIRALRSSVRAVKILKVAVYPGNVLTLQMSKPQGFKCRSGQYIFVNCAAVSPFQWHPFSITSAPQDDYISVHIRTLGDWTRQLKAVFSEVCQPPTSGQSGLLRSDHESRSSLAFPRVLIDGPYGAPAQEYKKYEVVLLVGLGIGATPFISIVKDIVNNMKQLDPDEESSDDGRSEEDGSGGGGSSSHQRKLTSSPSSSFRTRRAYFYWVTREQGSFEWFRGVMNEVAEADKKGVIELHNFCTSVYEEGDARSALIVMLQSLNHAKHGVDIVSGTRVKSHFARPNWRNVYKRIALNHRDQRIGVFYCGAPTLTKELRQLATDFSRKTSTKFDFHKENF >Ma06_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12078030:12082822:1 gene:Ma06_g17780 transcript:Ma06_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTGSNEEGTGSESPMYAISAQTAGGISFSGPLTGPLGDKNGARCSVPDSPSSAATAKGTEEEEEEDGTYVEITLDVRDDAVAVHSVKAAGGGGDGEGDPEVAALARELERRSAAAAFGSSVMRTASLKFRQVSQEIRRLASFGRRSGVGKLDRTRSAAAHALKGLKFISRADGAAGWAAVERRFDELAVDGNLHRSRFAQCIGMKESKDFAGELFDALSRRRHIRGDKITMAELREFWDQIADQSFDSRLQTFFDMVDKNADGRITEEEVRKIISLSASANNLSNIQEQAEEYAALIMEELDPENVGYIEIYNLEMLLLQAPAYSAQIGTTNSRNLSQMLSQKLRPTREPNPLVRWYHEARYFLEDHWKRAWVMALWLGVCAGLFAWKFVQYRRRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITCLRTKTRLGKVLPFDDNLNFHKVIAVGVAIGVGLHAISHLTCDFPRLLHATDQEYVPMKRFFGDTRPDDYWWFVKGTEGWTGVVMVVLMAIAFTLASPWFRRNRLNLPTPLKRLTGFNAFWYSHHLFVVVYILLIIHGTFLYLTKKWYKKTTWMYLAIPVILYASERLIRALRSSVRAVKILKVAVYPGNVLTLQMSKPQGFKCRSGQYIFVNCAAVSPFQWHPFSITSAPQDDYISVHIRTLGDWTRQLKAVFSEVCQPPTSGQSGLLRSDHESRSSLAFPRVLIDGPYGAPAQEYKKYEVVLLVGLGIGATPFISIVKDIVNNMKQLDPDEESSDDGRSEEDGSGGGGSSSHQRKLTSSPSSSFRTRRAYFYWVTREQGSFEWFRGVMNEVAEADKKGVIELHNFCTSVYEEGDARSALIVMLQSLNHAKHGVDIVSGTRVKSHFARPNWRNVYKRIALNHRDQRIGVFYCGAPTLTKELRQLATDFSRKTSTKFDFHKENF >Ma05_p03180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2264410:2268412:-1 gene:Ma05_g03180 transcript:Ma05_t03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGQRGNGRYKTDQYKPSHAQWMAPQHHLKENQTIKLIMAERDKALQERDLAISEKKAALVERDMAYLQRDAAIAERNNAIVERDNAIASLEYARENGMSASCGPGCPSGHHGSKHTYHYHHQQHLQHIHPPSQQLVDASGQKKDAQGEMFPISAASETGVKALKAKRGGKVTKVQSSSLKKPRKSKRGGGDNFSKQVTIAKKAGEWRGEVGIGEDLTKQVSMAKHHEWKSQDLGLNQVAYDDTTMPVPVCSCTGKYRQCYKWGNGGWQSACCTMTLSMYPLPVMPNKRHARVGGRKMSGSAFRKLLSRLASEGHDLSLPVDLREHWAKHGTNRYITIK >Ma05_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2264410:2268418:-1 gene:Ma05_g03180 transcript:Ma05_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGQRGNGRYKTDQYKPSHAQWMAPQHHLKENQTIKLIMAERDKALQERDLAISEKKAALVERDMAYLQRDAAIAERNNAIVERDNAIASLEYARENGMSASCGPGCPSGHHGSKHTYHYHHQQHLQHIHPPSQQLVDASGQKKDAQGEMFPISAASETGVKALKAKRGGKVTKVQSSSLKKPRKSKRGGGDNFSKQVTIAKKAGEWRGEVGIGEDLTKQVSMAKHHEWKSQDLGLNQVAYDDTTMPVPVCSCTGKYRQCYKWGNGGWQSACCTMTLSMYPLPVMPNKRHARVGGRKMSGSAFRKLLSRLASEGHDLSLPVDLREHWAKHGTNRYITIK >Ma05_p03180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2264410:2268412:-1 gene:Ma05_g03180 transcript:Ma05_t03180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHHLKENQTIKLIMAERDKALQERDLAISEKKAALVERDMAYLQRDAAIAERNNAIVERDNAIASLEYARENGMSASCGPGCPSGHHGSKHTYHYHHQQHLQHIHPPSQQLVDASGQKKDAQGEMFPISAASETGVKALKAKRGGKVTKVQSSSLKKPRKSKRGGGDNFSKQVTIAKKAGEWRGEVGIGEDLTKQVSMAKHHEWKSQDLGLNQVAYDDTTMPVPVCSCTGKYRQCYKWGNGGWQSACCTMTLSMYPLPVMPNKRHARVGGRKMSGSAFRKLLSRLASEGHDLSLPVDLREHWAKHGTNRYITIK >Ma05_p03180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2264410:2268412:-1 gene:Ma05_g03180 transcript:Ma05_t03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGQRGNGRYKTDQYKPSHAQWMAPQHHLKENQTIKLIMAERDKALQERDLAISEKKAALVERDMAYLQRDAAIAERNNAIVERDNAIASLEYARENGMSASCGPGCPSGHHGSKHTYHYHHQQHLQHIHPPSQQLVDASGQKKDAQGEMFPISAASETGVKALKAKRGGKVTKVQSSSLKKPRKSKRGGGDNFSKQVTIAKKAGEWRGEVGIGEDLTKQVSMAKHHEWKSQDLGLNQVAYDDTTMPVPVCSCTGKYRQCYKWGNGGWQSACCTMTLSMYPLPVMPNKRHARVGGRKMSGSAFRKLLSRLASEGHDLSLPVDLREHWAKHGTNRYITIK >Ma10_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29861812:29863085:-1 gene:Ma10_g19260 transcript:Ma10_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAAAQTRFRALKHESGIAGSSTIIVRIIACFQPLQDCQAEYFRHLLKPVT >Ma08_p32740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43351905:43352213:1 gene:Ma08_g32740 transcript:Ma08_t32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLSCSFHLKDDARLFTVAEHGCRVHVTQSQVLTGFHSSRMAVEEQFSSLCEEYATVAS >Ma03_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24841882:24843411:1 gene:Ma03_g19540 transcript:Ma03_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANNSDRSSMEQQRQRQRQRQQRLFFQQHWRQLLFIRQQLQQQQQPQASIHHQPSYNLRQPLQCTIPRFLSDNLGATGVRHFQAPLPHQPPHSSSSSHPPPVPCLRPHHVPPQSQPARPSASGVEVGAGSKRPVNPVEIEMAHQDALMVCNPDLKSPFASVEDAVQRLLPYHVVSDYNAEEDDQVLESDTTGDSKSRSQQWDNDVLDAVTKVNATFEKQVRNFNMMLRKRAQGEFRSEERLMLEHALLQEEKEALLKTKVEIESREKADKEAAEAQMRMAMAQGEQTQAERRSHANVHAASRRYEGSGDQGGNMDGLHGWNYSKKDEEPSKDSSE >Ma07_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:23417728:23422713:-1 gene:Ma07_g18020 transcript:Ma07_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTALPFLVSIPLLHLLLLSSAAAASCPPRSCQLLDSCSTADDCAAGLYCGSCPASGNVQPACVRALAYQPTAFVKGLPFNRYTWLVTHNSFSIVDEPSYTGVQRVTFYNQEDTVTNQLRNGVRGLMLDMYDFENDIWLCHSFQGQCYNFTAFEPAINTLKEVEEFLTENPLEIVTIIIEDYVRAPKGLTKLFTDAGLVKFWYPVSEMPMNGMDWPSVTDMVAKNHRLLVFTSDASKEANEGIAYQWRYMVENEPGDPGVVPGSCPNRKESQPLNSRYASLFLQNYFPTIPVQNEACKEHSVGFAEMVDACYRAAGNIMPNFLAVNFYMRSNGGGVFDIQDRINGLALCGCGTITACQAGAPMGVCKNTGSPNRTSTNSGGTYSGYVQLSGSASMLHFSGHLFVKLLIALLISYSL >Ma07_p18020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:23417412:23422715:-1 gene:Ma07_g18020 transcript:Ma07_t18020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTALPFLVSIPLLHLLLLSSAAAASCPPRSCQLLDSCSTADDCAAGLYCGSCPASGNVQPACVRALAYQPTAFVKGLPFNRYTWLVTHNSFSIVDEPSYTGVQRVTFYNQEDTVTNQLRNGVRGLMLDMYDFENDIWLCHSFQGQCYNFTAFEPAINTLKEVEEFLTENPLEIVTIIIEDYVRAPKGLTKLFTDAGLVKFWYPVSEMPMNGMDWPSVTDMVAKNHRLLVFTSDASKEANEGIAYQWRYMVENEPGDPGVVPGSCPNRKESQPLNSRYASLFLQNYFPTIPVQNEACKEHSVGFAEMVDACYRAAGNIMPNFLAVNFYMRSNGGGVFDIQDRINGLALCGCGTITACQAGAPMGVCKNTGSPNRTSTNSGGTYSGKGRYSDCKD >Ma06_p15850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10742980:10748002:-1 gene:Ma06_g15850 transcript:Ma06_t15850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVDGEEGPADVCVIELGGTIGDIESMPFIEALCQFSCRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRALGLAPNVLACRSEKPLDENVKGKLSQFCHVPVENIFTLYDVSNIWHVPLLLRDQKAHKAILTKLDLLSLGEPNLRKWKDRAELCDTLHDPVKIAIVGKYTGLSDSYLSVLKALLHASVACQRKLVVEWVPAADLEELRAIEAPNLHGKAWNMLKGADGILVPGGFGDRGVQGKIIAAKYARENNVPFLGICLGMQIAVIEYARSILKLQNANSTEFDPDTKNPCVIFMPEGSKTHMGGTMRLGSRSTFFHVLDCKSAKLYGNVRYIDERHRHRYEVNPGMILELENAGLTFVGKDETGRRMEILELLTHPYFVGVQFHPEYKSRPGKPSAPFLGLVAASCGQLGRLLQSLSHSDMRTHKDLSINGAPKLNPYQNGDNNKRAYGLYSNGDGVHA >Ma06_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10742980:10749502:-1 gene:Ma06_g15850 transcript:Ma06_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDNNITTGKIYQFVINKERKGDYLGKTVQVVPHVTDAIQEWIERAAMIPVDGEEGPADVCVIELGGTIGDIESMPFIEALCQFSCRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRALGLAPNVLACRSEKPLDENVKGKLSQFCHVPVENIFTLYDVSNIWHVPLLLRDQKAHKAILTKLDLLSLGEPNLRKWKDRAELCDTLHDPVKIAIVGKYTGLSDSYLSVLKALLHASVACQRKLVVEWVPAADLEELRAIEAPNLHGKAWNMLKGADGILVPGGFGDRGVQGKIIAAKYARENNVPFLGICLGMQIAVIEYARSILKLQNANSTEFDPDTKNPCVIFMPEGSKTHMGGTMRLGSRSTFFHVLDCKSAKLYGNVRYIDERHRHRYEVNPGMILELENAGLTFVGKDETGRRMEILELLTHPYFVGVQFHPEYKSRPGKPSAPFLGLVAASCGQLGRLLQSLSHSDMRTHKDLSINGAPKLNPYQNGDNNKRAYGLYSNGDGVHA >Ma02_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27561108:27564319:1 gene:Ma02_g22140 transcript:Ma02_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPAEGAGLAMGVLGSGGGGSGGSSGGDLFGRKKRGRPRKYGPDGMALALTPTSGSPISPVFSDGKRGRGRPPGSGKYQILAALGEWFAYSAGGNFTPHVVTIATGEDVSARILSFSRKGPRSICILSANGAISNVTLRQPGSSGGTLTYEGRFEILSLSGSFTITENGGVRSRTGGISVSLAGPDGRVIGGGVAGLLLAASPIQVVVGSFMPNTFKEQKPKPIQQATSPSFPATTGLLTAARPVSQANPEDECETPTSSLPGQSHAENSMHNPTPNPTLHAPGWHGLQSSEHKPSPDINICLQGE >Ma10_p27160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34558099:34563613:1 gene:Ma10_g27160 transcript:Ma10_t27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAVPPQEINCEVQTDQHFFMMDRAANLNRREHVIDISQRNDASASISTRTDNSDADGADNEDGPSTSTLAPVSQLISATPNVSNSINFSLPRRADNYGRRNRSPLNSGLWISVELVVNMSQIIAAIIVLSVSRHEHPRTPLFAWIIGYTAGCIATLPHLYWRYIHRNSLSFEQGPARSNQGNTHNSPLGSGVHADYTVTQDPEQENGHNLVSETRQTTVTSSRRMKSMVDHFKMALDCFFAVWFVVGNVWVFGGHSSSHDAPNLYRLCIVFLAFSCIGYALPFILCAIICCCFPCIISIMGFREDMFHGRGATSESIDALPTYKFKTKRRKNRGDREINLESQCDGGILAAGTDKERMISGEDAVCCICLAKYVDNEELRELPCSHVFHKECVDKWLKINALCPLCKIKVGNITASSGPSSEFT >Ma08_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10603539:10604313:-1 gene:Ma08_g13460 transcript:Ma08_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNSSLIPVKWEKKFISNPLIPSSSSSDNNKSKRKSAVAVKRIQACLNPFEDPILKDALKEPVAFMGGVFAGFLRLDLNEDPLRDWISKTVEASGIAADGEIDAEGAEVEAEDDAPRQIEIE >Ma08_p13460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10603539:10604322:-1 gene:Ma08_g13460 transcript:Ma08_t13460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNSSLIPVKWEKKFISNPLIPSSSSSDNNKSKRKSAVAVKRIQACLNPFEDPILKDALKEPVAFMGGVFAGFLRLDLNEDPLRDWISKTVEASGIAADGEIDAEGAEVEAEDDAPRQIEIE >Ma04_p38670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36091362:36095385:1 gene:Ma04_g38670 transcript:Ma04_t38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYHLLLFVSLLSSPSTAADDGAGYAEEHRALLSLKAQLSDPGAALGPWDATTDHCSWPGVTCDDAGAVVSLDLSGRNLSGLLPPAVGRLRSLLRLSAAANSFSGPIPSELALLPALRHLNLSNNIFNGSFPAPLARLADLRVLDLYNNNLTGPLPRELAALPQLRHIHLGGNFFSGSIPPEYGSWQFLEYLAISGNELGGPIPPEIGNLSALRELYIGYYNSYDGGLPPEIGNLSTLLRLDAANCGLSGRIPPEMGNLRNLDTLFLQVNGLGGEIPPELSRLRSLKSMDLSNNALTGEIPPSFAALSNLTLLNLFRNKLFGAIPEFVGDLPELEVLQVWENNFTGSIPRRLGTNGRLQLLDLSSNKLTGSLPPDLCYGNKLQTLIALGNFLFGQIPESLGRCESLSRIRMGDNYLNGTIPQGLLSLPNLSQVELQDNYLTGGFPDTGSSSISPNLGQVCLSNNRLAGPLPPSIGNYSGLQKLLLNQNSFTGKIPPEVGRLQQLSKLDLSSNRFSGPITPEVSKCKLLTFVDLSRNELSGDVPADVAAMRILNYLNLSRNHLEGPIPVAISTMQSLTAVDFSYNNLSGVVPSTGQFSYFNATSFVGNPDLCGPYLGPCRPGISDDSHTARAKGALSASFKLLLVIGLLICSIAFAIAAIIKARSLKRASEARAWRLTAFQRLDFTCDDVLNCLKEENIIGKGGAGVVYKGVMPDGEQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLLWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDTGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKVTGSNKEEVTKILDPRLPTLPVHEAMHVFYVAMLCVEEQSVERPTMREVVQILTELPKPPLKQGEDSSSGNVSAPPPPAAPVESPAKENKDEQQQQQPASNSPPSDLLSI >Ma05_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6636995:6637387:-1 gene:Ma05_g09030 transcript:Ma05_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLAFVPILLAALLLAEAASAGEATYGVNEWSVAPFASGDGGLRASPVCDGRVGDCVDEEEEMAMESESTRRSLARSGARFISYGALSKNRVPCNRRGQSYYNCQRQKRANPYRRGCSTITRCARDMH >Ma08_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2569257:2573223:-1 gene:Ma08_g03550 transcript:Ma08_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVFLIPAVAGAICKFIVLPSTMATSGSISLLCLLVVLCFSSSPAMAQPLYQVCDNTGNYTANSTYKSNLDLLLSSLASNGSLSGFYNGTAGQSPDQVSGIILCRGDVATADCSSCLATAGQVLLQLCPNKRGATVWYDDCLVRYSNNQSFFSSTDNSPMAYLVNEKDIPEVSRFDKLVSELMSGIADQAAASNGSSKKFATGTMSNFTSEVPIIYGLVQCTPDLSRSRCRQCLQKLFDVIPNLFEGKQGARAVGVRCNMRYEVYSFYQSTPTLILTAPPASGGSGGSGKKKKNSTGTILAIVIPVATAVLLISIVCICFWRRKSAKKPSYETDPEKITSVESLLFDLSTLRIATCNFSDENKLGEGGFGAVYKGLLPDGREIAVKKLLASEQGLGELKNELVLVAKLQHRNLVRLLGVCFEEEKMLVYEYVPNGSLDKLMFDAEKRERLHWGTRYKIIGGIARGLLYLHEESQHKIIHRDLKASNVLLDSDMSPKITDFGLAKLFGGDQTQGTTSRIVGTFGYMAPEYAMHGHFSAKSDVFSFGVLVLEILTGRKNTGSYDSANSEDLLSYAWERWTAGRGFEILDPVLGIQFPSSDVLKCIKVGLLCVQENPVDRPKMSTVNVMLNSDSVSLPAPSRPAFCVGKSSMDPNSNKSILGTKNQTTQSVTMSPNEVSISELEPR >Ma04_p37580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35424417:35426089:1 gene:Ma04_g37580 transcript:Ma04_t37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSYYLSLSVVACLCIFSVSSLSDHESFLRCFSSHVSPTTNLSQLLYLPNSPDYSSLLFSSIQNLRFASSETPKPLLIVAPADEFQVQASVICCRSHGLPIRARSGGHDYEGLSYRSEKAGSFVLLDLEKLRSVTVDVEHGVAWVEAGATLGELYYKVSEKSGRLGFPAGVCPTVAVGGHLSGGGFGPLSRKYGLAADNILDAKMVDADGRILDKESMGENLFWAIRGGGGASFGIIISWKVKLVHVPATVSVFTVRRTMEQGAIELVHRWQNIAHKLHEDLLLRVDIVHVNRGDRRVVEAAFKSSFLGHCDGLLRHMGEHFPALGVERNDCREMSWIDSAVYAAGYTNGEPAEILVNRELQPKDFNKGKSDYVTEPIPPWGGRMSEISESDIPFPHRKGNLFIILYLSTWRDEGVAASTKHLGWIRSMFRFMTPYVSKRPRAAYLNYRDLDLGRNEEGNASYRKAMAWGERYFKNNFRRLAMVKGEVDPHNFFSNEQSVPPLLAETGERPQKICSTSMSISDQRSPIKYML >Ma07_p28960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34829631:34830060:-1 gene:Ma07_g28960 transcript:Ma07_t28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSISFQDFLPSMAEKLGGEGLMAELCKGFQLLMDPESRLITLDSLRRNAAALGLEGLKDDELRGMLREGDLDGDGALDQIEFCVLMVRLSPELMEVSEKLVEDAMGMGRWNGP >Ma08_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8400278:8400654:-1 gene:Ma08_g11370 transcript:Ma08_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPSATERARYFFATTLLPVMLVAAPNPRRGRAPGPWDPAIQLPSGRPDPETGGGRRPVRRCSWPALPATGTTGTRCAMRISCC >Ma05_p04050.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3059271:3063696:1 gene:Ma05_g04050 transcript:Ma05_t04050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTILQTSPEHQPEAPGSRKIEPNVCKPSQSLKPSKSDSATAIKVVAVDQTESKCAVNDGKEDKKLTHHQKGSFDTSKNKVHTKAISSSETSDTLPSDSQKQPMQQGLSTEVNKTTVVVYVNGDQGKNSEQSGNDIIVSTKVSDGTSSLTADFVDSGKSSMCRPSTNSDISDESSCSSLSSSITKPHKTNDSRWEAIKMIRSRDGVLGLTHFRLLKKLGCGDIGSVYLSELSGTKSFFAMKLMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVRPTLIKSSNPDSESFRRNNPTYCVQPACIEPSCIQPSCVAPTTCFGPRFFSISKDRKSKPEIGNQVRPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPTVSFAARELIRGLLVKEPQHRFAYKRGASEIKQHPFFEGVNWALIRCASPPEIPKSIDIERLSKPTVSTGEKVAATANQKCSDNYLEFDFF >Ma05_p04050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3059271:3063696:1 gene:Ma05_g04050 transcript:Ma05_t04050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTILQTSPEHQPEAPGSRKIEPNVCKPSQSLKPSKSDSATAIKVVAVDQTESKCAVNDGKEDKKLTHHQKGSFDTSKNKVHTKAISSSETSDTLPSDSQKQPMQQGLSTEVNKTTVVVYVNGDQGKNSEQSGNDIIVSTKVSDGTSSLTADFVDSGKSSMCRPSTNSDISDESSCSSLSSSITKPHKTNDSRWEAIKMIRSRDGVLGLTHFRLLKKLGCGDIGSVYLSELSGTKSFFAMKLMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVRPTLIKSSNPDSESFRRNNPTYCVQPACIEPSCIQPSCVAPTTCFGPRFFSISKDRKSKPEIGNQVRPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPTVSFAARELIRGLLVKEPQHRFAYKRGASEIKQHPFFEGVNWALIRCASPPEIPKSIDIERLSKPTVSTGEKVAATANQKCSDNYLEFDFF >Ma05_p04050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3059271:3063696:1 gene:Ma05_g04050 transcript:Ma05_t04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTILQTSPEHQPEAPGSRKIEPNVCKPSQSLKPSKSDSATAIKVVAVDQTESKCAVNDGKEDKKLTHHQKGSFDTSKNKVHTKAISSSETSDTLPSDSQKQPMQQGLSTEVNKTTVVVYVNGDQGKNSEQSGNDIIVSTKVSDGTSSLTADFVDSGKSSMCRPSTNSDISDESSCSSLSSSITKPHKTNDSRWEAIKMIRSRDGVLGLTHFRLLKKLGCGDIGSVYLSELSGTKSFFAMKLMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVRPTLIKSSNPDSESFRRNNPTYCVQPACIEPSCIQPSCVAPTTCFGPRFFSISKDRKSKPEIGNQVRPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPTVSFAARELIRGLLVKEPQHRFAYKRGASEIKQHPFFEGVNWALIRCASPPEIPKSIDIERLSKPTVSTGEKVAATANQKCSDNYLEFDFF >Ma05_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3059271:3063696:1 gene:Ma05_g04050 transcript:Ma05_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTILQTSPEHQPEAPGSRKIEPNVCKPSQSLKPSKSDSATAIKVVAVDQTESKCAVNDGKEDKKLTHHQKGSFDTSKNKVHTKAISSSETSDTLPSDSQKQPMQQGLSTEVNKTTVVVYVNGDQGKNSEQSGNDIIVSTKVSDGTSSLTADFVDSGKSSMCRPSTNSDISDESSCSSLSSSITKPHKTNDSRWEAIKMIRSRDGVLGLTHFRLLKKLGCGDIGSVYLSELSGTKSFFAMKLMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVRPTLIKSSNPDSESFRRNNPTYCVQPACIEPSCIQPSCVAPTTCFGPRFFSISKDRKSKPEIGNQVRPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPTVSFAARELIRGLLVKEPQHRFAYKRGASEIKQHPFFEGVNWALIRCASPPEIPKSIDIERLSKPTVSTGEKVAATANQKCSDNYLEFDFF >Ma11_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19087188:19107047:1 gene:Ma11_g13960 transcript:Ma11_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVDNIRGLVLAMSSSIFIGSSFIVKKKGLKRAGAYGVSAGSGGFSYLYEPLWWAGMLTMILGEAANFAAYAFAPAILVTPLGALSIIVSAVLAHFMLNEKLHIFGVLGCVLCVVGSTSIVLHAPIEKDIESVKEVWYLATEPGFISYACVVLFLVIFFIVQLVPRYGQTHMVIYVGICSLMGSLTVMSVKAVGIALKLTFSGMNQFVYVQTWIFILVVVMCCLMQMNYLNKALDTFNTAVISPVYYVMFTSLTIFASMIMFKDWDSQNASQIVTEICGFITILSGTFLLHKTMDMGVKPPSEPTPPNNL >Ma05_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1212550:1219327:1 gene:Ma05_g01950 transcript:Ma05_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSEASRRIARINSHLHPPVSQMEETSLLRRANCRSKGGASGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNAPGVTADISHMNTGAVVRGFLGQPQLEDALAGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIVKCCPKAIVNVISNPVNSTVPIAAEVFKKAGTYDSKRLLGVTMLDVVRANTFVAEVLGIDPRDVNVPVVGGHAGVTILPLLSQVNPPCSFTPEEISYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGVVECSFVASQVTELPFFASKVRLGRCGVEEVLPLGPLNEFERAGLEKAKKELAVSIQKGVSFIHK >Ma08_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34523061:34526074:1 gene:Ma08_g20470 transcript:Ma08_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPKYAKGVDLESGGPSALYPGMIESPDLRWAFIRKIYMILTVQLALTAAVAAVVVSVKSISHFFVSSSAGLGLYIFLIILPFILLCPLYIYRERHPVNLLLLGLFTLSISFAVGMSCAFTSGKVILEAAILTTVVVVSLTLYTFWAARRGHDFNFLGPFLFAAVLVLLVFGLIQILFPLGKISVMIYGGLGAIIFSGYIIYDTDNLIKRFTYDQYVWAAVSLYLDVINLFLSLLTLLRAADS >Ma05_p21630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33321154:33325834:-1 gene:Ma05_g21630 transcript:Ma05_t21630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGVSLVSFWEQRWSFLFFFLFLQGLHTKSQGCYSGDLKALLDFSRGLDSGVKSWSFNASSSDCCGWPGVSCEDSVDSGRRVIGLEIQNMSLKGSLSDSLTELDHLVHLNLSSNLLKGTVPSALFHLRRLELLDLSLNEFSGSIPVDLYLPSILVFDLSYNIFNGSLPAFTGSTKLTTLDVSFNAFSGSLDMSICSSSPGIRILRLSTNNFYGQLPEDVANCNSLEELYIDLNEISGNLPEALFKLSSLRTLNLQENQLSGRLSSSLGNLSNLEQLDLSLNSFSGYLPDVFDRLNKLQYLSSHSNGFGGHLPSSLSNLSSLRVLNLRNNSLAGEITLNCTIMTRLSYLDLGTNSFTGPIPYSMHQCAALRTLNLARNNLSGEVPISFKNFVWLSYLSLSNNSLSNISSAMETLQGLPRLTGLVLTMSFHGRETMPVDGIQGFRQIQLLVIANCGLSGSVPPWLANCTKLMVLDLSWNHLEGTIPSWMGNLHHLFYLDLSNNSLSGEIPESLAYAKGLISSNTSQQGPPTEYFPFFIKRNNSRKGLQYNQLSSFPPSLILCSNMLDGPIPPGLGNLKRLHALDLSKNKLSGTIPDELSGMSSLESLDLSHNDLTGRIPLSLTRLNFLSSFCVAYNNLSGSIPTGGQFSTFSSSDFEGNPGLCGYHLNSCSHDLPEAPDQTVGGQRQDRGLIIGTIAGAGFGTAFLLVLIYLFVSRTWYRRKEDTVKPIADCNGSLEAGGPSLVLLFQNKDNKELSISDILRSSNNFDQANIIGCGGFGLVYKATLPGGRNVAIKRLSGDYGQMEREFQAEVEALSRAQHSNLVLLQGYCKIGSDRLLIYSYMENGSLDYWLHEKVEGGSMLDWRKRLRIAQGAARGLVYLHQSCDPHILHRDIKSSNILLDEMFEAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQSSVATFKGDIYSFGVVLLELLTGKRPLDMCKPKGGRELVSWVVQMKKETREAEVFDPCIHEKAVERQLLQMLEIACLCLSDSPKLRPSTKMLVQWLDNIGV >Ma05_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33321154:33325925:-1 gene:Ma05_g21630 transcript:Ma05_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGVSLVSFWEQRWSFLFFFLFLQGLHTKSQGCYSGDLKALLDFSRGLDSGVKSWSFNASSSDCCGWPGVSCEDSVDSGRRVIGLEIQNMSLKGSLSDSLTELDHLVHLNLSSNLLKGTVPSALFHLRRLELLDLSLNEFSGSIPVDLYLPSILVFDLSYNIFNGSLPAFTGSTKLTTLDVSFNAFSGSLDMSICSSSPGIRILRLSTNNFYGQLPEDVANCNSLEELYIDLNEISGNLPEALFKLSSLRTLNLQENQLSGRLSSSLGNLSNLEQLDLSLNSFSGYLPDVFDRLNKLQYLSSHSNGFGGHLPSSLSNLSSLRVLNLRNNSLAGEITLNCTIMTRLSYLDLGTNSFTGPIPYSMHQCAALRTLNLARNNLSGEVPISFKNFVWLSYLSLSNNSLSNISSAMETLQGLPRLTGLVLTMSFHGRETMPVDGIQGFRQIQLLVIANCGLSGSVPPWLANCTKLMVLDLSWNHLEGTIPSWMGNLHHLFYLDLSNNSLSGEIPESLAYAKGLISSNTSQQGPPTEYFPFFIKRNNSRKGLQYNQLSSFPPSLILCSNMLDGPIPPGLGNLKRLHALDLSKNKLSGTIPDELSGMSSLESLDLSHNDLTGRIPLSLTRLNFLSSFCVAYNNLSGSIPTGGQFSTFSSSDFEGNPGLCGYHLNSCSHDLPEAPDQTVGGQRQDRGLIIGTIAGAGFGTAFLLVLIYLFVSRTWYRRKEDTVKPIADCNGSLEAGGPSLVLLFQNKDNKELSISDILRSSNNFDQANIIGCGGFGLVYKATLPGGRNVAIKRLSGDYGQMEREFQAEVEALSRAQHSNLVLLQGYCKIGSDRLLIYSYMENGSLDYWLHEKVEGGSMLDWRKRLRIAQGAARGLVYLHQSCDPHILHRDIKSSNILLDEMFEAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQSSVATFKGDIYSFGVVLLELLTGKRPLDMCKPKGGRELVSWVVQMKKETREAEVFDPCIHEKAVERQLLQMLEIACLCLSDSPKLRPSTKMLVQWLDNIGV >Ma05_p21630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33321154:33326417:-1 gene:Ma05_g21630 transcript:Ma05_t21630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGVSLVSFWEQRWSFLFFFLFLQGLHTKSQGCYSGDLKALLDFSRGLDSGVKSWSFNASSSDCCGWPGVSCEDSVDSGRRVIGLEIQNMSLKGSLSDSLTELDHLVHLNLSSNLLKGTVPSALFHLRRLELLDLSLNEFSGSIPVDLYLPSILVFDLSYNIFNGSLPAFTGSTKLTTLDVSFNAFSGSLDMSICSSSPGIRILRLSTNNFYGQLPEDVANCNSLEELYIDLNEISGNLPEALFKLSSLRTLNLQENQLSGRLSSSLGNLSNLEQLDLSLNSFSGYLPDVFDRLNKLQYLSSHSNGFGGHLPSSLSNLSSLRVLNLRNNSLAGEITLNCTIMTRLSYLDLGTNSFTGPIPYSMHQCAALRTLNLARNNLSGEVPISFKNFVWLSYLSLSNNSLSNISSAMETLQGLPRLTGLVLTMSFHGRETMPVDGIQGFRQIQLLVIANCGLSGSVPPWLANCTKLMVLDLSWNHLEGTIPSWMGNLHHLFYLDLSNNSLSGEIPESLAYAKGLISSNTSQQGPPTEYFPFFIKRNNSRKGLQYNQLSSFPPSLILCSNMLDGPIPPGLGNLKRLHALDLSKNKLSGTIPDELSGMSSLESLDLSHNDLTGRIPLSLTRLNFLSSFCVAYNNLSGSIPTGGQFSTFSSSDFEGNPGLCGYHLNSCSHDLPEAPDQTVGGQRQDRGLIIGTIAGAGFGTAFLLVLIYLFVSRTWYRRKEDTVKPIADCNGSLEAGGPSLVLLFQNKDNKELSISDILRSSNNFDQANIIGCGGFGLVYKATLPGGRNVAIKRLSGDYGQMEREFQAEVEALSRAQHSNLVLLQGYCKIGSDRLLIYSYMENGSLDYWLHEKVEGGSMLDWRKRLRIAQGAARGLVYLHQSCDPHILHRDIKSSNILLDEMFEAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQSSVATFKGDIYSFGVVLLELLTGKRPLDMCKPKGGRELVSWVVQMKKETREAEVFDPCIHEKAVERQLLQMLEIACLCLSDSPKLRPSTKMLVQWLDNIGV >Ma05_p21630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33321154:33325925:-1 gene:Ma05_g21630 transcript:Ma05_t21630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGVSLVSFWEQRWSFLFFFLFLQGLHTKSQGCYSGDLKALLDFSRGLDSGVKSWSFNASSSDCCGWPGVSCEDSVDSGRRVIGLEIQNMSLKGSLSDSLTELDHLVHLNLSSNLLKGTVPSALFHLRRLELLDLSLNEFSGSIPVDLYLPSILVFDLSYNIFNGSLPAFTGSTKLTTLDVSFNAFSGSLDMSICSSSPGIRILRLSTNNFYGQLPEDVANCNSLEELYIDLNEISGNLPEALFKLSSLRTLNLQENQLSGRLSSSLGNLSNLEQLDLSLNSFSGYLPDVFDRLNKLQYLSSHSNGFGGHLPSSLSNLSSLRVLNLRNNSLAGEITLNCTIMTRLSYLDLGTNSFTGPIPYSMHQCAALRTLNLARNNLSGEVPISFKNFVWLSYLSLSNNSLSNISSAMETLQGLPRLTGLVLTMSFHGRETMPVDGIQGFRQIQLLVIANCGLSGSVPPWLANCTKLMVLDLSWNHLEGTIPSWMGNLHHLFYLDLSNNSLSGEIPESLAYAKGLISSNTSQQGPPTEYFPFFIKRNNSRKGLQYNQLSSFPPSLILCSNMLDGPIPPGLGNLKRLHALDLSKNKLSGTIPDELSGMSSLESLDLSHNDLTGRIPLSLTRLNFLSSFCVAYNNLSGSIPTGGQFSTFSSSDFEGNPGLCGYHLNSCSHDLPEAPDQTVGGQRQDRGLIIGTIAGAGFGTAFLLVLIYLFVSRTWYRRKEDTVKPIADCNGSLEAGGPSLVLLFQNKDNKELSISDILRSSNNFDQANIIGCGGFGLVYKATLPGGRNVAIKRLSGDYGQMEREFQAEVEALSRAQHSNLVLLQGYCKIGSDRLLIYSYMENGSLDYWLHEKVEGGSMLDWRKRLRIAQGAARGLVYLHQSCDPHILHRDIKSSNILLDEMFEAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQSSVATFKGDIYSFGVVLLELLTGKRPLDMCKPKGGRELVSWVVQMKKETREAEVFDPCIHEKAVERQLLQMLEIACLCLSDSPKLRPSTKMLVQWLDNIGV >Ma04_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3453964:3454728:1 gene:Ma04_g04520 transcript:Ma04_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSGHYELQFLLSHPHSSNVRLASYERFNALLSPHKEEVSTTLFISTSFYPFLLSSSAPSLLSPLRKMRPLFSLYLAVLLTTSGASPTAVQQQTCTSPATVEDACKLVANKQASVGYDFCVKSLGKVTSLSGSVDLREIAVVATRLAVDHAASTEAKIEELMDLETNPKVKRCFGACLDVYGDAVEHMKDALDNLSNRLYPRASALVGGAVNAPDKCEESFKDTKGSFTLAAVDKDFGRLASIAHGIIVSLE >Ma07_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8233512:8236127:1 gene:Ma07_g11080 transcript:Ma07_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPMQHHHHPVRVQSPLPYPPFPTCHTLHLFSSSRLTHAASPLHNKEQAVSELLFFLPFSCVMWPRLVASKLLHRLSSSNSSAAADFPTTTTATSNWDEIINLQRSCDDGKETRNYKLFASTWNVGGMAPPSDGLNLEDWLHTRNASYNIYVLGFQEIVPLSAKNVFGPEKTRVAAKWNSLIGAALNRSSSFSEGRREAKMGEQRVHPVGEGTARNFHCILSKQMVGILVSVWVRADISRCIRHAGVCCVGCGLMGCLGNKGSISVRFCLHETSFCVVCCHLASGGKEGDELHRNSDAMEIFSRTCFLPHPSLDLPTKILDHDRVILLGDLNYRVSLPEATTLSLVEQKNWNVLLEKDQLRTEVSVGRVFDGWHEGAITFSPTYKYYPNSDEYYGCIQRKKGEKRRVPAWCDRIIWHGNGIKQILYERCESKLSDHRPVRSIFGVEVEVVTSLTSLTSF >Ma06_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12315297:12317272:-1 gene:Ma06_g18170 transcript:Ma06_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCALAVSPFQVVSSRKNATATQSSKEPPISPASLHATTIATAADFFTPSQLQQLHARLIKSGLPPSSSASALPLPRVAAVCALSSPSAFSYARRLFGLSDAASETVLWNSHLNTLSSSPSPADALALFSRLRFAGVASDVFTLSFVLKACSRTPSALPLGRAVHALVEKLGFRSDVFLLNTLVHMYASRGDISAARLLFDVIPAKDVVTWNIMITHYTKTGEMEIARHLFDLMPERSVRSWTAMIAGYGQWKKPREAVRLFREMESAGVQPNEVTVVAVLAACADLGELDLGKRVHEFAKRCSFQKNNVRVCNTLIDMYINCGCVEKARQVFDEMAERTVVSWSAMIGGHAMHGQGEEALKLFSRMREAGMEPNGVTFVGLLHACSHMGLLEEGKRFFSSMTEDYGIVPEIEHYGCMVDLLSRAGFLEEAREFIREMPVKANSVVWGALLGGAKVHKNINMGEEAIRHLVELDPLNDGYYVVLSNIYADAGRWEDVAKVRRMMKDRGLKKTPGWSTISLDDEVVHEFVAGESDHPRIEEVYRGWDELLEKLRCRGYVPDTSAVLLDMDEKEKEKVLYRHSEKLAVVFGLMNTRAGRPIRIMKNLRVCSDCHAALKLISEVTDREIVVRDRNRFHCFKHGSCSCRDYW >Ma02_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20561755:20572713:-1 gene:Ma02_g11400 transcript:Ma02_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLKWPNVPRADPWVPSSSSSAHRISWSWQTHMAPAAAAGSTSKLTTNDALAYLKAVKDIFHDKREKYDEFLEVMKDFKSQRIDTNGVIMRVKELFKGHRDLILGFNTFLPKGYEIKLPEEKKPVEFEEAIVFVNKIKSRFQNDEHVYKSFLDILNMYRRENKPIREVYEEVAALFQNHQDLLEEFTHFLPDASATYAPHLGYPDRSFAHRDERSPVMPLAREDKREKAYTSHANRDLSIDRLDMEHDSQRRHAEKEKDRKEDRDKRYHERDEKELEHDSGDLDNEQCRRKLPSRRVDDPTAEPMHQGGNIAMNSISASQFDDKNALKSVYTREFNFCEKVKEKLHRDTYQEFLQCLHIYSKEIINRTELKNLVSDILGKYPDLMEGFNEFLAHCENIDGFLKGVINKRHMAMPIKIEDRDRERDHEINDREKDLERERNFERERGDKGAAHKAPLISNKEKYNLWKPISELDLSNCQRCSPSYCLLPKNYSIPPASQRTELGESVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKQVEELLEMMQDPVKSENPIHIEDTLTSLNSRCIERLYGDHGLDVMDVLRKNSSLSLPVILTRLKQKQEEWTRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDAKSMSTKALLAEIKEINDKMKKEDDIVLSIAAKNRQPIVPNMEFEYIDLDIHEDLYRIIRYSCGEVCTSLDQVDKVIKFWTTFLEPLMGFQPQNRGAEGMQDVKPNSHSGKSSIAGLVKSNGSPDADGTGATKQSNGGENIQSEQVASCRTKLANGDTTVAGNCFHDVGRATHRVGILCNNPLQRRVQGSAPKADESSGITVQNVSAEHLSDNTSFVGRAEESHSRTNLETVSGVGGASLQTSHCGTEMLVEPRAYLEVGQTGRSIISVNCGGTAECNKGDGPNEGSTCLNNLKVEREEGELSPNGDTEEDNFAAFEDAAISVAPKGRDNCASTQYQIKPVEVEVSCGEAAGENDADDDDEGEESAQRSTEVSENASEAGEDVSVSESGNGEECSHDVHEQEEDDVVHDDQDAKAESEGEAEGMTDVQDVEGEIMSLPFSERFLHTVKPLARHVPAALHDKKDSSSRVFYGNDSFYVLLRLHQALYERILSAKTNSLAAEKKQRSFKDTSPPDLYAKFMSALYNLLDGSADNMKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIASDEMDNKILQLYSYEKSRLAGGSFDIVYHENARVLLHDESIYRFECVSQSSHVTRLSIQLMEYGHEKPELTTISIDPNFSAYLYNDLLSSVSDRKGAQGVFLGRNKRKYGGADEYSATCKAMNGFQVINGLECKISCSSSKVSYVLDTEDFLFRVKKKRRCSCRGTIFGDQVQPSQVHDAKIHRFYRFLSSFLPRS >Ma11_p18600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23618564:23627305:1 gene:Ma11_g18600 transcript:Ma11_t18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALHISMAISPTKCGENGDKSAENSRVLILGGTGRVGGSTATALSKLCPSLQLLIAGRNREKGAALVSKLGKNAEFVEVNIESTNMLEKTLEDVDLVVHAAGPFQKAENCGVLEAAISTKTAYVDICDDTDYSQRAKSFHSEAVAAGVPAITTGGIYPGVSNVMAAELVHSAKSENAGEPERLRFFYYTAGSGGAGPTILATSFLLLGEDVIAYNKGEMIKMKPYSGVLNIDFGKGIGKRDVYLLNLPEVRSTHEILGVPNVSARFGTAPFFWNWGMQAIANFVPREILRDRSKVQQLVQIFDPSVRAIDGIAGERVAIRVDLECSNGRSTVGLFTHKKLSVSVGYSTAAFALAVLEGSTQHGVWFPEEPEGIAIDARKVLLERAIAAEGTINFVMNKPPWLVETDPKELGLGIYV >Ma11_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23618564:23627305:1 gene:Ma11_g18600 transcript:Ma11_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALHISMAISPTKCGENGDKSAENSRVLILGGTGRVGGSTATALSKLCPSLQLLIAGRNREKGAALVSKLGKNAEFVEVNIESTNMLEKTLEDVDLVVHAAGPFQKAENCGVLEAAISTKTAYVDICDDTDYSQRAKSFHSEAVAAGVPAITTGGIYPGVSNVMAAELVHSAKSENAGEPERLRFFYYTAGSGGAGPTILATSFLLLGEDVIAYNKGEMIKMKPYSGVLNIDFGKGIGKRDVYLLNLPEVRSTHEILGVPNVSARFGTAPFFWNWGMQAIANFVPREILRDRSKVQQLVQIFDPSVRAIDGIAGERVAIRVDLECSNGRSTVGLFTHKKLSVSVGYSTAAFALAVLEGSTQHGVWFPEERGSRLMQEKCSLNEPSPPKEQ >Ma10_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32881084:32881581:1 gene:Ma10_g24190 transcript:Ma10_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNSLLPLFETHRLRDLFYFSSSVDPAAAVGGTISPDPHFMVTYLVNSCGFSPSEVAKKVISADPSYLRCNVEKNLAPKFQFLRDLGLSESDIVDAILKNQAILLHNVHRSFVPKLEMWESLL >Ma06_p20380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14432373:14450461:-1 gene:Ma06_g20380 transcript:Ma06_t20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGVACAPSQHIMERFPIPESLCGGKGVLASNPFGAEKKTRNGERGDEVKREDELGAEIGLELDRRAKKGELEKGELERHRKGELEEGELHNGELEKGELRNGEFEKGESAPKKWRKSEVEVGDRRRRDEAEKGEIISDRRNRRELERGEFVPDKWKRWQDLEKSQNQSTRGRRVDSEKGDVTERSLKNSQQSSLEDSHRRNDRRPCDSDHRKRSSSSRLDGNVHERDAKKSLRVSEVEPGEIKHDNSNGRSRDREGKVGRWHKWQAIESESSNHKHHFDLSDQSGSRTHRKSEEIGRSTNPERSHRNESSSTSKVPSSSRYSSSRYDDPSFSSRGSHDRQGRSPGHSERSPKERSHHADHRDRSPRRLERSPHEKSHHSDHRDHTPSRFNRSPRQRARHHDHRDRTPAHLERSPHDKRHSADHRESNKKSQGSEKQQSSRHDERLGRKEYSEKDFLKNKPSRSSCDRSTIDRLDKEKRFQSSSRHSSETPPPPPPVLPPPPLLPAPPPPPSPPLGVIEEPSMEEDMDISDTPPRDPITSDFDAGKWFYLDHCGIEQGPSKLVDLRRLVDEGVLLSDHLIKHADSDRWVTVENAASPLVPLNLPSIVSDVVTQTASPPEAPGNLLVDAGIICQETSSSMLLQKEAVKGQSPVIAECLEDYHIDERVETLLGGYTIVGGKELEIIGEALNTTFEHADWEKWGQSEGFSRFKAQTPSIYPREEGFGGVFKGFSTESSEIKPVFATSGKDYAGPSGGSSDWFVGRWSCKGGDWKRNDEVGQDKSYRRKLVINESYPLCQMSKSGHEDPRWHRKDDLYYPSHSKRLDLPLWAFSSIDDNTDSTSDPSKSAVASRSAQTKPLSLRGVKGTILPVVRINACVVKDQGSIEPHMKVKTSERHVSKSSRSHSSSDRNSLHEGSSRSRKLHEHDFQSLQRCRTILNIPKDHICTIDELSVDLGDWFYLDGAGYEHGPLSYLELQELVGKGAILEQSSVFRKNDNTWLPITMKLKSSESVNSEEEARTSTARFSSSSLVQLSCNNMSTASHSFHSLYPQFIGYTRGKLHELVMRSYKNREFAAVISEVLDPWINAKQPKKEMDKHFPFNSSITKSSAVLSHDLSVSNIWNSEDGIYREGKRSRFLVDESDEDSEMEDALLSNEKNDWSFEDLCGEADIFQDNATSQTENGSWGLLNGHILARVFHFLKGDMKSLLSSATTCKHWNASVNFYRSICRHVDLSSVGPKCTDTVLQSLMGGYGKKNLMSLVLKGCFNVSAGVLEGILQLFPHIANVDIRGCNQFKELQFRYPNINWIKRSSSFGAKNQEESYSKTRSLKQITENNYLISRTYRSLSGCLDDSGDLENFGISESNSIDRKDFSSLQFKQGFYKRPKLLDARKSSELLSRDAQMRHWLHRKSENSYKKMEEFIANSLKDIVKGKKSDFFMPKIAKIEDRMRCGYYVRRGLSSVKDDISRMCRDAFKSKSQGDAVDRRKIIMSFIQLVKRLENPRLIIQGDELIKAVKDGSEAGSYFSESKYKKKQSKVLSEKKSINRGINTSYANGGTDYRAYAFDREIKRSLSKLKKREMDSDSETSEDDGNDFSEDDRGEDESTASDTESDLEIHSGSGMWDLKGEMDESSESVVTDDREWGARMTKASLVPPVTRKYEVIDKYLIVADEEEVQRKMQVALPDDYSEKLLAQKSGIEESDMEIPEVKDYKPRKKLGVEVLEQEVYGIDPYTHNLLLDSMPEEPDWPLADRHKFIEESFLRTLNKQVRHFTGTGNTPMVYPLQPVIEEVLKNAEEVADRQAIKMCQGILKAMRSRPDDNYVAYRKGLGVVCNKQEGFEQDDFVVEFLGEVYPAWKWFEKQDGIRALQKNSQDPAPEFYNIYLERPKGDSDGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYSLLPIGYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLSGEGAFEKVLKDCHGVLDRHKLILEACEANFVSQDDYIDLGRAGLGTCLLAGLPDWLVAYSAHLVRFINFERTKLPDEILRHNLEEKRKFFSDICLEVEKNDAEVQAEGVYNARLQNIALTLDKVRYVMRCMFGDPKKAPPPVEKLTAEGVASVLWKGEGSLVEDLLHSMAPHVEADLLSDLKSKIQAHDPSGSSNIQTELRKSLLWLRDELRNLPCTYKCRHDAAADLIHIYAYTKVFFEIREYKSFKSPPVYISPLDLGPKYADKMGSGFQEYCKTYGENYCLGQLIYWYSQMNADPDCRLARACKGCLSPPDISSFYAKSQKPLREHVYGPRTMRFMLSRMEKEPQRPWPKDRIWLFKSNPKFFGSPMLDAVLNKCPLDKEMMHWLKTRPSVFQGSWEG >Ma06_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14432373:14450461:-1 gene:Ma06_g20380 transcript:Ma06_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGVACAPSQHIMERFPIPESLCGGKGVLASNPFGAEKKTRNGERGDEVKREDELGAEIGLELDRRAKKGELEKGELERHRKGELEEGELHNGELEKGELRNGEFEKGESAPKKWRKSEVEVGDRRRRDEAEKGEIISDRRNRRELERGEFVPDKWKRWQDLEKSQNQSTRGRRVDSEKGDVTERSLKNSQQSSLEDSHRRNDRRPCDSDHRKRSSSSRLDGNVHERDAKKSLRVSEVEPGEIKHDNSNGRSRDREGKVGRWHKWQAIESESSNHKHHFDLSDQSGSRTHRKSEEIGRSTNPERSHRNESSSTSKVPSSSRYSSSRYDDPSFSSRGSHDRQGRSPGHSERSPKERSHHADHRDRSPRRLERSPHEKSHHSDHRDHTPSRFNRSPRQRARHHDHRDRTPAHLERSPHDKRHSADHRESNKKSQGSEKQQSSRHDERLGRKEYSEKDFLKNKPSRSSCDRSTIDRLDKEKRFQSSSRHSSETPPPPPPVLPPPPLLPAPPPPPSPPLGVIEEPSMEEDMDISDTPPRDPITSDFDAGKWFYLDHCGIEQGPSKLVDLRRLVDEGVLLSDHLIKHADSDRWVTVENAASPLVPLNLPSIVSDVVTQTASPPEAPGNLLVDAGIICQETSSSMLLQKEAVKGQSPVIAECLEDYHIDERVETLLGGYTIVGGKELEIIGEALNTTFEHADWEKWGQSEGFSRFKAQTPSIYPREEGFGGVFKGFSTESSEIKPVFATSGKDYAGPSGGSSDWFVGRWSCKGGDWKRNDEVGQDKSYRRKLVINESYPLCQMSKSGHEDPRWHRKDDLYYPSHSKRLDLPLWAFSSIDDNTDSTSDPSKSAVASRSAQTKPLSLRGVKGTILPVVRINACVVKDQGSIEPHMKVKTSERHVSKSSRSHSSSDRNSLHEGSSRSRKLHEHDFQSLQRCRTILNIPKDHICTIDELSVDLGDWFYLDGAGYEHGPLSYLELQELVGKGAILEQSSVFRKNDNTWLPITMKLKSSESVNSEEEARTSTARFSSSSLVQLSCNNMSTASHSFHSLYPQFIGYTRGKLHELVMRSYKNREFAAVISEVLDPWINAKQPKKEMDKHFPFNSSITKSSAVLSHDLSVSNIWNSEDGIYREGKRSRFLVDESDEDSEMEDALLSNEKNDWSFEDLCGEADIFQDNATSQTENGSWGLLNGHILARVFHFLKGDMKSLLSSATTCKHWNASVNFYRSICRHVDLSSVGPKCTDTVLQSLMGGYGKKNLMSLVLKGCFNVSAGVLEGILQLFPHIANVDIRGCNQFKELQFRYPNINWIKRSSSFGAKNQEESYSKTRSLKQITENNYLISRTYRSLSGCLDDSGDLENFGISESNSIDRKDFSSLQFKQGFYKRPKLLDARKSSELLSRDAQMRHWLHRKSENSYKKMEEFIANSLKDIVKGKKSDFFMPKIAKIEDRMRCGYYVRRGLSSVKDDISRMCRDAFKSKSQGDAVDRRKIIMSFIQLVKRLENPRLIIQGDELIKAVKDGSEAGSYFSESKYKKKQSKVLSEKKSINRGINTSYANGGTDYRAYAFDREIKRSLSKLKKREMDSDSETSEDDGNDFSEDDRGEDESTASDTESDLEIHSGSGMWDLKGEMDESSESVVTDDREWGARMTKASLVPPVTRKYEVIDKYLIVADEEEVQRKMQVALPDDYSEKLLAQKSGIEESDMEIPEVKDYKPRKKLGVEVLEQEVYGIDPYTHNLLLDSMPEEPDWPLADRHKFIEESFLRTLNKQVRHFTGTGNTPMVYPLQPVIEEVLKNAEEVADRQAIKMCQGILKAMRSRPDDNYVAYRKGLGVVCNKQEGFEQDDFVVEFLGEVYPAWKWFEKQDGIRALQKNSQDPAPEFYNIYLERPKGDSDGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYSLLPIGYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLSGEGAFEKVLKDCHGVLDRHKLILEACEANFVSQDDYIDLGRAGLGTCLLAGLPDWLVAYSAHLVRFINFERTKLPDEILRHNLEEKRKFFSDICLEVEKNDAEVQAEGVYNARLQNIALTLDKVRYVMRCMFGDPKKAPPPVEKLTAEGVASVLWKGEGSLVEDLLHSMAPHVEADLLSDLKSKIQAHDPSGSSNIQTELRKSLLWLRDELRNLPCTYKCRHDAAADLIHIYAYTKVFFEIREYKSFKSPPVYISPLDLGPKYADKMGSGFQEYCKTYVSEAVARTCLWSKNHEIYVVTNGKRAPKAVAQRSYMAIQEQPKIFWQSNAGRCS >Ma07_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7538538:7557636:1 gene:Ma07_g10100 transcript:Ma07_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSSKKSDSSSSPDGSNSPGGSTFGSPSTARSDKKKSKSNAAPAAASPRYTLIKDAVEKHHLQHQQKKKDKDAKDSKGKDTAHASSPKPSPAAATAAADSGSSPAKLRKGAAVGKDGAGPAAALSPILVSSLGLNRIKTRSGPLPQEGLRGEHRMSGLGSSNLSRTTGSASAYVSSTSMARTGVDSGGAKKDGKTLDKVPESCTSSWADHVGGGAKQWFTASMDAPFGWQGKADLPWNKYEDLSNNTTFASEAESPYDACETPKESESPRFKEIMQATSVPRKKFPGDVKSYSHELSSKGVLPFPFWKPRSAYNLKEVLKVIQVKFEKAKDEVNSDLAIFAGDLVSIMEKNLESHPEWKEILEDLLILARSCCVMSPGEFWLQCEGLVQDLDDRRQELPSGMLKKLHTHMLFILTRCTRLLQFHKESGFAEDEISMDSGSKKLHSAEVASVPAKDSKHMFMKAEKNSVETVVSRRSYSQEQYNLKWKRSQEIKPVDFFSQLDVAKDDSPSSRERMASWKPLPSPAPKDKKKSIPLIDESPNGKVECSQLRATLDKGLITTDPPKQTSSVHASVNQSVPSKHQHNVSWGFWSDQQSISEEGSIMCRICEEYVPTSFVEEHSKVCAVADRCDQKGFSVDERLIRIAETLEKMVESYKQKDLPNVLGSPDVANISRTSLIEESDIPYPKLSDWSWRGSADMLDSLHETENTLLFDDLKNLSSMTCKTRFGSKSDQGMTTSSAGSMTPRSPIMTPRASHIDMLLTWKNATSECEDLPQMIELADIARCIANTLVDEEKSLNYLVSCLEDLQEVMNRRKLEALTVQTFGTRIEKLHREKYLQLCDTVTSLDMEKVEATSTMMDEEDDVVHSLQASPVHPTTKDRTSIDDFEIMKPISRGAYGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERNILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLFGEDEPQISSSEQLNQRECRKKRSAVGTPDYLAPEILLGTGHGASADWWSVGVILFELIVGIPPFNAEHPQKIFDNILNRKIPWPGVPEEMSFDAQDLIDKLLTEDPHQRLGAKGASEVKQHVFFKDINWDTLARQKAAFVPSSDNALDTSYFTSRYSWNPSDEQIYEASEFEDSSDNGSISGNSSCLSNHHDEIVDECGGLAEFDSGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKEDPQPKSET >Ma10_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1499337:1502892:1 gene:Ma10_g00260 transcript:Ma10_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGAAAGVERVVLLALCVAGIWSAYIYQGVLQETVSTKLFGPDGKRFEHLSFLNLAQNVVCFVWSLIMIKLWSRSSSGGAPLWSYWSPSITNTIGPSMGIEALKYISYPAQVLAKSTKMIPVMLMGTLVYGIKYTIPEYICTFLVAGGVSSFALLKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSIKARYPKTSAWDIMLGMNLWGSIYNVIYMFGWPRGSGYEAVRFCQEHPEAAWDILMYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVISGNPLSQKQWASVVMVFSGLSLQIVLKWRKLQGTKKDKKRA >Ma08_p30710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41880692:41882012:1 gene:Ma08_g30710 transcript:Ma08_t30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVIAIPIFRLDVDLLQFALNLEHIEADFFLFAALGRGLDSIAPELAMGGPPPIGARKANLDETTRLFIEEFGYQEVGHLRAIKTTVGGFPRPLLDLSSHNFAKIMNDAFGYHLDPPFDPYIDTINFLLASYVIPYMGLVGYVGANPNINGYVSKRLLAGLLAVEAGQDAIIRDRLYQHMHEFVPPYRITVAEFTDRISALRNRLAMCGIKDEGLLVPPVLGAEGRIATNVISANRNSLAYRRTPAEVLRVVYGTGNEHQPGGFLPKGGNGTIARELLAP >Ma03_p25370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29434033:29436105:-1 gene:Ma03_g25370 transcript:Ma03_t25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHGITHRTVEVNGISIHVAEKGEGPVVLLVHGFPELWYSWRHQILGLAARGYRALAPDLRGYGDSSAPPSVASYSVFHIVGDLVALLDALAIPQVFLVGHDWGALVAWYMCLFRPDRVKALVNLSVALVPFIPRNPAGKLVEYFRSLYGDDYYVCRFQEPGAVEADFDRLGTESLLRMVFASRNPGPLLLPKEAFVPLDRQIPLPDWLSEEDISYFTSKFDESGFTGPVNYYRCLDLNRELGAPWCGVKIQVPVKFIVGDQDLTYHYPGIQDYLHGGGFKEDVPMLEEVVVMEGVAHFINQEKAHEITDHIYHFIRKF >Ma07_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28846221:28850955:-1 gene:Ma07_g20840 transcript:Ma07_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKVRSMNVDEPEAKPVLGPAGNKARLVVAARKTGLKSSRKAEKVEPELLDEKQSSTSLADSSSPSLNAASALRRHELLLRSNLSLNASCCSDASVDSFCSRASTGRIGSSSFLRRPRRIVSKPEKIGVRLEKMVPDGTTMPPPEYLDGKRRCAWVTPNTDPCYAVFHDEEWGVPVHDDKKLFELLSLSGALAEHTWPAILSKRHLFREVFMDFDPTLVSKLNEKKIIVPGSTANSLLSEPKLRAIIENAPQVIKIIEEFGSFDRYCWSFVNHKPISSRFRNSRQVPVKSAKADAISKDLMRRGLRSVGPTVIYSFMQASGITNDHVVTCYRFKECAATNLSTDGGEGNLVNANHKVEENIIGSEEAGGVDLELPTAAADRLNIS >Ma03_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7636829:7639877:1 gene:Ma03_g10210 transcript:Ma03_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPGCVKQPDQDSESSMQSTADMTAFVQNLLVQMKTRFQAMSEGIVSKIDEMGSKIDELEQSIQDLNADMEGASKSKPEDDKPSDEPV >Ma08_p25840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38560280:38561208:1 gene:Ma08_g25840 transcript:Ma08_t25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPRASFGLVAVMLLALAIVLPAVQAQAPAPAPTSDGTSIDQGIAYLLMLVALVLTYLIHPLDASSPYKLF >Ma05_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8134302:8135807:1 gene:Ma05_g11240 transcript:Ma05_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVNVEGEQPPVKDYTDPPPEPLLNFGELRLWSFYRALIAEFVATLLFLYVTIATVIGHKEQNAADQCSGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTLGLFLARKVSLIRALLYMVAQCLGAIVGVGIVKGIMKHQYNSLGGGANMVAAGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQDKPWDDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >Ma08_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8704496:8705438:1 gene:Ma08_g11720 transcript:Ma08_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSDSLDSPLARRSAGAAASDEEVSYATVSSAPPKRRAGRTKFRETRHPVYKGVRRRNGDKWVCEVREPNKKSRIWLGTFHTAEMAARAHDVAAMALRGRSACLNFADSPWRLPVPESSSPADIRKAAARVAEAFLPRPSSETPEQMQEQMATAWAAADDAFFVEDGLNFGMQGYLDMAEGLLIDPPPPPMDYEDDSDGIVPLWSYAV >Ma03_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27564924:27565770:-1 gene:Ma03_g22830 transcript:Ma03_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSKMGVSTGITTKLSSTDLSDLTFSLEPKEPTPEEAGVKIQEGEEGHQRNGAILGRNCGSVSQRFRDNGTTSLHNMVRRAFSMRKPSSAAGGYWRMHDTGDGDGEFVEQEQQEEEEVRSSRKKKKGKLLRACKKLLGI >Ma07_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8171151:8188933:-1 gene:Ma07_g11030 transcript:Ma07_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MVHSAYDSVELLKGCPVRIDAAAAYGSKLLLGCSDASLRIYAPSSAPPAPLASGGGGGDDGPPPPDVEIRREPYLPERTVSGFWKRAPLAMEVCRSRDLLLSLSEWVAVHRFPNLETVVAIGKTKGAHVYSWDDRRGFLCVGRQKRVAIYRLDGGREFVEVKEFGVPDVVKSMAWCGENICVGVRREYMIMNSTTGALSEIFSSGRIAPSLVVPLPTGELLLGKDNIGVFVDQNGKLLQDGRICWSEAPASVVVQKPYAVGRLPRHIEIRSLRAPYPLVQTVGLRDVHLLLQSNNSLITTLSNAVYGLLPVPLIAQIVQLTASGDFEEALSLCKLIPPEDSSLRASKESLIHIRYAHHLFNNGNYEEAMEQFLASQEEITYILSLYPSIILPKLQTVSVPEKFADANDELHLSRVSSDASDETESSLSQHYESDDKSTLEIKKMSHNALMALVKFLQKKRQGIFERATAEVTEEVVQDSISSYEPYRSKSSNKKGGGYTHISSVAREMATILDTALLQALILTGQSSSVLELLKGPNFCDLKTCEKFLMERNQHTLLLELYKYNGMHRDALKLLDQLVQESNSGETHSELTQKIRPNMIIEYLKPLCRTDPMLVLEFSMNVLESCPSETIELFLSGNVPAELVNSYLKQHAPNMQSTYLELMLSMSENGINPKLQNELVHLYLSEVIDWFKDLKEQKKWDEKTYSPTRRKLLSALDGISGYNAADLLKRLPLDGLFEERAILLGRMNQHQLALALYVHKLHLPELALVYCDRVYEAALHQPSRSYANIYLTLLQIYLNPRRAIKELEQRTENSFAVVAQSTGVQKSGFIKVKGGRQSKKIAEIEGADDMRISLSSTDSGRSDGDADEMTDEGDSVMLSQALDLLSQRWDRINGAQALRILPRDIKLQDLLPFLKPLLRKSTEGSRNHSVIKSLTSNENLQVKEELYNCRRAIVKVDADSMCSLCHKRIGSSVFAVYPNGKTLVHFVCFRDSQSIKAVRGPATVRRT >Ma06_p36960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36175139:36179615:1 gene:Ma06_g36960 transcript:Ma06_t36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MSSSTATSGIDEALHALRSASSSLQNIHFHQSQISSSSCQIAENSMSINISTNREGARFLCQLLGEMAVQGGSFKNLMNLAFNGMQWEQRQLESLCSLLDVSPSLIYLEFQRNRFRNEGVRELSEMLERNKAIKAVIFSQCRIEAAGASLLSSALTKNDTLEELQIWEDSIGSRGAEDLARAIEVNPTLKLLIVFDKESITATPLISAILARSRNMEVHIWSRDNGDRSSKVVEFTPEDNTLRIYKLEASGSRRVACALAWNSTVRTLDMSGIRLRSRWAKEFRVALEQNTSLKDVRLSRTCLRDKAVVYVAAGLFMNQSLENLHLDQNWLTGVGVEHLLCPLSRFSALQNQANTTLRSLVFGGGKTKIGKAGLAAILRMLETNQTIVRLGICGDASLKPDDIVKIFRILERNATLRWLSLEGCAGVQGEMVLQAIMETLQVNPWIEEVDLGRTPLQIAGKTDGIYEKLGQNGSMVAEDDLVDDLPLTMPRCCRIFLCGQEDAGKSTLCNSIFCNMNSSKLPYADQMRSLVTSIEQIMRRAVIKIKTIYDGDIKISIWNLAGQHENFALHDLFFPGYGSPSFFLIVSSLLQKPANKEPKSPEEIEDDLLYWLKFIVSNSRRATAQSMLPYVTIILTHSDRVSQQSGVLQSTANSIQRLKERFQGFVDFYPTVFMVDARSSMSVSKLAHHLRKTSETILQRVPRVFELCDDLRTILSNWRSENCNKPALRWKEFGELCQLKVPALRIRSRHNNIDRVERRRRAVANSLHHIGEVIFFDDLGFLVLDYEWFFGEVLSQLVTLDAANMERFEKIGFVSRTDLEKMLRRTMQHQNPLMGSKVHDNMEAGDLIKMMLKLELCYEQDPGDPQTLLLIPSILEEGRGRNQGWQPSSPDCVYVGRQLECDHWHMFLTKGFFPRLQVNLYNKILGSKNQQGAVYSLERNLICMIINGVHVRVEIGGQLGSYIDVLACSTKTVTETLRLFHHLIIPAIQSMCPSITFAENIIRQDCVRYLIPSRSRKTQCVPLQQIKQALLSMPADSMYDYQHTWSSLVSNNKLILQSGSDYARGLLSDEDFREVLHRRYYDLRHLAVELAVPFESSQESAKVVVNDAQHTIEPSLSGIAKGVEVVLQRLKVLEQEIKDLKQEIQGLRYYEHQLLIELHRKVDYLVSFDVQLEERKVPRLFYFVQVQNNSRRLITTLLPGMTSLRLHMLCEFKREMHVVEDQMGCELMQVDNQAIKCLLPYMSGLMKLLTFALKIGAHVVAGMGHMIPDLAKEFAHLIDSSLLYGGAAAMTAGAIGYAATGQGGRRFRSRSGERNMAQEVVGAQQWLLDFLNSQRIATGKDIAERFGLWRVRYSDTGNIAWICRKHMILRRNEVMEVPV >Ma11_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5572235:5581587:1 gene:Ma11_g06980 transcript:Ma11_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKSGELYRGSMIECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIRGKGSSLGVGRGRAVAMRARAQAAGRAGAPAGRGPVPPIRR >Ma11_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6797943:6807485:1 gene:Ma11_g08570 transcript:Ma11_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSLPPGFRFHPTDVELVSFYLKRKVMGKPFRFEAIAEVELYKFAPWDLREKSQLRSKDLEWYFFCPRDKKYPSGSRSNRATDIGYWKATGKDRPIIHNTSTVGMKKTLIFHEGKPLKGSRTDWVMYEYRLENREMADAGLVQDTFVLCKIFQKSGAGPKIGEQYGAPFNEEDWEDEASTENPFPLPCVSCPSQLPLDNQTIQLEPVSQQPAASSHIEVSSDPDLLVADGIFQEELAEVCNSSPRVENANATAFMMPDSAILDMNVNETSALDAEGMYNELENLSDQAIISGSTNHPENISSETALYPMLSELDSEQYVELNDILFTGISNLPDTIMLNDPFAWNPSAHFPNFQDLTYIPDPISYLNNEAITAMYDPLQAGPCIMGEQPSLNMQIEDCWEIISHLNAPVSEHEHYHPLQEFLHPASVAHSGDLSQP >Ma01_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:53557:54283:-1 gene:Ma01_g00060 transcript:Ma01_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFKLLCFLFALTSSTSLLPSSCAQTCSGYTFSDNKVFSSCTDLPHLGASLYYDRDASANTVSVAFKAPQTSTGWVAWGLNPNATKMVGSQAIVAFLHSNGSMMAYPTQLDSYAPSMAPAALSFPVNDVSAEYVNKEMIIFATLGLVGGGTKFNQVWQEGSTVLNDVPKAHSTRGDNIKSLGTIDFQ >Ma08_p05240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3600172:3609811:1 gene:Ma08_g05240 transcript:Ma08_t05240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCVQEVVHSLEPVLVKNTQYIHILERLLEPERAIIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPLMTLSIAKFLGFEQALKNALSPYKLGGAGGGSDFDPKGKSEAEIMRFCQSFMDELHKYLGPDQDLPAEDLGVGPREMGYLFGQYKRLTGHFQGSFTGPKIFWSGYSLRTEATGYGLVFFARLILAEMNKELKGLRCIVSGAGKLAMHVLEKLLSCGAIPITISDSKGYLLDEDGFDYVKISLLRDIKVQQRSLRDYVKSNPRAKYFEDAKPWSEQCDIAFPCASQNEIDQPDAIALVNSGCRLLIEGSNMPCTSQAIDILRKSKVLVAPAKAASAGGVAVGELELSNECNLMQWSAEDFEAKLQDMMKQTYERSLKTASEYGYLKDNPEALVHGGNICAFLNLAHAMIDQGCV >Ma08_p05240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3600183:3609811:1 gene:Ma08_g05240 transcript:Ma08_t05240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALKNALSPYKLGGAGGGSDFDPKGKSEAEIMRFCQSFMDELHKYLGPDQDLPAEDLGVGPREMGYLFGQYKRLTGHFQGSFTGPKIFWSGYSLRTEATGYGLVFFARLILAEMNKELKGLRCIVSGAGKLAMHVLEKLLSCGAIPITISDSKGYLLDEDGFDYVKISLLRDIKVQQRSLRDYVKSNPRAKYFEDAKPWSEQCDIAFPCASQNEIDQPDAIALVNSGCRLLIEGSNMPCTSQAIDILRKSKVLVAPAKAASAGGVAVGELELSNECNLMQWSAEDFEAKLQDMMKQTYERSLKTASEYGYLKDNPEALVHGGNICAFLNLAHAMIDQGCV >Ma08_p05240.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3598987:3609811:1 gene:Ma08_g05240 transcript:Ma08_t05240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIDEITLLHQAQARAPSHHMVMRGIGEEIDLEIGPGDDDPSFSSTTLVGVTTHEPVAPEEQEDHKQLLLSSQAPGEGQPQLVKAPQGKRKKKVVKKWREEWADTYKWAYVDVHEGTPRVFCSICREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKGVPVIERPVYVKALMSKSASSILESVLRRDPHEVEFIQCVQEVVHSLEPVLVKNTQYIHILERLLEPERAIIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPLMTLSIAKFLGFEQALKNALSPYKLGGAGGGSDFDPKGKSEAEIMRFCQSFMDELHKYLGPDQDLPAEDLGVGPREMGYLFGQYKRLTGHFQGSFTGPKIFWSGYSLRTEATGYGLVFFARLILAEMNKELKGLRCIVSGAGKLAMHVLEKLLSCGAIPITISDSKGYLLDEDGFDYVKISLLRDIKVQQRSLRDYVKSNPRAKYFEDAKPWSEQCDIAFPCASQNEIDQPDAIALVNSGCRLLIEGSNMPCTSQAIDILRKSKVLVAPAKAASAGGVAVGELELSNECNLMQWSAEDFEAKLQDMMKQTYERSLKTASEYGYLKDNPEALVHGGNICAFLNLAHAMIDQGCV >Ma08_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3598981:3609811:1 gene:Ma08_g05240 transcript:Ma08_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIDEITLLHQAQARAPSHHMVMRGIGEEIDLEIGPGDDDPSFSSTTLVGVTTHEPVAPEEQEDHKQLLLSSQAPGEGQPQLVKAPQGKRKKKVVKKWREEWADTYKWAYVDVHEGTPRVFCSICREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKGVPVIERPVYVKALMSKSASSILESVLRRDPHEVEFIQCVQEVVHSLEPVLVKNTQYIHILERLLEPERAIIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPLMTLSIAKFLGFEQALKNALSPYKLGGAGGGSDFDPKGKSEAEIMRFCQSFMDELHKYLGPDQDLPAEDLGVGPREMGYLFGQYKRLTGHFQGSFTGPKIFWSGYSLRTEATGYGLVFFARLILAEMNKELKGLRCIVSGAGKLAMHVLEKLLSCGAIPITISDSKGYLLDEDGFDYVKISLLRDIKVQQRSLRDYVKSNPRAKYFEDAKPWSEQCDIAFPCASQNEIDQPDAIALVNSGCRLLIEGSNMPCTSQAIDILRKSKVLVAPAKAASAGGVAVGELELSNECNLMQWSAEDFEAKLQDMMKQTYERSLKTASEYGYLKDNPEALVHGGNICAFLNLAHAMIDQGCV >Ma08_p05240.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3598987:3609811:1 gene:Ma08_g05240 transcript:Ma08_t05240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASSILESVLRRDPHEVEFIQCVQEVVHSLEPVLVKNTQYIHILERLLEPERAIIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPLMTLSIAKFLGFEQALKNALSPYKLGGAGGGSDFDPKGKSEAEIMRFCQSFMDELHKYLGPDQDLPAEDLGVGPREMGYLFGQYKRLTGHFQGSFTGPKIFWSGYSLRTEATGYGLVFFARLILAEMNKELKGLRCIVSGAGKLAMHVLEKLLSCGAIPITISDSKGYLLDEDGFDYVKISLLRDIKVQQRSLRDYVKSNPRAKYFEDAKPWSEQCDIAFPCASQNEIDQPDAIALVNSGCRLLIEGSNMPCTSQAIDILRKSKVLVAPAKAASAGGVAVGELELSNECNLMQWSAEDFEAKLQDMMKQTYERSLKTASEYGYLKDNPEALVHGGNICAFLNLAHAMIDQGCV >Ma08_p05240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3598987:3609811:1 gene:Ma08_g05240 transcript:Ma08_t05240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIDEITLLHQAQARAPSHHMVMRGIGEEIDLEIGPGDDDPSFSSTTLVGVTTHEPVAPEEQEDHKQLLLSSQAPGEGQPQLVKAPQGKRKKKVVKKWREEWADTYKWAYVDVHEGTPRVFCSICREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKGVPVIERPVYVKALMSKSASSILESVLRRDPHEVEFIQCVQEVVHSLEPVLVKNTQYIHILERLLEPERAIIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPLMTLSIAKFLGFEQALKNALSPYKLGGAGGGSDFDPKGKSEAEIMRFCQSFMDELHKYLGPDQDLPAEDLGVGPREMGYLFGQYKRLTGHFQGSFTGPKIFWSGYSLRTEATGYGLVFFARLILAEMNKELKGLRCIVSGAGKLAMHVLEKLLSCGAIPITISDSKGYLLDEDGFDYVKISLLRDIKVQQRSLRDYVKSNPRAKYFEDAKPWSEQCDIAFPCASQNEIDQPDAIALVNSGCRLLIEGSNMPCTSQAIDILRKSKVLVAPAKAASAGGVAVGELELSNECNLMQWSAEDFEAKLQDMMKQTYERSLKTASEYGYLKDNPEALVHGGNICAFLNLAHAMIDQGCV >Ma10_p27030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34441251:34452392:-1 gene:Ma10_g27030 transcript:Ma10_t27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNNPIVFLDVAIDGKAAGRMVFELFADIVPKTAENFRALCTGEMGIGPTTKKPLHYKGSVFHRIIKGFMAQGGDFSRRDGTGGESIYGGKFSDENFVLNHDGRGLLSMANAGRDANGSQFFITFNSAPHLNGKHVIFGKLILGHETLKNIENVDVDGDRPVALVKIVNCGELCENVAVVHENDKKKDSKSKQVKDASDDSRRRGRHKKSSKGRRKWKRKRYYSSESDSSSDAETETSDTDSDSDSDTSSMSDVSSSSDDRRHKRRKYSKRDKYKRGKRKRDRGQEKRRRKRERKLRHKLRRIMESESETESTDGSSSHDDGYHKRRVRKSKVSSHVSDEKQSLLPVEREIITDLPDEGTIPEKPVGEEAKSQRENGELQSNGITEPTSGRDMDQLPGSEGHKSRSQSMSPNQSMSKSMSISPRRSPNGSQSPRRSASRSPGTRDLDGSPDHVSRKSSMSRSPPRRSTSRSPFRRTISRSPIRIVINKNPVGLTGKSKSRSPVKVHSRSASKSPAKSLQQRNLSGSQDKTPIRRSLNRSPVNEKRRSISRSSGRSLQQRSPSRSPVKARRSVSRSPERSRSRSKSHSPVQARSRPSISRNQGSPVHRAASPPSNRRRSLSRSVSPDGSPKRIRRGRGFSQQYSFARRYRTPSPDRSPVRLHRYGGRNDRDRYSSYRSYHNRSPPRRYRSPPRGRTPPRYRSRRSPTRSISRSPVGYRGRARGGYSISPARSPSQASEKPRSRGARDSIRPEKRVSVSKSRSPSGSRSRSRSRSSSRSGSSSRSKSRSRSSQDTPSPKRASKEQSRSPSTSSHGKKGLVSYGDGSPDSGGR >Ma11_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9256911:9266460:1 gene:Ma11_g10010 transcript:Ma11_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKNTSEMDFFTEYGDVSRYKVQEVIGKGSYGLVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAELLIGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARKYLSSMRKKQPVPFSQKFPNADPLALKLLERLLAFDPKDRPSAAEALADPYFKGLSKLEREPSCQPISKMEFEFERHRVSKEDIRELIFREILEYHPQLLKEYINGTERPNFLYPSAVDQFRKQFAYLEENRGKSGPVVPLERKHVSLPRSTVVHSTSVPPREQPNLASSRGSQVMDDTSNNPGDSGAPRNVARTSQLPQRIPTAKPGRVVGSVLPYEHGGPKDSYDPRRLIRNHGFPPPPVIPPTFCFNRAMANPTCSERNSVEAERLVMDRKPHRSVPDLVMDMGVHAFCQPRGAKADSMEDGMIVDANMLPPKPTYNGIAAAAATANMGAGVMQFGVARMK >Ma06_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14544054:14546008:-1 gene:Ma06_g20450 transcript:Ma06_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQTPPKSDRQGRPPAIEPSSPRFFLSAAAAASPGSHRRIAIAVDLSDVSANAVKWAVQNYLRPGDAVVLLHVRPPARCRRRSWRRTSTPSRPPRRRTSRSHLSSSRSTLSRDMCHEIERHGLSAVIMGSRGFGASRRSSKSRLGSVSDYCVHHCVCPVVVVRYPDDGAGSDASGAGGLLAPRVNGSALPVEEVELPPAPEEKQEYHDAVDEHKGQFQNPVV >Ma04_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4089289:4090774:-1 gene:Ma04_g05470 transcript:Ma04_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTLVGRLRDGMPLSQTPAHLIEDNEVFLASYRQKAELVLREISAGALTKPKMTILVDHCCFHYLIGNGTCCIALCDAWYPRKLAFHYLQDLHEELEKVDNRIVESFLEPYSFKRFDYVIGNIRNRYLDTRTQANLYKLNSDRRNYVDIPTQEFSNILRNCQTSGTDVGTSATITHAQATIWSSHLLEVIALKWTPITILVMVAAILLWTGVVLNEYNTLTAW >Ma06_p32230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33168147:33169627:1 gene:Ma06_g32230 transcript:Ma06_t32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVAVLSRGKNQVMELPPGFRFQPTDEELITHYLAKKVTDASFHAVAIGEVDLNKYEPWDLPLRAKTGEKEWYFFCVRDRKYPTGLRTNRATKAGYWKATGKDNAIYREKILVGMKKTLVFYTGRAPKGEKSNWVMHEYRLEGKCYVHNLPPKMIAMNEWVVCRIFHKISSIKKPVKADVSLVPPANENDDISKNEAFPTFDSPNVTCFSNTTGINDELLDECFRFPSAAARQGSPTPRFLSMMCLSSSLCSSGMQSPPDVSSVKKQMSGTELLSASWDSGFTSVISSIVSSDESGKRPFAAQEIPSASAGHILLADRCLWNY >Ma09_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31414205:31414611:-1 gene:Ma09_g20970 transcript:Ma09_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKKHIFPRQVVVFACGLLVLGATTYDVHRTIKNNEEPPSREQIQALQDYLHSKRHPPSHP >Ma08_p29910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41352777:41355636:1 gene:Ma08_g29910 transcript:Ma08_t29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIRCRAAVAWEAGKPLVVEEIEVAPPKAMEVRVKILYTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGVVESVGEGVTGLAPGDHVLPIFTGECGECAHCKSQESNMCDLLRINTDRGVMIGDGQSRFSINGKPIYHFLGTSTFSEYTVIHVGCLAKINPSAPLDKVCVVSCGISTGYGATVNVARPPKGSTVAVFGLGAVGLAAAEGARASGASRIIGVDLNPSRFEGAKKFGVNEFVNPADHKKPVQEVLAEMTNGGVDRSIECTGNINAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPVNFLNERTLKGTFFGNYKPRSDIPGVVEKYMNKELELEKFITHSVTFSEINKAFDYMLQGVGLRCIIRMDG >Ma02_p03310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14676714:14683379:1 gene:Ma02_g03310 transcript:Ma02_t03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSCMFRRKRTSCQHHDQLNEGIEGVNIYTYKELKIATDDFSAANKVGEGGFGSVYRGMLKDGTVVAIKVLSSESKQGVGEFLTEIKVISGIIHENLVKLYGCCAEEPHRILVYNYLENNSISQTLLGSNRGNIQFNWRARVKICIGVARGLAFLHEEVHPHVLHRDIKASNILLDKDLTPKISDFGLAKLLPSNVTHVSTRVAGTLGYLAPEYATRGQVTKKSDVYSYGVLLLEIVTGRCNTNTRLPFEDRFLLERTWAIYEHGDLKHIIDSSLTDDLDAEEACRFLKVGLLCTQDAMKLRPSMSTVIMMLTGEKDVDLEKITKPGIISNFMDLKVGSKKKADQTNRSLIISSILENSPLSSENTTSASMTFTAISE >Ma02_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14676714:14683377:1 gene:Ma02_g03310 transcript:Ma02_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDGTVVAIKVLSSESKQGVGEFLTEIKVISGIIHENLVKLYGCCAEEPHRILVYNYLENNSISQTLLGSNRGNIQFNWRARVKICIGVARGLAFLHEEVHPHVLHRDIKASNILLDKDLTPKISDFGLAKLLPSNVTHVSTRVAGTLGYLAPEYATRGQVTKKSDVYSYGVLLLEIVTGRCNTNTRLPFEDRFLLERTWAIYEHGDLKHIIDSSLTDDLDAEEACRFLKVGLLCTQDAMKLRPSMSTVIMMLTGEKDVDLEKITKPGIISNFMDLKVGSKKKADQTNRSLIISSILENSPLSSENTTSASMTFTAISE >Ma02_p03310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14676690:14683377:1 gene:Ma02_g03310 transcript:Ma02_t03310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSCMFRRKRTSCQHHDQLNEDIPGIEGVNIYTYKELKIATDDFSAANKVGEGGFGSVYRGMLKDGTVVAIKVLSSESKQGVGEFLTEIKVISGIIHENLVKLYGCCAEEPHRILVYNYLENNSISQTLLGSNRGNIQFNWRARVKICIGVARGLAFLHEEVHPHVLHRDIKASNILLDKDLTPKISDFGLAKLLPSNVTHVSTRVAGTLGYLAPEYATRGQVTKKSDVYSYGVLLLEIVTGRCNTNTRLPFEDRFLLERTWAIYEHGDLKHIIDSSLTDDLDAEEACRFLKVGLLCTQDAMKLRPSMSTVIMMLTGEKDVDLEKITKPGIISNFMDLKVGSKKKADQTNRSLIISSILENSPLSSENTTSASMTFTAISE >Ma04_p35640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34319754:34322257:-1 gene:Ma04_g35640 transcript:Ma04_t35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYGEEHPNQQILSRIATNDGHGENSSYFDGWKAYEKDPFHLTDNPTGVIQMGLAENQLSLDLIRDWMKKNPQASICTEEGVSEFKAIANFQDYHGLPAFRKAIAQFMEKVRGGRARFDPDRIVMSGGATGAQETIAFCLADPGEAFLIPTPYYPGFDRDFRWRTGVQLLPIHCHSSNKFKITQAALETAYRKARNSHIRVKGILVTNPSNPLGTTMDRETLRTLVSFVNEKRMHLVCDEIFSGTVFDKPSYVSVSEVIEDDPYCDRDLIHIAYSLSKDLGVPGFRVGVIYSYNDAVVSCARKMSSFGLVSSQTQHLLASMLGDEEFTTSFLATSRTRLCGRRRVFTDGLKRVGIHCLDGNAGLFCWMDLRPLLKEATVEAELRLWRVIINDVKLNISPGSSFHCSEPGWFRVCFANMDDTAMKIALRRIESFVYRENDAAVQAKNKRRWDEALRLSLPRRRFEDPTIMTPHLMSPHSPLVQAAT >Ma09_p29380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39840014:39855558:1 gene:Ma09_g29380 transcript:Ma09_t29380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGAEGAGPPAESSCPPPLEWKFSQVFGERIAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVKNYGSRKDMEKQDHSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANHALFLLSTNDKTIKYWKVEEKKVKKISEMNVDALQAVGNGSISSSSMTSPIGYLPNGGCSERPYGHLSYDLSFPPGGFPSLRLPVVVTSQETSLIARCRRIYSHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDNHSKMFEEREAPGSRSFFTEIIASISDIKFARDGRHILSRDYMTLKLWDINMESSPVAIFQVHEYLRPKLCDLYENDSIFDKFDCCLSGDGMHVATGSYSNLFRVFGCIPGCNEATTLEASKNPLRRQVQIPSRPARSLNSLTRGVVRQGAESPGIDMNGNSYDFTTKLLHLAWHPSENSVACAAMNSLYMYYA >Ma09_p29380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39840014:39855558:1 gene:Ma09_g29380 transcript:Ma09_t29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGAEGAGPPAESSCPPPLEWKFSQVFGERIAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVKNYGSRKDMEKQDHSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANHALFLLSTNDKTIKYWKVEEKKVKKISEMNVDALQAVGNGSISSSSMTSPIGYLPNGGCSERPYGHLSYDLSFPPGGFPSLRLPVVTSQETSLIARCRRIYSHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDNHSKMFEEREAPGSRSFFTEIIASISDIKFARDGRHILSRDYMTLKLWDINMESSPVAIFQVHEYLRPKLCDLYENDSIFDKFDCCLSGDGMHVATGSYSNLFRVFGCIPGCNEATTLEASKNPLRRQVQIPSRPARSLNSLTRGVVRQGAESPGIDMNGNSYDFTTKLLHLAWHPSENSVACAAMNSLYMYYA >Ma08_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2579247:2583000:-1 gene:Ma08_g03560 transcript:Ma08_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSISLLCLLVVLCFSCSPAMAQPLYQVCDDTGNYTANSTYKSNIDLLLSSLASNGSLSGFYNGTAGRSPDQISGIILCRGDVTTADCSSCLATAGQVLLQLCPNKRGATVWYDDCLVRYSNNQSFFSSTDNSPMAYLVNEKDIAEVSRFDKLVSELMSGIADQAAASNGSSKKFATGTMSNFTSEFPIVYGLVQCTPDLSRSRCRQCLQKLFDVIPNLFEGKQGARAVGVRCNMRYEVYSFYQSTPTLILTAPPASGGSGGSGQPLMPSNMHLDAGKKKKNSTGTILAIAIPVATAVLLISIVCICYWRRKSAKKPSYETDPEKITSVESLLFDLSTLRIATCNFSDENKLGEGGFGAVYKGLLPDGREIAVKKLLASEQGLGELKNELVLVAKLQHRNLVRFLGVCFEEEKMLVYEYVPNGSLDKLMFDAEKRERLHWGTRYKIIDGIARGLLYLHEESQHKIIHRDLKASNVLLDSDMSPKITDFGLAKLFGGDQTQGTTSRIVGTFGYMAPEYAMRGRFSAKSDVFSFGVLVLEILTGRKNTGSYDSANSEDLLSYAWERWTAGRGFEILDPVLGIQFPSSDVLKCIKIGLLCVQENPVDRPKMSTVNVMLNSDSVSLPAPSRPAFCVGKSSMDPNSNKSILGTKNQTTQSVTMSPNEVSISELEPR >Ma00_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:114621:115407:-1 gene:Ma00_g00100 transcript:Ma00_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMAGDEDCTQTQLPFNENDSQDVVLFEVLREASAGPPPPPPLPSSSSTSDDSGSGPARRRAGKYRGVRRRPWGKFAAEIRDSSRHGARLWLGTFDTAEAAAIAYDRAAFRMRGSKALLNFPLAIALASGAPAVGRQRIAQGGSDAVPSTVEPQNPRPDHSAGLLEASKAGESTKSVLNRQL >Ma03_p27470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30853212:30853673:1 gene:Ma03_g27470 transcript:Ma03_t27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPLSSAGSTSKSRRKQWLAFEPCANGGFRGDDWLSAHLPGGGGDETPPTTRNVWVWSENTEELSSCFKTSCEDVGPYMEVTRSTAMCPFETARQPTICHWLQDFVTATKAQAKKKKKKKKKKMMMMMMMMMLMIIIIIIISSSLSVSSC >Ma09_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11211190:11221925:-1 gene:Ma09_g15850 transcript:Ma09_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGCRQVSRVCNCGGLFKLSTKILFLGFLFIVIYLQVVTEAKPASHIPEAAVISPAASPSDGWDKAHSTITLSKDEIPLPASLPLVNRRHRKYSLQQAASSPLPIRASPIHRSLTTVGVPASRLSSFVSPSIEHDQHPSTEFAVTPSLVGYSDPAYSNLSSVPFGLTQPPLSPQTECCESNMVQRRGTQDCHCVYPVKVELFLQNVSLISNWSNEFLQELAYQLELRIDQFEIVNFYVVGAFGLNITMNIAPHTGLSFSADKVIAMNSSLALHRVRVNPDLVGDYRLLNLTWFRPLAPPPAPFRAISPMASPPAVPYLPTSAPNYDSGGQKHLSIFIIIGICISVIIIVTLVMLVICSRTSSKGKEVPAEEAVKSRTADAVSVEGSLPHLTSTRFLSYEELKEATNNFEPASIVGEGGFGRVFKGVLSDGTAVAIKKLSSGGHQGDKEFLVEVEMLSRLHHRNLVKLVGYCGSRDSSQNLLCYELVPNGSLESWLHGPLGGNCPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDNNRLEELADPRLAGKYPKDDFVRVCTIAAACVAHEANQRPTMGEVVQSLKMVQHVAECQDPLPTPSTHPKNKQSSTTYESDATSSMFSSGPFSGLSIFDNDNISKAAVFSEDLHEGR >Ma04_p27320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28515158:28520868:-1 gene:Ma04_g27320 transcript:Ma04_t27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGSDRSSFPLIAVAVDKDKSSQNALKWALDNVAVKGQTIFLVHVITKLSAGHQEDVTSAASQLLVPFRCFCKRKNVRCKDIILEDTDLAKAIVDFVSHAGVEKLIVGASKGGFVRSFRYTDVSTNICKSVPDFCTVYIISKGKISSMRNAVRSAPVVTPLRSQILKQPSSVPEPVVYQNSQGTKGNAAFETRNLYKEKESIRSPLNSTTRVQSTKSKEETMSGSDEPFFSSGKPSIDHLFPQRLSCISDGLDCSFESVQSPHRSSLDAYSSRSGFSPRSNGSFSSQMSEEVKAEMNRLKLELKQTMDMYSTACREALTAKQKAMELHRWKMEEQQRLEEAQLAEEAALILADKEKAKCKAALEAAEAAKRIAELEAQKRINAEMQALQEPGKKKSSSILSKTDLRYRKYTIEDIEAATENFAENRKIGEGGYGPVYRCYLDHTPVAIKALRPDASQGRSQFQQEVEILSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLYRRGNTPPIPWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDQYYVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGMLGIKSDIYSFGVLLLQLLTGKPPMGLTHHVERSIEKGTFADMLDPAVQDWPVEEALGLLKLALKCAELRRKDRPDLGTIILPELNRLRTLGEENMQHFVLRNCFRTSNIYNQIPMQELKSGPA >Ma04_p27320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28516363:28520868:-1 gene:Ma04_g27320 transcript:Ma04_t27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGSDRSSFPLIAVAVDKDKSSQNALKWALDNVAVKGQTIFLVHVITKLSAGHQEDVTSAASQLLVPFRCFCKRKNVRCKDIILEDTDLAKAIVDFVSHAGVEKLIVGASKGGFVRSFRYTDVSTNICKSVPDFCTVYIISKGKISSMRNAVRSAPVVTPLRSQILKQPSSVPEPVVYQNSQGTKGNAAFETRNLYKEKESIRSPLNSTTRVQSTKSKEETMSGSDEPFFSSGKPSIDHLFPQRLSCISDGLDCSFESVQSPHRSSLDAYSSRSGFSPRSNGSFSSQMSEEVKAEMNRLKLELKQTMDMYSTACREALTAKQKAMELHRWKMEEQQRLEEAQLAEEAALILADKEKAKCKAALEAAEAAKRIAELEAQKRINAEMQALQEPGKKKSSSILSKTDLRYRKYTIEDIEAATENFAENRKIGEGGYGPVYRCYLDHTPVAIKALRPDASQGRSQFQQEVEILSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLYRRGNTPPIPWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDQYYVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGMLGIKSDIYSFGVLLLQLLTGKPPMGLTHHVERSIEKGTFADMLDPAVQDWPVEEALGLLKLALKCAELRRKDRPDLGTIILPELNRLRTLGEENMQHFVLRNCFRTSNIYNQIPMQVRIPFIVSFSHSVQVS >Ma10_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28531896:28533507:-1 gene:Ma10_g16990 transcript:Ma10_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTGFVRHGMLRLPPGFRFHPTDEELVVQYLKRKVYSFPLPASIIPEIDLRNHDPWDLPGGREEVRYLFSFREATYLNRNRSNPRARSGCWKVAGKERQVVASGCNQVVGMKKVLVFYRGKPPTRTDWIMHEYRLARPDANPRNDATHSSMVPNGDWVLCRIFRKKRAAKMEAEEDDEQAGEQMMRMGDDGSSCVTELPDVSSDGEEASSSSMSSPP >Ma10_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30957718:30958891:-1 gene:Ma10_g20930 transcript:Ma10_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPWDQSRLVEQEVNPEFPLASRNEHVSHGCSSFVCFGRASSGVNGTSPPKVGPVRHYETPLDSSTTNSCKESATEDVVVEGDRKICLKSSLKKQFTDHSASDAEAVSTNGSSRELPGSTSGFTKRKLQWSDACGKELIEIKEFELSDDDVSDDEFGHDGDRCQCLVQ >Ma09_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35179505:35184424:-1 gene:Ma09_g23290 transcript:Ma09_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTAAGKALLHLSATTPDHHPLTSFSSPAVSFRSSRSRSPFLGLGLRLRLATSSEIPALHARSAARGLRIEAAARPTILVAEKLGEAGLALLREFANVDCSYNLSPEDLCSKISLCDALIVRSGTKVTREVFDASKGRLKVVGRAGVGIDNVDLHAATESGCLVVNAPTANTVAAAEHGIALLASMARNIAQADASMKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEAISTADFISLHMPMTTTTAKLFNDEAFAKVKKGVRIINVARGGVVDEDALVRALDNGTVAQAALDVFTVEPPPKDSKLVMHENVTVTPHLGASTVEAQEGVAVEIAEAVIGALKGELAATAVNAPMVPAEVLSELAPYVILAEKLGRLAVQLVAGGSGIKGVKVVYTSARDPDDLDTRILRAMITKGIIEPISNVFVNIVNADYTAKQRGLRISEERIYLDSSPEVPLDSIQVHLTHVESKFASALSDTGDITVEGRVKDGYPHLTLVGSFSVDVSLERYVILCRNVDKPGNIGRVGRILGEQNVNISFMSVGRIAPRKQAIMAIGVDEEPDKETLKKIGESPAIEEFVFLKL >Ma02_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29079381:29080388:-1 gene:Ma02_g24480 transcript:Ma02_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRSPIVRKALDLIALSFSTRVAKVRRPIARKLLLLKRTKRFNLLRHYNYAFVAEYEFSPSNSPLFRRPCAPPKKRSRFLSLLCGGDGAESVAEGGVFQDELEMLSPGGAFRDELLYDDDGIGRELCREFSEYGDEEDGTVDQRAERFIEKFYEEMRNQRRESDAAREHAI >Ma05_p29910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40463400:40473379:-1 gene:Ma05_g29910 transcript:Ma05_t29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRRAAASSTRVQNCSILLARACCADSVLSRNYLAHEEGHHDKSFSISGSSIISKLYSSECLYHTSHTKSFLWCRNLSSHARARSGQKDDDLEDGFSDLDVPPEDDAMVGPQEKEDDGELMSEEEMNNAAADELSFLDSETDLSVEKKTQRIESQLSKIIIENPRYSLNGTLDKWVEEGNPLGRGEISLVLLNLRKHRLYGKALQFVEWLEATNHLEFLERDYATHLDLVAKVHGIQKAEKYIEEIPKSLRGEVLYRTLLYHCVSATNVKKAEDVFNTIKALGVPISAFACNQLLLLYKWVDQKKIADVLVMMEKENVKPNLVTYRLLIDTKGRAYDISGMEQILDTMKAEGVEPDLLTNAMVARHYVFAGLNEKAEATMREMEGDDIKENRAACRDLLRLYAALGKADDVERIWNICMSNPRIGECLAAIEAWGKLGQVENAEEVFENMLKIQKKLSTKYYNTLLKVYANNKLVSKGKELAKRMSDNGCYIGPMTWDGLIKLYAKAGELEKADSILLKASKQNESRPLFGSYMTVLGRYSARGDIHNAEKIFQRLRQIGYAVKLKPYQLLLRTYINAKSPAYGFRERMKADNISPNKAMAIQLTTADAFRKTQISELLD >Ma05_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8035941:8040376:-1 gene:Ma05_g11080 transcript:Ma05_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSDQLLQLETACGSILYELQIIWDEVGESDAERDKMLLELEQECLDVYRRKVDQANRCRAQLRQAIADSEAELAAICSALGEPPVHIRQSNHRTGSLKEELKSIGPQLEEMRKRKVERWNQFLEVIEQIRKISFEITSSEGNQSKLAVDESDLSARRLEDLYRQLESLQKEKSDRLKQVMEHLSTLNALCSVLGVDFKETVREIHPSLDESEVPKSVSNATIERLSIAIGRLREIKVERMQKLQDLASTMLELWNLMDTPIEEQRLFQNVTSNIAASESEITEANTLSPDFLNFVEAEVLRLEQLKVSKMKELVLKKKTELEELRRRAHLVAEAENDAELAISAIESGAIDASLILEQIEDQISTVKEEAFSRKDILERVEKWLPACEEEAWLEEYNRDENRYNAGRGAHLALKRAEKARALVNKIPAMIETLAAKITQWEKERGVEFTYDGVRLLSMLEEYTIVRQEKEQERKRQRDQKKLQGQLIAEQEALYGSKPSPLKPQSAKKLHRTSNGGPSRRLSLGGAAMQPPPKPDYLHSAKSGRSTKKIDDLGTLSPGARGLDIAGLPMKKLSFTAHQEMGAPRKPFAPLAPVNHIPSTPSRPITFAFEDNMTSKGLTSTPRTPAAAPLATPSKPISSAIEENAKPIITMTSNAMPAPTPKTQAPAPMPTPSKPITGAVEENKTLTAMPAPTPKTPAATPMPMQVATTPAPTCLRVAPVEELEYSFEERRLAFYLTR >Ma01_p17860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13144391:13147848:-1 gene:Ma01_g17860 transcript:Ma01_t17860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSILALRCKSITGRRISCLPCWNLAFYHQADELGSEQLLPSEWYRAAAAKIIRLTHVLKDVKQIDGRIISINDSAVITDDHIISHMETFKSLAKAFIEPTTLQLSQRKTNALAAPLVSPKLFCRASERSSMTLISLTQVCNFLNVSVQKRKHVRLALCPQVTQHSIWWGVLEEVLQDLKHEMDCLACHSKAFEMGEQILFSCIKFLTDIKGSNASSPSWMRPAPSNKVEKSLPSRKWEEVLDMFVDLSKVLGQEQNLTYHLSKLDIMKEGLYQIKDILVERDISHKEVRRQDYLVQKKFTKCLGHSSKCLFTLLLYYLHGTIRDIEVEVSGGIYECGGTTYLYIGKILHSSDEGSIRSGIMQLNRALSVYQLVWQTAAMDGVLELQGHLWCPEAEERSLTYRGNVYHIHRLRYDLWK >Ma01_p17860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13144391:13147848:-1 gene:Ma01_g17860 transcript:Ma01_t17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSILALRCKSITGRRISCLPCWNLAFYHQADELGSEQLLPSEWYRAAAAKIIRLTHVLKDVKQIDGRIISINDSAVITDDHIISHMETFKSLAKAFIEPTTLQLSQRKTNALAAPLVSPKLFCRASERSSMTLISLTQVCNFLNVSVQKRKHVRLALCPQVTQHSIWWGVLEEVLQDLKHEMDCLACHSKAFEMGEQILFSCIKFLTDIKGSNASSPSWMRPAPSNKVEKSLPSRKWEEVLDMFVDLSKVLGQEQNLTYHLSKLDIMKEGLYQIKDILVERDISHKEVRRQDYLVQKKFTKCLGHSSKCLFTLLLYYLHGTIRDIEVEVSGGIYECGGTTYLYIGKILHSSDEGSIRSGIMQLNRALSVYQLVWQTAAMDGVLELQGHLWCPEAEERSLTYRGNVYHIHRLRYDLWK >Ma01_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13144391:13147848:-1 gene:Ma01_g17860 transcript:Ma01_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSILALRCKSITGRRISCLPCWNLAFYHQADELGSEQLLPSEWYRAAAAKIIRLTHVLKDVKQIDGRIISINDSAVITDDHIISHMETFKSLAKAFIEPTTLQLSQRKTNALAAPLVSPKLFCRASERSSMTLISLTQVCNFLNVSVQKRKHVRLALCPQVTQHSIWWGVLEEVLQDLKHEMDCLACHSKAFEMGEQILFSCIKFLTDIKGSNASSPSWMRPAPSNKVEKSLPSRKWEEVLDMFVDLSKVLGQEQNLTYHLSKLDIMKEGLYQIKDILVERDISHKEVRRQDYLVQKKFTKCLGHSSKCLFTLLLYYLHGTIRDIEVEVSGGIYECGGTTYLYIGKILHSSDEGSIRSGIMQLNRALSVYQLVWQTAAMDGVLELQGHLWCPEAEERSLTYRGNVYHIHRLRYDLWK >Ma03_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4438151:4439467:-1 gene:Ma03_g06410 transcript:Ma03_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLVQYVQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFSPEEEQIILNLHSVLGNKWSAIASHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFDALQQLIALAKLGELIGGRPLDDHAARLQAEAAQAAQLQYLQQLAAAAAMAGSSGTDHLSTITGADLETNSLLDSQMSSLLSIPSPTPLQDFNGQTSDNPLLPELEIPCSMFDQPINSETNQSSNLTGFNLGDNSPGSPFMSPNSPLPPLTDVSIGNLVGGACSASSCGNSGINPPSLWPDMLFDDPFMGDFA >Ma08_p32800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43391813:43391992:1 gene:Ma08_g32800 transcript:Ma08_t32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTCDLIGCRICSSNAGCVCSRGIFGDLTLYCSFWRIHLLQEIGSSCNAAAGRNIEVTM >Ma11_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26303887:26304592:1 gene:Ma11_g22570 transcript:Ma11_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRSLEEYWAFYVSQHSKPSTRRWHFGGTLAALLCLLLAALRGSWRFLLLAPLLGYGPGWYSHFFVEGNPPAAFDRPLWSFLCDLKMFALMLAGRMDREIKRLGKRPLL >Ma01_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12408973:12410679:1 gene:Ma01_g16960 transcript:Ma01_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSCSVTQKLRKGFWSPEEDEKLCNHITRSGVGCWSNVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQEEEGLIIALHQVLGNRWSQIASRLPGRTDNEIKNLWHSRIKKKLRQMGIDPITHRPLSEAAAVQEQEICSSNPVLATSFEHFPWIQTQECLDRSLDQNDLLANAGLAECSSVLDVPETYGHGESSSNSSNCDCHVLREEVLSWTCEGGWEPATHMQINGVETCEGESSAWQEKKQHHVASTDDGGSLPMRSLDRDFTNDDCGVTHEALKSILNADLFRSLE >Ma11_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27118822:27124106:1 gene:Ma11_g23950 transcript:Ma11_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAASLVLFAPSRSSSSSPRTLRRPSLLPLRRATVAPSRPRIPRLVAVRANVAIEKETPEEERPETFLRAADGHHGAASASVRARFERMIREVQDEVCAAIEAADGGGKFKEDVWSRPGGGGGISRVLQDGGVWEKAGVNVSVVYGVMPPEAYRAAKGEAVSGNGNSSVKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDATKDAPGAPRQWWFGGGTDFTPAYIFEEDVKHFHRVQKEACDKFDPSFYPRFKKWCDDYFFIKHRGERRGLGGIFFDDLNDYDQEMLLAFSTECARSVVGAYVPIIERRKDTPFTEQHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPKEWI >Ma03_p30240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32958373:32962510:1 gene:Ma03_g30240 transcript:Ma03_t30240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDQIVERLNDEHISLVGSRGLPTSPNGSPLGNCIFDRPKFPTAYSSFVNSRQRPRGPINWLYHEQESSNTSWNSLDDSNTYSIDGHSHVQQGRGLSTQSIYGHGWLFILSVPIFAFCYFGLRKLFKNDKKYNDLKEKQSVVPKKRKSRKSGKLKNATISVSHDRHTLSMKENAETNGHNQIQVNGSYSFIPDGDSDGRWVGRLFVTNIEIGHGSNGTVVFEGFYGGRPVAVKRLLRAHHDVAFKEIQNLTASDRHPNIVRWYGVEQDLDFLYISLERCICSLSDLICICSDSSSHSVSVENQTLNSVVEDKVQLSLVKGIRKDVNLWRSNGFPSSQLLKIMRKLLDLFLKDLICTLQVDSQSS >Ma03_p30240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32958373:32962510:1 gene:Ma03_g30240 transcript:Ma03_t30240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSERSVAFFALLLLGLLVSGVLTPVVLDPSAESESWGTAAAAAASASPLPSPPTPWLSIPAPPTSEIFQEEKWTDRPLSGDLVPVEESPPFVPDPKSALLLSSAESLSDLICICSDSSSHSVSVENQTLNSVVEDKVQLSLVKGIRKDVNLWRSNGFPSSQLLKIMRKLLDLFLKDLICTLQVDSQSS >Ma03_p30240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32958373:32962510:1 gene:Ma03_g30240 transcript:Ma03_t30240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDQIVERLNDEHISLVGSRGLPTSPNGSPLGNCIFDRPKFPTAYSSFVNSRQRPRGPINWLYHEQESSNTSWNSLDDSNTYSIDGHSHVQQGRGLSTQSIYGHGWLFILSVPIFAFCYFGLRKLFKNDKKYNDLKEKQSVVPKKRKSRKSGKLKNATISVSHDRHTLSMKENAETNGHNQIQVNGSYSFIPDGDSDGRWVGRLFVTNIEIGHGSNGTVVFEGFYGGRPVAVKRLLRAHHDVAFKEIQNLTASDRHPNIVRWYGVEQDLDFLYISLERCICSLSDLICICSDSSSHSVSVENQTLNSVVEDKVQLSLVKGIRKDVNLWRSNGFPSSQLLKIMRKLLDLFLKDLICTLQVDSQSS >Ma03_p30240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32958373:32962510:1 gene:Ma03_g30240 transcript:Ma03_t30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDQIVERLNDEHISLVGSRGLPTSPNGSPLGNCIFDRPKFPTAYSSFVNSRQRPRGPINWLYHEQESSNTSWNSLDDSNTYSIDGHSHVQQGRGLSTQSIYGHGWLFILSVPIFAFCYFGLRKLFKNDKKYNDLKEKQSVVPKKRKSRKSGKLKNATISVSHDRHTLSMKENAETNGHNQIQVNGSYSFIPDGDSDGRWVGRLFVTNIEIGHGSNGTVVFEGFYGGRPVAVKRLLRAHHDVAFKEIQNLTASDRHPNIVRWYGVEQDLDFLYISLERCICSLSDLICICSDSSSHSVSVENQTLNSVVEDKVQLSLVKGIRKDVNLWRSNGFPSSQLLKIMRICSLC >Ma06_p30120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31450860:31465052:1 gene:Ma06_g30120 transcript:Ma06_t30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MESDTDSDASHISATPPRNTNPGPDHPKPKFRVSSPSKERSKSKSKPVPRNPPKSKPRSNPKPSDPPPPVFPFAELCNPHGLSVVNLRRPAEPNRAASFSRLVLSRRPSFDPFEFENGDQSKLCDEVVGSSTVGGTVLKEPAKSKRVRPNLVGFDEPVQQPKRLDCGREGKTRCCDDVVGGSIGGMVSLKEQGKGKRIHPNWVGINVPVELPKPLKCSREGNFVRLNINGHGRRFTFKNRRSSISSRGHRWRRKLIAGKREAQVADDFVSDALVEQRESSSNSNQLIEEAMMVAREDPSDENLQRLLKLTHGYGSFREGQLEAIKRVLAGESTMLMLPTGAGKSLCYELPALILPGVTLVVSPLMALMVDQLRKLPSSIPGGLLSSTQTNDEASETLRRLHEGNVKVLFVSPERFLSADFLSIFGNELSISLLVIDEAHCISEWSHNFRPSYLRLRASILQRKLGVQCILAMTATATTQTFHDIMCALEIPHANVIKMCRVRENLQLFVTMSENRLKDLLILMKTSPMVNMHSIIVYCKFQTETDMVSKFLCDNNIPAKAYHSGIPAKNRVRTQELFCSNKIRVVVATVAFGMGLDKSDVQAVIHYSMPASLEEYIQETGRAGRDGKLSYCHLFLDITTYHKLHSLSYSDGVDEYSVSKFLSQVFSSDVHLTGQTCSLVKESMSRKFDMKEEVLLTILTQLEIGDEQYLSLLPLLNVTCSLYFHKTTPALLSDRDNLVASILKKSEIKDGHYTFDMPTVANGVGIKTANLLNKLQNLKSSGEVTYDLKDPAFCFTIVKKPDDFCSLSANLTKRLAKVESCKVQKLDTMFSVAWSAVKVCKGTDGCSNSLHSTCIQRRILDYFSRRHDVLDDTNISFKTARSSPFLRADIKVFLQSNSYTNFTPRAVARIMHGITSPAFPSSTWSKSHFWGRYVEVDFPVVMEAAKVELMNFAGKCGH >Ma02_p22640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27912358:27920771:-1 gene:Ma02_g22640 transcript:Ma02_t22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGSSNPIGGSQSVNPSLLRSNSGLLGGSQPGSIPSQTPFSSLVSPRTQFNGNSLLGNISNVSALNNSFGNGGTVSGLSMPMNLQQRGGLGGAVDTVSSEPNPLSSFTSSSGQNQGQQQQCFQNPSGSQLGPDQAQSQIDAVQNFQQQFSVPQSQQQQQQQQQLLLLRGGFSNVGHMGPVKLEPQIGPSNQIGPSQQLQMLRGTNAVKMEPQQLQSFRSMGPVKMETQHSDPSLFLQQQQQQQQQQQILQLSRQNSQVAAAQMNILQQQRMLQLQQQQQQQQQQQQQQQQIGKAFPQQRSQLQQQLLQHNVPVRPPMRSTTYEPGMCARRLTQYMCHQQHRPQDNNIEFWRKFIAEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNHKPGRGFETTVEVLPRLFQIKYASGTLEELLYVDMPREYQNASGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFNPDLKISSWEFCARRHEELIPRRAITPQVSQLGVVVQRYQAAAQNASSGLSTQDLQNTCNSFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSKQTEAGPIDCLINFPRRTSTSSGLQAEQAQQPDQQQPITQNSNHNDQGSIHAPNVPLSAGSNNVVGVDNSLNAASSTSASTIIGILHQNSTNTRQENQMNIANSPFGGNNVQIPSSSSSNSLAPTQSNPPLKPASGDNPTATSHNATYLSSTNSSASLSTMQQPVAQLHETDPSDSQSSVQKILQELMSSQLNGVSSLGNETKMISGVTPALSGGNCLVGNGISNDSAISGTGFTGLGGIGLSGAASGMRAAMTNNAMAMNGRIGMNHFSQDPTAINRQQQDIGNRLLDRLGAVTNFNNLQFDWKSSP >Ma02_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27912358:27920771:-1 gene:Ma02_g22640 transcript:Ma02_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGSSNPIGGSQSVNPSLLRSNSGLLGGSQPGSIPSQTPFSSLVSPRTQFNGNSLLGNISNVSALNNSFGNGGTVSGLSMPMNLQQRGGLGGAVDTVSSEPNPLSSFTSSSGQNQGQQQQCFQNPSGSQLGPDQAQSQIDAVQNFQQQFSVPQSQQQQQQQQQLLLLRGGFSNVGHMGPVKLEPQIGPSNQIGPSQQLQMLRGTNAVKMEPQQLQSFRSMGPVKMETQHSDPSLFLQQQQQQQQQQQILQLSRQNSQVAAAQMNILQQQRMLQLQQQQQQQQQQQQQQQQIGKAFPQQRSQLQQQLLQHNVPVRPPMRSTTYEPGMCARRLTQYMCHQQHRPQDNNIEFWRKFIAEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNHKPGRGFETTVEVLPRLFQIKYASGTLEELLYVDMPREYQNASGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFNPDLKISSWEFCARRHEELIPRRAITPQVSQLGVVVQRYQAAAQNASSGLSTQDLQNTCNSFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSKQTEAGPIDCLINFPRRTSTSSGLQAEQAQQPDQQQPITQNSNHNDQGSIHAPNVPLSAGSNNVVGVDNSLNAASSTSASTIIGILHQNSTNTRQENQMNIANSPFGGNNVQIPSSSSSNSLAPTQSNPPLKPASGDNPTATSHNATYLSSTNSSASLSTMQQPVAQLHETDPSDSQSSVQKILQELMSSQLNGVSSLGNETKMISGVTPALSGGNCLVGNGISNDSAISGTGFTGLGGIGLSGAASGMRAAMTNNAMAMNGRIGMNHFSQDPTAINRQQQDIGNRLLDRLGAVTNFNNLQFDWKSSP >Ma09_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4164370:4166328:1 gene:Ma09_g06480 transcript:Ma09_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAISGTNPPPAKKKRSLPGTPDPEAEVIALSPKTLLATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLRQRTSKEPRKRVYVCPEKSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDCKAHSKTCGTREYRCDCGTVFSRRDSFITHRAFCDALAEESARVAASNMSRLTSICNGNFSFTRDLMRSNIAHDFSGAISNTTMTESEMVGHARRELSLWMGGGDRTENLSKRNDLLNPHQTKPLSTDDLYGNPFASQYPDQLSSIYSDELTSVALPTSSMKETESPHSLLLKVPSRYSTQHQNQHQQMAMAPDVSATALLQKAALIGVNASCATPLSSFETKSRESNFRYLSNSSLLDMNHPSLATLSGNTMDNSTLPNPLTTVYSTRHLMIQKGDERGGETRDFLGVDAPTICASSWNGWV >Ma07_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2072893:2073953:-1 gene:Ma07_g02590 transcript:Ma07_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKDLMRVELKVSVNCCEGCRRKVLKALSIKGVLRAEIHPTLPKVTVVGNVDVRILIKKLSKVGKSAEVLPDKTQKPQGEEKRSEESGKKSEKSNTEEDKGGRGSEKPKPEEEKSKSSTNGSDSNKAQGSEKEAGDDAKTTAAPEAAKSLNPTTVTTFPPVSFMMNPRVAQAPVYYYPMEPVAVPIPYYAMTALPAPAPYRPPPAPLQSQATAFGDYFNEDNTVGCRIM >Ma01_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13422655:13437519:1 gene:Ma01_g18120 transcript:Ma01_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNGRNPAATPSSAASPSSSSSAVSAPNHLGFESIQQQQQAYRQALQQQEQQQNQQQRRKAEVDQSLLSYQSGGTYGVTGGTGFPISSGAVHPSQLPNKYSNIPQQPGALQLREESKNKGQDVGQQMQNSIHQAYFQFALQAAQQKAHGNSVVQQQGKMNMVGSSGRDQDIFMNRLKMQELMSLQAVNKSQMPMLNRPAEQFTHAEKQMEPGCTSTDQRIDQKPFLADGQLASANMVRPMQPLQLLQSQSSLQNLASNQLEMAQVQAMQAWAKEHNIDLSVPANLNLIAQVLPFWQSNRMSVMQKPTESNTTAQKSCLPSSKQLVMPSPVGSENSAHGNSTSDLSGQRGSIKCHQTVPSTSISNGGDTTGLNTNTLQMQQQVADYSRINQNERVVRPTIITSSCGLVNHLPNSCGSMNQPVDKSNAKNAFMGNELQQMQNLRPLQKINRSNILPTVPGNSTVGCQIPTESGFAQTPNHHVGFTKQQLYVLKAQILAFRRLKRGERSLPPEVLQAISDPPVDSQPQHWPVQSGTVNQDLMRIAKSNDNEHKRCVESNDQAEQSAPVNKGRIHLKEESITGEEKAALASQMQGATSLEKGSVCLGSIGKLEESNTTVKSEQEVERGSQNLSTDKVKAVPVDGAVPVPGQLKKPASTSSTAPPRDGVSRKYHGPLFDFPSFTRKHDSLGSSTTNNSTNLTLAYDVKDLLFEEGKIVLDKKRAEKLKKISRLLAINLDRKRIKPDLVIRLQIEERKTKLLDFQARLRDEVERQQQEIMAMPDRPYRKFVRQCEQQRLELIRQVQQLQKASREKQLKSTFQWRKKLLEAHWAIRDARTTRNRGIAKYHERMLKEFSKRKDEDRNKRMEALKNNDMDRYREMLLEQQTNISGDASQRYAVLSSFVSQTEEYLHKLGGKITASKSHQEVEEAANVAAAAARAQGLSTEEVRAAAACAGEEVMIRNRFSEMNALKESSANKYYNLAHAVTERVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKTNYGPHLIIVPNAVLVNWKSELLNWLPSISCIFYVGGKDERSKLFSQEVCSVKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRRAFHDWFSKPFQKDGTPHNQEDEWLETEKKVIIIHRLHRILEPFMLRRRVEDVEGSLPRKVSVVLRCRMSAIQGAIYDWIKSTGTIRVDPEDEMRRVQKNPLYQVKMYKNLNNKCMELRKACNHPLLNYPYFSNYSKDFIVRSCGKLWILDRILIKLQRAGHRVLLFSTMTKLLDILEEYLQWRRLIYRRIDGTTSLEDREAAIVDFNHPDSDCFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPQNEEQAVARAHRIGQKREVKVIYMEAVVDKTSSYQKEDELRNGVVGDSEDDLAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLEMLLHDEERYQENVHNVPSLQEVNRLIARSKEEVGLFDQMDEDFDWTADMVKHNEVPVWLRASTGEVDAVAASLSKKPSKNILSVNIGLEPSANFSGSSPSKAERRGRPKGPTAQKYPIYQEQDDEDGEESDIDSEERNASEEDGEIGEFDDEESNGADMMLLNHKDQVVEGMDCDNGRYEFSRTMDGSQNVNKLEEAGSTGSSSGSRKLPQSETPSLSSQKFGSLSALDARPCLSSKKRSEELEEGEIAVSGNSHMDLQQSGSWHHDHDDGEDEQVLQPKIKRKRSMRIRPKYAAERNDERSSSERIFAQRSPRLPLHVDHDYGVPSRTENPEAFAEAGLGKNDTSSSLLKQRHNVPSRKISPLQKSGRLSYFCGSAEDGNEYSRESWSSRANSSCGPTSVGAKMSDITQRKCKNVISKLQRKIHKDGNQIVPTLSDWWRRNGNSSLAIPLAARSSPLDLQIIEQRVDNLDYNGVTDFIADVQLMLKSIVQHCNYTHEVKCEAEKLQGLFFEIMKIAFPDSDFREARNAVTFSSPRGAVMTKSPKPASSSKIKQQTPTSKLETMSFPDKALPHGVTPVDGEGTTKSTSSKHRKESRLVSGGWKEQTPECSQLLTHPGDLVICKKKRKEREKSAVKHRLGLASPSNLGRMGPISPPSSGCGGSAPSPTMNRSSSFPSQRDSRPAQQAKHPLSWRHREMQQLDDGNSGLHSIGDVQWAKPVKRMRTDTSKRRPSHT >Ma03_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7005144:7018150:1 gene:Ma03_g09480 transcript:Ma03_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLAVITDGQSGSGESIFASMKFAVLPIAKVFTMCFLGFLMASKCVNILPANGRKLLNGLVFSLLLPCLIFSQLGRAITWQKLLEWWFIPVNIILATILGSLVGLLVASIVRPPYPYFKFTVIHVGIGNIGNIPLVLIAALCRDKSNPFGDSDKCSQDGNAYISFGQWMLAPPPGETFDGAEEVKLPTKISVNNSAPDQVPLLTSKDAETTSHESLKQGQLIGHLCHLVEKLKIKQVFQPPIIASILAIVIGAVPALKHFILTDDAPLFFFTDSCLILGEAMIPCILLALGGNLVDGPGAGSKRLGLRTTAAIIFGRLVLVPPAGLGIVTVADKLGLFPRGDKMFKFVLLLQHTMPTSVLSGAVASLRGCGKEAASVLFWVHIFAVFSMAGWIILYLRILF >Ma03_p09480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7005144:7018150:1 gene:Ma03_g09480 transcript:Ma03_t09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLAVITDGQSGSGESIFASMKFAVLPIAKVFTMCFLGFLMASKCVNILPANGRKLLNGLVFSLLLPCLIFSQLGRAITWQKLLEWWFIPVNIILATILGSLVGLLVASIVRPPYPYFKFTVIHVGIGNIGNIPLVLIAALCRDKSNPFGDSDKCSQDGNAYISFGQWVGAIILYTYVFQMLAPPPGETFDGAEEVKLPTKISVNNSAPDQVPLLTSKDAETTSHESLKQGQLIGHLCHLVEKLKIKQVFQPPIIASILAIVIGAVPALKHFILTDDAPLFFFTDSCLILGEAMIPCILLALGGNLVDGPGAGSKRLGLRTTAAIIFGRLVLVPPAGLGIVTVADKLGLFPRGDKMFKFVLLLQHTMPTSVLSGAVASLRGCGKEAASVLFWVHIFAVFSMAGWIILYLRILF >Ma11_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1350364:1370145:1 gene:Ma11_g01890 transcript:Ma11_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERWASTYADAVSVALALILLGRLPVGCCQGFSGGINPPPTSPLLWLLNDAVDNLTSNIFPEVKDRFGFCIKDPQEDWDQTFNYTNNMDFLRDCATKFPDLSARLCTEAEVKLYFNSFIDNGGTTNFLKPNINCNSSSWVSGCEPGWASRVSTDANVDDQESEYLPPRTLDSQPCCAGFFCPYGLTCMIPCPLGAYCPIATLNRTTGRCDPYNYQLPPGKTNHACGSADIWADVDHSGDLFCPAGYYCPSTIQKINCSSGHYCRMGSTYETSCLRSSSCHSNTENQNITIIGALVMVALVLLLFIIYNFSDQILAHRERRQAKSRETASRTAREAVQARERWKAAKNLAIKHAVEIQGQISHTFSLKRSKKQPEPQKVLGLSRWSSDASIPEIEEPSILSNMSHSSEKISGSSESVHSNTGDKKPKKNAMKDKHMHTRTQIFKYAYSEIEKEKALQQEIKLTFSGVISMARKNEIRTRPLVEISFEDLTLVLKRNKKQLLKNVTGKLIPGHVTAIMGPSGAGKTTFLSALAGRTAGCQMSGLVLINGKVEPIHAHRKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSTGMSKADKVLIVERTIDSLGLQAVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLMLDEPTSGLDSSSSLLLLRALRREAVQGVNICMVVHQPSYTLFNMFDDLILLAKGGLIAYQGPVKKVEEYFAGLEIMVPERVNPPDYFIDILEGIVKPSTSVGVKSNQLPLIWMLHNGYNVPPDMHNELDSVNASVESRTCSASVGSDEDSGAANVWRDLKGGFEEKRDYLEHNVSKSKGLANRITPGKFKQYKYYLGRVSKQRLREATTQAVDYLILGLAGVCLGIITKANDTNLGAAGYTYTVIAVSLLGQIGALRSFSIEKLQYMRERASGMSSLAYFLSKDTIDHFNTVVKPVVYLSMFYFFSNPRSSFADNYIVLFALFYCVTGIGYTFAICFQPSSAQLWSALLPVVLTLIATQQKNSKILVNLCYPKWALEAFVIVNTKRYSGVWLMTRCGMLSKLGYNINHWGVCLAVLFLYGFVFRCIAFVCLVMLNKK >Ma03_p30030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32842741:32843374:-1 gene:Ma03_g30030 transcript:Ma03_t30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVGTPSGSSLLQKSASEEDLQAVMNLKMQRRKISNRESARRSRMRKQKHLDGLTAQIGQLRKENSQILTSFTLTTQRFFAVQAENSVLRTQMVELSNRLQSLNDILHCLKAKYSISSGPMITDDFINPWNLMRMNQPIMASAENMFQC >Ma09_p22150.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34134630:34139686:-1 gene:Ma09_g22150 transcript:Ma09_t22150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSPSPPRGYGRRRRSPSPRGRYSSRGRDLPTSLLVRNLRRDCRAEDLRRPFGQFGPLKDIYLPRDYYTGCFFFPLAREPRGFGFIQYVDPADAAEAKYQMDGQILLGRELTVVFAEENRKKPSDMRARERRDRVYDSRRSPHNSRDPVHDYRRSPRRSRGPVHDSRRSPRQARSPYYSHSRSRSRSRSYESPSSKRQHYSRSASPQDKTKHRERSYSQSPAGSRSRSRSPEGLPSKPSRRERSLSVSG >Ma09_p22150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34134630:34139686:-1 gene:Ma09_g22150 transcript:Ma09_t22150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSPSPPRGYGRRRRSPSPRGRYSSRGRDLPTSLLVRNLRRDCRAEDLRRPFGQFGPLKDIYLPRDYYTGEPRGFGFIQYVDPADAAEAKYQMDGQILLGRELTVVFAEENRKKPSDMRARERRDRVYDSRRSPHNSRDPVHDYRRSPRRSRGPVHDSRRSPRQARSPYYSHSRSRSRSRSYESPSSKRQHYSRSASPQDKTKHRERSYSQSPAGSRSRSRSPEGLPSKPSRRERSLSVSG >Ma09_p22150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34134630:34139710:-1 gene:Ma09_g22150 transcript:Ma09_t22150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSPSPPRGYGRRRRSPSPRGRYSSRGRDLPTSLLVRNLRRDCRAEDLRRPFGQFGPLKDIYLPRDYYTGCFFFPLAREPRGFGFIQYVDPADAAEAKYQMDGQILLGRELTVVFAEENRKKPSDMRARERRDRVYDSRRSPHNSRDPVHDYRRSPRRSRGPVHDSRRSPRQARSPYYSHSRSRSRSRSYESPSSKRQHYSRSASPQDKTKHRERSYSQSPAGSRSRSRSPEGLPSKPSRRERSLSVSG >Ma09_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34134630:34139632:-1 gene:Ma09_g22150 transcript:Ma09_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSPSPPRGYGRRRRSPSPRGRYSSRGRDLPTSLLVRNLRRDCRAEDLRRPFGQFGPLKDIYLPRDYYTGEPRGFGFIQYVDPADAAEAKYQMDGQILLGRELTVVFAEENRKKPSDMRARERRDRVYDSRRSPHNSRDPVHDYRRSPRRSRGPVHDSRRSPRQARSPYYSHSRSRSRSRSYESPSSKRQHYSRSASPQDKTKHRERSYSQSPAGSRSRSRSPEGLPSKPSRRERSLSVSG >Ma09_p22150.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34134630:34139710:-1 gene:Ma09_g22150 transcript:Ma09_t22150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSPSPPRGYGRRRRSPSPRGRYSSRGRDLPTSLLVRNLRRDCRAEDLRRPFGQFGPLKDIYLPRDYYTGEPRGFGFIQYVDPADAAEAKYQMDGQILLGRELTVVFAEENRKKPSDMRARERRDRVYDSRRSPHNSRDPVHDYRRSPRRSRGPVHDSRRSPRQARSPYYSHSRSRSRSRSYESPSSKRQHYSRSASPQDKTKHRERSYSQSPAGSRSRSRSPEGLPSKPSRRERSLSVSG >Ma09_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2308416:2309859:1 gene:Ma09_g03440 transcript:Ma09_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEADFRANSREDRWSFRATTALVTGGTKGIGHAIVEELARFGAAVHTCSRNEAELSRCLQRWEAMNLKVTGSVCDVSSPVEREKLMEDVKSIFHGKLNILVNNAGTGFQRRVTDVTPEDFKLLTSTNLDSAVHLSQLAHPLLKASGSGNVVFISSITSLVGIDTLAVYAATKGAMNQLTRSLACEWAKDNIRANCVAPGYIRTPLIEPLCENEEFVAKETNRIPLGRLGEVDDVAPVVAFLCLPASRYVNGQVVVIDGGRTVNGNRCSETLMRAEADSRVASPIEIADWEFQWPEIRAEF >Ma09_p03440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2308416:2309859:1 gene:Ma09_g03440 transcript:Ma09_t03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEADFRANSREDRWSFRATTALVTGGTKGIGHAIVEELARFGAAVHTCSRNEAELSRCLQRWEAMNLKVTGSVCDVSSPVEREKLMEDVKSIFHGKLNILVNNAGTGFQRRVTDVTPEDFKLLTSTNLDSAVHLSQLAHPLLKASGSGNVVFISSITSLVGIDTLAVYAATKGAMNQLTRSLACEWAKDNIRANCVAPGYIRTPLIEPLCENEEFVAKETNRIPLGRLGEVDDVAPVVAFLCLPASRYVNGQVVVIDGGRTVNGNRSRQSCRFANRDCRLGIPMARN >Ma08_p28610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40463405:40478250:-1 gene:Ma08_g28610 transcript:Ma08_t28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRSQRVVMPTLKRCNAEVTVGDGEGDGGGKRRKRRREGESFPLEVLGDVAAAGGFPYVALGFGRCLGGEDAAAAVAADAVAASWCSEVSCCSGEMDSVSRNRRIRERERTREAAPPEVRPPVVRTSRGRAQTLPSRFNDSVLIDPWKKEKPKTKAPDTDSEIKAEPMEPRKENRSYKSSSHSSIFPVSVALFDEEERYRACRNISLEKCSLSQSTSTSLCEGLETREERRPNPRFRYPAKKEKLQKKTLEQGKDYHRLEEFACGDIVWARPGKNCPAWPAMVIDTMQQAVRQITDAYIPGAICVMFFGYSGSGNEREYAWVKQGRVFPFIDYVDRFQGQTGLHGCKPNNLRIAIEEAFLAEHGFTGVQVDGMSTSGEPAYVSGIKEATGSNHDEECQSENKPFKSKQYRGICMKSCHHKDDEKWVRCYACRYWVHAGCDKTYKKLQQSIDYFCSECKSKFNFESSDTEKNHSQIRYVPDCGRDTKLAFDKVAVWCFGMEGIYLPEQHVISCHCTSCKEKKFMLTEWERHTGSKTKNWRSSIKVRSTNMALGKWLERYHSSMVSTNHTKRPSAKLRKQKLLAFLQEAYDPVYVKWTTERCAICRWVEDWDYNKIIICNRCQIAVHQECYGMRGKQDFTSWICRACETPQQKQECCLCPVKGGALKPTDDEMLWVHVTCAWFQPQVSFASHETMEPAVGILDIPSESFVKVCAICRQMHGSCTQCYKCSTYYHAMCASRAGYRMELRCLEKNGKQITKKVSYCAHHWAPNPDTVLIIHTPQGVFSSKKMIQNNKKQTGTRLIRKGILHGSDILVQNSETPSAARCLMYRNIEIKTKDEEAIAHRVMGPSHHSLDVVQCLNAPKEEKDLELFSTFRERLYHLQSTEKSRVCFGRSGIHGWGLFARRNIQEGEMVIEYRGEQVRRSVADLREARYRLEGKGCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTNVSAGEELTYDYLFDPDESDECKVPCLCEAPNCRKFMN >Ma07_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10137728:10138996:-1 gene:Ma07_g13460 transcript:Ma07_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPCRPPPRRSASLSPRRRPSIPEWNPVISGDGREEEEVRPVVLNDREYADGIGRIEDQGIRGGVPAKFLYRESAPAYWRAKEKSYLLLFHPTYSSFGHF >Ma11_p24420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27388475:27394659:1 gene:Ma11_g24420 transcript:Ma11_t24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAEPCSDVTSFLYLKSAFLAMEPAHFLISLARQAGGGSITTKVQTFILEDCIKDIVGKGTELNNKYIKNILQKLIVAVESTSDIVVEDLYEKFAYYLTIQLDDQLLEENNRIYKQITFLLSLGQKCSSAMDLKVPLQCSLNMLEGDTGCALWPSSLFLSEFILSYQEVFSKKFCFEVVLTDGDISTLANMKSNIELNHLELPSERTSAKPMVECKYLPWESASKRELQDYGPEIILGADVIYDPHCIPHLVRVLSALLSPTSSEPKGNGANCCGPTQDTDDADKLLQNKEEEAPVAYIATVIRNQKTFDYFLRVAAESPLSVLDITEMMKPMSLLPYMLSYDRSSVHLLKVSFLCN >Ma11_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27388475:27394659:1 gene:Ma11_g24420 transcript:Ma11_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAEPCSDVTSFLYLKSAFLAMEPAHFLISLARQAGGGSITTKVQTFILEDCIKDIVGKGTELNNKYIKNILQKLIVAVESTSDIVVEDLYEKFAYYLTIQLDDQLLEENNRIYKQITFLLSLGQKCSSAMDLKVPLQCSLNMLEGDTGCALWPSSLFLSEFILSYQEVFSKKFCFEIGSGVGLVGIALLHVGASRVECKYLPWESASKRELQDYGPEIILGADVIYDPHCIPHLVRVLSALLSPTSSEPKGNGANCCGPTQDTDDADKLLQNKEEEAPVAYIATVIRNQKTFDYFLRVAAESPLSVLDITEMMKPMSLLPYMLSYDRSSVHLLKVSFLCN >Ma11_p24420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27388475:27394659:1 gene:Ma11_g24420 transcript:Ma11_t24420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAEPCSDVTSFLYLKSAFLAMEPAHFLISLARQAGGGSITTKVQTFILEDCIKDIVGKGTELNNKYIKNILQKLIVAVESTSDIVVEDLYEKFAYYLTIQLDDQLLEENNRIYKQITFLLSLGQKCSSAMDLKVPLQCSLNMLEGDTGCALWPSSLFLSEFILSYQEVFSKKFCFEIGSGVGLVGIALLHVGASRVVLTDGDISTLANMKSNIELNHLELPSERTSAKPMVECKYLPWESASKRELQDYGPEIILGADVIYDPHCIPHLVRVLSALLSPTSSEPKGNGANCCGPTQDTDDADKLLQNKEEEAPVAYIATVIRNQKTFDYFLRVAAESPLSVLDITEMMKPMSLLPYMLSYDRSSVHLLKVSFLCN >Ma01_p09790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7021613:7024557:1 gene:Ma01_g09790 transcript:Ma01_t09790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLENLLLQAAGRTGSGQKNNNSRPQSRWKRDNSYSDGSDSRDDDSDDPKYTKRKPSGSQVPLKKRFDPPEKDKRGGWRDGDNDDGGGRHSDDDSDSAPSVGSDLYKDDADKEELGKMSELDREMILAERSTKIDDYKLKKMARASSSKMEKSRKERSPPLPIRGRSSAQNDRTAAKSALNELRAKRMRQQDPEGYRSRLRDLTGEGAIGGFTLRDSSPSKRRNLGMAASPPSDISNEGESGGRADSDDDRFHEDKKMDDDLLEDLSPSRLDAPKFEDVKNITIRRSKLVKWFMEPFFEELISGCFVRLGIGKTRSGKPKYKLCLVRNVDATDPGKHYKLEHYTTYKWLNCIWGSDSSAARWQMAMVSDSPPLEDEFNEWKWQVENDGGRMPTHQEILDKKEEIQKINNFVYSAATVKQMLLEKKSASLRPVNIAAEKDRLRKEMEVAQSRRDEAEVERIRARLKELEDISRKTKQVDEKAVRLAEMNRRNRAENFKNASELKPVNTSLKAGEAGYDPFSRRWTRSMNYYVSKPGGDGNAAAANDDHEKPVVAGVANGVVTINGVEAGEAATAAALQAAAGAGKLVDTSAPVDHGTASNFLHNFELPISLAALQNFGGPQGVHLGLMARKQKIEATIGYKVPDNDGRRHPLTLTVSDYKRRRGLL >Ma01_p09790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7021613:7024557:1 gene:Ma01_g09790 transcript:Ma01_t09790.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLENLLLQAAGRTGSGQKNNNSRPQSRWKRDNSYSDGSDSRDDDSDDPKYTKRKPSGSQVPLKKRFDPPEKDKRGGWRDGDNDDGGGRHSDDDSDSAPSVGSDLYKDDADKEELGKMSELDREMILAERSTKIDDYKLKKMARASSSKMEKSRKERSPPLPIRGRSSAQNDRTAAKSALNELRAKRMRQQDPEGYRSRLRDLTGEGAIGGFTLRDSSPSKRRNLGMAASPPSDISNEGESGGRADSDDDRFHEDKKMDDDLLEDLSPSRLDAPKFEDVKNITIRRSKLVKWFMEPFFEELISGCFVRLGIGKTRSGKPKYKLCLVRNVDATDPGKHYKLEHYTTYKWLNCIWGSDSSAARWQMAMVSDSPPLEDEFNEWKWQVENDGGRMPTHQEILDKKEEIQKINNFVYSAATVKQMLLEKKSASLRPVNIAAEKDRLRKEMEVAQSRRDEAEVERIRARLKELEDISRKTKQVDEKAVRLAEMNRRNRAENFKNASELKPVNTSLKAGEAGYDPFSRRWTRSMNYYVSKPGGDGNAAAANDDHEKPVVAGVANGVVTINGVEAGEAATAAALQAAAGAGKLVDTSAPVDHGTASNFLHNFELPISLAALQNFGGPQGVHLGLMARKQKIEATIGYKVPDNDGRRHPLTLTVSDYKRRRGLL >Ma01_p09790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7021784:7024557:1 gene:Ma01_g09790 transcript:Ma01_t09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLENLLLQAAGRTGSGQKNNNSRPQSRWKRDNSYSDGSDSRDDDSDDPKYTKRKPSGSQVPLKKRFDPPEKDKRGGWRDGDNDDGGGRHSDDDSDSAPSVGSDLYKDDADKEELGKMSELDREMILAERSTKIDDYKLKKMARASSSKMEKSRKERSPPLPIRGRSSAQNDRTAAKSALNELRAKRMRQQDPEGYRSRLRDLTGEGAIGGFTLRDSSPSKRRNLGMAASPPSDISNEGESGGRADSDDDRFHEDKKMDDDLLEDLSPSRLDAPKFEDVKNITIRRSKLVKWFMEPFFEELISGCFVRLGIGKTRSGKPKYKLCLVRNVDATDPGKHYKLEHYTTYKWLNCIWGSDSSAARWQMAMVSDSPPLEDEFNEWKWQVENDGGRMPTHQEILDKKEEIQKINNFVYSAATVKQMLLEKKSASLRPVNIAAEKDRLRKEMEVAQSRRDEAEVERIRARLKELEDISRKTKQVDEKAVRLAEMNRRNRAENFKNASELKPVNTSLKAGEAGYDPFSRRWTRSMNYYVSKPGGDGNAAAANDDHEKPVVAGVANGVVTINGVEAGEAATAAALQAAAGAGKLVDTSAPVDHGTASNFLHNFELPISLAALQNFGGPQGVHLGLMARKQKIEATIGYKVPDNDGRRHPLTLTVSDYKRRRGLL >Ma01_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7021584:7024557:1 gene:Ma01_g09790 transcript:Ma01_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MADLENLLLQAAGRTGSGQKNNNSRPQSRWKRDNSYSDGSDSRDDDSDDPKYTKRKPSGSQVPLKKRFDPPEKDKRGGWRDGDNDDGGGRHSDDDSDSAPSVGSDLYKDDADKEELGKMSELDREMILAERSTKIDDYKLKKMARASSSKMEKSRKERSPPLPIRGRSSAQNDRTAAKSALNELRAKRMRQQDPEGYRSRLRDLTGEGAIGGFTLRDSSPSKRRNLGMAASPPSDISNEGESGGRADSDDDRFHEDKKMDDDLLEDLSPSRLDAPKFEDVKNITIRRSKLVKWFMEPFFEELISGCFVRLGIGKTRSGKPKYKLCLVRNVDATDPGKHYKLEHYTTYKWLNCIWGSDSSAARWQMAMVSDSPPLEDEFNEWKWQVENDGGRMPTHQEILDKKEEIQKINNFVYSAATVKQMLLEKKSASLRPVNIAAEKDRLRKEMEVAQSRRDEAEVERIRARLKELEDISRKTKQVDEKAVRLAEMNRRNRAENFKNASELKPVNTSLKAGEAGYDPFSRRWTRSMNYYVSKPGGDGNAAAANDDHEKPVVAGVANGVVTINGVEAGEAATAAALQAAAGAGKLVDTSAPVDHGTASNFLHNFELPISLAALQNFGGPQGVHLGLMARKQKIEATIGYKVPDNDGRRHPLTLTVSDYKRRRGLL >Ma03_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7758857:7761218:1 gene:Ma03_g10310 transcript:Ma03_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAASIPVLSAPVPVSIPATSVAKPPPPPPNTNLSLLHLCTHLQEATQVHALMVKTSQTADPYSAGRLAEFYALSDRGSLEHAEKILDSFPHHPPTFVCNTLMRAYSERRNPLLSINLYRRMLVDAVEADRFTFTFTLKACTQLCALAIGMQIHAQVVKHGLESNAHIRNKLIHLYAVSGRIRDARKVFDGSTEPDVVAWNSMLQGYADMDDGENLHNLFDSMPARDVVSWNTMIAYYIEAGEFEEAILMFRLMQQSSECGLNRVTLISVLSAVTQLGALGQGQWVHAYIKRHAIELDENLSSALINMYSKCGCIEGAIYSFETTHRRSVDTWNAMIGCFTANGCSLRAIDLFSKMEASGLMPNKITFTCVLNACSHGGLVDRGIRYFEKMSNVYGIEPDVGHYGCMVDLFSRAGLFEKAEEIIQRMPIEPDKVMWKAVVGACRVNKNYELGEKAGLKLIEIDPDDNAGYVLLSNIYAMSNNWNGVYRVRKLMHDRGIKKVPGCSSIELDGLVHEFIAGDAAHARKKEIYKMLDEMAQKLKRAGYEPDTTQVLLDIEEADVKESSLALHSEKLAVAYGLISTSPGATIRVVKNLRICGDCHSALKLLSQIYDRNIIVRDANRFHHFNRGSCSCMDYW >Ma08_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10213448:10213615:1 gene:Ma08_g12980 transcript:Ma08_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDWRTTRRASEARSHWNGILEFLMLGDTGGIGAGYVIAVRFFLYRDRVCMNRC >Ma08_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22926450:22947866:-1 gene:Ma08_g17090 transcript:Ma08_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPGSGYPPYGAPPGNPYGAPPSYGVAQPPYASTLPYGAPAPTPSAPPAEVKPPKEGKAQGYGGYGQPSGYYGHPPLAASAPPPPLGSYGAGPFAALLPSVFPPGTDPNVVACFQAADRDGSGFIDDKELQQALSSYNQSFSLRTVHLLMYLFTSSNVRKIGPKEFSAVFYSLQNWRAIFERFDRDRSGKIDTSELREALLSLGFVVSPTVLDLLVAKFDKSGGKSKAIEYDNFIECCLTVKGLTEKFKEKDTQYSGSATFTYESFMLTVLPFLIA >Ma07_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32047472:32048233:1 gene:Ma07_g24860 transcript:Ma07_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFFFGRITAFNRLSLHYLEAFLAVAWHLSSQWSFAH >Ma01_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1635648:1636090:1 gene:Ma01_g02430 transcript:Ma01_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIRYSIWFRAEEHIQTPSGPILVI >Ma10_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18238990:18240262:-1 gene:Ma10_g06280 transcript:Ma10_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNPCPRAYYRCTMANGCPVRKQVQRCAEVKIILTTTYEGNHNHPLPPCCRCHGQHHVSHCRRAHIWLHGRWFLHAQCLHHGDTVCFLDVQFCHP >Ma02_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16032281:16036761:1 gene:Ma02_g04690 transcript:Ma02_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSLGLSHSSPSPSLPSSAPSSPMSHKTLSLLLPRVLVSPSGSSSSRSGGGEQLLRGSPHFGAYTTATGTCRASQAVDLFPSVWPEVTVRDARLEDCWEVADTHCSCFFPDYTFPVDLVLRIDRFVGLLSGFSVPPGCMRTCLVAVTDSPFNDKLYIGCEDFKLGGFEGKFSINRGSVAGILTVDTVADFLPRKGLLRQRRTGIAYISNVAVREADRRKGIAKMLVAKAEARARSWGCRSMALHCDVNNLAAMRLYKCQGFKCIKVPENAKWPEPKTSPGIQFNFMMKLLVPDATP >Ma03_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3992554:3997036:1 gene:Ma03_g05800 transcript:Ma03_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIIWFHLTDRGYDGKYVLLGDTNARNRRIEEATSKATAFVDSSSPLSPFSPTDGALGNRNPSFDPYPRSDQPLAFPSRFWSSVLEAVAAEERCARAGGEIVRSREIGRFARMARVTATGVPEKFQSIQLQEEFDTFDCDVHMFPDLQYLKKRSKIIEIVSVKDVIFVVAQSGLCAAFSRTTNKRICFLNISPGEIIRSIFYNKNDESLITVSVYASDHFSSLKCRTTPIEYIRRNQLDAGCPLFETESIKWPGFVEFCDMNCKILIYSSQDGTYKVFDLKNYSLLYSICDKDIVDIKISPSMMLLVYQRTLGHVPLKILSIEDGKVLKSFNHSLHRNKKVDFIEQFNEKLLLKQENENLQILDVWNSDLIEVSRTEFMTPSAFIFLYEKHLFLTFRNRTVAVWNVRGEPVASFEDHLLWHPDCNTNNIYITTDLDLIISYCKAENVCHDEGEVPSVGSINVGDILTGKCIAKICPLDPHLQIGPRKRGDAGRSTIGSTIKEALENVTALFYDEDRNEIYTGNEQGLVHVWSKPHISRDNLPACL >Ma05_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11462438:11463923:1 gene:Ma05_g15390 transcript:Ma05_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDYIDLNRACPKDCYPLPRIDQLVDATAGYELLTFMDAFSGYNQIRMAPQDQENTTFITDRGVYCYKVMPFGLKNAEATYQRMVDKLFKQQLGRNKEVYVDSMIVKSKDASTHLADLAETFQTLKWFNMLLNPAKCIFRVSSGKFLDFIIHQRGIDANQEKVRAITEMHPPPLDQGGAAPRREVGGTLQQILSKFDASGRMLRWSVELSEFDIQYSPRMASKAQVLADFISELTPEDHAIGQGNNESTWTLHVDGSATADMAGVGLILKSPSGETYKRSLRLQLRATNNEAEYEVLLHSLRLTLEMHVGNLEVFNNSQLVTGHVNDNYEARDPTMALYLTEVKQLPHRFNRLSVTRVPQAQNT >Ma08_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1674915:1677486:-1 gene:Ma08_g02070 transcript:Ma08_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDPDRPVAGSSPRSLARQRSVHDIFGSGIVADVILWRRKDVTIGILVGALASWVVFEAAGYTLLSLVSNVLLLLICVLFAWAKAAGILNRPPPPIPEMHLSEEMTHEAAVFVRFHMNMVLSAFNDIVQGKDSKLFYTIALWLWLISFVGGSTDILTLGYTSVVVMLTVPALYEKHEDGLDRLTKFACKEVQMYERIYSECLGKYFTKAKKWALEKKKLLTDV >Ma03_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8551694:8555740:1 gene:Ma03_g11310 transcript:Ma03_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHYQTKMASQHFLSSICLLLLITLNSFPLEAFADKPSTKLYIVYLGERRHEDPDLVTASHHDLLSSLLGSMEEAMNSIVYSYRHGFSGFAAMLTESLADQIAELPEVISVKPSRSVPIHTTRSWEFLGLNYNQHQPTGLLRQSNFGDGVIIGFVDTGIWPESRSFDDHGYGPVPSRWKGICQTGELFSIHSCNRKIIGARWYAGGIDPSLLAGEYKSPRDSQGHGTHTASTAAGSFVSNVSFHGLGSGTARGGAPRARLAIYKACWVGVGCLDATVLKAIDDAIHDGVDILSLSLGGQLNPYYASIHAAAKGIPVVFAGGNDGPVPQTIANDLPWVITVAASSIDRSFPTALTFGDNQASGQSLFYGSKHDGSIELMDGGSCSAESINCTNVAGKIVLCDDGEPPARAVAADGYLQDVAKRLNEAKAAGVIVARPPLGLLSTCQVLCVNVDRGRRAIILAYVASSSSPVVRVSPASNILGSEVMAPRVAAFSSRGPSVLFPELIKPDITAPGATILAAVRDSYEFLSGTSMACPHVSGVAALLKAVHPHWSPAAIKSALVTTARTTTANGFPIEANGGVRKLADPFDFGGGQIDPNRASDPGLIYDVDPKDYFKYFHCSDDALGSCDLVDHHLYHLNLPSISIPDLKTCVTVFRTVTNVGDTNSTYMAVVKSPSGVKVTVEPSLLQFNCTQKVHTFMVEFTSLQMVQGGFTFGSLTWVDGGKHSVRIPLAVRVIVQDEFSDTS >Ma04_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2597790:2604200:1 gene:Ma04_g03380 transcript:Ma04_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGGDSEMGANPDSMQKLLAKKAVFVDKEPFAKASLISEQARTIKVLEHGREFLWGALAGGFGEGMMHPIDTLKTRMQSQAVISGTHAQKNILQMVRNVWVSDGLRGFYRGITPGVTGSLATGATYFGVIESTKKWLEEANPRLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTRKSWASTIMKENISQKPGERMYGYYNGMFQAGHSIWKQHGLKGLYVGYWSTLARDVPFAGLMVTFYEALKDFTEYGKQSFLPNSNLHVGSSFEGLVLGGLAGGFSAYLTTPLDVIKTRLQVQGSTIRYNGWLDALKRIWMAEGFNGMFRGSVPRIMWYVPASALTFMAVEFLRDHFNEKLDDEFNGVTGLSLDPSPNIQEAESCT >Ma08_p26800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39246279:39249774:-1 gene:Ma08_g26800 transcript:Ma08_t26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTIFACALWPSFFIVVRVLLLLSCPVKSVASRSETPPTQFATDRAALLSFKSFIRDDPLGALSSWNNASLHFCQWRGVRCRLRNHQPRVTALRLGSLGLAGSISPSLSNLTFLQRLHLPENRLRGVIPQELGLLSRLRHLNLSYNPLAGGKILVNLVRNCSRLRYLSLNGNNLHGAIPRSIGRCSQLQLIGLTDNMLEGGIPSQLGSLSKLKVLSLWNNSLGGRIPPAIGNLAELIQLNLQHNQLTASVPAAIGNLTSLTHVDLSYNYLAGGIPSELMNLTTLTTLYLDDNQLTGAIPAAVGNLSSLALLDLSNNQLTGGIPSEIGNLVPLTLLYLSGNQLAGTIPSEIGNLGRLRNLDFSSNLLVGTIPSSLGSVKDLYILSFYDNQLEAGNDVEWGFLDALSNCTKLRALDLSRNYLGGILPRSIANLSTTLERLSMITNDIAGSIPAEIVNLAKLSEVWLSSNLLGGPIPATLGSLPSLQLIALEDNKLTGEIPATLGNLTGLSTLLVSSNELHGPIPLTLARCPLDTLDLSFNKLSGTLPKEILSISTLTVFLNVSSNSLTGSLPPQVGNLKNVRALDVSKNKLSGEIPGSIGGCEVLQYLHMEENLFDGPLPPSFSGLKGLQELDVSRNNLSGSIPSFFGRFPFLRLLNISFNNFEGEIPPDGIFRNARAFSFAGNNKLCGGVRNLKLPPCSFHRSSTKKKHTSPKLIAIISAAAGTVCLVLLFSSFCALRWILKSKRETRTMTSIGDRYKKVSYAELLRATDGFSTANLIGAGGYGSVYKGFMNGVVDVYDVVAVKVFNLQQRGSSRSFIAECDALRNIRHRNLVKILTACASIDFRGNDFKALVYQFLPNGNLDQWLHPDAQGPTRRTLSLTQRLNILMDVSCALDYLHHQGPDPIVHCDIKPRNILLDNDMVAHVGDFGLARILNRVPITEAQRSSSSMILKGTIGYVAPEYGVANKASTEGDVYSYGIVVLEMFTGKKPTDVTAQNGLSLPRYVEMTLPERVADIMDANLQLIMEEGDEEEAHQDMERMKADAVECIISILRIGIECTKESPPERMQMKDVISELVAIRSTLFRHKVQGGRRVAI >Ma08_p32660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43295954:43297824:1 gene:Ma08_g32660 transcript:Ma08_t32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSKSVKLVSSHSQSIEVELGECSQMGSSIDNTVYCCIAKGNKILYSYNSKGHELETLAILCLENAPAFHKWYFHSIGTRTFGFLTADGHTYFAIIDPSVGNLAILQFLEHIQDGFRKVAKNGFHDDLVPIVQRLIASLENMPRYAFSLDDNSEGTASSDGSTSTKAPLLGKNSGNHHDKKKMKDKVLQSDDVIEDDADRTVKIDMPPQTVGAMSLPRSLSSTRLRVQQAGRRLWCRHVKIVIATDIIICLILFGVWLAVCRGFHCVSGK >Ma11_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5056732:5063482:1 gene:Ma11_g06260 transcript:Ma11_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSFVQEYEPSELEIAAEFLTNWLPFLTRGLCDGCSAALRSRIHSLRPGSPGEAKAEASASTIAEDPEASVSAGADELLNQHAGIQPTEWDPDPPASSDSPRVRMSWADMAQEDELEEAAEGEEDAEAERRSSVDATGGESKGKGNNLRKETGLSREQREEIRFKNVVRKKDFICLERVKGKIVNILDGLELHTGVFSAAEQKRIVDFVYELQGKGKNHQLGEHTYSEPPKWMCGKGRVTIQFGCCYNYSMDKNGNPPGILKNVVADPVPHLFKVIIRRLVRWHVIPRTCIPDSCIVNIYELGDSIPPHIDSHDFVRPFCTVSFLSECNILFGSSLQIAGSGEFPGSVAIPLPVGSVLVLNGNAADVAKHCIPAVPSKRISITFRKMDESKWPVGFLPAADLQNIQPLEYTAEAKGHPRQDKNSQPVIESRSFKKGKRTKGRPGAMKPGPNFQDRQRHSNDSGIHARRSNLDGQSPSNSSSNSFERGSISGQRITESKFRPEFQGFESHEVVHSPTDSTAHVQQGDEDTGNVDRREHGGRVVRLEQRRIIISSSVEGGDEDLAPANRFSDLPRVSRVQVRSLNSSSRRKVRMNLSDGQSSC >Ma01_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10889809:10892442:1 gene:Ma01_g14970 transcript:Ma01_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFNCPSRVENSAHRASYPSNIGTSRRSVSSNLSAPSYKEKTGGALPASRTEGEILSNSNLKAFTFNDLRNATRNFRPDSLIGEGGFGYVYKGWINEQNFAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCSEGDNRLLVYEFMPKGSLENHLFRRGAEPLPWATRIKVAIGAARGLSFLNDESQIIYRDVKASNILLDTDFNAKLSDFGLAKDGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALEKTKAGTEQSLVDWAKPSLGDKRKLYRIMDPRLEGKYLKKGAHELGILALQCIGKDAKRRPRMSEVLASLEKLQDSKFGALPPQATRPKTSSTIPRSPMRNPPRSPLRPTPIGSPLPSYRRSPHVY >Ma03_p28000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31198914:31201979:1 gene:Ma03_g28000 transcript:Ma03_t28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPGASMLKLYYGKPMLPDVSRVLACLYEKDVKFELIDMYEGQHMPYEFLSLQAFTRAPVTVPHLTSCVAESRALCRYISEKYADRGNSHLLGRDILERASIEQWLKLEEHNFHPPSWELVFHLAFATPMVDPDPDLITRSERRLASVLDVYDQQLSENEFLAGDKFTLADLSHLPNSHYLATSRQWRHLFDVRKNVRRWWESISKRRSWTNVVEILTEVEVLKEQITAGEENTTTLHLLPFTSHRTPPSTTGYVLPAQVTRSIEVVPYSPPQSQPESTPSPPQTTPQASVGELLHCRPPIDLHHNPIRMKQ >Ma04_p10160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7264961:7271653:1 gene:Ma04_g10160 transcript:Ma04_t10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQGTQQQFRYTQTPSKVLHVRNLPWDSAEEELVALCRPFGKIVNTMCNVGANKNQAFVEFADLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKSTGDVPGNVLLVTIEGVESGDVSIDVIHLVFSAFGFVHKIATFEKSAGFQALIQYTDAETAASARNALDGRSIPRYLLLEHITTCHLRITYSAHTDLSIKFQSHRSRDYTNPFLPVNPSAIEGSVQPLLGPDGVKKETESNVLLASIENMQYAVTVDVLHTVFSTFGTVQKIAIFEKNGGMQALIQYPDVTTASIAKEALEGHCIYDGGYCKLHLTYSRHTDLSVKVHNDRGRDYTVQDTGVLMTSQAPSLPTTTGWQVHPQSTTTYIGNDFTATGQVTVPHGQVTTWNPSLSSGSFASASNMFPSQTFATPVSHYPVSTVSSAPSGLFQASQESSQYGLLANAQPAAAPTTQPPYYTT >Ma04_p10160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7264961:7271984:1 gene:Ma04_g10160 transcript:Ma04_t10160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQGTQQQFRYTQTPSKVLHVRNLPWDSAEEELVALCRPFGKIVNTMCNVGANKNQAFVEFADLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKSTGDVPGNVLLVTIEGVESGDVSIDVIHLVFSAFGFVHKIATFEKSAGFQALIQYTDAETAASARNALDGRSIPRYLLLEHITTCHLRITYSAHTDLSIKFQSHRSRDYTNPFLPVNPSAIEGSVQPLLGPDGVKKETESNVLLASIENMQYAVTVDVLHTVFSTFGTVQKIAIFEKNGGMQALIQYPDVTTASIAKEALEGHCIYDGGYCKLHLTYSRHTDLSVKVHNDRGRDYTVQDTGVLMTSQAPSLPTTTGWQVHPQSTTTYIGNDFTATGQVTVPHGQVTTWNPSLSSGSFASASNMFPSQTFATPVSHYPVSTVSSAPSGLFQASQESSQYGLLANAQPAAAPTTQPPYYTT >Ma04_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7264961:7270682:1 gene:Ma04_g10160 transcript:Ma04_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQGTQQQFRYTQTPSKVLHVRNLPWDSAEEELVALCRPFGKIVNTMCNVGANKNQAFVEFADLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKSTGDVPGNVLLVTIEGVESGDVSIDVIHLVFSAFGFVHKIATFEKSAGFQALIQYTDAETAASARNALDGRSIPRYLLLEHITTCHLRITYSAHTDLSIKFQSHRSRDYTNPFLPVNPSAIEGSVQPLLGPDGVKKETESNVLLASIENMQYAVTVDVLHTVFSTFGTVQKIAIFEKNGGMQALIQYPDVTTASIAKEALEGHCIYDGGYCA >Ma01_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1260125:1262510:1 gene:Ma01_g01880 transcript:Ma01_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLRAPPAPWKAGGASLCKVRNASDVHVASLIKFNSHGRGRKSCFAVSALPETAASVVFAAMAVGAAASLLARTTKASDTAKAEHLKTCEDCGGSGICTGCNGEGFILKKMSEESAERARLAAKNMATRYTAGLPKKWSYCSRCLSSRSCATCGGTGRII >Ma01_p01880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1260125:1262506:1 gene:Ma01_g01880 transcript:Ma01_t01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLRAPPAPWKAGGASLCKVRNASDVHVASLIKFNSHGRGRKSCFAVSALPETAASVVFAAMAVGAAASLLARTTKASDTAKAEHLKTCEDCGGSGICTGCNGEGFILKKMSEESAERARLAAKNMATRYTAGLPKKWSYCSRCLSSRSCATCGGTGRIIW >Ma08_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:294077:312758:1 gene:Ma08_g00330 transcript:Ma08_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSRLLKKPKLEDEPIELIEGDNEGKPSNYNKSRDDNVSMQEQEEALVALIEHRTKECQLLKQKLAHYKSQLEEAEKRLSESQAKLDRIRLRSKAAPVATDVVKTEARPSNPIRNNNDHAQTRPTPSQQLSRPQLLIPPHNTRLPSLAADARAGRNAKPELKASAAAGGPMDSSPSTQPAGTGTSKPFIEKGGPVGSKEKRIKRKLEQKEHQDLIHNIRSSSSPCIIRFQSGTLISSQHKRKLRSLELCPVNDHLFVTSALDGVVNLWQVQAKGSNASLLSSMDCLSPKQRRWPEDIAWHPDGDRIFAAYTADGGDSQISVLNLNASREKKVTFLEGKPHQKGIINSIMFMPWIDLCFVTGGSDHAVILWQEIDDSCKPKTIHGNLHSSAVMGVAGLQQKKTVLSVGADKRIIAFDMLSGRSEFKNQIESKCMSVLPNPCDFNLFMVQAGEPGRQLRLFDVRLRQTEIHAFGWKQETSESQSALINQAWSPDGLYITSGSADPMIHIFDIRYDGRKPSQSVQAHQKRVFKAVWHQSLPLLTSISSDLNIGLHRAL >Ma04_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4788571:4792674:1 gene:Ma04_g06520 transcript:Ma04_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHELDSPSNGDCFGSSIASEPFVTPDRQSATPYLNKDGDLPYWYSIFSPKEGTPSLVTTPLAASGSILIPPPSSSFVEPEVAAADYGNRLYLARLTLQYQEVAERYDLCLSDLRDCTEEIESLRRENASIRIANAELARRLGLIAGKHAGRVPSSASAALEDEFRLLNIAELPPPSPEESPTSVLSVQESGGGHPFAGPPVAEKQVSLPKSISIRSSGYLKLNQDGKSSSAAKRNGRFGVSNPAMQRVYVGGDSNRKKGARRGREDGEEDREGGDGGGALELEVYHQGMFKTELCNKWEESGECPYGDHCQFAHGITELRPVLRHPRYKTEPCRMILSGGACPYGHRCHFRHSFSLADHQRLLIRP >Ma06_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7040500:7044340:1 gene:Ma06_g10150 transcript:Ma06_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASK >Ma02_p04720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16075740:16079935:-1 gene:Ma02_g04720 transcript:Ma02_t04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRAPTVRSGDFLEGMLSDYVVGKSSTKMRAPKIASSRLVATLTFLQFAFAMYATFLLYYMSPSVDLRSKADFSWATRIAQHWKHLIIQPNPLTSFQEIPAISSPAEVCEYEKIDFSQKKSDDALMIKLKRELYDEVLAFQKTSSGVETLAELMRMKSKWSPSGPNVPKITVILNHFKRKTLCAQLDSLLNQTLPFHHVWVLSFGSPNELSLKRIVESYNNSRISFIGSSYDFKYYGRFQMALQTEADFVYIVDDDMIPGKKMLEILSHVGGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVESIVQVDFLSSSWFLSADLVKTLFVETPFTFMTGEDLHLSYQLQKYRNAGSYVLPVDPNDKETWGDSEHRLAYVSETTVIFKDVVQVRDDQWWRALSTGYVTQWAAMHPQKIDALFYAHSLGEVKTLAPLLEKFRTTVGKKAYIVVSGGRFCSCEAAVSVLRWPKNVCKERRFKIFDLEVGAISGVSNSEVPVVQAVYSSLKGILKIHNPSLLIAVDDVDQNVKNALKMAAEGSANGTALVLLQRTTVPKVLWMADLRPTALPNWNRMQITVNIVTQNRAASLQRLLRSLQNAYYLGDEVRLSFNMDSKVDEETLKVVGSFQWAQGPKFIRRRIIQGGLIRAVSESWYPSSDDEFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPKWNATEFFKNIHPNTPYLHQLPCSWGAVFFPRQWREFYAYMNARFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHKKEDFEVPLMRDDFTKLLPSGKMPPASRLPALNLFNQAVSLKGLKAAGAKLRQDVISCNELENVAVDHSTGLPRNCTKF >Ma02_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16075740:16079942:-1 gene:Ma02_g04720 transcript:Ma02_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRAPTVRSGDFLEGMLSDYVVGKSSTKMRAPKIASSRLVATLTFLQFAFAMYATFLLYYMSPSVDLRSKADFSWATRIAQHWKHLIIQPNPLTSFQEIPAISSPAEVCEYEKIDFSQKKSDDALMIKLKRELYDEVLAFQKTSSGVETLAELMRMKSKWSPSGPNVPKITVILNHFKRKTLCAQLDSLLNQTLPFHHVWVLSFGSPNELSLKRIVESYNNSRISFIGSSYDFKYYGRFQMALQTEADFVYIVDDDMIPGKKMLEILSHVGGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVESIVQVDFLSSSWFLSADLVKTLFVETPFTFMTGEDLHLSYQLQKYRNAGSYVLPVDPNDKETWGDSEHRLAYVSETTVIFKDVVQVRDDQWWRALSTGYVTQWAAMHPQKIDALFYAHSLGEVKTLAPLLEKFRTTVGKKAYIVVSGGRFCSCEAAVSVLRWPKNVCKERRFKIFDLEVGAISGVSNSEVPVVQAVYSSLKGILKIHNPSLLIAVDDVDQNVKNALKMAAEGSANGTALVLLQRTTVPKVLWMADLRPTALPNWNRMQITVNIVTQNRAASLQRLLRSLQNAYYLGDEVRLSFNMDSKVDEETLKVVGSFQWAQGPKFIRRRIIQGGLIRAVSESWYPSSDDEFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPKWNATEFFKNIHPNTPYLHQLPCSWGAVFFPRQWREFYAYMNARFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHKKEDFEVPLMRDDFTKLLPSGKMPPASRLPALNLFNQAVSLKGLKAAGAKLRQDVISCNELENVAVDHSTGLPRNCTKF >Ma08_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11690584:11691316:1 gene:Ma08_g14280 transcript:Ma08_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRARSRQSTSSSRITDEQINDLVSKLQAVLPEARIRGAERVSAARVLQETCNYIRSLHREVDDLSERLSELLATTEASSAQAAIIRSLLK >Ma08_p02030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1652094:1657500:-1 gene:Ma08_g02030 transcript:Ma08_t02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVESSTPSQDSGLESRTGRPPPPSCSLLSAGRAFSGTQNVSSLQKDEAWKVNVRIQGIDLDNGYLCGTMEALNVPLADTPVVTFWEGELVDGNNFTFFTRKWEATPEDDIRHWSKFPSFAPLLRWKEQYFVNVGIDCGLTIAGFYYVCFSCSDGSINGFYYDPNSSPFQKLELKCIPGGCSGYTFSSYQLL >Ma08_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1652083:1657500:-1 gene:Ma08_g02030 transcript:Ma08_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVESSTPSQDSGLESRTGRPPPPSCSLLSAGRAFSGTQNVSSLQKDEAWKVNVRIQGIDLDNGYLCGTMEALNVPLADTPVVTFWEGELVDGNNFTFFTRKWEATPEDDIRHWSKFPSFAPLLSQVEADGGKNMDLSNYPYIFMRWKEQYFVNVGIDCGLTIAGFYYVCFSCSDGSINGFYYDPNSSPFQKLELKCIPGGCSGYTFSSYQLL >Ma06_p29420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30810195:30811175:1 gene:Ma06_g29420 transcript:Ma06_t29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKGKIHPSPAAQLPAAGSPQQHQSHDALAVLSLLPAAILALTAAMGKEDREVLAYLLTRSIEGWAEDRRHCQRPGRTQHRPVFGCGCFDCYTGFWSRWDCSPDRELIHQAIEAFEEHLASSESKGGASARVRRRERKAAQRADKGKKIKGKETDMARRKPEEVEKDAALTMVGEAESVSDEEAPVSETAEEDEGAETGSGERRRGWADVIGVFNSRLWSLWSPGA >Ma10_p29040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35727480:35730474:-1 gene:Ma10_g29040 transcript:Ma10_t29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFLHHVVGDLTLGKPELVEFSEAETVEAAVRAIGDSADGAIAVWRRRPMEPAPPPSAERFIGMLTSLDVVAFLARAGADQERAMRTPVSELVAPNPSLLKEVDPGTRLIDALEMMKQGVRHLLVRKSIVWKGFSKRFSILYNGRWLKNTQSSSLGGESNSDRPSTSALPDNKFCCLSREDVVRFLIGCLGALAPLPLSSISSVGAIGSNYAYIEASSPALEAIYKIPHDPCAIAVVETNSEGSHKIIGDISAYKLWKCDYLAAAWAMANLSAGQFVVGADDYGTTPVSVPELPIDSSAGDTGAGDSSRPRKFSSRSIGFFSNQANQMSIGRLRSMYRGRSAPWTCKHTSSLAAVMAQMLSHRATHVWVTDADLDDDTLVGIISYTDILNAVTKHPTP >Ma06_p37030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36222734:36225237:-1 gene:Ma06_g37030 transcript:Ma06_t37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGHGYWSHALLVTLVSSAVILLLFAFNHCSSPISIPAPSASAATVELLRLADSMLLSPPATPIGRSSQNLASNASLLDEHTVHSEGTHRQKQKNEKKKKKSDGDDGAVEEELAMARAAIRRAASRRANASSVPAHGEGVPLLSTIYRNPTAFFRSYTEMERRFRVYVYEEGEPPLVHEGPCKNIYTTEGRFIEEMEITRPDSGSRRRLRTWDPARAHAFFLPFSVTNMVHFIHRPSPYDHTTFKRFVADYVDVIASKHPFWNRSAGADHFMLSCHDWGPHASRSNPNLYENSIRALCNANTSEGFDPRKDVSIPEINLYTGHVPRQLLAPRLPGLASRPYLAFFAGGLHGPIRHLLLRHWKGRDAGLPVHEYLPHGLDYYSFMLRSRFCLCPSGYEVASPRVVEAIYAECVPVIISKSYVLPFSDVLRWESFSVSVAVEDIPRLKQVLEGVSMAELSKLRDGVKAVKRHFVLNQPAKRFDVFHMILHSVWLRRLNIKLV >Ma07_p27090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33603835:33610914:-1 gene:Ma07_g27090 transcript:Ma07_t27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETLSHTLHFAPSSSSPAGTAASSTDNSAAAAAATTTASKIPFRPRKIRKLSSSAASAADDAPGKNPAAGNRLAVRVIPRPLSADGEIASALRHLRATDPILARVIDAHDSPTFQCLLTPFHSLARSILYQQLAIKAAASIYARFLALCGGEAGVVPDVVLSLTSHQLRQIGVSERKASYLHDLARKYHGGILSDATIVAMDDKSLFSMLTMVKGIGAWSVHMFMMFCLHRPDVLPVGDLGVRKGVQMLYGLDDVPRPSQMEQLCERWKPYRSVGSWYMWRLVEAKSNPNLASSSTGALNIGSVGVGDAQQQQQQQQQQQMIDSFQMLPQSWVKSISPTGLLNGIP >Ma02_p02640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13900429:13920216:1 gene:Ma02_g02640 transcript:Ma02_t02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MSSYLFASSPCSVSRFSLLLGSSLPFFGIKSSAPRFTRRRHTSKSWAHLWTRSSSKLFSALSSPPPAYDDVIMLGIETSCDDTAAAVVRGNGDILSQVVSSQADLLSRYGGVAPKMAEEAHSLVIDQVVQRALDDAKLTGNDLSAVAVTIGPGLSLCLRVGVQKARRLAGVFNLPIVGVHHMEAHALVARLIERNLQFPFLALLISGGHNLLILAHKVGHYLQLGTTIDDAIGEAYDKTARWLGLDMRKGGGPALEELALEGDANSINFSIPMRQHKDCNFSYAGLKTQVRLAIESRKISMEHNPISLANLDDRRSRADIAASFQRVAVLHLEERCQRAIEWALKIEPSIKYLVVSGGVASNKYVRARLSHVVENSGLELVCPPPSLCTDNGVMVAWTGIEHFLLGRFDPPPPADEPEDAMFELRPRWPLGEEYSQGKSEARSLKRARVFPSLTSMIQGSVQN >Ma02_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13900429:13920216:1 gene:Ma02_g02640 transcript:Ma02_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MSSYLFASSPCSVSRFSLLLGSSLPFFGIKSSAPRFTRRRHTSKSWAHLWTRSSSKLFSALSSPPPAYDDVIMLGIETSCDDTAAAVVRGNGDILSQVVSSQKARRLAGVFNLPIVGVHHMEAHALVARLIERNLQFPFLALLISGGHNLLILAHKVGHYLQLGTTIDDAIGEAYDKTARWLGLDMRKGGGPALEELALEGDANSINFSIPMRQHKDCNFSYAGLKTQVRLAIESRKISMEHNPISLANLDDRRSRADIAASFQRVAVLHLEERCQRAIEWALKIEPSIKYLVVSGGVASNKYVRARLSHVVENSGLELVCPPPSLCTDNGVMVAWTGIEHFLLGRFDPPPPADEPEDAMFELRPRWPLGEEYSQGKSEARSLKRARVFPSLTSMIQGSVQN >Ma08_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3793495:3797079:1 gene:Ma08_g05570 transcript:Ma08_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGENLKKPKLPNHFRICSAYKLSCIDCGKTFDQETVQRHTQCISEAEKYGPKDQGKASQKAQEKPDKPKPNADVDVNVGLSSRPPWFCSLCNTNTTSKQTLLLHADGKKHRAKTRAFHAAQKQSTQTVEPTSNMEIADGKPSAKSIESNGFLKADESRDEETAKLVAEVENESSVKRKRKNDVIEDFAKDNEKNVHDLSNGEVIQARETGAEGQPNKKKHVEDHHDKQCHSKEFPQHKIKWKKLVTSTLKMNPDLTMKVKKLQKIVIKMVKESGVTQDEAQLRGMLMNKINSSSRFVVDNKKIQLVIKAKDC >Ma10_p29110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35773350:35782961:1 gene:Ma10_g29110 transcript:Ma10_t29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQVGGGSLRRSRSGGSVSERKNALENGSAESGRWTSTPRPSTMSGDRTVKRLRLSKALTIPENATVREACRRMAARRTDAVLLTDSNALLCGILTDKDITTRVIAQELKLEETPVSKVMTRDPIFVLSDTLAVEALQKMVQENGEVIALLDIAKCLHDAITRMERAAEKGKAMVAAVEAMEKHWGTTISGPNTFIETLRVQMLRPSLSTIIEENSKFVTVSPTDSVLTATKKMLELKISSAIVTIENKPQGILTSRDILMRVVTKNLSPESTPMEKVMTPNPECGTVDTPILDALHTMHDRKFLHLPVVDRDGFIVSVVDVIRVAHAAIATAGSNAGARNQTASSMMQKFWDSFLSLGPLDDDDDFRSEGSTRVTSEATERIKYALHPSSSLSTSFGFKLEDKHGRMHRFNCETQSLTDLITCILQRVGDDIDKSHLPQILYEDEDHDKVILASDGDLVAAVDHARQAGLKSLRLHLDHSGLGRRKKGRESGSLEYAHKDAWAAAYSTVAAGAAFVAGIGVMAYLKRSSA >Ma10_p29110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35773350:35782961:1 gene:Ma10_g29110 transcript:Ma10_t29110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQVGGGSLRRSRSGGSVSERKNALENGSAESGRWTSTPRPSTMSGDRTVKRLRLSKALTIPENATVREACRRMAARRTDAVLLTDSNALLCGILTDKDITTRVIAQELKLEETPVSKVMTRDPIFVLSDTLAVEALQKMVQGKFRHLPIVENGEVIALLDIAKCLHDAITRMERAAEKGKAMVAAVEAMEKHWGTTISGPNTFIETLRVQMLRPSLSTIIEENSKFVTVSPTDSVLTATKKMLELKISSAIVTIENKPQGILTSRDILMRVVTKNLSPESTPMEKVMTPNPECGTVDTPILDALHTMHDRKFLHLPVVDRDGFIVSVVDVIRVAHAAIATAGSNAGARNQTASSMMQKFWDSFLSLGPLDDDDDFRSEGSTRVTSEATERIKYALHPSSSLSTSFGFKLEDKHGRMHRFNCETQSLTDLITCILQRVGDDIDKSHLPQILYEDEDHDKVILASDGDLVAAVDHARQAGLKSLRLHLDHSGLGRRKKGRESGSLEYAHKDAWAAAYSTVAAGAAFVAGIGVMAYLKRSSA >Ma09_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10642029:10650932:-1 gene:Ma09_g15360 transcript:Ma09_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLFASSPDKSELDLKSSFRKPTNDATSCKYRRHSPVGRSDSSSSGGSPSPLHPREVHTRTPDDQQRKDAGRESERDSGSIRSSRGHDSRKHAERHSHANSHDCRRHDDYSRHHRHVDENNRNYQMSSRAGRESRDYRHSDDTESERISDEN >Ma06_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13242172:13244161:1 gene:Ma06_g19280 transcript:Ma06_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKRSEWHAAARKSSNPQPVAVSFTVRAGAYSDELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTTDGKKMVDVLVEQKIAPGIKVDKGLVPLTGSNDESWCQGLDGLASRCAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKEKATPEQVADYTLKLLHRRVPSAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDVLLVRAKANSLAQLGKYSAEGEAAEAKEGMYVKNYSY >Ma07_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8730587:8732160:1 gene:Ma07_g11740 transcript:Ma07_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLLFDIRLQRQYERLRSMALPSPFSSPTLKTNPCGSSRVPTTSSVSHHHHFLTCPSGGEPSPHRKKSQSLVVLGHRQGQPLIENCILCDRKCLRELSSWGIGGPCRYFLRTSGASQLVAAVRYCRERCIPFLVLGRGSNCLFDDRGFDGFVMLNRVDQLELTEPGVYRVGSGFHFNRLGVRCSAEGFSGLEFAGGIPGTVGGAVFMNAGADCQETGDVVDSVEIVTMDGELQVLRRSELAFGYRWSAFQDMKDLAAIVAVTFRLTPSTAATERQRAFLERRRRTQPIGERSAGSVFRNPSGAEMSAGRLIELAGLKGFAVGGAKVSNVHANFFVNFNGSTSQDMLALISHVKESVDKKFQIELKEEVKYVSHRTQM >Ma02_p09020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19202881:19211625:1 gene:Ma02_g09020 transcript:Ma02_t09020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISNLFSILHLDAEDDKEQIASLSVAKDETHAKKSDVTKGKGQTSGKNKSSKDDRLQQQTLPSSSGDYKMPLVWIDLEMTGLSIEVDRILEIACVITDGNLTKSVDGPDLVIKQTKECLDNMGEWCREHHGASGLTERVLRSTITEVDAEKQVIDFVKKHVGGHTPQLAGNSVYVDFLFLKKYMPSLAVIFPHTLVDVSSVMALCIRWFPKEKRRAPAKEKRHRAMDDIKESIRELKYYKEAIFKAPNRARQ >Ma02_p09020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19202868:19211625:1 gene:Ma02_g09020 transcript:Ma02_t09020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTKEDETRRRGKQLLSAGEGYKMNSISNLFSILHLDAEDDKEQIASLSVAKDETHAKKSDVTKGKGQTSGKNKSSKDDRLQQQTLPSSSGDYKMPLVWIDLEMTGLSIEVDRILEIACVITDGNLTKSVDGPDLVIKQTKECLDNMGEWCREHHGASGLTERVLRSTITEVDAEKQVIDFVKKHVGGHTPQLAGNSVYVDFLFLKKYMPSLAVIFPHTLVDVSSVMALCIRWFPKEKRRAPAKEKRHRAMDDIKESIRELKYYKEAIFKAPNRARQ >Ma02_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19202871:19211625:1 gene:Ma02_g09020 transcript:Ma02_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISNLFSILHLDAEDDKEQIASLSVAKDETHAKKSDVTKGKGQTSGKNKSSKDDRLQQQTLPSSSGDYKMPLVWIDLEMTGLSIEVDRILEIACVITDGNLTKSVDGPDLVIKQTKECLDNMGEWCREHHGASGLTERVLRSTITEVDAEKQVIDFVKKHVGGHTPQLAGNSVYVDFLFLKKYMPSLAVIFPHTLVDVSSVMALCIRWFPKEKRRAPAKEKRHRAMDDIKESIRELKYYKEAIFKAPNRARQ >Ma08_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37729974:37734770:1 gene:Ma08_g24630 transcript:Ma08_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPVDASASDASSMPQKRFSNLRSVRWRIDLGVLPRSPPASIDDIRRVTADTRRRYANLRRRLLIDHHSPKNGKASPDLTVDNPLSQNPDSNWGRFFSYAELGKMIDQDLSRLYPEHSGYFHTPICQALLRRVLLLWCLQHPEYGYRQGMHELLAPLVYVLHFDLDHLTQVQKLYEDLFSDEFDKISFPEGDFLSSHRIRRVKNWESGIEIENNLHKICNDHSLDELDPDTREMLLLSDSYGAEGELGVILSERFMEHDAYFMFENLMFGAQGVVSMASFFSPVAGSNSNLPPVIEASSALYYLLSIVDSSLHSHVVELEVEPQYFALRWLRVLFGREFCLDDLLVIWDELFYSSNSRYIDNDVEFNFEVLCSPRGAFIAALAVSMLLYVRSSLLATETATTCLQRLLSFPQNPDMKKLIEQAKSLQMLALESNILSSPCQTYSNKNQLTISRGYSLPSASALAKTSLNVIPDRYWEEQWRVLHKDEELRKQSNGHSSSSGIMKKILTKRLSFSRTNSEPFEGNNAHALSSVRRRLFGDSSEVIEVAKDHVKSECNESPVISDNLNVGKGFPEELADQRTSNCMVEETLLSGHNTLVVSTPTSPHDIGNDHENESEKSSITSNSFLGDNDEETISTEESCSQNKQDEESTNMEEPCCQNLDKQLAQDAEATSSGDVDSIPEQIAAPKDRKPFAGKFQWLWRFGRGSKEENQESKRSQNAGHIEKDSFDISHCDGTYTSCGINKRIEVGDKKVMDTIRNLGQSMLEHIQVIETVFQQDKSKFDSSDNLSNNILGGKGQGTAIAALKELRKISSLLREM >Ma10_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1521833:1522366:1 gene:Ma10_g00270 transcript:Ma10_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYETLPISTSSPGFVSLTTWCPWRELADVRALGRPAGLGEAYIRTRTNAAHFSMNYAIIVLLFVFLSLLWHPLSLIVFVASMAAWLFFYFLRGEPLVVLGWVIRDRVVLMGLAVVTLVPLLKTNATANILTSLSVGLLLVVVHAALRRTDYAFVEAEGPCYAAFGSAPASVQSSR >Ma03_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23771889:23772089:1 gene:Ma03_g18170 transcript:Ma03_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGPTGEFFRRRDEWRKHPMLTNNLRRATPGLGIALVAFGIYLVGEAAYNHFRGPDPHSTSHH >Ma08_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3759759:3770835:1 gene:Ma08_g05540 transcript:Ma08_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYGTASFLTQADALLRKNLIYQRRNIKQNIILTIYPVFLCLLIISIQSVVNNELDKPRYHCGCKCIDANGSGTCRDVCGVQFSTSIQAIACPVPRPQEWPALVQVPSAKYRAVRAASHNFRGLPDGSCFKDLSCAATSLFTGQNESIAKSLVKRILLNSIPENKEDISSFVSVAYLGTNSIPGPDNYVERGLLSQSPVHLILPLCSVKFNLTVPVTIGDVQNKKEVNCIKNLYLWRENSSIINEELFQGYRKGNPAHKISEYVGAYDFLNTSKINFNVNLWYNSTYSNRGKPRLLRVPRTLNMVSNAFLRQFKSSKSRILLSYVKEMPKAGTRIALDLSELLGPLLYSWVVQLLLPVFLISLVHDKQHMIRIMMKVHGLGDGPYWVITYCHFLSISFLYMLWLATFGSIIGLDIFRLNNYCIQFLFYGLYINLQIVLAFLAGIGFSDVHSAAVVGYIYVFGSGLLGSFLFQFYIEHLDFSRKTLFIMELIPGFSLYRGLYELSQFALGGHYQGTYGMQWKDLSEHDNGIKEVLVIMALEWLILLYVSYYLDQLALARNGACRYSSIFTRNFKNRSIQPTVPIKIPSSTITVDQENSDIFEEVCKVENLLKKSTGGHPIILSNLEKVYTGKDGNPNKQAVHSLSLAVAKGECLGMLGPNGSGKTTVINMVTGLLLPTSGRVFLSNKEISSSMDNIHTKIGICPQKDLLWDSLSGREHLMFYGRLRNLKGLELQQAVDNSLRSLDLYEGNVGDHLAGTYSGGMKRRLSVAISLIGDTQVVLLDEPTTGLDPDSRNYLWHAIKLAKRDRAIILTTHLMDEAEFLCDRLAIIVNGRLRCVGSSSELKTRYEGSYQLTISADPINKDIIEDMVTSLCSDAMKIYDVIGTQRFRLPKQVVRVQEIFKAIEVLKRRVAIHAFEVTGTSMEDVFSNVVNHALESEID >Ma06_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2610023:2614839:1 gene:Ma06_g03580 transcript:Ma06_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLALRRFARFLYSLFLFPILIPSTLSASPPPPLHASSTPAVVATFKVGLILDMSSATGKVYRTIARMAADDFYDKYPNSTNRIVLLPRDSSRDVVNAAAAALELLNDDEAHAILGPQTSVEAPFVADLGAKARIPVVSFSATSPAVSPARSPYFVRAVPSDAAQVRAIAAIVQAFDWRRVVAVYEDSDYGTALVPFLVDAFDEVGASVPYRCALSPSATEDHISAELYRLKTLQTCVFVVHVTFPLAIRLFPLIRSAEMMSDGFVWIITEGLTSLLGSIDPPTLVPDSMQGVLGVKPYIPWSPRLRDFKRRWRREFLKENADSDVTELSNFGIWAYDAVWTVAAAAERLGSVVGPGFDMPGNNGTTDFSKLGVSRTGPKLLDYIKQTEFQGLGGRFRLDNGELNVTAYQIMNVNGEKAREIGFWTARHGLTRELNSSSTSDYSATREGLLPVIWPGYSTAVPKGWVTPTSGRKLRIAVPGPVEPGFHSFLDVERDPATNVTLARGFVIDVFEAAVRQLPYALLFEYEPYRNANGTSGGDYNTLVKQVYDKKYDAVVGDVTITANRSMFVDFTLPYTVSGVSMVVPLRDQHSKNAWIFLKPLTADLWLVSAAFFVFTGAVVWALEHRRNAEFQGTPGQQLGTVFYFSFSTLVFAHRETLMSNLSRVVVIVWVFVVLILQSSYIASLTSMLTVQQFRPTVSDFEELKHSGQHVGYLKSSFTKGLLLKLGFEESKLKPFRSPQQYHEALSNGSVAAIIDEIPYLRVFLKDYCDNYTMAGQTYKTGGFGYAFPKGSPLASDLSRAILNITEGEEMTEIERRWFGDQTSCPNQGSTLSSDTDRLDFKSFWGLFLITGAVSMLCCIVFLSRSAYRNRRTFKDIAAEKSFSRRLISIARLFNAKDSSSHGTRRRTEPKQVGPATRDASPSASPYSNDADDQTTISDHTFDGGSPLPEIGSPITDPRPHAEITEASR >Ma07_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3161997:3162244:1 gene:Ma07_g04200 transcript:Ma07_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQISGMIFSLEPVLCLLCARADQVERALKAHFHDAIISIL >Ma08_p28920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40704781:40706548:-1 gene:Ma08_g28920 transcript:Ma08_t28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDASTDAIVSWSSTGASFVVWNPIDFVTSLLPTYFKHNNFSSFVRQLNTYGFKKIDPERWEFANDDFVKGQNHLLKKIHRRKPTHSHSHPPGGGLADAERVALEGTIERLNQEKAGLEDIIQKFMQQKSGTEIQIDDLERRLADMEQRHLRILAFVQRALQKPELMANLMKMAVTSSMDISVIHKKRRLPPDLDYSNQASVNNLRADRNTSTNPEKGYILDQDFCEKLKLELSSGIPDDSLITVVTHSSNEDNDWAAHATDLKTSNTARENDLTIPVVTIQSMVAQSTDAKVVSSQEVPPSNEASAVHIPANDVFWQQFLTERPG >Ma08_p31840.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42641575:42644176:-1 gene:Ma08_g31840 transcript:Ma08_t31840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKTYFLPWSWTCLVLTTWLSCAQRLSAVTLDRSMQGKDMDSNESVHFQRGSVDIVTFKGSKLGKIEAFWIGLESGSWRLDGVSLTVISGPVYLSPFTESGDESQFDCVQYKFEANNLLLGEGGISIVELRPFCSTELSRISFTTSLNMQSLSQTLLLNKQTTKEDSMREYADLKFSLLVYDLILISSGSSILNFSFNEKAAYAFLAGGIGGFLYLLLLQRSVDGLSAPGNQSENGENFLQASGGFKRQWLTLALVIALGSTVLKYRIGGTTIALSPTELFIGVAGFLTSKIAVVLAAFRPFERNSKNK >Ma08_p31840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42641575:42645028:-1 gene:Ma08_g31840 transcript:Ma08_t31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKTYFLPWSWTCLVLTTWLSCAQRLSAVTLDRSMQGKDMDSNESVHFQRGSVDIVTFKGSKLGKIEAFWIGLESGSWRLDGVSLTVISGPVYLSPFTESGDESQFDCVQYKFEANNLLLGEGGISIVELRPFCSTELSRISFTTSLNMQSLSQTLLLNKQTTKEDSMREYADLKFSLLVYDLILISSGSSILNFSFNEKAAYAFLAGGIGGFLYLLLLQRSVDGLSAPGNQSENGENFLQASGGFKRQWLTLALVIALGSTVLKYRIGGTTIALSPTELFIGVAGFLTSKIAVVLAAFRPFERNSKNK >Ma08_p31840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42641575:42646173:-1 gene:Ma08_g31840 transcript:Ma08_t31840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLVKHLVPSTHANPFARRRFGNCTSEDSKLLHILPVQWGVNKHMHFSHFTTRSKQAPVQDFQDFANPSRLLQTTTVKLQTKYVPEDILSSLELDMSSSYYMVELCTSKDFGSCLRDVNAAILLCLIDVNGDALLQRLSAVTLDRSMQGKDMDSNESVHFQRGSVDIVTFKGSKLGKIEAFWIGLESGSWRLDGVSLTVISGPVYLSPFTESGDESQFDCVQYKFEANNLLLGEGGISIVELRPFCSTELSRISFTTSLNMQSLSQTLLLNKQTTKEDSMREYADLKFSLLVYDLILISSGSSILNFSFNEKAAYAFLAGGIGGFLYLLLLQRSVDGLSAPGNQSENGENFLQASGGFKRQWLTLALVIALGSTVLKYRIGGTTIALSPTELFIGVAGFLTSKIAVVLAAFRPFERNSKNK >Ma08_p31840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42641575:42644176:-1 gene:Ma08_g31840 transcript:Ma08_t31840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKTYFLPWSWTCLVLTTWLSCAQRLSAVTLDRSMQGKDMDSNESVHFQRGSVDIVTFKGSKLGKIEAFWIGLESGSWRLDGVSLTVISGPVYLSPFTESGDESQFDCVQYKFEANNLLLGEGGISIVELRPFCSTELSRISFTTSLNMQSLSQTLLLNKQTTKEDSMREYADLKFSLLVYDLILISSGSSILNFSFNEKAAYAFLAGGIGGFLYLLLLQRSVDGLSAPGNQSENGENFLQASGGFKRQWLTLALVIALGSTVLKYRIGGTTIALSPTELFIGVAGFLTSKIAVVLAAFRPFERNSKNK >Ma08_p31840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42641575:42644176:-1 gene:Ma08_g31840 transcript:Ma08_t31840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISHSPKLDFANPSRLLQTTTVKLQTKYVPEDILSSLELDMSSSYYMVELCTSKDFGSCLRDVNAAILLCLIDVNGDALLQRLSAVTLDRSMQGKDMDSNESVHFQRGSVDIVTFKGSKLGKIEAFWIGLESGSWRLDGVSLTVISGPVYLSPFTESGDESQFDCVQYKFEANNLLLGEGGISIVELRPFCSTELSRISFTTSLNMQSLSQTLLLNKQTTKEDSMREYADLKFSLLVYDLILISSGSSILNFSFNEKAAYAFLAGGIGGFLYLLLLQRSVDGLSAPGNQSENGENFLQASGGFKRQWLTLALVIALGSTVLKYRIGGTTIALSPTELFIGVAGFLTSKIAVVLAAFRPFERNSKNK >Ma09_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4048602:4048857:1 gene:Ma09_g06320 transcript:Ma09_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIVQHFVLCPLSLWCEMNILVSVA >Ma02_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22487783:22491840:-1 gene:Ma02_g14420 transcript:Ma02_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAKKEAFRKYLESSGVLDALTKVLVALYEENDRPSSAVEFVQQKLGGPSISQYEKLLAEKSDLQLKYDELLAAHREKCRELEELRNLKLTTTLKENTNGDKLKDGL >Ma02_p14420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22487793:22491840:-1 gene:Ma02_g14420 transcript:Ma02_t14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAKKEAFRKYLESSGVLDALTKENDRPSSAVEFVQQKLGGPSISQYEKLLAEKSDLQLKYDELLAAHREKCRELEELRNLKLTTTLKENTNGDKLKDGL >Ma00_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:21699639:21700232:-1 gene:Ma00_g02810 transcript:Ma00_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQQSAPPSAVVNKTVSSVASLVKLLPSGTVLAFQALAPPFSNRGACRRSNRYLTAALIHLCAAACALLSLTDSLRGGDGKLYYGIATLGGLHVVNYEGEEEERGRVLRDLRRYRLRVRDGVHAVLGVVMFLAVAFSDADVVECFFPEVGAEVRQLLVNLPLGAGLVASVVFVMFPTTRKGVGYADTAHHTELS >Ma04_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11207696:11209337:1 gene:Ma04_g14770 transcript:Ma04_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDF5 [Source:Projected from Arabidopsis thaliana (AT1G55370) UniProtKB/TrEMBL;Acc:A0A178W3N4] MASAAAILPGSSPLLHARPPKRLGTLHQHLPFASLRRRHKRQISVTAALASSISVPINLDYLETEFSGHGVTFEAIGDSCVVKMGLVNGSVASLMLPCGLITSYKPYMWHGATFEVLHTTVSEGADGAAVVRGGVSMDFEIGGDGSIPWSPSSWSLESVRGSPEKSIQVELVSVSPVDMAEVRCLVTLHQDLLGSELLISNTKSSPLQLTGSFVSHLKVSTPDAAYAVGLQGSNYQSRQPLSSRFSMDPPDLGRRSPSTSKKPWTQNVLRRLLPRWGDTGEEEEEEEEEEELNEGGDAEESEGEEEDDYARMTEKMSRIYTSAPRQFTIIDRGRRNSVVIRRSGFEELYMSSPGSEHEWYGKYAYVCIGPAAQLTPLVLGPGDTWRGAQYLHNPNL >Ma06_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2483672:2486924:-1 gene:Ma06_g03380 transcript:Ma06_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDESSPVFHDFLGMSYGGGSLPAARWTKGTGIRRLPGEAEASGSASASVGVSSIGHRLISGSVDLGSEMQGVSNSEVSQHDGTEIAPSGLELGNTTGRKRNHFDSSYMGPMKDAVLPMGSGSLESSNATKMLGKEVINEQLGRANDVEMMSSMQPPIRPTKQIVHQTSHYGIGMYWDNIPSYACKYSTLGGAIISQSAADEGSRTGIRGSGVMNVLGHNRSSQTTEPKSYYHVPRNRATACVSRQMTIFYAGQAHVFDDVHPNKADAIMALAGSSGGSWSTTYSTAMNKAKVLGRDDTQKENVLLSNGGDRNHLLGQVTHTPVADPLSGHTSADHRGGVATRDASLMARAAEPNTEGKWMS >Ma06_p03380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2483672:2486924:-1 gene:Ma06_g03380 transcript:Ma06_t03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDESSPVFHDFLGMSYGGGSLPAARWTKGTGIRRLPGEAEASGSASASVGVSSIGHRLISGSVDLGSEMQGVSNSEVSQHDGTEIAPSGLELGNTTGRKRNHFDSSYMGPMKDAVLPMGSGSLESSNATKMLGKEVINEQLGRANDVEMMSSMQPPIRPTKQIVHQTSHYGIGMYWDNIPSYACKYSTLGGAIISQSAADEGSRTGIRGSGVMNVLGHNRSSQTTEPKSYYHVPRNRATACVSRQMTIFYAGQAHVFDDVHPNKADAIMALAGSSGGSWSTTYSTAMNKAKVLGRDDTQKENVLLSNGGDRNHLLGQVTHTPVADPLSGHTSDHRGGVATRDASLMARAAEPNTEGKWMS >Ma02_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14637852:14661987:-1 gene:Ma02_g03290 transcript:Ma02_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSQASPVDAILEKENFTLEDLLDEDEIIQECKALNTRLLNFLRGKAQLEQLLRYIIEEPPEDADKKNIFKFPFIACEIFTCDVDIILRALVEDVQLLDLLFSFLKPDHPHSTLLAGYFSKVVICLMMRKAGPLLNYVQGHREIIHQLVDLIGITSIMEVLIRLIGADENMQFGYMDTMQCVEDTDVLEMIVDKFSSSDSPHVHANAAEILCAITRCAPPALSAKICSPSYVGRLFHHALEDSRPKSVLVHSLSFCICLLDPKRLVASSYQAFRSQLTHGSLVIASHETVEGMLERLGDLLKLLVVSSLESNLQTTYGKLQPPLGKHRLKIVEFISVLLTIGSEAAEKELTQLGAIKHVVDLFFEYPYNNFLHHHVENVVGSCLESKRTLLVEHILHDCDLAGKIIAAEKQTSLSTDSTKATISAEGRLPPRIGNVGHMTRIANKLMQLANSKRIIQTHLQENSDWVDWHNHILLKRNSVENVHQWACGRPSTLHDRIRDSDDEDFRDRDFDVATLAGNLSQTFRHGIYANDMEEAQGSFERDDEDAYFNNESAEVISSLSLGDDQDSSQITNSDLFASENDRGVTDYSNDSLASSPNSSETSPRVDEPDKVVIGENKDPSDIETSLQVTDPGATSGESEATISGNGPVDEPREDTSNSTTSKGDKPLGWIEWRETSRSDMPGVEPTADVPNGQLRMDKNMDEVAVDTDKHRPLSEDADGQGGETGVLPGSTNIPAVKLPESSKGNPCGDLPEPLAFSTVENTGK >Ma01_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24807327:24808183:-1 gene:Ma01_g22420 transcript:Ma01_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHWSILLQNPLGSYSWSLFYDMSKFFFFFLEKLRTALSLVGILIRLVLIEKGNAPASLDGFKSLRSSPVVCSKLLIYLFYYLLS >Ma07_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27220794:27222180:1 gene:Ma07_g19160 transcript:Ma07_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDQIELKAISCKGVKSFNLFQKTCLSAAVFLSFADADRPRRTQRLQTTVDRDGGENPEWDQPMRFDRDGDDLVLEFELKDHGGLLPGDKIVGRASVPVADLAAERLPGAFRRVSYQLLAPDGKPNGILSFAYRIDGRGADIAPPPPDFLPTAAVLGYMPPHDPPAIPPPLVYCPPPGSNSMYPPPVPTATAPVLSYTAPPGSSPMYPPLPLEPAWSMHPPPPPSESIWSPCPPPPSGANWSMYPPAPPRPEPNWLLYHPAAEPAISYSAPSPLEAPTYPPPPGPAATGYANCDQRHVAYGWMTDGWHPGAYGR >Ma08_p28230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40240548:40243748:-1 gene:Ma08_g28230 transcript:Ma08_t28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIRGPSSLFLFYLFLCLASVVASQTLPNNDQKRILLRIKREWGGEPALDSWNVDNPTTYCKWLGVGCAADGSVVNITLSDQTWTRITRPIPASLCDLKNLTHLDLSYQRIHTRFPTSLYNCSSLRYLDLKQNGFVGAIPADIDRLSPRLTLLDISGNNFTGDIPPSIGRLPAIQTLWLYANLFDGSLPAEIGNLSRLQQLGLAYNSFAPMRIPSEFGNLTKLTFLWMTSANLQGEIPPPFAQLKVLTQLDLSENSLTGAIPAGIWGLPNLQYLYLYKNNLSGSVVIDGTIGALGLVEIDLSMNQLTGSIPHDFGKLKKLSLLYLYYNRLSGEIPASIGKLPSLSTLRLFSNGLTGVLPPELGKNSPLIDIEVDDNKISGELPDGLCDRGAFNSIVVFDNSLTGRIPSSLGKCSKLNNFQIHNNRFSGELPDGIWSAMYLTTVMVSNNNLTGRIPPSLGKCSPLTTLFVDGNQFSGKVPDGIWAAVNLTILVMNDNAFSGTLPHKLPPKLSWLEIVDNRFTGKIPSSAPSLVVFLASNNMFSGELPSNLAGLSTLQLFNMGNNMISGRIPEDISLLKSLAELNLRHNQLTGEIPTSIGSLKQLTSMDLSGNELSGSIPSEMGNLKLSYIDLSSNQLSGEIPVALQTEAFDQSFLSNPGLCASNSLLSVPTCPTRDHNLLSRLGLRILLLALCSLVFLTAATLFSICVIGKNRKRRHLAAWTLTPFHSLDFTKSDIVNGIKEENLIGVGGAGKVYRVTLGNQASEIVAAKKIWNGGNLDGRLEKQFQAELQILGSIRHKNIIKLLCCCSSLNSKLLVYEYMENGSLHKWLHRKHMAAGGSPQETPLDWPRRLEIAIGSARGLCYMHHDCSPPIIHRDVKSSNILLDSELNVKIADFGLARMVAKPGELDTASVVAGSHGYIAPECGYSRRLNEKVDVYSFGVVLLELTTGREAYDGGDDQCNLAEWSRRHLQEGGEPGDAIDPELRGSSHVDDMATVFELGVLCTETSPSQRPSMKEVSRFLMRCKRA >Ma04_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7730862:7732949:1 gene:Ma04_g10950 transcript:Ma04_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPGVLLRLLQDDKSSSNPPRRPLHATPAVLQITGIVPAVAGPDLCPDKGFYIKVSDSSHSIYVSLPADLNDLILADRLQLGQLIQVRRLEPASPVPVLRDFRLLAGRHPCLHDTVDLIYAAPAASSSATPPLPPPEKKKRQLHSRSHSSVGDRISEVGMSSPSFAPSPTNRAAKRRERRSSDALHELQKFTVPCMDEDTYDSDDSRFSCTSSPASSRFSYASSSFSSPSPCTTKARKSWHASGRITERRREAKPTVQSRSASVSPSRLAHHARLMPKDDAPAAFQRNTEKALKVLGNSGKWKLPGSDKASTEISSTTTTSFCSSDGGVLWASLPPNLMRHGKEVVRQRDSSLQAAIDALLEASAADKLIECLSTYSELQSDKDGDPQLMVNRFLNFHHTLGQTRLIAQSLERSTQPSSCNYNPASARSVGKVAAERKSCATSWVKAALESDLVRFPTQVKALPETSEAPPSHGGRTKNSLAKGSSLLLASNTLQHEYNRWFLRYIDKFLDSIQSKTGYDGCELEVAGLLCQLKRVDGWLNSITSKEISSWPRDRLRDGVLSEEDEAEACERVRRKIYNVLLRHVESAAIALESMSTPDEEQDRELMLSS >Ma05_p02080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1328135:1333663:1 gene:Ma05_g02080 transcript:Ma05_t02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNATEPSSTISFASSSYLSNCSSAYHAPVAAPNPPVQHAAPDGGTNLEVLSLSKLSSNLERLLVDTEFDCTDAEIMVEGAPVGVHRCILAARSRFFRELFSREGSGGAPREGKPRFDMDELVPGGRVGREAFMVFLSYLYTGKLKPAPQDVSICVDRFCAHDSCPPAIGFAVELMYASSVFQIAELVSLLQRRLLNFVEKALVEDVIPILQVASHSKLNQLLTHCVQRVARSDLDDIALEKKLPQEVTDEIRSLRRKSQPKESNVTVDPVHEKRIKRIHRALDSDDVELVKLLLSESGVTLDDTYALHYAAAYCDSKVIAELLDLGSANVNLKNDKGYTPLHVAAMRREPKVIVSLLTKGASALETTADGQNAVRICRRLTRAKDYFTKTEQGQESNKNKLCIDILEREVRRNPMVGEDAVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMEIAHADTTSEFTGLYKSRSSSNLRDVDLNETPVVQNKRLRTRVDTLMKTVELGRRYFPNCSQVLDKFLDDDLPDLFYLQKGSPDEQKIKKLRFCELKEDVRKAFSKDKAGSLLSGLSSLSSSSLPKD >Ma05_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1328135:1333663:1 gene:Ma05_g02080 transcript:Ma05_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNATEPSSTISFASSSYLSNCSSAYHAPVAAPNPPVQHAAPDGGTNLEVLSLSKLSSNLERLLVDTEFDCTDAEIMVEGAPVGVHRCILAARSRFFRELFSREGSGGAPREGKPRFDMDELVPGGRVGREAFMVFLSYLYTGKLKPAPQDVSICVDRFCAHDSCPPAIGFAVELMYASSVFQIAELVSLLQFTAAEPTCSSFCNAQRRLLNFVEKALVEDVIPILQVASHSKLNQLLTHCVQRVARSDLDDIALEKKLPQEVTDEIRSLRRKSQPKESNVTVDPVHEKRIKRIHRALDSDDVELVKLLLSESGVTLDDTYALHYAAAYCDSKVIAELLDLGSANVNLKNDKGYTPLHVAAMRREPKVIVSLLTKGASALETTADGQNAVRICRRLTRAKDYFTKTEQGQESNKNKLCIDILEREVRRNPMVGEDAVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMEIAHADTTSEFTGLYKSRSSSNLRDVDLNETPVVQNKRLRTRVDTLMKTVELGRRYFPNCSQVLDKFLDDDLPDLFYLQKGSPDEQKIKKLRFCELKEDVRKAFSKDKAGSLLSGLSSLSSSSLPKD >Ma08_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11051149:11060562:1 gene:Ma08_g13930 transcript:Ma08_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRRSYPFRSPSLVSRLHTLVSDRQRRNHSSTGENGDTGSVLPPKEGSYDQSSWKTVDSRAVGIRRSSISSSTWTVLNILQRKGFDAYLVGGCVRDLLLERIPKDFDVITNATLKQIKKQFRRCIIIGRRFPICQVHVQGSIVEVSSFNTNDKDLKKQKNDVHSQVSNGCDVDDFVRWKNCMDRDFTINSLFFDPNCYTIYDYVGGIRDLRTLKVRTVIPAHLSFDKDCARILRGLRIVARLGLQFSKETAAAIRDLSSSILTLNESRLRMELNFMLAYGAAESSICLLQKFKLLDILLPIQAAYLADQSRKQVAQGSTMLMKLFSNADKLLAADHPADSILWLALLAFHLALVENPQDALVVWTFSAILHNGTWKKASEYARKNVKAHAQFVPEIRSSSDTKSDELILEETSHLASLVKSSVNAFTSIDALQQSLGSYQGPLSSGVVLVSEKMGSNVSKLFKILESDIESYDNGRKTCEINYQLLKKGDPDETRFMLGKIIMETMNSESESPHDQSQNLPTTQKSHHKLSALFK >Ma10_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32011706:32014396:-1 gene:Ma10_g22800 transcript:Ma10_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLTPKLSKKSYGGDGGAYYAWCSDDLPMLRAAGIGAGKLALEKSGLALPFYSDSSKVAYVLQGGGTCGIVLPEATKEKVIAIKKGDAIALPFGVVTWWFNPSDTELVVLFLGDTSKGHKAGQFTNFPITGGNGIFTGFTAEFVGRAWDLTEDEAKKLVHSQTIVGIVKVKDGQAMPEPSAKDREGMVLNCLEAPLDVDIKNGGCVVVLNTKNLPLVGEVGLGADLVRLNGHAMCSPGFSCDSAYQVTYVVRGSGRVQVVGVDGKRVLETTVKGGCLFIVPRFFVVSKIADADGLEWFSIITTPNPVFTHLAGKTSVWKAISSEVLQAAFNTTPEMEKLFRSKRTSDEIFFPPPK >Ma03_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4651837:4654001:1 gene:Ma03_g06770 transcript:Ma03_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQIYISGQHKVRSHCVFPWLLLLTTKTMMDSAFSTCFFFFFFFSFYIFTLAANANQADVLLRMMRSKWSPAAQQDSLFRLSNSSKELPAVYVAPQHGLKDLDKIDRLPGQPEGQSINQYAGYVTVDHHNGRALFYYFVESPEDQAKKPLVLWLNGGPGCSSLGYGAMEELGPFRVNSDGKTLRENDHSWIDVANIIFLESPAGVGFSYSNTTSDYRSNGDRRTAEDSYTFLVNWLERFQEYKSHDFFITGESYGGHYVPQLASLILRNNMKMNQSTINLKGIAIGNAYIDRNINEIEMYEFLWAHAMFSDDTHKLIQKQCNGSDTFSLECQDAMFQARRETGEINLYNVYAPRCSAWARENEEYDPCDDDYVRSYLNDEKVQKALHAVPTGWGHCSSMVWTDTTVSMLAMIKKLSTRGLRVWLYSGDIDAVVPVTSTKASLNMLDLPIRRAWLPWYIDHEVGGYVVEYKGITFVTVRGAGHEVPSYQPERSLAMITAFLQGTSLPPKKDKRMF >Ma10_p22730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31982023:31985354:1 gene:Ma10_g22730 transcript:Ma10_t22730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLSTLRRIYLSLYNWTVFVGWFQVLYFAVKALREGGPTTVYGFVERPLQLAQTAAVMEILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETRAHILVSSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFLILYPTGITSEVGLLYVALPYIKASEKYCIRMPNKWNFSFDYFSASILALAIYIPGSPHMYQYMLGQRRKALSKSKTA >Ma10_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31982023:31985354:1 gene:Ma10_g22730 transcript:Ma10_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLSTLRRIYLSLYNWTVFVGWFQVLYFAVKALREGGPTTVYGFVERPLQLAQTAAVMEILHGLVVISFAVGLVRSPVSATLPQIGSRLFLTWGILWSFPETRAHILVSSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFLILYPTGITSEVGLLYVALPYIKASEKYCIRMPNKWNFSFDYFSASILALAIYIPGSPHMYQYMLGQRRKALSKSKTA >Ma10_p22730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31982023:31985354:1 gene:Ma10_g22730 transcript:Ma10_t22730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPLLRREGFEGRRAYHGVRFRGAASAARTDCRGHGDSSWPCLVRSPVSATLPQIGSRLFLTWGILWSFPETRAHILVSSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFLILYPTGITSEVGLLYVALPYIKASEKYCIRMPNKWNFSFDYFSASILALAIYIPGSPHMYQYMLGQRRKALSKSKTA >Ma08_p30210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41570776:41573201:1 gene:Ma08_g30210 transcript:Ma08_t30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLNTVLVAVVPLYVAMVLAYGSVRWWGIFSPEQCAGINRFVAVFAVPLLSFHFISTNDPYAMNLRFVVADTLQKLLVLAALAVWSKLLRRRPFPGGVSSLDLSITAFSLSTLPNTLVIGIPLLVAMYGPYSGSLMVQVVVLQCIIWYTLMLFLFEYRAARLLVADQFPDTAASIVSFRVDHDVDSLDAGAAETAAEFNGDGKIYVTVRKSTSSRRSTVTTPRPSNLTGVEIHSLSSSRNTTPRGSNCNNAEFPSPTPPFRSSSFGPADIYSLHSSLDNDHYATEVPAAAKKPPHPQPSYHHNHRAGVGATTVKAAADAKELHMFVWSSSPSPVSDHVDHGAKEIPVVVPADVPFNGLTTKGFDEEEAANYKGAGGGEGGGPSDSDGGPHQMPPAGVMTRLILIMVWRKLVRNPNTCSSLVGLLWSLVAFRWNITMPKVVEKSISILSDAGLGMAMFSLGDAVESSTGLFMALQPRIIACGNRVAALAMVIRFVAGPATMAVSSVAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNLHPAILSTAVIFGMLIALPITLLYYILLGL >Ma05_p30890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41076863:41078529:-1 gene:Ma05_g30890 transcript:Ma05_t30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVDRCALAEVTMDAGLASGLCFHESTEGLEHKLLCATLELESLRANVKEEIRRREEDINQLIQRIQVVTHERDAARDQLQLLLDKITQANTGELSPMLSGSLQPDGPQMRQTGATSNITESDSLSGIPTHNSHSIVAFPESSSIKMANTCNMLMVQQRSSPANNSTADRASVTINSLATNKPLPQRGKLLQAVLEAGPTLQTLLLAGSLPRWRNPPPPQPFKGPPPGVQAQTASLLTPKIVPNPSSSARTSPNLINHEKSDGASIVCVQATLNSQGDAMKRPPLTSPCIMSHDSLNMKIQKTQCTGGA >Ma04_p39630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36665864:36667083:-1 gene:Ma04_g39630 transcript:Ma04_t39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDHRHHHHHAAAAAGDDDHDEGPSDGAEFRDIHELAPRSHPSQGQRRELWEGGSHRSASLSTGSDAANDGFTSVSREFSAMVVAGSAMHNGGGSNHDNHADDGLQNQLARIGEDELEETNPLAIVPDNNPIPSPRRPLPAGDSGAANPADEVPVHLVKKEEVESKISAWQTAEVSKINNRFKRQEVTINGWENEKVEKATAWLKKVERKLEEQRARAMEKMQNDVAKAHHKAAEKRASAEAKRGTKVAKVLELANFMRAVGRAPSKRSFF >Ma05_p31260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41253497:41258341:1 gene:Ma05_g31260 transcript:Ma05_t31260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESMNRSLRRPAPGSTLTKETDEELALFIEMRKLEKERRDLRLHSTGELDPPLGSKPGSAPKFRIGASAPARKAGIDDFLNSNNGKNDYDWLLTPPGTPLFLSLDTDSKRSPTRENGIPKARPTVLRSRLANAPNPSRNLLASRQPPSYSVLNSSAAATRRPSSSGGPTHGVSRPATPTGSPALPAVSKPARPSTPTSRATLPSRSSAPPSRSSTPVRSSTPTARPSVSAANKPASRSTTPTRRPSAPSTAPVSSAPPRRSSSVTRSGSTISRSSAPPCGSSPTIKPRPLKPSDIPGFSLDTPPNLRTSLSERPSSASRGRPGGPSSRSSSVEPGPNVRPRRQSCSPSRGRVSNGNAHKGGSVQAPSKRQARDGDNSNPVVLGNRMVERTANVRRLAPPKQDNQRLTNDNLSGKTCVSPDSTGFGRSLSKQSLDMAFRHMDIRRSIPNSLRPLMANIPASSVYSVRSGSTRSRTVSASGSPLTTSSTTSSEQSVNNNMTCLGRNEIEDDLTSDKGVRCSSALSISR >Ma05_p31260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41253497:41258341:1 gene:Ma05_g31260 transcript:Ma05_t31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESMNRSLRRPAPGSTLTKETDEELALFIEMRKLEKERRDLRLHSTGELDPPLGSKPGSAPKFRIGASAPARKAGIDDFLNSNNGKNDYDWLLTPPGTPLFLSLDTDSKRSPTRENGIPKARPTVLRSRLANAPNPSRNLLASRQPPSYSVLNSSAAATRRPSSSGGPTHGVSRPATPTGSPALPAVSKPARPSTPTSRATLPSRSSAPPSRSSTPVRSSTPTARPSVSAANKPASRSTTPTRRPSAPSTAPVSSAPPRRSSSVTRSGSTISRSSAPPCGSSPTIKPRPLKPSDIPGFSLDTPPNLRTSLSERPSSASRGRPGGPSSRSSSVEPGPNVRPRRQSCSPSRGRVSNGNAHKGGSVQAPSKRQARDGDNSNPVVLGNRMVERTANVRRLAPPKQDNQRLTNDNLSGKTCVSPDSTGFGRSLSKQSLDMAFRHMDIRRSIPNSLRPLMANIPASSVYSVRSGSTRSRTVSASGSPLTTSSTTSSEQSVNNNMTCLGRNEIEDDLTSDKGVRCSSALSISR >Ma06_p10880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7595506:7598327:-1 gene:Ma06_g10880 transcript:Ma06_t10880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMASSRAFLLLPFLIFHALSQPESSIAPMEKTEQEALYMVIQGFVGQRWNGSDLYPDPCGWTQIQGVSCDLFDGLWYVTALSIGPILENSLECTEKSEFSPLLFQLKHLKTLSIFDCFSSHEQTSLPSSNWEKLAESLENLDLRSNQGLVGGIPANLGQLRNLRSLVLVDNSLVGELPMELGNLIQLKRLMLSGNRFSGQIPASLCINLNQLLILDLGGNSLTGSLPSSLCGLSSLLKLDINSNRLHGSLPPGLGNLSHLALLDLRNNSLSGVPSKSLAGMESLQVLLLSYNPWGGSLLEFEWKNLRNLTTLDLSHMGLEGTIPETIASLKRLRYLALDNNHLSGIVSSKFAALPSLTALYLNGNNLTGELEFPERFYRRMGKRFASWNNPNLCCNAAAMATGSAPHGVAQCKQDQEPSANGSNANERVDDRNPDQNSGLSTSFLFPASSISGFWWGIVVQEVMVMFLLVMLL >Ma06_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7595506:7598327:-1 gene:Ma06_g10880 transcript:Ma06_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMASSRAFLLLPFLIFHALSQPESSIAPMEKTEQEALYMVIQGFVGQRWNGSDLYPDPCGWTQIQGVSCDLFDGLWYVTALSIGPILENSLECTEKSEFSPLLFQLKHLKTLSIFDCFSSHEQTSLPSSNWEKLAESLENLDLRSNQGLVGGIPANLGQLRNLRSLVLVDNSLVGELPMELGNLIQLKRLMLSGNRFSGQIPASLCINLNQLLILDLGGNSLTGSLPSSLCGLSSLLKLDINSNRLHGSLPPGLGNLSHLALLDLRNNSLSGVPSKSLAGMESLQVLLLSYNPWGGSLLEFEWKNLRNLTTLDLSHMGLEGTIPETIASLKRLRYLALDNNHLSGIVSSKFAALPSLTALYLNGNNLTGELEFPERFYRRMGKRFASWNNPNLCCNAAAMATGSAPHGVAQCKQDQEPSANGSNANERVDDRNPDQNSGLSTSFLFPASSISGFWWGIVVQEVMVMFLLVMLL >Ma06_p10880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7595506:7598326:-1 gene:Ma06_g10880 transcript:Ma06_t10880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMASSRAFLLLPFLIFHALSQPESSIAPMEKTEQEALYMVIQGFVGQRWNGSDLYPDPCGWTQIQGVSCDLFDGLWYVTALSIGPILENSLECTEKSEFSPLLFQLKHLKTLSIFDCFSSHEQTSLPSSNWEKLAESLENLDLRSNQGLVGGIPANLGQLRNLRSLVLVDNSLVGELPMELGNLIQLKRLMLSGNRFSGQIPASLCINLNQLLILDLGGNSLTGSLPSSLCGLSSLLKLDINSNRLHGSLPPGLGNLSHLALLDLRNNSLSGVPSKSLAGMESLQVLLLSYNPWGGSLLEFEWKNLRNLTTLDLSHMGLEGTIPETIASLKRLRYLALDNNHLSGIVSSKFAALPSLTALYLNGNNLTGELEFPERFYRRMGKRFASWNNPNLCCNAAAMATGSAPHGVAQCKQDQEPSANGSNANERVDDRNPDQNSGLSTSFLFPASSISGFWWGIVVQEVMVMFLLVMLL >Ma03_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24709917:24710541:1 gene:Ma03_g19360 transcript:Ma03_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIWMSSYITGPDGSLDGRKVTRNQSAEHKNVNVCVELLEDMLDLKGLLIILNNQVRDVVIYIYTHMYVCVYCGCIIISHLASLMSCAHSIIALCGFSCVRSDFFTV >Ma04_p30860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31381918:31382683:-1 gene:Ma04_g30860 transcript:Ma04_t30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSPAPSEFSFGSSPLQRPKLHMKRRSALLVAACDKDANDHDFSGRLVDENMAVLRERIHEMKVGVKKYEAPSEWMGWEKQYHERYRLDVCELMGMVQRWLLSSRPCVGLACVAVVALSLPTTVLVTVFYRVMSVVAGGGGGGGGS >Ma09_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6820502:6821719:-1 gene:Ma09_g09980 transcript:Ma09_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAMALMLVAAAAAMVAMPAEAGDKNSVFQPCADAKVQRWDGFTFGIAFSGRDSFLLNQSLQLSPCDSRLSLSTRGAQLAVFRPKVDEISLLTVNTTTNPSINSGGFMVAFAGRKYAARSSPVFVGNSSYAITSFTLVLEFHKGTLQDLHWKTGSCSSCSGKSSFVCLREQGCAIKAPNCKGQGGSVDCSIGIQLAFSGTDKHDAVLNSWYEVSKLQQYSLYGLYSDLKNTLTGQYDKIF >Ma06_p28240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29964803:29972505:1 gene:Ma06_g28240 transcript:Ma06_t28240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMDDHNSAGKGSKIKKQEVNKVHASKDGTPWGDLWTEGLLCAFEFVRSHKSASSDKSTLRTHVTWKKNNLNSRKQVNKLESDTISVQYMDDAESISPISLNHNDATLDVDEVPQSDDSKDKTKYLRRSQGGHWVPIGWARISELVQMVQADASWASHQIYVPDDEDDFTVADVAAPYWERPAGSTWWCHVTAGHHFVDAWLSNAQWLHPAISIALRDESRLISEKMKHLLYEIPVRVAGGLLFELLGQSVGDPYREEDDIPIVLRSWQAQNYLVTALHVKGSASNINVLGITEVQELLLAGGSMAPKSVHEVIAHLASRLSRWDDRLFRKYVFGAADEIELKFVNRRNHEDLNLLSIILNQEIRRLATQVIRVKWSLHAREEIIFELLHHLRGNATKIMLEGIKKSTREMLEEQEAVRGRLFTIQDVMQNTVRAWLQDRSLRITHNLTIFGGCGLVLSIITGLFGINVDGIPGATSSPYAFSLFAGVLFFVGIALIGLGLLYLGLQNPITEEKVQVRKLELQQLVSMFQHDAETHAKVREAISRHSLPPTAADTLPEAGYVLIP >Ma06_p28240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29964803:29972505:1 gene:Ma06_g28240 transcript:Ma06_t28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMDDHNSAGKGSKIKKQEVNKVHASKDGTPWGDLWTEGLLCAFEFVRSHKSASSDKSTLRTHVTWKKNNLNSRKQVNKLESDTISVQYMDDAESISPISLNHNDATLDVDEVPQSDDSKDKTKYLRRSQGGHWVPIGWARISELVQMVQADASWASHQIYVPDDEDDFTVADVAAPYWERPAGSTWWCHVTAGHHFVDAWLSNAQWLHPAISIALRDESRLISEKMKHLLYEIPVRVAGGLLFELLGQSVGDPYREEDDIPIVLRSWQAQNYLVTALHVKGSASNINVLGITEVQELLLAGGSMAPKSVHEVIAHLASRLSRWDDRLFRKYVFGAADEIELKFVNRRNHEDLNLLSIILNQEIRRLATQVIRVKWSLHAREEIIFELLHHLRGNATKIMLEGIKKSTREMLEEQEAVRGRLFTIQDVMQNTVRAWLQDRSLRITHNLTIFGGCGLVLSIITGLFGINVDGIPGATSSPYAFSLFAGVLFFVGIALIGLGLLYLGLQNPITEEKVQVRKLELQQLVSMFQHDAETHAKVREAISRHSLPPTAADTLPEAGYVLIP >Ma06_p28240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29964631:29972505:1 gene:Ma06_g28240 transcript:Ma06_t28240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMDDHNSAGKGSKIKKQEVNKVHASKDGTPWGDLWTEGLLCAFEFVRSHKSASSDKSTLRTHVTWKKNNLNSRKQVNKLESDTISVQYMDDAESISPISLNHNDATLDVDEVPQSDDSKDKTKYLRRSQGGHWVPIGWARISELVQMVQADASWASHQIYVPDDEDDFTVADVAAPYWERPAGSTWWCHVTAGHHFVDAWLSNAQWLHPAISIALRDESRLISEKMKHLLYEIPVRVAGGLLFELLGQSVGDPYREEDDIPIVLRSWQAQNYLVTALHVKGSASNINVLGITEVQELLLAGGSMAPKSVHEVIAHLASRLSRWDDRLFRKYVFGAADEIELKFVNRRNHEDLNLLSIILNQEIRRLATQVIRVKWSLHAREEIIFELLHHLRGNATKIMLEGIKKSTREMLEEQEAVRGRLFTIQDVMQNTVRAWLQDRSLRITHNLTIFGGCGLVLSIITGLFGINVDGIPGATSSPYAFSLFAGVLFFVGIALIGLGLLYLGLQNPITEEKVQVRKLELQQLVSMFQHDAETHAKVREAISRHSLPPTAADTLPEAGYVLIP >Ma09_p29150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39682771:39683534:-1 gene:Ma09_g29150 transcript:Ma09_t29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26.5 kDa heat shock protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G52560) UniProtKB/Swiss-Prot;Acc:Q9SSQ8] MALARACLSNALRSRSFSLLHTGGAARLRGLAAAPSFPYSSSGDAAADSSPEDRSDQVAATRAPSPARGRRSRRLSWRNPWDLSPFHLNDGFGNALLQVSENLNGLLERLSPSRLLGRLKEDDKCYKLRYEVPGLRKEDLRVTVEEGMLVITGESEDEDAGDESSSSTTEDEGDGGWHTRRYGYINATLLLPEDAKADEIRAELRDGILRIYIPRSEEKKSNAREIEIN >Ma09_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8690318:8696243:1 gene:Ma09_g12880 transcript:Ma09_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEELEERLRDVGDRLPSPPTDVGELLSLLDETESLLLRVQQSPSQSMLDALRPTMNLMVEKKFLEHPDEDVKVIVASCTSEITRITAPNAPYDDDLMKVVFQKIVDAFENLDDTSSRSFSKRVSILETVAKVQSCIVMLDLECDAMILDMFQIFLRTIRPNHSENIFCSMGTIMTVILEESEEISPELLSCLLDSVKNDNKDILPIARRLAERVIADCALKLKPYLVELAKSKKAFLSKYSRVVASVCQEYSDQVEQNDMNSAADAMADDSKLSKKTESSQKRKSELSGHGNQMKNKRAANRDCSAILGLKAGRLDDTSGPKNPGKPAQVREPDQSIVGLKIKVWWPDDKRFYDGTVEDYDRTTKKHKILYDDGDVEVLLLKNERWDFIGDKIRTSYFMGQTKDDFSPDASSDKRTKKHSNHVARETNVGTPVKSGTHSSSTGSTEIRKRKGRPPKVANSNHSLLSGDDSPNISSKSKEKAPNKPKDDVPKSGTKLKKDGEKAKEHNEETHKLDKKPRDMAILEATGESKTNGVPVKEKLKVQETGASSAKVSSKEAESEASAAKKRKIMGNS >Ma10_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23545265:23549303:-1 gene:Ma10_g09350 transcript:Ma10_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDWWGKDAHRGTSVIVKMENPNWSISEISSPDDDEEYGVGGGGGQGEFSGGGARKGGRGKNAKQITWVLLLKAHRAAGCLTSLASAAVGLASAVRRRVASGRTDSDAASSPPEESPVLRTRFYSCIKVFLWLSLLLLGFEVAAYAKGWHLGAAELQRLVLLPSSFGIRGLFESLYSDWVRIRAEYIAPPLQFLADACVILFLIQSADRLILCLGCFWIRYKNIKPVPKQAIGSESKDPESGGEDFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDATTQALIKEEVEKWQQNGAHILYRHRVIRQGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPAPDFLKRTIPHFKNNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLQGWKFIYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKIGFWKKSNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWIVCYIPATMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLYSLVEKEPQQQRGASAPDLEAVAELEPQPKKKSKKKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQIE >Ma09_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4294163:4296703:-1 gene:Ma09_g06710 transcript:Ma09_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAAGAGPGGRDQASSPPHRRESRRLPDFLQSVNLKYVKLGYHYLITHLLTLLLIPLMVVILLEAAQTDPNDLRQLWLHLQYNLVSVLVCSAFLVFGTTVYIMTGPRPVYLVDYACYRPPPNLRAPFPRFMKHSQLCGEFNESALEFQRRILERSGLGQETYLPSALHYLPPRPSMASAREEAEEVMFGALDALFRNTGVKPKDIGILVVNCSLFNPTPSLSAMIVNRYKFRGNIKSFNLGGMGCSAGVISIDLARDLLQIHRSTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGAAAMLLSNRSADRWRSKYKLVHVVRTHSGADDRAFRCVYQEQDDAGKVGVSLSKDLMAIAGEALKINITTLGPLVLPISEQLLFFATLVAKKLFNGKVKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLRPDHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGHRVWQIAFGSGFKCNSAVWQALCNVKPSPDGPWEDCIHRYPVEIVDGFPPQPPHEQ >Ma03_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14921262:14928791:-1 gene:Ma03_g15140 transcript:Ma03_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVLVAIAAAIGNMLQGWDNATIAGAVLYIKREFKLEGQPTIEGLIVAMSLIGATVITTFSGAVSDWIGRRPMLIISSVLYFISGLIMFWSPNVYMLLLARLIDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFAMSLNIRPDWRLMLGVLSVPSLLYFVLTVFFLPESPRWLVSKGRMKEAKQVLQRLRGREDVSGEMALLVEGLRVSTDTTIEEYIIGPANELPDEQDSTAEKDKIMLYGPQQGLSWVAQPVTGPSLLGSAFGLISRQGSIVNQSIPLMDPLVTLFGSVHGKLPEMGSMRSILFPNFGSMFSVVENHPKAEQWDEEIGHQDGVDYVSDGAGGDSDDNLHTPLLSRHGTGMDGNDMIHNSSLMQENVGEAVSSMGIGGGWQLAWKWTNREAVDGTKEGGFKRIYLRQEGVVGSQQASLVSIPGGNIPEEGEYVQAAALVSQPALFSKEVMSQDPAGPAMVHPSKIAAEGPMWRVLFEAGVRHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVEVLLANLGIGSDSASILISALTTLLMLPCIAVAMRLMDVSGRRSLLLATIPVLIVSLVGLVLTNLVDLGSVAHAIFSTICVVVYFCCFVMGFGPIPNILCSEIFPTRVRGVCIAICSLTFWFCDIIVTYSLPVMLNTIGLAGVFGIYAIVCVIALVFVFLKIPETKGMPLEVIIEFFNVGSKQVAKT >Ma07_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12402507:12403932:1 gene:Ma07_g16020 transcript:Ma07_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVKKKGASLGVASKPCDSCRSTPALLYCRADAAYLCRGCDGQVHGANGLASSHERAWLCEVCENAPAAVTCKADAAALCAACDADIHSANPLARRHERLPLLPFLGPAPKPLITAAATGRGGEGSFLFRSSSDDEAEAEAASSLLPQEAPVLRSAAEFFFSDADAYLDLDYGLPLDAIKTVAEPDPPSLLASDGGYFDLNIAGSKPESDQSLCHSVSSSEAALVPEVSQASVVGIPCDPAAAQADREARLMRYREKRKCRRFEKTIRYASRKAYAEARPRIKGRFAKRVEVEAEVGRIYSSAAEAVAALMAEDDYGVVPSF >Ma10_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4284082:4288815:1 gene:Ma10_g01230 transcript:Ma10_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNDSPNPNSTEAWTVVSRRRRRSLKQQQPKRSVLPGPETLIAPPSPWIPIDSFVDIERRSKLLQRMESAIRRLECSSFYRRFLLRLRGHLVQHGLARALSSALEIRMVVYGVGSIESYDPSRLQLALAILLRRDLGLAVPYLEVFDPILSATECAVMTAFDCAVVPVDERGRREVRAPTLFYMPHCEAALYDGLLEANWRPSSLNRMVVLGNSFSAYEQFVELGSCSGSASVEAAVKHLLLVRRHVTEVEMEEEEAGAGSQGKQDDEDGIFKAFHDTSWHFFDLDDDKPMDLVKR >Ma10_p01230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4284082:4286665:1 gene:Ma10_g01230 transcript:Ma10_t01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNDSPNPNSTEAWTVVSRRRRRSLKQQQPKRSVLPGPETLIAPPSPWIPIDSFVDIERRSKLLQRMESAIRRLECSSFYRRFLLRLRGHLVQHGLARALSSALEIRMVVYGVGSIESYDPSRLQLALAILLRRDLGLAVPYLEVFDPILSATECAVMTAFDCAVVPVDERGRREVRAPTLFYMPHCEAALYDGLLEANWRPSSLNRMVVLGNSFSAYEQFVELGSCSGSASVEAAVKHLLLVRRHVTEVEMEEEEAGAGSQGKQDDEDGIFKAFHDTSWHFFDLDDDKPMDLVKR >Ma07_p03000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2340544:2349017:1 gene:Ma07_g03000 transcript:Ma07_t03000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGPFRTAEDVFRDFRGRRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPTETWEVTLPAEEVPPELPEPALGINFARDGMEEKDWLALVAVHSDAWLLSVAFYFGARFGFDKESRRRLFNMINNLPTIYEVVTGTTTKQSKEKTSNSNSKNKSNSKTVQQSRPAEPQAKVSKMPPKEDEDSGAEDEEDEEDHENTLCGACGDNYANDEFWICCDVCEKWFHGKCVKITPARAEHIKQYKCPSCSTSKRARV >Ma07_p03000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2340516:2349017:1 gene:Ma07_g03000 transcript:Ma07_t03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGPFRTAEDVFRDFRGRRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPTETWEVTLPAEEVPPELPEPALGINFARDGMEEKDWLALVAVHSDAWLLSVAFYFGARFGFDKESRRRLFNMINNLPTIYEVVTGTTTKQSKEKTSNSNSKNKSNSKTQSRPAEPQAKVSKMPPKEDEDSGAEDEEDEEDHENTLCGACGDNYANDEFWICCDVCEKWFHGKCVKITPARAEHIKQYKCPSCSTSKRARV >Ma07_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2340544:2349017:1 gene:Ma07_g03000 transcript:Ma07_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGPFRTAEDVFRDFRGRRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPTETWEVTLPAEEVPPELPEPALGINFARDGMEEKDWLALVAVHSDAWLLSVAFYFGARFGFDKESRRRLFNMINNLPTIYEVVTGTTTKQSKEKTSNSNSKNKSNSKTSRPAEPQAKVSKMPPKEDEDSGAEDEEDEEDHENTLCGACGDNYANDEFWICCDVCEKWFHGKCVKITPARAEHIKQYKCPSCSTSKRARV >Ma04_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2364318:2370277:1 gene:Ma04_g02930 transcript:Ma04_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLMDVSSVGLKANACFSHVKRGVLGSGESGIWGDGSAGASRIRAWESKVAKNVKSGRWVGGFKAGVAFSDLTSDVNQETLINDPYVIQAPMFGKHKPDPKSVASIILGGGPGAQLFPLTSTRATPAVPVGGCYKLIDIPMSNCINSGINKIFIMTQYNSASLNRHIYRTFNFGNGINFGDGFVEVLAATQSPGEAGMNWFQGTADAVRQFIWVFEDNRNKNIDYIMILSGDQLYRMDYMDFVQRHIDTGADITISCVPVSSSRASDYGIVKIDKAGHIIQFSEKPKGADLEAMKDENTFFRLSHQDTIRYPYIASMGVYVFNRNTLLELLRWTYPKANDFGLDILPSAVKAYKAQAYIFEDYWDDIGTIKSFYDANLALTEQPPKFQFYDPRTPIFTSPRFLPPTKIEKCRILDSIISHGCFLHECSIEHSIVGVRSRIDYGAELKDALMLGADLYETEAELASILAEGKVPIGVGQNTKIRNCIIDMNARIGKNVVIANKDGVQEADRPSEGFYIRFGITIIMKNATIKDGTVI >Ma07_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30527063:30530709:1 gene:Ma07_g22680 transcript:Ma07_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGRVSSGRNSLPLLPRSPARRRGEGGGGGGGGAEEAGMEALGRLVRRVKPFEHVGLLGFVVFTAVLFLSLLCFAHLDYSWVWPGGGGYRGLWISGTKGGGGERGKSHGGGGGECDWSEGGWVWDEEYPLYESKDCGFMDEGFRCSENGRPDRFYTKWRWQPAGCDLPRFNAKKMLKKLRNRRLVFVGDSIGRNQWESLLCMLSMVVSNKSSIYEVNGSPITKHMGFLVFKFEEYNCTVEYYRSPFLVLQSRVPPGLPEQIKTTLRLDVLDWTSTRWKDADVLVFNTGHWWNYEKTVRGGGDWKTGGSCHLETLPDLVSSTVSSKAWAHLLEPFRNMPSSNSTMNQALELDLLNITQMTARRRDGHLSVFYLGSSGPAPLHKQDCSHWCLPGVPDTWNELLYALILKWELQKHQNMTVLSTARVELVQ >Ma07_p22680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30527067:30530709:1 gene:Ma07_g22680 transcript:Ma07_t22680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGRVSSGRNSLPLLPRSPARRRGEGGGGGGGGAEEAGMEALGRLVRRVKPFEHVGLLGFVVFTAVLFLSLLCFAHLDYSWVWPGGGGYRGLWISGTKGGGGERGKSHGGGGGECDWSEGGWVWDEEYPLYESKDCGFMDEGFRCSENGRPDRFYTKWRWQPAGCDLPRFNAKKMLKKLRNRRLVFVGDSIGRNQWESLLCMLSMVVSNKSSIYEVNGSPITKHMGFLVFKFEEYNCTVEYYRSPFLVLQSRVPPGLPEQIKTTLRLDVLDWTSTRWKDADVLVFNTGHWWNYEKTVRGGCYFQEGNDVKMEMSVDDAFRRSIRTLFEWIHKEVDKSKTHVVFRTYAPVHFRGGDWKTGGSCHLETLPDLVSSTVSSKAWAHLLEPFRNMPSSNSTMNQALELDLLNITQMTARRRDGHLSVFYLGSSGPAPLHKQDCSHWCLPGVPDTWNELLYALILKWELQKHQNMTVLSTARVELVQ >Ma10_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:6460179:6465984:1 gene:Ma10_g02030 transcript:Ma10_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRERLLPRKPSVAAVPLPSTAARESPPCCRPLFQGVDFSELKKRGQSLRSWIRVDAATGGSQVIEVDKFTMMRRCDLPARDLRLLDPVFIYPSTILGRERAIVVNLEKIRCIITADEVLLFNSFDGYVLQYVVELQRRLAAGTRDALDGGTPSPDYLPFEFRALEVALEAACTFLDAQASDLEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMHMDSSLDGDQSLHGSSSAGDGVSVSSPVSPVSPPESRKLEKTLSLSRSRYESTKCSDSTTENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVTIFGVVAGIFGMNFEIALFKAPSAFQWVLMITGVSGVTIFSLFLYYFKYRRLMPL >Ma06_p33950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34383483:34385090:-1 gene:Ma06_g33950 transcript:Ma06_t33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALAQMTLLSPHGHRSLSRSSDRRNRLVCASKDDLLRSSSSCNSQFHGRRLVIGAQRERPLRGNRGSSSVQMTLSFKKASKWWEKGLHPNMKDIKSAEDLVDSLSNAGDKLVIVDFFSPGCAGCRALHPKICQFAELNPDVQFLQLNHEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKHITERCSLGPAKGLEETELLALAANKDLSFTYTRTPVPVPDELAEKAPFNPNLPVHAAARLTLESEDKAFAAAGR >Ma01_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5582235:5583785:1 gene:Ma01_g07710 transcript:Ma01_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSLLPSEGAPEWLNKGDNSWQLTAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLICWCIWGFRMAFGEPLLPFWGRPDANVLEQKFLLAQGFAGLYPNATLVYFQFVFAAITPILIAGSLLGRMNFKAWMLFVPLWLTFSYTIGAFSLWSPNGFLFKAGVMDFAGGYVIHLSSGIAGLTAAYWVGPRVAKDRERFPPNNILLTLTGAGLLWLGWTGFNGGAPYAANLAASIAIVNTHLCTATSLLVWLFLDMFYFSKPSVIGAVQGMITGLVCITPAAGLVQPWAAIIMGVLSGSIPWFTMMVLHRKIQFLKKVDDTLAVFHTHGIAGSLGGILTGILADPRLNRLFFGDNPSYVGLAYAIKMGRATAGLRQIGMQFAGIGFIIALNVVITSVICLLIKVVVPLRLSEEELLVGDDAIHGEDAYAVWGDGETYEKSIHGYENFASAKTEEMA >Ma10_p26280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34048246:34051451:1 gene:Ma10_g26280 transcript:Ma10_t26280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLFQNSVVQQMMGGSSGCRSMNSIRPPPDQTFPLLPSSSSSSSPSVYAQFPQPSAMLPITPLPDSQELPESWSQLLLGGCWGEEEKYGLTPLQTRKIETWEDQLLYPSAAAHVADVKREYSGSGYLHGHGNEEEAKASKAPWSQIMPASSPRSCITTSFSRNMLDFSNKQPGRMHHQPDNSSVCNSTAAGAALKKARVQGASSAHSAFKVRKEKLGDRITALHQIVSPFGKTDTASVLLEAIGYIRFLQSQVEALSSPYLSSASANTKQQPQLLNSSGSKKRGPPDQHQEGNDEVKKDLRSRGLCLVPVSFFLHVGTDTGADFWPPALHGAF >Ma10_p26280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34048246:34051451:1 gene:Ma10_g26280 transcript:Ma10_t26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLFQNSVVQQMMGGSSGCRSMNSIRPPPDQTFPLLPSSSSSSSPSVYAQFPQPSAMLPITPLPDSQELPESWSQLLLGGCWGEEEKYGLTPLQTRKIETWEDQLLYPSAAAHVADVKREYSGSGYLHGHGNEEEAKASKAPWSQIMPASSPRSCITTSFSRNMLDFSNKQPGRMHHQPDNSSVCNSTAAGAALKKARVQGASSAHSAFKVRKEKLGDRITALHQIVSPFGKTDTASVLLEAIGYIRFLQSQVEALSSPYLSSASANTKQQPLLNSSGSKKRGPPDQHQEGNDEVKKDLRSRGLCLVPVSFFLHVGTDTGADFWPPALHGAF >Ma07_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5606971:5608823:1 gene:Ma07_g07530 transcript:Ma07_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQVSDSSSGASRAAQNLVTCLYQVQLLGRSCVINVTWSKNLMGQGLTVGIDDFANQCLCKLEIKPWLFSKRKGSKILEVENSKIAVSWDLSAAKFGPGPEPIEGFYIAVVFHLEMVLLLGDLTKEAYRKTNASPSPSNAVFIAKREHIYGKKVYSTKAQFCDNGQSHEVAIECDTIGLKDPYLEIRIDRKRVMQIKRLAWKFRGNQTILIDGLPVEVFWDVHSWLFGPSSGNAVFMFQTCLSAEKLLPWSASQNFRESRLQGLGFSLVLYAWKNE >Ma07_p07530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5606970:5608823:1 gene:Ma07_g07530 transcript:Ma07_t07530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQVSDSSSGASRAAQNLVTCLYQVQLLGRSCVINVTWSKNLMGQGLTVGIDDFANQCLCKLEIKPWLFSKRKGSKILEVENSKIAVSWDLSAAKFGPGPEPIEGFYIAVVFHLEMVLLLGDLTKEAYRKTNASPSPSNAVFIAKREHIYGKKVYSTKAQFCDNGQSHEVAIECDTIGLKDPYLEIRIDRKRVMQIKRLAWKFRGNQTILIDGLPVEVFWDVHSWLFGPSSGNAVFMFQTCLSAEKLLPWSASQNFRESRLQGLGFSLVLYAWKNE >Ma04_p15930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14573090:14577576:-1 gene:Ma04_g15930 transcript:Ma04_t15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLSKYEPVPKTTLFAFLLLCLLVGSAGGNLVLIGRNVSLTFPDVEANFAPSVKGSGENGVLYVADPTDACAPLTNEVAQGLDSRFALIIRGGCTFDVKVRSAQNAGFKAAIVYDNEDRGSLISMAGNSIAIHIHAVFVSKASGEILKKYSGRTDLELWITPTFKNSIWSIMAISFISLLVVSAVLAMCFFIRRHHIRHERPRVSNVREFRRMSSQLVKAMPSLIFTSVVDDNCTSTTCAICLEDYNVGEKLRLLPCHHTTPSSNAGLSSFCSSMASSPAIQISPRRSQSNCQPYSFSSARSPATQIATMTPHSQSSSFSSACIANPQSSYGHSPAFRIGRSSLDPRNASSHRPQTYLLSSHSLEFPGSSSINSGLASSYILGSSYVSPSNLAASSSRQSYLRHCTESGASLSELASAQSPPGC >Ma04_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14573090:14577576:-1 gene:Ma04_g15930 transcript:Ma04_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLSKYEPVPKTTLFAFLLLCLLVGSAGGNLVLIGRNVSLTFPDVEANFAPSVKGSGENGVLYVADPTDACAPLTNEVAQGLDSRFALIIRGGCTFDVKVRSAQNAGFKAAIVYDNEDRGSLISMAGNSIAIHIHAVFVSKASGEILKKYSGRTDLELWITPTFKNSIWSIMAISFISLLVVSAVLAMCFFIRRHHIRHERPRVSNVREFRRMSSQLVKAMPSLIFTSVVDDNCTSTTCAICLEDYNVGEKLRLLPCHHKFHAFCVDFWLTTWRSFCPVCKQDARAGIPNIPASEYTPLLYSGAATPSSNAGLSSFCSSMASSPAIQISPRRSQSNCQPYSFSSARSPATQIATMTPHSQSSSFSSACIANPQSSYGHSPAFRIGRSSLDPRNASSHRPQTYLLSSHSLEFPGSSSINSGLASSYILGSSYVSPSNLAASSSRQSYLRHCTESGASLSELASAQSPPGC >Ma05_p30650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40962119:40962963:-1 gene:Ma05_g30650 transcript:Ma05_t30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRSSEQSIRQHNTATMQRFGCAVTAFLFLAGLAGETFAQSATSPAPAGPTNITAIMEKAGQYGTLIRLLKSTQVGDQINNQLNNSNTGLTIFAPTDNAFSSLPSGTLNSLTDQQKVALIQFHILPTVISASQFQTVSNPVRTQAGDASNGRYPLNVTTMGTQVNLSTGVVDATIANTIYSDSKLAVYQVDQVLLPLEIFGPPAPAAAPAPAEAKKQKPSPVAEGPSTPSTDATDASAGVNLSRSASGGGVMFAAAAIWLWWSF >Ma04_p39290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36470651:36484366:-1 gene:Ma04_g39290 transcript:Ma04_t39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHWQAVPLVASPSYPNSIAWSNENLVAVASGHIVTIVNPALLDGPRGLITLSPNKPFPIGFVRREDLLTPCLMPTCLSRDTRPCARSISWSQPGFASNSGCLLAVCTSEGRIKLYRAPFCEFRAEWVEVVDISDLLFNYFERTNFGEQSLLSTSFAQKETSASSKSSKKCVIESQDCSSSKEPTFKRNGVSRRSKGINHHKSLGEALNDGANGEDSHEDGWHDSGDDLTALSVTDKPVAASTESIIRPCSIINQVSSEEVIEVSSNNAMVSKQISPIPEGLLPEGRQNYVPMITPEQYASRSALLSGLVVAWSPVLQSSRIQPGFSNRYSILAVGGKSGKISLWKLCEPECYTIEHGRVSVDPMLVGLIQAHNAWITTISWEMLAARLRMSQLILATGSSDGSVKIWSADVEDLASSSEANKISFSLINELTVAIPAPISTISLVVPRHSQENIVLAIGKGSGSLEAWICNVFGKKIHSAGVYDAHDQVVTGLAWAFGGRCLYSCSQDNSVQSWVLHGDYLHKVTFPSKFPGFRNSTNLSLVSDQCFGLALSPGGLMIAVVCSFDVNLLHQMYQARTQKAVIEFFWAGGQSLEISPDNTGESTLALSERDLSCWESNILWSLQYFEDAENPLVLWDSLAALLEFNKSSPSFVENLLFKWISGWFSCHLSDDSIDKILLHVVSMLSEISSRKIFLLNIICRRLMISDAKADMHNGEQLKSSEPKNEGKLAPWSNLLVNNELELQQRAVSFTFRAVLNHASDSSDPFQVGKKWFPVGVAQMECWVLLNAGLVHNQLNILGSELRGLGSRISSICEYVKEESCSFCSTPVPFESVDVAWCEGHKLDCSGSKERHRLSRCAVSMRLCSVAAPMWFCICCHRSAMDTMPQTFFMMSEPPSGTDDEMKLDFCRPLCPFCGILLQRSMLEFLLSPSPL >Ma04_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17192139:17192769:-1 gene:Ma04_g16990 transcript:Ma04_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFIFMLGLTLAPLVVKVNPNINVVLTACLTLPLVIHPEIMKYVQETMSNEHAMRFLLAGSAMLLAQFLLFKFLAKDLVSAVLTCYFFVLGIVAFSVTLLPAINRFLPKHWNDDLIVWHVPYFRGMLYFISFLFLNSWI >Ma10_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27843656:27848301:-1 gene:Ma10_g15920 transcript:Ma10_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGPLQPPNPRFGQIPLPTPRGLGVGGCGGGAAHRRAHSETFIRVPDDLLCYSDLDFGIPDMDFSSFSEEYLSGDGGAPIAATGRPVPGAHLRSLSVDAALFEGLSFQGAAAGSGDDGVLGSERKGHHRRSGSMDGAASPLEQESLPPLSDFAKKEMASDKLAELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQTEATSLSTQLTLLQKDTTGLTTENRELKLRLHSMEQQAQLREALNETLREEVQRLKKATGQLPRANGNPRNIVIQQSIPNYYSHSSNQAQHLHASEAQESSNDKSPSGQCLDDPMDFT >Ma07_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29853678:29854904:-1 gene:Ma07_g21790 transcript:Ma07_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLMEGGSHYRTEGSVVRVEDRHDEKLPSKGPRFGDGRAAVDQEVVSPDSGDTETAYGSGGVRGHQSVSSGDGELPGDEDSDDESHLRDDKTFDEGSGSSNRKHPNKGPKVPTCPECGKTFPSDKSLFGHLRCHPERDYRGAIPPPGARKKSQSNAYSSVARGPPVTKWSTPKKGGKEAAVDEDSVVVVAKALLLLADGKPWGQETPTVEKEAPETKQFMNTRFGGTDVLADRSYGNEVISSYNSGSKKRKIKEQANDSGTPTRCRRYQCSICFKTFASHQALGGHIASHNKNKSNVQEAPIAAENGCVHIAPNAVSKAATAEHRCTICNLTFSTGQALGGHMRRHFNELQNQALSSSAHSSESDKGANHGMLQNGAPSSPANSSERYKRAIRGMLDFDLNEMPDL >Ma10_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26378268:26379297:-1 gene:Ma10_g13640 transcript:Ma10_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAPPTGAAAATRKGPWTEQEDLQLVWFVRLFGERRWDFLAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMTPQEEHLVLELHAKWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERRTSSAPSPSSSSSSNISELRAEKHEGCSTAEGTSLISGLEVNDNEGKGYTMDQIWNEIAASESVSQLSFDEYKGGSACNMECPPVSSPIWEDCSASLWRMEDEDLYPMTDLLLSNC >Ma03_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1964817:1972472:-1 gene:Ma03_g02920 transcript:Ma03_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRAFPTCLLLLLLVVISLGFAVSADGLVRIGLKKRPLDQNGRLATRLSRDEGLLAPAARDVVALKNYMNAQYFGEIGIGSPPQNFTVVFDTGSSNLWVPSSKCYFSVACYFHPKYKSSQSSTYQKNGKSANIHYGTGSISGFCSQDYVTIGDIVIKDQVFVEATREPSITFLAAKFDGIIGLGFEEISVGNVTPIWYNIVNQSLVKEPIFSFWFNRNVNEGAGGEIVFGGVDSQHYKGEHIYVPVTQKGYWQFNMEDVLVGSQTTGFCSGGCSAIADSGTSLIAGPTTVITEINQKIGASGVVSQQCKAVVAQYGEKIMDMLLAEEEPSKICSQIGLCSYNSTQGASLGIKSVVDNKVEKLATGLNDGGMCSYCEMAVIWMQSQLRLNQTLEQILSYLNELCERIPSPMGESAIDCDSLSFMPVISFNIGGKNFDLTPEQYTLKVATGGSEQCISGFTAIDVPQGPLWILGDVFMGVYHTVFDYGNLRVGFAEAA >Ma07_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31032055:31033026:1 gene:Ma07_g23350 transcript:Ma07_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVYPNTAASCVDLPSLPACCGATREDGAVVLTVWRKSLLFSCNGFTVFDAEGNLVFRVDIYGSGSAGELVLMDSAGKPLVTARRKKLSLGETWLVYNGEDADNPLYSVKRQVSLLHCKGLAHVTPLRGGSGSAGYGVEGSYSRRSCTVYDERRRAVAEIQRKQAVRGVAFGDDVFRLVVQSDLNTSLAMAIVIVLDQMFR >Ma07_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3662878:3667387:1 gene:Ma07_g05030 transcript:Ma07_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSLEEQKKAAGSPHQVDRRIDPCRQNSVSLLPPPKGVEDLRVVAGYGNVNTFTYSQLSAATKNFRPDQILGNGGFGIVYRGFLDENIKPGFKSTQVAVKKLNPEGVQGDKEWLAEVNYLGQLSHPNLVKLIGYCCEGDHRLLVYEYMARGSLERHLFRRVCVTMPWPTRIKIALGAAKGLAFLHAAERPIIYRDFKTSNILLDADYNAKLSDFGLAKEGPMGDETHVSTQVVGTEGYAAPEYVTTGHLTARSDVYGFGVVLLEMLMGKKAMDKSRPSEEHNLVDWARPFLTHTRKLLKIIDPRMEGQYSRKAAMVVAGLVHRCLSQTPKGRPAMNQVVETLDSIQELPECREDTLLQSGGNSLILFEAPKVDNNTIKNSSTKKEAENKSKMHDRRRTKHGNGRSKSETPMVFHASSPSP >Ma01_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11967392:11969026:-1 gene:Ma01_g16500 transcript:Ma01_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLDCLGSIRDLKPPMMMKRRSSGKLDGSKAVGSSPVKRIRVFFTDPDATDSDDGDEALIDKSKRVVCEIHVDPIGKTLKTLADPERKKKEAVTRLAAPSPAAAGRYKGVRQRRWGKWAAEIRDPIRGARLWLGTFATAEEAAAAYRAAASRLEEEKRCLRRPAPAPAPASAAEDSASSWASAPSSSSLLAPPAAQEAEEEERSIAELFAEVPAEVDFVGLDGDAPFFVGEVGDDFGLDDLLLWDQPLDGRDFSFIEI >Ma04_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8621558:8622538:-1 gene:Ma04_g12050 transcript:Ma04_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGERKEGDEAKYRGVRRRPWGKYAAEIRDPSRHGARVWLGTFGTAEEAARAYDKAAFQMRGALAVLNFPDEVVHYRGGATSSSSSSSATGRPRRSATTGKQVIELECLDDKVLDELLQSSEGFK >Ma06_p37250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36380117:36380838:-1 gene:Ma06_g37250 transcript:Ma06_t37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRLAIAVPMHTPPMWELSCGIPFTTTDLDLRNRLDPAN >Ma09_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4490888:4508834:1 gene:Ma09_g07000 transcript:Ma09_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLVKGIRSFSPENKHVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEAIKKLHKEQAQEIKTYKLKLENLQTLKDAAYKLRENIAQDQEKSEFLKAQIKELEGNIEGIENKILHAETTLKELRKLQDQISMRTTARSTLYKLQQTQYAALAEENEDTDEELKEWQMKFEERIALLETKISKLEREMNDEETKSSLLLQTINDTTREIGKLQAEADAHMSLRRERDSTILRIFTKYNLGSLPDAPFSNDVALNLTNHTKTRLLDVEKELQDKKKSNEMELKFLWERYVTANARCSEVESQKQAKSETKLGISKRMKEKANERDLADHELSNLNLSHIDERERSFQIEVERKTLLLGEKDYEATISQKRTEMFSLDQKIKALYREKDILASDSEDRVKLDMKKEEFESCKRKQKKIMEEYKEKIRGVLKGRVPSDKDLKKEATHAFGSSKKEYDDLNSKTLEAEKEVKLVQMKIQDAKSHILKLQKDVDAKRRFLDSKLQALIQAPADIGSFTKVLLEAMEKKDVQKSKYNIADGMRQMFDPFERVARAHHICPCCERPFSPEEEDEFVKKQRVKSASSAEHMKLLAVESSNADTHFQQLDKLRMIYEEYVKLGKEAIPLAEKNLKELTEDLSQKSQAFDDLVGVLAHVKTEKDAVEVLLQPVETIDRLWQEMENLKPQIEDLEYKLDSRGQGVRSMEEIQLQLNSLQSKRESLSTDVENLREEQKFLNADLSSIQMRWHALREEKLKASSILHKVKKADEDLVLLAEENAQVDLDEKHLAEALVPLIKEKEKLLQDHADLKLKLEREYDEQAESKRSFQQDIEMLMTLSRRIKEYLDSKKVEKLKDLQEKHTLFESQLQKCETKKQEISADLNKSKELLRNQDQLKRNIDDNLNYRKTKAEVDELTFEIESLEEKVLNIGSMSSLEADLKRHLQEKERLLSELNRCHGTLSVYQSNISKYKLDLKQAQYNDIDRRYFNQLIQLKTTEMANKDLDRYYSALDKVTVSLGSSRMKYIPSMASSQNHFHSTNGAIFLRRMEGSSECTVHQCVSHATSS >Ma09_p07000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4490888:4510652:1 gene:Ma09_g07000 transcript:Ma09_t07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLVKGIRSFSPENKHVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEAIKKLHKEQAQEIKTYKLKLENLQTLKDAAYKLRENIAQDQEKSEFLKAQIKELEGNIEGIENKILHAETTLKELRKLQDQISMRTTARSTLYKLQQTQYAALAEENEDTDEELKEWQMKFEERIALLETKISKLEREMNDEETKSSLLLQTINDTTREIGKLQAEADAHMSLRRERDSTILRIFTKYNLGSLPDAPFSNDVALNLTNHTKTRLLDVEKELQDKKKSNEMELKFLWERYVTANARCSEVESQKQAKSETKLGISKRMKEKANERDLADHELSNLNLSHIDERERSFQIEVERKTLLLGEKDYEATISQKRTEMFSLDQKIKALYREKDILASDSEDRVKLDMKKEEFESCKRKQKKIMEEYKEKIRGVLKGRVPSDKDLKKEATHAFGSSKKEYDDLNSKTLEAEKEVKLVQMKIQDAKSHILKLQKDVDAKRRFLDSKLQALIQAPADIGSFTKVLLEAMEKKDVQKSKYNIADGMRQMFDPFERVARAHHICPCCERPFSPEEEDEFVKKQRVKSASSAEHMKLLAVESSNADTHFQQLDKLRMIYEEYVKLGKEAIPLAEKNLKELTEDLSQKSQAFDDLVGVLAHVKTEKDAVEVLLQPVETIDRLWQEMENLKPQIEDLEYKLDSRGQGVRSMEEIQLQLNSLQSKRESLSTDVENLREEQKFLNADLSSIQMRWHALREEKLKASSILHKVKKADEDLVLLAEENAQVDLDEKHLAEALVPLIKEKEKLLQDHADLKLKLEREYDEQAESKRSFQQDIEMLMTLSRRIKEYLDSKKVEKLKDLQEKHTLFESQLQKCETKKQEISADLNKSKELLRNQDQLKRNIDDNLNYRKTKAEVDELTFEIESLEEKVLNIGSMSSLEADLKRHLQEKERLLSELNRCHGTLSVYQSNISKYKLDLKQAQYNDIDRRYFNQLIQLKTTEMANKDLDRYYSALDKALMRFHTMKMEEINMIIKELWQQTYRGQDIDYISIHSDSEGAGTRSYSYRVLMQTGDAELEMRGRCSAGQKVLGSLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVTKDELQHSIIEAQEIFD >Ma09_p07000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4490888:4509360:1 gene:Ma09_g07000 transcript:Ma09_t07000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLVKGIRSFSPENKHVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEAIKKLHKEQAQEIKTYKLKLENLQTLKDAAYKLRENIAQDQEKSEFLKAQIKELEGNIEGIENKILHAETTLKELRKLQDQISMRTTARSTLYKLQQTQYAALAEENEDTDEELKEWQMKFEERIALLETKISKLEREMNDEETKSSLLLQTINDTTREIGKLQAEADAHMSLRRERDSTILRIFTKYNLGSLPDAPFSNDVALNLTNHTKTRLLDVEKELQDKKKSNEMELKFLWERYVTANARCSEVESQKQAKSETKLGISKRMKEKANERDLADHELSNLNLSHIDERERSFQIEVERKTLLLGEKDYEATISQKRTEMFSLDQKIKALYREKDILASDSEDRVKLDMKKEEFESCKRKQKKIMEEYKEKIRGVLKGRVPSDKDLKKEATHAFGSSKKEYDDLNSKTLEAEKEVKLVQMKIQDAKSHILKLQKDVDAKRRFLDSKLQALIQAPADIGSFTKVLLEAMEKKDVQKSKYNIADGMRQMFDPFERVARAHHICPCCERPFSPEEEDEFVKKQRVKSASSAEHMKLLAVESSNADTHFQQLDKLRMIYEEYVKLGKEAIPLAEKNLKELTEDLSQKSQAFDDLVGVLAHVKTEKDAVEVLLQPVETIDRLWQEMENLKPQIEDLEYKLDSRGQGVRSMEEIQLQLNSLQSKRESLSTDVENLREEQKFLNADLSSIQMRWHALREEKLKASSILHKVKKADEDLVLLAEENAQVDLDEKHLAEALVPLIKEKEKLLQDHADLKLKLEREYDEQAESKRSFQQDIEMLMTLSRRIKEYLDSKKVEKLKDLQEKHTLFESQLQKCETKKQEISADLNKSKELLRNQDQLKRNIDDNLNYRKTKAEVDELTFEIESLEEKVLNIGSMSSLEADLKRHLQEKERLLSELNRCHGTLSVYQSNISKYKLDLKQAQYNDIDRRQQKWQTRTLIDTTVH >Ma09_p07000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4490888:4508648:1 gene:Ma09_g07000 transcript:Ma09_t07000.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLVKGIRSFSPENKHVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEAIKKLHKEQAQEIKTYKLKLENLQTLKDAAYKLRENIAQDQEKSEFLKAQIKELEGNIEGIENKILHAETTLKELRKLQDQISMRTTARSTLYKLQQTQYAALAEENEDTDEELKEWQMKFEERIALLETKISKLEREMNDEETKSSLLLQTINDTTREIGKLQAEADAHMSLRRERDSTILRIFTKYNLGSLPDAPFSNDVALNLTNHTKTRLLDVEKELQDKKKSNEMELKFLWERYVTANARCSEVESQKQAKSETKLGISKRMKEKANERDLADHELSNLNLSHIDERERSFQIEVERKTLLLGEKDYEATISQKRTEMFSLDQKIKALYREKDILASDSEDRVKLDMKKEEFESCKRKQKKIMEEYKEKIRGVLKGRVPSDKDLKKEATHAFGSSKKEYDDLNSKTLEAEKEVKLVQMKIQDAKSHILKLQKDVDAKRRFLDSKLQALIQAPADIGSFTKVLLEAMEKKDVQKSKYNIADGMRQMFDPFERVARAHHICPCCERPFSPEEEDEFVKKQRVKSASSAEHMKLLAVESSNADTHFQQLDKLRMIYEEYVKLGKEAIPLAEKNLKELTEDLSQKSQAFDDLVGVLAHVKTEKDAVEVLLQPVETIDRLWQEMENLKPQIEDLEYKLDSRGQGVRSMEEIQLQLNSLQSKRESLSTDVENLREEQKFLNADLSSIQMRWHALREEKLKASSILHKVKKADEDLVLLAEENAQVDLDEKHLAEALVPLIKEKEKLLQDHADLKLKLEREYDEQAESKRSFQQDIEMLMTLSRRIKEYLDSKKVEKLKDLQEKHTLFESQLQKCETKKQEISADLNKSKELLRNQDQLKRNIDDNLNYRKTKAEVDELTFEIESLEEKVLNIGSMSSLEADLKRHLQEKERLLSELNRCHGTLSVYQSNISKYKLDLKQAQYNDIDRRQQKWQTRTLIDTTVH >Ma03_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26950457:26952124:1 gene:Ma03_g22120 transcript:Ma03_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFRYASSVLLSPEDSNGILGLGDTEGQDFHGEAARRDLWRFPDTRSGIYAGISVDFTLQSEDCVALMVERESQHLPQGDYVKRLLRGQLDLAIRSDAIDWIQKVHAHHRFGPLSAYLSVNYLDRFLSSYEHSQGKAWMTQLLSVACLSLAAKADETEVLSSLDLQIGEARYVFEARTVQRMELLVLSTLKWRMQVVTPFSFIDYFLYKFSDGIVPDSSLVSRSVGLILGTVREIDFLEFRPSEIAAAVALTALKKTQVLEVGKAVTCCNHVDMERVLRCNEVIQEMTLMKNRRYKKGGSSVSTAPKSPIGVLDAACVSYESDDITVGSHANCHPSSPAAKRRKLNRSSSS >Ma03_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11390262:11412357:1 gene:Ma03_g14240 transcript:Ma03_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVEMARLVVPWKGSSLVKNGFLGVLSSFHLQHPLRFSTLSSPRNSYFTNNHDNCNLNIKGSYWCRGLLPSCSPSLKLSGKRFTSLVATQPVPAQSEESKMDMPREIFLKDYKMSDYLFDTVDLRFDLGEDKTIVSSKIAVLPRVEGALCPLVLHGSDLKLLSIKVDGKELKKEDFHLDHHHLTLPSPPASAFILEIVTEIYPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDVMARYTCRIVADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLESRDDKFVTHSGREVKLRIWTPSEDVPKTGHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSRLRNYQFPQKEEEFVFANIPERPIPSLLRDYSAPIRLDSDLTDSDLFFLLAHDSDEFNRWEAGQVLARKLMLSLVADFQQNKSLSLNPKFVEGIRRILCNTSLDKEFIAKAITLPGEGEIMDMMTVADPDAVHAVRVFIKKQISLNLKEDLLATVINNRSSETYVFDHHNMAQRALKNTSLAYLASLDDPELTELALHEYKSATNMTDQFAALAAIAQNAGQVRDDVLSDFYTKWQHDFLVVNKWFALQAMSDIPGNVINVQKLLSHPAFDLRNPNKAGILTNWRILWLTCEFPCKRWVRLQIFGRTSVTVGQD >Ma11_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12549396:12551245:1 gene:Ma11_g11180 transcript:Ma11_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKAVVLKGAMLPLLLLWCFVAVDGGGEEFPGVGPLLVRKESRRTVASTASGEITAVDVRDGYGGAYHLQFITMAPSSLFLPVLLHTDMVFYVQSGSGRVTYVEEDENHETEHIDVVRGDIYRLEKGSMFYVQSHPDPTRDNLRIHAIFNAVDTDNTKVELPAAAYSNISDLVGGFEDKVLQMAFGVSEETILAIKWVEKPPAIIPFPHTSKTVSFGALRGIRSLTAEEEKLTNKKKTKAFNFFEAKPDVENCNGWSTALSHKDLKALKGSNFGAFMVNLSRSSMMGPYWNPKATEIAIVIQGRGMVEAVCIGEPSEETRFKAKEGDVVVVPRLHPVTQTSYNDEGFILVGFNNLVGKNRPQFFGGKRSVLRILDREVMAMAFNVPTAIVEGLLSARVESTILACTSCAEELEGRMKDQSKGEEEHEEEDDDDEERAAWKVDEEARREAEREMRMLGMLV >Ma03_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25945436:25951123:-1 gene:Ma03_g20880 transcript:Ma03_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGNAADNRTRSSVSIFIIISMCCFFYILGAWQKSGFGKGDSIAIEITKQTDCTILPNLSFETHHSRSDFGDDIGAEVRTFEPCHERYRDYTPCQDQNRAMLFPRENMNYRERHCPPEEEKLYCLIPAPEGYVAPFPWPKSRDFVPYANVPYKSLTVEKAVQNWVQYEGNVFRFPGGGTQFPQGADTYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAIIGVLGTIKLPYPSRSFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKNNYKAWQRTEEDLEDEQKKIEEIAELLCWEKVSEKSEIAIWRKRINSDSCAVRQDEPRVRTCESSYADDVWYKKMEACIVPFPEVDNPEEVAGGELKTFPDRLNDVPPRIASGSVPGFSVESYQEDNRLWKKHVKAYKRLNKYIDTGRYRNIMDMNAGLGSFSAAIESPKLWVMNVVPTIADKSTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANAVFSLYNKNRCKMEDILLEMDRILRPEGAVIFRDQVDILMKVKRMVTGMRWNTKMVDHEDGPLLQEKVLVAVKQYWAGGHTNETVEV >Ma03_p20880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25945436:25951123:-1 gene:Ma03_g20880 transcript:Ma03_t20880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGNAADNRTRSSVSIFIIISMCCFFYILGAWQKSGFGKGDSIAIEITKQTDCTILPNLSFETHHSRSDFGDDIGAEVRTFEPCHERYRDYTPCQDQNRAMLFPRENMNYRERHCPPEEEKLYCLIPAPEGYVAPFPWPKSRDFVPYANVPYKSLTVEKAVQNWVQYEGNVFRFPGGGTQFPQGADTYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAIIGVLGTIKLPYPSRSFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKNNYKAWQRTEEDLEDEQKKIEEIAELLCWEKVSEKSEIAIWRKRINSDSCAVRQDEPRVRTCESSYADDVWYKKMEACIVPFPEVDNPEEVAGGELKTFPDRLNDVPPRIASGSVPGFSVESYQEDNRLWKKHVKAYKRLNKYIDTGRYRNIMDMNAGLGSFSAAIESPKLWVMNVVPTIADKSTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHANAVFSLYNKKCKMEDILLEMDRILRPEGAVIFRDQVDILMKVKRMVTGMRWNTKMVDHEDGPLLQEKVLVAVKQYWAGGHTNETVEV >Ma06_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6557063:6559029:-1 gene:Ma06_g09340 transcript:Ma06_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLDALLGRKSRQMSKLKTLLGLTVSRLAVLRNRRQVRCNQARTDVAQLLQLGHVDHALLRVEHVIKEQNMVDVFVMSEHYCQLLIERFVLLDHKECPEELREAISSLSFAASRCAELPELDKARGIFSSRYGKELVSAAVELRNNCRVNPKMIQKLSTRQPSLEIRQRVTKEIAAETGINLDFYDPSSEDAGGDPPVNLVQEQLKSDENLSMRTPHKYEDVASAAKDAFEAAAFAAAAARAAVELCRSESQGRGSDPDTESGGQSRFEEVEEPKAAASVDNSGDQIHCSDSEEEIEAEQHDLLGEDRFKEKFVKQFRRPPSWSSDSSEEEDGRLHASLGGGSPDEHPEAYLGPSYSRGNERSNPPHKAKTELKSGTEGKKAISVRTRRGLFE >Ma11_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25651701:25652313:-1 gene:Ma11_g21530 transcript:Ma11_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREQDGRQRSSSAYYVASAYPQRPFSALPHSRPRVPVARAAILGFLYRFQRRTNEAIGVSPIFPGCYDLSSSFKNPCWRLHFLWIFRGKVYPSFSKMVEVVRWSDTETTARRLVDCGDYDGDLYREYPLDSEGGFGNIIVVDNLPVMPLENFAKLEGVRCTIKKREARIFR >Ma10_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31770899:31774261:1 gene:Ma10_g22350 transcript:Ma10_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPSSRLSSISQSINLVRREKKMKPGLTAQAENKWRTAAQEQVYGRRLLEALRSTGGAPAGPRAVKEAADSALALTARGQSRWSRAILLGRCCPRRKLLLKAGGRIRRGRRQPRPSAPVPQQQVSAELRGKKVRDRLRVLGRLVPGCRKLSASGLLEEAGDYVAALEMQVKTMRALTEALSAASLSAGPTGEPGT >Ma04_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22164112:22165372:-1 gene:Ma04_g19550 transcript:Ma04_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPASVAVPLLVLLGATICIASRVRFVETAPYGAGHGIGGGSGYAAGGSGGGGGGGSGGGYGVGGQFIPGYGTVGEHGVGYGSGYGSGGGGGAGYGGGGGGGSGGGYGGGGESGGGLGGAYGGGYGSGGGSGGGVGYGAGGEHGIGYGGGGGSGGGAGYGAGSEHGVGYGSGGGNGGGYGAGGNGGGGGGGTGGGVGYGAGGEHGGGYGGGNGGGAGYGGAGTGGEYGGAYGGGGGKGGGGGSGFGEGGEHGVGYGSGGGSGAGGGYGAGGAHGGGYGGGGGSGIGDGYGAGGEHGVGGGEGSGGGAGYGAGGEHGGGFGGGGGAGGGAGYGGAGGYGGGVGGGSGAGGGYGGAGGYGGGAGGGSGVGGGPGGYGGGAGGGSGAGAGYGGGHAP >Ma08_p10580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7741777:7748332:-1 gene:Ma08_g10580 transcript:Ma08_t10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHTVKVESGRAGEDGKPSVGPVYRNSLAKNGFPPLDPDMTTSWDVFRVAAEKYPNNRMLGWREIKNGKAGPYLWKTYKEVYEEVLQVGSALCHLGAKPGSRIGIYGANCPQWIVVMEACNGYSLICVPLYDTLGSGAIDYILKHADIDFVFVQDKKMKEILSSSCESARLIKSIVSFSSTTGEQNDAAADIGIKLYSWNETLRMGKEYPSEPLPPQPHNICTIMYTSGTSGNPKGVVLTHESHATYVKGADLFLNEFEDKMTTDDVYLSFLPLAHILDRMIEEYFFHNGASVGYYQGDIHALRDDLMELKPTLFAGVPRVFERVHEGVLKALSELRPLRRMIFNALYKHKLRWMRLGYSHKTASPFADMLAFRKVKARLGGRVRLIISGGAPLNPEIEEFLRVTSCAYLTQGYGLTETCGLSTVGFPDDMSLVGTVGVASTYSEVRLEEAPELGYDPLGTPSRGEICVRGKTLFSEYYKNPELTKEVMVDGWFHTGDIGEMRPDGVLKIIDRKKNIFKLSQGEYVAVEYLEKIYKISPIVEDIWVYGDSFRSMLVAVVTPHEDSAKRWAEQNGHKESFCDLCKLEVLNKFILQELKTVAENNKLRGFEYIKGILVDPLPFDIERDLVTPTMKKKRAQMLKFYQSEIDKLYHNILAEGRA >Ma08_p10580.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7741777:7748332:-1 gene:Ma08_g10580 transcript:Ma08_t10580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANHTVKVESGRAGEDGKPSVGPVYRNSLAKNGFPPLDPDMTTSWDVFRVAAEKYPNNRMLGWREIKNGKAGPYLWKTYKEVYEEVLQVGSALCHLGAKPGSRIGIYGANCPQWIVVMEACNGYSLICVPLYDTLGSGAIDYILKHADIDFVFVQDKKMKEILSSSCESARLIKSIVSFSSTTGEQNDAAADIGIKLYSWNETLRMGKEYPSEPLPPQPHNICTIMYTSGTSGNPKGVVLTHESHATYVKGADLFLNEFEDKMTTDDVYLSFLPLAHILDRMIEEYFFHNGASVGYYQGDIHALRDDLMELKPTLFAGVPRVFERVHEGVLKALSELRPLRRMIFNALYKHKLRWMRLGYSHKTASPFADMLAFRKVKARLGGRVRLIISGGAPLNPEIEEFLRVTSCAYLTQGYGLTETCGLSTVGFPDDMSLVGTVGVASTYSEVRLEEAPELGYDPLGTPSRGEICVRGKTLFSEYYKNPELTKEVMVDGWFHTGDIGEMRPDGVLKIIDRKKNIFKLSQGEYVAVEYLEKIYKISPIVEDIWVYGDSFRSMLVAVVTPHEDSAKRWAEQNGHKESFCDLCKLEVLNKFILQELKTVAENNKLRGFEYIKGILVDPLPFDIERDLVTPTMKKKRAQMLKFYQSEIDKLYHNILAEGRA >Ma08_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7741777:7748331:-1 gene:Ma08_g10580 transcript:Ma08_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHTVKVESGRAGEDGKPSVGPVYRNSLAKNGFPPLDPDMTTSWDVFRVAAEKYPNNRMLGWREIKNGKAGPYLWKTYKEVYEEVLQVGSALCHLGAKPGSRIGIYGANCPQWIVVMEACNGYSLICVPLYDTLGSGAIDYILKHADIDFVFVQDKKMKEILSSSCESARLIKSIVSFSSTTGEQNDAAADIGIKLYSWNETLRMGKEYPSEPLPPQPHNICTIMYTSGTSGNPKGVVLTHESHATYVKGADLFLNEFEDKMTTDDVYLSFLPLAHILDRMIEEYFFHNGASVGYYQGDIHALRDDLMELKPTLFAGVPRVFERVHEGVLKALSELRPLRRMIFNALYKHKLRWMRLGYSHKTASPFADMLAFRKVKARLGGRVRLIISGGAPLNPEIEEFLRVTSCAYLTQGYGLTETCGLSTVGFPDDMSLVGTVGVASTYSEVRLEEAPELGYDPLGTPSRGEICVRGKTLFSEYYKNPELTKEVMVDGWFHTGDIGEMRPDGVLKIIDRKKNIFKLSQGEYVAVEYLEKIYKISPIVEDIWVYGDSFRSMLVAVVTPHEDSAKRWAEQNGHKESFCDLCKLEVLNKFILQELKTVAENNKLRGFEYIKGILVDPLPFDIERDLVTPTMKKKRAQMLKFYQSEIDKLYHNILAEGRA >Ma08_p10580.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7741777:7747999:-1 gene:Ma08_g10580 transcript:Ma08_t10580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANHTVKVESGRAGEDGKPSVGPVYRNSLAKNGFPPLDPDMTTSWDVFRVAAEKYPNNRMLGWREIKNGKAGPYLWKTYKEVYEEVLQVGSALCHLGAKPGSRIGIYGANCPQWIVVMEACNGYSLICVPLYDTLGSGAIDYILKHADIDFVFVQDKKMKEILSSSCESARLIKSIVSFSSTTGEQNDAAADIGIKLYSWNETLRMGKEYPSEPLPPQPHNICTIMYTSGTSGNPKGVVLTHESHATYVKGADLFLNEFEDKMTTDDVYLSFLPLAHILDRMIEEYFFHNGASVGYYQGDIHALRDDLMELKPTLFAGVPRVFERVHEGVLKALSELRPLRRMIFNALYKHKLRWMRLGYSHKTASPFADMLAFRKVKARLGGRVRLIISGGAPLNPEIEEFLRVTSCAYLTQGYGLTETCGLSTVGFPDDMSLVGTVGVASTYSEVRLEEAPELGYDPLGTPSRGEICVRGKTLFSEYYKNPELTKEVMVDGWFHTGDIGEMRPDGVLKIIDRKKNIFKLSQGEYVAVEYLEKIYKISPIVEDIWVYGDSFRSMLVAVVTPHEDSAKRWAEQNGHKESFCDLCKLEVLNKFILQELKTVAENNKLRGFEYIKGILVDPLPFDIERDLVTPTMKKKRAQMLKFYQSEIDKLYHNILAEGRA >Ma08_p10580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7741777:7748330:-1 gene:Ma08_g10580 transcript:Ma08_t10580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANHTVKVESGRAGEDGKPSVGPVYRNSLAKNGFPPLDPDMTTSWDVFRVAAEKYPNNRMLGWREIKNGKAGPYLWKTYKEVYEEVLQVGSALCHLGAKPGSRIGIYGANCPQWIVVMEACNGYSLICVPLYDTLGSGAIDYILKHADIDFVFVQDKKMKEILSSSCESARLIKSIVSFSSTTGEQNDAAADIGIKLYSWNETLRMGKEYPSEPLPPQPHNICTIMYTSGTSGNPKGVVLTHESHATYVKGADLFLNEFEDKMTTDDVYLSFLPLAHILDRMIEEYFFHNGASVGYYQGDIHALRDDLMELKPTLFAGVPRVFERVHEGVLKALSELRPLRRMIFNALYKHKLRWMRLGYSHKTASPFADMLAFRKVKARLGGRVRLIISGGAPLNPEIEEFLRVTSCAYLTQGYGLTETCGLSTVGFPDDMSLVGTVGVASTYSEVRLEEAPELGYDPLGTPSRGEICVRGKTLFSEYYKNPELTKEVMVDGWFHTGDIGEMRPDGVLKIIDRKKNIFKLSQGEYVAVEYLEKIYKISPIVEDIWVYGDSFRSMLVAVVTPHEDSAKRWAEQNGHKESFCDLCKLEVLNKFILQELKTVAENNKLRGFEYIKGILVDPLPFDIERDLVTPTMKKKRAQMLKFYQSEIDKLYHNILAEGRA >Ma10_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5282571:5283890:1 gene:Ma10_g01800 transcript:Ma10_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAENCSVLDPWMHRTESAWMNEAFARDNEALTRALQISLSDTSSSASHDTLSSVAATTSTSRTPVLLPRYQVTSPLGDVAAVRGRNPLAPTPAGRISKRRSRPSKRAPTTYINADPAHFREMVQRVTGVRLYGDLAEPLVKPEPVRPAVLQQTHLPTLDTSAFLLDRDEGSSFGPASDFDGLLPAFSSLDSWGVM >Ma09_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34026839:34027379:-1 gene:Ma09_g22060 transcript:Ma09_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGREARFRGVRKRPWGRFAAEIRDPWKKTRKWLGTFDTAEEAARAYDEAARSLRGPKAKTNFCRPGDLGIPPSAGAPPMDLSLGYPFEAGSMGRKAEEAAKAGKQKPPFSFDLNLPAAFF >Ma10_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17177622:17180213:1 gene:Ma10_g05790 transcript:Ma10_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54050) UniProtKB/Swiss-Prot;Acc:P25851] MECIVVISRYCPNLWDGELRATKDGLTIRALPSSHPATILSTLLRSRKPYQWPTNPKKKKLKKKKRKKQSSREEHWRATDMASATLIPAASKLILSSSSTTRSFPRLSPFSNVSLPGRHRSVLFAAKRAAGDGGNRSSAACAAVGTAASEVETKRKSSFELQTLTTWLLKQEQAGGIDAELTIVLSSISMACKQIASLVQRAGISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDETLGQVEQKCVVNVCQPGNNLLAAGYCMYSSSVIFVLTVGKGVYVFTLDPMYGEFVLTQEDVKIPPAGKIYAFNEGNYLLWDDKLRAYMDSLKDPGPNGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGYQRILDIEPQEIHQRVPLFIGSVEEVEKLEKFLA >Ma09_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25006597:25021409:1 gene:Ma09_g19580 transcript:Ma09_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVEAVPPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCNPGTAWTVVNLVHFAITYHFFHWKKGTPFSDDQGIYNNLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYRHPMLSLNTVAVIVLVVAKWPNMHKVRIFGINAGNRVAVHGN >Ma03_p29130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32158314:32159840:1 gene:Ma03_g29130 transcript:Ma03_t29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAELSPFPSSSMQNMMHIQANHISGD >Ma02_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15504520:15509230:-1 gene:Ma02_g04030 transcript:Ma02_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g31400, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31400) UniProtKB/Swiss-Prot;Acc:Q9SIC9] MASSTPPHYSITTPKPHHTPHPTPQNPLQKHHQSRHRSSHRLHSSSSSGSAAASSSAGACGGAASTTIASSASAGSSSAPASSSSHKNGILDRNPAFLPYYPQQGAGLTPEFRRNRTTRVISKRNLSSPRPSQSSARAASPAAARCLLQILRSGPDDHPLGDIVTSFRLDLAVPEDYCYILHELGNRQKCPLKALKFFNSAMPLMKSLTEQGKLLTAAIGGLGRMGCPDLARKVFDVGLSSGYANTIYAHSALISAYARSGLAMKAMDVLEMMKAAGLKPTTISYNAVIDACGKGGVDLQLTLGFFREMLHNGLFPDRKTFNSLLAACSRAGHLEDARMVFDEMIHLGIGQDIYTYNTFIDAICKCGNMELALQVTLDMPMNSVQPNVVTYSTLMDGYSKLEQFDEALNLYEKMKALKIGLDRVCYNTLLSIYVKTGRYEDIVRVCNEMDVTGVDKDTVTYNCLINGHGKQGRFDIVGYLIQEMRDRGVSPSVLTYSTLIDIYSKAGMYGDAANVFLEFKESGLKADVVLYSSFIDTLAKNGLVDCAVWLLDEMTRMGIEPNVVTYNTIIDAFGKPRIVVEDGGVGNELAGVEGSGGQIVGAFGQLAKGIGRAVVEDSRRRSEELLCILGLFQKMIQQGVRPNVVTFSAILNACSRCNSFEDASLLLEQLRLFDNFVYGVAHGLLMGSREAWVQAQSLFDELRRMDPPTSSAFYNALTDMLWHFGQRRGAQQVVLEGVHRHVWENTWTKYSLDLHLMSVGAAQAMVHAWLLSMHSIVFEGRKLPEYVSILTGWGKHSKVAGASTLRRVIETLLNSMGAPFHLEKFNVGRFVSAGATVTAWLRESGTVNILLLHDKRVQSANPLNLIPILQVLRL >Ma02_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16610978:16613074:-1 gene:Ma02_g05270 transcript:Ma02_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVENGWLVSLSLAAKCSELSAYPIRLLSLAIIVAVCWLATTLLHWAYPGGPAWGRYWWSRRKPWGLGKAIPGPRGLPLVGSMGLMSGLAHRKLAAVADAIPGARRLMALSLGDTRVVVTCDPGVARDILNSPDFADRPVKESAYGLMFHRAIGFAPYGAYWRTLRRIAATHLFSPKQVSALGCYRAEIAAQMVRALDGLAAEPVQVRRILKQASLNHVMRFVFGRKYELQGGSEETKELTSMVEEGYEVLGKLNWSDHLPVLTGIDLQRVRWCCSVLVPRVKRFVTRIIKEHRVERARDSEAAPRDFVDVLLSLQAPDRLSDPDMVAVLWEMIFRGTDTVAVLIEWVLARLVMHREVQARLQAELDAAVGTDRLVTGSEAFAAPPYVQAVIKETLRVHPPGPLLSWARMATSDARVGGGAHVVPAGTTAMVNMWAIARDPVTWPDPLRFEPARFLGPSAEFPVTGSDLRLAPFGAGRRSCPGKGLAMATVEMWVAALAHEFEWQPASAAGVDLSEVLRLSCEMAAPLTVRLRRRRLST >Ma07_p26960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33512718:33517669:1 gene:Ma07_g26960 transcript:Ma07_t26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMVQSPKVMSITQQAWQQPQPSQVGQPQIVHSLQTMPAKVGEQSEPGSSQSLLSARQQHPTQPSISLPLASGSSQSLLSARQQHPTQPSISVPPASVPPLTFQSKAMPLPLSEPQTKGFLNLQVPSVTPIQSSQIQNISQPNPAAPHYSNLPSHMPMISVHPQQTLQNPGLFNQLLQPPLPLQPRQVAMQPFALQFHPQMPHLLGLQPSSAPQQLLSQPLFHSGITPPSSFPQGQAPLPSQPPQHLYQQVGSSHIGTDYGTQVSTSMQKDRGAPWVLGSQLPGLPPMISGQMATGTSGQPPRAPPLTPEMEQALLQQVMGLTPEQINLLPPEQRNQVLQLREMLK >Ma07_p26960.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33512708:33517669:1 gene:Ma07_g26960 transcript:Ma07_t26960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQQPQQQQQVANSFTSQFAVMSKAQLYDILSQMKALIEQNQQEARQILIDNPLLTRALFQAQIMLGMVQSPKVMSITQQAWQQPQPSQVGQPQIVHSLQTMPAKVGEQSEPGSSQSLLSARQQHPTQPSISLPLASGSSQSLLSARQQHPTQPSISVPPASVPPLTFQSKAMPLPLSEPQTKGFLNLQVPSVTPIQSSQIQNISQPNPAAPHYSNLPSHMPMISVHPQQTLQNPGLFNQLLQPPLPLQPRQVAMQPFALQFHPQMPHLLGLQPSSAPQQLLSQPLFHSGITPPSSFPQGQAPLPSQPPQHLYQQVGSSHIGTDYGTQVSTSMQKDRGAPWVLGSQLPGLPPMISGQMATGTSGQPPRAPPLTPEMEQALLQQVMGLTPEQINLLPPEQRNQVLQLREMLK >Ma07_p26960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33512708:33517669:1 gene:Ma07_g26960 transcript:Ma07_t26960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQQPQQQQQVANSFTSQFAVMSKAQLYDILSQMKALIEQNQQEARQILIDNPLLTRALFQAQIMLGMVQSPKVMSITQQAWQQPQPSQVGQPQIVHSLQTMPAKVGEQSEPGSSQSLLSARQQHPTQPSISLPLASGSSQSLLSARQQHPTQPSISVPPASVPPLTFQSKAMPLPLSEPQTKGFLNLQVPSVTPIQSSQIQNISQPNPAAPHYSNLPSHMPMISVHPQQTLQNPGLFNQLLQPPLPLQPRQVAMQPFALQFHPQMPHLLGLQPSSAPQQLLSQPLFHSGITPPSSFPQGQAPLPSQPPQHLYQVGSSHIGTDYGTQVSTSMQKDRGAPWVLGSQLPGLPPMISGQMATGTSGQPPRAPPLTPEMEQALLQQVMGLTPEQINLLPPEQRNQVLQLREMLK >Ma07_p26960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33512708:33517669:1 gene:Ma07_g26960 transcript:Ma07_t26960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAQLYDILSQMKALIEQNQQEARQILIDNPLLTRALFQAQIMLGMVQSPKVMSITQQAWQQPQPSQVGQPQIVHSLQTMPAKVGEQSEPGSSQSLLSARQQHPTQPSISLPLASGSSQSLLSARQQHPTQPSISVPPASVPPLTFQSKAMPLPLSEPQTKGFLNLQVPSVTPIQSSQIQNISQPNPAAPHYSNLPSHMPMISVHPQQTLQNPGLFNQLLQPPLPLQPRQVAMQPFALQFHPQMPHLLGLQPSSAPQQLLSQPLFHSGITPPSSFPQGQAPLPSQPPQHLYQQVGSSHIGTDYGTQVSTSMQKDRGAPWVLGSQLPGLPPMISGQMATGTSGQPPRAPPLTPEMEQALLQQVMGLTPEQINLLPPEQRNQVLQLREMLK >Ma07_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5139537:5140790:1 gene:Ma07_g07080 transcript:Ma07_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLHGSSSVLSFIVLLALSSLLSPCNCFNPKRLNFSSSALEGWSPAGATWYGSAHGAGSDGGACGYGNGVDRAPFSSMIAAGSPSIFKSGEGCGACYQIRCTKNAACSGNPATIVLTDECPGGICLAEPVHFDLSGTAFGAMAKPGQSDQLRNAGVLQIQYTRVECNYAGIDVAFHVDVGSNPYYIAVLIEYEGGDGDLACVDIKEGASSSSSTPSSSWIPMTQSWGAEWRLNSGPKLRPPFSFRLTSGLSRKVLVANNVIPVGWQPGATYRSLVNYSD >Ma02_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20484211:20487682:1 gene:Ma02_g11200 transcript:Ma02_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEPNEGGSEDSDGGKLVTVRAKRALVGAGARVLFYPTLMYNVLRNKIQAEFRWWDEVDQFILLGAVPFRKDVPRLQQLGVRGVITLNEPYETLVPSSLYKVHGIDHLVVPTTDYLFAPSLVDICLAVDFIHRNASHGRTTYVHCKAGRGRSTTIVLCYLIEYKNMTPVAALEYVRSRRPRVLLAPSQWQAVQEYSKRKLEFPAIQWPKPTYSLTGDEVLVTAHDLEGYSAEDDKDQRMSSCKAPQTSTLLGEDEVLITDADLQGYEAFRVACDEKRVLSNQNIRTPLMMRKLSCFFPFLKFSGGKQPIARALPAVHAC >Ma02_p11200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20484185:20487682:1 gene:Ma02_g11200 transcript:Ma02_t11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFLVVPFIEDSRKIGGIHDRDRNPLRPSFRSRDSNLGSRSIMRIEEPNEGGSEDSDGGKLVTVRAKRALVGAGARVLFYPTLMYNVLRNKIQAEFRWWDEVDQFILLGAVPFRKDVPRLQQLGVRGVITLNEPYETLVPSSLYKVHGIDHLVVPTTDYLFAPSLVDICLAVDFIHRNASHGRTTYVHCKAGRGRSTTIVLCYLIEYKNMTPVAALEYVRSRRPRVLLAPSQWQAVQEYSKRKLEFPAIQWPKPTYSLTGDEVLVTAHDLEGYSAEDDKDQRMSSCKAPQTSTLLGEDEVLITDADLQGYEAFRVACDEKRVLSNQNIRTPLMMRKLSCFFPFLKFSGGKQPIARALPAVHAC >Ma02_p11200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20484211:20487682:1 gene:Ma02_g11200 transcript:Ma02_t11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEPNEGGSEDSDGGKLVTVRAKRALVGAGARVLFYPTLMYNVLRNKIQAEFRWWDEVDQFILLGAVPFRKDVPRLQQLGVRGVITLNEPYETLVPSSLYKVHGIDHLVVPTTDYLFAPSLVDICLAVDFIHRNASHGRTTYVHCKAGRGRSTTIVLCYLIEYKNMTPVAALEYVRSRRPRVLLAPSQWQAVQEYSKRKLEFPAIQWPKPTYSLTGDEVLVTAHDLEGYSAEDDKDQRMSSCKAPQTSTLLGEDEVLITDADLQGYEAFRVACDEKRVLSNQNIRTPLMMRKLSCFFPFLKFSGGKQPIARALPAVHAC >Ma05_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30589252:30591830:-1 gene:Ma05_g19940 transcript:Ma05_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLLCSRWSPRHVRTAQKQAKTTQKRAKTGHFWLRERAASGGQRAKREAAPSLLYESPIRGVTRGVLRC >Ma08_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33062326:33067168:-1 gene:Ma08_g19250 transcript:Ma08_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGATKKVGFSEEDVSLLLQRYSPAVILTLLQEVSQVADVSIDWSALVKRTATGITNAREYQMLWRHLAYHHALLEKTDDAAEPLDDESDLELEIEAVPAASGEALSEAAACVKVLISCGLPREPGSTNRTNLEAPLAVNISSDQRLHLPSDKQLLSRVNHESSSAITSLQKQPLPAGTFAHVSDGNDKKRRRTWTEEEDMEIISAGQKFGERNWANTIKGDHQQGRNASQRWSVIRKHDANSLAGSSNKSASSTRSEERLAAAQKAISLALDVPKSAKLSAVLSGGTQLISAASSSAPSAVPSEGLPVSTQPLNQLREASTPATSKKMIVNTLNKSRTTQKKSMALVKPSTGPSSLIQAAAFAAGGRIATPSTAASLFKAAQSKNAVHIRPRGGSQSSTINNVKSLAVTNTTGLQPASVHFSRPAITMAVQPPENAVSSSATCVRHGGQQAQGCFQGVTNNPPDTVSHEMADLSERKDDIDISAIDVDEILAADIKYASEMESDDIMADEPQTDLLNLVTDATEDNESEANVDKQKDLPSDAKTTENKALEVHDNGDNTSVEKGTASFNAEVGNTSPVEKLDSQNHIINQEQLTGVEIMADEVKKGCNEVNQHALPEDTASGSKRHATNDCDISTNDKQ >Ma07_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7331441:7333182:1 gene:Ma07_g09790 transcript:Ma07_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTARSRQPMAAAASRDDSDHDSSCSSFSTALDSRRSWISDVSFGSSSSVSVRSYCGANGGDGAGHHQQQLLKPHKANQAEWEAIRRLRAASGHVRLDHFRIVRRLGSGDLGNVYLCQLRDPRSPGCLYAMKVVDREALAFRKKLQRAETEKEILRSLDHPFLPTLYADFDAAHYSCLVMEFCPGGDLHVARQRQPGRRFSISSAKFYAAETLLALEYLHMMGVVYRDLKPENVLVRDDGHIMLSDFDLSLKCDVVPKLMMQRLSSKLAAKNAKGSSSSCVPPMQPVLSCFYGGGGSNANKCDKRAKTEDDDSDDDSDDQELDPELVAEPIAARSKSFVGTHEYLAPEVISGAGHGSAVDWWALGVFIYEMIYGRTPFKGEDNEKTLINIIKQPLGFPKAAAAAGKELEELSRAQDLICKLLVKNPKKRIGSMKGSAEIKKHEFFKGVNWALIRSVRPPEVPRDPRRGRSRTPAVAQKLSKKARDEPYHIPHHIDYF >Ma05_p32000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41675420:41680013:-1 gene:Ma05_g32000 transcript:Ma05_t32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKRRAERAVVENGYVETDPVTGRYGRFDEVLGKGATKTVYRAFDEVNGTEVAWNQAMLCDMMRSPEALERMYSEVHLLSSLCHESIIKFHSSWIDVEHRTFNFITELFASGTLRQYRQRYQQVDIRAVKRWARQILEGLAYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAATLRGAQCKHSVIGTPEFMAPELYEEEYNELADIYSFGMSVLEMLTSEYPYSECSNPAQIYKKVTSGKLPHAFNCIQDPEAKRFVGRCLQKVPGRSSAKELLLDPFLTFEDPAPKNPANDVKNIVSGNHDLDPLQVTYHHDLDPVVRTTDMTITGKMNTEDDAIYLKVQIADNEGNASNIYFHFDIVSDTPIDVANEMVKELDITDREPAEIAEMIAQAISAASMSGCKEGVLGDGPHVYNYVDDEQEGSSHPFHCLSSPASSQGSSVFGMSSPRGIFCQQHNHHQADWLGDGLMDDEDMSSIHSTKYSAVNYVSASEQESDGTFHHQLITNSHESTRFCLEEDPAENESITNQLHRKCSASAEARGASSVRGGKRPVDSRRLMRNRSMIDVRSQLLHKALVEKLNKRMFNTVGSVENIDRVPGAIRWPSSVYLSRRL >Ma04_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9385216:9394280:1 gene:Ma04_g12440 transcript:Ma04_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPSPPPSLRTVPATSAASSTVALRPVVILPGLGNNTGDYKDMAMTLREGYGIPSVVARVSRVDWLRHAAALLSPGFWRGSLHPRPLIDWYLERVAEAVAEAKLHLEDGGSISLVGHSAGGWLARVYMEEFRMSRISLLLTLGSPHLPPPKGLPGVIDQTRGLLDYIEKNCAPAVYTPELKYICIAGRYIQGAPLFGNSNDPSYDPMLVGEELNKIKLPAKYSNDKSLSSAPSLHARFVGQSYKQVCGQADVWGDGVVPEVSAHLEGALNISLDGVYHSPVGSDGTIRPWFGSASVLKKWVHHLLT >Ma07_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8075007:8075147:-1 gene:Ma07_g10870 transcript:Ma07_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVDQRTGNDLLPMKKSYEDGAYRVNLANGDGGRMRRLGLLGILQ >Ma05_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36340111:36380135:-1 gene:Ma05_g24110 transcript:Ma05_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIINYEQHAQRLIEPDLPVQVRLQMVMEVRDSLEIAHTSEYLNFLKCYFRAFSVILTQLTSPQTTESAEHKLRNVVVEILNRLPHSEVLRPFVQDLLKLSLAVLTRDNEDNALISIRIIFDLLRNFRPTVEAEVQPFLDFVCNIYRNFPATVSHIFNSQNNPNPLSTSSSSAVTAASPSPPPPPLPPSSHPIGGRGDDGGGLDVPPGISSSSVGSSTTYTAAGQLNPSTSSFKIVTESPLVVMFLFQLYTKLVQTNIPYLLPLMVSAISIPGPDKVSNNLKTQFVELKGAQVKTLSFLTYLLKSHADYIRPHEESICKSIVNLLVTCPDSVSIRKEMLIALKHVLNSDFRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYSLLAEMVHYVRSELSLAQLSRIIYLFSRNMHDSSLTLVIHTTCARLMLNLVEPIYDKGVDLQSMDEARVLLGRILDTFVGKFGTFKRIVPQLLEEGEEGKEYSTLRSKLEVPIQAVLNFQAPMEYAKEVSDYKNLIKTLVMGMKTIIWSITNAHMARPQVSTSSHGTHQQTQASPSSNMPLPQMFKGMREDEVRKATGVLKSGVHCLALYKEKDEEREMLQHFSQILAIMEPRDLMDIFSLCMPELFECMITNTQLLHIFSTLLQAPKVLRPFMDVLIHFLVNNKLDALKHPDTSAAKLVLQLFRFLFMAAAKAPADSERILQPHIPVIMEVCMKNATEVEKPLGYMHLLRYMFRSMNGAKFDTLLRDLIPSLQPCLNMLLSMLEGPSGEDMRDLILELCLTLPARLSSLLPHIPRLMKPLVLALKGTDDLVSLGLRTLEFWIDSLNPDFLEPSMANVISEVILALWSHLRPLPYPWGTKALQLLGKLGGRNRRFLREPLALECKENPEHGLRLILTFEPSTPFLVPLDRCIYLAVAAVMQNNGGMEAFYRKQALKFLRVCLSSLLNLRGNVQGEGVSPGTLGTLLVSSVDPSRRRTETSDMKVDLGVKTKTQLMAEKSVFKTLLMTTIAASADPELQDTKDEFLVNVCRHFALLFHVECSSSNLSGTTGQHVGSMISSSSGMTSRSRGSTSNLKELDPLIFLDALVEVLASENRLHAKAALNALSMFAETLLFLARAKHNGVLSSRGGPGTPMMVSSPSLNPVYSPPPSVRVPVFEQLLPRLLHCCYGCTWQAQLGGVIGLGALVGNVSVETLCIFQVRIVRGLIYVLKRLPMHANKEQEETSQVLTQVLRAVNNVDEANNDSRRQSFQGVVEFLALELFNPNASIVVRKTVQSCLALLASRTGSEVSELLEPLYLPLLQPLIMRPLRTKNVEQQVGTVSALNFCLALRPPLLKLTPELVNFLQEALQIAEADETVWVTKLMNPKVVTTLNKLRTACIELLCTAMAWADLKTPNHTELRAKIISMFFKSLTCRTPEIVSVAKEGLRQVVQQQRLPKELLQGSLRPILVNLAHTKSLTMPLLQGLARLLELLSNWFNVQLGVKLLDHLKKWLEPEKLAQTQKSWKAGDEPKVAAAMIELFHLLPQAAGKFLDELVTIIIDLEGALPPGQFYSEINSPYRLPLTKYLNRYATDAVDYFLARLSHPKYFRRFMYIICTDAGQPLREELAKSPHKILANAFPQFFPHSEGSVVQPSSSVNDEVLMSDTFTSPPLAACSDGYFHGLELISTLVKLMPNWLHGNRIVFETLLLVWKSPARIARLQNEQELSLLQVKESKRLVKCFLSYLRHDKSEVGALFDMLSIFLFQTRIDYTFLKEFYIVEVAEGYTPNLKKSILLHFLSIFQSKQFGQDHLVIAMQILILPMLAHSFQNGQSWDVVDPATIKTIVDKLLDPPEEISAEYDEPLRIELLQLATLLLKYLQNDLVQHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGESRVPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAQFVPQMVNSLSRLGLPYNTTSENRRLAIELAGLVVAWERQRQNEMKVMPGTEGFNQIGDALNPASVGGDSKRSSDASAFPDDISKRVKVEPGLQSLYVVSPGGASIPTIEAPGSSGQPDEEYKPNAAMEEMIITFLIRVALVIEPKDKESTSMYKQALELLTQALEVWPNANVKFNYLEKLLGHLPPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNSKTLDAGKSLCSLLKMVFSAFPLDVASTPQDVKILYQRVGELVQKHLAAVTAPQISLEVSNANSMITFALFVVKTLTEVQKNFIDPFIPLLLRVLQRLARDMGSSSGSHVRQGQRADLDSAVSSRATADSASVISNMKCVVSLVSERVMHSPECKRLIGQILHALLFEKGTDSSVLLCVLDTIKVWIEDDYRHSSSGASSAALTQKEIVSYLQKLSLVDRKNFPPAVQEEWDGKYLQLLYELCADSSKYPVPLRQELFQKVERQYMLGLRAKDPEVRQRFFMLYHESLGKTLFNRLHFIIQIQDWEAVSDAFWLKQGLDLLLAILVENEPIMLAPNSARVPPLLASGTFPDMTVVQHSASDISDCSDGASLTFDSLVARHAHFLTEMCKLQVADLVIPLREVAYADANVAYHMWVLVFPIVWVTLHKDEQVALAKPMIALLSKDYHKKQQGSRPNVVQALLEGLHLSHPQPRMPSELIKYIGKTYNAWHISLALLESHVMLFMNDAKCSESLAELYRLLNEEDMRCGLWKRRSITSETRAGLSLVQHGYWQRAQSLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWEVLADFGKSVENYEILLDCLWKVPDWAYMKENVIPKAQVEETTKVRLAQAFFALHDRSTNGVGEAENIVVKGVELALEQWWQLPEFSVQSRTPLLQQFQQLVEVQESARILLEIANGNKQPSGNSGGNVNNAYGELKDILETWRLRTPNEWDSMSVWYDLLQWRNEIYNVVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHVARKQGLHDVCVKILDKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINNTNLEYFPVKHKAEIFRIKGDFLLKMNDCENANLAYSNAISLFKHLPKGWISWGNYCDMIYKETRDELWLEYAVSCFFQGIKYGVSNSRSHLARVLYLLSFDTPSEPVGRALAKYLDQLPNWVWLSWIPQLLLSLQRSEAPHCKLVLLKIAQVYPQALYYWLRTYLMERRDFANKSEYGRNIALAQQRMQQAASANTAASHNLVDANSRGPTHLGATSESQVHQGSQTSGTAGSHDGVNSQGQEPERPAAMDGNGNNAHDQPPQNPTVAEGTHNLLRRNGELRLATVASAFDAAKDIMEALRNKHQNLASELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSADAVNKHVEFVREYKQEFERDLDPERAATFPATLSELTERLKHWKNVLQSNVEDRFPAVLKLEEESKVLHDFHVVDVEMPGQYFTDQEVAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQIFRVLNRMFDKHKESRRRHLTIHTPIIIPVWSQVRMVEDDLMYSSFLEVYEINCARHNKEADMPITLFKEHLNQAISGQVTPEAVLELRLQAYNEITKNGVNDNIFSQYMYKTLPSGNHLWTFKKQFAIQLALSCFISYMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDGNGMIEFNEPVPFRLTRNMQSFFSQFGVEGLIVSAICAAAQSVISPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPPAPVAAGGINPLDLEQKVTTNVDHVIVRIKAIAPQCFPEEDDNTTDPPQSVQRGVTDLVEAALEPRNLCMMDPTWHPWF >Ma01_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7108592:7109575:1 gene:Ma01_g09890 transcript:Ma01_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGELACTYAALILHDDGIPITSEKILTVVKAANLTIDSYWAPLFAKLLEKRSVDDLILSVGSGGGGASVAVSAAPAAGAGGGAAAAAAPAAEEKKEEPKEESDDDMGFSLFD >Ma07_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12766532:12768038:-1 gene:Ma07_g16150 transcript:Ma07_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRVLEDELFPSTPGKVKIERTHAANRQLHRCFASTSTLFLWALLLIALTASYLSFQSFVDTSSRYFTASWGGLHWERQIRASAAVRREDGIAVLVTGAAGFVGTHVSLALRRRGDGVVGLDNFNAYYDPSLKKARKALLASHGVFVVEGDVNDARLLAKLFDTVPFTHVMHLAAQAGVRYAIENPASYVHSNVAGLVTLLEACKSADPQPSVVWASSSSVYGLNDKVPFSELDGTDRPASLYAATKKAGEEITHCYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVFRGRDGTDLARDFTYIDDVVKGCVAALDTAEASTGSGGRKRSPAQYRIYNLGNTSPVTVPALVGILERHLKMKAKKNVAEMPGNGDVPFTHANISLARAELGYKPTTNLETGLKKFVKWYLSYYGYSRRVGNKS >Ma02_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17761268:17761759:1 gene:Ma02_g06860 transcript:Ma02_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFELEKDDDDVNLELGLEPSSMPQPERVFRCTYCQRKFHSSQALGGHQNAHKLERSLAKRNREVSLAMRSHAVGQIQTVVASTSLDGRFVRKEEAVTLTPWTRGGADGKGRGGGADDKAGIAGDIDLSLRL >Ma08_p26820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39260020:39266548:1 gene:Ma08_g26820 transcript:Ma08_t26820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKAVEERILFPAKMEEAAPASDGEQWRRPGGCNPVKKPGPVSMDHVLLALRETKEEREVRIRSLFNFFDAAGVGHLDYVQIEAGLSALCIPAEYKYARDLLKVCDANRDGRVDYQEFRRYLDDKELELYRIFQAIDVEHNGCILPEELWDALIKAGIEIDDEELVRFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWEKVCLVDIGEQAVIPEGISKHVNASKYLIAGGLAGAASRTVTAPLDRLKVVLQVRTTQARIMPAIKDIWRDGRFLGFFRGNGLNVMKVAPESAIKFYTFEMLKDFIVTAKGEEKSDIGASGRLIAGGLAGAVAQTAIYPLDLVKTRLQTYACEGGKVPNLATLTKDIWVHEGPRAFYKGLIPSLLGIIPYAGIDLSAYETLKDMSRTYILKDSEPGPLVQLGCGTISGALGATCVYPLQVIRTRMQAQHTNSSSAYNGMSDVFWKTLRNEGFSGFYKGIIPNLLKCSAH >Ma08_p26820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39260020:39264249:1 gene:Ma08_g26820 transcript:Ma08_t26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKAVEERILFPAKMEEAAPASDGEQWRRPGGCNPVKKPGPVSMDHVLLALRETKEEREVRIRSLFNFFDAAGVGHLDYVQIEAGLSALCIPAEYKYARDLLKVCDANRDGRVDYQEFRRYLDDKELELYRIFQAIDVEHNGCILPEELWDALIKAGIEIDDEELVRFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWEKVCLVDIGEQAVIPEGISKHVNASKYLIAGGLAGAASRTVTAPLDRLKVVLQVRTTQARIMPAIKDIWRDGRFLGFFRGNGLNVMKVAPESAIKFYTFEMLKDFIVTAKGEEKSDIGASGRLIAGGLAGAVAQTAIYPLDLVKTRLQTYACEGGKVPNLATLTKDIWVHEGPRAFYKGLIPSLLGIIPYAGIDLSAYETLKDMSRTYILKDSEPGPLVQLGCGTISGALGATCVYPLQVIRTRMQAQHTNSSSAYNGMSDVFWKTLRNEGFSGFYKGIIPNLLKVMPSASITYLVYETMKKSLYLD >Ma02_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15743592:15755328:-1 gene:Ma02_g04270 transcript:Ma02_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPHKRKVSLRKSVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNSKPRHRFMSSFEQRIEACDKRYQYLLLAAEPYEIIAFKVPSTEIDKSTPKFFSHWDPDLKMFTLQLYFKIKPPEANKPQPAPVANGTAPPGVPPRPLPPPPQAPPLPPLQAPPPAAPPAPVMNPPRPPPSAMVGSQPPPPPPVANGPPGPIPPPIGGSAMANFTPGLQGLQAPRLPPPPPPPLQGFPGQQMQGQAPRPPQPPPNMGH >Ma09_p24210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35889498:35901878:1 gene:Ma09_g24210 transcript:Ma09_t24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGDDNKVNIWAIGKPNAIMSLSGHMSAVESVSFDSSEVLVAAGAASGSIKLWDLEEAKIIRTLTGHRSNCIAVDFHPFGEFFASGSLDTNLKIWDMRRKGCIHTYKGHTRGVNAIKFTPDGRWVVSGGEDNIVKLWDLTAGKLLHDFKLHEGQIQCIDFHPNEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSMTFNPDGRTLLCGLHESLKIFSWEPIRCHDAVDVGWSRLSDMNIHEGKLLGCSYNQSCVGVWVVDLSRIEPYAIASAVITNGHSELKSTSSGNLSLQADNNIKSSMGRLSISQSSEPNSKETKQVASATVVPGTPQRIATSVGQKATTITTAPVVTSVKRSSSKAQATTNLPTINKSEIIPVVVPRTSPRFELSSDSTKSTGVGRTVPYDIQSKFANFQKVSNIRDDSDKSDMSVQSVGTEHNELLEQTAISSCNAVTQPVIAGENNLNDIKRVRTRRLGANLFRESSANYDQENYSIRVHKPKEACSFDVPKGGRTKSLVANWERRERSPTYDGPRLSSSSETMAGANSLYSLRGHNRIAEKEIVPAASDEDAISFVLEKHDQFLNVAQSRLTKLQIVYRLWERNDIKGVISAIEKMSDHAVSADVLSSLMDKSDIITLDICTALLPLLASLLESKMDRHLGISLEMLLKLVRIFGPVISSTLSAGPSVGVDLQAEQRLERCNLCFIELEKVKHRISLLIRRGGSIAKSAKELNLALQNML >Ma07_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29223887:29224012:-1 gene:Ma07_g21130 transcript:Ma07_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSFNIKQFQSPLTLNNSIKSTVPGISMNPILVITHDILF >Ma01_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4512339:4515318:1 gene:Ma01_g06310 transcript:Ma01_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCQPPRTTELVTMDVESVLHMKEGLGETSYAQNSSLQKKSMEAIKHIIVDSATDVYASRTPGCFTIADLGCSSGTNAFSLVSKIVESIHEKARQSERPTPEILVFLNDLPTNDFNSVFLNFPEFTRKLKGGIELQEGSAPSVYLAALPGSFYGRLSPSNSLDFIYSCHSLHWLSEVPLGLVDGNGKPINKGKIYISNTSHPAVPLAYLRQFQKDFSLFLKSRSAELRSGGRIVVLILGRRTDDHSDKSATVLWELLDQSLAIMVSQEMVDEEKVDTYNVPFYAPSTKEIEDEVGREGSFEIDFIQAYELNTSTGDPHKDARITSMAIRAIQESMITHHFGEGIVDKLFQIYSGLLSEFLVKQELKCPLLIAVLRKPHQT >Ma04_p32200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32293279:32294594:1 gene:Ma04_g32200 transcript:Ma04_t32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTTLAVGCQPCNAHNAAMTEALAILEALKYAASMGWENILLQSDAQPIINYIHGSQQVPWQLQAIISDVKLLLSQLTFFSAGFIPRSLNCKAHQLASIGRTNRNKVCECPNAARAVALFTGEEKVN >Ma03_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25800438:25802251:1 gene:Ma03_g20640 transcript:Ma03_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVTNSSFFGSCIYFRLFWLEDRYSPTGTDNLSLLKNHPFPLCHPAVYGVLRANMLICKACEEVLGDCFTCIIRSWSLFCWYEGTCPQFLSAHSHKSPIKVTTFWASAEQYVLDFVAAKDADYDCAGKQIQFVSTG >Ma03_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10896116:10906350:-1 gene:Ma03_g13800 transcript:Ma03_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPLQVEDQTDEDFFDKLVDDDFGVEGSTPRSEKIARDLSNLSLDDVGTSLEDPDNAGLISESNGQQQSGSLESSEFSERGVLVSKHSMPSISSVDQVVPLESSSMRTVGIEPQGLSTGKSDGSKGTSVKEVQWSAFSVGSQQFDNAGLETSSDFFAQNADSLADKLKSNADLNFVPLENQNANIEPYTDSSNDQGVQLVGSATEQNWDGVDAQYWESLYPGWKYDATTGQWYQLGGYDATTNTQLNSYETAIVNSQGDFMDSAQDADLDSNLGSSDVLFLQQTSPSVLETIAEESTLNTTSSWNLGYQGSMDYPPNMIFDPQYPGWYYDTNTQQWYTVESYTQTKEMVPTVAQNEVGALADYSKGNYHICDDVGQSEQSTDGVLAGQVSGECWNHTTNSYAQKNMLQAEQMDESRQSGVLSGNQQIGSFYSSTIPGGSYTDQNVGFRTFQPVVSHNFGSNNDTIRPQNSIQGESMYQMNHQKMAPNAHDNLSSSYTGNQIQNSVDYSQHLYQDTNASYTQFSYVSHEGRSSAGRPVHALVSFGFGGKLLVMKNSSSSGTILDYGSQGTVDGAISILSLSEVVMNKVDASSTVSGSVLDYFRSLCRQSFPGPLVGGSAATKEINKWIDERILSYESPVMEFQKGKLLKLLLSLLKISLQHYGKLRSPFGSDPSLEDVNGPEMAVTKLFASSKEINAPLGEYGWYTHCLNNIPSEGQLQAIAAKVQSLLVSGRRKEALQCAQEGQLWGPALVLAAQLGDKFYVDTVKKMARHQFRFGSPLRTLCLLIAGQPADIFSMDNIASSKQPAEIHASGMLDEWEENLAIITANRTKDDELVMTHLGDCLWKEREEIIAAHTCYLIAEANIEPYSDSARLCLIGADHWKYPRTYATPDSIQRTELYEYSKVLGNSQFILLPFQPYKLIYAHMLAEVGKISDSLKYCQASSKLLKNSGRTSEVEMWRSMLSSLEERLRAHQQGGYGTSLAPANLVGKLFTSFDRSIHRMIGAPPAPLPPMPQRSVTDKETHTIFPRVANSQSTMAMSSLVPSTSGETISEWTGDNSRKSRHNRSVSEPDFGRSPKQDSSSDGAQSKATVSGGSRFGRIGSQLLQKTMGWVSRSHRQAKLGESNKFYYDEKLKRWVEEGADPPAEEPAIPPPPTTIPFQNGMPDYNASNAFKSENNIKDAFQRESHTDKLGPVTTPSVPLEHNSGIPPIPPSQNQFSARGRMGVRSRYVDTFNKGGGSLTNTFQSPAVPSVKPLVGAKFFVPNTPATVDERETDAAGKNNQDVSTGEEPPKSVIRGASFSSPSPSSSSMQRFPSMDHIAAPVGNKGSAAASWTGNGPLSRTRAASWSGGYPESVNQKMTGMNPMGSTTTTQAGAFSSSSLQRNGSSLGDDDLHEVEL >Ma03_p13800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10896116:10906350:-1 gene:Ma03_g13800 transcript:Ma03_t13800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPLQVEDQTDEDFFDKLVDDDFGVEGSTPRSEKIARDLSNLSLDDVGTSLEDPDNAGLISESNGQQQSGSLESSEFSERGVLVSKHSMPSISSVDQVVPLESSSMRTVGIEPQGLSTGKSDGSKGTSVKEVQWSAFSVGSQQFDNAGLETSSDFFAQNADSLADKLKSNADLNFVPLENQNANIEPYTDSSNDQGVQLVGSATEQNWDGVDAQYWESLYPGWKYDATTGQWYQLGGYDATTNTQLNSYETAIVNSQGDFMDSAQDADLDSNLGSSDVLFLQQTSPSVLETIAEESTLNTTSSWNLGYQGSMDYPPNMIFDPQYPGWYYDTNTQQWYTVESYTQTKEMVPTVAQNEVGALADYSKGNYHICDDVGQSEQSTDGVLAGQVSGECWNHTTNSYAQKNMLQAEQMDESRQSGVLSGNQQIGSFYSSTIPGGSYTDQNVGFRTFQPVVSHNFGSNNDTIRPQNSIQGESMYQMNHQKMAPNAHDNLSSSYTGNQIQNSVDYSQHLYQDTNASYTQFSYVSHEGRSSAGRPVHALVSFGFGGKLLVMKNSSSSGTILDYGSQGTVDGAISILSLSEVVMNKVDASSTVSGSVLDYFRSLCRQSFPGPLVGGSAATKEINKWIDERILSYESPVMEFQKGKLLKLLLSLLKISLQHYGKLRSPFGSDPSLEDVNGPEMAVTKLFASSKEINAPLGEYGWYTHCLNNIPSEGQLQAIAAKVQSLLVSGRRKEALQCAQEGQLWGPALVLAAQLGDKFYVDTVKKMARHQFRFGSPLRTLCLLIAGQPADIFSMDNIASSKQPAEIHASGMLDEWEENLAIITANRTKDDELVMTHLGDCLWKEREEIIAAHTCYLIAEANIEPYSDSARLCLIGADHWKYPRTYATPDSIQRTELYEYSKVLGNSQFILLPFQPYKLIYAHMLAEVGKISDSLKYCQASSKLLKNSGRTSEVEMWRSMLSSLEERLRAHQQGGYGTSLAPANLVGKLFTSFDRSIHRMIGAPPAPLPPMPQRSVTDKETHTIFPRVANSQSTMAMSSLVPSTSGETISEWTGDNSRKSRHNRSVSEPDFGRSPKQDSSSDGAQSKATVSGGSRFGRIGSQLLQKTMGWVSRSHRQAKLGESNKFYYDEKLKRWVEEGADPPAEEPAIPPPPTTIPFQNGMPDYNASNAFKSENNIKDAFQRESHTDKLGPVTTPSVPLEHNSGIPPIPPSQNQFSARGRMGVRSRYVDTFNKGGGSLTNTFQSPAVPSVKPLVGAKFFVPNTPATVDERETDAAGKNNQDVSTGEEPPKSVIRGASFSSPSPSSSSMQRFPSMDHIAAPVGNKGSAAASWTGNGPLSRTRAASWSGGYPESVNQKMTGMNPMGSTTTTQAGAFSSSSLQRNGSSLGDDDLHEVEL >Ma03_p13800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10896116:10906350:-1 gene:Ma03_g13800 transcript:Ma03_t13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPLQVEDQTDEDFFDKLVDDDFGVEGSTPRSEKIARDLSNLSLDDVGTSLEDPDNAGLISESNGQQQSGSLESSEFSERGVLVSKHSMPSISSVDQVVPLESSSMRTVGIEPQGLSTGKSDGSKGTSVKEVQWSAFSVGSQQFDNAGLETSSDFFAQNADSLADKLKSNADLNFVPLENQNANIEPYTDSSNDQGVQLVGSATEQNWDGVDAQYWESLYPGWKYDATTGQWYQLGGYDATTNTQLNSYETAIVNSQGDFMDSAQDADLDSNLGSSDVLFLQQTSPSVLETIAEESTLNTTSSWNLGYQGSMDYPPNMIFDPQYPGWYYDTNTQQWYTVESYTQTKEMVPTVAQNEVGALADYSKGNYHICDDVGQSEQSTDGVLAGQVSGECWNHTTNSYAQKNMLQAEQMDESRQSGVLSGNQQIGSFYSSTIPGGSYTDQNVGFRTFQPVVSHNFGSNNDTIRPQNSIQGESMYQMNHQKMAPNAHDNLSSSYTGNQIQNSVDYSQHLYQDTNASYTQFSYVSHEGRSSAGRPVHALVSFGFGGKLLVMKNSSSSGTILDYGSQGTVDGAISILSLSEVVMNKVDASSTVSGSVLDYFRSLCRQSFPGPLVGGSAATKEINKWIDERILSYESPVMEFQKGKLLKLLLSLLKISLQHYGKLRSPFGSDPSLEDVNGPEMAVTKLFASSKEINAPLGEYGWYTHCLNNIPSEGQLQAIAAKVQSLLVSGRRKEALQCAQEGQLWGPALVLAAQLGDKFYVDTVKKMARHQFRFGSPLRTLCLLIAGQPADIFSMDNIASSKQPAEIHASGMLDEWEENLAIITANRTKDDELVMTHLGDCLWKEREEIIAAHTCYLIAEANIEPYSDSARLCLIGADHWKYPRTYATPDSIQRTELYEYSKVLGNSQFILLPFQPYKLIYAHMLAEVGKISDSLKYCQASSKLLKNSGRTSEVEMWRSMLSSLEERLRAHQQGGYGTSLAPANLVGKLFTSFDRSIHRMIGAPPAPLPPMPQRSVTDKETHTIFPRVANSQSTMAMSSLVPSTSGETISEWTGDNSRKSRHNRSVSEPDFGRSPKQDSSSDGAQSKATVSGGSRFGRIGSQLLQKTMGWVSRSHRQAKLGESNKFYYDEKLKRWVEEGADPPAEEPAIPPPPTTIPFQNGMPDYNASNAFKSENNIKDAFQRESHTDKLGPVTTPSVPLEHNSGIPPIPPSQNQFSARGRMGVRSRYVDTFNKGGGSLTNTFQSPAVPSVKPLVGAKFFVPNTPATVDERETDAAGKNNQDVSTGEEPPKSVIRGASFSSPSPSSSSMQRFPSMDHIAAPVGNKGSAAASWTGNGPLSRTRAASWSGGYPESVNQKMTGMNPMGSTTTTQAGAFSSSSLQRNGSSLGDDDLHEVEL >Ma03_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28079658:28082947:-1 gene:Ma03_g23550 transcript:Ma03_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSSPFLNDELSKRTVIFGLHMWVIIGIGVGAAFVLLLFLISLWLASRRSTAPAAIPNMSKEIQEIHVDPSRLPDAKLLGQALQKPPLPETEPPRASVERQALLVPAEEEGPAAQQRIHIEIGKDHRITYPERPAVGVGGSSHASGESRSVEQVSIAAPEVSHLGWGHWYTLRELEVATNMFADEKVIGEGGYGIVYHGTMEDNTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVRPSSPLTWEIRMNIVLGMAKGIMYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYNRPPGEVNLVEWIKTMVTNRNSEGVLDPKLPEKPSSRALKKALLVALRCVDPDAQKRPKMGHVIHMLEVDDFPYRDDRRAVRTYRDGPQEKARLLERPAVESGDSSGYESNSTVNRTTRWRKQEN >Ma08_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36889634:36891080:1 gene:Ma08_g23530 transcript:Ma08_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRTLYWFDPLPTRENRTELGFQFGRVRVRFDLDCNESVTSELTTSIGTAWQMTFQSPVPENDEGFVAVSARLPRNFLRPSIIFT >Ma05_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1584804:1587676:-1 gene:Ma05_g02460 transcript:Ma05_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVGAADPDGLRPLRWDPAVEEEGIDHFDRLPDSVLLVIFNRIGDVKTLGRCCAVYRRFHDLAPLVDDVVVRVDCVISDDPSPSAPGGGFDKPHSVFSHFARFVLGGLVKPLEALCQMLSPASCADALARKSGLFSSSSPEVSHHSPTEVLKNFKEIRRLRIELPYGELGVDDGVLLKWKADFGCTLESCVILGASSVVSSCSMSAKSSEPDPNPSFQDACGGDDCGNIPESFYADGSLKRRVVWTISSLIAASARHYLLHPIVADNETLERLDLTDADGQGLLTLNRRQLQELRTKPVMSSGSSHRTLLPALNMRLWYAHQLELPGEMVLKGATLLAIRPSEERMGEAVGGVVGQSIGFSDGCWVSDTFEEPYRTAIRMLMKRKTHSLEMNSF >Ma11_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:270026:272505:-1 gene:Ma11_g00380 transcript:Ma11_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVPQLHPLSPEFVSRLATINLALVIGKMN >Ma04_p26270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27784290:27785306:1 gene:Ma04_g26270 transcript:Ma04_t26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDSYRLSVAKSLGADDFVKVSTNSQDVDEEVIQIQQAMGNDIDVSFDCAGFSKTVSTALNATRAGGKVCLIGMGCNEMTVPLTPAAAREVDVISIFRYKDTWSLCLEFLRTGKTDVKPLITHRFGFSQEELVEAFEVSARGGDAIKVMFNL >Ma02_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22486598:22487272:1 gene:Ma02_g14400 transcript:Ma02_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSDGFVGVVLSMEGGGAQQNKQQLEQQSDKGGCSLLQHRYLDEFDGIYDRPLACFGCGIGWACFILGFGFPLLWYIATALYFGKYHLKDPRERAGLAASAIAALICSVAALITLVAVHL >Ma06_p30000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31353123:31355166:-1 gene:Ma06_g30000 transcript:Ma06_t30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLFFLTIAAFTAPIYSSRAPLTSAAVRDPELVVQEVQRSLNVSRRRLGYLSCGTGNPIDDCWRCDPDWADNRQRLADCAIGFGKNAIGGRDGEIYVVTDSGDDDPVNPKPGTLRYAVIQEEPLWIIFKRDMVIQLKEELIMNSHKTIDGRGASVHISGGPCITIQYVTNIIIHGVHIHDCKQGGNAYVRDSPGHYGWRTVSDGDGVSIFGGSHVWVDHCTLSNCHDGLIDAIHGSTAITISNNYLSHHDKVMLLGHSDELTSDKSMQVTIAFNHFGEDLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFLAPNDRFAKEVTKREDAQESEWKKWNWRSEGDQMLNGAFFTPSGAGASSSYAKASSLGARSSSLVGTITVSAGVLSCKKGSRC >Ma02_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10613580:10624798:-1 gene:Ma02_g01640 transcript:Ma02_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETEVDGPAIRTLGALFSVSTVYLSDDVRVSNLEVSDRIGSLEEEEEEGEESESRRSTITCDASISGKQETDYCYLMEDTELAQSMAALGLPVSFKTNKQRKVSTSAKRKGMLVKSKYAHNDVEEQDPGISKVEKVLRDGTILPVSINSTEWVASNLDDLEESPSSSVHFDEQFVCETAVTTSEVGESQDCDEIITLEHVVDEFQTSGGNVDVKILDHNKNLDTGSFTQDVSEELSSGHYLELPNKQESDNTSVCLEVGDWRVLWDDYYRRNYFYDSITQETTWYAPPGFAYLASNQSTSNGLSADAAEQHSGFEIDCDTDRHQDANVIQAESDPLLENEIVIDQMLSDSSDKSNYVNCLGINRGSESFNTITNAKEVSEYLFSDHPTCINDNTRDELETLDKQAEKVTHDEASLEIPIQNCLITSSDEIGYDGEGNEGSMTDELGNNYVSLNGKKKRRARRQKSEPKSPGVYEGVSASTFKYWCQRYSLFTRYDSGIKLDEEGWFSVTPEPIARHHANRCGGGVVIDCFAGVGGNSIQFAIKSNYVIAIDIDPQKVEFAYHNSTIYGVRDKIDFVQGDFFKMAAYMKGDSVFLSPPWGGPDYAKVQTYDIRSMLKPHDGYHLFKIASRIASKVVIYLPRNVDFNQLAELSLSVDPPWTLEVEKNILNGRLKAVTAYFTSTS >Ma10_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21358905:21368074:1 gene:Ma10_g07010 transcript:Ma10_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISLKVKQADELENILAKKFLRFLSMRAESFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Ma05_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37057448:37069579:1 gene:Ma05_g24970 transcript:Ma05_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MGRGEEEELRAARRGYKEAARVGNHEEKARWANELGDIHKRRGEYVEALRWLRVDYDISSKHLPQKQLLPTCQSIGEVYLRLNRLKEALVYQKKHLQLAKDSDDLIEQQRASTQLGRTYHQIFTETESDHHALRNAKKYFKLAMKIAFTLKETPPSMKSGFFLKEFIDAHNNIGMLEMDLDNYEEAEKILLQGLKICDDEEVSQHDDARSRLHHNLGYLYTELRKWSTAREHIERDILICKKICHLQGEAKGFINLAELHHRVQKYDDAIRCYQKALDLAKCLEDEDALVNQINQNIKTVKEAAKVLEQLNKDEQKLKKLMRATSDARGTSNERKCLLEQNTCLDGLIEKARIIFAWPKHLEFAKRKKRVASELCDKEKLSDSLLAIGESYLKLRNFSKARKWYMKSWNVYRSIGNLEGQALVKINIGQILDASGDWAGALEAFEEGYRIAVQGNLLTVQMTALDNMHYSHMIRFDNVDEARKLQQDIQMLKSLLKEDALKDQQSDYCSETETEGGNLSTDILGLSASQDSDDSAKSRPSLLACVEDLDDDVPLASLGRLSKSSAKIKMSQLDSLGVRTTASSNHAQASSRDLSKSRDDQQHVDRKRVRVVLSDDEADDPDEMNGSRQKLHRSSEYVTAPDRENLFCGTTANKEIQDAPHSSDSKDELSASAPIYIEESTCSFKCKSPNFTVDNEADFGSSNDAGIAIASKSAASWSKLSNVEVADRLQQSQNGAGVDQLVNNRHFVKVKVGHDLIHVDLSSCLEDGNLDIECLKVEVACTYFLQLPEQKRSKGLLPVVGCLMSSGKALGSSEVIEDIEHQPHESMWIDVVIDVLSYLSFFLLLEGWVPKRLMKLYIDSCEKLSESTNMKLLKKLYNLEVSEDEVVVSDCELQDVSVSPFLKALQAHKTLAVLDISHNLLGNETMEKIQQIFNSSTQKYGGLTLDLHCNRFGPTALFQICECSVLSARLEVLNLSENRLTDACSSYLSTILENCKALYSLNIEQCSITTRTVEKVADAINVGSVLSHLSIGRNNPISGNAIVNLLTKLQSLKRFSELSLTGIRLNKAVIDHLCLVAQSSSLTALKLGGTYIRVDGAIRVIEAVCTGPQELVRLDLSYCGLTSHAFAEACQGFVLLGGILEMNITGNSINQEVRDALASVLMDPKCSLRSLILSNCQLGLVGMVQIIQALAENESLEELHLAENADAQEIIPLKSDVGGLEVADSEDEAIMEEQPLLCGPDASCASSCRRRSSACGQPIQELSEAITSARNLQMLNLSRNKFSQEAIDSLYAAWSSPPRCNDGKSRKHVNADIVHFSVNGKKCCGVRPCCTRD >Ma10_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7440588:7445264:1 gene:Ma10_g02180 transcript:Ma10_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKRFKGTDKPPEHLGPSKEYNVDMVPKFMMANGGLVRVLIHTSVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSTLMGLFEKRRARKFFIYVQDYEENEPKSHEGMDLHKVTSREVISKYGLDDNTIDFIGHALALHRDDSYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDENGKAYGVTSEGETAKCKKVVCDPSYLPSKVKKVGKVARAICIMSHPIPNTNDSQSVQIILPQKQLGRRSDMYVFCCSYTHNVAPKGKYIAFVSTEAETDHPETELKPGIDLLGPVNETFFDTYDRYEPTNNHEEDSCFISTSYDATTHFETTVEDVISMYRKITGKEPDLTVDLNAASAAADDA >Ma04_p28320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29386954:29393808:-1 gene:Ma04_g28320 transcript:Ma04_t28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENHTDKNAVFKKLKSKSENKMCFDCNAKNPTWSSVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMVFGGNNRAQVFFKQHGWTDGGKIETKYTSRAAELYRQILSKEVAKSSAEDSLLPSLPVAASHSSDAVSGIPELKFADAPKEISNGKHEPETTHSPKAHTHSTLLSSARKPIGARKTTGKTGGLGIRKLTTKPNESLYDQKPEEPAPSVTSLANSKTPGGPYYPSRFEYVETNPMESSSGGAQLISHIAPPKSSSFFAEYGIDNGFQKKSSSSSSKVQVQESNEARQKFSNAKSISSTQFFGNPDKATDNEAHMSLQKFTGSKAISSADLFGHDVGDSGLDLTAADLINRISFQASQDMPSLKDIAGETGKKLTTLASSLINDLQDRIL >Ma02_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19120415:19124015:1 gene:Ma02_g08890 transcript:Ma02_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSSIPGKLAFSISFAFSFSLTLAASSPHFFTPFPKISSFLSLKAASSSAPRTTAAHLLALLGSAHDAGKVPASEARGLRSCLRFLVPFSSATPVKENKHLRLRHDADDMVWWPPEPVMELARLAVDSGGDPSVIQMALDPTPLPVPDVEGLKKDKCQLTRTPYGYRFANMELNTYFAFLFELIAERGPSVGLNVSLSRYDLFHGHLFVATDSGRLGMLFHAKEYPACEKESFPYNMGYCQRGSNVVYDDAMNLRNILWLAPLPNDVTEAWLAPGVLVVLDAHPEGIIYKELVPEYVDIVRTIYEDDFGDHVADVNYLNDVNVISGDRIFIC >Ma03_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5978282:5981287:1 gene:Ma03_g08290 transcript:Ma03_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKRPVFQALKCCAGDVTRSIKPTITLSILEFNLQKCRNPKEFLQIHAQMVASGFIRDTFAASRLLSFSAASPFLGLDYSRRLLHQIDNPNAFTWNTLMRACIHRNSPQFALPLYRSMLGGDSAPDSYTHPIVIHASAVRSSEVEGKLIHAHVVKFGFDSDVYVLNTLINMYSVCGNLTDARHLFDRSPVLDSVSWNSMLAAHVQAGDVKEAFRLFDSMPEQNTIAANFMIALFGKCNLVSDARKLFDGMDARDVVSWTAMISCYEQNELFAEALEMFHRMKREGVSMDEVVMVSALSACTKLGANKKGEVIHGLIIKDGLDSCINPRNALIYMYSNHGNIVAARQLFDSCSFLDQISWNSMISGYLKCGLIDEARALFDAMPLKDAVSWSTMIAGYAQHDRFMETLELFSEMQVGHIKPDETPLVSVISACARLSALEQGKWVHAYIKKNGFAINVFLGTTLIDMYMKCGTVETAMEVFNGMKHRGTSTWNAVILGLAMNGLVKESFEKFEEMKRCGVPPNEITYVGVLGACRHAGLVDEGRQHFNTMKQVHGILPNIKHYGCMVDLLGRAGLLREAEELVESMPMAPDVATWGALLGACKKHGATDVGERVGKKLIELEPHHDGFHVLLANIYASKGKWDDVMELRGTMRQRGVMKIPGCSMIESDGVIHEFLAGDRTHPHIEKIDKMLEEMARRLKLEGYQPDTTDVVYDIEEEEKETTLYRHSEKLAIAFGLISTPPPAPIRIMKNLRICGDCHDAAKIISRAFQREIILRDRQRFHHFRQGLCSCTDFW >Ma05_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36592571:36614844:-1 gene:Ma05_g24340 transcript:Ma05_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAVESLAGLSVTNGWASSAPNLQRNLGILSSEEIGLAMMLLREGQKHLFDHWPEPGVDDKRKKGFFDQVAQLNANYPGGLASYIQNARKLLADSKAGKNPYDGFTPSVPTGEVLTFGNDNFMMLEEAGVREARRAAFVLVAGGLGERLGYKGIKLALPSETTTGRCFLQHYIESILALQEASCRLVQGQCEAEIPLVIMTSDDTHESTLLLLESNYYFGMKTTQVKLIKQEKVACLTDNDARFALDLNDKYKIQTKPHGHGDVHALLYSSGLLNSWQSAGLKWVLFFQDTNGLLFKAIPASLGVSSTKGYHVNSLAVPRKAKEAMGGITKLTHTDGSEIVTNVEYNQLDPLLRATGHADGDVNCETGFSPFPGNINQLILDLGPYIKELSKTQGAIAEFVNPKYKDSTKTSFKSSTRLECMMQDYPKSLPPSAKVGFSVMDKWLAYAPVKNKPEDASKVPKGNPYHSATSGEMAIYKANCLILRKAGVHIADPVTDIFNGQEVELWPCITWSPKWTSTFRDVKRKVGECCSISQRSSMIINGQNIFLDGLSLDGALVVNANDQAEVKVTGYVQNKGWALEHVDYKDISIPEETRIRGFKVCKIEQLEINYADPGKFCFKS >Ma08_p33800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44000904:44004899:1 gene:Ma08_g33800 transcript:Ma08_t33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGDLKGDDLKGKRVFVRVDLNVPLDDNLKITDDTRVRAAVPTIKYLLEHGARVILCSHLGRPKGVTPKYSLKPLVPRLSDLLGVNVEMADDCIGEEVEKIVAALPDGGVLLLENVRFYREEEKNAPEFAKKLASLADVYVNDAFGTAHRAHASTEGVAKFLKPAVAGFLMQKELDYLVGAVGNPKRPFAAIVGGSKVSTKIGVIESLLSKVDILLLGGGMIFTFYKAQGYSVGSSLVEEDKLDLATSLLEKAKSKGVSLLLPTDVLIADKFAADANSMVVPASGIPDGWMGLDIGPDSIKTFSESLDTTKTIIWNGPMGVFEFEKFALGTEAIAKKLAELSASGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Ma07_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5196856:5203984:-1 gene:Ma07_g07150 transcript:Ma07_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSDDRMYSKGKFGRGPRELTGAVDLIKHYKLSALHDFFCKRTLPSSISDTHYLHNVVGETEIRKGEGMELGQLFQSAPYLRETTSQIQQFDLEILGQAFQLRDTAPIDLPSSEKGIPTISGKSIGDSKGKERKHRKHKDKDREKDKEHKKHKHRHKDRTKDKDKEKKKDKSGRHDSGGDHSTKHHEKKRKHDGNEDSVDNHKHKKTKHKSLKIEEVGGSKVAS >Ma05_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1043720:1060014:-1 gene:Ma05_g01700 transcript:Ma05_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAVSTPCPASALFLHHLPPRCSRDPSSRTRLSISQATVQDRHGGKTRGCSSLGWSRIVSERIRKRRSNNQIFASIADISLEQSIENPSLPKGDMWSVHKFGGTCMGTSKRIQSVADIVLSDSTERKLIVVSAMSKVTDMMYELVNKASSRDDSYISAIDNVFEKHKLTASELLDGEDLARFLSQLHNDISNLKTMLRAIYIAGHATESFSDFVVGHGELWSAQMLSYTIKKHGRPCCWMDTRDVLIVNPTSSNQVDPDYIESEKRLEKWFLKKPADIIIATGFIASTIQNIPTTLKRDGSDFSAAILSALLKARQVTIWTDVDGVYSADPSKVSEAVILRTLSYQEAWEMSYFGANVLHPRTIIPVMKHSIPILIRNIFNLSAPGTKICQQPVNENQDRKSLDSVVKAFATIDNLALVNVEGTGMAGIPGTASAIFGTVKDVGANVIMISQASSEHSVCFAVPESEVKAVSSALHSRFQQALDAGRLSKVEVIPKCSILATVGHKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAVHSRFYLSKTTLAMGIIGPGLIGGTLLDQLRVQAAALKEKFNIDLRVMGITGSQTMILSDMGIDLSRWREIQKEKAEVADLDKFAKHVNENHFFPNTVLVDCTADTNVANHYYDWLQKGIHVITPNKKANSGPLDRYLKLRTLQRLSYTHYFYEATVGAGLPIISSLQGLLETGDKILHIEGIFSGTLSYIFNNFKGTRAFSEVVFEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVQNLVPEPLRACSSSDEYMQQLPNFDKELSDERDDAEASGDVLRYVGVVDVVNDKGSVELRRYKKEHPFAQLSGSDNIIAFTTTRYKDQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >Ma02_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27855461:27861299:1 gene:Ma02_g22550 transcript:Ma02_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGARSLPYLSAAFLLFLLVASASASESDHKYQAEDPVTLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWSGLGEVLGGNELIDSHIPIKFQRNVDKGSICTIELDATKVKQFIDAIDNSYWFEFFIDDLPLWGFVGETDKNNKDKHYLFTHKDIVIQHKGYQIIHVNLTQASPKLLEVGKKLDMTYSVKWLPTNVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRSLVLLSTLVGTGAQLAMLVLLVIVLAIVGTLYIGRGSIITTFIVCYALTSFIAGYVSGGLYSRNGGKNWIKAMIVTASLFPFMCFAIGFVLNTIAIFYGSLAAIPFGTMMVVFVLWAFISFPLALLGTVVGRNWSGSPNNPCRVKTIPRPIPEKKWYLTPFVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >Ma05_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36120497:36133878:1 gene:Ma05_g23930 transcript:Ma05_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKHFPTGGLRSTSFPFSSSLAVTSLSLLALFLTFFFFFHNNSSSSRCLLISDPLDSPLHLSKRNPNPSSDAGPPPEYSFVASLEKFLTTRAPPFSSSSPADAAASQSAVPDDGDVPTAAAAKALDDSMWKEETERLYGDPLDPWSAPSVARVYVYDMPSKFTYDLLWLFRNTYKETVNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERILKNVIRVHRQEEAELFYIPFFTTISYFLLEKQQCKALYREALKWVTDQAAWKRSGGRDHILPVHHPWSFKSVRRFMKNAIWLLPDMDSTGNWYKPGQVWLEKDLILPYVPNVDFCNSQCLHDVQSERKTLIFFRGRLKRNAGGKIRSKLVEALHNTEGVIVEEGSAGESGKAAAQNGMRRSLFCLSPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSKDAVQHGWLIKFLKNIDSRQIKEMQMDIAKYSRHFLYSSPAQPLGAEDMTWRMIAGKLVNIKLQTRRSQRTVEGSRNLCTCECKPGNITRVF >Ma03_p27060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30533597:30552082:-1 gene:Ma03_g27060 transcript:Ma03_t27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDCCSTQLIDGDGVFNAAGLEHFMKTVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFMGRSQTTKGIWLANCAGIEPCTIVMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLENLEPILREDIQKIWDSVPKPQAHKETPLSEFFNVQVVALSSYEEKEEQFKEQVASLRQRFYHSIAPGGLAGDRRGVIPASGFSFSAQQIWKVIKDNKDLDLPAHKVMVATVRCEEIANEKLTYMAAMEEWLQLEEAVQHDLVPGFGKKLSVILDKCFSGYDMEAVYFDESVRISKRQQLESKLLQLVNPAYESMLGHIRAKTLDGFKEALDKALERGEGFAIAAHDCTQVFMSKFDKGCEDATIEQASWNPSKVRDKLRRDIDAHVASVRAAKLSELTTLYEGQLAKALSEPVEALLDAASDDTWPAIRELLRRETKSAISGFSSALSSFNLDEADVDKMLIKLEEYARSVVESKAREEAGRVLIRMKDRFSTLFSHDADSMPRVWIGNEDIKAITKTARSASLKLLSVMTAIRLDDETDKVEKMLSLALMDASDGGGTNRSIQSLDPLASSSWEEVPPTKTLITPVQCKSLWRQFKAETDYTVTQAISAQEAHKRNNSMLPPPWAILAILVLGFNEFMTLLRNPLYLAVIFVVSLVGKALWVQLDISGEFRNGALPGLLSLSTKFLPTVINILRRLADEGKQPAAPALDRNHSKSSFRRGVTSNSSSSATSNLASPESEIEYSSPPRQQ >Ma01_p01900.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1279659:1286186:1 gene:Ma01_g01900 transcript:Ma01_t01900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLLHLDSSIRSMRNQDSYLQLVSGVLNRCLSQHSEEEESEKVRNTNLSKAETLPHIKDAQHDEPGSYDSGRDSSDDDDGWKRKLEVAWLSKALDPALQLYKWASSAAGSNEKQSTPFGTRSFSEILMSIQRSKVGIQDWSLSDLTVGLYLIYLSQASSKKIEEFKGVQIFSDAIVQELIYYLELAKGSYKDNATALARHSMLRERNIVKFIKTSSLLRPGYYIGIDTRNKQVIFGIRGTHNVYDLITDIVSSSNQEVSFEGFSTHFGTAEAARWYLHHELGTVRKLLGKHKDYKLRLVGHSLGGAAAALLAIMLRKQAADKLGFDPDIVSAVGFGTPPCVSKELAESCASYVTTVVLQDDIIPRLSIVSLTRLRNEILEADWMSVLGKEDWKGIVDLVTNAKQVVSSVQDVARKVTDFAKLRSTSNSSEAIQGKDSVASMKFNTDARAIVEQQEALPEELFVPGTIYYLKRNVDIDGCKKSESYSLWERHPDQNFLRIMISGNLISDHRCDSHYYALRDVLKSLPISGD >Ma01_p01900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1279651:1286186:1 gene:Ma01_g01900 transcript:Ma01_t01900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLLHLAGSNEKQSTPFGTRSFSEILMSIQRSKVGIQDWSLSDLTVGLYLIYLSQASSKKIEEFKGVQIFSDAIVQELIYYLELAKGSYKDNATALARHSMLRERNIVKFIKTSSLLRPGYYIGIDTRNKQVIFGIRGTHNVYDLITDIVSSSNQEVSFEGFSTHFGTAEAARWYLHHELGTVRKLLGKHKDYKLRLVGHSLGGAAAALLAIMLRKQAADKLGFDPDIVSAVGFGTPPCVSKELAESCASYVTTVVLQDDIIPRLSIVSLTRLRNEILEADWMSVLGKEDWKGIVDLVTNAKQVVSSVQDVARKVTDFAKLRSTSNSSEAIQGKDSVASMKFNTDARAIVEQQEALPEELFVPGTIYYLKRNVDIDGCKKSESYSLWERHPDQNFLRIMISGNLISDHRCDSHYYALRDVLKSLPISGD >Ma01_p01900.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1279757:1286186:1 gene:Ma01_g01900 transcript:Ma01_t01900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQDSYLQLVSGVLNRCLSQHSEEEESEKVRNTNLSKAETLPHIKDAQHDEPGSYDSGRDSSDDDDGWKRKLEVAWLSKALDPALQLYKWASSAAGSNEKQSTPFGTRSFSEILMSIQRSKVGIQDWSLSDLTVGLYLIYLSQASSKKIEEFKGVQIFSDAIVQELIYYLELAKGSYKDNATALARHSMLRERNIVKFIKTSSLLRPGYYIGIDTRNKQVIFGIRGTHNVYDLITDIVSSSNQEVSFEGFSTHFGTAEAARWYLHHELGTVRKLLGKHKDYKLRLVGHSLGGAAAALLAIMLRKQAADKLGFDPDIVSAVGFGTPPCVSKELAESCASYVTTVVLQDDIIPRLSIVSLTRLRNEILEADWMSVLGKEDWKGIVDLVTNAKQVVSSVQDVARKVTDFAKLRSTSNSSEAIQGKDSVASMKFNTDARAIVEQQEALPEELFVPGTIYYLKRNVDIDGCKKSESYSLWERHPDQNFLRIMISGNLISDHRCDSHYYALRDVLKSLPISGD >Ma01_p01900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1279659:1286186:1 gene:Ma01_g01900 transcript:Ma01_t01900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLLHLDSSIRSMRNQDSYLQLVSGVLNRCLSQHSEEEESEKVRNTNLSKAETLPHIKDAQHDEPGSYDSGRDSSDDDDGWKRKLEVAWLSKALDPALQLYKWASSAGSNEKQSTPFGTRSFSEILMSIQRSKVGIQDWSLSDLTVGLYLIYLSQASSKKIEEFKGVQIFSDAIVQELIYYLELAKGSYKDNATALARHSMLRERNIVKFIKTSSLLRPGYYIGIDTRNKQVIFGIRGTHNVYDLITDIVSSSNQEVSFEGFSTHFGTAEAARWYLHHELGTVRKLLGKHKDYKLRLVGHSLGGAAAALLAIMLRKQAADKLGFDPDIVSAVGFGTPPCVSKELAESCASYVTTVVLQDDIIPRLSIVSLTRLRNEILEADWMSVLGKEDWKGIVDLVTNAKQVVSSVQDVARKVTDFAKLRSTSNSSEAIQGKDSVASMKFNTDARAIVEQQEALPEELFVPGTIYYLKRNVDIDGCKKSESYSLWERHPDQNFLRIMISGNLISDHRCDSHYYALRDVLKSLPISGD >Ma01_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1279661:1286186:1 gene:Ma01_g01900 transcript:Ma01_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLLLHLGSNEKQSTPFGTRSFSEILMSIQRSKVGIQDWSLSDLTVGLYLIYLSQASSKKIEEFKGVQIFSDAIVQELIYYLELAKGSYKDNATALARHSMLRERNIVKFIKTSSLLRPGYYIGIDTRNKQVIFGIRGTHNVYDLITDIVSSSNQEVSFEGFSTHFGTAEAARWYLHHELGTVRKLLGKHKDYKLRLVGHSLGGAAAALLAIMLRKQAADKLGFDPDIVSAVGFGTPPCVSKELAESCASYVTTVVLQDDIIPRLSIVSLTRLRNEILEADWMSVLGKEDWKGIVDLVTNAKQVVSSVQDVARKVTDFAKLRSTSNSSEAIQGKDSVASMKFNTDARAIVEQQEALPEELFVPGTIYYLKRNVDIDGCKKSESYSLWERHPDQNFLRIMISGNLISDHRCDSHYYALRDVLKSLPISGD >Ma01_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10997607:10998122:-1 gene:Ma01_g15180 transcript:Ma01_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGFHLGDTSSLRFGQSLTSTLEQSSPCFLVESKMIWNFL >Ma11_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:525279:526066:1 gene:Ma11_g00730 transcript:Ma11_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTAAKPSMLALVFLLSTVLLLTISPPCDATLLQDTCRRITTSRSGIGYEFCVASLQADPACASADRRGLAMIAARLSVAGASAALSAITNMTRAKPNPWSTDCLGVCWEVYDAAIDHLNVAMRNLGAGRYREAVVFLSAAVDAPDNCEDAFREMGDGTSSSPLAHEGRDFGRVAAMALAITATLG >Ma11_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9023757:9039642:1 gene:Ma11_g09760 transcript:Ma11_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFALLSSKFSVVVAAPASYEILSRRRSAHSSLLSLPARRGRRIGCGGRPPVLVFFALKSGNIDRAPSNAGRGKFKAQVFASASSSSNSEMTTSSAMAPLPVPSSPTSIGSPLFWIGVGVGLSVVFQVVAAKFKRFALQQAFKTMMDQAAPQGGQFNNPSFGPGSPFPFPATTTSSPTASTTSPARVSTQQSVTVDVSATKVESTSQSEVGDETQLEEEKSKKFAFVDVSPEELLQNDPSNLKESTKASPAETQPVNEIPPNGTVKQDGSASNEQTQTRDSPSLLSVDALEKMMEDPTVQKMVYPYLPEEMRNPETFNWMMQNPQFRQQMQDMLNNMGGNNEWDNRLTESLKNFNLSSPEIKQQFEQIGLTPEEVISKIMANPDVAMAFQNPKVQAAILDCSQNPLSIAKYQNDKEIMDVFTKISELFPGVSGYP >Ma09_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5341495:5347965:1 gene:Ma09_g08120 transcript:Ma09_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKGKLKSKSKSTASPRTKDPETPGTPRAAATVLPDEELVAVARHGTKEKANSFDEVLGSRKSGDLSPVGGGGGLGAGTGFVFGHPLPLPTSISSYGVSTVSASSVSSSSSSEETPDLGLYRYSDPINTPRGRNGVRDSQRHGHAAEDRQLFSCSPVLEQSHGGNAYSRGQNSTETIYSRRTASPSPGLTGHHPFPTSPVHHSSFGLCSASPNRRQDNLRSSPQPLPLPPSSPTCSSASPSSCSSSSSRSPKSLWKKGKLLGRGTFGHVYLGFNSGSGQMCAIKEVKDISDDANSKECLKQLNQEIVLLSQLSHPNIVQYYGSELAEDTLSVYLEYVSGGSIHKLLQEYGPFGESLTRNYTAQILSGLAYLHGRKTVHRDIKGANILVDPNGEIKLADFGMAKHISAYTSIRSFKGSPYWMAPEVIMNCSGYDLSVDIWSLGCTIIEMTTSKPPWSQFEGVAAIFKIGNSKDVPELPDHFSPVGKDFLKLCLQRDPSARPSAAQLMDHPFVRDQTIIKAAKLNMMKDVPQSTSDASHAVSTVEFSSNQSTSPLHDRDCGTRRASGLRSAFPLACKNPSDLPRMRMNMSLPVSPCSSPLRQFKQSNRSCLPSPPHPTYSSGAANHSPVNLALHSTRPCSNRPDPWFDITLFKPQSQYDSPRRLSMGL >Ma07_p24740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32000333:32005857:-1 gene:Ma07_g24740 transcript:Ma07_t24740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAENCGSVLFLGLPDDVLALISARLRPRDLCALGLCCRGLHVAVAASEKAWLVQCLRLGPPPHLLPRWRRGVRSYGALCRFLAAVAPLLGIWVHQNPELGNVVCVVWGFLSVVGVRVIPQELGYLGLDAGPLLWAPVFEILADANGSPSRFFLHGRDCGEDCLHPGSVRSIDSSCNVLFLEADSRPQDSAFPPRPLHLPPARSFSSVSGSKDPDLARKYSRSRTTVASRSSVAPPPPSSSPSFSRLPFSDRRRLLELVAARIRLKVPRDLAAAPLFERSSFCDDANLLANRRLELIEMLKLSGGWIDRMAAELALSLTEHRNAASSNAVDHRTRTATDKRRAFSSVAGYLKQFMGRSTSPNVPISRNGNPVGGGKNKHAQLHEFLRSGDVIGLSLRATHMRVTTYRAWPNMHDSRFALYKLPLQAPMACQEHAGLWGGTFGWPPGQPSEGKSGKALFFLLLSYEEVNGHPLLIATKILEGTHYVLHPNGSAMFIVKMDETTSEPFPWETDGESLQVEVKSSHSGEGIANGYGFRYPGSKPGSLFVLQNGLLAFVWKESQSILTLQRVDLQELLKKGERVPVLPPIANFVYLTKSYSNVFTGFPINSGFCCEARNDNDSASGYRFCSVNYLIKP >Ma07_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32000333:32005857:-1 gene:Ma07_g24740 transcript:Ma07_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAENCGSVLFLGLPDDVLALISARLRPRDLCALGLCCRGLHVAVAASEKAWLVQCLRLGPPPHLLPRWRRGVRSYGALCRFLAAVAPLLGIWVHQNPELGNVVCVVWGFLSVVGVRVIPQELGYLGLDAGPLLWAPVFEILADANGSPSRFFLHGRDCGEDCLHPGSVRSIDSSCNVLFLEADSRPQDSAFPPRPLHLPPARSFSSVSGSKDPDLARKYSRSRTTVASRSSVAPPPPSSSPSFSRLPFSDRRRLLELVAARIRLKVPRDLAAAPLFERSSFCDDANLLANRRLELIEMLKLSGGWIDRMAAELALSLTEHRNAASSNAVDHRTRTATDKRRAFSSVAGYLKQFMGRSTSPNVPISRNGNPVGGGKNKHAQLHEFLRSGDVIGLSLRATHMRVTTYRAWPNMHDSRFALYKLPLQAPMACQEHAGLWGGTFGWPPGQPSEGKSGKALFFLLLSYEEVNGHPLLIATKILEGTHYVLHPNGSAMFIVKMDETTSEPFPWETDGESLQVEVKSSHSGEGIANGYGFRYPGSKPGSLFVLQNGLLAFVWKESQSILTLQRVDLQELLKKGERVPVLPPIANFVYLTKSYSNVFTGFPINSGFCCEARNDNDSASGYRFCSVNYLIKP >Ma01_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27276341:27305726:1 gene:Ma01_g23160 transcript:Ma01_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSGVELSAGFHWYLKHWCLIHISWEKTGGLQLSSVPKVGSLPHVPSAGILVQRPVPLSYYQNAVTSSYSHAWWSWERWEKEIDWMVLQGVNLPLAFNGQEAIWQKVFERYNISRRDLDDFFGGPAFLSWSRMGNLHGWGGPLPQSWLDDQLVLQKKILARMYSFGMTPVLPAFSGNVPSVLKSKFPSAKITHLGNWFTVHSDPRWCCTYLLDATDPLFIEIGKAFMEQQLKEYGRRSHIYNWYISLSIF >Ma06_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:968555:978183:-1 gene:Ma06_g01210 transcript:Ma06_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVRSFTRLSRSSSIWKPANRFTSTISADPGLAGFSSSGSAFHAGLGPTSPGLDKPRLVVLGTGWAGCRLMKSVDAGLYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISTAPDSYFFLARCTAIDPDAHTVHCESVTDQGGVRDAVEPWKFTVSYDKLVIAAGAEASTFGINGVKEHAIFLREVHHAQEIRRRLLLNLMLSDVPGISEDEKKRLLHCVVIGGGPTGVEFSGELSDFIIKDVHERYSHVKDFIHVTLIEANEILSSFDVRLRQYATKQLTKSGVRLVRGVVKDVQPEKVILNDGTEVPYGLLVWSTGVGPSPFVKSLYFPKSPGGRIGVDDWLRIPSVQDVFAIGDCSGFLETTGKPTLPALAQVAERQGKYLSNLLNQIGKAGGGYRNAAENLELGDPFVYRHLGSMATVGRYKALVDLRQNKEDKGLSLTGFISWFIWRSAYLTRVISWRNRFYVAINWLTTFVFGRDISRI >Ma10_p26290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34052593:34053763:-1 gene:Ma10_g26290 transcript:Ma10_t26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSFPFASFAVRNEIIGRANYPFPKGFTSLRRWKVVEIHLVLELAL >Ma06_p33920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34371133:34372942:1 gene:Ma06_g33920 transcript:Ma06_t33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNELKLTGDMGFLPPVAPPFVTGSTHGERECWGFQGLAEGHGNHGDRRSNREGKEEEAGYGHVHGNERQHRPCARGHWRPDEDAKLKDLVSQHGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINRDAFSEEEEERLLAAHRLYGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSNACRRRRPSASSSIPSLPHSLPNRKEVNPSNNACSGESTITSTRDESASTCADLSLGSFTSRIVPSFPNGYSPSHQPHSYQAFNGSDGKVVTTSNRIYVKHGDSGGSFFTHGVPKMLVPGFDQSDCTGTGSASGASANEAVVYHMTNNTLHHGETEHGGEKIRLPFFDFLGVGAT >Ma04_p39460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36606317:36609367:-1 gene:Ma04_g39460 transcript:Ma04_t39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHDAPIGIFTQSPNVMDLVKSDGAALYFRNQVWLLGMTPTELQIRDIVTWLMEYHDGSTGLSTDSMTEAGYPGAAELGDAVCGMAAIKITSRDFIFWFRSHTTKETKWGNINGWNSKAEDLTGLPVQEAIGMPLIDLVEDDSVEVAKKVLQLALRGKGEKNIEIKLKSFSNQDSNSSIILVVNSCYSHDMKDNIVGVCFVGQDVTRQKLMMDKNTRIQNDYVAVVRNPSKLIPPIFIINESGCCSEWNSAMEKVSGTKRRGAIDKMLVGELFCHHGFGYQVKDHDALTKLKIVLNDYVEALISVNKRMNSEGKIAGTLCFLHVASPELQHSLQVQKMSEQVATNSLRELAYLRQEIRNSLNGITFMQDLMETTDLTDEQKQLLRRKALCQEPLAKILDGMDLESIEQCYMELDSVEFHLGETLDVVINQVMTLSRERQVVLLQDWSAEVSSMHLYGDNLRLQQILADFLSSALQFAPVSQGSILLQAVARKELTVTGVQIVHIEFRIIDPAPGIPEALVQEMFQHSQGISRGGHGLYVSQKLVKIMNGTVQYVRETERSSFIIILLEFPLVKPHRLENMITELLVCL >Ma05_p28810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39673942:39675805:1 gene:Ma05_g28810 transcript:Ma05_t28810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELIAGVCSSSSSWWWCTAMSGSFDWAAAAIDMFDVLPRSCNESSPASVSDSSISFQDVHFSPVSAAPAVFDSVPAAMATSSWSQPLFGTAGRAESCLHELFQGDMIHQESPMQGRSEQSFTEQSFSVDINGARLYQESLALPSALQNESSRSSSDESMQLMRSSPPKQQLQLSNTTTSPIPSAPWSFDNPMPIHLPQQAFDEPFNCSDQRAKSNSRARDSMSTWEKISTTEPALKKPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKFLHDQVRVLSSPHMKSGHQIQQSLDKPKHRQGPEEDLRSRGLCVVPVSATFAVARETAMDFWTPFQL >Ma05_p28810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39673942:39675877:1 gene:Ma05_g28810 transcript:Ma05_t28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELIAGVCSSSSSWWWCTAMSGSFDWAAAAIDMFDVLPRSCNESSPASVSDSSISFQDVHFSPVSAAPAVFDSVPAAMATSSWSQPLFGTAGRAESCLHELFQGDMIHQESPMQGRSEQSFTEQSFSVDINGARLYQESLALPSALQNESSRSSSDESMQLMRSSPPKQQLQLSNTTTSPIPSAPWSFDNPMPIHLPQQAFDEPFNCSDQRAKSNSRARDSMSTWEKISTTEPALKKPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIDYIKFLHDQVRVLSSPHMKSGHQIQQVKSLDKPKHRQGPEEDLRSRGLCVVPVSATFAVARETAMDFWTPFQL >Ma09_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36113005:36127205:-1 gene:Ma09_g24460 transcript:Ma09_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLFTPKPLSAALPSSLSPVFSSSSASPPSILFLSLSFPLQRRRRCRLKLVRAQDSDAGDTQPPKGGSPKSRRDILLEYVKNVQPEFMELFVKRAPKQVVDAMRQTVANMIGTLPPQFFSVTVTTVAENLAQLMYSVMMTGYMFRNAQYRLELQQSLEQIALPEPKDNMDVSDYAPGTQKKVTGEVIKWNKITGPEKMDAVKYIEFLESEIEELKRQVTRRSANGHNELLDYLKSLEPQNLKDLTNSAGEDVVFAMNAFVKRLLAVSDPAQMKIAITQTTAPELANLLYWLMVVGYSIRNIEVRFDMERVLDNPPKLAELPPGEDI >Ma05_p27720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38898658:38904845:-1 gene:Ma05_g27720 transcript:Ma05_t27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGINTFASYLGPLLITNFVGFISGEDSSDGLYNGYGLAALFFLAKTVESLTQRQWYFGARQIGVRVRAALMVAIYNKSLAIKHAGASTGKIVNFLDVDVEKIADFFWYIHGVWLLPLQVTLALLILYRNLGAAASFSALAVTILVMVSNTPLANLQQRLHSKIMEAKDGRMKATAETLKCMRVLKLHAWETAYLNKLLEHRNVERSWLRRYLYTCSAIAFLFWASPTLVSVVAFGVCILVRAPLTAGTVLSALATFRILQEPIYNLPELVNMIAQTKVSIDRIQDFIKEEEQKRLKPSNQSETSDIGVEIEPGEYTWEADSMSRTPTLKIEKKIHIMRGEKVALCGAVGSGKSSFLCSIIGEIPWISGGRVSVLGSRAYVPQSAWIQTGTIQENVLFGKEMDKRWYREVMEACALDRDVGAWADGDLTVVGERGINLSGGQKQRIQLARAIYNNADIYLLDDPFSAVDAHTRRHLFKECLMGLLSGKTVIYATHQLEFIDAADLILVLKDGKVVQSGKYEDLMMDSNGDLVQQIAAHNQSLSQVSPSKEHSSSITTRHRMKQENHVEVKLFDQSKVSKLSERSCEEEREFGRVKWHVYRTFVTSAYKGAFIPVLLFCQVLFQGLQMGSNYWVAWATEKEDRVSREKLIGIFILLSASSSVFVLGRAVLLSTIAIETAQKLFVGMITSIIKAPMSFFDSTHTSRILNRSSTDQSTVDTDIPYRVAGLVFALIQLLCIIILMSQVAWPVLILFIVVFTISIWYQNYYISAARELARMVGIQKAPILHHFSESLAGAATIRSFNQEERFRKRNLTLIDDYSRITFHNYATMEWLSVRINFLFNLVFFAMLTILVSMPRNTIDPSLAGLAATYGLNLNVLQAWVIWNICNVENKMISVERILQFSAIPSEAPLVIEHSRPEENWPTSGTIELDDLKVRYKPNLPMILKGLSCTLPGGKKIGVVGRTGSGKSTLIQTIFRVVEPTSGRIVIDSIDISRIGLHDLRSRLSIIPQEPTLFQGTVRTNLDPLQQHPDSEIWEALYKCQLGEIVKQDQRLLDAPVAEDGENWSVGQRQLVCLARVLLDKRRILVLDEATASVDTATDNFIQKTIREITNNCTVITVAHRIPTVIDSDLVLVLDEGKILEFSSPKELLKDESSAFSKLVIDFLGRSKSNHELELE >Ma03_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3309296:3311163:-1 gene:Ma03_g05060 transcript:Ma03_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSYPPRATLVTDGVPSPPFVISTHHHRPAWKARETMSLHHRQLDLVTHLVPRHLLTAAADDLDVGAAPSPSPSFHPSSFVAPFRPGIAVIVGVLTIIFSLTFLLLLYARHCKRSAASYGAGGSANGGFPPSASERRHSGVDRAVVESLPVFRFGSLQGQKEGLECAVCLSRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRVRVDPEDVLLVPRSVPESHGGGGGEKADTKGGGDGATTVAPNPFGRRISGRHSSAGERSSEPLQIVVHRAEPAGTRWRRSADLPGFGKPGVDAAVAGAPRVRKDKLLPTEATEDREAFERRHSHRIVVSDVDVRLDRWSDLRPTDLLFLRREMIITESGRFSASKAVRALSSSTAPGDADAAASRCASEIAGVHRMPSGRANPTGAGGARRAAEEERTLRRWMGFAAMRTAARSGEAGEREPAGDL >Ma08_p33210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43624874:43628982:1 gene:Ma08_g33210 transcript:Ma08_t33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MWGSADGGAPEVTLETSMGPLTVEMYYKHTPKTCRNFVELSRRGYYDDVKFHRIIKDFIVQGGDPTGTGRGGESIYGPKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCSGMEVIKRLGSVQTDNNDRPIHDVKILRTIVKD >Ma08_p33210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43624874:43628982:1 gene:Ma08_g33210 transcript:Ma08_t33210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MWGSADGGAPEVTLETSMGPLTVEMYYKHTPKTCRNFVELSRRGYYDDVKFHRIIKDFIVQGGDPTGTGRGGESIYGPKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCSGMEVIKRLGSVQTDNNDRPIHDVKILRTIVKD >Ma10_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27199766:27205453:-1 gene:Ma10_g14930 transcript:Ma10_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGEDKSSPSSIGMGSRERDRELLIPVGEDPADDGDSKTSAAAATASPSRHHSGREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIRFVDGFFSPIYAQLGIGIFGLGFITSITFIFLVGVFMSSWLGTSVLSLGEWFIKRMPFVRHIYNASKQISTAISPDQNTQAFKEVVIIRHPRIGEYAFGFITSTVVLQNYTGEEELFCVYVPTNHLYIGDVFMINSRDVIRPNLSVREGIEIVVSGGMSMPQILSTLESHSIQLDRTEFP >Ma08_p31890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42668481:42674610:-1 gene:Ma08_g31890 transcript:Ma08_t31890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRRFCCNDLLRFASVNLDHRTETFNMSFYMTYLARWPDYFHVAEGPGNCIMGYIMGKVEGQGESWHGHVTAVTVASEYRRQQLAKKLMHLLENISDKIDKAYFVDLFVRASNMPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVDKKSMIPLKRPITPDELEYD >Ma08_p31890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42668481:42674610:-1 gene:Ma08_g31890 transcript:Ma08_t31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRRFCCNDLLRFASVNLDHRTETFNMSFYMTYLARWPDYFHVAEGPGNCIMGYIMGKVEGQGESWHGHVTAVTVASEYRRQQLAKKLMHLLENISDKIDKAYFVDLFVRASNMPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVDKKSMIPLKRPITPDELEYD >Ma08_p31890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42668481:42674610:-1 gene:Ma08_g31890 transcript:Ma08_t31890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRRFCCNDLLRFASVNLDHRTETFNMSFYMTYLARWPDYFHVAEGPGNCIMGYIMGKVEGQGESWHGHVTAVTVASEYRRQQLAKKLMHLLENISDKIDKAYFVDLFVRASNMPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVDKKSMIPLKRPITPDELEYD >Ma03_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10789508:10789886:-1 gene:Ma03_g13690 transcript:Ma03_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRSNVALRGICIGLQLNLVGQGLCAAWPQPMLRAAAPSVP >Ma01_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2103254:2106007:1 gene:Ma01_g03250 transcript:Ma01_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEAGTGGAGKRSGGGAYYYEEAEAEERAWTAWLVPLIVVACVAVFVAEMYVNNCPAHPGPFGSCAARFLHRVSFQPVRENPLLGPSSSTLEKLGALEWNKVVHQGQGWRLVTCIWLHAGIIHLLANMLSLLFVGIRLEQQFGFVRIGILYLLSGFGGAVLSALLLKKSISVGASGALFGLLGAMLSELIINWTIYSNRVAALLTLVVIIAINLGIGLFPHVDNFAHIGGFLSGFLLGFVLLVRPQFGWLERDDLPASAQVTSKYKAYQYVLWVIALLLLIAGFVVGLVMLFRGLNGNDHCHWCHYLNCVPSSRWSCDA >Ma10_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25510917:25511768:1 gene:Ma10_g12400 transcript:Ma10_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSILLMKVSIAAEGLDEMVLPNDIDLLNPPAELEKRRHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQLLWFAETARPFCASRLAGVQGSPRAALSDEKAIEPICALFFLSLDKSLRSLESNLYASFNTMLF >Ma09_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1331178:1340817:1 gene:Ma09_g01790 transcript:Ma09_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MGGRAQMGKRIAEMGFNPGGGAINWFPGHMAAATRAIRDRLKLADLVIEVRDARIPLSSANEDLQSLLSCKRRIIALNKKDMANPNIMHRWVQHFESCKQDCLSMNAHLKSSVSQLLALVELKLKEVISREPTLLVMVVGVPNVGKSSLINSIHQIANSRFPVQEKIKCATVGPLPGVTQDIAGYKIANRPSIYVLDTPGVLVPSIPDIETGLKLALTGAVKDSVVGEERIAQYLLAILNSRRTPLHWDRLGNERMRTAPEFDGRNKNIFADSIPKRRRQLNVSDSDALYIEDLVREVQRTLYLTFKDFEGNLEEEGELESLIDAQLTALRKSFRIPSHRACDEARTMVSKKLLTLFRTGKLGPFILDNLPNSS >Ma04_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15556999:15557325:1 gene:Ma04_g16390 transcript:Ma04_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSGGRTIDHHCSCMKETIDHHCSCMKENVGRTIEGDRRVNTIRPPASTWFPAESAKGILGRGEREKKQQMRTKKTGVSYLQDAYLSNRYKCLILCLKIIIPFLNER >Ma11_p01560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1096943:1101346:-1 gene:Ma11_g01560 transcript:Ma11_t01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIHKPFAPQSGGFSPGRLRAMLLGVETKRKEREDLEANFSLRSESSESDDRHLAGASTAESCKDVECSISMETTSGHRSREYAIGGSRFRAQDDDCLETESVSSGFEFQRVERAPPHRLVSVAPFSKPAPSKWDDAQKWIASPTSNRVGNKAGGGQARKGGFAGHGSRQPVTKVVLEVEEADTKRVDGSQAKKEFVGVESVNWVTEPYAEVDSGAKPVTIMENPVADIAVSLSQHDSLTSVQSATAFISPAPTVRSVSMRDMGTEMTPIPSQEPSRTGTPVRESAPSPTSSRPTSPPRTALAPTMQVQTVPAACHDDLNKELLSEQEIIKKTRREIMVLGQQLGKTNIAAWASKEEEETDASLSLKTVRVDESMRGVIEARAAAWEEAEKAKYLARFKQEEIKIQAWENHQKAKTEAEMRKIEVEVERMRSHSHERLMNKLATARHRAEEMRAVAEAKRNQQAARTAQQAEFIRRTGRVPSSFFFWSCCR >Ma11_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1096944:1101346:-1 gene:Ma11_g01560 transcript:Ma11_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIHKPFAPQSGGFSPGRLRAMLLGVETKRKEREDLEANFSLRSESSESDDRRASTAESCKDVECSISMETTSGHRSREYAIGGSRFRAQDDDCLETESVSSGFEFQRVERAPPHRLVSVAPFSKPAPSKWDDAQKWIASPTSNRVGNKAGGGQARKGGFAGHGSRQPVTKVVLEVEEADTKRVDGSQAKKEFVGVESVNWVTEPYAEVDSGAKPVTIMENPVADIAVSLSQHDSLTSVQSATAFISPAPTVRSVSMRDMGTEMTPIPSQEPSRTGTPVRESAPSPTSSRPTSPPRTALAPTMQVQTVPAACHDDLNKELLSEQEIIKKTRREIMVLGQQLGKTNIAAWASKEEEETDASLSLKTVRVDESMRGVIEARAAAWEEAEKAKYLARFKQEEIKIQAWENHQKAKTEAEMRKIEVEVERMRSHSHERLMNKLATARHRAEEMRAVAEAKRNQQAARTAQQAEFIRRTGRVPSSFFFWSCCR >Ma02_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17411570:17412366:-1 gene:Ma02_g06410 transcript:Ma02_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANKYVPQLTPKEEQEEEEDAAYVRALQLTAGTVLAMVLKVALELDLFEVIVTAGPGNAMSPEEIAARLLTQNPQAPIWVDRILRLLVANNIVGCTVESGFDGRLSRKYSMAPISKFFTKNHDSSFASVVLLGTDKVYMDVWPHVKDSVLHDGNPAMAAYGMTAFEYQGTDPLDVCMSAYYVGGKERTEEEFQAIAKDAGFSGFNATRVFRNVRHGIHQVATNLAAE >Ma07_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4652226:4654779:-1 gene:Ma07_g06480 transcript:Ma07_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQTNDLHEFSHGSFRNATFEASNPSYQRNTSLGCFPAPRNPWSSVSLVSSSTSASFEEEMDSSSHGLMPPTLPSCVSSCVGSSPAVFFASEQSMSFPQLYLYRSETLPSFSKSTKNRPAAASFFFDRDDDSVKQYRLPSQPRDALDSDLKLPMLQNTRASSRDGFQASRIQPYPGRADWSASLQTKHLSPPQVVHDPSVGWGIPSCSMDKPNLRLQTEKQLPITSASVSSATAISNKTRIRWTQDLHERFVECVNRLGGAEKATPKGILKLMNSAGLTIYHVKSHLQKYRIAKHIPESTEGKFERRAAAVSVTELDPKIGMQISEALRLQLDVQMRLHEQLEIQKNLQLRIEAQSRKLQQMFEEQVRTTKGPAELENLGDLFSGSPAASLEDAQLWCAPDGPQSADFPLQKC >Ma09_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2447661:2454672:1 gene:Ma09_g03700 transcript:Ma09_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTLNRVMSFAISDLALEFVLGHVDDPRDREAVSLVCKKWYQIDALSRKHVTIAICYSTSPERLRRRFPNLESLKLKGKPRAAMFNLIPEDWGGYAGPWVREIAKAFNCLKSLHLRRMIVKDDDIGVLVKARGHMLESLKLDKCSGFSTDALFLVARSCRCLRTLFLEESSITENDGKWVHEIAINNSVLETLNFYMTELRVTPQDLELLAKNCRSLVSLKISECDISDLVNFFRIATSLEEFGGGSFNDQVGEVDSYKKIQFPPKLCCIGLIYMGKNEMDILFPFAAALKKLDLQYTFLSTEDHCQLIQRCPNLEVLEVRNVIGDRGLEVVAQTCKKLRRLRIERGDDEQGLEDEQGRVSQVGLSTLAQGCLELEYLAVYVSDITNAALECIGNFSKNLCDFRLVLLDREERITDLPLDNGVRALLRGCTQLRRLAVYLRPGGLSDVGLGYIGEYSNNVRWILMGNVGESDRGMQLFSRGCPRLQKLELRSCCFSERALAMAALQLPSLRYLWVQGYVASPTGRDLLAMARDFWNIEFIPPRQDADGIEDRRGNIESQAQILAYYSLAGKRTDCPETVIPLYPA >Ma03_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14801024:14804874:-1 gene:Ma03_g15030 transcript:Ma03_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLSMESQPVSPRCDFYLSFLLLCLSFSFLRASAAGDFDALLALKSSIDPHGSLPWRRESASGPCGGWKGVKECSPDGRVTKLVLEFLNLTGSLNREFLAPLDQLRVLSFKSNSLSGNIPDLSPLVNLKSLFLNDNQFSGRIPETVAALHRLKVIVLSDNLLSGPIPTSLAGLPRLYALLLQDNRLTGKIPAFNQPSLSYLNFSGNNLSGEIPATRALVRFNLSSFLGNSHLCGAQIGAPCTQKLIFPPPSLSPESSFGHVNAFPPFLPPTHNKSSRRRIIGIVAGSVAGAVFLSICLALALLLACKRKLRRVVESRSIGVGLGGRSKPAEEDGGRRGEGGGDGGGGAGGEGAKGGFSWEAEGIGKLVFCGGVGEMYSLEELLRASAETLGRGTVGSTYKAVMESGFIVTVKRLKEASRPPADEFRRRIEDLGRLRHPNLVPLRAYFHAKEERLLVYDYFPNGSLFSLIHGSRPSGSGKPLHWTSCLKIAEDVATGLLYLHQSNPPAVHANLKPSNVLLGPDFESCLADYALIPSLLLLPPASPHDLAAPSSSAASSSLFYRAPESRLPKPSFTPLCDVYSFGVLLLELLTGKTPFQDLVEEHGADIPRWVRSVREEERNDSGEDPGSSGNEASEEKLTALLNIAVACVAVEPEKRPPMREVLRMIREARAEVMVSSNSSDHSPGRWSDTVQSLPREHGSEGFAERD >Ma10_p29670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36173876:36179495:-1 gene:Ma10_g29670 transcript:Ma10_t29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGLFDLERHFAFYGAYHSNPVNVLIHMLFVWPIFYTSLLIFQFTPPFFHLHLHLPLPGGGDALTLPFNFAFVGALVYALFYLFMDKKAGSLAAILCFLCWFGSHALAVRLGFSLAWKVVLAAQLFCWSFQFIGHGMFEKRAPALLDNLSQAFLMAPFFVLLEALSLLGYEPYPGFHASVRSKIDTDRKAWQASKQKKAS >Ma03_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6418206:6418592:1 gene:Ma03_g08700 transcript:Ma03_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIIRFERRGFQGSTMWNTQTVE >Ma08_p31820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42620300:42622834:-1 gene:Ma08_g31820 transcript:Ma08_t31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAASVAGLAVAGATGAVSGAAAVGGGRRNEEEKWVPVAKLGRLHQIIDTLLGGRIKDEVMKILPVAGKCGSVTVLMFAGIEDVFTSSRGSTKTLGNFVTATFEYLMKTYRFLTPDFWMDTRFSKSPFQEYTDLRAKPTKAILLDNTEKDES >Ma08_p01060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:973982:976812:-1 gene:Ma08_g01060 transcript:Ma08_t01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEHGGHRDREVRAREADVEAYRRKDYYRDRLRDGGRDRDRGREARDRVSITQRDIRVRGGANGSYRSPLSSNSSGGSGRSRKINQLSGRDVDRETGELSSGSGSDDAEAPVSKIRENDSQENGDYSASIGKRKFSPIIWDRADNKQPTVATSSGKSNKLEHVSLPPPPPLPQGFVPPHSIEVVRPAVGHALPLDVDVSVDPPQEQLANNEQKGWSLDEYEEELAPARSISFSRWADGNSALDDEEDELMKDDLTLKKRKITPLSDSVGKQLQKKTPTPELGEVIVRENSRVYPSMLSDSEGENGNDDRGIYFERNDHMNVDSNVSNADTGDQSSDTDSETDVDRAKTQVPSQPPQRCMNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLFSGVKYLHDNWVLHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAVDMWSLGCIMAELLAKEPLFSGKTEFDQLDKIFKTLGTPNEKIWPGFAKLPGVKVNFVKQPPRALDDHA >Ma08_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:973009:976812:-1 gene:Ma08_g01060 transcript:Ma08_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEHGGHRDREVRAREADVEAYRRKDYYRDRLRDGGRDRDRGREARDRVSITQRDIRVRGGANGSYRSPLSSNSSGGSGRSRKINQLSGRDVDRETGELSSGSGSDDAEAPVSKIRENDSQENGDYSASIGKRKFSPIIWDRADNKQPTVATSSGKSNKLEHVSLPPPPPLPQGFVPPHSIEVVRPAVGHALPLDVDVSVDPPQEQLANNEQKGWSLDEYEEELAPARSISFSRWADGNSALDDEEDELMKDDLTLKKRKITPLSDSVGKQLQKKTPTPELGEVIVRENSRVYPSMLSDSEGENGNDDRGIYFERNDHMNVDSNVSNADTGDQSSDTDSETDVDRAKTQVPSQPPQRCMNMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLFSGVKYLHDNWVLHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAVDMWSLGCIMAELLAKEPLFSGKTEFDQLDKIFKTLGTPNEKIWPGFAKLPGVKVNFVKQPYNRLREKFPPTSFSGCPTLSEAGFDLLNQLLTYDPEKRITAEAAVNHRWFCEVPLPKSKEFMPTYPAQHAQDRRLRRVTKSPDPLAEQRMKELQQGELGLPTLFG >Ma07_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5931939:5950827:-1 gene:Ma07_g07980 transcript:Ma07_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAEAASGVVDSVYFSFYTSEEVRKISVKKITKPDLLDAKNSPVPDGLYDPALGPLNDTDSCKSCGQLSVCCPGHCGHIDLARPLYNPLLFKTLQGLLQITCFFCHKFKINEEKVKRYVALLDLIVKGDINGARSLEANSWSEIFFPEEGTAESVTPSNFDKAKHLTWTSLQQSEALSVLSKFMRERRKKCDNCGKKNPTIHSPIFGWLNKTTQGSDIRANFIIDSNLDLSSSEAKYSSLTQSRGESASEMDEESPLPQKKVKEDKELCDLPPEFIKQMSSSGQKHLLPSEVELILNNLWKNEANLCMLISGIHCKSSSISRGNKGCAMFFLKTLLVPPSKFRPAARTSGRGVLEHPQNTLLSKVQQANIGLKNCIIADLDHPDILRRWMDLQKTVNVLFDGTKGFAKSDKEASGIRQLLEKKSGILRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNVNKLRCAIINGANIHPGATHYKDKERMYKLQASQTMRSAISRKLPTSRGMTAQLGMGPESEFEGKVVYRHLQDGDIVLVNRQPTLHKPSMMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHLPQDEISRAEAINIVNANKQYIVPTSGHPIRGLIQDHIVSAVLLTKMDTFLTREEYHQLLYASCVPPTSYSQRNGFGQKVSVSWSDYEIQPLPPAIWKPTPLWTGKQVITAILNFVTRGHLPLTIEKRGRIQKEYIGEDHTLLVLHIHNNDLVHGMIDKAQFGTYGLVHAVHELYGPDVAGMLLSVFSRLFTSFLQMHGFTCGVDDLLLSQKSDMERKKILEKSETQAEEVHMRFTRTKDGDGDPMELQREIEKVLRGNGDSATALLDRMMSNSLNSLTSEINQTLFPNGLSKPFLKNCLSLMTTTGAKGGLVNMTQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRSGGFISDRFLTGLRPQEYYFHCMAGRDGLVDTAIKTSRSGYLQRCLIKNLECLKVSYDHTVRDADGSVVQFIYGEDGVDVLKTSYISEFKMLSDNRNVVLQKFSDQIVDTSLAKSNAYIRELPCSLRDNATDFVLKKQKSSPHQINKKDFMKLMKLKYLSSLAEPGEAVGVVAAQSVGEPSTQMTLNTFHLAGKGDMNVTLGIPRLQEILMTASKDIRTPLMNCPLHAWKTKDDAERLAAKLRRVSVADVVERMEVCTVPFSIHRNQISTIYKLKMTLYPSELYPSFSELTLEDCREVLEMTFVEAMEDAIAKHVDTISKISDIKVVSGKEENDFEEGVDEDESRNKSSMEENADGGDEDDESGDDQGIDYKRRKQQANDEVEYDDGIEKESFVAAGEHDEETQSGFESEIDHLEADEDYLMGGGSPGVDMDLATPESPSKADSTPISEDDKKKSKSVEKGKKETKSKAKKPKRSKKKIRRTIYVMAEGLKFEVHYIFRSEPRILLAEIAQRTAKRVYVKEYKNIERCSLKENKKSTDPFMLQIAGVNFKTLWDLEEYLDINHIYSNDIHAMLNTYGVEAARATIIQEVTDVFGLYGIQVNIRHLSLIADFMTFHGGYRPMNRVGMGDFNTSPFGKMTFETATKFIVESAFHGEVDTLESPSASVSLGQPVKMGTGCFDLMQNLQL >Ma01_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1703351:1706829:1 gene:Ma01_g02530 transcript:Ma01_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKDKRVPSVNGICMPNKRNKRLYVHIRPSIDQAQLKEFASSTKELPIRVRKPYTITKQRERWTEEEHEKFLEAIKLHGRVWHRIQEHIGTKSAIQIRSHAQKFFSKVDRNWGTGNAIEIPPPRPKRKPLHPYPRKLENLCTTRVPDTKRPEQSSSPIPSFSEQESGSPVSVLSAVGSETSPLSSVVGSDPVELSLSEPENRCCSPTSSVEGEERILSKDPASNGSNKLDKSPLELYLGSQDQIHPKECSPVEPQLTSLKLFGRTVLVANSQKTCSSDDGNSQKTCSSDDGNATRCFMSLPSADVGSHEEKIDTDMQNQAPGLGHFLPHEYTSGKTGRIPCDVAALSMYYFLAPDGVQKNSADEMVAPMPFWPTFYGSLPISFTNQLNTRLKQDLPQTSTEAPSQTIAIEEGSSVGSNSPSAWNESLMDDGNHTAVGYDGSGFPKEHMRAFKLKPSENSAFISVKASKIKSTRGFVPYERCKIGK >Ma01_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11611188:11611985:-1 gene:Ma01_g15960 transcript:Ma01_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPAEGYAKDAYPPPGYPSAGYPQQGYPPPYAQPPPPPQQQQQGPSFLEGCLAALCCCCLLDACF >Ma09_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4061273:4061958:1 gene:Ma09_g06350 transcript:Ma09_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGDREPWEAALDDATLDVLTEIDGALQMELLDDKHGEEADDDRLGPVIRSLEAEISAAAAAGSVAGCSNGDTMLESGHHHEDCEDCRLDDILSGPDSHGCSTSSTYLVEDPFGWVEMEAAGVGSPCSDLVDWYADECISDQVGVVGHGEARCHSTSYYSEQYTSLWE >Ma07_p28430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34470438:34474026:1 gene:Ma07_g28430 transcript:Ma07_t28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRHSLPGLSRVYRIATLNNPPRILETFWKAIKHFLDPNTFQKVRFLMHEYFDLEVLLSVEFGGKNEVQYDHDQYFD >Ma02_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21295756:21299106:-1 gene:Ma02_g12670 transcript:Ma02_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTPLLVLTFSLAVLSIELGISHGCREKERKALIDFKRGLHDPSDRLSSWVGEDCCAWERVGCSNISGHVIKLDLRNRRRMDLYEGCSQQEVNDDPGCKWAVRGDITPSLRSLQQLNHLDLSGNYFTHKPIPKFLGAFRRLTYLNLSGAGFMGRVPDQLGNLSTLQHLDLSYNCYRDDEGGGFCLYLENTGWISLLTSLRHLNMNRVHLTNASNWLQDLNALPRVQEIELSSCDLGTFPRSLSHVNFTSLITLDLRYNYINSTIPDWVFNITSLEFLYLGGNDQHGFFPDSVAKLTSLRALDLSGSVFQDGFMQVAPISNICKLQILYLRSVPIHDVLANLEMVFSGCLRYSMEELDLSNTHLSGSFPDWLGNIKNLKSLNLSFNSLYGSVPASIGNLSLLQHLVLCFNDLNGTISEGIGQLKSLVYLDLNSNSLSLSEIQLANLSSLRYLDISSNCNSRKNGAGVIDMILDGLPSGLEYLDLSHNSLNGSLPASLGNFLLLHSLILSSNYLTGMLPEGIKWLKGLVRLNLCNNSLRLSEDDLANLSSLKYLEISYNSIQLNKSGDWIPPFQLNTLYMGFCQILPVPHFPKWLRTQNALCELDLSNTGIEETIPNWLSSNLRYLYLSNNKITGKVPQFFPKLKYLILSNNSFSGDLPPRISNTMPRLQWLDLSMNNLSGGIPFSYCRSRYLEGLLLSENNLSGGVPNCWKNPSNLLLLDLSSNKLVGGIPDSVCNLQTLESLHLRRNNLSGPIPLCLKSCTELVTLDLGHNNFIGNIPTWIGESLLYLKTLSLRSNAFTGSIPQLSSLASLRILDFSNNNLSGIIPRSFGNFSALKGTPTYHCCYFNNNRPYEEVMWLFVKGSEIKYTTTSQLSIDTLIDLSNNYLSGNIPEELGNLHGLRSLNLSKNYLIGQIPRSIDGMKQLEVLDLSRNNLSGAIPSGLATLNFLNQLNLSYNNLSGRIPTGNQLQTFTDLSVYAGNPNLCGPPLPKNCTMNMTKADEEDQDEDSYESSMEALWLYTSITLGFITGFWAICGSLLLRRTWRIVYFRAIDNMYDKLYVVTVVTVTKYKRKLR >Ma06_p28740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30341374:30351333:-1 gene:Ma06_g28740 transcript:Ma06_t28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MPASSGSRPQSSTAARISATAATLSSDNQHVIAEIRKALSMMRSIAVDLEKQEQSDKVKELEAAVLELLDTYDDCTHFSEAIQTIGSNYQPSEQLTDFNKLLEDEIAKSKAVSPSVPQNNPFYRQFKEAIWNVHHAGQPMPGEEQEDIIMTSTQNNLLNITCPLTGKPLTELQDPVRCMDCKHIYEKDPIMHYMRTKKPHPQCPVAGCPRILQLGRVVCDALLPIEIDEMRSVKGVADATAVEDFTEVDDD >Ma06_p26740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28636263:28644385:1 gene:Ma06_g26740 transcript:Ma06_t26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPLFPSSLVFFGARQNSASCAPLNASSDHRRTERWDDVEWGARFPAHSHGAVTYRSAPWKAEIGRWLSGCGSILAAVEVVENIGGKSCQNDCSGRGVCNRELGECRCFHGYDGEGCTKKLELNCNLPVSPEHPFGSWIVSICPAYCDTTRAMCFCGQGTKYPNRPVVEDCGFKINLPSQSGGPKVTDWTKADFDNIFTTNRTKPGWCNVDPDDAYASKVKFKEECDCRYDCLVGQFCEIPTVCTCLNQCSGHGHCRGGFCECDRGYYGIDCSIPSSLSPIQEWPEWLRPATVDLPGKVPSSDLLTIKAVVKKKRPLIYVYDLPPEFNAHLLEGRHFKFDCFNRIYTDKNRTLWTDQLYGSQMALYESILTSPYRTMNGEEADYFYVPVLDSCIITRADDAPHLRMKEQKGLRSYFTLEFYKRAYDHIVERYPYWNRSSGKDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKIPSFKRGNHPCFDPEKDLVLPAWKRPEPGAIWAKLWTRPRTKRTTLFYFNGNLGPVYENGRPEDTYSMGIRQKLAEEFGSTPNKEGKLGRQHIANVTVTSVRSSKYYDDLASSIFCGVLPGDGWSGRMEDSIMHGCIPVIIQDGIYLPYENVFNYRSFAVRIKEDDIPNLIKILWRFNETEIDYMLANIRQTWQRFLYRDSVLLEAQRQKKLFSAEDDWAVEFSKLEEDDVFSTFIQVLHYKLHNDPWRQDLAQTKKDFGLPNFCFKRTQ >Ma11_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19639693:19642201:-1 gene:Ma11_g14330 transcript:Ma11_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLEEGQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIASFMVRVDSAKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKATGGDGDEDDEE >Ma06_p00880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:723748:729396:1 gene:Ma06_g00880 transcript:Ma06_t00880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNIQPHRPVCPKPFFFCLTFLVLLVIPYLFFWVDSGIQVVSHVLPKKKIVSVIANLNTPSRNHEGESTLFKESTFLHQHAPAEEEFTQCNPSNALLKVFMYDLPPEFHFGILGWNGDGKSVWPNIRAEVPHYPGGLNLQHSIDYWLTLDLLSSRFSDRSAPCSAVRVEDSREADVVFVPFFSSLSCNRHSKVNPPETVSTNELLQKKLVEFLTSQREWKRSGGRDHIIMAHHPNSMLDARMNLSPCMFILSDFGRYFPHVANVEKDIIAPYKHLIKTFVNDSFGFDDRSILLYFQGGIHRKNGGSIRKQLFNLLKGEKSVHFAFGSLRENGTDEASRGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSKFCIFVRKFDAIKKGFLMTLIRSVSREDWTQMWQRLKEVEGYFEFQYPSKKDDAVQMVWQAVARRVPAIQLKVHRSSRFSRFDVST >Ma06_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:723748:729396:1 gene:Ma06_g00880 transcript:Ma06_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNIQPHRPVCPKPFFFCLTFLVLLVIPYLFFWVDSGIQVVSHVLPKKKIVSVIANLNTPSRNHEGESTLFKESTFLHQHAPAEEEFTQCNPSNALLKVFMYDLPPEFHFGILGWNGDGKSVWPNIRAEVPHYPGGLNLQHSIDYWLTLDLLSSRFSDRSAPCSAVRVEDSREADVVFVPFFSSLSCNRHSKVNPPETVSTNELLQKKLVEFLTSQREWKRSGGRDHIIMAHHPNSMLDARMNLSPCMFILSDFGRYFPHVANVEKDIIAPYKHLIKTFVNDSFGFDDRSILLYFQGGIHRKNGGSIRKQLFNLLKGEKSVHFAFGSLRENGTDEASRGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSKFCIFVRKFDAIKKGFLMTLIRSVSREDWTQMWQRLKEVEGYFEFQYPSKKDDAVQMVWQAVARRVPAIQLKVHRSSRFSRFDVST >Ma06_p13150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9019633:9021412:1 gene:Ma06_g13150 transcript:Ma06_t13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSWVQTKFSGRQEHKRCAAALSSASCTSIPVISKEEFRDWPQALLAIGTLGNRDIKEDPQRPESSENLQASEDLPDFTMEEVNRFRVELVKLLTRKPNSSTSAPEIAEADRANLLLNWFLNRPSSLEVDRKLENLGDLSPSTKIILSKVRDALLSNRTAIKKESLSFLFKKMFVCGSGFAPPRNLKDPIPEPRIDKVLRAILTKKIYPRSSALAPAKKYLANKPTEKMQEEDKRKDQYKWVKTDSEFIELIVLEI >Ma06_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9019633:9021109:1 gene:Ma06_g13150 transcript:Ma06_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSWVQTKFSGRQEHKRCAAALSSASCTSIPVISKEEFRDWPQALLAIGTLGNRDIKEDPQRPESSENLQASEDLPDFTMEEVNRFRVELVKLLTRKPNSSTSAPEIAEADRANLLLNWFLNRPSSLEVDRKLENLGDLSPSTKIILSKVRDALLSNRTAIKKESLSFLFKKMFVCGSGFAPPRNLKDPIPEPRIDKVLRAILTKKIYPRSSALAPAKKYLANKPTEKMQEEDKRKDQYKWVKTDSECKMFHSCVSAF >Ma04_p27490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28668184:28681209:-1 gene:Ma04_g27490 transcript:Ma04_t27490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRTLTAANNIDDDRSLHTHALPYRNTGRHHPLASSSSSSSSSSSPSYSFLAVRRIFAGGFLRKSSRGKLPAPPPQRHHPWKRNLFRLFVFFLLGFLFGLYPFVELDDFGLRPHHFFDSSSASIISSNNLPRRDLAAVVRSGPSEIELVRSDDGNRHSEAEPPPNDHPEPTPKRKLLIVVTPTYNRAFQSYYLTRLGQTLRLVPPPLLWIVVEMNSASMETAEILMGTGAMYRHLVCKKNSTNIKDRGVLQRNTALEHIERHRLDGIVYFADDDNIYSLELFERLREIRRFGVWPVAMLSQGKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDHKRWHRPNSDAIRQLDTVKEGFQETTFIEQIVEDESQMEGLPNDCPRIMNWHLHLEAKDLVYPKGWQVSRNLDAIIRLT >Ma04_p27490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28668909:28681209:-1 gene:Ma04_g27490 transcript:Ma04_t27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRTLTAANNIDDDRSLHTHALPYRNTGRHHPLASSSSSSSSSSSPSYSFLAVRRIFAGGFLRKSSRGKLPAPPPQRHHPWKRNLFRLFVFFLLGFLFGLYPFVELDDFGLRPHHFFDSSSASIISSNNLPRRDLAAVVRSGPSEIELVRSDDGNRHSEAEPPPNDHPEPTPKRKLLIVVTPTYNRAFQSYYLTRLGQTLRLVPPPLLWIVVEMNSASMETAEILMGTGAMYRHLVCKKNSTNIKDRGVLQRNTALEHIERHRLDGIVYFADDDNIYSLELFERLREIRRFGVWPVAMLSQGKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDHKRWHRPNSDAIRQLDTVKEGFQETTFIEQIVEDESQMEGLPNDCPRIMNWHLHLEAKDLVYPKGWQVSRNLDAIIRLT >Ma08_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9202790:9206453:1 gene:Ma08_g12190 transcript:Ma08_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKERNGEPVGDRRKQQQKRNMKKRMRMGCGSMVGSERCVSCTTFNILAPIYKRVSEGDQSCRESQCRAYWLSRNERIIDRLLGDRSSIICLQEVWLGNDELVDMYEKRLGDAGYVSFKLARTNNRGDGLLTAVHGDYFKILNHQKLLFNDFGDRVAQLLHVESVVPFWHGQKSSVQQQVLIVNTHLLFPHDSSLCIVRLQQVYKILQHIETYQKEHNLDSVPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYSDVDAHKWVSHRNHRGNICGVDFIWLLNPNKYRKPLRTSWNEAVFGIIKYLLRAASLAEDNAFAFLKVDSPGDYITYSGFCQALHQLGVAGHPHGLSPDDTKDLWIQADTDRNGAVDYEEFQRIWSPKCREPLEEQIAGETGGTQAREGGQLAFGFNVKDAVLFPPEVEQGTWPENYSLSDHAPLTVVFSPVRIPCGQPVC >Ma08_p12190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9202790:9206453:1 gene:Ma08_g12190 transcript:Ma08_t12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKERNGEPVGDRRKQQQKRNMKKRMRMGCGSMVGSERCVSCTTFNILAPIYKRVSEGDQSCRESQCRAYWLSRNERIIDRLLGDRSSIICLQEVWLGNDELVDMYEKRLGDAGYVSFKLARTNNRGDGLLTAVHGDYFKILNHQKLLFNDFGDRVAQLLHVESVVPFWHGQKSSVQQQVLIVNTHLLFPHDSSLCIVRLQQVYKILQHIETYQKEHNLDSVPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYSDVDAHKWVSHRNHRGNICGVDFIWLLNPNKYRKPLRTSWNEAVFGIIKYLLRAASLAEDNAFAFLKVDSPGDYITYSGFCQALHQLGVAGHPHGLSPDDTKDLWIQADTDRNGAVDYEEFQQRIWSPKCREPLEEQIAGETGGTQAREGGQLAFGFNVKDAVLFPPEVEQGTWPENYSLSDHAPLTVVFSPVRIPCGQPVC >Ma04_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5904658:5905318:1 gene:Ma04_g08190 transcript:Ma04_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIILQGRFAGRKAVIVRAFDDGTRERPYGHCLVAGVAKYPKKVIRKDSAKKTAKKSRVKAFLKLVNYSHIMPTRYTLDVDLKDVVTADSLQSRDKKVTACKETKARLEERFKTGKNRWFFTKLRF >Ma09_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:939766:959126:-1 gene:Ma09_g01300 transcript:Ma09_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGFDINVLCQEAQKRWLKPSEVFFILQNYKQFPLTPEPPHLPPSGSLFLFNRKVLRFFRKDGYMWRKKKDGRTIGEAHERLKVGNVDALSCYYAHGEQNPYFQRRIFWMLDPAYGHIVLVHYREVAEGRYVSGSISNFSTESCSNLNQTTSIINADKGINSGTTELNEPYYSPGSTEEVSSKFVLENFEANRNNLLDRLENPDKKPQPEVNQALRNLAAQLSLDDDDDDDSIYFREVLPAYSTQNESTLGLGHLHYEQTEFSQAHENLLQGLELRGHGEINEAEKQQSYATTQLPKVLGDHGAKQSEPLYLESPSWTDVLTSSSSSAGVNRHGRNSNFLALNGILDSSIPKDTLRPFLDREKISANSFVPSENLDCYKAVDQSNGHEILESDLHLQLSATRRFLLGSENSIESPSSVSHLKASDIHHTSGEITYEASSRKENSTDWMGTIPVTPGNTTYTSEFSSMLFDNNHFGASLGTDSSLTVAQKQRFSIREISPEWAFSYESTKVIITGDFLCNPLESPWAVMFGDIEVPSEIVQEGVLRCQTPQHSSGKVTLCVTSGNRESCSEVREFEFRTKPTTSSSGDICTTDAAKNSEELLLLARLVQMMLCGYDGSTIAKGAIETQLENSRKVNTTDERWQQIIEALQMGCDISLDTRDWIMQELLKDKLQNWLSLRRQSNEQTGCLLSKQEQGIIHLISGLGYEWGLGPILDFGVGINFRDSNGWTALHWAAHYGREKMVAALLAAGASAGLVTDPTTQDPLGKTPGFLASATGQKGLAGYLSEVALTSHLSSLVIEESEISKGSAEVEAERAVESISQRSVEIRGGTEDELSLKDSLAAVRNAAQAAARIQAAFRAHSFRKRQLKSAWSCDDYGMTPGDIQELSAASKGHRLYHGSHDHNFDKAALSIQKKYRGWKGRKDFLTLRQHVVKIQAHVRGHQVRKKYREFVWTVSVIEKVILRWRRKGVGLRGFRAEPEMVRDEEEEDITKIFRKQKVDAAVDEAVSRVLSMVESPDARQQYRRMLGRYHEAKAEFSNSDEATSRLRDDLEAIDNDFIY >Ma03_p28630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31762640:31763071:1 gene:Ma03_g28630 transcript:Ma03_t28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRNQHSLGVNKAIKKEGPRQPRVYNIRSSDFRSVVQQLTGASASLPRPRRLNQARPQPLAPLPRPSVPTTPADPAVPPPAETPFSAYMRFLEISLLHSDGSHRPAHSPLRPSPPPPLPLDLESPSAFLDLLSPRCPQLSP >Ma09_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6849513:6858352:-1 gene:Ma09_g10050 transcript:Ma09_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30920) UniProtKB/Swiss-Prot;Acc:O49354] MAWRLLRVLQRTSFSNHTISLPNPNCSRLNPLAASSPLLPKLWKRSHTDAAPLPSPTQETRAGTESGDSRGAKSTSFRSSLNEAEVAKFAAIAETWWDFQGPFQPLHLMNPTRISFIRSTLCRHFRKDPFSARPFEGLKIVDVGCGGGILSEPLARMGATVTGIDAVEKNIKIAQIHAASDPLTSSIEYRCTTAEELVKENEKFDAVISLEVIEHVADPSDFCKSLAALTVPLGATVISTINRSMRSYATAIVAAEYLLNWLPRGTHQWSRFLTPEELVLILERASISVQEMAGFFYNPLTGDWSLSDDTSVNFIAFATRRNE >Ma07_p27200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33668025:33669880:-1 gene:Ma07_g27200 transcript:Ma07_t27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSSYSAAAGERSEVGGTKKPFRVFFIPFFASGHMIPMVDLACLFAARPGVEPTLVLTPANAALVRPTLDRSAAAGRPVGLLLFPFPSVGLPDGVENLATAPASESWRVYKAVDLAQTAHEEILRRHRPDAVVADIPYWWTTAIAADLGIPRVTFHAVGVFPQLVMNNLFRLRSEIQERQVVAVPDLPGRPIEIPRAELPEFLLEQNHMSDTWDRMKKAQLTCHGVVVNTFYGFEPEYCDDYRRVDARQAWFVGPVALASCGGVERGGGTAAKEDGGRCMAWLDTREEGSVLFVCFGSWCHFTAAQLRELAAGLEASGQPFLWAVRKDGDGSEEESNWMPEGWEDRVAGRGLVVRGWAPQVAILGHRAVGAFLSHCGWNSVLEAVAAGKPMLTWPLVFEQFINERLVVEVAGAGKRVWEGQRSVAEHEKTVVPGEAIARKVSGFMKAGGEGETARKKAMELSVAARAAVAKGGSSHRDLDSLIDELLATRVGNTQQDTAAIEV >Ma05_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33134290:33146781:-1 gene:Ma05_g21480 transcript:Ma05_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAVLREWFERVDSNRSGNITALQLQGALSVGNLDFSLSIVQQMIRMYDFDGNGTMNFEEFVALNKFLLKVQNLFTVLERGRGYLNPDDVYEGLVRLGFSLDSPSFLTVCESFDKNKKGMVRLDEFISLCIFVQSARNLFNSFDTTKQGRVTLDFNQFVYCTANCRI >Ma01_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2344474:2351810:-1 gene:Ma01_g03550 transcript:Ma01_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPELVLFNSMSKQKEVFKTRVEGQVSMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKHLGYEVKYVRNFTDIDDKIIKRANESGEDPLSLSRRFSEAFLQDVAELQCLPPTLEPRVSDHIEQIKDMITKIIENGYGYTIEGDVYFSIDNFPDYCQLSGRKLDDNRAGGGGRVSVDLRKRNPGDFALWKAAKPGEPSWESPWGPGRPGWHIECSAMSAQYLGDAFDIHGGGKDLIFPHHENELAQSRAACPEHKVSYWMHNGFVNKDNQKMSKSDDNFFTIRDIIARYHPLALRFFLMRTHYRSDVNYSDRQLETASDRVFYIYQTLYDCEQALSPFRQENIQGQVPADIKELIDKFHSDFLASMSDDLHTAVILDDLMEPFKAINSNLKKFKGKKQQKPLILTLFALEKEVKDVLGILGLLGSSCAEVLQQLKDKALSRAGLIEEQVLQLIEDRNLARKNKEYEKSDKIRKELYDKGIALMDEPKGTVWRPREPPE >Ma09_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2502847:2503478:1 gene:Ma09_g03800 transcript:Ma09_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPQSIDEPPSFNNVLLTGDALGADEQLSYGAATFVMQRDCNLVHHVEGRRVFQSYTRGHGVSRTLSLTDCGQLVITSSLGSSIVWRSPFLRGANRGKYAAVLRPDGVVADYYYKNYYASDDYYKNLWSSNNASSIGDYALLLQINGQAVVYGPTVWLTTSSPKSLLDTISSSPEPPIAT >Ma08_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8986618:8990861:1 gene:Ma08_g11980 transcript:Ma08_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHIYDVTNSGSEKTNNTILQINRIFKDRIGLGGIFHSAIQVYGDEEWSFGFCEQGSGVFSCPPGKNPMYTYREHIILGETNCSILKVNQILRELSQAWPGHSYDLLAKNCNHFCDAFCERLGVQKLPGWVNRFANAGDTAIVVAENAALHLRQAKDEIVTASKVAYRFMAGLASNSRATPESPSYSNRGSPRFQGAWFKNLMSIGAKPSAGTSEIPDETDDSPLYRQKPSELSQHNSRQNS >Ma03_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14527090:14528465:1 gene:Ma03_g14740 transcript:Ma03_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNSLQTREVSDLCIGKPATRPLSLSATVGDALLALRSGGSDDRLVIWTADGPAPERKACAGNVCLVDVLCYLCAEQNLDAPMAALGAPASALLPPLTAASLVRRVEPSCSILEALDAILDGAQSLVVPIRAAASPRKFTSGSAAELCWLTREDFVRFFLNSIALFSPVPALSVTDLGLVRPAALAVRPQDPALSALPLIRAALAEQTSVAVVSDDGRLIGEISPFTLAHCDERVAPALAALSAGDLMTFVDCVGAPRKSAMRSIRAQLRAKGLLGMLELLDADVSPPFSPSTSSSESDDESSPSSPPLSNGRGRPRVTRSAWRSGSYSARMGRRSEEAIVCHPWSSLVAVMIQALAHRVSYVWVVDDDDYYLDGIVTFSDILEVFREQLEQTGTCI >Ma01_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14778592:14816116:1 gene:Ma01_g19290 transcript:Ma01_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MFSSPILPLPPLPSPSFLSHRTQLKNGDNAFFVPLGNNSSTSSRKGRRRVVTVSRASTAPVLPFKFFEPPKAEESSLLSELEPADPDFYKIGYVRSMRAYGVEFMEGPNGFGVYASKDVEPLRRARVIMEIPLELMLTISQKLPWMFFPDIIPVGHPIFDIINSTKPETDWDLRLACLLLYSFDVEGNFWQLYGDFLPSPEECTSLLLAHKDDLLELQDTDLASKMEEQQKRAIEFWEKHWPNCFLHWRFKDRMLEVMINAGQRIKKGEEMTINYMSGQKNNIYMERYGFSSPTNPWDVINFSSNAKIHLDSYLSVFNISGLPEEFYHNSLLSSEEDNKFVDGAVIAAARTLPTWSDGDVPPVPSTERRSARELQEDCYRLLLEFPTTLEQDWQILDSNPDASRTCEAAIKYRLHRKLFLKKVIQALELYQERILF >Ma01_p19290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14778578:14816116:1 gene:Ma01_g19290 transcript:Ma01_t19290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MFSSPILPLPPLPSPSFLSHRTLKNGDNAFFVPLGNNSSTSSRKGRRRVVTVSRASTAPVLPFKFFEPPKAEESSLLSELEPADPDFYKIGYVRSMRAYGVEFMEGPNGFGVYASKDVEPLRRARVIMEIPLELMLTISQKLPWMFFPDIIPVGHPIFDIINSTKPETDWDLRLACLLLYSFDVEGNFWQLYGDFLPSPEECTSLLLAHKDDLLELQDTDLASKMEEQQKRAIEFWEKHWHSAAPLKIKRLACDAERFLWALSIVQSRAVNMQIRVGALVQDANMLVPYADMLNHSFQPNCFLHWRFKDRMLEVMINAGQRIKKGEEMTINYMSGQKNNIYMERYGFSSPTNPWDVINFSSNAKIHLDSYLSVFNISGLPEEFYHNSLLSSEEDNKFVDGAVIAAARTLPTWSDGDVPPVPSTERRSARELQEDCYRLLLEFPTTLEQDWQILDSNPDASRTCEAAIKYRLHRKLFLKKVIQALELYQERILF >Ma01_p19290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14778577:14816116:1 gene:Ma01_g19290 transcript:Ma01_t19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MFSSPILPLPPLPSPSFLSHRTQLKNGDNAFFVPLGNNSSTSSRKGRRRVVTVSRASTAPVLPFKFFEPPKAEESSLLSELEPADPDFYKIGYVRSMRAYGVEFMEGPNGFGVYASKDVEPLRRARVIMEIPLELMLTISQKLPWMFFPDIIPVGHPIFDIINSTKPETDWDLRLACLLLYSFDVEGNFWQLYGDFLPSPEECTSLLLAHKDDLLELQDTDLASKMEEQQKRAIEFWEKHWHSAAPLKIKRLACDAERFLWALSIVQSRAVNMQIRVGALVQDANMLVPYADMLNHSFQPNCFLHWRFKDRMLEVMINAGQRIKKGEEMTINYMSGQKNNIYMERYGFSSPTNPWDVINFSSNAKIHLDSYLSVFNISGLPEEFYHNSLLSSEEDNKFVDGAVIAAARTLPTWSDGDVPPVPSTERRSARELQEDCYRLLLEFPTTLEQDWQILDSNPDASRTCEAAIKYRLHRKLFLKKVIQALELYQERILF >Ma02_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24258302:24259529:-1 gene:Ma02_g17280 transcript:Ma02_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPGFIVFSLLAGVLHGQLSPSFYATTCPNLQTIVRSVMAQAVSQEPRMGASILRLFFHDCFVNGCDASILLDDTANFTGEKNAAPNANSVRGYEVIDAIKTRVEAACNATVSCADIVALAARDGVYLLGGPTWAVPLGRRDARTASLDAANSNLPGPFSSLATLISMFDAKGLSAQDMTALSGAHTIGMARCGLFRSRIFNDANVNASFAAERKLTCPATGGDGNLAPLDVATPNLFDSSFYRNLVAARGLLHSDQELFNGGSQDALVRNYSVNATAFASDFSSAMVKLGYLSPLTGKNGEIRLNCRKAN >Ma07_p26740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33404707:33405482:1 gene:Ma07_g26740 transcript:Ma07_t26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSHLTEIGCIACNELAELGAGEREGGMTQRSLRPGGPSPTLPRPRVRSPSLRRLLPPRYASPSLCRITALDFFPFGGLLALALGTSTGLIVVYSLCRELIRKPQANAASYKITFLRSSVWSCLGSLPFLMARISFLVLKRFASEMVSRG >Ma06_p26090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26840302:26841105:-1 gene:Ma06_g26090 transcript:Ma06_t26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNLCFELIPDTKARRRSFARRCKGLRKKASEIHKLCDLDVLVISFSSDDDKMVAWPEEPEEVRRIVHRFLIIDKRQRERWSNSLVQVLEERVMQKTRAKKMPEDYATRVQRLDGLSKDKVADLLHDIDCQMSLLKLKIKLLAEKMVASQQQLDGGSQTQIPTNDSVDEEYMQPKQPCDPAEEDHFHVSEYLGVDLGGDAVASDHYVAGPPAASYNTVEMGIANSLLPVQDFSDGLDWFPWPPADQGGLELIVQQALQGIKDAKN >Ma01_p11210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8081302:8091710:-1 gene:Ma01_g11210 transcript:Ma01_t11210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHRTEGSAGESSESHETGGNSARAEPQWPSDRGPAPQQQGGAAGRGWAPSSPQQTQQGGRGGRRYYQSRGGQPQPHDAQQPGAPSQYQGRGSSQPRGGMPPQQQYGGRRGGRGVSGGHGSGPSAAGLSRPPAPELHQATQSPYQATQAAPLKPSSSRQPEFSATEVAEQFQQLSVHGEASSQAIQPMPASSSKSMRFPVRPGKGTFGIKCVVKANHFVAELPDKDLHQYDVSIMPEATSRGVNRALMEHLVKLYREAYLGGRLPVYDGRKSLYTAGPLPFTSREFHISLDNEDDGSGMERRHRTFRVVIKLAARVNLHRLEMFLAGRQADAPQEALQVLDIVLGELPTARYVPVGRSFYSPDIGTRKQLGEGLESWPGFYQSIRPTQMGLSLNIDISSTAFIEPLPVIDFVAQLLNRDVQSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFHVDERGTMKSVVRYFQETYGFAIQHLSLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQLPRDRELDIIQTVHHNAYHEDPYAREFGIRISERLASVEARVLPAPWLKYHDTGREKDCLPRVGQWNMMNKKLVNGGRVNNWTCISFARNVQENVARGFCRELAQMCQISGMEFALEPVLPPLSARPDHVERALKAHYHDAMNILQPRGKELDLLIVILPDSNGSLYGDLKRICETDLGLVSQCCLTKHVFKISRQYLANVALKINVKVGGRNTVLMDALSRRIPFVSDQPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLERNYQPPVTFVVVQKRHHTRLFANNHNDHRSVDKSGNILPGTVVDSMICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTIGATAGRGASASGLRTPVSAAVKPLPALKENIKRVMFYC >Ma01_p11210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8081302:8091724:-1 gene:Ma01_g11210 transcript:Ma01_t11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHRTEGSAGESSESHETGGNSARAEPQWPSDRGPAPQQQGGAAGRGWAPSSPQQTQQGGRGGRRYYQSRGGQPQPHDAQQPGAPSQYQGRGSSQPRGGMPPQQQYGGRRGGRGVSGGHGSGPSAAGLSRPPAPELHQATQSPYQATQAAPLKPSSSRQPEFSATEVAEQFQQLSVHGEASSQAIQPMPASSSKSMRFPVRPGKGTFGIKCVVKANHFVAELPDKDLHQYDVSIMPEATSRGVNRALMEHLVKLYREAYLGGRLPVYDGRKSLYTAGPLPFTSREFHISLDNEDDGSGMERRHRTFRVVIKLAARVNLHRLEMFLAGRQADAPQEALQVLDIVLGELPTARYVPVGRSFYSPDIGTRKQLGEGLESWPGFYQSIRPTQMGLSLNIDISSTAFIEPLPVIDFVAQLLNRDVQSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFHVDERGTMKSVVRYFQETYGFAIQHLSLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQLPRDRELDIIQTVHHNAYHEDPYAREFGIRISERLASVEARVLPAPWLKYHDTGREKDCLPRVGQWNMMNKKLVNGGRVNNWTCISFARNVQENVARGFCRELAQMCQISGMEFALEPVLPPLSARPDHVERALKAHYHDAMNILQPRGKELDLLIVILPDSNGSLYGDLKRICETDLGLVSQCCLTKHVFKISRQYLANVALKINVKVGGRNTVLMDALSRRIPFVSDQPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLERNYQPPVTFVVVQKRHHTRLFANNHNDHRSVDKSGNILPGTVVDSMICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTIGATAGRGASASGLRTPVSAAVKPLPALKENIKRVMFYC >Ma01_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8081302:8091710:-1 gene:Ma01_g11210 transcript:Ma01_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHRTEGSAGESSESHETGGNSARAEPQWPSDRGPAPQQQGGAAGRGWAPSSPQQTQQGGRGGRRYYQSRGGQPQPHDAQQPGAPSQYQGRGSSQPRGGMPPQQQYGGRRGGRGVSGGHGSGPSAAGLSRPPAPELHQATQSPYQATQAAPLKPSSSRQPEFSATEVAEQFQQLSVHGEASSQAIQPMPASSSKSMRFPVRPGKGTFGIKCVVKANHFVAELPDKDLHQYDVSIMPEATSRGVNRALMEHLVKLYREAYLGGRLPVYDGRKSLYTAGPLPFTSREFHISLDNEDDGSGMERRHRTFRVVIKLAARVNLHRLEMFLAGRQADAPQEALQVLDIVLGELPTARYVPVGRSFYSPDIGTRKQLGEGLESWPGFYQSIRPTQMGLSLNIDISSTAFIEPLPVIDFVAQLLNRDVQSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFHVDERGTMKSVVRYFQETYGFAIQHLSLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQLPRDRELDIIQTVHHNAYHEDPYAREFGIRISERLASVEARVLPAPWLKYHDTGREKDCLPRVGQWNMMNKKLVNGGRVNNWTCISFARNVQENVARGFCRELAQMCQISGMEFALEPVLPPLSARPDHVERALKAHYHDAMNILQPRGKELDLLIVILPDSNGSLYGDLKRICETDLGLVSQCCLTKHVFKISRQYLANVALKINVKVGGRNTVLMDALSRRIPFVSDQPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLERNYQPPVTFVVVQKRHHTRLFANNHNDHRSVDKSGNILPGTVVDSMICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTIGATAGRGASASGLRTPVSAAVKPLPALKENIKRVMFYC >Ma01_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8385649:8391793:-1 gene:Ma01_g11600 transcript:Ma01_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARRVSCSLLRRDHRHLLPLLRPFASSAGGQPQLTVETSVPFTGHKIDPPSRSVDTNPSELLSFFREMSLMRRMEIAADSLYKSKLIRGFCHLYDGQEAVAIGLEASITKKDAIITAYRDHCIYLARGGSLVESFAELMGRRAGCSKGKGGSMHFYKKESGFFGGHGIVGAQVPLGCGLAFAQRYLKEGTVTFALYGDGAANQGQLFEALNISALWDLPVILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEYALQNGPIILEMDTYRYHGHSMSDPGSTYRTRDEITGVRQERDPIERVRKLIVSYELATASELKDFEKEVRKEVDEAIAQAKESPMPDPSELFTNVYVKGFGVEAFGADRKEVKAVLP >Ma09_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5994797:5997612:1 gene:Ma09_g09010 transcript:Ma09_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVAKTVKDVSPHEFVKAYSAHLKRSGKMELPEWTDIVKTGRFKELAPYDPDWYYIRAASMARKIYLRQGIGVGGFQKIYGGRKRNGSRPPHFCKSSGAIARHILQQLERMNIIEIEPKGGRKITSQGQRDLDQVAGRV >Ma07_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3728816:3730301:-1 gene:Ma07_g05120 transcript:Ma07_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRFSSAGAFVPSMTSFAGMEGSSRKHYTWNWETNSHNLQNHAFFPCTPFLDSPPPLVPTNSFHFFSPPPPEYPIGLVKMDDGGSGCGRIGLNLGHRTYFSSGDALAMDRLLARSSGMHSLSSHQPRCQAEGCKTDLSGAKHYHRRHKVCELHSKATVVVVGGLQQRFCQQCSRFHVLAEFDKAKRSCRKRLADHNRRRRKPQLPPTTITSPSKNTTTDASEKSKQAQKTTGNNADTTCPTSLSNKVEGQQCQNRGNLLRNAPALSLGGVAAEGSTGSQQPFVKVAEKKVSHQRQQSSPSSSPSPFNNTYLHHPHLFSSGSHEPSQISLFHLGQALFEVDYM >Ma11_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25404541:25407918:-1 gene:Ma11_g21150 transcript:Ma11_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQNCTRRCGNIDVPYPFGIEPGCHLDGLGLSCNVTESKLYTGIIQVIDLDVLDGQATVYQPISWDCKKSYENPNVSFTMELTGTPYRVSGTRNRFTAIGCDTIALFVGATNQSYSTGCVSTCNQNTTFSNGSCSGNGCCQTSILPGLQYFNITLFSFTGHEANSWDNTCSFAFLVDDGRYTFRTPDLHEIDFFDRNGGQVPMVLDWSIGDVGCEEATKNSTSYACRSNNSSCLNATNGIGYLCNCSRGYQGNPYVEGGCEDINECDHPEKYPCHGLCTNLPGNYSCACPKGTRWDPLLSACESYSSSSNSKTIIIASVSVGGGSVLLLVATVALWRMVKRRTVQKRKEKFCQQNLELLRKEQSSSSDVALIERMKIYELEELEKATNHFDKTRIIGGGGHGHVFKGMLSDQRVVAIKRPNITNQVEIAQFVNEVFILSQTNHRNVNEDFIDELGKLNLIAYIGHFNGNHTLVGQDSFIDVAMASPADDSGFVEMIGGLFQLLQLIDLHPFDQSYIRRRRLFFS >Ma09_p27140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38194941:38196374:1 gene:Ma09_g27140 transcript:Ma09_t27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGLLGGVVDERKISHPGGLLIDPTSSSSPTSSISSQSQSSSSPSLLAGTAEQQPQQNLRCPRCDSTNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKTKAVPVIAAAGVCGKLGIAKAKPSSPDVLLRSGLAGGLENELSSSPILWPSPHTSHLLSLLRSSCAQNPNPILSSATSLNLGSARIKEDRTMLGSHMVAEAGGTLNTAHSLSLDPLGQLGLSASLWRNNDYSYQQQQQQQQPQPQPQPRLTQPPPQNNNTLLGDIPSSEIQELYQKFKSSANYYNEQLQTVINNVGSFDSSCSSATSMMTTAGVVTTAATPILEPIPHSVGEFGYWNPTLAWSDLPTPNGAFH >Ma06_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6962429:6965944:1 gene:Ma06_g10030 transcript:Ma06_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGQYTGTSDLQLERVNVYYNEASCGRYVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMLLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEEYYEDEEEEVPQEM >Ma03_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9535558:9541575:1 gene:Ma03_g12360 transcript:Ma03_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVFWSLIALLLIASPILQVVICQSDEDVAATEVVEGSDLGIVGDDTQVFGDGAFGPAPGVDTICVFPKNAARLVPAGEETELLVGLHNEGESALKVVAIHASLHLPFDHHMFVQNLTLQEFYNASVPVSAQATFPYVFVVSKYLQPGTFDLVGTIVYEIDQQPYQNVFYNGTIEVIEAGGFLSIESVFLVTLGVALIGFLGLWAYGQIQQFSKKTKKPAKVEVGTGTTAADMDEWLQGTAYAQSLSSKSKKKK >Ma08_p33720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43947592:43948337:1 gene:Ma08_g33720 transcript:Ma08_t33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYVLLLLAALLALASSPAMARDPGALQDLCVADNTSNVFVNGFVCKDPKLVKAEDFFFSGLDQPRNTSNKVGSNVTLLNANRIPGLNTLDISMARVDYAPFGLNPPHIHPRATEIQTVLEGSLYVGFVTSNPDNRLVTKVLRKGDVFVFPQGLIHFQFNYGTNKAVALSGLSSQNPGVITIANSVFGSKPAISDDILAKALGVDKKIVDRIQAHF >Ma11_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5582260:5584829:1 gene:Ma11_g06990 transcript:Ma11_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTVDDDIETLIGVHRRFEDADAAGSRASLRWLRPVNRVRWARRNVGERRCCEALRCRGDGGAIGTRWGRRRRVRPSRHVANSICRMDESLAARMSEAAAGNEEATAAGNEEAAEGSALADFRSMEEELTAVGMESRNQEILRRMESLITTVEYHRASRRLEELSLFSPPA >Ma03_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28603489:28604178:-1 gene:Ma03_g24360 transcript:Ma03_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALRRTRPAPMEKPSNSSTATTEESSWASYIADFVATQQAEESMRASKKLIPKRRKTAAEDDDPLEDTATSPVKTRRVGVSVQSHMKPGKRKQTEVDDVGFVRSEELSKDADDVNSARSSCGRTDLRKKGLCLVPLSMVMHYLE >Ma05_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34998100:34998794:1 gene:Ma05_g23020 transcript:Ma05_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNIFHLDNLNSNISYLILTHVSFLLFFRLPGKAFADNQHIWLNGSQFANCKMFSRSLLAKSASIQTVVCIPIMDGVLELGTTDLVSSI >Ma10_p29120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35801922:35807597:-1 gene:Ma10_g29120 transcript:Ma10_t29120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKALRDLNVLPSSGLDKNSDISGKGSLAKSYIENINDNGHELQKKNSPLFSATLNKVDIVKSNGLETVNTEVEYIDSENLADIADVDASFNTLLARLDSKDWVSTCEALNNVRQFSIYHKQKLLEIFGAVIRLIVKSLKCPRSAVCKTALMASADIFKAYNDIIIDSIDPLLVQLLLKSSQDKRFVCEAAEVALVSMTTWVSPMLLLPKLLPYLTNKNPRIRAKASMCLSRSVPRLGMEGIKAYGIDKLIQIAAAQLSDQLPESREAARALAMQLQAIYVDSQVSQCEDSVKLVDADSWEAFCHAKLTPLSAQAILRVTATPKEGLALS >Ma10_p29120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35801922:35807598:-1 gene:Ma10_g29120 transcript:Ma10_t29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDCTSGSQIFQIEKVKMSGKALRDLNVLPSSGLDKNSDISGKGSLAKSYIENINDNGHELQKKNSPLFSATLNKVDIVKSNGLETVNTEVEYIDSENLADIADVDASFNTLLARLDSKDWVSTCEALNNVRQFSIYHKQKLLEIFGAVIRLIVKSLKCPRSAVCKTALMASADIFKAYNDIIIDSIDPLLVQLLLKSSQDKRFVCEAAEVALVSMTTWVSPMLLLPKLLPYLTNKNPRIRAKASMCLSRSVPRLGMEGIKAYGIDKLIQIAAAQLSDQLPESREAARALAMQLQAIYVDSQVSQCEDSVKLVDADSWEAFCHAKLTPLSAQAILRVTATPKEGLALS >Ma10_p29120.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35801922:35807599:-1 gene:Ma10_g29120 transcript:Ma10_t29120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKALRDLNVLPSSGLDKNSDISGKGSLAKSYIENINDNGHELQKKNSPLFSATLNKVDIVKSNGLETVNTEVEYIDSENLADIADVDASFNTLLARLDSKDWVSTCEALNNVRQFSIYHKQKLLEIFGAVIRLIVKSLKCPRSAVCKTALMASADIFKAYNDIIIDSIDPLLVQLLLKSSQDKRFVCEAAEVALVSMTTWVSPMLLLPKLLPYLTNKNPRIRAKASMCLSRSVPRLGMEGIKAYGIDKLIQIAAAQLSDQLPESREAARALAMQLQAIYVDSQVSQCEDSVKLVDADSWEAFCHAKLTPLSAQAILRVTATPKEGLALS >Ma10_p29120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35801922:35807649:-1 gene:Ma10_g29120 transcript:Ma10_t29120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKALRDLNVLPSSGLDKNSDISGKGSLAKSYIENINDNGHELQKKNSPLFSATLNKVDIVKSNGLETVNTEVEYIDSENLADIADVDASFNTLLARLDSKDWVSTCEALNNVRQFSIYHKQKLLEIFGAVIRLIVKSLKCPRSAVCKTALMASADIFKAYNDIIIDSIDPLLVQLLLKSSQDKRFVCEAAEVALVSMTTWVSPMLLLPKLLPYLTNKNPRIRAKASMCLSRSVPRLGMEGIKAYGIDKLIQIAAAQLSDQLPESREAARALAMQLQAIYVDSQVSQCEDSVKLVDADSWEAFCHAKLTPLSAQAILRVTATPKEGLALS >Ma07_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27428592:27429060:-1 gene:Ma07_g19420 transcript:Ma07_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEENRQFRQSHRTELGGDEERRALKNAWPEVVGLTAEDAEKRIKDDKPESHVQVVPPNHFVTADYNEGRVRIFIDLSGRVLKAPVIG >Ma11_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24292469:24293468:-1 gene:Ma11_g19430 transcript:Ma11_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) UniProtKB/Swiss-Prot;Acc:Q9STY0] MSDTVDKLVVFLAKRDGIDKLVKTFQYVSKLAHWHAESAHPGLAGRAKSWEVASGLSRKAFRSGRFLTGFNTLRRSPGSTPLLRFLAVFANAGEMVYFFFDHFLWLSRIGALDASLARKMSYISAFGEALGYVFFVVIDFIMIRKGLAEQKKVEKAGGGGGKEESKKIRAERVMRLMAVAANVADFVIALADIAPNPFCNHAVTLGISGLVSAWSGWYRNWPS >Ma08_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7733711:7737517:1 gene:Ma08_g10570 transcript:Ma08_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLREGRSLLLKVRNPVMPVVLNMDLVPDGKREKVSNVSEVKETDVLKSLHSERNVAVALDYFRSVAVSRGFRHTRLTYQIMIEKLGRESQMDSVQYLLQQMKLQCLPCSEDILVSVISSYARIGSADQALKTFYRIQDLGCRPTVRIYNHLLDSLLKDNRFSMINPIYSNMKKEGIEPNVFTYNILLKALCQNDRVDVAYKLLIEMANKGHSPDSVSYTTIVSSLCKSGKLNEAKVLVTCSTPCVPTYNALINGMCEELRMKEALCFVDDMIARGLFPNVITYTTILNAFCKVREMRLSLAILAQMLVKGCAPNILTFTSLIKGFFEEHNISEALDVWDRMIRDRCMPNVVAYNVLVHGVCSTGNLKSALSVFDEMIQNDFPPNIRTYGSLIDGFSKQGDLEGAMSTWNKMMDSGCKPNVLVYTYMVEAFCKNSMFDHAINLIQNMMLEDCPPNTVTYNTLIKHLCDSGRVGWAMSIIDDMKIQRCQPNTRTYNQLLDGLCREGNFKEAFTLVNDMLVNGIELTLVTYNTIIHGITQAGMIEEALLLTGKMIVQGVQPDSLTINGIIHAFCEEGKVTNAARLLGLMNTENCYRDVFSYTRLISGLCNQQNLEESMVYLLKMLSEGIFPNVATWNVLVRSISMNMDYSSSIQLVNHILN >Ma03_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5825682:5826765:-1 gene:Ma03_g08080 transcript:Ma03_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDLISPRDNTHRPSTSVFWPDCTDCHCIPPPLEKVQRKRERKNLYRGIRHRPWGKWAAEIRDPVKGVRVWLGTFATAEEAARAYDREARRIRGKKAKVNFPNDDPLPNPPRRRPAATVSSYEARWLSDQPTTAAAHASYTMNLYEVPYMESVVPSTGPPGMEMLWSFDDVTPSSAPL >Ma09_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5944854:5945159:-1 gene:Ma09_g08940 transcript:Ma09_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMGRVHCSCVAVLLLLVSAAAAGRDVEEAHLRQLHGTIGRMHEAEEWSLGSEIGRRILQSTQTSISYGALQKDRVPLQAPQRGNAYTRGCQAQYYCKS >Ma09_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9184261:9188227:1 gene:Ma09_g13550 transcript:Ma09_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYLEDAPVGHGSPKTSKNIRKRSFDVADGNANDVNNHSTASPSNHLVLYDPGTSGTSQDAHAVSDLMDYHTSTSRNFLAPISSGRTFPSIGTFTVQCDLCFKWRIIPTKEKYEQIREHILEEPFICEHAREWRPDISCEDPEDISQDGSRLWAIDKPNIAKPPPGWERLLRIRGEGGTKFADVYYAAPSGKRLRSMVEIQRYLLEHPEHGQGVTLSQFSFQSPRPLQENYVRKRPARLTNSCNDPDTVLPRPTEPEEVNPLSWAAPPTHKELLTGGPASSSPRQNEDPTPTAATPSSEQMCGSSLNDQPKMKLEDGDHSRNPFEV >Ma09_p13550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9180895:9188227:1 gene:Ma09_g13550 transcript:Ma09_t13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQQIWLRTILHQAIAKVSLHQILDLAIHRSHNRAKFSFFDQVLLSQMQTYLEDAPVGHGSPKTSKNIRKRSFDVADGNANDVNNHSTASPSNHLVLYDPGTSGTSQDAHAVSDLMDYHTSTSRNFLAPISSGRTFPSIGTFTVQCDLCFKWRIIPTKEKYEQIREHILEEPFICEHAREWRPDISCEDPEDISQDGSRLWAIDKPNIAKPPPGWERLLRIRGEGGTKFADVYYAAPSGKRLRSMVEIQRYLLEHPEHGQGVTLSQFSFQSPRPLQENYVRKRPARLTNSCNDPDTVLPRPTEPEEVNPLSWAAPPTHKELLTGGPASSSPRQNEDPTPTAATPSSEQMCGSSLNDQPKMKLEDGDHSRNPFEV >Ma09_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2163072:2167544:-1 gene:Ma09_g03170 transcript:Ma09_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPERAYDEEGTELLLVESGERERSWRLNFDGFRPSEPREKPPPRGLHDCLGVLGTGDVVAEYYQQQEEMLEGFNEMDALTDRGFLPGMSKEEREKIAKSETMAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTACQMQSRNPYQYPIGKRRMQPLGILVFASVMATLGLQIILESVRSLMSDDNEFSLTKEQETWLVDIMLSVTLVKLGLVLYCRSFTNEIVKAYAQDHFFDVITNIIGLVAALLANYVEDWIDPVGAVILALYTIRTWSMTVLENVNSLVGRSAAPEYLQKLTYLCWNHHKSIRHIDTVRAYTFGSHYFVEVDIVLPSEMPLREAHDIGEALQEKLEQLAEIERAFVHLDYEFTHKPEHAQSHDD >Ma08_p01030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:943503:952418:1 gene:Ma08_g01030 transcript:Ma08_t01030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPALLADTSLALPTDAVIDRYPTLSINADAPPSHSPPPRQCPPRVVDGSKETETSLDFANERSESDKLVDSMDFGELCNEFECISSPQVESTARQLARDILEMREGNRALGSFSVSVKYKDPVRSFVGREKYKRPLWATDALENPSVTVQEMVMLSTSVLSIKWTLKGKPKNPAIASIGGDLQVGVDSRFTLNQISGQVIEHEESWDLSQSSPVAQWYFWISRRLFSVVESVKDTVDVAKNTATRLSTQKEDLEIYPDPSGDPTKFFQRDDDLQRDAYQIALFLAVIYLVVQFLRTTL >Ma08_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:942719:952418:1 gene:Ma08_g01030 transcript:Ma08_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPMPSAALSSALSPPPSPGGPLGRRPLLLSTTSATTSSSSSRPRARCRLLRARVVDGSKETETSLDFANERSESDKLVDSMDFGELCNEFECISSPQVESTARQLARDILEMREGNRALGSFSVSVKYKDPVRSFVGREKYKRPLWATDALENPSVTVQEMVMLSTSVLSIKWTLKGKPKNPAIASIGGDLQVGVDSRFTLNQISGQVIEHEESWDLSQSSPVAQWYFWISRRLFSVVESVKDTVDVAKNTATRLSTQKEDLEIYPDPSGDPTKFFQRDDDLQRDAYQIALFLAVIYLVVQFLRTTL >Ma03_p33020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34560033:34563430:1 gene:Ma03_g33020 transcript:Ma03_t33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPKNILITGAAGFIASHVANRLVWNYPEYKIVVLDKLDYCSNLKNLNLSRSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKMIPKFILLAMRGQPLPIHGDGSNVRSYLYSEDVAEAFEVILHRGEVGHVYNIGTKRERRVIDVARDICALFSLDPVKVIQFVENRPFNDQRYFLDDQKLKNLGWSERTAWDDGLKKTMEWYMSHPDWWGDVSGALLPHPRMLMMPGIERHTDGSEETKSMSSQSTTTNSQNRMVVPAARSSVVPPKKPYLKFLIYGRTGWIGGLLGKICEKQGIPYEYGRGRLEERSHLILDIQNVKPTHVFNAAGVTGRPNVDWCESHKQETIRTNVVGTLNLADVCRDHDLLLMNYATGCIFEYDAKHPERSGIGFKEEDKPNFIGSFYSKTKAMVEELLREYENVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGVVSHNEILEMYRSYIDPSFKWTNFTLEEQAKVIVAPRSNNEMDATKLKREFPELLSIKDSLIKFVFEPNKKVLSH >Ma05_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30676353:30686611:-1 gene:Ma05_g19960 transcript:Ma05_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDEISEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKEIKELAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Ma06_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12659369:12661040:-1 gene:Ma06_g18510 transcript:Ma06_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g10330 [Source:Projected from Arabidopsis thaliana (AT1G10330) UniProtKB/Swiss-Prot;Acc:Q9SY75] MPCFELLLQHLHSHPQRLHQIHAVLITTGFHLASPPRGLLPIRQGSSAVFFYNCLIRACLRHRDRPDISLRLFAQMLAHGVRPNRYTFPSLLKAAAGDAASSPSSGRAIHAQAVRRGLLLDDFTNCSLVDFYARVGDLDSARKTFDELPQPDLASRNSMLHALCVHGDLAAAIALFESMVDGNVISWTSLINGYARNGEFHEAIDLFRRWIIQKDVPLRPNEAMLVSVLSACANLDHHIALFRGLEIHGFIVRNEAPLTGFVGTALIDMYSKHGHLDYCTDVFQATREKEVCTWNAMISALARNGRAASALQLFDAMTASGLRPNHVTFVAVLTACAMQKLVDQGLRWFESMSTEFGVVPLMEHYGCVVDLLGRAGFLKEAMEFIRRMPFEADASVWGALLGACKVHENVQLGDGVGKQLIELQPWPAGLYMVLRNIYAGAGRWDDAAGMKKALQNSGIKKPTGYSWIVSGDCV >Ma04_p37560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35412542:35415472:-1 gene:Ma04_g37560 transcript:Ma04_t37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWRERENNRRRERRRRAVAAKIYTGLRMYGNYKLPKHCDNNEVLKALCDEAGWSVEPDGTTYRKGCKPPAEHMDIVGGSNSHSPYSSNQPSPCTSYNPSPASSSYASPASSSYIVATNNSINAADANSLIPWLKNLSSASTSDLTRFLHQHPFSMHGGSISAPVTPPLSSPTAQTPHIKCDWDGSNAQPSWTNTSYTFLPNSTPPIHGHQVIPDPAWIAGLQIETVSPSSPTFSLVSSNPFSFSGGGSSRMWTPGQSGTSSPALPGMPHNVGIQMSDGISQEFAFGSSATDDNPIATLVKPWEGERIHEECGSDDLELTLGSSRTRADS >Ma08_p27400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39643230:39649596:1 gene:Ma08_g27400 transcript:Ma08_t27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolycopene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06820) UniProtKB/Swiss-Prot;Acc:Q9M9Y8] MLRMGPQLLTPSPAPDADGFIRRCLGSPPPALWRCCFGRRRAVRPAFRRAGRSPTAVLTPERAAEREMSGGGMEREYDAIVIGSGIGGLVAATQLAAKGAKVLVLEKYVIPGGSSGYYERDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGHKMQVIPDPTTVHFHLPGELSVRVHRGYNEFIAELINKFPHEKEGIHQFYNECWKIFNALNSLELKSLEEPLYLFGQFFKKPLECLTLAYYLPQNAGDVARKFIKDPQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPIGGVGGIAKALANGLVDKGSNILYKSNVTSILLNNGKAVGVRLSDGREFFATTVISNATRWDTFGKLVKMDDLPEEEKNFQRIYVKAPSFLSIHMGVKATVLPPDTDCHHFILEDDWANLEKPYGSIFLSIPTVLDSSLAPEGHHILHIFTTSCIEDWKGLGSKDYEKKKELVADKIISRLETELFPGLKSSIVLKEVGTPKTHRRFLARDNGTYGPMPRKVPKGLLGMPFNTTAIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADIGLEKRSPILDAALLRFLGWLRTIA >Ma09_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4217979:4218732:1 gene:Ma09_g06580 transcript:Ma09_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFRFYKEASAIHKSSLIDPNAHSYCVHVEAIFNEGARNGLGPLSLRQSPARFIIT >Ma10_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28232256:28236523:-1 gene:Ma10_g16580 transcript:Ma10_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCLRRALQSRSLLLLRAPRCVVALSPSSCVSPRDPALEEASVLGIRGGFFRVGSDYSLGSRRGFAKGKKSKDDNNDEKIQVDPNFGPTVKSTSLSQMEAAIVALSRELSKLRTGRASAGMLDHILVETGGAKMPLNHVAVVSVIDSKTLSVTPYDPTALKAVESAIISSPLGLNPTPDGQRLIAAIPPLTKENMQALCKVVTKSSEDVKQSIRRARQKAQDSIKKVAAGFPKDDIKRLEKEIEEMTKRFVKTADDMCKAKEKEITNS >Ma07_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6403016:6403974:1 gene:Ma07_g08600 transcript:Ma07_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDGNSTAKFFSIVEKKIYKIQCLEPMIGKRIYVGSCHGWLATLDGRCNMHLLNPLTGAQIPLPSVLTLPFIRDINNLAGQITNFNVEQDYNQYCLWRKFIQKVVLSKAPDADNDFTIMMIYSHCSRLAFARAGDKAWTPISSPYYYSDIIYHNAKFYTINFQQVVETWEPDELAFKHIIFISDLSSYDLSRGIYYLVESLDSNLMLVHKNKKILGYTNNPKSIMCTIFSLDEETCKWKRVNNLHEQTLFIGKNQSICLSTIDFPELKQNCIYYTNDMLDICGSYRYTRRRIGIFCLEDEMTRPIDHLGYHHWPPLL >Ma08_p14320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12101739:12111062:-1 gene:Ma08_g14320 transcript:Ma08_t14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKNGDREVTLVIPWLSIKDQELVYPNNITFNSASEHDSYVRHWLEARIDFQSCFNIKFYPSKFSRDKRSILPVGDITETIPDEEADIAILEEPEHLNWYHHGRRWKTKFRQVIGIIHTNYLEYVKREKNGPLLSLVVKYANTWVTRIYCHKIIRLSAATQDFPRSVICNVHGVNPKFLEIGKAKLAHLQRGELAFTKGAYYIGKMVWSKGYKELLKLLSKYQQKLTGVQVDLYGSGEDSDQVQQAAEMLSLAVRVYPGRDHADPQFHDYKVFLNPSTTDVVCTTTAEALAMGKIVICANHPSNDFFKQFPNCYIYNDDDDFVKLTIKALAEEPSPLPDHLRHQLSWEAATERFLTAAELDPVVPEKSPASSSKPFFSSSFKSRKSKRNLEEASVYLHQKISGIEVARRAFGAIPKSLKPDDQLCKELGLVIAEKKPSRRR >Ma08_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12101739:12111061:-1 gene:Ma08_g14320 transcript:Ma08_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKNGDREVTLVIPWLSIKDQELVYPNNITFNSASEHDSYVRHWLEARIDFQSCFNIKFYPSKFSRDKRSILPVGDITETIPDEEADIAILEEPEHLNWYHHGRRWKTKFRQVIGIIHTNYLEYVKREKNGPLLSLVVKYANTWVTRIYCHKIIRLSAATQDFPRSVICNVHGVNPKFLEIGKAKLAHLQRGELAFTKGAYYIGKMVWSKGYKELLKLLSKYQQKLTGVQVDLYGSGEDSDQVQQAAEMLSLAVRVYPGRDHADPQFHDYKVFLNPSTTDVVCTTTAEALAMGKIVICANHPSNDFFKQFPNCYIYNDDDDFVKLTIKALAEEPSPLPDHLRHQLSWEAATERFLTAAELDPVVPEKSPASSSKPFFSSSFKSRKSKRNLEEASVYLHQKISGIEVARRAFGAIPKSLKPDDQLCKELGLVIAEKKPSRRR >Ma06_p30910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32132945:32134051:1 gene:Ma06_g30910 transcript:Ma06_t30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MNHKNVERELNLFLFCVTHSFLWVNVFLLNLLVLSRRLLSFAVTLAMASTASPSFSPLFCGRKQATPALRQMHVVAAAPDSSGEPTRKRRVDTRIHWSSPDEGWVGGKTKPDTGASEKKEYLGERFADLINKSTASHYQFLGVSAEADMEEIKAAYRRLSKEYHPDTTSLPLKAASEKFIQLREAYNVVSNEDSRRFYDWTLAQEAESRRLRQMRMKLEDPYEQDLRNWQSVPDTVDRLGGKNMKLSDQAMTALTIDIGIILFTICCIIYVVLFKESY >Ma05_p02380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1529908:1542125:1 gene:Ma05_g02380 transcript:Ma05_t02380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASFSHSWLFRDQLVGNLRSVDFGIYVKPCSRKFQTPFVGVNNSRKQNILIQSSPSSWKKDKIFHEIKCANKDGKFDPSSIEPPPYYSYMDSTSGQLEPASGARASIPGREYWPEGTASRVAAARAPEPPGKSDGKPSYGKNPGSRRRKYKGQATASEAAETISDMSNQPVLETSEPDGSVDEPKDPSEAYVIYQTEPEQENMSSYELDKEMGLPHPFVDPALDKPIEEPRTSEDLWWNWRKPEKEQWSRWQRRRPDVDTVFAKAMAETGQIELYGDHPTQTETALARARRHIFKEERLLAEQRRLEEIGPIAYYSEWVKAWDRDISREAVQKHYEETGEDENIQLIEMFEHQTAEEYRIMMGTDIRIKRDPLAMRMREDLIKEIWGGDPVYPTINYIQDPDEVIDYRGPDFHEPTPNMLAYLMEQGKIIPRQELEKILAKEKTQELEVTDMDEAMASAVDIGEDDDEDESEEEDEKVEEKITRNWSVLKTTPQLHNSKEKPKKEGSMSLEEAIDDSENLTDFLMDFDEEE >Ma05_p02380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1530828:1542125:1 gene:Ma05_g02380 transcript:Ma05_t02380.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MDSTSGQLEPASGARASIPGREYWPEGTASRVAAARAPEPPGKSDGKPSYGKNPGSRRRKYKGQATASEAAETISDMSNQPVLETSEPDGSVDEPKDPSEAYVIYQTEPEQENMSSYELDKEMGLPHPFVDPALDKPIEEPRTSEDLWWNWRKPEKEQWSRWQRRRPDVDTVFAKAMAETGQIELYGDHPTQTETALARARRHIFKEERLLAEQRRLEEIGPIAYYSEWVKAWDRDISREAVQKHYEETGEDENIQLIEMFEHQTAEEYRIMMGTDIRIKRDPLAMRMREDLIKEIWGGDPVYPTINYIQDPDEVIDYRGPDFHEPTPNMLAYLMEQGKIIPRQELEKILAKEKTQELEVTDMDEAMASAVDIGEDDDEDESEEEDEKVEEKITRNWSVLKTTPQLHNSKEKPKKEGSMSLEEAIDDSENLTDFLMDFDEEE >Ma05_p02380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1529908:1542125:1 gene:Ma05_g02380 transcript:Ma05_t02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASFSHSWLFRDQLVGNLRSVDFGIYVKPCSRKFQFQTPFVGVNNSRKQNILIQSSPSSWKKDKIFHEIKCANKDGKFDPSSIEPPPYYSYMDSTSGQLEPASGARASIPGREYWPEGTASRVAAARAPEPPGKSDGKPSYGKNPGSRRRKYKGQATASEAAETISDMSNQPVLETSEPDGSVDEPKDPSEAYVIYQTEPEQENMSSYELDKEMGLPHPFVDPALDKPIEEPRTSEDLWWNWRKPEKEQWSRWQRRRPDVDTVFAKAMAETGQIELYGDHPTQTETALARARRHIFKEERLLAEQRRLEEIGPIAYYSEWVKAWDRDISREAVQKHYEETGEDENIQLIEMFEHQTAEEYRIMMGTDIRIKRDPLAMRMREDLIKEIWGGDPVYPTINYIQDPDEVIDYRGPDFHEPTPNMLAYLMEQGKIIPRQELEKILAKEKTQELEVTDMDEAMASAVDIGEDDDEDESEEEDEKVEEKITRNWSVLKTTPQLHNSKEKPKKEGSMSLEEAIDDSENLTDFLMDFDEEE >Ma05_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1529908:1542125:1 gene:Ma05_g02380 transcript:Ma05_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASFSHSWLFRDQLVGNLRSVDFGIYVKPCSRKFQFQTPFVGVNNSRKQNILIQSSPSSWKKDKIFHEIKCANKDGKFDPSSIEPPPYYSYMDSTSGQLEPASGARASIPGREYWPEGTASRVAAARAPEPPGKSDGKPSYGKNPGSRRRKYKGQATASEAAETISDMSNQPVLETSEPDGSVDEPKDPSEAYVIYQTEPEQENMSSYELDKEMGLPHPFVDPALDKPIEEPRTSEDLWWNWRKPEKEQWSRWQRRRPDVDTVFAKAMAETGQIELYGDHPTQTETALARARRHIFKEERLLAEQRRLEEIGPIAYYSEWVKAWDRDISREAVQKHYEETGEDENIQLIEMFEHQTAEEYRIMMGTDIRIKRDPLAMRMREDLIKEIWGGDPVYPTINYIQDPDEVIDYRGPDFHEPTPNMLAYLMEQGKIIPRQELEKILAKEKTQELEVTDMDEAMASAVDIGEDDDEDESEEEDEKVEEKITRNWSVLKTTPQLHNSKEKPKKEGSMSLEEAIDDSENLTDFLMDFDEEE >Ma07_p24330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31724599:31730167:1 gene:Ma07_g24330 transcript:Ma07_t24330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSSGSLQTDPSNRKLCSCSIFHAAAFLCMVFVVGTSFVAFDYKEMSAEIPTDAVEITRGNLQTDLSKLQTPRANSWSHESTQSKSCESPCISSGSEPLPKGIVMRKSDLEMVPLWGPPKAKESVSSQKSLLAIPVGIKQKEIVNKIVTKFASHDFTVMLFHYDGVVDEWKDLQWSEGALHISAINQTKWWFAKRFLHPDIVAPYRYIFLWDEDLEVQNFHPERYLSIVEREGLEISQPALDPAKSQIHHQITARLRKGHVHRRMYKFNGGGKCSKKSSSPPCTGWVEMMAPVFSRAAWRCAWHMIQNDLIYAWGLDMNLGYCAQGDRTKKVGVVDSEYIVHTGLPTLGGSDEKMGSSDLHAANHRFAVRRRSYVELEIFRNRWQKAMAEDKCWTNSYPEH >Ma07_p24330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31724599:31730167:1 gene:Ma07_g24330 transcript:Ma07_t24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSSGSLQTDPSNRKLCSCSIFHAAAFLCMVFVVGTSFVAFDYKEKMSAEIPTDAVEITRGNLQTDLSKLQTPRANSWSHESTQSKSCESPCISSGSEPLPKGIVMRKSDLEMVPLWGPPKAKESVSSQKSLLAIPVGIKQKEIVNKIVTKFASHDFTVMLFHYDGVVDEWKDLQWSEGALHISAINQTKWWFAKRFLHPDIVAPYRYIFLWDEDLEVQNFHPERYLSIVEREGLEISQPALDPAKSQIHHQITARLRKGHVHRRMYKFNGGGKCSKKSSSPPCTGWVEMMAPVFSRAAWRCAWHMIQNDLIYAWGLDMNLGYCAQGDRTKKVGVVDSEYIVHTGLPTLGGSDEKMGSSDLHAANHRFAVRRRSYVELEIFRNRWQKAMAEDKCWTNSYPEH >Ma08_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36709400:36713257:-1 gene:Ma08_g23320 transcript:Ma08_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRCLPLLPLLLSSLILCLASASASASTSPPRRRLLDTNPPPSGPKNIQTFFPSLPSPAFQNIPPPPAAPPSLPPAPPPTVLKTSNSNVKKAVAITAASSFGLCGLLFVTFLFLSVRQRKVEVGNGGNSTLNERLQSKPKLQPTRSLIVDENGLDAIYWREFNQKRCQHCHHVLDPSGLIEEKEGGVADHSPPRNDRKIQEKPLLPAGSIRSSSQSFASEQSSASPFSAAAAPMRRSSKHVAASEQQSLPPPPGRLTSSSSPQHPPPPPSHSPPQASNSAPPGPPPPPPPPPPAMKSSAAPRPPPPPLPPVRKSNAVPPQGTKSNTLAPQSAPPPPPPPGGSRPSSGPSSRPPPVPGQSSAAIGAEGGPKKLKPLHWDKMNPINPQHSMVWDKITDGSFKFDEDIMEALFGTMATNKKSSNAAKDQGKGAASSTNGGPVTPTQISLLDSRKSQNIAIVLRSLALSRQDILDALVEGRGLPADVLERLTKIAPTKDEETLIRDYTGNPAKLADAESFLFHILRAVSSPFLRLEAMLFRTNYEHEVAHLKQSLQTLELACKELKSRGLFLKLLEAVLKAGNLMNAGTARGNAQAFNLSALCKLSDVKSTDGSTTLLHFVVEEVIRSEGKRLVVNRNHSLRQSGISGPTLDRTMSRAAREEREKEYIKLGLPIVGGISDEFANAKKAAGIDYDVLAGTCASLGARLAEIRRFVDTCSGDGFVIEMRAFMGGAEEELKAVRGEQARVLELVKSTTEYYQPGASKDKGSHPLQLFVIVRDFLNMVDKACVDIARNLQRRRPADAGSASKAGSKAVSVAADQGSESGRKPMARFPYLPPNFMSENSKSDSSSDDDDGPS >Ma03_p25390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29445100:29449340:1 gene:Ma03_g25390 transcript:Ma03_t25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGLILARGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEILEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKTVARLIAAQDSLVEAAKEQASSVSNMIAQVTK >Ma03_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19187416:19188694:-1 gene:Ma03_g16620 transcript:Ma03_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQVNHNGVGPTAAMVPTYPPPESETEESWVWAQIKAEAHRDADSEPALASFLYATVLSHPSLARSLAFHLANKLCSSTLLSTLLYDLFLHSFSSSPSLISAVVADLLAVRHRDPACASFSHCLLNYKGFLAVQAHRVSHHLWTQNRRPLALALQSRIADVFAVDIHPAARIGKGILLDHATGVVVGETAVIGNNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNIRIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKDKPARHDDLPSESMDHTSFIQEWSDYII >Ma01_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1455343:1463646:-1 gene:Ma01_g02180 transcript:Ma01_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSTELPESRSLALTPTWSVAAVLTIFVAVSLLVERSIHRLSSWLKKTHRNPLFEALEKMKEELMLLGFISLLLTATSRTISNICIDSKFYNSNFSPCTRDEVEDVVNTENSPPQHHKQLMGIVHHLSFKRTLTELSRKTCSEGHEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHTWRDWEEEARRDHYDCYGAEITRALQIRRQSSFMKIHSSNSWTRNKFVIWVTCFFRQFGRSVVRADYLTLRRGFMLNHHLISTYDFHSYMIRSMEEEFKRIVGVSAPLWGFVVAFMLFNINGSNLYFWIAIIPVTLVLLVGAKLQHVIATLALESAGLSEFGGRLKPRDDLFWFKKPELLLSLIHFILFQNAFELASFFWFWWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPLYALVTQMGSNYKAALLPQRIRDTIHGWGKAARKRRKHRRGMDESTIHTETSTVCSIEEDEQELLEDPSDHSYIRIELQPSSTITTTITDSDHPTVRNGGSTYINDDVALLHSLSVPSSPALSGRGNGISRCASMPNWRGSRDA >Ma06_p36340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35787658:35789742:1 gene:Ma06_g36340 transcript:Ma06_t36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIERAASFLADLEMGSVLAAEPSPAGAAPALVLSSSMDKKKKKYVKQVTGRHNDTELHLAARRGDLAAVRRILGDVVAQVAGMTSEVTELEAVTAEMVSAVVNETNEFGETALITAAEKGHLSVVAELLQYSDKENISRKNRSGFDAFHVAVKEGHRDIVQLLLGHDPTLGKTFGPSNVTPLISASARGHTEVIKQLLAQNFSMVEVAKRNGKNALHFAARHGHVEAVKALLDKDPQLARRTDVKGQTALHMAAKGTNHDVIKALVDSDPAIVMLPDKNGNTALHVATRKKRAEIVNLLLLLPDTHVNALTRDHRTAYDIAEELPLSEESTYIKECLSRYGALRAKELNQPRDELRKTVTEIKKHVHTQLEQTRKTNKNVYGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGSEDDGIAVAVHATAFRVFFIFNAIALFTSLAVVVVQITLVRRETKSERRVVEVINKLMWLASVCTTVAFLTSTYIVLGRRLQWAAILVTLIGGVIMAGVLGSMTYFVVKSKRIRKIRKKEKSSKRSGSTSWHHTSDFSDSDFNPIYAI >Ma10_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29767561:29769044:1 gene:Ma10_g19050 transcript:Ma10_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRCSSWSTRNSVRMWLHGFVFMTGRIHSGGSPANRTGKSFTYRREDKLLAFQVWSLIKSTGMI >Ma01_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11977240:11978387:-1 gene:Ma01_g16530 transcript:Ma01_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSFLPLSLCSKRGIATLLTCESQDNTHTHTRDLICCDDCPQHHRVYNWTRSEALLLPRNLSMDCHGTRSEVELELELAHARIEELKAELEQERRMRCKAESTSKALARELAEERRAREAAEGLRRRLEEELASRQEEVERVTREIEEERRMLQIAELWREERVQIKLLEAKLVMEEKLQQMTTTTTTMTTTAAGQGKREGENKGGDQSQSGQHGQQRREVENPHIRRGIKGFVEFPKVGRTQRPAKEGRVALGESNLECQRAQLRILLRQRNHGGLVGTSLHFVT >Ma04_p27130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28394467:28395955:-1 gene:Ma04_g27130 transcript:Ma04_t27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDLTIGSSDNTSSPARCKPNRIRIFKLGPIHLVCIAPPRQGSGDLLRLPFSRISEMGAVESEATEVIGSFLSDTAVKVFIAGHRGLVGSAIHRKLLALGFTNLLLRTHSELDLTRQADVEAFFAAERPRYVIVAAAKVGGIHANSTYPADFISVNLQIQTNIIDAALRCAGGAVRKLLFLGSSCIYPKLAPQPIPESALLSGPLEPTNEWYAVAKISGIKMCQAYRIQHGLDAISAMPTNLYGPHDNFHPENSHVLPALIRRFHKAKISGAKEVVVWGTGSPLREFLHVDDLADAVVFLMDRYSGLEHVNVGSGKEVTIKGLAEMVKEVVGFEGDLVWDSTKPDGTPRKLMDSSKLAGMGWEAKIPLRQGLADTYKWYVDNVIDH >Ma07_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8505260:8506522:1 gene:Ma07_g11450 transcript:Ma07_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLDYLSGFLCTGHKVKKKQFQTVELKVRMDCDGCELKVKKALSSINGVQSVDVDRKQQKATVTGYVEPNQVLRKAKATGNKAELWPYLPYSLVTHPYTAGIYDKKAPSGHVRNVECVAVVSDHGRKQEDQLADMFSEDNPNSCSIM >Ma09_p30800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40733489:40738936:1 gene:Ma09_g30800 transcript:Ma09_t30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVKTLMTRDVIRGSRESNTDSSGQFDREIGPLGSVSERQERKTGPVGCFPLLLFFARAIFPSYMLVCACGNIRASRLPSSLTFSLSNTHKIGQRVDTEMMWPAASVQNWWDEMNDSSKWQDVVFYFLCAAYSLVSAVALIQVVRIQLRVPEYGWTTQKVFHFMNFIVNGVRAVVFGFHKNVFLFRPRVFTLVLLDLPGILFFSTYTLLVLFWAEIYHQARSLPSDKLRIIYIIVNCGIYVIQVCIWIYLWIHDNRIVESIGSIFVAVLSFIAAVGFILYGGRLFCMLRQFPIESKGRQKKLHEVGSVTAICFTCFLVRCCVVGLSAFDTDMSLDVLNHPILDLIYYTLTEILPSVLVLYILRKLPPRRVSGQYHPIR >Ma08_p30570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41783216:41789955:-1 gene:Ma08_g30570 transcript:Ma08_t30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIGPGPAWFLPFRRRPGIPPRPASVLNHLRHRPWRPRRILDPGGDAVLRWNRIFLVSCLVALFVDPLYFYLLFIGGPACVRIDFNIGIIVTFFRTVADLFYLGHMLLKFRIAFVAPSSRVFGRGELVTDPHQIAMRYLKGDFFIDLIAMLPIPQIIIWFVIPAVSSSSANHTNNTLSLIVFIQYIPRLFLIFPLNARIVKATGVVTKTAWAGAAYNLLLYMLASHVLGALWYLLSIERQYTCWITECLAENVSTTTMPICNPSFLDCSSLELPERKAWRNSTLLLSNCDATNDGIKFNFGMFADALTSEIVAATFIEKYLYCLWWGLKNLSSYGQNLGTSTYIGETTFAILICIMGLVLFSHLIGNMQTYLQSITVRLEEWRVKQRDTEEWMRHRQLPPDLQERVRRFVQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDDQLLDAICERLVSSLSTKDTYIVREGDPVNEMLFIIRGQLESSTTNGGRSGFFNSITLGPGDFCGEELLTWALMPNPSVNFPSSTRTVRALSEVEAFALRAEDLKFVASQFKRLHSKKLQHAFRFYSYQWRTWGACFIQTAWRRYKKRKLAKELAAQEYLYYDQMVDDDESLVIEPDGAPLLLDYADGVEAPLAETEAGSNFQHLGVTILASKFAKNTKRGHQKVTQLTQAATSIKLPKLAKPDEPDFSMNNNDGDM >Ma08_p30570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41783216:41792183:-1 gene:Ma08_g30570 transcript:Ma08_t30570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIGPGPAWFLPFRRRPGIPPRPASVLNHLRHRPWRPRRILDPGGDAVLRWNRIFLVSCLVALFVDPLYFYLLFIGGPACVRIDFNIGIIVTFFRTVADLFYLGHMLLKFRIAFVAPSSRVFGRGELVTDPHQIAMRYLKGDFFIDLIAMLPIPQIIIWFVIPAVSSSSANHTNNTLSLIVFIQYIPRLFLIFPLNARIVKATGVVTKTAWAGAAYNLLLYMLASHVLGALWYLLSIERQYTCWITECLAENVSTTTMPICNPSFLDCSSLELPERKAWRNSTLLLSNCDATNDGIKFNFGMFADALTSEIVAATFIEKYLYCLWWGLKNLSSYGQNLGTSTYIGETTFAILICIMGLVLFSHLIGNMQTYLQSITVRLEEWRVKQRDTEEWMRHRQLPPDLQERVRRFVQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDDQLLDAICERLVSSLSTKDTYIVREGDPVNEMLFIIRGQLESSTTNGGRSGFFNSITLGPGDFCGEELLTWALMPNPSVNFPSSTRTVRALSEVEAFALRAEDLKFVASQFKRLHSKKLQHAFRFYSYQWRTWGACFIQTAWRRYKKRKLAKELAAQEYLYYDQMVDDDESLVIEPDGAPLLLDYADGVEAPLAETEAGSNFQHLGVTILASKFAKNTKRGHQKVTQLTQAATSIKLPKLAKPDEPDFSMNNNDGDM >Ma04_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9702221:9711743:1 gene:Ma04_g12850 transcript:Ma04_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDLLWNNFLHSSVENQDLPNDSLSPNIANISCGDVAGQESASFNIWDPVGPSSSAPPLQQGNHDELKLESSWTSSPIGNRAGGPRITGSRSEAVNTLSFENENTDLSRNHVNNGEALSQFLNLQGLRHNVGYNPEHVSMSRQVLESAHHPGVYNPGLVQHQHVSFTASSSRAIDFFSNNNGGKREVAQCSSHKRKNIEGMHGECSASGSSNNFNEGVNHAEEEINARISTITRVPASDPHPFGSVAGNDESFQRNTRMRINHADPANTSTPNLWPQENIITRHNLWSAHQLSSPDVPLNPSSELRLVGGNLGSRRQHYVHTTPGLFPDLYPIPQSEASTAEVGSSSGSPAIAVDGAAGVQNSSSVSNNISEQIYVLPANTRRSVRDQTNWGLTNGSTVFSGNALPTSQVGTNLGIHQSQGANWLPHQHRRRRVSEAPRRSVSSHTETRGRSISLLPHHDHSSIVQDVGHHQSGAVSRGHQQTYIRSNMLHRQNDGALGIPLSMRTLVAAREARSRISEIRNVFDLIRRGDSLLLEDVLLFEQSVFPRGANLHDRHRDMRMDVDNMSYEELLALGERIGTVNTGLTEEKILSNLRQWKYVSIAAEPSEEEVEPCCICREDYLEGEELGRLDCGHDFHTACIKQWLLIKNLCPICKTTALST >Ma04_p12850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9702221:9711700:1 gene:Ma04_g12850 transcript:Ma04_t12850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDLLWNNFLHSSVENQDLPNDSLSPNIANISCGDVAGQESASFNIWDPVGPSSSAPPLQQGNHDELKLESSWTSSPIGNRAGGPRITGSRSEAVNTLSFENENTDLSRNHVNNGEALSQFLNLQGLRHNVGYNPEHVSMSRQVLESAHHPGVYNPGLVQHQHVSFTASSSRAIDFFSNNNGGKREVAQCSSHKRKNIEGMHGECSASGSSNNFNEEEEINARISTITRVPASDPHPFGSVAGNDESFQRNTRMRINHADPANTSTPNLWPQENIITRHNLWSAHQLSSPDVPLNPSSELRLVGGNLGSRRQHYVHTTPGLFPDLYPIPQSEASTAEVGSSSGSPAIAVDGAAGVQNSSSVSNNISEQIYVLPANTRRSVRDQTNWGLTNGSTVFSGNALPTSQVGTNLGIHQSQGANWLPHQHRRRRVSEAPRRSVSSHTETRGRSISLLPHHDHSSIVQDVGHHQSGAVSRGHQQTYIRSNMLHRQNDGALGIPLSMRTLVAAREARSRISEIRNVFDLIRRGDSLLLEDVLLFEQSVFPRGANLHDRHRDMRMDVDNMSYEELLALGERIGTVNTGLTEEKILSNLRQWKYVSIAAEPSEEEVEPCCICREDYLEGEELGRLDCGHDFHTACIKQWLLIKNLCPICKTTALST >Ma06_p25870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26480404:26490309:1 gene:Ma06_g25870 transcript:Ma06_t25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDIMVAKISEDILHYGILPWLPCKYLSRFKSVSRKWNHLISHDLGFMQKQSSHGSPVSFGFVYKRPHGIILYPVDIPRELNICMPNSFFPTLPVGIIYNNILALVGHLLLVVLMKSNSKVYYVWNLATKVGHTIPIINDSQCLGLALDTSTTATAGYKLVNLVLGRWSDSEEYLFHIYSSATRRWMVSDHKLIIKDTLAFHGQIHPLSTKRVIYWDRTPYLLWFDVEKDVTGYMSLPTVNEGGGVRSQKLGVTYDEEILTVIRLLTNRAITIWMMAKKGGWPCTKLTAF >Ma09_p26570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37805974:37806728:1 gene:Ma09_g26570 transcript:Ma09_t26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVLLDFWASPFGQRCRIALAEKGVEYEYREEKIRGDKSPLLLESNPVYKKIPVLIHDSKPVCESLIIVLYIDEAWPDRAPLLPADRYARAQARFWADFVDKKVCESALNLWKLKGEAQEAAKEEFIEILRLLECELGDKKYFGGDVFGFVDIALVPFTTWFYSCETYAGFSVEEAVPKLVAWSKRCLERESVANSLYDPVKIYEYVKTIFG >Ma03_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5966122:5977855:1 gene:Ma03_g08280 transcript:Ma03_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSRGGGGDGGHHHHHHRHSSSSKQPLQVSSGNNAVSQTSGGERERVRGGGAGTTGGSSRGGGGASESAVSKAIAQYTEDARLHTVFEQSGESGKLFDYSQSVLRVAPSSSVPEQQITAYLSKIQRGGHIQPFGCTVAVEEPSFCIIAYSENAPDQLDLSPQSVPSLGGPQPPALALGADVRSLFTPSSAALLERAAAAREIALLNPLWIHSRTSRKPFYAILHRVDVGIVLDLEPARSEDPALSIAGAVQSQKLAVRAISRLQALPSGDIHLLCDTVVEHVRELTGYDRVMVYKFHDDEHGEVVAECKRDNLEPYIGLHYPATDIPQASRFLFKQNRVRMIADCHATPVRVIQDERLMQSLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGGDEEGGGTSSRAGPTKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAAQLSEKHILRTQTLLCDMLLRDTPTGIVTQSPSIMDLVKCDGAALYYQGKYWPLGVTPTEAQVKDIVEWLASCHGDSTGLSTDSLADAGYPGASALGDAVCGMAVAYITQIDFLFWFRSHTAKEIKWGGAKHHPEDKDDVQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRGTFRDAVDGTSNSKVIVNGQFGDLEMHGIDELSSVAREMVRLIETATAPIFAVDSDGRINGWNAKVAELTGLPVEEAMGKSLVQDLVFEEFADVVDKLLCRALRGEEDKNVEIKLKTFGSQKSEDAIFVVVNACSSRDFTNSIVGVCFVGQDVTEQKVAMDKFIHIQGDYKAIVHSPNPLIPPIFASDENTCCSEWNTAMEKLTGYSRGEMIGKLLVGEVFGSCCRLKGPDALTKFMIVLHNAIGEQETDKYPFSFFDKNGKFVQALLTANTRSNMDGQIIGAFCFLQIASPELQQALEVQRQQEKKCFSRMKELAYICQEIKNPLSGIRFTNSLLEMTELNDDQRQFLETSASCERQMMKIITDGNLQSIEDGSLALEKSEFLLGSIVNAVVSQVMILLRQRGLQLIRDIPEEIKVISVYGDQLRIQQVLADFLLNMIHHAPSPEGWVEIQVRPSLKHNSDGTEMVLLHFRIVCPGDGLPPELVQDMFHNSWWVTEEGLGLSTCRKLLKLMNGEVQYVRESVKCYFLVSIELPTSSRAQSRGS >Ma06_p25560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25923527:25924482:-1 gene:Ma06_g25560 transcript:Ma06_t25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVVPYKGGSEEAAEAAGGGGGGGRYRECLRNHAAAMGGQSYDGCGEFMPGGEDGSLEALKCAACGCHRNFHRREGISVAGPRPPPPLLLYGPGAPAAAWDHSKLVQSPPPQFPAFLPSPLPLTYHAMQPPPLAAAPPPPCREAAQDRSCRVGSETPPRREEAAAEAAGSRKRFRTKFTAEQKEKMQAFAEKLGWRVQKQDDVALDEFCLQIGVKRHVLKVWMHNNKNHLSSASSSSASHSVAAAAAAAAAAEPSTRDAASAAPIRV >Ma08_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3905893:3907105:1 gene:Ma08_g05750 transcript:Ma08_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEGTTGKRVVDEVSGWLRVFDDGSVDRTWTGPPEALFLMEPVPAFDTPRDGVTLHDLPGDPSLRLYLPSPPPPEGRREPLPVFLHFQGGGFCISRSSWFMYYQFYARLAASVPAAMVSVELPLAPEHRLPAAIDAGFAALLRLRDLARDPESELLRSVDFSRVFLIGDSSGGNLVHLVGAQAWEEEEAFWSPLKVAGGILLQPGFVRSTRSRSELELRSDSVFFTLDMLDKLLALGLPEGATKDHPYTCPMGEAAPPLETVRLPPFLVGVADRDLIRDTNLEYCEAMKKAGKEVAVVISEGVSHSFYLNKFAVDNDPTTAKRTEELIAAINDFVRRH >Ma09_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7597165:7599868:-1 gene:Ma09_g11210 transcript:Ma09_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTVTGVASATGDGGLSALLSTEFLICPSGNKFYSSMPWPAVPFSDINSKRSLSHKFQIEGIPALIILKPGGGLIQTEGVEILYRYGLQAFPFTSERIAELEAEEKRKYASQTLEKLLAISGKDHMIKRNDQVTFSSLVGKTVGLYFAAQWCPPCLKFTSRLMSIYNHLQERGEEFEVVFVSMDRDEAGFLQYFSGMPWLALPYGEESSKALARYFDIQEIPMLVIIGPDGKTVTKGGRNLINLHMEMAYPFTEAHIRLLQEKMDEEAQRYPTSFKHDGHRHVLNLVSEKSGGGPYICCACDEQGLGWAYQCLACGYEIHLKCGREVKEDTGGRC >Ma09_p11210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7597165:7599827:-1 gene:Ma09_g11210 transcript:Ma09_t11210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELPFEIVFVSSDENQSSFEQFYSSMPWPAVPFSDINSKRSLSHKFQIEGIPALIILKPGGGLIQTEGVEILYRYGLQAFPFTSERIAELEAEEKRKYASQTLEKLLAISGKDHMIKRNDQVTFSSLVGKTVGLYFAAQWCPPCLKFTSRLMSIYNHLQERGEEFEVVFVSMDRDEAGFLQYFSGMPWLALPYGEESSKALARYFDIQEIPMLVIIGPDGKTVTKGGRNLINLHMEMAYPFTEAHIRLLQEKMDEEAQRYPTSFKHDGHRHVLNLVSEKSGGGPYICCACDEQGLGWAYQCLACGYEIHLKCGREVKEDTGGRC >Ma09_p11210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7597165:7599868:-1 gene:Ma09_g11210 transcript:Ma09_t11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTVTGVASATGDGGLSALLSTEFLICPSGNKVNPKDIEGKTIGLYFAANWFQKCVSFTPVLVSVYHKLMEQELPFEIVFVSSDENQSSFEQFYSSMPWPAVPFSDINSKRSLSHKFQIEGIPALIILKPGGGLIQTEGVEILYRYGLQAFPFTSERIAELEAEEKRKYASQTLEKLLAISGKDHMIKRNDQVTFSSLVGKTVGLYFAAQWCPPCLKFTSRLMSIYNHLQERGEEFEVVFVSMDRDEAGFLQYFSGMPWLALPYGEESSKALARYFDIQEIPMLVIIGPDGKTVTKGGRNLINLHMEMAYPFTEAHIRLLQEKMDEEAQRYPTSFKHDGHRHVLNLVSEKSGGGPYICCACDEQGLGWAYQCLACGYEIHLKCGREVKEDTGGRC >Ma10_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29491681:29499433:-1 gene:Ma10_g18630 transcript:Ma10_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTKQACRLIAVLCGKFAERRREVKRGHLSLFPELVSSGRLEVHTLVNPTMDSFRNAQKSLEPNILYFQGEQLENEEEIGTLFWGGIDVSEAETFSSLMAPPSPTIVYLEVPNGEKIAQALQAKGTPYVIYWKNAFSSYEASHFRQALLSVVQSSCSHAWDAFQLAHASFRLYCVRNNYVMPANRQKDNGELGPHLLGSAPQINILIPDRVQEDGEEILSDALPVTKIYDDDVDMRLLVCGVPCTVDACLAGSLEDGLNALLNIEIRGSKLHNRISAAPPPLQAGSLSRGVVTMRCDLTTCSSTHISVLVSGSAQTCFNDQLLESHIKSELIEKRQLVHVLPDCDKNKPSLFEPLPSVSIACGASSFEVRMKVPSWAAQVLKQLAPEVSYHSLVTLGIASIQGVPVASFEKEDADRLRFFWNRQQQEYCFQYELSPPLPALSSSLFRKRSKPFSETRPISRGHTMRANGSIFMIDHQDVKKEVGLCEGIHMPSMIGQKRLKVATMKPIPCFRRHKILPFSGVQEDMPDGSQAKTNLSTVPYAKHNTLRAPLTHRKSTSSSFHAQQIISINPLPLKKHGCNRCSIQACTEEEFLEDVMQFLILRGHSRLVPQGGISEFPDAILNAKRLDLFNLYREVVSRGGFYVGNGINWKGQVFSKMRNYTVSNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSTPGDWVNCGLCGEWAHFGCDRRQGLATFKDYAKTDGLEYICPNCSLSNSKRKSQKVANGLCNAMTLPRHE >Ma02_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25157462:25163026:-1 gene:Ma02_g18750 transcript:Ma02_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDANPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAATGSADFSAKVWDAITGNELHSFEHKHIVRSCAFSEDTHLLLTGGVEKILRIFDLNCPDAPPREIDKSPGSIRTVAWLHSDQTILSSCTDMGGVRLWDVKSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLIKSYNMSCTVESASLEPKDGNKFVAGGEDMWIHVFDFHTGEEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQMNPAVSDDHESHGSSGPSGKVKVGVNEVVHKIDGFHITDDGPSE >Ma03_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3446820:3452436:1 gene:Ma03_g05280 transcript:Ma03_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESDHVFGKLPDHLLIEIFVRVPICEWSQVSCVNKHWAAIFRGESFWQTAVIRTWPFACQRKRWPGPIPRGSGRRRYAALYVSEHIIASNGEIDELLGHAYLYLKEQLELSTMTPPSSILHGTMIDQFIACGRSRDKAHELASEIWLAVINNLEENQHTFLLLKRLAQEGDFFLPFPYTRSYKVLCRVFEKLFTDFRDCLSREDYYDVLACAKSRFRPIPSTWLGY >mito1_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000012.1:188186:188272:1 gene:mito1_g00030 transcript:mito1_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIQKMMLLQGSGFRILLLYEFHWFIL >Ma07_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29887147:29888580:1 gene:Ma07_g21810 transcript:Ma07_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVEQALHMVGGAGETSYATNSRLQEKALYRTKPILETAIAELYRTLLPERMVVVDLGCSSGPNTFLVVSEVLGIVGDLCRRLEQKPPEIQFFLNDLPGNDFNNVFRSLERYEKKMEEEKGDLLVPHYVVGMPGSFYGRLSPRNTVHIFHSNYCLMWLSQVPQGLESEQGVPLNKGNIYIAENSPPQVVKAYQEQHRRDFSTFLKSRYVELSIGGGMVLTFLGRKSKHPANGELSSLYGLLAEALNAMVSQGIISQDKVATFNLPIYGASMQEVKAVIHDEGLFYLEQAQIFESNWDPFDGTDDDDTVSDNVLNGKNVAKCIRAVFESLIAHQFGAAILDELFVRYAEKVARHLLKEKTKYTVLVIALKKKA >Ma04_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21174312:21193951:1 gene:Ma04_g18870 transcript:Ma04_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] TRFWFSKIFSYDLFFSKVFVSHQLFLAIYVISRPPFCNHFQVKLKGPKKRADEGQPRRPDFWIETSGSISQICSFDADGHLSVKIANDSRPIIQRMVESFRNKFFPSGYPYSVNEGYLIYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATAVSWIIKDGMQHAGKLICSNMGARMDSEPKSWRILADVLYDLGTGLEVISPLCPHLFLEMAGLGNFAKGLAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGIGAGIQLASTVCSSIQGKMIVAPLLSVIHIYSVVEEMRAAPVNTLNPQRTAMIIADFVKSGKVSSPADIRYREDLLFPNRIIEEAGGVMVGQPLKKIVKKPSTLRELKDIFPKEKFLISLKNKCNYMVLEQNASGEDALRGWLVAAFAADMKTAGQKSGVPMLYAAYERMESVFPFFLSELKMRGWHTDQFLDGNGRRYAF >Ma05_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9165784:9169264:-1 gene:Ma05_g12700 transcript:Ma05_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGETMAASSGGEGGVEAPHRLERKWTFWFDNQSKPKQGAAWGSALRKVYTFDTVEEFWCLYDQIFRPSKLPANTDFHCFKSGIEPKWEDPECANGGKWTVTCNRKASLDTLWLETLMALIGEQFEESEEICGIVVSVRQRQDKLALWTKAASNEAVQMSIGRKWKEIIDFNEKIVYNFHDDSRRDKSNRGGRYSV >Ma08_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28496561:28500850:-1 gene:Ma08_g18130 transcript:Ma08_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELEMAKTSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Ma08_p29380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40984304:40986528:-1 gene:Ma08_g29380 transcript:Ma08_t29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:Projected from Arabidopsis thaliana (AT5G03860) UniProtKB/Swiss-Prot;Acc:Q9LZC3] MMATYLGFYDVPEGVDVGGRYDEEFAGILTRDALQFVAALQREFRDHIRYAMECRREVQRRYNSGELPGFDPATRLIREGDWACALVPPAVANRTVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMRGQVNLRDAVNGTISFNDQARNRVYKLNDETAKLFVRPRGWHLPEDHILVDGAPVIGCLVDFGLYFFHNHAKFRATQGDCYGPFFYLPKIEHSREAKIWNRVFERAEKFAGIEKGSIRATVLIETLPAVFQMDEILYELRDHSIGLNCGRWDYIFSYVKTFQGHPDRLLPDRVQVGMTQHFMRSYSDLLIRTCHRRGVHAMGGMAAQIPIKDNPAANEAAVELVRKDKLREVRAGHDGTWAAHPGLIPPIMEVFTSNMGGKPNQIQMARREDAGNITEEDLLQRARGVRTMEGLRLNTRVGIQYLAAWLTGTGSVPLYNLMEDAATAEISRVQNWQWLKYGAVLDGEEVRVRVTPELFGRVVEEEMARIQMEVGLEKFKRGKFEEACRIFTRQCTSPELDDFLTLNAYNQIVARHPNTPSKI >Ma06_p22360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17906714:17912280:1 gene:Ma06_g22360 transcript:Ma06_t22360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPLPSAATAACSIQRSLLAVAALTLICFSLLSLRSLRSSPQFPSPEVLEVAVAEVSSDLSSRMLAPSSIYHSPDVFLKNYAEMERKFKVFIYPDGDPNTYFQTPRKLTGKYSSEGYFFQNIRESRFRTEDADQADLFFVPISCHKMRGKGISYENMTIIVQNYVESLISKYPYWNRTMGADHFFVTCHDVGVRAFEGLQMVVKNSIRVVCSPSYDVGYIPHKDIALPQVLQPFALPSGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRATGELVYQKQFYRTKFCICPGGSQVNSARIADSIHYGCIPVIISNYYDLPFNDILDWQKFSVILKESDVYQLKSILKSIPHEKFVELHEHLVEVQKHFEWHSPPIPYDAFHMVMYELWLRHHVIKY >Ma06_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17906717:17912280:1 gene:Ma06_g22360 transcript:Ma06_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPLPSAATAACSIQRSLLAVAALTLICFSLLSLRSLRSSPQFPSPEVAVAEVSSDLSSRMLAPSSIYHSPDVFLKNYAEMERKFKVFIYPDGDPNTYFQTPRKLTGKYSSEGYFFQNIRESRFRTEDADQADLFFVPISCHKMRGKGISYENMTIIVQNYVESLISKYPYWNRTMGADHFFVTCHDVGVRAFEGLQMVVKNSIRVVCSPSYDVGYIPHKDIALPQVLQPFALPSGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRATGELVYQKQFYRTKFCICPGGSQVNSARIADSIHYGCIPVIISNYYDLPFNDILDWQKFSVILKESDVYQLKSILKSIPHEKFVELHEHLVEVQKHFEWHSPPIPYDAFHMVMYELWLRHHVIKY >Ma03_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2426341:2427175:1 gene:Ma03_g03630 transcript:Ma03_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGMDERSGTTAVDGEEDDLLLQLRRLEISDNAKGFVELLSQLSTATAPLSDADFRARFADLAALGDDHLIVVAEDRQAGRIVATGSVFIERKFLHGGGKVGHIEDVVVDAAARRRRLGQRVVRYLSNHAKAAGCYKVILDCTPDLRSFYEKCGFTEKTIQMALYF >Ma10_p13430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26236846:26241220:-1 gene:Ma10_g13430 transcript:Ma10_t13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVKRVFSPESKAKKEARLKKKLESGTSKPADSLERAASEVVPPPPPRHPEEDKVVEIENEQSRHACSAAVAAPAAIEPVVTSSEAAREIIRLKSTTKYPGKTTEEIAAIKIQTAFRGHLARRALRALRGLVRLKSLVDGDTVKRQATTTLRCMQTLARVQSQIRSRRIRMLEENQALQRQMLLKHERELESLKMGEEWDDSLQSKEQIEASLLSKQEAAIRRERALAYAFSHQQWKSSSKPVNPLFMDPNNLQWGWSWLERWMAARPWETRSTTDREPNDDRASIKSTMQSDGGGVILKAYACRNANPDKPSPSNQKPSRPASRQSPSTPPVKAPLLTGKMKSASPKRGWAPLEDDLRSMVSLQSERSRRHSLAAASVRDDESLAGSPAVPSYMAPTESARAKSRFQSLSDDTIESADRSSVVSAKKRLSFPTGERYSPASPAAVRRSSGPPKVDMALVKDVEVRS >Ma10_p13430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26236846:26241220:-1 gene:Ma10_g13430 transcript:Ma10_t13430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVKRVFSPESKAKKEARLKKKLESGTSKPADSLERAASEVVPPPPPRHPEEDKVVEIENEQSRHACSAAVAAPAAIEPVVTSSEAAREIIRLKSTTKYPGKTTEEIAAIKIQTAFRGHLARRALRALRGLVRLKSLVDGDTVKRQATTTLRCMQTLARVQSQIRSRRIRMLEENQALQRQMLLKHERELESLKMGEEWDDSLQSKEQIEASLLSKQEAAIRRERALAYAFSHQQWKSSSKPVNPLFMDPNNLQWGWSWLERWMAARPWETRSTTDREPNDDRASIKSTMQSDGGGVILKAYACRNANPDKPSPSNQKPSRPASRQSPSTPPVKAPLLTGKMKSASPKRGWAPLEDDLRSMVSLQSERSRRHSLAAASVRDDESLAGSPAVPSYMAPTESARAKSRFQSLSDDTIESADRSSVVSAKKRLSFPTGERYSPASPAAVRRSSGPPKVDMALVKDVEVRS >Ma10_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26236846:26241220:-1 gene:Ma10_g13430 transcript:Ma10_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFSAVKRVFSPESKAKKEARLKKKLESGTSKPADSLERAASEVVPPPPPRHPEEDKVVEIENEQSRHACSAAVAAPAAIEPVVTSSEAAREIIRLKSTTKYPGKTTEEIAAIKIQTAFRGHLARRALRALRGLVRLKSLVDGDTVKRQATTTLRCMQTLARVQSQIRSRRIRMLEENQALQRQMLLKHERELESLKMGEEWDDSLQSKEQIEASLLSKQEAAIRRERALAYAFSHQWKSSSKPVNPLFMDPNNLQWGWSWLERWMAARPWETRSTTDREPNDDRASIKSTMQSDGGGVILKAYACRNANPDKPSPSNQKPSRPASRQSPSTPPVKAPLLTGKMKSASPKRGWAPLEDDLRSMVSLQSERSRRHSLAAASVRDDESLAGSPAVPSYMAPTESARAKSRFQSLSDDTIESADRSSVVSAKKRLSFPTGERYSPASPAAVRRSSGPPKVDMALVKDVEVRS >Ma07_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30209416:30211237:1 gene:Ma07_g22160 transcript:Ma07_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMESGSGSGGSGGSSRYLHHLLCPPPPSTHVPPQDSKPSPEKSPKVSPDHGGGDQPSDSSPAGASGGPVRRPRGRPPGSKNKPKPPIIVTRDSPNALRSHVLEVASGADVFECVSDYARRRGRGVSVLSGTGAVTNVALRQPGASTPGSVVATLRGRFEIISLTGTVLPPPAPPGAGGLSVFLSGGQGQVIGGSVAGPLVATGPVVLMVASFTNAVYERLPLEGDEEEAEAAVQGQQPAVSQSSGITGGGGEGGGSGGTSGVPFYNLTGNMGSYQLPGDAFAWGGGGVRPPF >Ma03_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3223531:3225565:1 gene:Ma03_g04840 transcript:Ma03_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPAPVRPGHPGIPSRPRRRPDLTLPLPQRDPSLAVPLPLPPPSAPSTVGAPSAEPTLGPPSSSSSSQPPSLSDLERLRRMGSGSGGTVWMVRHRLTGRHYALKVIYGNHEDAVRRQILREIEILRTADNPFVVRCHAMYDHGGEIQILLEFMDGGSLEGRRITSESFLADVARQVLAGLAYLHRRRIVHRDIKPSNLLIDSGRRVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNHGVYDGYAGDIWSFGLSILEFYLGRFPFGENLGRQGDWASLMCAICYADPPEAPSTASREFRSFISYCLQKEPARRLTAVQLLQHPFIVNNQPSPLTSSAHP >Ma10_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27997733:28004259:1 gene:Ma10_g16200 transcript:Ma10_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNIVFSVLFLLLPLAESSRSWKMLNMSLGGEANLLPGWPILSAGAFVLVALVLSLFLIVEHLAVYNQPEEQKFLIGLILMVPVYAVESFLSLLDTKVAFICEMMRDCYEAFAMYCFERYLIACLGGEESTIRFMETQMEIFSSTPLLELEHADGVIRHPFPLNCFMKHWYLGSDFYHSVKIGIVQYMILKTVCAFLAIILELFGVYGEGKFGWRYGYPYLAVVLNFSQTWALYCLIQFYSVTKHKLEPIKPLAKFLVFKSIVFLTWWQGVAVAFLFSTGAFKGHLARELKTRIQDYIICIEMGIAAVVHSHVFPAKPYRRGERCVRNIAVMSDYASLGAPPDPEEISDGGRLTRMRIARPDDGERRLSFQQSVRDVFFGSGEIVADDVKFTVSHVVEPVERGLARINETLHQISENVKQHEKRKRKAKDDSYVVPMHSWTQEFMEVYDHLPEGSVSDSELARKRCQANTKFAASETGRSDASSERRSFEFSGGRWS >Ma07_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28574249:28575551:1 gene:Ma07_g20650 transcript:Ma07_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFEEFEDLLASSEKYRITYLKEAMKDLSEAYFEESKWRDQHYVPTLEEHLHVSLISLGYPMLQCASFVGMGEIATKEAFEWIASFPKIVQASAIIGRIMNDITSHELEQTREHVASTVQCYMKEYGTNVHMACKKLQVLVDDAWKDINEECLNQTAFPVALLQKIVNFSRMTENIYKYIDGYTNSSTKTKEYISLLLVHPVPL >Ma09_p25850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37206991:37213924:-1 gene:Ma09_g25850 transcript:Ma09_t25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSEIPVVGCEITPYVLLRWPDGSISNDDVPESAPLNGYCMRYKWYRNLGDQKVAVCSVHPTEQATLQCLVCLKEKVPIAKSYHCTPRCFSDAWQHHRSLHERAKKAASENGTDEEEVFGRFNSSGSGSFNSGLCGIAPNLLQSPGLNNGPISVYPTTVADKSGEAWSEVARSRTYTPTADDINHVLKFECVAVDVETRIPVGNVNTVLTSRVIPAPSPTPRHMVPVNGDLLGQLDLDGRTSSGTFSVLSYNILSDAYATSEAYSYCPTWALSWPYRRQNLLREIIGYHADILCLQEVQNDHFEEFFAPELDKHGYQALYKKKTSEVYGGNSNAVDGCATFFRRDRFSHVKKYEVEFNKAAQSVTDVAMTAGQKKVALSRLIKDNIALIVVLEAKFSNHGTDNSGKRQLICVANTHVSVHHEHKDVKLWQVHTLLKGLEKIAVSADIPMLVCGDFNAVPGSAPHALLANGKVEPLHLDLAVDPLGILRPTSKITHQLPLVSAYSSFARMVGVSPGLEQQRRRMDASTHEPLFTNCTRDFIGTVDYIFYTADSLSVESLLELLDEENLRKNTAIPSPEWSSDHIALLAEFRCKPRIRR >Ma09_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9582207:9583514:-1 gene:Ma09_g14070 transcript:Ma09_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVGCSTPLPFVMALVLATAAITSLAQDPSQLSLGYYSKTCPTAEQIVRTEMECAVKANPRNAAFIIRLHFHDCFVQGCDGSVLLDDTATLIGEKQADQNVNSLQGFELVDKIKEKLEAECPGVVSCADLLAVAARDATILVGGPYWDVPVGRLDSKTASLDQANSDIPTPQQGLATLITKFSAKGLSPTDMVALVGSHTIGMSRCVNFRDRIYGDFQLTSKSEASAQVYLSKLKETCPTDGGDDNVSPMDYTSPTVFDNAFFETLIQGTGLLNSDQEMYSSLLGFETSHIVEKYWAESISFFKDFSDSMVRMGNITNPAGGEVRKNCRFANA >Ma08_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7838416:7843190:1 gene:Ma08_g10690 transcript:Ma08_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEYAAAKTSVWWDIENCQVPRACDPYLIAQNISSALAAVGYRGPVSISAFGDANNITPTVLQALSSTGIALNHVPSGIKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRLRRYNILLAQPPNVSQALVAAAKSVWNWKDLVAGGKPMHESPYVNKSAGGTSPSKETFNGSTTDNVQLTQSTGSSASAHLGNQKTCSNGKYDNRYKGKHKRCPNPSQTNNATATTISSSEFKQPPPVSHGFLTDTNVLQFNNCMKNPDQMSTSMIPSMKSHDNSHLNHTSNFFPQSFPQKPPCEATYFRQSETMVFNESSHEFLQGNQSQSPNGPMVDYAPPHSDSPMKDGKDFYNNHKPHRPPPLRPSDLLPPHPNFQPGNLSSSNSQNHDFYAIPNGPSGPPFTSPQTWTTGPTFPSVPPVSLPEISKVSISDDPSGGQNNGSYSKKNPMPNISVPEHNGLQKSQTMYQEHMHGPIVTNAMDSNMSKDGLQGNPGSLVPHIAVKNILRALHILKADKMVPNETNIADCIRYGEMNIQNFDIKMALNYALEHQLVVMHKLGGNLPLYVEKHHGLWRCVNPMDINARHPKTTWDAALKFLSSTAGRTLIMRSQSRYQAAIFLRNSCLNHLVLGEILQMLHVIINVKKWITPHSSGWKPLSFHLPDADKNTGTGAGTKS >Ma08_p10690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7838424:7843190:1 gene:Ma08_g10690 transcript:Ma08_t10690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEYAAAKTSVWWDIENCQVPRACDPYLIAQNISSALAAVGYRGPVSISAFGDANNITPTVLQALSSTGIALNHVPSGKCYAGIKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRLRRYNILLAQPPNVSQALVAAAKSVWNWKDLVAGGKPMHESPYVNKSAGGTSPSKETFNGSTTDNVQLTQSTGSSASAHLGNQKTCSNGKYDNRYKGKHKRCPNPSQTNNATATTISSSEFKQPPPVSHGFLTDTNVLQFNNCMKNPDQMSTSMIPSMKSHDNSHLNHTSNFFPQSFPQKPPCEATYFRQSETMVFNESSHEFLQGNQSQSPNGPMVDYAPPHSDSPMKDGKDFYNNHKPHRPPPLRPSDLLPPHPNFQPGNLSSSNSQNHDFYAIPNGPSGPPFTSPQTWTTGPTFPSVPPVSLPEISKVSISDDPSGGQNNGSYSKKNPMPNISVPEHNGLQKSQTMYQEHMHGPIVTNAMDSNMSKDGLQGNPGSLVPHIAVKNILRALHILKADKMVPNETNIADCIRYGEMNIQNFDIKMALNYALEHQLVVMHKLGGNLPLYVEKHHGLWRCVNPMDINARHPKTTWDAALKFLSSTAGRTLIMRSQSRYQAAIFLRNSCLNHLVLGEILQMLHVIINVKKWITPHSSGWKPLSFHLPDADKNTGTGAGTKS >Ma02_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13812105:13816248:1 gene:Ma02_g02580 transcript:Ma02_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRSEVNGCDGVTEEVTRRDFPKDFVFGAATSAYQVEGARREGGKGDSIWDVFSEQKDNIKDRSNGDIAVDQYHRYKEDVELMAKLGFGAYRFSISWTRIFPGMLCYPFSLI >Ma05_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5111804:5128428:1 gene:Ma05_g06990 transcript:Ma05_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQFESAQQAKPSVRLSERNVVELVQKLHELRIIDFDLLHTVSGKEYITPDQLRLEMETEIKKLGRVSLIDLSDVIGVDLYYIERQAENIVVDDPRLMLVNGEIISQSYWDTVAEEINEKLQECSQMSLAEIAAQLQIGSELVVSVLEPRLGTLIKGRLEGGQLYTPAHVSRITAMVRGAARGITVPTNLPSVWSSLQQLLQDIGCANSVSVDGTFFQSLFNGLLKEGEILGSLRAGVQWTPAVFGHAQRESVDSFFSQNSYIGYDVLHKLAIPQPKQYLQSRYPEGILLDAVFVHPSMVEMLDASIEDAVEHGNWIDALTVLPTYVGGQDASKILSLCPSLQRAIKSSQAIIFGESCVFSSNFVKDLFERLEKEMDTLSYMNLSQGLSSDVQSTSVVKVGVSAGQNTEQKEIGDDVGSKHNAPEKGGKKKKGKHTGSAKTGASEDNLENQENLPSKFKKNQRKNKNAGSLDAFDAKSIAKKSSGKSKDDSLDVPSEDWIKQKILLLAPELEELGGPEDPHALLGLVSSHLRPMLVNSWMKRRDTVVLENAEKRRKLLDNLQRQLDEVFLDLQLYEKALDLFEDDPPLSVILHKHLLRTMATPLVDKILTTLDMENKLKNGIEIKDSENVESTSFTFVDRVSLAKGLPNSLSVKAQAVAEALEGKRLDTFMNALRDVVEESGLLVKKLDKKLERTMLHYHRKDLTSQVSSESDPVKLLPKVVALLYMQVYNKALQAPGRAISALISQLKDKLPDSTYKTLMDYHSATVTLLALQAAAVGDEYGCSADRILSQQELLESKMPELKALVLGTTNPTS >Ma08_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:470075:489451:1 gene:Ma08_g00500 transcript:Ma08_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAASSSLLLLHHLLLVLASWWRLADAAYIAYNTSGGVVLGKLNVHLVPHSHDDVGWLKTIDQYYVGSNNSIQGACIQNVLDSVVEALLADKSRKFIFVEMAFFIRWWRQQSDKTKKLVKKLVNSGQLEFINGGWCMHDEAVVHYIDMIDQTTLGHRFLKQEFDQLPRIGWQIDPFGHSAVQAYLLGAELGFDALYFSRIDYQDREKRKDTKSLEVVWRGSKTLGSSVDIFTGIFPKNYEPPPGGFYFEVNDESPVIQDDPLLFDYNVQERVDDFVAAALSQANITRTDHIMFTMGTDFKYQYANSWFRQMDKFIHYVNKDGRVNALYSTPSIYTNAKHAANESWPLKTDDFFPYADRANAYWTGYFTSRPALKGYVRLLSSYYVAARQLEFIKGRSSSGPTTDSLADALAIVQHHDAITGTEKQHVANDYAKRLAIGYAEASKVVESSFACLTESISGSGDCLPVTKFEQCPLLNISYCPPSESDLYAGRSLVVLVYNSLGWMREDIIRIPVVSDSVLVLDHEGKEIESQLLPIKSPSTALRNFYVKAYLGKSPSITPKYWLAFPVTVQPLGFTTYFIKSAKQTGSHAVMSMVSSSQGMENSTMEIELGNLKLQFDLDGNKLSHYFNKRSLVKASVEQTYSFYSGDDGSGADPQASGAYVFRPSGKFPIQSEKKVPLTILQGPLLHEVHQQISSWIYQVTRIYKTKEHLEVEFIVGPIPTNDGVGKEVVTQIATTMNTSKTFYTDSNGRDFIKRIRDYRSDWELQVNQPVAGNYYPINLGMYIKDDSTELSVLADRAIGGTSLVDGQVELMLHRRLLHDDSRGVAEALNEVVCVEIECEGLAIQGKLYIRVDPLGEGAHWRRSTGQQIYSPLLIAFSEEHEGNWSNFHITTFSMLDHSYSLPENVALITLQELEDGSVLLRLGHLYEVGEDKDLSKIAYVELKKMFPGRKINITEMNLSANQERAEMEKKKLKWGVESSSTDETIVKGGLVDPSKLVVELGPMEIRTFILNLNKSVTADKNN >Ma07_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1149512:1150284:1 gene:Ma07_g01450 transcript:Ma07_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELVKCECCGLREDCTKDYIGSVRESFDGKWLCGLCCEAVRDELSRGRRKCHGVEEAIDAHMSFCGKTKSNPAVRVADGMRQMLRRRSGDLSKTASPKKIERTASTSQVGDESSLSF >Ma01_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16506260:16515885:1 gene:Ma01_g20050 transcript:Ma01_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVGLLLGVVVGVGLVMVFVRWENARSKSRGQLAASVVAFARMTIEDSQKLLPAEFYPSWLKWLNLELTKIWPYVNEAASELIRNSVEPVLEQYKPAILASLKFSKLTLGTVAPQFTGVSIIEEDKSGITMELELQWDGNPSIILDIRTRLGVALPVQVKNIGFTGVFRLIFKPLVQEFPCFGAVSYSLREKKKLDFTLKVVGGDISSLPGISNVLEGTIRDAIEDSITWPVRKIISILPGDYSDLELKPVGILEVKLVQASDLTNKDVIGKSDPFAVLYIRPLQDRMKTSKTINNDLNPIWNEHFEFIVEDATTQNLTVKIYDDEGLQPPEFIGCAQVKLKDLQPGKVKDVWLKLVKDLEVQRDKKDRGQVHLELLYCPYGMENEFTNPFASQNLSMTSLEKILKGSRNATEAASIDRTATKRKKEVIVRGVLSVTVISAEELPVMDVMGKADPFVVIRMNKTDTRNKTRVVNGSLNPIWNQTFDLVVEDGLHDMLILEVWDHDTFGKDYMGRCIMTLTRVIMEGEVRDRFPLEGTTSATLQLYLKWAPQPIYRDC >Ma01_p20050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16506260:16515885:1 gene:Ma01_g20050 transcript:Ma01_t20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVGLLLGVVVGVGLVMVFVRWENARSKSRGQLAASVVAFARMTIEDSQKLLPAEFYPSWVVFSQRQKLKWLNLELTKIWPYVNEAASELIRNSVEPVLEQYKPAILASLKFSKLTLGTVAPQFTGVSIIEEDKSGITMELELQWDGNPSIILDIRTRLGVALPVQVKNIGFTGVFRLIFKPLVQEFPCFGAVSYSLREKKKLDFTLKVVGGDISSLPGISNVLEGTIRDAIEDSITWPVRKIISILPGDYSDLELKPVGILEVKLVQASDLTNKDVIGKSDPFAVLYIRPLQDRMKTSKTINNDLNPIWNEHFEFIVEDATTQNLTVKIYDDEGLQPPEFIGCAQVKLKDLQPGKVKDVWLKLVKDLEVQRDKKDRGQVHLELLYCPYGMENEFTNPFASQNLSMTSLEKILKGSRNATEAASIDRTATKRKKEVIVRGVLSVTVISAEELPVMDVMGKADPFVVIRMNKTDTRNKTRVVNGSLNPIWNQTFDLVVEDGLHDMLILEVWDHDTFGKDYMGRCIMTLTRVIMEGEVRDRFPLEGTTSATLQLYLKWAPQPIYRDC >mito2_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:439658:439951:-1 gene:mito2_g00030 transcript:mito2_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIYPATKKARETSYPVWLLSSETTFLFIAKKNGFSVAGLGLSEKSGSRFTTMKRGLGRNRPIQELHSMHIERESVVVQEREDEKRKGIASCRWIL >Ma04_p39970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36924923:36928645:1 gene:Ma04_g39970 transcript:Ma04_t39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRLALGGIMSDHHESRSGGEESLALPLTRDSPQLVALLKEMKDGLDVVRSKLDVLTQKVRENQFPTSEGMSYLDAKYLLLLSYCQSIVYYLLRKAKGLSVQDHPVVKSLVEIRLFLEKIRPIDKKLEYQTQKLIRAASNFVSEKISTPDDKEKSFQDEEDPLKYRPNPGMLVSKSEPGAQDAGGVYRPPRFAPTSMDEDKISKQEKQALRREKALLRQAKQSTYVKELMDDFEDRPEELRENIGAESRELTRYVAKREERARQEEELFTRAPVAKRDKQIEKHMKKSRNGLLGLTDGFYDEIRMLPMEEKENDETSSHMNNDKQGKKFKRRKRKH >Ma04_p39970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36925021:36928573:1 gene:Ma04_g39970 transcript:Ma04_t39970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHESRSGGEESLALPLTRDSPQLVALLKEMKDGLDVVRSKLDVLTQKVVRENQFPTSEGMSYLDAKYLLLLSYCQSIVYYLLRKAKGLSVQDHPVVKSLVEIRLFLEKIRPIDKKLEYQTQKLIRAASNFVSEKISTPDDKEKSFQDEEDPLKYRPNPGMLVSKSEPGAQDAGGVYRPPRFAPTSMDEDKISKQEKQALRREKALLRQAKQSTYVKELMDDFEDRPEELRENIGAESRELTRYVAKREERARQEEELFTRAPVAKRDKQIEKHMKKSRNGLLGLTDGFYDEIRMLPMEEKENDETSSHMNNDKQGKKFKRRKRKH >Ma07_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30172874:30192348:1 gene:Ma07_g22120 transcript:Ma07_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGEIESADEEMPSHGGRQYRPVVSHEQSIVQMTSMESGPPTEMPKQKIRIPSQSENVPNPMEGPSNGHDELNNSQRESKLELFGFDSLVNKLGLKSMTGEQIPTPSSPRDGEDISITIGSPKVAGLKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMAGIGESLLLVAFCGSCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAGFFTDSVILVTNSTATNGTISEVTTIVYTPSLHDLQVYGVIVTILLCFIVFGGVKIINRVAPAFLIPVLFSLFCIFIGVFSAPRSNASSGITGLRSQTFKDNWSSAYQRTTNAGIPDAEGPIYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPLGTLAATLTTSFLYLISVLLFGALATREELLTNRLLTAEVAWPLPAIIYLGIVLSTLGAALQTLTGAPRLLAAIANDDILPVLNYFKVTEGGEPHLATLFTAFICIVCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWNFHHWMLSLLGALICIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLSTYIDYKRCEGVAEIVVAPTMSDGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPGEYQRQFGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQAEVIVITMKSWESHIDTGVQQQDDSVEAFTGAQRRIASYLADMKETARKEGMPLMADGKQVVVNEQQVDKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVLTLFT >Ma04_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2144724:2145957:-1 gene:Ma04_g02520 transcript:Ma04_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSELLVSVTSIAEKNCEGKTAKRFCFAIYAP >Ma05_p31560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41427317:41432743:1 gene:Ma05_g31560 transcript:Ma05_t31560.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQWIAELPHAATDKRPRKRPRLAWDVPPPIPPSMVPLTLYCGMEAAQMATMNQHLQSFYYGGVPRYCSPPWRGDDKDGHYIFGIGENLTPRYRILNKMGEGTFGQVLECWDLENKEPVAIKIVRSLQKYREAAMIEIDVLQRLAKADRSGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRRNSYRSFPIDLVRELAGQLLESVAFMHDLRLIHTDLKPENILLVSSEYIKVPDHKFLSRSAKDGSLFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDMWSVGCILVELCSGEALFQTHENLEHLAMMEKVLGPLPQHMIVRANVHNSQRAEKYFRQGRGLRLDWPEGATSRESIRAVWRLPRLQNLVMQHVDHSAGDLIDLLQGLLHYDPAQRLKAREALRHPFFTRDLRRCGYSLN >Ma05_p31560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41427317:41432743:1 gene:Ma05_g31560 transcript:Ma05_t31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQWIAELPHAATDKRPRKRPRLAWDVPPPIPPSMVPLTLYCGMEAAQMATMNQHLQSFYYGGVPRYCSPPWRGDDKDGHYIFGIGENLTPRYRILNKMGEGTFGQVLECWDLENKEPVAIKIVRSLQKYREAAMIEIDVLQRLAKADRSGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRRNSYRSFPIDLVRELAGQLLESVAFMHDLRLIHTDLKPENILLVSSEYIKVPDHKFLSRSAKDGSLFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDMWSVGCILVELCSGEALFQTHENLEHLAMMEKVLGPLPQHMIVRANQRAEKYFRQGRGLRLDWPEGATSRESIRAVWRLPRLQNLVMQHVDHSAGDLIDLLQGLLHYDPAQRLKAREALRHPFFTRDLRRCGYSLN >Ma09_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3622757:3624324:-1 gene:Ma09_g05660 transcript:Ma09_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCGNCDCADKSQCVKKGNGYGIEIVGAEKSYFDNVINAPAAAENDGMCKCGPACACFDHKCGQ >Ma06_p10560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7301347:7305356:-1 gene:Ma06_g10560 transcript:Ma06_t10560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALERLLEPASRDPAPLPPPAPIKVGTAARLTTIKDCIARPNISPALYATPKSTPLPDSPTSFPPESPYMINHKRRGPRLAKSFLQNDASDGQPNQYEVEQKVEAVSGNGRDPEEHKPRDAHTDQVGSVVDKFSGGELQDASLDKGMVGAEGTIKLVSDDPERDEDAQDFFDIRSTASNSEVDDSYGRWKPGTPLGEYYDALEEISSDGTSQSSYRNIEDELREMRLSLLMEIQKRKQAEEELENLQNHWHRLSHHLSLVGFSLPLPPIATEDMGAQVNLDPAEELSRQIVVARSVADAVGRGCARAEVESKMESQISSKNFEIARLLDRLHYYEAANREMSRWNQEAVGLARQQSIKRKRRQRWFWSSICVAITLGGAAIAWSYLPSPRPNPPDGDLTTGPEQ >Ma06_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7301347:7305378:-1 gene:Ma06_g10560 transcript:Ma06_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALERLLEPASRDPAPLPPPAPIKVGTAARLTTIKDCIARPNISPALYATPKSTPLPDSPTSFPPESPYMINHKRRGPRLAKSFLQNDASDGQPNQYEVEQKVEAVSGNGRDPEEHKPRDAHTDQVGSVVDKFSGGELQDASLDKGMVGAEGTIKLVSDDPERDEDAQDFFDIRSTASNSEVDDSYGRWKPGTPLGEYYDALEEISSDGTSQSSYRNIEDELREMRLSLLMEIQKRKQAEEELENLQNHWHRLSHHLSLVGFSLPLPPIATEDMGAQVNLDPAEELSRQIVVARSVADAVGRGCARAEVESKMESQISSKNFEIARLLDRLHYYEAANREMSRWNQEAVGLARQQSIKRKRRQRWFWSSICVAITLGGAAIAWSYLPSPRPNPPDGDLTTGPEQ >Ma02_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18514995:18516934:-1 gene:Ma02_g08050 transcript:Ma02_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTMRAVQYGGYGGGAAALQHVEIQVPSPKKDEVLLRVEAASMNPADWKIQKGMMRPFLPSKLPFVPVSDVAGEVVEVGPGVDGFKPGDKVVTWLGFKAGGLAEYAIAPVNVTAHIPPEVSAEGAAGLPIAACSALQALRYATTKFDGTGDPANVLITAASGGVGTFAVQLAKLGNLHVTATCGARNMELVRSLGADEVLDYKTPEGKSLKSPSGRKYDIVVHCTSSVGWSSLESNLAAHGKVVDLNPSPGGFLRSALKQLTCSNKKLVLLFAMATKEDLQFLVELVKGGKLRTVIDSRYALGKAEEAWAKIMEGHATGKIIVERDQVMDI >Ma08_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2485858:2488056:1 gene:Ma08_g03410 transcript:Ma08_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRSSSMLSPLFCLWIVVPSATCLSFNLSFLDPASRSGIELQGNATWNSTDGIQLTNDTIVYNVGRAVYREPLLLWDARTKAMSDFTTHFSFIIRNPSNTSLSGDGLAFFMAPYPSPLLPDSSGGLLGIFPRVSLNSLVPTVAVEFDTFQNEWDVDDHHVGIDVNSIVSQKVASWNGSLKTGRLANAWVSYDAITHNLSVFLTYADNPVISGDSVLHYIIDLRDHLPANVTVGFSAATGQVTETHAVVSWSFSSSLQPRSIPPPTAPTASDAAKSKSKTGLIVGLVIGAGVLMVTPGLLLFVLWRRRRKSRRRNAADGDEDMDFDQTTDDDFMGNRGPKRFAYKELARATRNFSDEGKLGEGGFGSVYRGHLKDLKLDVAIKRVSRESRQGRKEYVSEVKIISRLRHRNLAINALNLETPLPELPPKMPVPMYYTPWSDVSQSLHASSLATTTSITAKSAPTGSSSMSPSSSHLLKSPNTGAVIST >Ma08_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1264497:1267401:1 gene:Ma08_g01420 transcript:Ma08_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAAADGLPRSLPSKALPSPPDSGLRQARKPHPGCRVRNREFRGAAVRTGLRNGGTAAGGRRSGPGTPFLRWKLQEAPPPKPVNEVGDVRPGAGPPRMSARKLVAGIWHLQPLRDGNGSRRPPPGLESVPQHQRDRLLCNPLGADLHANKNKKNGSVGPVSVLSPKYGNVLKLAGFPNSVTENATKWDPESSMTSEEVFRFYGQLKLLEAQELNTDTLVNSLRTELERARARIIELETERRSAKKELDRFLRRVAEEKASWRSREHEKVRAMIEATKADLHRERKKRQKAEIVRARLIDELAEAKLTAKQLLRDCGKERRARELVEEVCDELAKEIGEDKAEIGTLKMEALKIREEAEDDKKMLQMAEVWREERVQMKLIEAKLILEEKYSQLRELRAELEAFLSTSAAAHSDFASVREAELLKEQVDLANTEGMEEFSYQPPPASEDIHAVFEELQPNQETHERDIEPCSGRSPESHDTASPETDAFLEHPPEQNAHESVDSKDDVEDDSDREKVSHAEERGSSNSHVGIEPSVDDCCKESYASVSETESKENRQDKVNQETIEVSSANAKSRKVMASSMCKLWRSSAHDIVDDLLRTSDEVKPGRLSNGSLASYHDEVCEKLSIEHTTGRLSNGNKVSDDTLSPGIGPGGAGSSPAKGCTERPRSNQRHSLKAKLMEARMGSQKIQLRHVLKQKM >Ma04_p39360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36528681:36535703:1 gene:Ma04_g39360 transcript:Ma04_t39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKALSQSFKKFFKMPWDITGPCSSPEYRSSLPKATEYRRFCPATAPAKVCVPTTEPETVFDIKYYTRDRRRDRPPVRRTLLRKADVERIMAAKTFGPDDFPKVYLTEKVEEDENARGGGYQ >Ma04_p39360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36528681:36535669:1 gene:Ma04_g39360 transcript:Ma04_t39360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKALSQSFKKFFKMPWDITGPCSSPEYRSSLPKATEYRRFCPATAPAKVCVPTTEPETVFDIKYYTRDRRRDRPPVRRTLLRKADVERIMAAKTFGPDDFPKVYLTEKVEEDENARGGGYQ >Ma11_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23864997:23868831:1 gene:Ma11_g18820 transcript:Ma11_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKDVEISFPIVYGTIAFWLGKKASEYNSHKWTVYVRGATNEDLGVIVKRAVFQLHPSFNNPTRVVESAPFELSESGWGEFEIAIRLFFHSDVCDKQLDLYHQLKLFPEDENGPQSIKKPVVVEIYDEIVFSEPTEALFARVQNHPAAIVPRLPSGLNLPPPGPVNNINEKKRGDTKDHPLNQWFMNFSEADELLKLAAARQYVQGHVGKLRRQLSMIDGLPQLSKAASGQ >Ma07_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2822356:2822866:1 gene:Ma07_g03680 transcript:Ma07_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGVAIALVFLFCFLLASEALTKVDDATSCTPKTKKIWQICVEKVCNEECTSLGFEGGKCYLKIRCDCYTNCD >Ma04_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5390317:5392128:1 gene:Ma04_g07470 transcript:Ma04_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIAETGLEFEKSPNEVHQEDDEEQLEEVTFDRCFYIYGGPDLLEELEALSSHYALLFNRRKAKLLAEQKSLYDAKLQQIQQIFSLTADVEGNSEDSNKGKNIETLSGDSDVEMTKLCDSSVRRAADIASGFTPVLGGLSANDIIQRATDRLDTMHSECIHRLSELCCSAVSQLLILGKSVISSANKGKNGETEGETPKIDWPEEAVSKAKIIRHKAQSMSGNMETVSNSFITGTSEIVEAYLTAILSVSSDKQELPQSTVQEKANDITNHLRAGGATAAEKIQDALQYLAYVVLFTSMPTV >Ma08_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25687837:25688752:-1 gene:Ma08_g17470 transcript:Ma08_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYAADCWDAEIECSYGWIECIGIADRSAYDLRAHSEKSGVPLVAHEKFSEPREVEKLLIVPSKKELGHAFKGNQKMVVEALEAMSEKEAWEMKEAIDEEYVHP >Ma10_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30088738:30089574:1 gene:Ma10_g19570 transcript:Ma10_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHDLGSSSALLHGKIPYWFLQHFFTRTLNCLFSFLGLRSTLLTLCLTCEQEPGGHITPFRGQRLQILHHCLAFYDDIYRRNEQEKVKAGGRIRQVTDAISALHVNGDTIEDLIIKQAAQSSISLPLQPKSFAKITRGG >Ma09_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2962387:2964392:1 gene:Ma09_g04560 transcript:Ma09_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMESDSRIRERQVLGCIDSTEHLGMYNFHLV >Ma08_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6559159:6568503:-1 gene:Ma08_g09080 transcript:Ma08_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGITTVTIPVLLLGCGGVGRDLLHHIVASRHLHSKRGLVLRVVGVCDSRSLLLVEDVATMGFDDAFLTEIYRIKSSSSSLSALVDFGHCHLFKDSEATTKILDIAGLLGRTTGLSVVDCTASTETVGILKETVDLCCCIVLANKKPLTCGIDDYDKLISNFRRIRFESTVGAGLPVIVSVTRVLASGDPIYRIIGSLSGTLGYVMSEVEDGKSFSKVVLAAKSLGYTEPDPRDDLSGMDVARKALILARLLGWRINMDDIQVESLYSNEHGPNSMSTEDFLRIGLPSLDRDVQERVSAASSRGKVLRYVCVIEGSRCQVGLQELPKDSPLGRLRGSDNVVEIYSRCYKDSPLVIQGAGAGNDTTAAGVLADIIDLQDLFH >Ma11_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3413666:3415078:-1 gene:Ma11_g04340 transcript:Ma11_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSFQCLGTSIDVPNVQALAASIANPADVPPRYVRPEAKADPVASDGDSELPVIDFSRLLHHRFSREESAKLHHACVDWGFFLVDLNLDLNPDIEI >Ma02_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13181585:13188010:-1 gene:Ma02_g02160 transcript:Ma02_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRAPLPLVVATISLLLWSIAGLRGGRRIPTTLDGPFEPVTVPLDGRVARGHAVDLPASDFRLQRKVTELEPEQISVSLSATEDSVWISWVTGEFQIGKDIEPLDPTSVASVVRYGNSKKLLMYEATGYSLIYNQLYPFEGLQNYTSGIIHHVLLDDLRPGTKYYYQCGDPSIPAMSAVHVFRTMPASGPNRYPKRIAVIGDLGLTYNSTSTVDHMASNKPDLILLVGDVTYANLYLTNGTGSDCYSCSFPETPIHETFQPRWDYWGRYMEPVISEIPIMVVEGNHEIEQQAENKAFVSYSSRFAFPSEESESFSTFYYSFNAGGIHFIMLGAYISYNKSGEQYKWLERDLEMVDRTVTPWLIATWHPPWYSTYKAHYREAECMRVEMEELLYSYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVYITVGDGGNREKMAVNHADDPGGCPDPLTTPDEYMGGFCAFNFTSGPAAGKFCWDRQPEYSAYRESSFGHGILEVKNETHALWTWHRNQDLYNSTGDEIYIVRQPDKCPTKPITFQNTYI >Ma06_p32370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33298430:33300335:-1 gene:Ma06_g32370 transcript:Ma06_t32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTNTAFLFSFLVLLVSLLLLKKNRSSRGARATLPPGPSKLPIIGSLHHLFNALPHHSLTALSKKFGPVILLKLGELPTLVVSSVEAAAEIMKTHDISFASRPTNLTLQTATYGDRGVGFTSYGFHWRELRKMSIVELLSAKRVQSFRFIREEEVLNLVRSIVLLSNAGSTVNLSRKFALLANDIAARSIIGSKCKYQKDFLRIVTQTLEAAGGFSLADLFPSWPIIKLLSGATSKMQRFHSEMDAILNSIIQEHKERKSQEQPEEEEDLVDVMLRVQAEGRLSFPFADEYMKAIMLDMLGGGSETSATILEWAMSELMRNPRVMRRVQEEVRETVGGKGKVTENDINGMNYLRLVIKETLRLHPPVPLLLPRECREACEVLGYQIPEKTRVFVNVWALGRDPRYWDNATEFEPERFESRNSMIDFKGTNFEFLPFGAGRRMCPGMSFGLASIELSLACVLYNFDWEIPTGDDGKPHELDMSETFLLTCRRRSDLCLRAIPRIPISVT >Ma09_p17970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:14693160:14696476:1 gene:Ma09_g17970 transcript:Ma09_t17970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAPSNKRTAAENGDGGIDVVLMRSLGNGEDLGPIVRYAFECGKPEALLHQLRNVVRKKEVEIEELCKLHYDEFILAVDELRGVLVDAYDLKSSLSSENLRLQEVASALLLKLDELLELYLIKKNVTEAIQTLKVCVQVSKLCLACNMHVANNRFYPALKILDLIEKDYLQNTPLKALRKVIDKQIPAIKLHIEKKVCSEFNDWLLHIRSIAKEIGQLAIGQAASARQGDEEKRAHQREAEEQSRSGVNPVYALDCEHIDEDSVLEFDLAPLYRSHHIHTCLGIQEKFCEYYYNNRLNQLNLDLQISSALPFLESHQPFFAQIAGFFIVEAQVLRTSRGLLSESQVESLWDSAMSKMKSVLEDQFSHTNTANHLLLIKDFVTLFGATLLRHGYQVTPLLEVLDNSRDKYHELLLSECRKQIGDILASDTFEQMVIKKEYEYNMNVVSFHLQSSDTVPAFPFIAPFSSSVPDACRVVRSFIEDSVSYLSYGGHINFYDVLKKYLDKLMIDVLNEALLSMIHTGNLGVSQAMQIAANIAVLEHTCDLFLWQAAQLCSVPLHLVERPHAGLTAKAVFKASQNAAYNALLNVVDSKLDEYLALMNSINWTADEAPEHANDYIHEIVIYLDLLISTAQQILPLDALYKVGVGALHHISDSIVATFLSESLKRFNLNAIIGIDNDLKMLEAFADERFQSTGLSDLKRDCIFRDCLVESRQLVNLLLSNQPDDFVDPVIREKDYGALDYKKVATICEKLKDSPDRLFGSLSNRNAKQNSRKKSMDTLKRRLKDFS >Ma09_p17970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:14693160:14696476:1 gene:Ma09_g17970 transcript:Ma09_t17970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAPSNKRTAAENGDGGIDVVLMRSLGNGEDLGPIVRYAFECGKPEALLHQLRNVVRKKEVEIEELCKLHYDEFILAVDELRGVLVDAYDLKSSLSSENLRLQEVASALLLKLDELLELYLIKKNVTEAIQTLKVCVQVSKLCLACNMHVANNRFYPALKILDLIEKDYLQNTPLKALRKVIDKQIPAIKLHIEKKVCSEFNDWLLHIRSIAKEIGQLAIGQAASARQGDEEKRAHQREAEEQSRSGVNPVYALDCEHIDEDSVLEFDLAPLYRSHHIHTCLGIQEKFCEYYYNNRLNQLNLDLQISSALPFLESHQPFFAQIAGFFIVEAQVLRTSRGLLSESQVESLWDSAMSKMKSVLEDQFSHTNTANHLLLIKDFVTLFGATLLRHGYQVTPLLEVLDNSRDKYHELLLSECRKQIGDILASDTFEQMVIKKEYEYNMNVVSFHLQSSDTVPAFPFIAPFSSSVPDACRVVRSFIEDSVSYLSYGGHINFYDVLKKYLDKLMIDVLNEALLSMIHTGNLGVSQAMQIAANIAVLEHTCDLFLWQAAQLCSVPLHLVERPHAGLTAKAVFKASQNAAYNALLNVVDSKLDEYLALMNSINWTADEAPEHANDYIHEIVIYLDLLISTAQQILPLDALYKVGVGALHHISDSIVATFLSESLKRFNLNAIIGIDNDLKMLEAFADERFQSTGLSDLKRDCIFRDCLVESRQLVNLLLSNQPDDFVDPVIREKDYGALDYKKVATICEKLKDSPDRLFGSLSNRNAKQNSRKKSMDTLKRRLKDFS >Ma09_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:14693160:14697095:1 gene:Ma09_g17970 transcript:Ma09_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAPSNKRTAAENGDGGIDVVLMRSLGNGEDLGPIVRYAFECGKPEALLHQLRNVVRKKEVEIEELCKLHYDEFILAVDELRGVLVDAYDLKSSLSSENLRLQEVASALLLKLDELLELYLIKKNVTEAIQTLKVCVQVSKLCLACNMHVANNRFYPALKILDLIEKDYLQNTPLKALRKVIDKQIPAIKLHIEKKVCSEFNDWLLHIRSIAKEIGQLAIGQAASARQGDEEKRAHQREAEEQSRSGVNPVYALDCEHIDEDSVLEFDLAPLYRSHHIHTCLGIQEKFCEYYYNNRLNQLNLDLQISSALPFLESHQPFFAQIAGFFIVEAQVLRTSRGLLSESQVESLWDSAMSKMKSVLEDQFSHTNTANHLLLIKDFVTLFGATLLRHGYQVTPLLEVLDNSRDKYHELLLSECRKQIGDILASDTFEQMVIKKEYEYNMNVVSFHLQSSDTVPAFPFIAPFSSSVPDACRVVRSFIEDSVSYLSYGGHINFYDVLKKYLDKLMIDVLNEALLSMIHTGNLGVSQAMQIAANIAVLEHTCDLFLWQAAQLCSVPLHLVERPHAGLTAKAVFKASQNAAYNALLNVVDSKLDEYLALMNSINWTADEAPEHANDYIHEIVIYLDLLISTAQQILPLDALYKVGVGALHHISDSIVATFLSESLKRFNLNAIIGIDNDLKMLEAFADERFQSTGLSDLKRDCIFRDCLVESRQLVNLLLSNQPDDFVDPVIREKDYGALDYKKVATICEKLKDSPDRLFGSLSNRNAKQNSRKKSMDTLKRRLKDFS >Ma08_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5127318:5136351:1 gene:Ma08_g07500 transcript:Ma08_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVKADAFDFGGNPPLPRSGHTAVNVGKSKVVVFGGFADKRFLDDIAVYDIENKLWFTPECTGSGSDEQVGPSPRAFHVSVAIDCNMFIFGGRSGGKRMGDFWMLDTDIWQWSELTSYGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSITGSVPQPRCGHSATMVEKRLLIFGGRGGGGPIMSDLWALKGLIDEGSCSIFIVEENETPGWTQLKLPGQNPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCIVLDRVSVQWKRLATSNDPPAARAYHSMTSIGSRYLLFGGFDGKTTFGDLWWLVPEDDPIAKRFPSIPSAPPESQSVTVSDGLAESSLKENQNEQSPLVELQKRLGIMISSSNFQMTKNCLNYHQGWLENHCLPGII >Ma08_p07500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5127318:5139173:1 gene:Ma08_g07500 transcript:Ma08_t07500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVKADAFDFGGNPPLPRSGHTAVNVGKSKVVVFGGFADKRFLDDIAVYDIENKLWFTPECTGSGSDEQVGPSPRAFHVSVAIDCNMFIFGGRSGGKRMGDFWMLDTDIWQWSELTSYGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSITGSVPQPRCGHSATMVEKRLLIFGGRGGGGPIMSDLWALKGLIDEENETPGWTQLKLPGQNPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCIVLDRVSVQWKRLATSNDPPAARAYHSMTSIGSRYLLFGGFDGKTTFGDLWWLVPEDDPIAKRFPSIPSAPPESQSVTVSDGLAESSLKENQNEQSPLVELQKRLGIMISSSNFQVNLVDEVDDKELFELSSRLAGESLPTRDHLACIQALRDRWKKSSASSIQLQELGPLLRDYQRLIIHHLENLRSDGPSTISNLTGQKVHRYFHLKSASQLRMDDIPKLLDEYKRLVS >Ma08_p07500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5127318:5139174:1 gene:Ma08_g07500 transcript:Ma08_t07500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVKADAFDFGGNPPLPRSGHTAVNVGKSKVVVFGGFADKRFLDDIAVYDIENKLWFTPECTGSGSDEQVGPSPRAFHVSVAIDCNMFIFGGRSGGKRMGDFWMLDTDIWQWSELTSYGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSITGSVPQPRCGHSATMVEKRLLIFGGRGGGGPIMSDLWALKGLIDEGSCSIFIVEENETPGWTQLKLPGQNPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCIVLDRVSVQWKRLATSNDPPAARAYHSMTSIGSRYLLFGGFDGKTTFGDLWWLVPEDDPIAKRFPSIPSAPPESQSVTVSDGLAESSLKENQNEQSPLVELQKRLGIMISSSNFQVNLVDEVDDKELFELSSRLAGESLPTRDHLACIQALRDRWKKSSASSIQLQELGPLLRDYQRLIIHHLENLRSDGPSTISNLTGQKVHRYFHLKSASQLRMDDIPKLLDEYKRLVS >Ma08_p07500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5127413:5139175:1 gene:Ma08_g07500 transcript:Ma08_t07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFGGRSGGKRMGDFWMLDTDIWQWSELTSYGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYILDTISLEWTELSITGSVPQPRCGHSATMVEKRLLIFGGRGGGGPIMSDLWALKGLIDEGSCSIFIVEENETPGWTQLKLPGQNPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYHNDCIVLDRVSVQWKRLATSNDPPAARAYHSMTSIGSRYLLFGGFDGKTTFGDLWWLVPEDDPIAKRFPSIPSAPPESQSVTVSDGLAESSLKENQNEQSPLVELQKRLGIMISSSNFQVNLVDEVDDKELFELSSRLAGESLPTRDHLACIQALRDRWKKSSASSIQLQELGPLLRDYQRLIIHHLENLRSDGPSTISNLTGQKVHRYFHLKSASQLRMDDIPKLLDEYKRLVS >Ma04_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22674206:22692572:1 gene:Ma04_g20000 transcript:Ma04_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAEMSPPPRGVGSPLLVTCSRKRRLRSEGILSSDDPRPLQVFAPGAEENRPIVDYGRNPLSRESDGLGIRQDEADAQYKLLLEHLNVDDKSYVFEVVIGGSRWRIKYEQDEDSCVTAVRSQPRKRRLSTSSRACNAKKRRKNNGLGQEPCEASGPRAPLSQSGWDVGGSLIDEDYQVFLNHVKACGRSMILQYGNNVTIVYEKERNGGEEVQEQEEEEEEEEEIGNEAVHPMEMEMKLYDDPLQTSSSTASYFDELLQHRSSSFRDRLMDILRKPFDQKEFENMMSLINIRNPIVKYKELRNGSKPYMTNQLGSSYLDCHPDLARRISSAFDDHHKSLFLHGFFFWLKNVGYEGAFKPWVPALPDHIDIECDDTTILSVQNDMSEGEEKKGSEGKEVQEEKEGENNMNIVHEGEEKEWEEVKELKVAEIKLCGKESDVCPGEMEIKSYHGSLQSSTSLGLQASEDVGYSVETLQHTLTSSFQSRLTSVLEMPFDQVEYERLMSLISLYMPEMKDLGDESRPYTTNQLGYSSPDHYPDLVSQIKSADPNRSLLLLRGFFFWLQNRGYEGAYKPWATGDPNKTLQD >Ma09_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6315154:6320034:-1 gene:Ma09_g09600 transcript:Ma09_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSNKIKNAKLVLLGDVGTGKSSLVLRYVKGQFVEFQESTIGAAFFSQAVAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAVIVYDITNLATFARAKKWVQELQAQGSPNTIMALAGNKADLFEARQVSAQEAQTYANENGLFFMETSAKTAINVNDIFYEIAKRLIQAQPVQNPQGMILAGRPSERPSTAAGSCCSAS >Ma09_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34115587:34116699:1 gene:Ma09_g22120 transcript:Ma09_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFPTCALLCSIFILGDLVNGQMVIFHLNNKCPFPVWPAAAPNAGHPVIADGGFLLPPNQTKRVHAPPTWNGRFWGRTGCDFTTTSKPGCQTGDCQGLLSCNGTIGTPPATLVEVALQEDQSKPSFYDVSVVDGYNLPIAVSTKPAYRKCWIGGCTKSINSVCPEELQVLDHNGAAVVACKSACLAFDLDVFCCRNSYGKPETCKPSVYSAMFKDACPSYFSYAYDTPPPLVNCYSREYAITFCPSRWGSLLSQ >Ma08_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37901701:37902904:1 gene:Ma08_g24820 transcript:Ma08_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGQGGQQQFRYTQTPSKVLHVRNLPWECTEEELVALCEPFGRIVNTMCNVGANKNQAFVEFVDLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKSSGDVPGNVLLVTIEGVEAGDVSIDVIHS >Ma01_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28074294:28077366:1 gene:Ma01_g23480 transcript:Ma01_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGNFKLPQFFNFPPFFTLQPVKETREKQVQVWKQLLLDYCRSQKIFVIGLEEDFPLFSNPVIERSLSHEAREVFLSALISEGHAEWMDKDHRKCLMLWLRLQEWADYILNFVKDNGLQDGVMTIEEMRSGTETHELAGIDRGLLLRVLRLLEQKGKATIFKGTSADDDGVKFSV >Ma01_p23480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28074180:28077355:1 gene:Ma01_g23480 transcript:Ma01_t23480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGNFKLPQFFNFPPFFTLQPVKETREKQVQVWKQLLLDYCRSQKIFVIGLEEDFPLFSNPVIERSLSHEAREVFLSALISEGHAEWMDKDHRKCLMLWLRLQEWADYILNFVKDNGLQDGVMTIEEMRSGTETHGTELAGIDRGLLLRVLRLLEQKGKATIFKGTSADDDGVKFSV >Ma11_p05520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4230580:4237502:-1 gene:Ma11_g05520 transcript:Ma11_t05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWCQVAAVVGGAAGGLAFLVIVIGFLWFYFLHCRTPANKSSETGSSDPSTLVEWNRRDRISSSGCGRSARQFTLEELEEATKNFSESNLVGTGSFGLVYKGLLLDGTLVAIKRRVSVPRQKFVEEVEILSEIRHRNLVTLIGYCEEGGLQMLVFEYLPNGGVSRHLYDGEQHSLSRLEFKQRLAIAIGAAKGLAHLHSLAPPLVHKDFKTNNVLVDENFIAKVADAGLHKLVQGSGEIGPQGSSSIFQDPEVGELGGFSEASDVYSFGVFLLEFISGMEVAHCFSLDSHSFLAQWVEAHAGSNDLIDRRLSNAFTSEGMKELIALTLRCLNPSGHNRPKMRAIAVELDQILETEMALTTVMGDGTAIVTLGSQLFTST >Ma11_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4230580:4237502:-1 gene:Ma11_g05520 transcript:Ma11_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWCQAVVGGAAGGLAFLVIVIGFLWFYFLHCRTPANKSSETGSSDPSTLVEWNRRDRISSSGCGRSARQFTLEELEEATKNFSESNLVGTGSFGLVYKGLLLDGTLVAIKRRVSVPRQKFVEEVEILSEIRHRNLVTLIGYCEEGGLQMLVFEYLPNGGVSRHLYDGEQHSLSRLEFKQRLAIAIGAAKGLAHLHSLAPPLVHKDFKTNNVLVDENFIAKVADAGLHKLVQGSGEIGPQGSSSIFQDPEVGELGGFSEASDVYSFGVFLLEFISGMEVAHCFSLDSHSFLAQWVEAHAGSNDLIDRRLSNAFTSEGMKELIALTLRCLNPSGHNRPKMRAIAVELDQILETEMALTTVMGDGTAIVTLGSQLFTST >Ma08_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1359304:1361125:1 gene:Ma08_g01600 transcript:Ma08_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLSQVFGACRLAGELESSLRLSNAAADAHFLLSSCEHIVDAFNKAIDGVRMLTGEGRMRPFELPPIPAAEDDEHPFFEMADFSESPQLSLEVQPSAAAHSEAFAGGGEAQVAVGGASSRGRSSRKRKEGYVTKKVPVLRTGNMEVPPDDGYTWRKYGQKDILNSRFPRSYYRCTHKNYYGCEAKKQVQRLDADPYTLEVTYCGSHTCKTSPTPIMIPSLAHSPIGKDEKDDRTCHGHPQAAPTTTLSTSIQLGNWFEGSSRQEGQTQGGRDVDYCPVAEFADAMFSSGSSGSIMDAIYLPRQGN >Ma01_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3214943:3215857:-1 gene:Ma01_g04710 transcript:Ma01_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVDGGSFGRHHHHHSTTIGSPVAMHFHLLEITIISGQGLFPASRSLQAYASAWVDPKHKLYTRVDRAGVTDPTWNDKFVFRVDDAFLRSETSAVNVHLHAARGRLSPLRDHLLGTVRVVLSALRLAPGAGRCVALQVRRPSTLCPHGILNLGVALHDSDKIMPLSFDLQSLAAFAYKDLIGAKQEKYNSETSPPADCGEPQLERTGSAETERDAEEREREELETKLETWKAEMTPEHETEDQSSSVVGRKKGRRRSWRLSCFSCSGKAHEEKAHEAIIQYS >Ma05_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:206874:214957:-1 gene:Ma05_g00300 transcript:Ma05_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLHPNEIEVKLSGEVGENEKESLTNGDTDLPSKEGNKEEESPSDGEYIKVEKEILVDAEQSSHLLNLIMEVEENLIAVNHQSGNSEANVNFMETREKIKELKVQFETILAKFISSEAEKALLKSKFELTNDKLDKMNKHHEELELGQKLMKNQISEAERKHTLQLESHQEALKATEMKHKELVDIRESFTGLSAELESSRRRIKALEEELLSSVSELHKAEEISKSSSLQAELESRKVLELEKMLEVAHVTAKETEAQISNLQEELKELYEKIAEKKQVEQELQSTSLELSKFQEKLEISKSEAAQLEQNLVSKDAAMHKLIEELNLHKVSDEQLRTNVTVLENMLSASKEDLQTKLVNFEELELRLQEKVKEREMFEACFKDQEVQISSLRNDLSNLAVEKATLDNIVTELNTKLLEKEELHTKFEAKLNVADQDFKKTDSLLSQALSYKDDLEKKLELVEQLHHESRTVTEAATKRNIELEDLVHASNAAEEDLRSQLKDSEMRLASTEKRIMELEQQINLAEIRYLDAQSEIKELNEKITELTASLKEVDEENALSRRRFEGYDDRVDQLESSLSKSFSRNVELENELNDLMKECAEHEEHATARHHHSLKLEDFVQSSHSRAEDAEKRAAELELLLEAANYRMQELEQLLSITEAKHKDVEVESKQYSSKVSELLTELVAYQTQTQSLEAKLQAANEKERELTDILNIVTEERKKIEDLSINQGEKLYESENQIQILQNELKYLREKVEGVQKDLDNSSVREKELLEKLRYAGEQLGHHVKIVEEVTSRNIELNSLNESLVNDSELKLQEVEVSFKERESEAKELHGKLKSLEEQLAFYKEQAIEATESVSSLKAELEAGAVKLVSLVNNVEELKQKVSEANLRGEQTISENELLALTNSKLREELEAQQHEVNELNELLKSIHAEKEATDEQLASHASTIMQLTDEHSRGLELQFATESRLKENEAQLHEAIEKYKQREMQARELNEKLLALETQLRNHEEQASLSAISATSQKGKLEEALCKIQDLDGHVQQLKAKSDQFRTENEGLARQNARFSEELAAYETKMNELQVALNAAVTEKEDISVQLLASKKEMMDLVQLHNSDKEKLQSQITSAMEEHNMVSEMYHKATKELESTIVQLEEKLSEKKAREDSLNSLTENLKAQLAEKSLMQSQIPELEQKLLLAEKTYIQEIESMATAAAQKDAVLSAKLGEHTSVLQERDALDQQLREVLQELDLARRTIIEQKELGSVKESERQASMKQSLDALESKNQHTTLLEKQVEGLQQKLQEAEAHYREKVIEENTKLALVEVELNELRLKQSQTTEMEKKIAELENTLHLARTSAEEVKNETSQAEMQDAAIEVKSRDLGLDTSTLSKRKSKKRSDRVHHDTNAATVSPNAQVTPERSGAMAFKFILGVALVSIFIGVILGKRY >Ma01_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21619784:21621049:-1 gene:Ma01_g21680 transcript:Ma01_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTESKVQHECGQRRHHLLALVATTICFYEHIYRFPLFWVADFILQHMLMQNTRSLLGFDWWLCFLLKPSPPPLRWPCNHRLARRHRHSPKTYTVSCERYFGFGCEIDEEISNKLEGNRVLFVLPDSYVDAENRTMEVSLLVSCEGLHSYALLGICT >Ma02_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19034765:19036694:1 gene:Ma02_g08760 transcript:Ma02_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCHADSSIATCRSISSSDVTSRNPHRKKKKKNSNLPKSLAPPHDVRNDAGGEARDFSHPDICHFTYGELESATANFSDGALLGRGSHGAVYKAVLRSGRQVAVKRPSRRPRLLSSPPPSPAAAPARDEVENEIEILSGIRSPRLVNLIGFTPSDDRRERLLVVEFMPNGTLYDLLHSNPRPPGWARRLRLALQTAKALLTLHSAQPPVIHRDVKSANVLIDQDSNARLADFGLALRDDDDDAAGGKFPSSARSTPPAGTLGYLDPSYVTPESLSTKTDVFSFGILLLEIMSGRKAIDVAHSPPSVVEWAVPLLRKGKVSTLFDPRIPPPKNPLARKQLASVAASCVRSLKEMRPSMDEVVGQLKVLRKTVPFRAWNGLSVGNPCSVVDVERTLTKLNSSSTNQNHNLCSDSRIHGEEEPIATRHEEMPVHVRKLPSTVKGSRSLSSSRRGSTNLLNLMAQPNGELKGESAVDGNSNGGPAMGRAKALRLLHDFYERDATWQSRRKAKDSLKSLWRVDEKDDVDEKAHG >Ma07_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:333414:333999:1 gene:Ma07_g00390 transcript:Ma07_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSLPPHLHHSPPPHQTQHARRPIIPTLIDDGFIILEKLTAYATTTSPSPPMVLSSSSSTPRSMRSPSSSQIHHQPNYYFFPYRINNGGFMVTFAGRKYAARSSSIFVGNSSYASAPQQMHSIVRWVSMCDGRVGAMSDLGLVQ >Ma05_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4238282:4240289:-1 gene:Ma05_g05580 transcript:Ma05_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPQHPARETHLERHGDDEQLLVQGEDEGVPPAKGRDWACVRAPDVAGEPAWSTEGRAKRKSRTSCDTVFLATILHCTLSLGFMRAGEREISTMLARWEKLPEPALALSECELLGAVAAGFNENAVGAAGVVLDEGGAVVDVAVYDDPGGVARRVLVDLRHGVLLVVHHPLHHHLVPSGYVSDTFLHGSGNFSHLPSIIEISQISRSPALMNPSERVQCKLVAKKTVSHDVRLFRFALPSADQAVLRDPENRTEMHLVYANRSEDDILLRDELDGSAREHPEQLKVWYVINEAKRRDQWRYSTGFVTEGILREHIPMGGCDDALALACGPPPMIQFAVVPNLEKMKYDTATSLLLF >Ma01_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:936734:937203:-1 gene:Ma01_g01330 transcript:Ma01_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYVCLMKTLNNIAYAKGSKISGPEDRNQYCLPTTAFIADSEAMTSEDILNKQFTEDLNQVLDIES >Ma02_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16750191:16754060:-1 gene:Ma02_g05450 transcript:Ma02_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKPHLNPNLNLAEDGVRRAVVRVAAKSNPGERHSPTRLCADHGLADAARRPVPCEEESHEVSLEFVPSANNDSSDSVFLQQQQQRLPPAVAATSSSNSSGGSGSGFFPSLPVYLSLSPPPSSLLPLLHSPQHSTATSLLSLLLLPHSLYPSYVHCSLFPLPLHLPVHRCFLALSPLPSFSFTAALIQSLPSFPPLFPVHHCHVFAVCQFETRIAACLAVSLCCLSMFVRTAAACCSESVVKCRL >Ma06_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13917047:13934488:1 gene:Ma06_g19820 transcript:Ma06_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECTAKVECWQPPPLTWHRNLADEEKRKSEFNFIKLNDLGMIYMGYRLCRYFIEETAKGLSAIYNPFRKWMDVSSRGVPLGGMGAGSIGRSYKGYFQCWQLFPGQCEENPVLANQFSVFISRSDGKKYSTVLSPRPEILKGSTSPGIESWDWNLSGQNGTYHALYPRSWTVYDGEPDPDLKITCRQISPFIPHNYRESSFPVAVFTFTLMNSGKSSADVTLLFTWANSVGGKSEFSGNHSNSKMMVRNGVHGVLLHHRTASWQIPVTFAIAAQETDEVCVSECPCFLISGNYKGFTARDMWDELKKYGSFSRLDNNGTTPPSEPGSSIGASVAATVTVPPAATRTVTFSLAWACPKVKFHSGRTYNRRYTKFHGTDADTAAANLVHDAITNYCYWESQIEDWQKPILEDRRLPPWYPVTLFNELYYLNAGGTVWTDGLPSVESLASIEERKFSLDISNLGCKEMNDDIQVNNAAANILTSMSLINKKLQSPITSHSAFGTSLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYSSFALIMLFPKLELSIQRDFAAAVLMHDPEKIQMLTGKRVPRKILGAIPHDLGLNDPWYQVNAYVLHDINRWKDLNPKFVLQVYRDVVATGNRSFAKAVWPSVYIAMAYMDQFDNDKDGMIENEGFPDQTYDMWSVVGVSAYSGGLWVAALQAASSMAQLVGDKVSEDYFWNRYQKAKIVFEQLWNGSYFNYDNSGGISSTTILADQLAGQWYARACGLQPIVDEKKAQSVFEKIYKLNVLKVKGGRCGAVNGIRPDGTMDTSAIQANEIWSGVTYAVAAAMIQEGMSETAFKTAEGIHETAWSHEGLGYSFQTPEAWTPNGQYRSLQYMRPLAIWAMQWALSPPKLHKEELEADWKGKAQMPHLEFSQIASLLKLPEEKESKSIIRVIFEIAREKLSKR >Ma06_p19820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13917561:13934488:1 gene:Ma06_g19820 transcript:Ma06_t19820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAECTAKVECWQPPPLTWHRNLADEEKRKSEFNFIKLNDLGMIYMGYRLCRYFIEETAKGLSAIYNPFRKWMDVSSRGVPLGGMGAGSIGRSYKGYFQCWQLFPGQCEENPVLANQFSVFISRSDGKKYSTVLSPRPEILKGSTSPGIESWDWNLSGQNGTYHALYPRSWTVYDGEPDPDLKITCRQISPFIPHNYRESSFPVAVFTFTLMNSGKSSADVTLLFTWANSVGGKSEFSGNHSNSKMMVRNGVHGVLLHHRTASWQIPVTFAIAAQETDEVCVSECPCFLISGNYKGFTARDMWDELKKYGSFSRLDNNGTTPPSEPGSSIGASVAATVTVPPAATRTVTFSLAWACPKVKFHSGRTYNRRYTKFHGTDADTAAANLVHDAITNYCYWESQIEDWQKPILEDRRLPPWYPVTLFNELYYLNAGGTVWTDGLPSVESLASIEERKFSLDISNLGCKEMNDDIQVNNAAANILTSMSLINKKLQSPITSHSAFGTSLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYSSFALIMLFPKLELSIQRDFAAAVLMHDPEKIQMLTGKRVPRKILGAIPHDLGLNDPWYQVNAYVLHDINRWKDLNPKFVLQVYRDVVATGNRSFAKAVWPSVYIAMAYMDQFDNDKDGMIENEGFPDQTYDMWSVVGVSAYSGGLWVAALQAASSMAQLVGDKVSEDYFWNRYQKAKIVFEQLWNGSYFNYDNSGGISSTTILADQLAGQWYARACGLQPIVDEKKAQSVFEKIYKLNVLKVKGGRCGAVNGIRPDGTMDTSAIQANEIWSGVTYAVAAAMIQEGMSETAFKTAEGIHETAWSHEGLGYSFQTPEAWTPNGQYRSLQYMRPLAIWAMQWALSPPKLHKEELEADWKGKAQMPHLEFSQIASLLKLPEEKESKSIIRVIFEIAREKLSKR >Ma06_p19820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13919416:13934488:1 gene:Ma06_g19820 transcript:Ma06_t19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMGYRLCRYFIEETAKGLSAIYNPFRKWMDVSSRGVPLGGMGAGSIGRSYKGYFQCWQLFPGQCEENPVLANQFSVFISRSDGKKYSTVLSPRPEILKGSTSPGIESWDWNLSGQNGTYHALYPRSWTVYDGEPDPDLKITCRQISPFIPHNYRESSFPVAVFTFTLMNSGKSSADVTLLFTWANSVGGKSEFSGNHSNSKMMVRNGVHGVLLHHRTASWQIPVTFAIAAQETDEVCVSECPCFLISGNYKGFTARDMWDELKKYGSFSRLDNNGTTPPSEPGSSIGASVAATVTVPPAATRTVTFSLAWACPKVKFHSGRTYNRRYTKFHGTDADTAAANLVHDAITNYCYWESQIEDWQKPILEDRRLPPWYPVTLFNELYYLNAGGTVWTDGLPSVESLASIEERKFSLDISNLGCKEMNDDIQVNNAAANILTSMSLINKKLQSPITSHSAFGTSLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYSSFALIMLFPKLELSIQRDFAAAVLMHDPEKIQMLTGKRVPRKILGAIPHDLGLNDPWYQVNAYVLHDINRWKDLNPKFVLQVYRDVVATGNRSFAKAVWPSVYIAMAYMDQFDNDKDGMIENEGFPDQTYDMWSVVGVSAYSGGLWVAALQAASSMAQLVGDKVSEDYFWNRYQKAKIVFEQLWNGSYFNYDNSGGISSTTILADQLAGQWYARACGLQPIVDEKKAQSVFEKIYKLNVLKVKGGRCGAVNGIRPDGTMDTSAIQANEIWSGVTYAVAAAMIQEGMSETAFKTAEGIHETAWSHEGLGYSFQTPEAWTPNGQYRSLQYMRPLAIWAMQWALSPPKLHKEELEADWKGKAQMPHLEFSQIASLLKLPEEKESKSIIRVIFEIAREKLSKR >Ma08_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35378457:35383134:-1 gene:Ma08_g21510 transcript:Ma08_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIRWGELEDDAGDLDFLPQPLVVVGPDENGAKKVIEYRFDDEGNKVRVTTTTRARKLTGARLSKRAIERRSWPKFGDAEYEDAGARFTMVSTEEILLERPRVAGSKAEEPQVAEDPLAAVSKSGAVLMVCRTCGKKGDHWTAKCPYKDLAPPTESLIDNPPGAETAASQSGTGKSTYVPPSMRAGAVRSGTEMKHRNDENSVRITNLSEDTREPDLLELVDAFGPIARIYVAMDQKTGVSRGFGFVNFFNREDAERAINKLNGYGYDNLILRVEWATPRPN >Ma02_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13672306:13675790:-1 gene:Ma02_g02440 transcript:Ma02_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAHGDQCLPSWILVQGQWSEVFRLLGGTLVPRTTTSHGDTIVPGEYFYDGQNLISANGKFELGFFNPGDASNAYVGIWYHNITDKTALWVLNNLAPVTVSPGYLHLTGDGNLELCNAADLVIWVTGTPYGNNTIVRLADSGNFFLEDRDSNTTELQSFDYLTDTLIPGMKLGLDKVTNHATKLISWLNATDPYPGAYSCTMETQGTLPEIIITKGQSLRIFRSGPWNGHVFSGIPRMGNISQLKFAFLSNEQEVYFSFDTINNSGLFRAVMDFRGVFQLLEWSATSSGWTSLWAVPQDQCDFYAFCGANAICTETSSVLCQCLQGFVPKSPANWYQNHFSDGCVRQEALSCSSDGFLHLRSVKLPDTVNATTDSDMTLDECSDWCLKNCSCMAYAVTAWSGCLTWRGDLMDLRKFNQGGDQLYVRLLASNIDSAMDNHVKKTVLVITIPTMLSFLLLASICVVLWRRRVRKQDTIMIKSNQPQTMKGLDYWTGIPAKHVDQRAGRQSLFNEHTYLTETILDLKKDCNLDYTRTLFCFLI >Ma04_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26934545:26935360:1 gene:Ma04_g25110 transcript:Ma04_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQGELPPCQFHCVMEEVMEWFRINTGKFWRGSKSVWVQKSHVQDLLQWTRTLHNTRRNGYCLQNLYVYKCIREYVILILLLEWSNSLIDMLHPTLSSQFLSINPARIHLLFTMVFIYLHSMVIDKSYNIIMQNWIRH >Ma01_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14528238:14537763:-1 gene:Ma01_g19090 transcript:Ma01_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLAGAGAAAAPDPDGPDGVRMTWNNWPRSKLEASKCVVPVAASIAPIRASPSLLVLPYNPLRCKPPCSAVLNPFARVDFAAKIWICPFCFSRNHFPPHYAAISEAVVPGELYPQCTTVEYAPPPLDHYSAPSSPQPPPPVFLFVIDTCLIEEELGFVKSAMRRAIGLLPDHALVGLVTFGTQVHLYELGFADVSKIFVFRGTKEISKDQILDHLGLSASSVRHGAAVGAPGYPKVPQANGFHPSGSVNRFLLPAADCEYALSSLLDELQTDQWPVEAGNRALRCTGVALNVASGLLGACMPGTGARIIALVGGPCTQGPGMIVSKDFSESVRSHKDLDKDAGTHFHKAVKFYDNLAKQLVNQGHVLDLFASAVDQVGLAEMKVAVERTGGLVVLAESFGHPVFKDSFKRIFEDGEQSLGLSFNGTLEINCSKDIKIQGVIGPCTSLEKKGALCADTIVGQGNTTSWKMCGLDRTTCLTVFFEISPSERPNQSGIPNPQLYIQFLTNYQGPEGQMRLRVTTVTRRWVDGSCTEELVEGFDQETAAVVFARYVSLKMEMEQEFDATRWLDRSLIRFCSRFGDYRKDDPASFTLNPNFSILPQFMFNLRRSQFVQVINNSPDETAYFRMLLNRESISNSVVMIQPSLLSYSFNSPPSPALLDVASISADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQVFAQLLQAPQDDAQIIIKNRFPVPRLVICDHHGSQARFLLAKLNPSATYNSAHEVAPGSDVIFTNDVSLQIFCEHLQRLAVQS >Ma05_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9086765:9088860:-1 gene:Ma05_g12570 transcript:Ma05_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSGRCGVQSPIATRSEAGTGAILKRSLTERERLQQQQQMQLQDALRSVKQRTLLASSASSHLPPPPPVDLSSGSSSLTSSNFPASGFARRREMFFSVTQTAAGSGSDNRPSAAIQDRLQELERQLFLDEDDEEDAVSASGSAVTTAEWSDAMQQLTSPPLPPPAAAVAAPNQLSPSPTNSSSTVSSSASSSPPSLSTAAAASSRQMLLDTAVAIADGNVEAAAGNLAVLKRAANHRGDAEQRLTAVMLPALVSCMNPPPTGNFCPSIAELCSAEHFAATQVLYELSPCFKLGLIAANLAILEATKDHPKIHILDFQFGQGGQYLTFLHALAERQRLRPTARSPVIRITAVADPSSPFTRNNGGNLRAVGHQIEKLAERAGLAVRFGVVHRRPADLDAAALGCEPGEALAVNLAFALARIPDESVSPSNPRDELLRRVRALRPRVVAMVEQEINTSTAAFAARFGEACAHYGALLESLDATMARDSAERARVEAWLARRAVNSVATEGADRVERCEVFGKWRARMGMAGFDPLPFGPAMIEPVKAKLASVRSNPGFTIKEEGGRLGFAWKDRVLTVASSWR >Ma04_p40130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37050164:37053981:1 gene:Ma04_g40130 transcript:Ma04_t40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRFFLVYLLSTTLISPTTGADILSPNQSLTDDGQTLISTGGTFQLGFFGSDKRYVGIWYSKVSVRTVVWVANRRQPITGTSGSLSIKTNGTLVITDENATVVWYSSSRALANPVAQLLDNGNFVVRESGSNSNDPNSFAWQSFDFPTDTLLPGMKLGWNLTSGLNRNLTAWTSDSDPAPSEYTMAVDVRGYPEIFLWSRSTRTWRTGPWNGLRFSGIPEMKTYDKLSFDFVVNRDEVFYSFYVHDAAFITRLIVNQSGITQRLVWIEQSKIWNAFWFAPKDQCDKVSQCGPNGVCDPNESPICGCLNGFRPKNPSNWALRDASDGCRRKTELDCRNGTDGFVMVSGVKLPDTSSSVANMSLSLEQCRTMCLTNCSCTAYAAANISVSGTGSGCIMWTTELTDLRVYTNGGQDLYLRLAAADLGSESNPSHQRRIVVIIVVLAVMITLLASTAFCIRRRKKRRSTGMSGNISFSERYINEGGEGKDIDLPLFDLGTIIDATNNFSVHSKLGEGGFGPVYKGNLGEEQEIAVKRLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGCCIQDEERMLIYEYMPNRSLDAILFDKAKVGLLDWRTRYNIIVGIARGLLYLHQDSRFRIIHRDMKAGNILLDKDMCPKISDFGMARIFGGDETEANTRRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIVSGKRNRGVYHSAPQLNLLGYAWSLWKEGRTSELVDESMGDSFPMAEALRCIKVGLLCVQDRPEHRPTMASAVLMLGSDGALLPQPTQPGFVATKGPLESDSSASKQDSVSVNDISITMVEGR >Ma03_p19600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24885706:24894236:1 gene:Ma03_g19600 transcript:Ma03_t19600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQYKCHVSGYHPIRDLDEHTKFSWSPFSEDKSFSWQLCNDFKPRTISSWSTYDKETLKQTMLEHEATFQTQVYELHRLYRIQRELMYEIKRKQLNRFSGPTQTSKSNGDDKRASCNFLKEGVTQCSLISTANGGRASNDRPFDTKLKMFPKRMLDLHLPAEVYIKNEGTEKQDRDNIAESCTMAVENLNINHAVEIENDVMSTLEVPLFGIGTLKSNLHPKNDLSIHSLVDLNEPIKDSCEKGAISSSSHESCGMNVHYKQLHHPWAPMNFRSRFPDRNFSMDKHRDVGTFNYFDTDKVERRQEWPLLHTDSGERKIAVNCIDSSLFSAKYPMSSEAIKLKLDKSQESHFRDQNQTQTWFGEKTTHSRTQDFVNSEHPGYTASQVISPLLVDSSFSHAIATSPEASSWKNPSEGIHHIPVEVQVLQCSSRPSVLNGLSINCNAHKQRNSIGCDKLQNIGDLQQHLRVGNRSSYVNGLYHGSQMYSISLNGTHLSPSNLEKPNLNKNGDSSPYGNSEIYEPQKCLKDLQFADIKSEKDLNLNEGILVGIQDVLADKQNLARKHDESSKSSIWLREQPSFNDSADTKKGCDSRLDLRFIENCSHSPELLGQDAKEKDSSICVLKHSVSSFDIKESIIQRSAVTEINPPDNSKRIFYCKGSVSYYGQSLVDNVKGNRECKAVRNCIPISRNDINLNYDLMPIDDVSADVETRTPSQSLAMVARKPSNKIDLEATADEMKDNNIFHGVEIIDMNHPVMPAEIPKEKISSHDTCMRLAADTILSMSVDVHTHLDNVACYPSALSPCDSLHLLAEVITLNGEIAGQSEGSKYDHCEASDDVFDVFEYMTLKLEEVKADDSCCKQCQNNKQNDEGKSIASLLFTRPRRGQARKRRRRRDFQKDILPALASLSRHEVMEDLQALGGKIRASKSRQTSSTRRSTSSRRSSVQLRGRLRQPSSLAITVTEVHDSPPQLQPIHTELEIDGLNVMAWGRTTRRCRRQRIPSRNTSAP >Ma03_p19600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24885706:24894236:1 gene:Ma03_g19600 transcript:Ma03_t19600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQYKCHVSGYHPIRDLDEHTKFSWSPFSEDKSFSWQLCNDFKPRTISSWSTYDKETLKQTMLEHEATFQTQVYELHRLYRIQRELMYEIKRKQLNRFSGPTQTSKSNGDDKRASCNFLKEGVTQCSLISTANGGRASNDRPFDTKLKMFPKRMLDLHLPAEVYIKNEGTEKQDRDNIAESCTMAVENLNINHAVEIENDVMSTLEVPLFGIGTLKSNLHPKNDLSIHSLVDLNEPIKDSCEKGAISSSSHESCGMNVHYKQLHHPWAPMNFRSRFPDRNFSMDKHRDVGTFNYFDTDKVERRQEWPLLHTDSGERKIAVNCIDSSLFSAKYPMSSEAIKLKLDKSQESHFRDQNQTQTWFGEKTTHSRTQDFVNSEHPGYTASQVISPLLVDSSFSHAIATSPEASSWKNPSEGIHHIPVEVQVLQCSSRPSVLNGLSINCNAHKQRNSIGCDKLQNIGDLQQHLRVGNRSSYVNGLYHGSQMYSISLNGTHLSPSNLEKPNLNKNGDSSPYGNSEIYEPQKCLKDLQFADIKSEKDLNLNEGILVGIQDVLADKQNLARKHDESSKSSIWLREQPSFNDSADTKKGCDSRLDLRFIENCSHSPELLGQDAKEKDSSICVLKHSVSSFDIKESIIQRSAVTEINPPDNSKRIFYCKGSVSYYGQSLVDNVKGNRECKAVRNCIPISRNDINLNYDLMPIDDVSADVETRTPSQSLAMVARKPSNKIDLEATADEMKDNNIFHGVEIIDMNHPVMPAEIPKEKISSHDTCMRLAADTILSMSVDVHTHLDNVACYPSALSPCDSLHLLAEVITLNGEIAGQSEGSKYDHCEASDDVFDVFEYMTLKLEEVKADDSCCKQCQNNKQNDEGKSIASLLFTRPRRGQARKRRRRRDFQKDILPALASLSRHEVMEDLQALGGKIRASKSRQTSSTRRSTSSRRSSVQLRGRLRQPSSLAITVTEVHDSPPQLQPIHTELEIDGLNVMAWGRTTRRCRRQRIPSRNTSAP >Ma03_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24885706:24894236:1 gene:Ma03_g19600 transcript:Ma03_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFRLRIQRELMYEIKRKQLNRFSGPTQTSKSNGDDKRASCNFLKEGVTQCSLISTANGGRASNDRPFDTKLKMFPKRMLDLHLPAEVYIKNEGTEKQDRDNIAESCTMAVENLNINHAVEIENDVMSTLEVPLFGIGTLKSNLHPKNDLSIHSLVDLNEPIKDSCEKGAISSSSHESCGMNVHYKQLHHPWAPMNFRSRFPDRNFSMDKHRDVGTFNYFDTDKVERRQEWPLLHTDSGERKIAVNCIDSSLFSAKYPMSSEAIKLKLDKSQESHFRDQNQTQTWFGEKTTHSRTQDFVNSEHPGYTASQVISPLLVDSSFSHAIATSPEASSWKNPSEGIHHIPVEVQVLQCSSRPSVLNGLSINCNAHKQRNSIGCDKLQNIGDLQQHLRVGNRSSYVNGLYHGSQMYSISLNGTHLSPSNLEKPNLNKNGDSSPYGNSEIYEPQKCLKDLQFADIKSEKDLNLNEGILVGIQDVLADKQNLARKHDESSKSSIWLREQPSFNDSADTKKGCDSRLDLRFIENCSHSPELLGQDAKEKDSSICVLKHSVSSFDIKESIIQRSAVTEINPPDNSKRIFYCKGSVSYYGQSLVDNVKGNRECKAVRNCIPISRNDINLNYDLMPIDDVSADVETRTPSQSLAMVARKPSNKIDLEATADEMKDNNIFHGVEIIDMNHPVMPAEIPKEKISSHDTCMRLAADTILSMSVDVHTHLDNVACYPSALSPCDSLHLLAEVITLNGEIAGQSEGSKYDHCEASDDVFDVFEYMTLKLEEVKADDSCCKQCQNNKQNDEGKSIASLLFTRPRRGQARKRRRRRDFQKDILPALASLSRHEVMEDLQALGGKIRASKSRQTSSTRRSTSSRRSSVQLRGRLRQPSSLAITVTEVHDSPPQLQPIHTELEIDGLNVMAWGRTTRRCRRQRIPSRNTSAP >Ma03_p19600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24890101:24894236:1 gene:Ma03_g19600 transcript:Ma03_t19600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQYKCHVSGYHPIRDLDEHTKFSWSPFSEDKSFSWQLCNDFKPRTISSWSTYDKETLKQTMLEHEATFQTQVYELHRLYRIQRELMYEIKRKQLNRFSGPTQTSKSNGDDKRASCNFLKEGVTQCSLISTANGGRASNDRPFDTKLKMFPKRMLDLHLPAEVYIKNEGTEKQDRDNIAESCTMAVENLNINHAVEIENDVMSTLEVPLFGIGTLKSNLHPKNDLSIHSLVDLNEPIKDSCEKGAISSSSHESCGMNVHYKQLHHPWAPMNFRSRFPDRNFSMDKHRDVGTFNYFDTDKVERRQEWPLLHTDSGERKIAVNCIDSSLFSAKYPMSSEAIKLKLDKSQESHFRDQNQTQTWFGEKTTHSRTQDFVNSEHPGYTASQVISPLLVDSSFSHAIATSPEASSWKNPSEGIHHIPVEVQVLQCSSRPSVLNGLSINCNAHKQRNSIGCDKLQNIGDLQQHLRVGNRSSYVNGLYHGSQMYSISLNGTHLSPSNLEKPNLNKNGDSSPYGNSEIYEPQKCLKDLQFADIKSEKDLNLNEGILVGIQDVLADKQNLARKHDESSKSSIWLREQPSFNDSADTKKGCDSRLDLRFIENCSHSPELLGQDAKEKDSSICVLKHSVSSFDIKESIIQRSAVTEINPPDNSKRIFYCKGSVSYYGQSLVDNVKGNRECKAVRNCIPISRNDINLNYDLMPIDDVSADVETRTPSQSLAMVARKPSNKIDLEATADEMKDNNIFHGVEIIDMNHPVMPAEIPKEKISSHDTCMRLAADTILSMSVDVHTHLDNVACYPSALSPCDSLHLLAEVITLNGEIAGQSEGSKYDHCEASDDVFDVFEYMTLKLEEVKADDSCCKQCQNNKQNDEGKSIASLLFTRPRRGQARKRRRRRDFQKDILPALASLSRHEVMEDLQALGGKIRASKSRQTSSTRRSTSSRRSSVQLRGRLRQPSSLAITVTEVHDSPPQLQPIHTELEIDGLNVMAWGRTTRRCRRQRIPSRNTSAP >Ma03_p19600.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24885706:24894236:1 gene:Ma03_g19600 transcript:Ma03_t19600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQYKCHVSGYHPIRDLDEHTKFSWSPFSEDKSFSWQLCNDFKPRTISSWSTYDKETLKQTMLEHEATFQTQVYELHRLYRIQRELMYEIKRKQLNRFSGPTQTSKSNGDDKRASCNFLKEGVTQCSLISTANGGRASNDRPFDTKLKMFPKRMLDLHLPAEVYIKNEGTEKQDRDNIAESCTMAVENLNINHAVEIENDVMSTLEVPLFGIGTLKSNLHPKNDLSIHSLVDLNEPIKDSCEKGAISSSSHESCGMNVHYKQLHHPWAPMNFRSRFPDRNFSMDKHRDVGTFNYFDTDKVERRQEWPLLHTDSGERKIAVNCIDSSLFSAKYPMSSEAIKLKLDKSQESHFRDQNQTQTWFGEKTTHSRTQDFVNSEHPGYTASQVISPLLVDSSFSHAIATSPEASSWKNPSEGIHHIPVEVQVLQCSSRPSVLNGLSINCNAHKQRNSIGCDKLQNIGDLQQHLRVGNRSSYVNGLYHGSQMYSISLNGTHLSPSNLEKPNLNKNGDSSPYGNSEIYEPQKCLKDLQFADIKSEKDLNLNEGILVGIQDVLADKQNLARKHDESSKSSIWLREQPSFNDSADTKKGCDSRLDLRFIENCSHSPELLGQDAKEKDSSICVLKHSVSSFDIKESIIQRSAVTEINPPDNSKRIFYCKGSVSYYGQSLVDNVKGNRECKAVRNCIPISRNDINLNYDLMPIDDVSADVETRTPSQSLAMVARKPSNKIDLEATADEMKDNNIFHGVEIIDMNHPVMPAEIPKEKISSHDTCMRLAADTILSMSVDVHTHLDNVACYPSALSPCDSLHLLAEVITLNGEIAGQSEGSKYDHCEASDDVFDVFEYMTLKLEEVKADDSCCKQCQNNKQNDEGKSIASLLFTRPRRGQARKRRRRRDFQKDILPALASLSRHEVMEDLQALGGKIRASKSRQTSSTRRSTSSRRSSVQLRGRLRQPSSLAITVTEVHDSPPQLQPIHTELEIDGLNVMAWGRTTRRCRRQRIPSRNTSAP >Ma01_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2528124:2530504:1 gene:Ma01_g03810 transcript:Ma01_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSRIRKDGFERNRDLLPRLIKLYSVCGRIDDAKKLFDRMSKRNSDVFLWTSMICAYAKNGSMMRCFELFVQMLERGVRPDSYTFSGLTKASAEFGFLELTLQLHSMVVRCGCGGCLSVANSLIHAYGSFGVVHEARKLFDRMVVRDVASWSAMIQACSSMGSYADSMALFSRMQLGDSLKPNELTVVALLPACGFFSSLRKGQAVHAYVIRNGYESNLIVGSALVTMYSRCGDPDVAYTIFTSFYQKNLVLWTSMIEGFALNGKYDIALNLFKKMQDQGFHPNYVTLVVILSACSHAGFVDEGLQIFETMQEKFGVKAGVEHYACVVDMLGRGGRLDDAEKFIENMGTRPSGSMLGSLLGACQVHRNVEIGERMAYRLFELEPHNAANYVILSNVYAAVGQWHNVGRVRQMMIAKGLLKDSGCSWIELKDTVYVFGAHDRSHWESDKIYKVLEVLSEEIGRAGYMPATEHVLLDVEENEKKKLLCSHSERLAIAFGLLKVPPEMPIRIAKNLRVCDDCHEAIKLISRVTSRKFVVRDTNRFHHFYRGSCSCGDYW >Ma06_p04110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2973307:2976563:-1 gene:Ma06_g04110 transcript:Ma06_t04110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASAELERRSQYLTSLIQRARVRAEDDVEEKSGRLEAERQQGVDKKGTGDRGSREGAEETQDVRVRAADMPVALQERAFQCAREVLDSMPKLDNKRLALTLKKEFDSLYGPAWHCIVGRSFGSYVTHTLGGFLYFSIDKVYILLFRTAVKTLGH >Ma06_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2973307:2976563:-1 gene:Ma06_g04110 transcript:Ma06_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASAELERRSQYLTSLIQRARVRAEDDVEEKSGRLEAERQQGVDKKGTGDRGSREGAEETQDVRVRAADMPVALQERAFQCAREVLDSMPKLDNKRLALTLKKEFDSLYGPAWHCIVGRSFGSYVTHTLGGFLYFSIDKQQRLLLAW >Ma06_p04110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2973307:2976563:-1 gene:Ma06_g04110 transcript:Ma06_t04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASAELERRSQYLTSLIQRARVRAEDDVEEKSGRLEAERQQGVDKKGTGDRGSREGAEETQDVRVRAADMPVALQERAFQCAREVLDSMPKLDNKRLALTLKKEFDSLYGPAWHCIVGRSFGSYVTHTLGGFLYFSIDKQQRLLLAW >Ma06_p04110.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2973307:2976563:-1 gene:Ma06_g04110 transcript:Ma06_t04110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASAELERRSQYLTSLIQRARVRAEDDVEEKSGRLEAERQQGVDKKGTGDRGSREGAEETQDVRVRAADMPVALQERAFQCAREVLDSMPKLDNKRLALTLKKEFDSLYGPAWHCIVGRSFGSYVTHTLGGFLYFSIDKVYILLFRTAVKTLGH >Ma01_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1762157:1764341:1 gene:Ma01_g02640 transcript:Ma01_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGQRTREFMMSQCTSDSYDRSIDIPMADNNMDSGAGGGDDSQPWLAPQAMATGAQALPWDLYASHLNLFDRTKDDDVLHDGSTRNFFLLGNLPPPLSESMVGNGFPEDALAYQQSLLTTASNLHCHNFGAESSVTREIAGHGKESLKMEGNARIESGSEGSDDDEEHRPARRSGKQHCSKNLFAERKRRKKLNDRLYALRALVPKITKMDRASILGDAIEYVMDLQKQVKDLQDELEETNQEDDGHDKQIGSNLRNSNSQMDVPIPNGWLDHDDSGNNPRTAAAADDNKPSSDKGQQMEPQVEVRQLEANEFFLKVLCEHKQGGFSRLLEAMSSLGLEVTNVSVTTYGSLVLNVFRVERRDDEVVEADRVRDSLLEVTRDPQGWSGLAQAVEYQQQHPQHGHSHHELGFDHHLHYLHHQA >Ma10_p01280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4347380:4352334:-1 gene:Ma10_g01280 transcript:Ma10_t01280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNVASSAESTAVAEKAIGEYTGVVGSETSESSVLNVETSTSAAADEDSCSTLPVTAPAFEFGILKRSASAEGENDVDEEIEEDGNDVSQESGLITRQLFPPQPVPQPFVAASSLPSSSRSQWTDFSFRQADISSSGRVLHPQQQQQQQQQQPQPQPQQQQAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMSNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKAYDKAAIKCNGREAVTNFDPSTYEGQLFTGADSEGHNVDLNLRICQPVVHSPKKDQNLPGILFPFGSFEASALVKVNNPPPQPILVRHDIDMPNESSHAWTAQRLSYYSTNEERAREKKPMMGVQALPSWAWQMQCPTPLPLFSSAASSGFSIASTTTAAIPPLKPHSSWPSPFPPTSHI >Ma10_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4347380:4352334:-1 gene:Ma10_g01280 transcript:Ma10_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNVASSAESTAVAEKAIGEYTGVVGSETSESSVLNVETSTSAAADEDSCSTLPVTAPAFEFGILKRSASAEGENDVDEEIEEDGNDVSQESGLITRQLFPPQPVPQPFVAASSLPSSSRSQWTDFSFRQADISSSGRVLHPQQQQQQQQQQPQPQPQQQQAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMSNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFDPSTYEGQLFTGADSEGHNVDLNLRICQPVVHSPKKDQNLPGILFPFGSFEASALVKVNNPPPQPILVRHDIDMPNESSHAWTAQRLSYYSTNEERAREKKPMMGVQALPSWAWQMQCPTPLPLFSSAASSGFSIASTTTAAIPPLKPHSSWPSPFPPTSHI >Ma10_p01280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4347380:4352334:-1 gene:Ma10_g01280 transcript:Ma10_t01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNVASSAESTAVAEKAIGEYTGVVGSETSESSVLNVETSTSAAADEDSCSTLPVTAPAFEFGILKRSASAEGENDVDEEIEEDGNDVSQESGLITRQLFPPQPVPQPFVAASSLPSSSRSQWTDFSFRQADISSSGRVLHPQQQQQQQQQQPQPQPQQQQAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMSNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFDPSTYEGQLFTGADSEGHNVDLNLRICQPVVHSPKKDQNLPGILFPFGSFEASALVKVNNPPPQPILVRHDIDMPNESSHAWTAQRLSYYSTNEERAREKKPMMGVQALPSWAWQMQCPTPLPLFSSAASSGFSIASTTTAAIPPLKPHSSWPSPFPPTSHI >Ma01_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7479832:7486662:1 gene:Ma01_g10390 transcript:Ma01_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGVGGYSYLLEPLWWTGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILQEKLHALGILGCVMCIAGSVVIVIHAPQERAITSVQEIWNMATQTAFLLYVASVIVIVFVLVFHFAPLYGNSNVLIFTGICSLMGSLSVMSVKALGTSLKLTFEGTNQLIYPQTWYFMLVVVTCVITQMNYLNKALDTFNTAIVSPIYYVMFTTLTIVASVIMFKDWDGQGLGSIISEICGFVVVLSGTILLHVTKDFDRNASRSLYAPLSPTLTTRLCSGNGEMLKHVVEDAAYPEDACLRRQGLY >Ma01_p10390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7479832:7486662:1 gene:Ma01_g10390 transcript:Ma01_t10390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGVGGYSYLLEPLWWTGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILQEKLHALGILGCVMCIAGSVVIVIHAPQERAITSVQEIWNMATQTAFLLYVASVIVIVFVLVFHFAPLYGNSNVLIFTGICSLMGSLSVMSVKALGTSLKLTFEGTNQLIYPQTWYFMLVVVTCVITQMNYLNKALDTFNTAIVSPIYYVMFTTLTIVASVIMFKDWDGQGLGSIISEICGFVVVLSGTILLHVTKDFDRNASRSLYAPLSPTLTTRLCSGNGEMLKHVVEDAAYPEDACLRRQGLY >Ma01_p10390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7479833:7486662:1 gene:Ma01_g10390 transcript:Ma01_t10390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGVGGYSYLLEPLWWTGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILQEKLHALGILGCVMCIAGSVVIVIHAPQERAITSVQEIWNMATQTAFLLYVASVIVIVFVLVFHFAPLYGNSNVLIFTGICSLMGSLSVMSVKALGTSLKLTFEGTNQLIYPQTWYFMLVVVTCVITQMNYLNKALDTFNTAIVSPIYYVMFTTLTIVASVIMFKDWDGQGLGSIISEICGFVVVLSGTILLHVTKDFDRNASRSLYAPLSPTLTTRLCSGNGEMLKHVVEDAAYPEDACLRRQGLY >Ma03_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27288140:27301309:-1 gene:Ma03_g22540 transcript:Ma03_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHHLPSTEPDQGPAAKRSRPVPPSRPLQPGANPVRVELNPADCDLDFDINGNGLQGQALTEEGFAYCWSGARANVGIIGGKYCFGCKIISDQAVDMADTPPDKQHVCRVGISWAADPVGNLGETTNSFGFGGTGKFSSSGKFSDYGTKFGVSDTIICAVDLDNKPLASIGFSKNGKWLGVARHFDASSRGLGVVELSHQGLPWESALFPHVLLKNVVVQLQFSIEDGLVPEEGYKPWASALQDANAVIGPTFTSPSQCEVIMMVGLPASGKTTWAEKWIKEHPEKRYVLLGTNLALDQMKVPGLLRKHNYGERFECLMDRATKIFNTLLTRATKTPRNYILDQTNVYRSARNRKLKTFVNYRKIAVVVFPTPDELRFRAEKRFKEMGKDVPAKAVNEMIVNYVLPLTKNMHGSNELFDEVIFPEIGREEAQRHLDEMKRTLLSPNLSAKRDLSPFAHEHSIRSFPVPNVATVEASPVSHFPRASVNLSASHPSLSVPCRDSSSGFQVSTCHTHFYDNTMYSSRGSSGDHRTMLGYTGLPMQSDSAYRDYSKYGVTSCHGTDPLPYASYTGSNFPTGNTYDRSSIPYGDMSLYQTYNTADAFRRPSFDGFSSSAKVINYENPLTPTLSRSPVGQLLDPGFPHIHHPAAREPPYEWRSPAQSTHGSNYGAPLPRPPYRDPPDQINPRPGQWYH >Ma00_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45932149:45933454:1 gene:Ma00_g05320 transcript:Ma00_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPALWADKLGNSWRTTFDINDSWESMVSRADQNEVYAEHARPGGWNDPDMLEVGNGGMSNDEYIVHFSLWAASKAPLIIGCDVRSMTKETLAILGNEEVIAVNQDPLGVQAKKVRMYGDSEVWAGPLSRYRTVVILLNRSPEFRTITAQWDDIGLPPNTVVEVKDLWKHVTLEKRFVNELTADVHHHACKMFLLTPLTLSEEDEPKV >Ma06_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10018692:10020467:1 gene:Ma06_g14620 transcript:Ma06_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSVYQGFPSSSSVSFVFLGRSIRSSRADSVHRMNAHHHMATAEQQELCAFQRCVADHFLDLSAVGADGLLSLSWVRKLLHAFLVCHEEFRALLVDRWALIALPPLEHLIADFSDRAVKALDICNAARDGVNQLRRLLAQLEIVVAALGPAAASTSRRRIGEGQLRRSRKALGEVAVVLDDKDAGSILSHSNRSFGRSGNADPFSSPSGGRRSSHFRSTSSTVSRSWSAARQLQAIGSNTTAPRGHEVDASAGLAVPIYTMTAVLLFSMHSLVAAIPCRDRGLQAHFSVPRTFPWSAPIVSLHMRIMEESKKKDRRNSAGLLKEIQQIERCTHQLSELLDTIQLPMSEEEEKEVRQRVEELSEVCDALKDGLDPLERQVREVFLRIVRSHTESLDCLSHIAQ >Ma05_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:656613:660150:1 gene:Ma05_g01110 transcript:Ma05_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVEVADAAGLMPKDGHGSANAFVEVEFEGQRHRTQAKHKDLSPAWNETFVFNVSDPARLADQTIDVSVYHDRKSGGGTGDGGRHGRGHGHHRNFLGRVRIAGASIALSDADAPLQRFPLEKRGLFSYIRGDVALRVYAMPESAAEVPVITPFVEVEQAPVENKEKKASSSVVPEEERRVFYSVEAGGGGGAAPTGGGGAAPTTGGLSFEFAQMKQAMTGQPPAFNMSPVFNVSHAQARADAPFPPTVIQAGPPAVRPGNDFGLVETAPPLAGRPGYRRGFGGSDKIASTYDLIEQMRYLYVNVVKARHLPTMDLTGSLDPYVELKLGNYKATTRYLAKNSNPVWHEVFAFFQHRLQSNLLEVVVKDKDLVKDDFVGRLAFDLAEVPLRVPPDSPLAPQWYKLEDKKGEKLPNGELMLAVWMGTQADEAFPDAWHSDAHDVGLDALATTRSKVYFTPRLVYLRVVVLEAQDLVPHDKNHPPSNVSLKLRLGHQFRSTRHVRGSLNPTWNEEVMLVASEPFDEHLVLTVEDRVAADREEPLGRLVLPLSAAFTRTDHHKIMEPRWFSLAKPTASDEKAGDGEKKEHKFSSKIRLQLYLELGYHVLDESTQFSSDLEPASKLHRKQRIGILELGILGARNLMSMKANKDGRTTDAYCVAKYGPKWVRTRTLLNTLTPQWNEQYTWEVFDPCTVVTVAVFDNCHLAGHKDDARDQRIGKVRIRLSTLEADRVYTHFYPLLALHTSGLRKTGELHLAVRFTCTAWVNMVALYGKPLLPKMHYVQPISMRQMDILRREAMVIVAARLGRAEPPLRREVVEYMLDTDLHMWSLRRSKANFVRLTTLLSGVTSAGRWLEGVRSWRNPVTTILVHVLFLILVVYPELILPTVFVILFMVGAWNYRFRPRHPPHMDTKLSHAELAHPDELDEESDTFPSSKAADLVRMRYDRLRSVAGKLQTVAGDMATQGERARALLSWRDPRATTIFILLSLAVAVFLYVTPFQVVAVVVGLFLLRHPRFRSRMPSTPFNFYKRLPANSDVLL >Ma11_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21203942:21211411:1 gene:Ma11_g15510 transcript:Ma11_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHSPLTPKQIVAAVCIFAAGVAIFATGAHLSYVNVGPQRARTLARDEFVRDHFRKKYGSGE >Ma11_p15510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21203942:21211411:1 gene:Ma11_g15510 transcript:Ma11_t15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHSPLTPKQIVAAVCIFAAGVAIFATGAHLSYVNVGPQRARTLARDEFVRDHFRKKYGSGE >Ma05_p26240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37919834:37921793:-1 gene:Ma05_g26240 transcript:Ma05_t26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAFGDMVSARKLFDLSSELLDVVSWNTVIDGYVKVGALEVARRLFEEMPVRNEVSWSAIINGYAGKGELDVARSLFDRMPVQRNAVTWNSMVSGFARHGLLPVARKFFDEMPMRNIVSWNSMVSGYALNGEMDAARKLFDQMPERDVVSWSCMVSGYAQSNQFLAALQLFKEMQKVRMIKPNEVTMVSVLSACAHLAALEQGKWVHAYIDKNHMTLDDDQNLGAALIDMYAKCGSIDIALKLFQSLDRKNVSSWNALITGLAINGAASESLEAFEQMQKSGLKPDDITFVGVLMACVHGGLVHEGQQYFESMTKVHGIQPQMKHYGCMVDLLGRAGLLEEAEGIARSMPMKPDIMVLGALLGACRIHKDVAVADRVKKDVLRLKAEQSGCHVLLSNIFAAAGRWADASEVRSSLKQTGIRKDPGSSSVELDGTVYEFVAGSCSLPEASAMYAWLDKMGSDLRHQGYSPVTQDVLLDLSEEDKETWLSRHSEKLALAFALLRFAPHSTIRIVKNLRICGECHSFVKHVSKFSSQEVIIRDRIRFHHFKDGTCSCNDYW >Ma07_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27398977:27399114:1 gene:Ma07_g19370 transcript:Ma07_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQILSVIYLNGVINDFAIKPAHTLKCFNHQKKGKQAKKNKRRH >Ma04_p34180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33484995:33488978:-1 gene:Ma04_g34180 transcript:Ma04_t34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSIASRMLFLPRSSSSRPNNHSQNYRSGWQLFHSLPLYTVSSTGKLKRDRASSVIVSVATEDLDVIPVQSSDSTDQQDGLIPMVGREQQEEENSLQGPSSPVGGFALESGGGVMGGGSSGGVGFSSSSATVIGEEDMNKLVDRAINAAIVLAAGTFAITKLLTIDSEYWHGWTLYEILRYAPQHNWTAYEEALKTNPVLAKMMISGIVYSLGDWIAQCYEGKPLFDFDRARMFRSGLVGFSLHGSLSHYYYHFCEALFPFQDWWTVPAKVVFDQTAWSAIWNSIYYVVLGILRLESPANIFTELRATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSDATLDSNVKSVSAASEESTK >Ma06_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10355788:10364058:-1 gene:Ma06_g15220 transcript:Ma06_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASENSVPIWTARKAENAWVIPSSSNALYGSSDVSLFSSSLPVLRHDKLNFRDSHVGIQSTVNAASKLKKLNNDMEGKDPLDDLDLQEIGVMLPDDEEALLSGIMDDFDLNGLPGQVDELEDYDLFGSVGGMELDSDPTESIAIGVAKSSVSDGSLGNGFNQYSLPNGVGTISGEHPYGEHPSRTLFVRNINSNVEDSELQLLFEQYGDIRSLYTACKHRGFVMISYYDIRSARSAMRALQNKPLRRRKLDIHFSIPKDNPSDKDMNQGTLVIFNLEHSVSNDDLKQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRSLNKSDIAGKRIKLEPSRPGGSRRSLMQQLTHELEQDETRVYRHHGGLSTANSPPGPWAQFSSPNDNNPLQIFSKSPSGAAMSPIGNNDMSGLSSLLSPKISSVKIAPIGKDQNRASHPDQISSSSSPFLGGGYQQSHSFPDHGHGVLTSTPGNLTSFGPSTPNASGVGSLTGPQFLWGNLTSYMDNVQSSSWQSRATGSSIMSNGQGQAHSFLYSSHHGSFLGSSHNQHPHHVGSAPSVPFVRQYGFFPESPKTSLMNQVPFRNIGINQNGGSLHINMTPRATVNEGIISGNMPDNSSPNVRMMPSQRFGPVLFHNAPYSGPSSIGINGLVDRNRSRRADNQGIQLDNKQYQLDLEKIIKGEDTRTTIMIKNIPNKYTSKMLLAAIDETHKGAYDFLYLPIDFKNKCNVGYAFINMVSPAHIISFYEGFNGKKWEKFNSEKVASLAYARIQGRAALVAHFQNSSLMNEDKRCRPILFDSEGAEAGDQELFPLSSTRVYQEDRTVNLLQSAQGISPNGNPEKSAFSATSSED >Ma09_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34395076:34395920:1 gene:Ma09_g22460 transcript:Ma09_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLLSKSPQSFSLELSDSSHLFNSFTRFSKPCSSGTCLSATSPLLTAPDSLLLDSPLIIELNDHSTKNLPKFICSKANKTPALDAGSLDAGIKPSYVQQHKQGTAHVSVSVSLLIYCELMRSLALLPSKIHGLAPPHGS >Ma02_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29148178:29149096:1 gene:Ma02_g24630 transcript:Ma02_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPYLPPVEASADRKRRRDEMVEIEEEGESPEISSPEAKRLLLDILDDDADAGDQDLASVMKSLEEEIALPSPPPQPQLLPLAAVDQPDLGFLFEASDDELGLPPQADERCEAPEPEVAEESAGFGQIWGFDDGDLCGYEGFEFGIRPEERAEADDGVVFDGGLFDYADVVPCGPSDFADLSWRPETLPAV >Ma09_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:550902:552847:-1 gene:Ma09_g00810 transcript:Ma09_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVSHYPAAPAYRLRHLPLTWRFMLPATPRLHLPTGTLYPGARISRCPDARAPLRSNRRPLSPPLAMAYEATSTATAANGKQDLLVFDSDEELSVSLAKYTAELSEKFVQERGVFTVVISGGSLVKSLRKLTESPYLESVDWAKWHVFWVDERVVPKDHEDSNYKLAFDSFLSKVPIPPVQVYAINDASSAEGAAEDHEAALKNLVNAGVIVVSTTGYPRFDLMLMGMGPDGHIASLFPGHALLSMTEKWVTYVKDSPKPPPERITFTFPVINASAYVALVVTGAGKAGAVFKALGSEKTSSDLLPVEMVSLEDGKFTWFTDKAALSMLHDKASL >Ma10_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25006801:25007755:-1 gene:Ma10_g11480 transcript:Ma10_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMKRHQVPAFGYWDYCDELPITRYFESAVQAGLIRGHFFGEDDDVFNVSAQVRPAYLNHHRKVKKAGDIGGEKHYGKGQQRKQVKVISDLKIQATPRRHRAPKAVDEDLYKIPPELLYQKPKRKRSLKKLWSGCMGLNSVA >Ma07_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2148379:2152190:1 gene:Ma07_g02710 transcript:Ma07_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La1 [Source:Projected from Arabidopsis thaliana (AT4G32720) UniProtKB/TrEMBL;Acc:A0A178V700] CFSMNSQVNSVRLPRHVSDKRHFCGTALIEFSEEADATKLLEEKLVFAGAELEIKPKKDFDSKRERMWKDAEKSRFNRNASNGSYPKGVIVAFKLKKKQEDKCVEHNGADKVNDDAGFCKAEQGLNTASDESEQLAVAVGESTDGVDKENETKAAEDVPKEREEEVTDDVAEDRENKDDKGPSTDSVEVKNPVPDNESDNDSIVTREDLKQIFQKFGAVKYVDYRMGEESGYIRFDDPDAATKSRAMAVLVDDGGLTVKNYIVTLEALTGESEKEYWNLLRSNQERHRGRGGKYNRGGRTHGKRPWHTDSAERQPRKSHKVEATA >Ma05_p27850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38976762:38985706:1 gene:Ma05_g27850 transcript:Ma05_t27850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGTVGRSGFLRKPNESLRLIITTVIGVAFGYLIGISFPTVNIIKLHFPSSILSYIEERNSGTTTQSLLNHPWASSSNQNRNNSTSSSTNLLKIYVPTNPRGAERLPPDIVVSESDFYLRRLWGNPDEDLATKQKYLVTFTVGYEQKRNIDAVVKKFSEDFTILLFHYDGRTSEWDEFEWSKQAIHVSVRKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEHFDAEKYIKLVKKYGLEISQPGLEPNNGLTWQMTKRRGDREVHKETEERPGWCADAHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGLAENGRAPWEGVRQRCRKEWGMFQTRMSDAEKAYFRAMGISPPNSTVR >Ma05_p27850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38976754:38985706:1 gene:Ma05_g27850 transcript:Ma05_t27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGTVGRSGFLRKPNESLRLIITTVIGVAFGYLIGISFPTVNIIKLHFPSSILSYIEERNSGTTTQSLLNHPWASSSNQNRNNSTSSSTNLLKIYVPTNPRGAERLPPDIVVSESDFYLRRLWGNPDEDLATKQKYLVTFTVGYEQKRNIDAVVKKFSEDFTILLFHYDGRTSEWDEFEWSKQAIHVSVRKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVEHFDAEKYIKLVKKYGLEISQPGLEPNNGLTWQMTKRRGDREVHKETEERPGWCADAHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGLAENGRAPWEGVRQRCRKEWGMFQTRMSDAEKAYFRAMGISPPNSTVR >Ma01_p12540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9136359:9162747:1 gene:Ma01_g12540 transcript:Ma01_t12540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSKCKVVVLVLLFVWVAITGLYELLKPVPSGCIMTYMYPMYIPIPTPANVSSDKYGLFLYHEGWKKIDFDEHLKKINGVPVLFIPGNGGSYKQVRSLAAESERAYQGGPLELTFYQEASVVPEKTKTKSEDLDNFVLPTQYNHKLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYQESKEARSKEGADVSGSLPSSVILVGHSMGGFVARAAIVHPYLRKSAVETIITLSSPHQLPPIALQPSLGHYFSQVNEKWRKGYEMQTNNIGHIISGPKLSHVVIVSVSGGIYDYQVRSKLASLDGIVPFTNGFTIGSSGMNNVWLSMEHQSILWCNQLVVQISHSLLSMVNSETGQPYPSVARRILVLTKMLQSGIPHSLNWLGDMHTSQVSIKLPIKDAARHTDPPVQDRYSCPPSVHWSDDGLEKDLYIESTTVTVLAMDGKRRWLDIKKLGSNGRGHFIFVTNLAPCSGVRLHLWPEKSKKSLLGELPVSRRTIEVTSKMVHIPAGPAPRQIEPGSQTEQAPPSAFLQLTPEELHGFRFLTISVAPHPTVSGRPPPAASMAVGQFFNPEEGERKFSAAMLLRSSYVQEEMLLKEDHPLAFNLSFSISLGLLPTILSLKTTGCGIKSTAEQTGDDEQSRFCKLRCFPPVALAWDSVSGLHVIPNMYTETITVDSSPAMFDSSPESDKTIVFLLVDPHCSYTMGISVSLTAAASRFCLSYSSQITGFMIAAVFFALMRQARAWELDASLPSILSALEFNLRMPLPFLIFAVLPVFVSLPVLLLTRQHVPPIASYISLSVLCYLVANGSVMILILSSQLILYAAATIHVYIKKSWLAWEDNFQIAFLNQIFRFTSIFYSLKIVQILRGSPNFVVAFVAIPLVCFVHPALGLIVLLISHAFQCHAALCSFLTASLRSHAQRREFSGSGTNCKPFLLSKCGTTDDFDSLLPVDEISPTSPNTVKSFGDSQLEFFNYQHSILILHLLATLMFLPSLVAWLQRIGMGQSFPWLIDSVLCMGVILHGLCGSRPDVNSIYLPLQGSHGREVGFGLVYFLAGYFTFLNTLVSTPYRAFYAMAAIGTISCILKSIDKRNRERGDIHSRSRRRHSHKH >Ma01_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9136359:9162747:1 gene:Ma01_g12540 transcript:Ma01_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSKCKVVVLVLLFVWVAITGLYELLKPVPSGCIMTYMYPMYIPIPTPANVSSDKYGLFLYHEGWKKIDFDEHLKKINGVPVLFIPGNGGSYKQVRSLAAESERAYQGGPLELTFYQEASVVPEKTKTKSEDLDNFVLPTQYNHKLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYQESKEARSKEGADVSGSLPSSVILVGHSMGGFVARAAIVHPYLRKSAVETIITLSSPHQLPPIALQPSLGHYFSQVNEKWRKGYEMQTNNIGHIISGPKLSHVVIVSVSGGIYDYQVRSKLASLDGIVPFTNGFTIGSSGMNNVWLSMEHQSILWCNQLVVQISHSLLSMVNSETGQPYPSVARRILVLTKMLQSGIPHSLNWLGDMHTSQVSIKLPIKDAARHTDPPVQDRYSCPPSVHWSDDGLEKDLYIESTTVTVLAMDGKRRWLDIKKLGSNGRGHFIFVTNLAPCSGVRLHLWPEKSKKSLLGELPVSRRTIEVTSKMVHIPAGPAPRQIEPGSQTEQAPPSAFLQLTPEELHGFRFLTISVAPHPTVSGRPPPAASMAVGQFFNPEEGERKFSAAMLLRSSYVQEEMLLKEDHPLAFNLSFSISLGLLPTILSLKTTGCGIKSTAEQTGDDEQSRFCKLRCFPPVALAWDSVSGLHVIPNMYTETITVDSSPAMFDSSPESDKTIVFLLVDPHCSYTMGISVSLTAAASRFCLSYSSQITGFMIAAVFFALMRQARAWELDASLPSILSALEFNLRMPLPFLIFAVLPVFVSLPVLLLTRQHVPPIASYISLSVLCYLVANGSVMILILSSQLILYAAATIHVYIKKSWLAWEDNFQIAFLNQIFRFTSIFYSLKIVQILRGSPNFVVAFVAIPLVCFVHPALGLIVLLISHAFQCHAALCSFLTASLRSHAQRREFSGSGTNCKPFLLSKCGTTDDFDSLLPVDEISPTSPNTVKSFGDSQLEFFNYQHSILILHLLATLMFLPSLVAWLQRIGMGQSFPWLIDSVLCMGVILHGLCGSRPDVNSIYLPLQGSHGREVGFGLVYFLAGYFTFLNTLVSTPYRAFYAMAAIGTISCILKSIDKRNRERGDIHSRSRRRHSHKH >Ma01_p12540.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9136353:9162748:1 gene:Ma01_g12540 transcript:Ma01_t12540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSKCKVVVLVLLFVWVAITGLYELLKPVPSGCIMTYMYPMYIPIPTPANVSSDKYGLFLYHEGWKKIDFDEHLKKINGVPVLFIPGNGGSYKQVRSLAAESERAYQGGPLELTFYQEASVVPEKTKTKSEDLDNFVLPTQYNHKLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYQESKEARSKEGADVSGSLPSSVILVGHSMGGFVARAAIVHPYLRKSAVETIITLSSPHQLPPIALQPSLGHYFSQVNEKWRKGYEMQTNNIGHIISGPKLSHVVIVSVSGGIYDYQVRSKLASLDGIVPFTNGFTIGSSGMNNVWLSMEHQSILWCNQLVVQISHSLLSMVNSETGQPYPSVARRILVLTKMLQSGIPHSLNWLGDMHTSQVSIKLPIKDAARHTDPPVQDRYSCPPSVHWSDDGLEKDLYIESTTVTVLAMDGKRRWLDIKKLGSNGRGHFIFVTNLAPCSGVRLHLWPEKSKKSLLGELPVSRRTIEVTSKMVHIPAGPAPRQIEPGSQTEQAPPSAFLQLTPEELHGFRFLTISVAPHPTVSGRPPPAASMAVGQFFNPEEGERKFSAAMLLRSSYVQEEMLLKEDHPLAFNLSFSISLGLLPTILSLKTTGCGIKSTAEQTGDDEQSRFCKLRCFPPVALAWDSVSGLHVIPNMYTETITVDSSPAMFDSSPESDKTIVFLLVDPHCSYTMGISVSLTAAASRFCLSYSSQITGFMIAAVFFALMRQARAWELDASLPSILSALEFNLRMPLPFLIFAVLPVFVSLPVLLLTRQHVPPIASYISLSVLCYLVANGSVMILILSSQLILYAAATIHVYIKKSWLAWEDNFQIAFLNQIFRFTSIFYSLKIVQILRGSPNFVVAFVAIPLVCFVHPALGLIVLLISHAFQCHAALCSHAQRREFSGSGTNCKPFLLSKCGTTDDFDSLLPVDEISPTSPNTVKSFGDSQLEFFNYQHSILILHLLATLMFLPSLVAWLQRIGMGQSFPWLIDSVLCMGVILHGLCGSRPDVNSIYLPLQGSHGREVGFGLVYFLAGYFTFLNTLVSTPYRAFYAMAAIGTISCILKSIDKRNRERGDIHSRSRRRHSHKH >Ma01_p12540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9136198:9162747:1 gene:Ma01_g12540 transcript:Ma01_t12540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSKCKVVVLVLLFVWVAITGLYELLKPVPSGCIMTYMYPMYIPIPTPANVSSDKYGLFLYHEGWKKIDFDEHLKKINGVPVLFIPGNGGSYKQVRSLAAESERAYQGGPLELTFYQEASVVPEKTKTKSEDLDNFVLPTQYNHKLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYQESKEARSKEGADVSGSLPSSVILVGHSMGGFVARAAIVHPYLRKSAVETIITLSSPHQLPPIALQPSLGHYFSQVNEKWRKGYEMQTNNIGHIISGPKLSHVVIVSVSGGIYDYQVRSKLASLDGIVPFTNGFTIGSSGMNNVWLSMEHQSILWCNQLVVQISHSLLSMVNSETGQPYPSVARRILVLTKMLQSGIPHSLNWLGDMHTSQVSIKLPIKDAARHTDPPVQDRYSCPPSVHWSDDGLEKDLYIESTTVTVLAMDGKRRWLDIKKLGSNGRGHFIFVTNLAPCSGVRLHLWPEKSKKSLLGELPVSRRTIEVTSKMVHIPAGPAPRQIEPGSQTEQAPPSAFLQLTPEELHGFRFLTISVAPHPTVSGRPPPAASMAVGQFFNPEEGERKFSAAMLLRSSYVQEEMLLKEDHPLAFNLSFSISLGLLPTILSLKTTGCGIKSTAEQTGDDEQSRFCKLRCFPPVALAWDSVSGLHVIPNMYTETITVDSSPAMFDSSPESDKTIVFLLVDPHCSYTMGISVSLTAAASRFCLSYSSQITGFMIAAVFFALMRQARAWELDASLPSILSALEFNLRMPLPFLIFAVLPVFVSLPVLLLTRQHVPPIASYISLSVLCYLVANGSVMILILSSQLILYAAATIHVYIKKSWLAWEDNFQIAFLNQIFRFTSIFYSLKIVQILRGSPNFVVAFVAIPLVCFVHPALGLIVLLISHAFQCHAALCSFLTASLRSHAQRREFSGSGTNCKPFLLSKCGTTDDFDSLLPVDEISPTSPNTVKSFGDSQLEFFNYQHSILILHLLATLMFLPSLVAWLQRIGMGQSFPWLIDSVLCMGVILHGLCGSRPDVNSIYLPLQGSHGREVGFGLVYFLAGYFTFLNTLVSTPYRAFYAMAAIGTISCILKSIDKRNRERGDIHSRSRRRHSHKH >Ma11_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17559011:17560050:1 gene:Ma11_g13260 transcript:Ma11_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCMYVCMYVCIYIYIHLHIYVLPIGSSQDSSSSFFHLRSTDTGQTVLCHLKKNYNTDMVRINIFFNIYNI >Ma02_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28203038:28206499:-1 gene:Ma02_g23030 transcript:Ma02_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNREKALKARETAERKFNAKDVKGAKKFALKAQNLFPSLDGISQMIATLDVYLAAEKRINGESDWYAILSVNAFADEETLRKHYRKLALQLHPDKNKSVGAEGAFKLISEAWSVLSDNKRKMTYDQNRNVNGYQNKDHSVHSSANGFHSSSNASTSNKRARKSNNASGPSAVSQSHTINLNTFWTSCKQCRMQYEYLRIYLNHNLLCPNCHQAFLAVEIGIPGNAANSSIPWSAKQHQQNSNHNYTLKNGYSSGFSTSTFPGTGPTESQNGGNLDSYSHQNFQWSSFSGSAGTASTTDSAFQAANLNHKKFEKMKRKHEKAQAAAQREESFRTDGHIYKSTIDESGNYNAGHTVSGYGRGRSISKVGRPAKRRSNGDQSSIYHQTDEAENLHTNVQKTVNPDVQKANCVLEDIPRTRMTARQNSFVREFSQINIRQMLVEKAKATVVEKLKEWNLAQTTKLGEKEKLKQKNGQEAVVMDGDTDKQACLDDSHDKDSTHDHDDNLDKKVLKLVSIDVPDPDFYDFDRDRQERTFEGDQVWATYDSEDGMPRLYAMVQKVLSLNPFRIRMSFLNSKSNSELGPINWIDSGFAKTCGEFRVSRYQISDTVNIFSHKVRWDKGPRGVIRIVPKKGDTWALYRNWSPDWNELTPDDVIYNYEMVEVLDDYNDEDGVSVIPLVKVAGFKAVFHRHMGLTEIKRISREEMFRFSHQVPSHLLTDEEAHNALKGCLELDPAATPVELLQIITEVKEDLGMEANEQQSGN >Ma10_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26101453:26103469:1 gene:Ma10_g13210 transcript:Ma10_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLFRFFCGKSSESTPNDKHQSLGPHGVTGATVGVSALARDILHFEITSQVPEALSQSVVSSKKAQANWYRKLLVAWREAKPPPTTPEEASRLVIRTLKRHQKADVEGLLAYYGLPLRNTLPEIPAAQSSKPEGAKDELQTLPVDAKAVADGDTITVYVDTADPRESAKVPRGVHEAAIERARARAVKDYKKADALQKVITDAGYRVIAGPNNEEILARKYRIRLRGIDAPESSMPFGKEAKEELVKLVQGKRLKVYAFGDDRYGRCVGDIYCNGVYVQEQMLKRGLAWHYVGYDKRPELARWEKDARAARVGLWASSHPEKPWEWRKARRNGA >Ma09_p26330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37619840:37643824:1 gene:Ma09_g26330 transcript:Ma09_t26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSTFFSRIASKPHLRRPFRASLPPGPTQPPRSGASGDRLLAWSRGLVPFAVAAGAALAFDLHPVAVPSLCDPGIDLRVGGKDSTELVVKGARREAPEEFIQELKDFLQDNLTVDYEERRFHGEPQNSFHRSVNVPDVVVFPGSQDDVQKIVAACNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMSLMKKIKSLNVEDLDIVVEPGIGWIELNEYLKPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVINLQVVLANGEIIKTGSRARKSAAGYDLTRLLIGSEGTLGIITEVTLRLQRLPQHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQVKAINIANGKNLPEAPTLMFEFIGTEAYAREQTLIVQKIVSEHNGSDFVYVENAIDKEELWKIRKEALWACFAMAPNNYEAMTTDVCVPLSRLAECISKSKQELDASSLLCTVIAHAGDGNFHTIIMFDPEQEEQRQEAERLNHFMVHTALSLEGTCTGEHGVGTGKMKYLEKELGSGALRTMKRIKSVLDPNDIMNPGKLIPPHVCI >Ma07_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:23327686:23329609:1 gene:Ma07_g17990 transcript:Ma07_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYARAKFMDYTTDNMSIYPAPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLHQIKWFVDDTNVYRVTIHRTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIDKFGDLILKATEPQMVLFNIYDDWLKTISSYTAFSRLILILRALHVNNEKAKMLLRPDKTVITQPHHIWPSLTDDEWMKVEVALRDLILSDYSKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQMAEIEKQAKEDSRLTAVTSRTTNVHGDELIVTTTSPYEQQAFGSKTDWR >Ma04_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4988345:4990020:1 gene:Ma04_g06840 transcript:Ma04_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQGLSSFRPNSRKHLNGLIGSGKAARKVRIFFADPDATDTSCSEDEEDKFGTESKRRKRVLYEIPLSPAAKTLEIQRVSQHRAPKSLTSSTSAASGRYKGVRQRRWGKWAAEIRDPIRGVRLWLGTYATAEAAAEVYRAAARRIEEEKRSLLHHRHGPSNDSAGSVSSSCMSAPAGVPPSPSSVLDISLAAKPKGRPAAELLEELSMPEMEFGLDEEPFLVGELGEDAIGLDDLPLSEHQFDGDDFSFLDS >Ma03_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10812176:10816439:1 gene:Ma03_g13710 transcript:Ma03_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGLRWIPPLLLLLLGFLLVLNGGRGWIGSERSSGSRNGGASRRSLREASANATSADASLEERAVTRAAEAAVDDPEEVASTVLTTIINSTARRSLGYLSCGSGNPIDDCWRCDPDWHVNRKKLADCGIGFGRNAIGGRDGELYVVTDSGDDDPVNPRPGTLRYAVIQDVPLWITFKHDMEITLKEELIMNSFKTIDGRGVNVHIANGACITIQYITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHIWVDHCSLSNCADGLVDAVMGSTAITVSNNYFTHHNEVMLLGHTDSYARDSIMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPTNPFAKEVTKRVDTDQSTWKNWNWRSEGDLLLNGAFFTPSGAGASASYARASSFGAKPSSLVDTLTSDAGVLSCQVGTRC >Ma03_p13710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10812209:10816439:1 gene:Ma03_g13710 transcript:Ma03_t13710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGLRWIPPLLLLLLGFLLVLNGGRGWIGSERSSGSRARAEVLPSKWRWAELVKKKVLTGCCTSRASCRNGGASRRSLREASANATSADASLEERAVTRAAEAAVDDPEEVASTVLTTIINSTARRSLGYLSCGSGNPIDDCWRCDPDWHVNRKKLADCGIGFGRNAIGGRDGELYVVTDSGDDDPVNPRPGTLRYAVIQDVPLWITFKHDMEITLKEELIMNSFKTIDGRGVNVHIANGACITIQYITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHIWVDHCSLSNCADGLVDAVMGSTAITVSNNYFTHHNEVMLLGHTDSYARDSIMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPTNPFAKEVTKRVDTDQSTWKNWNWRSEGDLLLNGAFFTPSGAGASASYARASSFGAKPSSLVDTLTSDAGVLSCQVGTRC >Ma02_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24922469:24923918:1 gene:Ma02_g18440 transcript:Ma02_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAAVVKEEEGEEEEEEVMAAPQPMEGLHEVGPPPFLTKTFEMVEDAETDAVVSWSGARNSFIVWDSHRFATALLPKYFKHSNFSSFIRQLNTYGFRKVDPNRWEFANADFLAGQKHLLKNIKRRRNVPQSPHQHHCDDARDQSGMFGLETEVDRMRRDRNVLMLEIVKLRQRQQSSRAQLLEMQRRMQVTERRQQQTMSFLGRALRNPAFVRQLALRGEQQRQLNSAGKKRRLPATPSSEDLPAIEDLLLSTMDDDEGSSSDIGQRDESTVEQGASVTNDLTWEELLNESKLMGGETEDDEQSEVEVEVEALAAEQLEWGEDMKDLVKQMGYMKSKP >Ma11_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22714694:22734845:-1 gene:Ma11_g17440 transcript:Ma11_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) UniProtKB/Swiss-Prot;Acc:B0F9L4] MDFKLGRLNLNAVAQGVGGFVFGNENSASNEDSYVERYLDRISNGVLAEDRRAAMIELQSLVAESRAAQMSFGATGFPVLLNVLKEERDDVELIRGALETIVSALTPTETASVLKTEVQPALVNSDLLSRESESISLLLSLLSEDDFYVRYYTLQIITALLTHSPNRLQEAILSIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIREEGGSEGGVVVQDCLELLNNLIRNNTSNQMLLKETIGFDPLVSILKLRRGSAYNFTQQKTINLLSALETVRLLLMGGSASEPGKDANKLSNQTALAQKKILDHLLLLGVESQWAPVALRCLALRCIGDLVMKHSHNLDILGSKLVGEEPHLEPALNAIFRIILRTSTLQEFIAADYVFKCFCEENSDGQAMLTSTMTPQPGSNHATAEVGGSMPFGSMLLQALLSDGASGDLEMCSKATSVLSHILKDNVKCKERVLRVELEAPVPSLGSPEPLLHRIMKNLALAASGKDNNQTYQGDSYIQPLILRLLVTWLAECPNAVYCLLQAPAHLTYLLNLVSNPHASACVQGLAAVVLGECVLYNKSSENNRDAFSVADSLSQKVGLTSFFLKFDELRKSLLDLATLGQHRKPLSRSSTASMADAQEVDNDDANQKHEHPVIVESFDPMFIKFIERLETDIRESILGIFSNTKNKVTVLPAELEQRDKETDGDYIKRLKDFVEKQCNEMQDLLGRNATLAEELVRTGSGTPSNPSQNAGSGKERVLTETLRQDLQEATRRIEILKSEKAKIEAEANNYRTLATKLESDLKSLSDAYNSLEQSNFHLEVEVKALRKGGDSPYPDVEAIRAEAREEAEKESEAELNDLLVCLGQEQSKVEKLTSRLIELGEDVDSLLEGIGDDTALGEDDDDDIDDK >Ma05_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5821944:5822839:-1 gene:Ma05_g07880 transcript:Ma05_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVDSLLSSLKRSSSSKNEKKKSRRSVARADASFASNSSFSASSSSEEEYSAVSARQATPRSVLPPDHGKAPVVFLPDEAAWLDLFNVFDCDGDGKITKRELEAVLRRLVPDPPTAEEVASMVAEVDRDGDGCISLDEFGALGALLGGGRGGESELRDAFAVFDADGDGKISAEELLGVFATLGDGGCTLDDCRRMIGGVDTDGDGFVGFDDFVRMMDGQMCH >Ma07_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30367102:30370265:1 gene:Ma07_g22410 transcript:Ma07_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGNVSIRGCILAGTCHSAKMNRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLAKTVTFNVLKVIPAGSTSGGGKKAFAAV >Ma05_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3449242:3450353:-1 gene:Ma05_g04540 transcript:Ma05_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNYKTTAFAASGLAQEAMKKPSLYTSYAIAFVLLAATMTALVFFVIYPNEFRLQSMVTSRCGSRPSSSSLSSFVEPVTPEPDFRLLIGILSLPDSYERRHLVRDVYALQPGVANARIDVRFVFCNLTKEEQRVLVAMEIMLYDDIIILDCAENMDNGKTYTYFSSLPKMLDGGGRPYDYVMKADDDTYFRLQLLAESLRKLPREDMYYGLITPCLNWRARDHYMSGMGYVLSWDLVEWIATAETPRNHQVGPEDKVMGTWLREARRGKNEINMEPVMYDYLEGEPRTCYRHELVPDTVGVHMLKNNWRWATALKYFNATVGLKPSNLYHMS >Ma05_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5426244:5427180:-1 gene:Ma05_g07440 transcript:Ma05_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKVFRSWGSPFSYRVELALRLKGVAYEYIEEDLSNKSTLLLEYNPVHKKVPVLLHHGKPIAESLVILEYIEETWQENPILPKDPRERAVARFWSRFLDDKCSLALWMSCWTEGETQQNFMEQAKECLHLLEEELKGKKFFGGDSIGMVDIAASFIAHWLGVLEEVAGISLLDEEKYPNLCKWTEEFLKSDAVMECLPKRANLLAFFQARKHAISATKASV >Ma07_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3721535:3722343:1 gene:Ma07_g05110 transcript:Ma07_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEECDTSLTLSVGGDCAGGLVSTQFHALFPFHHHHQQEEEEEDCSRKTIIAGGTRKKLRLSQEQQALLEDSFREHTFLAPKLKQELASRLNIQQRQVEVWFQNRKARNKLKQTEAELETLKKYRERLSEENRRLKREVEELRSTRRLGSPEPMATTLTICPACLRKTSCRARPQRQGGTNGVST >Ma09_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19200147:19202375:1 gene:Ma09_g18830 transcript:Ma09_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTPPIEEEQEVAKELDSSCNHHQQSINASSHEESSSSSSSSFRGNKHALRVVGIGAGSSSRPPNEFTRDATGRSDRGRFANWLTNTIPLPHCCCPFFGVQPFGPRTRLYQVWQGKNVFLFGGHMVCGPDPRGLTLTTVTIVLSDWIFCAYIDDTSRFGFRATASMVLTAVQVIVNLILASTRDPGIIPRNQVSNATDIISRSRRVSVEGVSVKIKYCKICKIYRPPRSCHCVVCDNCVDRFDHHCPWIGQCIGLRNSRYYLMFILSALVFFSYIFAFSWLRIRRNWSKTRSGSFRMLGDAPETFLLALFSFMAIWLLGGFFIFHSYLIAHNQTARENFKQSYAKTPNPFDKGILRNIKEALFTRLPPSKVNFRALVEPDWCSIARMLASSPSRGDEPTVAVQHMLIFLSKFGMMIF >Ma05_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2573964:2575466:-1 gene:Ma05_g03530 transcript:Ma05_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSKAPALAAGFGKRFVSQIWAAPAPAAVASLAPVFSRRRAVHVSSYDKNVDELVPPSVVPDHVIDANSDKYWSPHPTTGVFGPADEGSASAAGGEKVAAAPGSGGPSALDQTVWFRPLEDLDKPPQP >Ma05_p03530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2573964:2575461:-1 gene:Ma05_g03530 transcript:Ma05_t03530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSKAPALAAGFGKRFVSQIWAAPAPAAVASLAPVFSSALCRRRAVHVSSYDKNVDELVPPSVVPDHVIDANSDKYWSPHPTTGVFGPADEGSASAAGGEKVAAAPGSGGPSALDQTVWFRPLEDLDKPPQP >Ma02_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5913469:5915145:-1 gene:Ma02_g00570 transcript:Ma02_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKALDLQFANRPLPISGKDVTYDGQDFVFANYGPRWKLLRKLANLHFLGSKALTEWAPVRCDEIGRMLRAMLESSRNSRPVMVSEAMVCASANIIGQVMLSRRVFESQGEESNQFKDAITELLAWSGKFSIGDFVPAIAWMDLQGVQRQLRRVHVKLDALITSLMAEHEATAHEREGRPDVLDLVMANRVDADGVSLSDVNMKGFISDMFIAGTDTSSIIIEWALAEMLRNPTILQRAQDEMDQVIGKNRRLEESDMPNLPYLRAICKEALRLHPSTPLSVPHYTFEACEVDGYHIPPNTRLIVNVWAIGRDPDVWEHPLEFKPERFLSGRNAKIEPLGNDFELIPFGAGRRICVGMHAGLIMLQYGLGSLLHSFHWKLADDVEELDMKEKFGAVLPKAVPLKAVVKPRLLESAYM >Ma09_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26102814:26106225:-1 gene:Ma09_g19760 transcript:Ma09_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARMGGERGEEKGEQRWRGRKEGGSGGDGDAGGHQFLDRSRVRILLCENDPKSSREVLQLLLKCSYRVTSVRSARQVIDVLNAQGPEIDIILAEIELPMTKGLKMLKYIMRNKDLRHIPIIIMSAQDEVSVVVKCLRLGAADYLMKPLRTNELLNLWTHMWRRRCMLGLMEKDVLSHNFEIQFSNPSDANTNSTTLISDDTDDKPSTVPNSKSNKSNYPECESHVSPAVHACSNPLHGVQHIPRDCDHAGGVISLPKKTELKVGESSAFLTFVKSSMASRTPRIGVDMNSTPSKPSNFEESSIAVRHVERYATGNGIITSGHITTPEYPTLCLSSIEQPPTRNEVQPDVSGVPLVFSLPFYYPGVMDQNIIPTPGHLFQCSLNDLQEHSSPALLPHFVPHIPLMPSFPYQTFGINLQSSHIAATAVSSSMTSSPMLEVKSGRIEKRAAALIKFRQKRKDRCFDKKIRYINRKHLAEKRPRVRGQFVKQVTNVDLNQNVLGGSNGDLKDDEDDEPTLKELELISSPEQNAPDC >Ma05_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10785943:10791255:-1 gene:Ma05_g14740 transcript:Ma05_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALPLRKLLLLLMVLLLASGHSLCDEEVVIHTLNGLDTDYKELAVAIRARDSPVSFEDLYDKLTDYEMCLKRADKLPGSTVTAQVSHKSKRKSTRYLPNITEGLANVPLDSVSSMQHPSYPPSHPFSQSGNSSHHPSWHPALPSHQRQVVCQLCDKVGHSAKVCRSRPRLPAPSHWPQANLLTSPTPSQSNWIVDSGASHHITADLQNLSLHNPYGGDEDIIIGYGK >Ma10_p28640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35454776:35470617:1 gene:Ma10_g28640 transcript:Ma10_t28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALICDSEPWKDLKEHVNAIEKKHLRDLMNDVERCQLMMVYDISFGIYRCQYRDRWDTFGLFTARVRLDTIGKLLKLAEVAAQLRQKIDMMYNGDHINSTENRSVLHIALRAPRDKVICSDGKNVVPDVWYVLDKIKEFSERVRSGSWVGATGKALKDVVAIGIGGSFLGPLFVHNALQTDPEAADCARGRQLRFLANVDPVDVARNISGLNPETTLVVVVSKTFTTAETMLNARTMREWISSALGTQAVAKHMVAVSTNLMVNLYFSSQNKWTRLGEKSWSVWYSTSDLYHAIDVAVVSDHFMISSVLVEKFGIDPANTFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVEKFLNGASNIDNHFYSASFERNLPVLLGLLSVWNVTFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGIPLPFETGEIDFGEPGTNGQHSFYQLIHQGRVVPCDFIGSIKSQQPIYLKGEVVSNHDELMSNFFAQPDALAYGKSPEQLLQDSVPDHLVPHKTFSGNRPSLSLLLPSLDAYNIGKLLAIYEHRIAVEGFIWGINSFDQWGVELGKVLASQVRKQLHLSHTKREPVQGFNFSTTTLLTRYLEVEPGTPSDSTMLPRV >Ma02_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24102593:24108273:-1 gene:Ma02_g17040 transcript:Ma02_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADAERGGEEGDGAAISVVEWQGWGTSSPVTATVMEVIEDIKALARDSDAPMTFGGLGGKLKGTFKDQEDKKHRSVYESICDSENKMQFFSARQIACRLLGNRGHLCGKCWLAMEDCMCSRLVKCSLWCSIKFWLYMHPKDFLRQNNTGKLLWQIFGIQSAALCLFGIREHEDIMWSAFRDSGKGMVWFVYPNQNSSPMSVEDLFSSGPFTGLESQKMDFGEKPLNFVLIDGTWSNSSAMHRRLKERWALTWGEEHLPCISLSTLGASVMHKLRPQPSWDRACTAAAAAGILWELHLVPRLSIYGLDKQAEAVENALDVLLDALVQRRLRKGRSITRKERHNNCI >Ma06_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11527525:11533439:-1 gene:Ma06_g17010 transcript:Ma06_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGSTRLYVGRISYRTRARDLEDLFSRYGRVRNVDLKHDFAFVEFSDSRDADDARYSLDGREFDGSRIIVEFARGGPRGSGGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLRRGRSYSRSTSPRRGRSRSRSQSYSRSRSYSRSRSPVRDGRGRDREVRRSRSPEYSRSPRKSPRRSPPPSEDRKRSPSPDGSRSPRDRMSPPPKEEAEQLRSDHGQSPPRENSKSPMSQERESPRNGRYRSPAANGGSPSPNSNPSPRDYEEDNNRHASPRGSESPRS >Ma03_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10846965:10851884:1 gene:Ma03_g13770 transcript:Ma03_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQGWKMRFSFKNATILVCFLNLVAVLLLLHGFFAAPKRRATAGHQTDPTQLRYILESEEIRHAMEPLELIKRIKEIEQEAYTEPEREIQQVPKQTAAVDLSKRLKDRAMNDVNSQKALEEWRMRKMERARQREIEKNGTLTS >Ma06_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14935083:14936720:1 gene:Ma06_g20780 transcript:Ma06_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPLLLLSLSFLLLLLLPFSAISAPVTLQLSRHPLPSHPDPLHRLASLASASALRASLLKNRHRHASPRPSRSPLFPHSYGGYSLTLAFGNPPQQIPLLLDTGSHLTWVPCTSSYQCRRCSSPSAAPITPFLPKSSASTRLVGCRNPRCLWIHSPDLLLSRCPACNSTSADGCPATACPPYAIIYGSGSTAGLLMLETLALPGRTVPDVTVGCSVFSERQPAGVAGFGRGAPSLPSQLGLKRFSYCLISRRYDDEAAESGSVVLDPAKEDSSDGLRFTTFLNNPAAGADEGSPFSVYYYIGLREIAVGGKKVRVPRSALVPSPSGDGGAIVDSGTTFTYMAPPVFEPVLAAFVDRVAHRYNRSADMEARTGLRPCFAPPPDATEVDLPELTFRFKGGAEMRLPLENYFVFAGPDRAAVCLTIVSDGDGAASSDVAGGPAIILGNFQQQDYYMVYDLEKGRLGFRRQSCLGS >Ma08_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32992509:32993856:-1 gene:Ma08_g19190 transcript:Ma08_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGHFTPTMFVKVHKQGCAIARKINLKAHDNYESLRRALEEMSRNFLSNPYQPYIQSEEAQNEEVDTGDFVILYENHQGNRMLITDAAWEVFINTARRLYIVKNPEAAVTGTVVVEEELLISSSQVMFGGCFMYNGGESSSS >Ma03_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2465573:2475751:-1 gene:Ma03_g03730 transcript:Ma03_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIHGGDVSSFLLAFLWLQLGLTTARTSSAWRTLSGNSPAIIAKGGFSGLFPDSSFYAYKSVSLFSANDTTLWCDVRLTKDSVGICLPDIKLDNCTTIQYYFDKGKKTYVVNGANTSGWFSVDYSISDLAPVTLTQAIYSRTYRFDSAGLPILSVDDVVTQVQPSSLWLNIQHDAFYTQHNLNMRNYVLSLTKRITVNYLSSPELAFLRKIAPSFNNTKTKLIFRFLDKGIKEPSTNRTYRLLLNNLKLIRTFASGILVPKSYIWPVTPDNYLLPYTSIVTDAHKAGLEIYAADFANDNLFSYNYSYDPLTECLSFIDNGLFSVDGVVTDFPITPSEAIGCFSRLNKSRVDGKPLIISNNGASGDYPDCTDLAYNKAVEDGADVIDCPVQVTQDRILICMSSVDLIEDTTVTKSPYNSRFSFIPKLKRTAGIFTFNLTWNEIQKLKPIISQPFYQLYHFERNPRNKNSGNFTKLSDFLALANNKSVSGILISIENAVFMAEQLRIDVVDAVISALNDVGYNKTPSKVMIQSTDSSLLVKFKQLTKYKLVYKIDEVIGDAIASSIKDIDAFANAVALRKESIYPVNNLFTTEQTGLVPKLQAAGLDVYVYVLLNEFVSQPWDFLSDATVEINAYVVGAGVDGIITDFPRTASRYKRNSCSKLGSKKPNYMLPIEAGQLLPLMAPAVQPPALAPMPVLNASDVVEPPFPQVTPKGSVGAEAPPPKAPSPSSGQRRIASLFLALPLVTLSVIHLLV >Ma04_p27060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28348760:28358266:1 gene:Ma04_g27060 transcript:Ma04_t27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLSSFLLLLSSPFLFSCLLRIGFGAFQQNMDSSCEDPRREGAPRGSCPPSSIPGHLTVFSFVCARDHFLTTLMGCYFANLNKDSLLNCNLHQDMRDARHQRLVGLS >Ma01_p16770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12212947:12222435:-1 gene:Ma01_g16770 transcript:Ma01_t16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPNLCSRDPGHSQYSEASVFDNLLCHKYSPSVAYTDALANTQPHQNSVEVPIVTTVISQGSILEAPNMVTSCIGEHAYDSWKDGKNDILFMQTVGVDGSENLLHDGNSQMNLRRQLGALNRQCLSLQQSEVSTVPSQGLSLSLGTQIIVPSIQCQHTSSDISLFRPHQTTSRNGGSGRDENCENRSTNANNFPYESASLASSIINSKYLKAAQELLDEVVNVQKALKRKSIKSQSLHTSAGTTTGKDCSAGEGMSSNPQDSTINSSSELSPSERQDLQNKVTKLLTMLDEIDRRYKQYYHQMQIVVSSFDVVAGFGAAKPYTALSLQTISGHFRCLRDAISREILVTRKSLGEEGNSGSKGVGMSRLRYIDQQLRQQRALQQFGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPNDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEETGDLEIDSSLENPPKDQDGVHSTGNGENSHNTATERCQVNQLSDLSRSNIMPGMDMAGAGAKDYHMNLKMRSTTEECSYVQDALAHIDGTGRFMAYADLGCGGGGGVSLTLGLQHCDGGLPGSGSQQSFVGMQGGDIYSAAAAIGADTAEYDCVNLGDRPHRFASSQLLHDFVA >Ma01_p16770.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12212947:12221068:-1 gene:Ma01_g16770 transcript:Ma01_t16770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPNLCSRDPGHSQYSEASVFDNLLCHKYSPSVAYTDALANTQPHQNSVEVPIVTTVISQGSILEAPNMVTSCIGEHAYDSWKDGKNDILFMQTVGVDGSENLLHDGNSQMNLRRQLGALNRQCLSLQQSEVSTVPSQGLSLSLGTQIIVPSIQCQHTSSDISLFRPHQTTSRNGGSGRDENCENRSTNANNFPYESASLASSIINSKYLKAAQELLDEVVNVQKALKRKSIKSQSLHTSAGTTTGKDCSAGEGMSSNPQDSTINSSSELSPSERQDLQNKVTKLLTMLDEIDRRYKQYYHQMQIVVSSFDVVAGFGAAKPYTALSLQTISGHFRCLRDAISREILVTRKSLGEEGNSGSKGVGMSRLRYIDQQLRQQRALQQFGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPNDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEETGDLEIDSSLENPPKDQDGVHSTGNGENSHNTATERCQVNQLSDLSRSNIMPGMDMAGAGAKDYHMNLKMRSTTEECSYVQDALAHIDGTGRFMAYADLGCGGGGGVSLTLGLQHCDGGLPGSGSQQSFVGMQGGDIYSAAAAIGADTAEYDCVNLGDRPHRFASSQLLHDFVA >Ma01_p16770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12212947:12219820:-1 gene:Ma01_g16770 transcript:Ma01_t16770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPNLCSRDPGHSQYSEASVFDNLLCHKYSPSVAYTDALANTQPHQNSVEVPIVTTVISQGSILEAPNMVTSCIGEHAYDSWKDGKNDILFMQTVGVDGSENLLHDGNSQMNLRRQLGALNRQCLSLQQSEVSTVPSQGLSLSLGTQIIVPSIQCQHTSSDISLFRPHQTTSRNGGSGRDENCENRSTNANNFPYESASLASSIINSKYLKAAQELLDEVVNVQKALKRKSIKSQSLHTSAGTTTGKDCSAGEGMSSNPQDSTINSSSELSPSERQDLQNKVTKLLTMLDEIDRRYKQYYHQMQIVVSSFDVVAGFGAAKPYTALSLQTISGHFRCLRDAISREILVTRKSLGEEGNSGSKGVGMSRLRYIDQQLRQQRALQQFGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPNDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEETGDLEIDSSLENPPKDQDGVHSTGNGENSHNTATERCQVNQLSDLSRSNIMPGMDMAGAGAKDYHMNLKMRSTTEECSYVQDALAHIDGTGRFMAYADLGCGGGGGVSLTLGLQHCDGGLPGSGSQQSFVGMQGGDIYSAAAAIGADTAEYDCVNLGDRPHRFASSQLLHDFVA >Ma01_p16770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12212947:12222435:-1 gene:Ma01_g16770 transcript:Ma01_t16770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPNLCSRDPGHSQYSEASVFDNLLCHKYSPSVAYTDALANTQPHQNSVEVPIVTTVISQGSILEAPNMVTSCIGEHAYDSWKDGKNDILFMQTVGVDGSENLLHDGNSQMNLRRQLGALNRQCLSLQQSEVSTVPSQGLSLSLGTQIIVPSIQCQHTSSDISLFRPHQTTSRNGGSGRDENCENRSTNANNFPYESASLASSIINSKYLKAAQELLDEVVNVQKALKRKSIKSQSLHTSAGTTTGKDCSAGEGMSSNPQDSTINSSSELSPSERQDLQNKVTKLLTMLDEIDRRYKQYYHQMQIVVSSFDVVAGFGAAKPYTALSLQTISGHFRCLRDAISREILVTRKSLGEEGNSGSKGVGMSRLRYIDQQLRQQRALQQFGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPNDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEETGDLEIDSSLENPPKDQDGVHSTGNGENSHNTATERCQVNQLSDLSRSNIMPGMDMAGAGAKDYHMNLKMRSTTEECSYVQDALAHIDGTGRFMAYADLGCGGGGGVSLTLGLQHCDGGLPGSGSQQSFVGMQGGDIYSAAAAIGADTAEYDCVNLGDRPHRFASSQLLHDFVA >Ma01_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12212947:12221068:-1 gene:Ma01_g16770 transcript:Ma01_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPNLCSRDPGHSQYSEASVFDNLLCHKYSPSVAYTDALANTQPHQNSVEVPIVTTVISQGSILEAPNMVTSCIGEHAYDSWKDGKNDILFMQTVGVDGSENLLHDGNSQMNLRRQLGALNRQCLSLQQSEVSTVPSQGLSLSLGTQIIVPSIQCQHTSSDISLFRPHQTTSRNGGSGRDENCENRSTNANNFPYESASLASSIINSKYLKAAQELLDEVVNVQKALKRKSIKSQSLHTSAGTTTGKDCSAGEGMSSNPQDSTINSSSELSPSERQDLQNKVTKLLTMLDEIDRRYKQYYHQMQIVVSSFDVVAGFGAAKPYTALSLQTISGHFRCLRDAISREILVTRKSLGEEGNSGSKGVGMSRLRYIDQQLRQQRALQQFGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPNDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEETGDLEIDSSLENPPKDQDGVHSTGNGENSHNTATERCQVNQLSDLSRSNIMPGMDMAGAGAKDYHMNLKMRSTTEECSYVQDALAHIDGTGRFMAYADLGCGGGGGVSLTLGLQHCDGGLPGSGSQQSFVGMQGGDIYSAAAAIGADTAEYDCVNLGDRPHRFASSQLLHDFVA >Ma10_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25598953:25602789:-1 gene:Ma10_g12550 transcript:Ma10_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLTAANACLQGKGFSDFSGLRSASSSIPLRRINSSDDFLSVVAFRTSAVGSSGGYRKGAAEAKVKVAINGFGRIGRNFLRCWHGRKDSPLDVVAINDTGGVKQASHLLKYDSTLGIFEANVKPEGDSAISVDGKVIKVVSNRNPANLPWGELGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNEDAYNPDEPIISNASCTTNCLAPFVKILDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRDAADKELKGILSVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >Ma02_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19446288:19448099:-1 gene:Ma02_g09500 transcript:Ma02_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSGTRRLGIGGGVELGGDRLVIRLPDPRFLRLVARSMLLAAAILSLPWLRGTLLRRGDAGEVTASRRAIDDEYMLFAELLRDLRRIGLLRPKSNVVILNDPTLGVRNTAVPDSSADFVFSVSAGDFHRIDQILKIGGVAAVRLSSDPSESFRSPANYRTAYVRQIGSSVVVAMTKSFASEGGIRLRRLLAVPKAKKEALSGLEGALLEPPGRRGWRRRTRYLPELTGDELDGYPRRVFVEVVAAGEAGSGAAWFEQHYPRKGRAFEVIRVEVEVEEEDEEEPEEGASQSLAEWLERNVREEEYVVVKAEAGAVEEAVAEGVIGLVDELFLQCDHQLWEEDERKKKEKETTRSGRRAYWECLALYGKLRDAGVAVHQWWSF >Ma04_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2693806:2697369:1 gene:Ma04_g03490 transcript:Ma04_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRFFAMGWIAGAVLAFFIPSSISISIAAGSASTDEGGTTAMPMHGGRVKTVITHRKLEVNSYIAGAIRSTKDSTSMNVEDYPSFDPAPSSKATIKTGPIEHGIPLMPYIPRPTPPGHPKHGGSP >Ma11_p14760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20463237:20467914:-1 gene:Ma11_g14760 transcript:Ma11_t14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVSSSSSSSLLASSWSMLRSSSSSDGFPFSFCYLNSVSKFSAGRFPPSKAVTRASKFEANQERCCLGGDRGNAGDRGCSAENRGRRDLFLISLASSSSLVALSAASGKVKGSNPYNERRLLEQNRKIQEANSAPEDFPNFIREGFQVKVVISDGYVKCDSGLIYLDILVGKGDCPKDGQQVTFHYTGYNESGRRIDSTYLQDRPAKIRLGNKSLVPGFEEGIRDMRPGGKRRLIIPPELGPPVGPSTFFSAKQFEVFDVELLDVKDCQRRTIGFYSDVVCN >Ma11_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20463237:20467914:-1 gene:Ma11_g14760 transcript:Ma11_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVSSSSSSSLLASSWTGRFPPSKAVTRASKFEANQERCCLGGDRGNAGDRGCSAENRGRRDLFLISLASSSSLVALSAASGKVKGSNPYNERRLLEQNRKIQEANSAPEDFPNFIREGFQVKVVISDGYVKCDSGLIYLDILVGKGDCPKDGQQVTFHYTGYNESGRRIDSTYLQDRPAKIRLGNKSLVPGFEEGIRDMRPGGKRRLIIPPELGPPVGPSTFFSAKQFEVFDVELLDVKDCQRRTIGFYSDVVCN >Ma09_p03900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2571410:2576980:1 gene:Ma09_g03900 transcript:Ma09_t03900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQKRLDYGFSGCQVPAMLYFPKSAKRKRSARRKVENKQLCAIDLLATVAGELLSERENCAPSPQCIDGASGTTNTSKASVKPDHLCQKEPLKTEPFDQHSCNDTVLGPEVATKRQISCGSTEHLHILRPKDSGPASLLDKYDILDAIARESKTLNNRVDISYSAAYAVAGRCGTETNLPASPEVEGDGLTGFQESENQMPGNGLDINAVGIYGMEDSMDLDTKPPALVSSDSSTEVPVCWNYLPSNSSLPKQESGMEHVVDRDDDDNSSGCTHLSTITNKACRPRCIGNHRVRKLSTLKYWRAAPNILKYSHISDYDTEKKRSLHGKKIYYTRQRTQRSYCKRRKLFERCLVSASNGGMYSGDIPNLCEMGGIGTEAHDPRTAVLDTYDASPSQAEQSSSYNSVKLRIKSFMVPELFIEIPETATVGSLKQTVMEAVTAFLQGGMSIGVLLQGKKVRDDNKTLRQTGISCSDKLDNLGFTLEPSLTQTPPPLTSLRGPHFLCLDDAVEPLARIPPGALSIDQEATDSTPQAVLTSSPVSDHEPIHSPTDASSLDQTPADKLALVALPPVSIEALAVVPLRKPRHPEHVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDSAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLSAQAFWSQQQSKLHAKPPAAEPCLLL >Ma09_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2571410:2576980:1 gene:Ma09_g03900 transcript:Ma09_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQKRLDYGFSGCQVPAMLYFPKSAKRKRSARRKVENKQLCAIDLLATVAGELLSERENCAPSPQCIDGASGTTNTSKASVKPDHLCQKEPLKTEPFDQHSCNDTVLGPEVATKRQISCGSTEHLHILRPKDSGPASLLDKYDILDAIARESKTLNNRVDISYSAAYAVAGRCGTETNLPASPEVEGDGLTGFQESENQMPGNGLDINAVGIYGMEDSMDLDTKPPALVSSDSSTEVPVCWNYLPSNSSLPKQESGMEHVVDRDDDDNSSGCTHLSTITNKACRPRCIGNHRVRKLSTLKYWRAAPNILKYSHISDYDTEKKRSLHGKKIYYTRQRTQRSYCKRRKLFERCLVSASNGGMYSGDIPNLCEMGGIGTEAHDPRTAVLDTYDASPSQAEQSSSYNSVKLRIKSFMVPELFIEIPETATVGSLKQTVMEAVTAFLQGGMSIGVLLQGKKVRDDNKTLRQTGISCSDKLDNLGFTLEPSLTQTPPPLTSLRGPHFLCLDDAVEPLARIPPGALSIDQEATDSTPQAVLTSSPVSDHEPIHSPTDASSLDQTPADKLALVALPPVSIEALAVVPLRKPRHPEHVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDSAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLSAQAFWSQQQSKLHAKPPAAEPCLLL >Ma10_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31951813:31957282:1 gene:Ma10_g22680 transcript:Ma10_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] MVLTAPNSTLIYLPPPIPPHRHPQTSTTIRAQLKQQQRSNRAVASLSVSSSHVSLPPPPPTSLPQRLGALCAQGSLDEALHLLLVAEPAGLPPLADGVGLLLQACGARGDLELGRRVHGVVASSEGLMSNPVLTTRLLTMYFACGSPSDARRVFDALPRRNLFQWNAMISGYARNELFVEAVDTFLLLMSATELRPDNFTLPCVLKSCAGLSNMSTGEAVHGVAVKLGLGSDTFVNNSLISMYGKCGYVDEAAHVFDTMPERNLVSWNTMMSAFSDNALLQDGFDLFKEMLSVDEESMRPDDATAVTVLPMCAVDGWLEMGRVVHGMSVKLDLDHELRVSNALVDMYAKCSCLSDAQLLFGHNQQRNVVSWNAMIGGVARNGDVDGAFDLLREMQSEEGIKANEVTVLNVLPACLGPSELQHVKELHAYVIRNGLQTNDLVPNALMAAYAKCGLLDSADNIFKDVEIKTVSAWNALIGGYAQNGDPNKAIELFLRMSSSGLEPDWFSVGSLLLACAHLQDLLNGRSLHGYVLRNGLEKDSFILISLLSLYIQCGRSQEARLLFDAMEDKDSVSWNAMIAGYLQNGLAQESLQLFRQMQHEGYEPSIIATTSVFMACAELSALPLGQEAHCYALKVGFTADTFLGSSIIDMYAKCGSIEHARTLFDNLKDKDAVSWTVMITGYGINGYGSEAIDLYNEMEGHGLKPDAFTYLGILMACNHAGLVEEGLRYFEYMKNKHSLEPKLEHYACVADMLGRVGKLAEAARIIEDMPEEPDGRIWSGLLAACRTHGDICLGERVIEKLLELEPDKAEHYVLASNLYASSGRWDGVRRIRNRMKEIGLQKDPGFSWIDVGGRVFDFVSGDNRLPESDEIHRMWCSLEEKIRGIGYIPDTSSVLHEKGEEEKLKILRGHSEKQAISFGLLKTSGNKKIRVCKNIRICRDCHNAAKLVSKVVGREIIVRDNKRFHHFRDGWCSCGDFW >Ma10_p22680.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31951813:31955456:1 gene:Ma10_g22680 transcript:Ma10_t22680.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] MVLTAPNSTLIYLPPPIPPHRHPQTSTTIRAQLKQQQRSNRAVASLSVSSSHVSLPPPPPTSLPQRLGALCAQGSLDEALHLLLVAEPAGLPPLADGVGLLLQACGARGDLELGRRVHGVVASSEGLMSNPVLTTRLLTMYFACGSPSDARRVFDALPRRNLFQWNAMISGYARNELFVEAVDTFLLLMSATELRPDNFTLPCVLKSCAGLSNMSTGEAVHGVAVKLGLGSDTFVNNSLISMYGKCGYVDEAAHVFDTMPERNLVSWNTMMSAFSDNALLQDGFDLFKEMLSVDEESMRPDDATAVTVLPMCAVDGWLEMGRVVHGMSVKLDLDHELRVSNALVDMYAKCSCLSDAQLLFGHNQQRNVVSWNAMIGGVARNGDVDGAFDLLREMQSEEGIKANEVTVLNVLPACLGPSELQHVKELHAYVIRNGLQTNDLVPNALMAAYAKCGLLDSADNIFKDVEIKTVSAWNALIGGYAQNGDPNKAIELFLRMSSSGLEPDWFSVGSLLLACAHLQDLLNGRSLHGYVLRNGLEKDSFILISLLSLYIQCGRSQEARLLFDAMEDKDSVSWNAMIAGYLQNGLAQESLQLFRQMQHEGYEPSIIATTSVFMACAELSALPLGQEAHCYALKVGFTADTFLGSSIIDMYAKCGSIEHARTLFDNLKDKDAVSWTVMITGYGINGYGSEAIDLYNEMEGHGLKPDAFTYLGILMACNHAGLVEEGLRYFEYMKNKHSLEPKLEHYACVADMLGRVGKLAEAARIIEDMPEEPDGRIWSGLLAACRTHGDICLGERVIEKLLELEPDKAEHYVLASNLYASSGRWDGVRRIRNRMKEIGLQKDPGFSWIDVGGRVFDFVSGDNRLPESDEIHRMWCSLEEKIRGIGYIPDTSSVLHEKGEEEKLKILRGHSEKQAISFGLLKTSGNKKIRVCKNIRICRDCHNAAKLVSKVVGREIIVRDNKRFHHFRDGWCSCGDFW >Ma10_p22680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31951813:31957282:1 gene:Ma10_g22680 transcript:Ma10_t22680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] MVLTAPNSTLIYLPPPIPPHRHPQTSTTIRAQLKQQQRSNRAVASLSVSSSHVSLPPPPPTSLPQRLGALCAQGSLDEALHLLLVAEPAGLPPLADGVGLLLQACGARGDLELGRRVHGVVASSEGLMSNPVLTTRLLTMYFACGSPSDARRVFDALPRRNLFQWNAMISGYARNELFVEAVDTFLLLMSATELRPDNFTLPCVLKSCAGLSNMSTGEAVHGVAVKLGLGSDTFVNNSLISMYGKCGYVDEAAHVFDTMPERNLVSWNTMMSAFSDNALLQDGFDLFKEMLSVDEESMRPDDATAVTVLPMCAVDGWLEMGRVVHGMSVKLDLDHELRVSNALVDMYAKCSCLSDAQLLFGHNQQRNVVSWNAMIGGVARNGDVDGAFDLLREMQSEEGIKANEVTVLNVLPACLGPSELQHVKELHAYVIRNGLQTNDLVPNALMAAYAKCGLLDSADNIFKDVEIKTVSAWNALIGGYAQNGDPNKAIELFLRMSSSGLEPDWFSVGSLLLACAHLQDLLNGRSLHGYVLRNGLEKDSFILISLLSLYIQCGRSQEARLLFDAMEDKDSVSWNAMIAGYLQNGLAQESLQLFRQMQHEGYEPSIIATTSVFMACAELSALPLGQEAHCYALKVGFTADTFLGSSIIDMYAKCGSIEHARTLFDNLKDKDAVSWTVMITGYGINGYGSEAIDLYNEMEGHGLKPDAFTYLGILMACNHAGLVEEGLRYFEYMKNKHSLEPKLEHYACVADMLGRVGKLAEAARIIEDMPEEPDGRIWSGLLAACRTHGDICLGERVIEKLLELEPDKAEHYVLASNLYASSGRWDGVRRIRNRMKEIGLQKDPGFSWIDVGGRVFDFVSGDNRLPESDEIHRMWCSLEEKIRGIGYIPDTSSVLHEKGEEEKLKILRGHSEKQAISFGLLKTSGNKKIRVCKNIRICRDCHNAAKLVSKVVGREIIVRDNKRFHHFRDGWCSCGDFW >Ma10_p22680.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31951813:31956950:1 gene:Ma10_g22680 transcript:Ma10_t22680.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] MVLTAPNSTLIYLPPPIPPHRHPQTSTTIRAQLKQQQRSNRAVASLSVSSSHVSLPPPPPTSLPQRLGALCAQGSLDEALHLLLVAEPAGLPPLADGVGLLLQACGARGDLELGRRVHGVVASSEGLMSNPVLTTRLLTMYFACGSPSDARRVFDALPRRNLFQWNAMISGYARNELFVEAVDTFLLLMSATELRPDNFTLPCVLKSCAGLSNMSTGEAVHGVAVKLGLGSDTFVNNSLISMYGKCGYVDEAAHVFDTMPERNLVSWNTMMSAFSDNALLQDGFDLFKEMLSVDEESMRPDDATAVTVLPMCAVDGWLEMGRVVHGMSVKLDLDHELRVSNALVDMYAKCSCLSDAQLLFGHNQQRNVVSWNAMIGGVARNGDVDGAFDLLREMQSEEGIKANEVTVLNVLPACLGPSELQHVKELHAYVIRNGLQTNDLVPNALMAAYAKCGLLDSADNIFKDVEIKTVSAWNALIGGYAQNGDPNKAIELFLRMSSSGLEPDWFSVGSLLLACAHLQDLLNGRSLHGYVLRNGLEKDSFILISLLSLYIQCGRSQEARLLFDAMEDKDSVSWNAMIAGYLQNGLAQESLQLFRQMQHEGYEPSIIATTSVFMACAELSALPLGQEAHCYALKVGFTADTFLGSSIIDMYAKCGSIEHARTLFDNLKDKDAVSWTVMITGYGINGYGSEAIDLYNEMEGHGLKPDAFTYLGILMACNHAGLVEEGLRYFEYMKNKHSLEPKLEHYACVADMLGRVGKLAEAARIIEDMPEEPDGRIWSGLLAACRTHGDICLGERVIEKLLELEPDKAEHYVLASNLYASSGRWDGVRRIRNRMKEIGLQKDPGFSWIDVGGRVFDFVSGDNRLPESDEIHRMWCSLEEKIRGIGYIPDTSSVLHEKGEEEKLKILRGHSEKQAISFGLLKTSGNKKIRVCKNIRICRDCHNAAKLVSKVVGREIIVRDNKRFHHFRDGWCSCGDFW >Ma10_p22680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31951813:31957282:1 gene:Ma10_g22680 transcript:Ma10_t22680.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] MVLTAPNSTLIYLPPPIPPHRHPQTSTTIRAQLKQQQRSNRAVASLSVSSSHVSLPPPPPTSLPQRLGALCAQGSLDEALHLLLVAEPAGLPPLADGVGLLLQACGARGDLELGRRVHGVVASSEGLMSNPVLTTRLLTMYFACGSPSDARRVFDALPRRNLFQWNAMISGYARNELFVEAVDTFLLLMSATELRPDNFTLPCVLKSCAGLSNMSTGEAVHGVAVKLGLGSDTFVNNSLISMYGKCGYVDEAAHVFDTMPERNLVSWNTMMSAFSDNALLQDGFDLFKEMLSVDEESMRPDDATAVTVLPMCAVDGWLEMGRVVHGMSVKLDLDHELRVSNALVDMYAKCSCLSDAQLLFGHNQQRNVVSWNAMIGGVARNGDVDGAFDLLREMQSEEGIKANEVTVLNVLPACLGPSELQHVKELHAYVIRNGLQTNDLVPNALMAAYAKCGLLDSADNIFKDVEIKTVSAWNALIGGYAQNGDPNKAIELFLRMSSSGLEPDWFSVGSLLLACAHLQDLLNGRSLHGYVLRNGLEKDSFILISLLSLYIQCGRSQEARLLFDAMEDKDSVSWNAMIAGYLQNGLAQESLQLFRQMQHEGYEPSIIATTSVFMACAELSALPLGQEAHCYALKVGFTADTFLGSSIIDMYAKCGSIEHARTLFDNLKDKDAVSWTVMITGYGINGYGSEAIDLYNEMEGHGLKPDAFTYLGILMACNHAGLVEEGLRYFEYMKNKHSLEPKLEHYACVADMLGRVGKLAEAARIIEDMPEEPDGRIWSGLLAACRTHGDICLGERVIEKLLELEPDKAEHYVLASNLYASSGRWDGVRRIRNRMKEIGLQKDPGFSWIDVGGRVFDFVSGDNRLPESDEIHRMWCSLEEKIRGIGYIPDTSSVLHEKGEEEKLKILRGHSEKQAISFGLLKTSGNKKIRVCKNIRICRDCHNAAKLVSKVVGREIIVRDNKRFHHFRDGWCSCGDFW >Ma10_p22680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31951813:31957282:1 gene:Ma10_g22680 transcript:Ma10_t22680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] MVLTAPNSTLIYLPPPIPPHRHPQTSTTIRAQLKQQQRSNRAVASLSVSSSHVSLPPPPPTSLPQRLGALCAQGSLDEALHLLLVAEPAGLPPLADGVGLLLQACGARGDLELGRRVHGVVASSEGLMSNPVLTTRLLTMYFACGSPSDARRVFDALPRRNLFQWNAMISGYARNELFVEAVDTFLLLMSATELRPDNFTLPCVLKSCAGLSNMSTGEAVHGVAVKLGLGSDTFVNNSLISMYGKCGYVDEAAHVFDTMPERNLVSWNTMMSAFSDNALLQDGFDLFKEMLSVDEESMRPDDATAVTVLPMCAVDGWLEMGRVVHGMSVKLDLDHELRVSNALVDMYAKCSCLSDAQLLFGHNQQRNVVSWNAMIGGVARNGDVDGAFDLLREMQSEEGIKANEVTVLNVLPACLGPSELQHVKELHAYVIRNGLQTNDLVPNALMAAYAKCGLLDSADNIFKDVEIKTVSAWNALIGGYAQNGDPNKAIELFLRMSSSGLEPDWFSVGSLLLACAHLQDLLNGRSLHGYVLRNGLEKDSFILISLLSLYIQCGRSQEARLLFDAMEDKDSVSWNAMIAGYLQNGLAQESLQLFRQMQHEGYEPSIIATTSVFMACAELSALPLGQEAHCYALKVGFTADTFLGSSIIDMYAKCGSIEHARTLFDNLKDKDAVSWTVMITGYGINGYGSEAIDLYNEMEGHGLKPDAFTYLGILMACNHAGLVEEGLRYFEYMKNKHSLEPKLEHYACVADMLGRVGKLAEAARIIEDMPEEPDGRIWSGLLAACRTHGDICLGERVIEKLLELEPDKAEHYVLASNLYASSGRWDGVRRIRNRMKEIGLQKDPGFSWIDVGGRVFDFVSGDNRLPESDEIHRMWCSLEEKIRGIGYIPDTSSVLHEKGEEEKLKILRGHSEKQAISFGLLKTSGNKKIRVCKNIRICRDCHNAAKLVSKVVGREIIVRDNKRFHHFRDGWCSCGDFW >Ma01_p11150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8038596:8043745:1 gene:Ma01_g11150 transcript:Ma01_t11150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGGHEAIQPVTAEEEALKRNTDCVYFLASPLTCKKGGECEYRHSEGARINPRDCWYWLNGNCLNPKCSFRHPPLDSCFTMPAPVTTSRPIPPQTAATSNPAAHSPPGNINKQIPGNVGKQGVPCYYFQWGQCLKGERCPFMHGPQASSSAVSQHNATTSAYLAESPQASKKGTRQSTSLQWNVAELDKSRVAVNMPVEMPPATTKNVTKAENVINNEPSANKLLSPYSLNDEPSLLPQITLSVGSGYTLSQPWSHQVQPWHEKPENVRDTDEFLREHSPGFDVLVEDDSSHPDYFHNEDNFRRVSAHDGQKLEPEDDYDNHHRHYEPLTKFDRDRYNAIGKDDNYENCGLEPKTFDRNLGKPSSLERRVRDNEKKLDEADGSDLRHQLLKQRRLTGSGSTESLHGRHEHHRRDDRHAEERGYGRHSRDQRQLPVKSSISTRLQGRITFPGRSSIERASDLHLEKERGRRPRGRLSPTRQITYQLRHPERIRQQQSEDFNKDTRNTRNKPTRRDDTNSLDFVGPKSLAELKGAKITGSSDVQSITSTVANTKLNKENSGKVEGLQESENSPSFEGPKPLNAILKRKRESAYVDSEISTCPYENNIGGGESAINSSAQAAVVNLPPVALPEVEKEGNYRIDHDETHEVKAVEEEVQEEEEEGLIPPEDKELNYNDQSSAKAGAVEAEDGMDLENVEDEELENYDQRDEDFEYEAGGAEDGENTFQDDEDEFDDEDDFARKVSVMLS >Ma01_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8038584:8043745:1 gene:Ma01_g11150 transcript:Ma01_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGGHEAIQPVTAEEEALKRNTDCVYFLASPLTCKKGGECEYRHSEGARINPRDCWYWLNGNCLNPKCSFRHPPLDSCFTMPAPVTTSRPIPPQTAATSNPAAHSPPGNINKQIPGNVGKQGVPCYYFQWGQCLKGERCPFMHGPQASSSAVSQHNATTSAYLAESPQASKKGTRQSTSLQWNVAELDKSRVAVNMPVEMPPATTKNVTKAENVINNEPSANKLLSPYSLNDEPSLLPQITLSVGSGYTLSQPWSHQVQPWHEKPENVRDTDEFLREHSPGFDVLVEDDSSHPDYFHNEDNFRRVSAHDGQKLEPEDDYDNHHRHYEPLTKFDRDRYNAIGKDDNYENCGLEPKTFDRNLGKPSSLERRVRDNEKKLDEADGSDLRHQLLKQRRLTGSGSTESLHGRHEHHRRDDRHAEERGYGRHSRDQRQLPVKSSISTRLQGRITFPGRSSIERASDLHLEKERGRRPRGRLSPTRQITYQLRHPERIRQQQSEDFNKDTRNTRNKPTRRDDTNSLDFVGPKSLAELKGAKITGSSDVQSITSTVANTKLNKENSGKVEGLQESENSPSFEGPKPLNAILKRKRESAYVDSEISTCPYENNIGGGESAINSSAQAAVVNLPPVALPEVEKEGNYRIDHDETHEVKAVEEEVQEEEEEGLIPPEDKELNYNDQSSAKAGAVEAEDGMDLENVEDEELENYDQRDEDFEYEAGGAEDGENTFQDDEDEFDDEDDFARKVSVMLS >Ma03_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4834530:4836742:1 gene:Ma03_g07040 transcript:Ma03_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVPDEIVEHILSLLSNARDIAACTCVSKSWKEAVPYIPSLYFPRNSFDGVLRYADADATIGRMIAAAACLEELVIYCPFSSAALASWLALRCRSLRLLELRMDGVADKAAAAASDGEYPANELDCIGVVRGLETLKLWGVSLTRPPNWSSFARLRTLEIIGATLRDAALRDTVHACPNLTDLALLGCDGVGAVSIELQRLERCRLDFLGPGNSSLHMSSPRLEVLEIQGFSWIRVEQNHRIRRLCIAKNAGRVYKVDMWKLADLEFMSLRGVQWSWNAVSSILQCASEVQHLVMKIEFCGDFDTLQPFPEIDLVEFFNNHPKLRKFEIHGALFAALCQKNSLKNLDSRFMIPCLEEVVITVRSPLNAEQKLNTLESLLRYSVKLRRMAIRISQMKNCHEAADDFFKEICKFKYMNSKIIQIE >Ma10_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33262691:33272783:1 gene:Ma10_g24840 transcript:Ma10_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMDVEGQNSNRQNQDAAPLMSKGLRRVLIGLNCVLMALGNTGGPLLLRLYFRSGGKRQWLSSWLVTGGWPLIFLPLLVTYLHRRRHQIRPSHIHPTKLFFITPRLFLACAFLGLLTGLDDFLYAYGLSFLPVSTSSLLISTQLAFTAFFAFLIVKQKLCDGFLHRWDAGEQGLPGDST >Ma02_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21093520:21094343:1 gene:Ma02_g12320 transcript:Ma02_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIGRGNGNLTLDFIRHHLLADLPPTPPSAVLPPPLPIGSHHHHHDGYRFPEPAAPMISFGPAGPDRLSSLTVALSSQLGFDRVEEERPLVARDDARRYRGVRQRPWGKFAAEIRDPNRRGSRIWLGTFDTAVEAARAYDRAAFQMRGRKAILNFPNEVGSSRHLAPPPPAPQSETTGKRKREAEEVAVGPREIKKERSPEAEVVEAESVPSAFTLTPSSWSSMWDFVEADAAGLFDVSALTPLSPHPSLGIAALLVK >Ma06_p27550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29514827:29521338:1 gene:Ma06_g27550 transcript:Ma06_t27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKGKSKSKSKSTPSPRSKEPETPRTPRATVTLPPDEELVGRHGTKAKAHSFDEALRPRMSGNPPLLGGGGSGGRGSGTGFVFGHPLPLPTSIPPHGVSTGSASASASASSISSSGSSEETPDLGIYRYSDPINTPRGRNETHDSRRREQTAEDMQLFSCSPVLEHPNGGNAYSHGRTFTDTIFSRRTASPSPGLRGHTFPTSPVHPSSFGIGPASPNCWQDNLRSPPHPLPLPPSSPSCSSASPSSSSSRSPKSLWKKGKLLGRGTFGQVYVGFNSENGQMCAIKEVKEISDDANSRECLNQLNQEIALLSKLSHPNIVQYYGSELAEDTLSVYLEYVSGGSIYKLLQEYGPFGESLIRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHISSYTSIRSFKGSPYWMAPEIVMNSSGYDLSVDIWSLGCTIIEMASSKPPWSQFEGVAAIFKIGNSKDIPEFPDYFSSEGKEFLKLCLQRDPSARPSSAQLMDHPFVRDQAIIKAAKLNLIKDVAYSTSDASHPMKQSTMEFSSNRSTSPLHDRDYGMRRGSGLRLPIPMACQNPSDLPSMRLNMSLPVSPCSLSPCSSPLRQFKQTNRSCLPSPPHSYYLSGAANQSPVKSALYPTRPCNKLPDPWLDIALFKPQAPYDSPRRSNMGL >Ma09_p00070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:73049:76695:-1 gene:Ma09_g00070 transcript:Ma09_t00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSRRGKSAARKPLGDISNGRNPLRSHKKGNPKDGNEGAFDRLLLVRSDLSDLIGQIDELVAQAIKKRTISKKPWFSRLQQSLDTASTTPEKQLSESSAINFVSGAIGDRDAVASNRNEPELELMVSPSPLVSWRAGTCTVDCGRQLFLLTPLPKNKAILSEHPGASKSVIGLFTDKEQSICHQLPPSSRSPNTASGDLVGKAEEKQASTNVSTTFSFEKISGTLESGYLSPLSFSNRKNKKNNMHLLTPCLPKNSGLLDPIFEPCQQDGGEISEIAEDNNDAKVCILPTDEVSDCLSTKYQELFGLQPASRFVSRRKEVDETLSWFLSPPKTCILLEPSDEKPLPTPANNRLSFATPIWKDLESIQKVKPAGEATLKRELWTRFEAVSSSQLHFDVSVFRRTVRKGFLDMLEEVSGKTTDSNSIAR >Ma09_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:73049:76695:-1 gene:Ma09_g00070 transcript:Ma09_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSRRGKSAARKPLGDISNGRNPLRSHKKGNPKDGNEGAFDRLLLVRSDLSDLIGQIDELVAQAIKKRTISKKVNQDLDSFMKFLSEMHLSLKPWFSRLQQSLDTASTTPEKQLSESSAINFVSGAIGDRDAVASNRNEPELELMVSPSPLVSWRAGTCTVDCGRQLFLLTPLPKNKAILSEHPGASKSVIGLFTDKEQSICHQLPPSSRSPNTASGDLVGKAEEKQASTNVSTTFSFEKISGTLESGYLSPLSFSNRKNKKNNMHLLTPCLPKNSGLLDPIFEPCQQDGGEISEIAEDNNDAKVCILPTDEVSDCLSTKYQELFGLQPASRFVSRRKEVDETLSWFLSPPKTCILLEPSDEKPLPTPANNRLSFATPIWKDLESIQKVKPAGEATLKRELWTRFEAVSSSQLHFDVSVFRRTVRKGFLDMLEEVSGKTTDSNSIAR >Ma08_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1590550:1596879:1 gene:Ma08_g01930 transcript:Ma08_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSRPRRREEPHPSGAEFGRHPVPVSAARAEGQFHFRRQPNPRDAICSACNNGLSAEENSFALEIRQTSSKKASGMPIKELIDNEVSKEKEIRHPLPSLIARLMGLDTLPSSVRPQRSVDSCCRTTMPREGNHVHPEDWSQWRSSGEGPEFKDVFEVMEASKNREQQSHSDSRGMLRCQGNGADTDLVRQKVMDMKSLSNNEVLQNSKEFNDAFDSSKDLLIGKHRPNVNCAPSSPHRSKITILKPSKGTKHWSNEVWCNSSKIERNHDWCSHMQQEVTGSFKMYPFCLNECSIGEISGSLSQHSSASRDAGRSETHVDPAHIVILKPSLEKAQKMAEASSFAHEDFLFSSKRGTGIAASRIQVLQYEGRDEHLSHHTQVSNHKVKGSREIATENTRKTRHSISSCTKKNLTSKMNPYPGTEDSFMTPGESKLSHSEAVCQNPDPFGEWSNSFSPSYLYSTEYSSREAHNRLSERWKTTHQFQKMGLIARGSSTLGEMCVQFDRDTPKVTVDMINTKNFSYEKLTSNDALKSKGCHWVHGADARRDGSSRFLPKTLPHPVSYNLQLSDRERDGGSCTNMIKDVPDMGASVSSVVKFSKPEVPLMKSPKHQYHNSKLAHSVGEETMLIKHDIHVNSEGLWKKIHVKSFLDKTVLHPAPTDDAITERNQLAKGASIPIDTPWHLTTQMVPKLSAFQVPSENEGLFGHIQNVVIEEKSSDQPQEKLLLCESDMAKPHPVGSEELDQPSPSFVLETPSEDGTYSSGCFERLSADLKELRVQLQCLKLESVATSAESDEDCAGDNHVLLPSMEVHREFSDVDDRDFTYLLDVLIESGVRGTDDNRFSDAFYSRGHPVNQSVFDKLEKKYDGVASWPRSERKLLFDLINCTLAGLITPYMDVHLWMTSLTSKICVPAWDREGLVEAVWQMVVKQRKELHCNQENMLLESGWFGLEYGIDLVGMEMEGMLNADLLEELICEFVLV >Ma08_p20440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34507754:34508556:-1 gene:Ma08_g20440 transcript:Ma08_t20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATLHSNIADFATRTGLPSRALLPSRQVGRVFRVRCQSEERPQEPPAAVSPPPPQPVQTTPPTPKRKESTSFSDILAFSGPAPERINGRLAMIGFVSAIAVELTRGDDLVAQLANGGLPWFAGTAALLSAASLVPLFKGVSVQSKSSGVMTADAELWNGRFAMLGLVALAFTEYLKGGPLV >Ma08_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34507436:34508556:-1 gene:Ma08_g20440 transcript:Ma08_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATLHSNIADFATRTGLPSRALLPSRQVGRVFRVRCQSEERPQEPPAAVSPPPPQPVQTTPPTPKRKESTSFSDILAFSGPAPERINGRLAMIGFVSAIAVELTRGDDLVAQLANGGLPWFAGTAALLSAASLVPLFKGVSVQSKSSGVMTADAELWNGRFAMLGLVALAFTEYLKGGPLV >Ma07_p25330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32404497:32410722:1 gene:Ma07_g25330 transcript:Ma07_t25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYSEIGKKARDLLYRDYQTDHKFTVTTCTSNGVAITATGTRKNDLIFGEIQSQIKNKNVTFDVKTNSDSNVTTTVTVDELATPGLKTIFSFVIPDQRSGKVELQYLHDYAGVNASIGLTANPVVNLSGVVGSKNVSVGADVAFDTATGNFIKYNAGLSITNTDLIASLTLNNKGDSLSASYYHLVNPLSSTAVGAELNHSFSSNENTLTFGTQHALDPLTTAKARFNNYGKASALIQHEWKPKSFLTISGEVDTKAIENSSKIGLSLVLRP >Ma08_p33850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44046032:44046583:-1 gene:Ma08_g33850 transcript:Ma08_t33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGGPYDDWDWACHGSVKAHYDAVGLHIRHFNEHELVLRSELFLHDDDDDDDDDELDLQYLPKAANYRSREGKKHGACFFTDQLMLINHEQRKRKENYDQKEAFITWIWVQFIR >Ma05_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31092213:31093559:-1 gene:Ma05_g20050 transcript:Ma05_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPRTIRDLQRLNGRLVALSRFLSRSGDRCHSFFQALKDPKNFRWTAECERAFERVKLHLASLPRLASVSPGEKLSLYLAVSRHAVSSVLVKENSGDQLPVYYVSHMLSGPEERYPPIEKLALALVLLARKLRPYFQAHPIEVITDQPLRLVLSKFDVAGRLLKWAVELGEHDIQYIPRTAIKAQSVADFIAELTPNTGEEPEPPRDTWTLHVDGSANAKGAGAGLVLVTPDGRSIERSFRFGFRATNNEAEYEALLAGLQLALEMQVTNIRVITDSQLVARQLDGGYEARDPTMAKYLARVKSLAAKFAHFELSNVPRSENQRADTLAKLASGPAPWARPETEELPRRAIEVVATVAHGTSATWVQEMLRFKRDGTLPDDATTARRLRRTQAWYTEEGGRLYKRSFSRPLLHCLEPSEAWTVLSDMHEGACGEHIGERALAHKVLR >Ma06_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19553024:19555237:-1 gene:Ma06_g22780 transcript:Ma06_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPFAGHHSCIQISCCRVGVVFCGRQSPGGHNVIWGLHSALKSHNPKGTLLGFVGGTEGLFVKKTLDITNDILSTYKTKVCGYDLLGRTKDQIRTSEQVNAVMSTCQELKLDGLVIIGGVTIQHRCCSACGDIC >Ma04_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23573178:23580646:-1 gene:Ma04_g20900 transcript:Ma04_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKVYEFGSVGTSKTLERYQRCCYTSQDSNVANREAQNWYQEMAKLKTRFESLQRSQRHLLGEDLGPLSVKELQQLERQLESALSQARQRKTQLMLDQMEELRKKERHLGEINKQLKEQIEAEGATFRALQGPWCSDATAGGNAFPAQPLQSSGMDREPTLQIGYHQFVPPEVVAMPRNSAGENNFMLGWVP >Ma10_p29460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36046527:36051756:1 gene:Ma10_g29460 transcript:Ma10_t29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGNWSPPSVSGRLVTLGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWLRVVPMQTVRSRLQFVKIAALSVVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIITVKREAWLTYVTLIPVVAGVVIASGGEPSFQLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLHMAPIAVVFLLPATLVMEKNVVSITLALAREDNKIIYYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVTVTGMLGYTVTVIGVILYSEAKKRNK >Ma01_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7642278:7642989:-1 gene:Ma01_g10620 transcript:Ma01_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEEQEKKRKKQRNLNPQHQPPNPKSNTDLRFKPSRDVKGIRFGGQVVVKAFAVRRASPLELPGLLNAPPRELSPRRSHPFPSTTTYVPTNFTVLAQRAWRTLTLGLGAHKSKLVVFIFESEAMKSAVDRLWPAVIPLGNVNKQLVRGLAGCELARFKLRKGSLTFYVFAVRRAGVGGFGCVDDLRRILEAIAALKDFVDLATVLTLPTQPRITSFDAVAMAT >Ma01_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5925740:5932356:1 gene:Ma01_g08260 transcript:Ma01_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGMQAQPFPQPIHASAVEKKLESLPAMVSGVYSDDRTLQLEATTQFRKLLSIERNPPIEEVIQSGVVPRFVEFLTREDYPQLQFEAAWALTNIASGTSEHTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLASGALIPLLLQLNEHSKLSMLRNATWTLSNFCRGKPQPAFEQVKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCARLVELLMHPSPSVLIPALRTVGNIVTGDDMQTQYVIDHQALPCLLNLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIAAGIIGPLVHLLQTAEFEIKKEAAWAISNATSGGAHEQIKYLVSQGCIKPLCDLLLCPDPRIITVCLEGLENILKVGEAEKNLGVPGGTNPYAQLIEDAEGLDKIENFQSHDNTEIYEKSVKILETYWLEEEDDAMPGDTTQTGFDFGNNDQSVPSGGFNFG >Ma03_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22263933:22266432:1 gene:Ma03_g16970 transcript:Ma03_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVELRQAVPVTCWLLLLFSAFGSVRPAPQVPCYFIFGDSLVDNGNNNNMASLAVANYPPYGIDFPGGPSGRFSNGLTTVDAIAALLGFEDFIPPYANTRGQALLSGVNFASAAAGIREETGRQLGGRTPFSGQLQNYQQAVQQMVNILGDEDTAANYLRKCIFSVGMGSNDYLNNYFMPAFYPTGQQYTPEEYADDLIAQYARQLKVLYNYGARKVALIGVGRVGCSPNELAQRSPNGITCVEEIDSAIRIFNSKLMRLVDEFNTLDGAHFTYINGYGIFDDILKHSAAYGLRVTNRGCCGVGRNNGQITCLPYQAPCPDRNRYLFWDAFHPSEAANIIVGKRSYSAQSPSDVYPMDIRTLARI >Ma01_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7534569:7534878:1 gene:Ma01_g10460 transcript:Ma01_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMYNADKHGKQQVMIRPSSKVIIKFLLVMQKHGYIGAFEYVDDHRAGKIVV >Ma08_p29880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41328116:41333259:1 gene:Ma08_g29880 transcript:Ma08_t29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQSFGSGSPKSYHGYPRGDFDLESGSFRKSFRKPKNSSRIGPIRMMNSIANRIHYFYKLHPVAVFLSSLAFGVAILIVLSMYESRIRMMGFRRDGDLSSGSYPLANLRNLVMVAGHSIYTSTSCGKIDSEDSWFLEPYQKNPGQAATFLAHIQEGVESAAKDEGALLLFSGGETRKDAGPRSEAQSYWAVAESKGWFGKQDNIRSRAHTEEHARDSFENLLFSVCRFRELTGSYPQNITVVSYDFKKERFAHLHRLAIGFPEERFFYTGTPAAPGAKEAAEKGEAFVRAQFQEDPYGCLGSLHRKRLKRDPFHRFIPYPNGCPELKSLFDYCGPVPYPGTLPWIR >Ma10_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25423017:25429164:1 gene:Ma10_g12230 transcript:Ma10_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSGTNRFFLNPSEKETLARMATDCPRGGRAADGETSDGDSSQSLEEISAEDFKQEARAGRSSVFMGYPMSKNYGPSLYSFAWAQAVRNKPLGLDLTPTRAGDLAVKNDSGVKPEKEEACDVIIEDSSQEDDSAMEKEEGELEEGEVDFGSEPMMVDEATDVSSDKHENEPEKKESDGEESQGFDDFDRRVSLILEELEMITMEEAEASFEGVCARLRKSFEDLKPMFTGIESSDTVLHAVVQQAVMGIQTTYSALDSFAIQKEQNKQLLLRLLIHIKNQYYTLLTPEQVREIDTLVNSLVFEEDHDKEKEQHGDGLVCLETPCRASKTVNLPNLEFPTPSRNRVEFSPLLDLHADYDADSLPSPTRENLPQFSIPKPIGLGMLPVVSSQPRTAKNEEAEEATLHPYVTDALKAVSCYQQRYGSTSFLSINRLPSPTPSEEGDKDDDSHEEASSSSVVSNAETACTIQNQAVKSSSTAACSNSSAGDQPYPVKLVGQVGSGSKSSAKPALKRRDPRLKLMNNEVRGPSVGDKGIDSNALDNRLVGGSMNTRKHKSVDEPVTGDHKMKRQKNGFTGSRDMQMTSGRGGWLEDSSIPQPSDRNQINENFQVEVRKPGSGEVGSGKKSDSNMNFSMLNGLIPNPSGNLPNTLSLPPLLKAVNPTIFVQLLQMEQHRLAAENHQIVTASTSDVTNVSKVNGLPGAVSSVNSTPLKSQEVGQNHLGMSQIPSQSASVSSQNDVGRIRMKPRDPRRALHNNMVQMKNVIVSEQNKINEAIPGPQSSMGHSTAREPGEQAQASVLATQFVPQPNMSRQLTKNLGNIVSSSQLAATSQAVPQYIPSKANQVNVRPASAELNDSKTLVSEATAKGVSQSVNAWGDVDHFLDGYNDEQRAAIQKERARRIAEQNKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHEEILRRKEEQDREKPQRHLFCFHHMGMWTKLRPGIWNFLDKASKLYELHLYTMGNKLYATEMAKVLDPTGTLFSGRVISRGDDADTVDGDERVPKSKDLDGVLGMESAVVIIDDSLRVWPLNKLNLIVVERYTYFPSSRRQFGLLGPSLLEIDHDERPEDGTLASSLAVIERIHQNFFSHHSLKDVDVRNILAAEQRKILAGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAICTNQIDEQVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRANEHDFAVKTMT >Ma01_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12383851:12385364:-1 gene:Ma01_g16940 transcript:Ma01_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLVSVIEGATSGIGAETARVLAKRGLRLVIPARDLKRAAEVKDWIQGESPEAEIILMEMDLSSFASINRFCSMFLSLGLPLNILVNNAGKYCKKLQLTEDKFEMTFATNYLGHYLLTENLLEKMIETSARTGIEGRIVNVSSVIHTWVKRGRFKLSHMLNPKDFNGTQAYAQSKLANIMHAKELARTLRGRNAMVTINAVHPGVVKTGIIRDHKGLITDSVFFLASRLLKSTSQGASTTCYVALSPQLIGVSGKYFVDCNETSCSSLAGNEFEARTLWQKTHALVRDHVRQTRRAKT >Ma09_p27950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38825634:38834292:-1 gene:Ma09_g27950 transcript:Ma09_t27950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MEKQKRWLREWWRSNLEEEAMKHNDPESSSGKINMKETKSLPSRRKCLTCLCASLAVINISGPSFYAPTGLASEKMEGSSSKLKSVCRNCAGSGVVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEARKLLEKMYNGRLLPTS >Ma09_p27950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38825634:38834322:-1 gene:Ma09_g27950 transcript:Ma09_t27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MELASSCSVFLSAALPPVFCRSRWRSRSGGFASGGAKFHVSSNLEEEAMKHNDPESSSGKINMKETKSLPSRRKCLTCLCASLAVINISGPSFYAPTGLASEKMEGSSSKLKSVCRNCAGSGVVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEARKLLEKMYNGRLLPTS >Ma07_p26880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33480752:33484482:-1 gene:Ma07_g26880 transcript:Ma07_t26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGAAAGVLPMPLCPAAKQSASGQLHGRADPVLHLRQASRAGRRQLKRWPSFTTRASASTVKESVLRDFRQRRALKIISGLQNFDKDKVASVVIAAEKGGATHVDIACDQELVKLALGLTSLPICVSSVDPLAFPPAVEAGAQMVEIGNYDSFYEMGIQFSSEQILKLARDARRILPSVTLSVTVPHMLNLPDQVKLAELLEQEGADIIQTEGGKYSSPSKPGVLGLIEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMALTAGAAGVGVGSAVNKLNDVVAMIAEVKSIAESLGLLSRCASEELSSARQ >Ma09_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8533160:8533240:1 gene:Ma09_g12700 transcript:Ma09_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAIMLATGTVGFIFHYIFSSVKLD >Ma04_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4891357:4892691:1 gene:Ma04_g06720 transcript:Ma04_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSVEEACGEMRKTGPVPSPDPKSLQRVGSGASDVIDPEVGVEAESRKLPSSHYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEAEAACAYDVAVQRFRGRDAITNFKPLNDADNGDAAELSFLDSHSKAEIVDMLRKHTYRDELQKSRLSFCLDSKKTAPRSAPVTGLLVGAQRQHLFDKAVTPSDVGKLNRLVIPKQHAEKHFPLQKTGAAAAAGKGVMLNFEDAYGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLEAGDVITFHRSTDPEKQLFIDSTARAGRGSAVAPTQRPPVQVVRLFGFNIVRNPAAPVGGDGVDGNAASCETGKRNRDHMKSISSQRMIKRHCTEAL >Ma07_p22000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30101012:30107009:-1 gene:Ma07_g22000 transcript:Ma07_t22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFYAPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTSGTPFLIPTTGTGAWESALTNTLSPGDKIVSFLIGQFSLLWIDQQQRLNFNVDVIESEWGRGADLDALASKLAADWSHSIKAVCIVHNETATGVTNNLATVRKLLDEHNHPALLLVDGVSSICAIDFRMDEWGVDVALTGSQKALSMPTGMGIVCASPKALEAAKSAKSVRVFFDWNDYLKFYKMGTYWPYTPSIQLLYGFRAALDLLFEEGLDNVIARHSRLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVMVPPHINSSDVVKRAWTRYNLSLGLGLNKVAGKVFRIGHLGNLNDLQLLGCLSGVEMVLRDVGYQVKLGSGVAAAAAYLQNTIPMISSRI >Ma07_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30101012:30106997:-1 gene:Ma07_g22000 transcript:Ma07_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFYAPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTSGTPFLIPTTGTGAWESALTNTLSPGDKIVSFLIGQFSLLWIDQQQRLNFNVDVIESEWGRGADLDALASKLAADWSHSIKAVCIVHNETATGVTNNLATVRKLLDEHNHPALLLVDGVSSICAIDFRMDEWGVDVALTGSQKALSMPTGMGIVCASPKALEAAKSAKSVRVFFDWNDYLKFYKMGTYWPYTPSIQLLYGFRAALDLLFEEGLDNVIARHSRLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVMVPPHINSSDVVKRAWTRYNLSLGLGLNKVAGKVFRIGHLGNLNDLQLLGCLSGVEMVLRDVGYQVKLGSGVAAAAAYLQNTIPMISSRI >Ma04_p34090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33427407:33430875:-1 gene:Ma04_g34090 transcript:Ma04_t34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGQQELSSGQEGSVASHETSQPQRIKFKRLDKTAKHIMNILDNEAVDKVQTEREIPDIRPGYIVELKVEVPENKRRTSIIKGIVIARRNAGLNTTFRLRRLAAGVGVESVFPLYSPNIKEMKVVDKKKVRRAKLYYLRDRMNPLKK >Ma04_p40020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36960602:36961304:-1 gene:Ma04_g40020 transcript:Ma04_t40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSQVEVGARGTIASLMSQEIDYFRSRLNLVHNDFSQQKHNKVTADTASTSGSCGNKSGGGSSGKKEKKKKKKKNKRVAGGGGFLSSICSAVDVSDTSKGEKIPGNGYRKLRKDAKKLFED >Ma08_p34890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44875896:44876833:1 gene:Ma08_g34890 transcript:Ma08_t34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEREELANYNFQNEFLRPFVVVTQKSVSSEIRELIVRCVSHMVLGRVNHVKSGWKSVFMVFTIAAADERKTIVLLAFGTMEKIVRDYVPFITETETTTFIDCARCLIAFTNSRFNSDAGLNAIAFLRFCAVKLAEGGLVCYDKNSDGHLRNGDASDGNNLTEKDDHAFIWLPLLDGLSNLTYDPRPTIRKGALEVFFECCCLLCICECVVCVCVYVVLHV >Ma06_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1763198:1764518:-1 gene:Ma06_g02250 transcript:Ma06_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLLKLLPSQTCLGASPPLHHPNVHLQHASPTFPKRPHLSASLVSSSLGRITASSTRDLSSPTPYKPPSSREEAISQAKLCLSTTLQKPLNNSPPLPTRKLKKLRHPRYRVEIPVVDDSPGSLTQLALDVFSDLSIRKKGGKPRLLILWPSPAVADSARQAFDSVDSIVNSDCASVTSESLSSTDLAVFMAPEVSQLEEMKAVTDCLYPKPVVLFNPQWGFEEEKDLGRAAESFVSSFEVVYSFMGLEVRGVLSKRSGVVLKCVRDGVVSGEGWVVMVEEEGNKEELKMVTTFKRRPSIAEVENVLYNLMAANSPVTKSVKFISDLVSNVTGRKVNK >Ma04_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7769632:7774102:1 gene:Ma04_g11020 transcript:Ma04_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G13120) UniProtKB/Swiss-Prot;Acc:Q9LK61] MAASSSLSATLALPPLSNRASLSPKPRCLSFSPQCSNPPISLRSRVPSSPRAPAAAAEAVGAEQTPDAAGTSIGFEVEDKSAVALDVDADADKMAPKQKIRIKLRSYWVSLMEDSCKQILEAARTTNAKTMGPVPLPTKRRVYCVLKSPHVHKDSRFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >Ma04_p01440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1292015:1307344:-1 gene:Ma04_g01440 transcript:Ma04_t01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLLKVLLDSIAQFSLVSSNNNVKPELVQRYCQKIDQIIEQFEPVCNEIAASKITLDEQLVKVLKELDSAVNEARELVLSWFPMTSKIYFVLQIETTVTKIYSSTLEICQLVESLLLTPVASTALKSIEGFQHMDEEPISVVIEKAIRDQTEKDIPRPEHLDMISNFLSLSSNQELLMEAVALEKLKLKIGCNVTQAELENIDHIIALITYMHDCLVKSKQLQSINGVSIPADFCCPLSLELMSDPVIVASGQTYERAFIRKWLDQGFNVCPRTRQTLGHTNLIPNYTVKALIANWCESNNIKLPDPMKSISLNLPSSFLKPTDASATDLIVSHSGDATRVDRPRSPERYVEVTTSQRDAHSSNGFPHETPHETYLHDKSVSPHHRSSSGSSPLQLANGSQANTSRISLVSTEGNKESSMEQRHASSGSQTVNQPKQYSEPGQFPGHNRTDSASEAVSNNDHIEGPGDANMASQVSSDLTHYSSDTSGELAQDAQASSAPQREPDFPPRLVDARARSQSMFRRPSVPRIISSQSMDSRPDLSGVETQVRKLIEDLKSDSGDMQRTATEELRLLAKHSMENRIVIANCGAISLLVGLLRSTDTKTQEHAVTALLNLSLNDNNKIAIGNADSIDPLIHVLETGNPEAKENSAATLYSLSVIEENKVRIGRSRAIGPLVELLANGTPRGKKDAATALFNLSIFHENKLRIVQAGAVRHLVELMDPAAGMVDKAVAVLSNLATIPEGRTAIGQAGGIPVLVEVVELGSARGKENAAAALLHLCTNSGRFCSLVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRNQRHGNAGRR >Ma04_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1292015:1307344:-1 gene:Ma04_g01440 transcript:Ma04_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLLKVLLDSIAQFSLVSSNNNVKPELVQRYCQKIDQIIEQFEPVCNEIAASKITLDEQLVKVLKELDSAVNEARELVLSWFPMTSKIYFVSKIYFVLQIETTVTKIYSSTLEICQLVESLLLTPVASTALKSIEGFQHMDEEPISVVIEKAIRDQTEKDIPRPEHLDMISNFLSLSSNQELLMEAVALEKLKLKIGCNVTQAELENIDHIIALITYMHDCLVKSKQLQSINGVSIPADFCCPLSLELMSDPVIVASGQTYERAFIRKWLDQGFNVCPRTRQTLGHTNLIPNYTVKALIANWCESNNIKLPDPMKSISLNLPSSFLKPTDASATDLIVSHSGDATRVDRPRSPERYVEVTTSQRDAHSSNGFPHETPHETYLHDKSVSPHHRSSSGSSPLQLANGSQANTSRISLVSTEGNKESSMEQRHASSGSQTVNQPKQYSEPGQFPGHNRTDSASEAVSNNDHIEGPGDANMASQVSSDLTHYSSDTSGELAQDAQASSAPQREPDFPPRLVDARARSQSMFRRPSVPRIISSQSMDSRPDLSGVETQVRKLIEDLKSDSGDMQRTATEELRLLAKHSMENRIVIANCGAISLLVGLLRSTDTKTQEHAVTALLNLSLNDNNKIAIGNADSIDPLIHVLETGNPEAKENSAATLYSLSVIEENKVRIGRSRAIGPLVELLANGTPRGKKDAATALFNLSIFHENKLRIVQAGAVRHLVELMDPAAGMVDKAVAVLSNLATIPEGRTAIGQAGGIPVLVEVVELGSARGKENAAAALLHLCTNSGRFCSLVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRNQRHGNAGRR >Ma09_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35765751:35768191:1 gene:Ma09_g24090 transcript:Ma09_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLSSILISMLHWSSGQPSPGYYPSHRFKPLPGFYHGYSNLWGPQHQTVSGDQSSATIWLDRNSGSGFKSNRPYRSGYFAASIKLQAGYTAGVITAFYLSNNQVHPGFHDEVDIEFMGNTPGKPYRLQTNVYIRGSGDSRYVGREMKFHLWFDPTADFHNYAILWNPDEIIFFVDDIPIRRYPRKSENTFPMRPMWMYGTIWDASSWATDRGKYRVDYRYQPFVARFTRFIIRGCSAYAPSSCRQAPSSQSGSGLSPQQYAAMQWAQSNYMVYNYCMDPKRDHALTPECWG >Ma08_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:686570:701623:1 gene:Ma08_g00730 transcript:Ma08_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVVAEFVMGDREEEVPRVAEELSEDEEDSWSSESEVGEALDWLDLRDGPDGEGASASFSLASSHRPNAHGGLLSRPLQPLSNRNQKYSTHIRANPLEEWEGRTDVGMSNSVTTAIRDSVRDMAIGRTRNTEKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHATKADGQELAVKVYKTSVLVFKDRDRYVQGDYRFRNGYCKNNPRKMVKTWAEKEMRNLMRVKAAGIRCPTPLLLRLHVLVMEFIGKAGWAAPRLKDAALSEDKLHECYVEIITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPCALEFLKEDCVHVSDFFRKNGVSVMSVKELLDFVIDASIADEDVDDYLEKIQQMVLERGETVNRDEIAPTVFVQTVDYVKKCEEDLVQMAMLQRPSLAYQLKAEEIYDQPLLGFVHTRNECNQQHQQISDRANGPSNLLEDKQTERPMQGTVEVGTEDESTSEEDTDGDGSSFESEHEMGPEEKRAARKEHKKKVKEEKREQRKNKKFSKAEKKRRKKLAKAKCRR >Ma05_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11885245:11887253:1 gene:Ma05_g15610 transcript:Ma05_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKVRSIYRIFFVVEGISSPIAAQLFDFCDDDGGGAAGELFGRSDHHQNQSLLPTYEDVSSSSSSSAARTATAANTSLCCYPGDAAPFSPFPSLYALLDAPPPPPDPEPDLAQCRSSSSSSNPPPPPPPPPPALFPVSPTPPYVGDPFDHILLTEAIPAGYSLDRGTVVSVPAAGGPPSRQQHPQTAYEEQRYAAAVAMQQPPELAGLEAPPCGFLEGVGMGAALYGGGRGETQGFFGMAAVGPDAGLAGLSDMAEGGGLCSFGQDALPRLYGSGDSQVIGGGNQHLMVGCSGNALPLPASDMPPLDESTYKVGRLSVEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELGEVARLRSSNHEFDDEEEMVIKEEDIFDSTDILAHISGVNSFKYNYTLESWI >Ma02_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24011164:24012902:1 gene:Ma02_g16810 transcript:Ma02_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAVSDVVSLLSGDKRDFLLRNNGDKVAISSLDGKVVGLYFSASWCRPRRRFTPLLIEVYDELSSKGQCQFEIVIVPADHDEDSFDRYFSKMPWLAIPFADSNTRKKLDMLFRVGGIPHLVILDASGKVLNEQGIEAVREYGAEGYPFTPEKINRLREEEEEAAKKEQTLPRLLVSPSRDYLISNDGSKVAVSDLEGKIVVLYFSISAFTCCAEFTPVLAQIYRKLKEARESFEVVLVSLDDEKSSYEQDLASMPWLAIPFEDKSRQKLGRCFDVRANPALVVIGADGKTMDVNYAELVEEHGFDAWEAFPFSQERLDLTAEKAKAKMNAQTLESLLVSGDLDYVIGKQGSKVPVKELVGATILLYFSACWCDRYREFAAGLIEE >Ma01_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10751738:10756847:1 gene:Ma01_g14720 transcript:Ma01_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFCPDCKRSTEVVFDHSAGDTVCSECGLVLEAHSIDVTSEWRTFANESADNDPVRVGGPTNPLLTDGGLSTVISKPNGAQAEFLSSSLGRWQSRGANPDRNLILAFKTIATMADRLGLVATIKDRANEIYKKIEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGAAKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSHLGMTNQAVKAAQEAVQKSEELDIRRSPISIAAAIIYMITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPYSSRIIPTSFAKEEDLKNLCSP >Ma08_p25220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38159844:38163870:1 gene:Ma08_g25220 transcript:Ma08_t25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTIIGVDISMNTHLKTVKLTVKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRSMGRGRGRGRGRGRGRGR >Ma10_p15690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27706246:27708664:1 gene:Ma10_g15690 transcript:Ma10_t15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVALGFPDHRSHISLSPQHAFKRSRAWREGGGAQQRLVVAAAAARRSWQSDRVFGSVQHIRIQGNNSKSTARTVMASPSSCFHVLLLLLLFSGQVIRVVLSMAVGINYGQIANDLPSPGRVASLLHSLNISRVKLYDADQNVLSAFLNTDVEFVIGIGNENVSAMTDPAAALGWLEQHVLPYLPYTKITCIAVGNEVFKGNDTTLMADLLPAMESVHQGLVSLSLETKVNVTSAHSLDMLGNSYPPSAGSFRQDLAVFIQPILNFHSVTKSPFLINAYPYFAYKANPNAVSLDYVLFEPNSGVVDPNTNLNYDNMLYAQIDAVYSAIRTWGHADIEVRISETGWPSRGDSDEVGATPENAARYNGNLLQRIRMSEGTPLKPTVPVDIYVFALFNEDLKPGPTSERNYGLFYPDGTPVYNVGLHGYLPPMSASSSSSLRSAVSDPCPLIPCKIMTFDVSIPLLQMMMPGLRAFVFVVAALTLAWK >Ma10_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27706246:27708664:1 gene:Ma10_g15690 transcript:Ma10_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVALGFPDHRSHISLSPQHAFKRSRAWREGGGAQQRLVVAAAAARRSWQSDRVFGSVQHIRIQGNNSKSTARTVMASPSSCFHVLLLLLLFSGQVIRVVLSMAVGINYGQIANDLPSPGRVASLLHSLNISRVKLYDADQNVLSAFLNTDVEFVIGIGNENVSAMTDPAAALGWLEQHVLPYLPYTKITCIAVGNEVFKGNDTTLMADLLPAMESVHQGLVSLSLETKVNVTSAHSLDMLGNSYPPSAGSFRQDLAVFIQPILNFHSVTKSPFLINAYPYFAYKANPNAVSLDYVLFEPNSGVVDPNTNLNYDNMLYAQIDAVYSAIRTWGHADIEVRISETGWPSRGDSDEVGATPENAARYNGNLLQRIRMSEGTPLKPTVPVDIYVFALFNEDLKPGPTSERNYGLFYPDGTPVYNVGLHGYLPPMSASSSSSLRSAMMMPGLRAFVFVVAALTLAWK >Ma03_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27666836:27668354:1 gene:Ma03_g23010 transcript:Ma03_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRRDHLKPGWSVYQFMRGLPSIACFLSIWVKPQSMIVITLHLLKYYI >Ma04_p29940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30717349:30724766:1 gene:Ma04_g29940 transcript:Ma04_t29940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQVYQKRVKSGGRIFQRLACKQAPEDASSNDDKVPCNTPRGYTESTCVSLREGMASSSTDNGDAFVSSGEYVRDHGSLLSLQPWIFKTGSYQRDEELVKPNDDCSEKCGYEMDGFMYNSLPEISPQSVSLGYACGRGQSTIRARRSHRSSIKPLSSTENCLIPQLYDANFEIEEFVFSSFPSSTTPGLRPFVVTDESKIVNKSSFGSTGITFDSGMNKGNMKNVTGVSSLPELRTPKRKSRETPHDIVGSSNSRRSHMISHQKGLHDGIHIFSIGVSLGIISTVLSNRKEIENLNNMLKGSENLIQDLQEELDMKDAVTVKELANEACGHQKPVDSIAESIKSAIDQLPESYFPVEEKDEYDQLNFSKEESRSKIEAELEIELEKLELTMNRSSLNGTMLALGELDLDVVADVVYGELKADMLPGGVSEDQDDSASDSENTTNHIHNVNHAVSPRELSLRLHDVIQLRLEERIKELEDELQQTKKQLQSAESERLPSQRAFSSSDMESSSNQDSPTGIAGDTALAQPFCLNLAGDALDTYNEAYEEIRRTANMVNNLPSTTNMTGELGHGLYSSERSFTWAMEVPKRCGRETKWEQNLKSTGLNDDQILEIHATNEDEYNDDEDDDEMKMLIEQILERTRQGSPIVLHAQSLLRCTLFTTSPK >Ma04_p29940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30717349:30724766:1 gene:Ma04_g29940 transcript:Ma04_t29940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQVYQKRVKSGGRIFQRLACKQAPEDASSNDDKVPCNTPRGYTESTCVSLREGMASSSTDNGDAFVSSGEYVRDHGSLLSLQPWIFKTGSYQRDEELVKPNDDCSEKCGYEMDGFMYNSLPEISPQSVSLGYACGRGQSTIRARRSHRSSIKPLSSTENCLIPQLYDANFEIEEFVFSSFPSSTTPGLRPFVVTDESKIVNKSSFGSTGITFDSGMNKGNMKNVTGVSSLPELRTPKRKSRETPHDIVGSSNSRRSHMISHQKAAPTLYPGLHDGIHIFSIGVSLGIISTVLSNRKEIENLNNMLKGSENLIQDLQEELDMKDAVTVKELANEACGHQKPVDSIAESIKSAIDQLPESYFPVEEKDEYDQLNFSKEESRSKIEAELEIELEKLELTMNRSSLNGTMLALGELDLDVVADVVYGELKADMLPGGVSEDQDDSASDSENTTNHIHNVNHAVSPRELSLRLHDVIQLRLEERIKELEDELQQTKKQLQSAESERLPSQRAFSSSDMESSSNQDSPTGIAGDTALAQPFCLNLAGDALDTYNEAYEEIRRTANMVNNLPSTTNMTGELGHGLYSSERSFTWAMEVPKRCGRETKWEQNLKSTGLNDDQILEIHATNEDEYNDDEDDDEMKMLIEQILERTRQGSPIVLHAQSLLRCTLFTTSPK >Ma04_p29940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30717349:30724766:1 gene:Ma04_g29940 transcript:Ma04_t29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQVYQKRVKSGGRIFQRLACKQAPEDASSNDDKVPCNTPRGYTESTCVSLREGMASSSTDNGDAFVSSGEYVRDHGSLLSLQPWIFKTGSYQRDEELVKPNDDCSEKCGYEMDGFMYNSLPEISPQSVSLGYACGRGQSTIRARRSHRSSIKPLSSTENCLIPQLYDANFEIEEFVFSSFPSSTTPGLRPFVVTDESKIVNKSSFGSTGITFDSGMNKGNMKNVTGVSSLPELRTPKRKSRETPHDIVGSSNSRRSHMISHQKAPTLYPGLHDGIHIFSIGVSLGIISTVLSNRKEIENLNNMLKGSENLIQDLQEELDMKDAVTVKELANEACGHQKPVDSIAESIKSAIDQLPESYFPVEEKDEYDQLNFSKEESRSKIEAELEIELEKLELTMNRSSLNGTMLALGELDLDVVADVVYGELKADMLPGGVSEDQDDSASDSENTTNHIHNVNHAVSPRELSLRLHDVIQLRLEERIKELEDELQQTKKQLQSAESERLPSQRAFSSSDMESSSNQDSPTGIAGDTALAQPFCLNLAGDALDTYNEAYEEIRRTANMVNNLPSTTNMTGELGHGLYSSERSFTWAMEVPKRCGRETKWEQNLKSTGLNDDQILEIHATNEDEYNDDEDDDEMKMLIEQILERTRQGSPIVLHAQSLLRCTLFTTSPK >Ma04_p29940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30717319:30724583:1 gene:Ma04_g29940 transcript:Ma04_t29940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQVYQKRVKSGGRIFQRLACKQAPEDASSNDDKVPCNTPRGYTESTCVSLREGMASSSTDNGDAFVSSGEYVRDHGSLLSLQPWIFKTGSYQRDEELVKPNDDCSEKCGYEMDGFMYNSLPEISPQSVSLGYACGRGQSTIRARRSHRSSIKPLSSTENCLIPQLYDANFEIEEFVFSSFPSSTTPGLRPFVVTDESKIVNKSSFGSTGITFDSGMNKGNMKNVTGVSSLPELRTPKRKSRETPHDIVGSSNSRRSHMISHQKGLHDGIHIFSIGVSLGIISTVLSNRKEIENLNNMLKGSENLIQDLQEELDMKDAVTVKELANEACGHQKPVDSIAESIKSAIDQLPESYFPVEEKDEYDQLNFSKEESRSKIEAELEIELEKLELTMNRSSLNGTMLALGELDLDVVADVVYGELKADMLPGGVSEDQDDSASDSENTTNHIHNVNHAVSPRELSLRLHDVIQLRLEERIKELEDELQQTKKQLQSAESERLPSQRAFSSSDMESSSNQDSPTGIAGDTALAQPFCLNLAGDALDTYNEAYEEIRRTANMVNNLPSTTNMTGELGHGLYSSERSFTWAMEVPKRCGRETKWEQNLKSTGLNDDQILEIHATNEDEYNDDEDDDEMKMLIEQILERTRQGSPIVLHAQRYLFPKDD >Ma11_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19366392:19367303:1 gene:Ma11_g14090 transcript:Ma11_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDIDLLNPPAELEKKRHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >Ma10_p30400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36718452:36719465:1 gene:Ma10_g30400 transcript:Ma10_t30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDKLLLTKLKQRRGQQQGGFSGALVEPLAKERSRRRAMARAQDGVLRCMLKMMQHCSARGFVYGIVPERGDPVTGSSENLKSWWKDSVAFDRNAPAAIAQSTLAQPNELVLTSYIDRLRMLQDSTLGSLLSALIQHCEPPQRRFPLERGLPPPWWPTGEEPWWGVQGEAHAQGPPPYRKPHDLKKAWKLSLLTAVIKHMSPNLGHMRNLVWQSKRLQNKMTAKESEVWSKMVNQEEALLQLANRSLRISPIPEDEAAGNSAAEKGPDGRRNDDKRKCEFGDNSREKCSRAELQNVDGSGEFELDLSGNCVLEDDRRAIDELMMLYYSARDFTRLV >Ma06_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7118923:7121022:-1 gene:Ma06_g10290 transcript:Ma06_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYVSVVLLLSVLGSASAQLSTGFYSSSCPSLSSTVKPVVHSAISSEQRMGASLLRLFFHDCFVNGCDASLLLDDTSNFTGEKTATPNQNSVRGFDVIDKIKTAVEKACPGVVSCADILAITARDAVAILGGPNWDVKLGRRDAKTASLSGANNNIPPPSSSLSNLISKFSAQGLSRQDMVALAGAHTIGQARCISFRSRIYNDTNIDSSLATTRQSNCPSTSGSGDGNLAPLDLQTPTTFDNDYFKNLVNLKGLLHSDQQLFSNGSTDSLVKTYSASPSKFASDFAAAMIKMGDISPLTGSQGEIRNNCRMVN >Ma06_p33480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34107158:34110247:1 gene:Ma06_g33480 transcript:Ma06_t33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWAEDDYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRSISVDGKVLKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENVSRWLRELKDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKGYAERESLYFMETSALEATNVDNAFAEVLSQIYRIVSKRAVEAGDDAASSSVPSKGERINVKDDASTQKKLGCCST >Ma04_p36450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34830304:34831660:1 gene:Ma04_g36450 transcript:Ma04_t36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFGSRFPSRIAEEAEKEEVRHLTYQHFTHLVAALPSLESGFVDPFHCYNGWYGLLTNIVGTMVAQKYFRARPTDVLIATIPKSGTTWMKALVFCTINRGSHVDSRHALESCNPHECIPFLELQIYTNNRVPDLSKLPPPRLFCTHIPFHSLPASVVDSDCRVVYLCRNPKDNFISLWHHKNRHRTKANLEPWPLEKAFDNFCKGFSFFGPFWDHVLGYWKAHLERPKKILFVKYEELLQDTVAQLKRLAEFLGFPFSEDEEKEGVIDGIVRLCAMESLSNLKVNRSGTTDFGRWTVDNSTFFRRGVVGDWLNHLTPEMADRLQKMTEEKFAGSGLMF >Ma03_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29039610:29056356:1 gene:Ma03_g24810 transcript:Ma03_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKQSIGPLFDTLRMERVRTILTHTYPYPHEHSRHAMTAVMVGCLFFISSDNMHTLIQKLDKNFKWWSMYFCLIGFFYFFSSPFVRKTIKPSYSNFSRWYIAWIFVAALYHLPSFQSMGVDLRMNLSLFLTIYISSVFFLIVFHIIFLGLWYVGLVSRVAGKRPEILAIIQNCTVISIACCVFYSHRGNLAVVRENHFDRRTSSWLSFSFWKKQETNTWISKFLRMNEFKDQICSSWFAPVGSASDYPLFSKWVLYGELTCSGSCPGLSDEISPLFSLWATFMGLYMANYVVERSTGWALTHPSSISEYEKLKKQMKPDFLDMVPWYSGTSTDLFKTVFDLMVSVTLFLGRFDMRMMQAAMSKVPDEAKNGDIFYDHLSERDNFWFDFVADTGDGGNSTYTVARLLAQRSLHLSLGDSTRSLQRGDLLLIGGDLAYPNPSAYTYERRLFCPFEDALQPPSWYKAEHIAVSKPELPYGVSEMKQYDGPQCFIIPGNHDWFDGLHTFMRYVCHKSWLGGWFLPQKKSYFALKLPKGWWVFGLDQALHGDIDVYQFKFFAEICRQKVQENDCVIVITHEPNWLLDWYWNDVTGKNVSHLIKDYLHGRCKLRIAGDLHHYMRHSFVPSEKPVYVEHLLVNGCGGAFLHPTHVFRNFNSFYGSSFKSNATYPSYDDSSRIALGNILKFRRKNWQFDFIGGIIYFILVFSMFPQCDVFRILHDDSLSGRLNNFFSTMWRNFLYMLEHSYVSLVGTLLLTVASFFFLPTKLSRKSRAVIGVLHVSAHMFAALILMLLLELAIDICVRNRLLATAGYHTLYEWYRSMESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSTICRKGIETLPRGGAIIYYASVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHITPTGDLEVFTLAVDKVPKDWKLDSKWDTEPKEPLQLSHLRMFPSKWTAATGTDPVNSVRIIDHFVIQRTPNPTADANG >Ma05_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1376203:1385930:-1 gene:Ma05_g02170 transcript:Ma05_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARNWFRKLQSRGEKVKPGTEKKEAGSAKDMQKPPIDEAPSNITKQKVAAAKQYIENHYKSQMKSLEDRKERRWILERKLADAEVSEEEQNNFLKNLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRICKEKTTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYFSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEYEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDSSSFPNLNEPDYATGRNIKPTLDDKLSDLSPAPRRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRNHLKFPEEAKLSAEAKHLICRLLCNVEQRHGTKGAHEIKAHPWFKGTQWEKLYQMEAAFKPEVNDELDTQNFEKFEETSASVQTSSKSGPWRKMLPSKDVNFVGYTYKNFEIVNDHEVLGIAELRKKSNKPKRPTIKSLFDMDMAATPNQPVQGSFLKLLPTQMEVPEGIESSSHSSSSSLDQSQSRYR >Ma02_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20465634:20469005:1 gene:Ma02_g11160 transcript:Ma02_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIIVESRTAAESSLHFGPLAGSGFYSMLKESVDRFLVEVQRETCDFAAFRSIFFRLLQSSVDPPLEVIWFYSALGYHEAIRLKKDALDRVSAVRDLLQLLSACSASCDGPKSVALLAPVVSELYHCVKEEKKMSGKVAKKLRKEIGGLVEALVSYISICSGQSSDGKELSYGYLQPCFVDVIRVWTVQHFGRGDGLSVLFPLVTDEIRVCFEQERCGIDYLAGVVVVETLLLSLSLKVQVDGSPRLDLQKELTLWAISSISVFQNCVSFDLLLRLLLNLPMPVMTILSSTEESWLRNILYDAVILADYSFLNPGVEVEHFSDSMMNLVVRRLIVTHEAIRIVRDKGDNRQAIAYTNAFSASCVPSSLIKWATYQVGLGKLNRPNATTPQALLKWLVVLEEQGLKLFDDNISELHSKLTIKEYDGMPETAKFDSGSNSTDGDIFFFDNTGNVDENAADDDQDMEITDSAFQSAARSMKSEASKGRRKRKEWGYEGDESQVKFVKYKIHDNSVKEHLSTRAVDRMSSDSETENPPSPDEMEE >Ma02_p11160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20465647:20468989:1 gene:Ma02_g11160 transcript:Ma02_t11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIIVESRTAAESSLHFGPLAGSGFYSMLKESVDRFLVEVQRETCDFAAFRSIFFRLLQSSVDPPLEVIWFYSALGYHEAIRLKKDALDRVSAVRDLLQLLSACSASCDGPKSVALLAPVVSELYHCVKEEKKMSGKVAKKLRKEIGGLVEALVSYISICSGQSSDGKELSYGYLQPCFVDVIRVWTVQHFGRGDGLSVLFPLVTDEIRVCFEQERCGIDYLAGVVVVETLLLSLSLKVQVDGSPRLDLQKELTLWAISSISVFQNCVSFDLLLRLLLNLPMPVMTILSSTEESWLRNILYDAVILADYSFLNPGVEVEHFSDSMMNLVVRRLIVTHEAIRIVRDKGDNRQAIAYTNAFSASCVPSSLIKWATYQVGLGKLNRPNATTPQALLKLHSKLTIKEYDGMPETAKFDSGSNSTDGDIFFFDNTGNVDENAADDDQDMEITDSAFQSAARSMKSEASKGRRKRKEWGYEGDESQVKFVKYKIHDNSVKEHLSTRAVDRMSSDSETENPPSPDEMEE >Ma07_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2277624:2286161:-1 gene:Ma07_g02910 transcript:Ma07_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILCLSGGPYDVLIQSCYTCGYRFIVPNLDRELPDLGPASYALALPPAPSPLRVFKKKKKTTTKLFASPSCFSAVSFRSSRGRLRFRLWLPMFLRPLYELLLRLSPYESPCRRDGRRFGDSAASEFVSNRWLETGREFADLTYLSNLFFRELEEKFERLFSDVGHPVPSTFTDSYQEGLVLLLRCCMVMLHFLEFDLSLVVEKCKILLSILRRLCVPNLPFALCSCKLHPEADNIISGVTSLASHRCPSDLIGINGPNEGARRPMLSFFRRILEVFIDEFLKNCQMRKHFTMTDNVSVTEQKLFVSHGSYGDIYAIQEIISSHFLLSTNDEWTFNRFINSLSWANEVENDVSEICLSTMLTLLGFRNMFFIPCMLEAHLLSWASKCISIQRPKVDRGLNEELMNSHILAFELSVNVYIGHISRIGLVSNINGEHVQPCYHDKKLSFDSCIQPMTYYKLQHQMDSLFEFCCLNSQDFLSETKADIANKSFAFIKENSHILDDIFRDEACLILQYIVINILSEENVEDTKHENESKISQEMYCFAAVLKLMSSSLLQIIWNLRQKGCVGGSEALGNKVACREYNFIAGIISCFGKYELNQLVKRILLDVIGSNSAKHESNLMLAHFASLLYHSFRRRLGFLWNSCIIMMMVILNLLIFEEGNLDVLMPLVVISKVTIQQSSEEKQIKASTCRSSVVASNLHHIQMLYLRKNHTTEMHEDQSTKCLTSIEDAKCNSYISGANTCNGETFIQSLPGNQKDPSEWADLVDFIEGTHGKDYSGWLRHRKRFRVWQHEKRLVMKGHKKEVVAARRLRSKRAK >Ma09_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3950538:3952815:1 gene:Ma09_g06130 transcript:Ma09_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPVAEEEAPMPLKGKGGLKTLPCIMANEILEKVASFGLHANMIVYLTKTYNMSPAAGAIVLFIWGAASNFTPIFGAFLSDSFLGRFRVIAIGSFVSLIGMALLWLTAVVPGAQPPACGHANDDCASPTSSQLAFLFAAFAVMSVGSGGVRPCSLAFGADQLDQKGAPQNERTLQTFFNWYYASVGISIIVAVTVIVYVQDYKGWAVGFGVPVVLMAISAALFLLGSPFYIKFKANKSILAGLAQVIVVSLKNRHIVLPPDTNHVRFHNKKGSKLTVPTKKLRYHVHHHTLMITRSTHACIIRWNFCRFLNKACVIRNQEKDLNPDGTASNAWNLCTVEQVEVLKSVVRVLPIWSSSIMVAVVISQYSFPVLQAGTMDRHIGSKFQIPAGSFVVFSIITLTLWVAIYDRLVVPPLSKITGRPRGFSLRQRMGIGQVLSCMATAAAAVTEGARRRRAIEQGLADDPQGMVNMSAMWLVPQNCLTGLAEALNLIGQLEFYYSEFPRSMASVAVSLLTLGMGFGNLVSSVITALVNKISGADGGASWLDRNINKGHLDYYYWILTLLGIVNIFYFMACSLVYGEEGQDKIWDDDSQMKQDLHSARELPIAV >Ma07_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8074130:8074805:-1 gene:Ma07_g10860 transcript:Ma07_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLDLRLIVTSATLDADKFSGYFFNCKIFTIPGRTFLVETLYTKQPETDYLDAALITEIDHACQSLYERMKGLGKNVPELIILPVNIALPSEMQSRIFDLAPPGKEERLDSLVITPFPQASAKPRAGCAGHTGPGKCHNEMSPITIPEIQRINLGFTTLTMGLWE >Ma07_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28215928:28218021:1 gene:Ma07_g20300 transcript:Ma07_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLAFVFLVVLSLTTAQSQILFQGFNWESWRKQGGWYNFLRSQVPDIARAGVTHVWLPPPSHSVSEQGYMPGRLYDLNASKYGTRDELKSLIAAFHDKGIKCVADIVINHRCAERKDARGIYCIFEGGTSDSRLDWGPHMICSDDTQYSDGTGNRDTGGDFGAAPDIDHLNQQVQQELTDWLNWLRTDIGFDGWRLDFARGYSPSIAKIYVSHTSPDFVVAELWSSLTYGGDGKPAYDQDGSRQELVNWVHDVGGPAAAFDFTTKGVLQAAVQGELWRLRDPQGKASGMIGWWPEKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPTIFYDHLFDWGLKDEITGLAAIRTRNGILPGSTLRILVADADLYLAVIDEKVMVKIGQRYDVGNLVPANFHVVASGNGYCIWEKR >Ma02_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19738681:19750640:1 gene:Ma02_g10040 transcript:Ma02_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHAVPPPRRNGVAARRPSAAVKKSVPSENGGTAAAATSENATKPTSPTQASGERTVKKLKLSKALTIPEGTTVSDACRRMTARRVDSVLLTDATGLLSGIVTDKDIATRVIAEGLRPEQTIISKIMTRNPLYVMADTLAIEALQKMVQGKFRHLPVVENGEVIAMLDITKCLYDAMSRMEKAAEHGGAIAAAVEGVERQWGSNFSAPYAFIETLRDRMFKPTLSTLVTEHTKVAVVSPSDPVYVATKKMHDFRVNSVIIMTGSRLHGILTSKDVLMRVVAQNLSPELTLVEKVMTADPESATLDTPILDALHIMHDGKFLHLPVLDRDGHIVACLDVLQLTHAAISMVEGGADTVNDMASTMMQKFWDSALALEPADEEFDTHSELSALMTSEYAETGKYMYPPLVGNSFVFKFKDRKGRVHRFNCGTEVLDELEVAVRQRMGLASDCDKLQLLYEDDEGDRVLLITDNDVVGAVNHARSAGWKVLRLHIDESETKKEAARPSDLSEVQRSGLISLQAGILTGTVVLASIGIMVYLKRSNV >Ma07_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:863805:866133:-1 gene:Ma07_g01120 transcript:Ma07_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLQMDSDGESGQVVLNSSQSSMSSSGGSCEDRRSSFCRFSFDDAAPAVGVELPSAPSRLSSKPHRSSDAAWAAIWSRAVDANLGPRDFKLVRRIGSGDIGTVYLCRLRDEASPCAYAMKVVDKLALKKKKKLERAATEKRILRVLDHPFLPTLYADFDASPHFSCVVMEYCSGGDLHTLRHRQPRLRFSVAATRFYAAEVLLALEYLHMLGIVYRDLKPENILIRADGHIMLSDFDLSLESTASPTIEPLVAAASSEAAAVGCDDHFRADPSCLPFRGRRAPRRASKADRRFVAEPVGARSRSFVGTHEYVAPEVAAGRPHGSAVDWWAYGILIYELLYGRTPFAGPTNESTLRNIVRQPLAFPRPPSDPSSSSSSSSAARDLIAGLLAKDPATRLGSRRGAADVKAHPFFKGLNLALMRSRRPPVVPSSARSSSSNEPNKPARLDYF >Ma06_p35130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35095260:35096605:1 gene:Ma06_g35130 transcript:Ma06_t35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPEGEMVRSIRSPPMADQARFAKVPQPQPGLKCPRCDSTNTKFCYFNNYSLAQPRHFCKTCRRYWTHGGALRNIPVGGGCRHSKRTKSSAGSSSKSSTVTTQAGASSSSSAAASSAIGGAITSNIPLLSQLASLHPLPDFGATNFGMGLSGIQAVDTMGFQIGGGGIELETLRLQQMHQFPLLGGPQLPQPPPPASISALHPFVIEGCGFLGGPFTRQAQANPAGSELLTQLASVNMDSHQLLNSPRQYVGVAHNVQFWTGGGGSSNSSDAGGWATDLSGFNSSSGNIL >Ma11_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6533057:6535171:-1 gene:Ma11_g08190 transcript:Ma11_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTTSGGPGERTRKLFVVGASGGGGSAIPVSNSGGVDLGAVGRAVGLDPSSVTLNGYFISRGPDFVSSFTWGSLVSFFTSRGFPTGASQLDPVVVQGKPTLASGLQFSPFPEEGDHLAFKRKATSEDEHPINKKNRVDGYLNGGDSLCIKRRLKLEDDCSTKKRKI >Ma07_p25850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32821239:32825545:1 gene:Ma07_g25850 transcript:Ma07_t25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQATGGGAPGRLPAPGPLAGASRRADVSAVPADVNLALLGHLTAEPELNLVPTPAATTSPVICVVGGHNSDDYGTSRILLHTIGFSEVTELVSDFNEQELDDDPHGDLVGLEAELARVLQSSASAAACRGSTPTFVIEHIAYFLAPKEWSSLSSVESLVLSNRFNLNSRFLFYACCCKAIVSCRTETEGSQSPAGLHGASRCIILLKWENNEPLWVNILFKHIHASVLSGETNVITETGDSWFNCQRLCLPEMCGMQLKHIWERPAIARIGAGSFQVNERVTTCMFISQRSGQRSIVFIINNGGYTIKVEIHDGPYNVIKNRNYTGVVGAINSGASKSCELL >Ma07_p25760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32747293:32748009:-1 gene:Ma07_g25760 transcript:Ma07_t25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTVKGTMVSCSVKGATVSFTVPETTKEGKLEAAGRIRDIASAEPPATRKASGSAGRPRNYQGTETFKNLEVLPSPEKGVWRVRMAISSEQLAEILSEQANTEAMIERMRTYASTAEAAQKQPKSKRAADWMSQHCEHVFAC >Ma06_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16093514:16108959:-1 gene:Ma06_g21730 transcript:Ma06_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFLSITDSTTSTEGHEDAFLGFVEYARSMISSDAEEDPDAGGGGDPPVPPWSWVVSKILKSCMAYSSGVTPAILLSDLFQAWSEQHRYLASKKRLGWKTPSEKRHRRTRLPHTVTIDSIYEKNFLSPSSVIEAVVIDAFLLPGTNIYLLSLGNPWSSCTIDLYLHRRYYDLLDFQILKKGREVYLTGCCLRTAMEGSGQPRLLPTEYLVVLLDEDQDEDAVLLGAQFCSDSFSSISIDAVKNAVTYSLYARIESIELLEAFRGVERKAIILVDSDGSKLKFVLWGEQILLANLFSLGSMLALDRPFIAYVDNHNVGSQELCLEFGSATRIYMVPFTQQEEEVLLSSTQTRSPGSRFSNTLDQTQGLKISQIMLPLDSQGSVDFKNYPFQLHVADLRDKMTGVSLYGVVTNIDKEINNSDTVYYVRIEDTTGAVVAKLHFVRYWSLGRLGIGHSVFISGLTCSMTTGKLLEVSWFEKETGTCLFNQSCLPALLNSSCLHKLSHLSDISKLMKKTHICRVCVVSIEHHDVCLVKSHVICGRPVKERSDGSFHCSFCNSNCDGEVLDSFQLKVTLADESNQMFAWCTGQTATELLQITPDEFLHLPVVEQAMYLCTLRTERYIVAVVNCKRSTNRSSMNLEDDPVFEVARAQKCE >Ma10_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27452842:27454976:1 gene:Ma10_g15290 transcript:Ma10_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKAWHLFLFCCLLQPFRSSASLYNWSSSATHGSPLPGIELPTHLSFNAVTSAGESGCDDHLDAAGGSPNKDEQGLLYDNMDQGGVEDNASAKDGAAPALRLHLKHRSTRDGAAPNRTKKESLEHSANKDALRIGTLFRRITERKNQNAISRLAADADHRAVVAEMGRKTAIATHPKQGGQLMAMVESGVTLGSGEYFIDVFVGTPPRHFPLILDTGSDLNWIQCLPCHDCFEQHGPVYDPAASSSYRNVSCADPRCGLVSSPNPPQPCRSAAANGGRDQPCPYFYWYGDSSNTTGDLALETFTMNLTAPDGAGAEFRRVDDVIFGCGHWNRGLFHGAAGLLGLGRGPLSFSSQLRSLYGHTFSYCLVDRNSDLSVSSKLIFGEDPSLLGRPGLNYTSFVTGKENLVDTFYYVQIKAILVGGEALQIPPATWELAKDGSGGTIIDSGTILSYVADPAYQKIREAIVKRVKYPMVADFPVLNPCYNVSGVPKVELPEFAILFGDGAMWNFPKENYFIRLDPEDIMCLAILPTPQRGISILGNNQQQNFHITYDLKNSRLGFAPARCAEL >Ma03_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5803003:5815256:-1 gene:Ma03_g08060 transcript:Ma03_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGAVTFPPLSPRMPMAFAPSGFSSSSSSSSSSPFFSAAAAPLRIRAASTAALPVPPLNPRDPFLSKLASAAAAAPDPFALASSPDPDSPPYLHLFDSPKLMATPAQVERSVSYNEHRPRKPPPDLPSLLLHGRIVYIGMPLVPVVTELVIAELLYLQWMDPKQPIYLYINSTGTTRDDGETVAMETEGFAIYDAMMQLKNEIETVAVGAAIGQACLLLAAGKKGRRFMMPHSKAMIQQPRVPSSGQMPASDVIIRAKEVVTNKDTLVKLLAKHTGNSVDTVSKVMQRPFYMDSIKAKEFGVIDKILWRGQEKMMADALSPEEWDRQAGIKVADGL >Ma08_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20760330:20766702:-1 gene:Ma08_g16790 transcript:Ma08_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGLRKTAKRDFVSREDGVAKSLNDFGDFDPWTAWAYKPHTISLLLIGTCLLVWASGVVDPESTASNDVVTSVKRGVWAVIAVYLAYSLLQAPSTVLIRPHPAIWRMVHGMAVVYLVALTFLLFQNRDDAREFMKFLHSDLGVELPERSYGTDCRIYVPENPESRFNNVYDTLFDEFVPAHIFGWWGKAIMIRNQPLLWVLSIGFETMELTFRHMLPNFNECWWDSIILDIIICNWFGIWAGMRTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPARWDKDEWHPLLGPWRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPVKKLGAFCWLSLAICIVELLICVKFGHGLFPNPMPPWLITFWTAVGIAIVIFLLAWSFQIHRTVMMKKL >Ma09_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36672724:36677840:1 gene:Ma09_g25090 transcript:Ma09_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQLFLLVEALAAAAAAAVLGQSAGDRLAANGTIASNQTLISAGGVFQLGFFNSSGSGNGYLGIRYYNLADPSVVWVANRNKPLNLSTATMNLTADGTLSLFDNGIIIWSTGTSRAINPVLQLLDSGNLVLIAGKSNSPLWQSFDHPCDTLLPGMKLGVDYNANISRQLVSWKSPTDPSPGDYAFKMETRGVPEISIWSSSKKTYRTGPWTNQGFSGNPKMEDSNISNKLNFSFVSNQNGVYYTTEYKNNSLLSRAVMNATGRFERWNWDGGSWSNFWYVPEDECDHYARCGSNSICTKEYYSYSCNCIKGFEDKGSLGCERTTPLSCSSDRFWKVQSIKLPDTENATTDSSKSTLAACEDWCSKNCSCLAYAMAGLNGCVTWSGDLVDLRSFISGGDDLYVRLAASESEISVESSRSNARELAIGLPLLLGFLLLCCLGLLLWWRKRGKKQGILGTDTESSVKETGLLLDLPKVMDKDDLFGQSNSSGCESLQQLPRTDFDTSEQHWRSIMINSENANLNNERAASVNLLGTLSSYDLATIKAATNNFSAANKLGEGGFGAVYKGQFQDGQTIAVKKLSRHSSQGPDEFKNELTLIANLQHRNLVRLLGSCIEGDERLLVLEYMENGSLDAFIYDKTKSVLLNWQKRLDIIVGIARGLLYLHQDSNLRVIHRDLKPSNILLDKDMNPKISDFGIARIFERDEGHENATTRPVGTFGYMAPEYLSSGIFSFKSDVFSFGVIVLEILSGKRNRVFNQANVRLNLLGHAYKLWKEGRSLEILDDALDCSYPATEILRCIRMGLLCVQEHSEDRPTMAEVVMMLASEDQQLTPLKQPTITLASSDGDLSSKEISVTIAGR >Ma07_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5354952:5358007:1 gene:Ma07_g07320 transcript:Ma07_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLGDFYNVMTAVVPLYVAMILAYGSVKWWKIFTPLQCSGINRFVALFAVPLLSFHFISGNNPYTMNLRFIAADTLQKLMVLAALTAWGCLSRRGRLDWTITTFSLSTLPNTLVMGIPLLRGMYGEVSHSLMVQIVVLQCIIWYTLMLFLFEYRAAKLLIAEQFPDTAGAIASISVDSDVVSLDGREMLETETQIKEDGKLHVTVRRSNASRSDIHSRRSLGFSATTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYNMVGRSSNFGAADVYGIRGAAATTPRPSNFEEEHGAGNTASAKPRFQYQLPVTTTAAAPHYPAPNPAVFAPTATAAPKTMGPKRANGQAHLLKSEDGGAKDLHMFVWSSSASPVSEAFGNSKEYGLPATEASGLKEVRMEVSPGKVDGWKDGRDDYLEREEFSFANKATMDKEGAPQEGDEKANNEANKGGLREVKAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFQMPAIVLRSISILSDAGLGMAMFSLGLFMALQPRIIACGNKAAAFAMAVRFLTGPAVMAAASLVVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILMGI >Ma02_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14182865:14192356:1 gene:Ma02_g02780 transcript:Ma02_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVGGGGGEEMERDFEAKLRLQQPSPAGIGGGKTVQRTNSITFRAPQEHFTIDDFELGKIFGVGSYSKVVRAKKKDTGNVYALKIMDKKFIAKENKVSYVKMERIVLDQLDHPGIIGLCFTFQDAYSLYMALECCEGGELFDQITRKGHLTEAEARFYFAEVVDALEYIHGVGLIHRDIKPENLLLTTDGHIKIADFGSVKPTRDSQITVLPNSANEKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLRFPEYFSHEAKDLIDKLLDIEPSRRPGAGPDGYTSLKKHPFFKGIDWKNLRKAPAPRLALEQDTTADYDSQDTSWNLTHIGGSPAHQHLTPEGNAGATSSSETQSHISKLSSIDSFDSKWQDFLEPGEGIVMISRLKKIQKLTNKKVQLILTDKPKLLCVDPSKMTAKANIIWSDNPSDLHVQVANPSHFKICTPKKVTSFEDAKQRAWQWKKAIEGLQHR >Ma03_p19090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24512056:24514469:1 gene:Ma03_g19090 transcript:Ma03_t19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFHADSDTTDIFVTKKVESRIIRSVVADSGTSTDANTASVATLEEAKRQKLCINWDSMITGVGQVFSSPKAFRDALHKYAIANSFMYRFIKNDGSRVTAECTVEDCPWRIHASRSSAKQKFMIKKMNDIHTCGKELSKESRRLASQRWVASIIKDKLRDTPNYRPKDIAKDLQQEYGLSLNYSQAWRGKFIAKKELHNSHEEAFSQLSWFCERIIETNPGSVATLHTSDDSKFCFFVTFHASLYGFEHGCRPLLFLDGLSLKANKQWKLLAATAVDGQNDIYPVAFCVLDSEASENWHWFLVQLKSAFTLSRAITFVSSSQNGLEELPEVFEDSFHGYSEQQLIENFKKEMDESWTQELKNKMVGHLKRAICACKVDEFNESIENLRIQSKELAEWVLGMEPEFWSDAFFKGLRYGHYSSGAAEIFNDWVSTRYEPSVLQIVDILRCKLMEMMYSRRESSNTWTEVLVPSANQKVQEEMIKARSLSVACSSDSVFEVSDESTNVINIETRECTCRRWQVTGLPCMHALAVLERMNGCIYDYCSKYLMTECYQQAYSLSINPIPDVGGPVCADSFHAATTCPPRTRRLAGRPKEKPAEPRIIIKRAVRCSRCQGLGHNKQTCKAQI >Ma03_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24509546:24514469:1 gene:Ma03_g19090 transcript:Ma03_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKILAICQSGGEFITNSDGSMSYSGGEAHAIDINCEMSLSDLRSEISAMFNFNADTFSIKYFLPRNKRTLITISNDKDLQRMVDFHADSDTTDIFVTKKVESRIIRSVVADSGTSTDANTASVATLEEAKRQKLCINWDSMITGVGQVFSSPKAFRDALHKYAIANSFMYRFIKNDGSRVTAECTVEDCPWRIHASRSSAKQKFMIKKMNDIHTCGKELSKESRRLASQRWVASIIKDKLRDTPNYRPKDIAKDLQQEYGLSLNYSQAWRGKFIAKKELHNSHEEAFSQLSWFCERIIETNPGSVATLHTSDDSKFCFFVTFHASLYGFEHGCRPLLFLDGLSLKANKQWKLLAATAVDGQNDIYPVAFCVLDSEASENWHWFLVQLKSAFTLSRAITFVSSSQNGLEELPEVFEDSFHGYSEQQLIENFKKEMDESWTQELKNKMVGHLKRAICACKVDEFNESIENLRIQSKELAEWVLGMEPEFWSDAFFKGLRYGHYSSGAAEIFNDWVSTRYEPSVLQIVDILRCKLMEMMYSRRESSNTWTEVLVPSANQKVQEEMIKARSLSVACSSDSVFEVSDESTNVINIETRECTCRRWQVTGLPCMHALAVLERMNGCIYDYCSKYLMTECYQQAYSLSINPIPDVGGPVCADSFHAATTCPPRTRRLAGRPKEKPAEPRIIIKRAVRCSRCQGLGHNKQTCKAQI >Ma09_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9764904:9765114:1 gene:Ma09_g14280 transcript:Ma09_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYQGRSVVNTIRIQRRIEIEGIERSTRII >Ma01_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2137111:2139977:1 gene:Ma01_g03290 transcript:Ma01_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGQSRSLFGIPLTERPKWQQFLLCSSGFFFGFLINGICEEYVYNRLQFSYGWYFTFVQGFVYLGLIHLQGFTTKQMVNPWNTYVKLSGVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMIMGAFIPGLRRKYPLHEYISAVLLVVGLILFTLADAQTSPNFSVIGVVMVSSALVMDSFVGNLQEAIFTMNPETTQMEMLFCSTVVGLPLLIPPMLLTGELFTAWNSCKEHLYVYAVLVFEAMATYVGQVSVLSLVAIFGAATTALVTTARKAVTLLLSYLIFTKPLTEQHCSGLLLIAMGIVLKLLPDYKDSNPQKQKRKQQHHEDHIGQDEERNSLV >Ma01_p03290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2137111:2139977:1 gene:Ma01_g03290 transcript:Ma01_t03290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGQSRSLFGIPLTERPKWQQFLLCSSGFFFGFLINGICEEYVYNRLQFSYGWYFTFVQGFVYLGLIHLQGFTTKQMVNPWNTYVKLSGVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMIMGAFIPGLRRKYPLHEYISAVLLVVGLILFTLADAQTSPNFSVIGVVMVSSALVMDSFVGNLQEAIFTMNPETTQVTQVLCPLILTVSQMEMLFCSTVVGLPLLIPPMLLTGELFTAWNSCKEHLYVYAVLVFEAMATYVGQVSVLSLVAIFGAATTALVTTARKAVTLLLSYLIFTKPLTEQHCSGLLLIAMGIVLKLLPDYKDSNPQKQKRKQQHHEDHIGQDEERNSLV >Ma07_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11092918:11093684:1 gene:Ma07_g14800 transcript:Ma07_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGERAAESGGFSATRAGAKCGRWNPTAEQVKVLTDLFRSGLRTPSTDQIQMISAHLSAFGKIESKNVFYWFQNHKARERHHKKRRRGPRDEEDDDGDHQKARSRCLASCTKGKQDLFWQRQERETETLELFPLKSCSSDEEKVMSMRSELWGRPFLDAARDPPLDLRLSFI >Ma08_p25210.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38155170:38159114:1 gene:Ma08_g25210 transcript:Ma08_t25210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLGICSPKEGMNFSCPNTTLGRMCFTFLCCVVEDLLANIFSRAEIIRVTMLLGNASLLDQSGLEYGSPMTTGGLFSNGGANDMNGWASPFQSERMGLVQPSSAHSWLGSEGSSSGLIVKKTIRVDIPIDKFPTFNFVGRLLGPRGNSLKRVEANTDCRILIRGRGSIKDPTKEEMMRGKPGYEHLNEPLHILVEAELPAEIVDTRLMQAREILEDLLKPVEESQDFFKKQQLRELAMINGTLREEGGHMSGSVSPFHSSLSMKRAKTRE >Ma08_p25210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38153597:38159114:1 gene:Ma08_g25210 transcript:Ma08_t25210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRFMAYSPSPSTAPHSPHIPGIRSATGAFAEQEKYLSELLAERQKLSPFVPVLPHCYRLLNQAEIIRVTMLLGNASLLDQSGLEYGSPMTTGGLFSNGGANDMNGWASPFQSERMGLVQPSSAHSWLGSEGSSSGLIVKKTIRVDIPIDKFPTFNFVGRLLGPRGNSLKRVEANTDCRILIRGRGSIKDPTKEEMMRGKPGYEHLNEPLHILVEAELPAEIVDTRLMQAREILEDLLKPVEESQDFFKKQQLRELAMINGTLREEGGHMSGSVSPFHSSLSMKRAKTRE >Ma08_p25210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38153597:38159114:1 gene:Ma08_g25210 transcript:Ma08_t25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRFMAYSPSPSTAPHSPHIPGIRSATGAFAEQEKYLSELLAERQKLSPFVPVLPHCYRLLNQDQSGLEYGSPMTTGGLFSNGGANDMNGWASPFQSERMGLVQPSSAHSWLGSEGSSSGLIVKKTIRVDIPIDKFPTFNFVGRLLGPRGNSLKRVEANTDCRILIRGRGSIKDPTKEEMMRGKPGYEHLNEPLHILVEAELPAEIVDTRLMQAREILEDLLKPVEESQDFFKKQQLRELAMINGTLREEGGHMSGSVSPFHSSLSMKRAKTRE >Ma08_p25210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38153597:38159114:1 gene:Ma08_g25210 transcript:Ma08_t25210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRFMAYSPSPSTAPHSPHIPGIRSATGAFAEQEKYLSELLAERQKLSPFVPVLPHCYRLLNQEIIRVTMLLGNASLLDQSGLEYGSPMTTGGLFSNGGANDMNGWASPFQSERMGLVQPSSAHSWLGSEGSSSGLIVKKTIRVDIPIDKFPTFNFVGRLLGPRGNSLKRVEANTDCRILIRGRGSIKDPTKEEMMRGKPGYEHLNEPLHILVEAELPAEIVDTRLMQAREILEDLLKPVEESQDFFKKQQLRELAMINGTLREEGGHMSGSVSPFHSSLSMKRAKTRE >Ma07_p27660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33956995:33958240:1 gene:Ma07_g27660 transcript:Ma07_t27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPPQGYPPAGYPQQGYPPPGYPPPYAQPPPPQQSSGPSFLEGCLAALCCCCLLDACF >Ma04_p28490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29554324:29555577:-1 gene:Ma04_g28490 transcript:Ma04_t28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALVRRGYQIGVEIGRGRFGVVRRCRSEATGEEFAVKSVEKRVLADAVDRECAAREAKVHRLAASGNPHAAQIHDAYEDDDWVHLVVELLEGPDLYDRIAARGGAPFPEPEAAAVVQALAEAVAACHLRGVAHRDVKPDNVLFDALGQLKLVDFGSAECFLGADGGRAPMRGLVGTPWYVAPEVVMGREYGEKVDVWSAGVVMYMMLSGGAPPFYGDTAAETFELVARANLRFPSRVFRSVSPAAKDLLRRMLCRDVSRRFSAEQVLRHPWITSGGLSTVEGASRDPSLATERPEGPLLRLCA >Ma02_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22860343:22861088:-1 gene:Ma02_g14970 transcript:Ma02_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFSYITSNCRRGRELSGFFLRLPGSTRRGWELQRIRPDPHQNLESIRWLPALTRWICLKQTVQSHPPRLSANFF >Ma08_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37487353:37488666:1 gene:Ma08_g24220 transcript:Ma08_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKLAAIGLVFGGIGVFLLIQICMSWRATRRGFLGFSSLSTPADASEGLSLQVLEKLPCYAFEAVEENIHFPADCPVCLENFEVGDSCKLLPSCSHSFHAQCLDTWLLQRPSCPVCRTSVDGQRGRKATQDGGARIDMGQVQWFLGYQV >Ma11_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:38022:39147:-1 gene:Ma11_g00080 transcript:Ma11_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAAQLLLFSLLILGSPATAATCSSCFSRSRAVHYPDSDRRGTETGACEYGALGATLYGGDVSAASKLYRNGVGCGACYLVRCTIRGYCSRDGVVVVITDHGASDSADFILSQHAFAKMGRSASAGAALLALGVVDVQYRRVPCSYPKKNITFKMDHSSDFPYYFAFQIWYQQGDRDIVAVQLCETESLTCKLVDRSHGAVWAVAMPPRGPLSARMLLSGDDGDVTWLVPPNDVPEDWRAGAMYDSGIQVYS >Ma10_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23640399:23641780:1 gene:Ma10_g09500 transcript:Ma10_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGENKGLVLLDFWVSPFGQRCRIALAEKGVEYEYREENLRDKSPLLLKSNPVHKKIPVLIDHGMPVCESLNIVQYIDEKWPDRAPLLPADPYARAQARFWADLVEKKMYESGTKLWKLKGEAQEEAKKEFIEILKLLEGELGDKKYFGGETFGLVDIALVPFTAWFYSYEACANLSTEKETPKLVPWAKRCRERESVSKSLPDPLKVYEFVCFLKKVYGIE >Ma02_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14781968:14783516:-1 gene:Ma02_g03440 transcript:Ma02_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFKSLWLLLALWCCVHGSDAALPQRLTLKQHYAKFQERASSRPSLNQAVSKVFDPISFGADSTGAADSSDAIMNTINATFQAQQGKALLPGINDLGGAVVDLQGGNYKISKPIRLPSGGGNVVIRGGTLRADDNFPTDGYLIELHSPSSDQKHGFYYEDITFRDILFDSGFRGGGLRVIDSARIRVDNCFFIHFGTEGIHVNSGHETFVSNTFLGQHVTVGGDPGERSFSGTAINLAGNDNAVTDVVIFSAGTGIILSGQANILTGVHCYNKATGFGGVGIYVKLPGNSQTRIDNCYMDYNAIVLEDPVQVHVTNAFFLGDGNVVLKSVNGVISGLTIVDNMFTGNGNAKAVVEVQGTFNQVDQVVIDRNSVTGMSVKSTTAKLTVAGKADKWVADFSPILLFPDRIKNVQYSLYVNGNESIPLHAVTSTSNNMVVVEADRVVDGGVWVSVDQYSK >Ma05_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9227411:9228478:1 gene:Ma05_g12800 transcript:Ma05_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSGLRVMVVDNDSRYLGLMERLLLQCNYQVMTCAQVQEAISLVMDNRQSIDLIISDAFLPSDDGLLILKSLALALDFAVIMMSWSEEFGMMMNYIAHGACDFLIKPVKIKELRNIWQHVFGNKWDSGMIRSSSLGKKQKDCILPIREADGVVTDVCDLKKARLQWTTQLHQSIRGSSERSWARQGVAKENFGDHQSPTSNKGANCQSSAEVPTAAEEVEFVDGRGEH >Ma02_p07540.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18180815:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma02_p07540.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18180874:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma02_p07540.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18180821:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma02_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18182409:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma02_p07540.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18180783:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma02_p07540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18182073:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma02_p07540.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18180874:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma02_p07540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18180874:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma02_p07540.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18182073:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma02_p07540.10 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18180874:18186636:1 gene:Ma02_g07540 transcript:Ma02_t07540.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSRRSTGTAVVAVEVMEGSSKAEEWSGGAGDGDEGGEAVSLQTGDIVEEVTFGAEPPARSPFRGGRSWVMKLLHAAYKRGDTSVVVRARRGPQATYLDFHARVVPHPAAGRRQYVLRSVRDPRHAVRLVDRSESDCITFQGSRSSRVVWALNNAQLHDGFVSYPWEKKIKETLPSPSSSCFLSLLVLPKASDPSSTSYDPVEDTLARAEAWLGSSQASGVPIVFMHIQTESLLTKISGETASTTVNMGSLADLSNVANASLYGFEDYHGVDIGVVRAVRLWYAPAEGEMAFEIEPREGDTKLGFSISRTEEGFIHISSVEDDDGTGVAAGRSGLKKLYKAAAAASKLLVVSRVGTEKVLPWIVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETSETNVTTTKAESDEHPTPMSPPYIVPRASDGASAAASSLSASPGRDELQDAAGDLSFRFCDLSIWNTSI >Ma10_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33440741:33441453:1 gene:Ma10_g25170 transcript:Ma10_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFSQLAFLADQALDDKNFDPSKIEQLLCLFEQETYASWAAAEAEHLKAVDDAEDAMKDAENQLESLMEAAMADFSRFHDAADVSAAEELSSLERAADATRKVGKSLGAAAAIVSKRYMDAAMASAMTAMRAAFASSKVHP >Ma08_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8198914:8203315:-1 gene:Ma08_g11110 transcript:Ma08_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTSTFVIRWINFFSMILAIAVICFGIWMSIHHDECRKSLTIPVMGLGALILLVSLIGFLGAWKNVAVLLWVYLVMLCLILIAIMVFTVLAFIITNSGSGHAVAGLRYKEYHLQDYSSWFFKQLNNTKNWRHLKSCLVKSEDCNSLPKNYKTLKEYKLAQLSPIEAGCCRPPSECGYPAVNASYYDLTYHPVSTNKDCKLYKNSRSIKCYDCDSCKAGVAQYMKTEWRVVAIFNLILFVVLECLKKSLSESKRPMISHIVHPVGKIVH >Ma08_p11110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8198913:8203315:-1 gene:Ma08_g11110 transcript:Ma08_t11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTSTFVIRWINFFSMILAIAVICFGIWMSIHHDECRKSLTIPVMGLGALILLVSLIGFLGAWKNVAVLLWVYLVMLCLILIAIMVFTVLAFIITNSGSGHAVAGLRYKEYHLQDYSSWFFKQLNNTKNWRHLKSCLVKSEDCNSLPKNYKTLKEYKLAQLSPIEAGCCRPPSECGYPAVNASYYDLTYHPVSTNKDCKLYKNSRSIKCYDCDSCKAGVAQYMKTEWRVVAIFNLILFVVLSIVYFVGCCARRNVSRSHSVKARGR >Ma07_p26260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33133470:33134870:1 gene:Ma07_g26260 transcript:Ma07_t26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESQHLQLCLLILLSPQEEDLYNPWRLFEERVRTGPFGLTRFPSRPHSPVRILVLSGSADDRVNPRLTQFIRDSPPRIRGEWHLGQAAEPEDQGLTAEEFKKAMGKLRKQVYSPPYPPRRAWKRGLFSRRTDTGADDAEEEDGKDCTICLEAFLPTDQVLVTPCNHMFHNDCLVPWVKSQGKCPVCRFVLCERKEGGGPVRRSDGGANSNYFPHNASDLDDEELPLDLVTLIRAMEEAFGWVNYARAASHR >Ma01_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8676770:8679195:-1 gene:Ma01_g11980 transcript:Ma01_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGPPSTPSPPSRTLGSPPPPPQNPDSKLAVGFTLALPRFPREETMKIFDWMHRKLHPCSVKYAQVSQKRDVLGEDDEEKREVLFEGVMEKEALLLHDVLNGILTIGTLGHQDSFVSQPYPAREDELLQEEEENVEDEEKEEVKEAAPAVAAAREPLPAIVIESFKFKLPVEAEVNRMEMAVQDVEEAEKIQELPLLKEDKEKRERGRTTLADLFAADAFVVNDPAENDIKRANDIVKQQANPERKKAQRDKKEEKRTPTTTKAITNPNRKLQKLMTKMLKKKIHPEMASADTQTKERNKEVSDGTGSRIY >Ma07_p02490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1977154:1987458:1 gene:Ma07_g02490 transcript:Ma07_t02490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKREEVRNEKIIRGLMKLPPNRKCINCNSLGPQYVCTNFWTFVCIVCSGIHREFTHRVKSVSLARFTTQEVEALQRGGNQLAREIYLKDWDMQRMRFPDSSNADKIREFIKDVYVNKKYARGNSTGKPSRDIESSKNHELEQRRASSYHSFSQSPPYEYQYEDRRYGKQFGMLNRKPGSNQGHYDGKTGSSIYSSSHVTYEDRFANESSGSRMSDFSISSAGDTFKYDVQSLSSQDNGCCSPSLYLSRDAIHKDAKQQTLNQFTETNAKRNLDGMRCPKRTSSAGSFGSLDSSSISHRSFSPANAVDIALEPVHSSGIQQAKATIFSSTQSSASIVTGNKDLLNSSFVQQPMSTSPSIDLFANFNNQSSSLSPFEHKPNSLGVVDVVLESVHSSGTQQAKTSTFSSAQSPASIHTGNKDIVGPTFVQKPNTSSTSVDLFADLSNQPSSTIPIEHRSAADPVPQNDGWATFDLPHHVGVDSAMSPVISAVELSGSGAPKERVGGWVSPENDSGWFPFQNPLAPGPMTVTSGQLHSDLQERTRSADQNNSQLWNTFDDSTKKVPQASVGGLPQNSISWIHVPDFALHDTNVALKVMQDFDKDGFQRSAMDAGNPCLDQPVGVVAGSPLSLLVKPGGVDQPQKSTNPFDLPYDAHLEPMNAFLDMSSLETALPSTQLINDYLAGVAQPWNSQNAAATYISSLPEGGLQYMSGQGPSSHFPKFPPQGPGASVGGNPFA >Ma07_p02490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1977154:1987458:1 gene:Ma07_g02490 transcript:Ma07_t02490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKREEVRNEKIIRGLMKLPPNRKCINCNSLGPQYVCTNFWTFVCIVCSGIHREFTHRVKSVSLARFTTQEVEALQRGGNQLAREIYLKDWDMQRMRFPDSSNADKIREFIKDVYVNKKYARGNSTGKPSRDIESSKNHELEQRRASSYHSFSQSPPYEYQYEDRRYGKQFGMLNRKPGSNQGHYDGKTGSSIYSSSHVTYEDRFANESSGSRMSDFSISSAGDTFKYDVQSLSSQDNGCCSPSLYLSRDAIHKDAKQQTLNQFTETNAKRNLDGMRCPKRTSSAGSFGSLDSSSISHRSFSPANAVDIALEPVHSSGIQQAKATIFSSTQSSASIVTGNKDLLNSSFVQQPMSTSPSIDLFANFNNQSSSLSPFEHKPNSLGVVDVVLESVHSSGTQQAKTSTFSSAQSPASIHTGNKDIVGPTFVQKPNTSSTSVDLFADLSNQPSSTIPIEHRSAADPVPQNDGWATFDLPHHVGVDSAMSPVISAVELSGSGAPKERVGGWVSPENDSGWFPFQNPLAPGPMTVTSGQLHSDLQERTRSADQNNSQLWNTFDDSTKKVPQASVGGLPQNSISWIHVPDFALHDTNVALKVMQDFDKDGFQRSAMDAGNPCLDQPVGVVAGSPLSLLVKPGGVDQPQKSTNPFDLPYDAHLEPMNAFLDMSSLETALPSTQLINDYLAGVAQPWNSQNAAATYISSLPEGGLQYMSGQGPSSHFPKFPPQGPGASVGGNPFA >Ma07_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1977154:1987458:1 gene:Ma07_g02490 transcript:Ma07_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKREEVRNEKIIRGLMKLPPNRKCINCNSLGPQYVCTNFWTFVCIVCSGIHREFTHRVKSVSLARFTTQEVEALQRGGNQLAREIYLKDWDMQRMRFPDSSNADKIREFIKDVYVNKKYARGNSTGKPSRDIESSKNHELEQRRASSYHSFSQSPPYEYQYEDRRYGKQFGMLNRKPGSNQGHYDGKTGDTFKYDVQSLSSQDNGCCSPSLYLSRDAIHKDAKQQTLNQFTETNAKRNLDGMRCPKRTSSAGSFGSLDSSSISHRSFSPANAVDIALEPVHSSGIQQAKATIFSSTQSSASIVTGNKDLLNSSFVQQPMSTSPSIDLFANFNNQSSSLSPFEHKPNSLGVVDVVLESVHSSGTQQAKTSTFSSAQSPASIHTGNKDIVGPTFVQKPNTSSTSVDLFADLSNQPSSTIPIEHRSAADPVPQNDGWATFDLPHHVGVDSAMSPVISAVELSGSGAPKERVGGWVSPENDSGWFPFQNPLAPGPMTVTSGQLHSDLQERTRSADQNNSQLWNTFDDSTKKVPQASVGGLPQNSISWIHVPDFALHDTNVALKVMQDFDKDGFQRSAMDAGNPCLDQPVGVVAGSPLSLLVKPGGVDQPQKSTNPFDLPYDAHLEPMNAFLDMSSLETALPSTQLINDYLAGVAQPWNSQNAAATYISSLPEGGLQYMSGQGPSSHFPKFPPQGPGASVGGNPFA >Ma07_p02490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1977154:1987458:1 gene:Ma07_g02490 transcript:Ma07_t02490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKREEVRNEKIIRGLMKLPPNRKCINCNSLGPQYVCTNFWTFVCIVCSGIHREFTHRVKSVSLARFTTQEVEALQRGGNQLAREIYLKDWDMQRMRFPDSSNADKIREFIKDVYVNKKYARGNSTGKPSRDIESSKNHELEQRRASSYHSFSQSPPYEYQYEDRRYGKQFGMLNRKPGSNQGHYDGKTGSSIYSSSHVTYEDRFANESSGSRMSDFSISSAGDTFKYDVQSLSSQDNGCCSPSLYLSRDAIHKDAKQQTLNQFTETNAKRNLDGMRCPKRTSSAGSFGSLDSSSISHRSFSPANAVDIALEPVHSSGIQQAKATIFSSTQSSASIVTGNKDLLNSSFVQQPMSTSPSIDLFANFNNQSSSLSPFEHKPNSLGVVDVVLESVHSSGTQQAKTSTFSSAQSPASIHTGNKDIVGPTFVQKPNTSSTSVDLFADLSNQPSSTIPIEHRSAADPVPQNDGWATFDLPHHVGVDSAMSPVISAVELSGSGAPKERVGGWVSPENDSGWFPFQNPLAPGPMTVTSGQLHSDLQERTRSADQNNSQLWNTFDDSTKKVPQASVGGLPQNSISWIHVPDFALHDTNVALKDFDKDGFQRSAMDAGNPCLDQPVGVVAGSPLSLLVKPGGVDQPQKSTNPFDLPYDAHLEPMNAFLDMSSLETALPSTQLINDYLAGVAQPWNSQNAAATYISSLPEGGLQYMSGQGPSSHFPKFPPQGPGASVGGNPFA >Ma03_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18075944:18077623:1 gene:Ma03_g16170 transcript:Ma03_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDASQPLRVFFFPLMSPGHMIPMIDIAKLFARHGAAVTVVTTPGNEPLVRPAIDRRHDGTPVVDLLLLPFPDSVAHLVPSTGEHLGAITTAEHADFMNAVFALAGPLDDLLRQHRPDCLVSDAMFPWTSATAAQLGIPRLVFYGPGAFLLCVHRSIEFRGHHAAVSSRSERFAIEGLPHRIHLEPSEISPIFKFTEMVLQQRKAEESSFGVLVNSFYELEPDYADLFRKEPVTKAYYVGPVALCDQEKEAQRGSRSSPHLGRWLSWLDSKPAGAVVYVCFGSLCELSNDQFKDLALGLEASGHLFLWVVREGAGCPPAWLPDGYERRVEGRGLVIRGWAPQVALLGHPAVGGFVTHCGWNSTLEGLTAGKPMAAWPLNYDQFVNEKLLTEVAGVGVRVRRSEAVGAARVRAEEVTAAVRELMGGGEAAAERRRRAREYAAMAKAAMKEGGSSYQDMTCLMEELMACRERKPGGALNGDVGL >Ma04_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5331577:5335091:1 gene:Ma04_g07360 transcript:Ma04_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHRRRRHLFLFLFLLALGPWAAAASEDVPEADALLALKAAIHDPSGALYAWNSADGHCSWPGVACDPIRVFVLSLDLTGLNLSGTLSPAVGRLHHLVNLSTASNSLSGPLPAELSHLSNLRHLNLSNNLFNGSFPSAFSRLKNLLVLDLYNNNLAGTLPPEVSELPNLLHLHLGGNFFSGVIPPEFGHWEFLEYLAVSGNELGGPIPPELGNLTRLRQLYVGYFNSFEGGIPPEIGGLSELVRLDMANCGLTGDIPPELGKLQNLDTLFLQVNGLSGRLPPELGGLRSLKSMDLSNNALTGEIPGSFADLQNLTLLNLFRNKLHGSIPEFVGDLPALEVLQLWENNFTGGIPRRLGQSGRLQILDISSNKLTGTLPPNLCFGNRLQTLIALGNFLFGSIPETLGQCQSVSRIRMGENYLNGSIPDGFFSLPKLSQLELQDNLLAGVFPDTGAAAISPDLGQINLSNNRLLGPLPPSIGNFSGLQKLLLNQNQFSGGIPPEIGRLQQLSKVDFSGNQFSGPIAPEISRCKLLTFVDLSRNKLSGEILPEIAGMRILNYLNLSRNQLEGSIPPSISTMQSLTAVDFSYNNFSGLVPGVGQFSYFNASSFVGNPELCGPYLGPCSSMIHGAGSVHARVPLSASSKLLLVIGLLICSVAFAMAAIMKARSLKKASEARAWKLTAFQRLGFTCDDVLDCLKEENIIGKGGAGIVYQGIMPNGERVAVKRLPAMSHGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLLWETRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLLQDAGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELVTGRKPVGEFGEGVDIVQWVRKMTDSNKEGALKILDPRLPTAPPDEAMHVFYVATLCVQEQSVERPTMREVVQILTDPPKQGEDRETPIKEAEQQQAAQSTPPPDLLSV >Ma07_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11840796:11860413:-1 gene:Ma07_g15690 transcript:Ma07_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase [Source:Projected from Arabidopsis thaliana (AT2G07050) UniProtKB/Swiss-Prot;Acc:P38605] MWRLKIAEGIGPWLRTNNNHIGRQVWEFDPSLGTPEEIAEVERVREEFRKNRFEKKHSSDLLMRLQFSKENPLELDFPIIKLQDHEDVTEEAVLTSLRRAISRISTLQAHDGHWPGDYGGPMFLMPGLIITLYVTGALNTVLTPEHQKEIRRYLYNHQNKDGGWGLHIEGTSTMFGTALTYVILRLLGEGSDGGFGAMEKGRNWILDHGSATAITSWGKMWLSVLGVFDWSGNNPLPPEMWLLPYFLPVHPGRMWCHCRMVYLPMSYIYGKRFVGPITPTVVSLRTELYNIPYDQIDWNQARNECAKEDLYYPHPLIQDILWASLHKIVEPILMHWPGNKLREKAINTAMQHIHYEDENTRYICIGPVNKVLNMLCCWIEEPNSEAFKLHLPRVSDYLWVAEDGMKMQGYNGSQLWDTAFTVQAIISTNLSEEFGPTLKKAHEFVKNTQVLEDCPGDLNSWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPEIVGYQIDGRKLYDAVNVILSLMNQDGGFATYELTRSYAWLEIINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRREEIDNCITKSARFIEKIQQADGSWYGSWGVCFTYGIWFGVKGLVAAGRTYESSSCIRKSCNFLLSKQLASGGWGESYLSCQDKVYTNIEGNRTHAVNTGWAMLTLIDAGQCERDPKPLHRAAKVLINMQMENGEFPQQEIMGVFNRNCMISYSAYRNIFPIWALGEYRSRVLCPKNN >Ma00_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8175707:8177027:-1 gene:Ma00_g01450 transcript:Ma00_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGTLHFGFMNCWHNTFFCVVHVLLSNGDCAFLR >Ma06_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12644448:12649326:-1 gene:Ma06_g18480 transcript:Ma06_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSPHSYSGHTFPLLLLLLPSPLAGTSLSRSPIAIFVDKRYLLIAPVRSPQGLRSIIRSHRSIYIYPMAANKFATVLHRNSHRMAVILAYAILEWALIILLLLNGFFAYLIARFADYFGLKPPCIFCSRVDHLFQSDEGRRRCALRDLICDEHAAEVAKLGYCAHHRRLAEAGEMCEDCSSSSRPVEVAVLSWMKRIEEGEKDLRCSCCDVVLESGFYSPYLLVRPSVGNVEYDQKGNLVNEFVVGDRKVLREDDALCQEKVESGELVSEDQADGRHEEEEEIGEKFGGMEAIGTLISEREVEEIETLIEFSDAGRLVEDASLELLIRYPENIHGEDRLVPVELIDSATMTNRHVAYASGKQDQGELGHAGEAIKVLDTGSIGEEREAVAFLGGGTDVFKVNSSGYGEMQQDDRPFDIGSIAEEEKELLSATEMADIVEVNSLRNDGVEQEVMVLDVGCIIEETTLASAVGSADVTKKNSSEDVSAEHQVVDLEYTICMSEEEKTLVSAGERADSEMEGGQQHAVTLDKRSISDEEITLIPFEESDDTIEENFSDVDRAQQNSMTLDLGIISAEEKVSSLHILEGDDILEQNSVVLSASEPTGECLSDHQVVASLATPIITLKDAVVIQVESLMGEEDLPRTHAYEEENNLIDVETNCEISIGSEICDQEYMDHAHLHEPILMSENTQDQQTESYNETTATDKEISVTETEAIMITVENPDHVDVVVSQENNEIEEERAPETPTSVDGIHGLHKRFLFGRRESGTESLDGSVASEFEGCETLAVDQLKAALKAERKALSALYAELEEERSAAAIAANQTMAMITRLQEEKAAMQMEALQYQRMMDEQSEYDQEALELLNELMIKREREKQDLEKELEVYRNKVLHYEAMERRRMTKHKINGKARTSASSSAEDSDDLSFEFQEGDEHTYSPDESNQNTPSDAVLISGTDQGTERHLITLEESLADFEEERRSILEQLRALESKLFTLDGEDSHDSKVIDHVSDQNGHVSNGHREPPGDDLHDYANGFSDELETNRKQPSERRNMGFKGKQLLPLFDAISNENEDDICTEEGAADASPETISNIAEEQKKLAIEEEVYNINERLHALEADREFLKNCIGSLKKGDKGIHLLQEILEHLRDLRDVELRAMNSYDALASLSA >Ma06_p18480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12644644:12649327:-1 gene:Ma06_g18480 transcript:Ma06_t18480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSPHSYSGHTFPLLLLLLPSPLAGTSLSRSPIAIFVDKRYLLIAPVRSPQGLRSIIRSHRSIYIYPMAANKFATVLHRNSHRMAVILAYAILEWALIILLLLNGFFAYLIARFADYFGLKPPCIFCSRVDHLFQSDEGRRRCALRDLICDEHAAEVAKLGYCAHHRRLAEAGEMCEDCSSSSRPVEVAVLSWMKRIEEGEKDLRCSCCDVVLESGFYSPYLLVRPSVGNVEYDQKGNLVNEFVVGDRKVLREDDALCQEKVESGELVSEDQADGRHEEEEEIGEKFGGMEAIGTLISEREVEEIETLIEFSDAGRLVEDASLELLIRYPENIHGEDRLVPVELIDSATMTNRHVAYASGKQDQGELGHAGEAIKVLDTGSIGEEREAVAFLGGGTDVFKVNSSGYGEMQQDDRPFDIGSIAEEEKELLSATEMADIVEVNSLRNDGVEQEVMVLDVGCIIEETTLASAVGSADVTKKNSSEDVSAEHQVVDLEYTICMSEEEKTLVSAGERADSEMEGGQQHAVTLDKRSISDEEITLIPFEESDDTIEENFSDVDRAQQNSMTLDLGIISAEEKVSSLHILEGDDILEQNSVVLSASEPTGECLSDHQVVASLATPIITLKDAVVIQVESLMGEEDLPRTHAYEEENNLIDVETNCEISIGSEICDQEYMDHAHLHEPILMSENTQDQQTESYNETTATDKVENPDHVDVVVSQENNEIEEERAPETPTSVDGIHGLHKRFLFGRRESGTESLDGSVASEFEGCETLAVDQLKAALKAERKALSALYAELEEERSAAAIAANQTMAMITRLQEEKAAMQMEALQYQRMMDEQSEYDQEALELLNELMIKREREKQDLEKELEVYRNKVLHYEAMERRRMTKHKINGKARTSASSSAEDSDDLSFEFQEGDEHTYSPDESNQNTPSDAVLISGTDQGTERHLITLEESLADFEEERRSILEQLRALESKLFTLDGEDSHDSKVIDHVSDQNGHVSNGHREPPGDDLHDYANGFSDELETNRKQPSERRNMGFKGKQLLPLFDAISNENEDDICTEEGAADASPETISNIAEEQKKLAIEEEVYNINERLHALEADREFLKNCIGSLKKGDKGIHLLQEILEHLRDLRDVELRAMNSYDALASLSA >Ma06_p18480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12644644:12649325:-1 gene:Ma06_g18480 transcript:Ma06_t18480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSPHSYSGHTFPLLLLLLPSPLAGTSLSRSPIAIFVDKRYLLIAPVRSPQGLRSIIRSHRSIYIYPMAANKFATVLHRNSHRMAVILAYAILEWALIILLLLNGFFAYLIARFADYFGLKPPCIFCSRVDHLFQSDEGRRRCALRDLICDEHAAEVAKLGYCAHHRRLAEAGEMCEDCSSSSRPVEVAVLSWMKRIEEGEKDLRCSCCDVVLESGFYSPYLLVRPSVGNVEYDQKGNLVNEFVVGDRKVLREDDALCQEKVESGELVSEDQADGRHEEEEEIGEKFGGMEAIGTLISEREVEEIETLIEFSDAGRLVEDASLELLIRYPENIHGEDRLVPVELIDSATMTNRHVAYASGKQDQGELGHAGEAIKVLDTGSIGEEREAVAFLGGGTDVFKVNSSGYGEMQQDDRPFDIGSIAEEEKELLSATEMADIVEVNSLRNDGVEQEVMVLDVGCIIEETTLASAVGSADVTKKNSSEDVSAEHQVVDLEYTICMSEEEKTLVSAGERADSEMEGGQQHAVTLDKRSISDEEITLIPFEESDDTIEENFSDVDRAQQNSMTLDLGIISAEEKVSSLHILEGDDILEQNSVVLSASEPTGECLSDHQVVASLATPIITLKDAVVIQVESLMGEEDLPRTHAYEEENNLIDVETNCEISIGSEICDQEYMDHAHLHEPILMSENTQDQQTESYNETTATDKEISVTETEAIMITVENPDHVDVVVSQENNEIEEERAPETPTSVDGIHGLHKRFLFGRRESGTESLDGSVASEFEGCETLAVDQLKAALKAERKALSALYAELEEERSAAAIAANQTMAMITRLQEEKAAMQMEALQYQRMMDEQSEYDQEALELLNELMIKREREKQDLEKELEVYRNKVLHYEAMERRRMTKHKINGKARTSASSSAEDSDDLSFEFQEGDEHTYSPDESNQNTPSDAVLISGTDQGTERHLITLEESLADFEEERRSILEQLRALESKLFTLDGEDSHDSKVIDHVSDQNGHVSNGHREPPGDDLHDYANGFSDELETNRKQPSERRNMGFKGKQLLPLFDAISNENEDDICTEEGAADASPETISNIAEEQKKLAIEEEVYNINERLHALEADREFLKNCIGSLKKGDKGIHLLQEILEHLRDLRDVELRAMNSYDALASLSA >Ma05_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34368770:34373184:-1 gene:Ma05_g22610 transcript:Ma05_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMEKTGNDPMQQFQGNAFPSISKQLPSMTKGPYPGFPPASPSLPTTAHRLPPACSLHSRSLSQPAFCSLSPQSYRADSSPTASLSDSVSVDDHDASVSRSPPLPPKDPAGVTPARDGLPPRKDHRHSQSDVPFAFLPSSLAAGAAPHSAGFVISARPPPAGTKGESQWDRGLGADGVTGSDLFSAYMNLQGFDALTSSEDNHEDLNSRESGSKTSVAGSSENEADSNSKRHVGGSSTVLCANDSSRKEGMKPSSEVNPDMANFSHCRSLSMDRFTGKLNYEAPPPKLLPSPGILAAQSSKANSWDGAPNTFSLEFGNGQFTGAEMKKIMENGKLIEMAMTDPKRVKRILANRQSAARSKERRMKYIAELEHKVQALQTQTTTLSAQLTLLQRDSAGLANQNNELMFRLQAMEQQAQLRDALNEALTGEVQRLKLAATGLADAHPSNDLHRQTSANSQMFQPQPKQQLPHTPLYQLQKQQTDTAMGNRSQQ >Ma04_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2022304:2040856:1 gene:Ma04_g02350 transcript:Ma04_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAFGNQALVEKLSKLNNSQQSIESLSNWCIVHRKKSKEIVETWDQAFNGSPREKRVPFLYLANDILQNSRRKGSEFVNEFWKVLPGCLKNVYENGEDHGKKVVNRLVEIWDERKVFGSRGRSLKDEILGNVPVPVSDNGKSSNPIKLVRKDANSVRIKLAIGEMPEKIVTAYQSVLDEHSGEDTALSKCKDAVQVLERLEIDIDVACKQGNQQESTFIDNLQEQEIVLKQCIEQLEIAKATRATLITQLMEAIKEQELKLELIHSQLQIAHSQTEHASNMRRRLGLAAGTNGFGPTTTLPLVNFASEAIPATEPNPPVSITHLQPLQPVTSFVSSLSSAEEDHKKAAAAVAAKLAASSSSAQVLSSILSSLAAEEAASMNNSLSSRVRPGSPPVFPLEKRPRLENTISTTDMGKTSYFGQAQHQQQQSIPLVLPQTSAASIQLPQSNQVPPTFTPPLPPLPPPPMQQYVQTSGGTMSVPPYGFVGRSLPSPPPLLSHVSAGLARPGGPLPPPPPPPPPQQQQPPPQLQSTSMGFYQSPGIGFYGQPQTAPTAQRQ >mito5_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:225797:227189:-1 gene:mito5_g00060 transcript:mito5_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSCFISYNRRIPNPDPCSNITSF >Ma09_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5001011:5002289:-1 gene:Ma09_g07620 transcript:Ma09_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKALPTFCFLLCAASCALAAASYDYMILSLRWPGTRCQPGWNGKPTCCVDPLIGNPEPDFLVETMETYDSATGKLVAKCEPNCRFLINPLVDLLDDLNAYWPSLSCPAQNGKQVWKAAWCTYGNCTSLSEVNYFGRALQLRARVDILRALGSKAIIPSETKSYSLEDIEDALVPKIGFSAVVECTTEMGVLSREYLLSRVRICVSADGKSLIGCPFARKSNCGSKVKFYPFTDDMLGRPRHPAVDHIKLPSDKAMTQ >Ma07_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15146224:15150287:1 gene:Ma07_g16670 transcript:Ma07_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVLVSDPWLQSQFTQVELRGLKSNYLSAKGDSGHVTVGNLPAVMVKLKGLHEVLTEEEISAILNASYPDDNHEMDFEAYLRAYLDSQAEAANKRGSVKNSSSFLKATTTTLLHTIGESEQGFFVAHINGYLGDDPFLKNYLPLDPASDDLFNLAKDGVLLCKMINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELIDDSQDAEELMGLSPEKMLLKWMNFHLKRAGYKKTVTNFSSDLKDGEAYAYLLNVLAPEHCNPAALDAKDPTERAKMVLDHAEKINCKRFVTSKDIVEGSANLNLGFIAQIFHERNGLSTDCRKISFAEMMPDDVLVSREERAFRLWINSLGITTYVNNVFEDVRNGWLLLEVLDKLSPGSVNWKQATKPPIKMPFRKVENCNQIIEIGQQLKFSLVNVAGNDFVQGNKKLILAYLWQLMRFNLLQLLKNLRYHHSRGKEITDVDILKWANNKVKSAGRVSRIESFKDKNLSNGIFFLELLSSVEPRVVNWNLVTKGESDDEKRLNAIYIISVARKLGCSIFLLPEDIMEVNQKMILVLTASIMYWSLQQPSEEPDRCESCVDDSSLHRAASEISIDDTTSEKAPSDWEDGSLTASFISNIASDDAISETSEIENGSSTTTTTTTTTIP >Ma04_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9279341:9284015:-1 gene:Ma04_g12320 transcript:Ma04_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPRGDVVAPPVAESDPEPPATPTTWYESLSCSAHPASGKECLFLQERPVRVYADGIYDLFHFGHARALEQAKKLFPNTYLLVGCCSDEITHKYKGKTVMTEAERYESLRHCKWVDEVIPDAPWVLNQEFIDKHNIDFVAHDSLPYADASGAGNDVYEFVKAIGKFKETIRTDGISTSDIIMRILKDYNEYVKRNLARGYTRKDLGVSYVKEKQLRVNMGITKLREKVKEHQEKLHTVAKSAGVNHNEWVENADRWIAGFLEKFEEGCHIMEIAIKDRIQEGLKRQQSKSKTNLEETVPS >Ma01_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2093805:2098303:-1 gene:Ma01_g03230 transcript:Ma01_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIAEEILSPYLSPSEPMGNCLWCSASGKRLMTAARDGDVEEARMLLEMRPGLAKYSTFGGLSSPLHVAASGGHSEIAMMLLEYGADVNFRNIYGRTPLMEACNNGYWEVVQTLLLYKCNVSRAEYLNGWTALHFAAQGGHIPCIRLLAADFAPAVPDAATNSSEDETQRNLPNSSYDQHSLSRFIKKTANCGITALHLAALNGNVDCVHLLLDLHADVSATALSQNTSSAASIGAGSTPLHYAAYSGNLKCCQVLLARGASRSAVNGHGWLPVDVARIYGCDELVPVLKPNSNQTIPVFPISCLSLPLISILKIAREYGLHSSTVPSDDSDLCAVCLENTCAVAAEGCGHEFCTRCTLSLSSTCSMAPEMSAPPGSIPCPLCREGIVAFVRLPTLLVKDLSLKGNSDSHSLNQTAIAIRSEFCKKQSAVVPSEAIGPISCPPHIPPVISSCSRHQHTGRAEKTSCSTSVHYGSL >Ma10_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27289968:27298161:-1 gene:Ma10_g15070 transcript:Ma10_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MPLDRATLASSGRSVDSSSLSFPSSDPPSEYSWVEEYQRSYPRWKSLRDSFQLPIQVTTSRVNQFDAARLDVEMSAMLKEQLVRVFSLMKPGFLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAVPVGGKAVRTGLEGPGLSVSQKIWYCLTTVGGQYLWSRVQSFSAFRRWGDSEQRSLARQSWLLLQRIEGLYKAASFCNLLIFLYSGRYRSIIERVLRARLVYGNPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKRFLLPFSKNKSSDSSGNEAECPICQSNPTIPFLALPCQHRYCYYCLRTRCAAASSYHCARCNAVVIAIQRHGSSETKPSNLGDIRESSN >Ma10_p15070.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27289970:27298161:-1 gene:Ma10_g15070 transcript:Ma10_t15070.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MPLDRATLASSGRSVDSSSLSFPSSDPPSEYSWVEEYQRSYPRWKSLRDSFQLPIQVTTSRVNQFDAARLDVEMSAMLKEQLVRVFSLMKPGFLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAVPVGGKAVRTGLEGPGLSVSQKIWYCLTTVGGQYLWSRVQSFSAFRRWGDSEQRSLARQSWLLLQRIEGLYKAASFCNLLIFLYSGRYRSIIERVLRARLVYGNPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKRFLLPFSKNKSSDSSGNEAECPICQSNPTIPFLALPCQHRYCYYCLRTRCAAASSYHCARCNAVVIAIQRHGSSETKPSNLGDIRESSN >Ma10_p15070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27289968:27298041:-1 gene:Ma10_g15070 transcript:Ma10_t15070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MSAMLKEQLVRVFSLMKPGFLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAVPVGGKAVRTGLEGPGLSVSQKIWYCLTTVGGQYLWSRVQSFSAFRRWGDSEQRSLARQSWLLLQRIEGLYKAASFCNLLIFLYSGRYRSIIERVLRARLVYGNPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKRFLLPFSKNKSSDSSGNEAECPICQSNPTIPFLALPCQHRYCYYCLRTRCAAASSYHCARCNAVVIAIQRHGSSETKPSNLGDIRESSN >Ma10_p15070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27289968:27297824:-1 gene:Ma10_g15070 transcript:Ma10_t15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MNLRYRDERAVPVGGKAVRTGLEGPGLSVSQKIWYCLTTVGGQYLWSRVQSFSAFRRWGDSEQRSLARQSWLLLQRIEGLYKAASFCNLLIFLYSGRYRSIIERVLRARLVYGNPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKRFLLPFSKNKSSDSSGNEAECPICQSNPTIPFLALPCQHRYCYYCLRTRCAAASSYHCARCNAVVIAIQRHGSSETKPSNLGDIRESSN >Ma10_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1347081:1349193:-1 gene:Ma10_g00120 transcript:Ma10_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSRSPLFFWCLVFFSLSHHGPLKVEAFTGTYGINYGRIADNLPPPESVVTLLKLAKIRNVRIYDADHSVLNAFKGSGLELIVAIGNEYLKDISVYEDHAMSWIKENVQPFLPDTHITGIAIGNEVLGGTDEELAGALLGAAKNVYNALDRLKLAGDIEVSTPHSAAVFANSFPPSSCIFREDVLVYMRPILDFFSKIGSPFYINAYPFLAYKSDPEHIDINYALFRSNAGIHDAKTGLHYDNMFDAQIDAAYAALEAAGYDKMEVRVSETGWASGGDENEAGATLQNARTYNFNLRKRLFKKKGTPRRPKMVVKAYVFALFNEDLKPGPSSEKHYGLFKADGSISYNIGLHGLKPSSASPSLLSLTRIRTRSCLGPSAMVLTCYVMVILALMI >Ma10_p00120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1347081:1349139:-1 gene:Ma10_g00120 transcript:Ma10_t00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKENVQPFLPDTHITGIAIGNEVLGGTDEELAGALLGAAKNVYNALDRLKLAGDIEVSTPHSAAVFANSFPPSSCIFREDVLVYMRPILDFFSKIGSPFYINAYPFLAYKSDPEHIDINYALFRSNAGIHDAKTGLHYDNMFDAQIDAAYAALEAAGYDKMEVRVSETGWASGGDENEAGATLQNARTYNFNLRKRLFKKKGTPRRPKMVVKAYVFALFNEDLKPGPSSEKHYGLFKADGSISYNIGLHGLKPSSASPSLLSLTRIRTRSCLGPSAMVLTCYVMVILALMI >Ma01_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4696480:4699258:-1 gene:Ma01_g06540 transcript:Ma01_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVPKDGFVYRVSTSGEWEELQRTGATLGGSLDRRTGCIHLSDLDQVRMVLNNFFRGREDLYLLQIDATKLGEELIYEAAEDTYFPHFYGPDRSYRPLSLDVVSKAEKLELKNAEFTCSLLDQA >Ma09_p31220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41034606:41037476:1 gene:Ma09_g31220 transcript:Ma09_t31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:Projected from Arabidopsis thaliana (AT1G06570) UniProtKB/Swiss-Prot;Acc:P93836] MVFLGYLRDPNPNSITEGEHERGRGRSRVMGKEATENFKLVGFTRFVRANPRSDRFPVLGFHHVEFWAADATNSAGRFSFGLGMPLAARSDLSTGNPFFASYLLRSASLRFLFSAPYGGPAAADAAANPANTSPIPSFDADRARRFSVDHGLAVRAIAVEVADAAEAFRISVAHGARPSFPPADLGEGFALAEVELYGDVVLRYVSRPKPPPFPSEARQTPIKVPAFLPGFEDIDESETCSFTYGIRRLDHAVGNVPELAPAVRYIAGFTGFHEFAEFTADDVGTAESGLNSVVLANNEETVLVPLNEPVHGTKRRSQIQTYLDHNGGAGVQHLALASDDVLKTLREMRARSRMGGFDFMPPPPPNYYQGVRRRAGDVLTEEQIKECQELGVLVDRDDQGVLLQIFTKPVGDRPTIFIEIIQRIGCMVKDKEGKEYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQATTVPSA >Ma02_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21910270:21911202:-1 gene:Ma02_g13540 transcript:Ma02_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCLPCKHTQFFSPTNATSSRHSSLSLRTATNSSNQKNPSSMATMAAMAILTTRCPAPNLLTSSKATLTKPVSLLSLQNLPKGLAAAAASKTTITTIPSSLSASAIAGAIFASLISSDAASAAQQIADVAEGDNRGLALLLPIVPAVLWVLYNILQPALNQLNRMRTEKAVVVSLGLGGGLAAAGFMSTPGASAAEIMAVADASSSGDNRGLLLLFVVAPAILWVLYNILQPALNQLNRMRSG >Ma10_p29970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36398698:36403584:-1 gene:Ma10_g29970 transcript:Ma10_t29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLESFHYNFEQRSNERLLSSTILYHEPYPRQRGCFHRLADGAAATWACLCGLAVEGWEFGRSDPRKVVFAAKMGLALTIISLLIFLRVTFHDLSKHSVWAILTVVVVFEFSIGATLSKGLNRGLGTLLSGGLALGVAEIATLTGDWEEVLVIISIFVVGFFASFIKLYPTMQPYEYGFRVFLLTFCYIVVSGYRTRAFMETAVSRFILIALGAGIGLGVNICIYPIWAGEDLHNLVTKNFTGLAKSLEGCVNGYLQCVEYERVPSKILTYQAYDDPLYSGYRSAVQSTIQEDALLSFAIWEPPHGPYKMWNYPWKNYIRVSGALRHCAFMVMALHGCILSEIQAPPESRQIFSSELKRVGIEGAKVLRELGDLMKTMTKLNKPNFLFEVHEAAEVLQQKIDRKSYLLVNSESWEGAEFPDGTKGNLNRTNITEYENNCYTTRSYVEPPSTSSNIHSSVTSFTGSLPKMDSTLQRQMQWPARQSFNSEVMRNGEESRTYESASALSLATFSSLLIEFVARLQNLVEAFQELSEKANFEEPVDEPILETAGLWTRIRKLFLKNKELA >Ma06_p38760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37401949:37405927:1 gene:Ma06_g38760 transcript:Ma06_t38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPETDDILSDAWDYKGRPAVRSRTGVWSGAAMILVVELNERLTTLGIAVNLVTYLTGTMHLGNAASANTVTNFMGTSFMLCLLGGFVADTFLGRYLTIAIFAAVQASGVSILTISTAVPGLRPPACADPASGGCVKASGAQLGVLYLALYLTALGTGGLKSSVSGFGSDQFDETDRGEKAQMMKFFNWFFFFISLGSLLAVTVLVYIQDNLGRQWGYGVCATAIALGLVVFLSGTRRYRFKKLVGSPLTQIAAVVAAAWRKRGLELPSDPSLLHDIDEKQADADAEKGSKRSKAKQRVPHTKQFRFLDKAAIDVDPGAKQGKRWLPTLTDVEEVKIVIRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRRIGPSFRIPPGSLTVFFVGSILLTVPVYDRVVVPVSRRLTGNPHGLTPLQRIGAGLVLSILAMSAAALTEIKRLGVARSTPAALENGATVPMSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVTVVHKVTGESGHGAWLADNLNRGKLYNFYWLLAVLCLVNLVAYLVVARWYAYKEQRAAVDDDSNAGVELTEDAACCHA >Ma03_p32360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34183259:34189471:-1 gene:Ma03_g32360 transcript:Ma03_t32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRGLLPAVVLLSILLLPSILPASADGLVRIGLKKKPLDENDRFAARLVQDGRRSISREHGFRLKNGEDVDIISLKNYMNAQYFGEVGIGSPSQKFTVIFDTGSSNLWVPSSKCYFSIACFFHSRYKSTRSSSYQKNGKSAAIHYGSGSISGFFSQDHVTVGGLVVKSQDFIEATREPSATFLVAKFDGILGLGFKEISVGKAVPVWYNMVKQGLVKEPVFSFWFNRHADEGEGGEIVFGGVDPNHYKGEHTYVPVTQKGYWQFNMGDVLIGGESTGFCDGGCAAIADSGTSLIAGPTTIIAEINQKIGASGVVSQECKAVVAQYGQQILKMLLSETEPAKICSQIGLCTFDGTRGVSIDIESVVNENADASSGVQTNSMCGVCEMSVVWMQNQLRQNQTQERVLNYINGLCERLPSPMGESSVDCAAISSMPGVSFTIGNKTFELAAEQYILKVGEGAAAQCISGFTALDVPPPRGPLWILGDVFMGVYHTVFDYRNLRVGFAEAA >Ma09_p06930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4401253:4410846:-1 gene:Ma09_g06930 transcript:Ma09_t06930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGWSTRAPARTVTEGPSLSSQPGTDEEAMWKRMRESDSMETGPYPERPGEPDCAYYIRTGLCRFGRTCKFNHPPNRMLAVAAAAARIRGGYPERVGQPECQYYLKTGTCKFGAICKFHHPKEKAGIAGRVQLNILGYPLRLNEKDCAYYIRTGECKFGGTCKFHHPQPSNAVVALRGSPVYPAVHSPTTPGQQTYPAEMTNWTLSRSSFIPSPRWQASSSYAQLILPQGVVQVPGWTSYSLGSSPESQRTTGTAQFYGPSQQGETSIGAQGKFPSYRPGSTPMGLYAVPGENIFPERPGQPECQFYMKTGDCKFGAVCKFHHPKERLVPVPNCVLSPLGLPLRPGEPVCVFYSRYGICKFGPNCKFDHPMGTFAYGVSASSATGVPTAWHLLGSSLEPPTLAPPPSQGTANGSSGASRRISISESRHTAAGDENEEAES >Ma09_p06930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4401253:4410846:-1 gene:Ma09_g06930 transcript:Ma09_t06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGWSTRAPARTVTEGPSLSSQPGTDEEAMWKRMRESDSMETGPYPERPGEPDCAYYIRTGLCRFGRTCKFNHPPNRMLAVAAAAARIRGGYPERVGQPECQYYLKTGTCKFGAICKFHHPKEKAGIAGRVQLNILGYPLRLQTYPAEMTNWTLSRSSFIPSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPESQRTTGTAQFYGPSQQGETSIGAQGKFPSYRPGSTPMGLYAVPGENIFPERPGQPECQFYMKTGDCKFGAVCKFHHPKERLVPVPNCVLSPLGLPLRPGEPVCVFYSRYGICKFGPNCKFDHPMGTFAYGVSASSATGVPTAWHLLGSSLEPPTLAPPPSQGTANGSSGASRRISISESRHTAAGDENEEAES >Ma09_p06930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4401254:4407982:-1 gene:Ma09_g06930 transcript:Ma09_t06930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVAVAAAAARIRGGYPERVGQPECQYYLKTGTCKFGAICKFHHPKEKAGIAGRVQLNILGYPLRLNEKDCAYYIRTGECKFGGTCKFHHPQPSNAVVALRGSPVYPAVHSPTTPGQQTYPAEMTNWTLSRSSFIPSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPESQRTTGTAQFYGPSQQGETSIGAQGKFPSYRPGSTPMGLYAVPGENIFPERPGQPECQFYMKTGDCKFGAVCKFHHPKERLVPVPNCVLSPLGLPLRPGEPVCVFYSRYGICKFGPNCKFDHPMGTFAYGVSASSATGVPTAWHLLGSSLEPPTLAPPPSQGTANGSSGASRRISISESRHTAAGDENEEAES >Ma09_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4401256:4410846:-1 gene:Ma09_g06930 transcript:Ma09_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGWSTRAPARTVTEGPSLSSQPGTDEEAMWKRMRESDSMETGPYPERPGEPDCAYYIRTGLCRFGRTCKFNHPPNRMLAVAAAAARIRGGYPERVGQPECQYYLKTGTCKFGAICKFHHPKEKAGIAGRVQLNILGYPLRLNEKDCAYYIRTGECKFGGTCKFHHPQPSNAVVALRGSPVYPAVHSPTTPGQQTYPAEMTNWTLSRSSFIPSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPESQRTTGTAQFYGPSQQGETSIGAQGKFPSYRPGSTPMGLYAVPGENIFPERPGQPECQFYMKTGDCKFGAVCKFHHPKERLVPVPNCVLSPLGLPLRPGEPVCVFYSRYGICKFGPNCKFDHPMGTFAYGVSASSATGVPTAWHLLGSSLEPPTLAPPPSQGTANGSSGASRRISISESRHTAAGDENEEAES >Ma08_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3548894:3551285:-1 gene:Ma08_g05180 transcript:Ma08_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGADWIPRITNDERDSCLVQVMYEIMKEMVERAMETGSVGNDVVFDAEDRELFRKWKGFARHDHPSVIQVLLQSSKDSDIMGNELPNLIYVSREKRPSSHHHFKAGALNVLTRVSSAMSNAPVILTLDCDMYCNNPRAPLHALCYFLDPAVSANLAFVQFPQCFHGINENDIYASELKREFRITPRGMDGLRGPTYAGTGCFFSRRSLHGTGSPAHRGSSASESALQKAVEAAACSFELGTKWGSSIGFRYGSLVEDFHTGYRLHCEGWKSAFCDPARPAFLGDGPKNLNDVLSQCKRWCVGLYEVAFSRFNPLTFGITKASFSMGLIYAHYACWGTWCVPITVYGLLPPLALLYQTPLFPKVSDPWFFVYAYLFTAAYGQDLVLFLADGSTIRRWWSDQRMWMTRGVTSFLFATIQFGLNHIGISAPGFNVTSKVTEEEQIERYKRGVLDLGTQSPFFVVLGTVAVVNLISLAVGITRAATSEGFLDEQFAQLFLSGFVAANCWPIYEAMFLRSDGGRMPRSVTVISLTVAGLLLYMGYLVYHV >Ma03_p33540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34864302:34865988:1 gene:Ma03_g33540 transcript:Ma03_t33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLARKLSPQPRRLSSSSSSSTLSFLFLSASPTSSDSIPPPLLSASSPFHRRNPPLPLHPLFSPFLRPFSSSHAPFPPSLPPLSALRGGCYAEGDVDVDCPPPHLLPLCHGCGVHMQSSDQTLPGFFSLPSPKSPDYRAPIDRSRPLAADDTCLSLFLKSGHLLPAEDPEPTVPSERSGRPLVCARCHSLRHYGRVKNPSAENLLPDFDFDRMVGPKMVSPSGPRTVVLMVVDAADFDGSLPRKVARLVSSSIDKFSRAWKEGKPGNVPRVVLVVTKIDLLPSSISPDAFEDWVRKRASVWGVNKLTGVHLVSAVRNWRVRDIVDHVRELAGVRGNVWAVGAQNAGKSTLINAMGRCVGDKVSHLTEAPVPGTTLGIVRVEGVLSGQAKLFDTPGILHPYQITMRLTREEQKLVHMSKELRPRTYRIQLETSSVLCFRKIQPAGCFSLVPKFSALFHGSLILRLK >Ma05_p29000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39817941:39820382:-1 gene:Ma05_g29000 transcript:Ma05_t29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSMVEARLPPGFRFHPRDEELVCDYLMKKVSGDGSLCGCPAMIDVDLNKCEPWHLPEVACVGGKEWYFFSLPDRKYATGRRTNRATESGYWKATGKDRQVSRRGVLVGMRKTLVFYRGRAPRGRKTEWVIHEFRMAASGDPHEFSLKEDWVLCRAFHKSRGVTSDPVATEAGDDDTPSSSLPPLMDNHSTFQQALPSSEGYQQVSCFSNLSPHTAPQATSAPPPISMVERSLQTISSPRMGGLLDMGYSDKVILSALNHRTKSEGDPWREVPPSIASRILGSYISGNGSPYMWNPF >Ma10_p29390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35988260:35989039:-1 gene:Ma10_g29390 transcript:Ma10_t29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAPSPVPLPISDPPSVAGASTAPVSTPAFRFFLSRLSDSVRRSLAERRPWSELADRSAFSRPDTLAEASSRLRKNLAYFRVNYAAVVAAVLAVSLVTNPFSLLVLLALLAAWCHLYLFRPSDPPLVLFGRTFSDRETLGGLVLLSFLIVFLTSVGSIIISAAVAGTAIVAAHGAFRVPEDLFLDEQEPGVATGLLSFLGGAASSAAAAATPGRV >Ma11_p21000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25315397:25319147:-1 gene:Ma11_g21000 transcript:Ma11_t21000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFITSSLALILGYAYPAYECFKTVELNKPDIEQLLFWCQYWILVAALTVFERVGDSFISWLPMYGEAKLAFFVYLWYPKTKGTAYVYETFFRPYVAKHENDIDRNLLELRTRAGDIVVLNLQKVASYGQTRIFEILQYVASQSPSQSSRTRPAQQQAPQQIGRTTSTGTSCEPTEQLRQPKIVPSSPTRRQPQKPLKAGVPPPQSVAQLPSSSGLPIQPNPATSDLQPPTSKREGLQVGAANVMDKEKPEDDPNCPVQETPIEEAIRVTRSRLRKRAATSGPSAP >Ma11_p21000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25315397:25320770:-1 gene:Ma11_g21000 transcript:Ma11_t21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFITSSLALILGYAYPAYECFKTVELNKPDIEQLLFWCQYWILVAALTVFERVGDSFISWLPMYGEAKLAFFVYLWYPKTKGTAYVYETFFRPYVAKHENDIDRNLLELRTRAGDIVVLNLQKVASYGQTRIFEILQYVASQSPSQSSRTRPAQQQAPQQIGRTTSTGTSCEPTEQLRQPKIVPSSPTRRQPQKPLKAGVPPPQSVAQLPSSSGLPIQPNPATSDLQPPTSKREGLQVGAANVMDKEKPEDDPNCPVQETPIEEAIRVTRSRLRKRAATSGPSAP >Ma11_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25315397:25319153:-1 gene:Ma11_g21000 transcript:Ma11_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFITSSLALILGYAYPAYECFKTVELNKPDIEQLLFWCQYWILVAALTVFERVGDSFISWLPMYGEAKLAFFVYLWYPKTKGTAYVYETFFRPYVAKHENDIDRNLLELRTRAGDIVVLNLQKVASYGQTRIFEILQYVASQSPSQSSRTRPAQQAPQQIGRTTSTGTSCEPTEQLRQPKIVPSSPTRRQPQKPLKAGVPPPQSVAQLPSSSGLPIQPNPATSDLQPPTSKREGLQVGAANVMDKEKPEDDPNCPVQETPIEEAIRVTRSRLRKRAATSGPSAP >Ma02_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18017369:18021924:-1 gene:Ma02_g07250 transcript:Ma02_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARHNALSDSSSNASPGKLEVTMSRLNIEDEDGREGADGQPNPYPDRPGEPNCSFYLRTGLCSYGSKCKYNHPNITAKETRCRDELPPRDGQPDCQFFLKTGTCKFGATCKYYHPQDKHDAQLFQLNDLGLPIRKGEKSCPYYMKTGYCKFGVACKFNHPQPVSIGTMFPISGSLVYGYTGSSAPIRGPSLIGLPLWPTLKTPYMTNPSMQGFPSCMPLIFPSTQATAPVQQGWTKYTGSASIPSNKSLGSDHIPNSKHHADPGSSMAVIFPERPDQPECQYYMKTGGCKYGSSCKYHHPKERNQVAACTIGPFGLPLRPGEPACTFYAAYGSCKYGASCKFDHPYVVVFPLPDSSVMPPHQRVAKSTWMAADSSSCSFPIAPDEFKSVRIGEMQGVDNNEHGSPCTQTSPTHTTPHSESSINQSD >Ma07_p19500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27487714:27492704:1 gene:Ma07_g19500 transcript:Ma07_t19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPL1 [Source:Projected from Arabidopsis thaliana (AT3G55330) UniProtKB/TrEMBL;Acc:A0A178VEI8] MASLPQVPAARRAPFLNSSSFTSSHKFLSQGRALFPCCYSRRRPAFQVKAEASPISQQEGSGRRQSLVLGAITFFGSLSHANSASSEAKKGFQAVLDKKDGYTFLYPFGWQEVVVQGQDKVFKDVIEPLESVSVNMVATGKQDVRDLGPPQQVAEALVKKVLSPTSQKTKLVEAAERDVDGKVYYTFEFIAQAPNYTRHALGAISIGNGKFYTLTTGANERRWGKMKDKLHEVVDSFKIFDV >Ma07_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27487714:27492704:1 gene:Ma07_g19500 transcript:Ma07_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPL1 [Source:Projected from Arabidopsis thaliana (AT3G55330) UniProtKB/TrEMBL;Acc:A0A178VEI8] MASLPQVPAARRAPFLNSSSFTSSHKFLSQGRALFPCCYSRRRPAFQVKAEASPISQQEGSGRRQSLVLGAITFFGSLSHANSASFAAEAKKGFQAVLDKKDGYTFLYPFGWQEVVVQGQDKVFKDVIEPLESVSVNMVATGKQDVRDLGPPQQVAEALVKKVLSPTSQKTKLVEAAERDVDGKVYYTFEFIAQAPNYTRHALGAISIGNGKFYTLTTGANERRWGKMKDKLHEVVDSFKIFDV >Ma07_p19500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27487714:27492730:1 gene:Ma07_g19500 transcript:Ma07_t19500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPL1 [Source:Projected from Arabidopsis thaliana (AT3G55330) UniProtKB/TrEMBL;Acc:A0A178VEI8] MASLPQVPAARRAPFLNSSSFTSSHKFLSQGRALFPCCYSRRRPAFQVKAEASPISQQEGSGRRQSLVLGAITFFGSLSHANSASFAAEAKKGFQAVLDKKDGYTFLYPFGWQEVVVQGQDKVFKDVIEPLESVSVNMVATGKQDVRDLGPPQQVAEALVKKVLSPTSQKTKLVEAAERDVDGKVYYTFEFIAQAPNYTRHALGAISIGNGANERRWGKMKDKLHEVVDSFKIFDV >Ma11_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25739569:25740585:1 gene:Ma11_g21700 transcript:Ma11_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMVAQAASMEVAMVVQAANMEVAMEMQAESTEVAMEVQAESTEVDMVVQAESTEVDMVVQAESTEVDMVVQAENMAVDMVVQAENMAVAMVVQAENMAVAMVVQAENMAVAMVVAVVQVVVSGMVQEASMVRAMVLEVASVVELVMVQVENMAVAMVAGKVVAAVLATEQAVSMAAATGLEVARVPEAAMVLVENMVAATAAEGRVIPAHRFPDTEGCLMFYDNKAVFTHSGEPLRYGYVTALRVGFDRYGVHQHDVLLLLEELLVSHDGYINVLV >Ma11_p21700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25739632:25740585:1 gene:Ma11_g21700 transcript:Ma11_t21700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMEVQAESTEVDMVVQAESTEVDMVVQAESTEVDMVVQAENMAVDMVVQAENMAVAMVVQAENMAVAMVVQAENMAVVEAVVPALVMEQEVSMAVAMVPEAVRVLVLEPVTVLVESMEVAMVVAVVQVVVSGMVQEASMVRAMVLEVASVVELVMVQVENMAVAMVAGKVVAAVLATEQAVSMAAATGLEVARVPEAAMVLVENMVAATAAEGRVIPAHRFPDTEGCLMFYDNKAVFTHSGEPLRYGYVTALRVGFDRYGVHQHDVLLLLEELLVSHDGYINVLV >Ma04_p38080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35751147:35753748:1 gene:Ma04_g38080 transcript:Ma04_t38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGALGEVDFEGFRTLCRAPAVEVAAKEEEGIDHFDRLPDSVLLVIFNRIGDVKVLGRCCGVSRRFHALAVLVDDVVVRVDCVISDGPSSSPGAAGGAVSDKPRGVFSHLARLVLGGLVKPLQALSQILSASSCTDATAVSAAAGKSASASPSEASHHSPTEVLKNFKEVRRLRIELPDGELGVDGGVLLKWRADFGSSIDSCVILGASSAVSSSSMPPRSPDPDPNPGFQDACGGDDCGSIPDSFYTDGSLKRRVVWTISSLIAASARHYLLHPIVANHETLESLDLTDADGQGVLTMDRRQLQELRTKPVTASGSSQRTLLPALSMRLWYAHQLELPGGMVLKGATLLAIRPSEERTREAVGGAGGSFGFSDGCWVSDAFEEPYRTAATMLMKRRTYSLEMNSF >Ma08_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20435537:20436061:1 gene:Ma08_g16730 transcript:Ma08_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMLTALEIFYVLDPNLQQIPNPTDDDIDEIKAEQKKRNEDEVMCRGHILNALSDRLYDLYTVESSAKANWNALQFKYHAEEEGTKKFLISKYFDYKFVDGKPILAQVHELQVIVNQLKAEKIKLPEPFQVGVIIAKLPSSWKGYRKKILHDSKDITLEQIQKYLRIEDERQE >Ma00_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28419077:28419262:-1 gene:Ma00_g03350 transcript:Ma00_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRVPLCTYNECRGCRFKCSAQQVPVDANDPINSPYHYSPYALTHVPSVHGFLSMLSVH >Ma02_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24194047:24198865:-1 gene:Ma02_g17150 transcript:Ma02_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDAAVLDDIIRRLLEVKGGRTVKNAQLTDADIRQLCGASKEIFVSQPNLLELEAPIKICGDIHGQYTDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNVRLWKVFTDCFNCLPVAALVDDKILCMHGGLSPELNSLDQIRNIARPIDVPDQGLLCDLLWSDPDKDIEGWGENDRGVSYTFGPDIVAEFLQKHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKPADKKKGFGNNLLKPGTPPRKGGKG >Ma02_p17150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24194052:24198868:-1 gene:Ma02_g17150 transcript:Ma02_t17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDAAVLDDIIRRLLEVKGGRTVKNAQLTDADIRQLCGASKEIFVSQPNLLELEAPIKICGDIHGQYTDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNVRLWKVFTDCFNCLPVAALVDDKILCMHGGLSPELNSLDQIRNIARPIDVPDQGLLCDLLWSDPDKDIEGWGENDRGVSYTFGPDIVAEFLQKHDLDLVCRAHQVVEDGYCGEFDNAGAMMSVDDTLTCSFQILKPADKKKGFGNNLLKPGTPPRKGGKG >Ma08_p33580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43839235:43870080:1 gene:Ma08_g33580 transcript:Ma08_t33580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLMWYCQPEKHGAWSTVVENAFGPYTPCGMESLVVCISHLALFGVCFYRIWRTKRDHTVQRYCLRSPYYNYLLWLLAAYCTAEPLLRMVMGLSVTNLDGYTGLAPFEVVTLLIEAAAWCCMQVLIIMETKIYIHEFRWYIRFVVVYVLVGEISMYNLVLSVRQYFDKSIFYIYTSEIVSQFLFGILLLIYVPSLDAYPGDSPVRTEALIDNMDYEPLPGEEHICPEREVNMFSKIFFSWMTPLMQQGFKRPITEKDVWKLDSWDRTETLNGRFQQCWAEESRKPKPWLLRALHRSLGGRFWLGGFFKIGNDASQFVGPLILNRLLLSMQQGEPAWTGYIYAFSIFAGVALGVLSEAQYFQNVMRVGFRLRTTLVAAVFRKSLRLTHESRNKFPSGKITNLMTTDAEALQQVCQQLHSLWSAPFRIIISVILLYEQLGVASLIGSFVLVLLFPIQTLVISKMQKLSKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQSIRNDELSWFRSSQLLAAFNTFILNTIPVFVTVASFGVYTLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCNVSLQRLEDLLLAEERILLPNPPIDPGLPAISIKNGYFSWDSQAERPTLANVNVDIPVGSLVAIVGSTGEGKTSLISAMLRELTPVAGTDTSVTIRGTVAYVPQVSWIFNATVRENILFGYPFQPSQYEKAIEVTSLQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCINDQLRNKTRVLVTNQLHILPHVDKIILVHEGMVKEEGTFEELSTSGIHFQKLMENAGKMEEQVDEKQGGNSEETAKSAENGDVSKTVNALLKSEEKTNRGKEGKSVLIKQEERETGVVSLKVLARYKNALGGMWVVLMLFSCYALTEVLRVSSSTWLSVWTDQSSPKSHGAGFYNLIYSVLSLGQVLVTLTSSYWLIMSSLYAAKRLHDGMLHSILRAPMVFFHTNPLGRIINRFAKDLGDIDRYVAVYVNMFLGQVSQLLSTFVLIGIVSTTSLWAIMPLLILFYAAYLYYQSTAREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMASINGKSMDNNVRFTLVNMSGNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYALNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIESNRPPPGWPSAGIIKFQDVVLRYRPELPPVLHGISFTIEGSEKIGIVGRTGAGKSSMLNALFRIVELERGKIFIDDYDISKFGLWDLRKVLGIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNTMGLDAQVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRLLLLGAGKVLEFDTPETLLSKDDSAFSKMVQSTGTANAQYLRSLVFENMSSREETKRQEGQRRWLASSRWVAAAQFALGVSLTSTQSDLQGLVIDDENNILRRTKDAVVTLQSVLEGKHDNEIEEKLEEYHVPRDRWWSSLYRVVEGLAIMSKLSRNRLQQSGYAFGDRSLDWDQLEM >Ma08_p33580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43839235:43870080:1 gene:Ma08_g33580 transcript:Ma08_t33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLMWYCQPEKHGAWSTVVENAFGPYTPCGMESLVVCISHLALFGVCFYRIWRTKRDHTVQRYCLRSPYYNYLLWLLAAYCTAEPLLRMVMGLSVTNLDGYTGLAPFEVVTLLIEAAAWCCMQVLIIMETKIYIHEFRWYIRFVVVYVLVGEISMYNLVLSVRQYFDKSIFYIYTSEIVSQFLFGILLLIYVPSLDAYPGDSPVRTEALIDNMDYEPLPGEEHICPEREVNMFSKIFFSWMTPLMQQGFKRPITEKDVWKLDSWDRTETLNGRFQQCWAEESRKPKPWLLRALHRSLGGRFWLGGFFKIGNDASQFVGPLILNRLLLSMQQGEPAWTGYIYAFSIFAGVALGVLSEAQYFQNVMRVGFRLRTTLVAAVFRKSLRLTHESRNKFPSGKITNLMTTDAEALQQVCQQLHSLWSAPFRIIISVILLYEQLGVASLIGSFVLVLLFPIQTLVISKMQKLSKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQSIRNDELSWFRSSQLLAAFNTFILNTIPVFVTVASFGVYTLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCNVSLQRLEDLLLAEERILLPNPPIDPGLPAISIKNGYFSWDSQAERPTLANVNVDIPVGSLVAIVGSTGEGKTSLISAMLRELTPVAGTDTSVTIRGTVAYVPQVSWIFNATVRENILFGYPFQPSQYEKAIEVTSLQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCINDQLRNKTRVLVTNQLHILPHVDKIILVHEGMVKEEGTFEELSTSGIHFQKLMENAGKMEEQVDEKQGGNSEETAKSAENGDVSKTVNALLKSEEKTNRGKEGKSVLIKQEERETGVVSLKVLARYKNALGGMWVVLMLFSCYALTEVLRVSSSTWLSVWTDQSSPKSHGAGFYNLIYSVLSLGQVLVTLTSSYWLIMSSLYAAKRLHDGMLHSILRAPMVFFHTNPLGRIINRFAKDLGDIDRYVAVYVNMFLGQVSQLLSTFVLIGIVSTTSLWAIMPLLILFYAAYLYYQSTAREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMASINGKSMDNNVRFTLVNMSGNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYALNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIESNRPPPGWPSAGIIKFQDVVLRYRPELPPVLHGISFTIEGSEKIGIVGRTGAGKSSMLNALFRIVELERGKIFIDDYDISKFGLWDLRKVLGIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNTMGLDAQVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRLLLLGAGKVLEFDTPETLLSKDDSAFSKMVQSTGTANAQYLRSLVFENMSSREETKRQEGQRRWLASSRWVAAAQFALGVSLTSTQSDLQGLVIDDENNILRRTKDAVVTLQSVLEGKHDNEIEEKLEEYHVPRDRWWSSLYRVVEGLAIMSKLSRNRLQQSGYAFGDRSLDWDQLEM >Ma08_p33580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43839495:43870080:1 gene:Ma08_g33580 transcript:Ma08_t33580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLMWYCQPEKHGAWSTVVENAFGPYTPCGMESLVVCISHLALFGVCFYRIWRTKRDHTVQRYCLRSPYYNYLLWLLAAYCTAEPLLRMVMGLSVTNLDGYTGLAPFEVVTLLIEAAAWCCMQVLIIMETKIYIHEFRWYIRFVVVYVLVGEISMYNLVLSVRQYFDKSIFYIYTSEIVSQFLFGILLLIYVPSLDAYPGDSPVRTEALIDNMDYEPLPGEEHICPEREVNMFSKIFFSWMTPLMQQGFKRPITEKDVWKLDSWDRTETLNGRFQQCWAEESRKPKPWLLRALHRSLGGRFWLGGFFKIGNDASQFVGPLILNRLLLSMQQGEPAWTGYIYAFSIFAGVALGVLSEAQYFQNVMRVGFRLRTTLVAAVFRKSLRLTHESRNKFPSGKITNLMTTDAEALQQVCQQLHSLWSAPFRIIISVILLYEQLGVASLIGSFVLVLLFPIQTLVISKMQKLSKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQSIRNDELSWFRSSQLLAAFNTFILNTIPVFVTVASFGVYTLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCNVSLQRLEDLLLAEERILLPNPPIDPGLPAISIKNGYFSWDSQAERPTLANVNVDIPVGSLVAIVGSTGEGKTSLISAMLRELTPVAGTDTSVTIRGTVAYVPQVSWIFNATVRENILFGYPFQPSQYEKAIEVTSLQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCINDQLRNKTRVLVTNQLHILPHVDKIILVHEGMVKEEGTFEELSTSGIHFQKLMENAGKMEEQVDEKQGGNSEETAKSAENGDVSKTVNALLKSEEKTNRGKEGKSVLIKQEERETGVVSLKVLARYKNALGGMWVVLMLFSCYALTEVLRVSSSTWLSVWTDQSSPKSHGAGFYNLIYSVLSLGQVLVTLTSSYWLIMSSLYAAKRLHDGMLHSILRAPMVFFHTNPLGRIINRFAKDLGDIDRYVAVYVNMFLGQVSQLLSTFVLIGIVSTTSLWAIMPLLILFYAAYLYYQSTAREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMASINGKSMDNNVRFTLVNMSGNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYALNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIESNRPPPGWPSAGIIKFQDVVLRYRPELPPVLHGISFTIEGSEKIGIVGRTGAGKSSMLNALFRIVELERGKIFIDDYDISKFGLWDLRKVLGIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNTMGLDAQVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRLLLLGAGKVLEFDTPETLLSKDDSAFSKMVQSTGTANAQYLRSLVFENMSSREETKRQEGQRRWLASSRWVAAAQFALGVSLTSTQSDLQGLVIDDENNILRRTKDAVVTLQSVLEGKHDNEIEEKLEEYHVPRDRWWSSLYRVVEGLAIMSKLSRNRLQQSGYAFGDRSLDWDQLEM >Ma09_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7671692:7674956:-1 gene:Ma09_g11360 transcript:Ma09_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLICSTMPSMAAFTASDLLRSRSLRKPLPRVSPLSSSSDKLGSSLSSLKPLYLAPLGSFGLGSRDGPASAANLRGLSSKARAYEADRSEGIPVLDNEGRAAAAQKVKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAVGSLIMLLSWGARVAEVPKTDLDFWKALAPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGERFPVPVYLSLLPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILMPFAIAVEGPQMWAAGWNKAVSQIGPHFVWWMAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFHTPVQPINALGAAIAIFGTFLYSQAKQ >Ma08_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9319827:9323063:1 gene:Ma08_g12330 transcript:Ma08_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYIRKAKVSGEVAVMEVSHQSSLGVRTRARTMAATAAQDSSRGYLELRSRRLEKPLPPPSVCKPSKDTPRPDPNPNSEPNPTVSSQKSSSSSIAYSGPLGSVSTMRCSAADAEVSFGENILEADVLDRFSRETTPCSLIRDPEAIQTPGSTNRPARSMATSLRPQSVCHNIPTAQELEEFFAGAEQLQQRIFIERYNFDPVNDCPLPGRYEWVKVDF >Ma11_p02560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1855757:1861194:-1 gene:Ma11_g02560 transcript:Ma11_t02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDESGGAEQKRHEGVSEPNIDSTLNFSGNERRGAGTVPQEGPVDGSVPPLALSITIFQPDDISKPNISASKSAVASEAGDKIPGMAASKKGFLSRSGSYQEQCRVCQQETEEPLIDLGCRCRGELAKAHRSCIDTWFHTKGSNKCEICQQIAANVPFPESGPSVNNWVWRINTAYIMGQERERGCFSPFWVAFAILVGGLLLDVLVSVSLGVSALPVNIIIDSKRCRCSYRTRIRHFLSARTRMLSRVGCEEKPPTDTSDPKSWIPSNSVRP >Ma11_p02560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1855757:1861369:-1 gene:Ma11_g02560 transcript:Ma11_t02560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDESGGAEQKRHEGVSEPNIDSTLNFSGNERRGAGTVPQEGPVDGSVPPLALSITIFQPDDISKPNISASKSAVASEAGDKIPGMAASKKGFLSRSGSYQEQCRVCQQETEEPLIDLGCRCRGELAKAHRSCIDTWFHTKGSNKCEICQQIAANVPFPESGPSVNNWVWRINTAYIMGQERERGCFSPFWVAFAILVGGLLLDVLVSVSLGVSALPVNIIIDSKRCRCSYRTRIRHFLSARTRMLSRVGCEEKPPTDTSDPKSWIPSNSVRP >Ma11_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1855747:1861369:-1 gene:Ma11_g02560 transcript:Ma11_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDESGGAEQKRHEGVSEPNIDSTLNFSGNERRGAGTVPQEGPVDGSVPPLALSITIFQPDDISKPNISASKSAVASEAGDKIPGMAASKKGFLSRSGSYQEQCRVCQQETEEPLIDLGCRCRGELAKAHRSCIDTWFHTKGSNKCEICQQIAANVPFPESGPSVNNWVWRINTAYIMGQERERGCFSPFWVAFAILVGGLLLDVLVSVSLGVSALPVNIIIGVLIVLGLGTSFRLALECCQEWGARRNLQLTQVTLNPGYHPTV >Ma06_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10554168:10557884:1 gene:Ma06_g15570 transcript:Ma06_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSHDQRSRSAKAVTIHGCAQSGDLIGVQKRLQENPSLLNARNAVMAQTPLHVAAGYNNTSIVKYLLEWKGPEKVELEARNMYGETPLHMAAKNGCSESARMLLRHGASLEAKANNGMTPLHLAVWHALRAEDSITVSTLLEYNADCSVKDNEGMTPLNHLSDGASSEKLRGLLGRHMEEQRKRKAIESCSEAKAKMTEFEAAISNIVGLQELKMQLRRWARGMLFDEKRRALGLNIAPRRPPHMAFLGNPGTGKTMVARILGKLLHMVGILSTDKVTEVQRTDLVGEFVGHTGPKTRRKINEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKVVVIFAGYSEPMKRVIQSNEGFCRRVTKFFYFDDFNTTELAQILHIKMDHQDQNSLLYGFKLHPSCSVEAVAELIDRETTDKQRREMNGGLIGPLLANARENLDLRLDFDCTDTDGLVTITLEDLKAGLELLSP >Ma01_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14413499:14415074:-1 gene:Ma01_g19010 transcript:Ma01_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMKPAPFWKQVRLSFLNSSDRGADRLREASPLRAAEEEEDDEEDTALVAEVESVASSSTPSRTASSSSSIVESIRGCGLPGLRVGRGDLRRRVLIPDRLLAGMVEAVRSRNLCAAEVAALEGGHGEEEAPEAPLVVFVNSRSGKRHGPVLKSRLQELIGEDQVFDLSVTKPSDFVQYGLAFLERLADLGDYCAKATRENLRVMVAGGDGTVGWVLESLGELYVQNREPVPPIGIIPLGTGNDLSRSFGWGGSFPFSWKSAVKRSLYKVITGPICRLDR >Ma06_p38840.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37468366:37474943:1 gene:Ma06_g38840 transcript:Ma06_t38840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCPFCHISVPSAELERHANNHFVEDELESDMELAMQIALAPPSPELMDETTNDRDQYAARSERSPGQHDSDFNHNLPNSRKVDDQETSLLIGSQIKSPFYNVQGGLMALIRRCLELENGNAITIVSGHVDHYQSNESEDIGWGCGWRNIQMLSSHLLGQRPDARDAMFGGSGFVPDILSLQRWLEVAWKRGFDTYGFDSFGQKIYGSKKWIGTTECATILRSFGLRARIVDFDSMTSKQPLNHRNPGCKLAGKQSHGPMDKFLQRTMNEDSQVGPSACDKHLPEKDCHHQGKNQGKIYGPQVLVDWVWKYFACEFDSRLDASKSVLISEKTPLYLQHDGHSRTIVGIQMQKGKCASDHHYSLLVLDPAHRTAALERSLADNNGWQKLIKRGTHTLKKPQYQLCYVDPGIAHGVEMEQLKIIDSILVEL >Ma06_p38840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37468366:37474258:1 gene:Ma06_g38840 transcript:Ma06_t38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCPFCHISVPSAELERHANNHFVEDELESDMELAMQIALAPPSPELMDETTNDRDQYAARSERSPGQHDSDFNHNLPNSRKVDDQETSLLIGSQIKSPFYNVQGGLMALIRRCLELENGNAITIVSGHVDHYQSNESEDIGWGCGWRNIQMLSSHLLGQRPDARDAMFGGSGFVPDILSLQRWLEVAWKRGFDTYGFDSFGQKIYGSKKWIGTTECATILRSFGLRARIVDFDSMTSKQPLNHRNPGCKLAGKQSHGPMDKFLQRTMNEDSQVGPSACDKHLPEKDCHHQGKNQGKIYGPQVLVDWVWKYFACEFDSRLDASKSVLISEKTPLYLQHDGHSRTIVGIQMQKGKCASDHHYSLLVLDPAHRTAALERSLADNNGWQKLIKRGTHTLKKPQYQLCYVDPGIAHGVEMEQLKIIDSILVEL >Ma06_p38840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37468366:37474258:1 gene:Ma06_g38840 transcript:Ma06_t38840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCPFCHISVPSAELERHANNHFVEDELESDMELAMQIALAPPSPELMDETTNDRDQYAARSERSPGQHDSDFNHNLPNSRKVDDQETSLLIGSQIKSPFYNVQGGLMALIRRCLELENGNAITIVSGHVDHYQSNESEDIGWGCGWRNIQMLSSHLLGQRPDARDAMFGGSGFVPDILSLQRWLEVAWKRGFDTYGFDSFGQKIYGSKKWIGTTECATILRSFGLRARIVDFDSMTSKQPLNHRNPGCKLAGKQSHGPMDKFLQRTMNEDSQVGPSACDKHLPEKDCHHQGKNQGKIYGPQVLVDWVWKYFACEFDSRLDASKSVLISEKTPLYLQHDGHSRTIVGIQMQKGKCASDHHYSLLVLDPAHRTAALERSLADNNGWQKLIKRGTHTLKKPQYQLCYVDPGIAHGVEMEQLKIIDSILVEL >Ma06_p38840.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37468366:37474258:1 gene:Ma06_g38840 transcript:Ma06_t38840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCPFCHISVPSAELERHANNHFVEDELESDMELAMQIALAPPSPELMDETTNDRDQYAARSERSPGQHDSDFNHNLPNSRKVDDQETSLLIGSQIKSPFYNVQGGLMALIRRCLELENGNAITIVSGHVDHYQSNESEDIGWGCGWRNIQMLSSHLLGQRPDARDAMFGGSGFVPDILSLQRWLEVAWKRGFDTYGFDSFGQKIYGSKKWIGTTECATILRSFGLRARIVDFDSMTSKQPLNHRNPGCKLAGKQSHGPMDKFLQRTMNEDSQVGPSACDKHLPEKDCHHQGKNQGKIYGPQVLVDWVWKYFACEFDSRLDASKSVLISEKTPLYLQHDGHSRTIVGIQMQKGKCASDHHYSLLVLDPAHRTAALERSLADNNGWQKLIKRGTHTLKKPQYQLCYVDPGIAHGVEMEQLKIIDSILVEL >Ma06_p38840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37468366:37472472:1 gene:Ma06_g38840 transcript:Ma06_t38840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCPFCHISVPSAELERHANNHFVEDELESDMELAMQIALAPPSPELMDETTNDRDQYAARSERSPGQHDSDFNHNLPNSRKVDDQETSLLIGSQIKSPFYNVQGGLMALIRRCLELENGNAITIVSGHVDHYQSNESEDIGWGCGWRNIQMLSSHLLGQRPDARDAMFGGSGFVPDILSLQRWLEVAWKRGFDTYGFDSFGQKIYGSKKWIGTTECATILRSFGLRARIVDFDSMTSKQPLNHRNPGCKLAGKQSHGPMDKFLQRTMNEDSQVGPSACDKHLPEKDCHHQGKNQGKIYGPQVLVDWVWKYFACEFDSRLDASKSVLISEKTPLYLQHDGHSRTIVGIQMQKGKCASDHHYSLLVLDPAHRTAALERSLADNNGWQKLIKRGTHTLKKPQYQLCYVDPGIAHGVEMEQLKIIDSILVEL >Ma06_p38840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37468360:37474258:1 gene:Ma06_g38840 transcript:Ma06_t38840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCPFCHISVPSAELERHANNHFVEDELESDMELAMQIALAPPSPELMDETTNDRDQYAARSERSPGQHDSDFNHNLPNSRKVDDQETSLLIGSQIKSPFYNVQGGLMALIRRCLELENGNAITIVSGHVDHYQSNESEDIGWGCGWRNIQMLSSHLLGQRPDARDAMFGGSGFVPDILSLQRWLEVAWKRGFDTYGFDSFGQKIYGSKKWIGTTECATILRSFGLRARIVDFDSMTSKQPLNHRNPGCKLAGKQSHGPMDKFLQRTMNEDSQVGPSACDKHLPEKDCHHQGKNQGKIYGPQVLVDWVWKYFACEFDSRLDASKSVLISEKTPLYLQHDGHSRTIVGIQMQKGKCASDHHYSLLVLDPAHRTAALERSLADNNGWQKLIKRGTHTLKKPQYQLCYVDPGIAHGVEMEQLKIIDSILVEL >Ma02_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19461514:19464389:1 gene:Ma02_g09520 transcript:Ma02_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFVPEIHFQHSPQSLINLNDSNNIQQPVMGSQNTNLMLFPTYTNPHGCIFSMSRTTALPRGHRNKCFQQRSALISPPFPSHKPSDVDGSEKRLLVFDRSRDGTIFMFSFSGIPFPCFNSMNAGFGLQGSTETVSDGHGGEVMHEDPEEIDALLYSDSDDDHDDEEANTCHSPVGAMEKSSSEVTSSMHLAKRRRVDVDEFDSSLMDTASSAAFHHPDIPIDHSNKEEDGVAESSSVKGGDHDKNAGDKRHKKASIRETVGILRRIIPGGKGKDAATILDEVILYLKSLELKAKSLDVTRQ >Ma03_p15950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17528091:17539582:-1 gene:Ma03_g15950 transcript:Ma03_t15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTVYLVPYRWWRAARASDRESADGAEGTPYAAAPAASSSAASVGSDLVFDLQRRDDGELNRGVAEGEGGSGRCYALIRGDMWSLAIRWHNEPSSKMENSGTSSLSEDAADDVYPVMLRISAVQGTNVLTVKICTKDNNNENYNTACKLFPVDSEVVYIWDFSGQINFIIMNGWNGMLQDGRHQLENEILLEIQIYASSGSVAHNHESKKDRPTVQNSKMIGSSHGVLFMSNGSLESMDFDVQLSGSSIRSNSTGLTGLDNLGNTCFMNSAIQCLAHTPKLVRYFLGDYSKEINHHNPLGLHGELASAFGQLLRKLWAPENTPIAPHVFKAKLASFAPQFYGFSQHDCQELLAFLLDGLHEDLNRIKNKPYFEIKDASGRPDEEVADEYWSNHFARSVQINFVRTMTVTVFSTDGLSRPSSYTVNVPKSGNCKDLVQALSIACSLKHDESLLVAEVFCNQVIRFLEDPSDSLSLIRDGDQLAAYRLSKDPEDLPLIVFMHQSMDEQYFNSSTDKRWKSFGVPLIARLPNASTGSTIVDLFLKLLNPFLIPKESSFDIEQDSSNSINEIAKIDEDSHLLDFERTEEGKYFHDGFQFYLTDENCQAMLSKIEMDDSISLTGYQRKLYVLVCWHEKTMGQYDIDLLNTLTEVYKFGLFAKRPQESVSLYSCLEAFLKEEPLGPEDMWYCPSCKKHQQACKKLDLWRLPEVLIIHLKRFSYSRFINNKLEMFVDFPICDLDLSSYIACKSRGSSVYRLYAVSNHYGNMGGGHYTAYIYHEGERCWYDFDDQHVLPISEDIIKSSAAYVLFYQRVQTSSSDA >Ma03_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17528091:17539582:-1 gene:Ma03_g15950 transcript:Ma03_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTVYLVPYRWWRAARASDRESADGAEGTPYAAAPAASSSAASVGSDLVFDLQRRDDGELNRGVAEGEGGSGRCYALIRGDMWSLAIRWHNEPSSKMENSGTSSLSEDAADDVYPVMLRISAVQGTNVLTVKICTKDNNNENYNTACKLFPVDSEVVYIWDFSGQINFIIMNGWNGMLQDGRHQLENEILLEIQIYASSGSVAHNHESKKDRPTVQNSKMIGSSHGVLFMSNGSLESMDFDVQLSGSSIRSNSTGLTGLDNLGNTCFMNSAIQCLAHTPKLVRYFLGDYSKEINHHNPLGLHGELASAFGQLLRKLWAPENTPIAPHVFKAKLASFAPQFYGFSQHDCQELLAFLLDGLHEDLNRIKNKPYFEIKDASGRPDEEVADEYWSNHFARNDSIIVDLCHGQYRSTLVCPVCNKSSVTFDPFMYLSLPLPSTTVRTMTVTVFSTDGLSRPSSYTVNVPKSGNCKDLVQALSIACSLKHDESLLVAEVFCNQVIRFLEDPSDSLSLIRDGDQLAAYRLSKDPEDLPLIVFMHQSMDEQYFNSSTDKRWKSFGVPLIARLPNASTGSTIVDLFLKLLNPFLIPKESSFDIEQDSSNSINEIAKIDEDSHLLDFERTEEGKYFHDGFQFYLTDENCQAMLSKIEMDDSISLTGYQRKLYVLVCWHEKTMGQYDIDLLNTLTEVYKFGLFAKRPQESVSLYSCLEAFLKEEPLGPEDMWYCPSCKKHQQACKKLDLWRLPEVLIIHLKRFSYSRFINNKLEMFVDFPICDLDLSSYIACKSRGSSVYRLYAVSNHYGNMGGGHYTAYIYVRGVGTILMISMFFLYLRTS >Ma03_p15950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17528091:17539582:-1 gene:Ma03_g15950 transcript:Ma03_t15950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTVYLVPYRWWRAARASDRESADGAEGTPYAAAPAASSSAASVGSDLVFDLQRRDDGELNRGVAEGEGGSGRCYALIRGDMWSLAIRWHNEPSSKMENSGTSSLSEDAADDVYPVMLRISAVQGTNVLTVKICTKDNNNENYNTACKLFPVDSEVVYIWDFSGQINFIIMNGWNGMLQDGRHQLENEILLEIQIYASSGSVAHNHESKKDRPTVQNSKMIGSSHGVLFMSNGSLESMDFDVQLSGSSIRSNSTGLTGLDNLGNTCFMNSAIQCLAHTPKLVRYFLGDYSKEINHHNPLGLHGELASAFGQLLRKLWAPENTPIAPHVFKAKLASFAPQFYGFSQHDCQELLAFLLDGLHEDLNRIKNKPYFEIKDASGRPDEEVADEYWSNHFARNDSIIVDLCHGQYRSTLVCPVCNKSSVTFDPFMYLSLPLPSTTVRTMTVTVFSTDGLSRPSSYTVNVPKSGNCKDLVQALSIACSLKHDESLLVAEVFCNQVIRFLEDPSDSLSLIRDGDQLAAYRLSKDPEDLPLIVFMHQSMDEQYFNSSTDKRWKSFGVPLIARLPNASTGSTIVDLFLKLLNPFLIPKESSFDIEQDSSNSINEIAKIDEDSHLLDFERTEEGKYFHDGFQFYLTDENCQAMLSKIEMDDSISLTGYQRKLYVLVCWHEKTMGQYDIDLLNTLTEVYKFGLFAKRPQESVSLYSCLEAFLKEEPLGPEDMWYCPSCKKHQQACKKLDLWRLPEVLIIHLKRFSYSRFINNKLEMFVDFPICDLDLSSYIACKSRGSSVYRLYAVSNHYGNMGGGHYTAYIYHEGERCWYDFDDQHVLPISEDIIKSSAAYVLFYQRVQTSSSDA >Ma02_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23149465:23153374:-1 gene:Ma02_g15430 transcript:Ma02_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/TrEMBL;Acc:A0A178WMK3] MATLSKLYSMREAAEHNTRDDCWVVIHGKVYDVTSYLDEHPGGDDVLLSAAGRDSTEDFEDAGHSKSARELMQDYYIGELDPDTVIPELEIFRKEKSSAFTTMLTNKTVQYLAVPAVILGVSVVAGILFSRSK >Ma03_p31130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33467396:33470793:1 gene:Ma03_g31130 transcript:Ma03_t31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKIQKFQKNITKRGSVPETTVKKGNDYPVGPVVLGFFVFVVIGSSLFQIIRTATSGGMA >Ma05_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20242263:20267070:-1 gene:Ma05_g17430 transcript:Ma05_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLFTSRKEVKMLREVQDTKKLEDRQLPWYMWGEVISYAPVHILGTQVDDVSLDWGNWNFMEQTLDYGGRALEDSLVVCGGLVKPLRLDTSFLEEMHMNQTSNTEEKSSTITTFVVSDGQYEKQQLLPYLEYHLNSNFPLLSRCGSYVENSESRHQDELHKERLLSYYRRSEYCPECSLWTAKYQPENASEVCGNFQSVRQLSEWLKSWHDKGQKSGKKCSSREHYTVEDDEILSETEFDMDDREDDFKLSNVLLITGPVGSGKSAAIYACAKEQGFIIIEANASELRNGANIKRKFQEAMGSHRYNRWSFDDTIGSSKQNLDVAPGMPNMRDNVKFDSFTLKAPTDTQENFHTECSRNKENRVAIKTLILFEDVDTVFEEDCGFISSVLQLAETAKRPIILTSNSKNPVLPPLLNRLVLNFELPSAAELFWHLYMICASEKAQISAHLLEQLIISCLGDIRKIIMLLQFWCQGNKYHVVRNLHTCSPLQFDIDTVHLIIPRIIPWGFQCELSEKVADEISRSMFSMEDNLKLLEFKPAEKVGSAKVEKIHNVINKRKKSKLKRKQSDLDSTEFPADAKDLDDFSDASESFARFDQQQRTRQRAHIVLSSQSDDEPSAVELQPAEIVSADLSYCPLPDMSNLCSLQTLKVVSPLRPLADLEYQSQRNCIQPLLESSDIASVSHICDTFKVQEVSCVPESSFIAESDISRRDNAISMAVSSNTIAVNLIDLLNPIHESPGEVNNLTASITEVNLCPVSNINEVDAESVYGNEELGDSQNGVQFPANGDGIELPASGYQFMDECSRADFSIGLVPGRCERSPRVFSVQETWRRLRNQREELRTYLSKNQMEYSVVDLASGLTDLISETDIMFSRSNPLINDILEPSLIPSVEPDDFSWYDRQYEMGSTYVQHGLCLYTDKCAKTCPDMVFMEPETLVLEMLASSTNAMAMGKLLSLESTNTRNLSNHGLNLKEIGHGISLERKQQCALMDALLPLVPARLSMTLRGSGFHDYLSFTSRISRFESARISESMKENSHRRSRDLRHYLSSGSLLLSREDVALLARACCFKEAVP >Ma08_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7303652:7307310:1 gene:Ma08_g10000 transcript:Ma08_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVVAAPLPTAAMELPVEPQSLKKLSFKSLKRALDLFSPIHGHHSPSDPESKRIRISYKVQAEYGSVANLSGQQTREQNYPGGLGKGDQAALALPGIMTCIIACSQHTVHFALIVLARSKFQRHGSLSCHWNGMYQRTILCQGYQSTSALMERIPSRWPRPTWHPRKNYRVISGHLGWVRSIAFDPSNSWFCTGSADRTIMMWYVASGRLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGGYCLALHPTIDVL >Ma03_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2744618:2749530:-1 gene:Ma03_g04200 transcript:Ma03_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MAGLRPHGQPSTGALDTGAASNHHVLAEKALTYLRHGCPLDSLAAAYTPEAAAHALLLAQPHRPLLLAFLRWATPLPFFSASLRPQSLALHLLSRLRRLPAALSLARRIASRFPLPALFDSLASTLPLLRPPPPSPSAAFDLLIRSYSSLFLIPQALSALSLTKDAGFSPALLSYNSVLDAMFRSGRTPPRTVEKFLADMTSSGVSPNVYTYNILIRGFCSWGELNRASSLFSEMALAGCSPNVVTYNTLIDGLCKSGKVDDARMLLTTMKENGLKPNLVTCNSIVNGLCHKGQVKESSKFVDEMVREGLVPNVITYNTLVNGYCREGDVHRALLLQAEMAHKGVAPDVVTYTTLVNAMCKAGNLRRAMELVSQMKERALRLNEETFTTLIDGFCKKGFLDDALLIMKQMKESGIRLSVVTYNALINGYCLLGRMDEALMIIREDMETNGFMPDVVTYNTILSGYCRNGDINLAFQFNKEMLDKGILPDAITYSSLIRGLCEGKRLDDACKLFQKMLSLGIRPDNYTYTTLIDGHCKEGELKKAFLLHDEMIKKGIHPDVVTYSVLINGLQKAARTKEAKRLLLRMCHDESVPDIVTYDILIDCCGKVEFKSLLSLLKGFCMKGLMNEADEVFNSIAERNWKPDATAYNIVIHGHCRSGNVHRAVSLYEDMLQAGFLPNAITAISLIKGLSQFGTNEKLDQIIQQLLGGSLPTYSQKSKVLVEVNHKDGNMDAVLDALTDLAKDGLLPNGGELKG >Ma04_p30160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30889022:30891484:-1 gene:Ma04_g30160 transcript:Ma04_t30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEEGERGGKKAERGLKKGPWTPAEDAILVEHVRRHGEGNWNAVQRHSGLARCGKSCRLRWANHLRPNLKKGSFTPDEELLILRLHAQLGNKWARMAAQLPGRTDNEIKNYWNTRLKRRQRAGLPIYPPELQEAVGFDHQLKQQASTLLRTPPPLPPPPSAAEFSARLPSLRPAPLLDPAIFRPSSGMTLPPLPQNLFSCHLGFGFPLSPASPPPTPTSLFQPQQQLGPGNYEVSRPQRLPPVPWPTMAKMELPSCQLFPEPVGGRDGLTSSGLLEALLQDAHVPEDMKLGELLALPTVGEQEAVWEHVFFGGDSSEAIKETSQGCSLGSATKLEGLDCDMALLAGSKNKSEPRCDANTEQDDISVLLDIPAPPVSMIPEWCNTDSTESPAMVGNETGLDIHQLASPVSIASSDHDWNISSWPWNNMPGIC >Ma04_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10973670:10975485:1 gene:Ma04_g14490 transcript:Ma04_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRDSNGEVTFIVTRSEPVRVHPVEVAGDGEFYFLSNLDQNVAGIMKTVHLFAAATDSSTADVGRVLRDALAKVLVHFYPFSGSLTISPEGKLIVKCDGRGVPFVEAAAECGMEVVGDISIPDPEKLGKLVYVDSRAKNILQTPLLTVQVTRFECGGFVVGLAMNHCMADGISSVEFLQSWAETARNRRLSRAPFLDRTIQRARHPPKIEFPHHEFAELEDESNLTQLFESEPNRYRAFTFGSDELDRLKLMATVDGSCTCFVALSAFIWRSWTRALNMDPHQNTKLLFAVDVRRRFQPPLPVGFFGNGVVFGCCLCEAGELQDNPVSFAARLIQAAVRNTTDRFIRSAIDYFESNRARPSLTATLVITTWTKLKFMSSDFGWGEAVQSGPAELPHKVVVFLPQVNGMKSVTVVVGLPASSMKAFQEMLGL >Ma10_p30050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36456454:36457286:1 gene:Ma10_g30050 transcript:Ma10_t30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATQDRRRLRPALPADPHGVIDEAESSSHDRQRSFSSSSRISREREQSVIVAALIHVVSGYPAAPAELSIAGACGLCGIGGCLGCDFFISAADDEVPMPFSVSETTIGRGGKQVRKRKKKGKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFETAEEAARAYDMAAIEFRGPRAKLNFPFPEQYPAQVDGDHETSQSTSLSSSNPPQQQPSGAQHKQQDSMDHQEQELTDMWAGLQDLMSLDDGQLCVGFS >Ma07_p25570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32616048:32617068:1 gene:Ma07_g25570 transcript:Ma07_t25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKPPTSAAPRAVNGNGTAAASKGGASRPTFPPTKAQQYRLPYRPQRRPSPRRKRRCHQGCCRICCLWLTLLLIALVLLAAISAGAIYVLCLPQRPSFSVSSLRLSALDVRSVDLLTSRLDLSVTVRNPNQRIVFVFDDVALSASSGGVTIGEGTIPGFVQGTDNTTVLKATVSSSGRSLDPTEASGLRRKKRHSLEIYLDTKAGIKLGRFKSMHVGIRISCKGIEASVTNGNATAGSTKGAAKCKARLRVKIWGWTL >Ma07_p28420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34469001:34469690:-1 gene:Ma07_g28420 transcript:Ma07_t28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELESFRLYRRDPHLGRSHTCRVLLESSVSSECAQMAKYERLSQSLRPPGEPPRQQRRVGVWRLLTDSFARKVREPPRRATAEDTKKAATVVWSAEGKRRWSSWLPDPDRRWPVQGW >Ma08_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24093736:24097229:1 gene:Ma08_g17270 transcript:Ma08_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKFKVESPNVRYGEDEIESVYHYETTELVHECRDGVYQWIVKPKTVRYNFKTRTRVPKLGVMLVGWGGNNGSTLTAGVIANREGISWVTKDKVQQANYFGSLTQASTIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISNMNLADAMARAKVLDIDLQKQLRPYMESMVPLPGIFDPDFVAANQGTRSNNAIKGTKKEQVQQIIKDIREFKEKTEVDKVIVLWTANTERYSDVIVGLNDTMENLMASVEKNEAEISPSTLYGIACVLENVPFINGSPQNTFVPGLIDLSIQRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGLNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVIVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEEEDKFHSFHPVATILSYLTKAPLVPSGTPVVNALAKQRAMLENILRACIGLSPENNMNLEYK >Ma04_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7023676:7034308:1 gene:Ma04_g09880 transcript:Ma04_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPMQQQALNGTVNNGGGGAGGNQFPTTSLYVGDLQASVTDAQVYDLFSQIAPVVSVRVCRDVNTRRSLGYAYVNYSNPVDAATALEVLNFTPLNNKPIRIMYSNRDPSIRRSGAANIFIKNLDKTIGNKDLHGIFSSFGNILSCKVATDASGQSKGYGFVQFDQEEAALNAINKLNGMLVNDKPVFVGPFLRKQERENSLDKTKFSNVFVKNLSESTTREDLEKIFGKYGKITSAVVMRKEDGKSKCFGFVNFENPDDAARAVQELNGQEFGGEEWYVGRALKKSEREQELKEFFDQRAKDTMDKYQGLNLYLKNLDASIGDDKLRELFSGFGTITSCKVMREPNGISKGSGFVAFLAAEEAARALAEMNGKMVYGKPLYVALAQRKEDRRARLQAQFSQMRPVPMAPTVGPRVPMYPPGAPGLGQQIFYGQGPPALIPPQPGFGFQQQLIPGMRPAGAPMPNFLVPLVQQGQQVHRPGGRRAGAGPVQQTQQPMPLIQQQMLPRGRVQRYSPGRNMPDVPMPGVVSGMLSPYEMGGLPMRDVPIPQPIPIGALASALANSTPEQQRMMLGESLYPLVEQLERDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEALEVLRTVAQQQQANVPADQLAALSLNDGLVS >Ma04_p29350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30305160:30321980:1 gene:Ma04_g29350 transcript:Ma04_t29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSSMPAEPDHDPAAAKHHDPANGTSSPLPASGTASPPVEAQAVAAGPRPAPTFSVVNAIIEKKEDGPGCRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAAPASPAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANGVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYSVDAAGGDASVELTRRCRHAAAAVGDLIFVHGGLRGGMLLDDLLVAEDLAAAETTSAASHAAAAAAAANALGRSPGGYIFSNERSRHTIPEAVPDGAVVLGTPVAPPVNGDTYTDISSDNVILLGPRLGKGVEYLVEASAAEAVAISAALAAAKARQVNGQIEQLPDQDHDSEATPGEKQVSNLSKASDPSLPNNVTPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFTSEPSVLQIKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDISYIDYLFLGDYVDRGQHSLETIALLLALKVECPHNVHLIRGNHEAADINALFGFRTECIERMGERDGIWCWHRINRLFNWLPLSALIEKKIICMHGGIGRSINHVEQIANLQRPITMETGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIIRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPVINSPETSPERRIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >Ma04_p29350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30305160:30321980:1 gene:Ma04_g29350 transcript:Ma04_t29350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSSMPAEPDHDPAAAKHHDPANGTSSPLPASGTASPPVEAQAVAAGPRPAPTFSVVNAIIEKKEDGPGCRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAAPASPAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANGVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYSVDAAGGDASVELTRRCRHAAAAVGDLIFVHGGLRGGMLLDDLLVAEDLAAAETTSAASHAAAAAAAANALGRSPGGYIFSNERSRHTIPEAVPDGAVVLGTPVAPPVNGDTYTDISSDNVILLGPRRLGKGVEYLVEASAAEAVAISAALAAAKARQVNGQIEQLPDQDHDSEATPGEKQVSNLSKASDPSLPNNVTPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFTSEPSVLQIKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDISYIDYLFLGDYVDRGQHSLETIALLLALKVECPHNVHLIRGNHEAADINALFGFRTECIERMGERDGIWCWHRINRLFNWLPLSALIEKKIICMHGGIGRSINHVEQIANLQRPITMETGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIIRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPVINSPETSPERRIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >Ma01_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11779970:11781194:-1 gene:Ma01_g16250 transcript:Ma01_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLLLLFLGLWVTSATARCTITTFAKTYKKCVTLPTQGASLAWTYHPLNATLDLAFSGSFISPSGWIAWGLNPDSPAMTGAHALVAFSDPTSGGLLLLPFVLDPSVKLQSAPLLSRPFGLHLLSSSAVLRGAPSARAGAEVQIFAIIKLSPNRTRLHHVWNRGLYVQGYSPTIHPTAPSDLASRATIDIASTASEVTPPAPDALPSAHAALNAASWGFLLPAGVAVARYLRQRTSLGPSWFYAHAATQIVGFLLGTAGFAMGIILGSRSPGVEYGLHRGLGVAAFVAGGLQSAALLFRPKTTNRYRKYWKSYHHFVGYGCAVLGVVNVFQGMEVMGLGRSYWKLAYCLALSTLVGVCVALEVNSWVVFCRKVEEEKAAVTREGGGGGVESHHQVVKAKA >Ma08_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4751408:4760430:-1 gene:Ma08_g06970 transcript:Ma08_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Obg-like ATPase 1 [Source:Projected from Arabidopsis thaliana (AT1G30580) UniProtKB/TrEMBL;Acc:A0A178WF69] MPPKAAKSKDAVTERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNVPDERFDWLSQLYKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDTVDPVRDLEIIGEELRLKDVEFIEKKLEDLEKSMKRSNDKQLKIEHELCDRIKAWLNDGKDVRLGDWKAADVEILNSFQLLTAKPVVYLVNMNEKDYQRKKNKFLPKIHAWVQEHGGEPIIPVSCVLEKKLVDMPEDEAAKYCEENKVQSVLPKIIKTGFAAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFDDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >Ma08_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6120270:6122150:-1 gene:Ma08_g08650 transcript:Ma08_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQSSSNDNKTDKDKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSHLGWGPGIVVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVVCPDCKSIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWATPLHKGKQEHVDYSNPASTTTGAVFNFFNALGDVAFAYAGHNVVLEIQATIPSTPEEPSKKPMWKGVVVAYIIVAICYFPVALIGYWAFGNAVDDNILITLEKPRWLIAMANMFVVVHVIGSYQIYAMPVFDMIETVLVKKLHFPPGLTLRLIARSVYVALTMFIGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSASWIINWICIVLGVLLMVLSPIGGLRSIILQASSYRFFS >Ma04_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24874998:24876691:-1 gene:Ma04_g22600 transcript:Ma04_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGNEACSSSYGLDASAAPLLPSVGGGDLRGRLSSQPKTFANVFIAIVGSGVLGLPYAFRRTGWAAGALLLLAVAALTFHCMMLIVRTRRRLDLDGSAKIASFGDLGLAVSGSLGRLAVDAMIVLSQAGFCVGYLIFISSSLTHLLPLSLPFLSSKALYVLAMLPFQLGLNSIRSLTLLAPLSIFADVVDLGAMGVVIAEDVSIMVSRPPPIHAFAGPSVLLYGAGVAVYAFEGIGMVLPLEAEAADKSKFGRTLGLSMAFIALLYGLFGVLGYAAFGDDTRDIITTNLGAGVLTLLIQLGLCINLFFTFPVMMNPVFEVAERWLCGKRYCWWLRWALVLAVSLAATLVPNFADFLSLVGSSVCVVLGFVLPAAFHLKVFSGELGWAEATADIAIVVVGMGLAISGTWSSLMSIFNSVQS >Ma02_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18503188:18505977:-1 gene:Ma02_g08030 transcript:Ma02_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERFFGVNWQSPDATTPPEMNSGGAADDRLPPTFLNLGWAQLMHHNVQSGSSLGSLVSSLSSNPPTVNDSVVIHELFGQLGSVCDAGEFPPTSLYHSANVSCYSAPLSSPRKLNLFVTDHQQQGRGGVMPSNQMAAAQFAPSNSDPAKSYDLLRGQFGFPEAGKLSRVSSSQSLKAADGSQMGVPDDGKRVPVTDPESLEMELRSKFGGRASGASTPDEMGLGNGQDASSALDTKINARKRKSKGKEASFSSSIMNHPMSTEEDNSDAKRCRPAETNGAGKDAAAKPKTEQNGDAGHEQGKENNAKLAEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKITGKALKLDQIIGYVQSLQRQVEFLSTKLATLNPQLDFLPKDQIYPLDMTSTAFSYAQQPLQSVATINPTSSSLHRPPLDGFADATSQLGNLWEDDLQYAVRMGFAQSQGTAAFSQSSLAE >Ma06_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:473922:476467:1 gene:Ma06_g00620 transcript:Ma06_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVSGIHLHDLPESILTNIFLLVSDVRSRNAMALACRRWRSLERATRTSLDLRGHVRAPFLLPACFPAVAHLDLSLLSPWGHHPFLHHQQPPQHYHHHHLLSEQAALVAARLAQAFPCVSSLAIYARDPSVLAALAPLWPDLRAARLVRWHQRPANLPLGGDLAPLLAACPGLAALDLSQFYCWTEDVPQALQDHPTAASSLVHLDLLCPASTEGFGSSELAAIAGACPKLTHLLAPCVFNPRCIEFVGNHTLLTLAVGCPRLALLHLVDPATLSSARIDSEAKEAAAITGRGLEGLFAGLPQLEDLALDICHNVRDAGPSLEALSHKCPKIKSLKLGQFHGVCKGAWLHLDGVAVCGRLESLCIKNSADLTDSSLVTIARGCSRLSKLEIHGCNKVTEMGIRKLSSMLRFTLVDIAISGCQLLDATRSLRAVEPIRDRVERLHIDCIWANPELDQLPQTPEKTTDDSDVDQVDEETPDGSRNKKCRYSDGNHDDIENRCFWFRTWTRLRCLSLWIPAGEVLTPLGDAGLESCPQLEDICIKVEGDCRMCPRPRQRVFGLSFLARYPRLAKMKLDCGEAFGYALTAPTGHMDLSLWERFYLHGIGDLGLYELDYWPPQDKEVNQRSLSLPATGLIQGCLSLRKLFIHGTTHEHFMRFFLGMPGLRDVQLREDYYPAPENDMSTEMRVDSCSRFEETLNSRLIPD >Ma04_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25563106:25568691:-1 gene:Ma04_g23390 transcript:Ma04_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLLSTVPHHHSLPENYIRPESQRPRLAEVISDAHVPAVDLSSPDKSHVIAQIADACRSYGFFQVLNHGVPVELMVKMMVIALEFFRLPPEEKAKHYSDDPARKMRLSTSFNIRKETVHNWRDYLRLHCYPLEDYVPEWPSNPSSFKEVASAYCKEVRQLGFRLLGAISLSLGLEEKYMEEVLGEQEQHMAVNYYPKCPQPQLTYGSQTRPMDRGASPTPRLRHQHRRPAAGIE >Ma04_p23390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25562889:25568691:-1 gene:Ma04_g23390 transcript:Ma04_t23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLLSTVPHHHSLPENYIRPESQRPRLAEVISDAHVPAVDLSSPDKSHVIAQIADACRSYGFFQVLNHGVPVELMVKMMVIALEFFRLPPEEKAKHYSDDPARKMRLSTSFNIRKETVHNWRDYLRLHCYPLEDYVPEWPSNPSSFKEVASAYCKEVRQLGFRLLGAISLSLGLEEKYMEEVLGEQEQHMAVNYYPKCPQPQLTYGLPPHTDPNALTILLQDPDVSGLQVLKHGQWIAVHPQPHAFVINIGDQLQALSNGRYKSVWHRAVVNSEKERMSIASFLCPCNCAIISPPEKLISEASPAMYRSYTYEEYYKKFWSRNLDDEHCLEVFRS >Ma09_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5846533:5848824:1 gene:Ma09_g08790 transcript:Ma09_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEKLFLKQPKDSRHPEKPLKVLTLIRNAHSRNVSIRGCILAGTCHSAKMNRTIIIRRNYLHYVKKYQRQAILVGSLYEKRHSNIPAHISPCFRVKEGDHVIIGQCRLLAKTVRFNVLKVIPAGTTSGGSSKKAIAAV >Ma10_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27102878:27105211:-1 gene:Ma10_g14760 transcript:Ma10_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETSWEESYPVAYFQSEVAGLKPTSEDSDDQDEDALISLDAVLPDDLLEKVLSFLPIASIVRASSVCRRWYEAVHSGRCSWTKMSPQKPWYFMFTCSDDAVAGYAYDPSLRKWYGFDFPCIERSNWSTSSSCGLVCLMDGENRSRVFVCNPITRDWKRLHDAPGGKAPDYSALAMSVDRRTHGYTVAVAKCKQVPQDYYQWQFSIHVYESKTRAWLTPFAEVLVGWRGGDECVICNGVLYYLIYSTGVLRNVEPRHCLVMYDLSARPARTSLMRTAIPVPCSLTCGRLMNLRDRLIMVGGIGKPDRPGIIKGIGIWELQRRREWREVARMPHKFFQGFGEFDDVFASSGADELIYIQSFGSPALLTFDTTQKLWRWSTKSPVTKRFPLQLFTGFSFEPRLEVAS >Ma05_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15298130:15298657:1 gene:Ma05_g16110 transcript:Ma05_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYLAFGHINPLLQLARSPCATGSGVRITFLSANANVPQIESLLPASMSTSVVLIHLSAVLGLPLGVDSTADLSPASPAAKLLKPAVDGTRPQVESLLRELRPHLVVFDFGMQWLPEVAEPLDVWTLFFFFVFVVISTAYLTAPARRVHGPAPTLDDIMSPPPGFPPSLCSNGR >Ma04_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4812603:4812863:-1 gene:Ma04_g06560 transcript:Ma04_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKQVKLRQLQSAPPLKTHVWDLWKVEGSRKARQNLPSEQKLSQDGYKVDSRNDKEKGEEQPKEDTTTMKQAKISLMTHWCSKEG >Ma01_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13612099:13614892:1 gene:Ma01_g18360 transcript:Ma01_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGSPHFALDSSKRLILSLLFLLAPLTIAEFQPADDFLLACGAGGDTDLPDGRLFVPDSSSSAALSDCSSTALSSSAPPPLYRTVRAFPCPSSYVFHLAAAAQAGLLLRLHFHPFPSPSLNLSAALFNVSASGVPLLSLFSPAAAASPPRPLVREFYLKPNSSSLRLTFSPASPSTVAFINAIELFSAPDRLFSDAFTPIPSLFSFSDAPLSNHLLETVFRINVGGSFVTPDNDTLWRTWEPDDKYLQNPARAISVTFGSHIQYRDGGATPEIAPDYVYGTARAMNKGDSSNSSSPSNYFNISWNFPVPNSVHGFLVRLHFCDIVSSALNELFFNVYINGYPAYKDLDLSSQSSYTLASPYYVDFVVLHRSSTGGINISIGPSEHSLPSKINALLNGVEILKINGVVSSPNEDSRKSRHFGVIVASVLGCLILACAIMVLVVIMAQRKSRKDQMPQSQDADTWSPLPVLPGNSYLRLTELTTGSPSNNPNLQLRVPFFEIVLATNNFNENALVGAGGFGKVYKGVLRDGTKIAVKRGTRGSQQGLGEFQTEIEILSKIRHHHLVSLIGYCEEQAEKILVYEFMEKGPLRDHLYGSRNPSLSWKQRLEICIGSARGLHYLHTGSAQVIIHRDVKSSNILLDENYAAKVADFGLSKLGTSTNQSHVSTGVKGSFGYLDPEYFKTQQLTDKSDVYSFGVVLLEVLCARPVIDQSLPWEQVNLAEWAMHWQKKRLLERIIDPSLKERTNRKSLKKFGETVEKCLAQYGIDRPTMGDVLWNLEYALQLHERAVHQEPGGDSTYGPSDESSSSVLQVSTSAVTAMRDTSTAERHGKSSTDTVGLANKEAFRPGRNS >Ma08_p31520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42388864:42390009:1 gene:Ma08_g31520 transcript:Ma08_t31520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGEEEEEEEEEMSSDCSSGCQSGWTTYLEQSSEFCKTVVDEKGGSYEHEEEEEEDLSMVSDASSGPPQLRLEDEHHSRCCRKSNIGSWDTGCHGSAFAPPAAMAKDGFKKRRIGDPSSALVDTATSPLFSFSKTSFNKEDNFMKPFTEHVLDFPCSFSYVHVEEKSALQNPMNCLQSFTLFKPTPVRPMSSKGGKKIW >Ma08_p31520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42388864:42390009:1 gene:Ma08_g31520 transcript:Ma08_t31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGEEEEEEEEEMSSDCSSGCQSGWTTYLEQSSEFCKTVVDEKGGSYEHEEEEEEDLSMVSDASSGPPQLRLEDEHHSRCCRKSNIGSWDTGCHGSAFAPPAAMAKDGFKKRRIGDPSSALVDTATSPLFSFSKTSFNKEDNFMKPFTEHVLDFPCSFSYVHVEEKSALQNPMNCLQSFTLFKPTPMSSKGGKKIW >Ma05_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1772722:1773273:-1 gene:Ma05_g02700 transcript:Ma05_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNINEENYKEALEASFKVSISRGISSELLQIVNGSSVEVDPKS >Ma07_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3354567:3357195:-1 gene:Ma07_g04560 transcript:Ma07_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTEGRMRRYGSQSSPERTRPRTEPPPPPVPQQGRKVPVVYYLCKNRHLEHPHFIEVLLSSPRGLYLKDVIERLNALRGKRMAAMYSWSCKRGYKNGFVWHDLGEDDLVLPAHGNEYVLKGSELLDQSPPDAAFHGLVRRHNEMSNPRLQIQNQRESPVNSCKDHEEAASSSSSVAVVVKEAKTSPGLRSPASPHPPATTRPDELSPTTNRSSSSGDFSPETGCRTTPSSAMGSPSPAEHHVRRPAVVQDASTQTDEQGGRKACGIAGVSLGKESSETHNGTSPCPTERPENVEASYNAAAACSCGRTSTLESLIRDEPSQRKDLRIVEDEVFIPSRAKLKAADMLVQLITCGSISVKDHYNFGIVPTYNPRFTTTMKSPSPTFPGSMMLGEMDCFSESQRVVGLRPEEQINLAETITESNQEEMEAESCKMPDSRKDEEEGTDSLQFKCLPRAIRIASCKQSKIETVRSPASGTRMLSWGFDIAKSSPLCSSKDGSKWITDTSSTKGSFTGFESFREERKEKAYFWSSGCNPIELSVTGPVRNEDISLQHPVSHSTVSGVWKKAHKFSNGFLVLFHSIDDLFLSINGSFQPLSYGMCLRWTYIFASAAYGLLD >Ma06_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5328872:5339339:1 gene:Ma06_g07510 transcript:Ma06_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSAADAAEVEKLYEFGERLNEAKDKSKHVSDYEGIIAAVKGQSVKAKQLAAQLIPRFFKSFPTLASKAMTAQFDLVEEDELGIRVQAIRGLPLLCKDTPEYVSKIVDVLGQLLTYEENVERDAVHKALMSLLRQDIKASLTALFKHVEIGMENVREKVICFLRDKVFPLKAELLKPQEEMERHVTDLVKKSLQDVTGAEFKLFMDFLRSFSIFGDGVPPERIQELIEIVEGQADLDAQFNVEDIDHIDRLISCMCMALPFFARGASSSKFLNYINKHILPVFDKLPEERKLDLLKNLAGSSPYAAAQESRQLLPSIVTLLKKYMSRRRTEEPKYNYLECSLYSFHHLAHKTPNSTNSLCGYKIVTGQPSDRLGEDFSENYKDFTERLSSTEEVVRNAMKKLTQGMADHNKAMAAAKTEEAKSKIKAEQQKTTTALRICNNILAMTQPLHAKAPSFIGDGKINLSWKEPVKQSLASTAAAGGKRNTASLNGSSASTAVNKKARGEGIMQNQLVNRALDGLSQGGRRSGRAGRGWGGRGRGRGRGFR >Ma09_p21040.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31730481:31747002:-1 gene:Ma09_g21040 transcript:Ma09_t21040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEKQKKFLTVAPFKCVWREELRFKEAGRGCVAFEAFAQNDVTLVFREQVGSQHYHYKMDNNPNYTIIFGSHRNRRLKIEASGKTVVDVAGVGLCSSAFQSYWISIYDGLISIGKGKYPFQNLVFEWHDSEPNFNVQYIGLSSWDKHVGYRNISILHMPSHHNALWSHIDYKDYEGEDDDDDGLENVNDNLGKWGLLNFLENWDLADVLFEVGTERKVIPAHKVILSSTGDFSLGSTDENVIELPSTSYPVLHAFLEFIYIGRTQIVEWQLGPLQELSLQFKVIALVKQCEEIMDCFRKNKKLFDSGKKVEIINLSSQVRQFGIFPCEVRLDVGKLKHFLATGEHSDIKIHIEDHGLVVQSHKLILSLWSAPFAKMFTNGMVESSSSDIYLKDVPAEAFWVMIQFMYSGVLEMDITEMGPILIPLLLLADQFGVFYLQRECCKRVMECLSEDIVCTILQAVSSIQSYKLLEETCKRNFAMHFDYCTTASTEFVLLDEATFREILLHADMTVTSEEKVLDAILLWCMQACKVFGWATVDDLLISSTPEQIFGERFQSIFLLLPFVRFPLMPLFLLEKLEDSRLSNQIPMFGDLVKEAIQYSGGIKMPEVSQNIRFQHRHSSYKELQYICDGDKNGVIYFAGTSYGEHAWVNPVLSKKITLTASSPASRYTDSKALVSRTYQATSFAGPRIEDGHSSAWWMVDIGHDHQLMCNYYTLRQDGSSTYIRSWAFQGSVDGKNWTNLRVHNDDQTICRSGQFASWPVIGPMALLPFRIFRVILTGPASGDANVWNLCICFIELYGYFI >Ma09_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31730481:31748034:-1 gene:Ma09_g21040 transcript:Ma09_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEKQKKFLTVAPFKCVWREELRFKEAGRGCVAFEAFAQNDVTLVFREQVGSQHYHYKMDNNPNYTIIFGSHRNRRLKIEASGKTVVDVAGVGLCSSAFQSYWISIYDGLISIGKGKYPFQNLVFEWHDSEPNFNVQYIGLSSWDKHVGYRNISILHMPSHHNALWSHIDYKDYEGEDDDDDGLENVNDNLGKWGLLNFLENWDLADVLFEVGTERKVIPAHKVILSSTGDFSLGSTDENVIELPSTSYPVLHAFLEFIYIGRTQIVEWQLGPLQELSLQFKVIALVKQCEEIMDCFRKNKKLFDSGKKVEIINLSSQVRQFGIFPCEVRLDVGKLKHFLATGEHSDIKIHIEDHGLVVQSHKLILSLWSAPFAKMFTNGMVESSSSDIYLKDVPAEAFWVMIQFMYSGVLEMDITEMGPILIPLLLLADQFGVFYLQRECCKRVMECLSEDIVCTILQAVSSIQSYKLLEETCKRNFAMHFDYCTTASTEFVLLDEATFREILLHADMTVTSEEKVLDAILLWCMQACKVFGWATVDDLLISSTPEQIFGERFQSIFLLLPFVRFPLMPLFLLEKLEDSRLSNQIPMFGDLVKEAIQYSGGIKMPEVSQNIRFQHRHSSYKELQYICDGDKNGVIYFAGTSYGEHAWVNPVLSKKITLTASSPASRYTDSKALVSRTYQATSFAGPRIEDGHSSAWWMVDIGHDHQLMCNYYTLRQDGSSTYIRSWAFQGSVDGKNWTNLRVHNDDQTICRSGQFASWPVIGPMALLPFRIFRVILTGPASGDANVWNLCICFIELYGYFI >Ma09_p21040.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31730481:31747857:-1 gene:Ma09_g21040 transcript:Ma09_t21040.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEKQKKFLTVAPFKCVWREELRFKEAGRGCVAFEAFAQNDVTLVFREQVGSQHYHYKMDNNPNYTIIFGSHRNRRLKIEASGKTVVDVAGVGLCSSAFQSYWISIYDGLISIGKGKYPFQNLVFEWHDSEPNFNVQYIGLSSWDKHVGYRNISILHMPSHHNALWSHIDYKDYEGEDDDDDGLENVNDNLGKWGLLNFLENWDLADVLFEVGTERKVIPAHKVILSSTGDFSLGSTDENVIELPSTSYPVLHAFLEFIYIGRTQIVEWQLGPLQELSLQFKVIALVKQCEEIMDCFRKNKKLFDSGKKVEIINLSSQVRQFGIFPCEVRLDVGKLKHFLATGEHSDIKIHIEDHGLVVQSHKLILSLWSAPFAKMFTNGMVESSSSDIYLKDVPAEAFWVMIQFMYSGVLEMDITEMGPILIPLLLLADQFGVFYLQRECCKRVMECLSEDIVCTILQAVSSIQSYKLLEETCKRNFAMHFDYCTTASTEFVLLDEATFREILLHADMTVTSEEKVLDAILLWCMQACKVFGWATVDDLLISSTPEQIFGERFQSIFLLLPFVRFPLMPLFLLEKLEDSRLSNQIPMFGDLVKEAIQYSGGIKMPEVSQNIRFQHRHSSYKELQYICDGDKNGVIYFAGTSYGEHAWVNPVLSKKITLTASSPASRYTDSKALVSRTYQATSFAGPRIEDGHSSAWWMVDIGHDHQLMCNYYTLRQDGSSTYIRSWAFQGSVDGKNWTNLRVHNDDQTICRSGQFASWPVIGPMALLPFRIFRVILTGPASGDANVWNLCICFIELYGYFI >Ma09_p21040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31730481:31747817:-1 gene:Ma09_g21040 transcript:Ma09_t21040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEKQKKFLTVAPFKCVWREELRFKEAGRGCVAFEAFAQNDVTLVFREQVGSQHYHYKMDNNPNYTIIFGSHRNRRLKIEASGKTVVDVAGVGLCSSAFQSYWISIYDGLISIGKGKYPFQNLVFEWHDSEPNFNVQYIGLSSWDKHVGYRNISILHMPSHHNALWSHIDYKDYEGEDDDDDGLENVNDNLGKWGLLNFLENWDLADVLFEVGTERKVIPAHKVILSSTGDFSLGSTDENVIELPSTSYPVLHAFLEFIYIGRTQIVEWQLGPLQELSLQFKVIALVKQCEEIMDCFRKNKKLFDSGKKVEIINLSSQVRQFGIFPCEVRLDVGKLKHFLATGEHSDIKIHIEDHGLVVQSHKLILSLWSAPFAKMFTNGMVESSSSDIYLKDVPAEAFWVMIQFMYSGVLEMDITEMGPILIPLLLLADQFGVFYLQRECCKRVMECLSEDIVCTILQAVSSIQSYKLLEETCKRNFAMHFDYCTTASTEFVLLDEATFREILLHADMTVTSEEKVLDAILLWCMQACKVFGWATVDDLLISSTPEQIFGERFQSIFLLLPFVRFPLMPLFLLEKLEDSRLSNQIPMFGDLVKEAIQYSGGIKMPEVSQNIRFQHRHSSYKELQYICDGDKNGVIYFAGTSYGEHAWVNPVLSKKITLTASSPASRYTDSKALVSRTYQATSFAGPRIEDGHSSAWWMVDIGHDHQLMCNYYTLRQDGSSTYIRSWAFQGSVDGKNWTNLRVHNDDQTICRSGQFASWPVIGPMALLPFRIFRVILTGPASGDANVWNLCICFIELYGYFI >Ma09_p21040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31730481:31748034:-1 gene:Ma09_g21040 transcript:Ma09_t21040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEKQKKFLTVAPFKCVWREELRFKEAGRGCVAFEAFAQNDVTLVFREQVGSQHYHYKMDNNPNYTIIFGSHRNRRLKIEASGKTVVDVAGVGLCSSAFQSYWISIYDGLISIGKGKYPFQNLVFEWHDSEPNFNVQYIGLSSWDKHVGYRNISILHMPSHHNALWSHIDYKDYEGEDDDDDGLENVNDNLGKWGLLNFLENWDLADVLFEVGTERKVIPAHKVILSSTGDFSLGSTDENVIELPSTSYPVLHAFLEFIYIGRTQIVEWQLGPLQELSLQFKVIALVKQCEEIMDCFRKNKKLFDSGKKVEIINLSSQVRQFGIFPCEVRLDVGKLKHFLATGEHSDIKIHIEDHGLVVQSHKLILSLWSAPFAKMFTNGMVESSSSDIYLKDVPAEAFWVMIQFMYSGVLEMDITEMGPILIPLLLLADQFGVFYLQRECCKRVMECLSEDIVCTILQAVSSIQSYKLLEETCKRNFAMHFDYCTTASTEFVLLDEATFREILLHADMTVTSEEKVLDAILLWCMQACKVFGWATVDDLLISSTPEQIFGERFQSIFLLLPFVRFPLMPLFLLEKLEDSRLSNQIPMFGDLVKEAIQYSGGIKMPEVSQNIRFQHRHSSYKELQYICDGDKNGVIYFAGTSYGEHAWVNPVLSKKITLTASSPASRYTDSKALVSRTYQATSFAGPRIEDGHSSAWWMVDIGHDHQLMCNYYTLRQDGSSTYIRSWAFQGSVDGKNWTNLRVHNDDQTICRSGQFASWPVIGPMALLPFRIFRVILTGPASGDANVWNLCICFIELYGYFI >Ma09_p21040.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31730481:31748034:-1 gene:Ma09_g21040 transcript:Ma09_t21040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEKQKKFLTVAPFKCVWREELRFKEAGRGCVAFEAFAQNDVTLVFREQVGSQHYHYKMDNNPNYTIIFGSHRNRRLKIEASGKTVVDVAGVGLCSSAFQSYWISIYDGLISIGKGKYPFQNLVFEWHDSEPNFNVQYIGLSSWDKHVGYRNISILHMPSHHNALWSHIDYKDYEGEDDDDDGLENVNDNLGKWGLLNFLENWDLADVLFEVGTERKVIPAHKVILSSTGDFSLGSTDENVIELPSTSYPVLHAFLEFIYIGRTQIVEWQLGPLQELSLQFKVIALVKQCEEIMDCFRKNKKLFDSGKKVEIINLSSQVRQFGIFPCEVRLDVGKLKHFLATGEHSDIKIHIEDHGLVVQSHKLILSLWSAPFAKMFTNGMVESSSSDIYLKDVPAEAFWVMIQFMYSGVLEMDITEMGPILIPLLLLADQFGVFYLQRECCKRVMECLSEDIVCTILQAVSSIQSYKLLEETCKRNFAMHFDYCTTASTEFVLLDEATFREILLHADMTVTSEEKVLDAILLWCMQACKVFGWATVDDLLISSTPEQIFGERFQSIFLLLPFVRFPLMPLFLLEKLEDSRLSNQIPMFGDLVKEAIQYSGGIKMPEVSQNIRFQHRHSSYKELQYICDGDKNGVIYFAGTSYGEHAWVNPVLSKKITLTASSPASRYTDSKALVSRTYQATSFAGPRIEDGHSSAWWMVDIGHDHQLMCNYYTLRQDGSSTYIRSWAFQGSVDGKNWTNLRVHNDDQTICRSGQFASWPVIGPMALLPFRIFRVILTGPASGDANVWNLCICFIELYGYFI >Ma03_p04740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3116495:3123557:-1 gene:Ma03_g04740 transcript:Ma03_t04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNISGQTALMQACQYGHWEVVQTLMLFKANIHRTDYLNGGTALHLAALNGHTRCVRLLLTDYVPSIPEFWNMMRGKSTHESSIPDFDKSSLSKLVNQKADGGITALHMAALNGHAETMHLLLDLGASVSQVTVEDGSTIDLIGAGSSPLHYASCGGSAVCCEVLIARGASLDAENSNGWTPLMVARSWHRNWLEGILSNQPDHRVKILPSPYMSLPLMSIMRIAREFGWRSTIQSPACIDPCVVCLERRCTVAAEGCNHEFCTRCALYLCSTNSTSTILSLPPGSIPCPLCRHAIVSFAKIPGMSPMRELPRTSLSLSLCATCPAADGSDSTTPMATQLCKPDFHCTRIPPLGSSSFCMGVPETSSCLMRCSRSLRRSASQGEGRGRSCLFALSHIADSS >Ma03_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3116495:3123557:-1 gene:Ma03_g04740 transcript:Ma03_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNISGQTALMQACQYGHWEVVQTLMLFKANIHRTDYLNGGTALHLAALNGHTRCVRLLLTDYVPSIPEFWNMMRGKSTHESSIPDFDKSSLSKLVNQKADGGITALHMAALNGHAETMHLLLDLGASVSQVTVEDGSTIDLIGAGSSPLHYASCGGSAVCCEVLIARGASLDAENSNGIDTLSDSLDFNQILMKVQIDDTFPCWTPLMVARSWHRNWLEGILSNQPDHRVKILPSPYMSLPLMSIMRIAREFGWRSTIQSPACIDPCVVCLERRCTVAAEGCNHEFCTRCALYLCSTNSTSTILSLPPGSIPCPLCRHAIVSFAKIPGMSPMRELPRTSLSLSLCATCPAADGSDSTTPMATQLCKPDFHCTRIPPLGSSSFCMGVPETSSCLMRCSRSLRRSASQGEGRGRSCLFALSHIADSS >Ma06_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2295562:2296332:-1 gene:Ma06_g03090 transcript:Ma06_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSAKVSSVVAVLLCVAAAASAQQASNVRATYHYYYPAQNNWDLNRVSAYCATWDANKPLAWRKKYGWTAFCGPVGPTGRDSCGKCLRVTNKATGTQATVRIVDQCANGGLDLDQGVFSQLDTDGGGYKQGHLIVNYQFVNCGD >Ma08_p27390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39637259:39642057:-1 gene:Ma08_g27390 transcript:Ma08_t27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGVEVELNKGTVEEAAEANGSTSLVNKENAVTENGTHAVHADSGSEDTSKVVLDSSVDRDEGPTFATENKVTDSSKRGGSDRFKKIQKNSGRLNGSLIEPPKKRNVLSQSFSFSSKGSLANNLHKSTTSLKQSKVASSITNGDPAANHSVFTTTSSARKTRSVNTGAVEATTNGSPAEDAHSNDGKTKSLRCTLPAKEDDDAHSAASSSTPRARKNTGIGFNFKLDERAEKRKEFFMKLEEKNHAKELEKTNLQAKSKENQEAEIRRLRKSLTFKATPMPNFYQEPGPPKAELKKIPPTRARSPKLGRRKQSVAAASNPSEAGDSCQSPCVTASSTKMNEGAASSKRNAIASKNPKQKSLSKLPSQKSKTTKSDAKSMDPKVDEVKTENGDDTTTEVSAETGVETVPTSPEGVVKEDQTIVATFPEANIAPQQVPVQG >Ma03_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4334508:4355915:-1 gene:Ma03_g06260 transcript:Ma03_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRGQRGASSSADDPEVVARVPLQAVLLADSFNLKFRPITLERPKVLLPLVNVPMIEYTLAWLESVGVEEVFVFCCAHSQQVKQYLNQSEWTKPAARLSVTTIESHDAISAGDALRVIYEKSVIRGDFVLISGDTVSNMSLAQALHEHKERRKKDPLAIMTMVIKHSKPSNVTHQTRLGTDEVIMAFDPETKELLFYEDKADPSQRIISLDKILLADSPAFYMHNDKQDCYIDICSPEVLSLFMDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYGTVTKDIMQSWTYPLVPNVRYFGCCSNMKLDRQGVFKALDVVQSRSAQIGTVTLIGSGSTIGDHSVISNSVIGQECTIGRNVSVHGCYIWNNVIIEDDCKLNHAIVCDGVHLRTGVVLEPGVILSFKVEVGQQITIPAYSKVSLLPQPSIQDSDEELEYADANSGVIESPSITSMISTRNAELSSDYSVTENSGLSEVGISGVGYIWCSYEGGNDEEWRHSVAPIPASKLSELSHDDYEDPDVSYQEVNSIPVSGELRPDSEITGDDDADGTDYGDSADFDKEVEATFQRALTGVNQENVILEINSLRLSCNKSHADCAGALFLSVIKLGLEAPHSSNGELYQNTAKEINRWKDILKHYLKSVDEEIEIILKFEEICLDIATEFSPLFSTILSSLYEKDILSEDAILSWASEKEGADESDKIFVKQSERFITWLKEASEEDDEDD >Ma01_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22233536:22242631:-1 gene:Ma01_g21940 transcript:Ma01_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAQTTRVEERFTRHHTAVGLGIFLISAASLKLVLVGDGGTGKTTFVKRHLTATIGVEVHPLDFFTKCGKIRFYCWDTAGQEKFGGLHDGYHIHGQCAIIVFDVTARLTYENVPTWHRDLCRFYENIPIVLCGNKVDISAKSNYNFEKSFLYLARKLAGDPSLHFVESSALAPPELQIDLAAQQTDNVNHRTYSIHIFFRREAELAAAAAQPLPDDDDDVDDVFD >Ma05_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6360947:6362940:1 gene:Ma05_g08620 transcript:Ma05_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSEVDASSLTLFLPRAALSPILAVTLIAFSVLWFFPGGIAWALSRAHACSIPGPSGFVSALSGSAAHRVLAGLANSHKAIDLMAFSVGFTRFVVSSHPDSAKEILQSSAFADRPIKESAYELLFHRAMGFAPFGEYWRNLRRISSTHLFSPRRIAAFGERRRAIGEQMVVHVRDLMAKNGVVEVKKVLHVGSLNNVMMNVFGRRYDFGKGEGSELEGLVKEGYELLGLFNWADHFPLLGWLDLQGVRKRCRSLSKRVNAFVGGIIEEHRVMGRISGGVVDGAGDFVDVLLGLEKEEKLSDADMVAVLWEMIFRGTDTVAILLEWIMARLVLHLDAQSIARSEIDAVVGNTRPVTDADIVSLPYLQAVVKESLRMHPPGPLLSWARLAVHDAHVGSHFIPAGTTAMVNMWAITHDESIWAEPNEFKPERFTEEDVNILGSDLRLAPFGAGRRACPGKAMGLATVHLWLAQLLQSFNWVPADGGVDLSERLRMSLEMEKPLVCRAVPRC >Ma02_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26953460:26956232:-1 gene:Ma02_g21280 transcript:Ma02_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDIFNGSLSVFNHNLMILDSASSYVLSDSLVQSSLPHHNSHRQILQEVTDDIHLIRNDSMECSDVPSSRYINQTGNASCSIASLFSSTTCLPENIIRVDATSNLGFPPEELRVPISNNLPHSASPHCDFGIQHDPGLLASKNEATLFAELDYSKTCSVVHPSYHVTGSSGPGWNFHNSAPDGELCLRIGQSSVPDMGNVADQCSERIKSADNSNLPTDFGACNHAFRKSLHDFGSGMAREEATTHTEKFYSDVGSSGPVHFSLVLLRSKYLNAIQEVLGEAVSYALENASAAKMSFSSSCSSVREIPIPVSEELPLSFGQTEPLGSMDSGYSQEAKAQLLTLLQLVDHSYNHCLDQIQNAVTSFLCLSQSSTSENTPARFALHTVSSLYKSLRKRITSQILTIDQHPSIAWANENEGSYESSLIQKQWALRQLKKSGQQSWRPQRGLPEKSVSVLRAWLFENFLHPYPKDNEKHSLAIKSGLTKGQVSNWFINARVRIWKPMIEEMCAELNKKRADGGAGESRSHGNVGVQRLGSVSVE >Ma04_p34000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33371402:33371574:-1 gene:Ma04_g34000 transcript:Ma04_t34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEASLFFLLTSLPPGVSVRRNGS >Ma04_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3553978:3554807:-1 gene:Ma04_g04630 transcript:Ma04_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIASKVDAATTNRGGGKAGLADRSGHDKGGHAKLECPLCKTTAPDIKSMQIHHDARHPKVPFDESKLINLHVSCAPETSKGRPGVRGSFKK >Ma07_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1343339:1352493:1 gene:Ma07_g01720 transcript:Ma07_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPEAIREQIEKLERMKADGALDKARKHKKRQLEDTLNLVLKKRKEYEEKMRDKGETPVMFSHLGPPRRRATAEEEERDRHPKPEDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRVSLLASSSAAASSSKSETEEALSVPPPPQLPPPLPGADGKTSDDDSILQPSMPLPPPPPAPPKPIANLSSSLPPLPPPGPQPKESVAIPTLLPTPPPPPPPPPQRPLQLPPPVTAGKEADKTADSDGPIIKDSDKATAGLPLPPPPPGLPTKSAQSDNASSESAPSSAPISNYKSQMALLVPPPPREPPPMAHRVSLINPLPPDMMPPAIAHFPPPDLRPLLVAPGISGRPPPPPPPGIVPALIPRPMFAAPPGPPPFLRPPFQLGYPIPQEDDLDALRPSAPQKPSYVKSAASTVVKRPLAQHNPELTAMVPASVRVRRETAAPKAKPKSHPPAMASVSKPSVVTSAAKPETATTSGPKSQSIDDSYMAFLEDMKVLGALDS >Ma04_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10074558:10076967:1 gene:Ma04_g13340 transcript:Ma04_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQWCRLRTIGHGSTATVSLAMTYPSGHLFSVKSSELSLSALVRREQAILSSLHHPNIVSCLGFNVAAEAPHGRLFYHLFLEYAPGGSLSDCMKKHGGLLEEAVIRSYTHDILHGLAHLHAMFVAHCDVKSQNILIWPEGRAKIADLGCARSAAGDDDARWPIMGTPMFMAPEVARGEEQGAPADIWSLGCTVIEMATGRPPWPDVVDPVAALHRVGFSSEVPNRPGWLSEAGKDFLDKCLRRDPRERWTAEQLLEHPFVAKTSPADCCFLESTSNQVVVSPKSTLEQGLWDPVAEEEEGEEVARRSDSPAERMQQLAGDGFPAIDWRWGDNWITVRSSEEESAYATVHDDDELNTNSIIDHITSEAKHGVPESNHSRGRSLPRPLVSLNTEPHGRREPASASASDSSHRDILLEEIRFPKEERSSLQHDLFDAREKLAAVESEHVAKIKDLNSSAKHPEEAVTALEKKAKDAAEEHKRSVEEQFSAVGTAIARFVQLTIELKSDADRSARFPTLGTIGFAGVAAAMTLLRNLVRRR >Ma07_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:217252:225277:1 gene:Ma07_g00220 transcript:Ma07_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPLLSFPCELLALLLFLLCLQDFYKFDNIIVHSFAMVDQITEEKENALMPDISPSSAPQPFLPVLAPSPMATPFFNSSIPKLSGQCILNFSAVDSLISTTAVDCWTSFAPFLANVICCPQFQATLIILIGQSSKETGLLALDSTHANYCLSDIQQILGSQGANSDLQEICSVHPSNLSEGSCPVSDTDGFESVVDSSQLLTACGKVDPVNECCSKICQNAILEAARKLALRDGGLTTSMAINNTLIQHSSKIDSCRSIVLRWLSSRLDPSSAKQVLRRLSNCNVNGVCPLDFPDTKGVAKNCGNEIKNDTACCHAMENYVSHLQKQSFITNLQSLGCASLLGLKLQEMNVSTNIYSLCQISLKDFSLQVGTQESGCLLPSLPSDATFDPSSGISFTCDLNDNIAAPWPSASQASSSSCNKSVNYPSLPAATSSQLGLIGTDMKLAMVFSLSLLLIMLL >Ma07_p00220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:217252:225039:1 gene:Ma07_g00220 transcript:Ma07_t00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPLLSFPCELLALLLFLLCLQDFYKFDNIIVHSFAMVDQITEEKENALMPDISPSSAPQPFLPVLAPSPMATPFFNSSIPKLSGQCILNFSAVDSLISTTAVDCWTSFAPFLANVICCPQFQATLIILIGQSSKETGLLALDSTHANYCLSDIQQILGSQGANSDLQEICSVHPSNLSEGSCPVSDTDGFESVVDSSQLLTACGKVDPVNECCSKICQNAILEAARKLALRDGGLTTSMAINNTLIQHSSKIDSCRSIVLRWLSSRLDPSSAKQVLRRLSNCNVNGVCPLDFPDTKGVAKNCGNEIKNDTACCHAMENYVSHLQKQSFITNLQSLGCASLLGLKLQEMNVSTNIYSLCQISLKDFSLQVGTQESGCLLPSLPSDATFDPSSGISFTCDLNDNIAAPWPSASQASSSSCNKLVYTLSVRIFQWFNLNFKSC >Ma10_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1754955:1761523:-1 gene:Ma10_g00510 transcript:Ma10_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSLLALSHLKHLDLSFNNFSGIRIPEFIGSFKKLRYLNLSSTRFMGGIPARLGNLSSLYVLDLRAALDFTSHVDNLHWLSHLTSLKHLDLSWLNLTDLPDWFSSVNMLHSLQVLSMSSVGLDTIPASVVHVNFTSSLTVLDLSLNNFDSTLPKWLGNITSLTHLDLYNSGFYGVIPDAIGYLGSLTFLDLEGNQLEGIVPKSMVDLRRLKELRMPGNQLTGNLSDLLEQMTNLIILDLSYNLFNGSMPSSVGVIPRQLARFKMLQILDLANNNLSGLIPHNIGNLSAMRSTSQYSDFCYDELDVFTKGQDLHYLQCSISLMKSLDLSNNRLIGEIPKGIGELTGLKNLNLLRNHLQGKIPWEIGGMKSLESLDLSINDLSGNIPESLSALYSLSYLNLSYNNLSGMIPSGHQLQTFIDPSIYMGNANLCGPPIFKSCFNNKTTQNIIQEYKKEIPEWLWFYISMVLEVPELERVKPN >Ma08_p23750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37117477:37141814:1 gene:Ma08_g23750 transcript:Ma08_t23750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVANESQAENVLYGNDGSLSALPSGATIIVSSTVSPGFLIGLEQRLKGENKGFNLVDAPVSGGVKRAAEGTLTIMASGTDDALSSTGNVLSALSEKLYVIQGGCGAASSVKMVNQLLAGVHIAAAAEAMAFGARLGLKTRELFEIIMHAGGYSWMFGNRVPHMLENDYTPYSAVDIFVKDLGIVLNESSSLKIPLYLSSVAHQLFLSGSSSGWGRCDDAAVVKVYETLTGVRIEDKNPIISKVDMFKSLSPEWPGNPLEYLSSMECQSKSKVLVVLDDDPTGTQTVHDIEVLTEWNIEMLVEQFSKRPTCFFILTNSRSLTTEKAILLTKTICRNVEAAAQAVKGIDFTIVLRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIDDIHYVADADRLVPAGETEFAKDAAFGYRSSNLREWVEEKTKGRIPANNVSSVSINLLRKGGPAAICEHLCNLQKGSICIVNAASERDISVFSAGMIQAEIKGKRFLCRTAASFVSARIGIEPRPPIRPSDLGITKDTSGGLIIVGSYVPKTTKQVEALISHFGPKLKCVEVSVDNISMKSIQERDEEINHVANVASASLKAGKDTLVMTSRQLITGRSPEESLEINSKVSSALVAIVQQITTRPRYILAKGGITSSDIATKALEAKRAKVIGQALAGVPLWQLGPESHHPGVPYIVFPGNVGDNNAIVDVVTSWARPSRSTKDILLNAEKGGYAVGAFNVYNLEGVEAVISAAEAENSPAILQVHPGALKHGGVPLVACCISAAEQARVPITVHFDHGNSKVEVLEALELGFDSVMADGSHLPFEENVSYTKFLTCLARAKEMLVEAELGRLSGTEDDLTVEDYEARLTDVKQAQEFIDKTGINALAVCIGNVHGKYPSSGPNLRLDLLKELRALTLDRGVHLVLHGASGLPSDLVKECIALGVRKFNVNTEVRSAYLDALQKPHKDLVHLMASAEEAMKAVIAEKMHLFGSAGKAQASAP >Ma08_p23750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37106880:37141816:1 gene:Ma08_g23750 transcript:Ma08_t23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVVGFVGLDELSLEIASLLVKSGFRLQGFEVTESSLMNGFLALGGVKCRCPMEAARGATFVIIVASVNELHEVLYGEENVAKGLYKGSVIIFRSTLPPSHTQKIEKYLTEEVGDVAFVDAHIFRGVSEEMNGKIIIVASGRGSTNEKIQPFFSAISKKVYFCHDEIGTGSKIWAVNSLLEGIHLVASVEAIYLGVRAGLHPMVLYDIISNAAGSSWIFVDIIPKLLSADQLLTYYLNNLVKDTGLVMGMAKSVNFPLPLLSMAHQHLIHGSSCKNGDDASAAPLKTWEQIYGVDIQDAANKKSYIPGQLADELVPKSKAVNKIGFIGLGAMGFGMAAHLLRSNFHVIAYDVYKPTLSRFAELGGTTGDSPLEVSKDVEVLIIMVANESQAENVLYGNDGSLSALPSGATIIVSSTVSPGFLIGLEQRLKGENKGFNLVDAPVSGGVKRAAEGTLTIMASGTDDALSSTGNVLSALSEKLYVIQGGCGAASSVKMVNQLLAGVHIAAAAEAMAFGARLGLKTRELFEIIMHAGGYSWMFGNRVPHMLENDYTPYSAVDIFVKDLGIVLNESSSLKIPLYLSSVAHQLFLSGSSSGWGRCDDAAVVKVYETLTGVRIEDKNPIISKVDMFKSLSPEWPGNPLEYLSSMECQSKSKVLVVLDDDPTGTQTVHDIEVLTEWNIEMLVEQFSKRPTCFFILTNSRSLTTEKAILLTKTICRNVEAAAQAVKGIDFTIVLRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIDDIHYVADADRLVPAGETEFAKDAAFGYRSSNLREWVEEKTKGRIPANNVSSVSINLLRKGGPAAICEHLCNLQKGSICIVNAASERDISVFSAGMIQAEIKGKRFLCRTAASFVSARIGIEPRPPIRPSDLGITKDTSGGLIIVGSYVPKTTKQVEALISHFGPKLKCVEVSVDNISMKSIQERDEEINHVANVASASLKAGKDTLVMTSRQLITGRSPEESLEINSKVSSALVAIVQQITTRPRYILAKGGITSSDIATKALEAKRAKVIGQALAGVPLWQLGPESHHPGVPYIVFPGNVGDNNAIVDVVTSWARPSRSTKDILLNAEKGGYAVGAFNVYNLEGVEAVISAAEAENSPAILQVHPGALKHGGVPLVACCISAAEQARVPITVHFDHGNSKVEVLEALELGFDSVMADGSHLPFEENVSYTKFLTCLARAKEMLVEAELGRLSGTEDDLTVEDYEARLTDVKQAQEFIDKTGINALAVCIGNVHGKYPSSGPNLRLDLLKELRALTLDRGVHLVLHGASGLPSDLVKECIALGVRKFNVNTEVRSAYLDALQKPHKDLVHLMASAEEAMKAVIAEKMHLFGSAGKAQASAP >Ma02_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25984992:25987597:1 gene:Ma02_g19840 transcript:Ma02_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRCPVFDQRKRMNLWADDNASMMEAFMASVDLPGFSWAAVPPTPTPPCAAASSSLDHPAAAATPAPAYFSQETLQQRLQALIEGARESWTYGIFWQSSVDAATGASFLGWGDGYYKGYEEDKRKQRVAGAASAAEQEHRKCVLRELHSLISGGGSSAPDEAVDEEVTDTEWFFLVSMTQSFVNGGGLPGQAFYTGAPAWVTGADRLAAAPCDRARQAQLFGLQTMVCVPVGSGVLELGSTDVVFHSPEIMGKIRVLFNFSSPDAPSVASWLTPQSAAPTPATDQGETDPSMLWLTDPSAVDIKDSVSPVSATADLSVTKPPIQLENNPSSSILTESPSSSMQFQRTHNQPQQQLHQSSASDPHTHLFVSKKFNLSEFASNGSVVPRSAKPEPSDILDFAGSNRNPTPAPVTGSLFSHHQTITAAADDKRNKRSTGATSRVSNSDEGMISFSSASARPPSDVLFKSSGGGGILDGPDSDQSDLEASAREVESIRPVEPEKRPKKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAVSYINELRSKLETLEIDKEELRAQVEALEKERESNPTRPVQPPPDHDLRMMNGSGGDRCHGVELEVKILGSEAMIRLQCLKRNHPAAKLMAAIRDLDLDVHYASVSVVEDLMIQQATVKMSPSRTYTPEQLRAALYSKLAAEAPISR >Ma10_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30794167:30801825:1 gene:Ma10_g20740 transcript:Ma10_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSMVEVPIFFARRTKTKASFHSLPLPPKWHPLLCHGRLRVKSPLRAPIRNPRHEFIRIICGRRPAAASRSPPCSFSWDGNNLFGGRLFSSVLETLTGAKWPSIAAVLLGAFLTVADPCAASCDFLHSASSWLHWAPSCADVSSLFGAPADVFRESDGSFLLIMVGSSLAAALLAGLLPDPLFRDDGSHLSLRVQNLCKIRSLQRIFDQFSRTPDIYTTVILSYVLADVYAKRTIFVNQLGASGRSSVYGRRIGFQLNHRSHISTRKKQHGHYMKAVATVDSKFLVSPSSENTDEHNDNLPCSSISVSKMLQSSTEENTPEIDEREKLRRMRISIANKGNVPWNKGRKHSAETIQRIRERTRLAMQDPKVMSCQGEVGELGTCSEEYRDRVCSALAKYHGTTVGAERRQRRKVSGGTPLRRKPEKKKFAKSNSISDEAKSIKKVVSKRKRTPSYKDPMASSKLEMIKKIRAQREEMEIKKREATERAKLLIAEAEKAAQALELAALTNPVAQASLLETRKLIVEATRSIKNIEQGQLTSQGTRGQTFSDSVRPNNHLQNSPGNLSSPKWPSKLVNGTHLLSSSISYHRDLDFVNLSPSTEETSSNDSFLHDEFLMRKSVMTDNQMVFSKVGGSIRYKNLKSEEEESDISEIKNSETSGSSLLLKKNWVRGRLVEVEEEDDFSK >Ma10_p20740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30794167:30801825:1 gene:Ma10_g20740 transcript:Ma10_t20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSMVEVPIFFARRTKTKASFHSLPLPPKWHPLLCHGRLRVKSPLRAPIRNPRHEFIRIICGRRPAAASRSPPCSFSWDGNNLFGGRLFSSVLETLTGAKWPSIAAVLLGAFLTVADPCAASCDFLHSASSWLHWAPSCADVSSLFGAPADVFRESDGSFLLIMVGSSLAAALLAGLLPDPLFRDDGSHLSLRVQNLCKIRSLQRIFDQFSRTPDIYTTVILSYVLADVYAKRTIFVNQLGASGRSSVYGRRIGFQLNHRSHISTRKKQHGHYMKAVATVDSKFLVSPSSENTDEHNDNLPCSSISVSKMLQSSTEENTPEIDEREKLRRMRISIANKGNVPWNKGRKHSAETIQRIRERTRLAMQDPKVRAKLVNLGRAQSEETRIKIGIGVREGWQRRRQKLMIQEGCVFEWHNIIAAAAREGFAGEDELQWSSYKILSEQLQREWLESIEKRKTMPRPKGSKRAPKSPEQRRKISEAISAKWADPEYRDRVCSALAKYHGTTVGAERRQRRKVSGGTPLRRKPEKKKFAKSNSISDEAKSIKKVVSKRKRTPSYKDPMASSKLEMIKKIRAQREEMEIKKREATERAKLLIAEAEKAAQALELAALTNPVAQASLLETRKLIVEATRSIKNIEQGQLTSQGTRGQTFSDSVRPNNHLQNSPGNLSSPKWPSKLVNGTHLLSSSISYHRDLDFVNLSPSTEETSSNDSFLHDEFLMRKSVMTDNQMVFSKVGGSIRYKNLKSEEEESDISEIKNSETSGSSLLLKKNWVRGRLVEVEEEDDFSK >Ma08_p28330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40294346:40298760:1 gene:Ma08_g28330 transcript:Ma08_t28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKGPNRRFSHRLVVPAVLVAGLLLPFVFIRAAFLALDARAASICPSISCLGWTLRPRLLLDSSQDFGKELRRVYLEEAEEGGHNNLDPRLVNAAPDSLDDLMSEMSSPSSYQHLDLRTLLLKTKAMLLKMDQKVQSAKLRALIFQHLASTGIPKSMHCLSLRLAEQYLVNTAARSSLPPPEYASCLTDNSYVHIALITDNILAAAVMVSSTMTSAADPEKVVFHIITDKKTYTSMHAWFAIHPVFPAILEVRGLHQFDFPPDVNAVIMDTVEELHRSSSAYRYYRGADEDSRRLLALKPSTFSLLNYMRIHLPELFPKLERVIFMHDDVVVQRDLTSLWYLDLHGLVMGAVSAQESDDEGSGDGLCIGKTFGDYINFSNPMLTASPSSYVLQRDQCAWLEGINIFDLQAWREHNITRKYQLWLKLNRESGFALWPMGSLPPALLAFHGQVLPLNRSWLLSGLGWQMPDPELLHSAAVIHFSGLGKPWLETGHPELRKIWRSHLNHNDELISSCMVA >Ma04_p26450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27897826:27899356:1 gene:Ma04_g26450 transcript:Ma04_t26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKRRRIASYTCKEEDQEEEEEEEGLDAKRRDWSSLTEPLLRSVLRRLDSLEDFFAFRGVCRSWRELAAPTPDALSAQLPLILLTRFPAYTEAFYDLDRRRLYRAQLPWRVHSRRSVAYAHGHLITATDPPNSKLLLWNIFSESRIRLPKVPEPFRRVRLSSHPSDPALDCIVVLFAKRSTVLQYCRVGDSLWTIRDWSMLRMVDDMIFFRDNRLYALTATMQLVVVDLAADPKLWLLGDGGGRAAEGHRERWLAESGGELMVLCHRFRGGFDVCRWDSGSCSWVEVLDLGGRTLFLGSMGFAGSIAAAGSGIRGNCIYYVSYRKDAWYVFSLEEQSVEVVYPDSPGLLRGQSPLDPVWVFPSLC >Ma04_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7589555:7591272:1 gene:Ma04_g10690 transcript:Ma04_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAGSSLGSSHVATYANVHQSSTDDDFPRQSTSSATAGSGFDSDYTVATSGESSPFISSPWHQSAPYPPYDPASGDADAAALPCTGLIGSLVREEGHIYSLAATGGLLYTGSDSKNIRVWKNQKDFAGFKSSSGLVKAIVVASDRIFTGHQDGRIRAWRVSPKDATVHKRIGTLPRLKDVLRSSLNPSNYVEVRRNRSALWIRHSDAISSLSLNEDQGLLYSGSWDKTFKVWRISDSRCLESVVAHDDAVNSVVAAFGGLVFTGSADGTVKVWRRELHGKGTKHTLVQTLLKQEIAVTTLAVSPTASIVYCGSSDGIVNFWEGEQHQSHGGTLQGHKMSVLCLAAAGNLLLSGSADKSICVWRREGAVHTCLSVLSGHSGPVKCLAIETDDAGEDGGSSVARWIVYSGSLDKSVKVWRVSEQAPTALLRGPQHVPVEPEDSGAYRGSNGGGSDQAYGGAFEGSMAQVRAAA >Ma10_p27900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34972063:34977612:1 gene:Ma10_g27900 transcript:Ma10_t27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSLLGWFPWVCGEVFDLGSACTQRSLIDFLNILFLVIYSFSLLIIACFRRQSNSWSRRRRWDFIVISVSCALTGIAYFSAGVRALSLEEHELMNWTWLSYFARSLIWIAVAVSLIIQPTEWVQNLSLIWWTSSSLVSSAHTLNLLLNDGRRSLPILDLLSWSVNLLLLYCAIRLAVQRYLHKGNPKDGISRPLPSDNRPNHAAVKKAGLLGRLTFSWLNPLLRLGFSEPLHLDDIPPLDLEDEASHAYKRFFQIWDVGRGAKGKSRNLVSSALAECYLMEILITSVYALLKTVAVSASPILLYVFVQYNYREEKDLFMGLALVGILVLLKLVESLSQRHWFFESRKLGMRMRSALMAAIFEKMLKLSSHGRRKHSTGEIVNYIAVDAYRLGDFPYWFHMAWSLPLQLLFSVAILFWAVGIGALPGLVPLIILGIANVPFAKILQSYQSEFMSAQDERLRATSEALNSMKIIKLQSWEEHFRKMIQDLRDVEFKWLSEIQNKKAYGSALYWMSPTIVSSVVFAGTAAMGSAPLNASTIFTVLATLRVMSEPVRMLPEVLSIMIQVKVSLDRINTFLHEDEIKEDDVKRSHLQNSNLSVQLRNGVFCWEAGESIPTLKNLNLTINKGEKVAVCGPVGSGKSSLLYAILGEIPKLSGSVEVFGSIAYVSQTSWTQSGTLRDNILYGKPMDEALYEKAIKSCALDKDIDNFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFHDCVMSALEKKTVVLVTHQIEFLPETDRILVMEHGKVAQEGTYEQLLKSGTAFEQLVNAHQSSMNIIDSSSHGNQNLAESAGGGQEHDAHQPTKQESEVEISSQGLSAAQLTEDEETAIGDLGWKPYRDYLQVSKGYTLLVWMILLQSVFVLLQSLSGYWLAVVAQLQHVSGGILVGVYAVISILSCLFAYTRSLVAARQGLNASKAFFSSLMDSVFKAPMSFFDSTPVGRILTRVSSDLSILDFDIPYSIVFVLSGSLEISGMIIIMASVTWQVLIVAVPVMIRMIFVQRYYVASARELVRINGTTKAPAMNYAAESLNGVVTIRAFGTIDRFIQTNLRLIDTDAALFYYTIGTLEWVLLRVEALQNLTIFTSSLCLVLLPQRTISPGFSGLCLSYALTLSSSQAFLTRFYSTLENCIISVERIKQFMHIPSEPPAVIHDKRPHPPTWPSEGRIDLQDLKALEKCQLKAAISTLPTLLDSPVTDDGQNWSAGQRQLFCLGRVLLRKNRVLVLDEATASIDSATDAVLQRVIKEEFASCTVITIAHRVPTVTDSDMVMVLSYGKLVEYDKPSRLIENRSSAFAKLVAEYWSNCRRDSAHSLSSY >Ma10_p27900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34972063:34977612:1 gene:Ma10_g27900 transcript:Ma10_t27900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSLLGWFPWVCGEVFDLGSACTQRSLIDFLNILFLVIYSFSLLIIACFRRQSNSWSRRRRWDFIVISVSCALTGIAYFSAGVRALSLEEHELMNWTWLSYFARSLIWIAVAVSLIIQPTEWVQNLSLIWWTSSSLVSSAHTLNLLLNDGRRSLPILDLLSWSVNLLLLYCAIRLAVQRYLHKGNPKDGISRPLPSDNRPNHAAVKKAGLLGRLTFSWLNPLLRLGFSEPLHLDDIPPLDLEDEASHAYKRFFQIWDVGRGAKGKSRNLVSSALAECYLMEILITSVYALLKTVAVSASPILLYVFVQYNYREEKDLFMGLALVGILVLLKLVESLSQRHWFFESRKLGMRMRSALMAAIFEKMLKLSSHGRRKHSTGEIVNYIAVDAYRLGDFPYWFHMAWSLPLQLLFSVAILFWAVGIGALPGLVPLIILGIANVPFAKILQSYQSEFMSAQDERLRATSEALNSMKIIKLQSWEEHFRKMIQDLRDVEFKWLSEIQNKKAYGSALYWMSPTIVSSVVFAGTAAMGSAPLNASTIFTVLATLRVMSEPVRMLPEVLSIMIQVKVSLDRINTFLHEDEIKEDDVKRSHLQNSNLSVQLRNGVFCWEAGESIPTLKNLNLTINKGEKVAVCGPVGSGKSSLLYAILGEIPKLSGSVEVFGSIAYVSQTSWTQSGTLRDNILYGKPMDEALYEKAIKSCALDKDIDNFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFHDCVMSALEKKTVVLVTHQIEFLPETDRILVMEHGKVAQEGTYEQLLKSGTAFEQLVNAHQSSMNIIDSSSHGNQNLAESAGGGQEHDAHQPTKQESEVEISSQGLSAAQLTEDEETAIGDLGWKPYRDYLQVSKGYTLLVWMILLQSVFVLLQSLSGYWLAVVAQLQHVSGGILVGVYAVISILSCLFAYTRSLVAARQGLNASKAFFSSLMDSVFKAPMSFFDSTPVGRILTRVSSDLSILDFDIPYSIVFVLSGSLEISGMIIIMASVTWQVLIVAVPVMIRMIFVQRYYVASARELVRINGTTKAPAMNYAAESLNGVVTIRAFGTIDRFIQTNLRLIDTDAALFYYTIGTLEWVLLRVEALQNLTIFTSSLCLVLLPQRTISPGFSGLCLSYALTLSSSQAFLTRFYSTLENCIISVERIKQFMHIPSEPPAVIHDKRPHPPTWPSEGRIDLQDLKVRYRPNAPLVLKGITCTFASGHKIGVVGRTGSGKTTLISALFRLVDPTSGRILIDEVDICSIGLKDLRMKLSIIPQEPTLFRGSIRSNLDPLGLHTDQEIWEALEKCQLKAAISTLPTLLDSPVTDDGQNWSAGQRQLFCLGRVLLRKNRVLVLDEATASIDSATDAVLQRVIKEEFASCTVITIAHRVPTVTDSDMVMVLSYGKLVEYDKPSRLIENRSSAFAKLVAEYWSNCRRDSAHSLSSY >Ma11_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4224547:4228828:1 gene:Ma11_g05510 transcript:Ma11_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEETLQSLAEDERHALRASKFAPLPLPPSSSSRSQPRLAHPSGPMTTNKAAALAKFLERKLQHPDGLNSLDPNLVELAVKNAKETVVAGKGMSSTLGSKIRHVASFEDSVENLEEDDDKEEEKQQKKRKKKTKATQSSKAHKTAKRKKPKL >Ma08_p30250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41586788:41587680:-1 gene:Ma08_g30250 transcript:Ma08_t30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSLLACFSLFLVLPPCLAADPDLLQDICVADLSSNVKLNGFACKPAASITEADFFFRGLAVPGDTTNTTTGYLVTAANVEKIPGLNTLGVSFSRVDFAPGGLNPPHTHPRATEIVFVLDGTLDVGFLTTANKMVAKTITKGDVFVFPRGLVHFQKNSGAVPAASISAFNSQLPGTQSIATTLFAASPPVPDHVLTEAFQIGTKEVEKIKSRLAPKKAAEEAVN >Ma04_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:899928:905191:1 gene:Ma04_g01020 transcript:Ma04_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MGLRFMVVSKGWVSTKIPPPPLLPNPCSSFSSVCLLHTVGVREVYVKFVRLPLQCTVLDGGKLLHTSTLRANASLFGEIQSVEGISDNAPEVKLDGWSSRICLDLDKQIPMMEASSAFHASLTVKTPLEFNLLMENIDRIEDLIAGADMVRLERDILTHIGSLGALKLFHTSCISRALMGSTVVYSDFMVNKHLSDCPSEPLLDDKKGSTIVHSGKKEKRKLRRARAMEKASKMSSPQIFSKGRHLLKRSLLLEKTVSRKLLGHFESENRREVIARNESEMSMGVKEIANLEKICKELEEEIGRPPSYTRWAEAAGVDMRTLQWRLQFGWYCRDTLIKSTRSLVMFIAKNYRGMGIAFDDLLQAGYVGVLNGAERFDMKKGNRFSTYVQYWIRKSILAMVARHSRMIQVPVRMESMIKRIQKARRTHYNREGRQPQDEEIAKLTGLSLAKVRLASKCSRAVGSIEQEIRDGWRTKFREIIADASVGTPDEVIEKQHLRQDALNLLQTLHPRERQVLALRYGLEDGSCKSLEEVGRLCHVTKEWIRKIEKEAWSKIRNEEMPKKLSHYRQL >Ma05_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5202628:5206706:1 gene:Ma05_g07130 transcript:Ma05_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MLPNQQDDDKDLSAYDDEGADTWGSNLLKDFFKKHPMFRGGLLVVVLLGTSMAIGDGVLTPTISVLSAVSGVGVKLPHLHENYVVAISCVILVGLFSLQHHGTHRVGFIFAPIVIAWFLFISAIGIYNIFKWNPGIFCALSPVYMFRFIKATGTDGWVSLGGVMLCITGTETMFANLGHFSSLSIKIAFTCLVYPCLVLAYMGEAAFLSKHHEDIQRSFYRAIPEPVFWPVFIVATLAAVVGSQAAISATFSIVSQCCAFSCFPPVKIVHTSNQIYGRIYIPEVNWMLMCLCLAVTIGLRNTNFIGHAYGLAVTIVIFVTTCLMFLVIIVVWRQKVRTAVAFLVFFGSIELLYISALMIKIPEGGWLPLALSTIFMVVMYAWNYGTLRKHESDLENKISVSRILALGPKLGIVRVPGIGLVYADLVTGMPAIFGHFAANLPAFHQVLVFVCIKSVQVPFVSEDDRFLVGRIGPKEYHIFRCIVRYGYKDLQQENSEFENDLVSRILAFVETEDDDGEASSDYESGESGIRRLEHTSDHTVQHSDTHLARPPALEFWESCQLKTESLEILTAKECGVVYILGHSYAKAKKSSSVLKKLAIDVVFSFLSKNCRAPVVLLNAPHSLLLEVGMVCYV >Ma05_p07130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5202628:5206706:1 gene:Ma05_g07130 transcript:Ma05_t07130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) UniProtKB/Swiss-Prot;Acc:O22397] MRGRLTLRSQTIAYLKLRGFGDACHHRSPREPRVATARAITLIFVRFSLSDSGTAMGSSNPQSFAREEGSAPPDQKKSSWKTVLTLSYQSLGVVYGDLSTSTLYAYKSTFSGKLSLHESDEIVFGVLSFIFWTLTIIPLFKYICFVLSADDNGEGGTFALYSLLCRHAKLCMLPNQQDDDKDLSAYDDEGADTWGSNLLKDFFKKHPMFRGGLLVVVLLGTSMAIGDGVLTPTISVLSAVSGVGVKLPHLHENYVVAISCVILVGLFSLQHHGTHRVGFIFAPIVIAWFLFISAIGIYNIFKWNPGIFCALSPVYMFRFIKATGTDGWVSLGGVMLCITGTETMFANLGHFSSLSIKIAFTCLVYPCLVLAYMGEAAFLSKHHEDIQRSFYRAIPEPVFWPVFIVATLAAVVGSQAAISATFSIVSQCCAFSCFPPVKIVHTSNQIYGRIYIPEVNWMLMCLCLAVTIGLRNTNFIGHAYGLAVTIVIFVTTCLMFLVIIVVWRQKVRTAVAFLVFFGSIELLYISALMIKIPEGGWLPLALSTIFMVVMYAWNYGTLRKHESDLENKISVSRILALGPKLGIVRVPGIGLVYADLVTGMPAIFGHFAANLPAFHQVLVFVCIKSVQVPFVSEDDRFLVGRIGPKEYHIFRCIVRYGYKDLQQENSEFENDLVSRILAFVETEDDDGEASSDYESGESGIRRLEHTSDHTVQHSDTHLARPPALEFWESCQLKTESLEILTAKECGVVYILGHSYAKAKKSSSVLKKLAIDVVFSFLSKNCRAPVVLLNAPHSLLLEVGMVCYV >Ma01_p00750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:552668:554973:1 gene:Ma01_g00750 transcript:Ma01_t00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRLSSPSLSASASHRHHVLHLAVAISASSLLLLVLVLLVLFYFYLSLRRSPTLPLPSNTSPATAANPLRLRRFSYRALRSATASFDPSRSLGRGASAAVFRGVLPDGKSVAVKRLLSSSASCPSSPTRAASSDREFHNELHVLATLLPSPFVVSLLGYCLEGRRRRLLVYEYMPNGSLQEALFGSSSPLNWDRRFSIILDVAQALAFLHLQCDPPVVHGDIKPSNVLLGFDFQAKISDFGLSQMKVDANLGADFFSQDLGQSQELFKSQEDLAPESPQVDFALALRASSSIPCKKQPTPRGKETVAVASPVQDETFSFEHSKESRADPPLDEGRTESSDQLGKRWWWKQDGSGELSSKDYVREWIGSQICPSSNSDWDDGRRTPPEKCFDFRNSSRVEHSDVGDESLFGGSHERNPEKKQGRKKGVLPCDRKMREWWKEEYLAEMSKKGHCQKERKWLRTISDLGDDANKHGRDLTADAGFRKGWRKKRSQSVGSDMFSGDLLSRELSSTTSMRGTVCYVAPECHGCDQLMEKADIYSFGVLILVIVSGRRPLHVLPSPAKPEKASLVSWCRRLLQTGNLLELVDERLTDAYDKEQASLCINLALLCLQRIPELRPDGGDVVRILKGEMELPAVPCEFSPSPPAKHHGRSRRKASMDEE >Ma01_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:552668:554973:1 gene:Ma01_g00750 transcript:Ma01_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRLSSPSLSASASHRHHVLHLAVAISASSLLLLVLVLLVLFYFYLSLRRSPTLPLPSNTSPATAANPLRLRRFSYRALRSATASFDPSRSLGRGASAAVFRGVLPDGKSVAVKRLLSSSASCPSSPTRAASSDREFHNELHVLATLLPSPFVVSLLGYCLEGRRRRLLVYEYMPNGSLQEALFGSSSPLNWDRRFSIILDVAQALAFLHLQCDPPVVHGDIKPSNVLLGFDFQAKISDFGLSQMKVDANLGADFFSQDLGQSQELFKSQEDLAPESPQVDFALALRASSSIPCKKQPTPRGKETVAVASPVQDETFSFEHSKESRADPPLDEGRTESSDQLGKRWWWKQDGSGELSSKDYVREWIGSQICPSSNSDWDDGRRTPPEKCFDFRNSSRVEHSDVGDESLFGGSHERNPEKKQGRKKGVLPCDRKMREWWKEEYLAEMSKKGHCQKERKWLRTISDLGDDANKHGRDLTADAGFRKGWRKKRSQSVGSDMFSGDLLSRELSSTTSMRGTVCYVAPECHGCDQLMEKADIYSFGVLILVIVSGRRPLHVLPSPAKPEKASLVSWCRRLLQTGNLLELVDERLTDAYDKEQASLCINLALLCLQRIPELRPDGGDVVRILKGEMELPAVPCEFSPSPP >Ma07_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28981292:28986490:1 gene:Ma07_g21000 transcript:Ma07_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g35030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G35030) UniProtKB/Swiss-Prot;Acc:O64766] MFVAVVYLRIRIPQVALPSLFFSFGARKLIPVSALRHISSHPQRPPPCSRSINGPPGISTSRPDHSVDPRVARANYGITQLSRQGKMADARQLFDETPHKDVISWTSMISGYVRCGMLREARALFERSDSEKNVVTWTALLSGYIRSRHIREAEELFGRMPEKNVVSWNTMISGYAENGFVDEACELFRRMPERNIVSWNTIVTALAQSGRVDEAYCLFERIPQRDVISWTSMVTGLSQNGRVDEARAVFDRMPERNVVSWNAMVSGYAQNSRLEEALDLFVKMPERDIISWNMMITGFIQNRNLKRARELFDNMNEKNVITWTTMITGYVEDEQNEVALKMFLEMLMDGVKPNQGTFVNVLAAVSNLAAFLEGQQIHQIVSKTVFQFDTFVSSAIMNMYSKCGEIGTARKVFDLSDQKDLVSWNGMIAAYAHHGNGQEAISLFREMHQNGFKPNDVTYVGLLSACSHSGLFDEGLNIFKSIMKDPSVEVREDHYACLVDLCGRAGRLQEAASFIKGLKIGPSATCVWGALLSGSNIHGDMQIGNLAAKKLMEAEPNNAGSYMLLSNIYASAGRWKEAAKIRLQMKGKGLKKQPGCSWIEVGNRVHVFVVRDKSHIDSQRIYTLLHDLHDKMKRTGYVPATNHSLVEDELMTM >Ma07_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8460476:8467377:1 gene:Ma07_g11400 transcript:Ma07_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVWSLLENCGLRIFCLAWLPVELSRGYLRFVLVFYALARVLANMEGDALHNLKTNLIDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGTLVPDLGQLKNLQYLELYSNNISGTIPSDLGNLMNLVSLDLYLNGFTGEIPESLGKLTKLRFLRLNNNSLSGPIPKSLTNITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPLLCGPGTTKACPGAPPLSPPPPFVPPIPLSSQGSSASSTGAIAGGVAAGAALVFAVPAIVFAWWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQIATDNFSNKNILGRGGFGKVYKGRLADGSLIAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLEWATRRQIALGSARGLSYLHDHCDPKIIHRDVKAANILLNEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNQYIEAEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLTEKWEEWQKVEVVRQEELVPRHHNEWILDSTDNLHPVELSGPR >Ma05_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10806083:10809248:1 gene:Ma05_g14760 transcript:Ma05_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGIMPSDTSVGVASDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEEDEPEDY >Ma03_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:976561:982016:-1 gene:Ma03_g01320 transcript:Ma03_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVHEDNAGNYVKAFPLYMNALEYFRTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDEGGSGPAANGDAAVATRPKTKPKDGDGNGGDDPDQAKLRAGLTSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEAESTFFSISSSDLVSKWMGESEKLVSNLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFENLARHTEGFSGSDIAVCVKDVLFEPVRKAQDAMHFYKSSDGMWLPCGPRHPGAVQTTLQELAAKGLADKILPPPITKTDFDKVLSRQRPTVSKADLEVHERFTKEFGEEG >Ma06_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1019999:1020688:-1 gene:Ma06_g01280 transcript:Ma06_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLISNGITKQQFEIYPRKGEVWVLYRDWAIGCCSNPLVTKNCDFQMVEIITDYLEDLGVTAAYLAKVAGYKNVYCRYLERGNVLSINIPRKTLFMFSHNVPAFRFEGGEIDGTAKGMLELDPLAVPDNLFQYFSSASATCTDAPCLMPKVVNCYDNANFTPFSSPSVSTTDSGGSSTGILNHKSKRSAKNFKVEQVWAVYDGLDAMPRSYVKVTNVVSPCRVSVNL >Ma04_p25790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27435815:27436003:-1 gene:Ma04_g25790 transcript:Ma04_t25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSRHPTVRHNLLRRRGEVAPLSLQHTLSLSLSLSLCLPSIVCLELCSLSSCSSAAQTSA >Ma05_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32593779:32594933:1 gene:Ma05_g20870 transcript:Ma05_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLVSQRTSPHAARPSQQQGTPARTHVPLPQLPGSPRNPATRPGDREAEDTASRPEPEAPTVDSTNALRAQLRLVSQRLDEAIPPHFRLPSLDAYDGATDPADHVAAFRAQMALFGTSDALMCMEFPMTLRGPARAWYSDLKPGTIASFDQLAKDFELNFLAYARPKPSMALLLGLNQKEDEPLSHFVNRFTTQIRGLSDAHPSLLMQAFMAGLRPSRFFWSLMEKPPIAVPEMLQRASQFIAAETWMVGKREGLRKVKSEPPRQQQPTASRRKLDRPDPRPPLPALNSSRTEIFLHERGKGLLRDPHSMKNPRELADRSKYCRFHRQHGHDTEQCYELKRKIEELILRGHLG >Ma04_p31790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32019946:32021832:-1 gene:Ma04_g31790 transcript:Ma04_t31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKKKGDGEKKGADEKKEKGGGGEKKKEEGPTPVEVKLDMHCEGCALKVRKLVKGLEGVEGVSVDAAHNKLKVVGKVDPWKLKEFLEVKTKKKVDFISPKDPPKKAKDDGGDAKKNKDADDKGKDAKKSSDDKKPKPPAVSTVVLKIRLHCDGCIQRIKRRIHKIKGVEEVTVDAAKDLVTVKGTMDVKNLAAMLKDKLRRAVEIVPPKKDDGGGEKKEKEKGGDGGGEKKEKGGETGGEKKEKAGGGGEEKKDDGKAAAAAAATATATTTTTEANKMEYYAPYPAYSGYGYRIEMVHAPQMFSDENPNACSIM >Ma03_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28025038:28039429:1 gene:Ma03_g23480 transcript:Ma03_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLQETANSPFNNVRTSHNIGKLGNLVRDNDEFYELTSSKFLTESRFPPSIRAAAARLLLSCSSSWMYPHVFDDAVLDNIKIWVNEDTPVYDDDSIWKHELGEDKPTDSEMLRTYATGLLALSLPSSSQVVEDMLTSGLSAKLMRYLRTQMFGDSSSGQRDVTSLVETKRASASSSTKDRDETRGRSCQVSGVAHLESSRIGDQRLSGDPTADKGSVKNDGTGQVCGDDTWGDGGDSLKSELTDSSSDLVGPNQMAAEYPDLIGDQWQNKNLLDGKSKYGERDIAGKSGQDDDLDDSKGVDLLKQGLNHGFPRSTAKGNISGGTLENLRAASQSSGLYIGGSGQLFGERNLAKHEDIEKVLDTDNKLSIFYCDDLVIGKDNDERLLDCNIGKKDISEMVKKAIRAAEAEARTANAPEEAIKAAGDAAAELVKTAATEAWKSKNDEEAVVSAASEAASTVVDAAIATEISRNANQLSKDIIELKTLEDKGNEEIEIFVILENETLAKLRVKYSIRCLGILGEYVEALGPILHEKGVDVCLAFLQCSFKDDETPDQLALLAEVLNLICALAAHRKFSALFVDRGGIQKLLSVRRVLHTFFGLSSCLFTIGSLQAIMERVCALPSNVVQQIVELALQLLECPQDQARKNAAIFFAAAFVFRAILDSFDVQEGLHKMLNILHGAASVRSGGNSGTVGIPIVPARNDRSSAEVLTASEKQIAYHTCVALRQYFRAHLIVLVDSLRPNKSSRGIARSTSSARASYKPLDISNEAMDSVFIQIQRDRKLGPAFVRARWPLVDKFLASNGHITMLELCQAPPVERYLHDLAQYALGVLHIATFVKDSRKLIINATLSNNRVGMAVILDAANGAGYVDPEVIHPALNVLVNLVCPPPSISIKPSVSAQGQQPVSLQTLNGPSENRERHSERNNSDSGVTFTIQNEPRERIMEPNLVDRGNAAVPGCSSSTPAPAISAGVVGDRRISLGSGSGCAGLAAQLEQGYRQAREAVRANNGIKVLLHLLNPRMITPPAALDCIRALACRVLLGLARDEAIAHILTRLQVGKKLSELIRDLSSQASGTEQARWQSELVQVSIELIAIVTNSGRASTLAATDAAAPTLRRIERAAIAAATPITYHSRELLLLIHEHLVASGLKATATLLQKEAKLTLMPSLGAPTPPLHQTNVQEVSSVQLQWPSGRASCGFLSDFIKTVSQEAGPKSDLALSSFKRKQLAFSPNFCQGKGQLSSHASSTLRAFSVTKSAAPCGGTETPSVSVFKSTADTEVTFKTPICLPMKRKFLELKEPSSASPAKHLSTEDFSFQSPICQTPYFGRRNFVTTDAEGLLPIVNHSPRGALSKTSCSNISIDHSDDIQCQVTPGAPTTPVAQLGLPGNSQYEKTERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLQPHVCPEPSRSLNAPANITARVSSREFRKKYGGIHAHRRDRQFIYSRYRPCRTCRADAALLTCITFLGESSRIATGSHSGELKIFDSNSGNLLESQTCHQTCVTLVQSALSGGTQLVLSSALYDVKLWEASSISGGPLHSFEGCKAARFSHSGTSFAALSSDTSRREVLLYDVQTYNVELRLPDSSSNHSGMFRGHAQSLIHFSPVDMMMLWNGILWDRRSSNAIHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRTVPSLDQTVITFNGGGDVIYAILRRNLEEITSAINTRRVRHPLFPAFRTIDAANYSDIGTVQVDRCILDFATDPTDTFVGVIAMDDHEEMFSSARLYEVGRKRATDDDSDPDDGGDTDEDDDENESEADMDSIFDADLAGDGDTDSDDMSNEDDEDIDSVDELDDGDLNEDELDFEGGQGLLEIMAEGDEDVEDSEVMESFSSGEEEDFAGHGFAL >Ma03_p23480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28028536:28039429:1 gene:Ma03_g23480 transcript:Ma03_t23480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFLKTSRIRYLQETANSPFNNVRTSHNIGKLGNLVRDNDEFYELTSSKFLTESRFPPSIRAAAARLLLSCSSSWMYPHVFDDAVLDNIKIWVNEDTPVYDDDSIWKHELGEDKPTDSEMLRTYATGLLALSLPSSSQVVEDMLTSGLSAKLMRYLRTQMFGDSSSGQRDVTSLVETKRASASSSTKDRDETRGRSCQVSGVAHLESSRIGDQRLSGDPTADKGSVKNDGTGQVCGDDTWGDGGDSLKSELTDSSSDLVGPNQMAAEYPDLIGDQWQNKNLLDGKSKYGERDIAGKSGQDDDLDDSKGVDLLKQGLNHGFPRSTAKGNISGGTLENLRAASQSSGLYIGGSGQLFGERNLAKHEDIEKVLDTDNKLSIFYCDDLVIGKDNDERLLDCNIGKKDISEMVKKAIRAAEAEARTANAPEEAIKAAGDAAAELVKTAATEAWKSKNDEEAVVSAASEAASTVVDAAIATEISRNANQLSKDIIELKTLEDKGNEEIEIFVILENETLAKLRVKYSIRCLGILGEYVEALGPILHEKGVDVCLAFLQCSFKDDETPDQLALLAEVLNLICALAAHRKFSALFVDRGGIQKLLSVRRVLHTFFGLSSCLFTIGSLQAIMERVCALPSNVVQQIVELALQLLECPQDQARKNAAIFFAAAFVFRAILDSFDVQEGLHKMLNILHGAASVRSGGNSGTVGIPIVPARNDRSSAEVLTASEKQIAYHTCVALRQYFRAHLIVLVDSLRPNKSSRGIARSTSSARASYKPLDISNEAMDSVFIQIQRDRKLGPAFVRARWPLVDKFLASNGHITMLELCQAPPVERYLHDLAQYALGVLHIATFVKDSRKLIINATLSNNRVGMAVILDAANGAGYVDPEVIHPALNVLVNLVCPPPSISIKPSVSAQGQQPVSLQTLNGPSENRERHSERNNSDSGVTFTIQNEPRERIMEPNLVDRGNAAVPGCSSSTPAPAISAGVVGDRRISLGSGSGCAGLAAQLEQGYRQAREAVRANNGIKVLLHLLNPRMITPPAALDCIRALACRVLLGLARDEAIAHILTRLQVGKKLSELIRDLSSQASGTEQARWQSELVQVSIELIAIVTNSGRASTLAATDAAAPTLRRIERAAIAAATPITYHSRELLLLIHEHLVASGLKATATLLQKEAKLTLMPSLGAPTPPLHQTNVQEVSSVQLQWPSGRASCGFLSDFIKTVSQEAGPKSDLALSSFKRKQLAFSPNFCQGKGQLSSHASSTLRAFSVTKSAAPCGGTETPSVSVFKSTADTEVTFKTPICLPMKRKFLELKEPSSASPAKHLSTEDFSFQSPICQTPYFGRRNFVTTDAEGLLPIVNHSPRGALSKTSCSNISIDHSDDIQCQVTPGAPTTPVAQLGLPGNSQYEKTERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLQPHVCPEPSRSLNAPANITARVSSREFRKKYGGIHAHRRDRQFIYSRYRPCRTCRADAALLTCITFLGESSRIATGSHSGELKIFDSNSGNLLESQTCHQTCVTLVQSALSGGTQLVLSSALYDVKLWEASSISGGPLHSFEGCKAARFSHSGTSFAALSSDTSRREVLLYDVQTYNVELRLPDSSSNHSGMFRGHAQSLIHFSPVDMMMLWNGILWDRRSSNAIHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRTVPSLDQTVITFNGGGDVIYAILRRNLEEITSAINTRRVRHPLFPAFRTIDAANYSDIGTVQVDRCILDFATDPTDTFVGVIAMDDHEEMFSSARLYEVGRKRATDDDSDPDDGGDTDEDDDENESEADMDSIFDADLAGDGDTDSDDMSNEDDEDIDSVDELDDGDLNEDELDFEGGQGLLEIMAEGDEDVEDSEVMESFSSGEEEDFAGHGFAL >Ma03_p23480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28024814:28039429:1 gene:Ma03_g23480 transcript:Ma03_t23480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPERAREAAAGPSGEEAKAEGEEHEAILERAQRLISKIVETQANPNPRHLHALATILEAQESRYLQETANSPFNNVRTSHNIGKLGNLVRDNDEFYELTSSKFLTESRFPPSIRAAAARLLLSCSSSWMYPHVFDDAVLDNIKIWVNEDTPVYDDDSIWKHELGEDKPTDSEMLRTYATGLLALSLPSSQVVEDMLTSGLSAKLMRYLRTQMFGDSSSGQRDVTSLVETKRASASSSTKDRDETRGRSCQVSGVAHLESSRIGDQRLSGDPTADKGSVKNDGTGQVCGDDTWGDGGDSLKSELTDSSSDLVGPNQMAAEYPDLIGDQWQNKNLLDGKSKYGERDIAGKSGQDDDLDDSKGVDLLKQGLNHGFPRSTAKGNISGGTLENLRAASQSSGLYIGGSGQLFGERNLAKHEDIEKVLDTDNKLSIFYCDDLVIGKDNDERLLDCNIGKKDISEMVKKAIRAAEAEARTANAPEEAIKAAGDAAAELVKTAATEAWKSKNDEEAVVSAASEAASTVVDAAIATEISRNANQLSKDIIELKTLEDKGNEEIEIFVILENETLAKLRVKYSIRCLGILGEYVEALGPILHEKGVDVCLAFLQCSFKDDETPDQLALLAEVLNLICALAAHRKFSALFVDRGGIQKLLSVRRVLHTFFGLSSCLFTIGSLQAIMERVCALPSNVVQQIVELALQLLECPQDQARKNAAIFFAAAFVFRAILDSFDVQEGLHKMLNILHGAASVRSGGNSGTVGIPIVPARNDRSSAEVLTASEKQIAYHTCVALRQYFRAHLIVLVDSLRPNKSSRGIARSTSSARASYKPLDISNEAMDSVFIQIQRDRKLGPAFVRARWPLVDKFLASNGHITMLELCQAPPVERYLHDLAQYALGVLHIATFVKDSRKLIINATLSNNRVGMAVILDAANGAGYVDPEVIHPALNVLVNLVCPPPSISIKPSVSAQGQQPVSLQTLNGPSENRERHSERNNSDSGVTFTIQNEPRERIMEPNLVDRGNAAVPGCSSSTPAPAISAGVVGDRRISLGSGSGCAGLAAQLEQGYRQAREAVRANNGIKVLLHLLNPRMITPPAALDCIRALACRVLLGLARDEAIAHILTRLQVGKKLSELIRDLSSQASGTEQARWQSELVQVSIELIAIVTNSGRASTLAATDAAAPTLRRIERAAIAAATPITYHSRELLLLIHEHLVASGLKATATLLQKEAKLTLMPSLGAPTPPLHQTNVQEVSSVQLQWPSGRASCGFLSDFIKTVSQEAGPKSDLALSSFKRKQLAFSPNFCQGKGQLSSHASSTLRAFSVTKSAAPCGGTETPSVSVFKSTADTEVTFKTPICLPMKRKFLELKEPSSASPAKHLSTEDFSFQSPICQTPYFGRRNFVTTDAEGLLPIVNHSPRGALSKTSCSNISIDHSDDIQCQVTPGAPTTPVAQLGLPGNSQYEKTERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLQPHVCPEPSRSLNAPANITARVSSREFRKKYGGIHAHRRDRQFIYSRYRPCRTCRADAALLTCITFLGESSRIATGSHSGELKIFDSNSGNLLESQTCHQTCVTLVQSALSGGTQLVLSSALYDVKLWEASSISGGPLHSFEGCKAARFSHSGTSFAALSSDTSRREVLLYDVQTYNVELRLPDSSSNHSGMFRGHAQSLIHFSPVDMMMLWNGILWDRRSSNAIHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRTVPSLDQTVITFNGGGDVIYAILRRNLEEITSAINTRRVRHPLFPAFRTIDAANYSDIGTVQVDRCILDFATDPTDTFVGVIAMDDHEEMFSSARLYEVGRKRATDDDSDPDDGGDTDEDDDENESEADMDSIFDADLAGDGDTDSDDMSNEDDEDIDSVDELDDGDLNEDELDFEGGQGLLEIMAEGDEDVEDSEVMESFSSGEEEDFAGHGFAL >Ma03_p23480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28024814:28039429:1 gene:Ma03_g23480 transcript:Ma03_t23480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPERAREAAAGPSGEEAKAEGEEHEAILERAQRLISKIVETQANPNPRHLHALATILEAQESRYLQETANSPFNNVRTSHNIGKLGNLVRDNDEFYELTSSKFLTESRFPPSIRAAAARLLLSCSSSWMYPHVFDDAVLDNIKIWVNEDTPVYDDDSIWKHELGEDKPTDSEMLRTYATGLLALSLPSSSQVVEDMLTSGLSAKLMRYLRTQMFGDSSSGQRDVTSLVETKRASASSSTKDRDETRGRSCQVSGVAHLESSRIGDQRLSGDPTADKGSVKNDGTGQVCGDDTWGDGGDSLKSELTDSSSDLVGPNQMAAEYPDLIGDQWQNKNLLDGKSKYGERDIAGKSGQDDDLDDSKGVDLLKQGLNHGFPRSTAKGNISGGTLENLRAASQSSGLYIGGSGQLFGERNLAKHEDIEKVLDTDNKLSIFYCDDLVIGKDNDERLLDCNIGKKDISEMVKKAIRAAEAEARTANAPEEAIKAAGDAAAELVKTAATEAWKSKNDEEAVVSAASEAASTVVDAAIATEISRNANQLSKDIIELKTLEDKGNEEIEIFVILENETLAKLRVKYSIRCLGILGEYVEALGPILHEKGVDVCLAFLQCSFKDDETPDQLALLAEVLNLICALAAHRKFSALFVDRGGIQKLLSVRRVLHTFFGLSSCLFTIGSLQAIMERVCALPSNVVQQIVELALQLLECPQDQARKNAAIFFAAAFVFRAILDSFDVQEGLHKMLNILHGAASVRSGGNSGTVGIPIVPARNDRSSAEVLTASEKQIAYHTCVALRQYFRAHLIVLVDSLRPNKSSRGIARSTSSARASYKPLDISNEAMDSVFIQIQRDRKLGPAFVRARWPLVDKFLASNGHITMLELCQAPPVERYLHDLAQYALGVLHIATFVKDSRKLIINATLSNNRVGMAVILDAANGAGYVDPEVIHPALNVLVNLVCPPPSISIKPSVSAQGQQPVSLQTLNGPSENRERHSERNNSDSGVTFTIQNEPRERIMEPNLVDRGNAAVPGCSSSTPAPAISAGVVGDRRISLGSGSGCAGLAAQLEQGYRQAREAVRANNGIKVLLHLLNPRMITPPAALDCIRALACRVLLGLARDEAIAHILTRLQVGKKLSELIRDLSSQASGTEQARWQSELVQVSIELIAIVTNSGRASTLAATDAAAPTLRRIERAAIAAATPITYHSRELLLLIHEHLVASGLKATATLLQKEAKLTLMPSLGAPTPPLHQTNVQEVSSVQLQWPSGRASCGFLSDFIKTVSQEAGPKSDLALSSFKRKQLAFSPNFCQGKGQLSSHASSTLRAFSVTKSAAPCGGTETPSVSVFKSTADTEVTFKTPICLPMKRKFLELKEPSSASPAKHLSTEDFSFQSPICQTPYFGRRNFVTTDAEGLLPIVNHSPRGALSKTSCSNISIDHSDDIQCQVTPGAPTTPVAQLGLPGNSQYEKTERMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLQPHVCPEPSRSLNAPANITARVSSREFRKKYGGIHAHRRDRQFIYSRYRPCRTCRADAALLTCITFLGESSRIATGSHSGELKIFDSNSGNLLESQTCHQTCVTLVQSALSGGTQLVLSSALYDVKLWEASSISGGPLHSFEGCKAARFSHSGTSFAALSSDTSRREVLLYDVQTYNVELRLPDSSSNHSGMFRGHAQSLIHFSPVDMMMLWNGILWDRRSSNAIHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRTVPSLDQTVITFNGGGDVIYAILRRNLEEITSAINTRRVRHPLFPAFRTIDAANYSDIGTVQVDRCILDFATDPTDTFVGVIAMDDHEEMFSSARLYEVGRKRATDDDSDPDDGGDTDEDDDENESEADMDSIFDADLAGDGDTDSDDMSNEDDEDIDSVDELDDGDLNEDELDFEGGQGLLEIMAEGDEDVEDSEVMESFSSGEEEDFAGHGFAL >Ma06_p07430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5283604:5286814:1 gene:Ma06_g07430 transcript:Ma06_t07430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein [Source:Projected from Arabidopsis thaliana (AT1G15220) UniProtKB/TrEMBL;Acc:A0A178W6T6] MASDEELKKARTVEARARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEVRAGKTDKEIYKKLGEDFGESVLYVPRFDLQTAALWLSPFVIAGTAAGAWAYRRYRQKTNVHIMALNLVRGVPLTPTEKETMLDLLKPPPSPRRRWWN >Ma06_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5283588:5286814:1 gene:Ma06_g07430 transcript:Ma06_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein [Source:Projected from Arabidopsis thaliana (AT1G15220) UniProtKB/TrEMBL;Acc:A0A178W6T6] MASDEELKKARTVEARARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEVRAGKTDKEIYKKLGEDFGESVLYVPRFDLQTAALWLSPFVIAGTAAGAWAYRRYRQKTNVHIMALNLVRGVPLTPTEKETMLDLLKPPPSPRRRWWN >Ma10_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4246625:4247138:-1 gene:Ma10_g01210 transcript:Ma10_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma03_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2465017:2465355:1 gene:Ma03_g03720 transcript:Ma03_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIGRFSLHFFFLWRPYSTDLFRLSNINCQKRKGINRVVLAEEQRAAENEDSISFIQMA >Ma06_p36930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36152754:36164057:1 gene:Ma06_g36930 transcript:Ma06_t36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAARKPHLAATPSQGRNHPDSPSTPLDETRPSFLSSASVPGRPTTGTPAPWSSRLSVLARIPIVKGAEKGGSGKQIQPVYVGEFPQVVRNAQASLLKKGFDNSLVAGGMDKETSLAWIICDTQLFIWSYLSGTVPKNCFVLELPSSVVGDRIVGVKYTNGRNWMLCAVRWDVSSKKVFEQCNSAGIILCNQETGAVVYWPDIYSESLNVPVASLSEPQSKESDISARHERYHSFIVSAIPGSSQECVAVACQSTGGLFLFKFSPSGIHCQMVFHNVLAVNSNSSSQMNELCARSLVWHPQYTSSDDSGRQFFLLTDHEIQCWNIVFIPNINVKKLWSHEIVGNDGHLGIKKDLAGQKQIWLLDMQLDDRGKEFTILVATSCKDRVSSSNYIQYSLLTMQYKPGCSTKTSWSTNERLLEKKAPLQTVIPKARVEDRGYLFSTRIRVGGKPSGSVIILSGDGTATVTSYWKGSSRLYQFDLPWDGGKVLDASVFPSIDDSEEGAWVVLTEKAGVWAIPEKAVLLGGVEPPERSLSRMGSLNESVVDEEKKNQKTGGNTVPGRPGSEAWGSGDRQRLALVGKTAQDEEAEALLSRLFHEFLFSGEVQGVFEKLREKGAFLKEDETNVFARMSKSIVDTLAKHWTTTRGAELVASAVVSSLLLDKHQKHQKYLQFLALTKCHEELSSKQRRSTLIIMEHGEKLSSMIQLRELQNLLSQNRKYLSDSPSSHAQADASGSLWNLIQLVGEKARRNTVLLMDRDNSEVFYTKVSDIEELFYCLSHHLEYVIGGGQPFIIQMQRACELSNACTTLIQAAMHYRDEHRNWYPSLEGLSSWNCQHVVRSGFWSLASLIMHLLKEVQATDMSVEQEMWSQLEGLTDVLLDAYTSSITAKIECGEEHHGLLEEYCQRRDELLGSLYDLAKRLTELKYQESHLSEEDLERREAIFRELTEPLLLIARRHDGYQTLWQLCYDLSDTGLLRTLMHESLGPKGGFSYFVFKQLMTSHQYSKLLRLGEEFQEELAIFLKEHKDLLWLHELYLKQFSSASETLHAVALSLDDGPPLMTEEEPEMVQIKRSSSLADRRRLLNLSKIAAVAGKDKSFEMKTRRIEADLQILKLQEEIVRHLSDDREDINRPLPPGELIEVCLREASKELCLKPFEVFAWTSSSFRRSNRSLLEECWKNAASQDDWIALIQVSTAEGWSDKVVLEALGETVLYKASSWCYGPESQIHGGGFEEVMPLQKDDDEFSSIKDESLSVEGILMQHKDFPDAGKLMLTAIMLAKVGDDATVEERVAMAMDSR >Ma04_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2438042:2440221:-1 gene:Ma04_g03060 transcript:Ma04_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLEKRENKGVNVPRDNLLDSVRVKCECCSSLLCASSGVQFQKLPPQDIQLQNHNVGSQGLHMDCGSSSMCANMKTMNSMRSVQQQMLQIHPAEKRQRVPSAYNRFIKEEIRRLKATNPDISHREAFSTAAKNWAHLPSIHFKLSLQRNKQL >Ma05_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6873499:6875020:-1 gene:Ma05_g09500 transcript:Ma05_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETFHHKLDFTGLSLEKAGTPEWERVRAQMMEVVANEENWFEAVYDGVAPELREALFGRTVKELFALPADVKMRNTSNKPHHGYIGQFPGLDYEALSVFDAHLVEGTRSFTELMWPEGNPSFCDTVNSFVRQLSELEKMVRRMLLESLGVVKHLDRQNSELTFSLRMAKYGALTSQEATVVLPPHVDETTVTLVVQHKVAGLQVLTADGEWLTVPQSPNSYTVLIGQALQGWSNGRLNAKLHRVLVGGGETRYSVIFGSHPKDDVMVQVPEELVDEEHPLIYKPFGYLSFVNFRHSEEGMKSDDSLKAYCEVQVDQVGA >Ma02_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19505016:19514602:-1 gene:Ma02_g09600 transcript:Ma02_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFNRRKVTSRSGRDGVDSRQPQQGAGRRLDRRNAVKNLQYEAAGPSWTSFSSADSEEYHNLRATRSLDLWPSTYARQTSFRIGGSIEGEVDILYRSLGLDGPDDFAISQSDWERHKVRSSSDILPRPRPLQPDIPFPNDLTFAPEDRTLVIDSTPFEPLSVSGEEHRSQEDWEEEDWHLTDGSKAEIRVADDEPAKYPCVPPSSGGRDGGIRGVRPPVLSPSSMAKFSPSPSIPILDNPSSVLKSPPVSLTAVNGVTSSWTFAPEESGPEAGGMRTVDSEVMNKKEEVLAGDEINEELWLRETAEDFNGTSSYSTMNDDESSSTTTETLFIISPNGRFKRNIKSWMRGALLGSGSYGMVYEGISDEGIFFAVKEVSLLDQGSNAQQCILQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLQDSQVSAYTRQILNGLNYLHERNIVHRDIKSANILVHANGSVKLADFGLAKEMTKFDAMKSCKGSVYWMAPEVINPRSSYGPAADLWSLGCTVLEMLTRQIPYPDLEWAQAFYRIGSGEQPPIPTYLSKDARDFISQCVQVNPDDRPTASRLFEHPFVRRSLSASESDSSSLNNRRRVS >Ma04_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25871188:25876738:1 gene:Ma04_g23760 transcript:Ma04_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDEKLAYFQAITGLDDPDLCTEILSAHNWDLELAISSFTSHAAPSSSSSIPPSSAAASSSIPLSVAAASSSAPPAVAAPPPGLAWKIVTLPFYVVSGGVHLVTGAVGLGFWIAGGVLSRTLGLLGISAAPRDQEADRLIPLSASAAEAADFVAAFERVYGGAASSTGPRFVAEGFMDALHRSQREYKLMFVYLHSPEHPDVPAFCEGCLYSPAVAAFVNENFVAWGGSIRREEGFKMSNSLKASRFPFCALVMPSTNQRIVLLQQIEGSKSPEEMLTILQRVVEECAPSLVVARLDAEERRNNQRLREEQDAAYRAALEADQARERQRREEEERLKREAEEAERKRKEEEEALERAAREAAEKEAALARRQQEKAISLGPEPDKGPDVTQVLVRFPTGERKERRFNSSATVSSLYDYVDSLGCLKAENCTLVSNFPRVSYGSEKHSLTLKEAGLHPQASLFVEIDS >Ma08_p24570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37687988:37694731:1 gene:Ma08_g24570 transcript:Ma08_t24570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIPKTPFQWDWETLELFSGKESELSKPAQVPDSKIGGGARICNGSVCSSSGGASSVLELGNRSSKSSISASIDSLSETGKRKSQFNFDSTERAPYNLNKNIFARVEGSGTSPASVTAGYSREPLTGLKLGRTSFEDGGAGNNIENLSSSASITSSIALVKKSRVSQQTFQNFYCQVEGCNIDLTTAKDYHRKHRVCVNHSKSPKVIVAGQERRFCQQCSRFHGLSEFDQNKRSCRRRLSDHNVRRRKPQPMTISFSSPRISSSDHDDGHQMNLVFGRAPPCNVPATVSSPWDDLGSFKLIQPKESWTKSNKAGGSTGLLQFSSTCQTHDITPFHHDLDPLSPLKGITTEVLNHSSTSKHLKDLEASVFASNLDVPPDLHSALSLLSTNSWNPVNPGTSSTKYVNTKNAFTTHPEVNMIDATTGLLQDEQPLAQPLTTTQPFHLQNDNGQFQEFQQLKAPFRASSFDSTRRH >Ma08_p24570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37687988:37694731:1 gene:Ma08_g24570 transcript:Ma08_t24570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIPKTPFQWDWETLELFSGKESELSKPAQVPDSKIGGGARICNGSVCSSSGGASSVLELGNRSSKSSISASIDSLSETGKRKSQFNFDSTERAPYNLNKNIFARVEGSGTSPASVTAGYSREPLTGLKLGRTSFEDGGAGNNIENLSSSASITSSIALVKKSRVSQQTFQNFYCQVEGCNIDLTTAKDYHRKHRVCVNHSKSPKVIVAGQERRFCQQCSRFHGLSEFDQNKRSCRRRLSDHNVRRRKPQPMTISFSSPRISSSDHDDGHQMNLVFGRAPPCNVPATVSSPWDDLGSFKLIQPKESWTKSNKAGGSTGLLQFSSTCQTHDITPFHHDLDPLSPLKGITTEVLNHSSTSKHLKDLEASVFASNLDVPPDLHSALSLLSTNSWNPVNPGTSSTKYVNTKNAFTTHPEVNMIDATTGLLQDEQPLAQPLTTTQPFHLQNDNGQFQEFQQLKAPFRASSFDSTRRH >Ma08_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37687988:37694731:1 gene:Ma08_g24570 transcript:Ma08_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIPKTPFQWDWETLELFSGKESELSKPAQVPDSKIGGGARICNGSVCSSSGGASSVLELGNRSSKSSISASIDSLSETGKRKSQFNFDSTERAPYNLNKNIFARVEGSGTSPASVTAGYSREPLTGLKLGRTSFEDGGAGNNIENLSSSASITSSIALVKKSRVSQQTFQNFYCQVEGCNIDLTTAKDYHRKHRVCVNHSKSPKVIVAGQERRFCQQCSRFHGLSEFDQNKRSCRRRLSDHNVRRRKPQPMTISFSSPRISSSDHDDGHQMNLVFGRAPPCNVPATVSSPWDDLGSFKLIQPKESWTKSNKAGGSTGLLQFSSTCQTHDITPFHHDLDPLSPLKGITTEVLNHSSTSKHLKDLEASVFASNLDVPPDLHSALSLLSTNSWNPVNPGTSSTKYVNTKNAFTTHPEVNMIDATTGLLQDEQPLAQPLTTTQPFHLQNDNGQFQEFQQLKAPFRASSFDSTRRH >Ma08_p24570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37687988:37694731:1 gene:Ma08_g24570 transcript:Ma08_t24570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIPKTPFQWDWETLELFSGKESELSKPAQVPDSKIGGGARICNGSVCSSSGGASSVLELGNRSSKSSISASIDSLSETGKRKSQFNFDSTERAPYNLNKNIFARVEGSGTSPASVTAGYSREPLTGLKLGRTSFEDGGAGNNIENLSSSASITSSIALVKKSRVSQQTFQNFYCQVEGCNIDLTTAKDYHRKHRVCVNHSKSPKVIVAGQERRFCQQCSRFHGLSEFDQNKRSCRRRLSDHNVRRRKPQPMTISFSSPRISSSDHDDGHQMNLVFGRAPPCNVPATVSSPWDDLGSFKLIQPKESWTKSNKAGGSTGLLQFSSTCQTHDITPFHHDLDPLSPLKGITTEVLNHSSTSKHLKDLEASVFASNLDVPPDLHSALSLLSTNSWNPVNPGTSSTKYVNTKNAFTTHPEVNMIDATTGLLQDEQPLAQPLTTTQPFHLQNDNGQFQEFQQLKAPFRASSFDSTRRH >Ma07_p24830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32034920:32036716:1 gene:Ma07_g24830 transcript:Ma07_t24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g21470 [Source:Projected from Arabidopsis thaliana (AT3G21470) UniProtKB/Swiss-Prot;Acc:Q9LVF9] MPPGHVYVRQLHVSLLSKATQDPLLWTQLFRDYLSHGFPKEALLIYTRNLPNRAHQRILPLVLKACTSLSFVALGQSLHAESVKYGIDHELLVGTSLVSMYCKCHRTTNARRMFEEMPEKNAVTFNAMISGYSMVGEMGSALDLLDRMPGKTPVTWVVLIEGFARIGDTVAARRLFDQITDQLRTVPAWTVMVHGYIINGETDAARTLFDQMPCRNAFVWSSMITGYFKKGDAAEAQRLFDQIPVRNLVNWNALIAGYTQIGCCEKALEAFRRMRRDGFEPDEFTMASLLSACGQLGSLQHGREMHELINGRRIKMNYFVLNGLVDMYAKCGDVERARSIFNGMTKRNASCWNSMISGLASHGQSREALRLFAAMEESEEKPDAITFLVLLSACTHAGFVKEGLEIFAKMDKYGLAAGVEHYGCLIDLLGRAGRVAEAYDVIQKMPMAPNEVVWGALLGACKLHLETEVADKVIRHVGRLNSVAGCDAQYVILSNIYAASEKWQEAEKIRRAMQEHGIQKLPGCSSVVGGEDKRG >Ma08_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30286822:30287761:1 gene:Ma08_g18390 transcript:Ma08_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNPFRSLVVGTNFSSGTVESRREHLPVSGFYWTVPKCQQWCCRRDNEERKPTGVEVCGSEERSKSKTCSNQSVEWALSAGEDGTVVTAGFQEKTSRGNGDPSVFLMQISATITGEYQLTNGLGGKAAEVLTRHCNSFIVERLRVHIPA >Ma10_p30800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37058769:37060661:1 gene:Ma10_g30800 transcript:Ma10_t30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVDLSLGMVPPCMGPSHEVMQLSCKRRFDD >Ma03_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28886137:28889326:1 gene:Ma03_g24590 transcript:Ma03_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMTIFPSANPSSVFFLLLLLVLHLCLLLGFGSPAASQEQQVGYGYDLRSVGVAPSGKTLTAELGLIRSTSVYGPDIQNISLFASFETKNRLRVRITDSHHRRWEVPQRIIPRQSPPPMLQGRHDQLQAHVISMKDSDLEFTLHPTSPVTFTVSRRSTGDVLFRTLPTLVFKDRYLEISSSLPADRASLYGLGEHTKRTFKLVPDDTLTMWNADIPAAILDQNLYGSHPFYIDVRSSSNTTSPPGFTHGVLLLNSNGMDVIYGGSYITYKVIGGVLDFYFFAGPSPLSVMDQYTELVGRPAPMPYWSFGFHQCRYGYKNVSELEYVVAGYANATIPLDVMWTDIDHMDGFKDFTLDPINFPADRMKRFVNQLHRNGQKYVVILDPGISVNSTYGTFLRGMKQGVFLRRGQEYYLGSVWPGPVYFPDFLNPAAADFWAREIATFRQTLPVDGLWIDMNEISNFITSPPVNSIDEPSYSINNAGVRRPINNKTVPASAVHFGNVAEYDAHNLYGLLESRATHDGLIKTTGKRPFVLSRSTFVGSGKYAAHWTGDNAAKWDDLGYSIPSILNSGIFGIPMVGADICGFGDDTTEELCSRWIQLGAFYPFARDHSDIHSIHQELYIWDSVARSARKALGLRYRLLPHIYTLMYEAHVRGAPIARPLFFSFPEDTTTYGISTQFLMGAGVMVSPVLKPNAITVDAYFPKGRWYNLFDYLRWVSSKNGEYVTLDAPADTINVHVRGGNIVVMQGQALTTRRARQNPFELLVALDEAGSASGEVFVDDGEAVEMGGAASEWSLVRFRNRMEGKKNLRLNSEVVNGTYAMKHRLVIRKVVIVGLQLKPTSHLNATGLGSNVSIGRQIRDGSSVVQIEGFSQLMGKEFGLKLEIND >Ma02_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25610399:25618137:1 gene:Ma02_g19440 transcript:Ma02_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVCLAGEPKDSDRKQKKPSPRPQQQQQQQQQQQPKTRQHKPHRPRPNPYAEDTIGSPSLHVLKDVVPLGRHRSRIGDKYVLGSELGRGEFGITYLCTDKETREALACKSISKRKLRTAVDVEDVRREVAIMSTLPDHPNIVRLRAAYEDADAVHLVMELCEGGELFDRIVAKGHYSERAAAAVARTVAEVVRMCHANGVMHRDLKPENFLYANKKENSPLKAIDFGLSVFFRPGERFSEIVGSPYYMAPEVLRRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAIDFQREPWPQVSESAKSLVKQMLDPDPKRRLTAQQVLEHPWLQNAKKASNVPLGDIVRARLKQFSVMNRFKKKAMRVIAEHLSVEEVEVIRDMFKLMDTDNNGKVSFEELKTGLQKVGSQLAESEMKLLMEAADVDGNGALDYGEFVAVIIHLQRLSNDEHLRRAFMFFDKDGSDFIELDELSEALADESGQTDINVLHDILREVDTDQDGRISYEEFVAMMKAGTDWRKASRQYSRERFKSLSMNLMKDGSLSMGKEATDLYA >Ma10_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30176071:30180485:-1 gene:Ma10_g19720 transcript:Ma10_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding FELSCEDGLPILVSSYNSSYYLHDIFYGNKSFWVRNKNFDDEGCAIPNYEIRVDLPGFFRVSAVNTELRFFYNCWAPPPIYTTRIDCAPNATFALVGGRYGDNSTSPPSPPNRCNTSRAPVLLRDGGRERSSTEDIERLLKNGFLVVWGEADACWECRLSNGRCSHDDASASFVCICPDGRHSPRNCRKGHFAKSIAIGKKLSWVFILLSPCIHASFALLHVPGSMAGVVGLLLAFAAIFIYVRKRPNPWLRSRNTREGHGHDFLEKYESRVPQRYKYRYLKKITKSFGEKLVQGGFGSVFKGQLEDSRLVAVKILSRSKEDGEEFFINEVASIGRTAHVNVVTLLGFCQEGKRRALVYEYMPNGSLERYIYSDPPETSLPWDKLYQITIGIARGLEYLHQGCNTRIVHFDIKPHNILLDEDFCPKIADFGLAKLCPRKESILSMVEARGTIGYMAPEVFSRSFGAVSTKSDVYSYGTMVLEMVRGRKNIKARADDRTSDACFPHSIYQYLDGGEDDLRVGDVTAETEEIPRKMMLVGLWCIQTAPPNRPSMSRVVEMLEGSGKAFSSVTGHQLARLVLSAIINRGKSHGGGGFPSISCWGHSSVSH >Ma04_p20830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23540623:23544370:-1 gene:Ma04_g20830 transcript:Ma04_t20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVEGGGMEQNRHEDCSQPCSNLCPNHSSQCDSSSQSGEEKGGGPGQTSQAIHMGGAVDSPDLIVVITQPEEVPLPGMSISQSKASEGDEKIGMMAATSAVTSLKVFLPRSESYKDQCRIYDVFYLNRVCQQQTEEPLIDLGCRCRGELAKAHSSCIQIWFRTKGSNQCEICQQVAANVPFPEPQSSTSYWIWRVNSGHNRGQQENERGWLNPLWVAFAIIIGGLMLDVLISVSLGISSRPVNIITGVLILLGMAAALRLSLECCRELGQTRNTQAQVTFNLGYHPAI >Ma04_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23540623:23544370:-1 gene:Ma04_g20830 transcript:Ma04_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVEGGGMEQNRHEDCSQPCSNLCPNHSSQCDSSSQSGEEKGGGPGQTSQAIHMGGAVDSPDLIVVITQPEEVPLPGMSISQSKASEGDEKIGMMAATSAVTSLKVFLPRSESYKDQCRVCQQQTEEPLIDLGCRCRGELAKAHSSCIQIWFRTKGSNQCEICQQVAANVPFPEPQSSTSYWIWRVNSGHNRGQQENERGWLNPLWVAFAIIIGGLMLDVLISVSLGISSRPVNIITGVLILLGMAAALRLSLECCRELGQTRNTQAQVTFNLGYHPAI >mito4_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000015.1:638966:639100:-1 gene:mito4_g00060 transcript:mito4_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDIYVKLILFLGENAHAFVRSISVFLYMNRMLVTMVTISSKFL >Ma02_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17999197:18001031:-1 gene:Ma02_g07220 transcript:Ma02_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAIEGVNDSSIPHVLMVSFAGQGHLNPLLRFAKRIAAKGLLVTLCSTDDIGYRISSSTADSALGVPKPVGRGFVRFEFFSDGLPVDDPRRKDLDVLMPAIRATGPPALVDLIRRQADAGCPVSCIINNPFLPWALDVAADMGIPGGVLWVQSCAVFTTYYSYYHSLAEFPTEDNPDVTVNLPGLPPMKPDELPTFLLPSSPFKPLRKVILEQFGNISKASWVFANSFEKLERETIDAISHRLQLIPVGPLVEPDDKSQSSIRGDLFKAADCMYWLDAQAPSSVVYVSVGSIVVLSEEEMSELAWGLRKCGRPFLWVVRRDTQALLPEGFIEEAGDRGLVVEWSPQDRVLAHPAVACFVTHCGWNSTLEALTAGVPVVTYPQWGDQVPDSKFLVEAYGVGVRLRAPATRDEVERCVEAVTQGEGAEAIKTRAAEWKEAAAKAVEEGGSSDRHIQAFVDEIRNRASCSGDRAAV >Ma05_p25660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37519474:37525552:-1 gene:Ma05_g25660 transcript:Ma05_t25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MLLRLLRSWRRRHHPPQRGGALAAALVILLPAFFPSLFTPLGHAFPSLFSEWNAPKPMHLSLLRDALERKTSIDQQSELWAPLASQGWKPCIESTSTSSLPSKSNGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEINPVWRDSSSFEEIYDVDHFIDVLKEEISVTRELPKEYSWSTREYYAIGIRATRVKTAPSHASANWYLENVLPVLQSYGIAAISPFSHRLAFENLPGDIQRLRCKVNFQALAFVSHINALGETLVKRLRSPVHQQPEEFLKEVADENVQEGPGKFAVLHLRFDKDMAAHSACDFGGGRAERLALAKYRQVIWQGRVLNSQFTDEELRGQGRCPLTPEEIGLLLAALGFDSSTRLYLASHKVYGGEARISSLRMLFPLMDDKKSLASEEELAKVEGKASLLAAVDYHVSMHSDIFISASPGNMHNAVLGYRTYENLKTIRPNMGLLGQLFLNKTMDWSEFQRAVQAGHKARQGQIRLRKPKQSIYTYPAPDCMCQG >Ma05_p25660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37520273:37525552:-1 gene:Ma05_g25660 transcript:Ma05_t25660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MLLRLLRSWRRRHHPPQRGGALAAALVILLPAFFPSLFTPLGHAFPSLFSEWNAPKPMHLSLLRDALERKTSIDQQSELWAPLASQGWKPCIESTSTSSLPSKSNGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEINPVWRDSSSFEEIYDVDHFIDVLKEEISVTRELPKEYSWSTREYYAIGIRATRVKTAPSHASANWYLENVLPVLQSYGIAAISPFSHRLAFENLPGDIQRLRCKVNFQALAFVSHINALGETLVKRLRSPVHQQPEEFLKEVADENVQEGPGKFAVLHLRFDKDMAAHSACDFGGGRAERLALAKYRQVIWQGRVLNSQFTDEELRGQGRCPLTPEEIGLLLAALGFDSSTRLYLASHKVYGGEARISSLRMLFPLMDDKKSLASEEELAKVEGKASLLAAVDYHVSMHSDIFISASPGNMHNAVLGYRTYENLKTIRPNMGLLGQLFLNKTMDWSEFQRAVQAGHKARQGQIRLRKPKQSIYTYPAPDCMCQG >Ma07_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2075347:2077344:-1 gene:Ma07_g02600 transcript:Ma07_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASSAVIVFLVLGLVSVTTLAGTPRRPIDVPFQKNYVPTWAYDHIKYFDGGREVQLSLDKNTGTGFQSGGSYLFGHFSMQIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTNQPYILQTNVFTGGKGDREQRIYLWFDPTKDYHSYSVLWNMYQIVFYVDDVPIRVFKNSKDLGVRYPFNQPMKIYSSLWNGDDWATRGGLEKTDWSKAPFVAAYRGFHIDGCEASAEAKFCATQGMRWWDQKEFRDLDGLQYRRLQWVRQKYTIYNYCTDRKRYPAMPPECRRDKDV >Ma02_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24694547:24697201:-1 gene:Ma02_g18010 transcript:Ma02_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDFLTTLSIENHHPSTLLSMDPSAGLVLSSSHEDLDRELLIQRHQVVISVAPDINLPLSADRYSSQQSWNSDSCDTLDVGLGPQTYDAETTLHIPRVTAARKCSKRSDSIWGAWFFFSYYFKPVLSEKSKGKVIWDANGVNGFDKSDVRHDVFLVQHDLENLYMWVFKERPENALGKMQLRSYMNGHSRLGEPQFPFSVEKGFIRSHRMQRKQYRGLSNPQCVHGIEVVKSPNLMIIREVDRKKWMELTGRDLNFSIPHEASDFESWRNLPSTEFELERPPSMKSTSHPHPKKLPNGSSLNLSSQSSHSNGEVMDLSPVCSKRRKDLFPHAMDEDFCFPTNSHAEKGQDVEMHQVEPSWLNEFTGVMRHAYGPVTAAKTIYEDDDGYLIMVSLPFADQQRVKVSWRNSVMHGILKIICASTARMPYVKRHDRTFRLTDPSPEHCPPGEFVREITLPTRIPEDAKLEAYYDETGALLEIMVPKHRIGPEEHEVRVCMRSPHFGTNDLLLA >Ma05_p26360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38007935:38010158:-1 gene:Ma05_g26360 transcript:Ma05_t26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERLRRRGGFHALLNCSCSLILLLFFCNREDVFRDPFLRQSSDFSPSWRIRGSNLQHRRRIRELHFGNASVAASGDASDGSELVGDPSAEGCSGLAQREGFGAKCEFLRSNGQCGSGGFFNYLAFFYCTCGKLQALGYAVLALWLVGLFYLLGNTAADYFCCSLANLSGLLKLSPAVAGVTLLPLGNGAPDVFASIAAFMGSDGASGVGLNSVLGGATFVTCVVVGTVSFCVANKNVQIDRKCFIRDVSFFFLSLVSLSIILMIGKVSVWGAVMFVMIYVVYAFAVAANELLRKHAHRLKLESLTPLLPVRGSIFSYGSEEDLSIYSHFIDDCIISDVPHLHALPQWMWGSHVAIYSNQRFGCSSFEKPRPPWGWNDEKNADSKLLGKILYILEVPLSLPRRLTIPVVEEERWSKVYAVASATLSPLLLVFLCGSHDNEGSVFSATIYVIGALMGLVLGGLALLFTSHDHPPRRYLLLWVSGGFVMSIAWFYVVANELLALLVALGVILQINPSILGLTVLAWGNSMGDLMSNVALAMKGDDGVQVAMSGCYAGPMFNTLAGLGISMLLGACSARPESFVLPQDSSLVWTMAFLMSGLIWALFVLSRNDMRPSKTLGFGLIIMYLIFISIRVSSAIGMMSLV >Ma08_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2530310:2536814:-1 gene:Ma08_g03510 transcript:Ma08_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMEQSILAGGRLSNWWDEINESRQWQDGVFYFLCAAYALVSSVALVQLIRIQLRVPEFGWTTQKVFHFMNFIVNGVRAIEFGFHAQVFLFRHKVFTLALLDLPGLLFFSTYTLLVLFWAEIYYQARSLATDKLRIVYICVNVVIYVIQFCIWIYLWINDSNIIELIGKIFIAAVSFVAALGFLLYGGRLFLMLRRFPIESKGRRKKLHEVGSVTAICFTCFLVRCFVVGLSAFDANASLEVLDHPILDLIFYLMTEILPSALVLYILRKLPPKRVSAQYHPIR >Ma01_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7763563:7766429:1 gene:Ma01_g10800 transcript:Ma01_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFDRKPAAISGTSISIGKPKNTMDCNLHIETDYDKETPHCEHKNHSEPEYGTQESNYEEESNGEEIAEEEDPDGEAKPCEREVIEGLYWTEPTEEDPQEQVPDEESIGVHDQEDVSEGFRSQSSSNGDDEECELGSKPSSSDKKRRSADESQGNMQKRQKQDDSKLEIYSYATGVIFKDKARSSSCDENIADKENTGSSGKRKRSRSNMEPETYKVEVDIDLATKKRKTRSVNNDAKLKLLGPLKLPDFDKIPVGGTSVDPVTEKLNSQLFEVNRMLSAHVLVDDQPKVNESDIQMNSRVARLRTRLIKHRQKIILELIKRNPTFDPPDIKPPKLSKKLYIPVKEYPSYNFIGLIIGPRGNTQKRMEMETGAKISLRGKGSIRKGRVLTYKDSRCESSEDDDLHVYIKADTQNSLDSAVQMVEKLLVPVEEEMNEHKRAQLRELAVLNGKLGKDSSEVQKTTPRSSTSCDICGIAFHQSVACPLIASNTGIGKSDKFFVQLGSSGVGPFNYPPLASTISSLMSNQQFHAPASSNSIPLKEIDEAKLYVCYLPLSVNDDKLLELFSPFGCISEAIVIRDKTTGLSKGYGFVKYRDPAFAAEAAASMNGCRIEGKMLSVQVANQPSSASKTCTFPWLLNNCNKLPPYPTTAISQGKPGVMTWPGHPGSMISETRASIPKKSSFISHAGFIRGPPFFFGASNQNSVPSLIPDAVTSFKEPEQFPGYPNNIEFQDQSYCSRYHYRLPSKYVHPTQTHDSYRASFAHQMPPP >Ma04_p26230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27755322:27761286:-1 gene:Ma04_g26230 transcript:Ma04_t26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEPSSTRLVVAAAVLLLLIAPPVSPLSDEGIALLSLKASFSNVANMLLDWTPAGAGAGAAAGGGSANATGDADDHCSWRGVLCDNLTSAVIALNLSNLNLGGEISPAVGELKNLQSIDLKGNQLTGQIPDEIGDCVALKYLDLSGNALYGDIPFLLSKLKQLEELNLKNNKLTGPIPSTLSQIPNLKTLDLAQNQLTGEIPRLIYWNEVLQYLGLRGNSLTGNLSPDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNRLIGKIPDVIGLMQALAVLDLSENELVGTIPPILGNLSYTGKLYLHGNKLTGPIPPELGNMTKLSYLQLNDNQLVGSIPEELGKLEELFELNLANNCLEGPIPRNISSCTALNKFNVCGNRLNGSIPLEFQKLESLTYLNFSLNNFNGEIPSELGRIVNLDTLDLSDNDFSGPIPDSVGDLEHLLVLNLSRNDLAGRLPAEFGNLRSVQVIDMSYNKLSGKIPEELGQLQYLDTLILNNNNLYGEIPAQLANCFSLTSLNLSFNNFSGDIPLYKNFSRFPPESFLGNALLCGSWLQSLCGPTFHQPKVAVSRAAVVCITLGCITLLTMVLVAIYRSNQPSQIIKGSNRDIQGPPKLVVLQMDMAIHTYDDIMRLTENLSEKHIIGYGASSTVYKCMLKNSKAIAIKRLHSQYPHNLREFETELETVGSIRHRNLVSLHGYSLSPNGNLLFYDYMENGSLWDLLHGPSKKVKLDWETRLKIAIGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGTAKCLSPAKTHASTYVLGTIGYIDPEYARTSRLTEKSDVYSFGIVLLELLTGKKPVDNESNLHQLILSKADDNTVMEAVDSEVSITCMDMGLVKKAFQLALLCTKRHPSDRPSMHQVALVLVTLLPAPSSKPSTAAPKPTDYTHYLAAGPVVKANAKHDSSSLSDGQWFVRFGEVISKSTL >Ma05_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8919599:8920108:1 gene:Ma05_g12320 transcript:Ma05_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFLFFLLAFPFSVSSFPGKMEGAADADTPPDAGGGGGAHGGGSGSGPGGSWDYSWAWGSSPGKGWGYGSGHSQTPGGNGEGSGYGFGYGTGSGSGSGSSSGYGYGAGSSSSGSGSGSEGEPERGAYGYGAGSGGQGGGYGAGGGGSDGGWSFRGGRPPQYWDHNCC >Ma00_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:7697007:7700860:1 gene:Ma00_g01420 transcript:Ma00_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATFVAKSPNFPLPIPNASRPQLPASLRLALPRHLSFAVAGRRSSVARRAVAASCSLIEPDGGRLVDVVAPEGPAREALRREAAGCPQIRLSRIDLEWVHVLSEGWASPLGGFMREAEFLQTLHFNCLRLGDGSVVNMSLPIVLAIDDAQKRAIGDRRKVALVDARDKPVAILSDIEIYKHNKEERIARTWGTTAPGLPYVEEAITKAGNWLIGGDLEVIERIKYNDGLDQYRLSPAELREEFSRRDADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYRNPVLLLHPLGGYTKADDVPLHWRMKQHEKVLEDGVLNPETTVVAIFPSPMHYAGPTEVQWHAKSRINAGANFYIVGRDPAGMSHPIEKRDLYDADHGKKVLGMAPGLERLNILPFKVAAYDKKQQKMAFFDPSRPQDFLFISGTKMRTLAKNREDPPDGFMCPGGWKVLVDYYDSVTPQETNRSREAVPA >Ma02_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19461868:19464389:1 gene:Ma02_g09530 transcript:Ma02_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAVTSTRFRALKHENGIAGSTTVTVRVIACFRPLQDCQVEYFRHLLKPIT >Ma05_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19324310:19327181:-1 gene:Ma05_g17090 transcript:Ma05_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESWLVERAREELQKLESLHPTRFKYLKLELKSLISQPHSYVVAVDEDSSRPPPTTSPAPTQDMNQLLL >Ma06_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8374809:8376563:-1 gene:Ma06_g12040 transcript:Ma06_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKESHSSDSGGSGWMSVPAFGEWDMKNGVPDYSMDFTKIREMRKQNKNPSRASLGNDDELLTSNRLRSDGGNKEEEQEAQRRLPQHDQRRPIHHHRGGSPTGRKKFMGCFQCCIGA >Ma08_p23340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36720855:36723248:-1 gene:Ma08_g23340 transcript:Ma08_t23340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPWISFLMSCWGKSVKSSSARRRELRSSSNSGISDSFRAPFSPEDLSLTLAGSNLFAFTIAELKAVTRNFSMTNFVGSGGFGPVYKGYIDEKLRPGLKAQYVAVKSLDLDGSQGHREWLAEVIFLGQLRHPNLVKLVGYCCEDEHRMLVYEFMPGGSLESHIFKSLLASLPWSTRLKIAVGAAKGLAFLHEAEKPVIYRDFKASNILLESVNFFDFERVKTKKSFCPHQCKLTSSHDDDLVSQDYTAKLSDFGLAKDGPQGDNTHVTTRVMGTHGYAAPEYVLTGHLTAKSDVYSFGVVLLELLTGRRCVDKNRPNRQKNLVDWARPYLNNADKLSRVMDPSLDGLYSTKGAQRAAAIAHKCLSQAPKSRPDMRSVVESLEPLLSLNDVPVGPFVYVAPTERISEKKEKLQEMEEKKMEMETEEKNHHNRDERHKQRFPNSVIHSEITLHRDGNNLYRNSHVRRTVRQNQERGA >Ma08_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36720855:36723258:-1 gene:Ma08_g23340 transcript:Ma08_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPWISFLMSCWGKSVKSSSARRRELRSSSNSGISDSFRAPFSPEDLSLTLAGSNLFAFTIAELKAVTRNFSMTNFVGSGGFGPVYKGYIDEKLRPGLKAQYVAVKSLDLDGSQGHREWLAEVIFLGQLRHPNLVKLVGYCCEDEHRMLVYEFMPGGSLESHIFKSLLASLPWSTRLKIAVGAAKGLAFLHEAEKPVIYRDFKASNILLESDYTAKLSDFGLAKDGPQGDNTHVTTRVMGTHGYAAPEYVLTGHLTAKSDVYSFGVVLLELLTGRRCVDKNRPNRQKNLVDWARPYLNNADKLSRVMDPSLDGLYSTKGAQRAAAIAHKCLSQAPKSRPDMRSVVESLEPLLSLNDVPVGPFVYVAPTERISEKKEKLQEMEEKKMEMETEEKNHHNRDERHKQRFPNSVIHSEITLHRDGNNLYRNSHVRRTVRQNQERGA >Ma02_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27233781:27237888:1 gene:Ma02_g21680 transcript:Ma02_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGHHHHRHRPPPDAIIPHPPPHNLPLQATAHLPPSFPFFHVFAELFLHLHLQSPQSQTAIQMLRGAYLSLLLAWGAMSMTVHSQVDSCSSSFLSFLPLPFNASQLNCRPVWRNFILRYSQNRDNTLSIILSAVYTSGWVGIGFSSDGMMTGASAMVGWIDIGGRANIRQFYLRGQTSSEVMVDEGQLLETGVAPAVILYGNNIYLAFQLNVSAPMAQQLLLFALSTATPVEFYLMEHDDKASVSFDFSVGTVAEPSSYSNQLKRNHGALGILGWGVLLPVGAVVARYCRLWDPMWYYLHVIIQFVGFLAGFAGVVAGIALHRRLHSDVTLHRGLGIFILVLGILQVTAFFLRPGKGSKIRKHWNWYHHWVGSLVIFLAAINIALGIQVGEAGNSWKIGYGIILAIISIAVALLESMRWWSRLSEKTTEPPAF >Ma08_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6479811:6480035:1 gene:Ma08_g08960 transcript:Ma08_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATLAAVEADIGHRHVVETRWAVAFFSICVSGVQCGGLYRLLCFWKDWESCHVCLSEMVWHLREVFTNQMGT >Ma05_p29440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40126149:40126897:-1 gene:Ma05_g29440 transcript:Ma05_t29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETQTNFPKQAQILSKTSFLKNASLTSSSSAVAASTHESTFLEQCYLCRIKLLLGEDIYMYRGDRAFCSEECRRRQIVMDEEGGMRDCCSLAAAAPAESRRERAAVRGRALAGSFAY >Ma04_p38040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35736001:35737099:1 gene:Ma04_g38040 transcript:Ma04_t38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPTQSPPSVDVDLSLALAPSSPSSGRDDERDVRLFPCLFCDKKFLKSQALGGHQNAHKKERSVSWSSSYLYLPPAAATTTVPVHHLSIYPFPITSHSCKPEARMKHPSSGGGGGGGGGGELLQQSFGSHGVPRLATGLHDHAFLATMSGNCAGFNETIDLLNWQRASRPPDVVPGSVDARATTCTGEDKSKLDLSLRL >Ma01_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3035817:3038555:-1 gene:Ma01_g04510 transcript:Ma01_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLPLFAFLLLLLLLTLLQAATTAAASAPPSPNVVSPHCNETCGGISIPYPFGIGDGCFRDGFEVTCEVVNAIPRAFLGGSERNITVQNISLLQGQASVLNDIAWLCFNSTGDVVDDQGSSINLSGLPFSVSGTRNELTTMGCDVIGILIGGDNYTLGAGCVSGCFEEASIAIGSCHGAGCCETTIPEKLDNFTVTFATFFDISSYTDYSPCSYAFIADTDWFYFNKSDLRNHTFRDKYKDGVPLVLDWVAGNQTCEEAKRNLSSFACSNSDCIDSTSLPGYICNCSTGFQGNPYLPDGCKDIDECSLPNQYPCYGKCSNTLGNYSCTCPKGHSSKDPKSEPCVRDQGIPTSTKIVIGSCVGIVSFITCIFCIILAFQRRKLLREKDKFFQQNGGLRLYEEIRSKKIDTVKIYTKEDLEKATDNFDKSRELGRGGHGTVYKGYLDDGREVAIKRSKVVTEDQSEEFVREMIILSQINHKNIVRLLGCCLEVEIPMLVYEFIPNGTLFDFIHDNNEKLIPLTTRLRIARESAEALAYLHSSASPPIVHGDVKSLNILLDHNYVPKVSDFGASRMISIDETQFITMVQGTLGYLDPEYLLVRQLTAKSDVYSFGVVLVELVTRKKAIYYDGSNQGKALASSFIEAMKDSRLEEILDDQIMGKENMDVIHEIAELAKECLNMNGDERPTMREVAEKLHMLGGFLQVSSTHHAPEECEALLGESSMSSTLDSVGYHSLENKLGFDVKAGR >Ma09_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5753101:5753676:1 gene:Ma09_g08670 transcript:Ma09_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRISEEEITELISKLQSLLPETRRRGASRASAAKVLKETCNYIKSLHREVDDLSDRLSELMATMDVDSAQAEIVRSLFRS >Ma10_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30931000:30931326:1 gene:Ma10_g20880 transcript:Ma10_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNPQRALGKPIITNCSLPIRCAPVPCVISPLFLGSPTERGRLKRRRPRWWRRRRTSRKRSRPWRRSTFLSASSSSFRLLPEVTFDPSFFFLVDRDDLILFNVPNLS >Ma05_p31290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41268384:41269466:-1 gene:Ma05_g31290 transcript:Ma05_t31290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSTCFLIVYVNVVCREIMHAMWKPRKFKYIYFLATVYVFTLTLPSAAAVYWAFGDQLLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTESICMRSLARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAMAHMLTYRTPSARQNAVEKLPFFLPSWTAMYVVNAFVVGWVMVAGFGLGGWASVINFVKQVDTFGLFAKCYQCPKPHPHPPAASGPPPQLH >Ma05_p31290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41268384:41269467:-1 gene:Ma05_g31290 transcript:Ma05_t31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMWKPRKFKYIYFLATVYVFTLTLPSAAAVYWAFGDQLLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTESICMRSLARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAMAHMLTYRTPSARQNAVEKLPFFLPSWTAMYVVNAFVVGWVMVAGFGLGGWASVINFVKQVDTFGLFAKCYQCPKPHPHPPAASGPPPQLH >Ma01_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5016233:5028240:1 gene:Ma01_g06940 transcript:Ma01_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELNYEISRTVDYLRAGNFTRVALQFPDELLKDSPRVAKALRSELGAGVRLFVMADAAYGSCCVDEIGASHVDAECVVHYGHACMSPTSTLPAMFVFGKASIDIKDCADLIGHCLSSTNKPILVLYGLEYSHALMHLKAVVAESLVLSHSNWGPMVKYSDVIGSVINPSQDCTTEKDQIRSLNGSITNAGFTSGRDEKKILKFGNGRRMENGTCFELGGLTWSIPSDHKIEDYLLFWIGPENSAFTNVVLTFNNCEIIRYDADERRLLEDTSCQRRILKRRYYLVEKAKDANIVGILVGTLGCAGYLSIIQQMKELIKGAGKKSYTLVMGRPNSAKLANFPECDVFVYVSCAQTALLDSKEFLAPIITPFEAALAFGRGRQWTGEYVLNFHDLMVSSGPEAVSGTKEARFSFIKGGYMEDIQPEENGEHEERSLALAETTEKALNLQSQHPNTIMFKGAARSGSEFFAARSYQGLNRQYENLAPQSYVIGRSGRASGYADEKMQEK >Ma10_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3996222:4007043:-1 gene:Ma10_g01100 transcript:Ma10_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGWMAQQLVPLGKALATSDHASVVSINLFVALICGCIVIGHLLEERRWMNESITALVIGVCTGVLILLTTKGKSSHIFIFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFFIIFFGAIALLRNMDIGSLDIGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDHAHVDAIIILKIVADFGYLFLSSTLLGAFGGLLSAYIIKKLYIGRHSTNREVALMILMAYLSYMLTALLNLSGILAVFFCGIVMSHYTWHNVTECSRVTTKHAFATLSFIAETFLFLYVGMDVLDIEKWKFVSNSPGKSLSVSSILLGLVLVGRAAFVFPLSFLSNLTKNSPHERIIFKQQVTIWWAGLMRGAVSIALAYNQFTRSGHTELRGNAIMITSTITIVLFSTVVFGLVTKPLVSFLLPHSAKHLSSMSSEPSSPQSLLSSLLEHGRGSEVDGGGEILTRPSSLRMLLSKPTHTVHYYWRKLDDAFMRPVFGGRGFVPFSPGSPTEQSLQGRI >Ma04_p34440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33629903:33631347:1 gene:Ma04_g34440 transcript:Ma04_t34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNFDREDALDTVLEVPIPEEMFANMGTGAARWQNMRTWLKAQAFDRAATDAPTELSGRSAELQLLLNVVGSPLIPCPVPIDRAFSRSIRDSSIQASTAKYIIQQYIAATGGQAALTSVNSMYAVGKVKMSASEFHVGDQTVAAKGSGEIGGYVLWQKNPDVWYFELIMAGCKMSAGSDGKVAWRQSASEQSHASRGPPRPLRRSLQGLDPRSTANLFSDAVCIGEKIINGEECFILKLEANPATLRARSAATFDIIHHKIWGYFSQRTGLLIQLEDTHLLRMKAGRRGESIFWETSMESVIEDYRYINSINIAHAGRTMVTLFRYGEGSVNHKRKMEESWTIEEVDFNLWGLSTECFLPPANLKKEQDGDDSHGG >Ma04_p35730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34354754:34362279:1 gene:Ma04_g35730 transcript:Ma04_t35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIMLEDRWRKGPWTPQEDKLLAEHVNLHGGGRWNSVSKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPEEESIILELHASWGNRWSAIARSLPGRTDNDIKNYWRTHFKKSTSPSKNNVEKKPKAQLLTQQQDEEMRMTMTMNEVERVAVAHDMQQEMAYVYPFTGMLQGGSPDGSISDGSSEEEVSWGGLWHLDI >Ma00_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43318483:43318629:1 gene:Ma00_g04970 transcript:Ma00_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLFILQKVVTVKPCLWNGMKRLCYLFARKFYPEALHNLMQLFSNDTLI >Ma06_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15086384:15091630:-1 gene:Ma06_g20970 transcript:Ma06_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPSSFFLLLFLSVGSSLLSSAAKLGEGCSANQDCDAGLRCDGCDGDLGVCVRIRPYEPRSKGKDLPFNKYSWLTTHNSFADAGAHSATGATLITFTNQHDNITSQLNNGVRGLMLDMYDFRNDVWLCHSTGGQCYNFTAFQPAINVLKEIETFLAANPSEVITIFIEDYVKSPSGLSKVFNASGLMKYWFPVDQMPKNGSDWPLLSKMIDQNHRLLVFTSVASKEASEGIAYEWNYVVENQYGDEGMTPGSCPSRAESSPMSTTLKSLVLMNYFRTNPSASSACHNNSAPLLGMLKTCHGLSANRWANFIAVDFYMKGDAPEAADVANGHMVCGCDNIAYCKANATFGTCALPRRSSPPKSSPTASTSAETSNASSAGRFSMLLKVVPITIIVLLELILF >Ma05_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2254693:2255869:1 gene:Ma05_g03160 transcript:Ma05_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKLEGKTDSRPSGGGSVSGRPVASAPPPGIIPVHRFIVPKPEPMEMMGLGAFQILRRPASRNKDRHTKVEGRGRRVRMPAACAARIFQLTRELGHKSDGETIKWLLEQAEPAIIAATGTGTVPAIATNVGGTLQIPTEASSSAPLTSTSAPAADGGDAPNKRRKKLQPSRSEGSVAGYFPLQDPLLPGGGTISIPAGLAPLGASGTQAVIPVWAMGGGGGATGSMAIQPGALWMVPPSAAVAAAPSSQAQIWALPQGPQIINLASAQPISTATVLPMDLAGTPAAGGKQELQLMSGAGVQCPRHQQSADDDEEEEDDDDDDSSHDD >Ma06_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4322006:4351653:1 gene:Ma06_g05880 transcript:Ma06_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSAIVTGGASGIGKALSMALAQKGIFVTVIDFSEEKGKEVVLLIERENTKFHPELKFPSAIFVKCDVTDTNGLAAAFEKHLEVFGGLDICINSAGITHHILFHEDKTNGIDTWKRTINVNLTAVVDCTRIAIQIMRYKKKPGVIINLGSASGLYPLYADPIYSGTKGGVVLFTRALVPLKREGIRVNVLCPEFVQTEMGSKINPKIVDAVGGFLSMDTVVNGAIELIKDESKAGACLWITRRRGMEYWPTPSEERKYLIRPYKSRRRIQYTTTSDILIPDTFEKVIVHSLDHNFRFATKVVQTELKLPIKPHHALVKIIYAGVNASDVNFSSGRYFKGKVNEIAARLPFDAGFEAVGIIAAVGESVHLKIGAPVAFMAFGSYAEFSVVLIFGIPLFQLGLPNWQLHMINISFQCFYLCIFVLFQVPAKHLLPVPRPDAEVLAMLTSGLTASIALEKAGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGETKASLLRSLGADRVIDYKKENIKDVLKKEFPKGIDIVYESVGGEMFNLCLNALAVHGRLIVIGMISQYQGKHGWEPLKYTGLCEKILSKSQTVAGFFLIQHASLWQEHLDRLFDLYSAGKLKVAVDPKGFMGVGSVADAVEYLHSGESLGKVVVCIDPTFCKKTAKL >Ma06_p05880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4322006:4351653:1 gene:Ma06_g05880 transcript:Ma06_t05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSAIVTGGASGIGKALSMALAQKGIFVTVIDFSEEKGKEVVLLIERENTKFHPELKFPSAIFVKCDVTDTNGLAAAFEKHLEVFGGLDICINSAGITHHILFHEDKTNGIDTWKRTINVNLTAVVDCTRIAIQIMRYKKKPGVIINLGSASGLYPLYADPIYSGTKGGVVLFTRALVPLKREGIRVNVLCPEFVQTEMGSKINPKIVDAVGGFLSMDTVVNGAIELIKDESKAGACLWITRRRGMEYWPTPSEERKYLIRPYKSRRRIQYTTTSDILIPDTFEKVIVHSLDHNFRFATKVVQTELKLPIKPHHALVKIIYAGVNASDVNFSSGRYFKGKVNEIAARLPFDAGFEAVGIIAAVGESVHLKIGAPVAFMAFGSYAEFSVVPAKHLLPVPRPDAEVLAMLTSGLTASIALEKAGQMESGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGETKASLLRSLGADRVIDYKKENIKDVLKKEFPKGIDIVYESVGGEMFNLCLNALAVHGRLIVIGMISQYQGKHGWEPLKYTGLCEKILSKSQTVAGFFLIQHASLWQEHLDRLFDLYSAGKLKVAVDPKGFMGVGSVADAVEYLHSGESLGKVVVCIDPTFCKKTAKL >Ma11_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21904522:21909874:1 gene:Ma11_g16360 transcript:Ma11_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MATLASFSSRLGAVVRELASKKGPGGWCGRHMAAAERAILDRIPLVDLVVEVRDARIPSTSAFKCLRKACCSHKQVIVLNKVDLADNFLTERWLKHFKNQNYITYGLNAHNKDSIKELLRILRARVKELKVGESNYTATILLTGIPNVGKSAIANSMHQIGRIGAAEKGKLKHAVVNPHPGETKDISSYKIASHPNLYVLDSPGILRLKIAHNDMGAKLALTGAMEDFLIGEYDLARYFLAILNLSEEYKRWEKLKDTLDDTLSSVSLEKHVVGRETVQRKPRQYPSDHTQDFIVKDVRQTLFKTISSFEGHLEEENNMEKIIESQFIALQEALKVSSESSEDRYKAVAVKLLNLYRTGRLGRYTLDLVSTEV >Ma03_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27954361:27958664:-1 gene:Ma03_g23350 transcript:Ma03_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRVKDYFHHKVWVCVSNDFNVERLTKEIIESLTRNKPDLSNLNTLQEEVKEKLTSKRFLLVLDDVWDENSGNWDRFCAPLRSGIPGSKILVTTRSGKIAEMVGNPIRLGVLDEASYWKLFKKCAFGSEYAGECPQLEDIAKKIVSRLKGLPLAARMVGGLLKEGMNEKDWRNIAESEIWQLPQNEEGVLPVLQLSYQCLPPHLKRCFVFCSLFPKDYRFDGEHLVRLWMAEGYVAQDNNMTMEDTGSRYFLDLVNRSFFQEAPWESTYVMHDLIHDLAQFISEGEFYWIEDDESKEIPNTTRHLSATLTNKTKILKFSCYKKLRTLMINYDSRWYGFRGYGFSFPDVLFERLKNIGVLILRDCGLRELPETIGGSIHLRYLDISYNIKIWRLPESLCDLYNLRVLDLFQCPLQSFPHGMNKLINLMHLNAEVEIIYKINDVGKLTSLQRLSSFKVLKDQGHEVAQLGSLKQLRGQLRITNLENVDSKQEASMANLNNKQYLDALALEWTSDDGSSLDGNELVVSEEVLEGLQPHQALKCLTIRGYSGVRSPSWLQAQLLANLETLSLINCKAWKDLSCIGQLPNLKNLYVEGMPAVKQISHELSTESKFLPNLEELVLENMVALEELPSLGQLPCLQVLRIGQMSTMTKVGHGFFGYRDQGKCFPCLEELRFNGMPKWEEWSWADGRELFPCLRELQIEECPRLKRMPPLPPSLKSLSLCQVGLTEVPRLWEEIDGSSSSMTVSELKLYSLEKVELKDIPECEGLPCLGQLPSLKVLRIEKMPAVKKLGDGFFGSRDQGKCFPSLEELKFWDMPEWEEWTWADGRQLFPCLRKLQIERCPRLKRLPPLPPPLETLEIDEVGLTELPGLREGIHGGGSCITASLSTLRIRKCPNLRNLEEGLLSHSLPNTRDIVIAECTELMWQPVKGFKELTSLRKLSICMLSDCGNVGKLLPGCLHNLTSLTRLEIGDCPSIESLPETSLLHLKQLQSLKIWKCGELRSIDELRVLESLRELTIKLSLFKVSLLRRTLPSVRALTISNFPRATMSDEEEQLLRSLTALESIEFFNCENLQSLPRELHALSSLWLLRIIICPKIQSLPEKGLPTSLRNLDFERCHPRLTEQLEKHLAEMKSSGRFSNVTQWDMLRYITSNHRFSASMQLVFRCFRLVFPPSL >Ma11_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3031328:3033004:1 gene:Ma11_g03960 transcript:Ma11_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSVSLAILLLALRFLLAWDPRATTVTAIRSGGLPQKAGAAGSGASDRPECNGRWIYIRELPSRFNTDLLATCDDFPILFEIREQPEKSIIPFLANHGLGPRTHNRSRSWYRTEPLFLELFFHRRMLEYPCLTADPVAADAIFVPYYTGLAALPFLYSPAQWNFSALHGRDLAKWLIRRDRPAIWSRFGGHDHFLAVAGFASDFDNDPAQTPLWGTAFLGLPEFYNLTVFTLESRAWSLQEHAVPPPTSFHPANLARLDAWLARARRSRRSTLMLFAGGAAPGSGRPNVVSSIHAECELRRDLCELVDCSGGVCIHDPARFMRPMLRARFCLQPPGETPTRRSTFDGILAGCIPVFFEEASAASQFGWHLPRRRYDEFSVLIPKEEVMFGGQRIADVLEAIPPARVRRMREAVLELAPGVMYRRHGSSAALRARKDAIDLAIEGALRRIQRRVRAMQQGKDALLLVGDNEEEWDE >Ma01_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16521563:16531595:-1 gene:Ma01_g20060 transcript:Ma01_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSLLLLYMVLVCFVNDVLSGRTSSFTRSEWPSTDIPLDNEAFVVPDGYNAPQQVHITQGDYDGKAVIISWVTESEPGTSEVWYGAVEHEFEHKAEGKNTNYTFYNYKSGYIHHCLVDGLEYNTKYYYRIGTGATAREFWFQTPPEIDPDAPYIFGIIGDLGQTFNSLSTLEHYMQTGGQTVLFVGDLSYADRYEYNDGVRWDSWGRLIEKSAAYQPWIWTAGNHDIDFRPDLGEVSTFKPYMHRFATPYVASQSSSPLWYAVRRASAHIIVLSSYSPFVKYTPQWFWLRGELKQVNREKTPWLIVLMHVPMYNSNEAHYMEGEAMRAVFESWFVHYKVDIVFAGHVHAYERSYRVSNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLAERFSKLQPDYSAYREASYGHSTLELKNRTHALYHWNRNDDGKHVPTDHIIFHNQYWASNRRRRSLKKHRKFPGHASLIAKYEELFPVLV >Ma06_p15260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10375278:10377476:-1 gene:Ma06_g15260 transcript:Ma06_t15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKAILVWLPLLFCCCLLRPVRSSVSVYNWSSSATHRSRLRGIELPTHLSLEAITSSGEPSCDHQLDAAGSSAGSPSKDRHRPLNGAMESGVEDDEGAKDGAAPALRLQLKHRSTRDAAAADRTKKESLEHSTYRDVLRIETLFRRITERKNQNAISRRAAAADRHPVVPEMSQKAAVATPPELAGRLMASVKSGATLNSGEYFIDVFVGTPSRHFSLILDTGSDLNWIQCLPCHDCFEQHGPVYDPVESSSFRNVSCSDPRCGLVSSPGPPQPCRTAAADGGRDQACPYFYWYGDRSNTTGDLALETFTVNLTAPDGSGAEFRRVNDVIFGCGHWNRGMFHGAAGLLGLGRGPLSFSSQLRSLYGHTFSYCLVDRNSDLSVSSKLIFGEDQRLLGDPEMNYTTFVAGKENPIDTFYYVQIESIKVGGEALQIPSATWELAKDGSGGTIIDSGTTLSYFPDPAYRKIREAFVKKVKYPMAVDFTMLNPCYNVSGVSKVELPEFAITFGDGAVWNFPKENYFIRLDAEEIMCLAILATPQSALSILGNYQQQNFHISYDMKNSRLGFTPRRCAEM >Ma06_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5785716:5787751:1 gene:Ma06_g08180 transcript:Ma06_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGAGETGVGELKKELRRLVKAIIEGDDGRVETYEEAAKALEALKDLRFRGSGNSNGNLANQRKAERRQASVAIPEHFLCPISAELMRDPVVLASGQTYDRRFIQEWLSSGNLTCPRTQQVLSDTTLTPNHLVRSMISQWCTEHGVTLPPLDDEQEEGLITRKERNALCRILDKLSLSSSIPDRKQAVRELRLLTKGSRSFRALVGENPGTIPLLLSALSVPGLYSDTAVQGDIVTTVLNLSIHDSNKKIIGDNPQAIPSLIDVLKTGTMETRSNSAAALFSLSALDANKLKIGELGAMKPLVELLEEGSPSAKKDAGSAIFSLCMVHENRARAVREGVVGVVLTAITHQSLVDESLAILALLSGHQEAVEEIADTSGVPPLLGIIKDSSCARNKENAVVVLFAVCMHDRTKLREVGEEEKSNGSISRLAQNGTSRARRKATGILDKWKRTLHSTHYSY >Ma03_p31790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33870404:33881878:1 gene:Ma03_g31790 transcript:Ma03_t31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT2G14255) UniProtKB/Swiss-Prot;Acc:Q3EC11] MTEIELVVDPKPQQNGDTGRNPNGSPGAPIANPIVDVCSASAYGDLEKLRGFVERDGQSVSNPDGHGYYALQWAALNNFPDVAQYLIEHGGDVNAADHRRQTALHWAAVHGSIPVADVLVQSGARVEAADINGYRAVHVAAQYGQTSFLNHIIVKCGADYDAPDNDGRSPLHWAAYKGYADTIRLLLFRDAYQGRQDEKGCTPLHWAALSGNVEACTVLVHAGNKSELQVKDKSGFTPAELAANRGHRHVAYVLSNAAKINGGLCEDKICAGTIGTTGYAPILLFVIIILTVLFINSVLLGPSFPKVTAVVGLWGWTGVSFAVVSLIMFYRCSSKDPGYIKPNSGKSATRTSDPLLDIDISNSSIWKGNWSQLCATCKIIRPVRSKHCPYCKHCVEQFDHHCPWISNCVGKKNRWDFFVFVCMGTLTAFIGAVTAVHRLWTWSPIIPSSEKWIHLVVVEHPGAILFLGMDAILLSGVLTLAVVQASQIARNITTNEMANAARYSYLRGPDGRFRNPYNHGCRKNCTDFFIHGYINDDEVAWPSLQEAQIA >Ma09_p30950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40834368:40837023:-1 gene:Ma09_g30950 transcript:Ma09_t30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRVSIGSILPRRRSTEEARGRRGRWGDSGAGDGAPLGPDDFRDVFGGPPRTVLLRRFSGELHAPADPRPVSFYDELFRPAERPRGIPRAAAGRGVPAFGALPWAGLVRTEEGFYDDIFGSEGGGRGRKSRSKSSSSVLSSEDMSPPIRMAPSMAEDAVLSSFASKLRPITIPSRRYVSSPSTNSREEWNSHRTPGMDPYLDSRDPYLDSRDCKKRDHSSSELLRQRSHISFSCCFSPPETISLEASFRRSQDEPTGCDNSDADSPSSVISSVFLDPVLSKADRGVEGHREAAESSYVIEINGHGMGGKEGAAAIDEAIAWAKKKFWDHQMSKGLDEPLQPEDEIKGKENPVGIC >Ma08_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6538976:6542284:1 gene:Ma08_g09040 transcript:Ma08_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKKAKKSTESINNRLALVMKSGKYTLGYKTVLRSLRSSKAKLVMISNNCPPLRKSEIEYYAMLAKVGVHHFSGSNVDLGTACGKYFRVCCLSIIDPGDSDIIKSMPGEQ >Ma04_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4141545:4142126:1 gene:Ma04_g05560 transcript:Ma04_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKTSFQGSESSSISTAGSQEESLPFDVNDAGEMLLFDMLIESAMTTKTSTGKEAESKGPTASGKSYRGVRRRPWGKFAAEIRDSTRQGVRVWLGTFDSAEAAALAYDQAALSMRGATAVLNFPAERVRESLRGLELAKDGCSPVVALKKKHCMRRRRKRKVRESSGEEGVVELEDLGVEFLEDLLGLSGLASQ >Ma08_p34830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44828981:44829758:1 gene:Ma08_g34830 transcript:Ma08_t34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKDCGNHGHCQRRKLYRRLFATVLTFIIVVLIVILGVWLVLRPSKPKFYLKDTSIFQLNLTAGSNLLTTVMQVTLDSRNLNDRVGIYYDKLDAFAAYKGQRITASTALPTGYQGHDDVVVWSPYLYGAAVAVAPYLAVSLIQDREAGLLLVYIKAEGRLRWKVGTWVSGHYHLQANCPAFLAIDNGKGSGSAPSFHLQQISSCSVDV >Ma08_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25115065:25135519:-1 gene:Ma08_g17420 transcript:Ma08_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMIDDQDLGFFANFLGIFIFILVIAYHYVMADPKYEGNQC >Ma05_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36981509:36982629:1 gene:Ma05_g24840 transcript:Ma05_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB80 [Source:Projected from Arabidopsis thaliana (AT5G56110) UniProtKB/TrEMBL;Acc:A0A178UM75] MGRIPCCERENVKRGQWTLEEDNKLASYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFSEAEEQTIVKLHAVVGNRWSLIAGQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRTDFASAPPAVTGNAYTPESTGGSKEETIEKIKLGLSKAIMHDPNADKVWTMMASAGEPSDGLAGLEETYPTLNEGFRYDGPSYGNEGEGSAWSQSTCTGGAAARGGGGLHDKVEDDNGEEAEGGKAEHKVSAAGMFTSECVLWDLPDDLMIHPIV >Ma04_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3589105:3596060:-1 gene:Ma04_g04710 transcript:Ma04_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLPLRSSIVVVFVVVLVPFITNPRGVNSSSDAPLTSIPLDLYHTSDALLKEIKSLVLRHPDTLSMETVKMGNRGYAAEVLVVTYDRKTKHDNEKSKFRILMSFGQHGRELITSEVALQLLSVLAEEHNILSMGPVSITKELDNIVIKVVPMENLNGRKLVEAGDLCERRNGRGVDLNRNWGVDWGKKEKDYDPYEENPGTAPFSEPEAQIMRQLAKSFEPHIWVNVHSGMEALFMPYDHKNTTPDGHMSNLMEFLLWDVNRLHFEEKCLVGSGGGLVGYLAHGTTTDYMYDVVKVPMAFTFEIYGDSEASSKDCFKMFNPVDKLEFNKAVNKWSEAFLTLFRLGPSRLATTYGLRKWDSMGGKVIDGSLESNKENKIDGLDLGMKDLRNYFRLFLLSSVLLMFMFCSRISKSKYRQTN >Ma04_p04710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3589105:3596060:-1 gene:Ma04_g04710 transcript:Ma04_t04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLPLRSSIVVVFVVVLVPFITNPRGVNSSSDAPLTSIPLDLYHTSDALLKEIKSLVLRHPDTLSMETVKMGNRGYAAEVLVVTYDRKTKHDNEKSKFRILMSFGQHGRELITSEVALQLLSVLAEEHNILSMGPVSITKELDNIVIKVVPMENLNGRKLVEAGDLCERRNGRGVDLNRNWGVDWGKKEKDYDPYEENPGTAPFSEPEAQIMRQLAKSFEPHIWVNVHSGMEALFMPYDHKNTTPDGHMSNLMEFLLWDVNRLHFEEKCLVGSGGGLVGYLAHGTTTDYMYDVVKVPMAFTFEIYGDSEASSKDCFKMFNPVDKLEFNKAVNKWSEAFLTLFRLGPSRLATTYGLRKWDSMGGKVIDGSLESNKENKIDGLDLGMKDLRNYFRLFLLSSVLLMFMFCSRISKSKYRQTN >Ma08_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2020687:2022370:1 gene:Ma08_g02710 transcript:Ma08_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFPFPYIRRRRWLILAATVVVSGYGAYRIYHLPSVARRRRKLFCLLSALATAADAAASSAEAVSLVSSDLNRFLRSDADDLPSSLKQLSKIVRSDELSGSVSSISEALTIGLVRGLQSNGPMVDSSVSETGTGARFSDRVMDKLFSAAGTGFASVVVGSLAKGLVMGFYTRGSTGGEPDGIERSDSQVVPQWFQLVCSDESRALVGNVVQLFVSTAVTVYLDKTMDINTYDELFSGLTNPKHEAKMKDMLVSMCNGAVETLVKTSHQVISSNSGSPEVRAEDLPRVEELDQKAKDGGGWVDQITSKLAVPSNRRFILDVTGRITFETVKSFLDFVLWKIYDGAKRGVNSAREELVVKGLEVVRYISGKSMVIITICLSLCMHIFMGTRVLVPA >Ma00_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45728633:45729493:1 gene:Ma00_g05240 transcript:Ma00_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQHFRVALIPFFAAFCLPVFAHPETLVKVKDAEDQLGARVGYIELDLNSGKILESFRPEERFPMMSTFKVLLCGAVLSRVDAGQEQLGRRIHYSQNDLVEYSPVTEKHLTDGMTVRELCSAAITMSDNTAANLLLTTIGGPKELTAFLHNMGDHVTRLDRWEPELNEAIPNDERDTTMPAAMATTLRKLLTGELLTLASRQQLIDWMEADKVAGPLLRSALPAGWFIADKSGAGERGSRGIIAALGPDGKPSRIVVIYTTGSQATMDERNRQIAEIGASLIKHW >Ma05_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6782010:6783268:-1 gene:Ma05_g09360 transcript:Ma05_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVIDLAEFESEERSKAMSRFHQVCGNWGFFWVENHGVAVALMEEMKRHVYSHYDKCLKERFYDSELAKGLGPQTDAAEVDWETTYFVQHQPESSTEDDLGLGVEFREAMDAYVSQLTKLAEKLAELVSENLGLDDDHLKKTFAPPFVGTKVAMYPQCPQPELVTGLRGHSDAGGIILLLQDDTVPGLEFYKDGEWVPVTPNKGNRIFVNFGDQVEVVSNGLYRSMWHRVLADKHGSRLSVATFYNPGGDAIVGPAPKLLYPGGYRFQDYLHYYFGTKFSDKGARFQAVKEMLE >Ma03_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2681209:2681634:-1 gene:Ma03_g04120 transcript:Ma03_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKRLRTDDAKERRVFSCQYCDRIFPSKQALNGHQSRHKKERDAAKRAKKKVPLFPALAALNLDKLFRHASASSNNRRKHDYSHEAFPAKGLADYDPTLRFEVAPAMQAPMGGSSSLKATSDGKNGTAGDEDELDLTLHL >Ma09_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10600502:10603706:1 gene:Ma09_g15280 transcript:Ma09_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKSYSNLLELASGESPSLGRISRGIPRVVTAPGIVPDLDDSDDDASNASSDRSSLTPHDRTIIVANQLPIRAQRRPEGRGWIFSLDQDSLLLQLKDSIREHADMDFVYVGCLREEILASEQDEVAQILLETFKCVPVFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPDLGGRFDRNLWQAYVSVNKIFADMILEVINPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHMGQLGSVLNLPETEVKVADLIKQFCNRGRVMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGKVVLVQIANPARGRGKDVKEVQDESCAMVKRINEAFGLPGYKPVILIDKPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYIIARHGNDKLDKVLGLSPTNAKKSMLVVSEFIGCSPSLSGAIRVNPWNIDTVADAMASALEMADAEKQLRHEKHHRYVSSHDVGYWAKSFLQDLERSCKEHNRRRSWGIGFGLKFRVVSLDSNFRKLVMEHIVSAYRRTSTRAILLDYDGTLMPQASIDKSPSPKSIEILNSLCNDKNNLVFLVSARSRSTLSDWFSPCENLGMAAEHGCYFRLRRDAEWETCVAVADHSWKQIAEPVMSLYAELTDGSTIENKETALVWSYEDADPDFGSCQAKELLDHLESVLANEPVSVKSGPNNVEVKPQGVSKGLVAEQLLSTMKKRGLSPDFVLCIGDDRSDEDMFEVITTSMSDSWLSPLAEVFACTVGQKPSKAKYYLDDTAEIVRLMQGLASVSEQTPRSSVPL >Ma01_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20199193:20199309:1 gene:Ma01_g21120 transcript:Ma01_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKERENSNILLGLGAFLLLLRLFILGAYMQINLRNQ >Ma08_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14444860:14447120:1 gene:Ma08_g14690 transcript:Ma08_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRTSPRPEARRTGNAFIIASMLLSLCIISLIKARYCAVPFGKLQESTELELAMEALRMVTAKRGDPASKGEKEEIAAMDLKKPLCVETSRRSDVCEAEGDVRVKGSWQTIFVHPSLASQEWKMKPYARKHDRPALAHIKEWTIKPFPDQEPAPTCTENYTIPAVVFSIGGYTGNLFHDFTDVLVPLFITSHHFHGDVQFVIADAKSWWLSKFSLILKQLSKYEIIDADNDQDVVRCFPRVIIGLNFHKELGVDPAKAPNGYSIMDFKEMLRKAYGLERPTAEPSGDQWDVRRKPRLLIISRKSSRVFLNERGMSDMAMSLGFDVRIADPDVATDLGKFARLVNSADVMIGVHGAGLTNIVFLPAGAVLIQVVPMGNLEWLARDTFENPSPDMQLRYLDYRIQADESTLSDQYPKDHPVFTDPQSIHKKGWNELSRTYLENQDVKPHLGRLRITLLEALNTLSHSRKMTQ >Ma08_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36333862:36339375:-1 gene:Ma08_g22870 transcript:Ma08_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRRSMGRASPLFLVLLGLGVFFATYNLVTLVIHHQRRERRAELPGVDPVTRMPDELRRAEGSRRPFHVVVTATDAAYSRWQCRVMYYWYKRMKDGEGSEMGGFTRVLHSGKPDSLMDEIPTFVVNPLPAGVDRGYVVLNRPWAFVQWLEKATIEEEYILMAEPDHVFVRPLPNLARDDYPAGFPFFYIKPAEHSQIIRKFFPKEKGPVTNIDPIGNSPVIIKKSKLEKIAPTWMNISLKMKEDPETDKAFGWVLEMYAYAIASALHGVQHILRKDFMIQPPWDLKLDSTFIIHFTYGCDYTLKGELTYGKIGEWRFDKRSFLHGPPPRNLSLPPPGVPESVVTLVKMVNEATANIPNWDDGR >Ma07_p27120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33619151:33620157:1 gene:Ma07_g27120 transcript:Ma07_t27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALRHRLSVPLAPPCVTFLLYKRRLVPPSSAYSSHIANTRKIPKQKRRDSQEQEDEAMQKERAEPALVDYLSFRDLGADRLTSSAHHESSKRISAADRGDEGDDGFEFAFVLNDPGKGDQPIPADEIISDGRIRTLCPILDNGLVLADGSPDREKIRRLPVEEPQRGFSLDSTSSSEADEIEKIPPETYCAWTPGRCKKSTSMGSLLRWRIRDLVGRRSHSDGKEKFVFLTADERSGHKKKATKDAPTRSAIRNGRKAAAKAGEEEDEEEEEKEIGKKKKKKEKCGRGGGEGGHRHRR >Ma06_p33780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34288744:34291554:1 gene:Ma06_g33780 transcript:Ma06_t33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEKEVTEAPTTIFDKIIKKEIPSTVVYEDDKVLAFRDIAPQAPTHILIIPKVKDGLSGLSKAEERHVEILGYLLYIAKLVAKQEGLGDGFRIVINDGNDAGQSVYHLHVHLLGGRQMNWPPG >Ma06_p33940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34382155:34383018:-1 gene:Ma06_g33940 transcript:Ma06_t33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSLFLLKNSLASRMKKGFRNFCHGVSSTSTLRQKKAEPDVSCVAAPSVEGSYLEESNATATPLTLEQMILQLDMEEEAARREQLDDYSESSFRNLGARPSSFEGGRRSRNLCLPPTVAGENVVWCKPGVVAKLMGLDAVPVPIGGRRGKNGAINPASASRKQSLRRNGRHELEKERVLHMGLHGCKGIGMGRESSASCSAAGYCVMNPISVDQCRSAEAWRFGRAR >Ma07_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27691474:27691911:1 gene:Ma07_g19740 transcript:Ma07_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPPSHARSDQDSLPTASAAPDQTIVDGGTTVAADDGGEEKKGEANGGSEEEEECGFCLFMKGGGCKDAFVAWEKCMQEAEKRDEDIVDKCSEAAALLKKCMDAHPDYYEPILRAEQALADAAAAAAANDRDSEDEKKKGKHS >Ma01_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9087978:9091527:-1 gene:Ma01_g12500 transcript:Ma01_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGDSKRGFGEFQSAKAAALKGSRSSSVRPRYISGPESWAQNYPPFAREEEREKETPDMESVADELASTHPYFYEDEPLVVVLAEESPIEQVRLTVPVTDDPTQPCLTFRTWTMGLISCVLLAFVNQFLDYRQNQIVLSSVCVQILTLPVGRAMAATLPTTPIKVPLTNWSFSLNPGPFNLKEHVLITILANAGAGGVYAVNIVTIMKAFYHRNINIVAALLLSVTTQLLGYGWAGLFRKYLVDSPYMWWPGNLVQVSLFRALHEEEKRPKGGVSRFQFFLIVIACSFAYYVVPNFFFPAITSISVVCLIWTKSVTAQQIGSGLHGLGVGSFGLDWSTISGFLGSPLASPAFATFNVLAGFIVLVYVIAPIAYWTNAYSAKNFPMFTSGLFDVDGKKYDLSRVLDPKTFSLNVQEYDSYSDIRLSIMFAISYGLGFATLTATLSHVFFFNGSYILTLWRQTASKAHDHYLDVHGRLMKANYEAVPQWWFHIVLVVVMALAIFTCEGFDKQLQLPYWGVLLAMAMAFVFTLPIGVILATTNMEPGLNVITEMVIGYIMPGKPLANVVFKTYGYISMSQAHTFLADFKLGQYMKIPPKAMFIAQLVGTVVASAVYFGTAWWLLGTVTAICDTSNLPEGSPWTCPNDAVFYSASIIWGVVGPLRMFGPKSIYSSLNYYFLVGMLLPFAVWLLARTFPRKKWIKLINFPVLLGSTSMMPPAHAVNYTSWFVVGIFFNYYVYNKYKNWWGRYVYVLSAGLDAGTAFMAVLAFLTLNNYDIYSVDWWGGVDDDYCPLAKCPTAGSYVPDGCPAIQ >Ma04_p37330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35298738:35299484:1 gene:Ma04_g37330 transcript:Ma04_t37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICMPTMELPLSENKTGVTMELPVSEKKTEREPEQQPASPPPQADANGFNFLTIIALLFLTVNSADVAYRSRHDPPTLAFVVFAYSDLMMLLFCLKKMEQLPLEGRERLKFPVWALSTALILAFSWRVAEIMPLVLAVVVWLLSGSVAVGGFYGLFIYRETEDGGVVDHAYSLAKTNELSPEEKV >Ma11_p05110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3942949:3953796:-1 gene:Ma11_g05110 transcript:Ma11_t05110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESVHKLEQEAGFFFNMKYFEEKVQAGEWEEAEKYLSGYTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVETLIKDLKVFSTFNEDLYKEITQLLTLENFRENEQLSKYGDTKSARSTMLVELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKTPRSNPDIKTLFMDHACAPPNGARASPVSVPVAAVPKAVGTYTPLGAHGPFPPQAAANASALAGWMANAAASSSVQSAVVAPSSIPLPPNQVPILKRPRTPPNAIGMSNYQNAESEQMMKRLRSGAHPVDEVSYPAPHPQVTWSLDDLPRVVACSLTEGSNVTSMDFHPFHHTSLLVGSNTGEITLWEIGIQQKLVSKPFRVWDTAACSPQFQSAIVKDSSISVTRVIWSPDGSLIGVAFSKHLIHIHEYQAPNDLRLFELRQFDLRQLLEIDAHVGGVNDIAFSQRDERLCVVTCGDDKLIKVWDLSGQRLYVFEGHEAPVYSICPHRKENIQFIFSTSVDGKIKAWLYDNVGSMVDFNTPGHLFTTMVYSADGSRLFSCGTSKDGDCILVEWNESEGSIKRQYSGFRKKSTVVVQFDTSQNHFLAAGEDNQIKFWSVDSINMLASTDADGGLPSRPHLRFNKKGNLLAVATVDNGFKVLANADGLAALRAFGNRSFEPFRAQHEATPIRVSNSPVVASISPNISNVESLDRNSPAKPSTVLNGGDITPRNVDKPRISEELPDKMKSWELAEVFNPQQCRVATMPETDSASKVTRLLYTNSGVGLLALGSNAIQRVWKWSRNEQNPSGKATASVVPQHWQPNSGLLMTNDVSDTSPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVKVDEVQTKLKGHQKRISGLAFSNNLNILVSSGADAQLYIWSTETWEKKKSVAIQLPEGTKSVGDTRVQFNSDQSRLLVVHETQLAIYDTLKIERIHQWVPQDALPAPISYASYSCFSELVYASFCDGNIGVFDADNLRLRCRIAPSAYTSPAAASSFSQSIVRIVDSPADQHSFSFFSNPTYPLVIAAHPQEPNQFAVGLTDGAVKVIEPSKSEGRWGAPTPVDNGVHVRRMQTLSTTSNPAADQPQR >Ma11_p05110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3942949:3953796:-1 gene:Ma11_g05110 transcript:Ma11_t05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESVHKLEQEAGFFFNMKYFEEKVQAGEWEEAEKYLSGYTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVETLIKDLKVFSTFNEDLYKEITQLLTLENFRENEQLSKYGDTKSARSTMLVELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKTPRSNPDIKTLFMDHACAPPNGARASPVSVPVAAVPKAVGTYTPLGAHGPFPPQAAANASALAGWMANAAASSSVQSAVVAPSSIPLPPNQAVPILKRPRTPPNAIGMSNYQNAESEQMMKRLRSGAHPVDEVSYPAPHPQVTWSLDDLPRVVACSLTEGSNVTSMDFHPFHHTSLLVGSNTGEITLWEIGIQQKLVSKPFRVWDTAACSPQFQSAIVKDSSISVTRVIWSPDGSLIGVAFSKHLIHIHEYQAPNDLRLFELRQFDLRQLLEIDAHVGGVNDIAFSQRDERLCVVTCGDDKLIKVWDLSGQRLYVFEGHEAPVYSICPHRKENIQFIFSTSVDGKIKAWLYDNVGSMVDFNTPGHLFTTMVYSADGSRLFSCGTSKDGDCILVEWNESEGSIKRQYSGFRKKSTVVVQFDTSQNHFLAAGEDNQIKFWSVDSINMLASTDADGGLPSRPHLRFNKKGNLLAVATVDNGFKVLANADGLAALRAFGNRSFEPFRAQHEATPIRVSNSPVVASISPNISNVESLDRNSPAKPSTVLNGGDITPRNVDKPRISEELPDKMKSWELAEVFNPQQCRVATMPETDSASKVTRLLYTNSGVGLLALGSNAIQRVWKWSRNEQNPSGKATASVVPQHWQPNSGLLMTNDVSDTSPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVKVDEVQTKLKGHQKRISGLAFSNNLNILVSSGADAQLYIWSTETWEKKKSVAIQLPEGTKSVGDTRVQFNSDQSRLLVVHETQLAIYDTLKIERIHQWVPQDALPAPISYASYSCFSELVYASFCDGNIGVFDADNLRLRCRIAPSAYTSPAAASSNPTYPLVIAAHPQEPNQFAVGLTDGAVKVIEPSKSEGRWGAPTPVDNGVHVRRMQTLSTTSNPAADQPQR >Ma11_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3942949:3953796:-1 gene:Ma11_g05110 transcript:Ma11_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESVHKLEQEAGFFFNMKYFEEKVQAGEWEEAEKYLSGYTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVETLIKDLKVFSTFNEDLYKEITQLLTLENFRENEQLSKYGDTKSARSTMLVELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKTPRSNPDIKTLFMDHACAPPNGARASPVSVPVAAVPKAVGTYTPLGAHGPFPPQAAANASALAGWMANAAASSSVQSAVVAPSSIPLPPNQVPILKRPRTPPNAIGMSNYQNAESEQMMKRLRSGAHPVDEVSYPAPHPQVTWSLDDLPRVVACSLTEGSNVTSMDFHPFHHTSLLVGSNTGEITLWEIGIQQKLVSKPFRVWDTAACSPQFQSAIVKDSSISVTRVIWSPDGSLIGVAFSKHLIHIHEYQAPNDLRLFELRQFDLRQLLEIDAHVGGVNDIAFSQRDERLCVVTCGDDKLIKVWDLSGQRLYVFEGHEAPVYSICPHRKENIQFIFSTSVDGKIKAWLYDNVGSMVDFNTPGHLFTTMVYSADGSRLFSCGTSKDGDCILVEWNESEGSIKRQYSGFRKKSTVVVQFDTSQNHFLAAGEDNQIKFWSVDSINMLASTDADGGLPSRPHLRFNKKGNLLAVATVDNGFKVLANADGLAALRAFGNRSFEPFRAQHEATPIRVSNSPVVASISPNISNVESLDRNSPAKPSTVLNGGDITPRNVDKPRISEELPDKMKSWELAEVFNPQQCRVATMPETDSASKVTRLLYTNSGVGLLALGSNAIQRVWKWSRNEQNPSGKATASVVPQHWQPNSGLLMTNDVSDTSPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVKVDEVQTKLKGHQKRISGLAFSNNLNILVSSGADAQLYIWSTETWEKKKSVAIQLPEGTKSVGDTRVQFNSDQSRLLVVHETQLAIYDTLKIERIHQWVPQDALPAPISYASYSCFSELVYASFCDGNIGVFDADNLRLRCRIAPSAYTSPAAASSNPTYPLVIAAHPQEPNQFAVGLTDGAVKVIEPSKSEGRWGAPTPVDNGVHVRRMQTLSTTSNPAADQPQR >Ma11_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22088774:22092132:-1 gene:Ma11_g16610 transcript:Ma11_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPQQSSDSILATFSVDLLIQILDRVADSRDRKSWRQVCRGFLHAEALRRLSLRVLRLEALQGLLRRYAACLERLDLSACPSLDDHALAAALASGAGLWRLRSINLSRASGVGWRGLAALAKACPHLEAVDLSHCVGVGDREAASLAAAAGLRDLWLDKCLGLTDVGLAKVAVGCPALEMLGIKWCLEISDIGIELLAKKCQNLRVLDISYLKITNRSLQFVSSLRKLEDLSMVGCSHINDEGLQFLNNGSNSLQTVDVSRCENVTSSGLISVIEGHKYLQDLNIGDCFPELAPLFLSKLNYLRDSLTVLKLDGFQVFAPSLKIIGLNCRNLVEIGLSKCKWVTDEGVSELVAGCVNLTTIDLTCCHLLTNKALVAIGDKCKNLTCLQLESCKLITDNGLDCIGTCCSNLEEIDLTDCTMTNAAMKYLSRCSELTVLKLGLCDKVSDEGLVHIASNCKKLRVLDLYRCFEVTDDGLAAIATGCKRIKNLNLCYCTRITDLGLKHLSCLEDLHDLELRGVHCVTSLGITAIANGCQHLTELDLKHCHLVDDAGLFALGQYTKNLRQANLSYCQVSSMGLCNLLGSLKCLQDVKLVHLTQVPVEGFESALRASGGRLKKLKLLTGLRHLLSPGLIQMLQARGCRIRWVDKPLNLVP >Ma08_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15999684:16000813:-1 gene:Ma08_g15800 transcript:Ma08_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTSPSHLSIFLSLYKEPRVQSLKMDPILKWHLGFSMGKMVLFHSLENCLCLVGNHPFNPLAAKAATISLSAFGPGGPFGFDFFSNKPNRQNNRSSQKGSDSMHESQSNEWLESGQCPIAKSYRAVSGVLPLVAKVLKHHQQA >Ma08_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14811517:14820635:-1 gene:Ma08_g14870 transcript:Ma08_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALLLALAISSLLLVHAALAFAPKGRADLDHDEEDLSFLDEEDNGAADSHDDGGLGHYADESHSEADKEPEYADQDQYDAFDDADYGSHDMSPTIDETDVVVLTDGNFSDFLAKHRHVMVEFYAPWCGHCQALAPEYAAAATELRGEDVVLAKVDATEENELAQRFELQGFPTVLFFIDGVHKDYPGQRSRDAIVTWIKKKIGPGVQNITTIEEAEKILTSDSKVVLGFLDSLVGDESQELSSASKLEDGINFYQSVNPDVAKLFHIDPNAKRPSLVLLKKEAEKISYYDGQFSKSAIVDFVFANKLPLVTTFTMETAPEIFENPIKKQLLLFAISNDTNKVMPAFQEAAKLFKGKLIFVYVEMDKEDVGKPVSDYFGVTGDGPQVLAYLGNEDAKKFFLDGEVTLDNVKSFAEGFLDDKLKPFYKSDPIPETNDGDVKIVVGKNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIESLVIAKMDGTTNEHPRAKTDGFPTLLFFPAGNKSFDPITVDTDRTVKAFYIFIKQHAAIPFKLQKPAAAAKSETTTDGLASFVGEKSATPDVKDEL >Ma04_p22650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24913243:24927599:-1 gene:Ma04_g22650 transcript:Ma04_t22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGDAGDSRDLDETPTWAVAAVSSVFILISLLLEKGLHHLGEWLTKKHKKTLFDALEKVKAELMILGFISLLLTVGETYITKICIPYKVAETMLPCPPNDTLTSEAGGGNHRRLLMDQNAKRRILAAGSPASCPMGKVPLISVNGLHQLHIFIFFLAVLHVVNSAVIMALGRAKIHAWKEWEKETQSVDYAFSSDPSRFRFADEITFVKRHASFWNRITILLYVVSFFNQFLRSVSKVDYLTMRHGFISVHLAPGTKFNFKRYIKRAMEDDFKVVVGVSPILWASAVIVLLLNVHGWQELFWASMVPLVIILAVGMKLQAIISMMANEIRERHTVVQGIPLVHLSDQHFWFGHPRYVLFLLHLALFQNAFQITYFFWIWYEFGLKSCFHNEFKFIIARIIIGVGGQILCSYFTLPLYALVSQMGSHLKRSIFDEHTSKALKRWHQGVKKKLKETSQSGSRTPSPRVSPRASLNVSPVHSMHRYRSIGHMGEAHYRSPRRGASDHGNSGGEVEISSLQINQGAGPTEQQLHVKEGQDQENFSFSFAQLPAQSGQQSE >Ma04_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24913243:24927599:-1 gene:Ma04_g22650 transcript:Ma04_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGDAGDSRDLDETPTWAVAAVSSVFILISLLLEKGLHHLGEWLTKKHKKTLFDALEKVKAELMILGFISLLLTVGETYITKICIPYKVAETMLPCPPNDTLTSEAGGGNHRRLLMDQNAKRRILAAGSPASCPMGKVPLISVNGLHQLHIFIFFLAVLHVVNSAVIMALGRAKIHAWKEWEKETQSVDYAFSSDPSRFRFADEITFVKRHASFWNRITILLYVVSFFNQFLRSVSKVDYLTMRHGFISVHLAPGTKFNFKRYIKRAMEDDFKVVVGVSPILWASAVIVLLLNVHVMQIILAVGMKLQAIISMMANEIRERHTVVQGIPLVHLSDQHFWFGHPRYVLFLLHLALFQNAFQITYFFWIWYEFGLKSCFHNEFKFIIARIIIGVGGQILCSYFTLPLYALVSQMGSHLKRSIFDEHTSKALKRWHQGVKKKLKETSQSGSRTPSPRVSPRASLNVSPVHSMHRYRSIGHMGEAHYRSPRRGASDHGNSGGEVEISSLQINQGAGPTEQQLHVKEGQDQENFSFSFAQLPAQSGQQSE >Ma01_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9670202:9671000:-1 gene:Ma01_g13230 transcript:Ma01_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIFVTVPSGYHGNPYVAHGCQDIDEWSDKDQNPCHGICQNLPGSYNCFCRRGTHGDAFNGPCTQHQKLQSSAKEAIGKSPFSTMTFIFVEDLITHLISEEDMIPFTEESSRTGKSLPSKNLRSLMRAKRTNLEKLEVPKFVSNGTLFRLIHDNNNVSPFSLATRLRIALAYLHSSTSSPIIHGDVKSSNILDENYASKVSNFGASKLVLN >Ma06_p25940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26550815:26551808:1 gene:Ma06_g25940 transcript:Ma06_t25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTAAPENRMQPLKGRVAIVTGGAGGIGSAVCAHLASLGASVVIGYVGDPSPAEKLAETINTTYGAPRAIAVSADVSSFAQVKSLFDAAEATFGPNLHILVTAAAVIDAEYPPIADTSEESFDWMFGVNAKGTFLCCREAANRLVRDGGGRIITFTSSGVGSLRPGYGAYAATKGAIEVMTRVLAKELRGTRITANGVAPGSTATPMFYAGKSEEDVKACVAEIPLGRLGQPEDVAPLVGFLAGDDGEWVNGQIIRANGGNV >Ma04_p29460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30404975:30407734:1 gene:Ma04_g29460 transcript:Ma04_t29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRPDHWDPVEEDEGIDHFDRLPDSVLLVIFNLVGDVKALGRCCVVSRRFHALVRLVDEVVVRVDCVISDDPSLSRVAEAGGAAASDKPRGVFSHLARIVLGGLVKPLVALGQILSPSSSSSAAAAAAFTAARKSAPSSSSFSSTSSDVSHHSPTEVLKNFNVIRRLRIELPDGELGVDDGVLLKWRADFGSTLDSCVILSASSVAFSSSISPDSPNPNPSLSFEDACVGDDCGSMPDSFYTDGSLKRRVVWTISSLIAASARHYLLHPIVADHETLESLHLTDADGQGVLTMDRLQLQELRGKPVMASGCSQRTLLPALSMRLWYAQQLELPDGMLLKGATLLAIKPSEEWTTGAVGGSVGLSDGCRVSDAFEEPYRTAAKMLMNRRTYCLEMNSF >Ma04_p29460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30404975:30407734:1 gene:Ma04_g29460 transcript:Ma04_t29460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRPDHWDPVEEDEGIDHFDRLPDSVLLVIFNLVGDVKALGRCCVVSRRFHALVRLVDEVVVRVDCVISDDPSLSRVAEAGGAAASDKPRGVFSHLARIVLGGLVKPLVALGQILSPSSSSSAAAAAAFTAARKSAPSSSSFSSTSSDVSHHSPTEVLKNFNVIRRLRIELPDGELGVDDGVLLKWRADFGSTLDSCVILSASSVAFSSSISPDSPNPNPSLSFEDACVGDDCGSMPDSFYTDGSLKRRVVWTISSLIAASARHYLLHPIVADHETLESLHLTDADGQGVLTMDRLQLQELRGKPVMASGCSQRTLLPALSMRLWYAQQLELPDGMLLKGATLLAIKPSEEWTTGAVGGSVGLSDGCRVSDAFEEPYRTAAKMLMNRRTYCLEMNSF >Ma03_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25240988:25245472:1 gene:Ma03_g20020 transcript:Ma03_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMAPPTARRFGQIPPPNPRGGGGGGGGGGAHRRSQSETFVRLPDDILFDPDPDFDIDFPSLSDNSVSAGDVGGCGTLVAETPGVSDPATAGRPVSGAHLRSLSLDAAFFDGLGFQAGAAAGDGGGGGGAQERRGHHRRSGSMDGSISSFEGESGASLSDYAKKAMTADKLAELSLLDPKRAKRILANRQSAARSKERKIRYTNELERKVQTLQTEATTLSAQLTLLQRDTTDLTAENRELKLRLQAMEQQAQLRDALNEALREEVQRLKIATGQLPNANGNPFNGGLRQSVSNYYHPQQSPPPSGRQAHHLHPSQAQSSSNGQSVSVHSPNDPMDFM >Ma07_p02960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2312587:2327027:-1 gene:Ma07_g02960 transcript:Ma07_t02960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILCPDVPIALRLSSHLLLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSAAVDLPPEESTAPYHSITLPETFHLDDFELPDSGDFVDHHVSTKEQITLQDTVGGMEFSSMQFGSDERFGDGIDSQIGLDIDELQDLFLDKHPSHEHTSVAGSDECMLQGQSSSSMLVTEMGIDKDQGGSVKGYNIQTSNLNEAFSPNDHNVDQIGHSSIVDVPNLESTYCVHAPLTPGLMGEAISTPIPESPALSPHIKHSPSFGEEDLKTDGGIIHMSTMEPELAHVPQVVSSPTVLLEEAQQIAGPGEHCEQESTDDFQIKFVAEEAKDLLCDDQNLDNGENTFPDISQMEGLVSSSCSLEASNSEVPQDKSPVETGVVTATSEASTENLLAPAVTSATKISSLGVEAQPSSNQDLEVVVDPCPQTSLHLRASSSESNQANTHSLENLEPVQDAPEASLEDLCVPSQMPVREKALHSIECIENQGSVPVVGNTNEISVDLSSKDAELDRLNCSSSPEKMLLAPTSDVDQAKELSQLTVDKGVTESDGSVGRISSLSGRKRHLIDSALVLPNGSSAKMSGRKPFRRSTESIPDDDDLLASILVGKRTPLLRIGPTPPLPKATSLKRPRATPKLGMLKKVLLDDITVLHADAIRQQLMHTEDIRRVRRKAPCTHPEIWMIQKSSADDEIFMEPITTGMSVELSALHSQVYDYVADHLNSCAELLKESNYSRRSGIIREADEKETADPTVPNSTGFGRQESPGVSVSTEVGSSMIAYNSDAQEHLQSRAGLPQLEALGNNTPCTVVTTDINEQERNDKAPADGLATGENDPEGRPVDKKMPAVLDSDTMIDVEVECAATDASVMEERGSVDVVIPSDPQDVCFEIENECSHSLVSTLDSCLPQDDENLPEGRLTVMLDVSSSQDMIQEVGEPTDKAMQEQEGQLGNRVENEAIAHIVMDNKEVSIPDISFSEGSEIAGLSSLKPNSDIQNIPSLVGENSCLLEFNLAGSGESPVDFNTAKECSDFCSAIGGNGTEFLNADDEADYNEEADDALNPEEAQSLENSGWSSRTRGVARYLKNLFEGESGRVRKNLAMDHIVAGRSRKEASRMFFETLVLKTKDYIHVEQENPFSYVNIEPRSKLLKSEF >Ma07_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2312587:2327027:-1 gene:Ma07_g02960 transcript:Ma07_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILCPDVPIALRLSSHLLLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSAAVDLPPEESTAPYHSITLPETFHLDDFELPDSGDFVDHHVSTKEQITLQDTVGGMEFSSMQFGSDERFGDGIDSQIGLDIDELQDLFLDKHPSHEHTSVAGSDECGMLQGQSSSSMLVTEMGIDKDQGGSVKGYNIQTSNLNEAFSPNDHNVDQIGHSSIVDVPNLESTYCVHAPLTPGLMGEAISTPIPESPALSPHIKHSPSFGEEDLKTDGGIIHMSTMEPELAHVPQVVSSPTVLLEEAQQIAGPGEHCEQESTDDFQIKFVAEEAKDLLCDDQNLDNGENTFPDISQMEGLVSSSCSLEASNSEVPQDKSPVETGVVTATSEASTENLLAPAVTSATKISSLGVEAQPSSNQDLEVVVDPCPQTSLHLRASSSESNQANTHSLENLEPVQDAPEASLEDLCVPSQMPVREKALHSIECIENQGSVPVVGNTNEISVDLSSKDAELDRLNCSSSPEKMLLAPTSDVDQAKELSQLTVDKGVTESDGSVGRISSLSGRKRHLIDSALVLPNGSSAKMSGRKPFRRSTESIPDDDDLLASILVGKRTPLLRIGPTPPLPKATSLKRPRATPKLGMLKKVLLDDITVLHADAIRQQLMHTEDIRRVRRKAPCTHPEIWMIQKSSADDEIFMEPITTGMSVELSALHSQVYDYVADHLNSCAELLKESNYSRRSGIIREADEKETADPTVPNSTGFGRQESPGVSVSTEVGSSMIAYNSDAQEHLQSRAGLPQLEALGNNTPCTVVTTDINEQERNDKAPADGLATGENDPEGRPVDKKMPAVLDSDTMIDVEVECAATDASVMEERGSVDVVIPSDPQDVCFEIENECSHSLVSTLDSCLPQDDENLPEGRLTVMLDVSSSQDMIQEVGEPTDKAMQEQEGQLGNRVENEAIAHIVMDNKEVSIPDISFSEGSEIAGLSSLKPNSDIQNIPSLVGENSCLLEFNLAGSGESPVDFNTAKECSDFCSAIGGNGTEFLNADDEADYNEEADDALNPEEAQSLENSGWSSRTRGVARYLKNLFEGESGRVRKNLAMDHIVAGRSRKEASRMFFETLVLKTKDYIHVEQENPFSYVNIEPRSKLLKSEF >Ma07_p02960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2312587:2327027:-1 gene:Ma07_g02960 transcript:Ma07_t02960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILCPDVPIALRLSSHLLLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSAAVDLPPEESTAPYHSITLPETFHLDDFELPDSGDFVDHHVSTKEQITLQDTVGGMEFSSMQFGSDERFGDGIDSQIGLDIDELQDLFLDKHPSHEHTSVAGSDECGMLQGQSSSSMLVTEMGIDKDQGGSVKGYNIQTSNLNEAFSPNDHNVDQIGHSSIVDVPNLESTYCVHAPLTPGLMGEAISTPIPESPALSPHIKHSPSFGEEDLKTDGGIIHMSTMEPELAHVPQVVSSPTVLLEEAQQIAGPGEHCEQESTDDFQIKFVAEEAKDLLCDDQNLDNGENTFPDISQMEGLVSSSCSLEASNSEVPQDKSPVETGVVTATSEASTENLLAPAVTSATKISSLGVEAQPSSNQDLEVVVDPCPQTSLHLRASSSESNQANTHSLENLEPVQDAPEASLEDLCVPSQMPVREKALHSIECIENQGSVPVVGNTNEISVDLSSKDAELDRLNCSSSPEKMLLAPTSDVDQAKELSQLTVDKGVTESDGSVGRISSLSGRKRHLIDSALVLPNGSSAKMSGRKPFRRSTESIPDDDDLLASILVGKRTPLLRIGPTPPLPKATSLKRPRATPKLGMLKKVLLDDITVLHADAIRQQLMHTEDIRRVRRKAPCTHPEIWMIQKSSADDEIFMEPITTGMSVELSALHSQVYDYVADHLNSCAELLKESNYSRRSGIIREADEKETADPTVPNSTGFGRQESPGVSVSTEVGSSMIAYNSDAQEHLQSRAGLPQLEALGNNTPCTVVTTDINEQERNDKAPADGLATGENDPEDASVMEERGSVDVVIPSDPQDVCFEIENECSHSLVSTLDSCLPQDDENLPEGRLTVMLDVSSSQDMIQEVGEPTDKAMQEQEGQLGNRVENEAIAHIVMDNKEVSIPDISFSEGSEIAGLSSLKPNSDIQNIPSLVGENSCLLEFNLAGSGESPVDFNTAKECSDFCSAIGGNGTEFLNADDEADYNEEADDALNPEEAQSLENSGWSSRTRGVARYLKNLFEGESGRVRKNLAMDHIVAGRSRKEASRMFFETLVLKTKDYIHVEQENPFSYVNIEPRSKLLKSEF >Ma08_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33058591:33061684:-1 gene:Ma08_g19240 transcript:Ma08_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARAYQGNRSGAVAEWLNEGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWICWVTWAYGMSFGDKLLPFWGKARPALGQKLLIQQAALPATTHYRRDGTEETPMITPFYPMASMVYFQCVFAAITVILLAGSLLGRMSIKAWMLFVPLWLTFCYTVGAFSLWGGGFLFQWGVIDYSGGYVIHLSSGVAGLTAAYWVGPRTPSDRERFPPNNVLLVLVGAGMLWMGWTGFNGGDPYAANIDSSMAVLNTHICAATSLLMWTTLDVAFFKKPSVIGAVQGMMTGLVCITPGAGLVQGWAAMVMGILSGSIPWYTMMVVHRRWAFLQKIDDTLAVFHTHAVAGFLGGASTGLFAEPVLCSLFLPVTGSRGGVYGGVGGVQFLKQVVGAGFVVAWNAVVTTLICVAIRVVTPLRMSEEQLKIGDDEVHGEEAYALWGDGERFDVTRHGSNSESESQQLHVPTGVTQNV >Ma11_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2949023:2951367:1 gene:Ma11_g03850 transcript:Ma11_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEESTKMEVEGVAGTAAHPPPAETVKDLAKDKSAVPPPEEEEKPDDSKALATVDTTEAAATKKTSGGSIDRDAVLARVETEKRESMIKAWEENEKTKAQNKAAKKMASITAWENSKKAALEAELKMKEEALEKKKAEYVEKMKNKIAMLHKAAEEKKAMVEAKRGEEFLKAEEMAAKYRVTGFTPKKLFGCFGA >Ma07_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6927937:6929562:-1 gene:Ma07_g09220 transcript:Ma07_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDRVFFFCFLSLLACAAINGQLSPTFYGSTCPNLQSIVRSAMRQAVNKEPRMGASILRLFFHDCFVNGCDGSILLDDTSSFTGEKSAGPNANSARGFEVIDTIKSNVEAACKATVSCADILALAARDGVVLLGGPTWTVQLGRRDATTASQSAANSNLPGPGSSLSQLISSFAAKGLSARDMTALSGAHTIGQARCTSFRSHIYNDANVNASFAALRKQNCPSSGGDGNLAPLDLQTPTTFDNKYYQNLVVRKGLLHSDQELFNGGSQDSLVQQYSTNPAVFNGDFTAAMVKMGNISPLTGTKGQIRLNCRKAN >Ma01_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6702528:6703878:-1 gene:Ma01_g09270 transcript:Ma01_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKGSIDYEKIVRDTCRSIGFTSDDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKHPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYHNDHGAMVPIRVHTILISTQHDETVTNDEIAADLKEHVIKPVVPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQISYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKDTFDFRPGMITDNLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKLAA >Ma11_p06720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5396420:5405129:1 gene:Ma11_g06720 transcript:Ma11_t06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPQKRRPRDGVDDEDAEAIKKRRLSDGEGEEDDGSGSLPGLSVYKDEDDEAEEAMVRRERGSDGSNGIGEVGSARGEAVENGGHRVGGVGDEEVQEVEDEAADRPPAPVRQQRQVERRRDCPYLDTVNRQVLDFDFERFCSISLSNLNVYACLVCGKYYQGRGLNSHAYTHSLEAGHHVYINLQTEKVYCLPDGYEIDDPSLDDIRHVLNPRFSKGQVLHLDENRQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKVSNKRFQIGVQSDPVEFMSWLLNTLHAQLRISKKKDRSIIYDCFQGELEVVKEIQTKLLIEKKDNDEEQYNVTVAEGGSSIDNVVREASRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETITEVVRPCIARMRYRVIRLPKYLILHMRRFTKNNFFIEKNPTLVNFPVKNLELKDYIPLPPPKENKKLRSKYDIIGNIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVTETLPQMVALSEAYMQIYEQQE >Ma11_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5396420:5404879:1 gene:Ma11_g06720 transcript:Ma11_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQKRRPRDGVDDEDAEAIKKRRLSDGEGEEDDGSGSLPGLSVYKDEDDEAEEAMVRRERGSDGSNGIGEVGSARGEAVENGGHRVGGVGDEEVQEVEDEAADRPPAPVRQQRQVERRRDCPYLDTVNRQVLDFDFERFCSISLSNLNVYACLVCGKYYQGRGLNSHAYTHSLEAGHHVYINLQTEKVYCLPDGYEIDDPSLDDIRHVLNPRFSKGQVLHLDENRQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKVSNKRFQIGVQSDPVEFMSWLLNTLHAQLRISKKKDRSIIYDCFQGELEVVKEIQTKLLIEKKDNDEEQYNVTVAEGGSSIDNVVREASRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETITEVVRPCIARMRYRVIRLPKYLILHMRRFTKNNFFIEKNPTLVNFPVKNLELKDYIPLPPPKENKKLRSKYDIIGNIVHDGKPGEGSYRVFVQRKSEELWYCAIKV >Ma04_p35960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34496398:34504817:1 gene:Ma04_g35960 transcript:Ma04_t35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVANVVGLIRTFIDAAETAQSLREDCLEFPEYLQPITSHLEKLQDKALSDGTIKTLTKLEDTLERSYELVHGFHRQKYVSRMINHSHLRNQMRRAQDDIDKYLRLIPLTLLSEEARARTHNRKAGLDDRCEVICGNFLEMPFADASFDGAYSIEATCHAPRLEDVYREVFRVLKPGALYVSYEWVTTALYRADDPAHVQTIRGIEAGDALPGLRAQHEIAEVARQVGFEVAEERDLALPPAGPWWTRLKMGRISYWRNHLLVSALTALRVAPKGVVEVHEMLYETARHLSDGGESGIFTPMHMILCRKPLAAPPPSS >Ma08_p28130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40164036:40172090:1 gene:Ma08_g28130 transcript:Ma08_t28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPAAARNILVTGGAGYIGSHTVLQLLKGGYRAVVVDNLDNSSEVALERVRELAGEFGKNLDFHRIDLRDREALEKVFSSTKFDAVIHFAGIKAVGESVRKPLLYYNNNLIGTIILFEVMAAYGCKKLVFSSSATVYGSPKEVPCTEEYPLCAINPYGRTKLMTEDMCRDICQGDNEWDIILLRYFNPVGAHPSGYIGEDPRGIPNNLMPFVQQVAVGRRAALTVFGNDYSTKDGTAVRDYIHVVDLADGHIAALQKLFEGSHIGCDVYNLATGRGTSVLEIVAAFEKASGKKIPLVMAGRRPGDAEIVYASTAKAEKELHWKAKYGIEEMCRDQWNWTSKNPWGYKLPEAAANGNGKCPTSK >Ma02_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23579713:23586657:1 gene:Ma02_g16100 transcript:Ma02_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLLSCPSGSPQIYRIPNASTFHSKRFPRFPARSRSRTLTLQASASRIPPAAASSAAAAAPAAAAGFRHCFSKGEDGFLYCEGVRVEDVMEAVERSPFYLYSKDQITRNFEAYKAALEGLKSVVGYAIKANNNLKILEHLKGLGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKLLEDLVLAAEKGVFVNVDSEFDLENIVTAARVVGKRVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDAVKTHSSEIDLVGVHCHLGSTITKVDIFRDAAILMVNFIDQIRAQGFQLEYLNIGGGLGIDYHHTGAVLPTPLDLINTVRELVLSRNLTLIIEPGRSLIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYGAYQHIELVSPPLPNAETSTFDVVGPVCESADFLGKDRELPTPAKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVDDGSVVKIRHGETIEDFVKFFDGL >Ma03_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10023524:10027672:-1 gene:Ma03_g13010 transcript:Ma03_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAISLEEIKNDAVDLEHIPVGEVFEQLKCDRNGLTNVEGENRIKIFGLNKLEEKKESKVLKFLGFMWNPLSWVMESAAIMAIALANGGGKPPDWQDFIGIISLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTRNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMAIEIVVMYPIQRRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRNLIEVFERDVDREAVILHAARAARVENQDAIDAAIVGMLADPKEARAGIDEVHFLPFNPVDKRTAITYVDSFGNWHRSSKGAPEQIVELCNMREDAKKKVHAVIDKFADRGLRSLAVARQEIPEKRKESAGGPWQFMGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGNKNDDFTGSIPVDELIEKADGFAGVFPEHKYEIVKRLQERSHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFNFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLREIFATGIVLGTYLALMTVLFFWIADQTDLFPKTFGVRPIRDNQDELTSAIYLQVSVVSQALIFVTRSRSWCFVERPGLLLVAAFVVAQLVATFISVYASWGFARIHGIGWGWAGVIWLFSIVTFFPLDILKFIIRYTLSGKAWVNLYESKTAFTTKLDYGKGEREAQWALAQRTLHGLQPPDSSGLFNEKNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETMQHHYTL >Ma05_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25547174:25553588:-1 gene:Ma05_g18920 transcript:Ma05_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGRSASNSSQSPRLRPKMEPPGEPSRLSPPSPSVSPLPDSAAAAPSALQPDGGGGGGEGVPRPLEALQSSPIPPFLSKTYELVDDPALDAVLSWAPAGRSFVVWDPVEFARVVLPRHFKHNNFSSFVRQLNTYGFHKIDADRWEFANEDFLQGNRVLLKNINRRRSSQVHQIAMQVSLSAEPENSGLEGEIHMLKSDRSALMQEIMRLQQEHLITVKQMDMLKLQMQSAEQRQKQMVSFLAKVIRNPVFLVHLRQQQEQSGIASPRVIRKFLKQKPSSNTDAIGSIDQGAKNMLGFEVVAASTLQDPEHVVNKEIPDILPDFVEKLGLHASGEELIEGLDEAEVDALAPLFLDAATVSSMAKNPESHQSQIQLSITPAECFSSFSQGVETERTSFSATVPPSESMTQDLVSLVLRGKNTVSYTLDATYGASEDLISFPEDASEEKMRDKGPARDITIDQEEVWEAVTEAGQQSFGCEGNVWEDFVHDPSVLGFAAGLDVPWDPVLHVLDGNLEFDKWDNAGLCLQESGDQSASLKNDNPENMQQ >Ma08_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34954560:34962816:-1 gene:Ma08_g20910 transcript:Ma08_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVTSCKEGKMGIDQGKYVRYTPEQVEALERLYHECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESAQLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQQTQNTALATTDTSCESVVTNGQHHLTPQHPPRDASPAGIISIAEETLSEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTKVAEILKDRVSWFHECRSVDVINVLPTANNGTIELLYMQVYAPTTLAPARDFWLVRYTSVLEDRSLVVCERSLTSMLGGPSMPLVQPFVRAEMMPSGYLIRPCEGGGSVIHIVHHLDLEPWSVPEVLRPLYESSIVLAQKTTLAALRHLRQIAHEISHPSISGWGRQPAALRALSQRLSRGFNEAVNGFADDGWSMVTTDGIDDVTVFVNSSTSKMMGLNLGIINGFASTSSSVLCAKASMLLQNISPPMLLKFLREHRSEWADRNIDAYSAAAVKATPWALPVSRAGCYGGQVILPLAHTLDHEDFLEVIKLENFGHNQETLMPQDLYLLQLCNGVDGNAFGTCSELIFAPIDASFADEAPLLPSGFRVIPLDFKMDPTSPNRTLDLASVLEVGPTGSRASNDYSGNCGSTKSVMTIAFQFAFESHLQENVASMARQYIRNIIASVQRLALALSPSCLGSHVDLRIPPGNPEAVTLAHRICHSYRAHSGVELVKPSTDGNDSLLKMLWHHSDAIVCYSMKTIPVFTFANQAGLDMLETTLVALQDITLEKIFVDEGRKTLCTEFPHIIQQGFVCFQAGLCISSMGRPVSYDRAVAWKVLDDEDNVHCICSMFVNWSFV >Ma02_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27404263:27406069:-1 gene:Ma02_g21900 transcript:Ma02_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSNGQLSIPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIRDVDINKLEPWDLKEKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSSSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLEDRNPDIQEDGWVVCRVFKKKNYQRGIPPEAVMEEDQFSPWKASGSVPADQKQSIQTPYDLSFNASMHLPQLLSSEATISPFVPPLSINSLDLECSQNLMKLTTGGGALLPQDRFPGDWSILDKLLASHQDLDQLFQSKCNPPSQLLDMATSVHRNPFQYLGCEADLLKFSN >Ma07_p29060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34879697:34884551:-1 gene:Ma07_g29060 transcript:Ma07_t29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASLLETISVFREPPKCRPQRLLRWNPILQPPLPQFPLIRSRKPIRFALGCSPRPPSAAAGDEQKRRPLDAALRAVLETLDRLKKPAAAIILIGFLLTADSHGALAASGGRMGGKAFSSSPSRSHSSSSWSYSAPPSSRFSYSAPYYAPSPFGGGFYAGPAFGVGFGAGSGFFLLMMGFAAVILLSGFLSDRADDGSVLTATQKTSVIKLQVGLLGIARSFQKDLDQIAEAADTSTPEGLNYILTETTLALLRRPDCCISAYSSVDVKRSIEDGEKRFNQLSIEERGKFDEETLVNVNNIRRQKTGNQTFRSFSNEYIVVTILVAAEGVYKLPVINGSGDLKEALQKLGSIPSSKTLAVEVLWTPQNENDTLSERELLEDYPLLRPL >Ma05_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7539187:7541490:-1 gene:Ma05_g10460 transcript:Ma05_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQAGQCGNQIGAKFWEVVSDEHGIDAKGDYVGDSRLQLERVDVYYNEASGGRYVPRAVLMDLEPGTMDAVRTGPYGKLFRPDNFVFGQNGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDLLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFTPLTSRGSQQYRALSIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMISVQNKNSSYFVEWIPNNVKSSVCDIPPTGLAMSATFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVVDEDDDEYGDQEAE >Ma06_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5046706:5051445:1 gene:Ma06_g07080 transcript:Ma06_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSAPVVVTYVTLALLILFLLSLGPSRPHISHRRLKLRPASGTTAAGDRRIPFDPIIADIELRRDDREWERAHFPSIVGAPPAEAQPEWEGFIDAEDYINDEGRFNVSHRISLLFPKIDVGPADGFLTSKELAEWNLKQSEKEVLHRTRRDMKLHDKNRDGFISFQEYEPPSWVRRLIDNETDDKFGWWKEDHFNASDMDGDSLLNLIEFNDFLHPADTSNPKLIEWLCQEEIRERDKDKDGKLNFEEYLTGLFHLIRNYDEVYSSTHETDASNEIPAKKLFTRLDLDNDGFLSADELKPVIHDLHPSERYYAKQQADYVLSQADTNKDGHLSLQEMLDNPYVFYSAIFEEENDFIHHDELR >Ma07_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:54814:56843:-1 gene:Ma07_g00020 transcript:Ma07_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKSKILIIGGTGYIGKFMVTASVRSGHPTFALIRDSTPSDPAKAKLLEDFKASGVTLLRGDLYDHESLVKAIKQVDVVISTVGFMQTADQSKILDAIKEAGNIKRFFPSEFGNDVDRAHLVEAAKPILVEKVQFRRTVEASGIPYTFVACNWFAGYFLPTLAQAGASGLPVDKVTILGDGNVKAIFVDEDDIGTYTVRSADDPRTLNKILYLRPSANTLTHNELVSLWEKKVGRTFERVYVPEEEVLKQIQEGPFQMTIILAIMHSVFIKGDHTNFEIDPSSGVEATALYPDVKYTTVDEYLNRFL >Ma08_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8959618:8960937:1 gene:Ma08_g11940 transcript:Ma08_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNLVHKAAGQIPALIEGLKRKRGKMSTGARKRRSSSFLSLGCGCTDAKSVSVSGSFGSKSTSTLPPARRVAEFSSADTITLTSGSSSFYEEEDVEVNMERSTSTPSFSELLRQLNELEQSVIACGSHAPLSIDGQRDEKKGNRWSSGQGGQGLEDSIVVVKETEDPLGEFRRSMLHMIVEKEIVDGAELRELLRRFLALNSQRHHRTILRAFAEIWDDVFSGYEQTPDLLRHGYSGLPPRRHF >Ma01_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5579316:5581204:1 gene:Ma01_g07700 transcript:Ma01_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLRGRLRTLLNNRWLVFVAAMWVQAVAGTGYLFGSLSPVIKSSLGYNQRQIARLGVAKDLGDSIGFLAGTLCEILPLWAALLVGVLQNFVGYGWVWLVVTGRTPRMPLWAMCVLIFVATNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIFAMIHTPDHAALLFVVAVGPSMVVIALLFIVRPVGGHRQVRPSDQSSFMFVYSVCLLLAAYLMGVMLLEDLVDLGHTVIVVLTLLLLLLLLAPVVLPLLLTFHLDVVSPVQEPLLPEPSQAEETSQSAEQTEVILSEVEDEKPTDVDLLPASERQKRIAHLQAKLLQAAADGAVRVKKRRGPRRGEDFTLMQALRKADFWLMFLSLLLGSGSGLTVIDNLGQMSESLGYDEAHIFVSMISIWNFLGRVGGGYLSELIVRDRAYPRPVALACFQAVMAIGHLFFAMAWPGTMYVGTLLIGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLIFSGLITSVIYDDEAAKQAQGNINSLRGALLGVDEPLKCTGTICFFLSSLIMSGLCVIAVILSLVLVYRTTSVYLNLYGRART >Ma11_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23535321:23536904:-1 gene:Ma11_g18480 transcript:Ma11_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFTEATTPFVNLRWYLDLSGQKSSNTCIYIGVALFLGWLVARILLFIYFFTHMYLHFYQVKTIFPLRFYSLPMVPRMMAVMNVLWFWKIFKGMVKTLSQRHINEALPCDPT >Ma03_p03100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2086841:2098126:1 gene:Ma03_g03100 transcript:Ma03_t03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGETSTVSKHGNPAQFHDCKHPHGQRGTGDSGSPSLLSAVQTNQLLQQKDMLAANHGLADNVVAALETGSQADVQWSTWGLPPRYPSIARNVSEATYEGGNYNKQEYMNILGGTGSGSHDALVLHEHPSEWSIESMPNSEGSMIFRPKGSHPYPGQGFEDTRNNPSATSPPNNEELLKQNNYGEPSKYLFAGMLASASTAVPSAATTPLCAEGLDPFTFAAVTPTTEFTKKVIHETGGFGKKQHTRGPGPDRTCLIPHVITIRTNEDIYSKIISFCQKSGSYAVCILSANGTVSRVTLLKPAASLGTITYEGQFDIVKLSGSFMPLESCNQSRHSGELRVMLARADGHVFGGGLAGPMMAASSVLIVLGRFLPNGGNVAMLANNLFMV >Ma03_p03100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2086841:2096919:1 gene:Ma03_g03100 transcript:Ma03_t03100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAISLFSRFRDKLMSILINGGETSTVSKHGNPAQFHDCKHPHGQRGTGDSGSPSLLSAVQTNQLLQQKDMLAANHGLADNVVAALETGSQADVQWSTWGLPPRYPSIARNVSEATYEGGNYNKQEYMNILGGTGSGSHDALVLHEHPSEWSIESMPNSEGSMIFRPKGSHPYPGQGFEDTRNNPSATSPPNNEELLKQNNYGEPSKYLFAGMLASASTAVPSAATTPLCAEGLDPFTFAAVTPTTEFTKKVIHETGGFGKKQHTRGPGPDRTCLIPHVITIRTNEDIYSKIISFCQKSGSYAVCILSANGTVSRVTLLKPAASLGTITYEYLVTSSTVSTCQSWMLHLA >Ma03_p03100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2086841:2098126:1 gene:Ma03_g03100 transcript:Ma03_t03100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGETSTVSKHGNPAQFHDCKHPHGQRGTGDSGSPSLLSAVQTNQLLQQKDMLAANHGLADNVVAALETGSQADVQWSTWGLPPRYPSIARNVSEATYEGGNYNKQEYMNILGGTGSGSHDALVLHEHPSEWSIESMPNSEGSMIFRPKGSHPYPGQGFEDTRNNPSATSPPNNEELLKQNNYGEPSKYLFAGMLASASTAVPSAATTPLCAEGLDPFTFAAVTPTTEFTKKVIHETGGFGKKQHTRGPGPDRTCLIPHVITIRTNEDIYSKIISFCQKSGSYAVCILSANGTVSRVTLLKPAASLGTITYEGQFDIVKLSGSFMPLESCNQSRHSGELRVMLARADGHVFGGGLAGPMMAASSVLIVLGRFLPNGGNVAMLANNLFMV >Ma03_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2086841:2098126:1 gene:Ma03_g03100 transcript:Ma03_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAANHGLADNVVAALETGSQADVQWSTWGLPPRYPSIARNVSEATYEGGNYNKQEYMNILGGTGSGSHDALVLHEHPSEWSIESMPNSEGSMIFRPKGSHPYPGQGFEDTRNNPSATSPPNNEELLKQNNYGEPSKYLFAGMLASASTAVPSAATTPLCAEGLDPFTFAAVTPTTEFTKKVIHETGGFGKKQHTRGPGPDRTCLIPHVITIRTNEDIYSKIISFCQKSGSYAVCILSANGTVSRVTLLKPAASLGTITYEGQFDIVKLSGSFMPLESCNQSRHSGELRVMLARADGHVFGGGLAGPMMAASSVLIVLGRFLPNGGNVAMLANNLFMV >Ma03_p03100.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2086841:2098126:1 gene:Ma03_g03100 transcript:Ma03_t03100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAISLFSRFRDKLMSILINGGETSTVSKHGNPAQFHDCKHPHGQRGTGDSGSPSLLSAVQTNQLLQQKDMLAANHGLADNVVAALETGSQADVQWSTWGLPPRYPSIARNVSEATYEGGNYNKQEYMNILGGTGSGSHDALVLHEHPSEWSIESMPNSEGSMIFRPKGSHPYPGQGFEDTRNNPSATSPPNNEELLKQNNYGEPSKYLFAAVTPTTEFTKKVIHETGGFGKKQHTRGPGPDRTCLIPHVITIRTNEDIYSKIISFCQKSGSYAVCILSANGTVSRVTLLKPAASLGTITYEGQFDIVKLSGSFMPLESCNQSRHSGELRVMLARADGHVFGGGLAGPMMAASSVLIVLGRFLPNGGNVAMLANNLFMV >Ma09_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2974365:2982426:-1 gene:Ma09_g04610 transcript:Ma09_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIRYQIKNEYGLGRSELYGAADKNDPEALLEGVAMAGLVGLLRQLGDLAEFATEVFHNLHEEVMNTASRGHNMILRVQQLETQLPAISNGLFHGSGSSCLSCREGIDWHCNIQVDHNLITAGDMPHFFMDMYEECHVPPQLFKLDKFDVGGDGACLKRYSDPSLFMMELTSSRLVEHDPRREKRTCKTKKKVSFSCGGEPPKSFLMSHFDSRLQPATSGQVLDKVTLQHVKLKPRQLNESVSHSLRSYMENHIDLLPTEQQVASETSIIQSIGMKSADLIKSATEVHAIVVDTSSNREKNQSRSPTKQEMTTAGDSEKQKIERMNFSDKQFEPTSEVQNVHSVYFMLEEKAVVSENKNITEGRLYGSQPSEVDLNLSVDQEVEQNGFSPDTECRSQNSSDGYISDEISSDLDNYMDALTTMESELETDAENQGNTDSVFVSTESQETDSDASDANEEQEELQAQYSKQYFIKNSASSPGFTTIFRKGAANLSFSDTLGHMAAQLLEENKNDSDFPPESDVGLGEMKGTHFEQTYNEPVKCKSSEHSLLRASDVSSSAVHREAFCRSSITDLTSTISLVTLNIMETSPSYERKTDQAVSHLDGESPCKIDASELPSEEKINMLHGEFAKNPGILSDSLHHMTDVKLLMKDDIPKESDPNEYIKEQSTHDVVSSNRPVLHLQKQHETALEVGTTDIEVSDHIKSQESEKHDQGACCLVKPAVLDEDLGNAITGNDSLAASTLVLTHENADAVTQGITPIPNMSTSKEDILSGAHESPWTFTGQKAFSDNTDVLSEPVGIILSTENELPKCNPEESGDDITSDVDFSESNMPSSNETICGELSFEGSIPFQCNSDLHKNTSGSVSVPAPLIRLNKDSISETILQQSSEQQPQLASSAPLPEDNVTSEDTKEILPGRLTKPPRASLVSSCSVAQPPSGSNTDISLSTVENEHSGELLAGVEIAGSDCTVQSPKSCDITVAVKGGYEDILHSDRKLVHPALELPHEEDKPVHDGNAFEEDCMRATELPSTVENESSRDISVSKGSKTYPPTLSEVIPSLNERCQDLELKRSQNGIADGEKHKDTHEVAGSALTVNGKLPLPPSTKPKKSGHGSLSTEEKDSSSLHYLTTPTTENEKPTNKLRLVISRPNDPLIEAIASHDKSKLRKAPQLIHSLPKSDEKGSFLEQIRNKSFNLKPMVAPTVKFKGGPPTDVKVIAILEKANAIRQACAGSDDDDDEEDSWKSDS >Ma06_p27270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29315336:29325453:-1 gene:Ma06_g27270 transcript:Ma06_t27270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESSRSKEDSDIWAKLVPTDSTYPPIEIRSREAVICSEITSSSIEKHPWCEIKWNPDKDSAMIRNLSSNVIIIDGKVVGEETVNIISGSEITSGPDREVFLTYVFEAMPSHRNNEKIIEISLDVEHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSSTKFNNRAQSVVCPQCRAVVFSVGRNHFLHNIEEAILQTFSSLKRSDEEIALLNTYASIKSNIVVGMHTSRKRPHSLSNDDSNEIALPCPQCGNELGGFRCNRTTTHLHCQGCGGMMPFRSDSVVPQKCLGCDRAFCGAYWDAQGVDAREFNMICHHETFKPVSERTISRIPELVHQNNQFERDITERCIQRTGKTLQAVISDWIIKFDNKELGNVPFA >Ma06_p27270.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29311345:29325453:-1 gene:Ma06_g27270 transcript:Ma06_t27270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESSRSKEDSDIWAKLVPTDSTYPPIEIRSREAVICSEITSSSIEKHPWCEIKWNPDKDSAMIRNLSSNVIIIDGKVVGEETVNIISGSEITSGPDREVFLTYVFEAMPSHRNNEKIIEISLDVEHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSSTKFNNRAQSVVCPQCRAVVFSVGRNHFLHNIEEAILQTFSSLKRSDEEIALLNTYASIKSNIVVGMHTSRKRPHSLSNDDSNEIALPCPQCGNELGGFRCNRTTTHLHCQGCGGMMPFRSDSVVPQKCLGCDRAFCGAYWDAQGVDAREFNMICHHETFKPVSERTISRIPELVHQNNQFERDITERCIQRTGKTLQAVISDWIIKFDNKELDRTNLQLNHVEMITPMTHLCNFSHLMRRTGITAGMVISVVPNNTMKNMPGRETMFVAQLGGT >Ma06_p27270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29314592:29325453:-1 gene:Ma06_g27270 transcript:Ma06_t27270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESSRSKEDSDIWAKLVPTDSTYPPIEIRSREAVICSEITSSSIEKHPWCEIKWNPDKDSAMIRNLSSNVIIIDGKVVGEETVNIISGSEITSGPDREVFLTYVFEAMPSHRNNEKIIEISLDVEHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSSTKFNNRAQSVVCPQCRAVVFSVGRNHFLHNIEEAILQTFSSLKRSDEEIALLNTYASIKSNIVVGMHTSRKRPHSLSNDDSNEIALPCPQCGNELGGFRCNRTTTHLHCQGCGGMMPFRSDSVVPQKCLGCDRAFCGAYWDAQGVDAREFNMICHHETFKPVSERTISRIPELVHQNNQFERDITERCIQRTGKTLQAVISDWIIKFDNKELDRTNLQLNHVEMITPMTHLCKSRNLSGASDHCICFQDRSIA >Ma06_p27270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29311329:29325453:-1 gene:Ma06_g27270 transcript:Ma06_t27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESSRSKEDSDIWAKLVPTDSTYPPIEIRSREAVICSEITSSSIEKHPWCEIKWNPDKDSAMIRNLSSNVIIIDGKVVGEETVNIISGSEITSGPDREVFLTYVFEAMPSHRNNEKIIEISLDVEHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSSTKFNNRAQSVVCPQCRAVVFSVGRNHFLHNIEEAILQTFSSLKRSDEEIALLNTYASIKSNIVVGMHTSRKRPHSLSNDDSNEIALPCPQCGLGCDRAFCGAYWDAQGVDAREFNMICHHETFKPVSERTISRIPELVHQNNQFERDITERCIQRTGKTLQAVISDWIIKFDNKELDRTNLQLNHVEMITPMTHLCNDCYNKLVDYLLYWFRVSLPSHLLPPDASNRDNCWYGNLCRTQQHNEEHARKRNHVCRPTRGNINM >Ma06_p27270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29311329:29325461:-1 gene:Ma06_g27270 transcript:Ma06_t27270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAESSRSKEDSDIWAKLVPTDSTYPPIEIRSREAVICSEITSSSIEKHPWCEIKWNPDKDSAMIRNLSSNVIIIDGKVVGEETVNIISGSEITSGPDREVFLTYVFEAMPSHRNNEKIIEISLDVEHAKCSICLNIWHDVVTVAPCLHNFCNGCFSEWLRRSSTKFNNRAQSVVCPQCRAVVFSVGRNHFLHNIEEAILQTFSSLKRSDEEIALLNTYASIKSNIVVGMHTSRKRPHSLSNDDSNEIALPCPQCGNELGGFRCNRTTTHLHCQGCGGMMPFRSDSVVPQKCLGCDRAFCGAYWDAQGVDAREFNMICHHETFKPVSERTISRIPELVHQNNQFERDITERCIQRTGKTLQAVISDWIIKFDNKELDRTNLQLNHVEMITPMTHLCNDCYNKLVDYLLYWFRVSLPSHLLPPDASNRDNCWYGNLCRTQQHNEEHARKRNHVCRPTRGNINM >Ma04_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4669065:4672530:-1 gene:Ma04_g06330 transcript:Ma04_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTSRWRRAAKRIGFPCASFPGDAPSDETISCSTASKMDEIAEEKPEGLEQKGAPDNKRACAICLEHLSCDNGSTDVAGNQRIFTAQCMHTFHFMCIASNIRHGSITCPICRAHWSQLPHELTAPSINNTDPVLRILDDSITASSINHRSFLHANCYNDDEPVELEEDTEPIHPRLHFAIIPASAPSAVPLQQDTSPNGQKRAYLSVNLSQRQAIDLVLVASPSGSYLRLLKQSMVLVVLSLRAVDRLAIITYATTATRAFPLRRMSSQGKQAAVQAIDRIIYVGEAEPTEGLRKSLKILEDRVHRNPLACILHLSDSSTQSYVCRDLEFPIPVHRFHIGSGFGMSTGGILQEFDEFLARLLGGMIREMQLRIGGEGSWIVLGDLRGGEERRIPVDLIGNCGFLAVNYSYVEGGAEQRLSTGEVMVAALTNDDRWHTV >Ma06_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2633689:2635725:-1 gene:Ma06_g03620 transcript:Ma06_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRRRSSSRGTRVSPRSLAVFCCTRFLTVRLPSAPFLRQEEGNSCISLGKRTVAE >Ma03_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9700666:9707606:1 gene:Ma03_g12590 transcript:Ma03_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAQRMVALKRAYADVILNTAKESAARILAADRRVVQSQHSLSLAKEESLAMLLRLKSIMDAKIKDAENVNLSQARRIQELEVQLSEAKETIHHLNSELTKVSSEVESEKSYQIESLEEQRSHGCVIVNRDDCQEGRHTSVSAPCSQVGAICSPNSDFNVTTSKQRTADKHRCFAKYTAQNEPSKEMVASDDSAGSPDLVSIILRNKELDLYRNGCTQRVRAFEQNLLTEREPCAQMHDQFFNAKREAVTCDDDTAERHRTRDLALSGRLVVQVLEPLESEEVGQQGNMCENDHTANISCQHPSEKPGVRKPVTSSGAYHELQDHEQLDISDSKVAGQNNMPRSCEKERHDGEGAFSCLVEASRGDHRTSKDGNMKLNKLSDHVISGSLNTSRVMTRRTMKLCGVNGANGCGGSTTSVSINSSQENIKKELVTMNTFDMKDSSLLVADVKNDTIDTQLEKESMLKTLDHKMAKKINTSDLKDNHERIGVPLTSYDSKDERSCGLSGLPPEVGKDRIVKYTFRRTRKRRSPDSNNDDVFLEKINMPKRKARKENASLEPPKPNLVKDSTRDSRKIAQVARQLIALSEKRW >Ma05_p26550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38119079:38119721:-1 gene:Ma05_g26550 transcript:Ma05_t26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKTTPLLSRLRGAIHKVRFLLSFDASKWIAISSFKRSSPVPPRPLTFTARPSLLDCTDDYYDARSSFALSRTMSPCSPALTTPSPGPEISRSTSDASSGDDIDQRAERFIENFYRQLRMERQVSLELSYRREKSLDRSPGTVQ >Ma03_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2882798:2882986:-1 gene:Ma03_g04400 transcript:Ma03_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSLLDIIHYWKQSYKQLHRERGREGGKFLCIHHEAKQMCILKLPTDHISKSKKLNYLTP >Ma06_p26710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28562617:28562982:-1 gene:Ma06_g26710 transcript:Ma06_t26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLFRVALLLLFFFFFTSQAVAQRKLLQLEWEPIRDLNDPSIVKVIHFAVSEQSRTHWGLAYTLISAESGEQLSGPEGAYYLVKLKVKPQDEDVGIFQASVYESKTQNYLQLIFFMRL >Ma05_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4848736:4849792:-1 gene:Ma05_g06540 transcript:Ma05_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASARESRTYGPGSRLARTRWEYINAGLYLFATAILVGGFATQISSVSSAGAKSGLVAVLVALALLLAVNAHDLVAHLAAVDYCLSLVEFDVQLALVEFAVPLMNTVGVILTFVGNLFFLIPMEKGYRYRLEKHALNTLIAGPIFWVIGSIHNVCQIYERADGHVQLLQKSVQVPLIMGSLLFLVAGIVNKHDDTLHMLLGRSWIWLCLSGSSLFLVGGLMNTVRVFKMQRTEGLRLEKLRGSAHERLAKVREELPLILESNKKSRKQQEEGRPVSAPTPVPTPYKDALLGSLTRSIV >Ma09_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1023156:1024542:1 gene:Ma09_g01410 transcript:Ma09_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPKKLIFIFLLLLSSLSIVRLFKLLWTSSIPAIPEHLPPHAPEKPRHDVDRPREDILSPKEHQLASNIIARRIPCNLLIFGYKPQFLGLATLNSQGTTVFLEDDPEKLRSGRSKGLRMYPFGNHEKARRAYELLQHARRRPACRPGAGTLRAPRCELALRGLPEVLYESGWDVVVIDGPSGDGPEAPGRMGAIYTAAVMARTGRSTDVLVHDTDRTIEKWYSWEFLCHENLASSKGKLWHFRIEGNSSSDRFCETAASPTQ >Ma06_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1533190:1538283:-1 gene:Ma06_g01850 transcript:Ma06_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MVSLPSRCFSFSQAKAQNNPTMGESNDGSILAEFLAVAVDAAKSAGEVIRKGFYQTKHVEHKGQVDLVTETDKACEELIFNHLKKHYPDHKFIGEETSAAFGTAELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLAIGKVPTVGVVYNPIIGELFTGVRGQGAFLNGNPIKASSQSELLKALLVTEVGTKRDKSTVDATTNRINNLLFKVRSLRMCGSLALNLCGIACGRLDLCYEIGFGGPWDVAAGAVIVHEAGGLVFDPSGGDFDLMSCRVAASNCHLKDAFILVLTETD >Ma08_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7633099:7637947:-1 gene:Ma08_g10440 transcript:Ma08_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLVLFLSVFSSISLRAPVAIAATSIARTIVVNLKGGGDFKSIQQAIDSVPDNNNKWTKIHVAAGVYREKVNVKSTKSYVVLEGDGAQTTSIEWGDYNGDSSGHTTNTSATFTSYASNFVAKRITFKNTYNGFAKLTPAVAAWISGDKSAFYDCRFIGFQDTIADMHGRHYFKRCYIEGVVDFIFGYGQSIYERCKISTVKSLQQPGYVTAQGRNSASDNSGFVFKWCTISGPQATYLGRAWKHYSRVIFYQTFMSDIIVPAGWYIWNSKGYEGVVTFAESGCIGPGSDLSGRVKWEKQVINFCYKARLQME >Ma06_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16633916:16634247:-1 gene:Ma06_g21920 transcript:Ma06_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVAVLLFVLLSPGLLFQLPGKGRVVEFVNMQTSGISILVHAVLFFALLTIFLIVVGVHIYTG >Ma00_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26510294:26512398:-1 gene:Ma00_g03210 transcript:Ma00_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWLGGLVEESFFVGCGAHESRKKNEKNIFCLDCCTSICPHCAAAHPSHPLLQVRRYVYNDVVRLDDLERLIDCSYVQPYTINSAKVVFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCSLSCKVDHVLLRGDDLSSILFRFSESDFAFPHFENLRMDGSDLLEDDDDLIAPEDVTQHRGGSASSNGGAPKKKKSGAGFFPQIVLSLSNRRKGAPHRSPLS >Ma11_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21628761:21631090:1 gene:Ma11_g16020 transcript:Ma11_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAFSLDHLSPPPPSEQLCYVHCNLCDTVLAVSVPFTSLFKTVTVRCGHCTNLLSVNMRGLHLPPANQIHLTHPCLIPSHTSLLPPSLLLDPSILCNGNNNNNSSSSSRLINSITSTTNNNTISNCNTMAPVKGVGEELPRTPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKASVRQPEGEDVLPKDGFYATAAAANMGVAPF >Ma09_p31510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41198820:41200367:1 gene:Ma09_g31510 transcript:Ma09_t31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGECAEPLKYQTWILKVSIHCEGCRKKVKKVLQSMEGVYKTTVDPQQHKVIVTGNVEADILIKKLLKAGKHAELWPEKKGTGDGLRSGAGSSNSKKNKNKNGDKPNKPSESVDNNQISPATDVPSHASRKPEGEASKNGGKKSTPPPEKKEGGKAPITGEGGKKKDKGGQNGNNNSNSNNKNKNGSGNSGGCAAEAEVAPQEASKKTVSGGGAAIPPAAFNFPVYSTPQVPSYLVSYNSMQPTMSYGGAYYSAPLPIMQNSCVYSAASPGSYYNFGEESANACGIM >Ma04_p35480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34252034:34257958:1 gene:Ma04_g35480 transcript:Ma04_t35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGGGVCTGESVGGGTDVRSSSGPAAADHLVVMVHGILGSTADWKFAADEFVKMLPDKVIVHCSERNMYGLTLDGVDVMGERLAEEVTEVINKWPDLRRISFIAHSVGGLVARYAIGRLYIPPERKSLEDPLDTVCDDSKRGTICGLQAMNFITVATPHLGSRGNKQVPFLLGVTAIEKVASCVIHWIFRRTGKHLFLTDNDEGKPPLLQRMVDDCDDLHFMSALQAFKRRVAYSNVGYDHIVGWRTSCIRRTSELPKWEDSFSERYPHIVYEEYSAGSRNDKCPSDSLMNDDCDLLEEKLVTGLTRLSWERVDVSFHNISIQRFAAHSVIQVKDPFMHSEGADVIQHMIDHFL >Ma10_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30571813:30574333:1 gene:Ma10_g20380 transcript:Ma10_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPKLKEKLKELAWWISSCGCKKGTGLVWFSNLFED >Ma10_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31950260:31951025:-1 gene:Ma10_g22670 transcript:Ma10_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGGNCGCGSSCSCGSGCGGCRKLTDLGEERSSTSQTMIMGVAPQKGHFEELETAAGSENGCKCGSNCTCDPCNCK >Ma11_p23920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27106155:27113598:-1 gene:Ma11_g23920 transcript:Ma11_t23920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAKGSRKGKKAWRANISTHDIDDYFDKSTKDALTGRAATIASLPSDSLFYLDTKSNAEIPPKRKIEKHKEKILHYESLLQKNPFVQAVPSSTLKKLKREKKKANTEKPQAQTDPKEEDTTSNLTDIWGDEGEAAGKTRKKQKATLIPAVEVEPPGCSFNPPFEAHQDSLAQAVADEMQNIYKKELGPQPVPVTVPGEPVTEEDKYFLEADDGNESDIEGETGNNDSELPELSRKSKTKRVTRVEVNRRARRKEQLKAEEEAKKRKNLSEELDSLPDIMEEIAKEDEEKHRRHMRRAVARQERLKYAPPRLGKHKFEPAPVQVLLTEEISGSLRKLKGCCTLARDRFKSLEKRGLLVPRAKGSRRK >Ma11_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27106155:27113598:-1 gene:Ma11_g23920 transcript:Ma11_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAKGSRKGKKAWRANISTHDIDDYFDKSTKDALTGRAATIASLPSDSLFYLDTKSNAEIPPKRKIEKHKEKILHYESLLQKNPFVQAVPSSTLKKLKREKKKANTEKPQAQTDPKEEDTTSNLTDIWGDEGEAAGKTRKKQKATLIPAVEVEPPGCSFNPPFEAHQDSLAQAVADEMQNIYKKELGPQPVPVTVPGEPVTEEDKYFLEADDGNESDIEGETGNNDSELPELRKSKTKRVTRVEVNRRARRKEQLKAEEEAKKRKNLSEELDSLPDIMEEIAKEDEEKHRRHMRRAVARQERLKYAPPRLGKHKFEPAPVQVLLTEEISGSLRKLKGCCTLARDRFKSLEKRGLLVPRAKGSRRK >Ma07_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7507079:7508997:1 gene:Ma07_g10030 transcript:Ma07_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPRHVPFPSFFFFLILLLVVVSAAAGGGEWKLLQHSIGVSAMHMQLLHNDRVVVFDRTDFGPSNLSLPDGRCRNDPNDKALPVDCTAHSAEYDVVANAFRPLMVLTDTWCSSGSVAPDGTLVQTGGFNDGERAARTFRPCDDGSCDWVETAQALAVRRWYATNQVLPDGRAVVVGGRRQFNYEFYPKPDPSDMSTIALRFLQDTRDDVEDNLYPFVHLSIDGNLFIFANNRAILLDYSKNTVVRTYPKMPSGEPRNYPSSGSSVLLPLKPSPTEAEVLICGGAPAGSYSQALQKKRFLRALDSCGRIKITDAAPSWNMEAMPVPRVMGDMVLLPDGDVLLINGAAAGTAGWELGHDPVLTPVVYRPDGAAGARFDVQSGSTTPRLYHSTAVLLRDGRVLVGGSNPHVKYNFSGVEYPTELSMEAFSPSYLNSENSRLRPQILTPPSPIQLTYGGRFSLQFSVGVVSEGGIRVTMVAPSFATHSFSMNQRLLVLETEGGMSEVVAVAPASAILAPPGYYMVYVVNGGVPSEGIWAHIQ >Ma00_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31629056:31629460:-1 gene:Ma00_g03860 transcript:Ma00_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVAVVMAAAMIATTTVAITTTAVATATTTVVAAMAIKAAAKEVGGSYNEKERWGNAGEHSTKDTANEGNGRWRRVAVEKTIAVR >Ma06_p36010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35651692:35653255:1 gene:Ma06_g36010 transcript:Ma06_t36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRHSGRSESGSIVSELEGTLLDDVDPFPYFMLLAFETSGLIRFAALLFLWPLLRLLHSIGMAGLSLQLTVFVAVAGVRESEMEAVARAVLPKFFMDDVNMAAWSVFSSFKSKVVVTSWPRVMVERFVKDHLHADDVVGRELEISRFGYATGFFKRAEKDSPLCKLRAIFKDDKPDAGFGRRTSARSFLSFCKEQYHLPMAACDLKQSQELSRPRPVVFHDGRLVARPTPLMALLIVLWMPLGVVVAFVRITIGLIIPIRWIPAVAPFLGGKLIMRGRPPQPAATSKGGVLFVCTHRTLMDPVVLSMVLGRKVAAVTYSISRLSEILSPIPTIRLTRDRRVDAERIKSELAKGDLVVCPEGTTCREPFLLRFSALFAELTDQIVPVAMNYRVGFFHATTARGWKAMDPIFFFMNPRPVYEVTFLNQLPMEATCSAGKSPYDVANYVQRILGATLGFECTNFTRKDKYRVLAGNDGTVTFKSTTPWMARVKEVM >Ma04_p39170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36365572:36368157:1 gene:Ma04_g39170 transcript:Ma04_t39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFCISDCSLAKRCQPHDAATNSIRMWSFASNAIAGSLGSRRDLLKPRQVNPVCSDDEASPCASRDEGLECPICWESFNIVDNVPYVLWCGHTLCKNCVLGLQWAVVKFPTLPIQLPLFISCPWCQFLSFRLVYRGQLKFPRKNFFLLRMVESLNIEHARWCSAFIRDCQSISNSNSRSSVESSDHHHQCSIRRSPQYMHSDNSRSNASLTSLIVRCWNVANIKLSLRNSLAFLVHLIAKFPLVVIFLFIVVYVMPVSVGILVLHILITILFGFPSFLILYFAYPGLDWLVREITS >Ma04_p39170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36365567:36368157:1 gene:Ma04_g39170 transcript:Ma04_t39170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYPSSPPGPSLYRYAIPCLIDVPLMNSFCISDCSLAKRCQPHDAATNSIRMWSFASNAIAGSLGSRRDLLKPRQVNPVCSDDEASPCASRDEGLECPICWESFNIVDNVPYVLWCGHTLCKNCVLGLQWAVVKFPTLPIQLPLFISCPWCQFLSFRLVYRGQLKFPRKNFFLLRMVESLNIEHARWCSAFIRDCQSISNSNSRSSVESSDHHHQCSIRRSPQYMHSDNSRSNASLTSLIVRCWNVANIKLSLRNSLAFLVHLIAKFPLVVIFLFIVVYVMPVSVGILVLHILITILFGFPSFLILYFAYPGLDWLVREITS >Ma03_p26450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30169416:30175137:-1 gene:Ma03_g26450 transcript:Ma03_t26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRPARRRLSYWICLLIGISIAAGLVLFLFQHHHQDRFEPLLREKVVADEEITRESLNLTQELLSSSSYARQLADQMTLAKAYVVIAKEHHNLQLAWELSSHIRNGQRLLSQAAVRGKSITLEEAHPVVSRLAQLIYKAQDFHYDISTTITTLKSHTLALEERANAATAQSAEFGQLAAETMPKSLHCFNIKLTEEWFRNSSLMKLSEERRNLPRLVDNNLYHFCIFSDNVMATSVVVNSTASNADHPQQLVFHVVTDEVNYRAMTTWFLRNDFSGCTVEVRSIEELNLLNTSFSPLVKQLMLAETRAYHFAGSSQDQNAESRLKDTNFASLLNHLRFYIPQIQPLLEKVVFLDDDVVVQKDLTPLFSVELHGNVVGAVETCLEAFHRFYKYINFSNPVVSSKFDPQACGWAFGMNIFDLIAWKKANVTAKYHYWREQNADKMIWKRGTLAPGLLAFYGLMEPLDQRWHVLGLGYDPEIDDRLIESAAVVHFNGNMKPWLKSSISRYKNLWEQYIDFSHPLIKDCIMH >Ma09_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18735531:18740503:-1 gene:Ma09_g18700 transcript:Ma09_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTVETSDGVTLHSRLFKPSDSPISDLVVVLVHPYTVLGGFQGLLRGIATGLAERGYRAVTFDMRGAGRSSGRASFFGSAEIQDVIAVCKWVSDTLSPRGIVLVGSSAGAPVAGSAVDKIDQVVGYVSIGYPFGLMASILFGRHHEAILKSEKPKLFIMGTKDGFTSVKQLQNKLKSAAGKVDIHLIEGVGHFQMEGPAFDTQMVGFISTFAQSLQG >Ma04_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4449205:4449411:1 gene:Ma04_g05960 transcript:Ma04_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNYCFCSFIMWGTTREEKMKCAAHNVEQCMWFTDGFEIHEDPSLYCCHQQASSLLLSCVEFLSGMR >Ma08_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1340754:1347433:1 gene:Ma08_g01570 transcript:Ma08_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSGKKGGGGDLEDEINFRGGNVHVITSKEGWDQKVSEANKDGKIVIANFSAGWCGPCRAMAPVYMELSEKYLSLMFLSIDVDELTEFSSSWDIHATPTFLFLKDGQLLDKLIGANRSDLEKKIIMFVDSCSKNSNL >Ma08_p01570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1340754:1347433:1 gene:Ma08_g01570 transcript:Ma08_t01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSGKKGGGGDLEDEINFRGGNVHVITSKEGWDQKVSEANKDGKIVIANFSAGWCGPCRAMAPVYMELSEKYLSLMFLSIDVDELTEFSSSWDIHATPTFLFLKDGQLLDKLIGANRSDLEKKIIMFVDSCSKNSNL >Ma09_p26090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37443401:37451957:-1 gene:Ma09_g26090 transcript:Ma09_t26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHAESRRLYSWWWDSHISPKHSKWLQDNLADIDDKVKAMIRLIEEDADSFAQRAEMYYKKRPELMKLVEEFYRAYRALAERYDHSTGALRQAHRTIAEAFPNQIPLELFDECVTPDAGTNTHQMPQGIIHPDGLQVDLLGLLLHDNTMKLNEACSGVTKVTTNEACLKQLDQMFETSEEATGTNFAAGREGKFSEYKLLQKEISRLSKENQDLKKQLTSESARADINENEVQSLKETYSKVKSEKDDSQTRYQESMIRVSCLEDEISRTKQDLKKLNDEMLMESSCLSSAKERTLVLDKANQSLQLELDILKQKIKQQQEELKKKGQDLETLKTSLQDELQRNFKAEMAYQSMEKKHTETKEEMRHLELELKSKLEKLQDMEIELENIREENVSFSEQNLSSALTIMNMQDEIISLMDLKRKLEDEADLHIDEKESLELELYRLKKDRNDLEQKYHLLTDEIQSVNLSVGSLQALIKELRDGNLKLKDTIKKNEDEKNLYLHKLNHMQAVSEKNTALEASLLDANNDLVRLRVKIKELEDFSAHLRCRISVHLAEKAALLSQIEAAAQNMENLSRKNIFLENSLSDMSVELEYLREKLKGAEESCDSLHDEKSAHLSEKITLVSQVESFKQSLQNLEGRYQELEVKCSNIEREKDSRLHHVAELQELLRLEKEEHDILVQSSKSQLNALADQIHLLQEEGRQREENFEMEQHKIINAQVEIFILHRCLCDMKEENLILLFGSQKHKEALSCAEKLILELEQQCLTQEKKIKSLMEHNKKLREWIYLIIKSLKVDLEHATFDETEDELLLQLVFNEIQLLLHTISEAHDEKQHLLLEKSVVVTLLQQFGKYVADLRAEKAALEKESKLKLENLTLLKSKNDEFLEIHELMRKEMHVSNQREEALEVEVDLLFRQLTYIQESHSKLQIEFSKVFEENNLMCKKLYDLREEKVKLEEENTVFLRDVMSLDYLSVMLRSLNSERALSLQLLSNETNYFRGLKIKLEQEISLINGKCSMLEVENTHLKESFAYLKECRRSLSEDQHDVHSARSARRELNLDTVENSSIKKDMELSQANQSLKTAQYMNPELHRNLNDPKLDVDEAKVTREETEKISSLLDVFAVEEIENECLQKENKVLKCEIGKLQNNVEELLHDIQWEAINAVVYKEKVLELIHKSENVVTSITVQKKVLQKEMTLRNLTVHELEKKMCVLEGENKGLRADLNAYSLFLGSLWDDIVILEELTISLARRHSTSINQKNEDDEIAACPYTMSCQKRSQDHSAMTPPGLLRLQYFHNKIKVLQEVMMNTGNVLELERLDSSASLETAWKQIELLKSKGIPDNEITKSKYEQIMKDIQLDIVLNSSRYGNDILSHGHRRARGTDEATSEMLELWGTSEEGCSNQKQKSPLIFKNSMAHYQIEEVEGKYTSDELVAEKELAVDKLELSRKLEPHLEWNRRVVERLISDAQRLLLLQSSIQELQSNMEISEKINQPTRSEFNTFKGQLKEAEGTITKLIDVNSKLTKKVEDYSASPDNYAEKKDSVSKRHKQISDRARKVSEKIGRLELEMQKIQYNLLKFEEELPSKRARFVKRRSRVRLREYLYGRRNSRRQNEGSSCGCMRPTGNSD >Ma09_p26090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37443401:37452004:-1 gene:Ma09_g26090 transcript:Ma09_t26090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHAESRRLYSWWWDSHISPKHSKWLQDNLADIDDKVKAMIRLIEEDADSFAQRAEMYYKKRPELMKLVEEFYRAYRALAERYDHSTGALRQAHRTIAEAFPNQIPLELFDECVTPDAGTNTHQMPQGIIHPDGLQVDLLGLLLHDNTMKLNEACSGVTKVTTNEACLKQLDQMFETSEEATGTNFAAGREGKFSEYKLLQKEISRLSKENQDLKKQLTSESARADINENEVQSLKETYSKVKSEKDDSQTRYQESMIRVSCLEDEISRTKQDLKKLNDEMLMESSCLSSAKERTLVLDKANQSLQLELDILKQKIKQQQEELKKKGQDLETLKTSLQDELQRNFKAEMAYQSMEKKHTETKEEMRHLELELKSKLEKLQDMEIELENIREENVSFSEQNLSSALTIMNMQDEIISLMDLKRKLEDEADLHIDEKESLELELYRLKKDRNDLEQKYHLLTDEIQSVNLSVGSLQALIKELRDGNLKLKDTIKKNEDEKNLYLHKLNHMQAVSEKNTALEASLLDANNDLVRLRVKIKELEDFSAHLRCRISVHLAEKAALLSQIEAAAQNMENLSRKNIFLENSLSDMSVELEYLREKLKGAEESCDSLHDEKSAHLSEKITLVSQVESFKQSLQNLEGRYQELEVKCSNIEREKDSRLHHVAELQELLRLEKEEHDILVQSSKSQLNALADQIHLLQEEGRQREENFEMEQHKIINAQVEIFILHRCLCDMKEENLILLFGSQKHKEALSCAEKLILELEQQCLTQEKKIKSLMEHNKKLREWIYLIIKSLKVDLEHATFDETEDELLLQLVFNEIQLLLHTISEAHDEKQHLLLEKSVVVTLLQQFGKYVADLRAEKAALEKESKLKLENLTLLKSKNDEFLEIHELMRKEMHVSNQREEALEVEVDLLFRQLTYIQESHSKLQIEFSKVFEENNLMCKKLYDLREEKVKLEEENTVFLRDVMSLDYLSVMLRSLNSERALSLQLLSNETNYFRGLKIKLEQEISLINGKCSMLEVENTHLKESFAYLKECRRSLSEDQHDVHSARSARRELNLDTVENSSIKKDMELSQANQSLKTAQYMNPELHRNLNDPKLDVDEAKVTREETEKISSLLDVFAVEEIENECLQKENKVLKCEIGKLQNNVEELLHDIQWEAINAVVYKEKVLELIHKSENVVTSITVQKKVLQKEMTLRNLTVHELEKKMCVLEGENKGLRADLNAYSLFLGSLWDDIVILEELTISLARRHSTSINQKNEDDEIAACPYTMSCQKRSQDHSAMTPPGLLRLQYFHNKIKVLQEVMMNTGNVLELERLDSSASLETAWKQIELLKSKGIPDNEITKSKYEQIMKDIQLDIVLNSSRYGNDILSHGHRRARGTDEATSEMLELWGTSEEGCSNQKQKSPLIFKNSMAHYQIEEVEGKYTSDELVAEKELAVDKLELSRKLEPHLEWNRRVVERLISDAQRLLLLQSSIQELQSNMEISEKINQPTRSEFNTFKGQLKEAEGTITKLIDVNSKLTKKVEDYSASPDNYAEKKDSVSKRHKQISDRARKVSEKIGRLELEMQKIQYNLLKFEEELPSKRARFVKRRSRVRLREYLYGRRNSRRQNEGSSCGCMRPTGNSD >Ma09_p26090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37443401:37451957:-1 gene:Ma09_g26090 transcript:Ma09_t26090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHAESRRLYSWWWDSHISPKHSKWLQDNLADIDDKVKAMIRLIEEDADSFAQRAEMYYKKRPELMKLVEEFYRAYRALAERYDHSTGALRQAHRTIAEAFPNQIPLELFDECVTPDAGTNTHQMPQGIIHPDGLQVDLLGLLLHDNTMKLNEACSGVTKVTTNEACLKQLDQMFETSEEATGTNFAAGREGKFSEYKLLQKEISRLSKENQDLKKQLTSESARADINENEVQSLKETYSKVKSEKDDSQTRYQESMIRVSCLEDEISRTKQDLKKLNDEMLMESSCLSSAKERTLVLDKANQSLQLELDILKQKIKQQQEELKKKGQDLETLKTSLQDELQRNFKAEMAYQSMEKKHTETKEEMRHLELELKSKLEKLQDMEIELENIREENVSFSEQNLSSALTIMNMQDEIISLMDLKRKLEDEADLHIDEKESLELELYRLKKDRNDLEQKYHLLTDEIQSVNLSVGSLQALIKELRDGNLKLKDTIKKNEDEKNLYLHKLNHMQAVSEKNTALEASLLDANNDLVRLRVKIKELEDFSAHLRCRISVHLAEKAALLSQIEAAAQNMENLSRKNIFLENSLSDMSVELEYLREKLKGAEESCDSLHDEKSAHLSEKITLVSQVESFKQSLQNLEGRYQELEVKCSNIEREKDSRLHHVAELQELLRLEKEEHDILVQSSKSQLNALADQIHLLQEEGRQREENFEMEQHKIINAQVEIFILHRCLCDMKEENLILLFGSQKHKEALSCAEKLILELEQQCLTQEKKIKSLMEHNKKLREWIYLIIKSLKVDLEHATFDETEDELLLQLVFNEIQLLLHTISEAHDEKQHLLLEKSVVVTLLQQFGKYVADLRAEKAALEKESKLKLENLTLLKSKNDEFLEIHELMRKEMHVSNQREEALEVEVDLLFRQLTYIQESHSKLQIEFSKVFEENNLMCKKLYDLREEKVKLEEENTVFLRDVMSLDYLSVMLRSLNSERALSLQLLSNETNYFRGLKIKLEQEISLINGKCSMLEVENTHLKESFAYLKECRRSLSEDQHDVHSARSARRELNLDTVENSSIKKDMELSQANQSLKTAQYMNPELHRNLNDPKLDVDEAKVTREETEKISSLLDVFAVEEIENECLQKENKVLKCEIGKLQNNVEELLHDIQWEAINAVVYKEKVLELIHKSENVVTSITVQKKVLQKEMTLRNLTVHELEKKMCVLEGENKGLRADLNAYSLFLGSLWDDIVILEELTISLARRHSTSINQKNEDDEIAACPYTMSCQKRSQDHSAMTPPGLLRLQYFHNKIKVLQEVMMNTGNVLELERLDSSASLETAWKQIELLKSKGIPDNEITKSKYEQIMKDIQLDIVLNSSRYGNDILSHGHRRARGTDEATSEMLELWGTSEEGCSNQKQKSPLIFKNSMAHYQIEEVEGKYTSDELVAEKELAVDKLELSRKLEPHLEWNRRVVERLISDAQRLLLLQSSIQELQSNMEISEKINQPTRSEFNTFKGQLKEAEGTITKLIDVNSKLTKKVEDYSASPDNYAEKKDSVSKRHKQISDRARKVSEKIGRLELEMQKIQYNLLKFEEELPSKRARFVKRRSRVRLREYLYGRRNSRRQNEGSSCGCMRPTGNSD >Ma09_p26090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37443401:37451957:-1 gene:Ma09_g26090 transcript:Ma09_t26090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHAESRRLYSWWWDSHISPKHSKWLQDNLADIDDKVKAMIRLIEEDADSFAQRAEMYYKKRPELMKLVEEFYRAYRALAERYDHSTGALRQAHRTIAEAFPNQIPLELFDECVTPDAGTNTHQMPQGIIHPDGLQVDLLGLLLHDNTMKLNEACSGVTKVTTNEACLKQLDQMFETSEEATGTNFAAGREGKFSEYKLLQKEISRLSKENQDLKKQLTSESARADINENEVQSLKETYSKVKSEKDDSQTRYQESMIRVSCLEDEISRTKQDLKKLNDEMLMESSCLSSAKERTLVLDKANQSLQLELDILKQKIKQQQEELKKKGQDLETLKTSLQDELQRNFKAEMAYQSMEKKHTETKEEMRHLELELKSKLEKLQDMEIELENIREENVSFSEQNLSSALTIMNMQDEIISLMDLKRKLEDEADLHIDEKESLELELYRLKKDRNDLEQKYHLLTDEIQSVNLSVGSLQALIKELRDGNLKLKDTIKKNEDEKNLYLHKLNHMQAVSEKNTALEASLLDANNDLVRLRVKIKELEDFSAHLRCRISVHLAEKAALLSQIEAAAQNMENLSRKNIFLENSLSDMSVELEYLREKLKGAEESCDSLHDEKSAHLSEKITLVSQVESFKQSLQNLEGRYQELEVKCSNIEREKDSRLHHVAELQELLRLEKEEHDILVQSSKSQLNALADQIHLLQEEGRQREENFEMEQHKIINAQKHKEALSCAEKLILELEQQCLTQEKKIKSLMEHNKKLREWIYLIIKSLKVDLEHATFDETEDELLLQLVFNEIQLLLHTISEAHDEKQHLLLEKSVVVTLLQQFGKYVADLRAEKAALEKESKLKLENLTLLKSKNDEFLEIHELMRKEMHVSNQREEALEVEVDLLFRQLTYIQESHSKLQIEFSKVFEENNLMCKKLYDLREEKVKLEEENTVFLRDVMSLDYLSVMLRSLNSERALSLQLLSNETNYFRGLKIKLEQEISLINGKCSMLEVENTHLKESFAYLKECRRSLSEDQHDVHSARSARRELNLDTVENSSIKKDMELSQANQSLKTAQYMNPELHRNLNDPKLDVDEAKVTREETEKISSLLDVFAVEEIENECLQKENKVLKCEIGKLQNNVEELLHDIQWEAINAVVYKEKVLELIHKSENVVTSITVQKKVLQKEMTLRNLTVHELEKKMCVLEGENKGLRADLNAYSLFLGSLWDDIVILEELTISLARRHSTSINQKNEDDEIAACPYTMSCQKRSQDHSAMTPPGLLRLQYFHNKIKVLQEVMMNTGNVLELERLDSSASLETAWKQIELLKSKGIPDNEITKSKYEQIMKDIQLDIVLNSSRYGNDILSHGHRRARGTDEATSEMLELWGTSEEGCSNQKQKSPLIFKNSMAHYQIEEVEGKYTSDELVAEKELAVDKLELSRKLEPHLEWNRRVVERLISDAQRLLLLQSSIQELQSNMEISEKINQPTRSEFNTFKGQLKEAEGTITKLIDVNSKLTKKVEDYSASPDNYAEKKDSVSKRHKQISDRARKVSEKIGRLELEMQKIQYNLLKFEEELPSKRARFVKRRSRVRLREYLYGRRNSRRQNEGSSCGCMRPTGNSD >Ma08_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37560699:37565343:1 gene:Ma08_g24340 transcript:Ma08_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKHSSAGGKVQACQCRRRVVTFFSSPKALFLVLWTLVFASVFWWRTSYVDEVGFSLQLPRVRTGPMFRPVAFNLTDFGAVGDGETLNTDAFESAVAEIAKLGERGGGQLDVPAGLWLTAPFNLTSHMTLFLAEGAVILGVEDERYWPLLPPLPSYGYGREHKGPRYGSLIHGQNLKDVVITGHNGTINGQGQTWWTKYQKKLLNHTRGPLLQLMWSRDVVISNITLRDSPFWTLHPYDCKNVTISNLTILAPVSGAPNTDGIDPDSCEDVVIENCYICTGDDGIAIKSGWDQYGIAYKRPSINILIRNVTVRSVVSAGVSIGSEMSGGVSNVTVENLHVWESKRGLRIKTAAGRGGYVRNIFYRNVTLNHVSIGIVIKIDYNEHADEFFDPKAIPTLESIHFNGIHGQNVDIPVRLNGSKEIPVRDVSFRDMSIGLNYKKKKKNIFRCSFVQGRIIGTIFPAPCANLDIYDGWGRLVKRSVLPKKRNIGYSFL >Ma00_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:7086354:7090507:1 gene:Ma00_g01390 transcript:Ma00_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFDMPTEDMMGDPNGMMDLPDDDAPVLKVGEEKEIGKQGLKKKLVKEGDGWDTPEVGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNAILQFDVELLSWSSIKDICKDGGIIKKILKEGEKWENPKDLDEVLVKYEARLEDGTVISKSDGVEFTVKDGFFCPALAKAVKTMKKAEKVLLTVRPQYAFGEKGRSASGDECAVPPNASLQVELELFSWKTVTEIGDDKKILKKILKEGEGYERPNDGAVVRVKLIGKLQDGTVFVKKGHDDQEPFEFKTDEEQVIDGLDRTVTTMKKGEIALVTIPPEYAFSSTGSKQDLAVVPPNATVVYEIELVSFVKEKESWDMNDNAEKIEAAAKKKEEGNALFKLGKYAKASKRYEKGAKFIDYDNNFSEEEKKQSKALKAACSLNNAACKLKLKDYKEAIKLCTKVLEIESSNVKALYRRAQAYMQLADLDLAEVDIKKALEIDPNNRDVKLEYKILKEKVREYNKKDAKFYGNMFAKMSKLEPVEPNKGGSRTGSKRETEPMSVDASA >Ma01_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4876588:4890155:1 gene:Ma01_g06790 transcript:Ma01_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRMRCLTGSIPKNPPSRRLLAPRSRRVQFFTPDMLETVHEIAIYIHRFHNLDLFQQGWYQIKISARWEDGSLESCGTPSRVIQYEAPNIVSDDIFAVWRIDDADHSFFTHPFKIKYARQDVPLSIMVSFNFATGKYERPASSAAILKFELMYAPMFENGNEIQASIEMFPTAVHEFRIPPKALHGLHSYCPVHFDAFHAVLVDLSVHIVFLKSGTSIQEKVSSTSLMVENIADEYYGSNQILGQGWSPKAIEIVKSLFVSREILMEEIRNLSKAIGQKIDDLHNADLNLGKFEFIGSSLRTDLSTANTGISGSSMGVGHLAGMLQNILEKSNGTVNFENDVMLYSLSKEELLDVYFTMGNQLSFLWNTFLNFHRINRIAILEHLHGVWAMDRKAEWSIWMVHSKIEVPHRYLKSGADDSSHHNALGKVVGVRKSNDEPAQGATTRAELHRRSIAQMKINSHSIQDMYIFGDPSHVPVVLVEQHVIDLPNNSSLHSPDPNDAAIPTGLGKNVVPKFSLGPKRNCRVLRAVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEEKTTGDFREMGRRLALEVVAFLRKKMDKLSRYGGCKVTKLSFVGHSIGNIIIRSALTESVMGPFLKHLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCINQLTSTDDPDLQNTFFYKLCKKTLENFKNIILVSSPQDGYVPYHSARIELCQASSWDQSKKSHIFMDMLNNCLDQIRAPSSERRVFMRCDVNFDTSSQVRNLNTIIGRAAHIEFLETDIFAKFIMWSFPEFFL >Ma01_p06790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4876588:4887845:1 gene:Ma01_g06790 transcript:Ma01_t06790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRMRCLTGSIPKNPPSRRLLAPRSRRVQFFTPDMLETVHEIAIYIHRFHNLDLFQQGWYQIKISARWEDGSLESCGTPSRVIQYEAPNIVSDDIFAVWRIDDADHSFFTHPFKIKYARQDVPLSIMVSFNFATGKYERPASSAAILKFELMYAPMFENGNEIQASIEMFPTAVHEFRIPPKALHGLHSYCPVHFDAFHAVLVDLSVHIVFLKSGTSIQEKVSSTSLMVENIADEYYGSNQILGQGWSPKAIEIVKSLFVSREILMEEIRNLSKAIGQKIDDLHNADLNLGKFEFIGSSLRTDLSTANTGISGSSMGVGHLAGMLQNILEKSNGTVNFENDVMLYSLSKEELLDVYFTMGNQLSFLWNTFLNFHRINRIAILEHLHGVWAMDRKAEWSIWMVHSKIEVPHRYLKSGADDSSHHNALGKVVGVRKSNDEPAQGATTRAELHRRSIAQMKINSHSIQDMYIFGDPSHVPVVLVEQHVIDLPNNSSLHSPDPNDAAIPTGLGKNVVPKFSLGPKRNCRVLRAVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEEKTTGDFREMGRRLALEVVAFLRKKMDKLSRYGGCKVTKLSFVGHSIGNIIIRSALTESVMGPFLKHLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCINQLTSTDDPDLQNTFFYKLCKICSDDNII >Ma01_p06790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4876588:4887854:1 gene:Ma01_g06790 transcript:Ma01_t06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRMRCLTGSIPKNPPSRRLLAPRSRRVQFFTPDMLETVHEIAIYIHRFHNLDLFQQGWYQIKISARWEDGSLESCGTPSRVIQYEAPNIVSDDIFAVWRIDDADHSFFTHPFKIKYARQDVPLSIMVSFNFATGKYERPASSAAILKFELMYAPMFENGNEIQASIEMFPTAVHEFRIPPKALHGLHSYCPVHFDAFHAVLVDLSVHIVFLKSGTSIQEKVSSTSLMVENIADEYYGSNQILGQGWSPKAIEIVKSLFVSREILMEEIRNLSKAIGQKIDDLHNADLNLGKFEFIGSSLRTDLSTANTGISGSSMGVGHLAGMLQNILEKSNGTVNFENDVMLYSLSKEELLDVYFTMGNQLSFLWNTFLNFHRINRIAILEHLHGVWAMDRKAEWSIWMVHSKIEVPHRYLKSGADDSSHHNALGKVVGVRKSNDEPAQGATTRAELHRRSIAQMKINSHSIQDMYIFGDPSHVPVVLVEQHVIDLPNNSSLHSPDPNDAAIPTGLGKNVVPKFSLGPKRNCRVLRAVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEEKTTGDFREMGRRLALEVVAFLRKKMDKLSRYGGCKVTKLSFVGHSIGNIIIRSALTESVMGPFLKHLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCINQLTSTDDPDLQNTFFYKLCKICSDDNII >Ma01_p06790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4876555:4890155:1 gene:Ma01_g06790 transcript:Ma01_t06790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRMRCLTGSIPKNPPSRRLLAPRSRRVQFFTPDMLETVHEIAIYIHRFHNLDLFQQGWYQIKISARWEDGSLESCGTPSRVIQYEAPNIVSDDIFAVWRIDDADHSFFTHPFKIKYARQDVPLSIMVSFNFATGKYERPASSAAILKFELMYAPMFENGNEIQASIEMFPTAVHEFRIPPKALHGLHSYCPVHFDAFHAVLVDLSVHIVFLKSGTSIQEKVSSTSLMVENIADEYYGSNQILGQGWSPKAIEIVKSLFVSREILMEEIRNLSKAIGQKIDDLHNADLNLGKFEFIGSSLRTDLSTANTGISGSSMGVGHLAGMLQNILEKSNGTVNFENDVMLYSLSKEELLDVYFTMGNQLSFLWNTFLNFHRINRIAILEHLHGVWAMDRKAEWSIWMVHSKIEVPHRYLKSGADDSSHHNALGKVVGVRKSNDEPAQGATTRAELHRRSIAQMKINSHSIQDMYIFGDPSHVPVVLVEQHVIDLPNNSSLHSPDPNDAAIPTGLGKNVVPKFSLGPKRNCRVLRAVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEEKTTGDFREMGRRLALEVVAFLRKKMDKLSRYGGCKVTKLSFVGHSIGNIIIRSALTESVMGPFLKHLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCINQLTSTDDPDLQNTFFYKLCKQKTLENFKNIILVSSPQDGYVPYHSARIELCQASSWDQSKKSHIFMDMLNNCLDQIRAPSSERRVFMRCDVNFDTSSQVRNLNTIIGRAAHIEFLETDIFAKFIMWSFPEFFL >Ma11_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8991878:9004206:1 gene:Ma11_g09720 transcript:Ma11_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEMEMEMEGFLRECERSGDAAYAALKFVLEKLENPATRSDARVFLARVQQRFHAKDDADRCFRTYHFRIHDVLLHDFQGFQKRKKLTMMVIPSIFIPEDWSFTFYEGINRHPDSIFKDKTVAELGCGNGWISIALAEKWSPLKVYGLDINPRAVKISWINLFLNALDENGCPIYDGEGKTLLDRVEFHESDLLAYCRKNDIQLERIVGCIPQILNPNPDAMSKMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIEVIKPMGIMVFNIGGRPGQGVCKRLFERRGFHITKLWQTKVMQAADTDISALVEIEKNSHHRFEFFMGLVGDQPICARTAWAYVKSGCRISHALSVYSCQLRQPNQVKTIFEFLRNGFREVSSSLDLSFDDDSVADEKIPFLAYLASVLKENSFLPYDPPAGSMRFRSLIAGFMKVYHHIPLSADNVTVFPSRSVAIENALRLFSPRLAIVDEHLTRNLPKQWLTSLEIEGTNDELEDIITVIEAPRQSDLMIELIKKLKPQVVITGMAQFEAITTSAFENLLNTTEELGARLFLDISDHFEISSLPGSNGVLKYLAGKSLPSHATILCGLVKNQVYSDLEVAFVISEDESVYTTLPKTVELLEGHTALFSQYYYGCLFHELLAFQLADRHSPAERVRADRNSAKLIGFASSAVSAVNNAELSITDHKDNLLIHMDVDQSFLPIPSAVKASIFESFARQNMVESETDVRFGIQQLVRNSYGFPCDGSSEFIFANSQLALFNKLIRCCIQEKGTFLFPSGTNGNYVSVAKFMNANILTVPTQSEIGFKLVPDTLASLLGTLTNPWLYLSGPTVNPTGLLYDNKEISEILAVCAEYGARVVIDTCFSGLEFRRDGWEGWNLKNCLSSLTCTTTNSSFAVSLLGGLSFELLTGGLEFGFLILNEPTLIDAFSTLPSLGRPHSTVKYAIKKLLGLRGQRFQQFSRVMDEQKDILRSRSDCLMKTLRSCGWDVVGCCGGVSMVAKPTAYLGKMLKLDDFEAKLDATNFRQAVLKATGLCINSGSWTGIPNYCRLAFALENSEFERALQCITRFKKLVLEN >Ma11_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15051769:15054184:-1 gene:Ma11_g11830 transcript:Ma11_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETAAAAGGGGPGYADSVESSPRSRGGDSWDEPAFPSAAAGRLRLMCSYGGRIVPRPTDKSLCYLGGETRIVVVDRHSSLPDLSAKLSRNLLGGRPFSLKYQLPNEDLDSLISVATDEDLDNMIEEYDRILAAAAGASGGSNRSSRLRLFLFPSKSESSPTSSIGSLLDDSKSETWFVDALNSAMGGMGIDGLPRGLSSDSASVNCLLGLEDDSSVHSHGGSAIASSGVVRGGAHSELPERLVLSRPDSSGKLARHSQDVHSIPDSPMLDTTSSFGSASSVPSLSNLPPIRVRPDDRPSDPRIAGLDDHFAQMNLSSGTVAAGGGQRADDNFKEPSYAPELQPSPSIPPSASSASTLTISPTENPSKAFSSDDEKSDHGGVRKPLQHRPPDLTQIEALSYDSGSRTMYLNATSDPKRELPVSSDPSNRIPILATDAAGYQLPSLQPKQFHEQQLHPQFHHQQQQQQQQYIPANPHYIHHPGTGGVIPVPSYFPVATHTIQQPPQAHAYDPQMPLYYYPVRQTPSYDLAAMQPGLGDPNLISSAAKPALPVPRVPAKSELTANLYRTNAPSPATALQPPLIHVAADQAHPYATGMGYHVVQPHHISQSPATMVNYGYDFAGDRAHPQMYYSQATPLPVSSGVVIADGTAPADAKATRAS >Ma09_p25430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36886719:36889694:-1 gene:Ma09_g25430 transcript:Ma09_t25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKREKPSPLRSPRTLVSILLPISFLLFLFLFLFYPISKSSPNRARETLFVSPSGIRPFDCRACPQASPVFANLVEGVKHPFLYSLADFGALPEKPHKNIARMLKGKPFRRPDISATVQEFLEGKDKDGGVVVDVGANVGMATFAAAAMGFRVVAFEPVFENLQRICDGVFLNRAGDRVTVYAAAASDRIGNITFHKLVGRLDNSAISATGAKLAFKSNEEIAVEVATVPLDEVIPDTERVLLIKIDVQGWEYHVLRGASKLLSRRKGEAPYLIYEEDGRLLQASNTTADEIRKFLGSMGYHHCTRHGTDAHCMKD >Ma07_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9036750:9037252:1 gene:Ma07_g12090 transcript:Ma07_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPRYAYPYPAQGYYQGPPVMAPPQYAAPPPRREPGFLEGCLAALCCCCLIDECCCDPSVICVG >Ma09_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6391389:6395095:-1 gene:Ma09_g09710 transcript:Ma09_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAITSASFSASPPGAPHLALRHPWITRSVSASLHSSPIGLARSPRLLARATAPVACAPDLLQAAKVTVDEYVRNGMVVGLGSGRASGLAIKYLGQRLREGALKGIVGVPTSVSSASEAAKAGIPLNNYQENLQIDFAFDDADLIEERTLIAIIGRRKFEGGESIIEEKSIVKTASGLAFIVAENQYTSDLDGSIPVLVNSGNWLETAEEIDDLFLGDAEVWRRPSSGDAGPLGGDFPLVTREGHHVLDVIFTSPILDLGQVAESLDQIDGVVDHGIICGIPCTVIIASNDGVEVVDNLSKDRVIDSL >Ma05_p28550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39514646:39515317:-1 gene:Ma05_g28550 transcript:Ma05_t28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSFASLFSRVRPMASSPPPPLLNESCLSNSSSGEWESFSDGDAVEAVIHGLRSSDRLFFEPGTTSSMVEEAAKSDVDGAPFEGSIAMAVESEDPYRDFKQSMEEMLLAHGVGDWAWLQQMLEWYLLLNGKKNHGFIIGAFMDLLLGIASSSSCSSSSSPSSSSSSSFTFEIEEEEEEVVEEEMM >Ma07_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8644083:8649845:-1 gene:Ma07_g11610 transcript:Ma07_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYCPDCKRGTEVVLDHSAGDTVCSECGLVLESHSVDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVISKPNGAQGDFLSSSLGRWQNRGSNPDRSLILAFKTIATMADRLGLVATIKDRANEIYKKVEDLKSVRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSHLGMTNQAVKAAQEAVQKSEELDIRRSPISIAAAVIYMITQLSDDKKPLKDISLATGVAEGTIKNSYKDLYPYASRIIPTFFAKEEDLKNLCSP >Ma11_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7355875:7357479:-1 gene:Ma11_g09200 transcript:Ma11_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSFFLLLPISLAVHATLNHAQAKHCFIEAIYSFGDSIADTGNLLHEGAAGLFAPIGSLPYGETLKKATGRCSDGLLMIDYFALNLNLPLINPYLDESSNFESGVNFAVAGSTALDSSFFVQRSIYTPVANSPLGVQLEWFKTHLNSTCSSPTECARKLERALILMGEIGGNDYNNAFFQGRTIADVKSFVPLVVQRIISAAEEVIDLGAAQLVVPGNFPIGCAPSYLAMFTTANATAYDADNCLKNFNSFAVYHNDHLQAALEGLRRAHPRVTIMYADYYQAFMYLLNHAADLGFDEGSLHKACCGAGGPYNFDINLMCGLPGTETCTEPSKYVSWDGIHLTQEAYRVMAQSLIMQGFAYPNNHFQEQWKC >Ma05_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9325311:9326220:-1 gene:Ma05_g12910 transcript:Ma05_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGPLDALDFSTLGSLLRLNLSYNQLGGVIPLTISALSRLVSLDLTSNRFTSKIPIGMSSMKELQFLSLCRNQIVGAIPPSLSNLTSLVSLSLKDNKLTSVIPKELGRLHKLMYLDIGVNRLSGSIPFSLGNLTKLYHLDLYQNQLTGVIPQELKNLINLVYLSISNNNLTGRVLSSFRNQTKLQSFLLSENQLSGNMTKLKSLDFSDNQLSGSIPFEIGNLATSFVVQSLPLWGD >Ma02_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25836328:25841061:-1 gene:Ma02_g19620 transcript:Ma02_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAAVVSSPFLGSRSLITLTRSKRFLRLASAGTPLVPIGASRRCMVRRAGNSSYSPVTAGSRGGSGFSDRPPTEMPPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKRRIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYNDRTRYVRRRENRQ >Ma08_p33790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43988637:43997999:-1 gene:Ma08_g33790 transcript:Ma08_t33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEESQATSDHVEAEPSSEVDLLVDRDGKHILTYGESDLLQKEGNRYVEEEASLDGEFSKFEESIYAKESSHLFKQISEVEEASSVEDLKTRNRVANVNLLVMEKKKEFELQLEESGRGQELSESEKSLPKSEFHLANQKLVKMNRYCEEHELYQKAMKDDILEALTSNDTKHKKLLEVKEAFTGLADELKSSRKKMKELQEGLVSSANEMCKLEEFSKHSSSQAELESKRALQFGNMLELAQFKAKEIEGQMDNLQKGLKGLYERIAENQHVKGVLHANAVELSTIQEKLEISRSTVADFEQKLVSKDSIIHELTQELNIHKASEERMKTHVLELENLLAASKEELKAKHANLEEIELKLQEKIGASFKNQVLWQKVTLQSLQKDLSDLTREKVTLQSTVADLSMKLSMNEEQCRQFEANLNLADQNFNKTDSLLSQALLRNKELEQNQRSFEELHHDMKKVMDATTKRNLELENLVQASKLAEESLKTKLRQSEMRSFSAEKRNMELKQQFNTTEMRCLEAESEIEDLNNQVKELTTLLRKIDEENSLSRLCFQGYENKIGQLESSLSKSFRRNTELEKELNCLLEKCVEHEGQATAAHQSNAELEDKVQTSHSKTEIEKCVKQGTTAHQSNVELGDKAQSSHFETENAVTGADELEQLLETANYRIQELEQLLATMEAKYRDTETELKQYSSKVSALFAEIEAYQARSESLESVLQAANEKESELTDALDATIKDRKKLEDLSNIQAKSLSEAENLIQILQNKLKSLGAKLESAEEQLQASSLREKELVEKLRSTGEQLKHDGKAVEDINTRNLEMNSLNESLDKDTGFKFKEATLRFNQKESEFKELHEKLKFLEEQKTLYKDHALESNEEVASLKAELEANAMKLVSLDNKVEELNQKVLESDLRAEQISLENELMAVTNSKLRLELAACQLQLNQLNQLLNTNHAEMEATSEQLASHVKTISKLTDENSTYLELQSATEYRLRETEVQLHETIEKFKQKDSEVRDLIEKLLALETQLRSHDEQASELAAIASSRKDKLEDTLLKLQNLEAFVEHLKISSEISKLENEDLMGENLTMSQELAIYKTKINELKIVFNAVVAEKQVTSIQLHDSRKEMKVLMQQINSDKEKHQLQITAAKEEYNKLTESYQKTKKELEAIIIQLEEQLSEQKTKEISLCADMKILKAELADKSLMQEQISELESKLLFAQKSYMEEIEGMRSTVVEKDVILIPKLEEHTCIIEERDTLIQQLKKVQSDLDIAHRTIKEQFQNKTAEGELQNEIEAKSRDLGLGTSITMYGRNIKENNDNHMNQALETKSLNLATQIDEEASGAMALKFILGVALVSMFIGIILGKRY >Ma05_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33811833:33814117:1 gene:Ma05_g22080 transcript:Ma05_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPTFSRSNLCCGWICIIFGVISFVVFCYAAIISKLLSESRCPILFSIQNDCRYYCFLVPLTLPVMIILVYLHWLSMKLFKHA >Ma09_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11695114:11708090:-1 gene:Ma09_g16340 transcript:Ma09_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMVDFGSRPAPSSPLRNPSKGEISASGSPSFHLRSGIPSSGGSNSSSVFGFPHRSVLGQQPPASRGLKNADFVGKFAAPVPPVPFPPIHPVPVPGRPLSAAGLSKPRLVKVRKHLASPRVRSAATTNANDGSGFNPFHSGPVGMESDISGRHQRDGVQGLDEKFHGRNPLESEAGSKIPEHSSSGSLKSAKPGSAGFVMSDGGAFVFGSSEKKGSNLGRSKGKKFVKSENTGYGSDNSMKNVASANVVEETFSFSRFSNRSSDDGLYVFGSGMKNFSSEQGPPVDLSDQNVRNLASIKSQSDGFAFGTTSVMNLGKEDDGSGNKPVHKPHSDACIFQSDLKQSSNSCENSSENLSGRNNGIFEFGKSESAAFVFGTDSVLLSGVKNIGSSSIHGGPSSFVDGNTGRGIFSSEGVQSKSDNSSGNEDSRLKNSGSIRSECYFFGASISSNFGSKDNGTKDVINNVISEGGREPNVGSSVCGNATSLNSNLGQESFIALDVGTVSRLHVEMRKLNLQRPDNEVEPEKAKQADCRAKINEGNTFVFGRSQSYLNSSGATDCSKLREAGTPFVSSLSGHDTQSVATGLNFARVCEEQKLPHMEFTTPIHVTPMLSKESLFTGPHQNKEFNVKRESRTTRKKRREKSRQSVPLHKDFSKTFDSVEKVVETVEKFSSGGYSPMDYSPYDEISDESRHIFSSCESIGTKESVPVGERKEDPVSATQHLYINKDGVTLREHENSGSRDYIERDSVDKSSFIGEQITENGREKYFFKSDNMDRTLTSNAAGMKAETESCSSNFEPQANENENCFNLNSSLESFPGSDFTFGELAFNQGLLSAEKRQHRKKSRMRSSKNLNNSIPKVSVPMVPPSENLLPDANSVQPVAERDFKGKLSVPQNGDDVVAERQKKLEKRKDPISTVGATATEQEVCNQWRLRGNQAYSNGDMSKAENFYTRGLNSISITEVSRSDNKALMLCYSNRAAARMSLGRMREALSDCMMAAKIDPSFLRAQVRAACCHLALGEIEDALKHFKNCLQSDNEGSLDHKIFVEASDGLLKTQQVADYMVQSEELMLKKSSNEAAKALQIIIEALFICPYSERLMERKAEAFLMLRRYKEVIVFCEQTIEIAERNYALCRVSTDNSEDMQSCPMRLWRLNLMSKSYFYLGRFEEAVELLKKHEKVTYKEDKNVNGSSESLASLCGTINELLRLKAAGNAAFQAGRHLDAVEHYSAALACNTESRPFTAICFCNRAAAYQALGQITDAIADCSIAIALVASYAKAISRRATLHEMIRDYGQAANDLRRLISLLKNQSKDKDSQAGVLGINSGNNDLNQAHVRLHSVEEEARKETPLDLYMILGIEVSSSAADVKKAYRKAALRHHPDKAGQLLARNETIDDGFWREVADEVHKDADRLFKMIGEAYTVLSDATKRLQYDAEEELRTTLRKTCGGRCTSKTQDNHSSPSERRRWRAYASTHQRWSESSRYYK >Ma04_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5388571:5390205:1 gene:Ma04_g07460 transcript:Ma04_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEGRKSPPKNREEKPQGGGGGGWGSWGISSFSMFSELQKAAEDISRNAVEVVKNATKGITDLEIAGSDSETTDEVSKDSRGGEEEEEEEEEHVHDRLRKSALDKLEKASEDSLFGQGLKVLDNSVETIASGAWSALGSAWKGGSSLVSKLELSAASLADSIHQGNLPGKATFLLHQL >Ma02_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27208542:27216403:1 gene:Ma02_g21640 transcript:Ma02_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPAAAEDDEKVFAKKPRYCEAEMPLADANNESSFFPVEEIVQYPLPGYVVPSSITFSPDDRLISYLFSPDGTLYRKVFAFDVASRRRDLVFSPPDGGGLDECNLSEEEKLRRERSRERGLGVTRYEWKARSLSGKHTIMVPLPTGIYLQEICDTEPELKLPCRSSSPIIDPHLSPDGSMLAYVREDELHVLSLSEGHPKQLTFGAKENGMTHGLAEYIAQEEMERKNGFWWSPDSKYIAFAEVDSSEIPLFRIMHEGKKSVGSDAQEDHAYPFAGASNVKVRLGVVAASGGEVTWMDLICGVQDEAGGAEEYLARVNWMPDNSLVAQVLNRSQTKLEILKFDIQTGKKVILFVEEQGTWINLHDCLTPLDKGVNNLSGGFIWASEKTGFRHLYLHDKNGVCLGPITQGNWMVEQISGVNENAGLLFFTGTMDGPLESNLYCTSLFPDWNHPLQLPRRLTHGSGRHAVILDHQMQRFVDVYDSLNSPPRVILCSLHDGSIIAPLYEQPLSIPHFRKLQLLSPEIVQISAKDGTVLYGTLYKPDASKFGPPPYKTLINVYGGPSVQLVVDSWINTVDMRAQYLRNKGILVWKLDNRGTARRGLKFEGHLKHNFGHIDAEDQLTGAEWLVRQGLAKVGHIGLYGWSYGGFLSAMSLARFPETFCCAVSGAPVTSWDGYDTFYTEKYMGLPKENPDAYEYGSIMHHVPKIKGKLLLIHGMIDENVHFRHTARLINSLIAAGKPYELLLFPDERHMPRQLRDRIYMEVRIWEFIERSL >Ma07_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11487743:11494841:-1 gene:Ma07_g15310 transcript:Ma07_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQRKGMIPVSPSQTPRSTEKTGRDLRSLEGNGNLSSKFDREKGVNVQVLLRCRPLSEEEMRMNTPVVISCNEHRREVSAVQNIANKQIDRTFMFDKVFGPTSKQKDLFDQAISPIVHEVLEGYNCTIFAYGQTGTGKTYTMEGGRKAKNGDFASDAGVIPRAVRQIFDTLEAQCAEYSMKVTFLELYNEEITDLLAQDESKLSDDKSKKPIALMEDGKGGVFVRGLEEEVVYTASEIYKILDKGSTKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIYCLEETLSTLDYAHRAKNIKNKPEVNQKMLKSAMIKDLCAEIDRLKQEVFAAREKHGIYIPRDRFLIEEAEKKAMTEKIEHLELDLDSKDKQLIGLQELCNSQQLLSAELSEKLKKTAEKLGDTEHALLDLEERYKQANIKIKEKDFLIFNLLKSEKVLVEHAYKLRSELENATADLSGLFSKIERKDKIEDGNRILVQKFRSQLTQQLEILHKTVSASVMQQETQLKEVEEDMHLFVSTKTEATKEIRGHVERLKAMYGSGIRALDDLVGELDKNSQSTFERLNSLVLLHSSTLKDWFKGIALEADQLLNELQVSLSKQEDKLLAFAQQQREGHLRTVQATRSISKITSNFFHTLDVHASTLTKILEESQTVQDKRLHELEKKFEECAANEEKQLLEKVAEMLASSSARKKKLVQAAVSSLRGTAAERTNNLEKEMSSRHNFTSSVKEQWKNYVEITEYHYLEDIATIESGKLSLDEGLKSCMEMAKEGLQQWRVAQSCLIDLDKGNVASVDSIVRRGMEANQLLRDNLSSTSSTTLEDIDSAKKDLHSSIECSLKLDHDACANIKRMLLIPCCGELRELRSGHYHKMVEITEHSGKCFEEEYTVDTPSCSTPKKRSINLPSIKSIEELRTPSFEQLLKSFWEAVSASKEVNGDLKHLSESQALRDARFSLTAN >Ma10_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32331353:32332964:-1 gene:Ma10_g23330 transcript:Ma10_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVDLMGYAKMDDQIAIQEADAAGIRSMEHLISRLPHHQQQQRDCLEITDQAVSKFKKVISFLNRTGHARFRRSTTSPPSEPPAEPSLPEAAKTLTLAPIPLRVKPPSYPLPPPARTLTVDFTEPINCGEVSPPSQYSKECFSITTPMSSATSSFMSSVTGDASVSNGRFGAASFLFPPPAAAISAGKPPLSSALKPRCHEHDHANSEHVTGRYAVPGARCHCSKRRKSRVKRTIRVPAISSKVADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDPTMLIVTYEGEHRHTPTGAAPEPIPAAAI >Ma04_p25690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27388655:27392398:1 gene:Ma04_g25690 transcript:Ma04_t25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGSSTFDGFFLDVKEGVDDMIKFLANEPSVGLFFVQQHAQTSMPYLLNLKDKVGEKIHEVTLHTEDIEDSICSVRSMAEYGIPIADEMIKDINRSLHIVSASQPKRGVIRNPSWGGQEDTSGRSIMTAPREGSSRSSYLSAVFKSTKWKAGGLRWSQTNSMMEDPKSGNPLSSVTPPQAVGSVTPATTDTDGDELPLSSQLLDDESLYVPDTSTVLDDYDKFKSEREAKFEEWLQEAENRVSCSESSI >Ma07_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2263107:2275847:-1 gene:Ma07_g02900 transcript:Ma07_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDGMIECSNCHSKLPAPGGKGISRAYDKNRNKTPPKHRALKVLLVAGDCILVGLQPILVYMSKVDGSFKFSPISVNFLTEAAKVLFAIIMLLSQAKKQNKGDKPLLSISTFVQAFRNNVLLSIPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSIIQWEALALLLIGISVNQLKSLPEDTNALGLPVAMGAYLYTLMFVTVPSLASVFNEYALKSQFGTSIYLQNLFLYGYGAIFNFIAIVGTAVLKGPNSFDILQGHSKSTMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTINFILGISIVFISMHQFFSPLAKAEDEMPDKRIEMMDAQDVRLKEASYVNITPGATKDASHPVGPDERQMLLRV >Ma03_p31270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33544742:33546768:1 gene:Ma03_g31270 transcript:Ma03_t31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHPAPAMSFSDFPEDVQLNILSFLSPAEVAAFACTSRRFAALCGAAAPDSPLWLAMCERRWVSRTRPRSWSSPSAFGRRAPFARLYKALDRWEHLIGFWRRIGHGGPGTPPLVFFEWGPSYIAGFRVSPSPEATGDGYGVLKVPFLWLGLSPNGEPVNFLHPGCRFDSTSDMLGSVSDSSISSSGLSDPDLLPVTVSFMGCNHFVVEENRSYYADAWAEDSNGFPVEVLGTEGTSPPDRLMSEIYRYFANRTSPGSDKALRRQRKKERERFGRRRWDTQHFVKINNYYPTVERPLQGLWKGISEDMVLEFYLVTYDDVGGITCRRVSEAGEQFSGYSPVFWTSSTAFLESPFPIEEQDLYGSREHIRPVASNWKSIRSEVVSRILRINSSYDLVIPSLSGSSGDPRNVEGRIWEYDDGTFGFGFLRNNYTIDLKQIALNGSLLDPVEHFCNLSGL >Ma11_p01110.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:799671:822599:1 gene:Ma11_g01110 transcript:Ma11_t01110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSDQAILLHGDLDLEIIAARDLLNMDLCAERFRRCFGLCGLPFPRPADGRNLPSSASAGRARPKIITSDPYTKVVVAGATVARTHVIPNTEDPVWRQRFRIPLAHCAAKIEFQVKDNDLFGSQLIGTATIPAALVASVGLSQEWLPIIAPTGRPPKPDSALLVSLSFTPVEANPLYRHGIAGDRKHLGVSDTYFHLRKGGKVTLYQDAHVRAGELPGIELEGGKVFQQGKCWEDICHAILEAHHLIYIVGWSLYDKVKLVREPTRLLPAAGKCTLGELLKYKSEEGVRVCVLVWDDKTSHDKYFFKSAGVMQTHDEEIRKFFKHSSVICVLSPRYASGKLSMVKQQVVGTLYTHHQKCLLVDTEASPSTRKITAFLGGLDLCDGRYDTPQHRLFQDLESVYDNDFHNPILSIQSKGPREPWHDLHCKIEGPAAYDVLKNFEQRWKKATQFHVFRKHLKNVTRWQDDALIKIDRISWILSPSPSHTNAHGDPNIWVTSEEDCENWHVQVFRSIDSGSVKGFPKSVDEAGSMNLVCSKNLVIDKSIHTAYVKAIRSARHFIYIENQYFLGSSYGWLSYDNAGADNLIPMELALKIASKIRAGERFAVYIVIPMWPEGVPTSNYVQEILYWQGQTMKMMYSIIGEELKSTNNDKAHPQEYLNFYCLGKRELPNHTIHSTTQVSNEVSSEKYRRFMIYVHAKGMVVDDEYVILGSANINQRSLDGSRDTEIAMGAYQPYYTWESKRIHPQGQIYGYRMSLWAEHLGGVDPLFKEPHSLDCVKYVNKLAEENWSRYNAEDIIPLKGHLLMYPISVDADGKVEPLPGKETFPDVGGKVLGEPTTPLPDELTM >Ma11_p01110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:799671:822599:1 gene:Ma11_g01110 transcript:Ma11_t01110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSDQAILLHGDLDLEIIAARDLLNMDLCAERFRRCFGLCGLPFPRPADGRNLPSSASAGRARPKIITSDPYTKVVVAGATVARTHVIPNTEDPVWRQRFRIPLAHCAAKIEFQVKDNDLFGSQLIGTATIPAALVASVGLSQEWLPIIAPTGRPPKPDSALLVSLSFTPVEANPLYRHGIAGDRKHLGVSDTYFHLRKGGKVTLYQDAHVRAGELPGIELEGGKVFQQGKCWEDICHAILEAHHLIYIVGWSLYDKVKLVREPTRLLPAAGKCTLGELLKYKSEEGVRVCVLVWDDKTSHDKYFFKSAGVMQTHDEEIRKFFKHSSVICVLSPRYASGKLSMVKQQVVGTLYTHHQKCLLVDTEASPSTRKITAFLGGLDLCDGRYDTPQHRLFQDLESVYDNDFHNPILSIQSKGPREPWHDLHCKIEGPAAYDVLKNFEQRWKKATQFHVFRKHLKNVTRWQDDALIKIDRISWILSPSPSHTNAHGDPNIWVTSEEDCENWHVQVFRSIDSGSVKGFPKSVDEAGSMNLVCSKNLVIDKSIHTAYVKAIRSARHFIYIENQYFLGSSYGWLSYDNAGADNLIPMELALKIASKIRAGERFAVYIVIPMWPEGVPTSNYVQEILYWQGQTMKMMYSIIGEELKSTNNDKAHPQEYLNFYCLGKRELPNHTIHSTTQVSSEKYRRFMIYVHAKGMVVDDEYVILGSANINQRSLDGSRDTEIAMGAYQPYYTWESKRIHPQGQIYGYRMSLWAEHLGGVDPLFKEPHSLDCVKYVNKLAEENWSRYNAEDIIPLKGHLLMYPISVDADGKVEPLPGKETFPDVGGKVLGEPTTPLPDELTM >Ma11_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:799671:822599:1 gene:Ma11_g01110 transcript:Ma11_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSDQAILLHGDLDLEIIAARDLLNMDLCAERFRRCFGLCGLPFPRPADGRNLPSSASAGRARPKIITSDPYTKVVVAGATVARTHVIPNTEDPVWRQRFRIPLAHCAAKIEFQVKDNDLFGSQLIGTATIPAALVASVGLSQEWLPIIAPTGRPPKPDSALLVSLSFTPVEANPLYRHGIAGDRKHLGVSDTYFHLRKGGKVTLYQDAHVRAGELPGIELEGGKVFQQGKCWEDICHAILEAHHLIYIVGWSLYDKVKLVREPTRLLPAAGKCTLGELLKYKSEEGVRVCVLVWDDKTSHDKYFFKSAGVMQTHDEEIRKFFKHSSVICVLSPRYASGKLSMVKQQVVGTLYTHHQKCLLVDTEASPSTRKITAFLGGLDLCDGRYDTPQHRLFQDLESVYDNDFHNPILSIQSKGPREPWHDLHCKIEGPAAYDVLKNFEQRWKKATQFHVFRKHLKNVTRWQDDALIKIDRISWILSPSPSHTNAHGDPNIWVTSEEDCENWHVQVFRSIDSGSVKGFPKSVDEAGSMNLVCSKNLVIDKSIHTAYVKAIRSARHFIYIENQYFLGSSYGWLSYDNAGADNLIPMELALKIASKIRAGERFAVYIVIPMWPEGVPTSNYVQEILYWQGQTMKMMYSIIGEELKSTNNDKAHPQEYLNFYCLGKRELPNHTIHSTTQYRRFMIYVHAKGMVVDDEYVILGSANINQRSLDGSRDTEIAMGAYQPYYTWESKRIHPQGQIYGYRMSLWAEHLGGVDPLFKEPHSLDCVKYVNKLAEENWSRYNAEDIIPLKGHLLMYPISVDADGKVEPLPGKETFPDVGGKVLGEPTTPLPDELTM >Ma11_p01110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:799671:822599:1 gene:Ma11_g01110 transcript:Ma11_t01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSDQAILLHGDLDLEIIAARDLLNMDLCAERFRRCFGLCGLPFPRPADGRNLPSSASAGRARPKIITSDPYTKVVVAGATVARTHVIPNTEDPVWRQRFRIPLAHCAAKIEFQVKDNDLFGSQLIGTATIPAALVASVGLSQEWLPIIAPTGRPPKPDSALLVSLSFTPVEANPLYRHGIAGDRKHLGVSDTYFHLRKGGKVTLYQDAHVRAGELPGIELEGGKVFQQGKCWEDICHAILEAHHLIYIVGWSLYDKVKLVREPTRLLPAAGKCTLGELLKYKSEEGVRVCVLVWDDKTSHDKYFFKSAGVMQTHDEEIRKFFKHSSVICVLSPRYASGKLSMVKQQVVGTLYTHHQKCLLVDTEASPSTRKITAFLGGLDLCDGRYDTPQHRLFQDLESVYDNDFHNPILSIQSKGPREPWHDLHCKIEGPAAYDVLKNFEQRWKKATQFHVFRKHLKNVTRWQDDALIKIDRISWILSPSPSHTNAHGDPNIWVTSEEDCENWHVQVFRSIDSGSVKGFPKSVDEAGSMNLVCSKNLVIDKSIHTAYVKAIRSARHFIYIENQYFLGSSYGWLSYDNAGADNLIPMELALKIASKIRAGERFAVYIVIPMWPEGVPTSNYVQEILYWQGQTMKMMYSIIGEELKSTNNDKAHPQEYLNFYCLGKRELPNHTIHSTTQVSNEVVSSEKYRRFMIYVHAKGMVVDDEYVILGSANINQRSLDGSRDTEIAMGAYQPYYTWESKRIHPQGQIYGYRMSLWAEHLGGVDPLFKEPHSLDCVKYVNKLAEENWSRYNAEDIIPLKGHLLMYPISVDADGKVEPLPGKETFPDVGGKVLGEPTTPLPDELTM >Ma01_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11266487:11274901:1 gene:Ma01_g15560 transcript:Ma01_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEEGTERGSWEAALRRLLPHGAPLPDEEHLDYSISVGCDFPPAPHHVSEVESLEPRGAPASVAGGCGHSLPGGPRFNRRRSGDPPRRMPAERARSSSVACAFAEPEGGALRSGARTSSARGISSFSGSARPVAVTFGAEKDSEEDLAGGATAAEPAPARERRRGVCFRCGKGNILKEREACLVCDARYCSNCVLKAMGSMPEGRKCVSCIGRPIDESKRSGLGKCSKMLSKLCSPLEIRQVMKAERECPANQLRPEQLVVNGRPLQQEELDEVLGCSMPPQKLRPGRYWYDKDSGLWGKLANVQCPRDTHFWVYDDGSYEEEGQNNIRGKIWEKASTRLICSLFKLPTPPENPPGSKEDSAAFSVRSVPEYLEQKRVQKLLLLGLEGSGTSTIFKQAKYLYGNQFSPEEMQNMKLMIQSSLYRYLSTLLEGREHFEDEALEGKRAGSLHYNASANGLGGSEAQKHNECVYSINQRLKHFSDWLLQIMAMGDLDAFFPAATREYAPVVEEAWKHPAIQETYKRRNELHFLPDVASYFLDRAVEISSNEYEPTEKDILYAEGFSRCNGLAFIEFSLDDRSQISQHIECPHPQTKYQLIRVNTRGLNEGCKLLEMFEDVRAIVFCVSLSDYDQMWPQSSGELCNKMMASKDLFESVVNHSSFRETPFVLLLNKYDAFEEKISKVPLTVCEWFADFSPVKARDTNQSLANHAYYYIAVKFKDLYASISNRKLFVFQMKARERATVHEGFKYIQEVLKWDDVKDENVYGILDESFYSTDISSSPFFK >Ma01_p15560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11266487:11274901:1 gene:Ma01_g15560 transcript:Ma01_t15560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEEGTERGSWEAALRRLLPHGAPLPDEEHLDYSISVGCDFPPAPHHVSEVESLEPRGAPASVAGGCGHSLPGGPRFNRRRSGDPPRRMPAERARSSSVACAFAEPEGGALRSGARTSSARGISSFSGSARPVAVTFGAEKDSEEDLAGGATAAEPAPARERRRGVCFRCGKGNILKEREACLVCDARYCSNCVLKAMGSMPEGRKCVSCIGRPIDESKRSGLGKCSKMLSKLCSPLEIRQVMKAERECPANQLRPEQLVVNGRPLQQEELDEVLGCSMPPQKLRPGRYWYDKDSGLWGKEGERPDRIISSKLNIGGKLQSDASNGNTQVYINGREITRIELKVLKLANVQCPRDTHFWVYDDGSYEEEGQNNIRGKIWEKASTRLICSLFKLPTPPENPPGSKEDSAAFSVRSVPEYLEQKRVQKLLLLGLEGSGTSTIFKQAKYLYGNQFSPEEMQNMKLMIQSSLYRYLSTLLEGREHFEDEALEGKRAGSLHYNASANGLGGSEAQKHNECVYSINQRLKHFSDWLLQIMAMGDLDAFFPAATREYAPVVEEAWKHPAIQETYKRRNELHFLPDVASYFLDRAVEISSNEYEPTEKDILYAEGFSRCNGLAFIEFSLDDRSQISQHIECPHPQTKYQLIRVNTRGLNEGCKLLEMFEDVRAIVFCVSLSDYDQMWPQSSGELCNKMMASKDLFESVVNHSSFRETPFVLLLNKYDAFEEKISKVPLTVCEWFADFSPVKARDTNQSLANHAYYYIAVKFKDLYASISNRKLFVFQMKARERATVHEGFKYIQEVLKWDDVKDENVYGILDESFYSTDISSSPFFK >Ma09_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1466788:1471467:-1 gene:Ma09_g01990 transcript:Ma09_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40610) UniProtKB/Swiss-Prot;Acc:Q9SCX9] MAPSIEGKRPEVKSPMNTTCCNREEITPRYRVTVVGSGNWGSVAAKLIASNTVKLPIFHDEVRMWVFEEILPGGEKLSKAINQTNENVKYLPGIKLGKNVIADPDLENAVKEANMLVFVTPHQFVAGICKRLVGKIKPNTEAISLIKGMEVKTEGPCMISKLITDMLGINCCVLMGANIANEIAVEKFSEATIGYKQDKEVAQRWARLFCTPYFLVSVVQDVEGVELCGTLKNVVAIAAGLVDGMNMGNNTKAAIMRIGLREMRALSKLLFPSVRDSTFFESCGVADLITTCLGGRNRKVAEAFAKSGGKRSFDELEAELLGGQKLQGVSTAKEVYEILSHRGWQAIFPLFTTVHEICIGQLPSSAIVEYSEQATNFSIVEGLAQYC >Ma09_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7802774:7811456:1 gene:Ma09_g11550 transcript:Ma09_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPSLLFYLITLLFVSSTAVAIQVCSTSAGKFTANGTYESNLNLLLSSLISNGSASGFFTDTVGRMPNQVQGLVLCRGDTKATTCSSCLSTAGVEILQLCANDKDAVVWYDDCHLRYSNLQFLGTLDNDPEMPVASEYQVYDETDRFNKVVNELMNSTADWAAYNSTKRYATGLAINATHAFPNIYGLAQCTPDMSASDCRQCLEGVSQGLPMGRLIAENLGVRCNLRYKVGPFFEGNPIIRLVFAVTNGTTPAENATTPASAPASQPSVGSTSKEGKKKTILAISISAVSAVLLIPIIYICYRRLRKQTSKSPYGTESEQATQVESLLFHISSLRAATANFSEENKLGEGGFGAVYKGVLPDGREIAVKRLLNSGHGLGELKNELVLVAKLRHRNLVKLLGVCLEEEKMIVYEYVPNTSLDKFLFDPVRGKQLNWGTRHKIIHGIARGLLYLHEESQLKIVHRDIKASNILLDAEMNPKITDFGFAKLFDVDQTQATTNRVVGTFGYMAPEYVMHGKYSIKSDVFGFGVLVLEILTGRKSSGSYNPEVTEVLLSYTWEKWRGGSALEIVDPTLGAHYQRSDLLRCMHIGLLCVQEKPNDRPTMSTVVVMLNSETVSLRAPSRPGFYLGNGGRNANFNPSNSNHPIGTSDRGSKSCPMSSNEVSITEMEPESIKRDVLPYSMHLPNTTMFPSISSTQFLLLYLILLFVPSPTVAFTWQVCSTSAGNFTANSTYESNLNLLLSSLVSNGSAPGFFTDTIGRIPNQVQGLVLCRGDTNATTCSSCLSNGAVEILQLCAYDKDAVVWYDECLLRFSNLQFLSTSDNDPTAALENEIAVNDEADRFNKVVNELLDSTADWAAYNSTKRYATGQAFNVTLAFPTIYALAQCTPDMSASDCRQCLEGVSQGLPMARMGARNQGVRCNLRYESSLFFQGNPIIRLISPATNATTPVDNATTPASAPASRPSVGSTSKEGKKKTILAISISAVSAVLLISIIYACFKRLRKQTPKQPYATDSEEATQVESLLFDLSTLRVATVNFSEENKLGEGGFGAVYKGLLPDGRAIAVKRLLNSGQGLGELKNELALVAKLQHRNLVKLLGVCLEEEKMIVYEYVPNTSLDKFLFDPARGEQLTWGIRYKIICGIARGLLYLHEESQLKIIHRDLKACNILLDADMNPKISDFGSAKLFDGEQTQGMTSRVVGTFGYMAPEYVIHGQFSIKSDVFSFGVLVLEILTGRKNSTACNPENTEDLLSYTWEKWRGGSALEMVDPALGNQFHGSDLLRCMQIGLLCVQENPFARPSMSTVAVMLSSATVTLQAPSQPAFC >Ma06_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5586652:5591326:-1 gene:Ma06_g07880 transcript:Ma06_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPADDDGDSAEGDGGDEVAEDREKPPVQMRGRSQGGGGRRVAPTVCPANDEEAGDAVVEKALPNGDLYTGEFVGSAPHGRGKYLWVDGCMYEGEWRRGKAAGKGKFSWPSGATFEGEFRSGRMEGFGTFIGADGNTYRGQWVADRKHGLGSKAYANGDYYEGMWRRNLQEGQGRYIWRNGNQYIGEWRGGVINGRGALIWANGNRYDGQWENGVPKGSGVFTWPDGSCYVGSWSHGEPMALNGTFYPAPTTARKETPGKRSSFFHLDDELGVPMTPLVPLSGKLSSIDGGAPGRGSSAAEKSFPRICIWELDGEAGDITCDIIDTLEAAMLYRDGSTFDQSSATLIGTVQQRQNPCCLSTHEVKKPGQTISKGHKNYDLMLNLQLGIRYSIGKPGSAQLRELRPSDFDPMEKYWTRFPPEGSKITPPHLSVEFRWKDYCPMVFRHLRKLFSVDPADYMLAICGNDALRELSSPGKSGSFFFLTQDDRFMIKTVKKSEAKVLIRMLSSYYRHVCRYANSLVTRFYGVHCVKPNGGQKVRFIVMGNLFCSEYHIHRRFDLKGSSHGRTTDKAEEEIDETTTLKDLDLNFVFRLQTSWYLELLEQIKRDCEFLEAEGIMDYSLLVGVHFCDDVSASKTSISPFIGSPKIYGKKEAFQEGDAWPDLRDSMSTCQDVNGILDTRRPFIHLGANMPAKAEHVTRSESEPFVSGVALSPPTQSGKLHDVFLYFGIIDILQDYDITKKLEHAYKSLQADPNSISAVDPKLYSRRFQDFINRIFVEND >Ma01_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7534884:7535145:1 gene:Ma01_g10470 transcript:Ma01_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKKFSLGLPDCSHHVSLVILF >Ma07_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6385982:6386125:1 gene:Ma07_g08570 transcript:Ma07_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDICGSYRYNRRHIGIFYLEDEMTRPVDHLGYHYWPPPLWLTPSLS >Ma02_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23345174:23349904:1 gene:Ma02_g15780 transcript:Ma02_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERVRIEEYAHSPAHYAVALGDSAAVSRLIASLPRLAHPTEVATESDSAREERLAAEIAAVLDRRDVPRRDTPLHLAVRLDRAAAVAAFTAAGADPSLQNAAGWTPLQEALCLRRRRLALLLLRHHRRSAWAKFRRRLPPLLAALRRVPDFYLELAFHFESPLLPFLPRAAPSDTYRIWKRGADLRADTSLAGFDGLRVRRSDQSFLFLGSGAGAAAPPGSLLVLHRGRREVRDAFEGADAAAPAADEADIVSDASAYRPGLDITAAQLVGRTNWRRREKTEMVGEWKARVYEVHNVVFSFKTMRAAAEEEDEDDDDGEKLVVPLDLHEDDDEGFLVADIPEMPPRHSCYEPRGRMREVEELGGMARRRSVDVRPREEHKDWVRLGRVRAGRRKEKEMVKSLRPVVWLTEDFPLKLDELMPLLDILANKVKAVRRFRELLTTKFPPGTFPVKVAIPIVPTVRVVVTFTKFVYLQQPEQFFTPLSSPRLLPVPEEDEETHKAETHKSSWLWWNTSAAKTSASRTKSVSSSQVVDHVDPFDIPSDYAWVSIHSKNQRTKTSKPKRGKQKETA >Ma02_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20937870:20938546:1 gene:Ma02_g12080 transcript:Ma02_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLLLVVIRPRFSANEHKNHIHQHEQVDFSCYHLCFFFFSMEVCVSHSGYK >Ma05_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1205187:1206239:-1 gene:Ma05_g01940 transcript:Ma05_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKKTSKGKQKIQLQKIADKNALFISFSKRKNGVFTKASDLSTLCGTDVAVVVFSPTGRPFSFGSPAVDPIMDRFLLGGLFPSFGSQEEQIYRRKLVHEKNGQVMDLSRQVEAERARKAELEAQLKVAIEGLEWLEDLDKISLPQLDELVESLELLKTRAQSRFKQMASNVPSDAGTMAGHAGTSGSGGTMAIDTAAGGVRPMMNPMLPARGFATDPGPSSSNQVTIVDHAGASGSGGMMMMGDPRWQGFQAMLNFGLPITDYYMDTRASSSNAFTVAGLPGASGSAGFGAIDSGWPPIQAILNPVLPPNSLRMVNPYEPVVQQPNPPPVEQMDFKNINYMYNHF >Ma03_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1290770:1290971:-1 gene:Ma03_g01870 transcript:Ma03_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMHVHLLVPDRYICFIHHGGCCCKIDAQVLYASPWCLQL >Ma10_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31949336:31949875:1 gene:Ma10_g22660 transcript:Ma10_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREGSSSNMDCVWRNICLFFPSLFLKLNPRTSCISECFHPLGCSSERGFQSFREDPKFFDGSS >Ma06_p29180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30619880:30624642:-1 gene:Ma06_g29180 transcript:Ma06_t29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVSCFSDAGEGRRPQQQRRRQAVNPPPGLSAEVAAAIGLASNDRSSKARSLRKGRGEELLTHEQALAAAILLQQSSGDGTGTGTVPFDRSTSLRYPGHGQKKQGLPRSSSSRPRSLADPVLQPQQLVNQDLKIDNLETKHFVLVHGGGFGAWCWYKTIALLEDSRFKVSAIDLTGSGVNSFDTNKITSLPEHVKPLTDFLETLGDLDKVILVGHDFGGACVSYAMEMFPSKVAKAIFLCAAMPTNGQNTLDMFSREAGMNDLMQQAQVFVYANGKDHPPTAIDLDRSLLKELLFNQSPAKDVALALVAMRSIPFAPVLEKLSLTDKNYGSVRRFYIETTEDNAIPISLQQSLCGVNPPEKVFRLKGSDHSPFFSKPQALHKLLVEISSIPSSPTQ >Ma03_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:808700:811992:1 gene:Ma03_g00990 transcript:Ma03_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASIAIAAFVLQPPGAVVPPPNFAMVGCGLYRSGFPDAANFCFLTTLRLRSVLCLCPEPYPEENMEFLRENGIKLFQFGIDGCKEPFVDIPEETICEALEVVLDVRNHPLLIHCKRGKHRTGCIVGCLRKLQHWCLTSIFDEYHRFAAAKARVSDQRFIERFDVACMKISSAPFFS >Ma11_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10774988:10782303:1 gene:Ma11_g10840 transcript:Ma11_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTNAVVNGGEEREVQKIYWIEHSKELTVEAMMLDSHASELDKEERPEVLSLLPPYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIEKNESLNGHYKNTSFMCADVSSPDLSIEADSFDLIFSNWLLMYLSDKEVEKLVERMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPSFYTKVFKGCHAYDVQGNSFELSLITCKCIAAYVKNKKNQNQICWIWQKIKSDNDRGFQLFLDNVQYKSSGILRYERIFGDGFVSTGGIETTTEFVAKLDLKPGQKVLDVGCGIGGGDFYMAENFDVEVVGIDLSINMISFALERAIGRKCSVEFEVADCTKKTYPDNTFDVIYSRDTILHIQDKPSLFKGFFKWLKPGGKVLISDYCKKSGTPSEDFAAYIKQRGYDLHDVDDYGQMLRDAGFHEVIAEDRTNQFLEVLQKELDAVEKDKEAFIHDFSQEDYDEIVSGWKAKLKRSWTGEQRWGLFIAKKN >Ma05_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5452628:5453089:1 gene:Ma05_g07480 transcript:Ma05_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVSATARPAQTKSATMYELLSVEKTAGPEEIKAAYRVQARRWHPDTCRTAVDKAYFAERFMRAREAYEVLSDPSRRRDYDVSLLRADGWAIAVGGGVVLRNGGGAWGFGDWVSQLEGLKRRRSGARQCAGGEDESWGSRMRRAHDWKASD >Ma01_p07770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5633023:5636540:1 gene:Ma01_g07770 transcript:Ma01_t07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPRSLALSLPRLSPRSSPPLRKPSVSLTDLSAPSLPRRLPLAVRRAASANPSPLAAADASTWEVLGGVSVLAASTGEPVLFKDLWDQNEGVAVVALLRQFGCICCWELASVLKDSMPRFDSAGIKLIAVGVGTPDRARILAERLPFPLDCLYADPDRKAYEVLGLYYGLGRTFFNPASAKVFSRWDSIKKAGKNYTIAATPDDKASVLQQGGMLVFRGKQLLYARKDEGTGDHAPLDEIFDICCRVPVA >Ma01_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5633023:5636545:1 gene:Ma01_g07770 transcript:Ma01_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPRSLALSLPRLSPRSSPPLRKPSVSLTDLSAPSLPRRLPLAVRRAASANPSPLAAADASTWEVLGGVSVLAASTGEPVLFKDLWDQNEGVAVVALLRQFGCICCWELASVLKDSMPRFDSAGIKLIAVGVGTPDRARILAERAKVFSRWDSIKKAGKNYTIAATPDDKASVLQQGGMLVFRGKQLLYARKDEGTGDHAPLDEIFDICCRVPVA >Ma01_p07770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5633023:5636537:1 gene:Ma01_g07770 transcript:Ma01_t07770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPRSLALSLPRLSPRSSPPLRKPSVSLTDLSAPSLPRRLPLAVRRAASANPSPLAAADASTWEVLGGVSVLAASTGEPVLFKDLWDQNEGVAVVALLRQFGCICCWELASVLKDSMPRFDSAGIKLIAVGVGTPDRARILAERLPFPLDCLYADPDRKAYEVLGLYYGLGRTFFNPASAKVFSRWDSIKKAGKNYTIAATPDDKASVLQQGGMLVFRGKQLLYARKDEGTGDHAPLDEIFDICCRVPVA >Ma01_p07770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5633023:5636545:1 gene:Ma01_g07770 transcript:Ma01_t07770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPRSLALSLPRLSPRSSPPLRKPSVSLTDLSAPSLPRRLPLAVRRAASANPSPLAAADASTWEVLGGVSVLAASTGEPVLFKDLWDQNEGVAVVALLRQFGCICCWELASVLKDSMPRFDSAGIKLIAVGVGTPDRARILAERLPFPLDCLYADPDRKAYEVLGLYYGLGRTFFNPASAKVFSRWDSIKKAGKNYTIAATPDDKASVLQQGGMLVFRGKQLLYARKDEGTGDHAPLDEIFDICCRVPVA >Ma01_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21529375:21541843:-1 gene:Ma01_g21610 transcript:Ma01_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALGCSPSGLPSQLSGRRVAFTTPLTYAGRLARLLELRGATPLHLPTVVVDTAPRTLVALRPYLAAGALESFSALAFTSRNGITAFSLGLADADGPQPLSDSGELFTIAALGKDAELLHDEGFLSKICRNPNRIRVLVPEIASPAGLVESLGAGSGRRILCPVPSVVDLEEPPVVPDFLRDLWARGWAPERVPAYETRWTGPRCMEGLVALDTVLDAMVFTSSAEVEGLIKGLQALGWDWGKVRRRWPKMLVCAHGPVTAKGVERFGVRVDVVNSKFSSFDGLIEALTSKLGGFGC >Ma11_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5412787:5427239:-1 gene:Ma11_g06750 transcript:Ma11_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSRDAIETFVSITGAPEAVALQKLEEHGGDLNEAINAHFSEVDRVNANQRSAPHEDFMNIDDTLDNEPLRPLIPLSSAAQDVNPFSLLDSKFGPFGDLSDGGAASGFPSHGPRVSHPREVREVPIEVKDDDGEPGASGIGPRIENVSGNETAHCPEIHDTVIIDDEDDEDIQSAHADHGAKASSDNSFGPHPGPTVSPLVDMSDYNNDIEEEMVRAAIEASKRETVQSDMHGHQKSHAPGATDLALAVSLSLKTAEQERALHKQGVYIGESPSFVEVESAGQVSSLNGRPGFALAETGTSSQVNSDEKNPFVSEETEDVEEHPLVRHHSNHVAPVDTESADAGLVSYSASSPSQHDIIDRHPQHNGDAFERDEWGGMSSEEHDEALMLEAAMFGNVPDQTAYRFGYPHRQIPRPPSPTLTAQRLLREQQDDEYLAALQADREKELKAQQEAEHRRLEEAAAREAALQKQKHEEEENHRKQLEEEELERMLAAKQASLPQEPSSDDENAVTLLVRMPNGSRRGRRFLKSDKLQFLFYYIDIGKVVKPASYRLVRPYPRRAFTEGESELSLSELGLTSKQEVLFIELI >Ma04_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8117620:8118549:-1 gene:Ma04_g11500 transcript:Ma04_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPASSLTLTLFLSLLFPLVAPHNITKMLAQLPDFSTFNDLLTQTHLAADINSRSTITILAVSNGAVSSVSDEPIDTIKKILSLHVILDYYDDNKIHKISNHTAILTTLFQASGGAAGQNGFLNVTNMENGQIAFGSAVAGSSLTANFVKVLATQPYNISVLQISSVIVPPSLSGGASSNQSTKPPASSPTPTAAPKTAPAPRSSPTPTAAPKTAPAPPTAAPSEAPSEAASPRASDAESPSGKTDDAPAPSGAPASAGIDGSPAGAPSDNADAPEGDGGGKSAADRVGAGVVGLAVAMGFAMLGAL >Ma05_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33108236:33115623:-1 gene:Ma05_g21460 transcript:Ma05_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRRRRPERRVVPSVPVPAALSAAALLLLLVLASHSLLASSPTAVPKPRTKPSSILLKNPVEVRRNAAGAIDVFRVPSGGGGPVADLWGSKLSKDYYGCSNASSGFATAESNSLPNRYLMIATSGGLNQQRTGITDAVVAARILNATLVVPILDQKSFWKDASDFADIFDVDWFIATLRKDVKIIKQLPEKGGKIIRTPYTMRVPRKCTPRCYESRVLPALMKKHVVKLTKFDYRLSNKLETDLQKLRCRVNYHSLKFTDQIQAMGDTLIQRMKAKSKHFIALHLRFEPDMLAFSGCYYGGGEKEKTELGAIRKRWKSLHTRNPEKERRHGKCPLTPEEIGLMLRALGFGNDVHLYVASGEIYGGEETLAPLKTLFPNFHSKETLATEEELAPFSSYSSRMAALDFIVCDGSDVFVTNNNGNMARILAGRRRYFGHKRTIRPNAKKLYSLFPNRTNMTWETFSSKVRTFQKGFMGEPDELRPGRGEFHEYPAACICEGSKVAAHSNSNDRTEVSHKNGISNVSRSAGEAIDYHSSNEDSDWIDLDYGENTPLGRSFSNGTESEYDVFIRQEDPELDEVLSD >Ma11_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:411987:413892:1 gene:Ma11_g00590 transcript:Ma11_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMEEEREGGKSEKVLKLFGVSIVRGEVGGKEEADAEEEEVMRKSSSMGNLASCAAVPLVIDHGAGDQGYHSDGAILQSATGRRRRCQERKRGVPWTEEEHRTFLTGLEKLGKGDWRGISRTFVTTRTPTQVASHAQKYFLRKNNPRKKKHRSSLFDVTINDEATATEAASVLSSKKSNEIQEHITHLNDGNNSVNYPAVTTIGQSVVGGASNFHHIANHGGINNLPSPRHIVEVSDNSVKDRAIPEVFIVPPLYTTSQMPQVELACTPDLKLSLSYPNCQTQSSATTPAEISDLELKIAPPRRHDLSYLLMVQQVQ >Ma06_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20005226:20008088:1 gene:Ma06_g22940 transcript:Ma06_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSLLSILLFLSVAATIAAVSYADDFPPPYDFTHVCDPDRYKKLNLSVAKFAFCDKSLPYRARAKNLVDSLTLQEKVTLLGNQNAAVQHLGLPRYNWWSEALHGVSNFGGGSRFGKNVPGATSFPLPITSAAAFNEQLWKAIGQTVSTEGRAMHNVGMAGLTFWSPNINVVRDPRWGRILETPGEDPFVVGRYAVNFVRGMQDVVGQETAEDPNTRPLKVSACCKHYAAYDLDNWATTGSAVVDRIHFNANVTEQDIVETFLRPFEMCVKEGDVSSVMCSYNQVNAIPTCVDARLLRGTIRNDWELHGYIVSDCDSIDVLLNTQKFLDDTPEDAVAQVLRAGLDLDCGDTYTKYLESATFRGLVKESELDQALINNYVVLLRLGFFDGQPAYDKLTASDVCSKQHLDLATDAARQGIVLLKNSNGALPLSTKRHKNIAVVGPNSADNSVQIGNYAGVPCKYVTAVDGLRRYASVDHKIGCADVRCQNETFIFPAVRSARNADATVIVAGLNLDIEREDHDRTDLELPGYQNQLIRQVADASKGPVVLVIFSAGGVNVTEFDVSDKISAIVWAGYPGEKGGDALADVLYGSYNPGGRLPLTWYTPEYLLQLPMTSMKLRPVDELGYPGRTYKFYNGTTIYPFGYGLSYTTFSYSVVSTQRYVDKKLAPNSHCTLLRYNQSAYVPPCHAARVDDLDCADDISVTVEVKNTGSLDGSDAVILYSQAPDGILGAPIKQVVGFQRVLVEAGKSSNVTFSLSSCKSLSIVTDSAYVAVPSGRHTFIVGSGDNAVPFAFQVYLRD >Ma10_p25220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33478191:33479259:-1 gene:Ma10_g25220 transcript:Ma10_t25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPFRSNGYNFWTWKGRKIHYVEQGMGRPVVLIHGFGASAFHWRYNIPELAKKYKVYALDLLGFGWSEKAIIEYDATVWKDQVSDFLKEIVKEPAILVGNR >Ma08_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3854145:3856103:-1 gene:Ma08_g05660 transcript:Ma08_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDPFIAATAALCLVIHHLLRRFLHRSPSRLPLPPGPRGYPVLGALPLVGLQAHTGLARLAQRYGPIMYLKMGSCGCVVASDAGAARAFLKAHDAQFANRPNVISAMDVTYHRQNMVFADYGPKWKLLRKLCSLHLLGGKALADWAPVRRAEFGHMVVSMHRAAAEGRPVVLPEMLVCALANIIGQIVVSKRVFDVQGIESNHYKDMIVELLTGGGLFNIGDFVPAIAWMDLQRVQAKMRRVHVRFDAMVTKLLEEHETTKEERRGRPDFIDTIMANREGEDGETITDVNVKAIIFDLFTAGTDTSAVIVEWALAEMLKNPSILRRLQSEIDYVVGRGRMVQESDLPKLPYLHAVCKEALRLHPSTPLGLPHFSFEECDVNGYYIPGNTRLLVNIWAIGRDPAAWDDPLAFDPDRFVSGKAAKIDPQGNDFELIPFGAGRRICAGKLVGMVFVQYMLATLVHSFDWKLPEGEELDMEEKFGLALPKAVPVKALLSPRLATEAYI >Ma09_p29390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39858479:39861461:-1 gene:Ma09_g29390 transcript:Ma09_t29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLAKLYEVRDPDTIFVFKFRTHFGGGKSTGFGLIYDTVENAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKVRGVKKTKAGDAAKAGKKK >Ma06_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3187880:3194456:-1 gene:Ma06_g04410 transcript:Ma06_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGKPLKSLEDAELPGNHNPMLPLLNEGSEMVTCPRGDGKAGAEGSVVVGLEVDSVVDDVPGTISDSRIETTVVEKKPDFFENAVAKDSYGAEVAHVSSGGINATAGVAGEETAVRMESDGLEAAPISIADVNATDVVDDEGPTVRKESDGSEILSGSHHDMNAFNSVDEVDNAAGKERNGSDMPSTSYERTKAIDDATKAIDHVADKQIDFEEATDGGSMVALPDKVPQKVSTLVAAAMKKYAAPRSSSYHGVTKLKWSGKFEAHLWDNTSRVEGRKRKGKHVYLGSYVSEEMAARAHDLAALKYWGPGPATKLNFPVSDYENELENMKTMSQEEFVAYVRRKSSCFSRGASVYRGVTRRRKDGKWQARIGRVGDLKDAKDIYLGTFDTEEEAAEAYDIAAIQLRGVHAVTNFDLSNYCEGGTRRPDDSFRLEM >Ma06_p04410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3187880:3194456:-1 gene:Ma06_g04410 transcript:Ma06_t04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGKPLKSLEDAELPGNHNPMLPLLNEGSEMVTCPRGDGKAGAEGSVVVGLEVDSVVDDVPGTISDSRIETTVVEKKPDFFENAVAKDSYGAEVAHVSSGGINATAGVAGEETAVRMESDGLEAAPISIADVNATDVVDDEGPTVRKESDGSEILSGSHHDMNAFNSVDEVDNAAGKERNGSDMPSTSYERTKAIDDATKAIDHVADKQIDFEEATDGGSMVALPDKVPQKVSTLVAAAMKKYAAPRSSSYHGVTKLKWSGKFEAHLWDNTSRVEGRKRKGKHVYLGSYVSEEMAARAHDLAALKYWGPGPATKLNFPVSDYENELENMKTMSQEEFVAYVRRKSSCFSRGASVYRGVTRRRKDGKWQARIGRVGDLKDAKDIYLGTFDTEEEAAEAYDIAAIQLRGVHAVTNFDLSNYCEGGTRRPDDSFRLEM >Ma06_p04410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3187880:3194448:-1 gene:Ma06_g04410 transcript:Ma06_t04410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGKPLKSLEDAELPGNHNPMLPLLNEGSEMVTCPRGDGKAGAEGSVVVGLEVDSVVDDVPGTISDSRIETTVVEKKPDFFENAVAKDSYGAEVAHVSSGGINATAGVAGEETAVRMESDGLEAAPISIADVNATDVVDDEGPTVRKESDGSEILSGSHHDMNAFNSVDEVDNAAGKERNGSDMPSTSYERTKAIDDATKAIDHVADKQIDFEEATDGGSMVALPDKVPQKVSTLVAAAMKKYAAPRSSSYHGVTKLKWSGKFEAHLWDNTSRVEGRKRKGKHVYLGSYVSEEMAARAHDLAALKYWGPGPATKLNFPVSDYENELENMKTMSQEEFVAYVRRKSSCFSRGASVYRGVTRRRKDGKWQARIGRVGDLKDAKDIYLGTFDTEEEAAEAYDIAAIQLRGVHAVTNFDLSNYCEGGTRRPDDSFRLEM >Ma06_p04410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3187871:3194456:-1 gene:Ma06_g04410 transcript:Ma06_t04410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGKPLKSLEDAELPGNHNPMLPLLNEGSEMVTCPRGDGKAGAEGSVVVGLEVDSVVDDVPGTISDSRIETTVVEKKPDFFENAVAKDSYGAEVAHVSSGGINATAGVAGEETAVRMESDGLEAAPISIADVNATDVVDDEGPTVRKESDGSEILSGSHHDMNAFNSVDEVDNAAGKERNGSDMPSTSYERTKAIDDATKAIDHVADKQIDFEEATDGGSMVALPDKVPQKVSTLVAAAMKKYAAPRSSSYHGVTKLKWSGKFEAHLWDNTSRVEGRKRKGKHVYLGSYVSEEMAARAHDLAALKYWGPGPATKLNFPVSDYENELENMKTMSQEEFVAYVRRKSSCFSRGASVYRGVTRRKDGKWQARIGRVGDLKDAKDIYLGTFDTEEEAAEAYDIAAIQLRGVHAVTNFDLSNYCEGGTRRPDDSFRLEM >Ma03_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:907012:908896:1 gene:Ma03_g01180 transcript:Ma03_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEDFELLKIQTHILKVNIHCDGCKLKVKKLLHRTEGVFSVSIDVEQQKVTVSGNVDSETLIRKLIKARKHAELWTQKINQTQQLNQQKQQQKKVANPMKDANKNNKEQDKQGLMRGLKAFKNQHNKLSPSSSDEEDFDDDDDDDDEDVDYDEEEDDADMQNLDSKMKQTNFMRQANNAPTDAKQSGNGNGKVGANAKIGCNRKGGENANENHIKSPNESQQKGTNAAANNRVVNGNPKVQGNNGVMGLGPPGLGGTNGCLPGNAFKGYTGHPPQCGGQYQSPVTVNMQGYQTHPSSSMVNNLRGHNMVVHESRYMQPQMMQLRTPQISPYAGYYNCYPSPYYLSNQVDNGYYGTHLFSDENTNACIIM >Ma07_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17526730:17529604:-1 gene:Ma07_g17420 transcript:Ma07_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP-binding protein OBGM, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07615) UniProtKB/Swiss-Prot;Acc:F4HSD4] MWWRWHQTLGPGRVLLRSPCRKPPWLPSSFSYSDVPKKKGKLAPLQERRMVDRFRLWTKGGEGGNGCCSYRRSRTDRYGRPDGGNGGRGGDVILECSSAVWDFSNLQHHLNAQRGGNGTSKSKAGSRGSDKVAQVPVGTVIHLVSGETSYAENSSITSLDPWEIPGAVDTDTANSVQQKSVKVNASCSALMKDLHTSPLYSEDDVNKSQHDVKISFGSPASTEVEWDKDTEDDEPCCKTTYEEIKDDQTDDANEIRVEEDEEVMKEEEEEEVVRYSVAELTQPGQRIIVARGGEGGLGNATSKKDSRKSVSYCNGASSPETLDGEYQTSLAAGRPGSESVLILELKSIADVGLIGMPNAGKSTLLGALSMARPTVGHYAFTTLRPNIGNLNYEDFFSVKVADIPGLIKGAHANRGLGHAFLRHIERTRVLAYVVDLAAALDGRKGIPPWEQLRDLALELEHHREGLSNRPSLIVANKIDEEGAEHVFQELERRVQDVPIFPVCSVLQEGVPELKAGIRRLIDGSELHRLCVDNILTD >Ma10_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22976989:22984015:1 gene:Ma10_g08670 transcript:Ma10_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMLSPAQRYAAAALLALALRHAQLQQEAQPLASSSSSAAADDDTRAEPAARLWTHQSRGLLRPVFRFLEIDSNVWAELEETALSSSPERHIDGFLRTIFDCNGASSEKSDQEVAFAKAVDSMALSLQTDADPAEGSKTQQQELNNRCDDTGASAANHKISDVTSRFSEIFQMRTMKAMPKGEETSHHLKCLGDEKSVLVDTPENYQRKLTFLFELLSACLADTPQDKKSLPERKGYDARHRVALRLLAKWICVEWIKMEAMEIIIACSAIASLKEEVQSEEGESAESRWSRWKRGGIIGAAALTGGTVLAISGGLAAPAIAAGFSALAPTLGTLVPVIGASGFAAAATVAGSAAGSVAVAASFGAAGAGLAGSKMARRVGDIEEFDFIPIGENHNQGCLAVGIYVSGFVFEEEDFVRPWDAIVNNLERYVLRWESKNLIALSNAIQDWITSKVTKELMKRGAMMTVLSTLVTAVSWPTTLLSATKFIDSKWSIAIDRSNKAGKLLAEALLKGLQGNRPVTLIGFSLGARVIFKCLEELSKSGNNEGLVERVFLLGAPISVSGENWEGARKIVAGRFVNVFSTNDWILGITFRASMLTHGLAGIQNVNVPGIENVDVTDIISGHSSYLCAAQEILQQLEA >Ma06_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10477737:10480697:1 gene:Ma06_g15440 transcript:Ma06_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLADADDDFFLFPVEEIVQYPLPGYVAPSSISFSLDGRLSSYYFSPDGTLHRKVFAFDVASRWEELVFSPPDGGGLDESNLSEEEKLRRERALGVTRYEWRARSPSCSCFPPGKPLIMVPLPAGVLRGSEPELKLPSCPGSPISDPHLSPDGSMLAYVKDDELHVLSLSQGKPKQLTFGARTSGKTHGLAAYIVQEEMDRKTGFWWSPDLGPLTQGNWMVEQIYGVNENAGLLHLTVTVDGPLESNLYCTKLFPDWNLTLQPPKRLTYGRGRHARTFDHHMQTFVDVHDSLNSLPGVLLCSLHDGSIITPLYEQPLTIPRFGKLPKENPDSYEYGSTTHHVHKIRGKLLLVHGMIGENCALPAHSKTCQLAHCSWQGTSPLDNYVRFEPVFCRSFSSCTKCLWSRFGFQRKYKTSEQVNFPSGFVIPVEIGYSLE >Ma01_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23480969:23506094:-1 gene:Ma01_g22140 transcript:Ma01_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPAEIVRHTRELLIYVDLNSNSRDTKREEKMAELCKNIRELKSILYGNSDSEPVAEACAQLTQEFFRENTLRLLIVCLPKLNLEARKDATQVVANLQRQQVHSRLIASDYLEANKDLLDLLISGYEDMDIALHYGAMLRECIRHQCIARYVLESEHMKKFFDYIQLPNFDIASDASATFKELLTRHKSTVAEFLAKNYDWFFTEFNSRLLSSPNYITRRQAIKLLGDMLLDRSNSAVMIRYVSSKDNLMILMNLLRESSKNIQIEAFHVFKLFAANQNKPPEITSILVTNKDKLLRFLKDFKIEKEDEQFEADKAQVVKEIQALKKENTS >Ma05_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6790341:6798474:1 gene:Ma05_g09400 transcript:Ma05_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKTVTIDDDEYSFPEDPQQPPTTTQEPEMETRAASSSKKSKKGDKNQRSAAAVADNEPPVEDPEKPSSAGAADPSQEDNDDAVPLVFSGKKKSSKSKKSNAGKSGYAALNTFGDDVDDEEPEPKPRSEVKPEMDNHVEDEFDESRGLNGDTDSRKQQKKKKKKGGRTTQEEEDLDKLLAEIGEAPALASAQALPPPTPVEEEAEADEIKDTDLEPNRQANGTEEAASKKQQKKKKKKSGRTAQEEEDLDKILADLGEGPSPPVETAAAPLQPAAVVEQSAPLPGEQPGAEGKDGDAEGESAAAKKKKKKKEKEKEKKAAAAAAAAAPAVVEIKQEKKEDVKGKIPDKKLPKHVREMQEALARRKEAEERKKREEEERLRKEEEERKRLEELERLAEEAKRRKKEREKEKLLKKKQEGKLLTAKQKDEQRRLEAMRKQFLAQSEVPVGDAGKEIKKRPKYEARKSKPAQSKVVETEKVPENEQAVDEPGTEQAGEDVTVEEESHSQIGDDEDNAEVNQELKDVKAMDEDEDDEEWDAKSWDDLDVALPATSPFAEEDQDIKAKPVVKRTTERSVSAPVEPQVNSSAPANPAVKKVVAPRTSSNADNKEVEHESEPVTNMRRGKAAIIKEEATAVEDKSKKSGPDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFTNLRSRGSSLCDIAILVVDIMHGLEQQTIESLNLLKNRNAEFIVALNKVDRLYGWKSSPNAPIVKTLKQQSNDVKNEFNMRLTQIITQFKEQGLNTALYYKNKEMGETFNIVPTSAISGEGIPDLLLLLVQWAQKTMEEKLTYIDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGMQGPIVTNIRALLTPHPMKELRVKGSYLHHKELKAAQGVKISAQGLEHAIAGTSLYVVKPEDDLEDLKRTVMQDVEKVMSRIDKSGEGVYVQASTLGSLEALTEFLRSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARELADETGVRIFVADIIYHLFDQFKAYIDNLKEEKKKESAEEAVFPSVLKIMPNCIFNKKDPIVLGVDILEGILKVGTPICIPSREFIDIGKIASIEINHKQVDVATKGQKVAIKIVASSPEEQQKMYGRHFDIDDELVSHISRRSIDILKSNYRDDLSIEEWRLVVRLKSIFKIP >Ma09_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4553560:4561894:1 gene:Ma09_g07070 transcript:Ma09_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC4 [Source:Projected from Arabidopsis thaliana (AT5G51340) UniProtKB/Swiss-Prot;Acc:Q9FGN7] MEAVVEGLWSLAEEAELRRDYGAAAKCLESLLQCGASLLPLVEIRTRLRLAHLLLSRSHNLNHAKAHLERALLLLSSARSAALHLKLSAHSLLARCYHLIGAIPSQKHILLRALDLLSSSAAGGSLPPAATLLWSANFYSHLASTLVIDGDHPGALSALSSGFGAAAELRSSELQLFFAASALHVHLLHWEDPPSVEDTVRKCLELWESIPFDQRQHYIGLFFYNELLQTFYLLRICDYKGAAQHVEKLDAAMKSEQQKVQHVKELIAELNSVNRSLFQSNLQRRDRLSLYEKQSQLQEQLRMATGVDSTNKLLDLDDKLLLAPPPMDGEWLPRGAVSALVDLMVVMLGRPKGVFKECGRRIQSGLQLIYEELAKLGVVDGRREVDLQHSAIWMAGLYLMLLMQFLENKVAVELTRSEFVEAQEALVQMKDWFVRFPTILQGCESTIEMLRGQYAHSVGCFHEAAFHFTEATKLTESKSVQSMCHVYAAVSYICIGDAESSSKALDLVGPVFRIMDSFVGVREKTCIIFVYGLLLMRQHNLQEARIRLASGLKIAHQQLGNIQLVSQYLTILGTLALQLHDTGQAREILKSSLTLAKTLYDVPTQIWVLSVLTALYREIGERGNEMENSEYERKKEDDLQKRLSEAHSRIHHLELIEKVMVKVQPLHEVAIRKAIAGPSAKVDLDIPESVGLPFPQPAASASRLGEFDLVRRGKMKM >Ma09_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3883205:3885471:1 gene:Ma09_g06010 transcript:Ma09_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYLCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEA >Ma10_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25656325:25670402:-1 gene:Ma10_g12620 transcript:Ma10_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRKGSGRTAAAAAQQQWKVGDLVLAKMRGFPAWPAMVSEPEKWGFSAVRKKLFVYFYGTKQIAFCNYADIEAFTEEKKKSLLLKCHGKGADFVRAVDEIIYIYETLKKQNLDEFSSGDDEVKSNAEDLEFVGTRSNSSSFRNSSELGLHTDADHKLESAWVTIETNNRVGSQEVSATSTADESQKNLIIDEPIQMLTILNQPRQNSSATNITSTKKRLSGASQHNSILQKKVPSLRTSRSSLISKTQVSASVCDYDISSDLISDVIQSENTDCRSKQNMSYTLNFHLMDIPCTASMPLNGCREEVSAKIAEKDHEASTDEDRVKQNLKLPTNKKKRKLNRKQVNDASECAVLNKDDDLQVVLSSSRPSPSSEEKEDVHKTDGDEYLPLVKRARVRMGKPVTEVAQCGGIVCGDEKLETSSMMNNCDGHHTSTTAGNNIFLNEKSLTAKKDLNSSSLNNCSPPLGETFMFWEAKYKLKGCILDVESALPPSKRLHRALEAMSANATEAADTCAESPRVIDTNVPMDSSKMNFLHLSSDTKSESPVRLQSIHSSCNTNVISQLGSPLQNLDIPSLSCSEVKIDDILTEIVRSPKNKDCNKILKDVDECNGLSISKAILGTAQNGSQPSSSKFSSSTAEGMGNKECSLLDKSNNSIIEDKDESHHVCVDHTGRDGTVEPIKQRKQNAFSKAEGGVLSESPDEGVLSVSAADMFSVASSTSGATMSSFQSDEDSQTCDKQGAAKEAQHRQTSDARCISSDLTPMKGLIAAAHAKQLLSHSISFSHNYLDGKFVPDALISPSIVHKGDSSGQGSALNSLVNHTCTINYKNGALQKDRRSPHIGLQLKGINRSNHAEASAAWKTFQALLCTLSRTKENIGRATRLAIDCGKYGMAGEVIEILLQNLEREQNLHRRVDLFFLVDSITQCSRNHKVGAGDAYPSLVQSVLPRLLSAAAPHGNAASENRRQCLKVLRLWLKRKTLPESIVRHHMQELDSTCELSYSSGSSRCPSRTERAINDPLREMEGILVDEYGSNTNFQLPRLLHTNVLEDEGNAFDDKSFEAVTPERCTKIYHEIGATQTSTEKNRHVLEDVDVEFEMEDVSPPSEVNMNSTCHVAGTETINSHHQIDQHSVPFAPPLPEDRPPSPPPLPSSPPFISPCSIAHAVVPQWRSGLDALADTADLHEPETVPNMQNLQSNSFRQRPANQNACLMSCKPAPYYGLSYGCLPGQMPPPPVSYANISASHSSIHSRNDFQSLASTSLTDTGYHLQPPPPIVSNQFSYVQAEPQQRAQPWGNCSIPERFQYLHDSHRVNLHGDQGTRGPVHNEIVAENMQPGPSVNKIDASPASLPPYGCQPEPSFMRCNGWSLPPRMSNYSILASRPSVEGTTPTMTGACDYWRPR >Ma08_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2728830:2729343:-1 gene:Ma08_g03800 transcript:Ma08_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKEAAAMAGEGAPRAPASKKAPKRAGQKPREPKRKAKGGKPAAAKSSKQQ >Ma04_p36940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35091305:35094167:-1 gene:Ma04_g36940 transcript:Ma04_t36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKVYRFDEVSKHNVAKDCWLIISGKVYDVTPFLDEHPGGDEVLLAVTGKDATNDFEDIGHSNSARDMMAKYYIGQVDLSTVPTTRTYIPPQQASYNPDKTSEFVIRILQFLVPIMILGLAFAVRHFTKAD >Ma10_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26060301:26062050:-1 gene:Ma10_g13150 transcript:Ma10_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAEMSPTTVAATSMAGRGPRLGMLNAAVAKSWVGRRFKLAERGTTFTTELRAGTATFLTMAYILAVNASILSDSGATCSVHDCLSPSPSCSFPPVDPGYAACVDRARRDLIVATAAASIIGSFIMGAFANLPLALAPGMGTNAYFAYTVVGFHGSGNLPYRTALAAVFLEGLLFLLISALGLRARLAKLVPRPVRISSSAGIGLFLAFIGLQNNEGVGLVGYSSSTLVTLAACPSKYRAYLAPVQTFDNGTVGLIPGGTVSGDVLCLRGRMESPTFWLAVVGFLIMAFCLIKNIKGAMIYGIVFVTAVSWFRHTSITAFPDTPSGEDAYRYFKKVVDVHHIKSTAGALSFKGIGTGRFWEALVTFLYVDVLDTTGTLYSMARFAGYVDANGDFEGQYFAFMSDAAAIVVGALLGTSPVTAFIESSTGIKEGGRTGMTALTVAGYFLLAFFFTPLLASIPAWAVGPPLVLVGVLMMKAVVEIEWEDMKEAIPAFMTMLLMPLTYSIAYGLIGGIGTYVVLHACDWAYAAWARRGPANKSLPLVSNSCSGNGDDACQAL >Ma07_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2170413:2171666:1 gene:Ma07_g02750 transcript:Ma07_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAEMSTGSVVAGSSSSDLGRYSGDSGPSNKWNYQPTVSTMAVSPPKTARAPAKGSHRVSCLVDGCTADLSKGREYHRRHKVCELHFKTPVLMVHWQQQRFCQQCSRFQLVEEFDEASSNATPTALPSAGTEDSNLRTLLVSGRADDSEIDSQNIFHVAGEGFSEETSRNLSFSWLLHGFDDWLLLITVESRSLLSFMIRVHVRRNLDPSQVKLKSDCNDAIRPLDHQSRWLPSNQR >Ma06_p34650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34789938:34793394:-1 gene:Ma06_g34650 transcript:Ma06_t34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGFLKPDRNASGHLPAFQCPSIRVMVPAQSGHTQMVIPYNTISSYSCTGVVDSRQLWLLLILGNIIELCIRDPNLCSMNTMNTVDGSAFYRSIDLFDQHRNMRMNMDNICYVRIGNASTVLSEVTASRCMMETAYLFSHQIQDEGNYYICRKSIRRGRNGEDFHVSCMWKPIKNGCPVCNDSAFIGP >Ma01_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7823159:7824471:1 gene:Ma01_g10870 transcript:Ma01_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRSLRSNLVWVDTNLRLSQALRSMEIYGHVNIRCIILHPHLHVKDEHFNLIARGCPRLKRLVVPRWEVISKNGMCNAMKNCRDLESLTMPGNACSLRMMKTMSVSCKSFSELKVIGCFPRRFGMTVALYLPGLKVLSLRCCRTSMGAIEMILGSMDHLEVLNLSHALVQLEVGSEVMPLAKGFEEMAGKFVLEKASSMLSSFWYCVNYVSCGGCRRMMDGRLRHENGFWWHDEVVSLDLGKEAHDDDDDADDS >Ma05_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9543306:9544845:1 gene:Ma05_g13180 transcript:Ma05_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPQLRLLQTSQVSPPPGTASESILPLTFFDILWLRGGAVERVFFYRLPYSTSYFCASVLPDLESSLSLALQQFYPLAGKIRRSPGLDDDKYEIRYVDGDSVSFTVAEYDADFDEVSGDHARDVGSLLPLLPRLSRSDDDGVPVLALQVTVFPNQGVAVGVAVHHAGCDGSSSMRFMFSWASTCAGPRSSAAAVVVPPVFDRSLVSVPRDLYSIFYRYYGQRADWIIHEDPPVDMVIASFALKKDHIRRLKELVSAKAGAMEGGGASLRCSTIMATYAYVWVCLVKARAYGSDRAAHFIFAADCRGRLRPPLPAAYFGNCIGVCFVEAKAGDLLRENGVVSAAKAIGKAIEEFADDPLRGAETWPERIKSIVPRQPLSVAGSPRFRVYDLDFGWGRPKKVVITSIMRSGAMSMAESREEEGGVEIGLVLPKHEIDQFGTCFSDGLEQLH >Ma08_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2646152:2648572:-1 gene:Ma08_g03700 transcript:Ma08_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVVNTGKGKEYPGQLTLFVFLTCIVAATGGLIFGYDIGISGGVTSMDSFLNKFFPEVLAKEKADKSTNQYCKFDSQLLTSFTSSLYLAALIASFFASSVTRAFGRKWSMLGGGLTFLVGSALNGAAVNVLMLILGRILLGIGVGFANQSVPLYLSEMAPARLRGMLNIGFQLMITVGIFAANLINYGTSKIKGGWGWRVSLALAAVPACIISLGALFLPDTPNSLIERGHSEEAKQMLRRIRGTDDINEEYNDLVAASQESKVVKHPWSNIMKRKYRPQLTMTILIPFFQQVTGINVIMFYAPVLFKTIGFGSEASLMSAVISGLVNVFATFVSIFTVDKLGRRKLFLQGGIQMIICQIIVGTLIAIKFGTSGEATLSKAYAAFVVLFICVYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLFTFVIAQAFLSMLCHMKFGLFYFFAGWVVVMTIFIALFLPETKNVPIEEMILVWKAHWFWGKFIADDDVRVEMGGAEFKPAV >Ma08_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9392877:9394366:-1 gene:Ma08_g12420 transcript:Ma08_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGACLVNTLLLLKWPVWKQEEGISSPIAAQILDFCDGDVGGDLFPPPDIALLRFTDDVNAEASATTPLPCYTDDASFSPFPSPIDSATLSALLDAPSAQLPDTEADVLPADSSPLSPPLAMFPAPPSYAGEHHLDPFDDQISMGDAATSGYRPYSPDPAAVRGPPSCHQQRPTASEEEYYAAAAVTAMQQTPGGAGRVLLCGREDGGGARRGLRGTT >Ma05_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32642123:32643636:1 gene:Ma05_g20940 transcript:Ma05_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKVGLKKGAWSAEEDKILVDYIQQHGHGNWRVMPKRAGLLRCGKSCRLRWTNYLRPDIKRGNFTKEEEDTIISLHGELGNKWSAIAGSLPGRTDNEIKNVWHTHLKKRMDPKEATRAPKRERKRKKKRDAKDKLEPEQENQRPDADAARRSPRRDDAGLVEVSLEQSYSGFSSAATDSSVMSGDATVDAKDESFTSKELKEIDESFWLDAFAVDGSLQLPPAAVADSAAFSDDMEFWLKVFMEADHLEDPSQMNPANVHLN >Ma11_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2001104:2009278:-1 gene:Ma11_g02750 transcript:Ma11_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSGSEKTNNTILQINRVFKDRIGLGGIFHSAIQVFGDEEWSFGFCEQGSGVFSCPPGRNPMYTYRERIVLGETNCSIFKVNQVLRELGQAWPGHSYDLLSKNCNHFCDSFCERLGVPKIPGWVNRFANAGDTAMVVAGNAAFRLRQAKTEIVTASKVAYRFMAGLASNSQATPESLSNSNRGSPRFQGVWFKNLMSVGAKPSSSSTSEIPDETDDAPLHRQNSSELLQHISRQNS >Ma11_p02750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2001102:2009282:-1 gene:Ma11_g02750 transcript:Ma11_t02750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSGSEKTNNTILQINRVFKDRIGLGGIFHSAIQVFGDEEWSFGFCEQGSGVFSCPPGRNPMYTYRERIVLGETNCSIFKVNQVLRELGQAWPGHSYDLLSKNCNHFCDSFCERLGVPKIPGWVNRFANAGDTAMVVAGNAAFRVGNYSKLTVHCCNFFLVFIAEASKNRNCHCQQSGIPIYGWSSLKFPGHSRVPEQFEQRQPSISGCMVQKLDVCWRQAII >Ma01_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6125804:6130978:-1 gene:Ma01_g08530 transcript:Ma01_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGGRESIRPATAEEEAVKGSTDCVYFLASPLTCKKGSECEYRHSEGARINPRDCWYWLNGNCLNPKCSFRHPPLDSWFAKPMPTSGPLQPPPTAMSTQIPDARAPPNNASKKSAPCYYFQRGQCLKGERCPYTHGTYAVSSYASQKVAKASTCLVEPPQIIKEDTQQNITMQQNVTEFSVDKQKMAIEMPVEMPPKAKLVTKAEKPDGDSSENKLFLPYSLDDGLPKLPQNYVAISSGYSLSKPWSHQMEPSDGQTENNKDTDEFLREYSPGFDVLVEDDIKDPDYFHNEDNFRMASNHGGQNLEAEDDYDYHHYNYQSMTNFERDQCNGIEKYDSYKQTGGTYSWDPKVSDRILDKPSSLKRRVLDKETKLDQMDDLDLRHRLLKQRCHGSRSMDSRDDRGEHYRRDDHCAERGYGHHSRDQRQFPLENSIGTRLQGRITFPGRLEKERSRRPRGRQSPTERMNHQRTHPERIRQQFSEDFSKGTSIRNKPTRRDDMNSLDFASPKSLAELKGAKINGNSNEQSIRSSSPNTKMNRMLSEKVEVLQESENSLSFEGPKPLSVILKRKRKSAYTNSGISLSQYENNQGGGESATRDYVPAAVTILQSVPSSEAGKEATFMNGNHEVDKLRAVDEEGLILKDDEKTNDAPSSTIADAVEIGDGMDLENVEDEELENSYEAGKFKAEDAEKTCQVDDDELDDEDDFARKVSVMLS >Ma04_p37070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35141948:35149677:-1 gene:Ma04_g37070 transcript:Ma04_t37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSASKKQRIATHTHIKGLGLDANGNALPLSAGFVGQGSAREAAGIVVDMIRQKKMAGRALLLAGPPSTGKTALALGISQDLGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKETKEVYEGEVTELSPEESENTTGGYGKSISHIIIGLKTVKGTKQLKLDPTIYDSLIKEKVAVGDVIYIEANSGAVKRVGRCDAFATEFDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESSISPIVIFATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEDSLAYLGEIGQQASLRHAIQLLSPANIVAKTNGRDKICKADLEEVTSLYLDAKSSARLLQEQQERYIT >Ma10_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29345055:29345327:-1 gene:Ma10_g18430 transcript:Ma10_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNIEMIAASLRSCSLGGGGGGDQSPPPHLAEATDESAGGITVELNSDTALPYHWEQCLDMRVRSPSRPPLLKCASPYRQSLIINAQP >Ma09_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9059248:9063750:-1 gene:Ma09_g13440 transcript:Ma09_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSQLQCYGEAVYCFGEDYLNKCAKGKSSLERFASVVAWSISTTRPALFGQAPFNPILGETHHVSRGSLNVLLEQVSHHPPVSALHATDAKDNVELIWCHKPVPRFHGASVEAVIKGKRHLRLLKFGENYEMDSPNLLIKLLPTTGADWVGDVSIRCKDSGLEADLCYYKSHAFLGFGGSSKSIRGKIFHSKTLKTIYEIDGQWDRIVKLKDVHSGEVTILYDAKKAISGLKTPILQAPQNLWPTESATVWSEVSQAILNKEWGKASAAKQIIEEKQRKLQRERKSSGELWVPKHFTVTHTKENEWDCSPLEQSVPPAPIIVHP >Ma09_p13440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9059178:9064338:-1 gene:Ma09_g13440 transcript:Ma09_t13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDEAAAGPRATTAVLTPPLSLDGGLAAEHRPPNLLRRVLSFFGSVRPGSDLTQFQLPSLFNMPKSQLQCYGEAVYCFGEDYLNKCAKGKSSLERFASVVAWSISTTRPALFGQAPFNPILGETHHVSRGSLNVLLEQVSHHPPVSALHATDAKDNVELIWCHKPVPRFHGASVEAVIKGKRHLRLLKFGENYEMDSPNLLIKLLPTTGADWVGDVSIRCKDSGLEADLCYYKSHAFLGFGGSSKSIRGKIFHSKTLKTIYEIDGQWDRIVKLKDVHSGEVTILYDAKKAISGLKTPILQAPQNLWPTESATVWSEVSQAILNKEWGKASAAKQIIEEKQRKLQRERKSSGELWVPKHFTVTHTKENEWDCSPLEQSVPPAPIIVHP >Ma07_p21460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29567621:29569175:-1 gene:Ma07_g21460 transcript:Ma07_t21460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRLGSAAIWPFFYSIRYEPNRSSSASKPLTPPSLVRVSFLPRSRSRQSRQRYSKHPGNHSLSFALTTASQNRPRSTVAMSSNADTAQAKSRSGRLPRKRFYRARAHSNPLSDSHFPIPISPADFDCSHHYPYFFPPGSGGRSDGDAPPRKVRFADIGCGFGGLLVGLSPLFPDTLMLGMELRDKVTEYVKERILALRASNPGHYENISVVRTNSMKYLPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPQLLDEYAYALEVGGIIYTITDVEELGDWMRSCLEDHPLFGAVPEEELVLDPVVKLLSSATEEGQKVARNGGQTFRAVYRRIKLEEE >Ma07_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29567621:29568939:-1 gene:Ma07_g21460 transcript:Ma07_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNADTAQAKSRSGRLPRKRFYRARAHSNPLSDSHFPIPISPADFDCSHHYPYFFPPGSGGRSDGDAPPRKVRFADIGCGFGGLLVGLSPLFPDTLMLGMELRDKVTEYVKERILALRASNPGHYENISVVRTNSMKYLPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPQLLDEYAYALEVGGIIYTITDVEELGDWMRSCLEDHPLFGAVPEEELVLDPVVKLLSSATEEGQKVARNGGQTFRAVYRRIKLEEE >Ma07_p21460.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29567621:29568963:-1 gene:Ma07_g21460 transcript:Ma07_t21460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNADTAQAKSRSGRLPRKRFYRARAHSNPLSDSHFPIPISPADFDCSHHYPYFFPPGSGGRSDGDAPPRKVRFADIGCGFGGLLVGLSPLFPDTLMLGMELRDKVTEYVKERILALRASNPGHYENISVVRTNSMKYLPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPQLLDEYAYALEVGGIIYTITDVEELGDWMRSCLEDHPLFGAVPEEELVLDPVVKLLSSATEEGQKVARNGGQTFRAVYRRIKLEEE >Ma07_p21460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29567621:29568953:-1 gene:Ma07_g21460 transcript:Ma07_t21460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNADTAQAKSRSGRLPRKRFYRARAHSNPLSDSHFPIPISPADFDCSHHYPYFFPPGSGGRSDGDAPPRKVRFADIGCGFGGLLVGLSPLFPDTLMLGMELRDKVTEYVKERILALRASNPGHYENISVVRTNSMKYLPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPQLLDEYAYALEVGGIIYTITDVEELGDWMRSCLEDHPLFGAVPEEELVLDPVVKLLSSATEEGQKVARNGGQTFRAVYRRIKLEEE >Ma07_p21460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29567621:29569174:-1 gene:Ma07_g21460 transcript:Ma07_t21460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRLGSAAIWPFFYSIRYEPNRSSSASKPLTPPSLVRVSFLPRSRSRQSRQRHPGNHSLSFALTTASQNRPRSTVAMSSNADTAQAKSRSGRLPRKRFYRARAHSNPLSDSHFPIPISPADFDCSHHYPYFFPPGSGGRSDGDAPPRKVRFADIGCGFGGLLVGLSPLFPDTLMLGMELRDKVTEYVKERILALRASNPGHYENISVVRTNSMKYLPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPQLLDEYAYALEVGGIIYTITDVEELGDWMRSCLEDHPLFGAVPEEELVLDPVVKLLSSATEEGQKVARNGGQTFRAVYRRIKLEEE >Ma06_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14220633:14225960:1 gene:Ma06_g20190 transcript:Ma06_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CH1 [Source:Projected from Arabidopsis thaliana (AT1G44446) UniProtKB/TrEMBL;Acc:A0A178W6L1] MATAAAALSLLPVSLRRPLTSFSKKGNGGRNGIKVFAVFGEEERGSLEKRSTWEAIFDVEDPRPRVPHFKGKLLDMNQALEVAMFDIQYCDWRARQDLLSIMLLHEKVVDVLNPLAREFKSVGTMKKELAELQEDLAQAHREVHISEARVGAALDKLAYMEKLVNERLLDDRRSDQFNSEAQSPSISSSSIETSQNKLPRRGLNVSGPVKSYHPNLKNFWYPVAFSCDLKDDTMIPIDIFEEPWVIFRGKDGQPGCVQNTCAHRACPLHLGSVTEGRIQCPYHGWEYSTDGKCEKMPSTKLLNVRIRSLPCFEQEGMVWIWPGEAPPMATIPSLKPPPGFKVHAEIVMELPIEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGMQGYWDPYPIDMEFRPPCIVFSTIGISKPGKLKGKTTRQCSTHLHQLHVCLPSSRQKTRLLYRMSLDFAPFLKNIPFMHLLWRHFAEKVLNEDLRLVIGQQERMLNGDNVWNLPVSYDKLGIRYRRWRDALERGAKQLPSTKQDEYL >Ma10_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32205310:32210556:1 gene:Ma10_g23110 transcript:Ma10_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCHRTQLEQEVQRLQQQLQEEIDVHVALAYAVAHNAVPFLNSPSSLPDRARELLHDIGTLETTVSKLEEELASLQLQHCQERTERHLAENHLEYFPSFSPESPTSSSCLWEELLGSCNRVDEGNKMDVCLQQSDAAELKKDLLQRNLCNNPNQLSEEMVRCMRNIFLCLSESSDRCSKASSLDCLPSQSSPNDQRSFSSITSFSDSSLISSPFRNPLNGTHKTDEVMDQVDGFDPYGVNGKVQSRNIGTYRLAAEVSWMSVGKAELEYASEALKGYRFLVEQLAKVNPACMGGNERLSFWVNVYNALTMHAYLAYGVPRSDIKLFSLMQNASYIVGGHSFSAAEIEFVILKMKPPAHRPRLGLLLAVHRFKISEEHRKYSIDRLEPLLLFALSSGMYSSPAVRVFTPDNIQDELQNSKKDYIQASIGIGEKGKLLVPTLLHCFAKGIVEDSLLVDWICQHLSPDEVIISRDSTSLQKRWLLDVQSFSIIPFDPSFRYLFLPDDNTSRESPRDWSV >Ma07_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7664245:7668203:-1 gene:Ma07_g10240 transcript:Ma07_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGILPNTTAAASITFRALMRALLVRLPSFCSTLRDSPFAASLRPFHASSILSPRLPKTPCFQRLSFFLQYLSKTPSDPKSLAALDSALAEAHLLDSTASVLLIRALSRRKKLTRAKSVLSGLKQRGIVPDLFLYSLVLQCLLPESPIRDVESVWHDIRGGGPDGISGASDFVIGLCRRRVDASEIEQVYRRVSRSRWSLSRQRYMALIGAFCQSSCPNPSLARAVLREMEEKGFEADELTYFAIFRSFCRVGNVFEADLVLRSMVDRWNCKLDILIYGNFLYGLCKSGKLREARKLFDKLLKKGQNIKDSLIPVLKPGRRVIFQLSSTKLISETVAFGAYFQSLCKTGRVEEAEMLLKEATEKNIPVETCVYVSFIEALCRAGRAEDAVELLDLEKKKQSVSVGDITVAVIAGLCKLGQVDDGYRLLLEMVNEGVSPTAQVCNWILKSYWEAGRMEEAIGLFEKLRAGNCGGCVRPNASTYSLMIHGYLGRGDTAMAVSFVEQMEREKMQVDVGLHTSVVRSLYTTGKLEETHHYMNKMIESGTIVSYAEWEEFVNSMTMRIEDIYTLKSVTEMRGH >Ma06_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9377443:9380408:1 gene:Ma06_g13680 transcript:Ma06_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLIELKFRLFDGSDIGPIRYPPTSTVAMLKDRIISEWPRDKKIIPEVANDVKLISAGKILENNMTVAQCASSPFSELPAGVITMHVVVQPSSTKTKTEKRIDESPKKTACSCSIL >Ma05_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10635866:10653725:-1 gene:Ma05_g14560 transcript:Ma05_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRITEASKPPASDETLRPTEQGGKPARSAFLWVALFVLFLNSSWAVYHFQFESLPLPLDAEQAGKRGFSEVSALEHVKYLTKLGPHPVGSDALELAVQYVFAATEKIQKTAHWEVDVQVDLFHAETAANHLSKGLFKGKTLVYSDLKHVVLRILPKYLPEAEDNVILVSSHIDTVFSSQGAGDCSSCVGVMLELARGIAQWAHGFKNGVIFLFNTGEEEGLNGAHSFITQHPWRSTIRFVVDLEAMGIGGKSIVFQGGLVPWALETYAKVSKYPSGLVIAQDLFHSGAIQSATDFQVYEEVGGLSGLDFAYTDATAIYHTKNDKLKLLKPGSLQHLGENMLAFLIQSAMSTNLQNKMEVKKDGIVQSQSIFFDILGTYMVVYSQRLATMLHNSVILQSLLIWTTSLIMGGYHGAMTFGLSCFSILLMWICSLSLSIMVSFLIPLISTSPVPYIANPWLVIGLFGAPAVLGALTGQHLGFLCISRYLRCTFSKRVPTVASNTLENLIKLETERWLFKAGFIQWLILLIIGNFYKVGSSFVALVWLVSPAFAYGLMEATLSPLRSPKQLKIVTLILGLAMPILFSSGMMIRLVGILVGIIVRSERNPGSRPDWLGNVIVAVFVSAIVCLMLVYLLSYIHLSGAKGPMIFSMLMLLALALAAVSTGILPTFTEDISRAVTVVHVVKTKGNSENQDASSFISLSSLTPGKLTEEVKNLKDEEFTCGWNKTIDFVTFTVKYGCWSSKDSGSGWSKSDIPIVHVEHDSIASGARKTGIFIDTKISKRWSLAINREEIRDFTFEADSEELVPLGDKSEVDGWHFIQFSGGKNSPTKFRLNLFWLSNTTHQSQKSYESGASPLLLKLRTDVSKITPEVESVLEKFPPWCSLFGKSTSPYPLAFLTTLPVQF >Ma08_p25690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38427924:38429728:1 gene:Ma08_g25690 transcript:Ma08_t25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRTHDWETHGKSNDIDESTPINKVKGPNVFERAKEEIEALVEALHPKGSPQHEHHERNYENEVESSNLLERAKKGTDEPVHRGKTHHKETHGMSNDIDENTPIGEVVGPNLFERAKEEIEALVEAIHPKKESDNKPQ >Ma10_p25690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33708621:33712333:-1 gene:Ma10_g25690 transcript:Ma10_t25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTAIVSIEQDSLESGSSFYCTNKQNPLADHLSAKTWSTTTENMGDNRGLFEASVKRRREGLSAVTRRRLETPTTPGRPVFSFSPGHLPRKGVPSKWDDAEKWLISSHCHESPAHVKVAVEASKFSRQNGVIQENGDAFVGKLLRVAQDKAPSSPNPASNGPAMNPETNVAFSGASSDVLLKDKFTENVESVYPNYGYSEITKEGFLFKSSYFEPMKDSTTALVAEIERRDIGTEMTPLGSSTATRCHTPVKITSPARHNTPADRSGPLVPHSTGIDISELTDCHFAKLELSAQYDSFVSNWSSREEEDEEVSKSLRHLEISGGRKSSAKSRASAWEEGQRTKSCVRYQREEAKIQAWVNLQSAKAEAQSRKLEVKIQKMRSDLEEKLMKRMAIVHRRAEEWRAAAQLQHSQQLQRLSLQAQKMKSQQQSTRLSGETACGCFPCNHHL >Ma07_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17173944:17175830:-1 gene:Ma07_g17340 transcript:Ma07_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKTVTCFILLFVTLAHVDARLGLDPTLMDQGNMDSYMEEQPQESACGSCLEASQRAKKALDDLETFGELQKLCLPLPSDIKDKCLERSSAYIRQTKLLLRDLFDQENLCKSTGQCTDESMSPRKDAITPLLTESAKKIEECGECSEAVKQIFAGLQTPRMIKKMKQILGEYCEEVESEKHCEVILHRYVPIIMLQLEKLKPEEMCGMLGFCAVGIPL >Ma11_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5039659:5045688:1 gene:Ma11_g06230 transcript:Ma11_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQLKLEPYAVHTTFQFSGSDGKRHRLREAMLFYDQPAYYDTPGGFLSFKPGIPKSLLLDGPHTVQSHFSLVNYQLRQIRTALAVASLLNRTLVMPRLWCRFERLWSGHLGILKGTLTTQPFVCPMDHLFEIHTMVRGLSEEEFGPQIHFREYSFLQNPSVPKHVKESLLNVQLCDAHSKGCNISNETTSRGFIQFPRNSTEQVYMQVFSQYKDIQVLHFSSMSNAFLGFSDEAREATFRNRVKRYVGTWCCVRNQSPSHIYYDMYWDEKP >Ma06_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2703521:2705339:-1 gene:Ma06_g03720 transcript:Ma06_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKRQRPPMRRTTSMTEFAAEVEGPVTADQVGAENQSRRPHILQKQRNQRRAAEAALWRSGGAGGRRRNSGNFAVVETASFLMACGLCKRRLGPGRDTFMYRGDIAFCSLECRQQHMNQDEPKEKCSLTSLKDTPPATNGSESSDGGETVAAA >Ma04_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11212522:11213558:-1 gene:Ma04_g14790 transcript:Ma04_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRTDQGWGAGCDQPIKYGDVFPVAGDLAGQTIAPRDAAMMHAAENRALGLTPKGGPASVMESAATRNEQRGLVGHDQFSPIPANQGVSITQTEIHGLPGQRLVTEFVAGQAVGQYVVDAESGDGGAPGGRRGVERGQSLGGVSSWTDKMTIGEALEAAGRAAGDEPIEMSDAAAVEAAESAATGLNTVLRGGIAAAAQSAATLNARITRDEDKTKLGDVLQDAAMRLLDDREATRVDAERVVRAEMRNSPDVCVRPGGVADSMVSAARFNQEP >Ma06_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9316478:9321173:-1 gene:Ma06_g13600 transcript:Ma06_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDHPSSSGTPRKFLLRSATWTARSNSNSNPNPNPNPNSNRPPKPGRLALPPPPLLAAWPRPASDDSGHWPATPSTAAAPAADDGDGDISRVDDHVYLGGDAAARDRAALRRNGITHVLNCAGGACPDHFRGELAYRTLWLRDSPAEDLASVLYDAFDFLERARVAPRGRALVHCRRGASRSAALVVAYIMWRRALPFDDALRTVRAVRPSVDPNLGFAAQLLRCQRRVHALPPTPGSAALRAYRLAPQSPYDPLYLVPKSVDLSATSGTGFLDSRGAFVVHVPTAIYVWLGRDSCPAMAAAAATAALQVVRYERAEGPITTVHEGSEPAVFWAALTDEPPSPAPEGLLGNRTVELYDLDYDIFRRAAARARVAPPLPLPWAGAGRRPPVKENGWGRLRRKFAEKGWKEIIKAVVETRSFNNDEPHATDTIRSPGSFSVESSATPSSSSTDSASILSTFSPNSSSSSDWYNLSPPRSELHRMPQTELNSELQPMDSGNVKGKDLRSLAERRSSDAPSLVLLPSVGDADERASPTDFVRDWCPSPPFISEVEDDQETFDLERRLSLGASDQGDAAEDEASCADDHNQAIHPVLFRWPDMEKVEDVHPGLLDTESVFLLLASESKSGSRKPRTKKIYVWLGRNSGKDISGVKEEHELMYLDRVSTEFFNHMGIPVDTPVQIIREGQEPEQFLNHLFSFHQATERHPS >Ma11_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20360883:20366340:1 gene:Ma11_g14630 transcript:Ma11_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSRFYRLVVGSTLCVGPTICFHRLSSSSSLVRNEVISAIERWADQLDYVLVDTPGQIEVFTWSASGAIITEAFASTFPTIIAYVVDTPRAANPVTFMSNMLYACSILYKTRLPLVLTFNKVDVARHEFALEWMQDFEAFQAALDTYSSYTSTLSRSLSLALDEFYKNTCSVGVSAVSGAGMEAFFGAVEASAKEYMENYKADLDKRRAEKERLEAYCRSENMERLQRDMESSQGQTAVLSTGLKDKDYPEMDENNEEEEEDAMEDLRFSEEETEEDEEVAHFGF >Ma06_p27000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29026749:29028571:-1 gene:Ma06_g27000 transcript:Ma06_t27000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGERGSNMALLLYCALICLFSVSALLLTSAHPDVGSLTSVSHAQVGAGGVGGEASGAERRWRWKRRMAGIVSSAVRGQVRRLHAVQPGPRGGASGQGGGRGVLPGGLAVQVRQQALHALTIRPSPSQLPSLAHQPFDEWHMRRITTLQRST >Ma06_p27000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29026749:29028571:-1 gene:Ma06_g27000 transcript:Ma06_t27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGERGSNMALLLYCALICLFSVSALLLTSAHPDVGSLTSVSHAQEASAGRRRELSGGGGGRGGWPGSYPPLCAAKCGDCTPCNPVHVAVPPGRAVVAEYYPEAWRCKCGNKLYMP >Ma04_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20855656:20871561:-1 gene:Ma04_g18720 transcript:Ma04_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELLYQQVNYGNIEGIKALRSQGAGLEWMDKEGKTPLIVACLRHDLLPVAKILIELGANVNVYRPGCHAGTPLHHAAKRGLEQTVHLLLSNGANPFIMNDDCHTALDLAREKGHCNVVRAIESRISLFTGWLREVYGPGFLEALVPQLLTRKIWSVVLPCDARNPTRPLKFELAIYSDLQTARPRTVVPLWKVHIEEPKFNQVDPAVIIVDKATRARYKFLSAREGDKQQLQWFFSSCQGISQVTNSLPVMPVDVSMPSPPQIISSASTQSTAAPTSNPEDLELAMAINASIQTAISEGVPDIQPNPQTSNTNDWASSSDNSTYNGWDTPNADTSSKMNGQGSTNEPYASNGWEVQLNTSASQPNVQNPDTPVIQSSQGAPPTQLVPSAPPVTEDTFYDGPIHYPSIDCSPIDMKMSAVEDAPGTAEAKDGSTSSYSKPGSSEDKAESKSSSGCCVICLDAPVEGACIPCGHMAGCMSCLRDIEAKNWGCPICRAKIKQVIKLYAV >Ma04_p18720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20855650:20871533:-1 gene:Ma04_g18720 transcript:Ma04_t18720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELLYQQVNYGNIEGIKALRSQGAGLEWMDKEGKTPLIVACLRHDLLPVAKILIELGANVNVYRPGCHAGTPLHHAAKRGLEQTVHLLLSNGANPFIMNDDCHTALDLAREKGHCNVVRAIESRISLFTGWLREVYGPGFLEALVPQLLTRKIWSVVLPCDARNPTRPLKFELAIYSDLQTARPRTVVPLWKVHIEEPKFNQVDPAVIIVDKATRARYKFLSAREGDKQQLQWFFSSCQGISQVTNSLPVMPVDVSMPSPPQIISSASTQSTAAPTSNPEDLELAMAINASIQTAISEGVPDIQPNPQTSNTNDWASSSDNSTYNGWDTPNADTSSKMNGQGSTNEPYASNGWEVQLNTSASQPNVQNPDTPVIQSSQGAPPTQLVPSAPPVTEDTFYDGPIHYPSIDCSPIDMKMSAVEDAPGTAEAKDGSTSSYSKPGSSEDKAESKSSSGCCVICLDAPVEGACIPCGHMAGCMSCLRDIEAKNWGCPICRAKIKQVIKLYAV >Ma04_p18720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20855650:20871561:-1 gene:Ma04_g18720 transcript:Ma04_t18720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELLYQQVNYGNIEGIKALRSQGAGLEWMDKEGKTPLIVACLRHDLLPVAKILIELGANVNVYRPGCHAGTPLHHAAKRGLEQTVHLLLSNGANPFIMNDDCHTALDLAREKGHCNVVRAIESRISLFTGWLREVYGPGFLEALVPQLLTRKIWSVVLPCDARNPTRPLKFELAIYSDLQTARPRTVVPLWKVHIEEPKFNQVDPAVIIVDKATRARYKFLSAREGDKQQLQWFFSSCQGISQVTNSLPVMPVDVSMPSPPQIISSASTQSTAAPTSNPEDLELAMAINASIQTAISEGVPDIQPNPQTSNTNDWASSSDNSTYNGWDTPNADTSSKMNGQGSTNEPYASNGWEVQLNTSASQPNVQNPDTPVIQSSQGAPPTQLVPSAPPVTEDTFYDGPIHYPSIDCSPIDMKMSAVEDAPGTAEAKDGSTSSYSKPGSSEDKAESKSSSGCCVICLDAPVEGACIPCGHMAGCMSCLRDIEAKNWGCPICRAKIKQVIKLYAV >Ma08_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2203000:2203806:1 gene:Ma08_g02940 transcript:Ma08_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLGAGWSPRLPSCPLRLLQPSKAPVSLPRCQGDSRNETSGGKSDLSIGSPIVVVEAPPTLKTATAMSSLRINAGLVKQGDVGRIIARKPKDVWAVRLAIGTYLIDGKYFKPLDVDE >Ma04_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9284980:9288362:-1 gene:Ma04_g12330 transcript:Ma04_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNRNATDEDLKKSYRRLAMRWHPDKNPSNKKEAEAKFKQISEAYEVLSDAQKRAIYDQLGEEGLKGMPPPGSQSATSSASSGPSNFRFNPRDAKDIFAEIFGNSSPFGFESMNRTKSTRYQTNGSGTFGGFGTTESTSRSYAEGAGPSGTQPRKAPAVENFLACRLEELYSGSKRKLKISRSVLQSNGQLVPETEILTIDIKPGWKKGTKITFPGKGNEQVNQLPADLVFIIDEKPHDVYKREGNDLIVHQNISLVDALAGTTINLKTLDGRDLSINVNEVVIPGYELVVAKEGMPLAKEPGKKGNLIVKFNVKFPSRLTPDQRADIRRILGS >Ma07_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26760385:26761008:1 gene:Ma07_g18810 transcript:Ma07_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHAAHQVAILESYAVYEPQIHIYAIAKVNIERYGSMYEILWKCNDLAGTPDVWRLLDDTHDLAMALHRKI >Ma04_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5644976:5647908:1 gene:Ma04_g07770 transcript:Ma04_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRTVAKIPEGLRAPAAELGEGGSRLMGHFSATRDGAVVVNLGSGGAMAYTPEKHNPFFPRFLAVVDNVFCLFQGHVENIASLKQLYGLGKTTNEAIIVIEAYKTLRDRGPFPASQVVRDLRGHFAFVLFDISSNSTFIAADADGRVPFFWGADSEGHLVLSDDVDIVKKGCGRSFAPFPRGCFFTTSGGLQSFQHPLNELKAIPRVDSQGQVCGAIYEVDDQTKRETRMPRVGSTANWSSHY >Ma11_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20605246:20618710:1 gene:Ma11_g14900 transcript:Ma11_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHNKLVLAPMVRVGTLSFRLLAAGYGADITYGEEIIDHKMVKCERRINEFLGTTDFVEKGTSNVVFRTCHEERNRVVFQMGTSDAVRALTAAQIVCKDVAAIDINMGCPKSFSISGGMGAALLSKPELIHDILTTLRRNLDTTVTCKVRLLTSSQATVELARRIEKTGVSAVAVHGRRVKDRPRDPAKWNEIADVVASLSIPVIANGDVFEYEDFGRMKNATGASSIMVARGALWNASIFSAKGKLPWDDIKREYVRKSILWDNDIKSTKQTLKEMIMHHSCLELAEGKAVIKSETIEDLAKLYDEEDYYHFVTSSRP >Ma07_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:734742:742554:-1 gene:Ma07_g00930 transcript:Ma07_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVLGSALIGLSWQPSSASSYCSGSSSLLLRWQWRRLFSSSGSRLSMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLNVLGGLSKSQRTVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDVIHVNGKVDPKSDIDVINLELVFSDLEQIEKRLDKLKKGKAKDSQSKMKEEAEKSALEKIQKTLMDGRPARSVSLSDLEKESIHHLCLLTMKPVIYVANVAESDLAEPDNNPHVKEVMNLASELQSGIVTVSAQVEAELTELAQEERIEFLKSLGVSESGLGNLIRATYKLLGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSYDDFVAAGSLAAAREKGLLRLEGKDYTVKEGDVMLFRFNV >Ma07_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22261491:22281375:-1 gene:Ma07_g17850 transcript:Ma07_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSRRVLCVRGFSWIVSALLLMPRETHAGVGSDAAVRFLEAPPAFSASSRATFLFEVTERRNGGACRSCSITCKLDNYSSSACEPKEVTYSGLLEGDHLFEVCISGSQRVRCASYKWIVDTISPTACISAASSFTNALNVSVNVSFSEPCNNGGGFKCSSSKCNLLVYGAGHVLPSTLKVLLPDRVFTLMVGISADVQFGRLVLVMDKGFCMDSAGNSFERTSNSSFILHFDRRSVFMNLTTHIPKKSLQLNGELRTAEATNTYKGLKIYLSFSEPVLNSSEEILGLLHSTSGSLIPTKRNSLGNRRFGYLVHSISSMTVVTISCDTNNIISRQGTPISPSDPITFLYDAQRPSVRLSTTSNMRTRQHKIPVLIKFVKPVFDFNSSAIRISGGYILSFHEITMSIYIIEINGNDSLISVEVPENKTVDIAGNKNLRSNILQLKHYTTPTISSFVSLVATSAFAITSMVAALLTVSTSSLLSSGAVSRQKAYLVSEPSRNLLRILCHIQVFALCRWLVVNMPIEYYEFSRGIEWSIPYIHLPWEMGSKTFFEGSTSTSATHFEVWDRNKLYFKSSSVRNQILEMNSSEYGKPLTPGEYMSFLENQNMKPEAEFIMISRNSDSGWQYFGRNMFWLAVFGGGLIFLHVVILCILKLRKNLEKQKEFGALVFPRFEIFLIFLALPCICQASAAIIKGRTSTGIVVGTVLLGVSTSFLISLLLILSLGISMGKLLWYKEVHQEGEKLRWYHEFVHVALGPGKRGQWAWQGQQSSINQTILGPLFEDLRGPPRCMLTQIAGRGNQGKYEDRIIASGDETEVAEAPFIQKLFGMLRIYYTLLESVKCVSLGVLAGAYSSKRPSRTPTLIILSITCFQLFFLVLEKPFIKRKVQFVEIISVAGEVGLLGACLAVLEKDFFGANERRLGLFMLAMFIIMFTAQLANEWYALYQQVIRLSRTRDSFSSGLKRALGGILLIVLPTTRLLAEMVEQSSSSHGEGDSGTTVSPIGQVQGASGTNERSWLRQLRELAEASFGREDAGAPNDPSSSTNQRSEFQNAKRSGSSSVASSSGFKSKADLKAKSRGLYKDLESMFSSK >Ma07_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1736820:1737931:-1 gene:Ma07_g02220 transcript:Ma07_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGWERPPHRRSRSKNASFSSSLLDAIYRSIEDDDVDNSKSGETRGGVPDRSFSHRKQVEPVTHSRNGSRAPVVSELAVSRAGVTDYYRRSPCGVGTFAPTSSSSSSSSSSITPRINATGLFSSSDGESNQSDRIPRLDSPATKKKAKPKCSNLRSGLRGLRKPRTASDAAVATSPRARLVRFIIALFSAAGSSRKPKITVPSAAAAKDGARTEESTTTSTSASSCMGTCLSKASEASRRQAAGAEKGKRTVRFYPANVIVDEDYSRPCGHKRLQDGAANAAARVKELLRLGREEDDDAGGSESSSDLFELENLTEVMGEGRGGGRCRDELPLYETTNLNKNRAIARGLIL >Ma09_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18024766:18029261:-1 gene:Ma09_g18550 transcript:Ma09_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLHESYLQKDCLLPGIELCQGLLQEDVLTLGKTKMDTGNGLQAILLQVMQLVLHHPCFSCILCIMPVHVWQMMQKAAKKKEELGTLNLAHFLLLSAFLTPNLTLRCFCDIIMETQCIFWYMWMILLSQATIPLESKRSSNSWQLDSHLRISDP >Ma10_p28080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35080194:35081891:-1 gene:Ma10_g28080 transcript:Ma10_t28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRTAAAAAADGGWSGGERTEMVARGRSDVKPVFGIGIALFFAGFVVSQLRPRSRPPPPPPPSRQTSSAESKTGGSDSIDRLEELRIPKGEEALSKSNNVTSTTAVKLSSTSSTSGNEQGVVLPESNDTLMKEFEVTSKDMKTAPETAMGQEIASLRELVSSLRERKRSLELQLLAYHGAKEKEAAAQELENRLKISAVEAKLYVLRIQSLQDDKRRLESQLADHSRTRNELEASRAKIKVFKQKLKADREQAKEIMASLHQRISSLQCREQKDEVNDAELEKKLKGLEDETVELGMVNSRLAEENSGLVMKLASTQMTAAASQVLQGAEVEASEEADRSREANRELMEEIEQLRADRYADAEELVYLRWVNACLRYELRNYQPPPGTTVARDLSKSLSPKSEAKAKQLILEYADSGATEKSSNLAEIYSEFFSSSSSQASSE >Ma09_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8226272:8226715:-1 gene:Ma09_g12200 transcript:Ma09_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAAASATAAFAAEAPAPSPTSASFAVAPAVGAVLGASVLSFFAFYLQ >Ma11_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12542364:12548339:1 gene:Ma11_g11170 transcript:Ma11_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKKPVVSDVGAWAMNVVSSVGIIMANKQLMSPNGYAFGFATTLTGFHFIVTALVGWVSNATGLSVSKYVPFWELFWFSIVANLSITGMNLSLMLNSVGFYQISKLSIIPVVCFMEFLLHSKHYSRQVILAVAVVALGVGICTVTDVDINAKGLLCACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPVQAASLLIVGPFADYYLNHRSLLDYRFTSGATFFVLLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTLKNILGMLLAVLGMVVYSWAVEHEKQVNRATHIIADSKSEGEDVKLLKEKVNGLAKSDLELGQTKS >Ma06_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10275178:10275447:-1 gene:Ma06_g15090 transcript:Ma06_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCELCGAQRGLVYCKSDAARLCLPCDAFIHSANALSRRHLRALICDHCLTQHAAVGCLDDRHSLCQSCYRAGPTPAVAAPAIATTP >Ma09_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6282727:6287650:-1 gene:Ma09_g09560 transcript:Ma09_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCNMSAVFLRTLVGLLLLLFHLKHAASSGGNDSFTFNGFGRLNLTFDGVANVTSDGLLMLTDMSTQAKGHAFFPTPLRFRNRTNGTISSFSTTFAFGFISAYTNLSGNGMAFFVSPTEDFSAALGSQYLGLFNQSSDGNSNNHVLAIEIDTIYNPDVLDIDDNHVGIDINSVKSSTSHVAGYYADDTGSFTDLSLRSEKAMQVWIDYDGHEMLLNVTMAPIPMAKPHKPLLSATIDLSSVLLSDPMYVGFSSSTGSFKTSHYVLGWSFRMNGVAEPLDCSLLPSLPLAKSNGKSKVLDIVLPLASAGLAVIIAGIIVFMVRWRIKYAEVLEDWELEYGPHRFSYRDLFIATKGFKDRELLGIGGFGKVYKGVLQTSKSEIAVKRVSHESQQGMREFIAEIVSIGRLRHRNLFLHGQDKATLDWATRFRIIKGVASGLLYRHEDWEQVVIHRDIKASNVLLDHELNGRLSGFGLAKLYDRGTDPQTTGIAGTMGYLAPELPRTGKATTMTDVFAFGTDVFAFGTEVSAYV >Ma10_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25725719:25726695:-1 gene:Ma10_g12690 transcript:Ma10_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPQDCLLRPSPGLRSAKPLRRRREGSPKQPAKPRLAMGQVTILRRGESLEAAKARKGGPGTAAIPSASVGWDPALFGMGRLGPDPVMIPKQIRLRSPAAAMPPDVYAGSAFDLSPSPRALPLPSFSRRKEDLPPAAAKAVVDCSATKDLRRLLRLE >Ma03_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24788770:24799698:1 gene:Ma03_g19470 transcript:Ma03_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKAKDFVSSAPVVVFSKTNCAHCEWVKVLLTQLGVGFKVIELDLESDGSDIQSALAEWTAQRKLPIVFIGGNHIGGCERTKAFFMRMLHALEDASMVKIGEHLHIIEKLKLSSPPAVDTVQLRSQLPTDKSHSVSVTPVIDSEDQEVSDDDDRNHKHRRREAQANTFGNDVQEPSMRQINRKRNRPLESRKMFHDSDNNSNIERDVSSSFEKRRPIFTPATGRPPVGKGRGRGRGRSIVSWSQQDCRFNPIDTLDFASQVVSQGLPTHPGLFVGTGIPNATTTQNASWGTYGFVPGMSNRILDPLQPLGLQGTLQSTIAPLFNMGMPRQRCRDFDEQGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSDPNSNALGIQSGSGSLPSIRASPGLFTGSKFVPAKDGKPILSDDALKLSGVSSASVVAEADVYDPDQPLWNNKCSETSGTGLMLPLLNNDEPLWTLDSSQQSLVSSNGFQNEQGSRPFRENIGTQNTNSSVWGRIRQNNRSEMGIKTNNNSVSTSHISDEMKEDNEKSTVKTSSIPEKQNTAEMNQKAIVRQSLPRLYADSSRHNGRMSQKASRTLYVHGIPEKNNTKDALFSHFQKFGEVVDIYIPLNSEKAFVQFSKREEAEAALEAPDAVMGNRFIKLWWANRDRIHDAGKSSHHSKLPLSSSMGVSGLSSYLSGTDKEEGNLKSTVPTGSKTPSELSVTVAGPKGLSANAQKIASPMPKKLDGLELLKEELRKKQEILAQKRDEFRRQLDKFEKQSISVRRGEVVSEQAAKKLKVDMGNEAAKSWTAIAPITTAGTLQEVEKTRKSEAVLVSPTSIANSTILQNCNTEQTSHPSMPKSDMCRLDNQSTSFRILPPLPADLADVAILQDHFSSFGDLASIVLEEHGERSDNDGMKTPENFCAHITFTSCDSAERAYVGGQCWQGHSLHFMWLSESDNSNKVCDSQETLGPPGSSSADIQDGPVKSVMSSPTEGTSLDGVICKVTVVEVESNSGVEDVHCYHSDMLNTTASRSNVSVAEDKMSIDLAK >Ma04_p25700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27393827:27399198:-1 gene:Ma04_g25700 transcript:Ma04_t25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARCCRSAAFRRLLGSQPPSSRDGVTNPLLFAAQGLRYRKLEVILTTTIDKLGKRGETVKVAPGHFRNHLMPKLLAVPNIEKYAFLMREQRELYQRGVEAAKEAPMIKEDKGQDETSGRALDDSGGAMVDKMKEYHAAAKRLDNARLVLRRYIKIDNELRTPVTKDEIVAEVARQLCVNIHPDNLRLPSPLGSAGEYEIPLLLPREIPRPEGRVQWTLNVKIRRK >Ma09_p27830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38726501:38727305:-1 gene:Ma09_g27830 transcript:Ma09_t27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDTDLFPSSPSNSSLSSSDLDTESTGSFFPDRSTTLGTLMGVTFPEASASPARLPSRRDHGLGGGGGAAGDAGRRTKPRAAERRRRRHRGRSGWWRLCRDDMAGPTSLGEFLQVERRMAGVEVADGHYVFGGAAHGGGPPEHVAVGGGPLFADGRVLPPAPPAERRRPQQRQQQQRAESVGRLPVLLLTGICSGGDG >Ma10_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14694337:14696422:1 gene:Ma10_g04060 transcript:Ma10_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRRKDVVKFKVRCAKYLYTLCVFDPEKADKLKQSLPPGLSVQEV >Ma08_p28210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40228995:40231493:-1 gene:Ma08_g28210 transcript:Ma08_t28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRSLLGRKKDAKVQKEDAGSDGYPTRDKKRWSFAEPRQPSDGSVARSPASALETAWLRSFYDDGEVDGKRAMAVAVATAAAANAAVTAAQVAMVRLKSLGRGRTATHGRHEWRAAVKIQTAFRCYLAKKALRALKALVKLQALVRGQLVRKQAAATLRGLQALMRAQSVARPGEPRVLPQQGRRFNAEFCRRRSFERLNARDASCKHKGSKQSDGFDRSPKTKSRSFRRTSPSNINALEEPAVPISSPLLYQVPRRLSIPTCRSSEDYHVCRNPDKSPYSMTTQSTPRFTPGTPSPSDLPNYMASTTSFVAKARAQSAPKQRPEKTSPRKKASAIEVAAGAGGRWAVGRLERSPKVVARDYFSGSLW >Ma08_p28210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40228995:40231493:-1 gene:Ma08_g28210 transcript:Ma08_t28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRSLLGRKKDAKVQKEDAGSDGYPTRDKKRWSFAEPRQPSDGSVARSPASALETAWLRSFYDDGEVDGKRAMAVAVATAAAANAAVTAAQVAMVRLKSLGRGRTATHGRHEWRAAVKIQTAFRCYLAKKALRALKALVKLQALVRGQLVRKQAAATLRGLQALMRAQSVARPGEPRVLPQQGRRFNAEFCRRRSFERLNARDASCKHKGSKQSDGFDRSPKTKSRSFRRTSPSNINALEEPAVPISSPLLYQVPRRLSIPTCRSSEDYHVCRNPDKSPYSMTTQSTPRFTPGTPSPSDLPNYMASTTSFVAKARAQSAPKQRPEKTSPRKKASAIEVAAGAGGRWAVGRLERSPKVVARDYFSGSLW >Ma06_p31750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32806717:32808408:1 gene:Ma06_g31750 transcript:Ma06_t31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSFLFKRRNTSRQQAITNFGDIPGMEYMIIYSYKELSSATEDFSPGNKIGEGGFGSVYKGKLKDGRVVAIKVLSSKSKQGVREFLNEIKVVSSIAHENLVRLYGFCVEGDNRILVYDYLENNSLAHTLLGGGHSNIQFSWETRSRICIGVARGLAFLHEEVRPRIVHRDIKASNVLLDSHLTPKISDFGLAKLLPPNTTHVSTRVAGTIGYLAPEYAIHGQLTRRADTYSFGVLLLEIVTGRCNTNTRLPCEDQFLLERTWGLYERGEVLSIVDASLGDDFDAEEACRFIKIALVCTQDAPRLRPSMPAVVRMLRGESNVSMEITKPGLITDLMDLKVRRQRDAGDPDASPVVFTTLHGSPSSSSGNATAIMSSSTAAELA >Ma08_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25913019:25915926:-1 gene:Ma08_g17510 transcript:Ma08_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCGSISSEVDDEYEKLVIRMNPPRVTVDNTSGRKETLVKVDSANKQGSLLEVVQVLSDLKLTIKRAYISSDGEWFMDVFHVVDQVGNKLSDVGFVDRIQQSLEARALSHQSVRRSVGVQTEAKHTSIELIGRNRPGLLSEIFAVLTDLKCNVVAAEVWTHNSRLASVVYVTDEVTGAPIDDTDRLSKIRHLLRYVLKGNRDKQSARTAISMEPTHAGRRLHQMMLADQQYRKEDDMGQEEEDGTDGNSKPLVTVENCIEKEYTVVNIRCKDRPKLLFDTVCTLTDMQYVVFHATIITEGPEACQEYYIRKMDGCAVSSEGERKLLIQGLEAAIERRSTEGLRLELCCEDRVGLLSDVTRIFRENGLSVIQAEVSTRGSQAVNVFYVVDASGKPVQSHMIDAVRSEIGQTSLHVKDAAGPSSPPEDSERFSLGDLFRSRSEKFLYNLGLIRSCS >Ma03_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:395:20393:1 gene:Ma03_g00010 transcript:Ma03_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDFLDNLRPVYGLIFLFKWRRGEKDDRPVIKDPNPNLFFASQVINNACATQAILSILVNCPDIDIGPELSMLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKVAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCPGGPGDLDWLQMVQPVIQERIDRYSQSEIRFNLMAIIKNRKEIYTAELKELQKQREHLVQQLNEHANTADKDSPEVEAWNKSLTEVTASIESVSEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQRSRNPI >Ma03_p00010.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:385:20393:1 gene:Ma03_g00010 transcript:Ma03_t00010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDFLDNLRPVYGLIFLFKWRRGEKDDRPVIKDPNPNLFFASQVINNACATQAILSILVNCPDIDIGPELSMLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKVAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCPGGPGDLDWLQMVQPVIQERIDRYSQSEIRFNLMAIIKNRKEIYTAELKELQKQREHLVQQLNEHANTADKDSPEVEAWNKSLTEVTASIESVSEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQRSRNPI >Ma03_p00010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:385:20393:1 gene:Ma03_g00010 transcript:Ma03_t00010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDFLDNLRPVYGLIFLFKWRRGEKDDRPVIKDPNPNLFFASQVINNACATQAILSILVNCPDIDIGPELSMLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKVAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCPGGPGDLDWLQMVQPVIQERIDRYSQSEIRFNLMAIIKNRKEIYTAELKELQKQREHLVQQLNEHANTADKDSPEVEAWNKSLTEVTASIESVSEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQRSRNPI >Ma03_p00010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:895:20393:1 gene:Ma03_g00010 transcript:Ma03_t00010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDFLDNLRPVYGLIFLFKWRRGEKDDRPVIKDPNPNLFFASQVINNACATQAILSILVNCPDIDIGPELSMLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKVAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCPGGPGDLDWLQMVQPVIQERIDRYSQSEIRFNLMAIIKNRKEIYTAELKELQKQREHLVQQLNEHANTADKDSPEVEAWNKSLTEVTASIESVSEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQRSRNPI >Ma03_p00010.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:395:20393:1 gene:Ma03_g00010 transcript:Ma03_t00010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDFLDNLRPVYGLIFLFKWRRGEKDDRPVIKDPNPNLFFASQVINNACATQAILSILVNCPDIDIGPELSMLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKVAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCPGGPGDLDWLQMVQPVIQERIDRYSQSEIRFNLMAIIKNRKEIYTAELKELQKQREHLVQQLNEHANTADKDSPEVEAWNKSLTEVTASIESVSEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQRSRNPI >Ma03_p00010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:920:20393:1 gene:Ma03_g00010 transcript:Ma03_t00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDFLDNLRPVYGLIFLFKWRRGEKDDRPVIKDPNPNLFFASQVINNACATQAILSILVNCPDIDIGPELSMLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKVAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCPGGPGDLDWLQMVQPVIQERIDRYSQSEIRFNLMAIIKNRKEIYTAELKELQKQREHLVQQLNEHANTADKDSPEVEAWNKSLTEVTASIESVSEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQRSRNPI >Ma06_p29700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31113669:31114618:-1 gene:Ma06_g29700 transcript:Ma06_t29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDDVSLNSNSRGNQREVDRQRAQARKAQGKQKDDGMTPEQRRERDAKALQEKAAKKAGQASGGGATTDSKNKGNAKK >Ma02_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24201125:24208334:1 gene:Ma02_g17160 transcript:Ma02_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVLSEQEPSYLDFTSTSSLLLWCSIDSKGTHTEFQWTDYSAAVFRNLQEFDEINFDDYSDSMRRRETLKLLFSNKKNVTSLRLPHDDRFILKIISKSQMKVFLEMLPKYYQHVKTYMNTLLASFYGLHVVKPRGGQKVRFIVTRNVLQSDSRIHKHFVIRGSPHSYHVNKAGDEEDLNMTFHLHTSHRNKILMQLKHDCNFLEEAGITHYSLLLGMHICSTPFEAALQVRHSPRYSTGSADCSESSDGPESEHGDADQDLSSDDDTTSSCSTETEDRLGVKMAARAVGFRKRESKVVSTHMAGTVQPNNVNLFFGITDILQHYSVRKHIEHVLKSLQTDHPSVSGVNPKGYSTHFQECVSKIFPENDFDINML >Ma07_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4189705:4191388:-1 gene:Ma07_g05760 transcript:Ma07_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRPWSLLSSTVVVCGGVGTAGLAGIFLFGVKEKLQEYLCREGERLRQLDRATMEANRARTS >Ma05_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10564506:10568657:1 gene:Ma05_g14470 transcript:Ma05_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPANPTKSAKAMGQDLRVHFKNTRETAHALRKLPLSKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKGRHPNGQGRWPAKSAGFILDLLKNAESNAEVKGLDVDALYISHIQVNQAPKQRRRTYRAHGRINPYMSSPCHIELILSEKEESVKKEPETQIAPSKPKKGQALRSGASS >Ma06_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10858754:10860108:1 gene:Ma06_g16000 transcript:Ma06_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPVFKTPFSGYAVRFSPFYEGRLLVATSQNFGILGNGRLHVLDLLPSPGAPGISEVAAFDTADGVYDCCWSESHDSLAVSAVADGSLKLWDASLPPSANPVRSFREHSREAHSVDWNPVRRDSFLSASWDDSLKLWTLDRPASLRTFREHSYCVYSVSWSPRHADVFASASGDRTVRVWDVREPVSTLVIPAHDHEILSCDWNKYDECCLATASVDKTIRVWDIRATRAPLANLAGHGYAVRRIRFSPHRESVLLSCSYDMTVCMWDYRAEDALIARYDHHTEFAVGIDMSVLVEGLIASTGWDEVVYVWQHGTDPRA >Ma10_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15689119:15690844:1 gene:Ma10_g04980 transcript:Ma10_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSGRASFNGSLYPMTHLGEPLASSYLGHETPRATHDHRIGRRVDGTELNVFDAERYFREGDDAAAKQTGRHEFTASSVDGFERNGHGRSDSCLDTPTASSEASWNSKSGLLYKPQDSAAVTLRASPVRDPRRPRASGSRWLFCRSCPCSGEKSVDVEERHFETKSPARSSMESDATITAKKQSYRTGEVRLSSIPERVAAEEPKNEFDVEEVTKVKITPGNWGKDRSFFHVANRFSPEKTIPTEIGHRIMNPGKSLTDSSGFSFPILSPPSSNLAEEPPRDSLEVFRPTDESTKMTKKPSEFQRRATVLPFPADRDSPSFTYPASPKPQPEDDAASDESSDLFEIQSLSTQMTYRHRDSLDELEGRRFVGSGAAAGILQLRRSLEGAAAPSIAPSECYQPSEASVEWSVTTAEGFDHASAANFSSAASNYDEFRFIEEEHNRFAAAMGGEPAGPRRKGNGLLDCRSEKAVSVGPSPVRIRPPVDPGVVPRVNKMGRAQERPGRPVQKH >Ma01_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3437959:3442551:1 gene:Ma01_g04960 transcript:Ma01_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTQEELLAAHLEQQKIDLDEPVVEDDEDDVDDEDDDDKDDDAEGQADDATGRSKQSRSEKKSRKAMLKLGMKPIPGVTRVTVKKSKNILFVISKPDVFKSPTSDSYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPETSAMVQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAAEGDIVTAIMELTN >Ma07_p09950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7400783:7444490:1 gene:Ma07_g09950 transcript:Ma07_t09950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRELLSTIEAALLGPSPPTPTQRIELMHAIRSYIPALKSFLSYPGPSASDRAQVESKEVRLKDLPPILLDDTDVQIALKLSDDLNLNEIECVRLLVSANKEWVLFGREPLEMFRLAACLWYMERRDLITSLYTVFRAVVLDQGLDADLVAVIQKHLEDILNSGLRQRLIMLIKELNREEAAGFGGPNAERYVLDFRGAIVERQAVVSRERLSLSHCLVLSALVVRMTPKDVKDVFVTLKDCAADANSRSTIHLQIAFSLLFTLVITFISDALSTVPDKPSVLSNDPSFKHEFHDLVMATVNDPNVEGFIDGVRFAWAVHLMIEQERSTSREVSGALSRDLASTYLCLEHVCSHNVFKFFLSGVLQTAAYQNDDEDMVYMYNGYLHKMMMCFLSHPLSRDKVKEMKEKAMSALSPYVSSELGDNISDSFDNKQVARMSCQPFVSLLYLVSEIYQKEPELLYGNEELWTFIHFAGEDHTNIDTLVAFLTLLSTLASTEEGASKVFELLQGKMFRSIGWSTLFDCLSIYDNTFKQALQTSGNLLPDFQEGDAQALVAYLNVLKKVVENGNPSERKGWFPDIEPLFKLLGYENVPPYLKGALRSAIAAFIKVSPTLKDTIWNYLEQYDLPVVVSPSVGSGGQLMSTQQVYDMRFELNEVESRRERYPSTISFLNLLNALIAEEKDVRDRGRRFVGIFKFVCDHVFGPFPQRAYIDPNEKWQLVVACLQHFQMVLKMYDIRDEDVETAVDMSQSSDVMHTSSLETQLPALELLKDFMSGKIVFRNIMSIILLGVDTIICERTSQTYGQLLENAVKLSLEILILVVEKDVFLADFWRPLYQPLDIILSQDQDQIIALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLMLKSNAAKHLIEDYATCLESRFDECQSIENTKDDPGVLILQLLIDNISRSAPNITHLLLRFDVDSPVERTVLQPKVHYSCLKVILDNLENLLKPDINGLLHEFGFQLLYELCLDPLTGGPTMDLLSTKKYQFFSKHLETICVSPLPKRSHNQSLRTSMLHERAWLLKLLALELHAADMSVSTHREACLSILSLSFSQFAGDNYKGPDLSQTSEVDSIDMHRTMKKNKVLELLDVVQFRSPDIAIQCPQLLPTFSIDVQVNDILKNSATSEMGGVYYFSDRGDRLLDLDALHEKLWQICTQVSSHFNEVEMSELRTSIQQFLRWAWRYNKNLEEQAAQLHMLTGWSHIVEVSISKRMPLLEYRSQILFELLGASLSAAASPDCTLRMAVILSNVALTCMAKLRDERFLCPGGVDSDSVTCLDIISVKQLSNGACHSILYKLMMAILKNESSETLRRRQYALLLSYFQYCRSILNPDVPASVLRYLLHEEHDEDDELNLQKIGKEQAELERANFSIIKKEAQAIIDLVTKDAMQGSEVGKALSFYVLDAFLSVDHEMFFLNQLQSRGILRSCLMDISNFSCKDTWCSLESMQRINTLEAQLSLLLRVSHNYGKHGAQILLSMCTLEHLGSSGAVFLQIKGSSRWVGPAAGKDLAGESDKQRLLVTPILRLVSSLTSLVESSDYLEVKNKIVREVLDFVKGYQSIFDQILREDVLVAEELTLERINLVVSILSKVWPYVENDEHGFVQGLFAMMRVLFSFDSVSSSFVKPSDPLESQRKTEFLIFQLCFSLSSYLYSLMKRKLMRFSVMDRPRELGDPGTQQQPTLYLLLGLLNLVTTSLERAGEEKFLLLNKIQDINELSRQEVDEIISLCMKQDCISPYDNIRKRRYIAMIEMCRMAGNRDQLITLLLQLAELVLNILLFHLQDDKSDLQDLSSLSGSLHPVVERLEQLKKDKIGRDLKFFHRSVRLLKEMFSSA >Ma07_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7400783:7444490:1 gene:Ma07_g09950 transcript:Ma07_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRELLSTIEAALLGPSPPTPTQRIELMHAIRSYIPALKSFLSYPGPSASDRAQVESKEVRLKDLPPILLDDTDVQIALKLSDDLNLNEIECVRLLVSANKEWVLFGREPLEMFRLAACLWYMERRDLITSLYTVFRAVVLDQGLDADLVAVIQKHLEDILNSGLRQRLIMLIKELNREEAAGFGGPNAERYVLDFRGAIVERQAVVSRERLSLSHCLVLSALVVRMTPKDVKDVFVTLKDCAADANSRSTIHLQIAFSLLFTLVITFISDALSTVPDKPSVLSNDPSFKHEFHDLVMATVNDPNVEGFIDGVRFAWAVHLMIEQERSTSREVSGALSRDLASTYLCLEHVCSHNVFKFFLSGVLQTAAYQNDDEDMVYMYNGYLHKMMMCFLSHPLSRDKVKEMKEKAMSALSPYVSSELGDNISDSFDNKQVARMSCQPFVSLLYLVSEIYQKEPELLYGNEELWTFIHFAGEDHTNIDTLVAFLTLLSTLASTEEGASKVFELLQGKMFRSIGWSTLFDCLSIYDNTFKQALQTSGNLLPDFQEGDAQALVAYLNVLKKVVENGNPSERKGWFPDIEPLFKLLGYENVPPYLKGALRSAIAAFIKVSPTLKDTIWNYLEQYDLPVVVSPSVGSGGQLMSTQVYDMRFELNEVESRRERYPSTISFLNLLNALIAEEKDVRDRGRRFVGIFKFVCDHVFGPFPQRAYIDPNEKWQLVVACLQHFQMVLKMYDIRDEDVETAVDMSQSSDVMHTSSLETQLPALELLKDFMSGKIVFRNIMSIILLGVDTIICERTSQTYGQLLENAVKLSLEILILVVEKDVFLADFWRPLYQPLDIILSQDQDQIIALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLMLKSNAAKHLIEDYATCLESRFDECQSIENTKDDPGVLILQLLIDNISRSAPNITHLLLRFDVDSPVERTVLQPKVHYSCLKVILDNLENLLKPDINGLLHEFGFQLLYELCLDPLTGGPTMDLLSTKKYQFFSKHLETICVSPLPKRSHNQSLRTSMLHERAWLLKLLALELHAADMSVSTHREACLSILSLSFSQFAGDNYKGPDLSQTSEVDSIDMHRTMKKNKVLELLDVVQFRSPDIAIQCPQLLPTFSIDVQVNDILKNSATSEMGGVYYFSDRGDRLLDLDALHEKLWQICTQVSSHFNEVEMSELRTSIQQFLRWAWRYNKNLEEQAAQLHMLTGWSHIVEVSISKRMPLLEYRSQILFELLGASLSAAASPDCTLRMAVILSNVALTCMAKLRDERFLCPGGVDSDSVTCLDIISVKQLSNGACHSILYKLMMAILKNESSETLRRRQYALLLSYFQYCRSILNPDVPASVLRYLLHEEHDEDDELNLQKIGKEQAELERANFSIIKKEAQAIIDLVTKDAMQGSEVGKALSFYVLDAFLSVDHEMFFLNQLQSRGILRSCLMDISNFSCKDTWCSLESMQRINTLEAQLSLLLRVSHNYGKHGAQILLSMCTLEHLGSSGAVFLQIKGSSRWVGPAAGKDLAGESDKQRLLVTPILRLVSSLTSLVESSDYLEVKNKIVREVLDFVKGYQSIFDQILREDVLVAEELTLERINLVVSILSKVWPYVENDEHGFVQGLFAMMRVLFSFDSVSSSFVKPSDPLESQRKTEFLIFQLCFSLSSYLYSLMKRKLMRFSVMDRPRELGDPGTQQQPTLYLLLGLLNLVTTSLERAGEEKFLLLNKIQDINELSRQEVDEIISLCMKQDCISPYDNIRKRRYIAMIEMCRMAGNRDQLITLLLQLAELVLNILLFHLQDDKSDLQDLSSLSGSLHPVVERLEQLKKDKIGRDLKFFHRSVRLLKEMFSSA >Ma07_p09950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7400783:7444490:1 gene:Ma07_g09950 transcript:Ma07_t09950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRELLSTIEAALLGPSPPTPTQRIELMHAIRSYIPALKSFLSYPGPSASDRAQVESKEVRLKDLPPILLDDTDVQIALKLSDDLNLNEIECVRLLVSANKEWVLFGREPLEMFRLAACLWYMERRDLITSLYTVFRAVVLDQGLDADLVAVIQKHLEDILNSGLRQRLIMLIKELNREEAAGFGGPNAERYVLDFRGAIVERQAVVSRERLSLSHCLVLSALVVRMTPKDVKDVFVTLKDCAADANSRSTIHLQIAFSLLFTLVITFISDALSTVPDKPSVLSNDPSFKHEFHDLVMATVNDPNVEGFIDGVRFAWAVHLMIEQERSTSREVSGALSRDLASTYLCLEHVCSHNVFKFFLSGVLQTAAYQNDDEDMVYMYNGYLHKMMMCFLSHPLSRDKVKEMKEKAMSALSPYVSSELGDNISDSFDNKQVARMSCQPFVSLLYLKEPELLYGNEELWTFIHFAGEDHTNIDTLVAFLTLLSTLASTEEGASKVFELLQGKMFRSIGWSTLFDCLSIYDNTFKQALQTSGNLLPDFQEGDAQALVAYLNVLKKVVENGNPSERKGWFPDIEPLFKLLGYENVPPYLKGALRSAIAAFIKVSPTLKDTIWNYLEQYDLPVVVSPSVGSGGQLMSTQQVYDMRFELNEVESRRERYPSTISFLNLLNALIAEEKDVRDRGRRFVGIFKFVCDHVFGPFPQRAYIDPNEKWQLVVACLQHFQMVLKMYDIRDEDVETAVDMSQSSDVMHTSSLETQLPALELLKDFMSGKIVFRNIMSIILLGVDTIICERTSQTYGQLLENAVKLSLEILILVVEKDVFLADFWRPLYQPLDIILSQDQDQIIALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLMLKSNAAKHLIEDYATCLESRFDECQSIENTKDDPGVLILQLLIDNISRSAPNITHLLLRFDVDSPVERTVLQPKVHYSCLKVILDNLENLLKPDINGLLHEFGFQLLYELCLDPLTGGPTMDLLSTKKYQFFSKHLETICVSPLPKRSHNQSLRTSMLHERAWLLKLLALELHAADMSVSTHREACLSILSLSFSQFAGDNYKGPDLSQTSEVDSIDMHRTMKKNKVLELLDVVQFRSPDIAIQCPQLLPTFSIDVQVNDILKNSATSEMGGVYYFSDRGDRLLDLDALHEKLWQICTQVSSHFNEVEMSELRTSIQQFLRWAWRYNKNLEEQAAQLHMLTGWSHIVEVSISKRMPLLEYRSQILFELLGASLSAAASPDCTLRMAVILSNVALTCMAKLRDERFLCPGGVDSDSVTCLDIISVKQLSNGACHSILYKLMMAILKNESSETLRRRQYALLLSYFQYCRSILNPDVPASVLRYLLHEEHDEDDELNLQKIGKEQAELERANFSIIKKEAQAIIDLVTKDAMQGSEVGKALSFYVLDAFLSVDHEMFFLNQLQSRGILRSCLMDISNFSCKDTWCSLESMQRINTLEAQLSLLLRVSHNYGKHGAQILLSMCTLEHLGSSGAVFLQIKGSSRWVGPAAGKDLAGESDKQRLLVTPILRLVSSLTSLVESSDYLEVKNKIVREVLDFVKGYQSIFDQILREDVLVAEELTLERINLVVSILSKVWPYVENDEHGFVQGLFAMMRVLFSFDSVSSSFVKPSDPLESQRKTEFLIFQLCFSLSSYLYSLMKRKLMRFSVMDRPRELGDPGTQQQPTLYLLLGLLNLVTTSLERAGEEKFLLLNKIQDINELSRQEVDEIISLCMKQDCISPYDNIRKRRYIAMIEMCRMAGNRDQLITLLLQLAELVLNILLFHLQDDKSDLQDLSSLSGSLHPVVERLEQLKKDKIGRDLKFFHRSVRLLKEMFSSA >Ma07_p09950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7400783:7444490:1 gene:Ma07_g09950 transcript:Ma07_t09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRELLSTIEAALLGPSPPTPTQRIELMHAIRSYIPALKSFLSYPGPSASDRAQVESKEVRLKDLPPILLDDTDVQIALKLSDDLNLNEIECVRLLVSANKEWVLFGREPLEMFRLAACLWYMERRDLITSLYTVFRAVVLDQGLDADLVAVIQKHLEDILNSGLRQRLIMLIKELNREEAAGFGGPNAERYVLDFRGAIVERQAVVSRERLSLSHCLVLSALVVRMTPKDVKDVFVTLKDCAADANSRSTIHLQIAFSLLFTLVITFISDALSTVPDKPSVLSNDPSFKHEFHDLVMATVNDPNVEGFIDGVRFAWAVHLMIEQERSTSREVSGALSRDLASTYLCLEHVCSHNVFKFFLSGVLQTAAYQNDDEDMVYMYNGYLHKMMMCFLSHPLSRDKVKEMKEKAMSALSPYVSSELGDNISDSFDNKQVARMSCQPFVSLLYLVSEIYQKEPELLYGNEELWTFIHFAGEDHTNIDTLVAFLTLLSTLASTEEGASKVFELLQGKMFRSIGWSTLFDCLSIYDNTFKQALQTSGNLLPDFQEGDAQALVAYLNVLKKVVENGNPSERKGWFPDIEPLFKLLGYENVPPYLKGALRSAIAAFIKVSPTLKDTIWNYLEQYDLPVVVSPSVGSGGQLMSTQQVYDMRFELNEVESRRERYPSTISFLNLLNALIAEEKDVRDRGRRFVGIFKFVCDHVFGPFPQRAYIDPNEKWQLVVACLQHFQMVLKMYDIRDEDVETAVDMSQSSDVMHTSSLETQLPALELLKDFMSGKIVFRNIMSIILLGVDTIICERTSQTYGQLLENAVKLSLEILILVVEKDVFLADFWRPLYQPLDIILSQDQDQIIALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLMLKSNAAKHLIEDYATCLESRFDECQSIENTKDDPGVLILQLLIDNISRSAPNITHLLLRFDVDSPVERTVLQPKVHYSCLKVILDNLENLLKPDINGLLHEFGFQLLYELCLDPLTGGPTMDLLSTKKYQFFSKHLETICVSPLPKRSHNQSLRTSMLHERAWLLKLLALELHAADMSVSTHREACLSILSLSFSQFAGDNYKGPDLSQTSEVDSIDMHRTMKKNKVLELLDVVQFRSPDIAIQCPQLLPTFSIDVNDILKNSATSEMGGVYYFSDRGDRLLDLDALHEKLWQICTQVSSHFNEVEMSELRTSIQQFLRWAWRYNKNLEEQAAQLHMLTGWSHIVEVSISKRMPLLEYRSQILFELLGASLSAAASPDCTLRMAVILSNVALTCMAKLRDERFLCPGGVDSDSVTCLDIISVKQLSNGACHSILYKLMMAILKNESSETLRRRQYALLLSYFQYCRSILNPDVPASVLRYLLHEEHDEDDELNLQKIGKEQAELERANFSIIKKEAQAIIDLVTKDAMQGSEVGKALSFYVLDAFLSVDHEMFFLNQLQSRGILRSCLMDISNFSCKDTWCSLESMQRINTLEAQLSLLLRVSHNYGKHGAQILLSMCTLEHLGSSGAVFLQIKGSSRWVGPAAGKDLAGESDKQRLLVTPILRLVSSLTSLVESSDYLEVKNKIVREVLDFVKGYQSIFDQILREDVLVAEELTLERINLVVSILSKVWPYVENDEHGFVQGLFAMMRVLFSFDSVSSSFVKPSDPLESQRKTEFLIFQLCFSLSSYLYSLMKRKLMRFSVMDRPRELGDPGTQQQPTLYLLLGLLNLVTTSLERAGEEKFLLLNKIQDINELSRQEVDEIISLCMKQDCISPYDNIRKRRYIAMIEMCRMAGNRDQLITLLLQLAELVLNILLFHLQDDKSDLQDLSSLSGSLHPVVERLEQLKKDKIGRDLKFFHRSVRLLKEMFSSA >Ma10_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33201747:33206822:1 gene:Ma10_g24730 transcript:Ma10_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASSSSVTRLCRSQVHCSPRGSSCFKNLRRLYHNSFAVNQRTSNLTSTGSRKAACYALKTDGEFPTVPISSEGEMLPDIDQDNAIVTSMLQSDAVALGTIAADMAAVTDDFSIDNGELDLDCPTEGFSSISEAIEDIRQGKFVIVVDDEDRENEGDLIMAAPLVNPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVSNKENEEKLCTAFTVSVDAKEGTTTGVSASDRAKTVMMLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVGVLCEIVDEDDGSMARLPKLREFAKKENLKIITIADLIRYRRKRDKLVERASVARLPLKWGSVQAYCYRSLLDGMEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSARCDCGDQLALAMEMIERAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYGGLKGYGLSIAGRVPLLTPITKENKRYLETKRAKMGHIYGSAEFNGHLTSFIQRNGTGKQHQAI >Ma04_p35160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34062033:34066323:-1 gene:Ma04_g35160 transcript:Ma04_t35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVTYWQALILSSILGWVVASSLFGLTRRVRAMTQPWVTRRVLADTPSILRLQRLHHWSLDNMFSVLSCVVSVPFYTGFLPILFWSGHGKLARQMTLLMAFCDYVGNSIKDLVSAPRPSSPPVRRVTATKDEKENAMEYGLPSSHCLNTVCLSGYLLHYVLIYYPQREGIAVAAMFGLVFLLVMLIGVGRVYLGMHSLIDVMAGVGFGLVILSFWLMVHGYVDEFIISGQNVTSFWASLSFLLFFAYPNPEFATPSFEYHTAFNGVAFGIVSGIQQTYTLFHHDNVPRVFSHQLPVAVFLGRILIGIPTILIVKFCSKAIAKWLLHILCNTLGIPIVSSCYVPTTLKGSDTKDKPENKQSVYLQKLMVIFPQKAYDVDTGIRFLQYAGLAWSVVDLVPLLFSYLDL >Ma04_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23659851:23665193:-1 gene:Ma04_g20990 transcript:Ma04_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGQRVATMLPYLVKTLRKESIRPSNRTLPSLRRTFSLYDQINLIDTVPEDQLRFQWYGDTGFTVNGVKYEGSLLVVENKLMTWVPKTFSEITPESLSVFKILRPVPEILILGCGRHIQPVSPQLRQFIRSTGMKLEAIDSRNASSTYNILSEEGRPVAAALLPYGVAS >Ma04_p20990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23660475:23665193:-1 gene:Ma04_g20990 transcript:Ma04_t20990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGQRVATMLPYLVKTLRKESIRPSNRTLPSLRRTFSLYDQINLIDTVPEDQLRFQWYGDTGFTVNGVKYEGSLLVVENKLMTWVPKTFSEITPESLSVFKILRPVPEILILGCGRHIQPVSPQLRQFIRSTGMKLEAIDSRNASSTYNILSEEGRPVAAALLPYGVAS >Ma04_p20990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23660199:23665193:-1 gene:Ma04_g20990 transcript:Ma04_t20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGQRVATMLPYLVKTLRKESIRPSNRTLPSLRRTFSLYDQINLIDTVPEDQLRFQWYGDTGFTVNGVKYEGSLLVVENKLMTWVPKTFSEITPESLSVFKILRPVPEILILGCGRHIQPVSPQLRQFIRSTGMKLEAIDSRNASSTYNILSEEGRPVAAALLPYGVAS >Ma05_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7353068:7354031:-1 gene:Ma05_g10220 transcript:Ma05_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPSDVHGHPHVHCWRAMEGGRASPPRLPELLSCCDQGGNAVQAESETRMRPDASRRHLSYRGIRYRSGKWVSEIREPRKASRIWLGTYPTAEMAAVAYDVAAHALRGTDAVLNFPDEIASRPAPASASPNHIRAAAAEAAASLVPKPAAAAASHQHPGSYIDEEEIFDMPQLLVNMAEGMLMSPPRLSPDRSDDPPELSEGESLWSYP >Ma06_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6101026:6105247:-1 gene:Ma06_g08650 transcript:Ma06_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQSGRRKTREPKEENVTLGPAVREGEQVFGVAHIFASFNDTFIVAHLSGRETLVRIIGVMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARFGMKIGRIEDVTPIPTDSSTSRKGGRRGRL >Ma10_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33006453:33007707:1 gene:Ma10_g24370 transcript:Ma10_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLPLFETCHLRDRLFFSSSVDAAAVTAGGTMSPDPHFMVEYLVNSCGFSPSEAVKFSIPLALLRSTEKPDAVLNFMRSQGFDGAAIRKIISLKPTYLCYNVETNLAPKFQFLRDLGLSELDIVDVILKNNGILHYNVHRSFVPKLEMWESLLGSRELVLKHLKKTGWLFFSSVEKTLHPNLKFLRDECGIPEERLSVVLRSRPQLITNKPKSLRALVARADELGMPRQSRMFVWTLDVLQMLRKERFEAKFELMRSFGWSESEFSSAVRKAPTFLRMSLDMMRRKMEFFINAVGYTPSLIASQPTILLYSLQKRVIPRFHVTEMLKSKGLWTGQCKFAWILIMSETKFMEKFVLPHKENVPELLDIMRVAGACKRNDTLHLASEDEKGLN >Ma05_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36543819:36547195:-1 gene:Ma05_g24280 transcript:Ma05_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSWLPSRSTRRRLLQIFACRFGWTRREGEKTVKASSAEMCPTTKKPADTAGQRGGEPPPPEPARAAEDPCYDWRQEAIEGGSLRHVDLDTGTNGWASPPGDLFHLRGLNYFARRQKCPSGEWLLEPAGVDWLRSASRLDDVLGRPDNRVAAALRRTQALGGARKAFLFAVNLQVPGRECHSAVFYFAAVEPIPPGSLFYRFVHGDDAFRNSRFKIVNRIVKGPWIVRAAVGNHAACLLGKALTCNYHRGENYLEIDVDIGSSAIANAILHLALGYVTAVTIDMGFLVEAQAEEELPERLLGAVRVAQMEMSSATYVETKPKATEAGKTGFWGPAKVNHHHQHHPDRCSGAKPSRSGVGKDGDDEGRTTTWSFLWSMLLHLLSAGFGCHFVPHLIQEYHSLLKSALLNVLA >Ma05_p24280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36543831:36547195:-1 gene:Ma05_g24280 transcript:Ma05_t24280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSWLPSRSTRRRLLQIFACRFGWTRREGEKTVKASSAEMCPTTKKPADTAGQRGGEPPPPEPARAAEDPCYDWRQEAIEGGSLRHVDLDTGTNGWASPPGDLFHLRGLNYFARRQKCPSGEWLLEPAGVDWLRSASRLDDVLGRPDNRVAAALRRTQALGGARKAFLFAVNLQVPGRECHSAVFYFAAVEPIPPGSLFYRFVHGDDAFRNSRFKIVNRIVKGPWIVRAAVGNHAACLLGKALTCNYHRGENYLEIDVDIGSSAIANAILHLALGYVTAVTIDMGFLVEAQAEEELPERLLGAVRVAQMEMSSATYVETKPKATEAGKTGFWGPAKVNHHHQHHPDRCSGAKPSRSGVGKDGDDEDNFPP >Ma10_p31410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37570109:37570387:-1 gene:Ma10_g31410 transcript:Ma10_t31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSMRLILKGCLSNSASTLPNRPFSCYPLQHHIRDCPRILFPYAYSSISASFMNQGITSEGHDLFLSSPTVFQSPTGQKGPLMYYSRILH >Ma03_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14678009:14679255:1 gene:Ma03_g14860 transcript:Ma03_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNSNQVAGIPFKSLQTVSESRRLCLHSTSSRCSRYKQKKLDLVIDWMSKFSKKADGYAKSIRDHVSLGTNFSETLKGKFSLGAKILKAGGVECVFRKKFCVEKGEKLLKAFQCYLSTTAGPIAGLLFVSNKKLAFHSDRSLRLISSKGNVTRVPYKVLIPVNRVKSVSPSESYDKPNQKFIQIVTVDGFEFWFMGFLSYQRCFKCLRRAMSESQVGVLQLTHSIEV >Ma02_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18314949:18320384:-1 gene:Ma02_g07790 transcript:Ma02_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELKRVFQMFDRNGDGSITKNELQDSLKNLGIHIPEEELAAMIEKIDVNGDGCVDVDEFGTLYQTIMGERDEDEDMLEAFNVFDQNGDGFITVEELRSVLSSLGLKQGRTVEECRKMISKVDVDGDGKVNFKEFKQMMKGGGFAALRWEGSSRPEPNSQALRRGRRIPPVRPLPPPRQSSASMGSRSLIERWNLSHLLHCVSPPIPTCHPPLISRSRTILEDFDRGGRITGSLPPRLWSSASPRRWTARIVNGFYAGGFQNIHTSQPLSNTGQVVMDPQKDTQNVVPVNDHSTTKVKRKKLKGRRAVVKWLKFFRWKKKKEYERMTAEEKILYKMRKAKRKEERLVEALKKIEPADSSEPTHDPEILTPEEHFYLLKMGHKCKNYVPVGRRGIFQGVILNMHLHWKKHQTLKVIVKTFTPEEVREIAAELARLSGGIVLDIHEENTIIMYRGKNYAQPPTEIMSPKVTLSRKKALDKSKYKDALRAVRRYIPKLHQDLGDLQEQMKREGESKSKTTEEAVSNAVDNRIISDCQMKSSEVLDVLEDGNSEPVEDDSLMESSSWSETEDLSDMFETDSDMEVMEKSERPLYLDEVEKFPSNIDEEPKDFEEHLRQIAAASKRIDLSTKDVKLADLDAVDKIFLRASSLLKKRR >Ma11_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19642847:19643635:1 gene:Ma11_g14340 transcript:Ma11_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTLEITLVSAKGLKDVNLISKMAVYAVVSLSGKRRGRQLTPPDREGGRNPTWNSTIRLTVPVDVDLARHSIHILLRTKRALRDRDVGEVRVPLSDLLSGACGGPPPVQFVSYQVHRVTSGKPNGVLNFSYKPGECVAAFALVPSASAYPHPFMAHPTAPVMLYPAGTSSAAYTAYGAGLPYPPPVVYQQLPPLSYGHPLVGYGYGYSPASYGYGYGAAPPPVVQPHRKKILGTGLLGGALGGLLVGDMISDAAAYDAGL >Ma09_p18450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17925473:17935526:1 gene:Ma09_g18450 transcript:Ma09_t18450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGSPNTSGNYEAQAVFKPPNAGPSPGSNPRPPFHLPSAYPAPPSSYPTPPLPGAFSYPPATPPFHHHPFLHYPQEPLHRPPAIYSPTAASPQLTNPNPTPNPSPSPNSNPGARLMALLNPPAHLESPVSMPLPSSTPSDFLSPSATATTILHPVPSAPPAALVQPTPVRMPSNKLPRGRLLGSGERVVYDVDLRLPGELQPPQLEVTPITKYISDPGLVLGRQIAVNRNYICYGLKLGAIRVLNINTALRSLLKGHSQRVTDMAFFAEDVHFLASASIDGRIFVWKINEAPDEENKPQITGKIIMAIQIVGQGESRHPRICWHSHKQEILFVGVGNWVLKIDINKVGRGKEFLAEEPLKCHVEKLIDGVQITGKHDGEVTDLSISQWMITRLVSASKDGTVMIWDDRKVVPLAMFKPHDGHPVNSVAFMTSPHCPDHINLITSGPLSREVKVWASAGEEGWLLPTDSESWRCTQTLDLRSSLESRTEEAFFNQIVVLPQPSLIVIANAKKNAIYAVHVDYGPYPSSTRMDYIADFTVAMPILSLTGTHDCLPDGEQVVQVYCVQTQAIQQYALDLIQCLPPPTASAGLERDHLSHVVETRGMEGLAVPEPSCGLSVNDFSTENSSPKTHLTNSSIDRASAASQAVTKVSTVGTSTLELSESSFEVQPSAPPAPSVDVDALHVTPVPPALSVDFAGTLPDLKSPEKSEDTPSIGGCEMDQSISEYSVDRRVDSFIESALDVPMTEGSTLKDESKAGQNDLSMLSNPRLMFKLGGNSTHLVTPAEILSGAISSSESSHANKRSIKEVKAQDMTTCDDIECAELEVKVVGEDKPGLQEFDSQKVPEDFAAEDKEISPQTSIADFRMENECSTVKGTLEETRPGEDNAISQSKKHLPSTFEAKIQDGVKNTTEEVTGSAVMAASQSPLAASGKKQIEKTSQRFSPSSPSSSPFNSTESFNEPGSSTGAPPADAAFSEIPALQETVNQIISMQKEMQKQMSLMVSASVAKEGKRVETTLSRSMEKIIKANLEAVWARIQEENAKYEKFEKDRVQQMTNLITNHVNKDLPTILEKAAKKELSVIGSTVVRAITPIISSAIAESFQKGVGDKSVNQLEKSISSKLEATVARQIQTQFQTSGKQVLEDALRSCLESSVVPAFEHSCKTMSEQVGNVFKKGMSEHTAAALQQLEVANSSLALTLRDAINSASSITQNLTTELIDGQRKLLGLFAAGNTKALDPLAVQQANGPSVGLPEMVGAPLDPTKELSRLISERKYEEAFTMALQRSDVSIVSWLCTQVDLRVICSTGQPLPLSQGVLLALVQQLACDIGHETSRKVGWMTDVAVAINPADPMIALHVRPIFEQVYNMLGHQMAFPTTSASEAASVRLLMHVINSVLTTCK >Ma09_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17925473:17935526:1 gene:Ma09_g18450 transcript:Ma09_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGSPNTSGNYEAQAVFKPPNAGPSPGSNPRPPFHLPSAYPAPPSSYPTPPLPGAFSYPPATPPFHHHPFLHYPQEPLHRPPAIYSPTAASPQLTNPNPTPNPSPSPNSNPGARLMALLNPPAHLESPVSMPLPSSTPSDFLSPSATATTILHPVPSAPPAALVQPTPVRMPSNKLPRGRLLGSGERVVYDVDLRLPGELQPPQLEVTPITKYISDPGLVLGRQIAVNRNYICYGLKLGAIRVLNINTALRSLLKGHSQRVTDMAFFAEDVHFLASASIDGRIFVWKINEAPDEENKPQITGKIIMAIQIVGQGESRHPRICWHSHKQEILFVGVGNWVLKIDINKVGRGKEFLAEEPLKCHVEKLIDGVQITGKHDGEVTDLSISQWMITRLVSASKDGTVMIWDDRKVVPLAMFKPHDGHPVNSVAFMTSPHCPDHINLITSGPLSREVKVWASAGEEGWLLPTDSESWRCTQTLDLRSSLESRTEEAFFNQIVVLPQPSLIVIANAKKNAIYAVHVDYGPYPSSTRMDYIADFTVAMPILSLTGTHDCLPDGEQVVQVYCVQTQAIQQYALDLIQCLPPPTASAGLERDHLSHVVETRGMEGLAVPEPSCGLSVNDFSTENSSPKTHLTNSSIDRASAASQAVTKVSTVGTSTLELSESSFEVQPSAPPAPSVDVDALHVTPVPPALSVDFAGTLPDLKSPEKSEDTPSIGGCEMDQSISEYSVDRRVDSFIESALDVPMTEGSTLKDESKAGQNDLSMLSNPRLMFKLGGNSTHLVTPAEILSGAISSSESSHANKRSIKEVKAQDMTTCDDIECAELEVKVVGEDKPGLQEFDSQKVPEDFAAEDKEISPQTSIADFRMENECSTVKGTLEETRPGEDNAISQSKKHLPSTFEAKIQDGVKNTTEEVTGSAVMAASQSPLAASGKKQIEKTSQRFSPSSPSSSPFNSTESFNEPGSSTGAPPADAAFSEIPALQETVNQIISMQKEMQKQMSLMVSASVAKEGKRVETTLSRSMEKIIKANLEAVWARIQEENAKYEKFEKDRVQQMTNLITNHVNKDLPTILEKAAKKELSVIGSTVVRAITPIISSAIAESFQKGVGDKSVNQLEKSISSKLEATVARQIQTQFQTSGKQVLEDALRSCLESSVVPAFEHSCKTMSEQVGNVFKKGMSEHTAAALQQLEVANSSLALTLRDAINSASSITQNLTTELIDGQRKLLGLFAAGNTKALDPLAVQQANGPSVGLPEMALSIQQVGAPLDPTKELSRLISERKYEEAFTMALQRSDVSIVSWLCTQVDLRVICSTGQPLPLSQGVLLALVQQLACDIGHETSRKVGWMTDVAVAINPADPMIALHVRPIFEQVYNMLGHQMAFPTTSASEAASVRLLMHVINSVLTTCK >Ma09_p18450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17925473:17935526:1 gene:Ma09_g18450 transcript:Ma09_t18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGSPNTSGNYEAQAVFKPPNAGPSPGSNPRPPFHLPSAYPAPPSSYPTPPLPGAFSYPPATPPFHHHPFLHYPQEPLHRPPAIYSPTAASPQLTNPNPTPNPSPSPNSNPGARLMALLNPPAHLESPVSMPLPSSTPSDFLSPSATATTILHPVPSAPPAALVQPTPVRMPSNKLPRGRLLGSGERVVYDVDLRLPGELQPPQLEVTPITKYISDPGLVLGRQIAVNRNYICYGLKLGAIRVLNINTALRSLLKGHSQRVTDMAFFAEDVHFLASASIDGRIFVWKINEAPDEENKPQITGKIIMAIQIVGQGESRHPRICWHSHKQEILFVGVGNWVLKIDINKVGRGKEFLAEEPLKCHVEKLIDGVQITGKHDGEVTDLSISQWMITRLVSASKDGTVMIWDDRKVVPLAMFKPHDGHPVNSVAFMTSPHCPDHINLITSGPLSREVKVWASAGEEGWLLPTDSESWRCTQTLDLRSSLESRTEEAFFNQIVVLPQPSLIVIANAKKNAIYAVHVDYGPYPSSTRMDYIADFTVAMPILSLTGTHDCLPDGEQVVQVYCVQTQAIQQYALDLIQCLPPPTASAGLERDHLSHVVETRGMEGLAVPEPSCGLSVNDFSTENSSPKTHLTNSSIDRASAASQAVTKVSTVGTSTLELSESSFEVQPSAPPAPSVDVDALHVTPVPPALSVDFAGTLPDLKSPEKSEDTPSIGGCEMDQSISEYSVDRRVDSFIESALDVPMTEGSTLKDESKAGQNDLSMLSNPRLMFKLGGNSTHLVTPAEILSGAISSSESSHANKRSIKEVKAQDMTTCDDIECAELEVKVVGEDKPGLQEFDSQKVPEDFAAEDKEISPQTSIADFRMENECSTVKGTLEETRPGEDNAISQSKKHLPSTFEAKIQDGVKNTTEEVTGSAVMAASQSPLAASGKKQIEKTSQRFSPSSPSSSPFNSTESFNEPGSSTGAPPADAAFSEIPALQETVNQIISMQKEMQKQMSLMVSASVAKEGKRVETTLSRSMEKIIKANLEAVWARIQEENAKYEKFEKDRVQQMTNLITNHVNKDLPTILEKAAKKELSVIGSTVVRAITPIISSAIAESFQKGVGDKSVNQLEKSISSKLEATVARQIQTQFQTSGKQVLEDALRSCLESSVVPAFEHSCKTMSEQVGNVFKKGMSEHTAAALQQLEVANSSLALTLRDAINSASSITQNLTTELIDGQRKLLGLFAAGNTKALDPLAVQQANGPSVGLPEMQVGAPLDPTKELSRLISERKYEEAFTMALQRSDVSIVSWLCTQVDLRVICSTGQPLPLSQGVLLALVQQLACDIGHETSRKVGWMTDVAVAINPADPMIALHVRPIFEQVYNMLGHQMAFPTTSASEAASVRLLMHVINSVLTTCK >Ma04_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23533255:23538375:1 gene:Ma04_g20820 transcript:Ma04_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGHGNCLSWAARNVTGTLSPYRFDRRSVGADDISLKIKYCGVCFADVVWTRNKHGDSMYPLVPGHEIVGVVTATGSNVKGFKVGDHIGVGTYVNSCRNCEYCDDFLEVFCSKGPTLTFNGLDSDGTVTKGGYSSFIVVHERYCYKIPDGYPLDKAAPLLCAGITVYSPMMRHKMNQPGKSLGVIGLGGLGHMAVMFGKAFGLKVTVFSTSESKKEEALNLLRADNFVISSDKQQMMSLMKSLDYIIDTASGDHPFDPYMSLLKVGGVLVLVGFPSEVRLLPISLNMGAKSVSGSMTGGTKETQEMLNFCATNQIYPEVEVINIQYINEALERLIKRDVKYRFVIDIANSLD >Ma11_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4223447:4223827:-1 gene:Ma11_g05500 transcript:Ma11_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLMPVSCWKKGMRTAMVRCSIVFDSSLAATMSSYYSSTSSVPRILRSIALAVSSCPRSIRELRAKGDDGGRHSGEGQADSPPPMRLAATMPMMIMSWEPILSIPRNNVLSF >Ma02_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29212159:29213711:-1 gene:Ma02_g24740 transcript:Ma02_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKDRTRQGPPACPLDGGGPSIQELRLPSSLELFGYLGDGSTLLRDGERSSDSHGSYSSSLLSFHSDPRNSDSCAYNMLGAVISPPQESLSVVASQQSWGCFDTSVLSFDGHLNLDHEEECAAWIDAMDRSYQPNHLDIKRGTADSRLIQEKDCFEVGSGNKRRGQDRFGFIYSGAAAFDDLQESIGQTTVLQKRSSTNSCDVQSPKKQCGTPRRTKDKKSTSPSKDPQQSIAAKNRRERISERLKILQDLVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDELWPGQGGKPPDVGQVKEAIDAILSSHGDRNSQLRL >Ma04_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6173630:6174539:-1 gene:Ma04_g08660 transcript:Ma04_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTPPKLSLYWLPSQRPEPPGMATPPPGLPVSVPFLWEESPGKPRKQPTLGAGAIRSLDLPPRLVVAAEIKFDKTTLSPTTVLDGPERSSRAMPLGSCYSCSFGEGRTVVNMGGRKKEGVAWFWRRGSARKVTREGNSWEMSLEGLTEKGIACSSMPSSSSSFSSSCKLGGDYENEVVEEGKVRITRLRRNRSIASTSTSHLWGSIYSSLKKVLPMAGRRERKV >Ma07_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3308435:3311723:1 gene:Ma07_g04450 transcript:Ma07_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGTLEVLLVSAKGLEDVDFFGKMDPYAVLTYRSQEQKSSTASGAGSNPEWNETFVFNVSDNVSELIVKIMDSDTFSKDDFVGEAKIPLEAVFAEGSLPPTIYSVVKDQRYCGEIKVGLTFTPVETRGFDEEAFGGWKHSAH >Ma05_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:644385:647782:1 gene:Ma05_g01090 transcript:Ma05_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLRRPLEASSNASSSMMSEISRNLKPGLPFIPCDSTNICYGARQEQSFSEFPPPQVTQCHGLYAREQKTETKNQLEPSSAVNDLVMDWNPRLMLSNLSFLEQKIHQVQDIVRSIISQEGRANELAAQQQLVTADLTYIIIQLISTAGTLLPNIKNALLSATPPVAQTGVVANLPVNASQKHHEVLSVELAKASEYDELIKDLNSGGEGDELIKCLNNSVAEVSESIPIEDHDIKDYDDGMDGENLPPGSYEVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPSKEASSEPAIIRRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCKTKKFSVIADLKTHEKHCGRDKWICSCGTTFSRKDKLFGHVALFQGHTPAIPMDDAKVSGMSDHVQVGETTNGMVANMGYNFSGNASDDAQSLDIKDVDDGQGYFSPMNFDACNFGGLDEFPRSAYDVSESPFSFLSTGTCSFIPRNGEN >Ma05_p01090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:644377:647782:1 gene:Ma05_g01090 transcript:Ma05_t01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLRRPLEASSNASSSMMSEISRNLKPGLPFIPCDSTNICYGARQEQSFSEFPPPQVTQCHGLYAREQKTETKNQLEPSSAVNDLVMDWNPRLMLSNLSFLEQKIHQVQDIVRSIISQEGRANELAAQQQLVTADLTYIIIQLISTAGTLLPNIKNALLSATPPVAQTGVVANLPVNASQKHHEVLSVELAKASEYDELIKDLNSGGEGDELIKCLNNSVAEVSESIPIEDHDIKDYDDGMDGENLPPGSYEVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPSKEASSEPAIIRRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCKTKKFSVIADLKTHEKHCGRDKWICSCGTTFSRKDKLFGHVALFQGHTPAIPMDDAKVSGMSDHVQVGETTNGMVANMGYNFSGNASDDAQSLDIKDVDDGQGYFSPMNFDACNFGGLDEFPRSAYDVSESPFSFLSTGTCSFIPRNGEN >Ma04_p24960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26813147:26818948:1 gene:Ma04_g24960 transcript:Ma04_t24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLTRLGKQSLLGHPHFGSGQSHHALRKVETHVGNRLGNFHERFQSSYVGSFARRARDTDGPNDVMLLKELYRSDPERVIRLFESQPSLHSNPSALAEYVKALVKVDRLDQSTLFKTLQRGVSNSAMEESINSISSVPALKNVGQSTKDGILGTASAPIHMVTAETSNFKEQLWRTFRTIAVSFLVISGVGALIEDRGLSKGLGLHEEVQPSMDSSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEASVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMADLEYAKDKIMMGSERKSAVISDESRRLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISKKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLQQATSVARAMVTKYGMSKQVGLVSHNYDDNGKSMSTETRLLIEKEMKDFLERAYNNAKTILTTHNKELHALANALLEHETLSGAQIKNLLAQVNSQQQQPQVVVAPQVNTPAVPPTPPSAAATAAAAAAAAAAQAAAKAKGVAQPAVGT >Ma04_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26813147:26818948:1 gene:Ma04_g24960 transcript:Ma04_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLTRLGKQSLLGHPHFGSGQSHHALRKVETHVGNRLGNFHERFQSSYVGSFARRARDTDGPNDVMLLKELYRSDPERVIRLFESQPSLHSNPSALAEYVKALVKVDRLDQSTLFKTLQRGVSNSAMEESINSISSVPALKNVGQSTKDGILGTASAPIHMVTAETSNFKEQLWRTFRTIAVSFLVISGVGALIEDRGLSKAGLGLHEEVQPSMDSSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEASVPFFSCSGSEFEEMFVGVGARRVRDLFTAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMADLEYAKDKIMMGSERKSAVISDESRRLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISKKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLQQATSVARAMVTKYGMSKQVGLVSHNYDDNGKSMSTETRLLIEKEMKDFLERAYNNAKTILTTHNKELHALANALLEHETLSGAQIKNLLAQVNSQQQQPQVVVAPQVNTPAVPPTPPSAAATAAAAAAAAAAQAAAKAKGVAQPAVGT >Ma05_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31179786:31182784:1 gene:Ma05_g20070 transcript:Ma05_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSEATSSGCGLDANAPLLPSVAGSDYHGRRLSSQPKTFANVFIAIVGAGVLGLPYAFRRTGWAAGAILLLAVAALSFHCMMLLVRTRRQLNLEHSAKIASFGDLGLAVAGPLGRLAVDAMIVLSQAGFCIGYLIFISNSFTHLLPASLTFLPFLSSNAIYVLTMLPFQLGLNSIQTLTLLAPLSIFADVVDIGAMGVVIAEDVSIMLSSPPPLNAFSGPSVLLYGIGVAVYAFEGVGMVIPLEAEAADKPKFGRTLGLSMAFIALLYGLFGVLGYAAFGEETRDIITTNLGVAERWVCGKRYCWWLRWAVVVAVSLVATLVPNFADFLSLVGSSVCVVLGFVLPALFHLKVFGSELGWAGATVDIAILIIGLTLAISGTWSSLMTIFNSVQLDRVLIRNGSIGIKLNQLFPLSRS >Ma07_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21686872:21690161:-1 gene:Ma07_g17690 transcript:Ma07_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVKNFRRRSEADDANGEEKSAPSPAATKSQTLIQTKSKTSKPEAPKRLSFADDEEEENDDRPATVRRPARTPASSSATFHKHTSAKDRSKASHLAPPIPSNVQPQVGEYTKERLLELQKNARPLGSTSRPQRPQAAAEPKSKKPDPPAEPVIVLKGLLKPASTTVVPGRDSEKDVVPKRQEEDEEEEEEEEDDHNGGPLTGMRLPVIPDQATIEAIRAKRQQLQQPRHAAPDYISLDGGMPSSHPSAGASSDEEDNDFQERIALFGDKVDDGSKKGVFETIGQRINAAEVRLVDGGLSKVHDNVDDDEDEEERKWEEEQFRKGLGRRIDEASTQRVNYSIPTIPAIQPLPTAYTGVTHQPSVSSMSTSFGVSRSAEVMSISQQAEVATRALQESISKLKESHRMTMNSLVKTDTNITEALSEITNLEKSLKAADDKYVFMQQFRDFISVMCDFLNDKAYFIEELEEQMQKLHEKRALAVVERRAADISDDSNEVEAAVNAAIAVLNKGSGSAYIAAATAAAQAANAAAQESADLPVELDEFGRDINLKLRMDFARRAESRKRRKAWAESKRITYIGKDNVSQQIEGELSTDESDSESDAYISSRNELLQTAEEIFSDASEEYSNLTTVKERFERWKSHYLSSYRDAYVSLSIPSLFSPYVRLELLKWDPLYDATDFFDMEWHRLLFDYGLPGKGQDFEPNDADANLIPEIVEKVALPILHHEIAHCWDILSTQRTKNAVFATNMVISYVPASSKPLRELLTVVHNHLNEAISSLNLPVWSSVVTKVVPGAAQIVAYRFGTSVRLLRNICLWKNILSMPVLENLALEELLGGKLLPHVKSIMPNIHDAIMRTERIVASLVGVWSGSEVTSRPSQKLQPLVDCIAELGGKLEKRHASGVSEEETCGLARRLKNMLVSLNEYDKARAILRIFQLKEAL >Ma07_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4800720:4802961:-1 gene:Ma07_g06710 transcript:Ma07_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALILGLAAAIAALILCGSLAFIAYLKFFRAGVLSSSPTSDVELGADEGQAADVSKLAISPQKEADVRRHRWQEVEALLGDRAAAAVIGEGGFSTVYLARLPDSTLAALKVYAGGGGELPRRAFRQELDVLLRLRHPRIVRLLAYSDDREEDDDEGVLMLEYVPNGTLHEKLHGGGSTLPWAARTRVAYEVAGAVEYLHDGGGSSLPIVHGDLTTANVLLHVDLGPKLCDFGSARVGFSAAVRPAAPVVGSPGYTDPHYLRTGIASKKTDVYSFGVLLLELLTGRPAVGSEGGMTLAAAMAPRLRGAGSGVAEVVDPRLGGEYDEAEAAAMAAIAASCVGEQPTLRPSMTEIRSIMREAVGSSVMSTFDGGSDGQNKETEE >Ma03_p32600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34326596:34328105:1 gene:Ma03_g32600 transcript:Ma03_t32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSEGTTAQLPPKVPNTMSNNWPYFAHHPAPAAALAPHHFFPAHPPAWADEFLDFSATRRNHHRRSASDSVAFLEAPLVEESGGFDRLDDEQLMSMFSDEMPAPPSSSSDQDSMNEDKPAAAGQMEAQSMCKTEPEAASGPTAAQAGAESESVVDPKRVKRILANRQSAQRSRVRKLQYISELERSVSSLQTEVSALSPRVAFLDHQRSLLTMGNSHLKQRIAALAQDKIFKDAHREALKKEIERLRRIYDQQNLDKLAASDAEPAARTEKELLS >Ma02_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17016055:17017613:-1 gene:Ma02_g05850 transcript:Ma02_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRRGRVVKSGLRSVLKLVNSVIGFAGMGMILYSRWMIRSWYDHIRVSSDSTPPWFATFGADALQ >Ma02_p05850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17016021:17017613:-1 gene:Ma02_g05850 transcript:Ma02_t05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRRGRVVKSGLRSVLKLVNSVIGFAGMGMILYSRWMIRSWFATFGADALQ >Ma05_p31570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41435726:41439923:1 gene:Ma05_g31570 transcript:Ma05_t31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATATMRRGLDEEGTELLLVESGERERDRERSWRLNFDGLRLSERREEPPPRRLHDCLGALGTGDVVAEYYQQQVEMLEGFNEMDALTERGFLPGMSKEERERVAKSEKIAIRLSNVANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFKMQSRNPYQYPIGKRRMQPLGILVFASVMATLGLQIILESVRSLLSVEKEFSLTKQQEAWVVDIMLSVTLVKLGLVVYCRSFTNEIVKAYAQDHFFDVVTNVIGLAAALLANYIANWIDPIGAIILAIYTIRTWSVTVLENVNSLVGLSAAPEYLQKITYLCWNHDKAIRHIDTVRAYTFGSHYFVEVDIVLPSEMPLREAHDIGEALQEKLEQLPEIERAFVHLDYEFTHKPEHAQAHDM >Ma11_p23670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26916430:26944772:-1 gene:Ma11_g23670 transcript:Ma11_t23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGKTSNSSSSLRVKVYRLNDEGNWDDQGTGHVRIDYLEGTEDLGLIVVDEEEDDFLLKHSVSSNDIYTRQEDTIISWKDPEAEAELALSFQEAPGCCYIWDQICEIQRNLHFSSLGDLEVGPRPAMEDLEATSSLLSNDESLRTVNSELRDLPSISLSSLPLILKTVMECGIMDQMRVGELILKDQDFFSKLVDLFRMCEDLENMDGLYMIFKLVKGIFLLNNPQIFDRIFVEEYILDIIGSLEYDPEVPQVQQHRAFLKEHVVFKEAIPIKDSSVLSKIHQTYRIGYLKDVILPRVLDDAVMASLNTMIHANNGVVISLLKDDASFIQEIFARMRSTSTSVESKRDLVLFLHEFCSLSKSLQQVQQLRLFRDLAAEGLFDIITDVLQSQDKKLVSAGTEILILFLNQDPNLVRSYVIQQEGNSLLGLLVKGIVTDIGEDMHCQFLEVIRILVDSYTTSGSQRDTIVEIFYETHLPQLVDVIASACPPQNTSQSIAKSSATITLTKPEILSNICELLCFCVIHHPYRTRCSFLVNNAIEKVLCLTHRREKFLVVAAIRFMRTIVSYKDEHLLRHIVKNNLLKPIIEAFIENGDRYNMLHSGVLELLEYIRKENVKVLVLYVVDSFWDQLLEFQHLGTVQALKVKYEQLLENPENKNATITVDPRRKTEDRALEKEEEDYFNEDSDEEDSVAHSSHVRNQNVRSKLPNGTKDHPSSRSGSVGLVDYDDDDDDDYNPPSKKSEASAEDEDGVAFSRIKRKSTTSPDCKDEKPELVKKPKMDLRVNDAKAAIFAASSTCSDSNTDTRQSSGEDCPSAPVNNSSSAGVVNG >Ma11_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26916430:26944772:-1 gene:Ma11_g23670 transcript:Ma11_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGKTSNSSSSLRVKVYRLNDEGNWDDQGTGHVRIDYLEGTEDLGLIVVDEEEDDFLLKHSVSSNDIYTRQEDTIISWKDPEAEAELALSFQEAPGCCYIWDQICEIQRNLHFSSLGDLEVGPRPAMEDLEATSSLLSNDESLRTVNSELRDLPSISLSSLPLILKTVMECGIMDQMRVGELILKDQDFFSKLVDLFRMCEDLENMDGLYMIFKLVKGIFLLNNPQIFDRIFVEEYILDIIGSLEYDPEVPQVQQHRAFLKEHVVFKEAIPIKDSSVLSKIHQTYRIGYLKDVILPRVLDDAVMASLNTMIHANNGVVISLLKDDASFIQEIFARMRSTSTSVESKRDLVLFLHEFCSLSKSLQQVQQLRLFRDLAAEGLFDIITDVLQSQDKKLVSAGTEILILFLNQDPNLVRSYVIQQEGNSLLGLLVKGIVTDIGEDMHCQFLEVIRILVDSYTTSGSQRDTIVEIFYETHLPQLVDVIASACPPQNTSQSIAKSSATITLTKPEILSNICELLCFCVIHHPYRTRCSFLVNNAIEKVLCLTHRREKFLVVAAIRFMRTIVSYKDEHLLRHIVKNNLLKPIIEAFIENGDRYNMLHSGVLELLEYIRKENVKVLVLYVVDSFWDQLLEFQHLGTVQALKVKYEQLLENPENKNATITVDPRRKTEDRALEKEEEDYFNEDSDEEDSVAHSSHVRNQNVRSKLPNGTKDHPSSRSGSVGLVDYDDDDDDDYNPPSKKSEASAEDEDGVAFSRIKRKSTTSPDCKDEKPELVKKPKMDLRVNDAKAAIFAASSTCSDSNTAVDTRQSSGEDCPSAPVNNSSSAGVVNG >Ma03_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11114349:11114882:1 gene:Ma03_g13970 transcript:Ma03_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSICILLAVTVLHHHLLPGVEASVEKACRDAANSSPNVKYDFCVAALRSYPGSQSADKKGLAVIAASLTKKKATSVNAKVKSLLVKASDKKTKQCLESCESVYEDVLSDLDASIPAIKEGRLGDAKTYLSAGVDAPITCEEAFQEMKVPSPLTKEDSDLRKICILALAFTNMLG >Ma02_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:1559795:1560121:-1 gene:Ma02_g00160 transcript:Ma02_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFRNGVVRLIENPANEQQSTVRRKVLLHTPTNEVITSYASLERKLIGLGWERYYEEPDLLQFHKRSSIDLISLPKEFSRFKSMHMYDIVVKNREAFKVVDMAK >Ma10_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15966924:15971011:1 gene:Ma10_g05240 transcript:Ma10_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPRAIVEDDYETEQQKQAAADVLYQYSQFVMVCIGEGVRPTDLRLHLMKELSGMPTSLKKEPLPDSPSSTGEPSSSGSMKRDKSHSS >Ma02_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22347592:22350868:-1 gene:Ma02_g14220 transcript:Ma02_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGIMPSDTSVGVACDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDDDEEAEDF >Ma03_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26603466:26605105:-1 gene:Ma03_g21640 transcript:Ma03_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTVNFTVLLVLTLTSLCSGTSGPGAYLYPQFYQHSCPRAQDIVRSVVAKAVAMETRMAASLLRLHFHDCFVKGCDASLLLDSSGSIVSEKGSNPNKNSARGFEVIDEIKSALEKECPQTVSCADILALAARDSTVLVGGPNWEVPLGRRDSLGASLSGSNHDIPAPNNTLQTITTKFKRQGLDIVDLVALSGDHTIGLSRCTSFRQRLYNQTGNGVADSTLEEAYAWQLRSRCPRSGGDDNLFSLDRVSPTKFDNNYYKNIVAGKGLLNSDQVLLTQSLETMALVKQYAENTDLFFDHFAKSMVKMGNISPLTGATGEIRKNCRKTN >Ma09_p15260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10554426:10573358:1 gene:Ma09_g15260 transcript:Ma09_t15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSKQWLLIGAGAIFGSLSTAALLKILSRKVGKQQIERHSTDFLMHNGMNGKENPAGEGRTGCQDIHNGLLGFDLEDEVVCEQLTRNIQFFGIEFQQKVSQSYVVVIGLGGVGSHAAGMLLRSGVGRLLLVDFDQVSLSSLNRHAVANRDDVGTPKAICLQKHFAAIFPECIVETRVQLYDPSSEEEILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESTNDPLSRAVRYRLRKDHGIEGGIPVVFSLEKPKVKLLPFKSVSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLSGLYVQTEPVVNLDIDHYRILHNRLLEHEEIIYGSATQVMVDVEEVMYVVKELWHGRSARDQSKDVGRKMWRSVNELMLVRWDKSKPATISNLILLKLNEVDAHESTTIDHIKGEEPEFYSMVTSVLNRTAMDFGL >Ma09_p15260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10554426:10573358:1 gene:Ma09_g15260 transcript:Ma09_t15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSKQWLLIGAGAIFGSLSTAALLKILSRKVGKQQIERHSTDFLMHNGMNGKENPAGEGRTGCQDIHNGLLGFDLEDEVVCEQLTRNIQFFGIEFQQKVSQSYVVVIGLGGVGSHAAGMLLRSGVGRLLLVDFDQVSLSSLNRHAVANRDDVGTPKAICLQKHFAAIFPECIVETRVQLYDPSSEEEILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESTNDPLSRAVRYRLRKDHGIEGGIPVVFSLEKPKVKLLPFKSVSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLSGLYVQTEPVVNLDIDHYRILHNRLLEHEEIIYGSATQVMVDVEEVMYVVKELWHGRSARDQSKDVGRKMWRSVNELMLVRWDKSKPATISNLILLKLNEVDAHESTTIDHIKGEEPEFYSMVTSVLNRTAMDFGL >Ma09_p30860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40770348:40781489:-1 gene:Ma09_g30860 transcript:Ma09_t30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVASLGRLRTHDPSPASSAFRSLSSSSSSSSPSLFRPIASRSHLRSSPVHSRSVGFSRSCSSYIPGSRVGLSTSWRSPIGPRARIRSSAAVIERFDRKMATVATENVFKDVLTSLPKTGGGEYGKYYSLPALNDPRIDRLPYSIRILLESAIRNCDNFQVTKNDVEKIIDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMEFEFQRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNTDGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANKMFVDYTEPQKERVYSSYLELDLADVEPCISGPKRPHDRVPLKEMKADWHSCLDSKVGFKGFAVPKESQEKIVKFDFHGQPAELKHGSLVIAAITSCTNTSNPNVMLGAGLVAKKACELGLQVKPWIKTSLAPGSGVVTKYLLKSGLQEYLNQQGFNIVGYGCTTCIGNSGDLDESVAAAISDNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKDGKSIYFKDIWPSTEEIAQVVQSSVLPEMFKSTYEAITKGNPMWNQLTVPATTLYSWDPNSTYIHEPPYFKDMTMAPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLIDRGVDRRDFNSYGSRRGNDEIMARGTFANIRLVNKLLKGEVGPKTVHIPTGDKLYVFEVAMRYKADGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDAETLGLTGHERYTIDLPSSITDIRPGQDITVVVDNGKSFTCTLRFDTEVELAYFNHGGILPFVIRNLINSQH >Ma03_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17285125:17295286:-1 gene:Ma03_g15810 transcript:Ma03_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYCIPCFLKGDAMYTMGIVELLLECVELSYRSESGSIRLRGDVHNAHGYQFLVQFALKLSSLQKVQVAQSVSSILSHEDKGTNNGSWTPSFDRLGDEEKGDSKVKDLEAIQMLQDIVLKADNVELQAEVLNRMFKVFSCHLDNYKLCQQLQTLPLFILNMAGIPSSLQEIILKILEHAVTVVNCIPELELLSLCCLLQQPVTASLKHAVLAFFVKLLSFDQKFKKVLREVGTKSNFSSFRKHIDNKDIILYSSNLRGSSSGKFSVFEHEGTTAVAWDCIFSLLRRSESNQQSFRSSNGVSIILPFLISDSHRSGVLRLLSYMIIEDALQLCNTAHPGELGALVEILKSGMITNVSGSQYKLQNDAKCDILGAIWRILGAKYSTQGFLVFFSCIYNYLGSVALLLETISPFLEGSSLLLTHVLRIVEVLAAFRLSSSELRVLVRHILLLKLKNSGQLFVDMMEKLIQMEDIRSEGVSVAPFVEMDMSKVGHASIQVSLGGRTWPPAAGYSFVCWFQFGNLLKGEIKESVQVSRNGFSKRNASDGQVLRIFSVGAMNDGNILYAELFLQENDVLTLATSNSCSLSFPGVEMEEGRWLHLAVVHSKPNALAGLFQNSVAYLYVNGKLIHTGKLGYSLSPVGKSLQVTLGTPLSRAKISDLSWKLCCCYLYEEVLTSDSSGKQGTTNSDCSGIVWDLERLTNLSLQISGKKPIFAFDGTSSEALRASGTLSLLNLVDPTSSAASPMGGIPRYGRLSGDIYICNKFMIGDSIRSIGGIPIVLVLVKAAETKEMLHMALGLLACSLQQCPQNVKDMQSLRGYHLLALILHRRMSLFDMHSLDIFFHIAACEASFTEPVRLQAKMGTSFPAGTSPEASIEDLSLPKFSDDICSVDSHGDLDEYSVQKDSISHFSELENTGLSEANSDCIVLSNADMVEHVLLDYTLWVRAPVPIQIALLGFLECMVSMHWYRHHNLTILRHINLVQHLLVTLQRGDVEVVVLEKLVVLLGVILEDGFLASELELAVRFVLMTFDPLELTPRNQIVRETMGRHVIVRNMLLEMLIDLQVTINAEELLEKWHKTVSSKLIAFFLDEAVHPTSMRWIMTLLGVCLSSSPTFSFKFQSSGSYHGLSCVLPSFHDSPEIYYILFCLIFGKAVYPRVPEVCMLDFFSLLPNDGNYGELKFLDLLEILIAMAKATYDRFSMQSMIANQDGNMSHFNGSLVAELVEATTDVAGDLRGEALLHKTYASCLMSGMTGAPIAATSILRFMVDLAKTCPPFSAVCRRTEFLESCVDLYFSCCNGQKSFIAHYKSFIYCALKMAKNLTTVAPEEKNIDVDAKDSQNMFCSEPLENVQSVKISTSTRSFPHEQKTISSGDIQGSPNYPLGDADVMQGSPNYPSGDAEVGGDATNLNPKISVSGEGGETETDDGTQIPIQPTDSLSSASMSVPCSLALSERSNLKDAATPSTSPIPALTSWIGNTSSESDAKAKFTAAPSLRSFSLNEFDSSPDIRTLHESSATSMFFLINPQLLLEIDNSGYGGGPCSAGAAATLDFIAEVLADVVSEQLEATQSVENILESVPLYVDVESTLVFQGLCLSRLMSFLERRLLRDDEEDDKKLDKNCWSVNLDSLCWMIVDHLYMGSFPKPVGVIRTLEFVLSMLQLDNKDGHVEDAVPAGKGILSAAQNLAVDIIKYLLVRHCSALEDLLVSKPNQGHTLDVLHGGLDKYALESVQDLMSTELRSIRQDKYGWVLHAESEWQTQIQQLVHERGIFPILHASVEPEWKLCPIEGPYRMQKHENDAHTSGSESDSCFNLSSNDAQEKGYDGGDHEETLFKEESSKIESLSSAQIGWNDNAEGTKSELGSPRQLSFEVDNMRASEDKQKKELLNNGEYLIRPYLEPSEKIRFRYNSERVVGLDKHDGIFLLGELCLYVIENFYINDSGCICEKLCEDELSVIDQALGVKMDISSNSEFQLKSSSTWSTPAKAFAGGRAWAYNGGAWGKEKVCSSSNLPHPWRMWKLDSIYELLKCDY >Ma05_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8347297:8349165:-1 gene:Ma05_g11480 transcript:Ma05_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRELADRSKYCRFHRQHGHDTEQCREIKRQIEELIHRGHLGQYLRPDKELLLRPEGPIERHIDVIAGGPTSGGDSMTRRKAYARAAPAEVPRQGPEPEVTFPAGASDQSEHDDALVISARIANAQVRRIMVDTGSSADILYFDAFQKLGLSGDNMKPIFSALTGFTGDSISPLEAITLPLTLGAPPRSKTVMTTFLVIDLPTAYNAILGRPTLNKVRAVVSTYYQTVKFPTHAGTGEVTGSPRESKRCYLTVVSLHKRARVEPPLANPREAKKPAPHPEPRGSTIDLPLLESRQDQTVKIRSELPEQKRRQLVGFLQKNTDVFAWSPSDMTGVDPEVAQHHLNISPDARPVKQKPKRQAPDRQLAIREKVGRLLAADFIEEARYPRWLSNDCYPLPKVDQLVDATAGHARLSFMGAFSGYNQIRMAPEDQEHTAFLTYQGAYFYKVMPFGLKNTGATYQRTVNKMFAHQIGRNMEVYVDDMIVKSQEAGDHLADLAEAFATLRKYGMRLNPAKCAFGITSGKFLGFIIYERGIDANPKKVQASINMQSPRTIKDLQRLNGSLIAMSHFLARSGDRSLPFFRALKSPKNFQWTA >Ma06_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5287054:5291296:-1 gene:Ma06_g07440 transcript:Ma06_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPVMVLRVDRDKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLDIDLEELYVHIAWPLYRKYGHAFEAFKVVVTDPDSVLDSLTRVVKEVGADGQEVTKVVPAVTPEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGISVLNNAVKACTEEIELHKGKLTLKEAPRAVSERDDKLLAEHMAKLQSANNEVDGDEGSEEEEDTGMGELDLENSGVSMAD >Ma07_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30370585:30374549:-1 gene:Ma07_g22420 transcript:Ma07_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDKNQVEEGESCEGLEFSENQTERSPDSEKPCMERICENSTTVNSGLKESVDFVPVIRSGEWSDIGGRDRMEDTHVCISDLAKKFGYHSTDESVISFYGVFDGHGGQGAAHFVRDNLPRVIVEDADFPLELEKVVTRSFKQTDTQFARTCDLESSLSSGTTALTAMIFGRSLLVANAGDCRAVLSRLGTAVEMSKDHRPCCINEQTRVKSLGGSINYGYLNDQLEVTRALGDWHLQGMKEIGEPGGPLSAEPELKMVTLTKEDEFLIICSDGIWEVFTSQNAVDFARRQLREHNDVKLCCKELVQEALRRGATDNLTAVMVCFHLELPSKTKVQRTRVHRSISAEGLHYLRSILEG >Ma01_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9377257:9378982:-1 gene:Ma01_g12810 transcript:Ma01_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLVRRRVCTRANYRESDLEEDSLKYLCVAFMCNNE >Ma01_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1729172:1729608:1 gene:Ma01_g02580 transcript:Ma01_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQGLPVKPQQETARHVVRVELKSGVLYWGIMIEGEDNWNCQLWNIICTAKGKCHDWSMFLSDEAKVR >Ma03_p27360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30791795:30793162:1 gene:Ma03_g27360 transcript:Ma03_t27360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASPCYSDMGMEQSFFDQWEWTALDQLGADQLGVGLLRDVEPSPSSESHASFPKKATKTDASWPRILSFGRPESPICHSALYVPTMRPKEEMAVPIPGGSKRDYDAMSGQGSKRANMAARTASHNPEHVVAERKRREKLSQRFIALSAVVPGLKKVRSWIGSSFLLRQRSTRLIDLQISTPWKMDKASVLGDAIEYLKRLEEQVKSLEDQVAKRQAEKAVLVKKSRLCADDDDSSSDEHPCGGPAPQIEARVCDKAVLIKIHCENRKGVLVKALSEIEKLHLSVGNTSVIPFAAASLDITVMTQARSTALLLPTTVVRTNNMNELVIIFLCRWKRSSA >Ma03_p27360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30791795:30793162:1 gene:Ma03_g27360 transcript:Ma03_t27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASPCYSDMGMEQSFFDQWEWTALDQLGADQLGVGLLRDVEPSPSSESHASFPKKATKTDASWPRILSFGRPESPICHSALYVPTMRPKEEMAVPIPGGSKRDYDAMSGQGSKRANMAARTASHNPEHVVAERKRREKLSQRFIALSAVVPGLKKMDKASVLGDAIEYLKRLEEQVKSLEDQVAKRQAEKAVLVKKSRLCADDDDSSSDEHPCGGPAPQIEARVCDKAVLIKIHCENRKGVLVKALSEIEKLHLSVGNTSVIPFAAASLDITVMTQARSTALLLPTTVVRTNNMNELVIIFLCRWKRSSA >Ma03_p27360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30791795:30793799:1 gene:Ma03_g27360 transcript:Ma03_t27360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASPCYSDMGMEQSFFDQWEWTALDQLGADQLGVGLLRDVEPSPSSESHASFPKKATKTDASWPRILSFGRPESPICHSALYVPTMRPKEEMAVPIPGGSKRDYDAMSGQGSKRANMAARTASHNPEHVVAERKRREKLSQRFIALSAVVPGLKKVRSWIGSSFLLRQRSTRLIDLQISTPWKMDKASVLGDAIEYLKRLEEQVKSLEDQVAKRQAEKAVLVKKSRLCADDDDSSSDEHPCGGPAPQIEARVCDKAVLIKIHCENRKGVLVKALSEIEKLHLSVGNTSVIPFAAASLDITVMTQMEEEFCVTAKEVVKKLNSAFRQRSVR >Ma03_p27360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30791795:30793795:1 gene:Ma03_g27360 transcript:Ma03_t27360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASPCYSDMGMEQSFFDQWEWTALDQLGADQLGVGLLRDVEPSPSSESHASFPKKATKTDASWPRILSFGRPESPICHSALYVPTMRPKEEMAVPIPGGSKRDYDAMSGQGSKRANMAARTASHNPEHVVAERKRREKLSQRFIALSAVVPGLKKMDKASVLGDAIEYLKRLEEQVKSLEDQVAKRQAEKAVLVKKSRLCADDDDSSSDEHPCGGPAPQIEARVCDKAVLIKIHCENRKGVLVKALSEIEKLHLSVGNTSVIPFAAASLDITVMTQMEEEFCVTAKEVVKKLNSAFRQRSVR >Ma04_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25586685:25591241:1 gene:Ma04_g23410 transcript:Ma04_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKSWRKNHPHGFVAKPETLPDGSVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPAEYKRRVRQQAKQYPPLV >Ma03_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27434686:27436783:-1 gene:Ma03_g22700 transcript:Ma03_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTQVFCESREDQQTQNPLPWIPICMCTDPTAVYFQYSPSGVHSSPSPHHSMSYFRRYLAGLLAERQKLGPFPQVFPFFCRLLNQEIVRASGLAPNQTFVEHERIEHVTPL >Ma05_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5528504:5532302:1 gene:Ma05_g07600 transcript:Ma05_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSLDYWRKFFRSANSDIFEVMEHAILVAASDYPQEFRSRRHQIVEKLFAVLLPRCYGCDRVAEGEEGDRSVKRDGEKESKVDSSNDGPEDLNRIVSNYSYDEAEALTEEIEEESQIVGEVLRIKEIFANHRDESDSILFESLRRLQLMELSVEVLKATEIGKAVNCLRRHNSKQIRHLVRTLIDGWKVLAEEWVRATAAIADNSPDSINPPMEDEEGLPSPPLDEGFLFASQTTSMQLSEFFDEMDDDGNFRNNGEFEKPWENGGSPENDVPHRKQQPPKQYVIPKEKAETRRQEPSQFITPEGKEVTRRQLPPHSAIVEDKSQMKQQKTVTRPGKPQDPGIGQGRCEGIANRQSKPVILDSGPGRPPKLPHTQNAGRQMNPKHHQNSGALRRKLLVVPQDKTKCSEESSIREKLELAKRKLHEGYQQAENAKKQRTIQVMELHDLPKQVHNRHPVLKSRNQIRSWANGRH >Ma08_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16233628:16234548:-1 gene:Ma08_g15910 transcript:Ma08_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSVIEDTLSKASIHISIILLLNTFLKQSGKASLGVDTHHAIAAESIPVEEVLLFLNMEAEHSVLDMVNRLEGAIFAWKQKISDQIMKKSPIRYLWSLVKENGSQVEKMAVYSERAESLVRLVKIRFPNLPQSFIDATKVQYNKDVGDSIIEAYSRVLVGLAFSILSRIGDILREDDLKKPTTPISNLKF >Ma06_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10047097:10051034:-1 gene:Ma06_g14690 transcript:Ma06_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPKYTFTRLLRKPCGDALALSVHLQKLKPCEWNLGRSSIFPQSQLNQLMGYNTALGGLDMVSRDHCFNMNMMNWTTQKLCLGTASHFV >Ma03_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15897638:15900897:1 gene:Ma03_g15540 transcript:Ma03_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKLVVEVVAARNLMPKDGQGSSSPYVEVEFEHQRRRTRPKHKNLNPVWNEHLLFDVSDPDDLPYRAIDVGVYNDRGAEGRGVGGRNFLGKVRIPASGVPAPGEEAVPQLFPLERRSLFSHIRGEVSLKIYRTAIDSERALTKADGGGGNKQQHAVAPPTTKQIPSAVVTPPPPGKQTPLPARLQDPAPTDVKSVVLAAPEEAYPMPASFGCGRGGRTQDFTLKETRPLLGGDGADRDKASSTYDLVEHMQYLYVRVVRARDLQTGGCEAHAEVKLGNYRGVTRSSTAAGAPLWHWDQVFAFSKDSIHSSVVEVLVRDGGGPTTKDDFIGRLSFDLGELPRRVPPDSTLAPQWYRMEGKAGERAKGELMVSVWFGTQADEAFAEAWHSRAAGVHGDGLGSIKSKVYVAPKLWYLRVSVVEAQDLVVPCAVPGRFPEIFAKGQVGRQVVRTRFAALLPNRAPSNPTWNEDLMFVVAEPFDDLVLVSVEDRVSPGRDEVLGRVAVPLSAIERRLDDKPVASRWIALDRGQGSSRFGSRVHLRLSLDGGYHVLDEAAAHSSDLRSTARQLWIPRVGILELGILGASGLALSKASGTADAFCVAKYGPKWVRTRTVVDSVCPQWNEQYTWEVFDPCTTITIGVFDNGRLGCGTPRVDVCIGKVRIRLSTLETDRVYTHAHPLLVLHLSGARKAGELHLAVRFSCADAASMLHAYARPLLPKMHYVDPLLVGQVERLRFQAVETVAARLCRAEPPLGREVVEYMLDHGSHLWSMRRSKANFFRLVSVLSGLVAVGRSIELLRSWRRPVHSVIFIIAYLLFILLPELILPTSFLTMAVIGLWRYRLRPRHPPHMDTRLSYADAAYVDELDEEFDTFPTSRGPEVVRMRYDRLRSVGGRVQTVVGDMATQGERVQALLSWRDPRATFLFLMFCLMAAVLFYAVPMKVLAGAWGLYVLRPPSFKNRLPSPLMSFFRRLPTKADSLL >Ma05_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6679531:6690518:1 gene:Ma05_g09160 transcript:Ma05_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MDAISMIASTLLAVGLHEFGHAIAAASEGLQIEYIAIFLAVLFPGALVAFNNDWLQNLPHFASLRIYCAGIWHNVTLCAACVLIFLSLPMILHPLYIHGEGPLVLAVPKASPFDGYLSPYDIVVSVDGSNIKSPHEWISMMDEVNSQMLAQTYETRDTQNSQVLNHRKGYCVPDNWTEASSISNSCSDEFAAFVRLPCSDSSLVIESLSGENRLEGKHCLIAKEVVKLKKCWNGWQMNGTDGTICACAEDESCMAPVEIPGMSWVEITYSSPYSAECLKNNRNSSAYDENLNLGPTSCGGTFVYVGDVLSVAHLVHLSAYQPRWPSIIFMAHIPNLLEKLLSCCFHVSASLALVNSLPVYLLDGESILEIILSYISCLNRKHRQKFQQFCLVGGTILSIIFFSRILYFILVVQK >Ma07_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5487529:5490296:-1 gene:Ma07_g07410 transcript:Ma07_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATHSALASSSPAKGRLLLLFFGAPSTRNAAPSSSVLLPISSSLPSSPTRLRSSARPPYDQQLLPNDWELRHRTLLVDSFHRNNGLRALLGEVSGSKGSGPLRLLTRDGDWPDDHFWAVVALLVETGRADDALKVFDSWKKIEMTRTSVANYSRIIKLFCRGNLMSEAMSAFQAMEECGLVPSLAIYNAIIHGFARKKDFDNSNVTFRMMLEAGLLPTPDTYNGLIRAYGSFGLYDEMSKCMKRMESSGCFPDEVTYNTLITEFARSGLTEKMEGVCRVLNSKHMKLQISTLVAMLEAYADLGMLEKMEKAYHRVLRSNGFIKENLIRKLATVYIENYRFAQLEDLGNGISAKSGRTDLVWYIMLLSSACLLSKKGVESLVQEMKLAKVTVNITITNILAHFYLKMKDFRSLDIVFLQAKANKVKPDVMTFGVFFDACKIGYDGTWILEQWIKNGSLEEAVGLKADQLVVTAFGKGFFLKLCEKHYSSLHPKEKEKKRWRYSDMIKLVFRKKSKGKD >Ma03_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9180201:9183335:-1 gene:Ma03_g11820 transcript:Ma03_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVGVANLEEQAEVEVAEGYTMTQFCDKMIEFFMHEKPQTKDWRKFLVFRDDWKKYKENFFNRCQVRADTEDDPVMKQKLVGLARKMKKIDDEIEKHMELLMEIQENPLDIDAVVARRRKEFTSDFFRHLNILQDALDSLNDQDGIARLGARCLSAVRAYDNAIEQLETLDVAQSKFDDILNSPSLDEACEKIKRLAKSKELDSSLILLIYRSWAAAKESTSMRSEVKDIMYHIYMTTKRSLKSIAPPEIKLLKYLLNITDPEERFSALATAFSPGDNHDNKDSNALYTTPKELHKWIKTMLDAYHLNKEETDLMEARRMGDPVVIQRLFILKETIEEEYMKQLSEKEDQEAKE >Ma06_p26620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28446597:28460212:-1 gene:Ma06_g26620 transcript:Ma06_t26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPAENSPKLPVPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNTIYICGTDEYGTATETKAMEENCSPKEICDKYHEIHKEVYKWFDISFDEFGRTSSPQQTEICQSIFHKLLENNWLSEKTMLQLYCDTCQRFLADRLVEGTCPTLDCNYESARGDQCEKCGKLLNPTELIDPKCKVCQRTPHICDTDHLFLELPLLRKKLEDYIDSMSITGSWSQNAIQATQAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTLEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLIGTGEKWTMMKTISVTEYLNYETGKFSKSKGVGVFGNDAKDTNIPTEVWRYYLLTNRPEVSDTLFTWSDLQAKLNSELLNNLGNFVNRVLSFIAKPEGAGYNSIVPDAPDAESHNLTKDLGEKVGKLVDQYLDAMEKVKLKQGLRTAMSISSEGNAYLQESQFWKLYKEDPSSCAIVMKTSAGLVYLLATLLEPFMPSFSIEVLRQLNLSPKTSLSFCNEKGETDDKKKPWNFLPSGHSISKPEPLFKELKDEDVEYFRMRFAGSQADRKVKAEADAKKITEQLKSTNITEANAKKQQCKPEGSPKAKPTETEIPITKLDIRVGLIKKVQKHPDADALYVEEIDVGEESTRTVVSGLVKYIPLEEMQNRKVCVLCNLKPATMRGIKSHAMVLAASSDDHSKVEMVDPPASAAVGERVTFSGYSGEPDNIISAKSKVWEKVQLDMHTDAELVACYKDVPFMTSAGVCKVSSIANGIIS >Ma08_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3960293:3966306:-1 gene:Ma08_g05870 transcript:Ma08_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAGAATAAAAAVVPEVYARDAMIAWFRGEFAAANAIIDALCSHLAQIGGAAEYEAVFAAVHRRRLNWIPVLHMQKYYSIADVAAELRVVAANREVASFASAAEDPTEAKQLVTTPEEDKPVESGGAQAEEEHAASEESVVDGVGIAVEEEAAAEEEPAAAEAVADDGVQVAVVEEAAADEVSSGDSSDHKGTEGGDAKGGSQEEHQEGQISFNEVNICVGHEDCLARPERIKILKGFVAKESVKGHMVNVVKGLKLYEDIFTNSELLTLADYINELRLAGRGGELSGETFIFFNKQMKGNKREIIQLGVPLFQSTTKEAASNIEPIPTALQTVIDHLVQWRLIPESRKPNSCIINFFDEDEHSQPYFKPPHLDNPISTLLLSDTTMAFGRSLVSDHEGNYKGPLTLSINEGSLLVMRGNSADMARHVVCASPNRRIIITFVKVRAASHPTDSPTALQQPTKTMALWQPAQKVATTGVIACGPHAMIPAAWGLALRSPVVMLPPPRAMVMSPNKKAPRGGTGVFLPWTVGPKKYTRHLPPRIQKRRLPSLPSPLEVRA >Ma03_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8144972:8148656:-1 gene:Ma03_g10790 transcript:Ma03_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MVPKGIGSTAEEEMEAGGEESYEVVEQIGRGAFGSAFLVVHKTERKRYVMKRIRLAKQTEKFQRTAYQEMALIASLSNPYIVEYRDGWVEKGSSVCIVTGYCEGGDMADRIKKARGILFSEERVSKWLTQLLLAVDYLHSNRVLHRDLKCSNVFLTRDDDVRLGDFGLAKLLNAQDLASSVVGTPSYMCPEILADIPYGYKSDIWSLGCCMFEIAAHRPAFRAPDMQGLINKINRSSIAPMPAIYSSSLKRLIKCMLRKNPEHRPTAAELLRDPHLQQYLAASWNPSPLYLPIKSNNNGAQEKHGRRRSYRELHGEKVDHGCTEAGEVAPTEQLVRQINAGPSNDILALDLSHDEIKRIDPGSSKISLSRIAAVHVADEREKLSEQNLVKMAAAADTLIKNEEPELEMEAAEPFVGSKAMKSNTESVHREPSASSSNKEEGPKRAVSIPLSQRAKTDADDVVGVAEETSSVSTITLPHADNAQAEWESLNTIQQRANALESLLELCAQLLQQERLEELAGVLRPFGEEAVSSRETAIWLTKSLMSVPKLGSDPRIH >Ma07_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1029660:1030794:1 gene:Ma07_g01340 transcript:Ma07_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERSVPVLVLSAGIADIIEEVFRQKLHRSFENIRVISNRMVFYEKGHLVANKVITWKNEPPSDMAAPIHNRFEAPNRLTMVMLW >Ma11_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2580284:2581055:1 gene:Ma11_g03470 transcript:Ma11_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLSSHVHENQSPDLTQDLKQDGCVQIAIPPRPHEPESMQADPVDYMARAQWLRAVVLGANDGLVSVASLMVGVGAVGQSAKAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVAERERRRQDCGSEEEGSLPNPLLAAVASALAFSLGAVLPLLAGGFIRSWGVRVGAVCAVSSLGLAGFGAAGAVLGGANVPNSVLRLLFGGWLAMLVTYGVLRVFGIVFGMHVSSA >Ma10_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10013244:10032184:1 gene:Ma10_g02940 transcript:Ma10_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.5 [Source:Projected from Arabidopsis thaliana (AT4G31120) UniProtKB/Swiss-Prot;Acc:Q8GWT4] MPLGQRPGDKSESRYCGVETEFHDDMPHLLTESLSGGFDFIVAPLIDPSYRPSSTSNGGSGSLVLPVAASDLILSPSQWSSHVVGKISSWIDLDSDDEKVRLDSEITLKQEIAWASHLSLQACILPPPRRASCGNYAKCVNQILQSTNNMQLWLRVPLEKSEPMDDGSANANSDFSGGRMFDSWEWWNSFRLLCEHHSQLCVVLDILSSLPSNNSIGRWFGEPVRAAVIYTNSFLTNARGYPCLSKRHQKLITEFFNHSVQIVISGGLLHNISGESIKALPANDDDSHLEVMPTRHALRPYLDYIAYLYQRMDPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDIVKYSQYQRAVCKALIDRVPDENASTKIMVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLVKLEGWEDIVTIISSDMRCWNAPEKADILVSELLGSFGDNELSPECLDGAQTFLKADGISIPSSYTSFIQPVTATKLYNDVKSHKDLAHFETAYVVKLHRVARLAPSQPVFTFTHPEYSKKSNERYKKLCFEIPSDSGSAVVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWFPIFFPLRTPLFVPTGSPLEVHFWRCSSASKVWYEWCVTSPTTSPVHNSNGRSYWVGL >Ma06_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7296608:7297353:-1 gene:Ma06_g10540 transcript:Ma06_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGDTSCGWSQIGKSQPEQEQALMNQRKQKRMVSNRESARRSRMRKQKHLEDLTAEVRQLRKENSQILTALSITTQHYAEMEAQNAVLRAQTVELGATLQSLNQILVLRCMHGTDWVSDAFGETSDSLARTWSMVGEKQPICTASSDMFHYC >Ma09_p28080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38921907:38923914:1 gene:Ma09_g28080 transcript:Ma09_t28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAIFGAVSAVLLVAAVIGVVATVVSSNHKTDASEGDGSLTTTSKSVSAFCAKTDYQADCERTIGSAINGSSSPKEVIQASFQAAIDEIQAAFHLSNNVSLKANDPMNKAAFNICRQLLEDADEELNAAFSETHDLQGLARRTDDIKTWLSAVISYQQTCLDGITEPELQSTMKDGLSTATKVTSNAIAIVDELSSLLKSFKIPINITMGSRRLLVDEQGYPSWFSGHDRKLLAAQARGQLTPNVVVAKDGSGKFKTINDAVNAMPKDYSGRYVIYVKAGVYKENVIVGKDKVNVLMYGDGSRKTVVTGSKNYVDGVQTVDTATFAALGQGFIAKSMGFSNTAGAEKHQAVALRVQSDMSAFFNCRMDAYQDTLYVQAHRQFYRNCVVSGTIDFIFGDSSTILQNCLLVMRRPMDNQQNILTAHGRSQAKETTALVIQNCRIVPDKSLFPERLKFRNYLGRPWKAYSRTIVMESTIGDLIQPEGWMPWDGANFLDTLYYAEYNNRGPGAGTSGRVNWPGYHVIGRTEAQKYTVESLIQGSKWIKFSNIRYFGGLKF >Ma01_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6979927:6986479:-1 gene:Ma01_g09740 transcript:Ma01_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTAPQSPHISGMRSASSALVEQEKYLAELLTERQKLSPFMLVLPHSYRLLNQDQTGLEHGSPLISGGLFPNGSTTDMNGWASAFQSERLGILQPSSGNGWVGPQGSSSGLVVKRTIRVDIPVDQYPNYNFVGRLLGPRGNSLKRVEANTGCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEADMPVEIVDARLLQAREILKDMLKPVDESVDFFKKQQLRELAMLNGTLRDEGSHTSGSASPFHNSLGLKRAKTRG >Ma01_p09740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6979927:6986479:-1 gene:Ma01_g09740 transcript:Ma01_t09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTAPQSPHISGMRSASSALVEQEKYLAELLTERQKLSPFMLVLPHSYRLLNQEILHLTTLLENASLLDQTGLEHGSPLISGGLFPNGSTTDMNGWASAFQSERLGILQPSSGNGWVGPQGSSSGLVVKRTIRVDIPVDQYPNYNFVGRLLGPRGNSLKRVEANTGCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEADMPVEIVDARLLQAREILKDMLKPVDESVDFFKKQQLRELAMLNGTLRDEGSHTSGSASPFHNSLGLKRAKTRG >Ma04_p20260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22985562:22989627:1 gene:Ma04_g20260 transcript:Ma04_t20260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAAPPRPPIGTLSSSYSSLRFGGKIGVRVRVSARSSASSSATGEEFLPNARRRKIDPTWCGGGFSLGVDLGASRTGLALGKGYSPRPLIVLELTGQKLELRLLDIAEKEEVDEFIIGLPKSHDGKETAQSNKVRSIAGRLAVRAAERGWRVFLQDEHGTSLDALDYMIDMGLKRSARQGKIDAYSAMLELHEKLRKGPCRDLDF >Ma04_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22985562:22989627:1 gene:Ma04_g20260 transcript:Ma04_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAAPPRPPIGTLSSSYSSLRFGGKIGVRVRVSARSSASSSATGEEFLPNARRRKIDPTWCGGGFSLGVDLGASRTGLALGKGYSPRPLIVLELTGQKLELRLLDIAEKEEVDEFIIGLPKSHDGKETAQSNKVRSIAGRLAVRAAERGWRVFLQDEHGTSLDALDYMIDMGLKRSARQGKIDAYSAMMVLERYFSMSGLGTELVLPKQLELHEKLRKGPCRDLDF >Ma10_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23633062:23637947:-1 gene:Ma10_g09480 transcript:Ma10_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSRKRDDRPKAGKKKPRSFGGDNGFFESDAKRRGRRRGGDDDVESIDSDEDLAGLGAGEEEVGEGAPEETADEKRVRVAKEHLERIRAIAKRVEEEEGEDEDEEEGREEREGKRDSLVAEILQKEQLEESGRIRRLVASRVLSPQPVDEFRWLVKHRQPVTSVALADDDSRGFSASKDGVIMHWDVESGKSEKYLWPSEDVLVSHYAKPLQNSARKRSKNVLALTVSSDGRYLASGGMDRHVHLWDTRTREHLQAFHGHRGPVSCLTFRQGTSQLFSGSFDRTIKLWNAEDRTHMDNLFGHQSEILTIDCLRRERLLTAGRDRTMRLWKVPEESQLVFRAPASSLECCCFINDSEFLSGSDDGSIELWSVMRKKPTHLIKNAHAPSLFSNDFSYKEDDITMSNGGSTENGSHGDENCSSAHAWVSSVAVCRGSDLAASGAANGVVRVWAIDSDSKGISPLLSYPLAGFINSLAFAKSARFLVAGVGQEPRLGRWARVPSARNGVAIHPIKLKEDHTMVS >Ma10_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16793139:16800158:-1 gene:Ma10_g05490 transcript:Ma10_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASRRLRQLQSQPGNKSCVDCAQKNPQWASVSYGVFICLECSGKHRGLGVHISFVRSVTMDSWSEIQLKKMEAGGNDRLNAFLAGYGVSRETDIVPKYNTRAAAVYRERILALAEGRPWTDPPVVKETPDAGAKRPPLVQSGGNGRTLTGNGGCDSWDNHVFQSSSSDVRRNQWTGDSRDPSAGGAHQPARNRSTQDIYTRAQLEASAANKESFFARKMEENGSRPEGIPPSQGGKYVGFGSTPPPPRRNNSQGDVLRDTVSVVSQGLGRLSLVASSAANAVQAGRKELTSKMKESGYDQKVNETMSVVATKTTEIGHKTWGIMKGVMAMASQKVEVYTKEGISWNVDDWPRKESEKSSYYQEFGQDKRGWSSRQEDSNKQYNSISSWDDWDKKERREEPGKGTQRGESWAGWDDVRDDAGHDNLNKGVNQNGKSGSLWTDGGFR >Ma05_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:811117:811645:-1 gene:Ma05_g01380 transcript:Ma05_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSIYLYNAELLKLRVVVWIKFRVCNTLLIEYMEFNKQLPLSVNRNSRIQVRRIIRAHERLRLRGSCFASIVICPLHILFLRFASIGKIILSFSILLLIYRDRNNDKICLRRKSMRLERQTKKTEEDLLQGPPCLWRANR >Ma08_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1313978:1321381:-1 gene:Ma08_g01520 transcript:Ma08_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSHAAAPPPPPTAVGLAVALPPKQDEKAEPKNVDWLNLPCPVPFEEIQREALMSLKPELFEGLKFDFTKGLNQRFSLSHSIFMGSMEVPSQSSDTFKVPTAHYEFGANFLDPKLMLVGRVLTDGRLNARVKCDLTDNLTLKINAQLTNEPHFSQGMFNFDYKGTDYRTQFQIGNNAFYGANYIQSISPHLSLGTEIFWLGHQRKSGIGFAARYNTDKIVVTGQVASTGIVALSYVQKVSEKVSLASDFMYNHLTQDATSSFGYDYILRQCRLRGKLDSNGVVAAFLEERLNMGVNFILSAEVDHRKKDYKFGFGLTVGE >Ma06_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7282129:7282537:-1 gene:Ma06_g10510 transcript:Ma06_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMRDEYGNQMRRPDEYGNQMRRPDEYGNQMGRVDEHGNQMRRVDEYGNPIRQQPHVGVGNTGHGGGMGMGGRGSADDDMATGGGMRRSGASGYDMDSGGGMGRSGASSYEMVGHGRQGPC >Ma10_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25384175:25384477:1 gene:Ma10_g12150 transcript:Ma10_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYPEAGIIQKFIAKEGDTVTQGTKVAVISKSSPGDTCCLIR >Ma05_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31697547:31698985:-1 gene:Ma05_g20130 transcript:Ma05_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAVVFQQDLFGCNMREMHIKGGEIRGVLSQCDVSGAQGGRNLSLPEMGTDSASVVCTGTAAGRRKRRRTRSCKNQEEVENQRMTHIAVERNRRKQMNQYLAVLRSLIPASYLHRGDQASVIGGAINFVKELEQLVQSLEARKRIKQRSNSAPFANFFTFPQYSTRNADEAAAEQQSPVADIEVTMVESHANLKVLAQRRPKQLLKMVVGLHLLHLTTLHLNVTTIDAMVLYSFSLKMEDECHFTCVDEIAAEVHQMVGKIQEEAASDSAVCLCSNHNIM >Ma08_p30800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41940415:41942001:-1 gene:Ma08_g30800 transcript:Ma08_t30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSIAPSSPARPVYYVQSPSRDSHDGEKTATSFHSSPVLSPAHSPPHSHSSVGRHSRDSSSSRFSGSLKPGSRKILPNDGAGRGGRRKSDKAWKECAVIEEEGLLDGEADDKGIPRRCYVLGFVLAFFVLFSFFALILWGASRNQKPQIVMKSIKFENFIIQAGTDASLVPTDMSTLNSTVKFTYRNTGSFFGVHVTSTPLYLNYYQLTMANGDMKSFYQSRKSRRDVNVVVMGNKVPLYGGGPSLSSTGGKTAGPVNMTLSFTIRSRAYVLGKLVKPKFYNNVQCSVLMDQTKLNSPVSLKNSCQYN >Ma11_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2517095:2518861:-1 gene:Ma11_g03400 transcript:Ma11_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLSITHTTRIQAISRENTTLIMETTWVLLSLSLSLCVALAFLLKPNKCKRRLPPGPPAVPLLGNLLWLNHSLTDIEAVLRDLRPRYGPIVTLRIASRTSIFVSDPHLAHKALVEHGAAFADRPTPLPASRFLSNDRHNITTASYGPVWRLLRRNLVSETLHPSRTKLFAGARAWVLGVLTSKLRAEADREAAGVVVMETFQFSMFCLLVLMCFGEKLDEEAVTAIEVATRDLLLFSSQLNAFAFLPRIGKYLLRRKWNTVLELRQRQKDRFLPLIRARREHKMTKQDGNERFVHSYVDSLLDVEIAEEGGRKLTDDELVGLCSEFMNAGTDTTSTALQWIMAELVRHPEVQERLWQEVVAVAGSESEEVREEDLHRMPYLKAVVMEGLRRHPPAHFVLPHAVSEEVTLAGYDIPKGATVNFMVAEMGWDEGVWEEPLEFKPERFLEGGAGHGVDVTGSREIKMMPFGVGRRVCAGLGLAMLHLEYFVANLVKEFEWKAVAGEEVDLSEKTEFTVVMKHPLRALLLPRKKNKY >Ma06_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12460295:12465803:1 gene:Ma06_g18340 transcript:Ma06_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Ma10_p26740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34278670:34281539:1 gene:Ma10_g26740 transcript:Ma10_t26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKIKFDGSNTTEKGLFSNMMYGFSEYSSAGYPPQGHSGYPPPHGYPMGPGSYPPHGYPSHLSGPYPPYPSHGYPLGGVTHMSGPYPPYGYPRPPGPPPPRYHGYGHGSTVGSMLAGGAAGYGAHHLLSSHGHHHHHFPGHGMYHGHPGHHGKFKHGKFKRGKFWKHGKFGGKFKKWK >Ma04_p40140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37060007:37063942:1 gene:Ma04_g40140 transcript:Ma04_t40140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIITKASILCLLAATVVSPSIGGDTLTPGRPLADGGGTLISAGGRFELGFFSPGASNNRYIGIWYHDIAQTVVWVANRKRPVTGRPGTLFIATNGTLIITDDNSTAIWSSSSPPLANPVAQLLDDGNFVVREAGSDSEDPNSFAWQSFDFPTDTHLPGMKLGWNLTSHLNRNLTSWTSASDPAPGNYTAAIDLHGYPQLFIFSGTRKYWRGGSWNGIVFSSVPEAIYASDRFNIVFIIDSQEVMYTNYLRNASMISRLVMNQSGKLQRFVWIEERSSWNLFWFRPKDQCDSMSPCGPNGVCYPSDWPMCHCLKGFRPKDPSNWDLRDGSDGCVRKTALDCRNGTDGFVTLNGAMIPDTSSSVVDWSLSLEQCRARCLRNCSCTAYASANISGSESGCIMWTTDLTDLGVVSSGSGQDLYVRLAAADLGSESGDSRRSRVVVISVVIAMTILVLGCAACCVWKRKKRRKNCLAEGHNEGTGQDLDLPLFDLAAIIDATDDFSIHNKLGEGGYGPVFKGKLGGEQDIAVKRLSKTSMQGLEEFKNEVMLTAKLQHRNLVRLLGCCIQAGERMLIYEYMPNRSLDAFLFDKSEDISLDWQTRRNIIVGIARGLLYLHQDSRFRIIHRDLKASNILLDKDMNPKISDFGMARVFGGDETEANTRRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLLLEIVSGKKNRGVIYHSASHLNLLGYIWSLWKEGKGSELVDGSIGHSCSLAEVLRCITVGLLCVQERPEDRPTMSSVVIMLNSDGELPQPQQPGFVVARAPPETGSSTTNHDSSSTRNSLSVTLLEGR >Ma04_p40140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37060007:37063942:1 gene:Ma04_g40140 transcript:Ma04_t40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIITKASILCLLAATVVSPSIGGDTLTPGRPLADGGGTLISAGGRFELGFFSPGASNNRYIGIWYHDIAQTVVWVANRKRPVTGRPGTLFIATNGTLIITDDNSTAIWSSSSPPLANPVAQLLDDGNFVVREAGSDSEDPNSFAWQSFDFPTDTHLPGMKLGWNLTSHLNRNLTSWTSASDPAPGNYTAAIDLHGYPQLFIFSGTRKYWRGGSWNGIVFSSVPEAIYASDRFNIVFIIDSQEVMYTNYLRNASMISRLVMNQSGKLQRFVWIEERSSWNLFWFRPKDQCDSMSPCGPNGVCYPSDWPMCHCLKGFRPKDPSNWDLRDGSDGCVRKTALDCRNGTDGFVTLNGAMIPDTSSSVVDWSLSLEQCRARCLRNCSCTAYASANISGSESGCIMWTTDLTDLGVVSSGSGQDLYVRLAAADLGSESGDSRRSRVVVISVVIAMTILVLGCAACCVWKRKKRRKNCLAEGHNEGTGQDLDLPLFDLAAIIDATDDFSIHNKLGEGGYGPVFKGKLGGEQDIAVKRLSKTSMQGLEEFKNEVMLTAKLQHRNLVRLLGCCIQAGERMLIYEYMPNRSLDAFLFDKSEDISLDWQTRRNIIVGIARGLLYLHQDSRFRIIHRDLKASNILLDKDMNPKISDFGMARVFGGDETEANTRRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLLLEIVSGKKNRGVIYHSASHLNLLGYIWSLWKEGKGSELVDGSIGHSCSLAEVLRCITVGLLCVQERPEDRPTMSSVVIMLNSDGELPQPQQPGFVVARAPPETGSSTTNHDSSSTRNSLSVTLLEGR >Ma04_p40140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37060007:37063942:1 gene:Ma04_g40140 transcript:Ma04_t40140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIITKASILCLLAATVVSPSIGGDTLTPGRPLADGGGTLISAGGRFELGFFSPGASNNRYIGIWYHDIAQTVVWVANRKRPVTGRPGTLFIATNGTLIITDDNSTAIWSSSSPPLANPVAQLLDDGNFVVREAGSDSEDPNSFAWQSFDFPTDTHLPGMKLGWNLTSHLNRNLTSWTSASDPAPGNYTAAIDLHGYPQLFIFSGTRKYWRGGSWNGIVFSSVPEAIYASDRFNIVFIIDSQEVMYTNYLRNASMISRLVMNQSGKLQRFVWIEERSSWNLFWFRPKDQCDSMSPCGPNGVCYPSDWPMCHCLKGFRPKDPSNWDLRDGSDGCVRKTALDCRNGTDGFVTLNGAMIPDTSSSVVDWSLSLEQCRARCLRNCSCTAYASANISGSESGCIMWTTDLTDLGVVSSGSGQDLYVRLAAADLGSESGDSRRSRVVVISVVIAMTILVLGCAACCVWKRKKRRKNCLAEGHNEGTGQDLDLPLFDLAAIIDATDDFSIHNKLGEGGYGPVFKGKLGGEQDIAVKRLSKTSMQGLEEFKNEVMLTAKLQHRNLVRLLGCCIQAGERMLIYEYMPNRSLDAFLFDKSEDISLDWQTRRNIIVGIARGLLYLHQDSRFRIIHRDLKASNILLDKDMNPKISDFGMARVFGGDETEANTRRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLLLEIVSGKKNRGVIYHSASHLNLLGYIWSLWKEGKGSELVDGSIGHSCSLAEVLRCITVGLLCVQERPEDRPTMSSVVIMLNSDGELPQPQQPGFVVARAPPETGSSTTNHDSSSTRNSLSVTLLEGR >Ma03_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22503338:22520246:-1 gene:Ma03_g17290 transcript:Ma03_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVAAIVFSSRGRLFEFCSSSSMLKIIERYRRCSHHASESMVPTNDTPVTQTCYQEYLKLKTHVGYLQHSQKNLLGEDLDTLNIKELDQLDDQIEMSLRLIRSTKTQVIIDQLTGLKREEQMLLESNKTLRRKLQEVDAENSIRLPSQIRASNCIDEPPQPEKFFEPLVCHPSLQIG >Ma03_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19102296:19111364:-1 gene:Ma03_g16490 transcript:Ma03_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDHHHARPVSGNIKASINGHLCEVADDMIKDLQDLTDSQSTPCTTPSHGGGGGGGVPSQGAPSSQVTTAPPSPTLVPPPGTPITDSNPSPFTCDYWRTHPEAILALFGFWCTLGQLLGTPAAYALGRNPSVLEALSNTRADGIGALYREGTASFLNSLVSRSFVFTARQVRDAFNAAVVSNSAAAAQAELFKRANEGHLVKHH >Ma07_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:675665:676822:1 gene:Ma07_g00830 transcript:Ma07_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMREGRDKGRDVSTRHSECRHYSRGVSFRNPKQQPGFWSSTTTYTTPFSLIFAISNFKPTAPSHPRQLYHHRSRPSPSCIYLPPTEADVEEEEEEEEEGQRRIKMNSKSTVENSLCRSDDLNVELTLGVSPSRHVSNPPSSSSSLLPPPPPTLQQQPHQYSQTNLPPPFPPVLHVPRRYTVIPPPTNPAAAVASSSSASASPRSGGGRTRRNPTQGPRSGKSDSIKPIFPWSTDRRATVHSLSYLLSHDLKEVYGDAQCKRCEARRIIRYDLESKFREVACRIAATRHLMHDRAPAEWMNPTFPDCDACGQPNCMRPVVDGKKRNINWLFMLLGQTLGYCTLEQLKYFCKHTKNHRTGAKDRVLYLTYLGLCKQLDPNGPFDL >Ma06_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9977292:9978341:1 gene:Ma06_g14570 transcript:Ma06_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEPRPSPRRELQGPRPAPLRVSRESRKIKKPPVAPPPHHQYPPEPQPQHDRDPVVIYTVSPKIIHANPSEFMSLVQRLTGPGSDPSAEPSLPSPGGALSPAARIATFEKAASPHASDLYRARTGAVGGFEIEGEATVDRPASFPGVLSPVPASLLPISPTLFSPSFDPSVLGFLLELSPVLANKSNVDGNNRSFMDGGSFLASPSNNLLSTPTVPSPGAFWELLNQFPDL >Ma05_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1681660:1683155:1 gene:Ma05_g02570 transcript:Ma05_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVIAEHLSEDEIADLKEMFKMIDADNSGQITFEELKVGLDRVGATLKESEILCTYAGNVDNSRTIDYGEFIAATLHLNKIKREDHLFAAFSYLDKDGSGYITQDELQQACEEFGVKDVKLEDMIREVDQDNEDGRID >Ma06_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5905389:5908216:1 gene:Ma06_g08330 transcript:Ma06_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSTESSSRDARDYPEQPYSTTSFSRGWSSYPPPPPPPPSQSYDSPPVAYPASRSYYPPPPPPSYDFISGQTGTSSSQATGRQPKAEAKYSRIADDYSSLEQVTEALSRAGLESSNLIVGIDFTKSNEWTGKLSFHGRSLHSIGAATNPYEQAISIIGRTLSAFDEDNMIPCFGFGDATTHDGDVFSFYPGERPCNGFEEALERYREITPHLRLSGPTSFAPMIEMAVTIVEQSGGQYHVLLIIADGQVTRSVDTDLGRLSPQEQRTVDAIVKASECPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTSIMEKGTQKSRKETEFALAALMEIPSQYRATIDLGILGRHSGTSPRRVPLPPPTGSSPAAFPGPKASGSAGPKHSSSSYPHHRTTTTPTATAPVETQACPVCLSNPRNMAFGCGHQTCVDCGPRLSFCPICRSSIQTRIKLY >Ma03_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3943780:3945591:-1 gene:Ma03_g05740 transcript:Ma03_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFRYLFRCLGFFTEVKCDADGPRSPTQRRTEGSTCGDQLRVALAESPRAASIQISDDDGLVMPSVDGEATATERGAATHPGGDLQNHLNNVEREEDARHHLPGDADIILTSGDDGPVMPSVDGEATATERGVATHPGDDLQNHLNNVEREEDARHHLPGDADLIQTSGDDGPVMPSVDGEATATERGVATHPGDDLQNHLNNVEREQDARHHLPGDADLIQTSGDDGPVMPSVDGEATATERGAATHPGGDLQNHLNNVEREQDARHHLPGDADLIQTSGDDGPVMPSVDGEATATERGAATHPGGDLQNHLNNVEREEDARHHLPGDADLIQTSGDDGSVIPVADDGCDSHHRDDAQNLEEVLYHIAIAESLEYQGLPALVDFDGFRGFKLDDHDDEEVARLIALELGQSSNNPPPPAPDAHCSICMEDKYSFECMAIKGCSHTYCACCVSQYVASKVEANEARVGCPDPNCETGFLEPEMCRLILPAKVFDRWGCRLCEEGILGSAKFYCPYGDCSALLIDDGGDAVDHSKCPHCMRMFCAQCRVPWHSGYSCKDYETLCPDKKSRDLMKLATRKRWQRCPNCGFFVERTSGCNYIKCR >Ma05_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28240062:28240511:1 gene:Ma05_g19710 transcript:Ma05_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRPTSSGSESGLANVTVLMSSFFERCQPSGHQAILRNLEHDSANKLNPQDKPGRITVITRMGAENMRVKLPPFDPSS >Ma06_p32430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33373551:33380701:-1 gene:Ma06_g32430 transcript:Ma06_t32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPLSLPAEGRAPREAALRHCLELGVAALKANRPPLDVVELVVRELENSPCFNAGKGSVLTTNGTVEMEACIMDGNTKKCGAVSGLSTVVNAISLARLVMERTPHIYLAFDGAEAFAREQGVETAHSSHFITPENVERLKNAKEAKRVQIDYTEPIKGAIPSSIGDSQIGTVGCVAVDGHGILASATSTGGLVNKMAGRIGDTPIIGAGTYANSYCAVSATGKGESIIQATVARDVAALMEYKGLSLKEAAAYVIERTPKGNVGLVAVSANGEVTMPFNTTGMFRACATEDGYSEIGIWPSGINGDLEYPNK >Ma09_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12922437:12956717:-1 gene:Ma09_g17360 transcript:Ma09_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDSRRWERLTSGRNPSKQKHRLNAEEVLERKLGFDLFTEGDKRLGWLLTFSPSSWEDQETNKIYSCVDLYFVSQDGLTFKVKHKFPPYFYAATKDKMELEVEAYLRRRYERQITDVEIVDKEDLDLKNHLSGLNRSYLKLSFDTVQQLIHVKNDLMHVVERNQSKLDAAEAFESIYIGGRKERPQDLMDCIIDLREYDVPYHVRFAIDNDVRCGLWYDISVFSAGILLEKRSDLLQRAEVHVCAFDIETTKLPLKFPDAEYDLIMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGCFKVRNVKDEGELLKAWFAHMQEAKPGIYVTYNGDFFDWPFLEKRASHHGIKMSEEIGFQCDSNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQMMASYSVSDAVATYFLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYNNRPLESETYIGGHVECLESGVFRSDLPIKFQLDPSAYEQLIGNLDRDLQFAITVEGKMSIESIANYEDVKNAIMEKLIFLRDHPTCEECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVVCTACDFNRPGKNCLRKLEWVWRGETYMAKKSDYYYIRKQIQSELVEFGDGKTKPFLDLPKSEQQLRLKERLKKYCQKAYKRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLADAKASRNQMNIQEAQDMVILYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGRPLELDTDGIWCALPGSFPENFTFKTSDGKKFTISYPCVMLNVDVARNNTNDQYQTLKDPVTRTYTTHSECSIEFEVDGPYKAMILPASKAEGILIKKRYAVFNYDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDIADSELLDYISESSTMSKSLVDYGEQKSCAVTTAKRLAHFLGDAMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDAEIAKYYLRKWCKITSDVGIRSIVDWSYYTLRLSSAIQKIITIPAAMQKVSNPVPRVVHPDWLHKKVCEKEDRFRQRKLVDIFNTAKREQVAQDETIVGDIEEILVKDGARVLPPRPVVHSFEFNREINSRKPSSPVSGMPVDLQEQDLTLFRSNQSLVSSSNNGISSENGDKTIDYQAWLETKKRKWKDSREERKRRRLGSTNISDEPVGTTKFPDSSFKYKHSQRFGVSGFFKKHESSLVRSHWQILQLVPRTQPGHFYAWVIADGTMHKVPINVPRVFYLNSKAPITEEFPGRRVKKILPHSRPNFNLIEVVISEEQFRAESKKLAAHLADPEVEGIYETKVPLEFHAIVQIGCVCQVDKAAKLRNAQDGWNLDELHMKTTAECSYLEQGVTYFYLYHSFSEGRAIYVIYFPTSWTISVVVVNPFQNKELSSAILEKQFREACLALSIEPPTNGSATFKVEYVRSIDVGSKILQRTLVAHRHQHLQPAIGIIECPGFQVLKSRIRILEDFPCVTMSFNACDSNYQALGWQVTAGKISMQRCAASSQWFNERILLSRYAHVPLGNFELDWLLFTADVFFSRALRDKQQVLWISDDGIPDFGGIYEGDTCFADEVNQPAASYPGAYRKVAVELKIHHLAVNALLKSSLVEEMDGGSLFCHESDSHPPAHDNETDYDEASSCAPAFQVVKQLIQRCISDAVASGNVFADAILQHLYRWLCSPMSKLHDPALHRVLNMFMKKVFALLLVEFKKLGATIIFANFSKIIIDTGKIELSAARAYCDCLLRTLQTRELFEWIELEPLHFWHSLLFMDQLNYGGIQAKWPTGLPVEDMEDNSQMNMVSSWNIAEYLPKVTKDHFVLIVSEFLYIPWKYLQKQVLIRTTNMMDDSTSTQSITVTAAETIEACVNEYIKEQISNYFTEKLLNIVCDILRRFKGENKGIKDLHATHVLPCSYGSQGNKGDAALEFIKHVCAVLALDQNVKHDVLRMRKNLLKRIHVKEFSPEAQFRDPCQSFTLPNIICSYCNDCRDLDFCHDSVLLEDNWHCAVPHCGQPYNREQMENALLQVVRQRERLYHLQDLVCDRCRQVKAAHLVEQCACGGSFRCHEDLLAFLGKMQVFLSIAACQKFQLLHDCTSWILQVR >Ma10_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1865651:1869623:-1 gene:Ma10_g00600 transcript:Ma10_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFTRGEGRPRLLDLIPNGREWGSYAAAEEKKLELRLGLPGGEDWSAGQEKREHPVESALSLDHISKVRKSTNVNASSGTKRGFLVQVEPKTEAFQQQQLQQQQLGFLQLQAKGKESLQKTSGSAEQQSLEGKGCGPHAAHASSTKNAAVAHTASQSRAAATPVVGWPPVRSFRMNLAGTAKASAESHNGSSEAAKKLENDRKSLFVKINMDGIPIGRKVDLKAYDSYDKLSLAVDELFRGLMAAQADPLAPSIRKNSEEKHVLIGLLDGSGEYTLVYEDDEGDRMLVGDVPWDMFVSMAKRLRVLKSSDLSASSLRAVGRKRTSNS >Ma07_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6560519:6565002:-1 gene:Ma07_g08770 transcript:Ma07_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSPWLSSPVDASVSYDHKAIIVNGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFGGNYDLVRFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGITFRTDNEPFKAAMAKFTEKIVAMMKSEGLFESQGGPIILSQIENEYGPMENFGGDGAKNYVNWAAQMAVGLNTSVPWVMCKQDDAPDPVINACNGFYCDYFSPNKPYKPTMWTEAWSGWFSAFGAPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATTYDYDAPIDEYGLLRQPKWGHLRDLHKAIKSCEPALVSGDPTVTNVGKYQTAHVYRSKSGACAAFLSNFNRLSSAKVTFNGMEYHIPAWSTSILPDCKTAVFNTAKVGAPTSQINMTRVGGFSWESYGEDTHSLLRDKSFSKDGLVEQISMTRDRTDYLWYTTDVNIDSNEQFLKNGRDPLLTVMSAGHSMHVFVNGERAGTFYGRFGSPKVRFTGNVKLRAGSNKISILSVAVGLPNIGPHFDTWNAGVLGPVTLEGLNEGKRNLSSQKWIYQIGLRGESLSIYTLSGSSSVKWGGASTRQPLTWYKAFFDAPAGNEPLALDMSSMGKGQIWINGQSIGRHWPAYKANGVCDLCDYKGTYRKMKCQTDCGEPSQKWYHVPRDWLNPTGNLLVVFEEWGGDPTGISLVKRVAL >Ma05_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7257293:7261559:1 gene:Ma05_g10080 transcript:Ma05_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICRYLFSLVVVVVVFSGSAPPVAEASTSEKEILLEFKSNVTSDPGGALASWVAAGDPCRDFAGVFCNDAGSVVKILVHGANLAGAIPASLSGLGSLQIISLFGNRFSGGVPPEFAAIQTLHKLNVSRNALSGGVPGFLGGLPGLRLLDLSYNVFSGEIPAALFSQCVKTRFVSLSHNALSGPIPADIANCSRLVGIDLSFNNLTGELIPQICEPPDINYISVRDNSLSGTVTDKISMCQSLELFDLGSNSFSGTVPFNLLSLQNLSYFNMSSNKFQGEIPEVSVCSEKLGFFDVSGNELSGGIPPSIANCGALRFLDLGLNNLSGSIPLEIGSLKSLSVLRLGNNAGIGGSIPAELGGIELLQILDIQNLQLFGEIPITLSQCRFLLELDASGNRLAGGIPDTLYNMTYLKHLDLHRNQLNGIIPPTLGQLSKLEYLDLSENLLTGVIPDSLGGLTLLTFFNVSYNNLSGAIPSATTIQQFGPSAFLNNSLLCGPPLTTPCSSGNVSRRTRLLTVPAIIAIVAAAIILIGVCIVTVMNIRAYGKKVVEEEILVSESTPPASTGSNVIIGKLVLFSKTLPSRYEDWEAGTKALLDKDCMVGGGSIGTVYKATFEGGVSIAVKKLESLGRIRNQEEFEQEIGRLGSLGHPNLVAFHGYYWSSTMQLILSEFVPNGNLYDHLHVSRRLYSGSGSSSGRGELLWSRRFNIAIGTARALAYLHHDCRPQVLHLNVKSTNILLDEGYEAKLSDYGLGKLLPILGSYALTKFHTAVGYVAPELASQSLRYSDKCDVYSFGVVLLEIVTGRKPVESPGAAKVVVLQDYVRGVLEDGTASDCFDRNMRGFLETELIQVLKLGLICTSETPSRRPRMAEVVQFLESIRPSS >Ma09_p25220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36760299:36761049:-1 gene:Ma09_g25220 transcript:Ma09_t25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSYPVLLFFSLGLMLRCHATSSNFLWINCPHDANYTTNSTFQTNLNLLLASLSSSTAATGYSNDTEGQSSDQVHGLALCRGDVSSSVCQTCLDAAVQDIIQSCPNGMTSTICYDDCLLRYSNQMFFSTVDTSFRYWAWNIQNVSDQQQFETTLGNLMDDLTEKASSSPKLFAAGSAKVTSFDKLYGLVQCSRDLSADDCYRCLRDTVTFIPKCCSWKQGGKVYAQSCYLRFELYPYYNISSVNAPPPP >Ma03_p27550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30894521:30903967:1 gene:Ma03_g27550 transcript:Ma03_t27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MALLPAAKISELSFHNPLPLCSRPSLVLSLSLIRGRFRRLIFSAAASSSSSLRLAESGGGDSDSRRSPRHRRNSRDDDDRQANANPRTPVRSGLPSAPWLQQWAPPDPSPSPPSPERKPAEPDAGRGGAIERIVYRLRNLGLDSDDDEYEGESTNEPTLCGDERLGELLERSWNRPDKSLDMGRMLLPWEREDHGGFAKEKDGRDAKRKRVRAPTLAELTIEDSELRRLRRLGIMLRERITVPKAGVTQAITEKIHDAWRKSELVRLKFHETLANDMKTAHELVERRTGGLVIWRSGSVMVVFRGSNYKRPSRSQSLDAQSNSTGLSHETESLFIPDVPNTTKLVEDDICVTSSKTEQPKPSEMNLECDENMTEEEAEYNGLLDGLGPRFVDWWGTGILPVDADLLPQNIPGFKTPFRLLPTGMRSRLNNSEMTNLRKLARTLPSHFALGRNRHHHGLAAAILKLWEKSLVVKIAVKRGIQNTNNKLMAEELKALTGGILLLRNKYYIVIYRGKDFIPTTVATALAEREELTKEIQDAEEQMRKSMIGEPCVDALEEHAPVGTLAEFLEAQARWGRDISSEECDAMKKEALRSERTKLFKKIEQKLSVAQAKKLRAEKLLAKIEASMVPVNPSNDQETITDEERSVFRRIGLRMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLSFVEDTARLLEYESGGILVAIESVPKGFALIYYRGKNYQRPISLRPRNLLTKAKALKRAVAIQRHEALSQHIDALEKTIKQMKEEVGISEDELIVADDWKTQSDDDSCSSNMEYEDSSLDSEDDDDSVFYDSNVDEALRS >Ma03_p27550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30894521:30903985:1 gene:Ma03_g27550 transcript:Ma03_t27550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MALLPAAKISELSFHNPLPLCSRPSLVLSLSLIRGRFRRLIFSAAASSSSSLRLAESGGGDSDSRRSPRHRRNSRDDDDRQANANPRTPVRSGLPSAPWLQQWAPPDPSPSPPSPERKPAEPDAGRGGAIERIVYRLRNLGLDSDDDEYEGESTNEPTLCGDERLGELLERSWNRPDKSLDMGRMLLPWEREDHGGFAKEKDGRDAKRKRVRAPTLAELTIEDSELRRLRRLGIMLRERITVPKAGVTQAITEKIHDAWRKSELVRLKFHETLANDMKTAHELVERRTGGLVIWRSGSVMVVFRGSNYKRPSRSQSLDAQSNSTGLSHETESLFIPDVPNTTKLVEDDICVTSSKTEQPKPSEMNLECDENMTEEEAEYNGLLDGLGPRFVDWWGTGILPVDADLLPQNIPGFKTPFRLLPTGMRSRLNNSEMTNLRKLARTLPSHFALGRNRHHHGLAAAILKLWEKSLVVKIAVKRGIQNTNNKLMAEELKALTGGILLLRNKYYIVIYRGKDFIPTTVATALAEREELTKEIQDAEEQMRKSMIGEPCVDALEEHAPVGTLAEFLEAQARWGRDISSEECDAMKKEALRSERTKLFKKIEQKLSVAQAKKLRAEKLLAKIEASMVPVNPSNDQETITDEERSVFRRIGLRMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLSFVEDTARLLEYESGGILVAIESVPKGFALIYYRGKNYQRPISLRPRNLLTKAKALKRAVAIQRHEALSQHIDALEKTIKQMKEEVGISEDELIVADDWKTQSDDDSCSSNMEYEDSSLDSEDDDDSVFYDSNVDEALRS >Ma03_p27550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30894521:30903967:1 gene:Ma03_g27550 transcript:Ma03_t27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) UniProtKB/TrEMBL;Acc:Q9LS51] MALLPAAKISELSFHNPLPLCSRPSLVLSLSLIRGRFRRLIFSAAASSSSSLRLAESGGGDSDSRRSPRHRRNSRDDDDRQANANPRTPVRSGLPSAPWLQQWAPPDPSPSPPSPERKPAEPDAGRGGAIERIVYRLRNLGLDSDDDEYEGESTNEPTLCGDERLGELLERSWNRPDKSLDMGRMLLPWEREDHGGFAKEKDGRDAKRKRVRAPTLAELTIEDSELRRLRRLGIMLRERITVPKAGVTQAITEKIHDAWRKSELVRLKFHETLANDMKTAHELVERRTGGLVIWRSGSVMVVFRGSNYKRPSRSQSLDAQSNSTGLSHETESLFIPDVPNTTKLVEDDICVTSSKTEQPKPSEMNLECDENMTEEEAEYNGLLDGLGPRFVDWWGTGILPVDADLLPQNIPGFKTPFRLLPTGMRSRLNNSEMTNLRKLARTLPSHFALGRNRHHHGLAAAILKLWEKSLVVKIAVKRGIQNTNNKLMAEELKALTGGILLLRNKYYIVIYRGKDFIPTTVATALAEREELTKEIQDAEEQMRKSMIGEPCVDALEEHAPVGTLAEFLEAQARWGRDISSEECDAMKKEALRSERTKLFKKIEQKLSVAQAKKLRAEKLLAKIEASMVPVNPSNDQETITDEERSVFRRIGLRMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLSFVEDTARLLEYESGGILVAIESVPKGFALIYYRGKNYQRPISLRPRNLLTKAKALKRAVAIQRHEALSQHIDALEKTIKQMKEEVGISEDELIVADDWKTQSDDDSCSSNMEYEDSSLDSEDDDDSVFYDSNVDEALRS >Ma04_p31550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31821064:31823959:-1 gene:Ma04_g31550 transcript:Ma04_t31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASLTSSCTRPSIRLSSPSLRPLRESLRVWNRETLYISNPRRGVRCSSSSNNNRTPYPGSDKNDSRIVLDAFFLGKAFAEALNERIESTVGEILSVVGQWQAEQQKQVQDFQEEVIVRAKKAKERAALEVMTETGVLSKPSNAPLDNSTTASPNPDPKTEDPLQEMLKD >Ma09_p31280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41063925:41064227:1 gene:Ma09_g31280 transcript:Ma09_t31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSKTCRIVCIAHLRMSYISLAVKGVLENSMMKCTDTDRGSNRARSFNFDMQRFAAGSFCAPFLTMQIYPSRCKAYRSHLLLRRRIQSSALDLDLVMKW >Ma06_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20616352:20625540:1 gene:Ma06_g23150 transcript:Ma06_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLASALRKSAIRSRGGVSAWFGSFAAAEKERDLGALGRAVAGVLAIGGSAIGLWMLTSVSSFPDSSLSFADSNLERSEPEHPIATDDAKMEKKSKFLFADSYRRRVFFKYEKRIRLRSSPEKIFEYFASSKNPEGEVCMTPADLMRAVVPVFPPSDSDIVRDGYLRGEHDPGELRCAPSTFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSVAFKMFDLDNNGEIEREEFKKVMGLMRSYNRQGASHNNGLRIGLKVGGSIENGGLVEYFFGKDGKGCLQLDKFVQFLRDLHDESRGTISAKDFALSMVASADMNHVDKLLDRVDELDDSPSLRDLHFTFEEFKAFAELRRRLRPLTLAIFSYGKMNGLLTKQDFIRAASHVCGISLTENMVDVIFHVFDTNRDGNLSSEEFLRALQRRESDACQPSAPGGGIMGLLSCWLQCTKNCAKPQVFS >Ma06_p23150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20616307:20625540:1 gene:Ma06_g23150 transcript:Ma06_t23150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLASALRKSAIRSRGGVSAWFGSFAAAEKERDLGALGRAVAGVLAIGGSAIGLWMLTSVSSFPDSSLSFADSNLERSEPEHPIATDDAKMEKKSKFLFADSYRRRVFFKYEKRIRLRSSPEKIFEYFASSKNPEGEVCMTPADLMRAVVPVFPPSDSDIVRDGYLRGEHDPGELRCAPSTFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSVAFKMFDLDNNGEIEREEFKKVMGLMRSYNRQGASHNNGLRIGLKVGGSIENGGLVEYFFGKDGKGCLQLDKFVQFLRDLHDEIVRLEFSHYDLKSRGTISAKDFALSMVASADMNHVDKLLDRVDELDDSPSLRDLHFTFEEFKAFAELRRRLRPLTLAIFSYGKMNGLLTKQDFIRAASHVCGISLTENMVDVIFHVFDTNRDGNLSSEEFLRALQRRESDACQPSAPGGGIMGLLSCWLQCTKNCAKPQVFS >Ma01_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13009622:13010164:-1 gene:Ma01_g17740 transcript:Ma01_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHFSSIGFVKEELNVQLDSEGRVVVSGQRPTMDGRWSRFRKEFRVPENCVLDKISAKFENGLLHLVFPKSITKLSPPDDGSIRKQGGEEENEKVGKQEKDQVKEDKPGDGEKKAAARAASRGVGLRLRKMNLEMGGLERELRDTRKGLMLALAVAAVVSVGVGLYLNHKLTSAHTINI >Ma10_p02840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9732667:9738102:-1 gene:Ma10_g02840 transcript:Ma10_t02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVDAEIAKTQEERRKMEEVAMLTSVTFDADLYGGGPDRFEGYERSIPVTDEEEEADGERRDIARRMASYTGPKSLNELPRGSEGEDDSGFKKPQRIIDREDDYRRRRLQRIISPERNDPFATGEATPDPTVRTYADVMREQALQRQKEEILKEIAKKKEEEKSKAAAEPAPAAQKRRNRWDQSQEPDGGAAKKAKTSSVASDWDAPDSTPGIGRWDATPTPGRVADATPSVSRRNRWDETPTPGRLVDADATPAAGGATPGATPAGMTWDATPKLAGLATPTPKRQRSRWDETPATMGSATPLPGAATPAASFTPGVTPVGGVDLATPTPGAINLRGAMTPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKILDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKQEDYQYFGALLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLRSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMIILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRNFWVRRMALDRRNYKQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLIARIAIVMKQCKEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAVEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGSQDALVAAYPTLEDEANSVYSRPELVMFI >Ma10_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9732667:9738102:-1 gene:Ma10_g02840 transcript:Ma10_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVDAEIAKTQEERRKMEEVAMLTSVTFDADLYGGGPDRFEGYERSIPVTDEEEEADGERRDIARRMASYTGPKSLNELPRGSEGEDDSGFKKPQRIIDREDDYRRRRLQRIISPERNDPFATGEATPDPTVRTYADVMREQALQRQKEEILKEIAKKKEEEKSKAAAEPAPAAQKRRNRWDQSQEPDGGAAKKAKTSSVASDWDAPDSTPGIGRWDATPTPGRVADATPSVSRRNRWDETPTPGRLVDADATPAAGGATPGATPAGMTWDATPKLAGLATPTPKRQRSRWDETPATMGSATPLPGAATPAASFTPGVTPVGGVDLATPTPGAINLRGAMTPEQYNLLRWERDIEERNRPLTDEELDAMFPQEGYKILDPPASYVPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKEAPGGLPFMKQEDYQYFGALLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLRSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAVYASYYTKEVMIILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRNFWVRRMALDRRNYKQLVDTTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLIARIAIVMKQCKEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAVEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGSQDALVAAYPTLEDEANSVYSRPELVMFI >Ma05_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5315612:5317063:1 gene:Ma05_g07310 transcript:Ma05_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNAAAAAVAELRRAEFPCAGGARATEAEVLTVWRKSLLFNGNGFTVYDSKGNLVFRVDNYSAGSRGEVVLMDAAGRPLLTIRRKKLSLGDHWLIYNGEEAVNPRFAVKKHAGLLHSKALARVTPCGSGAKSCLAYDIEGSYSHRCCAVYDDRRQQLAEIKRKESVHGITLGHDVFRLIVQPGLDASFAMAMVILLEQMFGSRGSLLKG >Ma08_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8843261:8844904:1 gene:Ma08_g11850 transcript:Ma08_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLKKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQSEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVHDKNKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGAPQEEGWVVCRAFKKRATCPARSVAAIWNSGYCYDELDRMNSMVNPMMCLQKQPMSLQCKQETEFEELHLLHPSQFVQLPQLESPSLPLVSQPSSKMTVLEKEDEQQQQQAGMSSGMGSVTDWRALDKFVASQLSHEGGSFVSEQVVSDFGVDNDSEMALLLLQSEREGFNEFIGSGEPDCDKGICIFEQ >Ma08_p27980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40067109:40070911:1 gene:Ma08_g27980 transcript:Ma08_t27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSVKHAVLRSLLPPPPRSYGCPEDLATQWPPGLRRRETDDDNAADPNTSHLVKDSQMKRDSPEISPVERLSDRRTELAKVITYSCPIYPQGGSRSMPSRHADAVRYQACHPPIKNFKNLGDICLPEAIPKKLKEKGIVQPTPIHLQGLPAIHGLGKLW >Ma04_p31720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31944374:31959237:1 gene:Ma04_g31720 transcript:Ma04_t31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 1 [Source:Projected from Arabidopsis thaliana (AT2G31320) UniProtKB/Swiss-Prot;Acc:Q9ZP54] MANPPKPWKAEYAKSGRSSCKTCKSPIDRDQLRLGKMVAATQFDGYMPMWNHAGCIFKKQNQIKSLDDVEGIDLLRWEDQKSIRKYVEDGSSTSTTVDNSECAIEVSQTSRATCRHCSQKITKGTVRVSTKAEGQGARGISWHHVNCFTTMSPSTSLEKISGWDSLSPQDKESLSAFSRKDTSKKTEDQVTSRSAKRKAVGSDEQKTKVSKSEKRNSAGKSSTNGSKDEPNHGDFSTIGLEKKLEEQSKLLWDIKDQLKIHVTTAELREMLEANGQDSMGSEYDLRDRCADGMLFGALGTCPICSGSLCYSGGQYRCHGYLSAWSKCSYTTTEPVRLKAKWKIPKETSNGYLIKWFKSQKANKPGRVLPPPSTSKSSGRHATNLSQPSNDEKLENLKVAIAGGSAEDFADLKTKLEAAGVKFHMKIAKDTSCLIWVGEVDNDDSEMRKARRMKLPIVRVDYLQECMRKQKKLPFDLYKIENFAETSRSGIVTVRVKGRSAVHEASGLQDTGHILEDGKSIYNTTLNMSDLSTGINSYYILQIIQEDKGSGCYVFRKWGRVGNNKIGGTKLDGMSKSDAIQEFKRLFLEKTGNPWEAWEQKRNFEKQPGRFYPLDIDYGIKQVPKKKDLTNKKSQLAPQLMDLMKMLFNVETYRAAMLEFEINMSEMPLGKLTKKNIQKGFEALTEIQNLVCNSDYDPAIKESLIIDASNRFFTLIPSIHPHVIRHEDDVKAKVKMLEALQDIEIASRLVCFDGDDDESLDDKYKKLRCDITPLLHDSEDYQLVEKYLLNTHAPTHKDWTLELEEVFALEREGEFDKFAPRRDTLQNKMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCYVDKKDPVGLMLLSEVALGEIYELKKATYMDKPPKGKLSTKGLGKTVPLESEHVKWKDEVVVPCGRPVPSSVRASELLYNEYIVYDTAQVKMQFLLKVRFHHKR >Ma04_p31720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31944374:31959238:1 gene:Ma04_g31720 transcript:Ma04_t31720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 1 [Source:Projected from Arabidopsis thaliana (AT2G31320) UniProtKB/Swiss-Prot;Acc:Q9ZP54] MANPPKPWKAEYAKSGRSSCKTCKSPIDRDQLRLGKMVAATQFDGYMPMWNHAGCIFKKQNQIKSLDDVEGIDLLRWEDQKSIRKYVEDGSSTSTTVDNSECAIEVSQTSRATCRHCSQKITKGTVRVSTKAEGQGARGWDSLSPQDKESLSAFSRKDTSKKTEDQVTSRSAKRKAVGSDEQKTKVSKSEKRNSAGKSSTNGSKDEPNHGDFSTIGLEKKLEEQSKLLWDIKDQLKIHVTTAELREMLEANGQDSMGSEYDLRDRCADGMLFGALGTCPICSGSLCYSGGQYRCHGYLSAWSKCSYTTTEPVRLKAKWKIPKETSNGYLIKWFKSQKANKPGRVLPPPSTSKSSGRHATNLSQPSNDEKLENLKVAIAGGSAEDFADLKTKLEAAGVKFHMKIAKDTSCLIWVGEVDNDDSEMRKARRMKLPIVRVDYLQECMRKQKKLPFDLYKIENFAETSRSGIVTVRVKGRSAVHEASGLQDTGHILEDGKSIYNTTLNMSDLSTGINSYYILQIIQEDKGSGCYVFRKWGRVGNNKIGGTKLDGMSKSDAIQEFKRLFLEKTGNPWEAWEQKRNFEKQPGRFYPLDIDYGIKQVPKKKDLTNKKSQLAPQLMDLMKMLFNVETYRAAMLEFEINMSEMPLGKLTKKNIQKGFEALTEIQNLVCNSDYDPAIKESLIIDASNRFFTLIPSIHPHVIRHEDDVKAKVKMLEALQDIEIASRLVCFDGDDDESLDDKYKKLRCDITPLLHDSEDYQLVEKYLLNTHAPTHKDWTLELEEVFALEREGEFDKFAPRRDTLQNKMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCYVDKKDPVGLMLLSEVALGEIYELKKATYMDKPPKGKLSTKGLGKTVPLESEHVKWKDEVVVPCGRPVPSSVRASELLYNEYIVYDTAQVKMQFLLKVRFHHKR >Ma11_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27820439:27821782:-1 gene:Ma11_g25070 transcript:Ma11_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTFSRWLRPDVYPLFAAVGVAVGICGVQLVRNISTNPQVRVNKQNRAAGVLENFGEGEKYAEHSLRKFVRNKAPEIMPAVNKFFSDPK >Ma11_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25776086:25777337:-1 gene:Ma11_g21770 transcript:Ma11_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPWSELPALALLAVLRRLPSLLDLFAFAAVCRSWRFLLRSSAALLYLSSRPPLLLRPPCHLHRRQARPLLAADESDGFSLYALDDLRTPYRSLFPVSSAAADDLCLAYSHGYLILLRGRPRSDPVLADVLTGAEILLPALPPDRVSFYYGTLTAPPASPDCCLLLFYSRYSLMCCRIGEPHPEWARLPLRKGQSYIARVLRFKDRIFAISNIGRLLTLEFVPEFKVERLDVGGLHPPAAYDRWHFGPQLVECGGELLAVLFVQEGRPWITGIHVFRLDFGRMEWAQVESLGDHCLFIDCGGKCPVSGVDPSCWGGRSNCVYVAAPGCDAWVEYSLDDKTWSQVSVSSGDTISRRLFRAQFLAQLGEPRWPSPIWVYPSLFFWRDGMEM >Ma07_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8073724:8074005:-1 gene:Ma07_g10840 transcript:Ma07_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPLDPPLSKMLLAIKQAQAEQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFLRVMLA >Ma04_p26330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27818736:27820565:1 gene:Ma04_g26330 transcript:Ma04_t26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCEDKERLSEPLVEGKWASPTEAPRLEPKARKDVTAAVVEARSILCLASPMALAGLLLYSRSLVSMIFLGRLGRLPLAGGALAIGFANITGYSVLSGLAMGMEPICGQAFGARRPALLGLALHRAVLLLLAASLPVSALWVSMRRLLLLCGQDDDIAAAAHAYVLASLPDLLVQSLLHPIRIYLRSQSITLPLTYCAAAAAVFHLPVNYVLVCVLRLGIRGVALASVCTNLNLLLLLLVYIYHSGVHERTGALNITAESFRGWRSLLNLAIPSCISVCLEWWWYEIMVLLCGLLLDPKSTVASMGILIQTTSLIYIFPSSLSFGVSTRVGNELGANRPDRARRAATVGLACGAALGLLAFAFAVAVRNAWARMFTSDGAIVGLTAAVLPIVGMCELGNCPQTTGCGVLRGSARPRTGANINLGSFYGVGMPVAAALAFWGGLDFPGLWLGMLAAQATCVALMLLVIHRTDWQLQAQRAQRLTGGPLNTDATVVVVVVQDNDLDAIKQAAKLGAQQH >Ma07_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11162995:11163695:1 gene:Ma07_g14860 transcript:Ma07_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPGGFGFGSRRINAFDPFFPDPWDSLDGFTLGPSSPLLSLPRPSPLYFPSESSALVGARVDWKETPEAHVFKADLPGLKKDELKVEVEDGRILQISGERKIDKEEGTDNWHCVERSSGKFLQRFRLPETARVDQVRAAMKDGVLTVTVPKADIKKCDITSIEISG >Ma11_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26177621:26178464:1 gene:Ma11_g22390 transcript:Ma11_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARQHLFLLCLLLPISLSYEIQLILVNNCNYSVWPGVLGSAGHATPEDGGFHLGLGEEAVFDVPSWWSGRVWGRQGCGFDGQGKGSCDSGDCGGMLQCKGAGGAPPATVVEMTFGTDRSPLHFYDVSLVDGFNLPVTMAPVGGGVGCGVAGCEVDLNVCCPSKLEVKRNGKVVGCKSACLALKADKYCCTGDYGSPKICKPTLFSHLFKSICPRAYSFAFDDSSSLNICRASRYLITFCPPAR >Ma04_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26485761:26486949:-1 gene:Ma04_g24500 transcript:Ma04_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSSRAGLQFPVGRIHRQLKTRISANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >Ma04_p24500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26485761:26486951:-1 gene:Ma04_g24500 transcript:Ma04_t24500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSSRAGLQFPVGRIHRQLKTRISANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >Ma04_p24500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26485761:26486949:-1 gene:Ma04_g24500 transcript:Ma04_t24500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSSRAGLQFPVGRIHRQLKTRISANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >Ma06_p37330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36417606:36421747:1 gene:Ma06_g37330 transcript:Ma06_t37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGEATAADRAVLCIGDIHGYISKLRSLWSNLEAVVGFDSFATALVIFLGDYCDRGPHTREVIDFLIALPSQYPRQRHVFLCGNHDLAFAAFVGALPPPPDGLPFSATWAEYALNEEREGWFKGEGYEAMHVQGRRWGGDIGGRFNPKKGMAYKGSIYDAGPTFESYGVPHGSADLAKAVPDTHKKFLCDLVWVHEEDNVLIDSPKGRTSCKLIAVHAGLEKSKGVEEQLKLLKARNTILPKVEQLSGRHDVWEMPKELSDKSTLVVSGHHGKIHIEGLRYIIDECGGLEQLPIAAVVFPSEMIVRDIDQIVAEC >Ma04_p37860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35576249:35580222:-1 gene:Ma04_g37860 transcript:Ma04_t37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVVESTSNSLPPGAANSGMTKKRKTPSELRGEQLKRRNAQVIGEKTLPPLLASDSVKSNAIRRSEQLKIPRYITTRVNEVYPVKKSSERCRVFNGDEKAKVSSTISGFSNDVPSPAASLPAEGEPSILRENPSLINKSEGSDQSARDISEQGFRTIEKCSQNVLRNVVQLHMGDENLTNCAKIDMEKALRGLVAREIPSTSVSLAVSSGKVGGFPTNSSSSSFSEFEIPGSRVPFDFTLKTTLRLVSSSSVKWCHRLCASP >Ma06_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7340483:7346161:1 gene:Ma06_g10640 transcript:Ma06_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGQRAASNPSAMLAALVSKRDKLQDELRVIERQVYDLETTYLQDSNQNGSVLKGFEGFLSSSKGTSNMKRSRKFQPEDRLFSLSSITSPAVEEHVAGRDDGRSEYGPGRSKGGGTPANGQGKPKKGGRIASREGKRLRPSSEQELDDEEDLDMSLR >Ma06_p10640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7340481:7346161:1 gene:Ma06_g10640 transcript:Ma06_t10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGQRAASNPSAMLAALVSKRDKLQDELRVIERQVYDLETTYLQDSNQNGSVLKGFEGFLSSSKGTSNMKRSRKFQPEDRLFSLSSITSPAVEEHVAGRDDGRSEYGPGRSKGGGTPANGQGKPKKGGRIASREGKRLRPSSEQELDDEEDLDMSLR >Ma03_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3557723:3558550:-1 gene:Ma03_g05430 transcript:Ma03_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECE1 [Source:Projected from Arabidopsis thaliana (AT4G14870) UniProtKB/TrEMBL;Acc:A0A178UZ24] MAVAPFSRLLLKPPPPPRAVPFRAALPVHLHPVPAQYSPLRLSSPLRLPGTRRPRRFSAQENGGASETLEESEADEGRKKEQEEEVEKRDTAASTAAASVAEELKEMMEARKKESSSSSSDLWGGVADEVREIEWPPFGKVLGTTGVVIAVIAGSSVALLTVNAILAEISDKVFAGKGIQDFF >Ma03_p28480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31595795:31618081:-1 gene:Ma03_g28480 transcript:Ma03_t28480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFNIAVGSQVWVEDAEVAWIDGEVLEIKGDEIKISCTSGKMVTAKLSNVHPKDPEASPCGVDDMTKLAYLHEPGVLQNLRSRYDTNEIYTYTGNILIAVNPFRRLPHLYDNHMMEQYKGAAFGELSPHPFAVADAAYRLMRNEGVNQSILVSGESGAGKTESTKMIMRYLAYMGGRAASEGRTVEQQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPAEDIQRYKLENPRTFHYLNQSNCYELDGVDDSREYLETKKAMDIIGISTDEQDGIFRVVAAILHLGNIEFTDGKEIDSSQPKNEKSWFHLRTAAELFMCDAKALEDSLCKRVIVTRDENIIKTLDPEAAALSRDSLAKIVYSRLFDWLVNKINSSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEISWSYIEFVDNQDILDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQAFKNHKRFSKPKLSRSDFTVSHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCSFVSSLFPLLSEDASKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFVDRFGILAPEVLDGSFDEVTAAKRLLEKAYLKGYQIGKTKVFLRAGQMAELDARRNEVLGRSASTIQRKVRSFLARRTFILLRKSAIQIQTICRGQLARQVYEGMRRLAAALRIQTYFRMHLARTAYRELFSSAVTIQTSVRGMAARKELHFRRQTRAAIIIQSHCRRYLACLNYSRIKKAVIATQCAWRARVARRELRKLKQAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEETKTQENAKLQAALQEMQQQFKETKSLLVKEREAAKKATEVVPIIKEVPVIDTALMDKLKDENDKLKALVSSLEKKIDETEKKYEETSRISEERLKKATDAESKIIHLNNSMQRLQGKMSNMESENQILRQQSLMHSPVKRMSEHLSIPTTPTKQASLENGHHDVEELKEPQSAPPAIKDYADTDAKLKRSYMERQQENVDALISCVSRNVGFSQGKPVAALTIYKCLINWKSFEAERTSVFDCLIQMIGSAIENEESNDHLAYWLSNASSLLFLLQKSLKAAGTVGANPRRKPPAPTSLFGRMTQSFRSSPSSSNLAADGLDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNVKKDLSSLLSLCIQAPRTTRATMLRGSGRSFGSQGQSNHWKTIIESLDDVLKTLQENYVPPVLIQKICMQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKAKPEYAGSSWDELKHIRQAVGFLVIFQKSRISYDEIVNDLCPALSVQQLYRICTQYWDDKYNTKSVSSTVLSSMRILMTEDSNNAESSSFLLDDSSSIPFSVDDLSSSLQAKDFSGVKSAEELLGNPAFQFLQE >Ma03_p28480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31595795:31618081:-1 gene:Ma03_g28480 transcript:Ma03_t28480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFNIAVGSQVWVEDAEVAWIDGEVLEIKGDEIKISCTSGKMVTAKLSNVHPKDPEASPCGVDDMTKLAYLHEPGVLQNLRSRYDTNEIYTYTGNILIAVNPFRRLPHLYDNHMMEQYKGAAFGELSPHPFAVADAAYRLMRNEGVNQSILVSGESGAGKTESTKMIMRYLAYMGGRAASEGRTVEQQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPAEDIQRYKLENPRTFHYLNQSNCYELDGVDDSREYLETKKAMDIIGISTDEQDGIFRVVAAILHLGNIEFTDGKEIDSSQPKNEKSWFHLRTAAELFMCDAKALEDSLCKRVIVTRDENIIKTLDPEAAALSRDSLAKIVYSRLFDWLVNKINSSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEISWSYIEFVDNQDILDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQAFKNHKRFSKPKLSRSDFTVSHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCSFVSSLFPLLSEDASKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFVDRFGILAPEVLDGSFDEVTAAKRLLEKAYLKGYQIGKTKVFLRAGQMAELDARRNEVLGRSASTIQRKVRSFLARRTFILLRKSAIQIQTICRGQLARQVYEGMRRLAAALRIQTYFRMHLARTAYRELFSSAVTIQTSVRGMAARKELHFRRQTRAAIIIQSHCRRYLACLNYSRIKKAVIATQCAWRARVARRELRKLKQAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEETKTQENAKLQAALQEMQQQFKETKSLLVKEREAAKKATEVVPIIKEVPVIDTALMDKLKDENDKLKALVSSLEKKIDETEKKYEETSRISEERLKKATDAESKIIHLNNSMQRLQGKMSNMESENQILRQQSLMHSPVKRMSEHLSIPTTPTKQASLENGHHDVEELKVEPQSAPPAIKDYADTDAKLKRSYMERQQENVDALISCVSRNVGFSQGKPVAALTIYKCLINWKSFEAERTSVFDCLIQMIGSAIENEESNDHLAYWLSNASSLLFLLQKSLKAAGTVGANPRRKPPAPTSLFGRMTQSFRSSPSSSNLAADGLDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNVKKDLSSLLSLCIQAPRTTRATMLRGSGRSFGSQGQSNHWKTIIESLDDVLKTLQENYVPPVLIQKICMQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKAKPEYAGSSWDELKHIRQAVGFLVIFQKSRISYDEIVNDLCPALSVQQLYRICTQYWDDKYNTKSVSSTVLSSMRILMTEDSNNAESSSFLLDDSSSIPFSVDDLSSSLQAKDFSGVKSAEELLGNPAFQFLQE >Ma03_p28480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31595795:31618081:-1 gene:Ma03_g28480 transcript:Ma03_t28480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFNIAVGSQVWVEDAEVAWIDGEVLEIKGDEIKISCTSGKMVTAKLSNVHPKDPEASPCGVDDMTKLAYLHEPGVLQNLRSRYDTNEIYTYTGNILIAVNPFRRLPHLYDNHMMEQYKGAAFGELSPHPFAVADAAYRLMRNEGVNQSILVSGESGAGKTESTKMIMRYLAYMGGRAASEGRTVEQQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPAEDIQRYKLENPRTFHYLNQSNCYELDGVDDSREYLETKKAMDIIGISTDEQDGIFRVVAAILHLGNIEFTDGKEIDSSQPKNEKSWFHLRTAAELFMCDAKALEDSLCKRVIVTRDENIIKTLDPEAAALSRDSLAKIVYSRLFDWLVNKINSSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEISWSYIEFVDNQDILDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQAFKNHKRFSKPKLSRSDFTVSHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCSFVSSLFPLLSEDASKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFVDRFGILAPEVLDGSFDEVTAAKRLLEKAYLKGYQIGKTKVFLRAGQMAELDARRNEVLGRSASTIQRKVRSFLARRTFILLRKSAIQIQTICRGQLARQVYEGMRRLAAALRIQTYFRMHLARTAYRELFSSAVTIQTSVRGMAARKELHFRRQTRAAIIIQSHCRRYLACLNYSRIKKAVIATQCAWRARVARRELRKLKQAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEETKTQENAKLQAALQEMQQQFKETKSLLVKEREAAKKATEVVPIIKEVPVIDTALMDKLKDENDKLKALVSSLEKKIDETEKKYEETSRISEERLKKATDAESKIIHLNNSMQRLQGKMSNMESENQILRQQSLMHSPVKRMSEHLSIPTTPTKQASLENGHHDVEELKEPQSAPPAIKDYADTDAKLKRSYMERQQENVDALISCVSRNVGFSQGKPVAALTIYKCLINWKSFEAERTSVFDCLIQMIGSAIENEESNDHLAYWLSNASSLLFLLQKSLKAAGTVGANPRRKPPAPTSLFGRMTQSFRSSPSSSNLAADGLDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNVKKDLSSLLSLCIQAPRTTRATMLRGSGRSFGSQGQSNHWKTIIESLDDVLKTLQENYVPPVLIQKICMQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKAKPEYAGSSWDELKHIRQAVGFLVIFQKSRISYDEIVNDLCPALSVQQLYRICTQYWDDKYNTKSVSSTVLSSMRILMTEDSNNAESSSFLLDDSSSIPFSVDDLSSSLQAKDFSGVKSAEELLGNPAFQFLQE >Ma03_p28480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31595795:31618081:-1 gene:Ma03_g28480 transcript:Ma03_t28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFNIAVGSQVWVEDAEVAWIDGEVLEIKGDEIKISCTSGKMVTAKLSNVHPKDPEASPCGVDDMTKLAYLHEPGVLQNLRSRYDTNEIYTYTGNILIAVNPFRRLPHLYDNHMMEQYKGAAFGELSPHPFAVADAAYRLMRNEGVNQSILVSGESGAGKTESTKMIMRYLAYMGGRAASEGRTVEQQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPAEDIQRYKLENPRTFHYLNQSNCYELDGVDDSREYLETKKAMDIIGISTDEQDGIFRVVAAILHLGNIEFTDGKEIDSSQPKNEKSWFHLRTAAELFMCDAKALEDSLCKRVIVTRDENIIKTLDPEAAALSRDSLAKIVYSRLFDWLVNKINSSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEISWSYIEFVDNQDILDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQAFKNHKRFSKPKLSRSDFTVSHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCSFVSSLFPLLSEDASKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFVDRFGILAPEVLDGSFDEVTAAKRLLEKAYLKGYQIGKTKVFLRAGQMAELDARRNEVLGRSASTIQRKVRSFLARRTFILLRKSAIQIQTICRGQLARQVYEGMRRLAAALRIQTYFRMHLARTAYRELFSSAVTIQTSVRGMAARKELHFRRQTRAAIIIQSHCRRYLACLNYSRIKKAVIATQCAWRARVARRELRKLKQAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEETKTQENAKLQAALQEMQQQFKETKSLLVKEREAAKKATEVVPIIKEVPVIDTALMDKLKDENDKLKALVSSLEKKIDETEKKYEETSRISEERLKKATDAESKIIHLNNSMQRLQGKMSNMESENQILRQQSLMHSPVKRMSEHLSIPTTPTKQASLENGHHDVEELKVEPQSAPPAIKDYADTDAKLKRSYMERQQENVDALISCVSRNVGFSQGKPVAALTIYKCLINWKSFEAERTSVFDCLIQMIGSAIENEESNDHLAYWLSNASSLLFLLQKSLKAAGTVGANPRRKPPAPTSLFGRMTQSFRSSPSSSNLAADGLDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNVKKDLSSLLSLCIQAPRTTRATMLRGSGRSFGSQGQSNHWKTIIESLDDVLKTLQENYVPPVLIQKICMQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKAKPEYAGSSWDELKHIRQAVGFLVIFQKSRISYDEIVNDLCPALSVQQLYRICTQYWDDKYNTKSVSSTVLSSMRILMTEDSNNAESSSFLLDDSSSIPFSVDDLSSSLQAKDFSGVKSAEELLGNPAFQFLQE >Ma06_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4853866:4858689:-1 gene:Ma06_g06760 transcript:Ma06_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNMNDMKSLQETNLDEEGDQEFELEDVDDGDEEEEVEEYVTLGLVEKPKNPKFLLRHLFPSKAGGVPAWLDPVDLPQEKSRICGFCGEPLQFLLQIYAPISEESSTFHRILYVFMCPSMSCLLRDQHEQWKRREDNPCRSVKVFRCQLPRCNPFYSSEPPKHDGIDKPLTVGAALCSWCGTWKGEKVCSSCRRARYCSEKHQALHWKSGHRNQCRQIVNYSETSSSSPDSSSNRLPAVGKVACSTLWPEYEIIIEDECAFDTEAFEDNNCATSLVPKNMKTDDSYQFMLDKLEADEKKKTWASFQERIAKCPKQVLRYCRDPKAKPLWPLSIGCPSVANIPKCNYCNGPICYEFQIMPQLLFYFGVRNDPDSLDWGTIAVYTCSASCGSSISYKEEFAWVQLYPTAPMT >Ma01_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6743925:6744182:1 gene:Ma01_g09320 transcript:Ma01_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENAQKDHTVARAILLQKEPGNKLFANSKLHLHVPAGAAQKGGPPTRITLLLSLAMNKPVKKELSMISEVTVTGRMLPIRGVWN >Ma08_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15702459:15702959:1 gene:Ma08_g15520 transcript:Ma08_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSFLSLLLLLCFSFQIISSAVVDDICGFVGDSYVDREFCLKTLSSDPRSKTADADGLAVISVEIAVAKAAEVKTRIEAQLKGAADGYEKDRAQAALQIFSNVVSTFEWSVKSLKSKFRSGPLSLLTVGQDVVVTIDQLVDMGKLGNDFQLLGSLAAAIVRHLH >Ma10_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26648718:26651006:-1 gene:Ma10_g14120 transcript:Ma10_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKRWLRSLWGGKKENREGKDFSGYRGDEDRREKKRWSFTKSVRGSGDDVVLGQNASTAAAVEAAWFRSFYSESEKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGSGAMFGRSIERLAAVKIQSAFRAYLAKKALRALKALVKLQALVRGYLVRKQAAATLHSMQALIRAQATVRARRARNLLRDDRRLQLEFRHQPPFERFEEVRSENMSSFHSRRLSAGLDNVSNGFDRSPKIVEIDICRPKSSRSSRRTNPSVLDPADDLHSSSISSPLPCQIPARIFIPDCRNFQEYDWCLAGDKCRLSHTAQSTPRYVNASGNAPVTPTKSVCGADGVLRRFMNVPDCPNYMASTQSFEAKARSQSAPKQRPEPAGTRKRLPPSEVMVESRASLSGAMMQRSCTRVQEAFNFKTAVVGRLDRESYLQRKT >Ma09_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3953501:3955989:1 gene:Ma09_g06140 transcript:Ma09_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDKAYLADHPGATTITPAQGEELRKQVGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRKEVAKKKSKRSPGCLMANLVCGGTCTT >Ma04_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:541049:543792:-1 gene:Ma04_g00570 transcript:Ma04_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSGLSPTLGATVVSIKAVTTIAKTDDNFVCATMDWWPPDKCNYGMCPWGNASILNLDLNNPILVNALKAFGSLRIRIGGSLQDQVLYEVGDGAHACPDFKIDKNGLFGFTEGCLPMQRWDELNKLFNSTGSIISFGLNALAGRSKSNSSGNLYEGQWDPTNARDFIKYTLSKNYTIESWELGNELSGSGVAASVEAVQYGKDMIVLKNVINDLYGQSKQHPKLLAPGGFFDKKWFADMLQTSGPGVIDAATHHIYNLGPGNDKDLIRKIQDPYYLDQVAQTFNDALITVGEFGPWSSPWIGESGGAYNSGGKSVSNAFVDGFWYLDQLGMASTYNHKVYCRQSLIGGNYCLLDTTTFVPNPDFYGALLWHQLMGPGVLHTTNDGSPYLRAYAHCSKKKSGVTLLLINLSNSTAFDVVVTSDLNLYQPRSGNEQGQHGEREEYHLTAQGGDLRSSEMLLNGKLLKLTPSFEIPHMEPSIVSAGAPLTIAPLSIAFVRFKDFQAPACAGN >Ma11_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13480204:13483617:-1 gene:Ma11_g11490 transcript:Ma11_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLAVEPDDSFSNLLELAANNDVDAFRRSLDRDPSAIDEFGLWYGREKGSNRMVLERRTPLMVAATYGSLDVLGLLLSLTSSVDINRAAALDGTTALHCAASGGSPSAADAVKLVLSAGANPNSVDANGHRPADVVVVPPKLPDVRTALEQLLGRSSNGTVEDHQHCALRVTTRLSSSDSPPLSSYPDANGSPFSRSASSPVMTAKAQEIQPPAFPEKKEYPVDPSLPDIKNSIYATDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACTRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCSRRVCFFAHTREELRPLYVSTGSAVPSPLSSCSAAMEAVAAMGLMPGSPSSVSTAMPPFTQPVSQSANGVSHSSLAWAQPNVPVLHLPGSNLQASRLRSSLSARDMPSDDFSVMHECDAQQLIDDLCYTRLSSSLGSRMAPSKTLAPSNLDDLFSAEFASSPKYKSDEGPFFSPSHKAAILGQFQQQQSLLSPINTVFSPKATEGHQLSRRSALLQASLGLSSPGRMSPRSMEPISPLNSHSSLYAHWEKQLQTPRSMSSRDLGSGTSLVVSSPVNASWSNWVSSPSGTFDWGVNGDELGLHKQWPFFELQDNGEEPDLSWVHSLVRESPPEKIGTVSVLLPGPSRLQPANGVEGSNSDSQPDSLDEAAVVGAFEQMQLDQMVP >Ma09_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10803116:10806899:1 gene:Ma09_g15480 transcript:Ma09_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPPLRCFRIPSPLVSRCVRSSPWSCFRVSVGASGRRSYSSSSLRRIVTANLGFDNEPGDGKVEDARAGDVKELSSDIRDRKNGKKSLKAFSPNELLQRLKRYGVAGVLSYGLLNTVYYFTTFLLVWFYIAPAPGKMGYAAAVERFLKLLATIWAGSQVTKIVRAGGALALAPFVDRGLSWFTVKFKFESKEQAFAVIAGLCFGLALLLFFGLTLLWA >Ma03_p33080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34589442:34590143:1 gene:Ma03_g33080 transcript:Ma03_t33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEEQAKPLAMSLPSVSADEETATRWRSIRYLRKRRYALWCCGCCGAAVVVLGITVLILSLTVFKVKDPTLTMNSLTVDGVNFDVGPFDDLVQLNATLVADISIKNPNVASFRFDNSTTDFYYEGETVGVAYAPAGKVSAHRTVRMNVTVDVLTNRVVRQMNITADTLTSGTQLNLTSFTDINGRVNVLGVYKRDIEVTMNCSMTLEVSATHQAIQSTDCSANVK >Ma08_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37343151:37343675:-1 gene:Ma08_g24050 transcript:Ma08_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLSNSRQENQVNMMNLMLQLAETDQVSTDPLQEYLPTPTFAAPQTPTNLSGNGDLSLVREMRFQIAAMQPIDIDPESARPPRKRRNVRVSKDPQSVAARLRRERISERIRVLQRMVPGGTKMDTASMLDEAVRYVKFLQTQVQSLERAAAAGAVPAHGNCCSCFRDTYQLQ >Ma09_p31090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40923986:40933096:-1 gene:Ma09_g31090 transcript:Ma09_t31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDGEGGGLQDPGVGDGFGGQDDGVADRAQFDASQYAFFGNSVMEEVELGGLEGDGGGADRSFVGIDDEDYQFSLLGDRVEEEDLSATSDIYELASTFSKLNKGTVEPWSTGVIGERGSFSRESSSTAEWTQETEFSNWQDQHIRETESVQDGKRWWSHPHPQAGQFADSRPLYRTSSSPQQQPQYQSSEPIHVPKSSHMSFPSHVAASQFPTNHTHHGIASSPTYGLQVPCSPPNPYPFSQIRPPAAHGSHYDVNMSQFGPPGLSSNSRQQNHWSERPSLLSWDNPNMISDVTQRQRPHVNNPIPSQLLTQQPQHGIHQLLPSISRFPHMPPQQFHPGHSPPQMINRFEAVLGMADFRDQRSRPIYRGRQNFGLPQQYSDVGNQKYNGWSRFRSKCMTTEELENILRLQHVATHSNDPYVDDYYHQACLAKKSAGSSLKHHFCPNLTKDLSSRAHSKDEPHAYLQVDALGRLSFSSIRRPRPLLEVETQSASVDNILDQKSAVKPLEEEPMLAARITIEDGLCLLLNVDDIDRLLQFSQPQDGGSQLRREREILLQELAASLQLVDPLGPGKSDHPGLAPKDDLVFLRLVSLPKGRKLLSRYLQLLTPNSELVRVVCMAIFRHLRVLFGVMPLDSSSAESITNLAKNISSCIHGMDISALSACLAAVVCSLEQPPLRPLGSSAGDGASIIIKAVLDRATQLLTDQKNAGNYSIPSCNLWQASFNAFFGLLTKYCLSKYESIMQSLSMQSANAAVAGSEVTRAISREMPVELLRASLPHTNEHQRKLLLDFAQRTIPATGQNAHGGSNGH >Ma09_p31090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40923986:40929357:-1 gene:Ma09_g31090 transcript:Ma09_t31090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSACTADPVAFPWRHLVVTFILVGYDELNKGTVEPWSTGVIGERGSFSRESSSTAEWTQETEFSNWQDQHIRETESVQDGKRWWSHPHPQAGQFADSRPLYRTSSSPQQQPQYQSSEPIHVPKSSHMSFPSHVAASQFPTNHTHHGIASSPTYGLQVPCSPPNPYPFSQIRPPAAHGSHYDVNMSQFGPPGLSSNSRQQNHWSERPSLLSWDNPNMISDVTQRQRPHVNNPIPSQLLTQQPQHGIHQLLPSISRFPHMPPQQFHPGHSPPQMINRFEAVLGMADFRDQRSRPIYRGRQNFGLPQQYSDVGNQKYNGWSRFRSKCMTTEELENILRLQHVATHSNDPYVDDYYHQACLAKKSAGSSLKHHFCPNLTKDLSSRAHSKDEPHAYLQVDALGRLSFSSIRRPRPLLEVETQSASVDNILDQKSAVKPLEEEPMLAARITIEDGLCLLLNVDDIDRLLQFSQPQDGGSQLRREREILLQELAASLQLVDPLGPGKSDHPGLAPKDDLVFLRLVSLPKGRKLLSRYLQLLTPNSELVRVVCMAIFRHLRVLFGVMPLDSSSAESITNLAKNISSCIHGMDISALSACLAAVVCSLEQPPLRPLGSSAGDGASIIIKAVLDRATQLLTDQKNAGNYSIPSCNLWQASFNAFFGLLTKYCLSKYESIMQSLSMQSANAAVAGSEVTRAISREMPVELLRASLPHTNEHQRKLLLDFAQRTIPATGQNAHGGSNGH >Ma03_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17087877:17110565:-1 gene:Ma03_g15780 transcript:Ma03_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPPKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALKIDPDNIEILRDLSLLQVQMRDLTGFVETRQQLLSLKPNHRMNWIGFAVAHHLNSNALKAVDILEAYEGTLEDDYPPENEHYEHGEMLLYKISLLEECGLLDRALEEMHRKEAKIVDKLAFKEQMASIVLKLGCFQEGEKIYRSLLFMNSDNYRYFIGLQKCLGLYSEKGEYTSDEIERLDALYKSLREQYSWSSAIKRIPLDFLEGVKFREAADNYVRPLLTKGVPSLFSDLSPLYEQNGKAAILEQLFLHLEHSIKSTGCFPGSSKREPPSTIMWTLFLVSQHYDRRGQYSLALAKIDEAIEHTPTVIDLYSIKGRILENAGDLPAAAALADEARSMDLADRYLNSECVMRMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGDSYFRQGDLGRALKNYLAVEKHYSDMTEDQFDFHSYCLRKMTLRAYVSMLKFQNQLHSHEYFHKAAVGAIRCYIKLHDFPSKLAMEEGDEMSQLPPSQRKKMRQKQKKAEARAKKEAEERNEEDTTSGTSKSGKRQNSRPVDLDPHGKKLLQVEDPLLEATKYLKLLQSNSANSLETHILSFEVNMRKRKILLAFQAVKHLLKLNENDPDCHRCLIKFFHKVSSLTSPTIDSEKLVWNVLVAERPHISQLSGKSIIETNKSFLEKHKDSLMHRAAAAEMLYVLEPDKKLDAIMLIEDSKNTLAMGNDALGPVNIWKLEDCIAVHKLLEDVFKDHGSASRWRARCAEYFPYSTYFGGCSSSAVMCTISNNTHSLPENGIAACQDAKIVDSHFLNGKLHGLKDLKIQ >Ma03_p15780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17087877:17110565:-1 gene:Ma03_g15780 transcript:Ma03_t15780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPPKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALKIDPDNIEILRDLSLLQVQMRDLTGFVETRQQLLSLKPNHRMNWIGFAVAHHLNSNALKAVDILEAYEGTLEDDYPPENEHYEHGEMLLYKISLLEECGLLDRALEEMHRKEAKIVDKLAFKEQMASIVLKLGCFQEGEKIYRSLLFMNSDNYRYFIGLQKCLGLYSEKGEYTSDEIERLDALYKSLREQYSWSSAIKRIPLDFLEGVKFREAADNYVRPLLTKGVPSLFSDLSPLYEQNGKAAILEQLFLHLEHSIKSTGCFPGSSKREPPSTIMWTLFLVSQHYDRRGQYSLALAKIDEAIEHTPTVIDLYSIKGRILENAGDLPAAAALADEARSMDLADRYLNSECVMRMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGDSYFRQGDLGRALKNYLAVEKHYSDMTEDQFDFHSYCLRKMTLRAYVSMLKFQNQLHSHEYFHKAAVGAIRCYIKLHDFPSKLAMEEGDEMSQLPPSQRKKMRQKQKKAEARAKKEAEERNEEDTTSGTSKSGKRQNSRPVDLDPHGKKLLQVEDPLLEATKYLKLLQSNSANSLETHILSFEVNMRKRKILLAFQAVKHLLKLNENDPDCHRCLIKFFHKVSSLTSPTIDSEKLVWNVLVAERPHISQLSGKSIIETNKSFLEKHKDSLMHRAAAAEMLYVLEPDKKLDAIMLIEDSKNTLAMGNDALGPVNIWKLEDCIAVHKLLEDVFKDHGSASRWRARCAEYFPYSTYFGGCSSSAVMCTISNNTHSLPENGIAACQDAKIVDPKNA >Ma11_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6415215:6420010:1 gene:Ma11_g08060 transcript:Ma11_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQEPAIEGGARQEAEDRRGGRPLGVEEQEHKARMSVPCPLTSRWIPR >Ma08_p02230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1789280:1795667:1 gene:Ma08_g02230 transcript:Ma08_t02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARMGALSSGHGSGGGAVDGGARRYSAHDQQQNHHQQQRQQLQHQPQIGTVAHLIAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIVHEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKNLLQLIPGLDRHRDSVSADVCVRLFGGGLAGITAASVTYPLDLVRTRLAAQTNTMYYRGISHAVYAICRDEGMRGLYKGLGPTLMGVGPSIAISFSVYETLRSRWQVERPYDSPVLVSLACGSLSGISSSTVTFPLDLVRRRMQLEGAAGRACVYKSGVFGTFKHIIRTEGFRGLYRG >Ma08_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1789242:1795253:1 gene:Ma08_g02230 transcript:Ma08_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARMGALSSGHGSGGGAVDGGARRYSAHDQQQNHHQQQRQQLQHQPQIGTVAHLIAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIVHEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKNLLQLIPGLDRHRDSVSADVCVRLFGGGLAGITAASVTYPLDLVRTRLAAQTNTMYYRGISHAVYAICRDEGMRGLYKGLGPTLMGVGPSIAISFSVYETLRSRWQVERPYDSPVLVSLACGSLSGISSSTVTFPLDLVRRRMQLEGAAGRACVYKSGVFGTFKHIIRTEGFRGLYRGILPEYLKVVPSVGIVFMTYETLKSIFSSISADD >Ma06_p31140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32318560:32319218:-1 gene:Ma06_g31140 transcript:Ma06_t31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIKLQKPVTWVDDDDDFWESMEHNKKDHGEVFMAPVKEKGGCGRSTEVRIKISKKQLEELLRQDDGNGLPLREVLAGLVSMHEPGKLHDQETHWRPRLQSIPEMPE >Ma07_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4570476:4575825:-1 gene:Ma07_g06340 transcript:Ma07_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDRQIEQLKRCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDVDGWGLSPRGAGYLFGGNVVTSFNHSNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILVLDENLDQQFTVFEAAPHESRGVPSKKPAPDYFL >Ma05_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:48386:48904:1 gene:Ma05_g00080 transcript:Ma05_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEENNGTSTVTKTSHRPKKRSTLGIVWASIFTMRRCSSSKKGPKSPTAADGMLKSLVDGMRPLHLQLDYRPPFPLLPPPPPAGHESFHDVFPPPSSPACSSNDGMSRYASAEDLQALDDDTDEGNDGSTGANDVDEGEASHAIDTKAEEFITRFYEQMRLQRLDSQPGH >Ma04_p25460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27209132:27210663:1 gene:Ma04_g25460 transcript:Ma04_t25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVVDTSSLSLPVDRENGVAPASDDNPSPQAATSPGAGGRVKLMVSYGGRIQPRPHDSARLSYVGGETKILSLDRSARLPTLLAKLATLVPCAPFCLKYQLPGEDLDALVSVTDEDDLEHMMVEYDRLHGSSSSPKPTHRLRLFLFTVRPPPPPPSAALLDAARPDRQWFFDALNAVSAPATPATQPSAVAASPSPDYLFGLDEGFVPPPAVKVAVDPQPPLTLETLSIEAPAKPDLAKVEPHQQIPEPADSTVTAPVVVSVAEVQGPIQELHSLQVAENPPPLIPQNSSEEAVRRDHATEYQVPRDAEKVAPAAAQAPEQRSGLPVARYASLAPGHDQAVYLLPTSQGVYPGFYAAAPWMATAEAYRSAAVSAKAMGRGGGAEAYAAGGGQLAYDSTGRVVYYASMVPTYQTVSSVAAYNPVGAAVKAAKPSQIS >Ma03_p26310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30076987:30079694:-1 gene:Ma03_g26310 transcript:Ma03_t26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAAVSVSGSSPVAAAAMARDHRAEGMRRSESGTDLSGMRRSMSAPQLRCSLNVPRAAAPASLKSSRSIGVFPLGSIITNSIRSFLFDSGEGVTGGGMRLVEPAEESDEEVVAGSEEEGIGQEKRANWVARILELRRRWRDRQHKVDGVEEEDKEGGEEDGYCGVSYESEEEEEGEWDRESFESLLGRVPWSDEKLFSQLAFLCDMAYVIPEIKEEELRAWYDVGFVTSSLEKKEEAAIRAKFESDSTPLPQGPQGSDPMTTAHPNRQVRPSLAYKVAASAASYVHSRAKGLLSLGSGAGHCAADSEETLGPSSYGYKNPEVAAYVAASTMTAVVAAEEEARQEAAKDLRSLHSSPCEWFVCDHERTRTRCFVIQGSDSLASWQANLFFEPTKFEGMEVLVHRGIYEAAKGIYEQFLPEIKDHLSRHGDRARLRFSGHSLGGSLSLLVGLMLLARGDVKLHHLLPVVTFGSPSVFCGGQRVLEELGLDEGFVRSVIMHRDIVPRAFSCDYPNHVAHVLKRLNGAFRSHPCLNNQKVLYSPLGKTYILQPDDQSSPFHPMLPPEAALYVLDGKNIAGSSSRATTKSALRAFVNSPHPLETLSDPRAYGSEGTILRDHDCSNYVKALNALLRQHTKSVRRRSRKQQFNQRWPLVAGPGTGLLPSHPCGSHRNPLLETPALVTNELSTGV >Ma01_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6562516:6564593:1 gene:Ma01_g09120 transcript:Ma01_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYSAVPVTASIELQANSPVPPQVPPKKEFLEEEFDDDLPLILDADGAGYGPSASGSGVPGAVFNLATSIIGAGIMALPAAMKVLGILLGFVSIVLMGILSEISIELLIRFAVLCKSTSYGDVVESALGRPFKIVSEICVIVNNAGVLVVYLIIIGDVMSGSAKHVGVFDQLLGHGEWDHRKLVIFVVLVIFLAPLCALEKIDSLSLTSAASVALAVVFVVVSCIIASVKLAEGRTRTPRMGPNFGSKAAILDLLVVVPIMTNAYVCHFNVQPIYNELKERTPKKMYLVSRITTVLSVAIYASTSISGYLLFGEDTESDVLTNFDKDLGIPFSSILNYVVRIGYVLHLVLVFPVIHFSLRQTVDSLVFAGSAPASRKRMLALTAILLCVIYFGSTMIPNIWVAFKFTGATTGMSLGFIFPALIALRLDKQKKILKLQERYIAWVMLVLAVVASILGVVGNIYTLKNMSE >Ma10_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26247761:26254265:-1 gene:Ma10_g13450 transcript:Ma10_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSEASRHRGSGLEEIWKRELGPSLPRFFARRVGGSEALVKRMVSYGNLCGHKGCVNTIHFNPAGDLLVSGSDDKGIILWNWESKYKKFTYASGHMDNVFQALIMPFTEDRTIITSAADGQVRVGQIADNGIVTTKQLGTHRGRVHKLAIEPGSPHIFYSCGEDGLIQHFDLRSHAPTKLFLCSSFSDNKQPVRLNTIVIDPCNPYYFSVGGFDEYARVYDIRNYQWDASSSSDQPVNTYCPRHLIGSDNVHITGLAYSYMSELLVSYNDELIYLFERDMGLGPNPRSAPAANLDKIDQPQVYAGHRNSQTVKGVSFFGPSDEYVVSGSDCGHVYIWKKKHGDLVWMMAGDKHIVNCVEPHPFFPFLASSGYDKNVKLWTPGWPPSPLPRNVEERRQALAYIEHRPSTADLESDEDDDREAFILGFADPETAEEGSNADPRECIIC >Ma10_p13450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26247761:26254265:-1 gene:Ma10_g13450 transcript:Ma10_t13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSEASRHRGSGLEEIWKRELGPSLPRFFARRVGGSEALVKRMVSYGNLCGHKGCVNTIHFNPAGDLLVSGSDDKGIILWNWESKYKKFTYASGHMDNVFQALIMPFTEDRTIITSAADGQVRVGQIADNGIVTTKQLGTHRGRVHKLAIEPGSPHIFYSCGEDGLIQHFDLRSHAPTKLFLCSSFSDNKQPVRLNTIVIDPCNPYYFSVGGFDEYARVYDIRNYQWDASSSSDQPVNTYCPRHLIGSDNVHITGLAYSYMSELLVSYNDELIYLFERDMGLGPNPRSAPAANLDKIDQPQVYAGHRNSQTVKGVSFFGPSDEYVVSGSDCGHVYIWKKKHGDLVWMMAGDKHIVNCVEPHPFFPFLASSGYDKNVKLWTPGWPPSPLPRNVEEIMAANKRGREARARVSLSPDVIMHVLRLQRRQALAYIEHRPSTADLESDEDDDREAFILGFADPETAEEGSNADPRECIIC >Ma04_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4506813:4509348:1 gene:Ma04_g06100 transcript:Ma04_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVCGKRSSSIFEELAHTPPPSVSKRARCCFAGAASPTTPALRPSPALSTPCFDGNDSGSGVDIHQVAAHIAHLRFLFPEMDPQLLQRALEESGHDLASAVKCLNALRLESTEINLMSAQSKTEAVLETKPQVSAEGITNDCKDATAPIQPAEEHPPRDNSEWVDLFVRGMMNASDMNDARSRASQMLGVLEKSITARAGAEAMKSLHKENTTMKEKTRVLLGENNLLKRAVAMQHDRQKNYDEICRESQHLNQLVSQYQELLRTLEVNNFALKMHLKEAQHSRSIPGRFHPDIF >Ma04_p06100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4506813:4511010:1 gene:Ma04_g06100 transcript:Ma04_t06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVCGKRSSSIFEELAHTPPPSVSKRARCCFAGAASPTTPALRPSPALSTPCFDGNDSGSGVDIHQVAAHIAHLRFLFPEMDPQLLQRALEESGHDLASAVKCLNALRLESTEINLMSAQSKTEAVLETKPQVSAEGITNDCKDATAPIQPAEEHPPRDNSEWVDLFVRGMMNASDMNDARSRASQMLGVLEKSITARAGAEAMKSLHKENTTMKEKTRVLLGENNLLKRAVAMQHDRQKNYDEICRESQHLNQLVSQYQELLRTLEVNNFALKMHLKEAQHSRSIPGRFHPDIF >Ma02_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25163499:25165186:-1 gene:Ma02_g18760 transcript:Ma02_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPWKILPRCALFFSLFLRLCVADVGTAAYYGPPYTPTVCFGGDTSRFPPNNLFAAAGEGVWDNGAACGRAYTVRCLSSPTPKACVNGSTVQVKVVDQGSMLNSMPSTNGTTLVLSNAAFQMIASSTASIINIEFAQV >Ma10_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32996111:32998205:1 gene:Ma10_g24350 transcript:Ma10_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRTGLRRNSLLPLFETCHLPDRLFFSSSVHAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEAAKFSKPLARLRSTENPDAVVNFMRSQGFDGAGIRKVISRNPSHLCVNVEKNLAPKFQFLRDLGLSESDIADAILKNDFILRLDVHRSLIPKLEMWESLLGSRELVLKHLKKTRWFFSSSVEKTLHPNLKFLRDECGIPEERVSVVLRSHPQLISRKPESLRALVARADELGMPRQSRMFVRTLDALFMVSKERFEAKVELMRSFGWSESEFSSVVRKVPTFLCISLDMMRRKMEFFINVVGYTPSFIASQPTILLYSLQKRVIPRFHVTEMLKSKGLWTGQCKFLWILIMSDTKFMEKFVLPHKENVPELLDIMRVAGACKRNDTFHLASEDEKGLN >Ma11_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9333924:9336627:1 gene:Ma11_g10090 transcript:Ma11_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKRKKKKTKTKKNSIQPRPNSFPASPPPMSGLQSPVDPLETLLPHPSSPQENSQSQSPATKSDKGEEVSEDPAAADTEEDEPQLTMSPAAAPPTDVAAVDLPAAPPRPSPLPRKPPAKRKKALSSKQRAAAKQKLALLTAGFRPVPFPSDHAGADVDLAAHEPLFRALALWDFAHLPLDRDVRTDLLIPLIANYDPPNRRSFVQDLRITVSRADLARALMLPVKKDKTGFSESGAADPNPEMYSREESAAAVLGFMSGFMLFPFQDDACILPAEVMAAHLMVKEGQPHKVDWAGLMWMLVEKELLEAPKSAVCHYASHLQCLMKHQQPRLFQEAECKLEPVPEPVPEAENLEDAAIAEEEDDAEDVTEDDAARIRSSDDVGDVAGEKHEPGLTLGLGGDLDMTNDFEQFKEGEEQWLREEDNGGTEQCLRRCNSAGVRSMEFENLCKEDGEGRGEEGYIDDLSARYASLDRLSSFDRLTSTDLLQVMGNVNISYGQPMNPLLSSGEFLTMSTDAHKNIHLDPSHGRPYFVGNNGKRQISEVDDEDDNDDDPQRFSQNNQQKRIRIWESTSSELDAILEQVELSVGKARMVSAEKEQARMNAQLQLQCMNEMLQQKDRVIQSLEKTRVEEQQKWHLEACRYEHEINVMANLVIGYKKALREIRGAFAGYRKKYPRGDEPLYHVVVGSGGLVLSAKELERQRFEKEEEIRRTAMEMINGFEREWMLKLEHYDSSVVILFGRMVELKEKMELLKGRLAKSVTSDA >Ma11_p19460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24314682:24327097:-1 gene:Ma11_g19460 transcript:Ma11_t19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEGLDESLIRDCEQIIHSEFSEYHRQLKLQYEEHLSSISTFHRQLEEVDLDVGISEAQVQLTKYDYSSSAHVKFNEYSTTESSDDVEKQELDDLSDEDEFSFFDTSECFGDSASKVIASGDSDRTSGIENNCCDNNIMDEGQLNYQNMLLHTKRRTKLPKPIEREKGVSLWSIIKDNVGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEHGKKGNGLMRILNVAAFAVSGYSSCDGRLCKPFNPLLGETYEADYPEKGVRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLRSKFRGQSIQLDPLGVLTLEFDDGEIFQWSKVTTTIYNLILGKVHCNHHGTMNILGNRQYSCKLKFKEQSLLDRNPHQVLGFVESMNGSKVATLVGKWDDSMYFSFGDEILKTKSSVSTENANLLWKRNKPPTEPTRYNLTSFAITLNELTSELKEKLPPTDSRLRPDQRYLENGEYEKANTEKLRLEKRQRMSRKLQENGWKPRWFQRDSEDATFCYIGGYWEARDQQKWDDCMDIFGEYSMN >Ma11_p19460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24314682:24327890:-1 gene:Ma11_g19460 transcript:Ma11_t19460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMPHPLCCISIDCPELGGRSPPVPAHPPPGRDGYGDGFSVAVAGVLCKWTNIGKGWRYRWFSLQSGVLSYSKIRRGDPPLVPDGGGVRLIGSAAALFSHGGGRRARKPVRVVHLKVSSFRESKTDDRRFYIFSPTKTLRLRTRSSIDRVAWIQALILATKEFALRREISFIPNDASISTEKLRARMQVEGLDESLIRDCEQIIHSEFSEYHRQLKLQYEEHLSSISTFHRQLEEVDLDVGISEAQVQLTKYDYSSSAHVKFNEYSTTESSDDVEKQELDDLSDEDEFSFFDTSECFGDSASKVIASGDSDRTSGIENNCCDNNIMDEGQLNYQNMLLHTKRRTKLPKPIEREKGVSLWSIIKDNVGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEHGKKGNGLMRILNVAAFAVSGYSSCDGRLCKPFNPLLGETYEADYPEKGVRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLRSKFRGQSIQLDPLGVLTLEFDDGEIFQWSKVTTTIYNLILGKVHCNHHGTMNILGNRQYSCKLKFKEQSLLDRNPHQVLGFVESMNGSKVATLVGKWDDSMYFSFGDEILKTKSSVSTENANLLWKRNKPPTEPTRYNLTSFAITLNELTSELKEKLPPTDSRLRPDQRYLENGEYEKANTEKLRLEKRQRMSRKLQENGWKPRWFQRDSEDATFCYIGGYWEARDQQKWDDCMDIFGEYSMN >Ma11_p19460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24314682:24326637:-1 gene:Ma11_g19460 transcript:Ma11_t19460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEGLDESLIRDCEQIIHSEFSEYHRQLKLQYEEHLSSISTFHRQLEEVDLDVGISEAQVQLTKYDYSSSAHVKFNEYSTTESSDDVEKQELDDLSDEDEFSFFDTSECFGDSASKVIASGDSDRTSGIENNCCDNNIMDEGQLNYQNMLLHTKRRTKLPKPIEREKGVSLWSIIKDNVGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEHGKKGNGLMRILNVAAFAVSGYSSCDGRLCKPFNPLLGETYEADYPEKGVRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLRSKFRGQSIQLDPLGVLTLEFDDGEIFQWSKVTTTIYNLILGKVHCNHHGTMNILGNRQYSCKLKFKEQSLLDRNPHQVLGFVESMNGSKVATLVGKWDDSMYFSFGDEILKTKSSVSTENANLLWKRNKPPTEPTRYNLTSFAITLNELTSELKEKLPPTDSRLRPDQRYLENGEYEKANTEKLRLEKRQRMSRKLQENGWKPRWFQRDSEDATFCYIGGYWEARDQQKWDDCMDIFGEYSMN >Ma11_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24314682:24326313:-1 gene:Ma11_g19460 transcript:Ma11_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEGLDESLIRDCEQIIHSEFSEYHRQLKLQYEEHLSSISTFHRQLEEVDLDVGISEAQVQLTKYDYSSSAHVKFNEYSTTESSDDVEKQELDDLSDEDEFSFFDTSECFGDSASKVIASGDSDRTSGIENNCCDNNIMDEGQLNYQNMLLHTKRRTKLPKPIEREKGVSLWSIIKDNVGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEHGKKGNGLMRILNVAAFAVSGYSSCDGRLCKPFNPLLGETYEADYPEKGVRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLRSKFRGQSIQLDPLGVLTLEFDDGEIFQWSKVTTTIYNLILGKVHCNHHGTMNILGNRQYSCKLKFKEQSLLDRNPHQVLGFVESMNGSKVATLVGKWDDSMYFSFGDEILKTKSSVSTENANLLWKRNKPPTEPTRYNLTSFAITLNELTSELKEKLPPTDSRLRPDQRYLENGEYEKANTEKLRLEKRQRMSRKLQENGWKPRWFQRDSEDATFCYIGGYWEARDQQKWDDCMDIFGEYSMN >Ma07_p09610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7190676:7208113:1 gene:Ma07_g09610 transcript:Ma07_t09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNEKWIDGLQFSSLFWPPPQDETQRQAQVLAYVEYFSQFTSEEFPEDIAQLIQSHYPSKEKRLLDEVLALFILHHPEHGHAIVHPILSLIIDGTLVYNRNNPPFSSFISLVSQNSEKQCSEQWALACGEILRILTHYNRPIFKAECHNTGTERSNSCNHATTSKSEKQEANNPILQEHDRKPLRPLSPWITDILLASPLGIRSDYFRWCGGVMGKYAAGGELKPPTTACSHGSGKHPLLMPSTPRWAVANGAGVILSVCDEEVAHYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPRNWMHLHFLRAIGIAMSMRAGVAADAAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGFISSYKKQLEVPASEASIEATAQGIASMLCAHGPDVEWRMCTIWEAAYGLLSLSSSAVDLPEIVVAAPLQPPPLSWNLYLPLLKVLEYLPRGSPSEACLIRLFVATVEAILRRTFPSESSTKQKRKSRLHGSMWSATKNFAIAELHTMIHSLFLESCATMDLASRLLFVVLTVCVSHEALPNGSKRSTDYGSYSADEFTEEPQVVNGRAANRNKIRKKQGPVSTFDSYVLAAVCALACELQLFPIISKNGIHSDSKKSAKSIKAAKTNGIAYELHSTIRSAVCHTRRILGILEALFSLKPSSIGTSWSYGSNEIVAAAMVAAHVSELFGRSKACMNALSIMMRCKWDVEISTRASSLYNLIDKHGKIVASIVHKAEPLEAQLVHAQVRKDDPTCSSGRVSVSTGSSAFLPEDIPCSDSNCSSSGTFVKAGKGILSTDTSIETSGKSFSSLPVDASDLANFLMTDWYVGYNCGAQTLLRSVLAEKQELCFSVVSLLWHKLIAAPETKMSAESTSAHQGWRQVVDAICNVVPASPTKASTAIVLQAEKDLQPWIARDDEQGQRMWKINHRIVKLIVELMRNHECPEALIILASASDLLLRATDGLLVDGEVCTLPQLELLEVTAKAVQLVIHWGETGLAVADGLANLLKCRLSSTVRCLSHPSAHVRALSTSVLRDILHSSPTTISSGCLNVDGQRNCNSSYHWQADMEKCIQWEAQSRLATGLTLAYLCAAAKELGCPIDC >Ma07_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7190676:7208113:1 gene:Ma07_g09610 transcript:Ma07_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNEKWIDGLQFSSLFWPPPQDETQRQAQVLAYVEYFSQFTSEEFPEDIAQLIQSHYPSKEKRLLDEVLALFILHHPEHGHAIVHPILSLIIDGTLVYNRNNPPFSSFISLVSQNSEKQCSEQWALACGEILRILTHYNRPIFKAECHNTGTERSNSCNHATTSKSEKQEANNPILQEHDRKPLRPLSPWITDILLASPLGIRSDYFRWCGGVMGKYAAGGELKPPTTACSHGSGKHPLLMPSTPRWAVANGAGVILSVCDEEVAHYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPRNWMHLHFLRAIGIAMSMRAGVAADAAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGFISSYKKQLEVPASEASIEATAQGIASMLCAHGPDVEWRMCTIWEAAYGLLSLSSSAVDLPEIVVAAPLQPPPLSWNLYLPLLKVLEYLPRGSPSEACLIRLFVATVEAILRRTFPSESSTKQKRKSRLHGSMWSATKNFAIAELHTMIHSLFLESCATMDLASRLLFVVLTVCVSHEALPNGSKRSTDYGSYSADEFTEEPQVVNGRAANRNKIRKKQGPVSTFDSYVLAAVCALACELQLFPIISKNGIHSDSKKSAKSIKAAKTNGIAYELHSTIRSAVCHTRRILGILEALFSLKPSSIGTSWSYGSNEIVAAAMVAAHVSELFGRSKACMNALSIMMRCKWDVEISTRASSLYNLIDKHGKIVASIVHKAEPLEAQLVHAQVRKDDPTCSSGRVSVSTGSSAFLPEDIPCSDSNCSSSGTFVKAGKGILSTDTSIETSGKSFSSLPVDASDLANFLMTDWYVGYNCGAQTLLRSVLAEKQELCFSVVSLLWHKLIAAPETKMSAESTSAHQGWRQVVDAICNVVPASPTKASTAIVLQVQKLFSFKLFQYISYLCFKLFQLLFKCSRFFSHFWRNVFFGQAEKDLQPWIARDDEQGQRMWKINHRIVKLIVELMRNHECPEALIILASASDLLLRATDGLLVDGEVCTLPQLELLEVTAKAVQLVIHWGETGLAVADGLANLLKCRLSSTVRCLSHPSAHVRALSTSVLRDILHSSPTTISSGCLNVDGQRNCNSSYHWQADMEKCIQWEAQSRLATGLTLAYLCAAAKELGCPIDC >Ma07_p09610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7190651:7208113:1 gene:Ma07_g09610 transcript:Ma07_t09610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNEKWIDGLQFSSLFWPPPQDETQRQAQVLAYVEYFSQFTSEEFPEDIAQLIQSHYPSKEKRLLDEVLALFILHHPEHGHAIVHPILSLIIDGTLVYNRNNPPFSSFISLVSQNSEKQCSEQWALACGEILRILTHYNRPIFKAECHNTGTERSNSCNHATTSKSEKQEANNPILQEHDRKPLRPLSPWITDILLASPLGIRSDYFRWCGGVMGKYAAGGELKPPTTACSHGSGKHPLLMPSTPRWAVANGAGVILSVCDEEVAHYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGMRLPRNWMHLHFLRAIGIAMSMRAGVAADAAAALLFRILSQPTLLFPPLRHAEGVEVHHEPLGGFISSYKKQLEVPASEASIEATAQGIASMLCAHGPDVEWRMCTIWEAAYGLLSLSSSAVDLPEIVVAAPLQPPPLSWNLYLPLLKVLEYLPRGSPSEACLIRLFVATVEAILRRTFPSESSTKQKRKSRLHGSMWSATKNFAIAELHTMIHSLFLESCATMDLASRLLFVVLTVCVSHEALPNGSKRSTDYGSYSADEFTEEPQVVNGRAANRNKIRKKQGPVSTFDSYVLAAVCALACELQLFPIISKNGIHSDSKKSAKSIKAAKTNGIAYELHSTIRSAVCHTRRILGILEALFSLKPSSIGTSWSYGSNEIVAAAMVAAHVSELFGRSKACMNALSIMMRCKWDVEISTRASSLYNLIDKHGKIVASIVHKAEPLEAQLVHAQVRKDDPTCSSGRVSVSTGSSAFLPEDIPCSDSNCSSSGTFVKAGKGILSTDTSIETSGKSFSSLPVDASDLANFLMTDWYVGYNCGAQTLLRSVLAEKQELCFSVVSLLWHKLIAAPETKMSAESTSAHQGWRQVVDAICNVVPASPTKASTAIVLQVQKLFSFKLFQYISYLCFKLFQLLFKCSRFFSHFWRNVFFGQAEKDLQPWIARDDEQGQRMWKINHRIVKLIVELMRNHECPEALIILASASDLLLRATDGLLVDGEVCTLPQLELLEVTAKAVQLVIHWGETGLAVADGLANLLKCRLSSTVRCLSHPSAHVRALSTSVLRDILHSSPTTISSGCLNVDGQRNCNSSYHWQADMEKCIQWEAQSRLATGLTLAYLCAAAKELGCPIDC >Ma03_p01120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:867437:878578:1 gene:Ma03_g01120 transcript:Ma03_t01120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVALLPSLSSEPAMSLELHPHPPSPQYDEEEKASPSSSSSSASSPAAEDARPFLRRSASAAGGGGRSRRRRTVSEPSLRSLSSHTSRGRSLGRDVGHAAAETFLLTRLAITLLRYLGVGYRWITKFLALICYATLLMPGFLQVGYYYFFSSQVRRSIVYGDRPRNRLDLYLPTKVDGLKPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRIYLIGQSAGAHIAACTILDQAIKECGERECTSWSVSQIKAYFGISGGYNMLELVDHFHSRGLYRSIFLSIMEGEQNLWRFSPEVLVQDSSIKHAISLLPHVILFHGTSDQSIPSTASKKFVDTLHGVGAKVDIVLFEGKTHTDLFLQDPLRGGKDELLEHIAAVIYGGVAAAHAHISVAPLPRRLVPEFMLQLARKISPF >Ma03_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:867437:878578:1 gene:Ma03_g01120 transcript:Ma03_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVALLPSLSSEPAMSLELHPHPPSPQYDEEEKASPSSSSSSASSPAAEDARPFLRRSASAAGGGGRSRRRRTVSEPSLRSLSSHTSRGRSLGRDVGHAAAETFLLTRLAITLLRYLGVGYRWITKFLALICYATLLMPGFLQVGYYYFFSSQVRRSIVYGDRPRNRLDLYLPTKVDGLKPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVEDASQGISFVCNNIGSYGGDPNRIYLIGQSAGAHIAACTILDQAIKECGERECTSWSVSQIKAYFGISGGYNMLELVDHFHSRGLYRSIFLSIMEGEQNLWRFSPEVLVQDSSIKHAISLLPHVILFHGTSDQSIPSTASKKFVDTLHGVGAKVDIVLFEGKTHTDLFLQDPLRGGKDELLEHIAAVIYGGVAAAHAHISVAPLPRRLVPEFMLQLARKISPF >Ma04_p26290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27791805:27799283:1 gene:Ma04_g26290 transcript:Ma04_t26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGNFWDLLKPYAHNEGVDFLRDKRVAVDLSFWLVQHEAAIRSRSPRARNPHLRTTFFRTVALFSKMGAYPVFVVDGTPSPLKAQARIERFFRMSGLDPTALPKPVEDEEGEASPVKQRNQAFTRCVRECMELLRLLGMPVLEARSEAEGLCAQLNSEGHVDACITADSDAFLFGATCVIKRLRSNSKEPFECYNVSDIEAGLGLGRKQLIAIALLVGSDHNLHGVPGFGVDTAVRFVRLFNEDEILNRLLEIGKGDIDLVNGITKSPRSSVGSGNVRSPHCSNCGHPGSKSAHLKIVCEYCVTNGSRNCMKKSSGFKCTCSSCAEERKFKEHQRRENWQIKMCKIISAEPKFPNNEIIALFLANNHGYYSEKDGPSLSWDKPKVEDLIDFLTYHQHWEPSYIRQRMIPMLSTVYLREMASTQNESSLLNDQYKFHSILRVKISHGHPYYLVKWKRAAINTVVHSVSTEQTEVDQTQLSGSIESTDPLDEPDVPTILVDNGCWFLLTDENINLVQAAFPKEVNNFMEEKGSEEFRSKQSKYMYKAGMSDKLAPSKSTGVQLSITEFYRSEKVLAQPALGDDSEKKSGKGKSPGDHRRKSDDVDKNLPKSVRRRLLFN >Ma04_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23619804:23627256:-1 gene:Ma04_g20960 transcript:Ma04_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNIGLELLGAIDSRLKWKITTKDRKRAVRRARTSYSSGFRKNSAVKGSKRNEDTKDQYLKRARDFSVSESEKVGVSVLGGRFSDSLEIVPIKKRRFLLVSSPSSPLQSSYSDDSDHLIEGQPPPYQKTSAYDKNHDKRAVADWTSNLHDINEEASAAADFSGISILAAAACDSEILSDLINTGGSVSKGHSCEVLFKDTQGAKSHSLYGDKERQPQSTQDLHENCDVFTEKCSTSIPADDEEYQGSANEKSLIDPLQNASDKMRSSGSDSRLHWDLNTVMDTWNSKFDEVVISESEPHPINPACESHNHNKKLEDFEALQHQVENCESRCYTEFGVSGENVVGLSKDNCALLKSQAWEKPDVCNDSSVVDHEKAKQWSLPHSDGLVEELEDATYISLVNSTGETKVVPNQGSDICSTKVVSSVVGDVLGSLRDTTMVIESVSAKADADSGLELETATSFSHLPLSLDTSSDFNTHPYNSHLKFGQSISKSIFDEKPNAVASNTDHCLPNAEVEHPIHINPSQFEKQGLLNTDSESSYQCPPHAEKTSDPLFHKSTSDEIEHSDDIDVTNDLHTDGSPRTVDRSSCLLNGHLLGSLESCNPDALPSGITHVGKCEQATAQLNVDDDKCVDPVVPVSVLAGMTEDVNLVDDAVGTQEPGKSYMDYCVNYSGEASLNDCVHNYGSGASLADMGEANGVEKVDLLGDDDSQFEDGEFRESVLQSWGEDGAEEGESEHLDYGSDNKENVAVEAVSGFPYSVSFSGESMTCKNRDAPVVAHDGATPVMNSVFAVSEPPMKCSSKSTSLDAGDGKRSFVDVDRKDCTDHFAVNNWRRKQRSGSYSSVPGSHGENTIGHSGFQEKGDNDREPSASVRIKASGWDKLPVDHVHTGDSMMDAGIGSVKQGGKSGALDIFDADKLLERSGLLFRRGLSSQVERLMSSDESCRRDRSCIKGCRSDSNGGLNTKAEKNSAAPKSADMGESSQHTKGRCRDEHWFDSPSYRGPKHHDSPEYCDAPNYARPSLRNAAAAAVAKVESNGFVVAPDGTVVKAGGVGNAGPMLRRAANASVQNRWRSQAETELAYGMQRRLGNVRNMSPDRHFSNSRGRAGKYGHEMARDRYHRSVPDGSMDSSLTMHHLSSRDRSFSPHRGPLRLSRSRSRSPSRSRSRSPHMWTSPRRREIGMNDTHGFRKRSRTPKIRMERMRSPHSRPSSEDFMVRYGPTSKTLSSPLHSSRWIDERRDSHNHHRKHEYKRSSRRSPSIKVFRNPRLDSMDSQGRSKPDNFCRPLHSSKIPEVAVMNKRYRCTGSDDRRGYDDRYESLQPMRRYNPDSNEKRFR >Ma04_p20960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23619804:23627256:-1 gene:Ma04_g20960 transcript:Ma04_t20960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNIGLELLGAIDSRLKWKITTKDRKRAVRRARTSYSSGFRKNSAVKGSKRNEDTKDQYLKRARDFSVSESEKVGVSVLGGRFSDSLEIVPIKKRRFLLVSSPSSPLQSSYSDDSDHLIEGQPPPYQKTSAYDKNHDKRAVADWTSNLHDINEEASAAADFSGISILAAAACDSEILSDLINTGGSVSKGHSCEVLFKDTQGAKSHSLYGDKERQPQSTQDLHENCDVFTEKCSTSIPADDEEYQGSANEKSLIDPLQNASDKMRSSGSDSRLHWDLNTVMDTWNSKFDEVVISESEPHPINPACESHNHNKKLEDFEALQHQVENCESRCYTEFGVSGENVVGLSKDNCALLKSQAWEKPDVCNDSSVVDHEKAKQWSLPHSDGLVEELEDATYISLVNSTGETKVVPNQGSDICSTKVVSSVVGDVLGSLRDTTMVIESVSAKADADSGLELETATSFSHLPLSLDTSSDFNTHPYNSHLKFGQSISKSIFDEKPNAVASNTDHCLPNAEVEHPIHINPSQFEKQGLLNTDSESSYQCPPHAEKTSDPLFHKSTSDEIEHSDDIDVTNDLHTDGSPRTVDRSSCLLNGHLLGSLESCNPDALPSGITHVGKCEQATAQLNVDDDKCVDPVVPVSVLAGMTEDVNLVDDAVGTQEPGKSYMDYCVNYSGEASLNDCVHNYGSGASLADMGEANGVEKVDLLGDDDSQFEDGEFRESVLQSWGEDGAEEGESEHLDYGSDNKENVAVEAVSGFPYSVSFSGESMTCKNRDAPVVAHDGATPVMNSVFAVSEPPMKCSSKSTSLDAGDGKRSFVDVDRKDCTDHFAVNNWRRKQRSGSYSSVPGSHGENTIGHSGFQEKGDNDREPSASVRIKASGWDKLPVDHVHTGDSMMDAGIGSVKQGGKSGALDIFDADKLLERSGLLFRRGLSSQVERLMSSDESCRRDRSCIKGCRSDSNGGLNTKAEKNSAAPKSADMGESSQHTKGRCRDEHWFDSPSYRGPKHHDSPEYCDAPNYARPSLRNAAAAAVAKVESNGFVVAPDGTVVKAGGVGNAGPMLRRAANASVQNRWRSQAETELAYGMQRRLGNVRNMSPDRHFSNSRGRAGKYGHEMARDRYHRSVPDGSMDSSLTMHHLSSRDRSFSPHRGPLRLSRSRSRSPSRSRSRSPHMWTSPRRREIGMNDTHGFRKRSRTPKIRMERMRSPHSRPSSEDFMVRYGPTSKTLSSPLHSSRWIDERRDSHNHHRKHEYKRSSRRSPSIKVFRNPRLDSMDSQGRSKPDNFCRPLHSSKIPEVAVMNKRYRCTGSDDRRGYDDRYESLQPMRRYNPDSNEKRFR >Ma04_p20960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23619804:23627256:-1 gene:Ma04_g20960 transcript:Ma04_t20960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNIGLELLGAIDSRLKWKITTKDRKRAVRRARTSYSSGFRKNSAVKGSKRNEDTKDQYLKRARDFSVSESEKVGVSVLGGRFSDSLEIVPIKKRRFLLVSSPSSPLQSSYSDDSDHLIEGQPPPYQKTSAYDKNHDKRAVADWTSNLHDINEEASAAADFSGISILAAAACDSEILSDLINTGGSVSKGHSCEVLFKDTQGAKSHSLYGDKERQPQSTQDLHENCDVFTEKCSTSIPADDEEYQGSANEKSLIDPLQNASDKMRSSGSDSRLHWDLNTVMDTWNSKFDEVVISESEPHPINPACESHNHNKKLEDFEALQHQVENCESRCYTEFGVSGENVVGLSKDNCALLKSQAWEKPDVCNDSSVVDHEKAKQWSLPHSDGLVEELEDATYISLVNSTGETKVVPNQGSDICSTKVVSSVVGDVLGSLRDTTMVIESVSAKADADSGLELETATSFSHLPLSLDTSSDFNTHPYNSHLKFGQSISKSIFDEKPNAVASNTDHCLPNAEVEHPIHINPSQFEKQGLLNTDSESSYQCPPHAEKTSDPLFHKSTSDEIEHSDDIDVTNDLHTDGSPRTVDRSSCLLNGHLLGSLESCNPDALPSGITHVGKCEQATAQLNVDDDKCVDPVVPVSVLAGMTEDVNLVDDAVGTQEPGKSYMDYCVNYSGEASLNDCVHNYGSGASLADMGEANGVEKVDLLGDDDSQFEDGEFRESVLQSWGEDGAEEGESEHLDYGSDNKENVAVEAVSGFPYSVSFSGESMTCKNRDAPVVAHDGATPVMNSVFAVSEPPMKCSSKSTSLDAGDGKRSFVDVDRKDCTDHFAVNNWRRKQRSGSYSSVPGSHGENTIGHSGFQEKGDNDREPSASVRIKASGWDKLPVDHVHTGDSMMDAGIGSVKQGGKSGALDIFDADKLLERSGLLFRRGLSSQVERLMSSDESCRRDRSCIKGCRSDSNGGLNTKAEKNSAAPKSADMGESSQHTKGRCRDEHWFDSPSYRGPKHHDSPEYCDAPNYARPSLRNAAAAAVAKVESNGFVVAPDGTVVKAGGVGNAGPMLRRAANASVQNRWRSQAETELAYGMQRRLGNVRNMSPDRHFSNSRGRAGKYGHEMARDRYHRSVPDGSMDSSLTMHHLSSRDRSFSPHRGPLRLSRSRSRSPSRSRSRSPHMWTSPRRREIGMNDTHGFRKRSRTPKIRMERMRSPHSRPSSEDFMVRYGPTSKTLSSPLHSSRWIDERRDSHNHHRKHEYKRSSRRSPSIKVFRNPRLDSMDSQGRSKPDNFCRPLHSSKIPEVAVMNKRYRCTGSDDRRGYDDRYESLQPMRRYNPDSNEKRFR >Ma11_p17760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22981731:22990381:-1 gene:Ma11_g17760 transcript:Ma11_t17760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MGAVRGVLLDESVLLSDDGSGNPRLKPGAEVLLRRLRYSNLRVGFCHHEDLSAVKAIFLQNTARIYSFSCISLDAPDAKYSFNQLLLDWGIAGDSCFYVTSKRHDAFTHEIKNQGWLTVCVGVDSGSVMNKEFLFINKLEELLLTVCSLSKKAMQKSVVVIGYVMKPSREEDFAKRGALPMYPTQNGLIFVPLTFDIPLLSQLQDVDAVLHKATDEIVDFNPHISTHFSHGISFSKGMQELERFIQDHPECCMIDPLNNIYPLLDRYNIQQLLLGLQELNMKDHCRLRAPHFLKIDNFHEPNLRDQLSEANLSFPIIVKPQIACGVGDAHSMALVFKFEDFKEICVPLPAILQEYVDHGSLIFKFYVLGNNVFHAVKKSMPNASFLKSSSEKAGSKPIIFDSLKSLPVATDDQFSVGRLQGDIQSLDVDLVKSAANWLKTKLDLSIFGFDVVIQEDTGDHVIVDLNYLPSFKEVPDTDAIPAFWTAIKSAYEARKTN >Ma11_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22981725:22990370:-1 gene:Ma11_g17760 transcript:Ma11_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MGAVRGVLLDESVLLSDDGSGNPRLKPGAEVLLRRLRYSNLRVAIFLQNTARIYSFSCISLDAPDAKYSFNQLLLDWGIAGDSCFYVTSKRHDAFTHEIKNQGWLTVCVGVDSGSVMNKEFLFINKLEELLLTVCSLSKKAMQKSVVVIGYVMKPSREEDFAKRGALPMYPTQNGLIFVPLTFDIPLLSQLQDVDAVLHKATDEIVDFNPHISTHFSHGISFSKGMQELERFIQDHPECCMIDPLNNIYPLLDRYNIQQLLLGLQELNMKDHCRLRAPHFLKIDNFHEPNLRDQLSEANLSFPIIVKPQIACGVGDAHSMALVFKFEDFKEICVPLPAILQEYVDHGSLIFKFYVLGNNVFHAVKKSMPNASFLKSSSEKAGSKPIIFDSLKSLPVATDDQFSVGRLQGDIQSLDVDLVKSAANWLKTKLDLSIFGFDVVIQEDTGDHVIVDLNYLPSFKEVPDTDAIPAFWTAIKSAYEARKTN >Ma11_p17760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22981725:22990381:-1 gene:Ma11_g17760 transcript:Ma11_t17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MGAVRGVLLDESVLLSDDGSGNPRLKPGAEVLLRRLRYSNLRVGFCHHEDLSAVKAIFLQNTARIYSFSCISLDAPDAKYSFNQLLLDWGIAGDSCFYVTSKRHDAFTHEIKNQGWLTVCVGVDSGSVMNKEFLFINKLEELLLTVCSLSKKAMQKSVVVIGYVMKPSREEDFAKRGALPMYPTQNGLIFVPLTFDIPLLSQLQDVDAVLHKATDEIVDFNPHISTHFSHGISFSKGMQELERFIQDHPECCMIDPLNNIYPLLDRYNIQQLLLGLQELNMKDHCRLRAPHFLKIDNFHEPNLRDQLSEANLSFPIIVKPQIACGVGDAHSMALVFKFEDFKEICVPLPAILQEYVDHGSLIFKFYVLGNNVFHAVKKSMPNASFLKSSSEKAGSKPIIFDSLKSLPVATDDQFSVGRLQGDIQSLDVDLVKSAANWLKTKLDLSIFGFDVVIQEDTGDHVIVDLNYLPSFKEVPDTDAIPAFWTAIKSAYEARKTN >Ma11_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26421474:26424827:1 gene:Ma11_g22780 transcript:Ma11_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLQLHAHLITSPPPPDAVDPNVVAVKLICAAAARSNPRHAALVFSALSAPNLVAWNSLLRALSLHHLHPVALRHFHRLLAAGSPLPDEFTFTSVLKACAGLLSRSDGEMAHALVLTRGFESNLFVRNSLVDMYFKFGRPDDARRLFDEMITKDVVSWNTLVSGYCSCGDISMARKVFDRMPERSMVSWSAMIAGHARSGDLGAAREVFDRANEKSVGCWNAMISGYAQNEKFSEAIALFRRMLQIPMVQPNEVTLVCVLSACAHLGALDLGRWIDGFIKRRAMGLSLFLGNALSDMYAKCGCVAEARSVFDKMAERDVVSWSIIITASAMHGHAEDAISGFHRMLESGVKPNDITFMGILSACTHGGLVDAGLCYFDMMTEEFEIIPKVEHYGCVVDLLSRAGRLDEAEDLINSMQVAPNVIVWGALLGGCRIYKDINRGERVVQHILELDPGHSGSYVYLANVYVSMGRLEDAAKFRLMMREKRVVKTPGCSWIEVDNMVHEFFMGDRSHPQSEKIYSMISTLSLRMKLAGYVPITSLVSQSIDEEEKENVLSMHSEKLAVAFGLISTKDGTTIRVVKNLRVCNDCHEAMKVISRIVSREIVLRDRSRFHHFKEGQCSCKDYW >Ma11_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21306681:21307859:1 gene:Ma11_g15650 transcript:Ma11_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDTPLPILIPQNSKLFLFDFSSFPLIRYATMNYEM >Ma01_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23318054:23325014:-1 gene:Ma01_g22100 transcript:Ma01_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSVFDGGALRTEFESAGISPHFVPLIWKYVLHNPGCDLSDVPSLPSAAYPLLISKFRPLTSFLSSALDSNDRLTTKLLIRLQNGNSVEAVIMRYDTRQGKYDGKPRSGGPRSTLCVSSQVGCKMGCKFCATGTMGFKNNLSSGEIVEQLVHASRITHIRNVVFMGMGEPLNNYAALVEAIQIMTGFPFQLSPKKITVSTVGIIHAINKLPIDLPTVNLAVSLHAPDQDIRCQIMPAARAFPLGKLMDALQTYQKNTQQKVFVEYIMLDGVNDHEQHAHQLGKLLVTFKVLETGGKLDTFQSDW >Ma01_p22100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23318061:23325011:-1 gene:Ma01_g22100 transcript:Ma01_t22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSVFDGGALRTEFESAGISPHFVPLIWKYVLHNPGCDLSDVPSLPSAAYPLLISKFRPLTSFLSSALDSNDRLTTKLLIRLQNGNSVEAVIMRYDTRQGKYDGKPRSGGPRSTLCVSSQVGCKMGCKFCATGTMGFKNNLSSGEIVEQLVHASRITHIRNVVFMGMGEPLNNYAALVEAIQIMTGFPFQLSPKKITVSTVGIIHAINKLPIDLPTVNLAVSLHAPDQDIRCQIMPAARAFPLGKLMDALQTYQKNTQQKVFVEYIMLDGVNDHEQHAHQLGKLLVTFKVVVNLIPFNPIGNSSCFRTSSEENVRTFQKVLRGVYNIRTTIRQEMGQDISGACGQLVVNLAEQRSAGGASILKDIEDLHI >Ma10_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26390909:26395389:1 gene:Ma10_g13670 transcript:Ma10_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEVPTKASGLQISHPPLNERILSSMSRRSVAAHPWHDLEIGPGAPTIFNCVVEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLVIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKDVAVNDFLPASSAYKAIQHSMDLYATYIVESLRR >Ma05_p31200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41232583:41234336:1 gene:Ma05_g31200 transcript:Ma05_t31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNNKGYRQCINRPKAKCDTCNATVGYLIVHANGGLNQMRMGISDMVAVAKLMNATLVLPFLDHKSFWTDPSEFKDIFDVKHFVEALKDDISVVGSLPRRYAKTKPLKRAPISWSKASYFKSFANVLSRRRVIEFTYTDSRLANNGLPPSIQRLRCRANYRALRYTREIEELGKTLVRRLRNGSDHYIALHLRYEKDMLSFTGCSHNLSSHEAEELRAMRYGVKHWKEKEIDSKEKRLQGGCPMTPREAAIFLKAMGYPSTTSIYIVAGEIYGANSMETLTAEYPNIYTHHSLTSAEELEGLEGYQNRLAALDYIVALRSDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRQRLVKLMDQLDEGAISWKKLEEQVKRDHKNRLGGPYERRTEGIPRQEEYFYANPLPGCLCMKRHRSI >Ma06_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10873108:10874821:-1 gene:Ma06_g16020 transcript:Ma06_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSGSGSSRSNSRARALLLGRYEVGRLLGHGTFAKVYHARHAGTGESVAIKVLDKEKIMQSGLVAQTKREIAILRRVRHPNIVHLHEVMATKSKIYFVMELVRGGELFARVAKGRLPEPAARRYFQQLVSAVAFCHARGVFHRDLKPENLLLDDRGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAAKADIWSSGVILFVLMAGYLPFHDHNLMAMYRKIHKGEFRCPRWFSADLVHLLSRLLDSNPATRITIPEIMDNRWFKKGFRQVKFYIEDDKFHRFDDIDTPPAPLPPPDEHSESESESETNSKPNCSTSNSSSISGGPRRGLGLPRPASLNAFDIISFSPGFNLSGLFEESGEEARFVSGEPVSKILSKLEEIAKVVSFTVRKKDCQVSLEGTKESEKGPLAIAAEIFELTPSLVVVEVKKKAGDQGEYEEFCNRELKPGLQNLMYDELSAGAANIASDTE >Ma04_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14903514:14914483:1 gene:Ma04_g16170 transcript:Ma04_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIALTTTLKVRWRMQILPRTRQGAESSSLGWLKTSDPASTCACVIVTTPRSCNTVRPAASLYLYLKTSFPFWALCRSFLGYFDRNQGVRRGIIKLRLRSGGSPARTLRCLVLRRFRVAAPTLARAIRPPSKPTMSGPREALIYVTCVYNGTGIEKPDYLATVDVDPNSPTYYKVIHRLPMPYIGDELHHSGWNACSSCHGDPSAVWQFLILPSLLSSRIYVVDTTKTSRAPSLHKVVDPTDILQMTGLAYRHTSHCLGSGDIMVSCLGDKEGNATGNGFLLLDSDFCVKGRYPYGWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFSKGFNLQHVSDGLYGRYLYVYSWPDGELKQTLDLGNAGLLPLEARFLHDPSKDTGFVGCGLPSNMVAISVTPLKVRNWILPEMPGLISDFLISLDDRYLYFVNWFHGDVRQYSIEDPSKPVLTGQVWVGGLLHKGSDVVYVSEDGAESQFSVPVIKGNRLRGVPQMIQLSLDGKRLYVTNSLFSVWDQQFYGPDFMKKGSHMLQIDVNTEQGGLTMNPNFFVDFGTEPEGPALAHEMRYPGGDCTSYIWI >Ma08_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7902555:7905600:1 gene:Ma08_g10780 transcript:Ma08_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGFLEDENLEAIITRIEHKSRKIESLLKQSKPVEALKTALEGSLLKTKDERCKSANWIVVHRAIMAIKDVDAMFSSLDPEYYDILMKYLYRGLATGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTINTV >Ma05_p29670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40283551:40285019:1 gene:Ma05_g29670 transcript:Ma05_t29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATEGGEDPHDRAAVLQESVGGKRRRAPCSPPPPAPPGAGCEESSRRVFQKLWTDADEIAVLQGFWEFTSRRGTARADYQHDTGPFYDEIRGRLGFDFSRSQLVEKLRRLKKKYRNTAGRMAADRGFVFRSPHERAAFEIARKIWNPVFARGPDGQSCDPDGVEASKDGGWDSDHDSGPRPRRRLKKGKETEAVATPSEMITAAANRPDPVVAPPSMPNSEMAEQSMRSCFSPLFDEILRCTGIGHGVTALSSRTPAAAVGERWKQQQILELEVYLKRLELIHDHIKSKLEELKSESSS >Ma10_p26340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34081607:34082132:-1 gene:Ma10_g26340 transcript:Ma10_t26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDPADVSFAARVLSRVPSMGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPSSRIRKYNWSVKAIRRKTTGTGRMRYLRHVARRFKSSFREVV >Ma03_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7800707:7801485:-1 gene:Ma03_g10370 transcript:Ma03_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLFLSPKPSPLLLHHHRRHLNRLPGISSRCRRRFLDSFVARATKNGEPADPPDRILAAACYLYPFLDGVHYGRFVLTQFPALQLVLQPFVPAIHLFRSSPLTPSLLFFTLYFAVVRNPSKFGLFVRFNTMQAIVLDVLLIFPDLLERTFNPNGGIGLELLQTLDSTVFLFLLASFVYGSTACLLGQVPRLPLVAEAAERRVM >Ma10_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31305642:31308714:-1 gene:Ma10_g21540 transcript:Ma10_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGWGKETKKDNAVVESTPAHTNGSFANGRKADLAVYEQFEQQERATGARPGVISDAERPQRPLLPPFESAEVRTLAETLCRDIIRGSPDVKWESIKGLDNAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVRVLFELARHHAPATIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRAMFEELLPSVPAKAEVPYDCLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSYLEDRQQRQQQDEKIDDDELPPVGPVTPEDIEVALKNTRPSAHLHAHRYHKFNEDYGSHVLH >Ma11_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6762584:6765201:1 gene:Ma11_g08510 transcript:Ma11_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MAALQDHRFFPKPLVPLCFPASHLRSSVPLCISIHKAKSLAQIPPPDAANPLRNLFSARKIPTFSGNPEEQTLRILVSSEKASPLSRSLRSIIRFRKTAHRPVSLFDLLSSIDLQVSTQKIASCSRIPSFRHSFALLLSAFSSPFPANAADSEQVSQKINIEHILVSIDDFFNRNPFFVAGVTVIWLVLIPLTQEYLKKYKFISAIDAFRKLRDMPNAQLLDVRKRQSVKFMDSPNLRILNKNVVQVEYSDGNEEGFIKEVLRNFEDPGNTVICVLDNFDGDSLKLAELLYKNGFKEAYAIKGGLRGKDGWQEIQETFLPPSVHVHPRKKNMESAETEANNQMMNDQIVASSSNHHDKNLNTDNGFVEPTETISTAKLIPERPLSPYPNYPELKPPSSPSPSKPQS >Ma09_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23746843:23747702:-1 gene:Ma09_g19440 transcript:Ma09_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNNKTKNKSNPKKDGAVPIHASTEAVVMDTSDGNPSNPVLGAINRTH >Ma01_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9551197:9554614:1 gene:Ma01_g13030 transcript:Ma01_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVNAAQAIVGLAVSSHGSHSADRVAVPAGGFALSSLAGRSLTCSHSPRFQHQRRCPRQASRAARRAAPARAAAVETLEAAATEALVEKSINTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVQMEDIKQFRQWGSRTPGHPENFETPGVEVTTGPLGQGVANAVGLALAERHLAARFNKPDNEIVDHYTYVILGDGCQMEGIANEACSIAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFDALGWHTIWVKNGNTGYDDIRAAIMEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRQNLGWPYEPFHVPEDIKSHWSRHVAEGASLEAEWNAKFAEYEKKYKEDAAELKAIVSGELPAGWEKALPTYTPESPADATRNLSQQNLNALAKVLPGLLGGSADLASSNMTLLKMFGDFQKGTPEERNVRFGVREHGMGAICNGIALHSPGLLPYCATFFVFTDYMRAAIRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLISFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSVLALSRQKLPQLAGTSIEGVEKGGYIVSDNSSGNKPDLILMGTGSELEIAAKAADELRKTGKTVRVVSLVCWELFEEQSDEYKESVLPAAVTAKISIEAGATLGWEKYVGGKGKAIGIDRFGASAPAGRIYKEFGISADSIITAAKSL >Ma06_p31720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32766425:32777819:1 gene:Ma06_g31720 transcript:Ma06_t31720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALRAGVLKHGAGKWRTILKDPEFSSILCLRSNVDLKDKWRNLSVTANGWGSREKARLALKRSRQNSNHDYNPKAFNRVTEDIDAQILDAKPLAVTSENLHVTGQKRSFSRLDNLILEAITNLKEPTGSNKTTISMYIEDQYWPPSDFTPLLSAKLKALTASGRLIKVKHNYRIAPTSAFLNGKTSKLLLHEGRQNEHYRIMSDDFRPVLRCHVDAELARMRNMTSQEAASAAAQAVAEAEAAMVKAEEAAREAEAAEADAEAAQAFAEAAMLTMKSRNNLT >Ma06_p31720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32766425:32777819:1 gene:Ma06_g31720 transcript:Ma06_t31720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQHRRLYSAPQLISFDLPLLFFHFDRILNHASVPSDIIVEGFVLLLMGAPKQKWTAEEESALRAGVLKHGAGKWRTILKDPEFSSILCLRSNVDLKDKWRNLSVTANGWGSREKARLALKRSRQNSNHDYNPKAFNRVTEDIDAQILDAKPLAVTSENLHVTGQKRSFSRLDNLILEAITNLKEPTGSNKTTISMYIEDQYWPPSDFTPLLSAKLKALTASGRLIKVKHNYRIAPTSAFLNGKTSKLLLHEGRQNEHYRIMSDDFRPVLRCHVDAELARMRNMTSQEAASAAAQAVAEAEAAMVKAEEAAREAEAAEADAEAAQAFAEAAMLTMKSRNNLT >Ma06_p31720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32766425:32777819:1 gene:Ma06_g31720 transcript:Ma06_t31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQHRRLYSAPQLISFDLPLLFFHFDRILNHASVPSDIIVEGFVLLLMGAPKQKWTAEEESALRAGVLKHGAGKWRTILKDPEFSSILCLRSNVDLKDKWRNLSVTANGWGSREKARLALKRSRQNSNHDYNPKAFNRVTEDIDAQILDAKPLAVTSENLHVTGQKRSFSRLDNLILEAITNLKEPTGSNKTTISMYIEDQYWPPSDFTPLLSAKLKALTASGRLIKEAASAAAQAVAEAEAAMVKAEEAAREAEAAEADAEAAQAFAEAAMLTMKSRNNLT >Ma06_p31720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32766425:32777819:1 gene:Ma06_g31720 transcript:Ma06_t31720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALRAGVLKHGAGKWRTILKDPEFSSILCLRSNVDLKDKWRNLSVTANGWGSREKARLALKRSRQNSNHDYNPKAFNRVTEDIDAQILDAKPLAVTSENLHVTGQKRSFSRLDNLILEAITNLKEPTGSNKTTISMYIEDQYWPPSDFTPLLSAKLKALTASGRLIKVKHNYRIAPTSAFLNGKTSKLLLHEGRQNEHYRIMSDDFRPVLRCHVDAELARMRNMTSQEAASAAAQAVAEAEAAMVKAEEAAREAEAAEADAEAAQAFAEAAMLTMKSRNNLT >Ma03_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14784322:14788176:1 gene:Ma03_g14990 transcript:Ma03_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGEGQKMASSAKNLDELFHPAKRLRPLSPSEPPDALIPHSPVSPLRKASPARRDPAPESAAAPALTPEQKQRIEINKALARSKRNLRICRERVEKAKAEGMDYVKLEELLVEESWLEALPGELQKPYAKNLCRFVERETRGSVPIYPPPYLIFNALHLTPFDQVKVVIIGQDPYHGHGQAMGLAFSVPGGVKIPSSLVNIFKELKEDLGHSVPLHGNLERWAVQGVLLLNAVLTVRNHQANSHAKKGWEPFTDAIIRTISQKKSGVVFLLWGNSAQEKSRLIDGSKHRILRAAHPSGLSANRGFFGCRHFSETNRALEKLGLSPIDWKL >Ma06_p26110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27199424:27205316:1 gene:Ma06_g26110 transcript:Ma06_t26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADASVFNGGSVVELERGTVGLGLGLECDRKESGDADGIVWRVGEEKDGSRIGRAVMVENGNLNDSRGKDLDLDGKDKSVTVRDPETREGKREDGELTVENGGDREESVDPVIKLAVSGVDICRKTTASSDVAEVKIESQSEGTDEGHSKEEADTNEQKEENEYEMPSEKESDAKGGACKEKGEMSREEVSFKNNHDHMEDNCSLEPSKNTNEVETDSVTKVGEKQEGETSLEETNLEEELEFVIEVQKKSETVVAVDDQVSSDPTVDDKRQEPNIIGIEANEQAVSESSVIEGSELEAKIVASDMYHEQQKAFDGKEEKLEIIANTTGADDQADKAAPFAVETKELQSVPMTPKNHDQAELVVLESQVTGTKDQEELEVGTKVELEKDPQIALTDFQNQAELMPDAQVDKDQKPENFVTDAMPSESSIEHMEMKLSNFTNNICLDENGTVLDVDIRSSLLAVDVRVSKDEAGGEFTGTGEIIAIKLSDDLPSTEGSSSSGVPHVVDREVLVEVATSMEVLLDANAQSDSCEEASQTELEGGNFSAVDVPGPSDTISSMHLESQLVNEGESMSSFANIHTDIQVKLCNDAAENESVVAETGFDVAADHVDTVESKSRVENGSVESKTSLASSIEDKPSADVDDKEAGHGDSGVTEEIQVSTKDPGASTFDAQKADTPIGKPQACYIIRIPRFVDDQLSANIQIAQSEMNEKTQKRDSIKVAIENQKVSCNESWKKFEDAKAEERAARAAVNAKRQQIDSVQLMMNKLKNAHSINELHDKIQTMEFELQHETMPLKEEKQYIHELKQLRHQRDQLTSNLGSQAEIDEAFNQREQIDKRFKILKKELDTLRTELLQTERNANTARKKYDEEQLLLKGLQQQFRDADAVRQKAYGHWRELKNMLIEKNKHFSMYKNDQKSAENYLSSRDFEGLLRHCSKQVEKVMELWNNDEEFRLQYVKSNMNSTLRRLNTSDGRSLGPDEDPPVIHSNQGKGLGLHHPQANVGVSVKPVALEAKLETSKEDSFPALPAATKNQPVKPKKPAKPMLDETKETSTARVLVREVENSAKVISVIKEEEERIKNLQESSKKEEELRKEKATAELKEQCRLEQRAKAKEAEERKKRQAEKAQARAEYQAQKEAELREKKKAKKQKKKGMTAEDTTGGAEDGPAPSTDTIMPKAARETDVTVTTAPKRPSRAAITTKQYKMQPVPLPLRKRGRRKMATWMWVVLTVIVVLLLFLAGNYISFSSFSFPQSAP >Ma08_p29090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40822319:40824530:1 gene:Ma08_g29090 transcript:Ma08_t29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETGYPYRSKKTDDICDDVCGEASRAALSMSKRLRCALRWFDFKALLLLLIGVPILIFVIHVHGQKITYFLRPLWESPPKPFRTIPHYHHENVSMENLCKLHGWGTREIPRRVFDAVLFSNELDILEVRWNELSPYVSEFILLESNSTFTGLKKPLFFASNRSRFKFVESRLTYGTVGGRFMKGENPFVEESYQRVALDQLIRIAGISDDDLLIMSDVDEIPSGHTINLLRWCDDIPEMIHLQLRNYLYSFEFYVDDDSWRASIHRYRDGKTRYAHFRQTDDLLADSGWHCSFCFRHISEFIFKMKAYSHVDRIRFSYYLSPSRIQDVICHGADLFDMLPEEYTFQKIIAKLGPIPSSYSAVNLPSYLIQNADRYRYLLPGNCKRESG >Ma08_p29090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40822319:40824530:1 gene:Ma08_g29090 transcript:Ma08_t29090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISATTSAASRAALSMSKRLRCALRWFDFKALLLLLIGVPILIFVIHVHGQKITYFLRPLWESPPKPFRTIPHYHHENVSMENLCKLHGWGTREIPRRVFDAVLFSNELDILEVRWNELSPYVSEFILLESNSTFTGLKKPLFFASNRSRFKFVESRLTYGTVGGRFMKGENPFVEESYQRVALDQLIRIAGISDDDLLIMSDVDEIPSGHTINLLRWCDDIPEMIHLQLRNYLYSFEFYVDDDSWRASIHRYRDGKTRYAHFRQTDDLLADSGWHCSFCFRHISEFIFKMKAYSHVDRIRFSYYLSPSRIQDVICHGADLFDMLPEEYTFQKIIAKLGPIPSSYSAVNLPSYLIQNADRYRYLLPGNCKRESG >Ma02_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19249168:19249587:-1 gene:Ma02_g09090 transcript:Ma02_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVPSMRIAAGKEGTEEAAGDTVVPPMVATETINLSVKMARLTSDETEKGQTGKILITLSISNYVSRYQDTNIKGTKPHWGRKKCFSASLISLRWFRDI >Ma09_p29460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39898861:39899424:-1 gene:Ma09_g29460 transcript:Ma09_t29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRQEHPPLCGGDHMAFRSAYFPVKDVIDGDLCEQLLLNNNQSFFQQAKCFVTSW >Ma02_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25241695:25247404:1 gene:Ma02_g18880 transcript:Ma02_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MASCGGGGGECHQSHCQRSEEDGGAEEETRERVASLSFAGDSPTSSATGDGALERCTKCGETVAAAAPRAVNGLCAACFRAYLFGKFKLAVTTNAMISPTDNVLVAFSGGPASRIALQFVHEMQCISLKNWDASKSQALPVFGVGVAFIDESAISIGPLHEMNKVIAQIRSIVSTLSPAHKELHIAPIENICSTSSNDGRIRLNELLDSVTDATGKEDFMKYLRMLTLQKIALDNGYSKLLLGSCTSTIACHVISATVKGQGYSLPGDVQYVDARWEVPVVLPLRDCTAEELNKLCHLDGLELLQLIKRPSNSINSLVSSFVARLRDENPSRERTIVRTAEKLRPFCFNKFVENTYHEFVPSRLRCKFQNINNSETALSEVLCPLCGSPLSEPEVQSLRNIQEKTQTPVENFAAHCCQSCSFQILPKGAESLQQFYTVLPQSVTVRVTDGTSDHSQLGELIDDYLLVVDDDDDDGT >Ma05_p26580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38146806:38150272:1 gene:Ma05_g26580 transcript:Ma05_t26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFMVMKHAIFLLLLQNIAAAEHHRLLPNRQQPIQQEKAALLAFKSSLTLQSQLALPNWNETTGVCQFVGVRCNRRHLHVKYLVLRGQVISGALSPVLANLTGLDTLDLSENHLTGHIPPEISYLRNLTILDLSGNLLNGTIPPSLAYLTKLGYLNIRSNKLAGQIPDAIFHNCTDLVVVDLSNNALFGEIPSEVGTGLQYLLFLNLYMNDLTGRLPPWLSNSSRLLQLDVENNNLSALSNCSHLQELEMAGLGVGGSLPPRIGEGKQNLSIVNMEDNMISGQIPPDISNLSNLTLLNLSSNLLNGTLPKEIWQLPKLERLFLSCNFFVGFIPSEIGGLVSVGLLDLSDNMLAGEVPSSIGNLVRISELYLHKNQLSGSIPATLGRCMSLNKLDLSYNRLTGRIPPEVSGIAKIYFNLSNNQLRGPLPAELGKMDQVQEIDLSANKLAGEITSRLSSCAELRLINLSHNHLRGQLPTALGHLQNLETLDVSFNYLDGEIPSSLNGCAGLTVLNLSYNDFNGSVPTGGVFSSFTDLSYLGNPHLCGSVVGRTCPRRRRWSNSRKFLIAVAVGASAVAFVLTVCCVMVVRKIRGMGIGRTGDGFGGSSPVVRSSYPRISYRELVAATEEFDQGRLVGSGSYGHVYRGVLRDGTVVAVKVLNLQAGNSTRSFNRECQVLKRIRHRNLMRIITACSLPDFKALVLPFMGNGSLESRLHSGSAELSLIQRVNVCSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMSVGVGNTAENTGSSTANMLRGSIGYIAPEYGYGSNASTKGDVYSFGVVVLEVVTGRRPTDEMFEGEMSMHGWVKSHHHGRAAAIVDSALASEVRRQMPEVQIMWEAAIGELLELGLLCSQESPSSRPTMMDAAHDLGRLKRSLAGDTTTATFASSLGLSSSVFGETSMSNFGD >Ma05_p26580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38146806:38150272:1 gene:Ma05_g26580 transcript:Ma05_t26580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFMVMKHAIFLLLLQNIAAAEHHRLLPNRQQPIQQEKAALLAFKSSLTLQSQLALPNWNETTGVCQFVGVRCNRRHLHVKYLVLRGQVISGALSPVLANLTGLDTLDLSENHLTGHIPPEISYLRNLTILDLSGNLLNGTIPPSLAYLTKLGYLNIRSNKLAGQIPDAIFHNCTDLVVVDLSNNALFGEIPSEVGTGLQYLLFLNLYMNDLTGRLPPWLSNSSRLLQLDVENNNLSGELPTETVRGMSELEVLHLSHNNLLSHDSNTNLEPFFLALSNCSHLQELEMAGLGVGGSLPPRIGEGKQNLSIVNMEDNMISGQIPPDISNLSNLTLLNLSSNLLNGTLPKEIWQLPKLERLFLSCNFFVGFIPSEIGGLVSVGLLDLSDNMLAGEVPSSIGNLVRISELYLHKNQLSGSIPATLGRCMSLNKLDLSYNRLTGRIPPEVSGIAKIYFNLSNNQLRGPLPAELGKMDQVQEIDLSANKLAGEITSRLSSCAELRLINLSHNHLRGQLPTALGHLQNLETLDVSFNYLDGEIPSSLNGCAGLTVLNLSYNDFNGSVPTGGVFSSFTDLSYLGNPHLCGSVVGRTCPRRRRWSNSRKFLIAVAVGASAVAFVLTVCCVMVVRKIRGMGIGRTGDGFGGSSPVVRSSYPRISYRELVAATEEFDQGRLVGSGSYGHVYRGVLRDGTVVAVKVLNLQAGNSTRSFNRECQVLKRIRHRNLMRIITACSLPDFKALVLPFMGNGSLESRLHSGSAELSLIQRVNVCSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMSVGVGNTAENTGSSTANMLRGSIGYIAPEYGYGSNASTKGDVYSFGVVVLEVVTGRRPTDEMFEGEMSMHGWVKSHHHGRAAAIVDSALASEVRRQMPEVQIMWEAAIGELLELGLLCSQESPSSRPTMMDAAHDLGRLKRSLAGDTTTATFASSLGLSSSVFGETSMSNFGD >Ma06_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10911931:10913103:1 gene:Ma06_g16080 transcript:Ma06_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPPGEGVLVTWALPCLSDREKVTQILDPALEGHYSMKDAVQVAAIASMCVQPEADYRPLMSDVVQSLVPLVKKRLPKRSSSSSASHACKPLVKPEYN >Ma10_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22916657:22925235:-1 gene:Ma10_g08580 transcript:Ma10_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKDIVNSFSEFFARGPAASDRSPPPAPDRMEPSPSTAPAVVGERVALKLKGYFDLAKEEIDKAVRAEEWGLTEDAVAHYRNAQRVMLEAKAARVPDALASRDKGQVKTYQQKISTWQEQVAERLRVLTQRTGLPTMKTSPSYATSRPISTTVPTAQKVTKNLPSFNSSHQMIGNKKNSISNSRPGQESIKNYDDRLVEMINTAIVDRSPAVKWDDVAGLDKAKQTLMEMVILPTKRRDLFTGLRKPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFEVAVARQPSVIFMDEIDSVLSARVANENDASRRLKSEFLVQFDGVTSKQNDFVIVIGATNKPQELDDAVLRRLVKRIYIPLPDENVRKLLLRNQLKGRAYSLPSGDLERLANITDGYSGSDLQALCEEAAMMPIRELGPQHILTIKASQVRPLRYEDFQKAMVVIRPSLRKSKWEELERWNEEFGSS >Ma08_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5777345:5778305:1 gene:Ma08_g08290 transcript:Ma08_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPLGLQMIPWCFHVAAGATRHSSSSSSSWFTAHDDDEATADSPKPSVRLVGPDGHIKLYHCRVSAAELMASHPLHLLCRSDAFVIGQPLPALSPDDCLLPGHTYFLLSSHFFHSALSFASLASCFGAFKQRGGGAGAPLPRLIEIQKTAAGRLQVRVSEEYLDCLWRSASEEEAMDRRGRRVCTTEELAKDYKQLVSCRSWKPKLETINEAERRRRSGGAPFGGIGRRKKRAHRKNNHHNKEDTG >Ma08_p31400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42325724:42332132:1 gene:Ma08_g31400 transcript:Ma08_t31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRDNLPISKSELHDLLSKPSLSGIPLLVLGNKIDKPEALTKQGLTDEMGLKSITDREVCCYMISCKNSTNIDSVIDWLVKHSKSKN >Ma06_p28970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30504614:30511951:-1 gene:Ma06_g28970 transcript:Ma06_t28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGELPCTYAALILHDEDLPITAERIATLAKAENVKIDSYWPPFFAKLVEKKNVDDLILSVGSGGGGVAASVSAAVAGLGGGASASADASPAVVEEQKKERKMAAMARHGQKVEHTKSDYLIQRGVSRKRS >Ma01_p12110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8805263:8819560:1 gene:Ma01_g12110 transcript:Ma01_t12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLTANRVQEWERYYINYKLMKKKVKQFAQQIQEGGQDRRRVLKEFSRMLDDQIEKTVLFFLEQQGIIAHRIQELGDQRTILLEQPDISKISELREAYSAVGQDLLKLLRFVDLNATGIRKILKKFDKRFGYKFTDYYLSTRSNHPYSQLQQVFKHVGVAAVVGALSRNLADLQERQGSYLSIYDQPSTALTVQDPVIYLIHASVDKLTRSTNFLQYLGQHALIVHEDVPSAEEDQVDDKRYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNRSYFKPLVFSSIVLFLGNTLYALAYDLDSLPILLIGRLLCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYALTINQDTLPGWVMAFAWLAYLIWLWISFKEPIRDTEVKHSSPDVDAGHDENESLESGLMQHLLPSSEQKQNEDGDDECNDSEDASEDSHRPATSVASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSSVAIFLAILGLTVLPVNAVIGTYISNMFEDRQLLLVSEILVLLGIVLSFQFTSSYTVPQYVCSALITFVAAEVLEGVNLSLLSQVMSSRLARGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNITLFPSLLICVASIAATIRNYNSLF >Ma01_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8805263:8819560:1 gene:Ma01_g12110 transcript:Ma01_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLTANRVQEWERYYINYKLMKKKVKQFAQQIQEGGQDRRRVLKEFSRMLDDQIEKTVLFFLEQQGIIAHRIQELGDQRTILLEQPDISKISELREAYSAVGQDLLKLLRFVDLNATGIRKILKKFDKRFGYKFTDYYLSTRSNHPYSQLQQVFKHVGVAAVVGALSRNLADLQERQGSYLSIYDQPSTALTVQDPVIYLIHASVDKLTRSTNFLQYLGQHALIVHEDVPSAEEDQVDDKRYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNRSYFKPLVFSSIVLFLGNTLYALAYDLDSLPILLIGRLLCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYALTINQDTLPGWVMAFAWLAYLIWLWISFKEPIRDTEVKHSSPDVDAGHDENESLESGLMQHLLPSSEQKQNEDGDDECNDSEDASEDSHRPATSVASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSSVAIFLAILGLTVLPVNAVIGTYISNMFEDRQLLLVSEILVLLGIVLSFQFTSSYTVPQYVCSALITFVAAEVLEGVNLSLLSQVMSSRLARGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNITLFPSLLICVASIAATIRNYNSLF >Ma09_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1670133:1678323:-1 gene:Ma09_g02360 transcript:Ma09_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASTMASSFAAQSRSSPEPLLHSIRSRSSLLPSRSLWCTASIGKRAVAYACSGSPRRDVSCKTVSSKPQTEIEGLNIAEDVTQLIGKTPMVYLNNIVKGCVANIAAKLEIMEPCCSVKDRIGYSMIADAEQKGVITPGKSILVEPTSGNTGIGLAFIAAAKGYKLILTMPASMSIERRVLLKAFGAELVLTDSAKGMKGAVQKAEEILKKTTNAYMLQQFDNPANPKIHYETTGPEIWEDTSGKVDIFVAGIGTGGTISGVGHFLKEKNPNIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDLEVVDEIIEISSDEAVEIAKQLALQEGLLVGISSGAAAAAAMKVARRPENAGKLIVVVFPSFGERYLSSVLFQSIRDECEKMQPEP >Ma09_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18023047:18023724:-1 gene:Ma09_g18540 transcript:Ma09_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSLKPLLFTGKLQDSFFASFALGWLITNGAGLAFYPTDTVRRRMMMTSGEAVNYRSSLDAFSQILKNEGAKSLFKSAGANILRAIAGAGVLAGYDKLQLVVLGNKYGSDGA >Ma03_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14956005:14961965:-1 gene:Ma03_g15160 transcript:Ma03_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGGSLVGSRYFPSYSGPTDRSSSCSSSDGTPRYHLSFSHLDPDEPPQPAAKPDRDGAMRRSGDGSATWVLGHRTANLRDLYTLGRKLGQGQFGTTYLCTEVATGREYACKSISKRKLIAKEDVEDVRREIQIMHHLSGHKNVVTIKGAYEDPLYVHIVMELCEGGELFDRIIQRGHYSELKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANRDDDSSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSEPWPMISDSAKDLIRKMLCSRPSDRLTAHEVLCHPWICENGVAPDQALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQAMDTDSSGAITFDELKEGLRRYGSNLKESEIRSLMDAADVDNSGTIDYGEFVAATIHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKDHNMTDVQIDDIIREVDQDNDGRIDYGEFVAMMQKGNMGHGRITMQRMRNSLNISMKDAPGAH >Ma09_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:331140:333669:-1 gene:Ma09_g00390 transcript:Ma09_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSACAIPQYLSLLVISFLALHQASPSYASDTISPNRSLSGRQNLTSAGGQFVLGFFAPSASSKNYYVGIWYNKISLLTAVWVANREIPVTDTSTSELKISDDGNLVLLDQSKGIIWSTGTRIPSNSTVAVILDDGNLQLRDETNSSQVFWQSFDHPSDTWLPRDKLGLNKATNQLQHLTAWKNKADPAPGIFSLEIDPNGTSQYYIIWNMSQIYWTSGVWNGHIFNLVPEMTKNYIYDFQFVNSSTETYFNYTVKNDSIISRFVLDYATGQIQQLTWMENLKSWMLFWSQPRQQCLVYAICGPFGSCNDISKPFCKCIEGFSVKNQTEWDLGDQSGGCERKTQLNCGRDSSGNSETDDVFFPLSNIKPPDNSQILATVGSDEDCELACLNNCSCTAYSYNATGCFVWHGALLNLQDQYGSDGSTLYLRLAASELQSSRSKKGVVTWIIVGVVVVVVACLAIIQWRRRSRRMIRKSKAVGGTMVPFDYRELQRATNNFSHKLGGGGFGSVFKGSLPDSTVIAVKKLEGLHQGEKQFRTEVSTIGTIQHVNLVRLLGFCSEGSRKLLVYEFMPKGSLDTQLFHSDSTALDWKTRYQIAVGTARGLAYLHEQCRDCIIHCDIKPENIVLDASFVPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWITGVAITAKADVYSYGMMLFEIISGRRNLEQTEGGIAGYFPKLVATRLVADGVESLLDPRLGGEANLEEVERACKLACWCIQDGESCRPTMGQVVQVLEGFLDASMPPIPRSILLAETPEDIRFFYELSSKQSSQSRSNASSSPQTDSTKSNGSGV >Ma08_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37317594:37318043:1 gene:Ma08_g24000 transcript:Ma08_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINRCNLDKQAICGAPVVPPMNPRISFSSDFVVEQHAARTTSPPPDPDFEFAVGSHSMIDADRLFFEGRLLPLKDTHQRGPHQRVITTLREELRTDEEDGARWERPLKGSINWRVLFGIKKARRSSAAAVTAKKSDEVDDELLVKPT >Ma05_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20142306:20142632:1 gene:Ma05_g17400 transcript:Ma05_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSLSSSCNPGQIPSSNANMKPEVHALIHKLVLHIHESEPDIERSILVFLPTYYSLEQQWILLRPLSLLFKVHILHRSVDTNQALLAMRVCKSHRKVLHKNQFLIA >Ma07_p00960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:756319:762810:-1 gene:Ma07_g00960 transcript:Ma07_t00960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQLVCSGCRNVLLYPRGAASVCCAICSTITTVPPPGMEMAQLICGGCRTLLMHVHGATTVRCSCCNTINITRPVNQVANVRCGHCHTTLMYPYGAPSVKCAICHYVTNVGQMDGMRLPVSTMHRLNGPTPAPPSASASQVQNTTVVVENPMSVDESGKLVSNLVVGVTTGKK >Ma07_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:756319:762050:-1 gene:Ma07_g00960 transcript:Ma07_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGMQGQLVCSGCRNVLLYPRGAASVCCAICSTITTVPPPGMEMAQLICGGCRTLLMHVHGATTVRCSCCNTINITRPVNQVANVRCGHCHTTLMYPYGAPSVKCAICHYVTNVGQMDGMRLPVSTMHRLNGPTPAPPSASASQVQNTTVVVENPMSVDESGKLVSNLVVGVTTGKK >Ma09_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9345863:9346894:1 gene:Ma09_g13770 transcript:Ma09_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPPPVGKLRKYNSFSPSSSAAASSAAAGGVAQVTRSVTILRTASSSAATSPRSPSSPASAPDSPLAPPGPWGDWKRLRRKPVPVAEGAGMAEPRSPTVYDWVVISSLDR >Ma05_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8722651:8725647:-1 gene:Ma05_g11990 transcript:Ma05_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEMWATLKEAIETYTGLSVTTFFTVLAIAAAFYYAVAGFFQPPPPPRRKRDAEELEGMEPLPPPVQLGEITEEELRDYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPKDLTSDISELGPFELDALQDWEYKFMSKYMKVGTVKKTVPVTEGSEAGASGTTEQTSQATEDAGIQADHGAQMEEDVITEDLNADSEHNPTSKEKGAGYSDDAGRRAEVKETGSSAEGEESRP >Ma07_p27210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33670446:33672188:-1 gene:Ma07_g27210 transcript:Ma07_t27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVKAVLVLVVLQSITTSLPHWADAGDLLSPLFNEICNNSVECGKGSCQVSTDDSFGFACKCNPGWSQFHIGDYFRFLPCIIPNCSINYSCSNGSSAPAASPSPHPANVSKLDPCSYSYCGAGNCVSTSTFGYRCECGEGFSNLLNMTIFPCYRDCSLGGDCANLGITLSNSSSSSPPGLSDNGSSSSDTIGPKNLLMVMLVIGLIFVRTR >Ma04_p38650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36083759:36084002:1 gene:Ma04_g38650 transcript:Ma04_t38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHPMLPKVILELTDVIVKGLGLHSEDLKISGLRDTLVGQTVA >Ma10_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31337495:31338012:1 gene:Ma10_g21610 transcript:Ma10_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVRPCHAHRVAFSSATVLSASGQPRPWTGLQSWREAPANEDRVWGPHGPSPPPTPPLEPDLTTMTAASLAECAALVLSSSDPLAKSTLSHLAYTRWCRREILVGTASPPHRPARPDRPLLVLSSPSMRYLFWMRNFVERISCSDL >Ma10_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23175697:23182437:-1 gene:Ma10_g08950 transcript:Ma10_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFIGGISWETTEDKLKDHFGNYGEVLDAVIMRDKVTGRPRGFGFVVFADPALLDRVLQDNHTIDGRTVDAKRALSREEQQMSARSGNTTSGNPTSGRSAGAGAGANIRTKKIFVGGLPPTLTEDGFRQYFESFGTVTDAVVMYDQNTHRPRGFGFISFDSEDTVDKVLQKTFHDLNGKPVEVKRALPKDANPNTGSGRSMGGGSHQSYGGSSGNAGSYDGRPDANRYMQPQAPAYSSSAYGAPGYGYGTANSGVGYAGYGVGGYGSAGAGYSGPAGAYGNPNAPMAGYVGGPPGAQRNLWSNQAPSGYGPAGYGGSAAYGPAASWNASAASGGSGAVPTGQSGTPGYVSQGYGYGAYGGSEGPYGTQGGYGSLGGRGSSGPGGSPAGNTGEQGAGSAYMSGSYGIPNANSGYPNAWRPDPSQAGPYGASQVNGAPGGPASYGGGYGGPHGRQVQQQ >Ma02_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25248992:25263517:-1 gene:Ma02_g18890 transcript:Ma02_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWNRKSAVSPSSSSSSPSSAASSPGGGAIGRSRARDLYLPWSRRVAVSAAGPVSAGSTPVSRSPSNLDCNPIRSSSSPVLLPQPLPLPELVAAAAAATSPRPESTSAPSLGTALSPTNSVCCPLPSPRDASSRWEGDEANHSATESSSVVEPINDRVASAGVAGSRSPYQNSHRSPELSDISLNGSTFRRNRRMFQGPNSVGAVNFRLNIPAKSAPTSGFSSPVRSPLCSPRRLSNVDFSTFTIATPGLQVWSAPEIPSTEMVSVFSPQTSPEKMVGSPDLSPLYSPNTKSPVLRSRNPSGPSSPLHTKLFPENSGTWHENSGNISVHPLPLPPGASSPSQSGFSHQNAAKADAMPMTNQWQKGKLIGSGTFGNVYEATNRQTGALCAMKEVNIIPDDAKSAECIKQLEQEIKFLSQFKHPNIVQYYGSETIDDRLYIYLEYVHPGSINKYVRQHCGAMTESVVRNFTRHILKGLAYLHGKKIMHRDIKGANLLVDVHGVVKLADFGMAKHLNGAAGALSLKGSPFWMAPEVVQATMNKDIGYDFAVDIWSLGCTIIEMLTGKHPWSGLEGAAAMFKVLHRDPPIPDSLSNEGKDFLRCCFRRNPADRPTANMLLEHQFVKHSHHYNVHGSLQAFAGIKIIDNSISPRDKSKSRSESCVKGKHPTNGWLFCECSEWRAFGCWNSPALRVTQASRERSCGSLLIRLLCRVRPNLF >Ma02_p18890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25248992:25263517:-1 gene:Ma02_g18890 transcript:Ma02_t18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWNRKSAVSPSSSSSSPSSAASSPGGGAIGRSRARDLYLPWSRRVAVSAAGPVSAGSTPVSRSPSNLDCNPIRSSSSPVLLPQPLPLPELVAAAAAATSPRPESTSAPSLGTALSPTNSVCCPLPSPRDASSRWEGDEANHSATESSSVVEPINDRVASAGVAGSRSPYQNSHRSPELSDISLNGSTFRRNRRMFQGPNSVGAVNFRLNIPAKSAPTSGFSSPVRSPLCSPRRLSNVDFSTFTIATPGLQVWSAPEIPSTEMVSVFSPQTSPEKMVGSPDLSPLYSPNTKSPVLRSRNPSGPSSPLHTKLFPENSGTWHENSGNISVHPLPLPPGASSPSQSGFSHQNAAKADAMPMTNQWQKGKLIGSGTFGNVYEATNRQTGALCAMKEVNIIPDDAKSAECIKQLEQEIKFLSQFKHPNIVQYYGSETIDDRLYIYLEYVHPGSINKYVRQHCGAMTESVVRNFTRHILKGLAYLHGKKIMHRDIKGANLLVDVHGVVKLADFGMAKHLNGAAGALSLKGSPFWMAPEVVQATMNKDIGYDFAVDIWSLGCTIIEMLTGKHPWSGLEGAAAMFKVLHRDPPIPDSLSNEGKDFLRCCFRRNPADRPTANMLLEHQFVKHSHHYNVHGSLQAFAGIKIIDNSISPRDKSKSRSESCVKGKHPTNGEYGRSHPETTEAATCRTSLRSAPEIASSSSAYTNYTILSSAGSSVNVLNGVHSAAGILQPYVLPRPHAKEAVDLS >Ma06_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12061591:12063139:-1 gene:Ma06_g17770 transcript:Ma06_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQHPSGFAFSPDFRNRGGSFVIEDFQMLQDQGNTVGLYGGHLGGINNATVFSDPQSELTCNASGKRKRPLEEPPMVMPQPIPDYDSNLASVLSPICYPDITASAAGCQQSRLLESAGTSTSGRTASPLTMNLVSQIFHHGVEIDSLIRLQNERLQSGVEEARKKYFKALLWGMEQRAAKRLREKEAELEKARRKNAELEERVRQLATEGEMWFAVARNNESIAASLRADLEQILLRNAAAAQVKEGYGDTDDDAQSCRSVEATGRKSIAPAPAPTDEVRRWPRAACKSCAEGDVCVLLLPCKHLCLCKSCESKTHACPCCGTVKNACLQIFMT >Ma08_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6543590:6549354:-1 gene:Ma08_g09050 transcript:Ma08_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHLSNRSSSRPRKRFHFGRSPGQRTPADANRPATPSGATPRTTATRSRNAPQGAPSQSKKQPRRRRFRPGVVALREIRNLQKTWNLLIPFAPFVRLVREITHFYSKEVNRWTPEALVAIQEAAETHMIEMFEDAYLCAIHAKRVTLMQKDIHLARRIGGRRHW >Ma04_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2741663:2744054:1 gene:Ma04_g03580 transcript:Ma04_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLKQVWFPGPLIVGAGPSGIATAACLKERGVPYLILEKETCLAPSWKLRTYERLKLHLPKQYCELPLMAFPPEFPTYPTKQQFISYLDAYVERFAVKPLFDMAVRVAEYDASIRFWRVEAGDLEFICRWLIVATGENAEVVWPEIRGISRFRGQLLHTSCYMKGDGHRGEKVLVVGSGNSGMEVALDLCDNDAKVSMVVRDKLHILPRELLGISTFGMSMALLKWLPVKAVDALLLFGTRLLLGDTEKYGIKRPAIGPLELKSAAGKTPVLDIGTFAKIKSGQIKVVPDINQFTSKGAEFVDGEHEEFDSIILATGYKSNVTSWLKEEEFFGEKDGFPRTSFPNSWRGKNGLYAAGFTRRGLLGASMDACKIAEDIANLYGAVDIRHKLNTITS >Ma04_p31570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31832493:31833126:-1 gene:Ma04_g31570 transcript:Ma04_t31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGRRGSLPGAGRSGDGVLARVSSSISNSPVVYRGRRAASDAATVAKKLLWSTGRAAWIAGTTFLVLVVPLIIEMDREQQMNELEMQQASLLGGPPPSSVVGAVPSK >Ma03_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1608776:1611379:-1 gene:Ma03_g02360 transcript:Ma03_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGVWGLNWQSADALVPPELNSGSSASGQLPQCLLDLKWTQPMTHEADFQSALRSLVSSPSSHPAELIGRLGVVCNSGEMSPSPRRRSTRLNSSPKLDLSVMDRSHQGRGGLPMPANPLPGAHLFTSSASRSGGRSSAGFPAQFGLPETPGNLSRVSSSKSLMVGAASRTTAPETGDEVAMLSPAQMEMELMSKICGSLTPEDSELGNGQDESSVSDRVTAEASSLRDVVDSNARKRKAAAKGKGKVPPVSSSSTDPPCMTELENSDAKRCKAAESNGKNSDAKPPEPLKDYIHVRARRGQATDAHSLAERVRREKISKRMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATMNPQLDNMELLIPKLMYQTHGTTTPQPLYPVETTSLSFSYAHRPQAASGLEAQFSMDALESSLSQPQNLQPAPLDVGDYWEDDLHSVAQLGLGQNQEAAISSQGFHSQIMHANHMKIEL >Ma03_p02360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1608776:1611326:-1 gene:Ma03_g02360 transcript:Ma03_t02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGVWGLNWQSADALVPPELNSGSSASGQLPQCLLDLKWTQPMTHEADFQSALRSLVSSPSSHPAELIGRLGVVCNSGEMSPSPRRRSTRLNSSPKLDLSVMDRSHQGRGGLPMPANPLPGAHLFTSSASRSGGRSSAGFPAQFGLPETPGNLSRVSSSKSLMVGAASRTTAPETGDEVAMLSPAQMEMELMSKICGSLTPEDSELGNGQDESSVSDRVTAEASSLRDVVDSNARKRKAAAKGKGKMTELENSDAKRCKAAESNGKNSDAKPPEPLKDYIHVRARRGQATDAHSLAERVRREKISKRMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATMNPQLDNMELLIPKLMYQTHGTTTPQPLYPVETTSLSFSYAHRPQAASGLEAQFSMDALESSLSQPQNLQPAPLDVGDYWEDDLHSVAQLGLGQNQEAAISSQGFHSQIMHANHMKIEL >Ma06_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3863812:3864306:-1 gene:Ma06_g05200 transcript:Ma06_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLCLCAVSKQSYRRFSSLFWSTMLFRQRDSSKEGREIVSMRRTATRHQHKGNGQTCSVSRKMLSLLICFAGLNATQTQPVPSFRHDWGLHNAFISASSSIITGLQLPPQRSNCRRRILEYQKQ >Ma03_p28690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31811253:31814513:1 gene:Ma03_g28690 transcript:Ma03_t28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLSRAKNLTLSCRLLHHPYAPSDPVALAHCLRAVGSLVSSHPLPDPRSASAPTANRSSPVASCLARAPVFGHLVSGKISSERILQNGTFSPLHGSAQFLARSFHVKAQGGETNIQADNTHLQHNNVSDVIGVEAPSCLKGKENECSKVIAFSPLEVTHTKSRKSGLVNESLKVKTMELSIKTTYALIPALLLISKSKLTTPALVLCIYWIAWVF >Ma04_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18932820:18956109:-1 gene:Ma04_g17820 transcript:Ma04_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGTVVAYPEEVYPLVKLKLAADRIRRQIPAEPHWAFSYSMLQKVSRSFALVIQQLGPQLRNAVCVFYLVLRALDTVEDDTSIPSDIKVPILQNFYRHIYDCEWHFSCGTKDYKVLMDKLHLVSTAFLELERSYQEAIEDITKRMGAGMAKFICKEVETTDDYDEYCHYVAGLVGLGLSKLFHASGSEELAPDNLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPHQIWSKYANKLEDFKYVENSTKAVQCLNDLVTNALIHAEDCLQYMSGLKDLSIFRFAAIPQIMAIGTLALCYNNVEVFRGVVKMRRGLTAKVIDQTYSMSDVYGAFYEFSSLLKSKIDNNDPNASLTHQRVDAIQKTCISSGLLSKRSLHVYESKFHYVTLLIMVVFLLVAMLIPFVLHK >Ma04_p17820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18932820:18956109:-1 gene:Ma04_g17820 transcript:Ma04_t17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGTVVAYPEEVYPLVKLKLAADRIRRQIPAEPHWAFSYSMLQKVSRSFALVIQQLGPQLRNAVCVFYLVLRALDTVEDDTSIPSDIKVPILQNFYRHIYDCEWHFSCGTKDYKVLMDKLHLVSTAFLELERSYQEAIEDITKRMGAGMAKFICKEVETTDDYDEYCHYVAGLVGLGLSKLFHASGSEELAPDNLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPHQIWSKYANKLEDFKYVENSTKAVQCLNDLVTNALIHAEDCLQYMSGLKDLSIFRFAAIPQIMAIGTLALCYNNVEVFRGVVKMRRGLTAKVIDQTYSMSDVYGAFYEFSSLLKSKIDNNDPNASLTHQRVDAIQKTCISSGLLSKRSLHVYESKFHYVTLLIMVVFLLVAMLIPFVLHK >Ma04_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4023435:4024315:1 gene:Ma04_g05410 transcript:Ma04_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESSLVAAAKKKAKKKGTSQGKQKIPIKKIEDRALRRVVFNKLRRDLFGMAARLCATTGARIAIIVFSPSGRLFTFGRPSVAAVLRAFLLGRLRRPAEPEEVLRGPAFAEAEERASTLEAPQRRLERLEKLRRLALARAHELASAREEAEAASKTTDVDPVTATPDDIPARD >Ma07_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1944321:1950278:-1 gene:Ma07_g02430 transcript:Ma07_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD6 [Source:Projected from Arabidopsis thaliana (AT3G56650) UniProtKB/TrEMBL;Acc:A0A384KSV2] MANASAAPLHPLLSSIISTSTSSRSHSLLSVPPECVPIASNPSKVSVTTTAADSSLRKSPLVRRRDLVAGIALAAALTKEPPSVAAREVEVGSYLPPAPTDPAFVVFKATSKDTPALRAGNVQPYEFMLPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQIVASPLVRLTNKPNATIEDIGSPEKVIASLGPFVTGNTFDSDELLEASIEKSGDQTYYKYELETPFALTGSHNLAKATAKGNTVILFVASASDKQWPLSEKVLKAMLESFKI >Ma06_p26040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26649628:26657226:-1 gene:Ma06_g26040 transcript:Ma06_t26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEDCPSSSDVEEDDCYITDQEDAIEENVLQGLEDGREEDCHWSLSSVITKESLLAAQKEDLRKVMELLVLKEQHARTLLIHYRWDVERIFELLDQKGRERLFSEAGVTIVENKGLSASSSSGTCNVCFESFPPGAVTEMDCGHSFCNDCWTEHFIVKINDGQSRRIRCMAPKCSAVCDEAVIRNLVSAKHPDIADRFDRFLLESYIEDNNKVKWCPSVPHCGNAIRVEGDIYCEVECTCGIQFCFSCLSEAHSPCSCLMWELWTKKCQDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFKDDQAKRTERARRDLYRYMHYHNRYKAHTDSLKQESNLKETIQGKISISENKESKIKDYTWVINGLNRLFRSRRVLSYSYPFAFYMFGDELFKDEMTPQERDMKQNLFEDQQQQLEANVEKLSMFLEKDFQHFSDDEVMDIMRHVINLSNVVDRLCKQMYQCIENDLLYPLQRATHNIAPYKSKGLERASELSVCWDSDQSLTSTKTSHDDNSAQLGSAHNGIGVAVPSKHASSSSDESGCSSRKRARTEAQGGAPLFDLNMPAEVIDKS >Ma11_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14002506:14003120:1 gene:Ma11_g11560 transcript:Ma11_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELATYDLAACKKPSSAVSGIRLFGIDVSRDRGGDGGRSKSGRRFGCHYCCRHFPTSQALGGHQNAHKRERLRTRHVQFGPAMETGGHSVCGLSDCLRLPHHPSWRASVSAGAGFFGRHGPGTQPMTGRPLLRGVPAVVRGGTGVGLIYCDRMMPLPLMQGDVWKAGGAGNDAVSCATTATSNEYVCESMLSVSETVNLDLHL >Ma03_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14666554:14670375:1 gene:Ma03_g14830 transcript:Ma03_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSSSLFSPLSPLQIPSRSERGILSDLRLGFRRTRFFRNSRTLIPTPSPQRNSRPDSIRAVQTDRTPSRPSNEGGGKEDADPIERFLKRDYSQWGFVSDIESVSIPKGLNDGTIRLISAKKGEPEWMLQLRLRAFRRFQSMREPHWSDNCYPPIDLQSICYYSEPKRKPKLGSLDEVDPKLLETFDRLGIPLNEQKRLANVAVDAVIDSTSIATTHRAALAEKGVIFCSISEAIREYPELVRRYLGEVVPPGDNYYAALNSAVFSDGSFCYIPKDIVCPMEISTYFRINDRETGQFERTLIIADERSYVSYLEGCTAPSYDKNQLHAAVVELYCHEGAEIKYSTVQNWYAGDEEGNGGIYNFVTKRGLCQGKGSKISWTQVETGSAITWKYPSVILRGDDSMGEFYSVALTKNFQQADTGTKMIHQGKNTRSRIVSKGISAGKSTNCYRGLVKVQPDAENSRNFSQCDSMLIGDAAGANTYPYIEVKNPTARVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRDVFNKLPLEFASEVNALMNLKLEGSVG >Ma07_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12442071:12442220:-1 gene:Ma07_g16070 transcript:Ma07_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNILSCNIKGVANDFPLRREAEKRVEKEVELNADFLRHIFPKIE >Ma09_p24570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36215318:36223968:1 gene:Ma09_g24570 transcript:Ma09_t24570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLMNLLKACWQPSSNKYAHAGSDVIGRKDGLLWYKDSGQHVYGEFSMAMVQANNLLEDQSQLETGPLSSVEFGPYGTFVGVYDGHGGPETSCYVNDHLFPHLKRFATEQQSMSADVIRKAYQATEEGFISLVTEQWPVKPQIAAVGSCCLVGVICGGMLYIANLGDSRAVLGRVVKATGEVLAVQLSAEHNVGIEPVRQELQSMHPDDRQIVVLKHNVWRVKGLIQISRSIGDVYLKRTEFNREPLHVKFRLREPFKKPILSSEPSITVQPLQPQDQFLIFASDGLWEHLSNQEAVDIVQNNPPNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSLKGPSLSLRGGGVNVPANSLAPCTMPTQLGAS >Ma09_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36215303:36223968:1 gene:Ma09_g24570 transcript:Ma09_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLMNLLKACWQPSSNKYAHAGSDVIGRKDGLLWYKDSGQHVYGEFSMAMVQANNLLEDQSQLETGPLSSVEFGPYGTFVGVYDGHGGPETSCYVNDHLFPHLKRFATEQQSMSADVIRKAYQATEEGFISLVTEQWPVKPQIAAVGSCCLVGVICGGMLYIANLGDSRAVLGRVVKATGEVLAVQLSAEHNVGIEPVRQELQSMHPDDRQIVVLKHNVWRVKGLIQGLVVFLRAKDSTQKGGLHEDLIALQGLAQPDIISVTGSILWVLTIDDNQGQQISRSIGDVYLKRTEFNREPLHVKFRLREPFKKPILSSEPSITVQPLQPQDQFLIFASDGLWEHLSNQEAVDIVQNNPPNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSLKGPSLSLRGGGVNVPANSLAPCTMPTQLGAS >Ma06_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9165004:9173028:-1 gene:Ma06_g13390 transcript:Ma06_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYAEETSKRQVDDSTTGIQLYSQIERLITETARQSQDAWGGSGDWSEIEGAWVLKPKSRTPKAVVHFVGGIFVGAAPQLTYRLFLERLSTRGALVVATPFASGFDHFLIADEVQFKFDRCIRFLQDSVNDLPTFGVGHSLGSVIHLLIGSRYAIQRCGNILMAFNNKEASSAIPLFSPVIVPMAQSFGPLLSQLTSSPSLRRGAEMAMKQLGNLSPPIMKQVLPLVEQLPPLYMDLVNGREDFSPKPEETRRLVKSYYGVSRNLLIKFKDDTIDETPTLAQLLSGGSAISSQLDMSVRLMPGDHGLPLQQVLPDIPPTMADAVNRGGEILSNLTVGTPWEAVAREVSNTLGTDSGIVRAQISKDIDALVDLMTSWMDSNSDPRLIRP >Ma06_p13390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9165004:9173812:-1 gene:Ma06_g13390 transcript:Ma06_t13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAPDFASAFPVATSSHAAGRSLRTTTQAFPGNYMVKSRNFALMTGAAAGTSCITKKTSFEVVQTRWQQQSPSSKRRMVCYAEETSKRQVDDSTTGIQLYSQIERLITETARQSQDAWGGSGDWSEIEGAWVLKPKSRTPKAVVHFVGGIFVGAAPQLTYRLFLERLSTRGALVVATPFASGFDHFLIADEVQFKFDRCIRFLQDSVNDLPTFGVGHSLGSVIHLLIGSRYAIQRCGNILMAFNNKEASSAIPLFSPVIVPMAQSFGPLLSQLTSSPSLRRGAEMAMKQLGNLSPPIMKQVLPLVEQLPPLYMDLVNGREDFSPKPEETRRLVKSYYGVSRNLLIKFKDDTIDETPTLAQLLSGGSAISSQLDMSVRLMPGDHGLPLQQVLPDIPPTMADAVNRGGEILSNLTVGTPWEAVAREVSNTLGTDSGIVRAQISKDIDALVDLMTSWMDSNSDPRLIRP >Ma04_p31430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31733404:31736157:-1 gene:Ma04_g31430 transcript:Ma04_t31430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPLMLKDYLELDCDSESVGKGSWQAPMRASNADADAATVRRLLDAELRGGSGGRRLPRTRSMSAMTRISAVINAIKLLPFAVASSSNSASCGEHRSGQEGILSRSFSRRLRGSFWRKTGKMAAAEEVENRVRVKDIVRLRSFEEEANGDEQRSFSFLSPTISSRSSWSESDSYGSDFLPSTASTDATDDIPPAGADTTEDGNKGSQRASPRRSPRACRKSTGDGFAAGHRLAPKVTESEGTGSPQCHLEEEERQQLSPVSVMDFPSEEEDDPASRSFRHSLAKLERTNVQQLKKIRRLERNQDLGPVDLDRRFSSSDDELPERTGHEVEGGASREGKAWGLLGQLKASCHADLQERSTDRLLVDFFIQRLSSFDDDGDDLDRPLRRRQRCWTSAVRREPAEEDAVLNTARGWLEGARSGDLDDYHGEATLREMERHGRWRSFEGEERQLGVDLERLVLGSLMEEVVEEFVSC >Ma04_p31430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31733404:31736150:-1 gene:Ma04_g31430 transcript:Ma04_t31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPLMLKDYLELDCDSESVGKGSWQAPMRASNADADAATVRRLLDAELRGGSGGRRLPRTRSMSAMTRISAVINAIKLLPFAVASSSNSASCGEHRSGQEGILSRSFSRRLRGSFWRKTGKMAAAEEVENRVRVKDIVRLRSFEEEANGDEQRSFSFLSPTISSRSSWSESDSYGSDFLPSTASTDATDDIPPAGADTTEDGNKGSQRASPRRSPRACRKSTGDGFAAGHRLAPKVTESEGTGSPQCHLEEEERQQLSPVSVMDFPSEEEDDPASRSFRHSLAKLETAGTNVQQLKKIRRLERNQDLGPVDLDRRFSSSDDELPERTGHEVEGGASREGKAWGLLGQLKASCHADLQERSTDRLLVDFFIQRLSSFDDDGDDLDRPLRRRQRCWTSAVRREPAEEDAVLNTARGWLEGARSGDLDDYHGEATLREMERHGRWRSFEGEERQLGVDLERLVLGSLMEEVVEEFVSC >Ma05_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3929779:3930956:-1 gene:Ma05_g05140 transcript:Ma05_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMRLRDLSSFIRTTNHDDILVKTEMEEVDYASKAWGVILNTCDDMEREVLGALRSFFPRIYTLGAMGELVDQIGGGLMASTRLSVWREDRSCMEWLDSQSEASVIYVSFGSLTVLTAHQLAEFAWGLAGTDHPFLWIIRPDMVDGGVRTALPEEFIAATKGRSFFASWCHQGQVLAHRSVGGFLTHGGWNSMLESVLSGVPLICWPTFADQYTNCRYACVHWGFGLEVDQEVTRGQVSDRVRELMEGGKGKEMRERSKKWKEMAKQATRRGGSSHMNLDRLAEDLSIKETQSES >Ma04_p29110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30061877:30074574:-1 gene:Ma04_g29110 transcript:Ma04_t29110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSTVSGFWAVILGCCFASIASSSSSSGSKYYRRAFPILQSDPDHIRLRLAREGLQAIQDITTPVAAVAVIGPYRSGKSFLLNQLLSLPCNEGFEVGHMRHAKTKGLWVWGIPVEVVIDGSKVSVLYLDTEGFENVRKSNVYDDRIFALATLISSVLIYNLPETVREADISRLSFAVEIAEEFYGRSVFEELCYCQNPSLELILFIFSYLTTLGLLICYFMELRMKGKDVVFEPAKLLWLIQRDYLQGSSVQEMVNEGLRPVPNKSGDKNIDQVNKIRKSLARMANNISAFGLPQPHLQRTKLCDMKDTELDPLYVQNREHFKQLVASIIRPKIVQGKTLNGKEFIAFLKQTLDALNKGEIPSTGSIVEVFNKAILERCLKLYSQGMSKLQLPVPENKLQLAHEVSKAEAKKLLDQQLFGRRNAKESLQKFNDEIKKVYENHIIANKYQSSKQCEASWSDCENRMDRLLVVRLPSMTKFNASFTRCNRNFERDCVGVSKEMYALKMAKTLEKSRSLFFKEYCQRLTLSLLVLSITIPAVGHTLKFTLLKYGGYIMLIFSLYFEMHTRIYGSSELLHNSPSFQIAVAAWEIIVGNILVLNRWAIPVGILLCVMLLCWLFYNMDIVVRRQDGVLIPKCCWSRKDQIKQEP >Ma04_p29110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30061877:30074574:-1 gene:Ma04_g29110 transcript:Ma04_t29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSTVSGFWAVILGCCFASIASSSSSSGSKYYRRAFPILQSDPDHIRLRLAREGLQAIQDITTPVAAVAVIGPYRSGKSFLLNQLLSLPCNEGFEVGHMRHAKTKGLWVWGIPVEVVIDGSKVSVLYLDTEGFENVRKSNVYDDRIFALATLISSVLIYNLPETVREADISRLSFAVEIAEEFYGRMKGKDVVFEPAKLLWLIQRDYLQGSSVQEMVNEGLRPVPNKSGDKNIDQVNKIRKSLARMANNISAFGLPQPHLQRTKLCDMKDTELDPLYVQNREHFKQLVASIIRPKIVQGKTLNGKEFIAFLKQTLDALNKGEIPSTGSIVEVFNKAILERCLKLYSQGMSKLQLPVPENKLQLAHEVSKAEAKKLLDQQLFGRRNAKESLQKFNDEIKKVYENHIIANKYQSSKQCEASWSDCENRMDRLLVVRLPSMTKFNASFTRCNRNFERDCVGVSKEMYALKMAKTLEKSRSLFFKEYCQRLTLSLLVLSITIPAVGHTLKFTLLKYGGYIMLIFSLYFEMHTRIYGSSELLHNSPSFQIAVAAWEIIVGNILVLNRWAIPVGILLCVMLLCWLFYNMDIVVRRQDGVLIPKCCWSRKDQIKQEP >Ma04_p29110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30061877:30074659:-1 gene:Ma04_g29110 transcript:Ma04_t29110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSFLRCLSLCHIIEVAPPFDPLGAAAERRNGCFFDSVRVLGGDSGLLLRIYCFFFFFFWIKVLPPSVSYIAVRSRSHQTPSCERRSPGNSRYHNPSSCCCRYRPSINDPLHFSRSLLPKVIGPYRSGKSFLLNQLLSLPCNEGFEVGHMRHAKTKGLWVWGIPVEVVIDGSKVSVLYLDTEGFENVRKSNVYDDRIFALATLISSVLIYNLPETVREADISRLSFAVEIAEEFYGRMKGKDVVFEPAKLLWLIQRDYLQGSSVQEMVNEGLRPVPNKSGDKNIDQVNKIRKSLARMANNISAFGLPQPHLQRTKLCDMKDTELDPLYVQNREHFKQLVASIIRPKIVQGKTLNGKEFIAFLKQTLDALNKGEIPSTGSIVEVFNKAILERCLKLYSQGMSKLQLPVPENKLQLAHEVSKAEAKKLLDQQLFGRRNAKESLQKFNDEIKKVYENHIIANKYQSSKQCEASWSDCENRMDRLLVVRLPSMTKFNASFTRCNRNFERDCVGVSKEMYALKMAKTLEKSRSLFFKEYCQRLTLSLLVLSITIPAVGHTLKFTLLKYGGYIMLIFSLYFEMHTRIYGSSELLHNSPSFQIAVAAWEIIVGNILVLNRWAIPVGILLCVMLLCWLFYNMDIVVRRQDGVLIPKCCWSRKDQIKQEP >Ma10_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24815652:24817592:-1 gene:Ma10_g11220 transcript:Ma10_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPDKFRYSLCSRGRLLQVLRKYFSIVPCSSSPRRTFPAPAFSWKRVAELPVAANKRPNVSSDRKVHPVEDEITGLLVSGTDGGVVLISSECKQPDSVVEHASSLSKVGVKEEKVQENKEREEKSDPPVMRVVESTLPKTRRRPARIVIPKPSADTAFSVVGGEQDGIRTESEAEGSAYCVVSRRGQRHRMEDGYGVIPNIHGDDKQAFFGVFDGHGGRAAVDFVSEKLGKNILTALEELQKEENQMELAIKEGFLTTDREFLSQGVSSGACAVTVLLKDGELHAANVGDCRVVISRKGVADALTDDHRAGREDERNRIENSGGYVTCRNGMWRVQDSLAVSRAIGDMNMKEWIISEPEIRKIHLTTDCESLILASDGLWDKVTNQEAVDVVSKHSSSMKSCKELIEISNNKGNRDDITVMVIDLQKFMHSRG >Ma07_p29100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34906044:34910036:1 gene:Ma07_g29100 transcript:Ma07_t29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVSISRPTPLLSAFLLRPLLSTFLLNPRRPLPLPSPSLPILFSSPTVFSISTYPILHPQISSLSSPSAATDPGTSDFDTDSVEPSAPFDESDLHGFLQLLTQAKSLSSSRKEALAFLRASSGVALNLGLVCKALWELRGDCELAFLAFRWAEECVADCRWAWHLMIWAMGKQRRFDLSWYLVRKMYKTSVLTQRAMVIMMERYVAADEARKAMKTFQVMERFKVNADLTAFYALLCALCKNKYVEEAEEFLLLNRKFFPLTAESFNIVLDGWCNVICDVVEAKRLWREMSNFCITPDGISYSHMICCFSKVGNLFDSLRLYDEMKKRGWIPNLVVYNSLIYVLTRESCLRDANNIFDKIIEAGLQPNVQTYNSMIYPLCEAQKLEEARMAMDDMMVKGIHPTIETYHAFVKVEDIEGTVKLLKRMKDASCGPNSYTFFLIINKFFRFGDSESSLKMWSEMKRYDVIPDASHYMAVVEGLVKHGWIPKALEFYKEMKSKGFSADPKLEKFFMSFISNSKNHWGTSGKEFIFPQNGRHGTCIRMKFG >Ma06_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:154094:156608:1 gene:Ma06_g00210 transcript:Ma06_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRHHKALYLGLISAATTLHHLDQILAHSIVTGYHPDLITATALLRRVSDLGGTAAAPRALRLFSSVPDPDLFLLNVLLRSLPPSTALPLLSSLPRHHPHLRPNTFTYAAVASAASNHPEAAPPLHARIIVDGFSNDLFVASSLADLYLNSSQVSLAEKVFDGIPDPDTVLWNTLISGLVRNCSFSRAASVFQRMVASNSARFDSTTLAVVLPAAAELQDPNLGTLVHCLGIKLGLASPPHVVTGLISMYSKCGDIPAAEFLFEEIEYPDLIAYNAMISGYSINGHIESSVGLFKELLVSGGGRPNSSTMVGLIPVFNPFGYELLARSIHGFAVKAGFDVNSAVSTALTTVYCRLNEMEAARRAFDSMPEKSMASWNAMISGYAQNGLTATAISLFREMQACNLRPNQITVTSMLSACAQLGALTMGKWVHQIIAEEDLELNVFVSTALVDMYAKCGSIAEARSIFDDMEEKNVVSWNAMISAYGIHGRGLESLKLFEAMRSASVAPTGVTFLSVLCACSHGGLVEEGRAIFESMKREHGVEPGPEHYASMVDLLGRAGRLGEALEFIKTTPESAGAGVWGALLGACMIHKESELARYAARKLFELEPENPGYYVLLSNIHSSKRNYLEAAMVRQDAKNRKLAKTPGCTLIEVGDAVHTFTAGDRSHPQSTAIHSLLEKLTGKIIEAGYRAETEAALYDVEEEEKEQMVKVHSERLAIAFGLISTSPGTDIRIIKNLRVCLDCHQATKFISKITQRVIVVRDATRFHHFRDGVCSCGDYW >Ma09_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33691934:33694411:-1 gene:Ma09_g21770 transcript:Ma09_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPQPSHHTRINLGDVKSQIAKKLGPERSQQYFSYVNQLLAQKLSKPDFNKYCLLVLGRENLHLHNHLIRSILKNAFLVKHPPPLGLGKDALKPIEAVGTKSSEDESTDDDLAASMSKNTIWSNGNILPPSPCKVRSCIRDGRIKDRPSSLGQNGRLHATSHQIVRENDVINPCDSKRSMQHHQGVPLDHPAKRPRRENMALHDQAHVDHKGLIEFVKEDAEDLERANDLSSKRGPFQAPLGIPFCPASLGGARRSLPFVSTSSSGSLSCDRGELCHTEALKRRMEKIAAGHGLEGVTLDCSNLLNNGLDVYLKRLIRSCVELLGTRSGHYQTKYGVFKQQTQEKPINGVWPGNHMHVQNSDKISEHMQRLKTNNQISLKDFRVAMELNPQQLGEDWLLLLEKICLCSYKE >Ma10_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28349913:28358639:-1 gene:Ma10_g16770 transcript:Ma10_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDPPSSSGTPRKTLLRSATWTSRSISNPNPNPNPQPSRPPKPGRLALPPPPPLAAWPCPASDDSGHWPATPSASSTPAAAAADDGDGDVSRVDDHVYLGGDSVARDRAALRRHGITHVLNCAGAACPDHFRGELAYRTLWLRDSPAEDLAPVLYDAFDFLERARAAPRGRALVHCRRGASRSAALVVAYLMWRRALPFDDALRAVRAVRPSVDPNLGFAAQLLRCQRRVHALPPTPGSAALRAYRMAPQSPYDPLYLVPKSVDLSSTDGASILDSRGAFVVHVPSAIYVWLGHCCGPSMATAAATAALQVVRYERAEGPIITVNEGSEPAAFWAALTDEPLSAVPEEIVGNRRVELYDLDYDIFRRATARARAAPPLSKMWTGPETITPVKDSGWCRLRRKFASRDWKDIIKAVVERRSLREEDLRARNAVRSPGSFSVESSTTPSSSSADSASVLSTFSPNSSSSSDWNNLSPPRSELHRASQTELNPELQSSSSGNVKGKDSRSLAERRGGNAPSLFLVPSAGDTEGRLSSTDIVRDWCLSPPFISEVEEYQETFDLERRLSLGASDPDDEAEDETYCADEHNQLIHPVLFRWPDMDKVEDVHPGVLDSESIFLLLASESKLGSRKPMMKKIYVWLGRDSRNEVGRGNEEDGHIYLDRAGTEFFGRMGTPMDTPVQIIREGQEPEQFLNHIFSFHQATESSHS >Ma09_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9529004:9531273:-1 gene:Ma09_g14010 transcript:Ma09_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPSDSRKFLTLPAVQPGADVSPAALLCALVALADEILALRSNPFPIHRRSAREAIRQVGAILEFLADVRGRGSALPASAAVGFSELHVALQKLRHLLQDCARPGARLWVLMRSELVSNEFQMLVRSISTALDVLPLASIDAAPELKELVRLVREQAWKATVGTEPADAMAMRSMWSILGQFKNGIAPNRIDLRWILDHLRIRSWNYCSEEIAFLEELFLASLDDDDDEEEAALLGSLMAFMVYCRVVLFDAVDDEKSSEKQSKARARAVSHVNLDHLRCPISLELMTDPVTIATGQTYDRASISKWLKSGCLTCPVTGEKLANNSLVPNSAIRNLMEQFCHETKVTIPEPNGKPKRDLTRTATPLSAAAAGATRMVAAFLVHKLAVGTNQEKNKAAHEIRKLSKSNIFNRACLVEAGSVPWLLYHFSSSDPSIQDNAVAALMSLSKHPSGGKAIVEAGGLGLIVDVIRVALRVEAQQNAAAILFYLSSDEVYRTEIGRMAEAIPTLVELLREGTYRGRKNAIVTLYGLLPCPDNLRKILAAGAIPALASILSSDRADLVNDAVAVLAKIAEGHDGTTAILESSAVPHLVAFLRSSTSRSGRENCVSALLSLCNNGGAKVVSLLEQIPVLMPSLYSLVTEGSPQAGKKARSLLNHIHHLHDQEDLIMMGVIDAQ >Ma04_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24299765:24303914:-1 gene:Ma04_g21860 transcript:Ma04_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGSNSINAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVSDATVKLEIWDTAGQERYHSLAPMYYRGAAAAIIVYDISRMESFEKAKKWVQELQKQGSPNLVTALAGNKCDLDDKREVPTEEARTYAEENGLLFMETSAKTAINVNDIFYEIARRLPRAQPAQQPAGMVLADRPTQRSQTSACCS >Ma05_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2048437:2051125:-1 gene:Ma05_g02960 transcript:Ma05_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVRPLVTVQALEGDMATDAPSAVPLPDVLKAPIRPDVVRFVHANLSKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRRWHRRVNINMRRFAVASALAASAVPSLVLARGHRIESVPELPLVVSDSVEGVEKTASAIKILKQIGALPDAEKAKDSQGIRSGTGKMRNRRYISRKGPLIVYGTEGSKIVKAFRNIPGVDVANVERLNLLKLAPGGHIGRFIIWTKSAFEKLDSVFGTFDKPSEKKKGYVLPRSKMLNADLSRIINSDEVQSVVRPIKKEVNRHTLKKNPLKNLYTLLKLNPYAKTARRMALLAEAQRVKAKKEKLDEKRTQLPKEEAAAIKAAGRAWYKTMISDSDYAEFENFSKWLGVTQ >Ma02_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18906146:18907112:-1 gene:Ma02_g08620 transcript:Ma02_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKICLLFVLFFSFTVLHALAARIPVVQASQPQASFGENSTEFIRARCGATRYPSLCYRSLAGYSFAVQQSPIQLARFATNLTLARVASLSAHVTSLRRACGTAKSASACPEAGALRDCADSLGDAVDLARRTAGELCGLEAEAAGSAAAVWRMSNAQTWMSAALTNEDTCVDGFEEVGPESRAKADVCRRVWLVKQYTSNALALVNGIVASR >Ma06_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8296814:8302249:1 gene:Ma06_g11890 transcript:Ma06_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIAYDVSNRQSFLNTSKWIEEVRTERGGDVIIVLVGNKTDLVEKRQVSTEDGETKSREFGVMFIETSAKAGFNIKPLFRKIAASLPGMETLASTKQEDMVDVNLKSTVSSTQTQQQSGGCSC >Ma03_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17889066:17890354:1 gene:Ma03_g16150 transcript:Ma03_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPTRLGFKGFCYPRLSPDTKKTRPRSKMASLCRSAAMAAARSIAVRSKNLLPKPAPSRRAAPIVRRSIAPALGSVESLMPLHSTIASARLKSFIAVDSSCWSWLSQGRALPL >Ma03_p16150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17889070:17890016:1 gene:Ma03_g16150 transcript:Ma03_t16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPTRLGFKGFCYPRLSPDTKKTRPRSKMASLCRSAAMAAARSIAVRSKNLLPKPAPSRRAAPIVRRSIAPALGSVESLMPLHSTIASARLKSFIAVDSSCWSWLSQGLSKRI >Ma03_p27220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30684428:30689278:1 gene:Ma03_g27220 transcript:Ma03_t27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSVREGGEEGQGGSSHCQRGSEDLMGQSPPQSPRGAAQSPLLFAPQVPMTPLQRHEEMHSPSDSCTPNSPMYEDALDVQGIPTMITWGHGGREVFVEGSWDNWMTKKPLERSGKDFTVMMVLPSGFYQYRFIVDGERRYAPDLPWMNDDMGNIHNILDLQDFVPEDLGGVAGFEMPQSPESSYSNSPLSSEDYRKEPPLLPPQLHVTVLNAPAAMDCPSSLTRPPHVVLNHLYIQKGKSSQPVVALGKTHRFLSKHVTVILYKSIAR >Ma01_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12514211:12514672:1 gene:Ma01_g17100 transcript:Ma01_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHLKSQIAKIVLLLLVLLFIPLVPASIRPCYLFFLLNILILALGVEAGFLQMISDPHDEKNITTSIATPLTIADMLDCAFHEGTLHPLKDSDGEDGDEEEEEEEEKWEDIGELSTQELFTKCEAFIGNFYKQLRTQREQSWRKKIHDLRAF >Ma10_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4849067:4850873:1 gene:Ma10_g01560 transcript:Ma10_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRGARLESRVCSIEVYASDPRSSANLIREYLVGNPLLNSSHLCISWNIYLGRKNSSSEAPNDRLLGGLLSPEFDDKSCLSRYQSMLYRKASPHAPSPHLVRKLREYEALHKKCAPNTDLYRKSIEQLKSGRSDGPMECNYVVWIPHNGLGNRVLTIVSSFLYALLNNKVLLVHIPSDFTDLLCEPFPGTSWALPSDFPVHNFLDFDTGTPQSYGNMLRDKVIDDEMLSGSSNATLPAYVYLHLPWYYDQWDKLFFCGDAQRMLRRIPWLLLKSDHYFVPSLFLLQEYEEELRQLFPERATTFHHLVRYLVHPTNAVWDYVTKYYRAHLAAADETLGIQIRVFDNFPVPFESMLRQVINCSLSEGILPAVNFQEWAGPASKTDTKVKAVLVASLFSGYAERIRDMYAKHPTTTGEVVRVHQPSHEEQQHTEQRGHNIKALAEVELLSFSDALITSAWSTFGYVAQGLGGLQPWILLRHTQSDLPCRQAMSSEPCYLMPPPFPFPSSHCSKDHGDAGEAAAATQYVRQCEDELGGIKVFD >Ma04_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2342932:2345852:1 gene:Ma04_g02890 transcript:Ma04_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKRLAVVSWCLVLSARAFPAAATLLFAVASCFRPASPGLRPPGQCLWMLHVRLSGFDLRDETGINTAASTLTILTRMTVLLTLVAISLWANHEASMNIDISIVNSAVGSRAGRRFDLNFVSNGRANRIVHHASRFVEQALYPEDAYPRKPISHITLHLASNDLDSVTSVNPGAGSGDYTIHLSPSLMSEADGDGALASEVHRAVARLMLWDAQQAAPSQLLEAVADYLAVAAGFPPSSNKTCNVSSYWSAEFLQYCEVRRNGFIARLNRGMRERWSESTVDDALGSPVRQVYTAFHAPETGYVMESLNSTSSSAEVRQAT >Ma09_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:554254:555361:-1 gene:Ma09_g00820 transcript:Ma09_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNWMHRKLHSGNNYSQVSQDEDVEEKAVVETDTDALLLHDMLNGILTIGTLGHHHHLVPEAYFDSEELLGEVGEVIEEVEEAGLFEVAREASAAVRKESFNIKLSVEDEEKKIMEVVQVHEVQGSEKILELPLLKEHKEKRHRRRTTLADLLAANAVSDNDSAAKTVPDGTNVRGKQQAINAKNNMQHKKSKGDQKPPATTTTTGKLQTLIPRMLKKKIHPEMVEPKEEALKERNKAEKPPIAGEAASGNLPSL >Ma02_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23743279:23746815:-1 gene:Ma02_g16310 transcript:Ma02_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHKSPSRGEPQRLRSALLGGCFLLSMILLVVYTSYVVSFSNLTFWRSNQAAPPVPKEEHKITASDPAETRPQKEKLPRNPFCDFSDRRNDYCEMEGDIRIHGNSSSILFVTSSNTNGTNIQESWQLKPHPRKGDARAMARVTEMSVKTLSPHGAPKCAIRSTVPAIVFSTGGYMGNFFHDFTDILIPIFTTSQQFNGEVQFLISEILPIWNDKYQKLLKPLTNYEIIDFNQDHTVRCYPRVIVGLKFHKEMSIEPSRSPYGLSMVDFGRHVRKSFALERDTTIKLGPDQDKKPRILIIARKWTRIFANVDEIVRKAEEMGFEAVVAEAKYDLAEFARIVNSCDVMLGVHGAGLTNLVFLPTNAVVIQVIPLGGLEGISWIDFGLATISMKMHYLQYMISLEESTLTEQFSRGDPVLSDQKEAYRKHGWDRMIELYFFKQGVKLDTQRFSSTLLHALELLRQQ >Ma02_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14219041:14220288:-1 gene:Ma02_g02800 transcript:Ma02_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIPITGDDIASEENLWDLYERWQSHHGVSRSVDEKRIRFDVFKENANYVFASNKIAKPYKLSLNKFGDMAREEFKRTYAGTRIRRRSTLRGSASLKGYFLYKNVTNVTPTVDWRQKGAVTAIKDQGKCGSCWAFSTVVSVEGINQIRTNELISLSEQQLVDCDTNTNKGCDGGMMDDAFDFIERNGGITTEENYPYVARQEKCKVKGERSPAVVIDGYEDVPVNDEDALSRAVANQPVSVAIEASGQDFQFYSEGVFTGSCGTELDHGVAIVGYGTSEDGMKYWIVKNSWGPEWGEEGYVRMQRGISASEGLCGIAMEASYPLKTSPNPVQKKLHL >Ma04_p24450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26434351:26442369:1 gene:Ma04_g24450 transcript:Ma04_t24450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVHTEPGHLYSWWGNHISPKNSKWIQDNLKDMDMKVKAMIKLLEEDADSFARRAEMYYKKRPELKKLVEEFYRGYRALAERYEQSTRVLRHAHQTMIEAFPNQIPSLSDESHYGLSGNEVEPQTPEMPSPVRRLFDSDDLKKDAPRSVSDFHVKKRNWLHAEESDALSRKTSPRQYNEILGTSEGAARGKSHEGKVRKGSNNMEHEYKNFENEADNHDQEGTVKRDASNVIKILQQDISQLSSEIHVLKDQIMEESKRANNAENEVQSLKGSLAKLNSERDTSFLQHQISVERISSLELLLSDAQTDLKNLSDDMLKEVRKLKNTEELNQSLQLDLDTLEKKAMTQELEINQKQEELEKLQIMLQDKYQRCLEAEMAIVESEKKYIQSQEEAKVLALEIQEGMEKSRNVELCNMGLEEEICRLKGENNGLNEQNLQSTLMAKGLQDEIILLKEKKRKLEDEIGFLLGEKEVLRQELCRVKEENTDLKQRYQDLKEEMQAVSNCVESLQAANKELQNGNNELKEICKKHEAENELLVEKLKDMDKISEKNIILERILSDANFEIEVLREKFSALENTHESLKSEISNCMGERDSLASEVKILSEDVEKLSAKNTVLENSLSDATMEVESLRSKLKDFEESCHYLNDQNSGLLAEKHALESQVEAITMNLENFESRYAEVMDNHLNLSRERDLMINQVKDLEDILKLETQQHQTLAQTYKNLKGTSENQISLLQEENQHKDKELQTEQHNLITSLVENFILQRSLSDLKEMNSVLFLDGRKSLEACRSAETLVSKLEQEKPIQMRNIMSLTRHNEKLSDGIRLLWRALNEDNEFMSLEKIQDEILLDIILGEIKKLLNSISEAKDDNQQLHLEILVFITLLRHLGIDVVNLRLQNNSLERELEIKNEELFALGHENNELLGSNERLMEELEASNQREKVLKMEIKVLHTHSSDLQGALQTVQCEITNQIEEKKSLSQEICNLREQYNILEEEHVEILVEAMRLDHLHLFFKSLNDERLTDLKSLCYDLQSLDVIKNNLASEIGRLIEKVSVLEGEKMHFSDTVTYLEEELRNRLLILEFDLNIVTSLFDELDLQAEAVKFKLMERETQLSEANQNVKSTQENNMLLNEVLETLRLDNVETKFVKEEMEKKVLTLSEIVTDRNEEIRGLHEENTMMKRDIDEMHKRVEDLVCREELLILELQKETSEIMQCEEEIAAMLTDFQILLVNAAFQDEKFQELIVEGEISTLVQKEVLVAELYLCKEHVEELKNKLHFLEGENRGLKADLDVYLLMLKSLWDGVVSMEEQIMSISMLKPLNNHAEEDISLMSHQHHNSNQPGESHIGTKAAGILLLEKSIDKVKALQKVIMDAVIHLEQERLDSSATLEAAMQEVEMLKSKSVGGDTKQLDVYDSKDDAEYSKGKYGEMIKDIQLDQASSSLPSREFDLYKLSIENAELDEQSWVRAEKNRSNQTRKTSPISTENNMESLEEETIYHHPPKMLASELSIDKSDLHKRPMESQEWNKRILRSLDSDAQRLSDLRTSIGELKKSISSQREKLPASYGYDSIKEQLKETEEAMLELIGNNSRLKRLAEDCTSFDGRTIKPEDGGSMERRQISQQAKQGSEKVATLELKLQKIQYVLMKLEEELQNRQDRSTRRNRVALRDYLYGWRDNHGQIKRNPFCGCMKPKTKGDH >Ma04_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26434351:26442369:1 gene:Ma04_g24450 transcript:Ma04_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVHTEPGHLYSWWGNHISPKNSKWIQDNLKDMDMKVKAMIKLLEEDADSFARRAEMYYKKRPELKKLVEEFYRGYRALAERYEQSTRVLRHAHQTMIEAFPNQIPSLSDESHYGLSGNEVEPQTPEMPSPVRRLFDSDDLKKDAPRSVSDFHVKKRNWLHAEESDALSRKTSPRQYNEILGTSEGAARGKSHEGKVRKGSNNMEHEYKNFENEADNHDQEGTVKRDASNVIKILQQDISQLSSEIHVLKDQIMEESKRANNAENEVQSLKGSLAKLNSERDTSFLQHQISVERISSLELLLSDAQTDLKNLSDDMLKEVRKLKNTEELNQSLQLDLDTLEKKAMTQELEINQKQEELEKLQIMLQDKYQRCLEAEMAIVESEKKYIQSQEEAKVLALEIQEGMEKSRNVELCNMGLEEEICRLKGENNGLNEQNLQSTLMAKGLQDEIILLKEKKRKLEDEIGFLLGEKEVLRQELCRVKEENTDLKQRYQDLKEEMQAVSNCVESLQAANKELQNGNNELKEICKKHEAENELLVEKLKDMDKISEKNIILERILSDANFEIEVLREKFSALENTHESLKSEISNCMGERDSLASEVKILSEDVEKLSAKNTVLENSLSDATMEVESLRSKLKDFEESCHYLNDQNSGLLAEKHALESQVEAITMNLENFESRYAEVMDNHLNLSRERDLMINQVKDLEDILKLETQQHQTLAQTYKNLKGTSENQISLLQEENQHKDKELQTEQHNLITSLVENFILQRSLSDLKEMNSVLFLDGRKSLEACRSAETLVSKLEQEKPIQMRNIMSLTRHNEKLSDGIRLLWRALNEDNEFMSLEKIQDEILLDIILGEIKKLLNSISEAKDDNQQLHLEILVFITLLRHLGIDVVNLRLQNNSLERELEIKNEELFALGHENNELLGSNERLMEELEASNQREKVLKMEIKVLHTHSSDLQGALQTVQCEITNQIEEKKSLSQEICNLREQYNILEEEHVEILVEAMRLDHLHLFFKSLNDERLTDLKSLCYDLQSLDVIKNNLASEIGRLIEKVSVLEGEKMHFSDTVTYLEEELRNRLLILEFDLNIVTSLFDELDLQAEAVKFKLMERETQLSEANQNVKSTQENNMLLNEVLETLRLDNVETKFVKEEMEKKVLTLSEIVTDRNEEIRGLHEENTMMKRDIDEMHKRVEDLVCREELLILELQKETSEIMQCEEEIAAMLTDFQILLVNAAFQDEKFQELIVEGEISTLVQKEVLVAELYLCKEHVEELKNKLHFLEGENRGLKADLDVYLLMLKSLWDGVVSMEEQIMSISMLKPLNNHAEEDISLMSHQHHNSNQPGESHIGTKAAGILLLEKSIDKVKALQKVIMDAVIHLEQERLDSSATLEAAMQEVEMLKSKSVGGDTKQLDVYDSKDDAEYSKGKYGEMIKDIQLDQASSSLPSREFDLYKLSIENAELDEQSWVRAEKNRSNQTRKTSPISTENNMESLEEETIYHHPPKMLASELSIDKSDLHKRPMESQEWNKRILRSLDSDAQRLSDLRTSIGELKKSISSQREKLPASYGYDSIKEQLKETEEAMLELIGNNSRLKRLAEDCTSFDGRTIKPEDGGSMERRQISQQAKQGSEKVATLELKLQKIQYVLMKLEEELQNRQDRSTRRNRVALRDYLYGWRDNHGQIKRNPFCGCMKPKTKGDH >Ma04_p24450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26434351:26442369:1 gene:Ma04_g24450 transcript:Ma04_t24450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVHTEPGHLYSWWGNHISPKNSKWIQDNLKDMDMKVKAMIKLLEEDADSFARRAEMYYKKRPELKKLVEEFYRGYRALAERYEQSTRVLRHAHQTMIEAFPNQIPSLSDESHYGLSGNEVEPQTPEMPSPVRRLFDSDDLKKDAPRSVSDFHVKKRNWLHAEESDALSRKTSPRQYNEILGTSEGAARGKSHEGKVRKGSNNMEHEYKNFENEADNHDQEGTVKRDASNVIKILQQDISQLSSEIHVLKDQIMEESKRANNAENEVQSLKGSLAKLNSERDTSFLQHQISVERISSLELLLSDAQTDLKNLSDDMLKEVRKLKNTEELNQSLQLDLDTLEKKAMTQELEINQKQEELEKLQIMLQDKYQRCLEAEMAIVESEKKYIQSQEEAKVLALEIQEGMEKSRNVELCNMGLEEEICRLKGENNGLNEQNLQSTLMAKGLQDEIILLKEKKRKLEDEIGFLLGEKEVLRQELCRVKEENTDLKQRYQDLKEEMQAVSNCVESLQAANKELQNGNNELKEICKKHEAENELLVEKLKDMDKISEKNIILERILSDANFEIEVLREKFSALENTHESLKSEISNCMGERDSLASEVKILSEDVEKLSAKNTVLENSLSDATMEVESLRSKLKDFEESCHYLNDQNSGLLAEKHALESQVEAITMNLENFESRYAEVMDNHLNLSRERDLMINQVKDLEDILKLETQQHQTLAQTYKNLKGTSENQISLLQEENQHKDKELQTEQHNLITSLVENFILQRSLSDLKEMNSVLFLDGRKSLEACRSAETLVSKLEQEKPIQMRNIMSLTRHNEKLSDGIRLLWRALNEDNEFMSLEKIQDEILLDIILGEIKKLLNSISEAKDDNQQLHLEILVFITLLRHLGIDVVNLRLQNNSLERELEIKNEELFALGHENNELLGSNERLMEELEASNQREKVLKMEIKVLHTHSSDLQGALQTVQCEITNQIEEKKSLSQEICNLREQYNILEEEHVEILVEAMRLDHLHLFFKSLNDERLTDLKSLCYDLQSLDVIKNNLASEIGRLIEKVSVLEGEKMHFSDTVTYLEEELRNRLLILEFDLNIVTSLFDELDLQAEAVKFKLMERETQLSEANQNVKSTQENNMLLNEVLETLRLDNVETKFVKEEMEKKVLTLSEIVTDRNEEIRGLHEENTMMKRDIDEMHKRVEDLVCREELLILELQKETSEIMQCEEEIAAMLTDFQILLVNAAFQDEKFQELIVEGEISTLVQKEVLVAELYLCKEHVEELKNKLHFLEGENRGLKADLDVYLLMLKSLWDGVVSMEEQIMSISMLKPLNNHAEEDISLMSHQHHNSNQPGESHIGTKAAGILLLEKSIDKVKALQKVIMDAVIHLEQERLDSSATLEAAMQEVEMLKSKSVGGDTKQLDVYDSKDDAEYSKGKYGEMIKDIQLDQASSSLPSREFDLYKLSIENAELDEQSWVRAEKNRSNQTRKTSPISTENNMESLEEETIYHHPPKMLASELSIDKSDLHKRPMESQEWNKRILRSLDSDAQRLSDLRTSIGELKKSISSQREKLPASYGYDSIKEQLKETEEAMLELIGNNSRLKRLAEDCTSFDGRTIKPEDGGSMERRQISQQAKQGSEKVATLELKLQKIQYVLMKLEEELQNRQDRSTRRNRVALRDYLYGWRDNHGQIKRNPFCGCMKPKTKGDH >Ma07_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12336740:12337465:-1 gene:Ma07_g16010 transcript:Ma07_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPKAAAVLHNTFEGLDPAIDAHFSATFSRSLPVGPLHLLAPPAHPTPDPNHCLPWLDRHAPATVAYVSFGSFMAPPPAEMTELAEGLEASGAAFLWSLRDEVMGLLPPGFSERTKGRGLVVSWAPQLDILRHAAVGAIVMHCGWNSVVEAITAGVPMVCRPFFGDQRLNARFIFQVWEIGVEFEGGVMTKEGVVRALEAVLKGEEGKRMRVKAGELKAIATRAVQPGGSSSVNFNSFLDLV >Ma04_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9468730:9471916:1 gene:Ma04_g12560 transcript:Ma04_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLPMHFFFINGCLFFFLIDLLLLQIYNLDGATFLHVFGSELIQKYLGDGPKLVRELFRVADELSPLIVFIDEIEAVCTKKVYMMLILVVSVKYREPSVLRPSRIDGKIEFLLPDIKTRHRIFQIHTSRMTLANNVNLEEFVMTKDEFSGADIKTICTKPGLLALREHRMKVTHADFKKAKENVMFNKKGCLRDSTCELLIRLPHNMKVCLICRF >Ma09_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7630630:7634575:-1 gene:Ma09_g11290 transcript:Ma09_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVGVGKMMVGEWFASYFVDKLLNMVSSHFADNRDLLVGVEEKLKDLQSRLPRIQAVINAAEGRPIRDAALANWMRELKDAAYEADDILDEFEYRKLHNQLQDRSKVSALAASALRFLKNLFVSDDDLKRLMNLLGDLDKICLDINNKKVELDEYNAKQNTATRDTSSFTPEVVFGRDKERDKILHLLLSTGAEPDFGDKGAGSSSHPSLGVLPIVGIGGVGKTSLAQLIYNDQRIAHHFELRKWVYVSHDFNVKKIARELESNLAVDSRPREISLDTQLGKLMDATRNKRFLFVLDDVWDETGSKWRELRSVLTSGARGSFVLVTTQSPLVAEIMGTIDPIKLEVLEEEDYWRLFEHCAIGDKELDPELRRKLQSLGHQISKKLHGLPLAGKALGSLLRSRLDEEYWKTILESEWWEHDFVLDNILPSLGLSYQHMSSNLKQCFAYTSIFPKGHIFEKERLVHMWIAQGFIQPRIHRGRMTLEDIGSQIFDELINRYFFLGTVTNRYVMHDLIRDLAVYVSLDECCVVSDEPAKIPPTVRHITLRAAKLGLPGEVCKFQKLRTLIFYHDYNCEEFLSSEGKSSEELYEFLKEILENTKSLRVLDVSYSHMGIKKLPDAICDLSHLRYLDVSCTKIRQLPGSFSKICHLQVLNLKWCRFKKLPEGMNRLIKLRHLYAEAETISLIDGIGKLTDLQGLEEFHVTRKRGHQIGELKELRNLRKRLVIKNLDNVGSKEEAMEVKLNDKVHLNEITFDGQGDMKTDILDGLEPHYNLKNLSIQRYRGTNYPSWLENNHYVTNLESICLDTCARWVNLPPLGQLPFLKDLSIRMMPSIRRIGIEFYGNAAQIFPSLKCLKFLLLKEWEEWSDVDGRPILPRLLDLRIVECQKLTRMPVLPLTSMTALTLKMCGDIGNALPEYMLSWTSLVSIDLTDYPHTTSVCLSNLRALEHLYLYQCPELSLINGFQSLVNLKNLTVQKCPKLIKPSSQREQPYIEQDLRSLSNVAIEESILNNGWVTLGRIPSLQSLGIHYFSLAYFEMEHEEWFQQLTSVKLLHFMDCPNLQKLPDLEIFSSLEKLTIGYCPNVQSMPENGLPILLKELHIWECTKLRDRCKKDDGPDWPKIAHVPYIIVDSKIIQML >Ma02_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18258245:18263815:-1 gene:Ma02_g07660 transcript:Ma02_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTEGYNSRTPEDVFRDFRGRRAGIVKALTTDVEKFYQKCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMGEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRNQLFQMINSLPTIYEVVTGITKKQSKDKTPSSNGKSNKSSSKSSRPSESHAKASKMAPPTEEHSEGEDKDEEDHGNTLCGACGDNYANDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPACTTKRVRT >Ma11_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22959784:22962894:1 gene:Ma11_g17730 transcript:Ma11_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVIIHFFFSLCACASFVANLKCLKLAYCTGLLLARRVLKMLEMDDEYQGNVEATGEDFSVEPAESRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRDEKQLDAEVHRNYIFGGHVASYVKTLMEDEPEKYQVHFSEYIKRGIEPDDMEEMYKKVHAAIHADPTTIKSTKQPPKEHRRFNLKKLTYEERKAKLIERLNALNASADANEDEDDE >Ma11_p17730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22959808:22962894:1 gene:Ma11_g17730 transcript:Ma11_t17730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQATGEDFSVEPAESRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRDEKQLDAEVHRNYIFGGHVASYVKTLMEDEPEKYQVHFSEYIKRGIEPDDMEEMYKKVHAAIHADPTTIKSTKQPPKEHRRFNLKKLTYEERKAKLIERLNALNASADANEDEDDE >Ma02_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26308805:26314173:-1 gene:Ma02_g20280 transcript:Ma02_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLWVAGFLLWVRWAHGTEYWNTSEVAFANNGGGGSSPLLVGLTLIQSAAAKHAVCLDGSLPGYHLHRGYGSGANSWIVNLEGGGWCNDIKSCAFRKTGHHGSSYYMEKQIQFSGILSNKPEENPDFYNWNRVKIRYCDGGSFLGESYNEAAGLYFRGQRIWLAAMEELLSNGMRDASQALLSGCSAGGLSTIFHCDNFRALFSSSTNVKCFADAGLFLDSVDVAGGHTMRSFFGDVVSLQGAGRYLPRTCTARMDATSCFFPQNVVGNIQTPLFLLNTAYDVWQLQQSLAPKTADPQGYWKECKMNHANCNSYQLQFLQGFRDQMLNAVKEFSSSGKNGLYINSCFAHCQSERQDTWYASNSPLIGNKRIATAVGDWFFDRSQVDEVDCAYPCDSTCHHIVFRGRV >Ma06_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:314034:321259:-1 gene:Ma06_g00400 transcript:Ma06_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGHAKASAAEPPAIKKRARDGGDLKRVAEIVMVLSAMGQMRGGRQPTAAEKALVAEARERLVAMCEGVKPKELFSGEAVRVVVEDLGLNRSKDPVMGFRPPKMSIADKLLLTKKKIEESKAHMQSSVCSPQHLPPHLNDVQAGVSSVNKLSGSLERGTPSAHIGLNARMNGSSYLTQDQAENVSQKIPTISSVQSTSAAVAKFGQANKLSDHISVKTEGALGVNTVKTSHQTMINHETKPSMMQAGQGTLQIVHQPSQGLTVVHTPGLFTNHNDIAKNIQRILQANISDHPSWTPPSTEYMNSTLNCQVCKNAITDIESLLVCDACEKGNHLKCLQSYGNKGIPKAEWHCPRCLASSNGKPLPPKYGRVTRAPIGAPKATSNASIHASSKKTENLDKVNQQKAIPSGISGVSQHAYSLNEEGKHHDPVPDSRTTSPEVQVTLTTVGDKRANETCNLIGCNNLKEISGPICTPGTHCEDTNNIGNNRLSLAGTKPTAESVLQPRTASENFCEHSHDMDVENNHLSKVPFAHNFDQMKLPSDGKSPANELDKDAKLAMTKPEEALGEKNLPENIDGCNARLANEGSTQSTADRDGYTMNQKANLG >Ma06_p00400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:314034:321274:-1 gene:Ma06_g00400 transcript:Ma06_t00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGHAKASAAEPPAIKKRARDGGDLKRVAEIVMVLSAMGQMRGGRQPTAAEKALVAEARERLVAMCEGVKPKELFSGEAVRVVVEDLGLNRSKDPVMGFRPPKMSIADKLLLTKKKIEESKAHMQSSVCSPQHLPVSFGAKSDIHRALAQDASRFMHDRSPMGTSAGGSQSASLITRAPLLTSAAPSLKQPHLNDVQAGVSSVNKLSGSLERGTPSAHIGLNARMNGSSYLTQDQAENVSQKIPTISSVQSTSAAVAKFGQANKLSDHISVKTEGALGVNTVKTSHQTMINHETKPSMMQAGQGTLQIVHQPSQGLTVVHTPGLFTNHNDIAKNIQRILQANISDHPSWTPPSTEYMNSTLNCQVCKNAITDIESLLVCDACEKGNHLKCLQSYGNKGIPKAEWHCPRCLASSNGKPLPPKYGRVTRAPIGAPKATSNASIHASSKKTENLDKVNQQKAIPSGISGVSQHAYSLNEEGKHHDPVPDSRTTSPEVQVTLTTVGDKRANETCNLIGCNNLKEISGPICTPGTHCEDTNNIGNNRLSLAGTKPTAESVLQPRTASENFCEHSHDMDVENNHLSKVPFAHNFDQMKLPSDGKSPANELDKDAKLAMTKPEEALGEKNLPENIDGCNARLANEGSTQSTADRDGYTMNQKANLG >Ma06_p00400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:314034:321259:-1 gene:Ma06_g00400 transcript:Ma06_t00400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGHAKASAAEPPAIKKRARDGGDLKRVAEIVMVLSAMGQMRGGRQPTAAEKALVAEARERLVAMCEGVKPKELFSGEAVRVVVEDLGLNRSKDPVMGFRPPKMSIADKLLLTKKKIEESKAHMQSSVCSPQHLPVSFGAKSDIHRALAQDASRFMHDRSPMGTSAGGSQSASLITRAPLLTSAAPSLKQPHLNDVQAGVSSVNKLSGSLERAENVSQKIPTISSVQSTSAAVAKFGQANKLSDHISVKTEGALGVNTVKTSHQTMINHETKPSMMQAGQGTLQIVHQPSQGLTVVHTPGLFTNHNDIAKNIQRILQANISDHPSWTPPSTEYMNSTLNCQVCKNAITDIESLLVCDACEKGNHLKCLQSYGNKGIPKAEWHCPRCLASSNGKPLPPKYGRVTRAPIGAPKATSNASIHASSKKTENLDKVNQQKAIPSGISGVSQHAYSLNEEGKHHDPVPDSRTTSPEVQVTLTTVGDKRANETCNLIGCNNLKEISGPICTPGTHCEDTNNIGNNRLSLAGTKPTAESVLQPRTASENFCEHSHDMDVENNHLSKVPFAHNFDQMKLPSDGKSPANELDKDAKLAMTKPEEALGEKNLPENIDGCNARLANEGSTQSTADRDGYTMNQKANLG >Ma08_p22940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36419086:36421822:-1 gene:Ma08_g22940 transcript:Ma08_t22940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRALLVLCIATAFLSLGPRGSLGRSHLHKRQQSHGRRGNGGHRLQSPVDSPADPPAAGTSTTVTPSNSSSDSSVFDVRSFGAVGDGVTDDTEAFRSAWRAACSVESATLSVPSDGVFMITSTIFPGPCQPGLVFQVDGVVMPPDGPQCWPKSDSKHQWLVFYQLEGMTLRGEGTIEGNGEDWWSLPCKPHRGPHGSTLPGSCDSPVLIRFFMSCNLTVRDLRIENSPQFHIKFDACEDVHIEGLSINSPAFSPNTDGVHIENTRSVTIYNSMISNGDDCISIGPGCSDVDIQNVTCGPGHGISIGSLGMHNSEACVSNVSVKNAVIRNSDNGVRIKTWQGGMGSVSDISFDTVYMENVRNCIIIDQYYCSNKGYCQNQSSAVYVSGVTYANIKGTYDVRSPPMHFACSDTLPCTNITMSEVELLPHEGELVDDPFCWNAYGVMRTVTIPPIPCLQDGQPESLQEIPNLGC >Ma08_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36419086:36421822:-1 gene:Ma08_g22940 transcript:Ma08_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRALLVLCIATAFLSLGPRGSLGRSHLHKRQQSHGRRGNGGHRLQSPVDSPADPPAAGTSTTVTPSNSSSDSSVFDVRSFGAVGDGVTDDTEAFRSAWRAACSVESATLSVPSDGVFMITSTIFPGPCQPGLVFQVDGVVMPPDGPQCWPKSDSKHQWLVFYQLEGMTLRGEGTIEGNGEDWWSLPCKPHRGPHGSTLPGSCDSPVLIRFFMSCNLTVRDLRIENSPQFHIKFDACEDVHIEGLSINSPAFSPNTDGVHIENTRSVTIYNSMISNGDDCISIGPGCSDVDIQNVTCGPGHGISIGSLGMHNSEACVSNVSVKNAVIRNSDNGVRIKTWQGGMGSVSDISFDTVYMENVRNCIIIDQYYCSNKGYCQNQSSAVYVSGVTYANIKGTYDVRSPPMHFACSDTLPCTNITMSEVELLPHEGRPARVAPGDPKLGLLT >Ma10_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21882051:21882309:-1 gene:Ma10_g07530 transcript:Ma10_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSDGVTAPVNRFSIIRLLVIFDWVSPPHMSTGVHQESHRYFYHSFLN >Ma08_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5795829:5802463:-1 gene:Ma08_g08320 transcript:Ma08_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFLIQGTLVAPSGSGGSDQWIAFSGVDGVSISGGGTIDGGGSRLWACKLAGRSCPRGTSSLTFVNSKNIAVDGLTSIDSKLFHIVVLRCQNVKLSRVNIVASGNSPNTDGIHVQMSTGVDILQANIRTGDDCISVGPGTAHLWIERVFCGPGHGISIGSLGRAQGQRAQSSCKYANGVASGLNRERKEMVRNKQVVLKEFVVGAPKETDMEIRQGKVSLRAPTGVEGAIVVKNLYLSCDPYMRGRMRDYSDSYIPPFQPGSVIEGFGVAKVVDSTNPNFCVGDYITGLTGWEEYSTIVRTEQLRKIEVFDVPLSYHVGLLGMTGFTAYVGFYEICAPKKGDYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSAQKVDLLKNKLGFDEAFNYKEEPDLTEALRSYFPKGIDIYFDNVGGAMLDAALLNMRVHGRVAVCGMVSQHAVSDPKGISNLYTLVMKRIRMEGFIQSDYLHLFPKFLPTIIDLYKQGRIVYIEDMNEGLENGPAAFVGLFTGNNVGKQVVCVSRE >Ma10_p31400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37568598:37569325:1 gene:Ma10_g31400 transcript:Ma10_t31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLRTAGAIAPETLGKLGMRPAETALPCFKTGAIPPAGTCADWADPYTLFVLETALMGFAEHRRFQDWAKPGTMGKQYFLGLEKGLGGSGDPAYPGGPFFNPLGFGKDDKSLKDLKLKEVKNGTLAMLAILGNSGQGGFVTGVGPSHNLLGHLADPVHNNILTSLYFH >Ma07_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7728771:7729204:1 gene:Ma07_g10370 transcript:Ma07_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAILGLRKIKIERRDEASEERETGGWETPRRAECRIPAATRCPPPPRKKSPAVAFGKRRDPPKNGYFHPPDLEALFALAPRREACA >Ma02_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21274388:21275531:-1 gene:Ma02_g12640 transcript:Ma02_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEEANLTILSSGKILNLSKNNLSGTIPCTLIVLNFLNDLNLWYNHLSGKIPT >Ma11_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7250405:7255981:-1 gene:Ma11_g09080 transcript:Ma11_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLFDDGGEGFACEATWGNATPAVVMASASFKGEGKAAPALRRRASMKPNVEVEEFINLLHGSDPVRVELNRLENEVRDKDRELSEAQSEIKALRLSERAREKAVEELTEELTKMDEKLKLTESLLENRNLEIKKINDEKREALAAQFAAEATLRRVHSAQKDDDMPPIEAILAPLEAELKLTRHENVKLQDDNRALDRLTKSKEVALLEAERTVQIALAKASLVDDLQNKNQDLMKQIEICQEENKILDKMHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMSEEMKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFMQGEMQQLRDKLAIAERTARSEAQLKEKFQLRLKVLEEGLRISASGTNRTSVDGKSTSNSPSGRQSLCGADNVTKSVDGFLSKRPSFQMRYSISGSTVLKHAKGASKSFDGGTRSLDRSKVLVNAAGLSMNESSDATESDVSRNSWKENPDKRTSELPDVDSVDCVSGLLYDILQREVITLRKACYEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVALMEKEVAAMRVEKEQDNKSKRLGGSKGPTNSSQLLPGRNAPRSGLTRNL >Ma08_p25760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38485730:38496197:-1 gene:Ma08_g25760 transcript:Ma08_t25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PP2A regulatory subunit TAP46 [Source:Projected from Arabidopsis thaliana (AT5G53000) UniProtKB/Swiss-Prot;Acc:Q8LDQ4] MGEWKTEDMPLPALFEEASKIHAMASESSVDREALRKGIQTLRRCDEMISKLGLFSSNETKDDVSTANLKYLLVPFYIGELTEKVAEDGRLKVLKISRDHLKEFISICETLELIPEEELERSSQRGANTPANQRAKKIARFKRQRAAEAKLQEIKEKKERRWRSLRAAALSSPVEVGEEDVLDDDGEEEREAWLTTISLSICKAFDLLEMLKKEEEMLAAVKERQSKEGEDFAHEILDERTKRAETWHRNAASKAAVSKPAEPITCATFAQDVIEGRAQLSQAHEHKHQPMIFGPASLVGGRLTSERERMAAQVFQPGYRLPTMSIEEAGLREMEMMKKWQEWNVKLMEEANSSWHKEGTTSTEDEDAEVEKARAWDDWKDDHPRGAGNKKLTPCG >Ma03_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15326001:15329792:-1 gene:Ma03_g15440 transcript:Ma03_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDSLTKDEEIAVDALCTLSRMPPLCKQIPLQEWSRVSDDCQDIDATSGQCLEDIKEEDKNVLQQSTVCEVRTLSFMEKPFKETIKDGHAPSRQPITVCGKPTYDSNCTRTPEPGGHIAPLSENEHPGNTPFRNLMNSSSPSGILPHSCNGIRALQPTQYDDITALPPCKLDMSLQNGDAGSASLGHEVQQAEHGSQSCAKLVYVEGAIPPHAEASSDCAECPELSTITARLASNGIDHPTKKVLIDVKSDTKNCATHVFICNLIKCYQNKGKQRTVSLSSEEPKSRNESNLHATLNNDISGLRSGSNSVTSAATNGSIVERNTHGGRNEIRCSKTFMPAHLSSVSLEVKQKRTCDFLSLSAGGVASTSPNGIQHPGKLVSPFLHSQVPHHSLMPYPFPRVPYGPPYPEKVAPPAATQQAQLQVAHYGGNPFYGLNMDNTIGNIQLQQQRQQQQIWQAHLANYRVPVGVSVLQNDQLRDSSGPMSQRVQASSTLSPSCLQIQGGSSHRPIFQQQHHLLANGSPSSSKVKPHQHQQFGDMH >Ma10_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30161073:30169923:1 gene:Ma10_g19690 transcript:Ma10_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFLVFLLFSSASGGTNPASSPDPFTAGVSLNIDCGGAANFTSEFGRAWVADRYFSAGATGLVAEPHRFLQQQERTLRFFPPVSAGKKNCYSVPLPSGRYYVRTFTVYDNYDSKLRTPSFDVSFEGTLVYSWRSPWPEAAARSGAYADLIASVLDGAANLCFYSIATDPPVVASVELAAVHPLAYGSASTGTDMILINYGRLTSGGTLFGPGFTNDSDAFSRVWQSDADFRSLDVPIKALSAGGHRIFGANQAPNYFPVKLYETAVTTVNPSDALEYLLPVDTRLDYMVWFHFAEIDSGVTRVGQRVFDVVIGEENATRIDIYKEVGGFNAFKWHHIVENLTSTTLVVKLVPVAGKPIICGLENYAMVPLDLATVPRQVMAMQALKESLRIPDRMGWNGDPCAPSTWDAWEGVTCHHSDNGQNLVVTQLDLGSQGLKGYISDQISLLTNLVSLNLSSNSLGGTLPSGLGQGSLVSLDLSSNQHTGGIPDSLGSSNLKVVLLNNNQLDGQVPEKLYSIGVHGGIIDLSGNKGLCGVPTLPACPLFWDKRGLSTAGKIAIGLSCAFVVIVLLLIYLFCIRRQNDDYDFEFPQDLISIAAKRNRYQRQKLMLVEMEAPNSNGFPSTSNTR >Ma09_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34537870:34541937:-1 gene:Ma09_g22650 transcript:Ma09_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGCKGKSICSKHVTMLTAVIMSFGVISCCLGHGGGDHDGHLGAKGGSCIESERRALLDIRSDMYDSGEIFSTWIGEDCCRWRGVACDNTTSHVIKLDLHYPYSYTDDWDDEVNICYLLNEMGASKVNPALRDLKHLKYLDLSMNNFFGSHIPHMIASLVHLECLNLSNAMFGGLIPPQLGNLSKLHFLDLGGCGYIDVRADDLDWLSRIPSLKHVDMSSVNLSKATNWLHQVNSIPSLEVLRLEWASLPYIPSPLPHFNMTSIVKLDLSGYSNLNTTILRWLSHASSLAYLDLSYCSGIDIESLQVTLGALSNLKALDLQYNGIKGEIFGMIMNVSSRLKHLDLSGNSLSGDIGQILRSLGSLEYLALDDNKFNGDILEMVKNAPSRLQYLSLRFNHITGEISQKVKNLTNLEYLDLSYTNITESILMAFCDLINLKSLILQGNNILRQIPKTIGNLTNLEYLNLSYNNITGAIPIAIGDLINLESLRLWGNKISGQIPEIIGNLTNLKYLDLSDNNITGAIPMTISDLINLESLILWENKISGQILEAIGNLRNIRWLDLSDNLFSGQMPETFNRLYNLQILEVSYNHLTKLVFGTLNELCNLSSIDLSFNPIGGKLTDLIDALPDLSMAVFLLFFCNLNDLKILDLSNNNLSGEIPTCNKSFPTSLQSLHLNNNNLSGRFPSFLKHCEQLVTLDLAENNLFDEIPTWVGENLLYLRVLSLKSNLFSGTIPVHIANLTSLQVLDLSSNHLSGSIPSSLGNCSAMVEIQHDTTSLLNLFNVSGSYSESIVITVKGFDIQYTRILSLVKSIDLSNNNLSGEIPRELTSLHGLLFLNLSKNHLRGTIPEKIGSMEQLESLDLSMNNLTGDIPSSLSSLTFLSHLNLSHNNLSGRIPTAGGQMSTFLADPSIYDGNEYLCGTPLPECPGDADHQSPPHEHEEKNGDRLETVWEITSIVMGFVVGFWSFVGTMIMKQSIRMAFFRVFDKAYDWCYVQLAVGCARLKSKQQSVT >Ma10_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20213428:20214245:-1 gene:Ma10_g06750 transcript:Ma10_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRKRQRRTSKRCVSEEVSSVAWEFIDMTEQEEDLIYRMYRLVGDRWDLIAGRIPGRKPEEIERFWIMRHGEGFAAKRHGRYS >Ma01_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1228778:1232256:-1 gene:Ma01_g01830 transcript:Ma01_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRFIFFFVAFFSCIANASDAGAADDEISALLAIKSGLVDPLNALHDWKAPADAAGSTHCNWTGVACDSLGFVERLDLSHLNLSGLIADDIRRLRHLASLKLCCNAFSSSLPGSLSGLSSLEELDVSVNAFVGRFPTGLGSSPALMTVNASANNFVGPLPEDLSNATSLEVIDFRGSFFQGSIPASYRSLQKLRFLGLSGNNLTGRIPVELGELSSLEKLIIGYNELEGSIPAEFGNLSSLEYLDLAVGDLDGAIPPELGRLQQLTTLFLYKNNLEGDIPKEIGNMSALVMLDVSDNRVSGPIPPELAHLTNLQLLNLMCNRLHGPVPPGIGDLPRLEVLELWNNSLTGPLPTNLGRVTPLQWLDVSSNSLSGEIPASLCDGHNLTKLILFNNAFSGPIPVGLSTCKSLVRVRVQNNRLNGTMPGGLGKLPKLQRLELASNDLEGEIPSDLASSTSLSFVDLSHNHLRSSLPSTIFSIPTLQSFMASDNLLTSDIPDQFQDCPTLAALDLSNNRLTGGIPASLASCQRLVSLDLHGNRLTGEIPVAVAMMPALAILDLSNNLLTGSIPANVGNSPALETLNLSYNNLSGPVPANGILRTINPDDLAGNSGLCGGVLPPCGSGAGRSWLRRGKNPHLKHIVAGWMTGISAILAFCILLLGAHHLYRKWYVSGGGCCCEEPLDEESGAWPWRLTAFQRLSFTSNDILACVKEANVIGMGATGIVYKVELPRPRVVVAVKKLWRARSPDIVPEAGNSNPGADIAGEVSVVGKLRHRNIVRILGYMHNDTDAMILYEYMPNGSLWEALHGPQASRLLPDWVSRYNVAAGIAQGLAYLHHDCHPPVIHRDIKSNNILLDGNLEARIADFGLARMMVRKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSFGVVLMELVTGRRPIEREFGECQDVVGWVRGRLRSDRGLEAVLDAGVGGHCKHVQEEMVLVLRIAVLCTAKLPKERPSMRDVLTMLGEAKPRRKSSSFGAESNAVDKDKPVFSTSPESGYL >Ma02_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19937090:19951620:-1 gene:Ma02_g10320 transcript:Ma02_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHYCSTQLIDGDGAFNVAGIENFIKMVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFRGRSQTTKGIWLARCADIEPCTIVMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLESLEPILREDIQKIWDNVPKPQVHKETPLSEFFNVQVVALSSYEEKEEQFKEQVASLRQKFYHSIAPGGLAGDRRGVIPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKLAFINADEEWLQLEEAVQHDLVPGFGKKLSAILDKCLSGYDMEVIYFDESVRTSKRQELETKLLQLVNPAYQSMLGHVRAKTLNDFKEALDKAIEREGFAVAVHDCTQSFMLKFDKGCEGAAIEQARWDPSKVREKLRRDIDVYVTSVRAAKLSELTTLYEGQLNRALSEPVEALLDAASDDTWPAIRELLQRETKSAISGFSSALLAFDLDQATVDKMILQLEEYAKSVVESKAKEEAGRVLIHMKDRFSTLFSHDADSMPRVWTGKEDIKAITKTARSASLKLMSVLTVIRLDDKNDKIENALSLSLMDASDSGGSSRSIQILDPLASSSWEEVPQTKTLITPVQCRSLWRQFQSETEYTVTQAISAQEANKRNNSMLPPPWAIVAILILGFNEFMTLLRNPLYLVVIFVIFLVGKALWVQLDISGVFSNGALPGLLSLSTRFLPTVMNILKRLADEGQRPAAPERNRNQELETKSFRNGMRSNSSSDASSNITSSDSGIEYSSLPRQ >Ma02_p10320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19937090:19952076:-1 gene:Ma02_g10320 transcript:Ma02_t10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHYCSTQLIDGDGAFNVAGIENFIKMVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFRGRSQTTKGIWLARCADIEPCTIVMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLESLEPILREDIQKIWDNVPKPQVHKETPLSEFFNVQVVALSSYEEKEEQFKEQVASLRQKFYHSIAPGGLAGDRRGVIPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKLAFINADEEWLQLEEAVQHDLVPGFGKKLSAILDKCLSGYDMEVIYFDESVRTSKRQELETKLLQLVNPAYQSMLGHVRAKTLNDFKEALDKAIEREGFAVAVHDCTQSFMLKFDKGCEGAAIEQARWDPSKVREKLRRDIDVYVTSVRAAKLSELTTLYEGQLNRALSEPVEALLDAASDDTWPAIRELLQRETKSAISGFSSALLAFDLDQATVDKMILQLEEYAKSVVESKAKEEAGRVLIHMKDRFSTLFSHDADSMPRVWTGKEDIKAITKTARSASLKLMSVLTVIRLDDKNDKIENALSLSLMDASDSGGSSRSIQILDPLASSSWEEVPQTKTLITPVQCRSLWRQFQSETEYTVTQAISAQEANKRNNSMLPPPWAIVAILILGFNEFMTLLRNPLYLVVIFVIFLVGKALWVQLDISGVFSNGALPGLLSLSTRFLPTVMNILKRLADEGQRPAAPERNRNQELETKSFRNGMRSNSSSDASSNITSSDSGIEYSSLPRQ >Ma09_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6352091:6353558:-1 gene:Ma09_g09670 transcript:Ma09_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCSIPTLSKPLAPKPKAATFRPRFSISCSASLPQQQQPQQGDDSVSSSLKTFSAALALSSLLLSSAAAPPPAVADISGLTPCKESKAFAKREKQSIKKLEASLKKYAPDSAPALAIKASIEKTKRRFENYGKFGLLCGSDGLPHLIVNGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAIRDDKKPTMKEIIIDVPLATRLLWRGFIWPVAAYRELVNGELIAKDV >Ma00_p04200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35581097:35599146:1 gene:Ma00_g04200 transcript:Ma00_t04200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTVERREGSPAGNGNGPVVKQPAPPARPTYGDRRLRLNPNTDHKPDRYDDVQSEYDSAIFSSLERHLPPSMLDASRDAKVEFMKEILSRYLPEGERNRVQRHKEYRKKLMSAYQPLHKELYSLHPSAFFVPSFHKAINDNTEESFRNFLCEPSPGIYTFPLFQPSFCELLLDEVENFEKWVNTVKFKIMRPNTMNKYGAVLDDFGLEAMLNKLIEEFVSPLAKVFFPEVGGSTLDSHHGFVVEYGKEKDVELGFHVDDAEVTLNVCLGKDFFGGDLFFRGIRCDKHVNTETQPEQEILDYSHVPGQAVLHRGRHRHGARPTTSGHRINMLLWCRSSVFREMKKYQKDFSSWCGECQREKKERQGQSVAATKLAFFKAGGGSNT >Ma00_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35581097:35599146:1 gene:Ma00_g04200 transcript:Ma00_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGTVERREGSPAGNGNGPVVKQPAPPARPTYGDRRLRLNPNTDHKPDRYDDVQSEYDSAIFSSLERHLPPSMLDASRDAKVEFMKEILSRYLPEGERNRVQRHKEYRKKLMSAYQPLHKELYSLHPSAFFVPSFHKAINDNTEESFRNFLCEPSPGIYTFPLFQPSFCELLLDEVENFEKWVNTVKFKIMRPNTMNKYGAVLDDFGLEAMLNKLIEEFVSPLAKVFFPEVGGSTLDSHHGFVVEYGKEKDVELGFHVDDAEVTLNVCLGKDFFGGDLFFRGIRCDKHVNTETQPEEILDYSHVPGQAVLHRGRHRHGARPTTSGHRINMLLWCRSSVFREMKKYQKDFSSWCGECQREKKERQGQSVAATKLAFFKAGGGSNT >Ma05_p28300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39352724:39355047:1 gene:Ma05_g28300 transcript:Ma05_t28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLCLLLSLLASAAAPAAEAATCPLDLTYVSTYPWDDSSCVAANTNHSDCCQSLLSVYGIGAAQRLRDTGLFRLPDDATSAACLADLQANLSAPPLSLPSSIVSACFPSPSTFVTTCAGVYTRADWIARLGNATELDSACDADLTDLSRCSNCLNAGMDVSSRLSAVNGNTSDDTTCFKVTILYAAGVVNRYGPKDPRAGCMFGFLQPSPSSSDHDSSSSHSAAVYASVFAAIALVLASGSLGLFLWLARRKRKKMSDSAAWERSSRLYLRPNTGSICFDIKELDKATASFSQRNLIGRGGFGVVYKGTLSDGSLVAVKKVLEGLDDSDEEFRNEVEIISHLRHRNLVPLRGCCITEEDSEEGKQRYLVYDYMPNGNLNDHIFGSSMGANGDSGRRRAPLTWPQRKTIILDVAKGLVYLHYGVKPAIYHRDIKSTNILLDGEMRARVADFGLARQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEIMSGRRALDTSVASNLVLVTDWAWTLIKAGRAGEVLDAALTSDDGDGDGNSNPKGIMERFVLVGVLCAHVMVALRPTIMEALKMLEGDIELPVIPDRPLPLALAHGSVFGEGNTFTASPTLSGPRLDTGDMLR >Ma05_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35912756:35913427:-1 gene:Ma05_g23770 transcript:Ma05_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDITIENAAGPSKLQAVALRVGADLSAFYKCSFVGYQDTLYVHSLRQFYRECDPMSNQQNVFTAQGREDPNQNTGISIHKCKVAAAADLIPWNGDFALNTLYYGEYMNRGPGSNTTGRVKWPGYRVINSTAEASNFTVTSFIQGDQWLGSTSVPFTSGLN >Ma09_p26050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37397689:37412500:1 gene:Ma09_g26050 transcript:Ma09_t26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMESSLRVRRFEGLPSPDEFSSKIEPANVAAVFHGAVKDWKAISRWNPSDGGLDYLEESVGSSVLEAMLSRSGRIFYGDIRSHERVPIPFSTFITSCKKHLQNLDVGSSFEAQVCSEDSDLANSDIPGELYVAQVPIMNTERNERCPLETLTEDIQMPAFLGIKTLASINFWMNMAHSRSSTHYDPHHNLLCVVSGCKRVVLSPPSACSILYPMPVYGEASNHSAVNIEKPDFSVHPRAKNYEEHSQKIVLHPGDALFIPEGWFHQVDSDDLTIAVNFWWKSDMMSNMLEHMDPYYLRRILNRLVEKEKVHIFQFQSYYFNGRNFLFCGIFLFSIHYYILYLFSFYILLGIGQNHVLHESFSGGSKFIENYQLADDASEDNHEMDRCKLRDTTKESSRRHGILQQLEPFALHVLYELVSLVHNTISVDNQNGSTESTSKDSSSPDVEKEQIVKDNPPLSEIDTVANIFLAVEPLVLRNILLGMVHHFPRTLEALILHMLSPKGAEVLTRKFDEMDQQIMKEEQCEFYRQFYGVFDDQFAAMDAILNGKELFALQAFKNVLDKYLGAHPDQRNS >Ma11_p06320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5121625:5127898:-1 gene:Ma11_g06320 transcript:Ma11_t06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAATTDAASCSLLKLSLLRFPNRLLSSSSLRLPSFHHFASVPLSVSHCHHPLSPLTPLPSFPRAKKALAVDGAEENAAVTTVVDEKLDDGADDGVPKEVKAPVRRRCELYVCNLPRSCDIPQLLDLFKPHGTVHSVEVSRDAETGISRGCGYVTMNSIQEAKAAMVALDGSDLGGRELRVKFSADMSSRRKNMEALNTTPKRNMVFESPHKVYVGNLAWSVRPEDLREYFSKFGNIVSARVLYDRKRGRNRVYGFLSFTSSDELRAALETSGSVFNGRTLVVREVINREEI >Ma11_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5121625:5127876:-1 gene:Ma11_g06320 transcript:Ma11_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAATTDAASCSLLKLSLLRFPNRLLSSSSLRLPSFHHFASVPLSVSHCHHPLSPLTPLPSFPRAKKALAVDGAEENAAVTTVVDEKLDDGADDGVPKEVKAPVRRRCELYVCNLPRSCDIPQLLDLFKPHGTVHSVEVSRDAETGISRGCGYVTMNSIQEAKAAMVALDGSDLGGRELRVKFSADMSSRRKNMEALNTTPKRNMVFESPHKVYVGNLAWSVRPEDLREYFSKFGNIVSARVLYDRKRGRNRVYGFLSFTSSDELRAALETSGSVVLLVFLGILVLTSI >Ma05_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10987215:10990238:1 gene:Ma05_g14940 transcript:Ma05_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTPEEPQNGEAAKPVINYRGWKAMPYVIGNETFEKLGTIGTSANLLVYLTTVFHMKSVAAATLITVFNGTTSLTPLLGAFLADTYLGRYATLGAASVASFLGMLILTLTAAVSKLHPPPCSSHGDACHGANPTQLAVLFASYVFMVIGAGGIRPCNLAFGADQFDPTTEAGKRGIASFFNWYYMTFTFAMMASATLVIYVQSNVSWSLGLAIPTAFMFISCVLFFVGTKIYVKVRPEGSPITSIAQVLVAAFRKRALKLPDDLKGSLFDPPHISSLISKLPHTDQFSFLDKAAIITPMDDIKPDGSASDGWRLCSLQQVEQMKCLVRIIPVWSSCIIFEVTVVLTWTYVVFQALQSDRHLGHSNFEIPAATFTVFAMAAMTIWLLVYDRFVVRLLQRVTGKEGGITLLQRMGTGIALSVVMMIVGGLVEERRRSYALHKPTLGTASNGGAISSMSSLWLIPQLVIAGLSDAFNLVGQVEFYYKQFPENMRSMAGGLLFLGFACSNYLGTLIITIVHRTTGGHQKSNWLAEDLNQGRLDLLYFSIASLSAVNLVFFIVCAKWYKYKTSDKDHEIALQTKEIRSSV >Ma10_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5016145:5025635:1 gene:Ma10_g01680 transcript:Ma10_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRISTSSSIHPPMGIASLESQQSWPSSTPFSQPEPGDYDDDNGTELLSVSWNQDYGCFAVGTSNGFRIYNCDPFKETFRRDLKSGGFGIVEMLFRSNILALVGGGANMQYPPNKVMIWDDHQSRCVGEYAYRSNVRGVKLRRDRIVIVLEHKIYVYDFTDLKLLHQIETQSNPKGLCCLSHHSSTSVLACPGLRRGEVRVEHFGLKMTKVISAHDSQISCMTMTLDGLLLATASTKGTLIRIFNTMDGTQLQEVRRGLDRAEIYSIALSTNVQWLVVSSDKGTVHVFRLRVRVGGEDASFQLPAVQAPEMVHQNSSTPVDALISPNTGANNNSSLYFMRGVLPKYFSSEWSFAQFHLPEVTRYIAAFGSQNTVMIVGMDGSFCRCTFDPVNGGQMFQTEYVRFLKADRHQPQSVTS >Ma04_p38640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36073635:36083145:1 gene:Ma04_g38640 transcript:Ma04_t38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPSSKRTASLLVSVKCRPLTEAERKRSRHIIQVTDDKSLTVLDPDLSKDYLELIQNRTKERRYCFDHVFGPGCTNADVYRNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTRKDPGLMVLSLHTIFDLIKKDKSSDIFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPEHGIMVAGLRSIKVQSADKILELLNLGNSRRKTESTEANATSSRSHAVLEITVKRKQKNQYQSRILRGKLALVDLAGSERASETNLGGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTIMVATISPADDQYHHTINTLKYADRAKEIKTQVHKNIGTVDTHLADYQRMIDNLQIEVCRLRKELAEKESQLSIKPVEKAADDELSWLNILSRETGENVQERINLQKALFELEETNIRNRTELQHLDDEIAMQEVIEKDGAVVQALRSRRQVILDNIRDNDEAGAGYKKDIEINEKRRCQLQDMIDEAISNNGNKIYLHILSQYRLLGMANTELQFEMAMRDQVIHDQRESMKKIWTVLLELGLDQKQIMDLAVKQGIKIEECATAQASYLRTLQSPVISIVGTPSCVLYHSPCLQTHPSNACIFQHHQGCSSIAYVRSQIDSPTVCREEHHSSYYLHSHDHPHMAYSGMGETRSSGRPNPCFYTPEKPAQEAWCFCSPMNAQPYPCSNERSGSDKDLRHHPKETCFARRQILQEEFPSGLSRRNPKEELGNNGELNCGLHEPHLFLNSLTRHPDFSKSLPSSGAVGVRHIPGL >Ma07_p25200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32278869:32283525:-1 gene:Ma07_g25200 transcript:Ma07_t25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKSQKTKAYFKRYQVKYKRRRVGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIAAQIISASIAGDLVLASAYAHELPHYGLEAGLTNYAAAYCTGLLLARRVLKMLEMDEEYQGNVEATGEDFSVEPGESRRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEVHRKYIFGGHVASYMKTLMEDEPEKYQAHFSEYIKKGIDPDDMEEMYKKVHAAIRADPIAVKSTKPPPKEHKRYNLKKLTYEERKAKLIERLNALNASAGADSDDDEEDD >Ma05_p27130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38549554:38552985:-1 gene:Ma05_g27130 transcript:Ma05_t27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAPALPPPTTYFWGDVPGEDEYYASQGVRNRQSYFQSPHGRLFTQSFHPVDPATGADLPVKALVFMTHGYGTDSGWLFQKIAIAYATWGYAVHCADLLGHGRSQGIRYYLGDMESVAAASLSFFLSVRRESPGMPAFLFGESMGGAATLLMYLRSEPGTWTGLIFSAPLFVIPDDMKPSRLRLFLYGLLFGLADTWAAMPDNKMVGKAIKDPDRLRVIASNPRRYTGQPRVGTMRELARLCDFFQTKFGEVTAPFLTVHGTDDGVTSPEGSKTFYQRAASADKTLILYEGMYHSLIQGEPEENSSRVLADMRAWIDERVERYGGGEAFNGHSHGKVEEAVN >Ma11_p10850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10790361:10801221:1 gene:Ma11_g10850 transcript:Ma11_t10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEERKKDEQKVAFHRLFAFADGRDVALMAVGTVSAVGNGISMPIMTLIFGQIIDAFGYADNSTVAHQVNKIVLKFVYLALGTGLAALLEVSCWMVTGERQAARIRALYLETILRQDVAFFDKEMTTGEAVERMSGDTLLIQDAIGEKVGKFVQLLSTFFGGFIIAFAKGWLLSLVMLSSLPPIAIAGAVMSWMIAKLSSRGQAAYSEAGNVVEQTIGSIRTVVSFTGEDRAVELYNKSINTAYRSSMQEGVASGLGMGAVFMVVFSSYGLSTWYGAKLIIDKGYSGGVVINVMFAIMVGGMSLGQTSPCVSAFAAGRAAAYRMFETIKRQPEIDANDTSGIVLEDVNGEIELKDVYFSYPTRPEQLVFNGLSLRVPSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGVNLKKLRLRHIREKIGLVSQEPVLFTATIKENIAYGKEGATLEEIKRAAELANAARFIDKLPNGLDTAVGEHGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDTESERIVQEALSRIMSQRTTIVVAHRLSTVRNADTITVLHEGRVVEQGPHSTLVNDPNGAYCQLIRLQELRQEGDEEPSNDHGRLSSNNDAATKSMSKSFRRTSLSRSISRGSSLGRSSRLSFTTGFGLPGSIEIQDGESRADEGNQGQLEPRRDVPIKRLVYLNKPEAPVLLIGSVAAAVHGVLFPIFAILISSAIKTFYQPPHELRKNARFWALMYTVLGIVALLSVPIQFFFFGVAGGKLVERIRSLSFKKVVHQEISWFDEPSNSRSSLATYPTSFLSSSMASAHLNHPLLCSGVIGARLSVDAATVRSLVGDNLALLVQNLSTVIAGFLIAIIANWELSLVIIVVIPLVGLQGYAQIKFLKGFSADAKAMYEQASQVASDAISSIRTVASFSAEKRMMDAYRKKCEAPMKHGIRQGLASGLGFGFSFMTLYFTYALCFYVGARFVKDGKATFTEVFRVFFALTMASLSVSQTSALGPDSTKAKDSVASIFAILDRKSSIDSSSDEGMILDNVKGTIELQHVSFKYPSRPDVQIFRDLCLTIHSGKTVALVGESGSGKSTAVALLERFYDPDSGSVLLDGVDIKKLKVRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGEASEKEIVAAAEIANAHQFISGLPQGYNTTVGERGIQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESEHAVQEALDRAVVGRSTLIVAHRLSTIKGADTIAVLKNGVIVEKGRHEALINRKDGVYASLVALYMSSN >Ma11_p10850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10790361:10801221:1 gene:Ma11_g10850 transcript:Ma11_t10850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEERKKDEQKVAFHRLFAFADGRDVALMAVGTVSAVGNGISMPIMTLIFGQIIDAFGYADNSTVAHQVNKIVLKFVYLALGTGLAALLEVSCWMVTGERQAARIRALYLETILRQDVAFFDKEMTTGEAVERMSGDTLLIQDAIGEKVGKFVQLLSTFFGGFIIAFAKGWLLSLVMLSSLPPIAIAGAVMSWMIAKLSSRGQAAYSEAGNVVEQTIGSIRTVVSFTGEDRAVELYNKSINTAYRSSMQEGVASGLGMGAVFMVVFSSYGLSTWYGAKLIIDKGYSGGVVINVMFAIMVGGMSLGQTSPCVSAFAAGRAAAYRMFETIKRQPEIDANDTSGIVLEDVNGEIELKDVYFSYPTRPEQLVFNGLSLRVPSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGVNLKKLRLRHIREKIGLVSQEPVLFTATIKENIAYGKEGATLEEIKRAAELANAARFIDKLPNGLDTAVGEHGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDTESERIVQEALSRIMSQRTTIVVAHRLSTVRNADTITVLHEGRVVEQGPHSTLVNDPNGAYCQLIRLQELRQEGDEEPSNDHGRLSSNNDAATKSMSKSFRRTSLSRSISRGSSLGRSSRLSFTTGFGLPGSIEIQDGESRADEGNQGQLEPRRDVPIKRLVYLNKPEAPVLLIGSVAAAVHGVLFPIFAILISSAIKTFYQPPHELRKNARFWALMYTVLGIVALLSVPIQFFFFGVAGGKLVERIRSLSFKKVVHQEISWFDEPSNSSGVIGARLSVDAATVRSLVGDNLALLVQNLSTVIAGFLIAIIANWELSLVIIVVIPLVGLQGYAQIKFLKGFSADAKAMYEQASQVASDAISSIRTVASFSAEKRMMDAYRKKCEAPMKHGIRQGLASGLGFGFSFMTLYFTYALCFYVGARFVKDGKATFTEVFRVFFALTMASLSVSQTSALGPDSTKAKDSVASIFAILDRKSSIDSSSDEGMILDNVKGTIELQHVSFKYPSRPDVQIFRDLCLTIHSGKTVALVGESGSGKSTAVALLERFYDPDSGSVLLDGVDIKKLKVRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGEASEKEIVAAAEIANAHQFISGLPQGYNTTVGERGIQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESEHAVQEALDRAVVGRSTLIVAHRLSTIKGADTIAVLKNGVIVEKGRHEALINRKDGVYASLVALYMSSN >Ma11_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10790638:10801221:1 gene:Ma11_g10850 transcript:Ma11_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGERQAARIRALYLETILRQDVAFFDKEMTTGEAVERMSGDTLLIQDAIGEKVGKFVQLLSTFFGGFIIAFAKGWLLSLVMLSSLPPIAIAGAVMSWMIAKLSSRGQAAYSEAGNVVEQTIGSIRTVVSFTGEDRAVELYNKSINTAYRSSMQEGVASGLGMGAVFMVVFSSYGLSTWYGAKLIIDKGYSGGVVINVMFAIMVGGMSLGQTSPCVSAFAAGRAAAYRMFETIKRQPEIDANDTSGIVLEDVNGEIELKDVYFSYPTRPEQLVFNGLSLRVPSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGVNLKKLRLRHIREKIGLVSQEPVLFTATIKENIAYGKEGATLEEIKRAAELANAARFIDKLPNGLDTAVGEHGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDTESERIVQEALSRIMSQRTTIVVAHRLSTVRNADTITVLHEGRVVEQGPHSTLVNDPNGAYCQLIRLQELRQEGDEEPSNDHGRLSSNNDAATKSMSKSFRRTSLSRSISRGSSLGRSSRLSFTTGFGLPGSIEIQDGESRADEGNQGQLEPRRDVPIKRLVYLNKPEAPVLLIGSVAAAVHGVLFPIFAILISSAIKTFYQPPHELRKNARFWALMYTVLGIVALLSVPIQFFFFGVAGGKLVERIRSLSFKKVVHQEISWFDEPSNSRSSLATYPTSFLSSSMASAHLNHPLLCSGVIGARLSVDAATVRSLVGDNLALLVQNLSTVIAGFLIAIIANWELSLVIIVVIPLVGLQGYAQIKFLKGFSADAKAMYEQASQVASDAISSIRTVASFSAEKRMMDAYRKKCEAPMKHGIRQGLASGLGFGFSFMTLYFTYALCFYVGARFVKDGKATFTEVFRVFFALTMASLSVSQTSALGPDSTKAKDSVASIFAILDRKSSIDSSSDEGMILDNVKGTIELQHVSFKYPSRPDVQIFRDLCLTIHSGKTVALVGESGSGKSTAVALLERFYDPDSGSVLLDGVDIKKLKVRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGEASEKEIVAAAEIANAHQFISGLPQGYNTTVGERGIQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESEHAVQEALDRAVVGRSTLIVAHRLSTIKGADTIAVLKNGVIVEKGRHEALINRKDGVYASLVALYMSSN >Ma10_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20348112:20349589:-1 gene:Ma10_g06810 transcript:Ma10_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAFLVPVKRTEQVVVVTTSKPLAPPPRTVRVFCNDYDATDSSGDEGEFCRSRRRVRRYVQEIRFEAHRNAAVGKSKAVGKKRKAKPAVASGGEDGVRRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTYDTAEEAAKVYDSAAIRLRGPDATTNFARPPPPPPPTKNLNLTPVSGRYDSAEDPRNLSSPTSVLRGFSTCSAAAETKTSDPEERRKPPVEQGGFLPPEEEEEAFFVDLLGFGASDPVSFFYDGSAEVGSLADDSRDGFFLGSRLDLLELSSTWQTGDGLFADLGDFFPIELHPAAI >Ma05_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7927111:7929626:1 gene:Ma05_g10880 transcript:Ma05_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLESDNFTRCGRHPTQFFTGFCSSCLVERLSNVGAAEESLEAPCSTQSEIVEVLDVIPDVKRKSGEIRVRRTLQYLFQLDDGFSVDSNKDVAADEHVPSASSAIECEISSCGENRFKGDNSKLSSCKGTKVMETNANTAEEIKISAHIAKEINNIEDKKLKDQDTTFWLSSMLAKKRFIWRTRSISKKDKLQDDKLSNASDDIQLESPPKSRYSCDWRACHDLNKSSWDPPRHSWDGSMVSRALACSFACLEERENDLRTKGNCPGEKMSENPVQATDDIDRGKVTNVPMSGEKPISSDGSLETLFVERLYEESQPGKSVARIRGKKSRGWSKVWDWSITSSFKDFVKKHDHSLQRSASETWQGRKNNSVESMEIDGALQFNGNRHGSIEGNHYLHRSINFANGDLRNLKPEWQMRSQFKFGRSRSVHYSSPGNLDNGLLRFYLTPLRSSRRCTSRGRTKSSHHIGKGVFGLY >Ma10_p26370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34099082:34099679:-1 gene:Ma10_g26370 transcript:Ma10_t26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVKLLWTWTSTIISSEYFLAGDMSLKKHMSSQRWTIYPTSFIPTIFGSLLSPSVGSITHFVIKRNIASSFPTFLSYIIFSNLNYRNMWWELTRIHTTWSRHLICLRWYTL >Ma11_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16415118:16415891:1 gene:Ma11_g12470 transcript:Ma11_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKACLARRAWNLLRMALMWTAEGGALTRRLTADLVSRLKRLGEAETPYKMQLRHGERELSFDETPTFKFRMRRPRFLCITPQADDGDDEDSNMASYFARQETSGNGDEEEEAACGREQEGIDTKAEEFIKRFHQQMQLQRQMSLLQYNEMLLRGVS >Ma06_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5124826:5126444:-1 gene:Ma06_g07190 transcript:Ma06_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKGAPPSPPTDLGTVARTLSKILRPRLSSARSVGGEYDVIHKLKLTRDFGGYSGSLSDCHGDSLQRAEAETKQQRRKSDASSSDREAMEFLLANLFASVSAVKAAYAQLQVAQYPYDPDSIESADAVVVAELRRISELKQCYLKNQVDPRTSRISLPALAAQLQELRNLIKTYKITIRKLKAELEHKDAKIVALRAELLESQSKVRALEAKLHPNRSLAAIDALHLSGLNPTHFLTALRFTYKSIRSFVHLMVKMMESAGWDLDAAAGSIQPDMLRRRIPGHRVFAFESYVCRRMFSGFSHQSFGLSSLEECSGWDRRQFFDDFTRLKSIALDQNPGCPPSPAAQLCRAKYLSLVHPKMESSFFGDLDHRETVGSGWGFPASEFFAGFAEMARRVWLLHCLFFSFKPETKRSIFQVRRRTRFSDVYMESVVAEEESSGGATTVGFTIEPGFRLGQTLIQCKVYLITDEPDLDGRS >Ma01_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12754178:12757096:1 gene:Ma01_g17400 transcript:Ma01_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGGGGEGMRVLGKYELGRTLGEGNFGKVKYAKHVETGQGFAVKILDRSRVQSLNITDQIKREIGTSKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIASKGRLSEGAGRKLFQQLIDAVSYCHDKGVYHRDLKPENVLVDEKGNIKVSDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLSNRGYDGARSDIWSCGVILYVILTGSLPFDDRNLVVLYQKILRGDAKIPKWLSPGARDILRRILDPSPITRINVAGIKAHEWFKQDYVAAIPSDDEEDPSLSVLCKHNESDEIGTSPTHINAFQLIGMSSSLDLSGFFEKEDVSERKIRFTSNHSPKHLFEKIENIVTEMGFQVQKGHGKLKVMQQCKSSRTPRSSGSFSVAAEVFELSPSLYVVELRKSYGDSTLYRQLCTKLSGDLGVSRSQHICKAQSMPELSNFEGDIPVSAQ >Ma05_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2158972:2164805:1 gene:Ma05_g03050 transcript:Ma05_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPQPVLVLAESGRVEQLESFPHYVARQMGIEDVNECPNLCKMAHDYLRRTKECEDNLFAFFANDPDHESLYVKLVEELDKCILGYFAFHWNHTTPLIKQVLNADSEQKMKLKNFVMEATRKLRFERVTKDLKVTRVISTLVEEMKAIRIGTHDESHCTDVMVPAAVADRSPVLLLMGGGMGAGKSTVLKEILKEAFWSGAAANTVVVEADAFKETDVIYRAISSRGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVQQTIAMARNVHHQQYRMGAGYKVADDGTITENYWEPVEDNQENNKCSTRKPYRIEMVGVVCDAYLAVVRGIRRAIIMGRAVRVKSQLKSHQRFANAFPRYCQLVDNARLYSTNSMGSAKLIGWKDGSSNLLVDPQEIICLEKLSKVNEDANSIYQLYPQEDTSSGSGFIWDDMVMSPTRESIQQDLKEAIKRIESPAS >Ma05_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7306555:7317957:-1 gene:Ma05_g10170 transcript:Ma05_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPADDYDRVKFVESLVNLSRTAAGRARLNAEGALAAVLCRLSSSTAPVLISRLRLVRNLCADEPVNQDAFVESGGVDRLASVFLTGLPVSTEVVRTVFQVLGNVASAGEAHRAAVWARFFPVWFRKIAEMSDPAVCNSLCMVLDTCCSATGGRRRLGELCDAGRGLPIVLNIVYTMSRGCHKEEYFYWLLGKACMEGTYFTRVFQGLSSTIILDGSGGVDCTYKKFSNAQVFLLETLSDYLTGWPGYLDSISKHFALSVLQVLVEASSVVDARSQSHSVSATCWLVTDILKYSFVILRNICCWKVHSSPAAEDPANSLLSAGLLQLLLRFLRELDPTYITKNRAIQTSTYLKVCPYVGFQTDVVSVICNFLHGRKQVQDDIRKQDGISLLLRRCIVDGCWPLLREWATLSVRYLLDGNLENQYKVAELEQKEPVITPEISRMGLRVEVDQESQRQKIVNASSDYIELLTLMLI >Ma05_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24024397:24027799:-1 gene:Ma05_g18510 transcript:Ma05_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQTRQNLRGDCMLTEVSLDWRGKPCKSNKHGGMRAACFVLGIQAFEIMAIAAVGNNLITYVFNEMHFPLSESANIVTNFVGTIFILSLVGGFLSDSYLGSFWTMLIFGFVELSGLILLSVQAHLPQLRPPPCNMMSGEHCMEAKGFKAVTFFLALYLVALGSGCLKPNMISHGADQFRNDDPDDQSRKLSTYFNTAYFSFCVGELIALTVLVWVQMRSGMDVGLGVSAAAMAMGLISLVCGAPFYRNKPSQGSIFTPIARVFVAAFAKRKQVCLSSSGVPHTKNVPKHHGVSSQSVCNLSHIHKFRFLDKACIKSQDGCNMKESPWKLCSKAEVEQVKVILSVIPIFACTIIFNTVLAQLQTFSVEQGSSMNTRLADSFRVPPASLQAIPYMVLVILVPIYETSFVPLARKLTGEDSGITPLQRIGVGLFTATFSMVAAAMIEKERREMAVGSGKLMSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSSGGMQSFLTAMTYCSYSFGFFLSSLLVSLVNKITSSSSNGGWLGDNDLNKDRLDLFYWLLAALSLLNFLNYLFWAKWYSNTPSPSTETHVGAENTP >Ma06_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1469724:1472825:-1 gene:Ma06_g01800 transcript:Ma06_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVVETSSGDEWISALCDFPRSYLANEIIREHEFLQDRLPRKHFKERKTKLLILTAQCLLRTGPQRNNMAGALARIVFFGFSRIHFSNILFLTSRASVINDHASKEKYHSFGRLLKVKEFAVSICIIYNISRLN >Ma10_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29302489:29302870:-1 gene:Ma10_g18340 transcript:Ma10_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCDLLGIGEDLDSRGQSFIWRILRRGPSNRGHGE >Ma00_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5524408:5526644:-1 gene:Ma00_g01310 transcript:Ma00_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGGGGNGSPPRVSAGKPPRHGGTVHSARDRHSAMSVPAFASAQDLRCLDLEKEAGEVLAECSPRGVLDDGLRCLDSETTSKASTSYTETQMIRSSFALWHGFFRLWKHKSMRRLSSFPPIGFRKRSRRRRSNRDSRVPMPDSTSAADNESSFKPTWRNFTISELEKATNNFNSENMIGKGGSAEIYRGCLENGQLVAVKKITRGTTEEKTHNFLSEMGVLVHVDHPNTARLIGVGVEGGMHLVLVLSPHGSLANLLHDSKDKLAWGIRYKVAVGTANGLEYLHERCHKRIIHRDIKAANILLTEDFEPQICDFGLAKWLPDEVTHHTLPSFEGTFGYLAPEYCMHGVVDEKTDVFAFGILLLELISGRRAVNSSQQSLLMWAKPLLEQNRIRDLVDPSLGDSYDSKQMDRAAKTAYICIQHSSVLRPRMSEVLKLLSGGDEGRAENGRALHRSFLRRTYSEEIFDAEEYNATRYLSDISRHKQIAFDF >Ma02_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24176838:24179498:1 gene:Ma02_g17120 transcript:Ma02_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHTMEGSTSCWSIIRPHPEHNSSLLSSSSSSSSSSPSVHPQYLHPSSMLPLMTVCHDTQDLPESWSQLLMGGYVGDEEKYISAHLQTKMIANWETQLLCPSTAGHMADMKQEHFGCHGIEDAQESKYTWNQLLQTFSPRSCVPTSFSRNMVDFSNKRSERAENQPENPSECNGTATGAVVKKARVLGSSSSTSQSVLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSSPYLSSGSGNMKQPLSNEGGVKRREGGQPDQEGSDEAQKDLRSRGLCLVPVSLILHADESDAGGDHYWGAPTLHGAF >Ma02_p17120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24176839:24179183:1 gene:Ma02_g17120 transcript:Ma02_t17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHTMEGSTSCWSIIRPHPEHNSSLLSSSSSSSSSSPSVHPQYLHPSSMLPLMTVCHDTQDLPESWSQLLMGGYVGDEEKYISAHLQTKMIANWETQLLCPSTAGHMADMKQEHFGCHGIEDAQESKYTWNQLLQTFSPRSCVPTSFSRNMVDFSNKRSERAENQPENPSECNGTATGAVVKKARVLGSSSSTSQSVLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSSPYLSSGSGNMKQPLSNEGGVKRREGGQPDQAVMRHKRT >Ma10_p30620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36909886:36912537:1 gene:Ma10_g30620 transcript:Ma10_t30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSEAWMRDRGEELREEVRRMFQDNNDATQTMHLIDTIQLLGLDYHFEEELTEALKRVYDADSANDGLYEVSLRFRLLREKGYSVTSDVFDKFKDEGGSFSTTLTEDVKGLLSLYNAAYLGTHGETILDEAISFTRIHLTSMVHDLNPPMATLVSLALETPLRRSIKRLFARHYISIYQEEPTRNDKILELAKLDFHILQSLHRRELKDVCMWWKDLALTKTLSFARDRVVEAYYWILGVYYEPLFSRARVMTTKIVLITSILDDIYDDYSTLEESQLLTDAIQRWDFEAVDQLPEYLKDFFLKLLFAVREFETELAAEEKFRIFYLKEALKSQARAYFEESRWRDEKYVPTLEEHLEVSKMSSAYPLFASAILVGMGEVATKEAFEWVASFPKIVDASAAIGRIMNDITSYEREGKREHVVSTVHCCMKEYGTSIDDACKKLQEMVEDAWKDINQECLNPTTFLAPLLQTSLYFTRILENVYKYIDAYTESNTTMRECISLLLVQPVPI >Ma11_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24697008:24698832:1 gene:Ma11_g20110 transcript:Ma11_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARWHQEIGLAVEPMELVPSSAFTTTTTTANSTCTTARPQVTERRARQQKEQALSCPRCNSTNTKFCYYNNYSLTQPRYLCKSCKRYWTEGGSLRNVPVGGGSRKNKRSTTAASAMASHSEKLPTDLIPPPVSLAATQRFHQGQDLNLAFPDPEPIGFSNPSDAVGALSAMEFLRTGMTARDFKPYLPLMQLPEYSTAFELQELGPPALNFPMMGFPFEDVKPGAHTTSTADEFEKSREQGGDPPGFWNSMIGGEGSW >Ma02_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9894391:9900122:1 gene:Ma02_g01410 transcript:Ma02_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASQFDVGSASLFSGGGFMPSQATQTPDSGFSKSRGVQGVLPLTVKQISEAYHSNDDKSNFVVDGVDATNVRLLGLVMTKTERVTDVSFALDDGTGRIDVNRWVNETSDTNEMAIIQNGMYVTVNGSLKGFQGKRHVVAFSVRPVTDFNYITLHFLECIHVHLDNTRPKVNVPVGTVVTPNNDVKRYQTPVSNQFSTHSGIGGSESNIYKLVLDVFQEPASIAREHGLHVDEIVQRLGIPMDKIKDAIEYHVDIGNIYSTIDENHYKSACNG >Ma06_p36890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36118173:36121005:1 gene:Ma06_g36890 transcript:Ma06_t36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKRQGPPKHQNKYAWKPNAGCKINETELGGRLRPYSAVSGVCPRCKEQIDWKRRYGKYKPILEPAKCQKCGKRTVRQAYHNVCSACSKDLGICAKCCGSTNEIIGRDVLEVESERKALEEAIKNSRERDRRTLLRAMNKNRTGAGTTIPKIEDRSREGDLFPVKTIDEYAELTRHHGKNNDEDEDEDKCEDKEVDGYEVEDEEKDWNEDEDEDEDEAKM >Ma09_p19060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19690763:19699791:1 gene:Ma09_g19060 transcript:Ma09_t19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEKRKPGKHSGGQVCQICGDGVGTTVDGDIFVACDVCGFPVCRPCYEYERKDGNQSCPQCKTKYKRHRGSPPVRGEEGDDGDADDVSDFNYPTGNQDQKPKITERMLGWHMSHEQGEDIGPPKYDSGGIPRNHIPLLTHSQGLSGELPMTSPDHMMSPGGGGKRVHPLPFRSPNTSREFANVAWKERVDGWKMKQEKNVAPMTNGTSHAPSEGRGGGDIDATTDYNMDDALLNDEARQPLSRKVPISSSRINPYRMVIVLRLVILCIFLHYRITNPVHNAYALWLLSVICEIWFAISWILDQFPKWFPVNRETYLDRLAIRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFSQKIDYLKDKVQPTFVKDRRAMKREYEEFKICINGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFMLNLDCDHYINNSKALREAMCFLMDPNLGRQICYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKNKQKKKGFFSLWSGGSHKKNSKSSKKSSEKKKSSKHVDNTVPIFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTEWGSEIGWIYGSVTEDILTGFKMHARGWKSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSLPLLLYCTLPAICLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVQQCGINC >Ma09_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19690763:19699791:1 gene:Ma09_g19060 transcript:Ma09_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEKRKPGKHSGGQVCQICGDGVGTTVDGDIFVACDVCGFPVCRPCYEYERKDGNQSCPQCKTKYKRHRGSPPVRGEEGDDGDADDVSDFNYPTGNQDQKPKITERMLGWHMSHEQGEDIGPPKYDSGGIPRNHIPLLTHSQGLSGELPMTSPDHMMSPGGGGKRVHPLPFRSPNTSREFANVAWKERVDGWKMKQEKNVAPMTNGTSHAPSEGRGGGDIDATTDYNMDDALLNDEARQPLSRKVPISSSRINPYRMVIVLRLVILCIFLHYRITNPVHNAYALWLLSVICEIWFAISWILDQFPKWFPVNRETYLDRLAIRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFSQKIDYLKDKVQPTFVKDRRAMKREYEEFKICINGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFMLNLDCDHYINNSKALREAMCFLMDPNLGRQICYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKNKQKKKGFFSLWSGGSHKKNSKSSKKSSEKKKSSKHVDNTVPIFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTEWGSEIGWIYGSVTEDILTGFKMHARGWKSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSLPLLLYCTLPAICLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVQQCGINC >Ma08_p27320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39605354:39610121:-1 gene:Ma08_g27320 transcript:Ma08_t27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRGMASLAVERGTPVTQDPRREKKRERGCTPSSPLPLPISSGASTRETPTTQEPPSETRRERGRSPSSRPPPPISSGTSTRETPTTLEPRSEKRREPGSSPSSPPPPPISSGAATPDAYEKVRDERIRENMERLQKLGILDLSLRLKSHLQHASSSAPSYHHRRKRDTTTGTTSGQKPPMQPPRRSSRLQHITPVSYAEIPIKKDVGSELNGSISIEEGAKEEIYTDEHEKLLGKCETTWNLFVDGYGNDGRRIYDQIRGKTCHQCRQKTLGHRTHCSKCKIVQGQFCGDCLFMRYGENVLEAIKNPNWICPVCRGICNCSFCRIKKGWGPTGPLYRKIASMGYKSVAHYLIQSRRQQTSSGDLNSSESVSAEDSSNINADFYGENSKMPSTINLGSNIKKKNESFPEEENEPLSDKENESLPENGVRSSDDYSAEDTAEKSPTKLRSKIKKKNESCDDIKPIHDSVASRLRKRHKT >Ma01_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8594498:8596864:-1 gene:Ma01_g11860 transcript:Ma01_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPCVFFDMAVGGAPVGRIVMELYADVTPKTAENFRALCTGEKGVGRSGKPLHYKGSTFHRVIPGFMCQGGDFTCGNGTGGESIYGEKFADENFVKKHTGPGVLSMANAGKNTNGSQFFVCTAQTSWLDGKHVVFGRVVEGMDVVKSIEAVGSHSGSTKKPVVVADCGQLS >Ma06_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4989704:4991334:-1 gene:Ma06_g06990 transcript:Ma06_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHFLVVTYPLQGHINPALHLARRLARVAGARITFSIALSGHRRMFPSSADGEVDDGLISYVPHSDGYDDGFNPDVDDVKAYPLRTRSVSSKTLSAIIRSLEERGRPVTCVIYTLLLSWAADVAHEHGLPSVLYWIQPATVFALYYHYFHGYDGLIASHRQDPLFQVNLPGLPPFRICDLPSFLRITSPDDPYFIVIEMFKESFDVLDSEKARSTARVLANTFDELESDALVATEKMKLIPIGPTVPSALLEGTEAARGTGSTGADLFKLDEKQYMEWLDSKPEKSVVYVSFGSLAVIKKRQAEEIVRGLKESGRPYLWVLKKENRRELEGEVEEEGGIMVEWCSQVRVLSHPAVGCFVTHCGWNSTVESIVCGVPTVSAPQWSDQSTNARLVELWGTGVRGELDGEGVLEGAELSRCVETVMGEGETGKEIRRRAEMWKEKAREAVGEGGSSDRNLRAFVEEIASLK >Ma08_p23890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37246018:37262416:-1 gene:Ma08_g23890 transcript:Ma08_t23890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGSVDVPAEAAVPGVNLFAQARKALSVSSPFDPEESAPRVPTLPSGLASFLLRGPDSHRKHKKSHGEAAEKPSGHGTPPTVWDVTEEFFRPVTLSDIDLLAPKLPLCPGLLDSCFTMPVFDNGVEDDKKDSKADTCAAELSSITLSKKEEVILEQQIEEVEKVQATEELPPMEIDEIVTSVGDAMPMQTVGDDDNLSLHWLLGSKKRLVLLAERADKKRKLLDGDAGLDRLLLLPHSHTGVNLCDFCCSIENGVKKNKFLRCHSCKVVVHQRCYGVHEVPEGVWLCAWCKHLETAGIVSKRDGDDLSSRPCLLCPKDGGALKTVTMDPSLSPSDGAAKFVHLFCSLWTPDVYVKDTWAMELVMNMGGIQDKRRKLVCNVCKVKHGLCIRCSHGTCRTSFHPLCARESNHQMEIWGKFGCTNVELRAFCSKHSTFQDMGGVKDTNNLLLAIDDKKLVPKLSSAVLPTKKLPTLQLTPNKRDQIMMQNEIANLNSEKILQMKPDEQDALVDRLDSNSGQAGTIAEMDTDGAVDSKNIKRSTYDVSAILRKLIDQGKINADDVASEMGISLDSLQAALIGETTSFSPGLRLKIIKWLQSSVLTPTLQPSKVRNGPVISSDYRVTKFDGLNDAKIEDPGNNPGGDVTGVEVSDAVLVKLLPPRRRTKSNIMILKNNKALRSSGLASVLENGNGKIVDEIDNIQVVISEDMKGNINERICSSLLIGLSNDQKPVVMTLEDTSKAEDSVPSDPNQGQKNDMANDIEEKVSFLHYEGNQAVDTNTTTQNTMQSGYSNYYDGEVDVVLCTKSYLENGGSGSVFVVHPLIKKKLLHMQNSELLKNKPTDPFSNAKSLCSSCVQQGLLCAASYTSDGAKELDQLSKAKSVGVLDLSPEDEVEGEIIYLQARLLDNAVLIKHNCEDLLLKIVENLAHELNALNKRKWDLILVNQFLREIREAKKRGRKERKHKEAQAVLAAVTAAAAVSSRNSSLRKDSNDETVLGTQETPIKINAATGIAGLHPPLVPQTTEAFRSTFGKLSSAKHSMAFQMPEFLKDNALSCEICMRTETILNRVFVCSRCKVAVHLDCYRRLKSPIGSWKCELCEDMSLPSTSPKSQIDGKGTSAVVAQCGLCGGVTGALRKSADGQWVHALCAEWLLESSFRRGQENLVEGMDTISKEKATCCICYHNVGACLKCSYGHCKVTFHPSCATSAGFYMNAKVTDDNIQHKAYCGKHSIGQREADNQQCGSEDINSLKQIRVELEKLRLLCERSIKREKLKKDLVRCSHDILASRRDCAAYSVLVRSSFQSGASSESATTSINNRSYSGTIQRSDEITVDSTVSREHTIRCSLHNKNFDRNTDDSSSSQLSFKRKLADRASFAGKQLPQKSASNAFWKSADGENRPKAKKTETFQKELVMTSDQASMQNQRLPKGYFYVPVGSLSKELPLEQDLESHELQEPGG >Ma08_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37246018:37262416:-1 gene:Ma08_g23890 transcript:Ma08_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGSVDVPAEAAVPGVNLFAQARKALSVSSPFDPEESAPRVPTLPSGLASFLLRGPDSHRKHKKSHGEAAEKPSGHGTPPTVWDVTEEFFRPVTLSDIDLLAPKLPLCPGLLDSCFTMPVFDNGVEDDKKDSKADTCAAELSSITLSKKEEVILEQQIEEVEKVQATEELPPMEIDEIVTSVGDAMPMQTVGDDDNLSLHWLLGSKKRLVLLAERADKKRKLLDGDAGLDRLLLLPHSHTGVNLCDFCCSIENGVKKNKFLRCHSCKVVVHQRCYGVHEVPEGVWLCAWCKHLETAGIVSKRDGDDLSSRPCLLCPKDGGALKTVTMDPSLSPSDGAAKFVHLFCSLWTPDVYVKDTWAMELVMNMGGIQDKRRKLVCNVCKVKHGLCIRCSHGTCRTSFHPLCARESNHQMEIWGKFGCTNVELRAFCSKHSTFQDMGGVKDTNNLLLAIDDKKLVPKLSSAVLPTKKLPTLQLTPNKRDQIMMQNEIANLNSEKILQMKPDEQDALVDRLDSNSGQAGTIAEMDTDGAVDSKNIKRSTYDVSAILRKLIDQGKINADDVASEMGISLDSLQAALIGETTSFSPGLRLKIIKWLQSSVLTPTLQPSKVRNGPVISSDYRVTKFDGLNDAKIEDPGNNPGGDVTGVEVSDAVLVKLLPPRRRTKSNIMILKNNKALRSSGLASVLENGNGKIVDEIDNIQVVISEDMKGNINERICSSLLIGLSNDQKPVVMTLEDTSKAEDSVPSDPNQGQKNDMANDIEEKVSFLHYEGNQAVDTNTTTQNTMQSGYSNYYDGEVDVVLCTKSYLENGGSGSVFVVHPLIKKKLLHMQNSELLKNKPTDPFSNAKSLCSSCVQQGLLCAASYTSDGAKELDQLSKAKSVGVLDLSPEDEVEGEIIYLQARLLDNAVLIKHNCEDLLLKIVENLAHELNALNKRKWDLILVNQFLREIREAKKRGRKERKHKEAQAVLAAVTAAAAVSSRNSSLRKDSNDETVLGTQETPIKINAATGIAGLHPPLVPQTTEAFRSTFGKLSSAKHSMAFQMPEFLKDNALSCEICMRTETILNRVFVCSRCKVAVHLDCYRRLKSPIGSWKCELCEDMSLPSTSPKSQIDGKGTSAVVAQCGLCGGVTGALRKSADGQWVHALCAEWLLESSFRRGQENLVEGMDTISKEKATCCICYHNVGACLKCSYGHCKVTFHPSCATSAGFYMNAKVTDDNIQHKAYCGKHSIGQREADNQQCGSEDINSLKQIRVELEKLRLLCERSIKREKLKKDLVRCSHDILASRRDCAAYSVLVRSSFQSGASSESATTSINNRSYSGTIQRSDEITVDSTVSREHTIRCSLHNKNFDRNTDDSSSSQLSFKRKLADRASFADRDFPEGTRHDFRPSIYAESASS >Ma08_p23890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37246018:37262416:-1 gene:Ma08_g23890 transcript:Ma08_t23890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGSVDVPAEAAVPGVNLFAQARKALSVSSPFDPEESAPRVPTLPSGLASFLLRGPDSHRKHKKSHGEAAEKPSGHGTPPTVWDVTEEFFRPVTLSDIDLLAPKLPLCPGLLDSCFTMPVFDNGVEDDKKDSKADTCAAELSSITLSKKEEVILEQQIEEVEKVQATEELPPMEIDEIVTSVGDAMPMQTVGDDDNLSLHWLLGSKKRLVLLAERADKKRKLLDGDAGLDRLLLLPHSHTGVNLCDFCCSIENGVKKNKFLRCHSCKVVVHQRCYGVHEVPEGVWLCAWCKHLETAGIVSKRDGDDLSSRPCLLCPKDGGALKTVTMDPSLSPSDGAAKFVHLFCSLWTPDVYVKDTWAMELVMNMGGIQDKRRKLVCNVCKVKHGLCIRCSHGTCRTSFHPLCARESNHQMEIWGKFGCTNVELRAFCSKHSTFQDMGGVKDTNNLLLAIDDKKLVPKLSSAVLPTKKLPTLQLTPNKRDQIMMQNEIANLNSEKILQMKPDEQDALVDRLDSNSGQAGTIAEMDTDGAVDSKNIKRSTYDVSAILRKLIDQGKINADDVASEMGISLDSLQAALIGETTSFSPGLRLKIIKWLQSSVLTPTLQPSKVRNGPVISSDYRVTKFDGLNDAKIEDPGNNPGGDVTGVEVSDAVLVKLLPPRRRTKSNIMILKNNKALRSSGLASVLENGNGKIVDEIDNIQVVISEDMKGNINERICSSLLIGLSNDQKPVVMTLEDTSKAEDSVPSDPNQGQKNDMANDIEEKVSFLHYEGNQAVDTNTTTQNTMQSGYSNYYDGEVDVVLCTKSYLENGGSGSVFVVHPLIKKKLLHMQNSELLKNKPTDPFSNAKSLCSSCVQQGLLCAASYTSDGAKELDQLSKAKSVGVLDLSPEDEVEGEIIYLQARLLDNAVLIKHNCDLLLKIVENLAHELNALNKRKWDLILVNQFLREIREAKKRGRKERKHKEAQAVLAAVTAAAAVSSRNSSLRKDSNDETVLGTQETPIKINAATGIAGLHPPLVPQTTEAFRSTFGKLSSAKHSMAFQMPEFLKDNALSCEICMRTETILNRVFVCSRCKVAVHLDCYRRLKSPIGSWKCELCEDMSLPSTSPKSQIDGKGTSAVVAQCGLCGGVTGALRKSADGQWVHALCAEWLLESSFRRGQENLVEGMDTISKEKATCCICYHNVGACLKCSYGHCKVTFHPSCATSAGFYMNAKVTDDNIQHKAYCGKHSIGQREADNQQCGSEDINSLKQIRVELEKLRLLCERSIKREKLKKDLVRCSHDILASRRDCAAYSVLVRSSFQSGASSESATTSINNRSYSGTIQRSDEITVDSTVSREHTIRCSLHNKNFDRNTDDSSSSQLSFKRKLADRASFAGKQLPQKSASNAFWKSADGENRPKAKKTETFQKELVMTSDQASMQNQRLPKGYFYVPVGSLSKELPLEQDLESHELQEPGG >Ma11_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9161038:9161996:1 gene:Ma11_g09960 transcript:Ma11_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDSSSSSSSCDLSTICSTSTSGLSPKRKAGRRKFRETRHPMYHGVRERNGGRWVCEVREPQRKGRIWLGTFPTPEMAARAHDVAAIALRGSSAQLNFPDSAWALPRAKSTAPDDVRRAAAEAAEMIPPSNSSPPSSTAPPAGQSTAAGITPPGRDGVEAGAPPPAPVLVDEEALFSMPGLLEDMERGLLVTPPSMGAPPAAPVLVDEEALFNMPGLLEDMARGLLVTPPSMLEGFDGDGVDECLMDLSLW >Ma05_p30070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40599287:40604438:-1 gene:Ma05_g30070 transcript:Ma05_t30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPKPSHERCHLCSGPLSKDLETSSWTVAPLIRDGFSMIGTAIGGTASAVYGFNHVMPIVRRWVKGPMWLHFFIGVPPVIVFSSACAGLAGGAMPALAQLVSSSYHAAMSSSALAHSSSQEDNMHKRKSSSPL >Ma05_p32100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41788528:41790111:-1 gene:Ma05_g32100 transcript:Ma05_t32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMPQQLKLEPYAVHTTFQFAGSDGKRHRLREAMLFYDQPAYYDTPGGFLSFKPGIPKSLLLDGPHTLQSHFSLVNYQLRQIRTALAVACLLNRTLVSEVSPIKKLNLTS >Ma01_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10388917:10393443:1 gene:Ma01_g14230 transcript:Ma01_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLLPSSPAAHAASIATDSSMRYITRSTMSPIKTLDLVPIGQSPDPWPVDPAMASRRILASLLRSSVRRSTAPRPPASTPRPLISSRPSPAGFFLSLAVEYATAVAPSPAPSTPPPKTAASPSGKITDEFTGAGAIGQVCQVIGAVVDVRFDKGLPPFLTALEVLDNSIRLVLEVAQHLGENMVRIIAMDETEELVRGQRVLNTGSPITVPVGRATLGKIMNVIGEPIDEKGEIKTNDFLPIHCEAPAFVEQATEQQIFVTGIKVVDLLAPCQRGGKIELFGGAGVGKTVLIMKLINNVAKAHVWSLSGFSVFIGVGEHTCEGNDLYREMIESGVIKLGDRRVLDEPPGARARVGLTGLTVAEHFRNAEGQDVLLFIDKIFQFTQVTNSEVSALLGHIPSAVAIYVPAVDLTDPAPAITFAHLDATTVLSRQISELGIYPAVDPLDSMSRILSPHVLGEEHYNTACGVRNVLQNNKNL >Ma08_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16161896:16181507:1 gene:Ma08_g15880 transcript:Ma08_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKDSAAAASSSVKVRKPKPRRIRVFDSSCFGLSSILSDGHQKTEENERPKGTFCADEANSKSSGGNDDDCDKAAVACHNKLPLLHSSDVEQSGEADEGTSQTELGPNSSSSNAVVDRSSGTSGRLHSRFNFIPDGISFRLNRAVSLGSSGSRSLLSTGLSVPRSDMGEYVLVNLDSSVDRNDTQSGSTLEMSSSQNAGRINAEREATFSQYSNRSFVESRNTRHSHRRLGPQEPLEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFYPAFLEDRSVWSSAQASGRRALGGARRTPSSHRISGFLSDSSSSNLRRIARSMDIGDDSVSNRRTVHHDALEHRSAFLERRRRIRSQVRALQRLGSRFESLSGHDRSCILSGQHRTGRCTCRTSRQTANPDDDTNTRASISRIVMLAEALFEVLDEIHQQSIALSSRPSFSSIGSVPAPKEVVECIPIKIYNKPSKTQNEEAAQCYICLVEYEEGDCIRMLPCNHEFHRTCIDKWLKEIHRVCPLCRGDVCSSDALNKQKLS >Ma08_p03730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2686395:2701798:1 gene:Ma08_g03730 transcript:Ma08_t03730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSVSLSGGKICFNSHCKEVILDHTPPRKKGGWRLRSGEIAELCDRCSCAFEQGSFCETFHSDVAGWRNCEACGKRVHCGCVASAPTYVFLDVGGVECIACARKSLAMAPNQMLSSPMLMHQHVSERRDLPVKSGRPITSPFSGQWRQAPHMWNMTSLQSDLQQRLSYEFDRPSNIEKLAPGGRHSISAHEKKFEELPERIMSGSHNNIARDRYAHGNTGLESFPSYNKYKEEVRNTDVLLKSFLLVGENDPDSTRKSVIPDPCSTSSGVKIEAKANSSIKLQPLPISKEDSSPLIGLAAPFSSTNGSREPMKFLSNQPPQLTTSPLPKQFYPEGIADTELQIQMRNGRARVDARSRTQLLPRYWPRITDQELQQISGDTNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPTISQPEGLPLKVQDASGKDWVFQFRFWPNNNSRMYVLEGVTPCIQAMQLQAGDTVTFSRIDPEGKLVMGFRKASSGSTEQDTQTHISGSDFSTPPEGNDKIAVTDLIGNVPFRASKASIEPSNPINAADKSSWPKFTKAGFIQQDGPAARSLQVPSKRKASTLGSKSKRLRIENEESMELKLTWEEAQELLRPPPNSSPGIVVIEGHEFEEYEEAPVLGKRTYFTTNPAGENYQWAQCEDCLKWRKLPIDALLPFKWTCTENVSDPQRSSCSSAQELNLEQIAAMISCKTDASKRAKVKVESNNIEVSDGLDTLANLAILGEGKNLPTSQPTTRHPRHRPGCTCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKRQSEKESETARKQQQKPMLPSSEVLPQVKSDPSSTGPGDDNASQKEIASNDTIVNAAPDHRRTSPTAVKAPQIDLNIQPEREEDPSPKSDTGSMMRLIRDSTTRTAR >Ma08_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2686395:2701798:1 gene:Ma08_g03730 transcript:Ma08_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTSVSLSGGKICFNSHCKEVILDHTPPRKKGGWRLRSGEIAELCDRCSCAFEQGSFCETFHSDVAGWRNCEACGKRVHCGCVASAPTYVFLDVGGVECIACARKSLAMAPNQMLSSPMLMHQHVSERRDLPVKSGRPITSPFSGQWRQAPHMWNMTSLQSDLQQRLSYEFDRPSNIEKLAPGGRHSISAHEKKFEELPERIMSGSHNNIARDRYAHGNTGENDPDSTRKSVIPDPCSTSSGVKIEAKANSSIKLQPLPISKEDSSPLIGLAAPFSSTNGSREPMKFLSNQPPQLTTSPLPKQFYPEGIADTELQIQMRNGRARVDARSRTQLLPRYWPRITDQELQQISGDTNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPTISQPEGLPLKVQDASGKDWVFQFRFWPNNNSRMYVLEGVTPCIQAMQLQAGDTVTFSRIDPEGKLVMGFRKASSGSTEQDTQTHISGSDFSTPPEGNDKIAVTDLIGNVPFRASKASIEPSNPINAADKSSWPKFTKAGFIQQDGPAARSLQVPSKRKASTLGSKSKRLRIENEESMELKLTWEEAQELLRPPPNSSPGIVVIEGHEFEEYEEAPVLGKRTYFTTNPAGENYQWAQCEDCLKWRKLPIDALLPFKWTCTENVSDPQRSSCSSAQELNLEQIAAMISCKTDASKRAKVKVESNNIEVSDGLDTLANLAILGEGKNLPTSQPTTRHPRHRPGCTCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMLRREKRQSEKESETARKQQQKPMLPSSEVLPQVKSDPSSTGPGDDNASQKEIASNDTIVNAAPDHRRTSPTAVKAPQIDLNIQPEREEDPSPKSDTGSMMRLIRDSTTRTAR >Ma10_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25275726:25280116:1 gene:Ma10_g11920 transcript:Ma10_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVMDTRAISVNPDTKGDRRISSTGEFSTGGSLVSSTSSEKPLPNYLRASTSSCHDFCKYGRKHDFDAEAKHPFLLKSGKKLTLREDWQQVNSVNVEERWKRLTTKPKSSSHQRAEFPDTTAVRKQKAASKIKEVRLPEQHADMKLQISSSIQKSSISSDSEGPPTSQNEETQVKSLNIGDQKMKQVTKSKTLNKSQSFSSKLKVGEQMGTSLGNGIDGSQKSGTIKRNISSPLQEATSSTKPRLIRQTSSKSDITHDGPQKIGQKPLVHNEVPQVAAKHVTSKKAIKSPTEGSGSSLTASHGPIEQRNKKVLYDKSREITRTLERKMLKPSTASPSLKHIGDETSSSKQSKYKNGKQTVSMKNQKVGNNAELVTRNNEKKTLYVIDKIPEKVDMDSAEQNSSKVENIVYDLSEQPLSKYENIDSDSLQETSLKPDNSDTGVLGHKLLEPENISAASLELTPDDKKKFQVKNADMDFLEQRSLSPENIDFDSLELIPDDKKWLEPENADKNSLGENLLKPEENSFESLVKPTDQELHGPKSADTESLEQELLKLEDIDLDSLDVQNLLEQGNADKDFLEQKLLKPENIDLDSLELNPNDDQSSQLGSDDEEIEEIESASSESSESESVNEPSKDEQKKRSRIDAVVHPEDKLSTPHKLKFRRGKIIELQPECKGLRRLRFRRGRLMSKSADDDQVERMNFQRSEMGAPASDPSPESKGVVLKHQDMQEKKDIQGLFNNVIEETASKLVESRRSKVKALVGAFETVISLQDSKPASTG >Ma10_p11920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25275726:25280116:1 gene:Ma10_g11920 transcript:Ma10_t11920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVMDTRAISVNPDTKGDRRISSTGEFSTGGKKLTLREDWQQVNSVNVEERWKRLTTKPKSSSHQRAEFPDTTAVRKQKAASKIKEVRLPEQHADMKLQISSSIQKSSISSDSEGPPTSQNEETQVKSLNIGDQKMKQVTKSKTLNKSQSFSSKLKVGEQMGTSLGNGIDGSQKSGTIKRNISSPLQEATSSTKPRLIRQTSSKSDITHDGPQKIGQKPLVHNEVPQVAAKHVTSKKAIKSPTEGSGSSLTASHGPIEQRNKKVLYDKSREITRTLERKMLKPSTASPSLKHIGDETSSSKQSKYKNGKQTVSMKNQKVGNNAELVTRNNEKKTLYVIDKIPEKVDMDSAEQNSSKVENIVYDLSEQPLSKYENIDSDSLQETSLKPDNSDTGVLGHKLLEPENISAASLELTPDDKKKFQVKNADMDFLEQRSLSPENIDFDSLELIPDDKKWLEPENADKNSLGENLLKPEENSFESLVKPTDQELHGPKSADTESLEQELLKLEDIDLDSLDVQNLLEQGNADKDFLEQKLLKPENIDLDSLELNPNDDQSSQLGSDDEEIEEIESASSESSESESVNEPSKDEQKKRSRIDAVVHPEDKLSTPHKLKFRRGKIIELQPECKGLRRLRFRRGRLMSKSADDDQVERMNFQRSEMGAPASDPSPESKGVVLKHQDMQEKKDIQGLFNNVIEETASKLVESRRSKVKALVGAFETVISLQDSKPASTG >Ma02_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22090444:22094224:1 gene:Ma02_g13920 transcript:Ma02_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYGSNILLLNRSEQEKKEMDYSPQAATRDGANKEARKRGREAAGVPMAPSPPPPPLQQQHQSRLLSFLSMKPQPCSPAPVSIAELQMHPPSKVSLGLRLTAEEKRRHRRETQSNPLLSSASSSSSTVLHEELVALVNHQRDEIDTLLLAQEEQLRRALAERRQRHYRALLNAAEESAARRLREKEAEVGRLARRRGELENRLALLRTETMAWQAKAMADQVTAAALNAQLEKAAAAPERKEISGDSPPAEDAESGFEDPDRVERERACRSCQCRRASVVLLPCRHLCLCDACDAASDSCPVCRCVTTGSVRVLLS >Ma02_p13920.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22090444:22094224:1 gene:Ma02_g13920 transcript:Ma02_t13920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYGSNILLLNRSEQEKKEMDYSPQAATRDGANKEARKRGREAAGVPMAPSPPPPPLQQQHQSRLLSFLSMKPQPCSPAPVSIAELQMHPPSKVSLGLRLTAEEKRRHRRETQSNPLLSSASSSSSTVLHEELVALVNHQRDEIDTLLLAQEEQLRRALAERRQRHYRALLNAAEESAARRLREKEAEVGRLARRRGELENRLALLRTETMAWQAKAMADQVTAAALNAQLEKAAAAPERKEISGDSPPAEDAESGFEDPDRVERERACRSCQCRRASVVLLPCRHLCLCDACDAASDSCPVCRCVTTGSVRVLLS >Ma02_p13920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22090444:22094224:1 gene:Ma02_g13920 transcript:Ma02_t13920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYGSNILLLNRSEQEKKEMDYSPQAATRDGANKEARKRGREAAGVPMAPSPPPPPLQQQHQSRLLSFLSMKPQPCSPAPVSIAELQMHPPSKVSLGLRLTAEEKRRHRRETQSNPLLSSASSSSSTVLHEELVALVNHQRDEIDTLLLAQEEQLRRALAERRQRHYRALLNAAEESAARRLREKEAEVGRLARRRGELENRLALLRTETMAWQAKAMADQVTAAALNAQLEKAAAAPERKEISGDSPPAEDAESGFEDPDRVERERACRSCQCRRASVVLLPCRHLCLCDACDAASDSCPVCRCVTTGSVRVLLS >Ma02_p13920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22090444:22094224:1 gene:Ma02_g13920 transcript:Ma02_t13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAHYGSNILLLNRSEQEKKEMDYSPQAATRDGANKEARKRGREAAGVPMAPSPPPPPLQQQHQSRLLSFLSMKPQPCSPAPVSIAELQMHPPSKVSLGLRLTAEEKRRHRRETQSNPLLSSASSSSSTVLHEELVALVNHQRDEIDTLLLAQEEQLRRALAERRQRHYRALLNAAEESAARRLREKEAEVGRLARRRGELENRLALLRTETMAWQAKAMADQVTAAALNAQLEKAAAAPERKEISGDSPPAEDAESGFEDPDRVERERACRSCQCRRASVVLLPCRHLCLCDACDAASDSCPVCRCVTTGSVRVLLS >Ma05_p32080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41765832:41767123:-1 gene:Ma05_g32080 transcript:Ma05_t32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHASRKTTRSEGPATIMAIGTANPPNLYEQSSYADFYFRVTNSEHMQELKQKFQRICDRTMIKRRYMLLTEEILREKPGMCSYMGTSFDERQDIVVEEVPRLAKEAAAKAIKEWGRDKSEITHLLFCSTSGIDMPGADYRLIKLLGLPLTVNRIMLYSQACHMGAQMLRIAKDIAENNRDARVLLVACEVNTLIFRGPDERDIQSLAGQVAFADGAAAVIVGADPIQGVEKPIFEIMSAAEVTVPESDMAVGGQLKQVGLTFHFMRQLPMLIANNLENCLQEAFKPLGITDWNEVFWVSHPGNWGIMDAIEAKLALQKGKLRSSRHVFSEYGNMMGATVLFVMDDVRKRSTVEERTTTGDGLEWGFLCAFGPGLSIETLVLHSVPL >Ma10_p26680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34254640:34256181:-1 gene:Ma10_g26680 transcript:Ma10_t26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEGIQSLVCDNGTGMVKAGFAGDDAPMAVFPSIVGRPCRTGAPVGMGQKDAYVGDEAQSKRGILALNYPIEHGVVSDWDDMEKIWHHTFHNELRVAPEEHRILLTEAPLNPKANREKMAQIMFERFNVPAMYVAVQAVLALYASGRTTGIVIDSGDGVSHTVPIYEGCALPHAILRLDLAGRGLTDWLTKILAERGYSFTTTAEWEIARDIKEKLAYVALDYEQKLKTANTSSAAEKSYELPDGQVITIGAERFRCPEVLFRPSLIGMEAAGIHETTYNSIIKCDVDIRKDLYRNIVLNGGSTMFPGIAVRMRKEIEALAPSNMKIKVVAPPERNYSVWMGGSILASLCTFQQMSITKEEYEECGPAIVHRKCF >Ma05_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4419913:4420500:-1 gene:Ma05_g05830 transcript:Ma05_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAQTFRRSGSSGLVWDERFLSGDLNQQRKEEEGGSEFRELRHAQSFGSSGTTARRTAFRAGPVLPTADPPSPDASGCFLCGFLSKPKSTKRFKPTRH >Ma01_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17570460:17572464:-1 gene:Ma01_g20280 transcript:Ma01_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVILCAFSLVFLMMGTTITGSDTGKQEEYRARKLFVFGDSYADTGNLGKKLGRNIARSWFEPYGMTFPKKPAGRFSDGKVLTDYVASLIRISSPIPYKIRRVGDKLMLLQNGMNFAVSGSGIFDTGNFQRNLSAQIDEFQSQIDAGVFSEHDIKFSVALIVASGNDYMHFSQLDPNYLLHLYRFMDRLFAQLKADLKRFDRIGVPKVVVTNLHPIQCIPYYTRQTNYTICPANISAAVADHNRRVDRLVEELDGGSNTTTFLSLDVNTAFSNVLRQETIKYLLVPCCESSSGTAACSQVDAQGNKLYRVCRHPEEHFYWDSAHPTQAGWAAAFQYLEPSLRSFLLPCPV >Ma01_p20280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17570460:17572464:-1 gene:Ma01_g20280 transcript:Ma01_t20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVILCAFSLVFLMMGTTITGSDTGKQEEYRARKLFVFGDSYADTGNLGKKLGRNIARSWFEPYGMTFPKKPAGRFSDGKVLTDYVASLIRISSPIPYKIRRVGDKLMLLQNGMNFAVSGSGIFDTGNFQRNLSAQIDEFQSQIDAGVFSEHDIKFSVALIVASGNDYMHFSQLDPNYLLHLYRFMDRLFAQLKADLKRFDRIGVPKVVVTNLHPIQCIPYYTRQTNYTICPANISAAVADHNRRVDRLVEELDGGSNTTTFLSLDVNTAFSNVLRQVNGAETIKYLLVPCCESSSGTAACSQVDAQGNKLYRVCRHPEEHFYWDSAHPTQAGWAAAFQYLEPSLRSFLLPCPV >Ma05_p30310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40767990:40768817:1 gene:Ma05_g30310 transcript:Ma05_t30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSELPRPSLQIKQDDKFYCRLLSKEGSLTHPSFRVYYGVASGAVPFLWESQPGTPKHTASSTNLPPLTPPPSYYCSTKNKSCRKSPKSNLIQIILPKLSLRKSHKSPSSLSPATSLSYDHRRRHSISGSSFSSRGDGEESDDGSLTSTFCFGVPHRAGGGLKRCFSAVLMKNTLLPIAGRGSGQGAAA >Ma04_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25831256:25832308:-1 gene:Ma04_g23710 transcript:Ma04_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRTRAPRAPGEEAAEVAPDPQRTVPTPFLAKTYQLVDDPSIDDVISWNEDGSAFVVWRPAEFSLDVLPNYFKHNNFSSFVRQLNTYGFRKTVPDRWEFANECFRRGEKRLLCEIQRRKLLPTPGFAEVPAAAPTNRIGSPSSSGGDQVLFTNPSSGRVRLPAAAEGGSGGASEIAEENERLRRENEQLSRELDQTKGLCNDILQLMSVYAPRRGNGEAADEGGASTSHPPETGRSSNGDEEEEGEDEVVAKEAEAEGSSGRGEGPRLFGVSIGFKRNRGKEESASDAVAEQ >Ma02_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29413196:29419413:-1 gene:Ma02_g25040 transcript:Ma02_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMRSFNWKFSQVFGERQPGEKVEEIDIISAVEFESRGDYLATGDHGGRVVLFERTNGKDLRSRKELEHTDSSVTSRPLYSYKTEFQSHEIEFDYLKSLEIGEKINKLRWCASSNNSLFILSTNDRTIKLWKVSDHRVKKIIEMDSNAHISSENALLSEKSFLTDQKIQSVPNGYHLEWITKKPKSTFPTYKESPKMMFKFGECASSRCRRVYSHAHDYNINSISNNSDCETFLSADDLRINMWNLEVGNQCFNIIDLKPLDMEDLVEVITSAEFHPAYCNLLAYSSSRGFIRLVDMRQSALCDQNARIFQDRDAHGSRSFFTEIAASISDIKFAKDGRHILTRDYMNLKLWDLHMESSPIVSFKIHEFLRPKVINSLISFFHLPSNGFCPCMG >Ma10_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14056986:14059341:-1 gene:Ma10_g03490 transcript:Ma10_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPDFNVMIFPLRSLIILDTMSHLGLVYFIFTVGVEVEKNVIKRKGAKALAFAAGCMVPSFAIGSLSGIFIHRRLQEATNEAAFITFLGFTLSINSFSVLARVLAEQKLVGSEIGRLALSSAMLSDICAWILLAFSIGLAQSDGDLLSSLWTVLSGITLLLFSYAVLKPGVLWVQRRTPEGEDLDEIYACVLLVGVMVWAFIADALGTHAIFGAFVFGLSVPNGPLGEALIEKVEDFVAGILMPLFFVISGLRTDVYSIKDPGSTVLLIIVVLAGAASKVASGAFLAATYRMPLHEGMSLGILMNTKGLVDVIILNIGRSKMILGNQSFTILVVMSVVVTTLVSPLLKAVVRPSKRLVFYKRRTIWWPNPDSELRLLTCVHVPRQVPGLIALLDISHPTKRSPIFVYALHLIELTGRTSAILLNATPADASPSGNQHAHGHGHGRIQAQSDHIFHAFESYDQYAGGVSIQFLTAISPYATMHEDVISAAEDRHAALILLPFHKHHTVDGGMRPNHPACRAINQSVLSAAPCSVGILIDRGLGSHRATRRITLFFFGGPDDREALALASRMAGHPAINVTVLRFVHAARAHSQSGSPKTERVVTVAGEDEERDWRIDEECVGEFRERWGGGIAEYEERVVSNAEETVAVIRGVDGIQDMYVVGRGHGKESPLTAGLTDWSECPELGPIGDLLASPDFGTTASVLVLQQGKGGAPADAMMEPKGRVYMNKADHHRASKQIPGTRV >Ma05_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10941651:10945487:1 gene:Ma05_g14920 transcript:Ma05_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSDTGGAGKKLPRRLGGMAEALAIASDLGVPIPPVQLFFFILLFLLDDKNVAHLTHVSEMEKKCKSLARITAVLKDVIQNKDWIIARLQQPYSLDCIPVEAEYQLKILLYRNIYNQKQFSELLLKAASDYGALTAAVADFQWCQNFKESPRVWGEMLHPIPAVLASCTRFFEAMSAMRDSFATLQQFRVSNSSLPTTPKKVELVRSADKSSNRRRCQFYMLQSYFMECKCSYFFIIVEACNVVNIAFIWVSLKLTILELSFEQLFL >Ma04_p18130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19721871:19734381:-1 gene:Ma04_g18130 transcript:Ma04_t18130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSTEDLEQLAAELRAEIVFSVSQTGGHLSASLGVVELAVALHHAFNSPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESIHDAFGAGHSSTSISAGLGMAVARDLLGKKNHVVSVIGDGAMTAGQAYEAMNNAGYLDSNLVIVLNDNKQVSLPTATLDGPATPVGALSKALTKLQSSTEFRMLREAAKNLTKQIGERTHEIAAKVDQYARGMISTDGSLLFEELGLYYIGPVDGHNVEDLVTIFEKVKSLPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDPRTGKQFKSTSSTLSYTQYFAESLIKEAEADDKIVAIHAAMGSGTGLNLFQHKFPQRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKIPVRFALDRAGLVGADGPTHCGAFDITYMACLPNMIVMAPADEAELVHMVATAAAIDDRPSCFRFPRGNGVGVMLPPGNKGTPFEIGKGRVLMEGNRVAILGYGSIVQTCLKAADPLRARGVFATVADARFCKPLDVGLIRRLVNEHEILITVEEGSIGGFASHVTHFLSLSGLLDGRMKLRPMVLPDRYIDHGSPQDQIEAAGLSSGHIVSTVLNLLGRQKEALYLH >Ma04_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19721871:19734181:-1 gene:Ma04_g18130 transcript:Ma04_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIPLRTRSFGMSAIRLDSSLGSIQSNYFSVLLCKLGNEEGLSEEQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESIHDAFGAGHSSTSISAGLGMAVARDLLGKKNHVVSVIGDGAMTAGQAYEAMNNAGYLDSNLVIVLNDNKQVSLPTATLDGPATPVGALSKALTKLQSSTEFRMLREAAKNLTKQIGERTHEIAAKVDQYARGMISTDGSLLFEELGLYYIGPVDGHNVEDLVTIFEKVKSLPAPGPVLIHIVTEKGKGYPPAEAAADKMHGEHYLLLVMHVPDFFPTVIMIHVFLAVGVVKFDPRTGKQFKSTSSTLSYTQYFAESLIKEAEADDKIVAIHAAMGSGTGLNLFQHKFPQRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKIPVRFALDRAGLVGADGPTHCGAFDITYMACLPNMIVMAPADEAELVHMVATAAAIDDRPSCFRFPRGNGVGVMLPPGNKGTPFEIGKGRVLMEGNRVAILGYGSIVQTCLKAADPLRARGVFATVADARFCKPLDVGLIRRLVNEHEILITVEEGSIGGFASHVTHFLSLSGLLDGRMKLRPMVLPDRYIDHGSPQDQIEAAGLSSGHIVSTVLNLLGRQKEALYLH >Ma01_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:286850:289531:-1 gene:Ma01_g00400 transcript:Ma01_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDAKRRRVRLLQPSASALHLDAASPFADGSFSYFRLPADPLLRLSVLKLDGSSFGSHSVLVNPFSSDALVNLVNLPIDSRADAQVARTARVRELKEAVECLFSRPSKDATTSWSHVWGHFCLCYNEHRLTDDKSYLRNFGIKDGDQLHFIRHLSFDHRPSKGRRPINHGTDMEQHRMSFTGSKVHDEVEENDKDRDHNGVGSTEYVDVQYALDDNDNQIRCTEFKFGHLFRGWFSYSRLRTLQNHGADSFQT >Ma08_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1795916:1798375:-1 gene:Ma08_g02240 transcript:Ma08_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSRPCILAALLVVVSTLPPFCSAIRRDSLTRGSSLSVEDDTDILVSQDESFACGFYKAGSNAYAFSIWFANSANATVAWTANRDQLVNGRGSRITLRRDGRLELTDFDGTLVWSSNTSSGSADRALLLNTGNLVVVDADNTTLWQSFDSPTDTLLPMQPITKSTPLVSASASGLLSSGYYRFYFDTDNVLRLIYDGPDFSSIYWPNPMYNVWVNGRTSYNSTRNGVLDEMGYFYASDQLEFNASDYGHGITRRLTLDYDGNLRLYSLDKRTRTWSVVSWQAVLQPCHVHGTCGRNGLCVYSARVRCTCPPGFEVNDPSDWSKGCKRKHNISCHPHQNRFLRLPFTDFWGFDLNYTSGLSFEECRKICSEDCSCEAFGYRQGLGQCYPKTTLFNGRSSQSTNNTIYMKFPRNVGEHSQYPVIPAAAEELVCNDAKVQPLAGQSELRRKAGGKTKWEYFYGFVMAFFAVEALFIASGWWFIFRREKKPSSTDEGYQAISSQFRRFTYAELKRATRDFKDVVGRGGSGAVYKAALDDERVVAVKKLEDVIEGEEEFNAELNLIGRIYHKNLVRMFGFCSERSHRLLVSEFVENGSLDKALFGGGAAGRLLRWSQRYQIGVGVAKGLAYLHHECLEWVIHCDVKPENILLDQDWGPKIADFGLAKLLNRGGVGSNVSRIRGTRGYIAPEWASSLPINGKVDVYSYGVVLLELVKGERVSNWVADGVEEVGMVLRRTVMMLKAALESGDEAWIGEFVDHRLEGEVNWRQAMVMMEIAFACLEEERNRRPTMDSVVQMLLSCDDEIPAGGRDKKQVIISNGP >Ma05_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14980883:14981086:1 gene:Ma05_g15990 transcript:Ma05_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWDARPCLVKGVALSEVKIVAMCAELFLRASGGSLCFLGQIIMSVERAKGHRSMDSRKSKTYAIM >Ma06_p34920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34997815:35017842:-1 gene:Ma06_g34920 transcript:Ma06_t34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVVKAVEVGGQNNITRTVKSYAGTVVYHAGQAVTGGARIIQDRMGIRNSKSFKQTVKGLEDAAVSCRGIERVELLHRWLFALEEIERMHGNSVDHKSHERSLSSEESYSSPRDVSLNLYFDSDMGVESMYFRDVFLYSQALEGITLSMILEAPNDEEVSLLLAIFGYCLTGGKEVHNAIMSSIQDMGKAFSNYQDEVLVKREELLQFAQGAISGLKLNADISRLEYEVKKLQRKVDGMEVLQVSSGQDHVGTSERTTALVEVLKEALAEVYLCSRLEALLLRKKSIKNGESPDIHSQKVDKLKVLAESLANSSIKAEKRILDHRHQKEEALNFRIAKANEVNEFQKELLSEIAGLEKQRDGLEAELKKVNVSLVSAFARLKRTREERDQFDEASNQIVMHLKAKEDELAKSVASCKVEADIVHIWINFLEDTWQLQSSYTELKNKQISDDLEKYGNCFLKLIKYHLSSCKDELKSSIAHISTYVENLKQFNDRSDLTQNSNNDSSKDSDSKKYFEEEYLATETKIVTAFHVADHMRGLFYTEGETGSRRDDPEVTELFESIEKLRVDFESIERPILEIEILKETQSDERLQKGPLPAAQITSSPRLGGVEWTTDDLSDTESEIAKLEMEYGKAGMHCSTDEIGSWEFDDLDH >Ma06_p27630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29564761:29565306:1 gene:Ma06_g27630 transcript:Ma06_t27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSEGRGRNLCIWFISCLFFLVLLAGGAFLVLYITLPETKDTAWFPIVGMTLVTVPWMFWFTTCIYRFVATGKEGGARPVPTRAASMSPSGGGAAATGAAATATVDSPVNSPGDARRVRFGNATVMGTQNDADGAGGPAGASGSPPGEEAEEDSDATLASSDGSSLNSHESEVPLAFSMS >Ma02_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16989007:16989729:-1 gene:Ma02_g05790 transcript:Ma02_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLRIHTVDMHISPSSFTVSEKSGASVSPSTPGGTARLRPPKRRSRCSRCLCWTLLAVIILIVLIAAAAGVVYVVFRLRLPKYSVDHLRVSRFGVNDDDITVGAVFDVAVMATNPNRKIGIYYEEGSELSLWYADERLCTGSFPVFYQGHRNTMVLHVFLTGGTQEGSELLAELQQQQLAGVLPLTFLGDVPVRVKLDKLTLWKVTFNVRCSVVVDSLTTSDDISVRSSSCRFRLKLW >Ma08_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6002223:6042100:1 gene:Ma08_g08540 transcript:Ma08_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLRSTVALCRLDRRWSSELYCFRQEVKDKGLFPRRRRSLRGCRFRSHRVACHLELEGLVRRKCCPYLEGSFLSSSNDPSLHEWSAIPDIWKSSAEKYGDRVALVDPYHEPPTELTYKQLEQEILNFSEGLRVVGVAPDEKLALFADNSCRWLIADQGIMATGAINVVRGTRSSNEELFHIYDHSERDKYGSGHPVMSRAFECLLRCFVKDDLKLYQPQYLISVPLVYETLYSSIQKQISTSSGARKFVALMLIKVSLLYMEAKRIYEGGFLTKEHIQESLIIVVKDWLWARIVAVLLWPLHTLAMKLVYSKIHSAIGISKAGISGGASLPSHIDRFFEAIGVKVQNGYGLTETSPVVAARRPSCNVLGTIGHPLKYTEIKIVDMKTGEVLPDGLKGIVKVKGPQVMKGYYKNPSATSEAVDEEGWFNTGDIGWIIPMHSMGRSRNCGGMIVLEGRSKDTIVLSTGENVEPTELEAAAMRSSLIQQIVVIGQDQRRLGAIIVPNKDEVLAVARRQSIVNDDSELSENKMMSLLYDELKTWTVGFSFRIGPILIVDEPFTIDNGLMTPTMKIKRNEVADRYREQIKQLYK >Ma10_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22143779:22147685:-1 gene:Ma10_g07840 transcript:Ma10_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFTMGRSQPVSVPLLFLPLLLCSSSLSFGFVSAAGTADGSEEWGYVQVRPKAHLFWWLYRSPRRVDTGSSPWPTLLWLQGGPGGSGVGIGNFQEIGPLDTDLRHRNSTWLQKADLLFVDNPVGTGYSFVEDESLFVKTDWEAATDLTTLLKKLYDEDESRQTSPLFIVAESYGGKFAVTAGLSILKAIEAGELKLKLGGLALGSSWISPEDFVFSWGPLLQDVSRLDIKDAVKSNIMAEKIRQEIKKGEYGNATNSWGELEEFISSSSNDVDFYNFLLDSASDPISQAAVEVPRRLSMKIYPTYLSSKASSMSTDISSLMNGAIKDKLKIIPKTVSWGGQADLVFEALAGDFMKPRINEVDELLSLGINVNIYNGQVDLICATKGTESWVQKLKWEGLKTFNNMDRKPFFCDSEGMAVTKGFLKSYQNFHFYWILGAGHFVPVDQPCVSLEMIAAITQSPAVSS >Ma01_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5757494:5760707:1 gene:Ma01_g08040 transcript:Ma01_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAASLLSLFPRPAPSQQPCRFSSVHPPPSRRKPSFSISIPHAAAPSSSNPTPTTSEEPPKPQIELEFLGPKAGADGAYPVDKASAVSGEKLLRNIMLDNKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKDLLNERTKTEQRYLKKKPESWRLACQTIVGNKENSGKVVVQRTPQWKT >Ma04_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6112921:6113780:-1 gene:Ma04_g08550 transcript:Ma04_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNLFVTGTTLATATDIALLLPPCHVLALPRANPTKLPISRSAELALRLFELKLKQPPPLPPPPPPPYLSGFLRSLLPSPKSQVGFRIADPYRPPLCKLRKISAPVASLGAWSSDRRGFRSEGHQQAASMVCNAGIGNFVSRTKNPEDPTLAEEGQIEDSSCSRIAEPGLWRVGRAGYLERL >Ma04_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2393117:2395028:-1 gene:Ma04_g02990 transcript:Ma04_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAQPSRSTRRISIMRCPIIVGAGPSGLAVAASLGRLSVPSIILERSDGIADLWCHRTYDRLNLHLPKPFCQLPHLPFPAHLPTYPSKDHFLDYLHRYADHFSLRPLFGCTVTDARFDEAASLWRSSRSPSSSSSEPLTEPRELEVVEFASPWLVVATGENAEPVVPEIKGAQAFMGSLLHSSEYKSGVEYRGKRVLVIGCGNSGMEICVDLCEHGAMPFMSVRSGVHVLPREMLGTSTFGVAMRLLKWLPTRVVDRFLLIMAKMIIGDTEKYGLKRPKMGPLELKNKTGKTPVLDVGALSLIKAGKIKTVQEVRSLTSNGAKFVDGEEMAFDSVVFATGYKTNVPFWLKDTDLFSAEGKPKLPFPSGCSGENGIYFVGFTGKGLLGASADAIEAALRISQRWTSLSKNRDLVL >Ma03_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8927176:8930232:1 gene:Ma03_g11510 transcript:Ma03_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPYLLPSLILTRTFLLVLLLTQTISSAQSYSNITQGTTLTAGSSTGSWLSPSGDFAFGFYPTDAQASLFLVAIWFESTSPKAVVWSANRDAPVRSGSTLQLTSDGRLSLKDDGGNEVWSAGPANASTAAVLDSGNVVLTASGGILWQSFDLPTDTLLPGQVLGLGSDLRSQLTDSDFSDGRFELAAQTSGELQLLPLAIPSGNQYDPYWSIDTTDSGFQLVYNESGSIYFALTNGTLLNVTMASVYSTEDFFQRTRLDPDGVFRQYIYPKSGRATGSWSRKWNAVAKVPADICQDLQSDGAGSGTCGFNSYCRSGGDQSEVNCLCPPGYSFIDPERKYKGCDQDFPPICKQYDPAQFNLIPINNADWPFSDYEHYTNVNEDQCRQYCLEDCLCAVAIFWDRKECWKKKLPLSNGKLGSYIDRTALIKVSKTNYTSLLPPSGPVISVVKKERKTLIQIGAVLLGCSGFFNVIFIALIIAKIFGSPRGRSTTFQPQTSMSEFNIRVFSYKELEEATDGFKEELGRGAFGSVYKGVLSSYISTNIAVKKLDRLLRENEKEFINEVRSIGQTHHKNLVRLIGYCNEGTHRLLVYEYMRNGSLIGFLFGNIKLHWQQRVQIIFGIARGLLYLHDECSTPIIHCDIKPQNVLLDDNFVARISDFGLAKLLRADQTRTNTGIRGTRGYVAPEWFKSMAITKKVDVYSFGVMMLEIICCRKNLETEIGEEEEPVLIYWAYDCFKDGMADLLVQHDKDALADMEEVERFVKIAFWCIQEDPSLRPSMQKVTQMLEGAVEVSLPPDPSPFLTTN >Ma06_p32860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33687700:33697083:-1 gene:Ma06_g32860 transcript:Ma06_t32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASRVVAHLFPSLGPALVISMGYIDLGKWLATVDGGARFGNDLVLLVLFFNLTAILCQYLASCVGIVSGKNLAQICSMEYSRTACMILGVQSQLSMITSDLTMILGVAYGFNLLFGVDLVASICFAAATSVLFPPFVDLLNNWKPEASHESIAGFAFLLYVLGVLISQPEIPLTTNVIFPKLNGESAYSLMALLGANIMAHNFYIHSSIVQKQKRLLNVPVGALLHDHFFAILFIFTGISLVNYVLMNSAGAVFGSTDIELNFQDISLMMDQIFRIPAAPIAIFVVLLFSSQITALTWTINGQEILQYLLGANISIWVYVLLVKALSVIPALFCAKYAGTEGIYQLLILCQVVQAMFLPSSVIPLFRVSSSRSIMGTFKMSWYLEIMALLAFLGILASNVIFTIEMLFGNRSWINDLGGSMSGGGIVTYAALLLVACVSVVFTLYLTVTPLKSASDIPETEIGTLDLQNDKLELSEVGDDDIQDKIETNEDQFLMEPALEGTVEQHNDEPPNQFDTAIDSDHGCHQHAHGSAASVTAIDSDHDHHQPVHGFNADDAFVNSICQTEEPKFINKVDLETVDKASSSASLLDLGNLERHEFDLMQKDLTLEADNSKDKDNEEILEPKESVGEPLSSSTSMDSGSSNIVMVEVSDVGIGSGSLSKLSGLGRAARRQMAAVLDEFWGQLFDFHGKPTQEASGQKYDILLGLGLKTVSSAKVDVGTEATNFCTDADRRTIVPPNSIDYGSSKLKNFSGELSYGFQVGSPSRSRNMQVFNTPAQSLSSSLLDSNERHYSSLFLPQYSDNHDYQPATVHGYQIASYLRGIGAGSIPSPSNVSLESPTISKSSARFPPGFGDTVLYGDKQNGLGSLATSSMQSPTSPRVSRVQVEGPCFNPSLIAPRENTGSSAYTKKYHSSPDISALIAASRNSLLNEAKLGGPVGPRSSLGRMTSEQHHYLNPTSNSGVPLGFDEFYPPKLRRDVFAHQSNLNPDTRSLWSRQPFEQLFGVQSREQIRGDQVVTNKRSSDSRDIFSYAESEHKLLQSLRFCIMRLLKLEGSDWLFRHNGGCDEELIYQVATTETNLHKADADEMTQLHTGKLQHMLSVQKLSSVQRNGEADAPYALSLPNCGDGCIWRASLVVSFGVWCVHRILELSLLESRPELWGKYTYVLNRLQGILDIAFSKPRDPLSTCSCLKLLPEAKGSNHSLLSQQPKPMKEAFTTSSMILEIIKDVEIAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRWLTNKSTRTHEGSSTRKIHVTSSVL >Ma04_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9962227:9963478:1 gene:Ma04_g13170 transcript:Ma04_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPGHPVPPMIGVPPGVPYQAYQNLYHQQQQQQLQMFWADQYREIEQTTDFRNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAISRTDVFDFLIDIVPREEGKEDVAHALGGPPVDPLSYYYVPK >Ma09_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10949761:10950521:1 gene:Ma09_g15630 transcript:Ma09_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILVQLLVSALLLLLLVTNGASSARPHPPPASAGEAPKAPRISSTLAEEESEAGASRKRVPPSGPSNKGHGSPESSTRHLLHLHADHGCAGRQRSIYASGYAQPMQISSLLSPLLFVRAESVVSKVDRSLTEMTGTTRSDRNLIWIWSTVNSTRRKCSSTVWVELLGDLQKKIGVVF >Ma11_p07960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6325860:6328545:-1 gene:Ma11_g07960 transcript:Ma11_t07960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIRICLPSNYNPFSLSTTKSLQLSSSFRARSCSTSHPHRIPVPISDLYARKDQKTLSHALTLSWRTNSSILGSQIHAQVIKSGFSPDTYSQNNLLTMYCKCKALDRASNLFDEMTDKNLVSWTSMISGSVNNNEHEMGLGLYMEMMRSGFIPNEFALASVLSACAIIDQIKFGFSLHCVALKIGLDTNQFVGSALLWMHAKCRSIEDAELVFESIDEPDLACWNAIIEGTGRKNSALNVFYHVLDKDNSSWNTVISGLAKEEDVSEVVNLFSSMLLAGFRPDHVTFSNIIRLCGATDGLLLGLQFCCFAYHLGYFHYDLVINSLIYMFSRCSLMDSADLLFVSHPSRNIILCNEMIAGYNLNGYGIKALQLFCSLIESDIEADEFTYSNVLGACQGIQHQDTGKQIHARIIKLGFDSCCSVCSSMINAYASFGSVTSCFKIFQDIRTLDLVSWGAMISAFLKLGFSSEALSFLNCLRDSGEKPDDVILSCALNACANIALLDQSTCIHAHIIKRGFGKHLCVASAIIDAYAKCGDIASSKKVFENISRDCIDAILFNTMITAFAHHGLIIEAIEIFEQLKYANSYPTQATFVAVIAACSHLGLVDQGRFVFESISNVYGMSPSKDNFACLVDLFARNGLLEKAKDVIESMPFEPWPSIWISLLSGCRSYGNKEMGELAAERILKLVPDNDSAYALMANVYAGDEKWKDAERMRIKMEMNRIQKACGYSIIST >Ma11_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6325860:6328545:-1 gene:Ma11_g07960 transcript:Ma11_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIRICLPSNYNPFSLSTTKSLQLSSSFRARSCSTSHPHRIPVPISDLYARKDQKTLSHALTLSWRTNSSILGSQIHAQVIKSGFSPDTYSQNNLLTMYCKCKALDRASNLFDEMTDKNLVSWTSMISGSVNNNEHEMGLGLYMEMMRSGLDTNQFVGSALLWMHAKCRSIEDAELVFESIDEPDLACWNAIIEGYALNGYSHNVIQCLVFVIRKGLIPDQVTYISALKGCIVTGDLNYGQQVHCLIVRNEFESNTIVMNSLIDMYFRTGRKNSALNVFYHVLDKDNSSWNTVISGLAKEEDVSEVVNLFSSMLLAGFRPDHVTFSNIIRLCGATDGLLLGLQFCCFAYHLGYFHYDLVINSLIYMFSRCSLMDSADLLFVSHPSRNIILCNEMIAGYNLNGYGIKALQLFCSLIESDIEADEFTYSNVLGACQGIQHQDTGKQIHARIIKLGFDSCCSVCSSMINAYASFGSVTSCFKIFQDIRTLDLVSWGAMISAFLKLGFSSEALSFLNCLRDSGEKPDDVILSCALNACANIALLDQSTCIHAHIIKRGFGKHLCVASAIIDAYAKCGDIASSKKVFENISRDCIDAILFNTMITAFAHHGLIIEAIEIFEQLKYANSYPTQATFVAVIAACSHLGLVDQGRFVFESISNVYGMSPSKDNFACLVDLFARNGLLEKAKDVIESMPFEPWPSIWISLLSGCRSYGNKEMGELAAERILKLVPDNDSAYALMANVYAGDEKWKDAERMRIKMEMNRIQKACGYSIIST >Ma09_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5404370:5430298:-1 gene:Ma09_g08230 transcript:Ma09_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECCGDPHAVRGLPGGTGEEISGGNQDGVVDGEEFEGLPALKVGGAFTDGNAGAGGQGLENVSGGCSPCDNCCSLLVVVNEHEALVKETKEVEEDSGGFVESGKESNHVVPEQRVSVGNLKTIAAANSIVEVGVLVDANAGVGNLELENANCGFSSCNDRSSSLDIGKEHEVLDKEIKEMEDDAAEDSKVEIGMVKAMKEMGEVNGSFVDAEKRSNNLDLEQGISVENPKAVESCSSLPGKPVGCVCCKRNLVDDKYEDLLLSNIERIECSACKEDILCSNNGNSGRLEPFNSQNDKGLAPSCIVGQKEGVCDVSEENSVSSCIARGLSGVSLDEVTSCFSVEVSSFLQSSALHTAARDHSSDLPLATDCEIKVTDAFNTASTCCSNVKVSRGQVSNGVIGTSKSVPSFASRRTNPKRAASLRSIQTDVRSDHLTRNRNNMRKHNKAADLGTLFSNITDKKIEVRRKRSCFQRMTRKSVWGGTSSLVTHFMENDELAVSSFHLAQIQNTNLKISQNSRPRRKKQMCHGDRNLISPKSECAFLTQTMHLNDQIYLQSQIPNMVDSQHSIEANNDAVPNMCRPSHLGISSKRGEADCKPLTDNLASSERFLRRHGQQGEKDMESTLTQDASLDNMLGECPGVSSHSGSETLMETTVDKHLVDPESSPDSDIYNPVVDVGVALIESGTFQDNVVNQSVIVPKLTVLNGMCAKLLNSCDAIVSPESASSLEVQLQTENKEESKFCEASAKAYASSEEHDLIKEKLHELDIQITDVEPVKYVRKKRNGFKERSHICSDAIKEAKGKDYRGKTYPDNTTNGVEELGCSEGSRKADLGLGVWVLTKQDTVNLQPEDGGLLITDKADAHKLSRSSKKRVGKNKLSLKGSTRSRRPKSHGKKNCTIQRLGKSRKKENNQTLDVDWEACGSLKLPPGRACNKSKPKEGTCGLEGQSLPQRRAWVLCDDCHKWRSIPTELADIIGETNCRWTCKDNTDKAFADCSIPQEKTNSEINAELEISDASCDEGVPKPKSSGFAKSKLAATQPAPWTPIKSNLYLHRNRKSQTIDETMVCHCKPPSDSCLGCGDQCLNRMLNIECGKGTCPCGELCSNQQFQKRKYAKLKWIPCGKKGFGLQSLQDVSSGQFIIEYVGEVLDLGTYEARQRYYASRGQKHFYFMTLNGGEVIDACAKGNLGRFINHSCDPNCRTEKWMVNGEVCIGLFAIRDIKKGEELTFDYNYVRVFGAAAKKCVCGSSVCRGYIGSDPLDAEVIVQDDSDDEILEPMMAHEENEKALDIDVSLSYANDVVEKDSDSSIKNKVQLDDSPLIISETEAHQQSWDTICKSSYDVTPLSITSNGLDKDTISRSISEIQLSEDHSRNLDDVHNKETSTVQPSLTVIDPISGTALKSILASNIIDEQQSVSKPYLAKSSPSNHIIRKSKLSAKAKPAQKAKKSHWRSGNAQFAGVENELNELLDADGGISKRKDATKGYLKLLFVTAAEGDNAGGASQSIRDLSLILDALLKTKSRTVLMDIINKNGLQMLHNIMKQNRSKFNRIPIIRKLLKVLEFLALKGILTPEHINKGPPCSGMESLKDSLLSLTRHNDIQVHQIARSFRDKWIPRTIKRVEPSDRDEFQLDSQRPYPCWFQSSPFNHHLDQGARDSDAIVCVSEPMEQVTYSGVVDMPGETCSLSSTLIDNNTTTRARTRKRKSRWDQPLEYNGPDQQHLWSSQDQAAEAGSKLFKASFSELELGSRTEAQKRNLDRPNEDGCSLNGVAGMKNFLQQNMDDEAPPGFESSQKLHQLTSETLVPRGEVVVGYLQERYLSHLGVSYGIPLAFVQKLGTSELKGDTNRHQFWQVAPSMPFHPFPPLPSYPRGKPNPLTLTSDSSKNSTVDQGLNATQVCKLDDGDSQATDVPVPSTIGGRPASHLEAPSRDLQISERTSWSSNSYGRRLFRTHRWNNQKFRRRWSPWPQEGNDHGFRGTVRHRDSGRNFRDERRYWPRWPQEDSGVSSKQM >Ma09_p08230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5404370:5430298:-1 gene:Ma09_g08230 transcript:Ma09_t08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRECCGDPHAVRGLPGGTGEEISGGNQDGVVDGEEFEGLPALKVGGAFTDGNAGAGGQGLENVSGGCSPCDNCCSLLVVVNEHEALVKETKEVEEDSGGFVESGKESNHVVPEQRVSVGNLKTIAAANSIVEVGVLVDANAGVGNLELENANCGFSSCNDRSSSLDIGKEHEVLDKEIKEMEDDAAEDSKVEIGMVKAMKEMGEVNGSFVDAEKRSNNLDLEQGISVENPKAVESCSSLPGKPVGCVCCKRNLVDDKYEDLLLSNIERIECSACKEDILCSNNGNSGRLEPFNSQNDKGLAPSCIVGQKEGVCDVSEENSVSSCIARGLSGVSLDEVTSCFSVEVSSFLQSSALHTAARDHSSDLPLATDCEIKVTDAFNTASTCCSNVKVSRGQVSNGVIGTSKSVPSFASRRTNPKRAASLRSIQTDVRSDHLTRNRNNMRKHNKAADLGTLFSNITDKKIEVRRKRSCFQRMTRKSVWGGTSSLVTHFMENDELAVSSFHLAQIQNTNLKISQNSRPRRKKQMCHGDRNLISPKSECAFLTQTMHLNDQIYLQSQIPNMVDSQHSIEANNDAVPNMCRPSHLGISSKRGEADCKPLTDNLASSERFLRRHGQQGEKDMESTLTQDASLDNMLGECPGVSSHSGSETLMETTVDKHLVDPESSPDSDIYNPVVDVGVALIESGTFQDNVVNQSVIVPKLTVLNGMCAKLLNSCDAIVSPESASSLEVQLQTENKEESKFCEASAKAYASSEEHDLIKEKLHELDIQITDVEPVKYVRKKRNGFKERSHICSDAIKEAKGKDYRGKTYPDNTTNGVEELGCSEGSRKADLGLGVWVLTKQDTVNLQPEDGGLLITDKADAHKLSRSSKKRVGKNKLSLKGSTRSRRPKSHGKKNCTIQRLGKSRKKENNQTLDVDWEACGSLKLPPGRACNKSKPKEGTCGLEGQSLPQRRAWVLCDDCHKWRSIPTELADIIGETNCRWTCKDNTDKAFADCSIPQEKTNSEINAELEISDASCDEGVPKPKSSGFAKSKLAATQPAPWTPIKSNLYLHRNRKSQTIDETMVCHCKPPSDSCLGCGDQCLNRMLNIECGKGTCPCGELCSNQQFQKRKYAKLKWIPCGKKGFGLQSLQDVSSGQFIIEYVGEVLDLGTYEARQRYYASRGQKHFYFMTLNGGEVIDACAKGNLGRFINHSCDPNCRTEKWMVNGEVCIGLFAIRDIKKGEELTFDYNYVRVFGAAAKKCVCGSSVCRGYIGSDPLDAEVIVQDDSDDEILEPMMAHEENEKALDIDVSLSYANDVVEKDSDSSIKNKVQLDDSPLIISETEAHQQSWDTICKSSYDVTPLSITSNGLDKDTISRSISEIQLSEDHSRNLDDVHNKETSTQSVSKPYLAKSSPSNHIIRKSKLSAKAKPAQKAKKSHWRSGNAQFAGVENELNELLDADGGISKRKDATKGYLKLLFVTAAEGDNAGGASQSIRDLSLILDALLKTKSRTVLMDIINKNGLQMLHNIMKQNRSKFNRIPIIRKLLKVLEFLALKGILTPEHINKGPPCSGMESLKDSLLSLTRHNDIQVHQIARSFRDKWIPRTIKRVEPSDRDEFQLDSQRPYPCWFQSSPFNHHLDQGARDSDAIVCVSEPMEQVTYSGVVDMPGETCSLSSTLIDNNTTTRARTRKRKSRWDQPLEYNGPDQQHLWSSQDQAAEAGSKLFKASFSELELGSRTEAQKRNLDRPNEDGCSLNGVAGMKNFLQQNMDDEAPPGFESSQKLHQLTSETLVPRGEVVVGYLQERYLSHLGVSYGIPLAFVQKLGTSELKGDTNRHQFWQVAPSMPFHPFPPLPSYPRGKPNPLTLTSDSSKNSTVDQGLNATQVCKLDDGDSQATDVPVPSTIGGRPASHLEAPSRDLQISERTSWSSNSYGRRLFRTHRWNNQKFRRRWSPWPQEGNDHGFRGTVRHRDSGRNFRDERRYWPRWPQEDSGVSSKQM >Ma10_p06840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20381474:20387770:-1 gene:Ma10_g06840 transcript:Ma10_t06840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREWGGGADPLDDGTAATEMDRDHSLPGGENNFEFAFNSSNFSDRVLRIEVMAETLGAGAGAGIGWDGHRKRRRNDGSKEEAPEFARYSSELISSCEPDTEECAEYENQDEEDEPMLEESAPITDRTGVCGDDSESNDPLSLDSPCILRVNSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRINASEEAALMELLSFMYSGKLSTTSPTLLLDVLMAADKFEVVSCMHHCTQLLRSLPMTTESALLYLDLPFSVSMASAVQPLTDAAKDYLANSYKDITKLQDVMMGLPLAGIEAILSSNDLQAASEDAIYEFVLKWARAQYPVLEERREILSSRLIRHIRFAHMTCRKLRKVLTCNDLDHELASKLVTEALFFKAEALHRQRAFSADESSHKRFTERAYKYRPLKVVEFDRPHPQCIVYLDLKREECAKLFPSGRVYSQAFHLGGQGFFLSAHCNLDQQSLFHCFGLFLGMQEKGSISFTVDYEFAARTKPSGEFVSKYKGYYTFTGGKAVGYRNLFATPWTSFMAEDSLFFINDTLHLRAELTIKQSQSPLPQ >Ma10_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20381474:20387770:-1 gene:Ma10_g06840 transcript:Ma10_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWGGGADPLDDGTAATEMDRDHSLPGGENNFEFAFNSSNFSDRVLRIEVMAETLGAGAGAGIGWDGHRKRRRNDGSKEEEFARYSSELISSCEPDTEECAEYENQDEEDEPMLEESAPITDRTGVCGDDSESNDPLSLDSPCILRVNSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRINASEEAALMELLSFMYSGKLSTTSPTLLLDVLMAADKFEVVSCMHHCTQLLRSLPMTTESALLYLDLPFSVSMASAVQPLTDAAKDYLANSYKDITKLQDVMMGLPLAGIEAILSSNDLQAASEDAIYEFVLKWARAQYPVLEERREILSSRLIRHIRFAHMTCRKLRKVLTCNDLDHELASKLVTEALFFKAEALHRQRAFSADESSHKRFTERAYKYRPLKVVEFDRPHPQCIVYLDLKREECAKLFPSGRVYSQAFHLGGQGFFLSAHCNLDQQSLFHCFGLFLGMQEKGSISFTVDYEFAARTKPSGEFVSKYKGYYTFTGGKAVGYRNLFATPWTSFMAEDSLFFINDTLHLRAELTIKQSQSPLPQ >Ma07_p25380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32456065:32462553:-1 gene:Ma07_g25380 transcript:Ma07_t25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYADLDRQIEQLRECKFLPEAEVKVLCDQARAILVEEWNVQPVRCPVTVCGDIHGQFYDLVELFRIGGEAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRDLNRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQHFNHTNGLSLIARAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDMTRKTPDYFL >Ma07_p25380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32456065:32462553:-1 gene:Ma07_g25380 transcript:Ma07_t25380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYADLDRQIEQLRECKFLPEAEVKVLCDQARAILVEEWNVQPVRCPVTVCGDIHGQFYDLVELFRIGGEAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRDLNRIQEGLCVIFSGLILMIGVDGEYHQGEQDIHLDRTLHSILITQMVSVLLPELINLLWKVSIGARTRMLSQCLAHQTTVTGAVTWQQY >Ma09_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8551300:8600708:1 gene:Ma09_g12740 transcript:Ma09_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVSARGSVLRHPFPSFTAPWFSSCLRRAVCGIPSSSRVSQGPDVREEEGSKQRWLVASGRVRHDRTLRSNWFQIEGGKTNLSHSDSHPKIGSSVESISQESPHHSRYVSPPRQEGRTESHSTKPDKSLRHVQEPCDPVLAERNAVPGEAAVSNGMPINGQYTGTPSDMIPPVEGLHKVVDQKQLARVYDKVIVVDNVSTARRVAQLLTTKYKNFIHACDTEVAKIDVMSETPVGHGELICFSIYSGPLAHFGNGKSCIWVDVLDGGRNVLDEFVPFFEDSSIKKVWHNYSFDSHVLGNYGIKLSGFHADTMHLARLFDSSRRANGGYSLEALTNDPKIMSFDDELKMGKITMKSIFGKRKLKKDGSEGKLITLAPVDVLQREERISWICYSALDSISTFKLFDNLKARLELEPWTLVDIVRGTMYDFYEEYWRPFGVLLVQMESEGMLVDRSHLLEIEKLAITEKQIASDKFRKWASKYCPDAMYMAICNNFFLLLLICRNDTNKCENYQNKCLSKPKSFKVPNTENVIEDGRKSSSKYRTIVLNKICEELQTDMYTDSGLPSVSGDALKGFAGKVSNNQNFLIDDASYQSGSDEEVNDDHDSTEEMTEMSYVLTHDEDTSDYGTAYKAFGEGKEGRAACQAIAALCDVCSIDSLISNFILPLQGNDISCVNGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAGPGKSLIVADYGQLELRILAHLANCTSMLEFFRAGVDFHSRTAMNMFAHVREAVEKKRVLLEWHPQPGEDKPPVPLLKDAFGSERRKAKMLNFSIAYGKTPVGLSHDWKVSVKEAKDTVSRWYKERKEVLHWQEERKKEAIESKCVRTLLGRSRHFPSLETARNAHRRHIERAAINTPGQGSAADVAMCAMLEIDRNIRLKELGWKLLLQVHDEVILEGPTESAELAKAIVVECMSKPFYGTNFLKVDLVVDAKCAQNWHAAKC >Ma05_p30590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40922969:40923312:-1 gene:Ma05_g30590 transcript:Ma05_t30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSLGSRWLPCFVVVVVLLCGVGFTHPVSGRSTGEPRSLTVSLNDYGGARANPGHEPKPKAVPKLKHRA >Ma02_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17377814:17379704:1 gene:Ma02_g06360 transcript:Ma02_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKGVVQQLSPEEEEEARLLAMRLVTGSCLPMILNVAIELELLEIIVKAGPGAKLSPDDIATQLPTENPQAADMVDRILRLLAANGVVSCSVESGDDGRPSCKYGATPVCKYLTKNEDGVSMAAFCLMVHDKVTMESWYYLKDAVLEGGIPFEKAHGMTAFEHHGGDPRFNKLFNDSMRNHSTILIKQLLETYRGFDDVKVLVDVGGGTGATLHMITSRHPHIKGVNFDLPLVIASATTNPDVEHVSGDMFESIPGGGDAVILQWILHDWTDEQCARILKNCWKALPEEGKVIVVEYLLPVIPEPDSRSQGIFPLDIGMMIHTGGRERTQEEFEAMAKEAGFTGFKATYISLYSWVMEFTK >Ma04_p29240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30165524:30172604:1 gene:Ma04_g29240 transcript:Ma04_t29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSTADDLQKVATDLRHSIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYNFTGGEIGFDIYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKPVSEYKEAKALGIETVPVLIGPVTYLLLSKPAKGVEKSFSPLSLLGSILPIYKEVIAELKAAGASWIQFDEPTLVMDLESHQLEAFTKAYTELESSLSGLNVLAETYFADVPPEAYKTITALKSISGFGFDLVRGTKTLDLVKSAGFPAGKYLFAGVVDGRNIWANDLASSISTLETLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMDLRRVRREYKANKISEEEYVKAIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSKMAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALTNMVAAAKLLRTQLASTK >Ma09_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7455152:7461744:1 gene:Ma09_g11010 transcript:Ma09_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIIARVLNLVDSLEAFIRGSNALPPCIPGLREDIAELQRTLTSIRSAVHGAEEKQATDESAKRWLAQLRASAYDAEDVMEEFNYQLRSTVDAANPPLSAPPVADVAPLVLIALAVGLKGLRERFQEISRVREVVQAAEEGGAKRADTRCWRPTGGLMDGESLVFGRDKDKEEILKQLPPPSTDGESNAAKLTVLPIVGMAGLGKTTLAQLIYNDDQMKHFEVRMWIHVSQDFDAIKLTRAMVECATGEPCLLSELHLLQSELEEKVAGKCFFLVLDDVWDDSGSHWENLRIPLLSAHKESKVLLTTRSHSVAKSMGTMPSYNLEPLKDDDCWSLFCEKAFHGQTQDKCLVKIGKKIVQKCKGLPLTLKVLGLLLRGEEHEDRWSEILESNMWDLSGCQDSIVPILMLSYLQLATPIKQCFTYCSIFGKGHQFLRQKTVRMWIAQDFIETDGRRKVEDVGCEYFDVLLSRSFFQHSKEDGYFVMHDLVHDLAVSISEGECFRMDVLPGKECSGMEVHREHNFPRGVRHSSLTFCSTSTEADFRPLFAAKSLRTLFLNYTSGTLVKPLMHHRYANVLNDLFTKLKYLRVLFLDCTFSPRLPDSVGNLKFLRYLGVLSVNLKSFPQSICALENLQMLEWDSVEKIMLPQGTSNLINLRCLDGPYCAAFPEGIGRLTKLQQLPEFHVPVPNRHGYAGMEELKDLADLQGELKILNLENVTYLEDAMEANLKGKPDLTTLDLRWSKDSGYRNNASAVLQCLQPHTNIQEVRIHEYKHDHLSEWLCHPSYCKLVTIRLQSCMLSALPSFGQLLSLKHLSLEYIRGLEYIGPAFFCGGFPSLKILQLNRIEHLVEWSGAEQGHLPQLNELVVVNCQFLERLPLNNLTALQILWISYCSNLQTLYYESSPHFIGIQQHGSIKHLQIVGCPKLKFLPEYQIPASLRFLEVSRSSLLINWCHKNLGKLLHVQKIRGLDSMEVILNDIFTVEEARNACLNRQRIYMLHLEWEPSLDSTGCTYDEANEVLECLQPNATLNRLAIRGYNGSSFAGWLSSPSFSNLVNIRLESCPECMVLPALGQLQYLMELYLERLHGIKSIGLEFYGNDTTKGFPSLRRLELMSMAGLEVWQGASDGEFPTLRQLIVRDCIKLRGLPCLSPSVQEIKVENCPELILDLSSNLSSLLNLHVSNISVMKELRKLSYLSVLVVEKCMSDTLAELPTLRDLVVSGCNERMLLDSLPGLTALTTLKISCLTNLESLPLHNFRILEELVISECPKLISIECFSTFSYEPYYVDGLLSLSFLKHMTITACPELYFSASEQLPPTLQSIRISGCRLLRIWYQRYSSRFDVSGIVFDD >Ma03_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1049587:1050183:1 gene:Ma03_g01460 transcript:Ma03_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRSRQSMSPSITDEQINDLMAKLQAVLPEARLGINHRVSAARVLQETCSYLRSLHREVDDLSERLSELLAMADISGAEAAIIRSLLM >Ma06_p36290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35769703:35770011:-1 gene:Ma06_g36290 transcript:Ma06_t36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIARSLGDGCLEGEHAPALLIEDSFASLHVFDHFVTNLASQIALGKSHALGLLVVALNRSRPPTSIC >Ma11_p17700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22945802:22948413:-1 gene:Ma11_g17700 transcript:Ma11_t17700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCAKYLYTLCVFDYEKADKLKQSLPPGLSVQEV >Ma11_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22945372:22948413:-1 gene:Ma11_g17700 transcript:Ma11_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCAKYLYTLCVFDYEKADKLKQSLPPGLSVQEV >Ma06_p30590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31833395:31833658:1 gene:Ma06_g30590 transcript:Ma06_t30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGPTGEFFRRRDEWRKHPMLTNNLRRATPGLGIALVAFGVYLAGEAAYNYFHRPDAHSTTHH >Ma07_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27223335:27223634:1 gene:Ma07_g19170 transcript:Ma07_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSKEAILVTHNQEDRSFIREESYDQLQRSQMRYIHLGILQVRIQSLHRQEEGTLALLVFRDNRWSDDRSIIATMEVDLTRDSQLVYVIPDTMMTIGD >Ma03_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27620085:27623180:1 gene:Ma03_g22930 transcript:Ma03_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWEDFYTVMCAMVPLYFAMFVAYGSVKWWKIFTPEQCSGINRFVATFAVPVLSFHFISHNNPYQMDSRFILADTLSKLLVLVILSLWASLSAACAGPRRRGRRLDWVITLFSVGTLPNTLVMGIPLLRAMYGDFTQSLMVQLVVLQCIIWYTLLLFLFEYRAATMLIEDQFPGTAAAAIAKFEVDGDIISLDGRDPIRAESEVDADGRIRVRIRRSISSVLDSGLSSSIGITPRRLSNISGAEIYSVNTPVRQPASADRLTVRDITLGCRSASPHLSGYASSDSYSLQPTPRASNFNEMEIGTPVWVRSPAAPAGMLIRQQSPATPGALKLAWEGCGGQGDKDVGGEKDLSFRSTSKFVVDKEDEEEELEVEGDQEMPAAFVMLRLILTVLGRKLSRNPNTYSSILGLLWSLISFKWGVDMPTLVKESIKIISDAGLGMAMFSLGLFMALQPRIIACGPKMAAISMAIRFLSGPMVMSVASMVVGLRGVRLHTAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVSLPVTLLYYILLGL >Ma07_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11095935:11107603:1 gene:Ma07_g14810 transcript:Ma07_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRRLYLPAKSSPDCRDSDLPLIVAIDLQPMAPIDGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLRVGGKFIAKIFRGKDTSLLYCQLKLFFSHVTFAKPKSSRNSSIEAFAVCENYSPPEGFSEKDLYHLLEKIGSPSGVEDLDCRSGWLEGPNKVYIPFLACGDLNGYDSDRSYPLPHSSEGGCYKSLDPVQPPIAPPYKTALELKKAANHGILEIDKLSLNSDE >Ma11_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26077432:26082063:1 gene:Ma11_g22240 transcript:Ma11_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARGYMDVPLGDHTAHELESIVVQGDHIQPAADPPPPTNATPRSSARGLLPQPSKIKLGFRDSTRSTGGGERKNPGKMTRMVSSAQMGIKGLRFLDKTSGGKEGWNAVEKRFGQFAVGGRLPKEHFGRCIGMAESEFAGELFVALARRRNLEPENGVTKAELKEFWEEMTDRNFDSRLQIFFDMCDKNGDGKLSEEEVKEIIILSASANKLAKLKANAATYAALIMEELDPDGLGYIELWQLETLLRGMVSSQGSEKTLKRSHSLARTMIPMRYRNPVNKFVSKTADSVHENWKRIWVISFWLTLNIVLAAWKFAQYKRKAAFEVMGYCVCIAKAAAETLKFNMALILIPVCRNTLTRLRSTRLSSVFPFDDNINFHKVIALGITIGTLVHTLAHVTCDFPRLITCPKSKFMRTLGPNFHYKQPTYPSLLASAPGVTGILMIIIMAFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLAVVYALLIVHSYFIFLTKEWYKKTTWMYLMIPVLFYVCERSIRKVREKSFRVSIVKAAIYPGNVLSIHMKKPPGFKYKSGMYLFVKCPDVSPYEWHPFSITSAPGDEHLSVHIRTLGDWTSELRNLFGKVCQAQVTSKKANLVRLETTVFADVQFDDTRFPKLYIDGPYGAPAQNYKKYDILLLVGLGIGATPFISILKDLLNNIKTNEEMQRIHNADATAIKENGPGRAYFYWVTREQGSFEWFKGVMNDVAESDYNNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKSGVDIVSGSRIRTHFARPNWRKVFTDLASAHKAARIGVFYCGSPTLTKQLRDLSQEFSYNTTTRFHFHKENF >Ma08_p02350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1848806:1852269:-1 gene:Ma08_g02350 transcript:Ma08_t02350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIGDLRGVIGDPITAGGFKLRGRPPAMAASHLNGVFDVQGIPFLHTPSDSSDASAPSSSDTVTNGGFSHDHPFAEENAFGSSPLGGQQRFSNQSSLFGLPDVDPGFPPYVSTRDSNLAPSLGAGPEVDVFEDSEIFSDIVLNYIDQMLMEENIDEKFDVYPEDPALIAAEKPFYEILGEKFPPSPDRPPLCSSHFSDSPDDSISNHQGNSCISICGSTVISNCRPYDPVEHSSRLQTHPILIDCSSHCSFSSTNSFGNITEGVEESLLSTLTPLDLLTESQPAWQFQRGLEEARKFLPSEDKLVIDLEASGFNIPQGMVGVKQEDEDREHQVHPSRGRKNPHDEDLDLEEGRSNKQSAVYTEETIRTKMFDEVLLCNGENCSESVDKLRQKLQNEVSKLSHSCHSKGSSGGKGRGKKQSKREVVDLRTLLIHCAQAVATDDRRSANELLKQIRQHSSRHGDANQRLAHWFADGLQARLAGTGSQVYQSLVAKRSPVTDILKAYQLYLAACPFKKISHFFSTQTILNVAENAASLHIIDFGIYYGFQWPCFMQRLSNRPGGPPKLRMTGIDVPVHGFRPTELIDETGHRLADYACSFNIPFEFHAIATKWETIRVEDLNIREDEVVVVNCLYRFRNLMDETVVVDSPRDMVLNTVRKINPDVFIHGVLNGTYNAPFFVTRFREALFHFSSLFDMIETNVPHEDEPRQLIEKVLFGREALNVISCEGTERVERPETYKQWHVRNLRAGFTQLPLKPDIVKKAKDRVKSCYHKDFDMDEDGQWLLQGWKGRIIYALSTWKSSSSC >Ma08_p02350.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1848789:1852252:-1 gene:Ma08_g02350 transcript:Ma08_t02350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIGDLRGVIGDPITAGGFKLRGRPPAMAASHLNGVFDVQGIPFLHTPSDSSDASAPSSSDTVTNGGFSHDHPFAEENAFGSSPLGGQQRFSNQSSLFGLPDVDPGFPPYVSTRDSNLAPSLGAGPEVDVFEDSEIFSDIVLNYIDQMLMEENIDEKFDVYPEDPALIAAEKPFYEILGEKFPPSPDRPPLCSSHFSDSPDDSISNHQGNSCISICGSTVISNCRPYDPVEHSSRLQTHPILIDCSSHCSFSSTNSFGNITEGVEESLLSTLTPLDLLTESQPAWQFQRGLEEARKFLPSEDKLVIDLEASGFNIPQGMVGVKQEDEDREHQVHPSRGRKNPHDEDLDLEEGRSNKQSAVYTEETIRTKMFDEVLLCNGENCSESVDKLRQKLQNEVSKLSHSCHSKGSSGGKGRGKKQSKREVVDLRTLLIHCAQAVATDDRRSANELLKQIRQHSSRHGDANQRLAHWFADGLQARLAGTGSQVYQSLVAKRSPVTDILKAYQLYLAACPFKKISHFFSTQTILNVAENAASLHIIDFGIYYGFQWPCFMQRLSNRPGGPPKLRMTGIDVPVHGFRPTELIDETGHRLADYACSFNIPFEFHAIATKWETIRVEDLNIREDEVVVVNCLYRFRNLMDETVVVDSPRDMVLNTVRKINPDVFIHGVLNGTYNAPFFVTRFREALFHFSSLFDMIETNVPHEDEPRQLIEKVLFGREALNVISCEGTERVERPETYKQWHVRNLRAGFTQLPLKPDIVKKAKDRVKSCYHKDFDMDEDGQWLLQGWKGRIIYALSTWKSSSSC >Ma08_p02350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1848789:1852269:-1 gene:Ma08_g02350 transcript:Ma08_t02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIGDLRGVIGDPITAGGFKLRGRPPAMAASHLNGVFDVQGIPFLHTPSDSSDASAPSSSDTVTNGGFSHDHPFAEENAFGSSPLGGQQRFSNQSSLFGLPDVDPGFPPYVSTRDSNLAPSLGAGPEVDVFEDSEIFSDIVLNYIDQMLMEENIDEKFDVYPEDPALIAAEKPFYEILGEKFPPSPDRPPLCSSHFSDSPDDSISNHQGNSCISICGSTVISNCRPYDPVEHSSRLQTHPILIDCSSHCSFSSTNSFGNITEGVEESLLSTLTPLDLLTESQPAWQFQRGLEEARKFLPSEDKLVIDLEASGFNIPQGMVGVKQEDEDREHQVHPSRGRKNPHDEDLDLEEGRSNKQSAVYTEETIRTKMFDEVLLCNGENCSESVDKLRQKLQNEVSKLSHSCHSKGSSGGKGRGKKQSKREVVDLRTLLIHCAQAVATDDRRSANELLKQIRQHSSRHGDANQRLAHWFADGLQARLAGTGSQVYQSLVAKRSPVTDILKAYQLYLAACPFKKISHFFSTQTILNVAENAASLHIIDFGIYYGFQWPCFMQRLSNRPGGPPKLRMTGIDVPVHGFRPTELIDETGHRLADYACSFNIPFEFHAIATKWETIRVEDLNIREDEVVVVNCLYRFRNLMDETVVVDSPRDMVLNTVRKINPDVFIHGVLNGTYNAPFFVTRFREALFHFSSLFDMIETNVPHEDEPRQLIEKVLFGREALNVISCEGTERVERPETYKQWHVRNLRAGFTQLPLKPDIVKKAKDRVKSCYHKDFDMDEDGQWLLQGWKGRIIYALSTWKSSSSC >Ma08_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1848789:1852245:-1 gene:Ma08_g02350 transcript:Ma08_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIGDLRGVIGDPITAGGFKLRGRPPAMAASHLNGVFDVQGIPFLHTPSDSSDASAPSSSDTVTNGGFSHDHPFAEENAFGSSPLGGQQRFSNQSSLFGLPDVDPGFPPYVSTRDSNLAPSLGAGPEVDVFEDSEIFSDIVLNYIDQMLMEENIDEKFDVYPEDPALIAAEKPFYEILGEKFPPSPDRPPLCSSHFSDSPDDSISNHQGNSCISICGSTVISNCRPYDPVEHSSRLQTHPILIDCSSHCSFSSTNSFGNITEGVEESLLSTLTPLDLLTESQPAWQFQRGLEEARKFLPSEDKLVIDLEASGFNIPQGMVGVKQEDEDREHQVHPSRGRKNPHDEDLDLEEGRSNKQSAVYTEETIRTKMFDEVLLCNGENCSESVDKLRQKLQNEVSKLSHSCHSKGSSGGKGRGKKQSKREVVDLRTLLIHCAQAVATDDRRSANELLKQIRQHSSRHGDANQRLAHWFADGLQARLAGTGSQVYQSLVAKRSPVTDILKAYQLYLAACPFKKISHFFSTQTILNVAENAASLHIIDFGIYYGFQWPCFMQRLSNRPGGPPKLRMTGIDVPVHGFRPTELIDETGHRLADYACSFNIPFEFHAIATKWETIRVEDLNIREDEVVVVNCLYRFRNLMDETVVVDSPRDMVLNTVRKINPDVFIHGVLNGTYNAPFFVTRFREALFHFSSLFDMIETNVPHEDEPRQLIEKVLFGREALNVISCEGTERVERPETYKQWHVRNLRAGFTQLPLKPDIVKKAKDRVKSCYHKDFDMDEDGQWLLQGWKGRIIYALSTWKSSSSC >Ma08_p02350.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1848789:1852272:-1 gene:Ma08_g02350 transcript:Ma08_t02350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIGDLRGVIGDPITAGGFKLRGRPPAMAASHLNGVFDVQGIPFLHTPSDSSDASAPSSSDTVTNGGFSHDHPFAEENAFGSSPLGGQQRFSNQSSLFGLPDVDPGFPPYVSTRDSNLAPSLGAGPEVDVFEDSEIFSDIVLNYIDQMLMEENIDEKFDVYPEDPALIAAEKPFYEILGEKFPPSPDRPPLCSSHFSDSPDDSISNHQGNSCISICGSTVISNCRPYDPVEHSSRLQTHPILIDCSSHCSFSSTNSFGNITEGVEESLLSTLTPLDLLTESQPAWQFQRGLEEARKFLPSEDKLVIDLEASGFNIPQGMVGVKQEDEDREHQVHPSRGRKNPHDEDLDLEEGRSNKQSAVYTEETIRTKMFDEVLLCNGENCSESVDKLRQKLQNEVSKLSHSCHSKGSSGGKGRGKKQSKREVVDLRTLLIHCAQAVATDDRRSANELLKQIRQHSSRHGDANQRLAHWFADGLQARLAGTGSQVYQSLVAKRSPVTDILKAYQLYLAACPFKKISHFFSTQTILNVAENAASLHIIDFGIYYGFQWPCFMQRLSNRPGGPPKLRMTGIDVPVHGFRPTELIDETGHRLADYACSFNIPFEFHAIATKWETIRVEDLNIREDEVVVVNCLYRFRNLMDETVVVDSPRDMVLNTVRKINPDVFIHGVLNGTYNAPFFVTRFREALFHFSSLFDMIETNVPHEDEPRQLIEKVLFGREALNVISCEGTERVERPETYKQWHVRNLRAGFTQLPLKPDIVKKAKDRVKSCYHKDFDMDEDGQWLLQGWKGRIIYALSTWKSSSSC >Ma10_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18491343:18536831:-1 gene:Ma10_g06310 transcript:Ma10_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVISLLMRRTGFIVLAGASREGKETSGRERDGVRVSICGVWAMAGEKPPLEICKGVNDLDKVVALRDARGSSAEQIEQLRRRSYALTGEVKFAQEEDIRLETTRARLSNVLKRHEELKERLSRDSDKMIFERLQKEFEAARAAQSEEISLDGEQWNDGLLATIRERVHMEADRKAISSQTSTPLDPPIHAKITYKIKNKVICCLEGARIGIQFETIFAGEPCEIYHCVLESKSFLEKMSVIEHTVPFFLPIREAENDLLSSNAIKFIDYVGEILQSYVERREQVRLIKELYGNQIGELFHSLSYNLIEFVLEDFDCKVTVSLRYADLVSILPSRIRVLAWPVHPSKKILAVDRKESGPALAQSIPSRLSYAEDALRTMSLPEAYAEIVLNLPRVLQQMFPHLGGA >Ma03_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25750170:25765090:1 gene:Ma03_g20590 transcript:Ma03_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVYAVYSAFIPRHLHNITTHGKKTFDIPNGPSLRFKRFHSCMDEDLVCSRTTIDRKYFPQLFSIAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQSENLDRFLAFSPIQHPIVLQIGGSNLTNLAKAAELANSYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIASNCDVPVSVKCRIGVDDRDSYDELCNFIHTVSSRSPTKHFIIHARKALLNGLSPAANRKVPPLKYEYYFALLRDFPEICFTINGGITCIDQVTAALREGANGVMVGRAAYNNPWNTLGHVDSEVYGASSSNLSRRQILENYQMYGDSELGKYGPNKPSVRQMVKPLLNLFHSEPGNGLWKRKADTALRHCTTIKSFLEETLDAIPDNVLDSTFLRNPSIGEGGFAGINSLPPPPYRSCEKQTLSIIGA >Ma08_p31940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42713045:42715137:1 gene:Ma08_g31940 transcript:Ma08_t31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSEEFKLLKIQTVILKVHIHCDGCKQEVKKLLQRIEGVYTVSIDAEHQKVTVSGNVDSGSLIKKLARSGKHAELWPQKPGNQSTKCNQQHQGKDGGNKNKGHGGQSNNKGQQSLIQGLKAFKNQHSSIEPPSSDDDDEEFDDDLDDEEDDELGFIGDKIKQLNLLKQANNAAAAAAAATKKNGNPGGNGNNGGARKGGGNPNQAFGLKGPAGLQPKGFAAAPNNKMSNGGNNPSAGLQGLGGSGGLGAHQAQQQPGANFSTGFPAAGNGGFGVNNQANLMMNSLGPGYQNQPSSSMMMNLRGLSNNMLMNESRYMQPQVMYNRSPQIPPYTAYYNPYPYPYPHPYYRSPHVNHHPETGGGYGDHLFSDENTSSCVVM >Ma04_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25747353:25747815:-1 gene:Ma04_g23640 transcript:Ma04_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARRPLAAVVSVLDAASWYVALALVAVVLLSSLREGPATAELDEPASGGRLVARPCDEIYVVGEGETLHTISDKCRDPFIVERNPHIHDPDDVFPGLVIKITPSNPM >Ma08_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6124686:6126742:-1 gene:Ma08_g08660 transcript:Ma08_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNYWIAWATEKEDRLFLGMVTSITRAPMSFFDSTPSSRILNRSSTDQSIVDTDIPYRLAGLAFALVQLLCIVVLMSQVAWPVFILFIVTVAVSVWYQVGQTNSARELARMVGIRKAPILHHFSESLAGAITIRCFNQQRRFSKKNLSLIDDYSRITFHNSATMEWLSVRINFLFNLKFFAMLTILVSMPRNAIDPSLAGLAATYGLNLSVLQAWVIWNLCNVENKMISVERILRFSGIPSEAPLVIEDCRPEQGWPTSGTIELHNLHRVGVVGRTGSGKSTLIQSLFRVVEPSPGKIVIDGVDISRIGLHDLRSKLSIIPQDPTLFQGTVRTNLDPLQQHSDLEIWEALYKCQLGEVVKQDQRLLDAPVAEDGENWSVGQRQLVCLARVLLKRRRILVLDEATASVDTATDNFAQKTIREETGDCTVITVAHRIPTVIDSDLVLVLDEGKIVEFSSPQKLMRDESSAFSRLVMEFLGRSNSDHHDFLLE >Ma02_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28414364:28416867:1 gene:Ma02_g23380 transcript:Ma02_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALREEVARGLSPARARARSRSESPRRSPSPVANLLLPRRWKRQPARGFPAELLVPASGTLAPLAEGPEGDGGDPRKEGWGRWVMGQLSRAPSVSAPSCRSSDLRLLLGVMGAPLAPVHVSLANPLPHLSIKDTPIETSTAQYILQQYTAASGGLKLLSSIRNAYAMGKVRMVASEFETATGIIKNRNSPKDAESGGFVLWQMAPDMWYVELAVGGSKVRAGCDGNIVWRHTPWLGAHAAKGPIRPLRRALQGIDPLTTASMFADAQCIGEKEVNGEDCFILKLCADPQTLKARSEGAAEIIRHVLFGYFSQKTGLLTYMEDSHLTRIQSSTGGDAVYWETSINSSIDDYRAVEGMMIAHSGHSVVTLFRFGEVAMSHTKTRMEEVWTIEEVAFNVPGLSMDCFIPPADVNRGSVSEACELPQVERERGRNVMAGSHRAKVAAVERSHNVADNNILWRVEV >Ma04_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2168484:2170581:1 gene:Ma04_g02570 transcript:Ma04_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRLFTHGKPGGGGAVTTITTNVSDQDFNDVVPGGSDDDGPFFDIEFAVPLRGDEVLRREKRRFSSNAGKAAEEGFGLTAPPDGGSLRRDPVPSILTSDGLFFELVPLGPSSLRDFDASELPKSSKPQAPAFLLKSAARFRVFKLGFHRRSKSTSSELNPGASPATSSASPKQQHQNKFFVKFKVEEVSLASLFTRNNSWRSSSSSRSVRHYADDELPASDERKLPRDVLRRCLSKIKPLYIRISRRYGEKLRFSGPRSSGGAGKVRPAWEGGEAGGGGDQLKEPASAATSFKGSLKSQDVNLPAGRKVAYRSLRKSRSASAAVASVRSPTLAPERRDDSLLEQQDGIQSAIAHCKRSFNGDSESPLTRSRSDPGEGRSAEAIVSKV >Ma01_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8324110:8328032:-1 gene:Ma01_g11500 transcript:Ma01_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGHVPRFGDWNQNAAYTTYFETARKGKATGSNIVNPNDPEQSPELHKPGPLAAEPSYPYPKQGPVNPQSKPNNGREERQLEGGYAPAPPQSRNSSQGTTVDRYGRNHAAARRNPGEVGYIPSPSPVSPSRAGNARGGLAGRQRATAVPKFGEWDATDPKFTPGYTVIFNQVKEDKKASTAAHLPKVPVQPTRSPATAEKAYRNDDSCWTALFPCLRPDVRY >Ma05_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4044715:4064291:1 gene:Ma05_g05320 transcript:Ma05_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEGDAPAEVEAEAEAKRNPRCYMDVTIGGDMEGRIVVELFAGVVPRTAENFRALCTGEKGVGPNTGVPLHLKGSCFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFALKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGKVLKGMGVVRSIEHTPVGDADCPTVDVVIADCGELPEGADDGVSNFFRDGDFYADWPLDLDDKPSEVSWWMNAVNSAKTFGNDYFKKQDYKMALKKYRKAMRYLDVCWEKEEIDEEKSLLLRKTKSIILTNSSACKLKLGDLKGSLLDADFALREREGNAKAYFRQGQAYMALNDVDAAVESFKNALEIEPNDGGIKRELAAAKKKIADRRDQERKAYSRMFQTSVKPEENNESFD >Ma05_p05320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4044715:4064013:1 gene:Ma05_g05320 transcript:Ma05_t05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEGDAPAEVEAEAEAKRNPRCYMDVTIGGDMEGRIVVELFAGVVPRTAENFRALCTGEKGVGPNTGVPLHLKGSCFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFALKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGKVLKGMGVVRSIEHTPVGDADCPTVDVVIADCGELPEGADDGVSNFFRDGDFYADWPLDLDDKPSEVSWWMNAVNSAKTFGNDYFKKQDYKMALKKYRKAMRYLDVCWEKEEIDEEKSLLLRKTKSIILTNSSACKLKLGDLKGSLLDADFALREREGNAKAYFRQGQAYMALNDVDAAVESFKNALEIEPNDGGIKRELAAAKKKIADRRDQERKAYSRMFQTSVKPEENNEVDHTFYC >Ma05_p05320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4044715:4064030:1 gene:Ma05_g05320 transcript:Ma05_t05320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEGDAPAEVEAEAEAKRNPRCYMDVTIGGDMEGRIVVELFAGVVPRTAENFRALCTGEKGVGPNTGVPLHLKGSCFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFALKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGKVLKGMGVVRSIEHTPVGDADCPTVDVVIADCGELPEGADDGVSNFFRDGDFYADWPLDLDDKPSEVSWWMNAVNSAKTFGNDYFKKQDYKMALKKYRKAMRYLDVCWEKEEIDEEKSLLLRKTKSIILTNSSACKLKLGDLKGSLLDADFALREREGNAKAYFRQGQAYMALNDVDAAVESFKNALEIEPNDGGIKRELAAAKKKIADRRDQERKAYSRMFQTSVKPEENNEDRNG >Ma10_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21898812:21902191:-1 gene:Ma10_g07550 transcript:Ma10_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPTQPDLTHQISPPNTTPASTWRKPDESIDLGFWRRSLDSTSKSINKNITNPCTAKADDITLSLANPSATYSGDSRNSLLPRLHPHPRHHHHHLHFHHHHHPLLSEDYHEDLGLLKPIRGIPVYHNPPSFPVVPLRQQQHLCDSSSTSNFAPFATTQSLSRLRFLPSRFSAKRSTRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTLKNTDKPAVSSGQSDGFENESAGEICDDNLLDNPNPHRLGSSAQHGWSSAAHHSTTHISGLWSNPSREGCLTATIPCDESNTGSMHSFKKDLQPKRMEMYSDLNSSCLSETLSPCKLNLEFTLGRSQ >Ma08_p33820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44021452:44030306:1 gene:Ma08_g33820 transcript:Ma08_t33820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPVNVESKGSMWDLDHNLDQPMDEEAGRLRNMYQEKKFSAILVLRLAFQSLGVVFGDLGTSPLYVFHNTFPSRVEDSEDVVGALSLIIYSLTLVPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKIITLPNQHRTDEQLTTYSRHTYDEGSLAAKVKKWLEAHAYKKNAILFLVLIGTCMAIGDGILTPAISVLSASGGIKVEDPKITNDVSTIVAVVILVGLFSIQHYGTNKVGWLFAPVVVLWFLLIGAIGALNIWKYDNSVLKAYNPVFIFRYFRRGKRNSWISLGGIMLSITGTEALFADLSYFPVLSIQIAFTLVVFPCLLLAYTGQAAYIVSNNGQAFDAFYRSIPDGIYWPTFIIATAAAVIASQATISATFSIIKQALALGCFPRVKVVHTSKNFLGQIYIPDINWVLMVLCIAVTAGFKNQSQIGNAYGTAVVTVMLVTTFLMIPIMLLVWRSHWILVFIFTGLSLLVELPYFSAMLFKIGQGGWVPLVIAAAFLAIMYIWHYGTVKRFEFESHSRVPMAWILGLGPSLGLVRVPGIGFVYTELTNGVPHIFSHFITNLPAIHSVVVFVCVRYLPVHTVPMEERVLVKRIGPKNFHMFRCVARYGYKDHHMKDDDFEKLLFDSLCLFVRLESMMEGYSDSDEYSTCEQQTRKSMKKSIDLLVTEGGSGNTLFSTLESGASSSLDSIIPSQSTQCGSSLMMRCSGQTSQRPGEELEFLNRCKEAGVVHILGNTIVRARRDSGIVKRVAVNYIYAFLRRICRENSVMFNVPHESLLNVGQVFYV >Ma08_p33820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44021451:44030306:1 gene:Ma08_g33820 transcript:Ma08_t33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPVNVESKGSMWDLDHNLDQPMDEEAGRLRNMYQEKFSAILVLRLAFQSLGVVFGDLGTSPLYVFHNTFPSRVEDSEDVVGALSLIIYSLTLVPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKIITLPNQHRTDEQLTTYSRHTYDEGSLAAKVKKWLEAHAYKKNAILFLVLIGTCMAIGDGILTPAISVLSASGGIKVEDPKITNDVSTIVAVVILVGLFSIQHYGTNKVGWLFAPVVVLWFLLIGAIGALNIWKYDNSVLKAYNPVFIFRYFRRGKRNSWISLGGIMLSITGTEALFADLSYFPVLSIQIAFTLVVFPCLLLAYTGQAAYIVSNNGQAFDAFYRSIPDGIYWPTFIIATAAAVIASQATISATFSIIKQALALGCFPRVKVVHTSKNFLGQIYIPDINWVLMVLCIAVTAGFKNQSQIGNAYGTAVVTVMLVTTFLMIPIMLLVWRSHWILVFIFTGLSLLVELPYFSAMLFKIGQGGWVPLVIAAAFLAIMYIWHYGTVKRFEFESHSRVPMAWILGLGPSLGLVRVPGIGFVYTELTNGVPHIFSHFITNLPAIHSVVVFVCVRYLPVHTVPMEERVLVKRIGPKNFHMFRCVARYGYKDHHMKDDDFEKLLFDSLCLFVRLESMMEGYSDSDEYSTCEQQTRKSMKKSIDLLVTEGGSGNTLFSTLESGASSSLDSIIPSQSTQCGSSLMMRCSGQTSQRPGEELEFLNRCKEAGVVHILGNTIVRARRDSGIVKRVAVNYIYAFLRRICRENSVMFNVPHESLLNVGQVFYV >Ma11_p08280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6585821:6603051:-1 gene:Ma11_g08280 transcript:Ma11_t08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFLSFHKIRKIGYSFLANYRTSFSITDPRLSSTNGFPFSYRMLSCYKVFCRQNNLSMLYKSEENLYKNNPLRKFSVLSARSSMTHHAQVAWQRLCVMYSYRGIASSPVSKIACATSLAVTRSHLVPSFLAFVAGEIALSKMAWADGEYFRTRDDFYTRAQDSHIFLTSFILSLLECFILFLRAFYLAILFSPIIVMAPLADDCGPKFRKVWIHLVHSTLEKAGPALIKWGQWAATRPDLFPSDLCTELAKLHTKAPAHSFAYTRKSIEKAFGRKLSDVFENFEEEPVASGSVAQVHRASLRFRYPGQQAKRLVVAVKVRHPGVGESIRRDFMIINMVAKISKFMPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGQSVSHYVDELDGHDRVKRALAHIGTHALLKMLLVDNFIHADMHPGNILVRVQTKHSNKGLFKSRPHVIFLDVGMTAELSGSDRVNLLDFFKAVALRDGRTAAECTLRLSKNQNCPNPKAFIEEVVRSFSFWGSAEGDSVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMRTLQTLLFKTDWAESLSYTIEGLMAP >Ma11_p08280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6585821:6603042:-1 gene:Ma11_g08280 transcript:Ma11_t08280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFLSFHKIRKIGYSFLANYRTSFSITDPRLSSTNGFPFSYRMLSCYKVFCRQNNLSMLYKSEENLYKNNPLRKFSVLSARSSMTHHAQVAWQRLCVMYSYRGIASSPVSKIACATSLAVTRSHLVPSFLAFVAGEIALSKMAWADGEYFRTRDDFYTRAQDSHIFLTSFILSLLECFILFLRAFYLAILFSPIIVMAPLADDCGPKFRKVWIHLVHSTLEKAGPALIKWGQWAATRPDLFPSDLCTELAKLHTKAPAHSFAYTRKSIEKAFGRKLSDVFENFEEEPVASGSVAQVHRASLRFRYPGQQAKRLVVAVKVRHPGVGESIRRDFMIINMVAKISKFMPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGQSVSHYVDELDGHDRVKRALAHIGTHALLKMLLVDNFIHADMHPGNILVRVQTKHSNKGLFKSRPHVIFLDVGMTAELSGSDRVNLLDFFKAVALRDGRTAAECTLRLSKNQNCPNPKAFIEEVVRSFSFWGSAEGDSVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMRTLQTLLFKTDWAESLSYTIEGLMAP >Ma11_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6585821:6603042:-1 gene:Ma11_g08280 transcript:Ma11_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFLSFHKIRKIGYSFLANYRTSFSITDPRLSSTNGFPFSYRMLSCYKVFCRQNNLSMLYKSEENLYKNNPLRKFSVLSARSSMTHHAQVAWQRLCVMYSYRGIASSPVSKIACATSLAVTRSHLVPSFLAFVAGEIALSKMAWADGEYFRTRDDFYTRAQDSHIFLTSFILSLLECFILFLRAFYLAILFSPIIVMAPLADDCGPKFRKVWIHLVHSTLEKAGPALIKWGQWAATRPDLFPSDLCTELAKLHTKAPAHSFAYTRKSIEKAFGRKLSDVFENFEEEPVASGSVAQVHRASLRFRYPGQQAKRLVVAVKVRHPGVGESIRRDFMIINMVAKISKFMPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGQSVSHYVDELDGHDRVKRALAHIGTHALLKMLLVDNFIHADMHPGNILVRVQTKHSNKGLFKSRPHVIFLDVGMTAELSGSDRVNLLDFFKAVALRDGRTAAECTLRLSKNQNCPNPKAFIEEVVRSFSFWGSAEGDSVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMRTLQTLLFKTDWAESLSYTIEGLMAP >Ma11_p08280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6585821:6603038:-1 gene:Ma11_g08280 transcript:Ma11_t08280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFLSFHKIRKIGYSFLANYRTSFSITDPRLSSTNGFPFSYRMLSCYKVFCRQNNLSMLYKSEENLYKNNPLRKFSVLSARSSMTHHAQVAWQRLCVMYSYRGIASSPVSKIACATSLAVTRSHLVPSFLAFVAGEIALSKMAWADGEYFRTRDDFYTRAQDSHIFLTSFILSLLECFILFLRAFYLAILFSPIIVMAPLADDCGPKFRKVWIHLVHSTLEKAGPALIKWGQWAATRPDLFPSDLCTELAKLHTKAPAHSFAYTRKSIEKAFGRKLSDVFENFEEEPVASGSVAQVHRASLRFRYPGQQAKRLVVAVKVRHPGVGESIRRDFMIINMVAKISKFMPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGQSVSHYVDELDGHDRVKRALAHIGTHALLKMLLVDNFIHADMHPGNILVRVQTKHSNKGLFKSRPHVIFLDVGMTAELSGSDRVNLLDFFKAVALRDGRTAAECTLRLSKNQNCPNPKAFIEEVVRSFSFWGSAEGDSVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMRTLQTLLFKTDWAESLSYTIEGLMAP >Ma05_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34306453:34316665:1 gene:Ma05_g22550 transcript:Ma05_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2444 [Source:Projected from Arabidopsis thaliana (AT2G18510) UniProtKB/TrEMBL;Acc:A0A178VZK5] MTTRITPGVGANLLGQHSAERNQEATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFVGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFEASDAAIESMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPGTQRIRPHTLFASGPPTLPNGPQANGSVPPAIPPRPFANGPLPPAPLPAVRPPSMPVGQFPPPMQWPAHPQPGQGLPQPVMPPPPLQQFRPPANLPPPPPTGMMRPPPPPPSGVGVPPPALWRPPPPPQQMAGRPMPPPPMPMPPNMMPNGSVP >Ma04_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11191731:11192475:1 gene:Ma04_g14720 transcript:Ma04_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLSPIANDLLCSCAETLDTSLDSLVEDFERGLKPVLDNYSRRLVEFCCSKAIETICPNVGDRIKD >Ma07_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30127165:30129586:1 gene:Ma07_g22050 transcript:Ma07_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSHPVPPLKDLNLPYPSAGPFGVQRTEEPGSDLLVHHHHHHHHQQMSSGLLRYRSAPSSLLGEVCEEFDPVSAASHETETMYARFLAPDPRDEVRDKPSGVAASSAGHSSPHVPPPPAAPEVNEQQSRGFSSAPHTMFHLQQQQPQQMPSHSSVESSFPVSGSSSSLIRQSSSPAGFLSHLNANSGYGMMRGMGGFRDGSGFMMDGTHRSKGQLSFPSRQNSVLSHISEMESEEAMEGSSPRERSGDGRSFISAFSVASWDESSLFNNSFSGLERGREGEEKMTAGLISLEPQNGEGRNHASGVPHQLSLPKSSPVMTAIEKFLQIHDAVPFKIRAKRGCATHPRSIAERVRRTRISERMKKLQELVPNMDKQTNTADMLDLALDYIKNLQKQVKALSESRASCSCSASTHKLY >Ma06_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21591126:21592535:-1 gene:Ma06_g23550 transcript:Ma06_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTIHSTQSLSSSALSTTTTTAAAPPATSNNLPTDPAAVSTTIITAATTTISLRPRKIRKVSSSFSSSVLAEDAFKKNPSSDGRLAVRVIPRPLSADGEIVVALRHLRAADPHLGRVMDAHELPAFQYLHPPFHALARSILYQQLAFKAAASVYSRFLSLCGGEAGVVPEAILALTPHQLRQIGVSGRKASYLHDLARKYHTGILSDAAIVAMDDKSLFTMLTMVKGIGAWSVHMFMIFSLHRPDVLPVGDLGVRKGVQMLYGLEEVPRPSQMDQLCEQWRPYRSVGSWYMWRLVEAKGTSTMASSNTEGGGLDITTMEEGIVQQQQLVDPIQMLPSLG >Ma02_p05680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16935035:16943061:1 gene:Ma02_g05680 transcript:Ma02_t05680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPQDSFGVATQIRDAGGGRNRHRLGRRIGKRLRFGILSNELRFRCAASTPPGKSGNPNLPVDLVSSARGTVIKQISGKLDNKDPKHLASSFTNYRDDPLVDKQRTQLGVIRSIPSPPINRNIAGFFVLFFLVGVAFDKVWNLRKREKSARDVDNGTWPQAPTSLSIFLEKDLRRKESVEWVNMVLGKLWKVYRSRIEDWVIGLLQPVIDNLKKPDYVQRVEIKQFSIGDEPLSVRSVERRTSRGVNDLQYQIGIRYTGGAQMLLSLSLNFGIIPIVVPVGIRDFDIDGELWVKLRLIPTGPWIGAVSWAFVSLPKIKFELSPFSLFNLMAIPVLSMFLTRLLTEDLPRLFVLPKKIVLDFQKGKALGPVSHDFKVEAVQERSKDFVGELSVTLVDARKLAYPKIGKTDPYVVLTLGDQVFQSKKNSQTTVTGPPGEPIWNQDFDLFVVNPGKQKLYIQVKDSFGFADFTVGTAEVELESLQDTVPADRVVALRGGWSLFRNKSSGEVLLRLTYKAYVEDEEDDLLEAEFVDDHAPDDISDYDQPNGTFEQSGYPSGKEREAFMDVLAALIVSEEFQGIVTSETENSEVTGESRNVTSPVSTTIGLTDETSTIDSNRSSSSSDDLELVWLAVVTVTVVLIARTMGDSSLFNP >Ma02_p05680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16935035:16943061:1 gene:Ma02_g05680 transcript:Ma02_t05680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPQDSFGVATQIRDAGGGRNRHRLGRRIGKRLRFGILSNELRFRCAASTPPGKSGNPNLPVDLVSSARGTVIKQISGKLDNKDPKHLASSFTNYRDDPLVDKQRTQLGVIRSIPSPPINRNIAGFFVLFFLVGVAFDKVWNLRKREKSARDVDNGTWPQAPTSLSIFLEKDLRRKESVEWVNMVLGKLWKVYRSRIEDWVIGLLQPVIDNLKKPDYVQRVEIKQFSIGDEPLSVRSVERRTSRGVNDLQFLTRLLTEDLPRLFVLPKKIVLDFQKGKALGPVSHDFKVEAVQERSKDFVGELSVTLVDARKLAYPKIGKTDPYVVLTLGDQVFQSKKNSQTTVTGPPGEPIWNQDFDLFVVNPGKQKLYIQVKDSFGFADFTVGTAEVELESLQDTVPADRVVALRGGWSLFRNKSSGEVLLRLTYKAYVEDEEDDLLEAEFVDDHAPDDISDYDQPNGTFEQSGYPSGKEREAFMDVLAALIVSEEFQGIVTSETENSEVTGESRNVTSPVSTTIGLTDETSTIDSNRSSSSSDDLELVWLAVVTVTVVLIARTMGDSSLFNP >Ma02_p05680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16935035:16943061:1 gene:Ma02_g05680 transcript:Ma02_t05680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPQDSFGVATQIRDAGGGRNRHRLGRRIGKRLRFGILSNELRFRCAASTPPGKSGNPNLPVDLVSSARGTVIKQISGKLDNKDPKHLASSFTNYRDDPLVDKQRTQLGVIRSIPSPPINRNIAGFFVLFFLVGVAFDKVWNLRKREKSARDVDNGTWPQAPTSLSIFLEKDLRRKESVEWVNMVLGKLWKVYRSRIEDWVIGLLQPVIDNLKKPDYVQRVEIKQFSIGDEPLSVRSVERRTSRGVNDLQYQIGIRYTGGAQMLLSLSLNFGIIPIVVPVGIRDFDIDGELWVKLRLIPTGPWIGAVSWAFVSLPKIKFELSPFSLFNLMAIPVLSMFLTRLLTEDLPRLFVLPKKIVLDFQKGKALGPVSHDFKVEAVQERSKDFVGELSVTLVDARKLAYPKIGKTDPYVVLTLGDQVFQSKKNSQTTVTGPPGEPIWNQDFDLFVVNPGKQKLYIQVKDSFGFADFTVGTAEVELESLQDTVPADRVVALRGGWSLFRNKSSGEVLLRLTYKAYVEDEEDDLLEAEFVDDHAPDDISDYDQPNGTFEQSGYPSGKEREAFMDVLAALIVSEEFQGIVTSETENSEVTGESRNVTSPVSTTIGLTDETSTIDSNRSSSSSDDLELVWLAVVTVTVVLIARTMGDSSLFNP >Ma02_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16935035:16943061:1 gene:Ma02_g05680 transcript:Ma02_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPQDSFGVATQIRDAGGGRNRHRLGRRIGKRLRFGILSNELRFRCAASTPPGKSGNPNLPVDLVSSARGTVIKQISGKLDNKDPKHLASSFTNYRDDPLVDKQRTQLGVIRSIPSPPINRNIAGFFVLFFLVGVAFDKVWNLRKREKSARDVDNGTWPQAPTSLSIFLEKDLRRKESVEWVNMVLGKLWKVYRSRIEDWVIGLLQPVIDNLKKPDYVQRVEIKQFSIGDEPLSVRSVERRTSRGVNDLQYQIGIRYTGGAQMLLSLSLNFGIIPIVVPVGIRDFDIDGELWVKLRLIPTGPWIGAVSWAFVSLPKIKFELSPFSLFNLMAIPVLSMFLTRLLTEDLPRLFVLPKKIVLDFQKGKALGPVSHDFKVEAVQERSKDFVGELSVTLVDARKLAYPKIGKTDPYVVLTLGDQVFQSKKNSQTTVTGPPGEPIWNQDFDLFVVNPGKQKLYIQVKDSFGFADFTVGTAEVELESLQDTVPADRVVALRGGWSLFRNKSSGEVLLRLTYKAYVEDEEDDLLEAEFVDDHAPDDISDYDQPNGTFEQSGYPSGKEREAFMDVLAALIVSEEFQGIVTSETENSEVTGESRNVTSPVSTTIGLTDETSTIDSNRSSSSSDDLELVWLAVVTVTVVLIARTMGDSSLFNP >Ma03_p26270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30054274:30058720:1 gene:Ma03_g26270 transcript:Ma03_t26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGGRAKARFLRCPNCDALLPDLGNFTACRCGACGSTVRAKGDNLAIDSTPERSYNRVDLRPLASEPHDGIGRYRRSSKARFSDSTSSEDEMAKGSKEERGTKPDRDRVPTFYRTTRDRMKATPSPNYRHSSGSSGPSALHDGSYTDDRSKKQDQSWIDGVDHLSQNPIQFLKQLDELRDRIGRSCEVTEEPRERMPLKLRGLLAPERTYVPAAQYPERLRIRRQLDVDPLVYNHHGEFDHEPACSCTHCYHKYRLLPDRVVPHSVSSQSRRGSYLVQNRGSYPVRTQEYNQRVRSREPLIQKRATISKKKDKHLCQPFAGAAPFVLCSNCFELLRLPQIILLVSKKICTLCCGSCSEVMSLELIGKRLVASATPPSTAQAETKGSSPVEVKQIKQSKAHIIGESDTSYHEADDGPCELMQSTDDNPPISSQGLMERECVSHLSDSEKTKRPSMSSNTSDNVESPDSATYQKATPSATDFPFHAEVISDAAGSPAQDHLGHPSSSQVMDGSRNGGMSEYSDQETVVSYTDKFRQNSAKNEVVTEIDVSGHEYPSSSFSGDYQEKEKYENQAGIGMSDDSLVFSQLVQDERSEVSVNGHPIVDHLVRKAEKQAGRIYPGEYWYDHRAGFWGVMGQPCLGIIPPFIQEFNCPISKNCAGGNTGVLVNGRELHHKDLALLVRRGLPTTAGRSYVLEFSGNVFDEVSGEELGNLGKLAPTLFGTAASLETTGIDIKLLLAMKITVNVSRKTFSICQMCLDLCQGLKNLEQYRDKTV >Ma03_p26270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30054274:30059119:1 gene:Ma03_g26270 transcript:Ma03_t26270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGGRAKARFLRCPNCDALLPDLGNFTACRCGACGSTVRAKGDNLAIDSTPERSYNRVDLRPLASEPHDGIGRYRRSSKARFSDSTSSEDEMAKGSKEERGTKPDRDRVPTFYRTTRDRMKATPSPNYRHSSGSSGPSALHDGSYTDDRSKKQDQSWIDGVDHLSQNPIQFLKQLDELRDRIGRSCEVTEEPRERMPLKLRGLLAPERTYVPAAQYPERLRIRRQLDVDPLVYNHHGEFDHEPACSCTHCYHKYRLLPDRVVPHSVSSQSRRGSYLVQNRGSYPVRTQEYNQRVRSREPLIQKRATISKKKDKHLCQPFAGAAPFVLCSNCFELLRLPQIILLVSKKICTLCCGSCSEVMSLELIGKRLVASATPPSTAQAETKGSSPVEVKQIKQSKAHIIGESDTSYHEADDGPCELMQSTDDNPPISSQGLMERECVSHLSDSEKTKRPSMSSNTSDNVESPDSATYQKATPSATDFPFHAEVISDAAGSPAQDHLGHPSSSQVMDGSRNGGMSEYSDQETVVSYTDKFRQNSAKNEVVTEIDVSGHEYPSSSFSGDYQEKEKYENQAGIGMSDDSLVFSQLVQDERSEVSVNGHPIVDHLVRKAEKQAGRIYPGEYWYDHRAGFWGVMGQPCLGIIPPFIQEFNCPISKNCAGGNTGVLVNGRELHHKDLALLVRRGLPTTAGRSYVLEFSGNVFDEVSGEELGNLGKLAPTVEKMRRGFGMKVLR >Ma03_p26270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30054274:30059116:1 gene:Ma03_g26270 transcript:Ma03_t26270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGGRAKARFLRCPNCDALLPDLGNFTACRCGACGSTVRAKGDNLAIDSTPERSYNRVDLRPLASEPHDGIGRYRRSSKARFSDSTSSEDEMAKGSKEERGTKPDRDRVPTFYRTTRDRMKATPSPNYRHSSGSSGPSALHDGSYTDDRSKKQDQSWIDGVDHLSQNPIQFLKQLDELRDRIGRSCEVTEEPRERMPLKLRGLLAPERTYVPAAQYPERLRIRRQLDVDPLVYNHHGEFDHEPACSCTHCYHKYRLLPDRVVPHSVSSQSRRGSYLVQNRGSYPVRTQEYNQRVRSREPLIQKRATISKKKDKHLCQPFAGAAPFVLCSNCFELLRLPQIILLVSKKICTLCCGSCSEVMSLELIGKRLVASATPPSTAQAETKGSSPVEVKQIKQSKAHIIGESDTSYHEADDGPCELMQSTDDNPPISSQGLMERECVSHLSDSEKTKRPSMSSNTSDNVESPDSATYQKATPSATDFPFHAEVISDAAGSPAQDHLGHPSSSQVMDGSRNGGMSEYSDQETVVSYTDKFRQNSAKNEVVTEIDVSGHEYPSSSFSGDYQEKEKYENQAGIGMSDDSLVFSQLVQDERSEVSVNGHPIVDHLVRKAEKQAGRIYPGEYWYDHRAGFWGVMGQPCLGIIPPFIQEFNCPISKNCAGGNTGVLVNGRELHHKDLALLVRRGLPTTAGRSYVLEFSGNVFDEVSGEELGNLGKLAPTVEKMRRGFGMKVLR >Ma02_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24365089:24367043:-1 gene:Ma02_g17500 transcript:Ma02_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWIKSFMAGLKKQAQDGTEKSDSGGGGGNGRSRKWKKLWRSSSWDNLSLRRGSGGICHRSVASEASDVSSVTDAFTTAAATVVRAPPRDFQVVRQEWAAIRIQTAFRAFLARRALRALRGIVRLQAIVRGRQVRKQAAVALRCMQALVRVQARVRARRARMSTEGLAVKKMLEARRGKLDPLKEAEEGWCDSPGTLEEVREKLHMRQKGTVKREKVTCYALSHQQSRPAVTGRSKHTPASLKHRGFDRSGGNWNWLERWMAAKTWESRLMECNVSEAQCKEDNRGIYSTCSELGPVNIKKNNISMRISARPPTMPASHCGRTLCASSPSTGLFNNESSASSSSAYMSTPISSSACLVSDRTEDSNRSRPNYMNLTESIKAKQKASNTQKMTVQEHPSGGVQSHRKTSSDIDMKTTDCSNPPSLLCCKLENHLPQKDKISMRSMSRETHCYRKRHACVS >Ma06_p28280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29988744:29991729:1 gene:Ma06_g28280 transcript:Ma06_t28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGLACCAVEIMHTGASLYDFDRFGGIFRPSPHQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWPWAVVQMVVGIIITRILLYAAVTELFPSTSMFLDVLLQPRLYFTASSSSRRRSTGARISFFGGPSRGGVHIINGSLLCMCLIKATMLRLSHCFCFEGITDNFHPAVCTNIIL >Ma11_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27870693:27872444:1 gene:Ma11_g25120 transcript:Ma11_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRELRRSVTLPEQLSVTDSSKLEDLLKVREEDDVRVSRRRGRDSLTLRSIIAGEKREDSAAGRTLLEIIQQDRAANGNAADRNSSNGDTWKSLRDLLRHGDGVALAAATPGDLQPVSATELVISTRPNPVLALSVSVGNPDFAASESTAVAATAATATATTTPVELPLTNEGESEEINGSENSNPVGAAGSSSPEAVPAAEEQQSARVSLLALLEQTDRQWEGSGQEGLSLVAAAVDEEVVAEDEMKGSGGVSYMCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGHILEILDIY >Ma05_p18700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24598478:24606257:1 gene:Ma05_g18700 transcript:Ma05_t18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATELDELLGFLSAPSLQVKKAAVDIVRGLTGSDDGLGPLAARADIALPPLARLIRDAPELAAPAAEALINLSQDPAIAEKLIALGTVPTALDVLYKHGDPNLSRLLVMLLANLTQVDSGASALLQIGGDKMEGLYISKLVRSFCRSSSGESKAEDTFEHVASVLVNISKVEAGRKILLEPKRGLLKQAICQLDSTNPLRKKGVSGTIRNCCFEADNQLQNLLSVAEFLWPALLLPVAGNKVYSEQDISKMPLELGNALSHEREPVDDPEIRKQSLEALYLISLQEAGRRALWSVNGPRILQVGYEDEDDPKVMEAYELIGSLLVSNVEAEQ >Ma05_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24598478:24606257:1 gene:Ma05_g18700 transcript:Ma05_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELDELLGFLSAPSLQVKKAAVDIVRGLTGSDDGLGPLAARADIALPPLARLIRDAPELAAPAAEALINLSQDPAIAEKLIALGTVPTALDVLYKHGDPNLSRLLVMLLANLTQVDSGASALLQIGGDKMEGLYISKLVRSFCRSSSGESKEDTFEHVASVLVNISKVEAGRKILLEPKRGLLKQAICQLDSTNPLRKKGVSGTIRNCCFEADNQLQNLLSVAEFLWPALLLPVAGNKVYSEQDISKMPLELGNALSHEREPVDDPEIRKQSLEALYLISLQEAGRRALWSVNGPRILQVGYEDEDDPKVMEAYELIGSLLVSNVEAEQ >Ma08_p33700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43944077:43944822:-1 gene:Ma08_g33700 transcript:Ma08_t33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYVFLLVASLVALASSPAMARDPGPLQDFCVADNTSDVYVNGFVCKDPKLVKAEDFFFSGLDQPRNTTNKVGSNVTLLNANRIPGLNTLGISMARVDYAPFGLNPPHIHPRATEIQTVLEGSLYVGFVTSNPDNRLITKVLRKGDVFVFPQGLIHFQFNYGINKAVALSSLSSQNPGVITIANSVFGSKPAISDDILAKALGVDKKIIDLIQAHF >Ma10_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23270968:23276599:1 gene:Ma10_g09070 transcript:Ma10_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAISSLASKARVARGSGGQIGSRLGWSRNYAAKDIRFGVEARALMLKGVEDLADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRIKNMGASLVKQVANATNDVAGDGTTCATILTRAIFSEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITVADGKTLYNELEVVEGMKLDRGYISPYFITDQKNQRCELEDPLILIHEKKISSINAVVKVLELALKRQRPLLIVAEDVESDALATLILNKLRAGIKVCAVKAPGFGENRKASLQDLATLTGGSVITEELGMNLEKVEFDMLGTCKKVSISKDDTVVLDGAGDKKALEERCEQIRSAIEVSTSDYDKEKLQERLAKLSGGVAVLKIGGASEIEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASRELDKLQTANFDQKIGVQIIQNALKSPAHTIASNAGVEGAVVVGKLLEQENPDLGYDAAKGEYVDLVKSGIIDPLKVIRTALVDAASVSSLMTTTEAVVVELPKDEKETPPMGPGMGGMDY >Ma06_p34360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34624193:34628330:1 gene:Ma06_g34360 transcript:Ma06_t34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLLSTKYIGRYGRVAAETIRRAKVAKPALKSLAPGNVESAAAAASSRGTGRGMDEGERLESRVPLRDVVADCTRRWFQDALKEARAGDAAMQVLVGQMYHNGYGIAKNEQKAHAWITKASNYRSSVWKVSDKRPGYNASDSDSEEEKINIKS >Ma11_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5521632:5526229:-1 gene:Ma11_g06910 transcript:Ma11_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MTSSDRSAPAIDPDLVISHKFSETSFCYTERDAALYALGVGACAGDAVDEKELNYVYHRNGQKSIQVLPTFAALFPFAEGLGLEKVSGLQYDPRLLLHGQQYIEIFRPLPSSGSIVNKVAIAGLHDKGKATIIELETTSYLKESGEALCMNRSTIYLRGSGGFSSSSHPYSYKTYPDNQILHVSVPKHQPTVVYEDTIQQSQALLYRLSGDYNPLHADPMIAQAAGFARPILHGLCTLGFAVRAIIKCICNGEQTTVRNIFGRFLLHVYPGETLVTEMWLEGSRVIYQTKVKERNRAVLSGYVLLKHNSSL >Ma03_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24338682:24347596:-1 gene:Ma03_g18840 transcript:Ma03_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDERKKGGLDPPTTNFPAWARTVQECEAEFKVSAEYGLRSDEVLKRREIYGLNELEKHSGPSIWQLVLEQFNDTLVRILLVAAVVSFVLAWYDGDEGGEMGITAFVEPLVIFLILIVNAIVGVWQENNAEKALEALKEIQSEHAAVRRDGNLIPNLPAKDLLPGDIVELKVGDKVPADVRILYLISSTVRVEQASLTGENAAVNKTNHHVEHEDIDIQGKECMVFAGTTVVNGSCVCLVTQTGMNTEIGKIHSQIHEASQSEDDAPLKKKLNEFGEVLTAIIGVICALVWLINVKYFFTWEYVNGWPSNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVVRLVAMGRWTDTLRSYKVDGTTYDPHDGKIYDWPASSMDVNLQMIAKIAAVCNDASITQSGHHFVTSGMPTEAALKVLVEKMGLPGGYDPSSLDSAEILRCCKWWNGIAQRVATLEFNRTRKSMGVIVKSKSGTNSLLVKGAVENLLERSTHIQLLDGSVVLLDDSSKNLIMNALREMSTNALRCLGFAYKDDLAEFSAYDGGDHPAHKLLLDPSNYASIETGLIFVGLVGLRDPPRKEVYRAIEDCRAAGIRVMVITGDNKETAEAICRDIGVFSPDEDIHLKSLTGKEFMSSNDKKAILRQNGALLFSRAEPGHKQEIVRLLKEDGEVVAMTGDGVNDAPALKMADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYMVIGLYVGIATVGIFIIWYTHGSFMGIDLSGDGHTLVTYAQLSNWGECSSWDGFKVAPFTAGSRRFTFDTNPCDYFQTGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVLAVALPVILIDEVLKFVGRCTSSSGARRRSASAKHKDE >Ma10_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24466792:24468329:1 gene:Ma10_g10610 transcript:Ma10_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLQVLIAAAASFLFVALLIGSLFLVCRGRAKPRPFGSQIASDVEGAPDFRSLDRLRSSSSHSGAGDESSASYDPSLDRISMSELIAATRNFAPDGIIGDGSFGFVYKAQLSSGATVAVKRLSADAVHGVREFRAEMDTLGRIRHPNLARMLGFCVAGRDHVLIYEYLENGSLDQWLHEPDAEGRPRRLPWPARVRIMRGVAAGLAFLHDGCRPAVIHRDIKASNVLLDEGFEARITDFGLARVVEAPRTHVSTQAAGTMGYMAPENREGATAVTPMADVYSFGILMLEVATGQRPNLTVRPEGGAGGEVGLARWARGMVEQGRGPEVLDREMGEAETMESQVRGFLDVAYRCTEDGPRDRPAMIEVVAALAQL >Ma06_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20992060:20997856:-1 gene:Ma06_g23240 transcript:Ma06_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKGGNKALAPSGQKSTPDADGMNLDGGKGQEEAPEVVAIEEKAESSNLEDSPLIGSDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNSFLFKNKVVLDVGAGTGILSLFCAKAGAKHVYAVECSLMADMAKEIVQTNGYSNVITVLKGKVEEIELPVAHVDVIISEWMGYFLLFENMLNTVLYARDKWLNNNGIVLPDKASLYLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMIEPLVDTVDQNQIVTNCQLLKTMDISKMAPGDVSFMAPFKLVAERNDYIHALVAYFDVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVLTICEGEAVVGSLTVEPNERNPRDVDIMLKYSLNGQHCQVSRTQHYKMR >Ma09_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11319247:11321035:-1 gene:Ma09_g15960 transcript:Ma09_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDCTPTLAMVFVQVGFAGLNVLSKLAMDDGMSPFVMIAYRQIVATIFLSPIAFFLERKASKEITGKVLFQIFLCSVFGATSNQILYFVGLKFSSPTIACALSNMLPAITFVIAVPFRMETVGIRTVAGQAKVVGTVLCVGGSMLMTFYRGGLIKMWQSPLHWRYAERMTTGEAGSDYQRMGFGAVLVIASCFAWAIWFIIQAKMSQSFSSPYTSSAIMCFMASVQCIVVAAAVERRRLSAWALGWNIRLAASLYIGLVGSGLAFALMSWCLQKRGPLFVSMFSPLLLVVVAVLGWAILDEKQYVGSVAGSVVIVGGLYLVLWGKGRETKKTRDASGKETEAEHEEGAIAAVGLTMFPSPPVFASPMHEAQPGQP >Ma11_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20728835:20731492:1 gene:Ma11_g15020 transcript:Ma11_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKEYGRLVVIVDVIDQNRALVDAPDMVRGQMNFKRLSLTDIKIDIPRVPKKKTLIDAMEAADVKNKWEKSSWGRKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKENAA >Ma06_p28050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29834944:29840041:1 gene:Ma06_g28050 transcript:Ma06_t28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLGGGSLGDSKRESNGSSSLRISSSTAAADMPSPFGQLGVALSDRELRETAYEIFVAACRTTGAKSLAYAPQAERSPSLSPSSASPLQRSLTSAAASKMKKTLGIRPSSKKGSPSKSAKKPATVGELMRVQMRVSEQTDSRIRKGLVRIAAGQLGRRVESMVLPLELLQQFKTSDFPDQQEYEAWKTRNLNVLEAGLLVHPLLPLEKSDTASQRLRQIIRGASGKPIETGRNSESMQVLRSAVMSIACRSPDGSSDFCHWADGFPLNLRLYQMLLEACFDDSEDGSIIDEIDEVLELIKKTWVILGINQILHNLCFAWVLFHCFVTTGEADIDLLFAADNQIAEVAKDAKATKDPDYSKILSSTLSSILGWTEKRLLAYHDTFIASNIEFFQGIISLGVSAAKILVEDISNEYRRKRREESDVARSRVDTYIRSSLRTAFAQRMEQADSRRSSKNHNTPTPVLSILAKDIGELARKEKELFSPILKKWHPLAAGVAVATLHSCYGSELRQFISSATEVTPDTVEVLKAADKLEKALVHIAVEDSVDSEDGGKSLIREMPPYEADIAIANLVKVWIKTREERLKEWVDRNLQQENWNPRANMENCAPSATEALQIINETLDAFFQLPIQMHAMLLPDLSIELDKSLQRYALKVKSGCGTRGSFVPPFPALTRCDIGSKLWKKKEKLQNLPKRGSQVRSTNGDISFGLPQLCVRMNSLHYIWTELENVEKKIKTCLRNLESAQADIANGLQISFEMTVAACHEGILQLCETTAYKVIFHDMSHVLWDALYVGGTASSRIDPFIKEAAHILETISNTVHSRVRNRVVTAMMKASFDGFLLVLLAGGPSRAFSRQDSEIIEVDFRSLKDMYLADGDGLPQELVEKAASQVKNVLPLFHTDTENLIERFKRLITETYGAASKSRYPLPPTSGNWNPTEANTVLRVLCHRHDEAATRFLKKTYNLPKKL >Ma00_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3863963:3868849:1 gene:Ma00_g01070 transcript:Ma00_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVGAFPTARTVTISYSDIKEKSRDLSMNIEEGFGPNGLGIISVSDVPGFSLLRQNLLHLAPSVARLPDDVKKELEDPESRYNFGWSHGKEKLESGKVGKFYC >Ma05_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4476215:4483121:1 gene:Ma05_g05950 transcript:Ma05_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYRLGSIGGSMRGSIRRSVSSWRTSSADVFGRSGRDEDDEEALKWAALEKLPTYDRMRKGIMTGEAGDKQEVDIQDLGMQDRKKLLERLVRTAEEDNERFLLKLRNRMERVGIDNPTIEVRFEHLTVDAEAYVGNRGVPTFFNFFYNKIADVLSYLHMVPSGKRPLSILHDISGIIRPCRMTLLLGPPGSGKTTLLLALAGKLDSTLKESGRLTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLNFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVITDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIILLSEGQIVYQGPRENVLEFFEKMGFRCPERKGVADFLQEVTSRKDQHQYWSIEDEPYRYVSVNEFAEAFQTFHVGRKLGEELSVPFDRKRNHPAALTTTKYGISKTELLKASISREWLLMKRNSFVYIFKVVQLIILGTIAMTVFLRTKMPRKTVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWILKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLVLGGFLISRDHIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWQKVNLTESPDTLGVQILHARGIFVDSNWYWIGAGGLLGYIFLFNILFVFFLDWLDPLGKGQAVISEEELKEKQANRTGERVEMLPAAAKGRDGGRATRNESSTENRKKGMVLPFAPLSITFDDIQYSVDMPQEMKDKGIEEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNICISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPPEVDAETRKMFIEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCHLINYFEGVEGVRKIKDGYNPATWMLEVTTLAQEEMLGVDFAEIYKNSDLHRRNKALIGELSAPPPGSKDLFFPTQYSQSFLTQCIACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFWKLGQKVTTKQDLLNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVLYGLIVYSMIGFEWTMEKFFWYLFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRPRIPVWWRWYSWACPVAWTLYGLVASQFGDNQTIMEGGESVEEYVRRFFGFRHDFLGVVAVAVVGFTVLFAFVFAFSIKVFNFQRR >Ma04_p06300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4647054:4651816:-1 gene:Ma04_g06300 transcript:Ma04_t06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIGEPVSEPVGQDVGLASRRPSPLQIPKPSNLEHNTASRLPKLVQEETSMHGTTEVLEGQELHHLDNGPIEPSIDGISSKKTEQSGASYLNAISDDLKQLSVQGEPLVLPRLNETVSLEANRGTEKENSERHSVKENSVSTKVSDGTNSLRKTSGSAKISDRVESGKNSMCRASTSSDVSNESTGSSISSTINKPHKANDSRWEAIQAIRARDGGFGLGHFRLLKRLGSGDIGTVYLSELTGTKCYFAMKVMDKVSLASRKKLLRAQMERDILQCLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAVKFYISEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSTPDSESFRRNNPAYCVQPVCIEPSCVQPSCVAPTTCFSPRLFSSKSKKARKQKLEIGNQIRPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPIVTEQTMGPVASTSQKAKTSFKKGSDNYLEFDFF >Ma04_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4647054:4651711:-1 gene:Ma04_g06300 transcript:Ma04_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIGEPVSEPVGQDVGLASRRPSPLQIPKPSNLEHNTASRLPKLVQEETSMHGTTEVLEGQELHHLDNGPIEPSIDGISSKKTEQSGASYLNAISDDLKQLSVQGEPLVLPRLNETVSLEANRGTEKENSERHSVKENSVSTKVSDGTNSLRKTSGSAKISDRVESGKNSMCRASTSSDVSNESTGSSISSTINKPHKANDSRWEAIQAIRARDGGFGLGHFRLLKRLGSGDIGTVYLSELTGTKCYFAMKVMDKVSLASRKKLLRAQMERDILQCLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAVKFYISEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSTPDSESFRRNNPAYCVQPVCIEPSCVQPSCVAPTTCFSPRLFSSKSKKARKQKLEIGNQIRPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPIVTEQTMGPVASTSQKAKTSFKKGSDNYLEFDFF >Ma03_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:664706:672455:-1 gene:Ma03_g00830 transcript:Ma03_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLCRGTYVPQLGVQPQATLRNASPGSWPCCITKLVLMPQISTSTSKYLASSHASFLAPVSSSALFYNGPHLNSRRNRGARFIVRADLDYYSVLGVSRNASKSEIKSAYRKLARSYHPDVNKEPGAEQKFKEISNVYEVLSDDEKRSIYDKYGEAGLKGAGMGTGDYGNPFDIFESLFEGMGGMGGMGGMGGARAARNRPMQGDDESYNLVLNFKDAIFGVEKEIEITRLERCGTCDGSGAKPGTTPSKCNTCGGQGQVVSSARTPLGVFQQVMTCSTCSGTGESSTPCSSCGGDGCVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGNLYVFIEVLSDPVLKRDGTNILYTCKVSYIDAILGTTMKVPTVEGKVDLKIPAGTQPGTTLVMAKKGVPYLGKPNMRGDQLVRVQVEIPKRLSSEEKKLIEELSNLSKFKTANSRRQ >Ma06_p25500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25848720:25859152:-1 gene:Ma06_g25500 transcript:Ma06_t25500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MATVWKGMTFAGANVFLSRSLVPPEVFDALHDALKLNGATVFLCCDPSCSGPNDYHVIASPDHEKFADLRAKGCKLLGPQCILSCAKEHRSLPKQGYTCCLAMDGVKVLASGFDKDEKVHIERLVTAMGGVLQTKTSQDVNFFIVKNVLAAKYKWALNILKKPIVGMSWLRQCWTEHRVVPQEAYRILPFTGLTICVTRISADERKELEKLILQNGGCYSADLTKKCTHLVSDAPEGDKYVVARRWGHIHIVTRRWVDQSVAVKACLDEGLHPVQVNSFSCSDARSFQKEQRCHEHSNATSQTVSPLMVEDLEATSSQNISSSFSDATKLKNEGMDSAAVQLKDEKMLNSHVAEDSESDDNDLYLSNCRILLVGFEEVKLNRLVTMIRNGGGTRHMILNEKLTHIIIGEPSESEKKEVRRLAVWGVINVVKATWLEECDQAKKELAVSTRHLASELLFSKASSCFIVESSADSTRKVKHFAGTPCSTVVHVSEDKKFGEELFSEKNCREERVKGDVNVSNISGLATTTEKLKQYRAENSLTQGGQKLKATSTMDFPSRRSTDTFKGKTFCFSNSFPEDRRAQVIEWVGEGGGMMVDDHHKMNANFIIERHGLLHVPAGASQTTVVSTQWIRSCLEEVCIQDVGSHVIYSPLCCSIPLPGFESLRFCVSQYEEKERLLLRNLCFTLGAKFTEKLTKKVTHLLCKFTSGPKYEAACKWGIQPITAEWINECVSQNMMVAVDPFRPKPVTTEDGEAGICTVSQYPTQAACMIYGCVPSQLPSEFQELNVNTTRKTGHCQEEYVSALGKRSRLSECDSNGDASKKTKVPEDQVGPDAVPDVADAIEDLLAQSSKIFSSDHSILRQENENSSSTFGISKHWTSRTPKEVTAPDSSCQERSHSTYDVFSETQTESQVVGYEEDLSGRQKIIDRVRSQSLTPAPDGLNQF >Ma06_p25500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25848720:25859152:-1 gene:Ma06_g25500 transcript:Ma06_t25500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MATVWKGMTFAGANVFLSRSLVPPEVFDALHDALKLNGATVFLCCDPSCSGPNDYHVIASPDHEKFADLRAKGCKLLGPQCILSCAKEHRSLPKQGYTCCLAMDGVKVLASGFDKDEKVHIERLVTAMGGVLQTKTSQDVNFFIVKNVLAAKYKWALNILKKPIVGMSWLRQCWTEHRVVPQEAYRILPFTGLTICVTRISADERKELEKLILQNGGCYSADLTKKCTHLVSDAPEGDKYVVARRWGHIHIVTRRWVDQSVAVKACLDEGLHPVQVNSFSCSDARSFQKEQRCHEHSNATSQTVSPLMVEDLEATSSQNISSSFSDATKLKNEGMDSAAVQLKDEKMLNSHVAEDSESDDNDLYLSNCRILLVGFEEVKLNRLVTMIRNGGGTRHMILNEKLTHIIIGEPSESEKKEVRRLAVWGVINVVKATWLEECDQAKKELAVSTRHLASELLFSKASSCFIVESSADSTRKVKHFAGTPCSTVVHVSEDKKFGEELFSEKNCREERVKGDVNVSNISGLATTTEKLKQYRAENSLTQGGQKLKATSTMDFPSRRSTDTFKGKTFCFSNSFPEDRRAQVIEWVGEGGGMMVDDHHKMNANFIIERHGLLHVPAGASQTTVVSTQWIRSCLENMMVAVDPFRPKPVTTEDGEAGICTVSQYPTQAACMIYGCVPSQLPSEFQELNVNTTRKTGHCQEEYVSALGKRSRLSECDSNGDASKKTKVPEDQVGPDAVPDVADAIEDLLAQSSKIQDIKSPPQSGCDQTLQIFSSDHSILRQENENSSSTFGISKHWTSRTPKEVTAPDSSCQERSHSTYDVFSETQTESQVVGYEEDLSGRQKIIDRVRSQSLTPAPDGLNQF >Ma06_p25500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25848720:25859152:-1 gene:Ma06_g25500 transcript:Ma06_t25500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MATVWKGMTFAGANVFLSRSLVPPEVFDALHDALKLNGATVFLCCDPSCSGPNDYHVIASPDHEKFADLRAKGCKLLGPQCILSCAKEHRSLPKQGYTCCLAMDGVKVLASGFDKDEKVHIERLVTAMGGVLQTKTSQDVNFFIVKNVLAAKYKWALNILKKPIVGMSWLRQCWTEHRVVPQEAYRILPFTGLTICVTRISADERKELEKLILQNGGCYSADLTKKCTHLVSDAPEGDKYVVARRWGHIHIVTRRWVDQSVAVKACLDEGLHPVQVNSFSCSDARSFQKEQRCHEHSNATSQTVSPLMVEDLEATSSQNISSSFSDATKLKNEGMDSAAVQLKDEKMLNSHVAEDSESDDNDLYLSNCRILLVGFEEVKLNRLVTMIRNGGGTRHMILNEKLTHIIIGEPSESEKKEVRRLAVWGVINVVKATWLEECDQAKKELAVSTRHLASELLFSKASSCFIVESSADSTRKVKHFAGTPCSTVVHVSEDKKFGEELFSEKNCREERVKGDVNVSNISGLATTTEKLKQYRAENSLTQGGQKLKATSTMDFPSRRSTDTFKGKTFCFSNSFPEDRRAQVIEWVGEGGGMMVDDHHKMNANFIIERHGLLHVPAGASQTTVVSTQWIRSCLEEVCIQDVGSHVIYSPLCCSIPLPGFESLRFCVSQYEEKERLLLRNLCFTLGAKFTEKLTKKVTHLLCKFTSGPKYEAACKWGIQPITAEWINECVSQNMMVAVDPFRPKPVTTEDGEAGICTVSQYPTQAACMIYGCVPSQLPSEFQELNVNTTRKTGHCQEEYVSALGKRSRLSECDSNGDASKKTKVPEDQVGPDAVPDVADAIEDLLAQSSKIQDIKSPPQSGCDQTLQIFSSDHSILRQENENSSSTFGISKHWTSRTPKEVTAPDSSCQERSHSTYDVFSETQTESQVVGYEEDLSGRQKIIDRVRSQSLTPAPDGLNQF >Ma06_p25500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25848720:25859152:-1 gene:Ma06_g25500 transcript:Ma06_t25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MATVWKGMTFAGANVFLSRSLVPPEVFDALHDALKLNGATVFLCCDPSCSGPNDYHVIASPDHEKFADLRAKGCKLLGPQCILSCAKEHRSLPKQGYTCCLAMDGVKVLASGFDKDEKVHIERLVTAMGGVLQTKTSQDVNFFIVKNVLAAKYKWALNILKKPIVGMSWLRQCWTEHRVVPQEAYRILPFTGLTICVTRISADERKELEKLILQNGGCYSADLTKKCTHLVSDAPEGDKYVVARRWGHIHIVTRRWVDQSVAVKACLDEGLHPVQVNSFSCSDARSFQKEQRCHEHSNATSQTVSPLMVEDLEATSSQNISSSFSDATKLKNEGMDSAAVQLKDEKMLNSHVAEDSESDDNDLYLSNCRILLVGFEEVKLNRLVTMIRNGGGTRHMILNEKLTHIIIGEPSESEKKEVRRLAVWGVINVVKATWLEECDQAKKELAVSTRHLASELLFSKASSCFIVESSADSTRKVKHFAGTPCSTVVHVSEDKKFGEELFSEKNCREERVKGDVNVSNISGLATTTEKLKQYRAENSLTQGGQKLKATSTMDFPSRRSTDTFKGKTFCFSNSFPEDRRAQVIEWVGEGGGMMVDDHHKMNANFIIERHGLLHVPAGASQTTVVSTQWIRSCLEEVCIQDVGSHVIYSPLCCSIPLPGFESLRFCVSQYEEKERLLLRNLCFTLGAKFTEKLTKKVTHLLCKFTSGPKYEAACKWGIQPITAEWINECVSQNMMVAVDPFRPKPVTTEDGEAGICTVSQYPTQAACMIYGCVPSQLPSEFQELNVNTTRKTGHCQEEYVSALGKRSRLSECDSNGDASKKTKVPEDQVGPDAVPDVADAIEDLLAQSSKIQDIKSPPQSGCDQTIFSSDHSILRQENENSSSTFGISKHWTSRTPKEVTAPDSSCQERSHSTYDVFSETQTESQVVGYEEDLSGRQKIIDRVRSQSLTPAPDGLNQF >Ma09_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9632141:9632377:-1 gene:Ma09_g14140 transcript:Ma09_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPNGHLDSYLHSTKKHSEWLARHKITLGLASAIGYLHKEWEQCLVHRNVDQAQQLYAGFGIQRQPERFRPCKTRGP >Ma09_p29710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40094990:40095683:-1 gene:Ma09_g29710 transcript:Ma09_t29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDCLSCVKEAGVDLMTMTTEMENLTSSLLVGATSSLPFGGPQEPAETGGNGQPPVDAMKEMIFLVAAMQPIHVNRELLNPPKRRNVRVSKDPQSVAARHRRERISRRIRMLQQLVPGGPKMDTASMLDEAIHYVKFLKRQVQFLERAASSAVNGLSTTGAATTTTTTTTGFSAVGTGFMDGSYLWFEKGYDGGLGSSSRTTMSQMHVERK >Ma01_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8133847:8137634:1 gene:Ma01_g11270 transcript:Ma01_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g01860 [Source:Projected from Arabidopsis thaliana (AT2G01860) UniProtKB/Swiss-Prot;Acc:Q5XET4] MECLVAASSRVRSTTLDIHGHKLRLSHGIIPFRLLAQFRPSASPSRRKLHGTPRYPRRAKLPPDPGTSRLPSEMVDGGARPLDDVRDGDEKHTAELSVDNAEWSPEELEAISALFERPMLQKAPKPVKERPLPLPSPYEIRPSRVPTLKRHVRSASRSVLAPRSSFADRVHKNPEALIGIAREIAALPADSDACEVLDRWTRFLRKGSLSMTIRELGHMGLPERALQTLCWAQKQPSLFPDDRTLASTVEVLARCGQLRMESEMGKYLNSASRTVIEAMARGFLRAGRLHRARKILLFAKDNKRTLDPSIYAKLIAEAGKTPDGYRLASAVLDELGERDDFDLEPQDCTAIMKVCIKLGRFEAVENLFSWYKQSGRNPTVVMYTTVIHSRYCEKKHREALALVWEMESSGCLLDLPAYRVMIRLLVAMNDLARAARYFSKLKDAGFSPTCDIYHDMIKVYAASGRLAKCRQVRKEAEMTGLRLDERTLSLLSEMESDASA >Ma09_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2114846:2119034:-1 gene:Ma09_g03080 transcript:Ma09_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSNLAHGLAGHGQHGGYPPYPGAYPPPGAYPPQGYPPAPGAYPPHGHPSQGYPPQGYPPSGYPGYPPSGGYPPSGYPPAAYPGPSAPSHHGHGSNMGAMLAGGAAAAAAAYGVHQLSHGHHQMGHGMHHGLGHHGKFKHHGFGHHGKFKHHGKFKHGKFGKHGMFGGKHGMFGGKFKKWK >Ma09_p03080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2114846:2118937:-1 gene:Ma09_g03080 transcript:Ma09_t03080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSNLAHGLAGHGQHGGYPPYPGAYPPPGAYPPQGYPPAPGAYPPHGHPSQGYPPQGYPPSGYPGYPPSGGYPPSGYPPAAYPGPSAPSHHGHGSNMGAMLAGGAAAAAAAYGVHQLSHGHHQMGHGMHHGLGHHGKFKHHGFGHHGKFKHHGKFKHGKFGKHGMFGGKHGMFGGKFKKWK >Ma09_p03080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2114846:2118937:-1 gene:Ma09_g03080 transcript:Ma09_t03080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSNLAHGLAGHGQHGGYPPYPGAYPPPGAYPPQGYPPAPGAYPPHGHPSQGYPPQGYPPSGYPGYPPSGGYPPSGYPPAAYPGPSAPSHHGHGSNMGAMLAGGAAAAAAAYGVHQLSHGHHQMGHGMHHGLGHHGKFKHHGFGHHGKFKHHGKFKHGKFGKHGMFGGKHGMFGGKFKKWK >Ma08_p26370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38943457:38948025:1 gene:Ma08_g26370 transcript:Ma08_t26370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKMLSSMDLPSGGGGVAAAAQAHGINRQHVQAAIVKAAELRALHAALLQGGSGGSPAAVRLPAGASPSVSRGASQFTVPEDYPVFTPSYEEDPLPGYHYFHPENRSLSQIWNEIGLEEGKYSEAVAFVNRSTNKLSPSISELHIPSAIENVSNGTSCANHMPLLQPSSEADDVKSLGRRTESGEYTTVLTNDTCNQETVNMEVGGDRKNLKNAKRTEVSHDPEQSVKTHIKHRGSGLSWLFPKSKKKSKPEMSPKMMESEGMSQILKEWGVLSLESLKKELLEANENRDAALAEVSEMRSSLGELQQKLVSLEAYCAELKKALKHGMHPKSSQISDRSNLSKRTKAMSSNRDNSMPVSQEVMVEGFLQIVSEARLSVKQFCKMLIHQIEESDDDLSEKLNLLLQPHQMALITGKYSKGVIYHLEAFVNQTLYQDFENCVFHKNGCPKFLDPREECRENFSSFVGLRNLSWNEVLQKGTKYYSEDFSRFCDQKMSCIVSMLDCSAPWPEQLLQCFFIAAKCIWLLHLLAFSFSPPLTILRVEEDREFNPLYMEDIPLDRHRLQGATRVKIMVMPGFYVQDRVLKCRVLCRHGSVP >Ma08_p26370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38943457:38948025:1 gene:Ma08_g26370 transcript:Ma08_t26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKMLSSMDLPSGGGGVAAAAQAHGINRQHVQAAIVKAAELRALHAALLQGGSGGSPAAVRLPAGASPSVSRGASQFTVPEDYPVFTPSYEEDPLPGYHYFHPENRSLSQIWNEIGLEEGKYSEAVAFVNRSTNKLSPSISELHIPSAIENVSNGTSCANHMPLLQPSSEADDVKSLGRRTESGEYTTVLTNDTCNQETVNMEVGGDRKNLKNAKRTEVSHDPEQSVKTHIKHRGSGLSWLFPKSKKKSKPEMSPKMMESEGMSQILKEWGVLSLESLKKELLEANENRDAALAEVSEMRSSLGELQQKLVSLEAYCAELKKALKHGMHPKSSQISDRSNLSKRTKAMSSNRDNSMPVSQEVMVEGFLQIVSEARLSVKQFCKMLIHQIEESDDDLSEKLNLLLQPHQMALITGKYSKGVIYHLEAFVNQTLYQDFENCVFHKNGCPKFLDPREECRENFSSFVGLRNLSWNEVLQKGTKYYSEDFSRFCDQKMSCIVSMLDCSAPWPEQLLQCFFIAAKCIWLLHLLAFSFSPPLTILRVEEDREFNPLYMEDIPLDRHRLQGATRVKIMVMPGFYVQDRVLKCRVLCRHGSVP >Ma04_p33070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32887939:32890714:1 gene:Ma04_g33070 transcript:Ma04_t33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYMRKPKFSGELTVMEGATHQPSLGVCTRARAMAAAAAARDSSLSYLELRSRRLEKPPTSKPRDPAKVTPKSNPSSKLCSQKAGRVPTSNSGSLSSARMRSGSENDEDAPPYVEVSLEENDLEPESRERETTPSGLTRDSGTMGTPGSTTRSTYSTAAKWRMQNPICQDIPTTHEMEEFFVGLERLQQQIFIEKYNFDPVNDHPLPGRYEWEELGS >Ma01_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1360276:1360559:1 gene:Ma01_g02010 transcript:Ma01_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWYIWEMIWIHQRAFLLEQFRKMVNSFQDQIES >Ma07_p07230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5282928:5294288:1 gene:Ma07_g07230 transcript:Ma07_t07230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMNSRFTRFKGLENEPTPNLFVANCGPAVGMSFDNIESAFGIFGKVVGVHAADETGTRVIVCFSEVNAAQAAFKALNGLPCAELGGRIMHISYSVVRPLQKVHKDIFFPVYFSASDLGIPGIYLVHDFITVEEEKRLLAEVDNRQWRSLSKRRVQHYGYEFLYETRNIDSKHFLGELPSFVSNILQKILSFPGLGRDQNKEMDQLTVNEYPCGVGLSPHIDTHSAFDELIFSLSTAGPCIMEFRRYPEGTWCHPTASVNEIHEDTPLLSSYITRKAIFLPPRSMLLMSGEGRYAWHHYIPHRKVNNFLQVDRVGETAFERSSRRVSFTFRKVMKGSCCCPYKQYCDSQLECRNQQVKQDIVQSSLPLKREAFCPTDTDRI >Ma07_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5282928:5294288:1 gene:Ma07_g07230 transcript:Ma07_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMNSRFTRFKGLENEPTPNLFVANCGPAVGMSFDNIESAFGIFGKVVGVHAADETGTRVIVCFSEVNAAQAAFKALNGLPCAELGGRIMHISYSVVRPLQKVHKDIFFPVYFSASDLGIPGIYLVHDFITVEEEKRLLAEVDNRQWRSLSKRRVQHYGYEFLYETRNIDSKHFLGELPSFVSNILQKILSFPGLGRDQNKEMDQLTVNEYPCGVGLSPHIDTHSAFDELIFSLSTAGPCIMEFRRYPEGTWCHPTASVNEIHEDTPLLSSYITRKAIFLPPRSMLLMSGEGRYAWHHYIPHRKVDRVGETAFERSSRRVSFTFRKVMKGSCCCPYKQYCDSQLECRNQQVKQDIVQSSLPLKREAFCPTDTDRI >Ma04_p39030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36284352:36286082:1 gene:Ma04_g39030 transcript:Ma04_t39030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPAAMGSEVGLALQLCAMRTVGGFVKDAATESAGRAARLVESIKILEEEKRKIEAFQRELPLCMHLLGEVIDGLKKETERCGGGCFGRVLQELLPVESRVEEDGEVKVDDKMNWMSSAQLWSDNYGENDISHENHEVMICGKKKEELFVIFFLLTWIFHFWSRQEGRRQGKENLFLECKSPGGDGAFLPFEALSTVSASSEEKKPAAALPDRRGCGRGPKSVGRAPESSPAPATVCGRLGFQSQHQPSRKARRCWSQELHRRFTVAIQQLGGAQVATPKQIREVMKVEGLTNDEVKSHLQKYRLHAGKLPNASSAMASPPGAVGGSSCVRLQQQCISPPPQQSVSPSGSLSTEPSSAG >Ma04_p39030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36284352:36286082:1 gene:Ma04_g39030 transcript:Ma04_t39030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPAAMGSEVGLALQLCAMRTVGGFVKDAATESAGRAARLVESIKILEEEKRKIEAFQRELPLCMHLLGEGPLDASFSLSFHWIRFLAVLRIPVAVIDGLKKETERCGGGCFGRVLQELLPVESRVEEDGEVKVDDKMNWMSSAQLWSDNYGENDISHENHEEGRRQGKENLFLECKSPGGDGAFLPFEALSTVSASSEEKKPAAALPDRRGCGRGPKSVGRAPESSPAPATVCGRLGFQSQHQPSRKARRCWSQELHRRFTVAIQQLGGAQVATPKQIREVMKVEGLTNDEVKSHLQKYRLHAGKLPNASSAMASPPGAVGGSSCVRLQQQCISPPPQQSVSPSGSLSTEPSSAG >Ma04_p39030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36284352:36286082:1 gene:Ma04_g39030 transcript:Ma04_t39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPAAMGSEVGLALQLCAMRTVGGFVKDAATESAGRAARLVESIKILEEEKRKIEAFQRELPLCMHLLGEGPLDASFSLSFHWIRFLAVLRIPVAVIDGLKKETERCGGGCFGRVLQELLPVESRVEEDGEVKVDDKMNWMSSAQLWSDNYGENDISHENHEVMICGKKKEELFVIFFLLTWIFHFWSRQEGRRQGKENLFLECKSPGGDGAFLPFEALSTVSASSEEKKPAAALPDRRGCGRGPKSVGRAPESSPAPATVCGRLGFQSQHQPSRKARRCWSQELHRRFTVAIQQLGGAQVATPKQIREVMKVEGLTNDEVKSHLQKYRLHAGKLPNASSAMASPPGAVGGSSCVRLQQQCISPPPQQSVSPSGSLSTEPSSAG >Ma07_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1562839:1566303:1 gene:Ma07_g02010 transcript:Ma07_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MGVGGVRTLHLRCSPVFLRPPPTRSPRLLCKLFSPPRTLQKRHSLSFHSAASPDPLSYSVENGNANSCTRCTHGVVNDISCNKLLQVVLVSPQIPGNTGSIARTCAASAVGLHLVGPLGYTIEDSKLKRAGLDYWPYVVVKVHTSWAEFREYFRQQDGEKRLLAFTKRGTQIHSDFTYKRGDWLVFGSETSGLPPEALFDCSAEGLGGGTVRIPMVETYVRCLNLSVSVGIALYEASRQLNYEQLQYPLSSEDESQRLFLSEDIFG >Ma06_p25890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26508828:26510773:-1 gene:Ma06_g25890 transcript:Ma06_t25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEALRLAKREIQNPPVVLDDPDLYAPLFKNVSVFKRSYELMERMLKVYIYQDGPTPIFHTPELRGIYASEGWFMKLMEENRQYTTRDPSKAHLFYLPYSARQLELALYVPNSHNLRPLSLFLRDYVNGIAAMFPFWNKTRGADHFLVACHDWGPYTTTQHEELCKNTIKALCNSDASEGIFIRGRDVSLPETTIRDPKKPLRYVGGNRVSQRSILAFFAGNMHGRVRPILLKYWGDKDEDMRIYGPLPNRVSRQMSYVQHMKASKFCICPMGYEVNSPRIVESIYYECVPVIIADNFVLPFEELLDWSAFSVVVAEKDIPNLKNILLGISLRRYIRMHTCVQKLQKHFLWHAKPLKHDIFHMILHSIWFNRLNQIQLQQE >Ma08_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1894735:1895037:-1 gene:Ma08_g02430 transcript:Ma08_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNRLGGGGGGGEMDSLKLAHVLMLLSRGGGGGRVEEKEHMAQPPSGRVFECKTCSRRFPSFQALGGHRAKHKKPRPVGDRHGQTQVGAAKPRVHECSI >Ma05_p27330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38676958:38678523:1 gene:Ma05_g27330 transcript:Ma05_t27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPEILISVAFLLSFFFFFSSYRLRRHKLPLNLPVVGMVPDLFSHISRVHDYAAEFLAAANWTFVFKGPWLSGMDMTITCDPDNVNYVFVTRSSNYPKGEEFAEMFDIFGDSLLNSDGEAWRSQRKMIHSLMSNRRFRSYELKANRDKVEKRLIPLLQGVAEQNKVVDLQDVFLRLTFDTACSLILGVDPGCLADDFSDVPFAKALGDAEEVIFLRHIVPKFWWKALRWLGVGEEKKLAMAHRVMDDFATSTITRRKESISKEIRSREDGEDDEEAAADLLTVYMHQPTVREKNALEFDKFIKNNALNLLLAGRDTTAATLTWFFWLLSMHPHAEHEILEELRRHWPAAPDETPNPNTPFDRDGLGKLVYLHAALCECLRLYPPIHAQSREVAKPDVLPSGEKVRPGTLLVFHAYSMGRMEGLWGKDCMEFKPERWITESGDLRHEPAHKFFAFSCGSRICQGKDMAFIHMKTVAAAMLRNFRFEVVEGHVVEPKLSIILHMKNGLMVKVCKRERSAVTV >Ma11_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26109110:26109684:-1 gene:Ma11_g22280 transcript:Ma11_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDAEDAELLQLSLSFGVKPPAKIHRRTKTADGEFECKTCSRRFPTFQALGGHRTSHKRPRIDRSTPKPSMHRCSICGAGFALGQALGGHMRRHKAMDGELMRSDGEELDLAMAPLQVNLFDVAGRSSSHSPLLQLFV >Ma05_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7029482:7032465:-1 gene:Ma05_g09750 transcript:Ma05_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVLRGTMRGHTDMVTAIAAPIDNSDMIVSSSRDKSVLVWHLTKDAPSASGDGTATGSSYGVPKRRLTGHSHFVQDVVLSSDGQFALSGSWDGDLRLWDLSTGVTTRRFSGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDADAHTNWVSCVRFSPNAYQPTIVSASWDRTIKVWNLTNCKLRCTLAGHAGYVNTVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGAIIHSLCFSPNRYWLCAATQESVKIWDLESKSIVQDLKPETTGTKNQMLYCTSLTWSADGSTLFTGYTDGTIRVWEIRY >Ma01_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9808277:9809527:-1 gene:Ma01_g13410 transcript:Ma01_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALGVSRSSSLVTDPRRYTIVASTSTAIPSGRPTTVTIGKRWREYQGANNWDGLLDPLDDALRSEILRYGEFARVAYTCFDFDHSSPTYGACRFPKRSLLRHAGIPDTGYCVTRNLTATSGARLPRWAEPGAPAWFSRCTSWIGYVAECQDEDEIERLGRRDIVISFRGTATCLEWLENLRATLTHLPSVAVPAALGSDAAEPMVERGFWSLFTSSSSTSCSLRDQIRQEIRRLIVKYGGRGNPLSITVTGHSLGAALAVLTAHDITTAFQDAPMVTVVSFGGPRVGNASFRRRLEESGIKVLRIVNTQDVITKVPGFVVEDQSVAEDKENGSIPSWLLSKTGWVYADIGRELRLPGQPTANVVACHDLSVYLNLVNQLSANCPLRSLAMRAAASQPKAAITSIDPMHINPKAT >Ma02_p24770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29236963:29242697:1 gene:Ma02_g24770 transcript:Ma02_t24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLSLPGEIGGLSFLESCGRTFPQLKVGFPSRRKEKGTVPSRGRTSCSIQQAPPPAWPGRAVAEPGRTSWGGPKPISIVGSTGSIGTQTLDIVAEHPDKFKVVALAAGSNVTLLADQIKTFKPQLVAIRNESLVSELKEALADAEHKPEIIPGEEGIVEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAVFQCIQGLTDGALRRVILTASGGAFRDWPVEKLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDNIDIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTLSWPDRISCSEITWPRLDLCKLGSLTFKTPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCDAHRNDLIANPSLEEIIHYDLWARKFAANLQPASGLSPVPV >Ma03_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6315647:6315980:-1 gene:Ma03_g08580 transcript:Ma03_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLLLYLVSGYSVFHAYDVDEIRSDRAQRPSATSSSTSPASVRSSSSSLSTPSPLPWTLSTNATPFLKGLLPRS >Ma10_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28402262:28405471:-1 gene:Ma10_g16840 transcript:Ma10_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGNRPDTFFTTEAIRSVSSEVSTDLQIQVHNSLYQLHKFPLLSKCLRLQKLCSSLKDTSEHTVILLPDLPGGAEAFEVCAKFCYGITITLSSLNIVPVRCAAEYLQMSDDADRGNLVGKLELFFKSCILRRWKDTLVTLQSTRNYSPLCEELGITGRCIDAIATAIIASPTQAITSSHSRKAATKNWWAGDISELGIDHYWRVMVAVKSAGIVPNKLIGEALRIYARRWLPNMSRNGQQNELEADDSLSESPTEAPVKHRLLMEKIVSLLPTEKGSVSCSFLLKLLKAANILHASTSSKMDLARRIGLQLEEASVDGLLIPLDSNSSGTLYDVDIVMAMLEEFLLQGQSPATSPPREKLRCERRRSRSSENVEFEVQENSRRSSSASHSSKLRVAKLIDGYLQEIARDENLPMKKLIAIAEAVPDFARLDHDDLYRVIDIYLRVHPELDKNARKQLCRILDCKKLSVEACMHAAQNELLPLRVVVQVLFFEHSRAAMSGGQVTELPSNIKALLAKTTARGEDRDALKLHHIGTATPLEDGWSISRLKCPATKLATLKTKLAEEEDNDMDDDLIPRDALMRSASSRFKALCSLPKKPKRIISKLLAMNRSASERN >Ma07_p09570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7164799:7168735:-1 gene:Ma07_g09570 transcript:Ma07_t09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTELAQAQLKSLREQVFLPTLGSYQTERNSIISQHFLLSSVNGKHLQYSLTYKLNQASRNGGIRNARFFLSERDGSVAWRRILRAHLDRARSRRAAEKNHTVWIGCLGGWKAQSQQLEVKYLMGRPNLCFMFFSCASEGWKLEMMPFISSLDLLHPLSITFPTYLIIVIPPSAFPLWCEVLIRALYVEALGVESGNMRFVLHACS >Ma07_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29267734:29270415:-1 gene:Ma07_g21200 transcript:Ma07_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNDDHIFLLQIVATASTNQLKPSSVQIGNASLASAPTREQNVAEATNNVVSLPVTIKDVEDFRQMPGYGNVQTFTYEELRLATKNFRPDLVLGEGGFGLVYKGTIDDNVRPGFEYTQVAVKRLNPDGHQGDKEWLAEVNYLGHFSHANLVKLIGYCCEDEHRLLVYEYMTCGSLECKLFKKGCTTMPWLTRMKIALDAANGLAFLHGAERPIIYRDFKTSNILLDSEYNGKLSDFGLAKEGPMGEQTHVSTRVMGTFGYAAPEYIMTGHLTARSDVYGFGVVLLELLVGRKALDRSRPSREHNLVEWARPLLVRRKRLFSVIDPRIGGQYSNANAERVARLAYDCLNQNPKARPAMSEVVAVLTAVLRDEASLPLSGSEAAVTLYEAPKEDAHSPAVAMKREAAERS >Ma09_p31160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40996958:40998637:1 gene:Ma09_g31160 transcript:Ma09_t31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLASIAMVVATMLFLIMFIKKEFSKSESQYPRPPPGPWRLPIIGCMHHFAGQIHFRAFRHLSLTYGPLMLVRIGQVNFAVASSREAAQEILKNQDPNFAARPELVVGQIVCYGCSDVAFSPYGPYWKQLRNIFHAELLGTEHIQSSASIREEETLNLIRDISTATQPINLSEKLRRMSNAIISRAAIGSRSKHQETLILVAREFTDVLGRVYAVDMFPSLKLLHVLSCAKFKLHRIRRRFDKIFDDIIKEHEVKAKMNKGRQVAEVKEDIIDALLRLKDESELQVPMTMDGIKAVILDMLVGGTENSSIVIEWAMSELMKNPKIMEKAQTEVMEELKGKNRIRETDVVELNYLKSIVKETLRLHPPATLIPRMCRKTCDVLGYEIEAGTRVLVNAWAINRDPQYWEEAESFRPERFESKPIDFKGGNFEYLPFGAGRRICPGMEFGLATVHLSLAQLLLYFDWKLPDGRKPRELDMSETYGLTVARKTELKLLATPRIPIPSTV >Ma10_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14211497:14213191:1 gene:Ma10_g03580 transcript:Ma10_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVRLSLAPVAAIAHLSSSSAGRIRASSVMATTTSTPAVIVGGGRVGRMLQSLGDGRDVLVGRGQPVPAEFEGPILVCTRNDDLEAVLASTPPSRWNDLVFFQNGMLEPWLESKGLGDADQVLAYFAVSKLGEDPVDGKTDTNPEGLTAAYGKWASAVAARLHGGGLSCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATIGVVEKEYRSEVASLIAELASAAAAEKQLTFEEGMEDRLCAYSRAVAHFPTAVKEFKWRNGYFYSLSEKALAGGRSDPCPLHTAWLKELRII >Ma10_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28211320:28211803:1 gene:Ma10_g16550 transcript:Ma10_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSNDIFNSMYIYPLYLCVLTKFFLKDSKHPQGHRHHDSSRHQHSPKHSLQVPDASSLMPAQGSSPSSCLTCKLQKAQKNAK >Ma08_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35760971:35761835:-1 gene:Ma08_g22040 transcript:Ma08_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRNSGLAKDSLQIKQGDEFYSRLLSKESSEANPSFRVYYAVASGTVPFLWESQPGTPKHMSSAAALPPLTPPPSYYYSTRNSISMKASKSSFLRTILPKLTLRKIHTSPLSSLSSSSVSSSSSSAFGRSDRRGSSSSPASYFASEEFDDGSPASTLCFGMRRCA >Ma05_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32220437:32225807:1 gene:Ma05_g20560 transcript:Ma05_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGAIAYRATASIVVAPLLVLVVIYACLWPLGVPTAFFRLQHGANTTEITPKDELEAALEAVAMENRTLIIAILNKAYVEQNAMLDLFLQSLGEGEDTELLVDHLLFVAVDQRAFNRCRTLELHCYNLVTEGVDFSKEVFYMSDAFNNMMWRRTLFLGDVLRRACSLIPQPHDVDVSVAEKEEYR >Ma06_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1497373:1509181:-1 gene:Ma06_g01830 transcript:Ma06_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRAVPNSGDDDTSHRSKRRRVAASGEALGNIEAGSGTSEGKKALYHCNYCNKDISGKIRIKCTKCADFDLCVECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWTEVAEHVGTKSKAQCIDHYTTSYLNSPCYPLPVLYLSQISVVLIFIFDTLFIPSKLSCSFACGITLQDMSRVNGKNRKELLAMAKVQVEGKKGSSLLGDVTPKEESPFSPARVKVEDIGEGAANQSPSNLAAGASKVTSNTGKFKDNPDGPKVEDSYLDRTIGVKKPKCSGEEGPSITESGYNPKRQEFDPEYDNDAEQALADMEFKENDTETERELKLRVLRIYLSRLDERKRRKDFILERNLLYPNPLEKELSSEDRELYNRFKVFMRFLSQEEHENLVKSVIEERKIRRRIQELQECRAAGCRTLAEAKAYTEQKRKRELEVGAQNSKENTQILSGGKVAQKANRPLNREKGDNDGSPRNTTDNHKIKGSTGFESSGKDSPSTTTGQVSVRSFDEWDITGLPGTEFLSETEQDFCCQNRLLPSHYLKMQETLVQEIYKGNIINKSDAHGLFKVDPVKVDKVYDIVKRKLGQQEESTIV >Ma06_p01830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1497373:1509181:-1 gene:Ma06_g01830 transcript:Ma06_t01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRAVPNSGDDDTSHRSKRRRVAASGEALGNIEAGSGTSEGKKALYHCNYCNKDISGKIRIKCTKCADFDLCVECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWTEVAEHVGTKSKAQCIDHYTTSYLNSPCYPLPDMSRVNGKNRKELLAMAKVQVEGKKGSSLLGDVTPKEESPFSPARVKVEDIGEGAANQSPSNLAAGASKVTSNTGKFKDNPDGPKVEDSYLDRTIGVKKPKCSGEEGPSITESGYNPKRQEFDPEYDNDAEQALADMEFKENDTETERELKLRVLRIYLSRLDERKRRKDFILERNLLYPNPLEKELSSEDRELYNRFKVFMRFLSQEEHENLVKSVIEERKIRRRIQELQECRAAGCRTLAEAKAYTEQKRKRELEVGAQNSKENTQILSGGKVAQKANRPLNREKGDNDGSPRNTTDNHKIKGSTGFESSGKDSPSTTTGQVSVRSFDEWDITGLPGTEFLSETEQDFCCQNRLLPSHYLKMQETLVQEIYKGNIINKSDAHGLFKVDPVKVDKVYDIVKRKLGQQEESTIV >Ma05_p26910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38421003:38423351:-1 gene:Ma05_g26910 transcript:Ma05_t26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTSSSVPLRSPFRTTISDSHPCRCLLLPLRRTPPAVISSFAIPTAPRRHRRRARTRSLHAAPARRTHRPSDPANDRLRRVVRLGELDEGLRLLEAMPGRGEVPDIIPCTSLIRGFCRLGRTRSAARVLDLLESSGAAPDVITYNVMIAGYCKSGVPDAALRLLRRMTVPPDVVTYNTIFQSLCERGRLTKALELLDSMLQRGCAPDVFTYTILIEAACKESGVGQAMKLLDEMRAKGCTPDVVTYNVLINGICKEGRLDEAIEFLSGMQSYGCNPNVISHNIILRSMCSTGRWMDAEKLLADMIHKGCSPSVVTFNILINFLCRKGLLARAIDVLEKMPEHGCTPNSMSYNPVLHGFCKEKKMDRALEYLDKMVSRGCYPDIVTYNTLLTALCKDGKVEVALEMLQQLGGKGCSPVLITYNTVIDGLSKVGNTSKALELLKEMMQKGLHPDIITYSSLVAGLSREGRINEAINIFQDLQKMGTRPNANIYNSIILGLCKVQQTVRAIDFFAYMVSNGCMPTESTYTILIEGLAYEGMTTEALELLGELCSRGVVKKNNSMENVVAKI >Ma04_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14546336:14570485:-1 gene:Ma04_g15920 transcript:Ma04_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALWQPREEGLREICGLLEQQISPNGDQARIWQQLQQYNRLADFNNYLVFILAHAVGKSLEVRQAAGLLLKNNLRTTFSSLSSSYRQYIKSELLPCLGASDRTVRSTVGTVVSVLLQLETVAGWPELLEALAQCLASNDFNHMEGAMDAIYKICEDIPEELDVDVPGLSERPINIFVPRLLQFFQSPHAILRKLSLSSINQFIVFMPTALFMSMDQYLQGLFHLAQDPSAEVRKLVCSAFVQLIEVRPTFLEPHLRNVIEYLLQANKDPDDEVALEACEFCLQQKSSTTSDSSWKEREAAVLAIGAVAEGCINGLYPHIPEIVTFLIPLLDDKFPLIRSITCWTLSRFSKFIIQNIGHRDGYEQFDKVLMGVLRRILDSNKRVQEAACSAFATLEEEAAEELAPRLEIILQHLLCAFGKYQRRNLRILYDAIGTLADAVGSELNQPKYLDILMPPLIAKWQQLANTDKDLFPLLECFTSIAQALGPAFSQFAEPVFQRCISLIEIQQLAKVDHVTAGVQYDKEFIVCSLDLLSGLAEGLGSGIETLVAKSNLRDLLLQCCMDQATDIRQSALALLGDLAKVCPVHLHPRIPDFLRVAAEQLNITAVKEAVSVANNACWAIGELAVQVRQEIAPVVLTVISYLVPILQNAEGLNKSLRENSAITLGRLGWICPELVAPHVGHFIQPWCTALCMIRDDYEKEDAFRGLCAIVRTNPQGAVNSLSYLCKAVASWHEIQSEDLHNDICQVLNGYKQMLPNGAWEQCMSTLEPPVVQRLSRFQV >Ma02_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21708150:21712366:-1 gene:Ma02_g13200 transcript:Ma02_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPARIHESVNDGSRNERAYLQVETQPKIQEDTESLNPQVAHSKCFDDDGRLKRTGTVWTATSHIITAVIGSGVLSLAWAIAQLGWIAGPIVMILFAFVIYYTSNLLSDCYRSGDPVTGRRNYTYTDAVRSNLGGAKVKICGAIQYVNLFGVAIGYTIAASISMMAIKRSNCFHASGGKDPCHMSSNMYMIIFGITEIVFSQIPDFDQVWWLSIVAAVMSFTYSTVGLGLGVTKTAENGSFRGSLMGISIGTVTKAGTVTATQKIWRNLQALGDIAFAYSYSIILIEIQDTIKSPPAENKTMRKATLLSIVVTTVFYMLCGCMGYAAFGDDAPGNLLTGFGFYNPYWLLDIANLAIVVHLVGAYQVFCQPLFAFVEKWSAKRWPKSELITHEYEARIPCTGATYKLNLFRLVWRTAFVVLTTVISMLMPFFNDVVGILGAFGFWPLTVYFPVEMYIAQKKIRPWSSRWVGLQLLSFTCLVVSLAAACGSMAGVVLDLKSYRPFESTY >Ma05_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6124236:6128603:-1 gene:Ma05_g08240 transcript:Ma05_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLLRRFSSSLTPKRGFSGSAAAVAGENAAAAAGLPNMPPFDYTPPPYDGPSAAEILRKRSEFLSPSMFCFYKKPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPEVIEAIINQMKRLQHSTVLYLNHAIADFAEALASKLPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNAYHGNAAGTMGATAQCNWKFNVIQSGVHHALNPDQYRGVFGSDGEKYAKDVQEIIDFGTSGRVAGFISEAIQGVGGILELAPGYLPSVYNSIKKAGGLCIADEVQAGFARTGSHFWGFEAHGVVPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVCTAGGHAVLKVLEKEKLQENALVVGSYLKDGLMALKEKHEIIGDVRGRGLMLGVELVTDRQQKTPAKTEILHVMETMKDLGVLVGKGGFYGNVFRITPPLCFSKEDSDFFVDAMDIALSKL >Ma02_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19731313:19737605:1 gene:Ma02_g10030 transcript:Ma02_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSSNPPPHRPSRPSAVLPYKTPNLREHYRIGKKLGQGQFGTTYLCVDKNDGKEYACKSIPKRKLLCRDDYEDVWREIQIMHHLSEHPNVVRIKGTYEDTLFVHLVMELCAGGELFDRIIQKGHYSERKAAQLIKTIVGVVEACHSLGVMHRDLKPENFLFASADEDAALKATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKLYGPEADVWSAGVILYILLSGVPPFWAESEAGIFRHILQGRLDFESEPWPGISDSAKDLIRNMLNRDPKKRFTAHQVLCHPWITDDNVAPDRPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKEGLRRVGSELMESEIHALMDAADIDRSGTIDYGEFLAATVHMNKLEREENLMSAFSFFDKDGSGYITIDELSQACREFGLDEVHLDEMIKEADQDNDGQIDYNEFTAMMRKGNVGVGRRATRTNINFNLGDAFNVEH >Ma01_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3373636:3376753:1 gene:Ma01_g04890 transcript:Ma01_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVSELKQQSVPGKRIFRSSLSTRQATEWPLSDVSSDLTVEVGTSSFPLHKFPLVSRSGKIRKLVSEAKDSKVTRINLQGTPGGAEAFELAAKFCYGVHIELDLSNAAMLSCAAHYLEMTEEFAEKNLELRAEIFLKEVVFSNNLNSITVLHRCESLLPVAEDINLISRIIMAIATNACKVEHTTGLATPEHGLIEVEATADWWGKALVMLNLDFFQRVLTAMKSKGLKQETVSRVLIYYAQNSIQGLAARDIRPSKCSLSDAETVKKQKIKVETIVSLLPTQSRKSPVPLAFLSGLLKTATMVSASTLCRADLERRIGIQLDQAILEDILIPASTHTGSHSLYDTDSIARIFSVFLNSDEEEDDDGAHLREDRDSRYVFDSPRSPKQSLMVKASKLLDSYLAEIALDSNLTPSKFIALAELLPDHARVVNDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAINGNHDQLFFGSANGQYSQRSGSGVGSGAISPRDCYASVRRENRELKLEVARMRIRLTDLEKDHVSMKKELVRPNPANKLLRSFTKKLSKLSSLFRRRDAKPLSSKTASDSRLMFQKRRRHSIS >Ma07_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8247911:8261346:-1 gene:Ma07_g11100 transcript:Ma07_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSVDVVLQFLRKNRFMHAEAALRAELNARPDLNGFLQKHLAEEKEALGEHVGTASGMTQKGMSVYSGEMENEFIVKEIEVGGAGNGSKRKKNSTYNWGPESHPVDPHTWNYSSAGSTVNCVVNNSSTRDITNNFADLLISKEPKHQHDSSMSEKRDLTVGTKSDLSGEQNRVSDRRGKVKDEVEVNPEINQIVDHKDDNAFSEDHFIDKLWLQSENLSTDCSVKTVFPFPAHNTTSSFEGHLDYRKGLRKKAESDDFKEATREQPDGMSWSHFSGESRENLKMKESRILDLSFIGENHKEELPKLRPVRLKSEDKSINMDIEEKAGHHEPGIRLSKAEKTFTFGSLVDVPIGQEFNSSGGKQTIGSSWLSVSQGISEDTSDFVSGFATIGDESIDYPNEYWDSDEYDDDDDDDDVGYMRQPIEDETWFLAHEIDYPSDNEKHCHDNVPTWQDHTLRKDEDDDQSFAEEEFHLSSEQYLQPKNVEKTAAAQGTIGHKMLYRRIEENDLLAQYDGQLMDVEELSLMRAEPVWQGFVTQNSDLIMLGNGKFSNEIEQCRTDPFMEDDQHGSFRSIGVGINSDAADIGSEVHESLVGGSSEGEYFLDYDDRMSGKRHSQSNTTRTNMNRSKRGNLRGSKQDPGSYILPSDKTVYLSGVGFQDSGFSFLPPSITSDLIKDDSCKPLWSSNGNNVVGILDGCRDGMVTEGMLGTWRRKSSDSSPIQSSRDDKTSDGVRSRKSSASASKDDFAEAEVVNRGVNYITGEAGEEDVETTLEDEEAVVLQDQVKQIKAQEEEFETFDLKIVHRKNRTGFEEDKSFQVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFINKHDPADSYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILIKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLAQIMGIIGPIDQDMLAKGCDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIYFVAHLLEVNPKKRPSASQALKHPWLSYPYEPISS >Ma07_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8784641:8786584:1 gene:Ma07_g11830 transcript:Ma07_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPTSHVRRSLSWGVIVGCFLLSMILLVLTTSYAASFPGFGLSLSGNSASAGAKTEEKLTSDHPDAKIKTGEKLQRKPLCDLTQRRADICDMEGDIRVHGNSSSVLFVTSSGLQESYRIQPHPRKGDHAALATVTEVTVRSTTAEEAPKCTTKSRVPAVIFSNGGYMFNFFHDISDALIPLYITSHRFDGEVQFLIRDMLPWWVEKFQPLLKGLSRYDIIDLNRDHEVRCYSHVIVGIIFHGDLYIDPTKSGGLTMLDYGAYLRKSLGLKRETAIKLANPDEEKKPRVLIVNRKGTRRFTNVDEIARMVEMSGFQAVVSEVHENKSLAEFAQVVNSCDVLLGLHGAGMTNLIFLPTNGILIQIVPLGRMEDVCWINYGAPAVRVKLHYLQYSIRAPESNLIDQFPKDDPVILDPKAVFEKQGSARWVSLYMHRQTVKLDVERFRSVLWHAREIFRQPWN >Ma10_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16369562:16369982:-1 gene:Ma10_g05300 transcript:Ma10_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSVVCARWVVVDPRHHMLGRLASILAKELLNGQRVAVVRCEDMCLSGGLDRQKMKYLRFLRKLMNTKPSHGPIHFRAPAKILWRTIRGMIPHETKLGAVILAQGL >Ma06_p29810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31188887:31191278:1 gene:Ma06_g29810 transcript:Ma06_t29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLGEKGHLIWLGKKCCFGMPEAGCPCSKKTDYSCENVCGGASRAALSMSRRLRCALQVFDLKALLLLFIGVPTLVFVIYAHGQKITYFLRPLWESPPKPFRTIPHYYHENVSMENLCKLHGWGIREMPRRVYDAVLFSNELDILEVRWNELSPYVSEFILLESNSTFTGLKKPLSFAENRHRFKFVESRLTYGTFGGRFVKGENPFVEEAYQRKALDQLIRIAGISDDDLLIMSDVDEIPSGHTINLLRWCDEIPEKLHLQLRNYLYSFEFYLDDDSWRASVHRYQAGKTTYAHLRQTDDLLADSGWHCSFCFRHISEFIFKMNAYSHVDRVRFAYFLNRFRIQDVICHGADLFDMLPEEHTFQKIIAKLGPIPSTYSVVNLPGYLIQNADQYRYLLPGNCKRERG >Ma06_p29810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31188914:31191278:1 gene:Ma06_g29810 transcript:Ma06_t29810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLGEKGHLIWLGKKCCFGMPEAGCPCSKKTDYSCENVCGGQASRAALSMSRRLRCALQVFDLKALLLLFIGVPTLVFVIYAHGQKITYFLRPLWESPPKPFRTIPHYYHENVSMENLCKLHGWGIREMPRRVYDAVLFSNELDILEVRWNELSPYVSEFILLESNSTFTGLKKPLSFAENRHRFKFVESRLTYGTFGGRFVKGENPFVEEAYQRKALDQLIRIAGISDDDLLIMSDVDEIPSGHTINLLRWCDEIPEKLHLQLRNYLYSFEFYLDDDSWRASVHRYQAGKTTYAHLRQTDDLLADSGWHCSFCFRHISEFIFKMNAYSHVDRVRFAYFLNRFRIQDVICHGADLFDMLPEEHTFQKIIAKLGPIPSTYSVVNLPGYLIQNADQYRYLLPGNCKRERG >Ma10_p29820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36265329:36278685:-1 gene:Ma10_g29820 transcript:Ma10_t29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MEVIGCLFSRRSLSAFPILPPRSRRCPFQSPSLVPLMMSSSPSSAQEAYKGPRPRRDLVGDWVSNNNGLVRSLPIYVGGLSLLVVLLNRAFSGIALVTDASSSQSRADILTLSLSVTNLLTGLVWLSIRPKYVSPVIPCGVECMRINSDIADLAIHELRWVWESLSSTTCCRSLVVIYGGNCFLQIGIAAESQNETGVAMVVDVHKLLQGSLCRSAIGSGKQSYLANLSLYPGRSELPFLPSNTQSVILQPLGDRGIAIVGGDIIRGFTNLDQAWITLIAEKLDATLSEL >Ma08_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37429439:37435004:-1 gene:Ma08_g24130 transcript:Ma08_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRRKRKGTKSSSFVESNLSDDRKCKKKCREMEKVGSRNGGGGGVVMTAPPIGCSLPGTPARGLKRKLGCIDSATRIGRKKKLEHEYVLGREIGQGKFGSVRLCRSKASGEEFACKTLPKNGGETVHREVEIMQHLSGHPGIVMLKSVFEDSDCFHLVMELCSGGRVLDQMIKEGKFSEQRVAMMLKELVLVIKYCHEMGVVHRDIKPENILLTGSGKTKLADFGLAVRVTDGQRLSGVAGSPAYVAPEVLSGHYSEKADIWSAGVLLHALLIGSLPFQGDSLEAVFEAIKNVELNFHSAAWDSVSELARDLISQMLTRDTCARMTADEVLRHPWIVYNTDHPSIGSANHSRIVFDSANVSLTLENFGTDSELQDDSGFVDALAVAISRVTISESKRSRFCNPDPPIEPECSSNMKANLCTAF >Ma06_p36780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36055728:36058646:1 gene:Ma06_g36780 transcript:Ma06_t36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERPALEPDASGGSSWAFSGDGAAAAIASLEDLYGGGGGDGEGEIGWGLPLGPPGVGEEGVFPPLLEVPESSSGSAPAAAAAKAAREERSDPPAASGDAASSVSSEEPPPESDEKAADTANKTSKKGIKRTRQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVSYPRGGSHLHTAAALAAAQQLALSAPQLYAPPSPFPQSPSLIHPQQEQRPPSLLDRTLALPAVDEGLLGDIVSPATRNR >Ma05_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32812010:32819572:-1 gene:Ma05_g21090 transcript:Ma05_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSSATQVSSRNKATAVAAAAAAAEGKHGQPGAKNEQQKQKPRARNCGKLKAVARLSCGRRTNFGYERDFERRYSIGKLLGHGQFGYTFVATDKANGDRVAVKRIDKNKMILPVAVEDVKREVKILKALKGHENVVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKESRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDCPLKATDFGLSDFIRPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIYKEVLRTEPDFRRKPWPNISESAKDFVKKLLVKDPRARLTAAQALSHPWAREGGDALEIPLDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFHAIDVDKSGAISLEEMRHALAKDLPWKLKEPCVSEIVQAMDSNTDGFIDFEEFVAATLHVHQLVEHDNGKWRSLTKAAFDKFDVDKNGYITPDELRMHTGLKGSIDPLLEEVDIDKDGKISLDEFRRLLKTASMKPRSVPRQSTTRDRRKLCS >Ma09_p26500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37758703:37759047:1 gene:Ma09_g26500 transcript:Ma09_t26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNECGSRLCDLKGEEQAAAKEEFIGILKLLEGELGDKKYFGGDAFGFVDIVLVPSVSWFYSYENCAGFKMEEAAPKLVAWGKRCMERESVAKTLSDPHKVYEFVDRLRKRSGVE >Ma04_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1910085:1910684:-1 gene:Ma04_g02180 transcript:Ma04_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHESDCEDSVPMSLSFRDIATATAGRDPSALHRALSSPPDSFQFFCAASEMCSAEDVFLGWRLLPLNPRSPLPGTMPLDHRRSGSLDRRHYWPGGLADKHRKLRRAASDPPTEAMKPQPRWYLFVLGPMRVPSAMQMEDIRTRQRRRRTPSPEDTVGRLSNGRRGPWRLLRSLSCNGAESAAVLPSPVRFTSHLRA >Ma07_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30144355:30146210:-1 gene:Ma07_g22080 transcript:Ma07_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTAVASHEDLDNDGEEPLPAYKRRGRLQKTLKDNIDEDDTEKNEEGTDDTKVTVTSKELNGSMGQKGKKRKRYSQVKENPDLVSEENGDELKPKTEELTRSNGFRHNGSRRKSKPRRAAEA >Ma09_p00870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:576589:578666:-1 gene:Ma09_g00870 transcript:Ma09_t00870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEASRGLEWQVTVPGGSSVKLESDSVPTAAGWRMHRLKSALTSKVFDFASTVRKIAADDPRKVVHCMKVGLALALVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEFTVGGSLYKGLNRATATLSAGSVAFGIHWLAIKSGRTADHIILGASVFLLSSAATFSKFIPTIKARFDYGVTIFILTFNLVAVSSYRVEKLLALAQWRFCTITIGFCICLTVCVVICPVWAGEELHRLVMRNMEKLADSLEESVAEYLEEEGGVDCNQKLGQKSQGYKCVLNSKSSEDSLANLARWEPSHGCFSFQHPWRRYLEVGAAMRQCAYCIESLDSCINSENQQPPDSVKKHLAKVYAKVTTESSKVLKELASSFRSMRKPSSIDYLVEDMKNTADELQNALGSLPQEATSLIVETLPLITAASLLIEVSVRVEGVVHAVKEITALPCFKPTNGEELKAQNKAPSTVA >Ma09_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:576589:578666:-1 gene:Ma09_g00870 transcript:Ma09_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEASRGLEWQVTVPGGSSVKLESDSVPTAAGWRMHRLKSALTSKVFDFASTVRKIAADDPRKVVHCMKVGLALALVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEFTVGGSLYKGLNRATATLSAGSVAFGIHWLAIKSGRTADHIILGASVFLLSSAATFSKFIPTIKARFDYGVTIFILTFNLVAVSSYRVEKLLALAQWRFCTITIGFCICLTVCVVICPVWAGEELHRLVMRNMEKLADSLEESVAEYLEEEGGVDCNQKLGQKSQGYKCVLNSKSSEDSLANLARWEPSHGCFSFQHPWRRYLEVGAAMRQCAYCIESLDSCINSENQPPDSVKKHLAKVYAKVTTESSKVLKELASSFRSMRKPSSIDYLVEDMKNTADELQNALGSLPQEATSLIVETLPLITAASLLIEVSVRVEGVVHAVKEITALPCFKPTNGEELKAQNKAPSTVA >Ma05_p15060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11072627:11077155:-1 gene:Ma05_g15060 transcript:Ma05_t15060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDFTLQEEEDEVFFDSRDYVSSVSDSCPGSLADNGLFPEDQFISWPRVLPQVWIKDPVSVRERRDKFMKIFGVDMMNSSPEESDSPDEELKVDGDIEPDIGRVMSDCGAVLRSPCSGGNCSMSSLSNEEMGASCSANNLGKDGSLRSLHEVGYNRSSTLHELERNFGPSSLTQQLMRKEDDASIISKKSVERTKIGWLRRLGAAACMLDRQGDKCSSNFSNFCRGGSTKTQRVKVHPYKKRSKELSAVYKRQDFKAHNGAILTMKFSPDGQYLATGGEDGVVRVWYVTECERTDEKDILGDDPSCIFFTVNDTSELTPLYVDEEKKLKSRSTRITSDPVCVVIPPEAFQLSEEPLHEFHGHDGDVLDISWSNNKCLLSSSMDKTVRMWQVGSEDCVKIFPHNDYVTCVQFNPVNEAYFISGSVDGKVRIWEISVCRVVGWVDTREIVTAVCYRPDGKGAVIGTLAGNCRFYDASDNHLQLDAQVSLQGKKKSSKRITGFQFCPSNPHKLMVSSADSQIRIFDGIDVVSKFKCIQNSGSQVSASFTADGQHIFSASEDSNVYMWSHHASDVAPTSHHVKSTWSSERFISSNASIVIPWCGLQCRKSGTNTSEVPHLQKDVFRENAGLIGYDFNCHIKDLIGKYTLNLSPSSCFSLSHEFLESLRKSSATWPEEKLPSNFVASTFYKSHYKSLKTFRQTTSHAWGQVIVAAGSDGWIRSYQNYGLPQHL >Ma05_p15060.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11072627:11077155:-1 gene:Ma05_g15060 transcript:Ma05_t15060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDFTLQEEEDEVFFDSRDYVSSVSDSCPGSLADNGLFPEDQFISWPRVLPQVWIKDPVSVRERRDKFMKIFGVDMMNSSPEESDSPDEELKVDGDIEPDIGRVMSDCGAVLRSPCSGGNCSMSSLSNEEMGASCSANNLGKDGSLRSLHEVGYNRSSTLHELERNFGPSSLTQQLMRKEDDASIISKKSVERTKIGWLRRLGAAACMLDRQGDKCSSNFSNFCRGGSTKTQRVKVHPYKKRSKELSAVYKRQDFKAHNGAILTMKFSPDGQYLATGGEDGVVRVWYVTECERTDEKDILGDDPSCIFFTVNDTSELTPLYVDEEKKLKSRSTRITSDPVCVVIPPEAFQLSEEPLHEFHGHDGDVLDISWSNNKCLLSSSMDKTVRMWQVGSEDCVKIFPHNDYVTCVQFNPVNEAYFISGSVDGKVRIWEISVCRVVGWVDTREIVTAVCYRPDGKGAVIGTLAGNCRFYDASDNHLQLDAQVSLQGKKKSSKRITGFQFCPSNPHKLMVSSADSQIRIFDGIDVVSKFKCIQNSGSQVSASFTADGQHIFSASEDSNVYMWSHHASDVAPTSHHVKSTWSSERFISSNASIVIPWCGLQCRKSGTNTSEVPHLQKDVFRENAGLIGYDFNCHIKDLIGKYTLNLSPSSCFSLSHEFLESLRKSSATWPEEKLPSNFVASTFYKSHYKSLKTFRQTTSHAWGQVIVAAGSDGWIRSYQNYGLPQHL >Ma05_p15060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11072627:11077155:-1 gene:Ma05_g15060 transcript:Ma05_t15060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDFTLQEEEDEVFFDSRDYVSSVSDSCPGSLADNGLFPEDQFISWPRVLPQVWIKDPVSVRERRDKFMKIFGVDMMNSSPEESDSPDEELKVDGDIEPDIGRVMSDCGAVLRSPCSGGNCSMSSLSNEEMGASCSANNLGKDGSLRSLHEVGYNRSSTLHELERNFGPSSLTQQLMRKEDDASIISKKSVERTKIGWLRRLGAAACMLDRQGDKCSSNFSNFCRGGSTKTQRVKVHPYKKRSKELSAVYKRQDFKAHNGAILTMKFSPDGQYLATGGEDGVVRVWYVTECERTDEKDILGDDPSCIFFTVNDTSELTPLYVDEEKKLKSRSTRITSDPVCVVIPPEAFQLSEEPLHEFHGHDGDVLDISWSNNKCLLSSSMDKTVRMWQVGSEDCVKIFPHNDYVTCVQFNPVNEAYFISGSVDGKVRIWEISVCRVVGWVDTREIVTAVCYRPDGKGAVIGTLAGNCRFYDASDNHLQLDAQVSLQGKKKSSKRITGFQFCPSNPHKLMVSSADSQIRIFDGIDVVSKFKCIQNSGSQVSASFTADGQHIFSASEDSNVYMWSHHASDVAPTSHHVKSTWSSERFISSNASIVIPWCGLQCRKSGTNTSEVPHLQKDVFRENAGLIGYDFNCHIKDLIGKYTLNLSPSSCFSLSHEFLESLRKSSATWPEEKLPSNFVASTFYKSHYKSLKTFRQTTSHAWGQVIVAAGSDGWIRSYQNYGLPQHL >Ma05_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11072627:11077155:-1 gene:Ma05_g15060 transcript:Ma05_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDFTLQEEEDEVFFDSRDYVSSVSDSCPGSLADNGLFPEDQFISWPRVLPQVWIKDPVSVRERRDKFMKIFGVDMMNSSPEESDSPDEELKVDGDIEPDIGRVMSDCGAVLRSPCSGGNCSMSSLSNEEMGASCSANNLGKDGSLRSLHEVGYNRSSTLHELERNFGPSSLTQQLMRKEDDASIISKKSVERTKIGWLRRLGAAACMLDRQGDKCSSNFSNFCRGGSTKTQRVKVHPYKKRSKELSAVYKRQDFKAHNGAILTMKFSPDGQYLATGGEDGVVRVWYVTECERTDEKDILGDDPSCIFFTVNDTSELTPLYVDEEKKLKSRSTRITSDPVCVVIPPEAFQLSEEPLHEFHGHDGDVLDISWSNNKCLLSSSMDKTVRMWQVGSEDCVKIFPHNDYVTCVQFNPVNEAYFISGSVDGKVRIWEISVCRVVGWVDTREIVTAVCYRPDGKGAVIGTLAGNCRFYDASDNHLQLDAQVSLQGKKKSSKRITGFQFCPSNPHKLMVSSADSQIRIFDGIDVVSKFKCIQNSGSQVSASFTADGQHIFSASEDSNVYMWSHHASDVAPTSHHVKSTWSSERFISSNASIVIPWCGLQCRKSGTNTSEVPHLQKDVFRENAGLIGYDFNCHIKDLIGKYTLNLSPSSCFSLSHEFLESLRKSSATWPEEKLPSNFVASTFYKSHYKSLKTFRQTTSHAWGQVIVAAGSDGWIRSYQNYGLPQHL >Ma10_p03650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14250875:14255707:-1 gene:Ma10_g03650 transcript:Ma10_t03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERKGSRDQFPVGMRVLAVDDDPICLKLLEALLVRCQYSVTTTDKAITALKLLRENKDKFDLVISDVHMPDMDGFKLLELIGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRIEELRNIWQHVIRRRKFERRCDNDPDSREDGQKAHIGSSEDGQGVADHNGQSNKKRKDQIEFDEDDSEDNMQENEDPSTQKKPRVVWTVELHRKFVAAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRLSAVAGHQASLVAAVGGRNPSYLHMSSLSGLRNYSTLGGSRQLPTLGSLQLNGLGRINGPSTLGMHGLLPSQTVQLVGLHKNASNPCDDIGKIQRIALPGNHQVNLHQGMPRNSELEQFQQPLLMQESKDHLPASLYGSGLASGPCSGSFPNVPDNALLLQENKQRNQPGGLGKPSSVRMSSSSSELYKIDLPDVSQFPNITDRCNDSWQGAVGSTDYSANTLHISLSHNDFSPGNIGGKFSSMNSHAATKALDESPRVAAGSGGQNRVRPLNENTIAMPAGVNKDPKFSNFNVIGNSGQKWDYTFNSNVISSTSSNSLLPNLRITDAATQCQTPGSVFSNKRLDVDAIGQVHFGPPLVTQNCIIDKSTGDSQLNFRSEYALETASLPSGLNSDGCSFDDLVNAMIKTVDVAHYSLG >Ma10_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14250477:14255713:-1 gene:Ma10_g03650 transcript:Ma10_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERKGSRDQFPVGMRVLAVDDDPICLKLLEALLVRCQYSVTTTDKAITALKLLRENKDKFDLVISDVHMPDMDGFKLLELIGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRIEELRNIWQHVIRRRKFERRCDNDPDSREDGQKAHIGSSEDGQGVADHNGQSNKKRKDQIEFDEDDSEDNMQENEDPSTQKKPRVVWTVELHRKFVAAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRLSAVAGHQASLVAAVGGRNPSYLHMSSLSGLRNYSTLGGSRQLPTLGSLQLNGLGRINGPSTLGMHGLLPSQTVQLVGLHKNASNPCDDIGKIQRIALPGNHQVNLHQGMPRNSELEQFQQPLLMQESKDHLPASLYGSGLASGPCSGSFPNVPDNALLLQENKQRNQPGGLGKPSSVRMSSSSSELYKIDLPDVSQFPNITDRCNDSWQGAVGSTDYSANTLHISLSHNDFSPGNIGGKFSSMNSHAATKALDESPRVAAGSGGQNRVRPLNENTIAMPAGVNKDPKFSNFNVIGNSGQKWDYTFNSNVISSTSSNSLLPNLRITDAATQCQTPGSVFSNKRLDVDAIGQVHFGPPLVTQNCIIDKSTGDSQLNFRSEYALETASLPSGLNSDGCSFDDLVNAMIKTEHEEVAFTDMDLGCDAYSLGTCM >Ma03_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14437617:14438867:-1 gene:Ma03_g14630 transcript:Ma03_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRKLRPYFHAHTIEVITDQLLQQVLSKFDVAGRLLKWSVELGEFDIHYIPRTAIKTQSVADFIAELAEGGNGSPEQTEEAWDLHVDGLATSSSAGAGLVLSAPDGRSFEHSLCFEFRATNNEAEYEALLAGLKLALEMQVDVIHVFTDSQLVVEQLSGGYEAREPTMARYLAEVKSLASNFSCFTLSKVPRNQNERADELAKMASGLDHGNRSGVEDLPFRTISVSSVTPVEARTMWVQEMLLFKRNGILPDDEAAARRIRRTQAWYSKVNGRLYKRSFSQPLLRCLEPGEAKAILAEVHERICGEHIAARTLAYKILRQGYYWPTMSQDARIYMQRCGPYQRHARMPRQPAVPLSPIDYAWPFAQWGLDLIGPFPLASGQRRYIVVGVDYFTKWTEAEPLATITERQVEKFI >Ma10_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23624699:23631689:-1 gene:Ma10_g09470 transcript:Ma10_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSISSASFGGGPAPPSPVASAAAAAAAAAAAAVGQPPKDRKMASAEQLVLDLCDPELRENALLDLSKKRDIFQDLAPLLWYSYGTIAVLLQEIVSIYPALSPPTLSPGASNRVCNALALLQCVASHPDTRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLAEQPSTRLLKHIIRCYLRLSDNPRACGALRSCLPEMLKDGTFNNCLRDDPATRRWLQQLLHNVAGGNLVASLQAGGLDHMMGN >Ma09_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18522485:18524839:-1 gene:Ma09_g18670 transcript:Ma09_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLGPSNAQRYRNISLGSSLTPLGRNTSWLSPSGDFAFGFHPLPTNSSMFLLAIWFEKISSKTMVWYANGDNPVGAGSKVELTTDGRLSLKDSTESEVWNAGITNASYAAMLNTGNFMLVNSQGSPRWQSFQVPSDTILPSQVLDLGSHLSAHLMDDDYSSGRFTLQVQTDGNLVLYTVATPSGFQYDAYWASNTVGNGSQLVFNVSGIYFAQRDNSKVAITSSGVHSTQDFYQRATLDADGVFRHYIHPRNGLTVGVWSDGWTPVAFQPPDICQVTNSGAGSGACGFNSYCRFNENQHVDCECPPQYSFLDADRKYRGCKPDFAAQSCEADASETHELYEFTVMTNVDWPSSDYEQYGSIDEEQCREECLADCFCAVAIYDDGNCNKKRLPLSIGRTGSYGNKKVLIKVPKANQSESVPPCSIRQNKGKRTWILLGSLLLGASVFVLLTAILVVSYCTCSRRSRELQPASILSALSLQPFTYNELKEASDGFREELGRGGSSVVYKGFLQDEARTCVAIKKLDKVFPETQKEFMNEVETIAKTYHKNLVRLLGFCYEGAERLLVYEYMSKGSLMGFLFANERPEWNQRIPIALGIARGLQYLHEECFSQVIHCDIKPQNILLDDNFTTRISDFGLAKLLRTDQTRTKTDIRGTKGYVAPEWFRNTGITSKVDVYSFGVVLLEIICCRRNVRPEAQNEEESILAYWVNDCFRDGRLDLVVEGDDQAISDMRRVERFVKVALWCIQEDPSLRPTMQKVTQMLDGSTAVPGPPDPSSYISSIQ >Ma05_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3524944:3541138:1 gene:Ma05_g04650 transcript:Ma05_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MEKQIMGEFARILRISKLANVALQLLQTMSIMIQNLRNDHSIYYIFSNEHINYLITYSFDFRNEELVSYYISFLRAISGKLNKNTVSLLVKTEKEEVVFFPLYVEAIRFAFHDENMVRIAVRALTLNVYHVGDEYVNRYVVRSPQSDYFSNIVKHFCKRCLNLDEMIYETLRKQDASDSSSSILDAVDDTEDNLYYFSDVISAGVPDLGRLLTDNILQLLVFPLLLPSLKKQNVGTRVGTATSLYLLCSILHIFKTKDLASTIAATLFCPLESFVTRSEATTNGYVPQQAVSQESEDHAPSFLAAQVNIEDSECTSTQTNHFSSQSEDCGSHITLRELLLSYIVGGDELQVLGSLSLLATLLQTKVELDETMLDGLGILPQRKQHKKLLLQALVGEDTGEEQLFSSTSMLKDNISTELDRYLQKLEDEYGYHARCVISPKICRYQVLDALASLFCRSNIPADILWLGGWLLRQLLPHGEEEFDSLHLRRLKDSDNLATSNLLEEIKGAWCDVLIPVLKDEWRICKRALEASSPPKDSKSILLSSQRYSSGGESSFAAAERMHEIVKVFVLQRQLLVFSLGRTLPELPNLYSPVDSPEMSGAKTSVLDVFVPKPGSEICLDNTVPCRIAFERGKERHFCFLAISRGTSGWLLLAQESPVKQQLGIIRVTAPLAGSDPKIDEKHPRWLHLRIRPSNSPFLDPSKFDFLNKGKSKVLVDGRWTLAFKDEQACKAAESMIMEEINAQRDEVERRLKPALQLDTPGHTPEHTTTDTTDD >Ma05_p04650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3523330:3541138:1 gene:Ma05_g04650 transcript:Ma05_t04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MSSWFSFWRSRNRFSLDELRYLTDQLQKVQFVNDVNKDSVIEVLRSIAELVTYGDQHDPSIFEFFMEKQIMGEFARILRISKLANVALQLLQTMSIMIQNLRNDHSIYYIFSNEHINYLITYSFDFRNEELVSYYISFLRAISGKLNKNTVSLLVKTEKEEVVFFPLYVEAIRFAFHDENMVRIAVRALTLNVYHVGDEYVNRYVVRSPQSDYFSNIVKHFCKRCLNLDEMIYETLRKQDASDSSSSILDAVDDTEDNLYYFSDVISAGVPDLGRLLTDNILQLLVFPLLLPSLKKQNVGTRVGTATSLYLLCSILHIFKTKDLASTIAATLFCPLESFVTRSEATTNGYVPQQAVSQESEDHAPSFLAAQVNIEDSECTSTQTNHFSSQSEDCGSHITLRELLLSYIVGGDELQVLGSLSLLATLLQTKVELDETMLDGLGILPQRKQHKKLLLQALVGEDTGEEQLFSSTSMLKDNISTELDRYLQKLEDEYGYHARCVISPKICRYQVLDALASLFCRSNIPADILWLGGWLLRQLLPHGEEEFDSLHLRRLKDSDNLATSNLLEEIKGAWCDVLIPVLKDEWRICKRALEASSPPKDSKSILLSSQRYSSGGESSFAAAERMHEIVKVFVLQRQLLVFSLGRTLPELPNLYSPVDSPEMSGAKTSVLDVFVPKPGSEICLDNTVPCRIAFERGKERHFCFLAISRGTSGWLLLAQESPVKQQLGIIRVTAPLAGSDPKIDEKHPRWLHLRIRPSNSPFLDPSKFDFLNKGKSKVLVDGRWTLAFKDEQACKAAESMIMEEINAQRDEVERRLKPALQLDTPGHTPEHTTTDTTDD >Ma05_p04650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3523330:3541138:1 gene:Ma05_g04650 transcript:Ma05_t04650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MSSWFSFWRSRNRFSLDELRYLTDQLQKVQFVNDVNKDSVIEVLRSIAELVTYGDQHDPSIFEFFMEKQIMGEFARILRISKLANVALQLLQTMSIMIQNLRNDHSIYYIFSNEHINYLITYSFDFRNEELVSYYISFLRAISGKLNKNTVSLLVKTEKEEVVFFPLYVEAIRFAFHDENMVRIAVRALTLNVYHVGDEYVNRYVVRSPQSDYFSNIVKHFCKRCLNLDEMIYETLRKQDASDSSSSILDAVDDTEDNLYYFSDVISAGVPDLGRLLTDNILQLLVFPLLLPSLKKQNVGTRVGTATSLYLLCSILHIFKTKDLASTIAATLFCPLESFVTRSEATTNGYVPQQAVSQESEDHAPSFLAAQVNIEDSECTSTQTNHFSSQSEDCGSHITLRELLLSYIVGGDELQVLGSLSLLATLLQTKELDETMLDGLGILPQRKQHKKLLLQALVGEDTGEEQLFSSTSMLKDNISTELDRYLQKLEDEYGYHARCVISPKICRYQVLDALASLFCRSNIPADILWLGGWLLRQLLPHGEEEFDSLHLRRLKDSDNLATSNLLEEIKGAWCDVLIPVLKDEWRICKRALEASSPPKDSKSILLSSQRYSSGGESSFAAAERMHEIVKVFVLQRQLLVFSLGRTLPELPNLYSPVDSPEMSGAKTSVLDVFVPKPGSEICLDNTVPCRIAFERGKERHFCFLAISRGTSGWLLLAQESPVKQQLGIIRVTAPLAGSDPKIDEKHPRWLHLRIRPSNSPFLDPSKFDFLNKGKSKVLVDGRWTLAFKDEQACKAAESMIMEEINAQRDEVERRLKPALQLDTPGHTPEHTTTDTTDD >Ma11_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7289899:7291776:1 gene:Ma11_g09140 transcript:Ma11_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIVMSNAGSNGAKEFEGKITIYVVICGVIAATGGLMFGYDIGISGGVTSMDDFLEEFFPAVFERKHKAKEDNYCKYDNQGLQLFTSSLYLAALVSSFVASKLCTKHGRRLTMQAASVFFLVGVVLNAAARNIAMLIIGRILLGVGVGFANQAVPLFLSEIAPVHIRGALNILFQLDVTIGIFVANIVNYFVSNIHPWGWRLALGLAGVPATMLCLGSMVIAETPTSLIEREQLMEGLAMLKKIRGTDNVNAEYEEILHACEMARQVKQPFRNLMKRSSRPQLVIAIAMQVFQQFTGINAIMFYAPVLFQTIGFKNDASLLSAVITGIVNVLSTVVSVVLVDKLGRRFLLLEACGQMLITQVAIGGVLLVNLKSTNELEHGVAVWVVVLVCLYVSSFAWSWGPLGWLIPSETFPLATRTAGYAFAVSSNMLFTFVIAQAFLSMMCHLRAGIFFFFAAWIVVMGLFVIFLLPETKNVPIDEMSERVWKRHWYWKRFMDEEEQRKKDSV >Ma07_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8074023:8074106:-1 gene:Ma07_g10850 transcript:Ma07_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSPQALISAMEQLYCLGALGEEGF >Ma04_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6665048:6665912:-1 gene:Ma04_g09370 transcript:Ma04_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRTMDWHSWLSKSCLEPSLVYDYGLLFMNNELEEDDIAHFDHDFLQSMGVSIAKHRLEILKLAKNSRRASPLPVAKLATVIRKSKTRLAKYIRTLARSDGPAILVVPKTACGDCWRGATSRRKTKLVILKQGRLMITDRGMRIADLPSRSHSGTPMGRSRRENSNAAAADGCWETADGATRWDSMFQNLKPT >Ma07_p26700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33381542:33385629:-1 gene:Ma07_g26700 transcript:Ma07_t26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEIVTHPPMDQLQGFEYCIDSNPSWGEAISLGFQNYILALGAAVMIPTLLVPLMGGSDDDKVRVVQTLLFVTGINTLLQTLFGTRLPTIVGGSYAFVVPIISIIHDSSLTRITDDHERFLQTMRAIQGALIVSSCIQIVLGYSQLWSIFSRFFSPLGMVPVVSLVGFGLFDRGFPVVGRCVEIGVPMLILFIAASQYLKHLHVRRLPVLERFSLLLTITIIWVYAHLLTVGGAYKHRPERTQINCRTDRADLISSAPWIKIPYPLQWGAPTFDAGHSFGMMAAVLVSMIESTGAYNAAARLASATPPPAYVLSRGIGWQGIGVLLDGLFGTATGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVLFGIVAAVGLSLLQFTNMNSMRNLFITGVSIFLGLSIPQYFFRYTASARHGPAHTKAEWFNDYINTIFSSPPTVALIVAVFLDNTLDFKDTAGDRGMPWWARFRKFKGDGRNEEFYTLPFNLNRFFPPR >Ma06_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5158466:5160509:-1 gene:Ma06_g07250 transcript:Ma06_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYLTTPLLFQSKILCLSLLYLLTTLPLALYVAFSQTGCLFRTPPPLPKPRLFAYPPSYGEHKYALPTTRSACSSPVPFSEYGTVLQEIRDLCRNSSATAASPRSPVLRYFQGKGDSFAGNFSAKKRMSFFNHTNGDRVEVPCGFLQEFPIRESDRSAMEKCDGVVVASAIFGDHDKIRQPKRLGAKTLETVCFFMFVDDATLRGLAAHNILTNINGEVDMIGAWRIVSVSVSELPYDNAAMNGVIPKHLVHRLFPNSMFSVWLDAKLQLTVDPLLLIHSLVVSKDADMAISKHPSNVHTMEEAMATARWKKWADVKSLRVQMETYCEMGLQPWSPRKHPYTTDVPDTALIIRRHGLKSNLFSCLLFNELEAFNPRDQLAFAYVRDFMIPKIDINMFESEVFEHIAMEYRHNLKRHDAGAPASTIKKASSRDIKGSSCEAYLLKMWGESND >Ma04_p28620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29656706:29656834:1 gene:Ma04_g28620 transcript:Ma04_t28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLLLKLTFKGVVAQIDVENSIELVNYSKYAIAQTILLKI >Ma09_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5353765:5354763:1 gene:Ma09_g08140 transcript:Ma09_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASATAGSDDTKPCPRGHWRPGEDEKLRQLVEQFGPQNWNSIAEKLRGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRIHGNKWALIARVFPGRTDNAVKNHWHVIMARRQRERSRLFNKRAPRDLLLDYTDGSPNRVFQFGSAAEETSSVRSWVFSGSATQSPPRTLGGEKDAASVLGSCKFHGRSFHPYHAKYEWVPPRVSMKVFSPGDDDSAMSTNPNEEHGGDDRSSKRKGVPFIDFLGVGITS >Ma09_p13160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8899809:8906391:-1 gene:Ma09_g13160 transcript:Ma09_t13160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADSMLQTPWLRLGIPGVSWIRCPRAVDFVRLGFRPRSATLEPTTEARKADSLRWIACFKEPVAKGKKVEAEYVRGGEDLWDAASASQQGGYPEHLVVMVNGLVGSAEDWRFAAEQFVRRLPDKVLVHRSECNSLTLTFDGVDLMGERLAEEVLSVVKRRKGIRKISFVAHSLGGLVARYAVGRLYEPITTMESSLDTENHTDKSIGMEGRIAGLEPMNFITFASPHLGSRGHKQLPFLCGLPFLERRASETAHFIVGRTGKHLFLTDNDDGKPPLLLRMVDDCDDIKFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQRELPKHHLLLDDNKYPHIVFVDKGDKINNLNETSTVAEAIKDELEEKMIRGLTQVSWERVDVSFQKSRQRYIAHNTIQVKSYWLNSDGADVIFHMIDNFII >Ma09_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8899727:8906391:-1 gene:Ma09_g13160 transcript:Ma09_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADSMLQTPWLRLGIPGVSWIRCPRAVDFVRLGFRPRSATLEPTTEARKADSLRWIACFKEPVAKGKKVEAEYVRGGEDLWDAASASQQGGYPEHLVVMVNGLVGSAEDWRFAAEQFVRRLPDKVLVHRSECNSLTLTFDGVDLMGERLAEEVLSVVKRRKGIRKISFVAHSLGGLVARYAVGRLYEPITTMESSLDTENHTDKSIGMEGRIAGLEPMNFITFASPHLGSRGHKQLPFLCGLPFLERRASETAHFIVGRTGKHLFLTDNDDGKPPLLLRMVDDCDDIKFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQRELPKHHLLLDDNKYPHIVFVDKGDKINNLNETSTVAEAIKDELEEKMIRGLTQVSWERVDVSFQKSRQRYIAHNTIQVKSYWLNSDGADVIFHMIDNFII >Ma09_p13160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8899241:8906391:-1 gene:Ma09_g13160 transcript:Ma09_t13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADSMLQTPWLRLGIPGVSWIRCPRAVDFVRLGFRPRSATLEPTTEARKADSLRWIACFKEPVAKGKKVEAEYVRGGEDLWDAASASQQGGYPEHLVVMVNGLVGSAEDWRFAAEQFVRRLPDKVLVHRSECNSLTLTFDGVDLMGERLAEEVLSVVKRRKGIRKISFVAHSLGGLVARYAVGRLYEPITTMESSLDTENHTDKSIGMEGRIAGLEPMNFITFASPHLGSRGHKQLPFLCGLPFLERRASETAHFIVGRTGKHLFLTDNDDGKPPLLLRMVDDCDDIKFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQRELPKHHLLLDDNKYPHIVFVDKGDKINNLNETSTVAEAIKDELEEKMIRGLTQVSWERVDVSFQKSRQRYIAHNTIQVKSYWLNSDGADVIFHMIDNFII >Ma05_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8786959:8793961:-1 gene:Ma05_g12100 transcript:Ma05_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDVVLEFDNHFQGRLGHKIVSACSPSSRKVQERCRKGQPANTINYLKNLDGDFRELSHRYSSNLHYEIMHSTSLGCNDENEVLKRGSMYQSSKEVRRMRKLRERRREVESRCTDDDFISFEIVDHLAQHGINKSNQSPHQKFLPPVCSSADSKPTSADTLNSTAMSSMEFLDLSFRDLPDKPLHTNNLCSNFGPAKGNLVDDLLEICLHSVNTRTHCTEATSELLEVGPLKEQESGCHEKFGPESHGKIICERNSLNILPKSFSEKTKMSHTLMQLEYGLAEARDSKNMFSPLKKMFDPLIKSKSLRDSPLTGTQRSGSKVIDSTSITSNGVFEDMECVTGEILTASMSPAHLHGILKLDIENQNPSFEFSLQDPEDTLSAKTWKTDSAFNWVYTFHSSRKKNTNYGTKDKHGQSPPLVGQMQVSCYLCSEVKDNGSLVNSTVAEFVLYDIARARRHFVVEERSKCSSDSIQPVTSNAMGGLVAEGPYERNNSVEHPDSSRHGFGSSDSRPSTSYPWTPKDLHPRHEIAAIVTQIPFSKKDSLKDMKVSRPKESQNLSRSPTIDQGRERKSSSNPAMVKVVTPSGAHGLPDTVEGGPSSLLDRWRFGGGCDCGGWDMACPIVVFDNSHADDRIDHSTCEIEKSTFLFVQGKKEKLPALSISADGKGLYSVDFHAQLSALQAFSICIAILHGSEVSSAVIQEKIRQRFYSNSLKLLLEEEVRQLIEAVATEEQIKAKKGTEQMPPSFFLDPPFSPMGRV >Ma05_p12100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8786959:8793280:-1 gene:Ma05_g12100 transcript:Ma05_t12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDVVLEFDNHFQGRLGHKIVSACSPSSRKVQERCRKGQPANTINYLKNLDGDFRELSHRYSSNLHYEIMHSTSLGCNDENEVLKRGSMYQSSKEVRRMRKLRERRREVESRCTDDDFISFEIVDHLAQHGINKSNQSPHQKFLPPVCSSADSKPTSADTLNSTAMSSMEFLDLSFRDLPDKPLHTNNLCSNFGPAKGNLVDDLLEICLHSVNTRTHCTEATSELLEVGPLKEQESGCHEKFGPESHGKIICERNSLNILPKSFSEKTKMSHTLMQLEYGLAEARDSKNMFSPLKKMFDPLIKSKSLRDSPLTGTQRSGSKVIDSTSITSNGVFEDMECVTGEILTASMSPAHLHGILKLDIENQNPSFEFSLQDPEDTLSAKTWKTDSAFNWVYTFHSSRKKNTNYGTKDKHGQSPPLVGQMQVSCYLCSEVKDNGSLVNSTVAEFVLYDIARARRHFVVEERSKCSSDSIQPVTSNAMGGLVAEGPYERNNSVEHPDSSRHGFGSSDSRPSTSYPWTPKDLHPRHEIAAIVTQIPFSKKDSLKDMKVSRPKESQNLSRSPTIDQGRERKSSSNPAMVKVVTPSGAHGLPDTVEGGPSSLLDRWRFGGGCDCGGWDMACPIVVFDNSHADDRIDHSTCEIEKSTFLFVQGKKEKLPALSISADGKGLYSVDFHAQLSALQAFSICIAILHGSEVSSAVIQEKIRQRFYSNSLKLLLEEEVRQLIEAVATEEQIKAKKGTEQMPPSFFLDPPFSPMGRV >Ma04_p08700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6194482:6197024:-1 gene:Ma04_g08700 transcript:Ma04_t08700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFGWENPAAIRTEVSMSPSIWSNHYDDFTTSSEGHNSFNEESDLNEALILSSSLELQRILARQTSSDVDGISESMEILTPASDSINNSLNLDLLQYQEAIMSAADSVLMTPALGTTLGDAAAPPSFGTSEELHVISNTVDAQDGTAAAFSRRTNVVHGLSCSGNISSGESENGGPNLDDVASQASSVRQLESLKGRRNAKRKLDEVKRVGESNHPICSLLQSSCSTGEGGYQISFSRGAQSKKPRSEKHSGSSSIDFVREGGYEPDTEAIAQAKEMIYRAAALRPVSLGVEEAAEKPQRKNVKISSDPQTVAARHRRERISERLRILQRLVPGGSRMDTASMLDEAVNYLKFLKSQVRDLETLGNRFLPVNSSTTAPPFPLPLNQASSTQTILLHREP >Ma04_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6194482:6197024:-1 gene:Ma04_g08700 transcript:Ma04_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFGWENPAAIRTEVSMSPSIWSNHYDDFTTSSEGHNSFNEESDLNEALILSSSLELQRILARQTSSDVDGISESMEILTPASDSINNSLNLDLLQYQEAIMSAADSVLMTPALGTTLGDAAAPPSFGTSEELHVISNTVDAQDGTAAAFSRRTNVVHGLSCSGNISSGESENGGPNLDDVASQASSVRQLESLKGRRNAKRKLDEVKRVGESNHPICSLLQSSCSTGEGGYQISFSRGAQSKKPRSEKHSGSSSIDFVREGGYEPDTEAIAQAKEMIYRAAALRPVSLGVEEAAEKPQRKNVKISSDPQTVAARHRRERISERLRILQRLVPGGSRMDTASMLDEAVNYLKFLKSQVRDLETLGNRFLPVNSSTTAPPFPLPLNQASSTQTILLHREP >Ma04_p08700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6194482:6197024:-1 gene:Ma04_g08700 transcript:Ma04_t08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFGWENPAAIRTEVSMSPSIWSNHYDDFTTSSEGHNSFNEESDLNEALILSSSLELQRILARQTSSDVDGISESMEILTPASDSINNSLNLDLLQYQEAIMSAADSVLMTPALGTTLGDAAAPPSFGTSEELHVISNTVDAQDGTAAAFSRRTNVVHGLSCSGNISSGESENGGPNLDDVASQASSVRQLESLKGRRNAKRKLDEVKRVGESNHPICSLLQSSCSTGEGGYQISFSRGAQSKKPRSEKHSGSSSIDFVREGGYEPDTEAIAQAKEMIYRAAALRPVSLGVEEAAEKPQRKNVKISSDPQTVAARHRRERISERLRILQRLVPGGSRMDTASMLDEAVNYLKFLKSQVRDLETLGNRFLPVNSSTTAPPFPLPLNQASSTQTILLHREP >Ma04_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7384189:7385680:-1 gene:Ma04_g10380 transcript:Ma04_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETVVLYPVPAMGHLVPMVELAKLFVLHDFSVVVVLMHTPVKHPSVDPFVARVSSAYPSISFHQLPPTASLPNTPVTRFLDLVLPNNPQLLHFLAARSRTSDIRVVVLDFFCTDALAVTAKLRLPSYFFFASCAAVLAAFLYLPTLYAIADIDLKALGDSPLHFPGLPPVPASDMPREMIDRDEDYFKRMIRVFESLPNADGILVNSFESLEAEAIRVLRDGTCIPGRRMSPVFCIGPLIADGSRDVGEDKVEKAECVAWLDEQPRGSVVFLCFGSMGMFSAEQLKEIAAGLERSGQRFLWVVRAPRSESQGPQSELDLEALFPEGFLERTKQRGFLAKSWAPQVEVLNHEAVGGFVTHCGWNSVLEAITAGVPMIGWPLYAEQGMNKVLLVEQMRVAVAMEGCAKELVAAEEVEARIRWLMESEGGRELRERTVATKQRAAEARREAGTSHQAWLDVVKTLRNASTSPLRTTGLTSEDHVKMPCD >Ma03_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1507154:1521205:1 gene:Ma03_g02210 transcript:Ma03_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEGKGKRKGKGKEVIRLERESVIPVLKPKLIVKLTNLIELASDRTEFIKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGDRRLQQQNFSSEEIDVLELNFLEYFFQVMEKSNFKLVTDEEIEVAQSGQYLLNLPIKVDESKLDNKLLPRYFKKHPHEDLPGFSDKYIIFRRGIGIDKTTDYFIMEKLDMIIARLWMWFLRVTRLQQLFSKKPNMISRKDTKKTDEIVADSEMEDLFVERIRIENMELSTQNLISNITIQEPTFDRMIVVYRRASTNSKTERGIYVKHFKNIPMADMELVLPEKRNPSLTPMDWVKFLISVVLGLVTLVSSLEMPKADIWVVIAVLSGLVGYCAKIYFTFQQNMATYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYFILMEQGKLEINVLDRQCEELIMEEFNEKCNFEVEDAVKKLEKLGIVSRDSAGKICCVPLKRANEIIGTTTEELVMKAKQGPAA >Ma06_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5875649:5880027:1 gene:Ma06_g08280 transcript:Ma06_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNGFFALEEPRLDPKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQNVAIKVMHKGDTSEELAKREARFAREVTLLARVQHKNLVKFIGACKEPVMVVVTELLLGGSLRKYLVNMRPRSLEPRVAVGFALDIARAMECLHSHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFSIVLWELVHNRLPFEGMSNLQAAYAAAFKNARPSADDLPKELALILTSCWKEDPNSRPNFSQIVQMLLHYLSTLSPPEHVVPSRAFSSENMVLPPESPGTSSLMAARDEMGDTTAAAMENNQRGFFFCFSQCF >Ma02_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24547800:24549448:-1 gene:Ma02_g17740 transcript:Ma02_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGTRKPHFVLVPLFAQGHMIPMIDLARLLALRGMVVSVVTTPRNTARFKAMIDRANAAGLLIRFAELRFPCAEVGLSEGCENVDLLPSEELARNFFAGLNMLREPLLVYLRQQCSKPSCIISDACCPWTSEVARELRVPRLVFHGPSCFFLLCTHNVSKHKSGGHLADAFEPFLVPGFPHKLEVVRAQSLKFFDYPGWEKLRDEAAEAEASADGLVINSFRELEAAYIDSYQKALEKKVWAIGPVCLSNNETGDEVARGNNTNVDENQIRNWLDAKETGSVIYVSFGSIASHSSSHLIEIGLGLEASKRPFIWVIKEKEMSPEVASWLSEGFEERTSWRGLILKGWAPQMLILSHPSVGGFMTHCGWNSTLEAVSVGVPMITWPHFADQFLNEKLVVEVLRIGVALKINMSIPRITGDSEGLITREEVEKAVSELLDGGAEGEERRKRAKELGEKAKKAMEGGQSCEDLTLMIRHALELAEEGRLPVHRSSS >Ma07_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5466812:5470371:-1 gene:Ma07_g07390 transcript:Ma07_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MTTVGVLALQGSFNEHMAALRRIGVKGVEIRKAEQLQAVDALIIPGGESTTMAKLAHYHNLFPALREFVSTGKPVWGTCAGLIFLANKAIGQKSGGQELIGGLDCIVNRNFFGSQLQSFETELSVPKLAEEEGGPNGFRGVFIRAPAILEVGPDVEILADCPVPLETKKSIMSVDNGQEEEIVSRERVIVAVRQGNLLGTAFHPELTTDLRWHSLFLKMKKDSSDRCLQRVPTSGTDLEDSLGKRPFDLPIYE >Ma05_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36891375:36892624:1 gene:Ma05_g24710 transcript:Ma05_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKLREDRPPLVRAKVPVGVLGLPFISGVTAAARELRLDLATGFRAGPSLRLSYRPNDALNPFSLVIKTGVGDLGSPSSGSPLSMAVELGLLGGSCPTFSLLLKPRLGDFSFRKSVSAVVSGTPHAVAVKVAQVSDGHGIGPPIVEFRPDNAIHLGRRFGNFPVDVSAFTAGSGGGIDGLLSGFEVSARSVLPLRNRTAVEFKWGLRVPPELRTAFDDPAAGICLTELPCLVMSKISIERLPYDRKAKEKRPAGSADATDSCASVRREVEALQAQSALLSSSVEGLRADIGGWKLAPVFSPVAWKREQRSNGKLFEGRTE >Ma04_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11618374:11622234:1 gene:Ma04_g15310 transcript:Ma04_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDVEKLSFGGKEHLVKTRFGEVSVTVFGDKEKPALITYPDVALNYVSCFQGLFSCPEAASLLLHSFCIYHISPPGHETGAGPISSDIPVPSVDDLANQIAIVLDFFRLGSVMCLGVTAGAYILTLFAIKYRERVIGLILVSPLCKAPSWTEWLYNKVISNLIYFYGMCGLIKERLLQRYFSKEVRGIAQIPESDTVQGCRNLLDERQAMNVWRFLQSINRRHDITEDLQQLQCRTLVFVGENSPFHVDALHMASKLDRRYSALVEVHACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLTGSPCSPLSPCCISPELLSPESMGVKLKPIKTRASQEV >Ma02_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20391533:20393311:1 gene:Ma02_g11030 transcript:Ma02_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMKEKFAKLLLGEDMSGGGTGVSSALALSNAITNLAASVFGEQRRLEPMPEERKARWRKEVDWLLSVSDHIVEFVPSQQVSGDGKSMEIMITQQRKDLRMNIPALRKLDAMLIEYLDNFKQKNEFWYVSRDADESEKGNVQRTDDKWWLPTVRVPPGGLSEASRKWIQHQKELVNQVLKAAMAINANVLMEMEIPEDYIESLPKNGRSSLGETLYKNITDEDFDAETFLASVDLSTEHKILDLKNRIEASVVIWKRKMNNKDAKSTWGSAISSAISMEKREQFEDRAETILLILKHRFPGIPQSALDISKIQDNKDVGYSILESYSRILESLAFTVMSRIEDVLHADSVTRDQSLEGSKTRPSVADSEPTAGIATPLDPAEEIDKLNNMEANNSMTLSDFMGWQFDMDTQTKKEDEDAKLVKQPPSIVVANNKLSNLEKLETWEA >Ma01_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7056571:7058434:-1 gene:Ma01_g09830 transcript:Ma01_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFKGNSFAFTSYTTQFQSSPLTPLREALPLLSLSPKKHHHHNHLQQQQQQQQTSCSAFEEGDYKSKRSNGGENVEEAVSVALRIGLPSPSEADLISRVSSTSVSEDKECQKGGGGGDGNPFALSSVGKLTRGQYWIPTPSQILIGPTHFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGAQPTAMLRLPCYCCAPGCRNNVDHPRAKPLKDFRTLQTHYKRKHGIKPFVCRKCGKALAVRGDWRTHEKNCGKLWRCTCGSDFKHKRSLKDHVKAFGRGHAAHGLHRLDEEEDEPSSEIEQHSHQVQQQQQQQ >Ma09_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21513354:21519793:-1 gene:Ma09_g19220 transcript:Ma09_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESFFSARPRQGGAPEAVPPVTLLSGPPSCGKTSLLFQFAINRASETNGDVVFICNKRRLEYKPPFLSQDIDPAAELLERIQMKYIEDYEGITKYFAAFHLYKSFPTAIIIDDFGDLFIDRSCQHKYGNARGRDLAMARTMALCQDAIAYANQKQQAQRLCNLLLADTHQGDSPRLLFIYKRWVQCILTIQGDISGSFILKYSSISGNHLAKTRTAKYSIALQSLLLEVFES >Ma11_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27117183:27117872:1 gene:Ma11_g23940 transcript:Ma11_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAKGGEAERELKEARFRGVRKRPWGRYAAEIRDPVKKTRVWLGTFASAEEAARAYDAAALRFRGLSAKTNFPCPGPPHALANPFSPTSSSGTVESSTPSSKATAAAAVLTPLDLELGHASARSSLPRSPVPMDRAVALTGFMGVGMGGCSFAMDYSLSPAAPPKRLPFDVDLNLPPPPEVA >Ma01_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2682747:2683274:-1 gene:Ma01_g04140 transcript:Ma01_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPIQAPSPSSVPDAGEPSFMTIAFQLFLAYVFPISSFLFVAAVMFLVVSICDCYETREWRSKVARFLNKIPHGIYVVPSSPSRLPSTSDDVPEHQLHHCVICMEEYAGGEQLWVMPACKHVFHEACIKQWLLEPALTCPICRDHVIHVEATTSGGGDDDDDNIETPLLVGTQ >Ma11_p14490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20112375:20133800:-1 gene:Ma11_g14490 transcript:Ma11_t14490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAALVVVPLGLLFLLSGLIVNLVQAIFFITIRPFSKSLYRRINRVVAELLWLQVIWLVDWWAGVKVQLFADSKTYALMGKEHALVISNHKSDIDWLVGWILSQRSGCLGSTLAVMKKSSKFLPVIGWSMWFTEYLFLERSWTKDESTLKSGLQQLKDFPRPFWLALFVEGTRFTPAKLLAAQEYATSQGLPIPRNVLIPRTKGFVSAVSIMRSFVPAIYDVTVAIPSDQPPPTMFRILKGQSSVVHVHIKRHEMTDLPETDEGVSQWCKDIFVAKDALLDKHKSEGTFGVEPRQLGQPIKSLLVVVFWSSLLSYATFRFFKWTELLSTWKGVVLTIAAVILVTIVMHIFIRFSQSERSSTARAARNRAKKD >Ma11_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20112375:20133804:-1 gene:Ma11_g14490 transcript:Ma11_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAALVVVPLGLLFLLSGLIVNLVQAIFFITIRPFSKSLYRRINRVVAELLWLQVIWLVDWWAGVKKMRSLRERQLAYEIEVQLFADSKTYALMGKEHALVISNHKSDIDWLVGWILSQRSGCLGSTLAVMKKSSKFLPVIGWSMWFTEYLFLERSWTKDESTLKSGLQQLKDFPRPFWLALFVEGTRFTPAKLLAAQEYATSQGLPIPRNVLIPRTKGFVSAVSIMRSFVPAIYDVTVAIPSDQPPPTMFRILKGQSSVVHVHIKRHEMTDLPETDEGVSQWCKDIFVAKDALLDKHKSEGTFGVEPRQLGQPIKSLLVVVFWSSLLSYATFRFFKWTELLSTWKGVVLTIAAVILVTIVMHIFIRFSQSERSSTARAARNRAKKD >Ma02_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26946503:26949371:-1 gene:Ma02_g21260 transcript:Ma02_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAEPQQAEKSPPKVSAAERRWKVDDFDIGKPLGRGKFGHVYLAREKRSNQIVALKVLFKSQLKQSQVEHQVRREVEIQSHLRHPNILRLYGYFYDEARVYLLLEYAEKGELYKELQKCTYFSERRTATYISSLARALIYLHGKHVIHRDIKPENILIGLQGELKIADFGWSVRAFNRRQTMCGTLDYLPPEMVESAEHDANVDIWSLGILCYEFLYGVPPFEAKEHSDTYIRIVNVDLKFPSIPIVSPGAKDLISQMLVKDSSQRLPLHRLLQHPWIVQNANPSGVYSV >Ma02_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25397808:25401698:1 gene:Ma02_g19100 transcript:Ma02_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPPPPGNAPPSKPWERAGTSSGPVPFRPQSSGRTSEVVEASGTAKPGEVVTGSERNATVNRNTLGRPLPPRPWQQNYGTSHGGYGSNLNYNSGYGSGVYGSYGGLGGLYSGGFYGNSMYTGNGGIYGGSGMYGGSMDNGGYGGSLGGYGIGMGGPFGNQDPDDPYGPPSPPGFWISLIRVMHGVVNFFGHISMLMDQNTHAFHMLMTALLQLFDRSGVLYGELARFVLRILGIRMRRRKQQQLRSGEGLGNDGHGQRFIEGPKDPSGSWDCVWSDDVKGAH >Ma04_p34710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33753957:33760657:-1 gene:Ma04_g34710 transcript:Ma04_t34710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDIDMDLRPFKLDIDELVDEFNEGNHTTLADMKKMWMAKKFSYIYEAKPISNEAIFMQSLYSYSISHMVSTGALSTRLGGFYCLYCLYETQPYKPAFKIYLSLCELKRLKILVIDAKKIGIAIVPALVKKMLDKNMFIFGFVDRVDGYESQRVDEIMKLENKRIQIAYEKLLGNTLIEDYLHMDLGMELELSTLKKMSKEYAEAKELAISEAMGPVDMEDVKHITEDKKLVGDMVDDIVKDWDAQKETFCTQTGISSRNDAVAVDDFDELEHLLNE >Ma04_p34710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33753957:33761622:-1 gene:Ma04_g34710 transcript:Ma04_t34710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDIDMDLRPFKLDIDELVDEFNEGNHTTLADMKKMWMAKKFSYIYEAKPISNEAIFMQSLYSYSISHMVSTGALSTRLGGFYCLYCLYETQPYKPAFKIYLSLCELKRLKILVIDAKKIGIAIVPALVKKMLDKNMFIFGFVDRVDGYESQRVDEIMKLENKRIQIAYEKLLGNTLIEDYLHMDLGMELELSTLKKMSKEYAEAKELAISEAMGPVDMEDVKHITEDKKLVGDMVDDIVKDWDAQKETFCTQTGISSRNDAVAVDDFDELEHLLNE >Ma04_p34710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33753957:33760657:-1 gene:Ma04_g34710 transcript:Ma04_t34710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDIDMDLRPFKLDIDELVDEFNEGNHTTLADMKKMWMAKKFSYIYEAKPISNEAIFMQSLYSYSISHMVSTGALSTRLGGFYCLYCLYETQPYKPAFKIYLSLCELKRLKILVIDAKKIGIAIVPALVKKMLDKNMFIFGFVDRVDGYESQRVDEIMKLENKRIQIAYEKLLGNTLIEDYLHMDLGMELELSTLKKMSKEYAEAKELAISEAMGPVDMEDVKHITEDKKLVGDMVDDIVKDWDAQKETFCTQTGISSRNDAVAVDDFDELEHLLNE >Ma04_p34710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33753957:33760657:-1 gene:Ma04_g34710 transcript:Ma04_t34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDIDMDLRPFKLDIDELVDEFNEGNHTTLADMKKMWMAKKFSYIYEAKPISNEAIFMQSLYSYSISHMVSTGALSTRLGGFYCLYCLYETQPYKPAFKIYLSLCELKRLKILVIDAKKIGIAIVPALVKKMLDKNMFIFGFVDRVDGYESQRVDEIMKLENKRIQIAYEKLLGNTLIEDYLHMDLGMELELSTLKKMSKEYAEAKELAISEAMGPVDMEDVKHITEDKKLVGDMVDDIVKDWDAQKETFCTQTGISSRNDAVAVDDFDELEHLLNE >Ma03_p16070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17661051:17670631:-1 gene:Ma03_g16070 transcript:Ma03_t16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLFLSRPSTDHGALLASPFSAASLTAFRRRRFLPHDSSLKIQNQLHSISSLVLGASSSTVRCEASMYNRQTVETVDLDWDNLGFALFPTDYMYMMKCSQNGMFSKGELLRYGPFELSPSSGALHYGQGLFEGLKAYRKEDGSVLLFRPQENALRMRIGADRMCMPSPTIDQFVDAIRLTVLANKRWVPPTGKGSLYIRPLLIGSGAVLGVSPAPEYTFLVFVSPVGNYFKEGLAPINLIVENEYHRAGPGGTGGVKTIGNYASVFRALKKAKENGYSDVLYLDCIHKKYLEEVSSCNIFVVKNKVISTPEVKGTILPGITRKSIIDVARGQGYQVEERPVSVEELLDVDEVFCTGTAVVVAPVGSITYLERRVEYGNKGIGVVSQQLYSSLTRLQMGLSEDKMGWTMQLE >Ma03_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17661051:17670631:-1 gene:Ma03_g16070 transcript:Ma03_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLFLSRPSTDHGALLASPFSAASLTAFRRRRFLPHDSSLKIQNQLHSISSLVLGASSSTVRCEASMYKQTVETVDLDWDNLGFALFPTDYMYMMKCSQNGMFSKGELLRYGPFELSPSSGALHYGQGLFEGLKAYRKEDGSVLLFRPQENALRMRIGADRMCMPSPTIDQFVDAIRLTVLANKRWVPPTGKGSLYIRPLLIGSGAVLGVSPAPEYTFLVFVSPVGNYFKEGLAPINLIVENEYHRAGPGGTGGVKTIGNYASVFRALKKAKENGYSDVLYLDCIHKKYLEEVSSCNIFVVKNKVISTPEVKGTILPGITRKSIIDVARGQGYQVEERPVSVEELLDVDEVFCTGTAVVVAPVGSITYLERRVEYGNKGIGVVSQQLYSSLTRLQMGLSEDKMGWTMQLE >Ma04_p26570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27979525:27980249:1 gene:Ma04_g26570 transcript:Ma04_t26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRFFFVDEGRRQHFLGACFLCKKPIAENEDVFMYRGDTPFCSTECRWEQMDMDEALQNEARTQSPPPVKRKPSLIVRSECDENQFVSDATAVVAG >Ma10_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3925690:3929958:-1 gene:Ma10_g01040 transcript:Ma10_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKKMFLTHNQAGIVYNGGLVPLLKLLDSKNGCLQHNAAFAFYGIAENEANISDFIKVGGVQKLQDGEFIIQATKDCVAKTMKRLEEKINGRVLKHLLYLMRVGEKAVQRRIALALAHLQHILNIGLDLLLELLGSTNLKQQQDASVALYKLAKKSLTLCSVDAASSSPSPQVYLGEQYVNSSTLSYVTFVIEGCGLFLPYVVFSFKQLHSTFKNVVTYEKDARDVEIPNIRWEVFESMMRFIYTGSGLKLLCEYAIAQEVCVDNVSSMYELSEAFHAMSLRHTCVMFILEQFEKINSSRAFPSNPANNSRDPQLICWSLSAPNIYFRRGCNSIIFPSPKLNCLFLYLNCLVFLNGGLLVADTMNSYSSNDFNIMQAFFSLLKSVHIISTRVFGENAFPSMICVFNILLTIQNFFLAIVKCFKLGILYRFSDD >Ma08_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36847304:36848263:1 gene:Ma08_g23450 transcript:Ma08_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNLPVHQEGLPGSPVRLVDRTAPRLGPACDPTVPYRVGKGAYLSRLPHSNDKFDRGFETLTNRGRKRNSNPFGSVISDRVVRLDEIKELYSFR >Ma09_p26870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38029142:38029838:1 gene:Ma09_g26870 transcript:Ma09_t26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTALIFFSLLLAAAVAGRDLDKKKAESSKDSAGPATYIPGYGADPGGYFGPGGGFNMPGGFGGGWGAGYGGPGGGYGHDGVVLPSVVCSDKGPCYKKKLTCPASCFTSYSHYGKGGGGGGGGGGCTMDCKKHCVAYC >Ma10_p30540.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36819298:36823141:1 gene:Ma10_g30540 transcript:Ma10_t30540.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNVHLSSYQLCSLFSFSTAKENSSNHRSNFTLVGPLESCELSSKEAAKTADDSICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPRFLRANVETILKPRMRSLQEMGFSDTEIIRLVSSCPTLLRLSDIQPRINFWRSLLGSNERFLKACKRNMFVLTSSLARNIEPSISLLRERGISDERIAHMVVTMPGGFGRIDKLKEVIKYIDELGVPRDSGVYTKALNVVITVSRSRFDAMSVTLMSFGWSQPDIIALIGKCTSIWTLSKKNICDKMTFLMKEAGCELTYIIGHPVLLTYSLEKRLRPRYDVMNFLDQNKLLDRGHNLASVVTLPEEKFRNKFLFLLGEEKFIAQYESYVVAVQGKNDVVAEN >Ma10_p30540.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36819298:36823141:1 gene:Ma10_g30540 transcript:Ma10_t30540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNVHLSSYQLCSLFSFSTAKENSSNHRSNFTLVGPLESCELSSKEAAKTADDSICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPRFLRANVETILKPRMRSLQEMGFSDTEIIRLVSSCPTLLRLSDIQPRINFWRSLLGSNERFLKACKRNMFVLTSSLARNIEPSISLLRERGISDERIAHMVVTMPGGFGRIDKLKEVIKYIDELGVPRDSGVYTKALNVVITVSRSRFDAMSVTLMSFGWSQPDIIALIGKCTSIWTLSKKNICDKMTFLMKEAGCELTYIIGHPVLLTYSLEKRLRPRYDVMNFLDQNKLLDRGHNLASVVTLPEEKFRNKFLFLLGEEKFIAQYESYVVAVQGKNDVVAEN >Ma10_p30540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36821404:36823141:1 gene:Ma10_g30540 transcript:Ma10_t30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNVHLSSYQLCSLFSFSTAKENSSNHRSNFTLVGPLESCELSSKEAAKTADDSICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPRFLRANVETILKPRMRSLQEMGFSDTEIIRLVSSCPTLLRLSDIQPRINFWRSLLGSNERFLKACKRNMFVLTSSLARNIEPSISLLRERGISDERIAHMVVTMPGGFGRIDKLKEVIKYIDELGVPRDSGVYTKALNVVITVSRSRFDAMSVTLMSFGWSQPDIIALIGKCTSIWTLSKKNICDKMTFLMKEAGCELTYIIGHPVLLTYSLEKRLRPRYDVMNFLDQNKLLDRGHNLASVVTLPEEKFRNKFLFLLGEEKFIAQYESYVVAVQGKNDVVAEN >Ma10_p30540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36821012:36823141:1 gene:Ma10_g30540 transcript:Ma10_t30540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNVHLSSYQLCSLFSFSTAKENSSNHRSNFTLVGPLESCELSSKEAAKTADDSICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPRFLRANVETILKPRMRSLQEMGFSDTEIIRLVSSCPTLLRLSDIQPRINFWRSLLGSNERFLKACKRNMFVLTSSLARNIEPSISLLRERGISDERIAHMVVTMPGGFGRIDKLKEVIKYIDELGVPRDSGVYTKALNVVITVSRSRFDAMSVTLMSFGWSQPDIIALIGKCTSIWTLSKKNICDKMTFLMKEAGCELTYIIGHPVLLTYSLEKRLRPRYDVMNFLDQNKLLDRGHNLASVVTLPEEKFRNKFLFLLGEEKFIAQYESYVVAVQGKNDVVAEN >Ma10_p30540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36819298:36823141:1 gene:Ma10_g30540 transcript:Ma10_t30540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNVHLSSYQLCSLFSFSTAKENSSNHRSNFTLVGPLESCELSSKEAAKTADDSICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPRFLRANVETILKPRMRSLQEMGFSDTEIIRLVSSCPTLLRLSDIQPRINFWRSLLGSNERFLKACKRNMFVLTSSLARNIEPSISLLRERGISDERIAHMVVTMPGGFGRIDKLKEVIKYIDELGVPRDSGVYTKALNVVITVSRSRFDAMSVTLMSFGWSQPDIIALIGKCTSIWTLSKKNICDKMTFLMKEAGCELTYIIGHPVLLTYSLEKRLRPRYDVMNFLDQNKLLDRGHNLASVVTLPEEKFRNKFLFLLGEEKFIAQYESYVVAVQGKNDVVAEN >Ma10_p30540.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36819298:36823141:1 gene:Ma10_g30540 transcript:Ma10_t30540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNVHLSSYQLCSLFSFSTAKENSSNHRSNFTLVGPLESCELSSKEAAKTADDSICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPRFLRANVETILKPRMRSLQEMGFSDTEIIRLVSSCPTLLRLSDIQPRINFWRSLLGSNERFLKACKRNMFVLTSSLARNIEPSISLLRERGISDERIAHMVVTMPGGFGRIDKLKEVIKYIDELGVPRDSGVYTKALNVVITVSRSRFDAMSVTLMSFGWSQPDIIALIGKCTSIWTLSKKNICDKMTFLMKEAGCELTYIIGHPVLLTYSLEKRLRPRYDVMNFLDQNKLLDRGHNLASVVTLPEEKFRNKFLFLLGEEKFIAQYESYVVAVQGKNDVVAEN >Ma09_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5877058:5878034:1 gene:Ma09_g08840 transcript:Ma09_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPLHTEREVMEFLLLFAIFVFTCGLINCRRFLPGSKLIAWLCSFLPTSFSSPKLAERAVEEEEEEEEEEVDDELESIFSTFDKDGDGFITVKELEESLGRLGLAVTDNEAMSMMERVDANGDGLIDLDEFRELYAELGRNQEGGHERGLVAREEEEETDLREAFDVFDGNRDGLITAEELAMVLKSLGLKQGAKLEDCRDMIGRVDRDGDGKVNFDEFKKMMKMKGGKLF >Ma07_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28664566:28666766:1 gene:Ma07_g20710 transcript:Ma07_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGEADLCGRMREEDAILELAKLDFNLLQSLHREELKNITKLIAEIALSKNLSFARDRLVECYFSILGVYFEPCYSSARKSQRLTEAIQRFVVHQLPEYMKDYYRKLIHTFEEFEDLLASGEKYRITYLKEAMKDLCKAYFEESKWRDQHYVPTLEEHLCVSIMGEIAIKEAFEWITSFPKIVQASAIISRIMDDITSHDLEQTRAHVASTVQCYMKEHGTNVQVACKKLQDLVEDAWKDINEECLNPTLFPIALLERTVNFSRMMENIYKQVDGYTNSSTKMKYFISLLLVHPIPI >Ma10_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29785745:29791014:-1 gene:Ma10_g19090 transcript:Ma10_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAVEKLDGRNVDGREIRVQFAKYGPNAERIHKGRIVEEVPRTSDRSRSRSPRPRYRDREYKRRSRSRSRSRSRGRHERDRYHDRERDYRRSRSSRSPSVSPDYRRHSGRGKYDDERRSRSRSYSSGSPIRRSPSPKRSSSPHSTPRKGSADEKNHEPSSHSRSVSP >Ma08_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33890019:33894161:1 gene:Ma08_g20040 transcript:Ma08_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGKWEKIVLLLIFLFSSASGAFIGINIGTQMSSLPSAAEIVSILKTQQIKHVRLFDADHQMLNALSNTGIEVTVGVPNDQLLRIGESRSEAANWINKNVAAFVPATNITCIAVGNEVLTTIPNAALVLVPAMQFLQSALVAANLNFQVKVSSPQSMDMIPKHFPPSTATFNLSWNSVMYQYLQFLKNTGSSFMLNAQPYYAYAKGQGIFPLEYALFRPLDPNNQIVDPNTNFQYTNMFDAMIDAAYYSMQSLNFSNIPVTVMASGWPSLGGASEPEANIDNALAYNSNLIRHVLNGSGTPSQPTTSVSAYVHELFNEDVRPGPVSEKNWGIFASNGTAVYSLKFGHLAESSAESTGLVGVFCVANSSADSNALKKGLDWACGPGSANCTAIQQGQPCYEADNLVAIASYAYNDYYHRTQASGGSCNFGNTAMITTTDPSHGSCIFAGSSGSSTSSTEGTTPSAFGPVGALSGTSRLQFVSSEFLIAFLLTFLV >Ma06_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:133287:136641:-1 gene:Ma06_g00180 transcript:Ma06_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVFHESIRGISSSPYPLSLPLAVLPPPPSPPPPPPVVNIENRISPSILLIIIILAIIFFISGLLHLLVRYLLRPINREPEATENSTALQGQLQQLFHLHDAGVDQSFIDTLPVFPYKAIIGLKDPFDCAVCLCEFEADDKLRLLPKCSHAFHVECIDTWLLSHSTCPLCRRSLHPDFSPPTSCSPLLLVLESGSESSRESVSERGDSFSNTGLVCHGDDDGPGTSINDTSQKPVEITAKEEARSVVAASEADETEVVPVKLGKFRSVDGDGAVGEGSSTANSTLDQRRCFSMGSYEYVMDERSLLQVPIKPPKKPIVHRPGHRDAISECDYHSKREAFKGLDALRAAEFRDGGGNASISRNQHKKESFSVSKIWLRSKKEKPMADDSSRRALSFRLPLHRAVMTDESKLKSRASPAATASEFNVSTWEKSSSEVDLDAEVGSSNRVRSPADEAPSFARTLLWLVGRQNKRKTKRRCNSFLSINPFLIKS >Ma01_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11636958:11638064:1 gene:Ma01_g16010 transcript:Ma01_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGEEEEMSSDCSSGCQSGWTAYLEDEEEEEEEEDLSMVSDASSGPPQLREEDEQRCRCQRKSNLCVGKIGCLCPVSAPAAAAAMARDGCKKRRVGEEQHIEHSSVLVDTATSPLFGYSKARFKDNNYCYYQMKPINALDFTRSFSSAHIEESSALQKQIGYLQSYTPLKPTSARPVSRKERKTIW >Ma10_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32627801:32631241:-1 gene:Ma10_g23850 transcript:Ma10_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREIAVTKEALHQYIIIRKLHMELDEEREASATAASEALSMILKLQREKAVEKMEARQYKRMAEEKLHYAEQCLIILEEEMQRKGIENSILKHQLQLYKSRLLSIGISDFELGDVVTCADMSCGDGAFLEKTNLHGRMRRNFSLPSLKLGRLYSEIDRIDNNPLLPSWHSTWKIFGDCTDHLSDKNKKFSHLFQESATDDHNSEEANRRGNHDSSPGRMGQQTTSDSSRSSGEDSSSCSWYSAVTGDASCHSKPGTKIHLDAECVVNSPFQAEEQVNTTESAELSVSYNQTESGKNLACVHDIFEVPESDKYHVSSEPVEHFLEESIKETMTYGVCEDFMPQETVEFLYRDNYWLDREFTCSHHGSQISSPTCESNKMLTPRKGEMMNYNSVLVDSVNRTCIMRNDFEHIKCQLQQIENEKIMKMEDSERNSEQLKLLRDIYEKLNSIESHLKSSKSKKCPQHDESQLVSVIEAFLSFSI >Ma11_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9117181:9118181:1 gene:Ma11_g09870 transcript:Ma11_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSDHHHEAWSWEPPVNLHQHYRHHPYRGEQIEPRRQREHMFEKSLTPSDVGKLNRLVIPKQQAEKYFPLAGDSGEKDLLLNFEDEAGKPWMFRYSYWSSSQSYVLTKGWSRFVKEKKLDAGDVVLFERLRAGGDRLYIGCRRQGREESPPAPGAPPARPSRNHSCLGDREEEAAKNTAEAPANSKRLRLFGVNLN >Ma02_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23293884:23295857:-1 gene:Ma02_g15680 transcript:Ma02_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLPLLRGKEKGRAWWTRLIDVSEARAQLFFAFPMILTNVSYYAITLISVMFAGHLGDVELAGSTLGNSWGTVSGLALMTGLSGALETLCGQGYGAKLYRMLGLYLQSSIIISTFFSIFVSLLWYYSEPVLIWLHQEPEVSKMAALYLKYLIPGVFAFGSLQCMLRFLQTQTVVIPLVVCSVVPLFVHVGLTYATVHVFGLGFVGTSLSASISLWISFIMLAIYVSCSKKFRHTWEGFSAEAFHHIIPCMKLAIPSAVMVCLEYWAFEILVLLAGLLQNSELSTSLVAMCVNTEAIAFNVTYGFSAAVSTRVSNEIGARNVEKAKNAVSMTLKLAVFLEVTVVLLLAFGHDLWASFFSNSQEIIKAYAYMTPLLTVSILLDSAQGVLSGVSRGCGWQHLAALTNLVAFYVIGMPLALLFGYKLGFQAKGLWMGLICGLFSQTCALIVITVRTKWTRTELADEDGESEVLVYTG >Ma01_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7121109:7123806:-1 gene:Ma01_g09930 transcript:Ma01_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAYGAMKPTELGLEEPQEQLHRIRITLSSRLQERHESRERMCGSVEVSEGQAADGQGCPPRYSTSLTVPSKCDFLTISKLDRISCSVYPRVIDLASSSELVKQIAWISIEPGLDVEVTIAEP >Ma01_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10985398:10986781:1 gene:Ma01_g15140 transcript:Ma01_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKKHRLHHRSHPPPLPTAARSSANDANISGFDSQPGVVTFSSNISTDIPLHETPGAGISFSVSFDEYLCDRPRVFRAMFPDKRRSKRLNDEEWRIQMLPIHFLFASANPVVVMRLRHKSSGEDYPPGVPGHATSVLELQATRWELQGLQDIQMPPHFALSVQGVLYPDRSSSSRRLKGHLEMGISMILPPVLQLVPEDVLRSIADTLLSRLLKKMKHEVDVGLISDYAKFRREKLKKHGAAAQTPATSSEPRGIS >Ma06_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2348383:2349441:1 gene:Ma06_g03170 transcript:Ma06_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVETLRLRKEGLGPPAAAAVAGGEKEAHFRGVRKRPWGRFAAEIRDPWKKTRKWLGTFDTAEEAALAYDAAARTLRGSKAKTNFGYSAADVEIVPAAVPEPQIGWLSSSPWRSGFREPATALNGRDLFLGQPGLAVESGSEFSGYRFEAVKMVVKGEQERRGTVAEGKKKPPFCFDLNLPPPFV >Ma04_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9493526:9495406:1 gene:Ma04_g12590 transcript:Ma04_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLKAEGVLRMLSLALAATAALLVGLDTETKTVLFVRKKATAKDLDGLWTMTLVTSITAGYHLLQLFRCMALALLGRNPCRGSKSVAWFLFLLDQGVTYATFGATMAGLQAALVAVFGVDDLQWSKLCNIYTRFCEQVAGGMLCGLTASLAMAVVSAVSAHHLFRLYPRCRRSVKAARWRWLPF >Ma08_p27620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39804375:39807202:-1 gene:Ma08_g27620 transcript:Ma08_t27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRIPATPDVAGVAPAENLLSLGFVSHKKGKNQILFRDFVRPSAMKSGVCARLKFGGNNFPVKSEVKRTSVSYGGCIPSVLRALETFPDLDEALKPWEDSLSSKERTIILKEQTDWRRAVEIFNWFKRKGCYELNVIHYNVMLRILGEAQKWDLVGSFWNEMQSNRIMPTNSTYGTLINAYCKGGLNKAALVWLSDLYKQGMEPDEVTMGVILQTYKKAGKFQKAEQFFRRWSSDIYDDGETQKGYSLYTYNTLIDTYGKAGQLEKASRTFTQMLGEGIVPDTVTFNTMIHLYGNNGCLEEISSLMTMMDEHHCVADTRTYNILISVYLKMNDIDTAASYFSKLKVAGLEPDIVSYRTLLYAYSVRNMVGEAEALIMEMEELSLEIDEYTQSALTRMYTNVGLIDKSWSWFEKLSDKMSSECFSANIDAFGEKGHIHLVEKAFSCCLSRQKLSVLVFNVMIKAYGLQKNCNKAAELFDGMIGYGVVPDQCTYSSIIQLLSAAELPHKAVYYVRKMQEAGLVTDCIPYSVVMTCFAKLGELQTAEDLFKEMISFKIQPDIVVYSILINAFAEVGNVHEAMKYVDSMKSAGLTLNSIICNSLIKLYTKVGYLREAQEVYEFLKSSEDSPDAYASNCMIDLYSENAMLGEAEEIFDNLKCNGKSNEFSYGMMLCLYKRLGRLGEAFFIAKEMQTLQLLTSSVSCNNIIALYATNGRTKEAVETFRYMLASGIPPDDGTFKTLGLVLLRHGVSKEAINQLESVRGEDAEVGLEEWIKAICSVLRFDVNLRHVGKTKSCYNIEPFTVNLCEKEFALKELGDVKQGLCG >Ma03_p30540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33152228:33157191:-1 gene:Ma03_g30540 transcript:Ma03_t30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSLRAPPLTLASTAAALRTFSRTLVLQPQKPLFTSSRALRSPPLPRFPSNLPRRRLATRASADGGNGAPDPRQFDFDLFTIGAGSGGVRASRFAATYGAKVAICELPFSTISSESAGGVGGTCVLRGCVPKKLLVYSSKYSHEFEESRGFGWTYEADPMHDWSTLIANKNAELQRLTGIYKNILQNSGVTLIEGRGKIVDPHTVDVDGKHYTARHILISVGGRPNLPDIPGIEHAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEIRNFVSEQMSLRGIEFHTEETPQAILKSSDGLLSLRTNKETVDGFSHIMFATGRRPNTKNLGLEDVGVELAKNGAILVDEYSQTSVDSIWAVGDATDRLNLTPVALMEGGAFAKTVFGKEPTVPDYRAVPSAVFCQPPIGQVGLTEEQAIQEYGDVDIYTANFRPLKATLSGLPDRDFMKLIVCASTNKVLGVHMCGEDSPEIIQGIAIAVKAGLTKADFDSTVGIHPTSAEEFVTMRSPTRKIRKDPSPAMEKNVDEVRPDRNK >Ma02_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17403289:17404844:-1 gene:Ma02_g06390 transcript:Ma02_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKDVLQLTAEEEEEARRFAMRLALGYCLPLTLKVAIELELLETIVKAGPGAMLSPADIAAGLPTENPQATDMVDRMLRLLAANGILSWSVEASGVDGRPTCKYGAAPVCKYLTRNEDGVSMATLTLLVNDKITMECGYCLKDAVLEGGIPFKKAHGMTVFEHHGKDPRFNKLFNDSMRNHSTILIKQLLETYRGFDDVKVLVDVGGGTGATLHMITSGHQHIKGINFDLPHVISDAPPYPGVEHVSGDMFESVPSGGDAIFMKWILHDWTDEQCARILKNCWKALPKKGKVILVEYLLPMSPEQYSNSQGIFELDMGMMTHTEGRERTQEEFEALAKEAGFVGFKATYISIYAWLIEFTK >Ma11_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16277061:16286809:1 gene:Ma11_g12370 transcript:Ma11_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFPGILARDFGFRPQGKSVPMAASKAAASSGGGSANFEFGSGRSSAANGSWGKSRSGWNPNSDSEPWLGDHGVEISESRSPPAYDDVFGGPARPSNRSASSSPPPAFDSIFDGYNKDAAVKPSSSSSLPVYDKPVYDDDIFDGVPGVKSSSSFKYDDVFGSMSSGSNNVYPPPYEDLLENLGKPTSESKGTSYRGSAVEKNQDLSGFDDLIPGFGGSSPPKKREFSVANEQKPAVSSAKPPASTTEDPFVVLESKPPSTYSASGFFADPLEHISRPENFGNVKVDASSNNSGIPDDSIASNGVSRSEPFFTTQTNDVRRDKSPLKGAQNMSSGHRTKPSSQSPMDAFENILPKMQTNKPSYSEESGGSSGFQSSDTVGRNISGKFSESNEQWDTADDVWLTVDEVPLFTQPTTALPPSRPPPPLVINQAPSSATVTRKGNEFYSHHNESRRKPVVSPMDELEDFVMAKPQMPAQDHSDIFPGEEEIETNSAAAASAAAMKEAMDRAEAKFKHAKEVRERERDSKFAKNRESMLQEDLEDTDKQERLNWEREQKEREYKEERKRLEKEREQELERERERHRQAVERATREARERAAAEARVKAERVAVEKANAEARQRAERAAVQRAAAEARERAAAEARERAEKEARERAERAAAEAKERAAAEAREKAAAAAARERAAVDRAAAEARRRAERAAVERAASEARERAAAEARERAAAAAREKQQKPENDLESFFGMGARATSAPKERATPSEAMFDGQAQNKGGFDATRRTTSSYSSVRKTASTSNFADDLASIFGGPPSSGEFQEIEGESEERRRARLERHQRTLERAAKALAEKNERDMQTQREQAERHRIAETQDIEIKRWASGKEGNLRTLLSTLHYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKEAWNKFNSEELF >Ma02_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28192322:28195490:1 gene:Ma02_g23010 transcript:Ma02_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLYDGSDIGPIRYSSSSTVAMLKERIISEWPRDKKIVPKVANDVKLISAGKILENSKTIAQCRSPFGEPPADGITMHVVVQPSLTKTKTEKKVEELPKKTACSCSIL >Ma03_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25339582:25349020:1 gene:Ma03_g20160 transcript:Ma03_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADADGGGGRGFSGPGKPSNGTAGVAGPAQALKHNPGLSVDWSPEEQAILEEELDKHASESSIIRYAKIAMLLPNKTVRDVALRCRWMTKKESGKRRKEDHNLSKKSKDKKERVTDALAKPSTHLGSLPNAPLYPLPMLPMDDDGITYKVIGGRTGQLLESNADAFTKISANLASLKVQDNISLLCQTRDNILTILADLNDMPEIMKQMPPLPVKMNEELADSILPRTTVPMQS >Ma10_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17356064:17358314:1 gene:Ma10_g05940 transcript:Ma10_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPIFFTLLLSLFLSASAQQDEFVYIGFSGDADGGGSNMSLNDVAEIENSGILRLTNETSRLIGHAFYPAALRFRNATTGVAFSFSTTFAFAIVPEYPKLGGHGLAFTIAPTKELPGALPSQYLGLMNASDVGNATNHVFAVEFDTVQDFEFDDVNDNHVGVDVNSLVSYPTASAAYYGNDGVKKDLNLKGGYTIQAWVDYDGAEKVLNVTLSPFSTKPSTPLLSFQVDLSPVLLDDMFVGFSASTGLLASTHYLFGWSFKMKGACRSLELSSLPSLPQPKKKNVTLTVAISVTTFVLLIAAAAATAYLFYWIKNADVIEAWELNCGPHRFAYEELKRATEGFRDQQLLGFGGFGKVYKGTLPGSRVEVAVKRVSHESRQGIREFLAEIASVGRLRHRNLVQLRGWCRRRGDLFLVYDYMPNGSLDKFLFRDDSLGQRPRPVLSWPQRFRILRGVASALLYLHEEWEHVVIHRDVKASNVLLDAEFNPRLGDFGLAKLHDHGANPSTTRAVGTLGYLAPELTRTGKATTSSDVYAFGTLVLEVVCGRRPVEPKALPEELVLVDWVWERWTSGRWADVVDHRLGDDYDREEAVVAIKVGLWCSHPSAAARPGTREVVRYLDRGDAAEVPPLAGPPEEYDAGESNGGFDDFVHSYLASSEKISKGSAALGQEASRTCV >Ma09_p08160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5364452:5371849:-1 gene:Ma09_g08160 transcript:Ma09_t08160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MRPYFDPDYENFSQWINPPRVCIDNVTCNDCTLVKVDSVNKPGILLEVVQVLSDLDLAISKAYITSDGTWFMDVFHVTDQQGRKITEKKTIDYIEKALGPESLKADSWPGKSVGMHSFGNHIAIELIGTDRPGLLSEIFAVLANQHCNVVAAEVWTHKMRVACVIYINDEATSEPMKEPSRMCTMEEQLRNVLRGCGDDVKGSCTSFSIGSTHVDRRLHQLMLADKDYEGYGGAIEEKDDMLFRPVITIDQCEDKGYSVVNIKCKDRSKLLFDIVCTLTDMQFVVSHASVSSDGPYGVQELYIRHKDGKILDSKDEKERVIKCLEAAILRRVSEGFSLEICARDRVGLLSDVTRVLREYGLSVLRADVTTIGEKAMNVFYVRDPSGNPVDMKTIEALRQEIGHTVMLNVKRVPSTTKSPEASGWAKTSFSFGNLFGKFLS >Ma09_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5364452:5370297:-1 gene:Ma09_g08160 transcript:Ma09_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MRPYFDPDYENFSQWINPPRVCIDNVTCNDCTLVKVDSVNKPGILLEVVQVLSDLDLAISKAYITSDGTWFMDVFHVTDQQGRKITEKKTIDYIEKALGPESLKADSWPGKSVGMHSFGNHIAIELIGTDRPGLLSEIFAVLANQHCNVVAAEVWTHKMRVACVIYINDEATSEPMKEPSRMCTMEEQLRNVLRGCGDDVKGSCTSFSIGSTHVDRRLHQLMLADKDYEGYGGAIEEKDDMLFRPVITIDQCEDKGYSVVNIKCKDRSKLLFDIVCTLTDMQFVVSHASVSSDGPYGVQELYIRHKDGKILDSKDEKERVIKCLEAAILRRVSEGFSLEICARDRVGLLSDVTRVLREYGLSVLRADVTTIGEKAMNVFYVRDPSGNPVDMKTIEALRQEIGHTVMLNVKRVPSTTKSPEASGWAKTSFSFGNLFGKFLS >Ma09_p08160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5364452:5371849:-1 gene:Ma09_g08160 transcript:Ma09_t08160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MRPYFDPDYENFSQWINPPRVCIDNVTCNDCTLVKVDSVNKPGILLEVVQVLSDLDLAISKAYITSDGTWFMDVFHVTDQQGRKITEKKTIDYIEKALGPESLKADSWPGKSVGMHSFGNHIAIELIGTDRPGLLSEIFAVLANQHCNVVAAEVWTHKMRVACVIYINDEATSEPMKEPSRMCTMEEQLRNVLRGCGDDVKGSCTSFSIGSTHVDRRLHQLMLADKDYEGYGGAIEEKDDMLFRPVITIDQCEDKGYSVVNIKCKDRSKLLFDIVCTLTDMQFVVSHASVSSDGPYGVQELYIRHKDGKILDSKDEKERVIKCLEAAILRRVSEGFSLEICARDRVGLLSDVTRVLREYGLSVLRADVTTIGEKAMNVFYVRDPSGNPVDMKTIEALRQEIGHTVMLNVKRVPSTTKSPEASGWAKTSFSFGNLFGKFLS >Ma04_p00970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:864358:870552:1 gene:Ma04_g00970 transcript:Ma04_t00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRGRAQANPVVQAERPSTRRRRGARNQQQPVDENPPTLTRPAERREEIGLVEGRGEVGGEENREGVGERMMNDCDSGAKSGGKLPGGEEEGSTAPLPEKVQVSNSPVYKVERKLGKGGFGQVYVGRRISPINANDRTTGSSAIEVALKFEHRSSKGCNYGPPYEWQVYNTLGGIHGVPRVHYKGRQGDYYIMIMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPAGTSEEKKLFLVDLGLATKWKDSSTSLHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLSCFCPQPFKRFIEYVVNLKFDEEPNYAKCISLFDGVVGPNPDIRPINTDGAQRVGQKRGRLMMEEEEEEQPRKKIRMGMPATQWISVYNARRPMKQRYHYNVADIRLAQHTEKGNEDGLFISCVSSSSNLWALIMDAGTGFSAQVYELSASFLHKEWIMEQWEKNYYISSLAGADNGSSLVVMSKGTPYAQQSYKVSESFPFKWINKKWREGFYVTAMATAGNRWAVVMSRNAGFLEQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFVLSVPRRKPVDETQETLRTSAFPSQHVKEKWAKNLYLASVCYGRTVS >Ma04_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:864358:870552:1 gene:Ma04_g00970 transcript:Ma04_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRGRAQANPVVQAERPSTRRRRGARNQQQPVDENPPTLTRPAERREEIGLVEGRGEVGGEENREGVGERMMNDCDSGAKSGGKLPGGEEEGSTAPLPEKVQVSNSPVYKVERKLGKGGFGQVYVGRRISPINANDRTTGSSAIEVALKFEHRSSKGCNYGPPYEWQVYNTLGGIHGVPRVHYKGRQGDYYIMIMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPAGTSEEKKLFLVDLGLATKWKDSSTSLHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLSCFCPQPFKRFIEYVVNLKFDEEPNYAKCISLFDGVVGPNPDIRPINTDGAQRLVYQVGQKRGRLMMEEEEEEQPRKKIRMGMPATQWISVYNARRPMKQRYHYNVADIRLAQHTEKGNEDGLFISCVSSSSNLWALIMDAGTGFSAQVYELSASFLHKEWIMEQWEKNYYISSLAGADNGSSLVVMSKGTPYAQQSYKVSESFPFKWINKKWREGFYVTAMATAGNRWAVVMSRNAGFLEQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFVLSVPRRKPVDETQETLRTSAFPSQHVKEKWAKNLYLASVCYGRTVS >Ma04_p13110.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9929743:9934973:-1 gene:Ma04_g13110 transcript:Ma04_t13110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWSTTRHCPVSGLVTTSTIPKPLFEEQHKMPGGQDVEPSSRQPSPLQMPKPSRPGPNTPSRLPKLVQEDTPMQRTTEVLECQKSDHVHKGPVEPLFDGISAKTTKHSGTSDFDAFSDNLKQLNIQDGSIELLQLNRTISLEAIRGTEKENSEKGNMKYNSVSAELSEGTNSFTRTSGSAKVNSQVESGKNSTCRASASSDVSDESSGSNISSTINKPHKANDSRWEAIQVIRARDGVLGLGHFRLLKRLGSGDIGTVYLSELAGTKCYFAMKVMDKISLASRRKLLRAQMEREILQCLDHPFLPTLYTHFESEKFSCLVMEYCPGGDLHTLRQRQPGKYFSEQAVKFYIAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSNPDSDSFRRNNPAYCVQPACVEPSCIQPSCVAPTTCFSPRLFSSKSKKERKQKLEIGNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKHVSIERFMGTVASTSQKSNATSGKGSDNYLEFDFF >Ma04_p13110.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9929743:9934973:-1 gene:Ma04_g13110 transcript:Ma04_t13110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWSTTRHCPVSGLVTTSTIPKPLFEEQHKMPGGQDVEPSSRQPSPLQMPKPSRPGPNTPSRLPKLVQEDTPMQRTTEVLECQKSDHVHKGPVEPLFDGISAKTTKHSGTSDFDAFSDNLKQLNIQDGSIELLQLNRTISLEAIRGTEKENSEKGNMKYNSVSAELSEGTNSFTRTSGSAKVNSQVESGKNSTCRASASSDVSDESSGSNISSTINKPHKANDSRWEAIQVIRARDGVLGLGHFRLLKRLGSGDIGTVYLSELAGTKCYFAMKVMDKISLASRRKLLRAQMEREILQCLDHPFLPTLYTHFESEKFSCLVMEYCPGGDLHTLRQRQPGKYFSEQAVKFYIAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSNPDSDSFRRNNPAYCVQPACVEPSCIQPSCVAPTTCFSPRLFSSKSKKERKQKLEIGNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKHVSIERFMGTVASTSQKSNATSGKGSDNYLEFDFF >Ma04_p13110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9929743:9934973:-1 gene:Ma04_g13110 transcript:Ma04_t13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWSTTRHCPVSGLVTTSTIPKPLFEEQHKMPGGQDVEPSSRQPSPLQMPKPSRPGPNTPSRLPKLVQEDTPMQRTTEVLECQKSDHVHKGPVEPLFDGISAKTTKHSGTSDFDAFSDNLKQLNIQDGSIELLQLNRTISLEAIRGTEKENSEKGNMKYNSVSAELSEGTNSFTRTSGSAKVNSQVESGKNSTCRASASSDVSDESSGSNISSTINKPHKANDSRWEAIQVIRARDGVLGLGHFRLLKRLGSGDIGTVYLSELAGTKCYFAMKVMDKISLASRRKLLRAQMEREILQCLDHPFLPTLYTHFESEKFSCLVMEYCPGGDLHTLRQRQPGKYFSEQAVKFYIAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSNPDSDSFRRNNPAYCVQPACVEPSCIQPSCVAPTTCFSPRLFSSKSKKERKQKLEIGNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKHVSIERFMGTVASTSQKSNATSGKGSDNYLEFDFF >Ma04_p13110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9929743:9934705:-1 gene:Ma04_g13110 transcript:Ma04_t13110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWSTTRHCPVSGLVTTSTIPKPLFEEQHKMPGGQDVEPSSRQPSPLQMPKPSRPGPNTPSRLPKLVQEDTPMQRTTEVLECQKSDHVHKGPVEPLFDGISAKTTKHSGTSDFDAFSDNLKQLNIQDGSIELLQLNRTISLEAIRGTEKENSEKGNMKYNSVSAELSEGTNSFTRTSGSAKVNSQVESGKNSTCRASASSDVSDESSGSNISSTINKPHKANDSRWEAIQVIRARDGVLGLGHFRLLKRLGSGDIGTVYLSELAGTKCYFAMKVMDKISLASRRKLLRAQMEREILQCLDHPFLPTLYTHFESEKFSCLVMEYCPGGDLHTLRQRQPGKYFSEQAVKFYIAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSNPDSDSFRRNNPAYCVQPACVEPSCIQPSCVAPTTCFSPRLFSSKSKKERKQKLEIGNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKHVSIERFMGTVASTSQKSNATSGKGSDNYLEFDFF >Ma04_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9929743:9934992:-1 gene:Ma04_g13110 transcript:Ma04_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWSTTRHCPVSGLVTTSTIPKPLFEEQHKMPGGQDVEPSSRQPSPLQMPKPSRPGPNTPSRLPKLVQEDTPMQRTTEVLECQKSDHVHKGPVEPLFDGISAKTTKHSGTSDFDAFSDNLKQLNIQDGSIELLQLNRTISLEAIRGTEKENSEKGNMKYNSVSAELSEGTNSFTRTSGSAKVNSQVESGKNSTCRASASSDVSDESSGSNISSTINKPHKANDSRWEAIQVIRARDGVLGLGHFRLLKRLGSGDIGTVYLSELAGTKCYFAMKVMDKISLASRRKLLRAQMEREILQCLDHPFLPTLYTHFESEKFSCLVMEYCPGGDLHTLRQRQPGKYFSEQAVKFYIAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCTVSPTLIKSSNPDSDSFRRNNPAYCVQPACVEPSCIQPSCVAPTTCFSPRLFSSKSKKERKQKLEIGNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKHVSIERFMGTVASTSQKSNATSGKGSDNYLEFDFF >Ma07_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3323518:3328447:-1 gene:Ma07_g04470 transcript:Ma07_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARKRVLVVGGSGYLGQHLLQGFSRGAGSERYALAFTHHRPSPPAELVDAVSPVLPFRVDLRTGDGFDAISTAFGQPDVVVNCAALSVPRTCEMDPAAAMSINLPSSLVYWLSSFKNNNSLLIHLSTDQVYEGVRSFYKEDDEALPVNMYGKTKIAAEKHIIANCSNYAILRSSIIYGPQTISPVTKSLPIQWIDSALKQGQEVEFFHDEFRCPVYVKDVVNVIIALSKKWISDGKQMQLLLNVGGPNRVSRFQMAETVARIRGYNHSLIKSVSASSVNRGVISPADISMDISILIRVLGINPCSFEDGVRSTLEISDRS >Ma08_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4541896:4543905:1 gene:Ma08_g06710 transcript:Ma08_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSDAAAVYGHKLSTVVPGSVSGEAVVHELADVDLVMKLHYVRTVYYFEQHETIDGLTIVDIKKPMFPWLNSYFPVTGRIRRTEAGRPLIKCNDCGVRIVEAKCCRTLEEWLRVPHSARWRPLVPDKVLGPELHFSPMVYVQFTKFKCGGTAIGYSWSHVLGDPVTGTNCINLWGRLLGGNPPPKSLLPHSARTPTGRPARQAPASATPLSVKQVEPVGDFWLVTNACKMATDSITITESRLERLQSEKLNGVPAFEMISALFWRCLASVRRGGREPRVVTICRHKPRAKRCAQLSNEQMISTVSTSAASPVDLDLAELAQLVSKQQVDETKLVEESIDGDGGKPDFVVYGANLTFVDMEGVDLSGLDIKGEKPVQVDCVIDGVGDEGAVLVFPRGADAGGGSKGGAAVLILPEDQIMKLKEVLKREFDIA >Ma03_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26035213:26040820:1 gene:Ma03_g20950 transcript:Ma03_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MANRTDPAAKSIHGTNPQNLVEKILRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTYGGSRKPTPFMCLILKMLQIQPDKEIVVEFIKNDEYKYVRVLGAFYMRLTGSVTDVYRYLEPLYNDYRKLRLKLSEGKFCLTHVDEVIDELLTKDYSCDIALPRVQKRWTLEACGLLEPRRSALEDDFEEEEEKEEEEQAMDSVEDDTHEKNYYRGRSPIRERDRDRRRDRQYRDRDYERDYGRGRERDRDRDRDRDRERDRDKDRDRDRDRERDRHRLRDDRDYGRERERERDREGRERERRDRERGRRRSRSRSRSRDRRDRDHEDGDHRKRRTRGSVSPRRRPEDDGSTRDEPKKKKEKKEKKSDGTDHPDPEIAEANRLRASLGLKPLK >Ma11_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25487923:25488036:-1 gene:Ma11_g21270 transcript:Ma11_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSNGSWQADNSLDCALPLAIVHICLVIAITRSLA >Ma00_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22206470:22207191:-1 gene:Ma00_g02830 transcript:Ma00_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFHVAQREKLLEKQLHSIIEQLTSKQVQAEGLITEIQTKEKELERLNSLRRKLDSSNTDLNTTRNRFGKSFAGSGPLVEYAIEAHRRPHHTGSRTESQQNLMLLRSAFILYILALHVIVFIKISS >Ma06_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15095771:15096566:-1 gene:Ma06_g20980 transcript:Ma06_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVGNRLPWDRETSKQVFWAGTRPRGGLRMVRTRVTASDFSRFTPQAVAVFFDTAAEAKKWPFPLPPPRLRRLGSSFICGQAGGEVLGRPGLRWRPWRLREHPAVRSEIQGEGSAVQQVLVADDAQLLRQCGGAFRHRCYPYHFHQPARRHHFPAQRTLLCSLACSPLLSNSLSPARLVRLAGAVGIVHNPRPQKVSLNVATQGVSPK >Ma08_p30020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41436272:41438095:-1 gene:Ma08_g30020 transcript:Ma08_t30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTVSPSFHVIVLIPISILFIYHLLRRCYSTAKATGNYCPFPDPLLGNLIPFLRNQRRFLDWAADLLSRSPTGTIEVRGPLRLSRGVATVRPLVVDHLLRSNFSNYVKGGRTRAALSDLLGHGIFNADGHLWSLQRKTASREFTTRSLKSFVSDVVRFQLRDRLLPRLSVASDEGGTVDLQDLLRRFAFDNICTIAFGTDPASLRPGSGVGAEDHSFFDAFDAAVKISSARMFAPLPLVWKLKRFLNVGSERKLRNVMKTIDEYAMNIIELKEQQGTKKLDLLSRFAAALEEDDNQLRRMFEGPQQKRKFLRDIVISFILAGKDTTSAGLTWFFWLLVVHPRSEQRIYEEIAKHDEEAAHDMGYDELKGMNYLHAAITEALRMYPPVPIDTRVAVADDVLPDGTCVKAGWFADYCPYAMARDEAVWGADCRDFKPERWLDEKGEFVGMDAARFPVFHAGPRMCLGKEMAYVQMKAVAAAVIRRFRVEVAALEHSGGGEVSVPEYEMSVTLRMKGGFPVRLKRRMK >Ma06_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7936169:7936840:-1 gene:Ma06_g11330 transcript:Ma06_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAQTSSSTSPSASLFARLNTTDELQKVFDRYDANGDGKISASELAGVIRALGADAPPAEVRDMIAEMDADGDGFVDLHEFADFHRRRVDDAAAERALREAFDVYDLDGNGLISAEELHRVMNRLGEKCSVKDCSRMIRPVDADGDGNVNFEEFKKMMANGVGVGRESSASDPNGPSSSAV >Ma09_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8013959:8014737:1 gene:Ma09_g11810 transcript:Ma09_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGNKACVAVTLGAAIELKDQVAKPYSSAIKGNLSTIGSSTAEVGRFHSTPESPTSEFRPHDKKHLAGEESLRTVMYLSCWGPS >Ma10_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29377460:29383962:-1 gene:Ma10_g18480 transcript:Ma10_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRIQPPKPSARSMLSPLFPRSPSSAARKKAPPLVSRSGRENANPNVHNDPPPSPSLLAGKASPRRADGVLRKERPRLVDALPGDDVSPDPSDPSVKVVVRVRPGNEQKRADEGAVRKISPDSLVVGGRSFTFHSILGPESTQEDVFKTVGIPSVNYSLAGFNTSILSYGQTGTGKTYTMWGPAGAMVDGSSVNGEQGVAPRLFRMLFSEIHRKQESSEEKQINFQCRCSFLEIYNGQINDLLDPTQRNLQIRGDARNGSHVENLTDEYVTTVEDVTQLLVKGLANRKVGATSMNSKSSRSHIIFTCIVESWCKLSASKCFSSSKTSKIILADLAGADDGILDATGKQCVRERRHIKKSLSKLGKLVNILGDAEHPPEDHKVAYMGSCLTHLLQETLGGNAKVAVICAISPDGGSRGGTLSTLRFGDRAKQIQNKAVVNEITEDDVNDLSDQIRQLKEELMSTRLDEGKSVATADGHFKGRNGRQSLNLLRMSLNRSLILPHIDPESDEEMDVDEEDVSKLCIQLGNMNYSAENESKDKLESKDAMIIADTEGPNADQDSRTDLATVDSCGHEVNFNSSKEIRLVEMHSEVSERETANNHSATPQEGDSKITSTITCKDSLRKSGLSIPCQQRPMLQDPILCSSPKLAKIFEKTSVSSESPRPNLHLESVRRSDIIRSSLQSSKASPTDSLAVSLHRGLQIINYHERNSAARSSFVGLSFEHLTNISSHSKDKVDAGMQTVLDEGTASPLLCSACKNVVDFIGYKEASETPDMQIVPVNEDVNAPLANITKREKELEALCAEQAATIKHLSCLVDKQKQEEVQAKQNVLADNCMITENHLEGSMVKCSSKEIVDHLHRDDEREALLMEIQSLKNQLKSVKDVSENNCLLEQIRNGGTSCSGIGVEEFEMEKRKWMESESRWISLTEELRLDLDKNRRFAEKKEIELNMEKNCTTELNDALQRAILGHARIVEHYVELQEKYDELLLKHRKIMEGIAEVKKAASKAGGKGSGSAFAAALAAELSTLRIDREKERAYLKEQNRRLTIQLRDTAEAVHAAGELLVRLREAEEAAALAEDKHGKAQHEVEKMRKQMEKLKRKHAMELVTMKHFLADSRLPESALKPLYHESEIVEEGKATATDDDQSWRAAFRPSYQ >Ma09_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3491748:3499665:1 gene:Ma09_g05440 transcript:Ma09_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLAPVRTGLLFEFPKMSSTAHGIRRQGVAAADRSSTDRYRRRGRRNFRIAAAVAEKGFSSQDDVAEDFYAVLGLLPDATPQQIKKAYYNCMKACHPDLSGNDPDINNFCMFINEVYAVLSDPIQRMIYDEIHGYAATAINPFFDDSASKDHAFVDEFSCIGCKNCANVAPDVFRIEEEYGRARVFSQSGNPDLVQQAIESCPVDCIHRTSAAQLSLLEDEMRRVERVNVGLMLSGMGSSSLDVFRMACSRWEKRQAKVLERAKIRMMKQKDSDKSGSWSNIWGAPKNYETTEEEVKERAKRAAAAARRWREYSRRGADRPPTNKLPEAISSKEQ >Ma09_p05440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3491749:3499550:1 gene:Ma09_g05440 transcript:Ma09_t05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLAPVRTGLLFEFPKMSSTAHGIRRQGVAAADRSSTDRYRRRGRRNFRIAAAVAEKGFSSQDDVAEDFYAVLGLLPDATPQQIKKAYYNCMKACHPDLSGNDPDINNFCMFINEVYAVLSDPIQRMIYDEIHGYAATAINPFFDDSASKDHAFVDEFSCIGCKNCANVAPDVFRIEEEYGRARVFSQSGNPDLVQQAIESCPVDCIHRTSAAQLSLLEDEMRRVERVNVGLMLSGMGSSSLDVFRMACSRWEKRQAKVLERAKIRMMKQKDSDKSGSWSNIWGAPKNYETTEEEVKERAKRAAAAARRWREYSRRGADRPPTNKLPEAISSKEQ >Ma08_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1084564:1092553:-1 gene:Ma08_g01160 transcript:Ma08_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQEMTRRRVLVTFDVDGTLIRSTGSASNHLHRQAFAHAFLRVFGLQNATIDAVQHHGQTDPIILVNTAIHYGVSSNVAAERLPDMKTEMLKYAREHVEEIGEGLEVLPGVASLLDALSSSNVVIGLVTGNLEEIAWMKMDGLGIRKYFTVPNFGGFGSDHIDRGHLVKIAADRAKELCPAGFDLRVHVGDTPNDIKAAEYGGALAIGVCTGIFTEEELKKSSCGSAIILSDLTDSTTFMNLLGIEG >Ma05_p29960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40486492:40489167:1 gene:Ma05_g29960 transcript:Ma05_t29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >Ma04_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8127089:8135910:-1 gene:Ma04_g11520 transcript:Ma04_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQQALDRIEPLESSTVDGDQKPVNVETLNEQPPSAIDEKVTTSNASDESSNIGLPRDAQDHFGSSDAVNDDSIIFPPNNLPPPAHVFWYRGYENPIADEYSRHLNVEGLEVGSTGVYNENRSLVYHTGYGYSPQMPYGPYSPATTPLPSISGDGQLYTPQQFQFPGAYYQQPAPPNMPFLSSPTPIPQADLTMPIDQQGAFPVDTSSFNTHPFGPRPGYQLSYGSFGRDWLRSPEGTGSVTPLSPPAASPQPVGALMSFGQNTMPPTYGMASQQHRSLYGFGSSINSPHGGLYHGSIFETSFPSFGFKDQSLIALDRSGRKGTMYSRNGNLDFLNEQNRGPRASRTNNQMTEHNPSLDNGNSSSRVDKKLYNNPDFITEYKDAKFFVIKSYSEDNVHKSIKYGVWASTSNGNKKLDSAYHEANKKEDPCPVFLFFSVNASAHFCGVAEMIGPVDFEKSVDYWQQDKWSGQFPVKWQIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLSIFKKHEYEVSILDDFEFYEEREKAMQERKSHQHQQQLSNSAWPVPAALRDDQRNTATMSGEFIGQISEKFTHAVTLEERSNADPSTDKNSSLNTAVASKPKDL >Ma06_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8681703:8685866:1 gene:Ma06_g12520 transcript:Ma06_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNHSLLLHLFWKEGRNPISSSHGRFLLSSAPESHGASSLSGRLPRPWRSEANPLPSGLATRHLFSRPSQAATRAHFCAAPAVGLKGLVLDGVRALKISFQRRSGFDPKRLLSSHGFPLWRKLMPLANGDGVVFGLIGANVAVFLLWRIADPAFMRKHFMISLDNFKSGRLHTLITSSFSHSDTSHLVTNMIGLYFFGSSIGNLFGPDFLLKLYLAGALGGSIFYLVHKAFMVPSSKGYRGWDESRIPALGASAAVNAIILLNVFLFPKNIYYINFIIPVPAVLMGAFLIGTDLWRIKQGEGHISGSAHLGGAVVAALVWAGIKKRWI >Ma08_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15907934:15909265:1 gene:Ma08_g15710 transcript:Ma08_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVATTMAAGREGSDSNSIVNWVSFAPCMATTSNTSTRYKGVMLQQNGHWGAQIYAHGHRIWLGTFKSEQAAAAAYDSAAIKLHHGDSHRNLPATPLTAHEHKFQETFTTDEAAPRPSLPHAGTSGNVAFLEMFLKELTPSDVGKLNRLVIPKKHATKYFPQVASVTADEVMVEFVDREDRSWTFRYCYWKSSQSYVFTKGWNKFVKEKRLQAKDTVAFYRCEERDGLRRTYCLIDIIRCSGDGHRTSGFSWSKNGAVGLGLSCKRKPEEGDEEGNRTSGFSWSKNGTVGLGLGCKRKTEEGDEEGDRSSGYSGRSGNGAMGLGLGLKRKTVEGDEEGDRSSGFSGSRSGAMGLGLSFKRKTKEEDEVGEVVSGLAVTQKSSEARQQEEEEQEKRKKRLRLFGVSITDTNDRG >Ma04_p23440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25617648:25619542:1 gene:Ma04_g23440 transcript:Ma04_t23440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKTAVVVGSPRARSCTRPWRWAKTLFFLATMLASLLLVCAPPLLVVLVDLLLPPALLSNSSLSASSLCEQLESFVFRSSLVDVPIVSAVRSFLILCAFLVCDGSRGVYLGITVFCSSASMAYVLLKAFSMSSVAGAPRPILAIAAGEDAAVIQALFLGSLALAIAHMAVAYRTSCRERRKLLVYRIDVEAVCFPFPISRKVLDLYRIDHACFGNYRSL >Ma04_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25617654:25619542:1 gene:Ma04_g23440 transcript:Ma04_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKTAVVVGSPRARSCTRPWRWAKTLFFLATMLASLLLVCAPPLLVVLVDLLLPPALLSNSSLSASSLCEQLESFVFRSSLVDVPIVSAVRSFLILCAFLVCDGSRGVYLGITVFCSSASMAYVLLKAFSMSSVAGAPRPILAIAAGEDAAVIQALFLGSLALAIAHMAVAYRTSCRERRKLLVYRIDVEAVKGKGGLIKGDIKL >Ma02_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18466547:18470305:-1 gene:Ma02_g07980 transcript:Ma02_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSGSSSVGAKRESIADPGCGFGSPPLGLSDWVADGGDDDVAREGHLLWSPVLISFVHVHQPGLIEVSSLLSSSSSSSSSYIMDKEANNPAGFATTAAPQESSENSIEMVNYMLSNPAPQTQISLDKLSFADVMQFAELGPKLALHQSRASEEENYFLKFQLLGDKLHDDALMASASPSHLLENKAGMVAEEDGEKKARSSENASSVQHLHLVGETEKAAAGVVEAKNRRKRPRALKTSEEVESQRMTHIAVERNRRRQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLFGGGEAPRPAMDAPPLPNQQPFYPPLPFPNADQVKILDIDPSGGGLREETAENKSCLADVEVRLLGFDAMIKILSRRRPGQLIKTIAALEDLQFAILHTNITTIEQTVLYSFNVKIASETRCTAEDIANSVQQILSFIDANTV >Ma11_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5393533:5395473:1 gene:Ma11_g06710 transcript:Ma11_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g45350, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45350) UniProtKB/Swiss-Prot;Acc:O22137] MRPFLARPTAPLDIADSTLALLPRCRTHRDARQLHSRLVTTGLLLCHPSILLRRLFASPHPPLRSLARRIFFSLPAADDRPFLWNAFIGASRDGGGGPRDAVLAFALMLFDGVAADKFALSLALNACSRIPSLREGSQIHALLLKSDLASNLYLQNGLIALYSKCGLPEIARRVFDRITVRDAISWNSIIDGYLKDGNVVAAQKLFDEMDNGKKNTVTWNTMLGGYAASIDRIDVARELFDSMPERDLVSWNLMIDGYIKCGRLVDAQDLFERMPVRDVISWATMIDGYTGIGRIDLAKQFFELMPEKDVVTWNIMMDGYVKNGRHLEALNLFTEMQAKGNPAPDITTLATALTAIAELGRVDDGIAIHDYIERTKLALDGQLGVALVDMYSKCGRLEDALKVFETSGTSVDHWNAMIGGLAIHGRGNLALQLFWEMKRCSLKPDDITFIGVLNACSHAGLVKEGLMCFEIMRRDYALEPKVQHYACMIDILGRAGQLEEALNLIRSMPIEPNDVVWRSLLSACRNHRNVGMGQKLLKGLTQGGAWDSSTCVLLSNLYAGVGMWGDVRKVRTMMREKDLKKVPGCSWIELDGIVHEFVVGDYSCPQAKEASSSSDLFCASNLYSSTTSLEYTRS >Ma07_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2131275:2145105:1 gene:Ma07_g02700 transcript:Ma07_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLDLPEDLLPSRLVGEAWAGKDTLAGGNGKEKNPIGFLDEGKDQATSENNIPLSPQWLYAKPGDSKDTRPTSFAPSVTLPDSVQKDMWPQEKKEWRRNVIDLESNRRWREEERETSLLSRRERKKEGDRETEYRKNDRHPDNISLREAADLKTLSSSDRVHEVPNRSAGNENRRDSKWSSRWGPEDKEKEPWTERKVDVEKEGSHSEKQFFLASLRPVSGSDSRDKWRPRHRQEIHSGGSSMLRAAPGFGGVEVPPVPFASGRGRSKSVSGLQFGRPSAAGPIGAWPVNKANFQYPRGKLLDIYRNQKMPVVDFTSESFEEVPPITVSSSIAPLAFVTPDAEEEVVLKDIWKGEVTSSEVSLNRERMTRVNEEIELESKDNINLLINLVGPDDRAPKVADHEVIHDKPVLGGNLTNFEINLSEIEVANVDDQTSHLDIPKNIKLGEGSTVSFDVNAKLPDASCPLYDASFVEISNTNNHENHKIEKKLSEQGTFPEELSLFYQDPQGDIQGPFLGVDIISWFEQGFFSTDLPVCLSDAPEGTPFRPLGEVMPHLKLEQHIVLDLPSGNKSEPLDTTRGNLETCVPSSRFSDSFPTNDQQRLLSWDTLDHHVKHNVVESEASVDPNKAWLSFSNSETPLGTTGLEEKIFHDFTGQDTEVVLYKGRPVGDMEKGSGKLVNENIALSRSTSGNHFLMTETGNSSFASHNIPRDNDLNSLGLLWSELEGTHRKLPLSSTVPGSTENLIDNHDSARNAFLFSHNPEQFNSISDFPIMNEPWANNYRSKGSNIIHDNFDANNLSRFEAESNQFSLERQLLLQQLEKQQLQQQRLLSPQNVEFAGTLLDQVRDPMQQHHLVNQQPREDLERILKFQFEQQRYLDQLKQQHQMHQRHQQLHEHQMQLLHRLQHHEPQRQQQLQKQIHLEHLLHRQLLEPGSGASNIDSHVMNMYDQVLLRQRLLSESQQQSHNLSLHHDSASEQFLEANFLQNFQRQNQNDLLDVLSHSKRGQVPTLEQKFLLELQQEQLQARQLSRQLSGMEEERHVGGVWSVDDSGQFIRTAAGPHQNYAARLSQLDLVQAQALSSLEQPSHLQQNFLSHERMPHDPYERRPHPLDRSMHMHVGAPAPNLDLVNAVARAQGLDAQGHLDQLHAFNQIGQIPSSVRSHQIRISEEFAVPHLDARERHWSEASRQRSSDLMESHLKQLQIEAAKQRSTNLSLPGENLNAWASSLGNDGSSEHGLRDLLFHENFQSQQPTGLAVGTPTSSYELRDSWIYSRPSSENPFNLSSERGGLSSSFSESSFFADVGQPTKEQLSNKNMEDDASNFESSRSTLRSGSARSYEQKQFPADIDIIEKEKFVNSVAGGSSLKRLDISNLMEGARGKSLGPRGSSGTRLAMDMQESGVKQAAGGGHEVVNIDKSFRHDSSGKAGGGLTFFNYEMTLDSVHPEEIGSNISGDVLKGTNSSFLEHTRDPHATSSAELPDMIASQPPKGKKPTTFGSSEEDSAGNPASQSTETSISNKKDSRFRRTSSGSDADVMELSFSDMLKSTKKPMPEPENPEVGSLGKAAKKKGKKGRQIDPSLLGFKVHSNRILMGEIQRPDD >Ma07_p02700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2131275:2145105:1 gene:Ma07_g02700 transcript:Ma07_t02700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLDLPEDLLPSRLVGEAWAGKDTLAGGNGKEKNPIGFLDEGKDQATSENNIPLSPQWLYAKPGDSKDTRPTSFAPSVTLPDSVQKDMWPQEKKEWRRNVIDLESNRRWREEERETSLLSRRERKKEGDRETEYRKNDRHPDNISLREAADLKTLSSSDRVHEVPNRSAGNENRRDSKWSSRWGPEDKEKEPWTERKVDVEKEGSHSEKQFFLASLRPVSGSDSRDKWRPRHRQEIHSGGSSMLRAAPGFGGVEVPPVPFASGRGRSKSVSGLQFGRPSAAGPIGAWPVNKANFQYPRGKLLDIYRNQKMPVVDFTSESFEEVPPITVSSSIAPLAFVTPDAEEEVVLKDIWKGEVTSSEVSLNRERMTRVNEEIDDGGKTLVDNKHDKMESVATLKELESKDNINLLINLVGPDDRAPKVADHEVIHDKPVLGGNLTNFEINLSEIEVANVDDQTSHLDIPKNIKLGEGSTVSFDVNAKLPDASCPLYDASFVEISNTNNHENHKIEKKLSEQGTFPEELSLFYQDPQGDIQGPFLGVDIISWFEQGFFSTDLPVCLSDAPEGTPFRPLGEVMPHLKLEQHIVLDLPSGNKSEPLDTTRGNLETCVPSSRFSDSFPTNDQQRLLSWDTLDHHVKHNVVESEASVDPNKAWLSFSNSETPLGTTGLEEKIFHDFTGQDTEVVLYKGRPVGDMEKGSGKLVNENIALSRSTSGNHFLMTETGNSSFASHNIPRDNDLNSLGLLWSELEGTHRKLPLSSTVPGSTENLIDNHDSARNAFLFSHNPEQFNSISDFPIMNEPWANNYRSKGSNIIHDNFDANNLSRFEAESNQFSLERQLLLQQLEKQQLQQQRLLSPQNVEFAGTLLDQVRDPMQQHHLVNQQPREDLERILKFQFEQQRYLDQLKQQHQMHQRHQQLHEHQMQLLHRLQHHEPQRQQQLQKQIHLEHLLHRQLLEPGSGASNIDSHVMNMYDQVLLRQRLLSESQQQSHNLSLHHDSASEQFLEANFLQNFQRQNQNDLLDVLSHSKRGQVPTLEQKFLLELQQEQLQARQLSRQLSGMEEERHVGGVWSVDDSGQFIRTAAGPHQNYAARLSQLDLVQAQALSSLEQPSHLQQNFLSHERMPHDPYERRPHPLDRSMHMHVGAPAPNLDLVNAVARAQGLDAQGHLDQLHAFNQIGQIPSSVRSHQIRISEEFAVPHLDARERHWSEASRQRSSDLMESHLKQLQIEAAKQRSTNLSLPGENLNAWASSLGNDGSSEHGLRDLLFHENFQSQQPTGLAVGTPTSSYELRDSWIYSRPSSENPFNLSSERGGLSSSFSESSFFADVGQPTKEQLSNKNMEDDASNFESSRSTLRSGSARSYEQKQFPADIDIIEKEKFVNSVAGGSSLKRLDISNLMEGARGKSLGPRGSSGTRLAMDMQESGVKQAAGGGHEVVNIDKSFRHDSSGKAGGGLTFFNYEMTLDSVHPEEIGSNISGDVLKGTNSSFLEHTRDPHATSSAELPDMIASQPPKGKKPTTFGSSEGNPASQSTETSISNKKDSRFRRTSSGSDADVMELSFSDMLKSTKKPMPEPENPEVGSLGKAAKKKGKKGRQIDPSLLGFKVHSNRILMGEIQRPDD >Ma07_p02700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2131276:2145105:1 gene:Ma07_g02700 transcript:Ma07_t02700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLDLPEDLLPSRLVGEAWAGKDTLAGGNGKEKNPIGFLDEGKDQATSENNIPLSPQWLYAKPGDSKDTRPTSFAPSVTLPDSVQKDMWPQEKKEWRRNVIDLESNRRWREEERETSLLSRRERKKEGDRETEYRKNDRHPDNISLREAADLKTLSSSDRVHEVPNRSAGNENRRDSKWSSRWGPEDKEKEPWTERKVDVEKEGSHSEKQFFLASLRPVSGSDSRDKWRPRHRQEIHSGGSSMLRAAPGFGGVEVPPVPFASGRGRSKSVSGLQFGRPSAAGPIGAWPVNKANFQYPRGKLLDIYRNQKMPVVDFTSESFEEVPPITVSSSIAPLAFVTPDAEEEVVLKDIWKGEVTSSEVSLNRERMTRVNEEIDDGGKTLVDNKHDKMESVATLKELESKDNINLLINLVGPDDRAPKVADHEVIHDKPVLGGNLTNFEINLSEIEVANVDDQTSHLDIPKNIKLGEGSTVSFDVNAKLPDASCPLYDASFVEISNTNNHENHKIEKKLSEQGTFPEELSLFYQDPQGDIQGPFLGVDIISWFEQGFFSTDLPVCLSDAPEGTPFRPLGEVMPHLKLEQHIVLDLPSGNKSEPLDTTRGNLETCVPSSRFSDSFPTNDQQRLLSWDTLDHHVKHNVVESEASVDPNKAWLSFSNSETPLGTTGLEEKIFHDFTGQDTEVVLYKGRPVGDMEKGSGKLVNENIALSRSTSGNHFLMTETGNSSFASHNIPRDNDLNSLGLLWSELEGTHRKLPLSSTVPGSTENLIDNHDSARNAFLFSHNPEQFNSISDFPIMNEPWANNYRSKGSNIIHDNFDANNLSRFEAESNQFSLERQLLLQQLEKQQLQQQRLLSPQNVEFAGTLLDQVRDPMQQHHLVNQQPREDLERILKFQFEQQRYLDQLKQQHQMHQRHQQLHEHQMQLLHRLQHHEPQRQQQLQKQIHLEHLLHRQLLEPGSGASNIDSHVMNMYDQVLLRQRLLSESQQQSHNLSLHHDSASEQFLEANFLQNFQRQNQNDLLDVLSHSKRGQVPTLEQKFLLELQQEQLQARQLSRQLSGMEEERHVGGVWSVDDSGQFIRTAAGPHQNYAARLSQLDLVQAQALSSLEQPSHLQQNFLSHERMPHDPYERRPHPLDRSMHMHVGAPAPNLDLVNAVARAQGLDAQGHLDQLHAFNQIGQIPSSVRSHQIRISEEFAVPHLDARERHWSEASRQRSSDLMESHLKQLQIEAAKQRSTNLSLPGENLNAWASSLGNDGSSEHGLRDLLFHENFQSQQPTGLAVGTPTSSYELRDSWIYSRPSSENPFNLSSERGGLSSSFSESSFFADVGQPTKEQLSNKNMEDDASNFESSRSTLRSGSARSYEQKQFPADIDIIEKEKFVNSVAGGSSLKRLDISNLMEGARGKSLGPRGSSGTRLAMDMQESGVKQAAGGGHEVVNIDKSFRHDSSGKAGGGLTFFNYEMTLDSVHPEEIGSNISGDVLKGTNSSFLEHTRDPHATSSAELPDMIASQPPKGKKPTTFGSSEDSAGNPASQSTETSISNKKDSRFRRTSSGSDADVMELSFSDMLKSTKKPMPEPENPEVGSLGKAAKKKGKKGRQIDPSLLGFKVHSNRILMGEIQRPDD >Ma07_p02700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2131275:2145105:1 gene:Ma07_g02700 transcript:Ma07_t02700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLDLPEDLLPSRLVGEAWAGKDTLAGGNGKEKNPIGFLDEGKDQATSENNIPLSPQWLYAKPGDSKDTRPTSFAPSVTLPDSVQKDMWPQEKKEWRRNVIDLESNRRWREEERETSLLSRRERKKEGDRETEYRKNDRHPDNISLREAADLKTLSSSDRVHEVPNRSAGNENRRDSKWSSRWGPEDKEKEPWTERKVDVEKEGSHSEKQFFLASLRPVSGSDSRDKWRPRHRQEIHSGGSSMLRAAPGFGGVEVPPVPFASGRGRSKSVSGLQFGRPSAAGPIGAWPVNKANFQYPRGKLLDIYRNQKMPVVDFTSESFEEVPPITVSSSIAPLAFVTPDAEEEVVLKDIWKGEVTSSEVSLNRERMTRVNEEIDDGGKTLVDNKHDKMESVATLKELESKDNINLLINLVGPDDRAPKVADHEVIHDKPVLGGNLTNFEINLSEIEVANVDDQTSHLDIPKNIKLGEGSTVSFDVNAKLPDASCPLYDASFVEISNTNNHENHKIEKKLSEQGTFPEELSLFYQDPQGDIQGPFLGVDIISWFEQGFFSTDLPVCLSDAPEGTPFRPLGEVMPHLKLEQHIVLDLPSGNKSEPLDTTRGNLETCVPSSRFSDSFPTNDQQRLLSWDTLDHHVKHNVVESEASVDPNKAWLSFSNSETPLGTTGLEEKIFHDFTGQDTEVVLYKGRPVGDMEKGSGKLVNENIALSRSTSGNHFLMTETGNSSFASHNIPRDNDLNSLGLLWSELEGTHRKLPLSSTVPGSTENLIDNHDSARNAFLFSHNPEQFNSISDFPIMNEPWANNYRSKGSNIIHDNFDANNLSRFEAESNQFSLERQLLLQQLEKQQLQQQRLLSPQNVEFAGTLLDQVRDPMQQHHLVNQQPREDLERILKFQFEQQRYLDQLKQQHQMHQRHQQLHEHQMQLLHRLQHHEPQRQQQLQKQIHLEHLLHRQLLEPGSGASNIDSHVMNMYDQVLLRQRLLSESQQQSHNLSLHHDSASEQFLEANFLQNFQRQNQNDLLDVLSHSKRGQVPTLEQKFLLELQQEQLQARQLSRQLSGMEEERHVGGVWSVDDSGQFIRTAAGPHQNYAARLSQLDLVQAQALSSLEQPSHLQQNFLSHERMPHDPYERRPHPLDRSMHMHVGAPAPNLDLVNAVARAQGLDAQGHLDQLHAFNQIGQIPSSVRSHQIRISEEFAVPHLDARERHWSEASRQRSSDLMESHLKQLQIEAAKQRSTNLSLPGENLNAWASSLGNDGSSEHGLRDLLFHENFQSQQPTGLAVGTPTSSYELRDSWIYSRPSSENPFNLSSERGGLSSSFSESSFFADVGQPTKEQLSNKNMEDDASNFESSRSTLRSGSARSYEQKQFPADIDIIEKEKFVNSVAGGSSLKRLDISNLMEGARGKSLGPRGSSGTRLAMDMQESGVKQAAGGGHEVVNIDKSFRHDSSGKAGGGLTFFNYEMTLDSVHPEEIGSNISGDVLKGTNSSFLEHTRDPHATSSAELPDMIASQPPKGKKPTTFGSSEEDSAGNPASQSTETSISNKKDSRFRRTSSGSDADVMELSFSDMLKSTKKPMPEPENPEVGSLGKAAKKKGKKGRQIDPSLLGFKVHSNRILMGEIQRPDD >Ma01_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24444277:24458113:-1 gene:Ma01_g22350 transcript:Ma01_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATDAAPVAVVAPPSKKMAADFVMGGAAAVVAKSGAAPVERVKLLLQNQGELMRRGYLTTPYTGIGECFARVLRGEGVLALWRGNQANVVRYFPTQAFNFAFKGYFQSLFGRSKEKDGYMKWLAGNVASGSAAGATTSLLLYHLDYARTRLGTDAIDTKANNERQFKGLLDVYRKTIASDGIAGLYRGFGVSIVGITLYRGLYFGIYDTVKPIVLVGPLEGNFLASFLLGWSVTTFSGICAYPFDTLRRRMMLTSGQPSKYQNTFHALKIIVCREGFSALFRGAAANMLSGMAGAGVLAGYDQFHRIASGHKIWFRAQD >Ma03_p25460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29493308:29498935:-1 gene:Ma03_g25460 transcript:Ma03_t25460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPYDEEHTGTNDGGMEFPAIGDGHFVFEDKNLVFHEDDQVQQELEVQLGEDGQSNVSATVLWKHVVKGKKCGNAHGGSHVFKCKYCHKIYHGTYTRVYAHLMGHKKGESKGIGYCSVVKADKNLQMQIKREVEQVESTPNVVPLKKSKLNACSVATSQGPSLALSYVSPFEQDYSTQDRDDVDSKVVRCLCANGIPFNVLRSPYWEEMVLAISKELGYKSPSYEKANTIFLENERNKIDRELDDFKQKWPLYGISIVSNGWSDIKNQPLINILASNQFGSMFLHALDFVVVEKSQKRISDYMVETIEKVGPCNVVQLITDNSIDCRAASEEVAKVYPYIFWNPCMVHTLYLILKDIINALPWLKQTYKTAKSIVKYILYHSQAVDIFQSFSKLELLKVTAITYASHYITLYLLLDIRESLTAAVLSDRWEFWATFPNIDEKIKLHGNDVKEAVMSENFWVAVQLALSIIRPIYKMIKFTDQDGPIIGEVCDRMDNMLGEIRENLRGREDMYMILEEKVFIRWNKRNVPLQCLAYALTPKYYDEEYLQIPAPGGRKRCPPDQDDEIFDSAVAAICKMHPNVDHQDIVRVQFLSFVEKKGKFSSPVAKRDARNPKINVLQWWKFHGGDTKELRDVAFKVLSQSISSLSVDRPWSTYSYIRGAKRHELNSHRADDLAYVHSNLRLLSRFSSSYKYGPHRKWDVNPELPLVDESALQWEDLCFMGLDDDDALLKQASHSSIPIQELLRIIEDVPSSNNQNGEQSQITSSVRTGGVRGGQAGITSRIDPKGKTKKFL >Ma03_p25460.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29493308:29498935:-1 gene:Ma03_g25460 transcript:Ma03_t25460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPYDEEHTGTNDGGMEFPAIGDGHFVFEDKNLVFHEDDQVQQELEVQLGEDGQSNVSATVLWKHVVKGKKCGNAHGGSHVFKCKYCHKIYHGTYTRVYAHLMGHKKGESKGIGYCSVVKADKNLQMQIKREVEQVESTPNVVPLKKSKLNACSVATSQGPSLALSYVSPFEQDYSTQDRDDVDSKVVRCLCANGIPFNVLRSPYWEEMVLAISKELGYKSPSYEKANTIFLENERNKIDRELDDFKQKWPLYGISIVSNGWSDIKNQPLINILASNQFGSMFLHALDFVVVEKSQKRISDYMVETIEKVGPCNVVQLITDNSIDCRAASEEVAKVYPYIFWNPCMVHTLYLILKDIINALPWLKQTYKTAKSIVKYILYHSQAVDIFQSFSKLELLKVTAITYASHYITLYLLLDIRESLTAAVLSDRWEFWATFPNIDEKIKLHGNDVKEAVMSENFWVAVQLALSIIRPIYKMIKFTDQDGPIIGEVCDRMDNMLGEIRENLRGREDMYMILEEKVFIRWNKRNVPLQCLAYALTPKYYDEEYLQIPAPGGRKRCPPDQDDEIFDSAVAAICKMHPNVDHQDIVRVQFLSFVEKKGKFSSPVAKRDARNPKINVLQWWKFHGGDTKELRDVAFKVLSQSISSLSVDRPWSTYSYIRGAKRHELNSHRADDLAYVHSNLRLLSRFSSSYKYGPHRKWDVNPELPLVDESALQWEDLCFMGLDDDDALLKQASHSSIPIQELLRIIEDVPSSNNQNGEQSQITSSVRTGGVRGGQAGITSRIDPKGKTKKFL >Ma03_p25460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29493308:29498935:-1 gene:Ma03_g25460 transcript:Ma03_t25460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPYDEEHTGTNDGGMEFPAIGDGHFVFEDKNLVFHEDDQVQQELEVQLGEDGQSNVSATVLWKHVVKGKKCGNAHGGSHVFKCKYCHKIYHGTYTRVYAHLMGHKKGESKGIGYCSVVKADKNLQMQIKREVEQVESTPNVVPLKKSKLNACSVATSQGPSLALSYVSPFEQDYSTQDRDDVDSKVVRCLCANGIPFNVLRSPYWEEMVLAISKELGYKSPSYEKANTIFLENERNKIDRELDDFKQKWPLYGISIVSNGWSDIKNQPLINILASNQFGSMFLHALDFVVVEKSQKRISDYMVETIEKVGPCNVVQLITDNSIDCRAASEEVAKVYPYIFWNPCMVHTLYLILKDIINALPWLKQTYKTAKSIVKYILYHSQAVDIFQSFSKLELLKVTAITYASHYITLYLLLDIRESLTAAVLSDRWEFWATFPNIDEKIKLHGNDVKEAVMSENFWVAVQLALSIIRPIYKMIKFTDQDGPIIGEVCDRMDNMLGEIRENLRGREDMYMILEEKVFIRWNKRNVPLQCLAYALTPKYYDEEYLQIPAPGGRKRCPPDQDDEIFDSAVAAICKMHPNVDHQDIVRVQFLSFVEKKGKFSSPVAKRDARNPKINVLQWWKFHGGDTKELRDVAFKVLSQSISSLSVDRPWSTYSYIRGAKRHELNSHRADDLAYVHSNLRLLSRFSSSYKYGPHRKWDVNPELPLVDESALQWEDLCFMGLDDDDALLKQASHSSIPIQELLRIIEDVPSSNNQNGEQSQITSSVRTGGVRGGQAGITSRIDPKGKTKKFL >Ma03_p25460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29493308:29498935:-1 gene:Ma03_g25460 transcript:Ma03_t25460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPYDEEHTGTNDGGMEFPAIGDGHFVFEDKNLVFHEDDQVQQELEVQLGEDGQSNVSATVLWKHVVKGKKCGNAHGGSHVFKCKYCHKIYHGTYTRVYAHLMGHKKGESKGIGYCSVVKADKNLQMQIKREVEQVESTPNVVPLKKSKLNACSVATSQGPSLALSYVSPFEQDYSTQDRDDVDSKVVRCLCANGIPFNVLRSPYWEEMVLAISKELGYKSPSYEKANTIFLENERNKIDRELDDFKQKWPLYGISIVSNGWSDIKNQPLINILASNQFGSMFLHALDFVVVEKSQKRISDYMVETIEKVGPCNVVQLITDNSIDCRAASEEVAKVYPYIFWNPCMVHTLYLILKDIINALPWLKQTYKTAKSIVKYILYHSQAVDIFQSFSKLELLKVTAITYASHYITLYLLLDIRESLTAAVLSDRWEFWATFPNIDEKIKLHGNDVKEAVMSENFWVAVQLALSIIRPIYKMIKFTDQDGPIIGEVCDRMDNMLGEIRENLRGREDMYMILEEKVFIRWNKRNVPLQCLAYALTPKYYDEEYLQIPAPGGRKRCPPDQDDEIFDSAVAAICKMHPNVDHQDIVRVQFLSFVEKKGKFSSPVAKRDARNPKINVLQWWKFHGGDTKELRDVAFKVLSQSISSLSVDRPWSTYSYIRGAKRHELNSHRADDLAYVHSNLRLLSRFSSSYKYGPHRKWDVNPELPLVDESALQWEDLCFMGLDDDDALLKQASHSSIPIQELLRIIEDVPSSNNQNGEQSQITSSVRTGGVRGGQAGITSRIDPKGKTKKFL >Ma03_p25460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29493308:29498935:-1 gene:Ma03_g25460 transcript:Ma03_t25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPYDEEHTGTNDGGMEFPAIGDGHFVFEDKNLVFHEDDQVQQELEVQLGEDGQSNVSATVLWKHVVKGKKCGNAHGGSHVFKCKYCHKIYHGTYTRVYAHLMGHKKGESKGIGYCSVVKADKNLQMQIKREVEQVESTPNVVPLKKSKLNACSVATSQGPSLALSYVSPFEQDYSTQDRDDVDSKVVRCLCANGIPFNVLRSPYWEEMVLAISKELGYKSPSYEKANTIFLENERNKIDRELDDFKQKWPLYGISIVSNGWSDIKNQPLINILASNQFGSMFLHALDFVVVEKSQKRISDYMVETIEKVGPCNVVQLITDNSIDCRAASEEVAKVESLTAAVLSDRWEFWATFPNIDEKIKLHGNDVKEAVMSENFWVAVQLALSIIRPIYKMIKFTDQDGPIIGEVCDRMDNMLGEIRENLRGREDMYMILEEKVFIRWNKRNVPLQCLAYALTPKYYDEEYLQIPAPGGRKRCPPDQDDEIFDSAVAAICKMHPNVDHQDIVRVQFLSFVEKKGKFSSPVAKRDARNPKINVLQWWKFHGGDTKELRDVAFKVLSQSISSLSVDRPWSTYSYIRGAKRHELNSHRADDLAYVHSNLRLLSRFSSSYKYGPHRKWDVNPELPLVDESALQWEDLCFMGLDDDDALLKQASHSSIPIQELLRIIEDVPSSNNQNGEQSQITSSVRTGGVRGGQAGITSRIDPKGKTKKFL >Ma02_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10625925:10638987:-1 gene:Ma02_g01650 transcript:Ma02_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETIGRLFRIRRTVMQMLRDRGYLVVDFEINMTKLDFLHKFGEGVKREDLLINKSRKNDPTDQIYVFFPNDEKVGMKHIKKYVELMKAESVPRAILVLQQNLTPFAKSYLQELSPKYHLEVFQEAELLVNIKDHVLVAEHQMLTNEEKKTLLERYTLKETQLPRIQVTDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >Ma04_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2719533:2725453:1 gene:Ma04_g03540 transcript:Ma04_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALPAAPPLSTRLGFRGALVMVGRLGGRRSGGVESARLGSLLPRMEAATPWEEPNAGFIRRESELAADSAKQRCGSSAAGASSQFLSTVGKSTNIVWHECPVGKLERQKLLSQKGCVIWITGLSGSGKSTLACALSRELHYKGYLTYVLDGDNIRHGLNRDLSFNGEDRAENIRRIGEVAKLFADAGVICIASLISPFRKERDACRAMLPDSSFIEVFLNIPLEVCEARDPKGLYKLAQAGKIKGFTGIDDPYEPPLDCEIVIQQKGSQCPTPKVMAEQVISFLDKKGFLQA >Ma07_p27570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33906693:33908445:-1 gene:Ma07_g27570 transcript:Ma07_t27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKIETGHQDVVHDVSMDYYGKRLATASSDAAIKIIGVSGSSHQHLATLTGHQGPVWQVTWAHPKFGSMLASCSYDGRVIIWKEGSKPDEWSQAHVFTEHKSSVNSIAWAPYELGLRLASGSSDGNISVFTARTDGGWDTTRIDQAHPVGVTSVSWAPALAPGALVGSGQFYPVEKLVSGGCDNTVKVWKLYNGIWKMDCFPALQMHADWVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGEQWEGKVLNDFHNPVWRVSWSLTGSILAVADGNNNVTLWKEAVDGEWQQVMTVEP >Ma07_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24928023:24929531:-1 gene:Ma07_g18280 transcript:Ma07_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREEDVRVGANKFSERQAIGTAAQSQDRDYQEPPPAPLFEPAELSSWSFYRAGIAEFMATFLFLYISILTVMGVVKSNTKCSTVGIQGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKLSLTRALFYMVMQCLGAICGAGVVKGFKKGLYENNGGGANVVAPGYTKGGGLGAEIVGTFILVYTVFSATDAKRSARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYDKSHAWNDHWIFWVGPFIGAALAAMYQQIVIRAIPFKSRP >Ma05_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:240101:240563:-1 gene:Ma05_g00350 transcript:Ma05_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKKLVSQRAVVVFSSSSCCMCHTVKSLLHDLGVNAAVHELDEEPRGREMETALAVLVRRNPLVPLVFIGGKLVGSTDRIMSLHLGGELVPLLHEAGALWV >Ma08_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3209386:3211462:1 gene:Ma08_g04600 transcript:Ma08_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAKMESHLSSAVAFMEGGIQDVCDDACSICLEAFCESDPSTVTSCRHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVEWERSFKVDNTKTTTIIQHPAFGDFELHHLRVGGSHAEIEERIFQHLAATASMGRARHIAGREGRVRSGSHGRPQYLVFSTNSDAASVGSISSSSPPRGENEVAPAIVAANPTTPPATLVGEFSELTSVAPTHASEVATLTSEARNSQMRSSISCPRTPAGQSSRVHQVGPRPSDFQSFSGSLKSRLSAVSMRYKESITKSTQGWRERLFSRNGPVADIGSEVRREIRVGIATVSRMMERLDARERTAGTSASPVAEGCSVVERSNDGDNVNHASAHPNNGTTPSS >Ma06_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3314889:3323983:1 gene:Ma06_g04480 transcript:Ma06_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTMASKKRKAPAAVAREEEASTSGGSKSPEELQKESNKGAARGKRVKASKPKPEPEYFPEKRNMEDLWQAAFPVGTEWDNMDKIGEINWSFSNLENAVEEGGELHGKTVYIFGSTEAQMLAVNGEEKVVLIPVVVAVVSPIPPSDKIGVKSVQREKEDIIPMKAMKMAWVPYIPLEDRQSQVDRLKTQIFTIGCTQRRSALKLLKIERVKQYDYCLPYLQPLKADEDEDDTVVNIMFPLEPPIVCDFDWEMDDLEEFTGELISEETLPEDKKDEFKEFVKEQVRERRKFQREAKEARKKTIEDMDPETRSAFDNMKLYKFYPDQTSDIPDISKVKVSYINRYYGKAHFLR >Ma07_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30992091:30999092:-1 gene:Ma07_g23290 transcript:Ma07_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIDKIRAATEGDGRTVFSFEFFPPKTEEGVENLFERMDRMVAHNPTFCDITWGAGGSTADLTLDIANRMQNMICVETMMHLTCTNMPVEKIDHALDTIKANGIQNVLALRGDPPHGQDKFVQVAGGFACALDLVQHIRAKYGDYFGITVAGYPEAHPDMIQGDGGATLEAYNSDLAYLKRKVDAGADLIITQLFYDTDNFLKFVNDCRQIGINCPIVPGIMPINNYKGFLRMTGFCKTKIPSEITAALEPIKDNEEAVRAYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNFGLIEESKVSRSLPWRRPANIFRVKEDVRPIFWANRPKSYISRTVGWDQYPHGRWGDSNNPSYGALTDYQFMRPRSRDKKFQEEWATPLKSAADINERFMKFCLGKLRSSPWSELDGLQPETKIIDEQLSQVNLKGFLTINSQPAVNGEKSDSSAVGWGGPGGYVYQKAYLEFFCSKDKLNLIIEKCKTLPSLTYIAVNKEGDSVSNVAPNAVNAVTWGIFPGKEIIQPTVVDPASFMIWKDEAFEIWSRGWARLFPEGDPSRELLEQAQRSYYLVSLVDNDYIHGDIFAAFKDI >Ma08_p16720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20415486:20417622:1 gene:Ma08_g16720 transcript:Ma08_t16720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVSCDLFDGLWYVTALSIGPVLENSLQCAEEAKLSPLLFQLEHLRSLSLFNCFSSHRPTAVPSSSKWEKLAGSLQTLEFRSNRGLVGEIPANLGHLSNLQSLVLVDNSLAGELPVELGNLVHLKRLMLSGNKFSGQFPASLCHNLTELLILDLSSNSLSGSLPPSLCSLSSLLKLDLSNNQFHGSLPPELASLTHLTLLDLRSNNFSGAWFRSLAGMASLQDLLLSYNPWGGSLVELEWEALRNLTTLDLSHMGLTGTIPEAIASLKRLRYLALDNNHLSGSVSSGFAALPSLTALYLSGNNLTGKLEFSQEFYQRMGKRFACWNNPNLCYDAAVSMATGDVLYGVAQCKQDKEAASSNYEADPFARVDRRNPDHSSGLVASFWFPAASTGGFWWGIAVEEVVSMVLFAMIL >Ma08_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20415193:20417622:1 gene:Ma08_g16720 transcript:Ma08_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMMREITSAWAFLLLPLLIFHASSEPESSTARMEKAEQQALYLVIQDLVGNWWNGTALYPDPCGWTPIQGVSCDLFDGLWYVTALSIGPVLENSLQCAEEAKLSPLLFQLEHLRSLSLFNCFSSHRPTAVPSSSKWEKLAGSLQTLEFRSNRGLVGEIPANLGHLSNLQSLVLVDNSLAGELPVELGNLVHLKRLMLSGNKFSGQFPASLCHNLTELLILDLSSNSLSGSLPPSLCSLSSLLKLDLSNNQFHGSLPPELASLTHLTLLDLRSNNFSGAWFRSLAGMASLQDLLLSYNPWGGSLVELEWEALRNLTTLDLSHMGLTGTIPEAIASLKRLRYLALDNNHLSGSVSSGFAALPSLTALYLSGNNLTGKLEFSQEFYQRMGKRFACWNNPNLCYDAAVSMATGDVLYGVAQCKQDKEAASSNYEADPFARVDRRNPDHSSGLVASFWFPAASTGGFWWGIAVEEVVSMVLFAMIL >Ma08_p16720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20413461:20417622:1 gene:Ma08_g16720 transcript:Ma08_t16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMREITSAWAFLLLPLLIFHASSEPESSTARMEKAEQQALYLVIQDLVGNWWNGTALYPDPCGWTPIQGVSCDLFDGLWYVTALSIGPVLENSLQCAEEAKLSPLLFQLEHLRSLSLFNCFSSHRPTAVPSSSKWEKLAGSLQTLEFRSNRGLVGEIPANLGHLSNLQSLVLVDNSLAGELPVELGNLVHLKRLMLSGNKFSGQFPASLCHNLTELLILDLSSNSLSGSLPPSLCSLSSLLKLDLSNNQFHGSLPPELASLTHLTLLDLRSNNFSGAWFRSLAGMASLQDLLLSYNPWGGSLVELEWEALRNLTTLDLSHMGLTGTIPEAIASLKRLRYLALDNNHLSGSVSSGFAALPSLTALYLSGNNLTGKLEFSQEFYQRMGKRFACWNNPNLCYDAAVSMATGDVLYGVAQCKQDKEAASSNYEADPFARVDRRNPDHSSGLVASFWFPAASTGGFWWGIAVEEVVSMVLFAMIL >Ma07_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28478101:28479265:-1 gene:Ma07_g20600 transcript:Ma07_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASTSAAVLQGISTPFLSGSRAARSLLNATAGGRASSAAAGSPRRLLVVTAAAPKKSWIPAVKGGGNFIDPEWLDGSLPGDYGFDPLGLGKDPAFLRWYREAELIHGRWAMTAVVGIFVGQAWSGVPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPESQSVEWATPWSRTAENFANATGDQGYPGGKFFDPLGFAGTLQDGVYVPDVEKLERLKLAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >Ma09_p25560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36980867:36980983:1 gene:Ma09_g25560 transcript:Ma09_t25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCSRHCVYSHRTTENNPQGRTYRRNLKSMIHNTKIQ >Ma10_p27010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34432934:34434709:-1 gene:Ma10_g27010 transcript:Ma10_t27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGRDDSDHDSSCSSLSTALESRRSWISDISFGTSSSISARSCRGGGQQQQLKPHKANQAEWEAIQRLRAASGSVRLEHFRLVRRLGSGDLGNVYLCRLRDPRFPGCLYAMKVVDREALAFRKKLQRAETEKEILRTLDHPFLPTLYADFDAAHYSCLLMEFCPGGDLHVVRQRQPGRRFTISSAKFYAAEILLALEYLHMMGVVYRDLKPENVLVRDDGHIMISDFDLSLKCDVVPKLMTPRLSGKPVARNAKGSGSSCVPPMQPVLACFYGRGANKHDRKPKTPEEDDLDDQEELDPELVAEPITARSKSFVGTHEYLAPEVISGAGHGSAVDWWALGVFLYEMIHGRTPFKGEDNERTLVNIIKAPLSFPVAAATGKELDELLRAQDLISKLLVKNPKKRIGSVKGSAQIKRHVFFKGVNWALIRSVRPPEVPGDSRRARSRSKGPVAAQMLSKKVRDEPYHIPQHIDYF >Ma02_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22746876:22747064:-1 gene:Ma02_g14810 transcript:Ma02_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGISANLRSAVIGNFWDYLDVPIICLSSQVVLTPYAGTLNEWIMVQPAQIVPAVEQLCH >Ma09_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8704622:8706683:-1 gene:Ma09_g12900 transcript:Ma09_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAEEADREVRKMVLGKYEMGRVLGKGTFSKVYYGRELSSGESVAIKVIDKEKIRREAGLMEQIQREIAVMRLVRHPNVVELREVMATRSRIFFVMEFVRGGELFARVSRGRLPEDQARRYFHQLISAVDFCHSRCVSHRDLKPENLLLDEHGDLKVSDFGLSALPEQLRHDGLLHTQCGTPAYVAPEVLRCRGYDGAKADIWSCGVILFVLLAGFLPFQDESLTRMYGKVFKAEYEVPPWFSGEARRLVSRLLVVDPGKRISIPAIVQLPWFKKGSCRPPPIHIPPPPRHSEDEDTKPATPRFYNAFELISSMATGFDLSTLFESRRKAGTVFTSRSPAAAIVEGLERVGRALGFEVERTKSYKVTMEGKTEGRKGRLAVTAEVFEVAAGVAVVEFSKSSGDTLEYTKFCEEDVRPGLKNIVWTWQGDDPTSASSG >Ma09_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9105214:9108892:1 gene:Ma09_g13490 transcript:Ma09_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKVRKFRGIAKLKGRKDPDQERGQLLSEPEEPKDESLDTMSKPAAADPAADGGEDEDDDDFITNEVKRRLKELRKNSFMVLIPEEGNPEEEEEEEEEEEEESSSSGWRESEVGDGYPWCGFDTLYDEYCERMLFFDKLIARHLKEAGSKGTLGHSPRSLSKKLSMGLRNLSFKKQDEHQEDSENLQQPQEENPSLNLEAAYVAQVCLSWEALHCQYMQLSQKASRQPGNDASYRYAAQAIQHFQVLLQRFIENEPFEQGSRMEIYARARLLLPKLLQVPNLLGMDQKEHVEDDSEEPILATDLIKIVEGPILTFRLFLKMDKKKSGGFFRAHSPRSSLQQVQASLEKKEIKVKELFKKKQGWKKKTWPATMEEVDLLFALIDIKVISRVLRVSQLTKEQLLWCEEKMSKLDLSDNKLCRVGSPLLFPC >Ma05_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10469070:10469577:1 gene:Ma05_g14360 transcript:Ma05_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALGDAGVVLGGGKRRFKSLYWRLRAEIRRQLAKGRESKQRFSFHYDPYSYALNFDDGCSGFLSL >Ma06_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11740602:11743674:1 gene:Ma06_g17280 transcript:Ma06_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKTGLLAGKVRRVCIIGVGKLYFVMSMSTKHLFIPPHTPLVCCFFHMAADDEDESAEPRSIVPVMAPGPPPGIEKSTPSPLPAAVAADEGSAVVRSVVRRWRREHLLEKSGLILRALAWIFSLIAVAVLASNRRGGWMSFDHYQEYRYLLAVAVVALVYSTAQVLRQAHRLGTGKDLAPKQYSGIVDFAGDQVIAYLLISASSAAIPITDRMRQEVVNTFTDASVAAISMALLTFAALCLSALISGFLVSKRSYF >Ma10_p27240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34603168:34607824:1 gene:Ma10_g27240 transcript:Ma10_t27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKPEAVTRRLDEVDRTIYSTFRGGANSLSQIYTQALAQKKVAFQAGERYALEKLYQLIVRHSDAGSTVTVADIVAYLQNETDGEDTLTPNSELVHQHFQSAIQSTNGNVDNSASLNGSAEGERIHQIGHCDQTKNPSFATAALSSLVDSSSGLPCYLLQGPSSDGCLAQENETRYHESNPLNQNGEMGPFDLSSSSMDMHSDSPTR >Ma10_p27240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34603168:34607824:1 gene:Ma10_g27240 transcript:Ma10_t27240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKPEAVTRRLDEVDRTIYSTFRGGANSLSQIYTQALAQKKVAFQAGERYALNETDGEDTLTPNSELVHQHFQSAIQSTNGNVDNSASLNGSAEGERIHQIGHCDQTKNPSFATAALSSLVDSSSGLPCYLLQGPSSDGCLAQENETRYHESNPLNQNGEMGPFDLSSSSMDMHSDSPTR >Ma06_p09570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6681642:6683255:1 gene:Ma06_g09570 transcript:Ma06_t09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPNVDAQLQATVEKGEQRRHLAPKRSSNKDRHTKVEGRGRRVRMPALCAARIFQLTRELGHKTDGETIQWLLQQAEPSIIAATGSGSIPALVLTSSSAGASSTPTASATASVGLHHYNLQELGQSRANWATPGSHLGRSHPEFWMTPVDGSNASFLQSATAAVPLVSNMPRFGFSGLELPTSGINPMTFVPLLGGQRQPMPGLEISVSQHGQVGIFKPQPLSQFYQHIAQGSGNSAGSYQLQQQEQEQQPSLTSDENSEGSTQ >Ma06_p26580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28418146:28421893:1 gene:Ma06_g26580 transcript:Ma06_t26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRDGLLARSNGSPSRGSRIAMAVAVGVLLGCVCAFLYPDGLFRSFSTLPSHGQDSAADWSLGNSAKCETSERTNVLKSELASLSQKNEDLKKQVMELTTKLKLAEQGKDQAQNQFVALGERRKAGPFGTVKSLRTNPTVVLDESVNPRLAKILEKVAVRKELIVALANSNVKDMLELWFQNIQRVGIPNYLVVALDDEIERFCNSSKVPVYRRNPDEGIDSIGRSGGNHAVSGLKFRILREFLQLGYSVLLSDVDIIYLQNPFDHLYRDSDVESMSDGHNNMTAYGYDDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTFPSIELLDRVADRLARQKSWDQAVFNEELFFPSHPGYEGLHASKRTMDMYLFMNSKVLFKTVRKDAHLRRLKPVIVHVNYHPEKFARMKAVVDFFVNGKQDALDPFPDGSRY >Ma04_p26600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28003274:28008388:1 gene:Ma04_g26600 transcript:Ma04_t26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein XAP5 CIRCADIAN TIMEKEEPER [Source:Projected from Arabidopsis thaliana (AT2G21150) UniProtKB/Swiss-Prot;Acc:Q8H110] MSGMGDGYVGTAQDAVRIRRLEKQREVERRKLEERKKNASAGGQSGLLQFGSGTSEILETAFKKETIGLVTREQYVEKRVNIRNKIEEEEKEKLQKMQQEEEELQMQKRKKRRVKGDSRLSFFDEIDNGSDEEDMENKNHDKRKFGYGNLGKDPTVETSFLPDREREAEEQAERERLRRQWLHEQELIKNEPLEITYSYWDGAGHRRIIQVRKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPSKKWERYTIHGD >Ma04_p26600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28003274:28008388:1 gene:Ma04_g26600 transcript:Ma04_t26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein XAP5 CIRCADIAN TIMEKEEPER [Source:Projected from Arabidopsis thaliana (AT2G21150) UniProtKB/Swiss-Prot;Acc:Q8H110] MSGMGDGYVGTAQDAVRIRRLEKQREVERRKLEERKKNASAGGQSGLLQFGSGTSEILETAFKKETIGLVTREQYVEKRVNIRNKIEEEEKEKLQKMQQEEEELQMQKRKKRRVKGDSRLSFFDEIDNGSDEEDMENKENHDKRKFGYGNLGKDPTVETSFLPDREREAEEQAERERLRRQWLHEQELIKNEPLEITYSYWDGAGHRRIIQVRKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPSKKWERYTIHGD >Ma11_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11377122:11387554:-1 gene:Ma11_g11030 transcript:Ma11_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDLWISKVKEGQHLQEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRVIDRNSEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNRINNLDLICRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >Ma11_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5472980:5479057:1 gene:Ma11_g06850 transcript:Ma11_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKRPIHALAAWVRRQPPKVKAFLGVVAGMAALVFLRFIVHDHDNLFVAAEAVHAIGISVLIYKLSKERTCAGLSLKSQDLTALFLAVRLYCSFVMEYDMHTVLDTATLATTLWVIYMIRFKLKSSYMEDKDNFAIYYVAVPCALLALAVHPSTSHNIFNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLAEVVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Ma01_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19783525:19793839:1 gene:Ma01_g20920 transcript:Ma01_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGNYGQYPHGLPTQRPPSFQPAPPGLPPPVIHHTPPPHPQSQQTPPCPPPVPYQLGPPAPLAPQPRNIPPPAPGNMGQPYRHPIPPPYAPPRPFYPPPMLSHGGTHVPPSMPPPPRVYPPLPPPPSQDLYRTPPPPPPSTHHVPTPPPPSIQHVPAPPPPYPARFASITPAPFSSSASMTAENVEPPSLPPPPPPPPPSSPPPIPPSPPPVAFPFMDNAVVSNAITTQGDLQEHVAVDAKVSPAQTVDLEFVHGTFPTVEAFSAEKKISWTGESSRELPSPPPKPIEQVVRNIEVLCQFIAKIGPKFENMAREKEVGNPRFAFLYGGQPGSDAAIGYEYFQWMKKKCCLQMEQSKEPEKICASFKPSEEAFSESVYAHSEAAISSPASSDMDMEDDDGPPNSESGHNKLVKELVEDSTHVADDGHGGELSRAFCITKEQLTSKEDVSSIRLSPGVAECSEDADVPKSATQLYEDASLVNVQSAAANGKNIEVPKVFIKDDSPFRLIQGYASDDSGEEVSRNYNDTSQKDSSMTTVKKLELCLTPSCKNDPTVDTRSSNQADSKTSPSIPKGAHFGHLSLEKSASPNVVYCSTSTTEESLDDEIRNLEPSKDHGIIQSYDVDVDQVGKNHSEDAKQESSKPNLDEFGRLVREGVSDSDSDGMQCSEKCDRGRSSSRSWSPQERRHRWRNYSPGRRYNRNRSRSRSPRCKASKAYRHPNSSARQERVQPPECFSFVQGRCFRGASCRFFHPDIGRNRTMQKSYKDPRQDWRKLDVQGEVLYSESSHFSSKMYGKEFKNLQQENTVQSNSELAESGGKTTKDGVGEKKVALGCGIDNPISRVGEDDIKQEDSFLVFSNMKNQLKGMQQVENFPEAHNLGRGREAERLVESDDPKPAKSLTVQSSPAGESEGLIETVLEQHNQGHLSQSPLDQSLANYSTESPISTPQKKLQMSTLSATDNNPQLQPPEVQGRAPSLIFADNMSAPLPKQQPSENLLPPGIVYHSQCSQTDMLYPNLRQTASEPHSQSIHTPNAMQSDFPVPPLSHEKKSPIRPSVTVEEYSQLHFHQNTVPPRNDVAQPSSEPHLLGGRTCPQPNDFTRPLYSAETSHQPPLLMVEHKSFPMVIQQDRPLAEDDCFPGLPKREGPQISDLYHREYRMPHQSFVHEDLRVPLPGHIAVSFSHGSNMPPQSLHLPRESLSSAQSLPGDLLRPSFLPRKEYPYVKEVPYSNHQTSFAQQYHTSSSFISTLGGPGTVDSSIPKFPPESDLPSQMSGISIPKTSISMHYNPFASTFEHGPAASKFGFGVPGRGNGTDYSIKYESSLSSSHGSVGGIGSRIMASPSNFRISEDQFLPKIGGFALETPKADLQKQFIKEPTAGALYDPLFDSIEPSSGTLKVVHVQKQGKPSIDDGPLSKFSSLSRPLDVARNSEQKDGVGNELKSEVDDFDEVTTDAEVGVVENESPQLIDGKDWSPDMPAEVGNSGAGEIEIDQVQSTGKSKKTKDSRSMKLFKVALAEFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSGSVPSHQIPKTQAKINQYVESSQRKLTKLVMGYVDKYVKM >Ma04_p09120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6504501:6507473:-1 gene:Ma04_g09120 transcript:Ma04_t09120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATATLGIWDEEGCSKSILQEWQLRSRTVFRTAFVPSQNPNPETLVLAASSDGSIATLSVFGKNKHEIISTCEDRKYPATFLALMALPFSKAVSGLYVALYWRSHVVSFGTQGLCIWLTRIPYWYKPECKNEFQWSFSTNGIGSSFGIFCFPLPSWSYRHGGHGASNVYKVFIQVTDIGVAMELLWGMPLRLSQYSLDK >Ma04_p09120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6504501:6507473:-1 gene:Ma04_g09120 transcript:Ma04_t09120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATATLGIWDEEGCSKSILQEWQLRSRTVFRTAFVPSQNPNPETLVLAASSDGSIATLSVFGKNKHEIISTCEDRKYPATFLALMALPFSKAVSGLYVALYWRSHVVSFGTQGLCIWLTRIPYCFNGVSQQMELAPHSAFSVSLCPPGVTDMVAMERAMSIRYSFRLQTSVWPWSSSGGCPYAYHNIAWISKHDK >Ma04_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6505105:6507473:-1 gene:Ma04_g09120 transcript:Ma04_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATATLGIWDEEGCSKSILQEWQLRSRTVFRTAFVPSQNPNPETLVLAASSDGSIATLSVFGKNKHEIISTCEDRKYPATFLALMALPFSKAVSGLYVALYWRSHVVSFGTQGLCIWLTRIPYWLSVWNLLSCECVCSINSHARCKIY >Ma03_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7755767:7758164:1 gene:Ma03_g10300 transcript:Ma03_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGGGKARPNEEDEEATSTMEARPSEQEHVPEIDAAESEEKHQRYEALYSRRLRAKYFSKKALDGGDIYGHETTIDNEIIKSSRWPCTRSFADPIKYMEDQNQSSSEAETNAATDKNQEPKKSC >Ma08_p08000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5537192:5541565:-1 gene:Ma08_g08000 transcript:Ma08_t08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPHDSDKNERSSCTSIKNDNFDMPRTSDDSTDKDVDIVLCRVCHCSECDRRGDAALSFLNIVPPSQEYLESNVDGDSSDKLPRECTLKEVNNNKTSQEQKEFIEFVGPEGEIFVCSADVESGSYFNQDTLIDLGCSCKNDLAQAHYACALKWFVSHGSTVCEICGSVAKNVRVTDFRKVMASLKDYEELRNRTATGEFSYSHVGMNSGVDPDAVAAIRRQRLSEISLWFSPHSNLVTVSQEALEEFPYNPTENVVNMETQTAKWALEGTGILVAVGLVTVILTWFIASHVGKKAARYGFNILLGGVCALVVVIFLRFVFRTRFKYGAARYWTISFVFWFLAFAIWASRTRNVRST >Ma08_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5537555:5541565:-1 gene:Ma08_g08000 transcript:Ma08_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPHDSDKNERSSCTSIKNDNFDMPRTSDDSTDKDVDIVLCRVCHCSECDRRGDAALSFLNIVPPSQEYLESNVDGDSSDKLPRECTLKEVNNNKTSQEQKEFIEFVGPEGEIFVCSADVESGSYFNQDTLIDLGCSCKNDLAQAHYACALKWFVSHGSTVCEICGSVAKNVRVTDFRKVMASLKDYEELRNRTATGEFSYSHVGMNSGVDPDAVAAIRRQRLSEISLWFSPHSNLVTVSQEALEEFPYNPTENVVNMETQTAKWALEGTGILVAVGLVTVILTWFIASHVGKKAARYGFNILLGGVCALVVVIFLRFVFRTRFKYGAARYWTISFVFWFLAFAIWASRTRNVRST >Ma04_p28240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29324683:29329551:-1 gene:Ma04_g28240 transcript:Ma04_t28240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSAKKASGGSAGLRKTASRTAKGTPKAQIQPEVAEEPPKVEVVTAPVDDVKKEIKVEEVVVEKAVAGKPVVLDSDEDDVKEVYMEEDKGERLELEDNEPEDDPEDDTAVDYGEKDMENEVQEDYVDEGEEGEEDDMAEEEEEADMVDEEIEDGGEELEGEEDDENAEEGHEIDAEEEEHHEVVKEHKKRKEFEIFVGGLDKDATEDDLKKVFTKVGVITEIRLMMNPITKKNKGFAFLRFATVEQARRAVSELKSPVVRGKQCGVAPSQDSDTLFVGNICKSWTKEHFKEKLKSYGVENMEDMTLIEDTSNAGMNRGFAFLEFSSRAEAMDAYRRLQKRDVVFGVDRTAKVAFADSFIEPDDEIMAQVRTVFIDGLPAAWDEDRVKDYLKKYGVVEKVELARNMPAAKRKDFGFVTFDTHDNAVSCAEGINNAELGEGDNKVKVRARLSRPHQRGRVKRGLRGNFMIGRRPAYGGRVPYGRPPPHRFPSRAPRPVVPRGVPVGGRGVKRPLGYRDRHPVMAAAERGRLPPPERSYERRPPAPIASYPKISARRDYGRRDELPPPRSRAAVEYGSRVPGERHPSYKVDYSFRGSAYSDIPPRNATRPGDRRAYIDDGYDRKLERPVPSYREGRSRDYDSIPGPKRPYSDLDDSRYADVNIRQTRARLDYVSGSGAQYGDAYSDRFSRSHVGYSSSRTSLSGHDSFYGSRQGMYGGGSGSGNDGGRMYSSSLNSSYLSRGSDVGGGSSYSSTSLYSGRGLSGSGYVGSGGSSSYY >Ma04_p28240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29324683:29329551:-1 gene:Ma04_g28240 transcript:Ma04_t28240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSAKKASGGSAGLRKTASRTAKGTPKAQIQPEVAEEPPKVEVVTAPVDDVKKEIKVEEVVVEKAVAGKPVVLDSDEDDVKEVYMEEDKGERLELEDNEPEDDPEDDTAVDYGEKDMENEVQEDYVDEGEEGEEDDMAEEEEEADMVDEEIEDGGEELEGEEDDENAEEGHEIDAEEEEHHEVVKEHKKRKEFEIFVGGLDKDATEDDLKKVFTKVGVITEIRLMMNPITKKNKGFAFLRFATVEQARRAVSELKSPVVRGKQCGVAPSQDSDTLFVGNICKSWTKEHFKEKLKSYGVENMEDMTLIEDTSNAGMNRGFAFLEFSSRAEAMDAYRRLQKRDVVFGVDRTAKVAFADSFIEPDDEIMAQVRTVFIDGLPAAWDEDRVKDYLKKYGVVEKVELARNMPAAKRKDFGFVTFDTHDNAVSCAEGINNAELGEGDNKVKVRARLSRPHQRGRVKRGLRGNFMIGRRPAYGGRVPYGRPPPHRFPSRAPRPVVPRGVPVGGRGVKRPLGYRDRHPVMAAAERGRLPPPERSYERRPPAPIASYPKISARRDYGRRDELPPPRSRAAVEYGSRVPGERHPSYKVDYSFRGSAYSDIPPRNATRPGDRRAYIDDGYDRKLERPVPSYREGRSRDYDSIPGPKRPYSDLDDSRYADVNIRQTRARLDYVSGSGAQYGDAYSDRFSRSHVGYSSSRTSLSGHDSFYGSRQGMYGGGSGNDGGRMYSSSLNSSYLSRGSDVGGGSSYSSTSLYSGRGLSGSGYVGSGGSSSYY >Ma04_p28240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29324683:29329551:-1 gene:Ma04_g28240 transcript:Ma04_t28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSAKKASGGSAGLRKTASRTAKGTPKAQIQPEVAEEPPKVEVVTAPVDDVKKEIKVEEVVVEKAVAGKPVVLDSDEDDVKEVYMEEDKGERLELEDNEPEDDPEDDTAVDYGEKDMENEVQEDYVDEGEEGEEDDMAEEEEEADMVDEEIEDGGEELEGEEDDENAEEGHEIDAEEEEHHEVVKEHKKRKEFEIFVGGLDKDATEDDLKKVFTKVGVITEIRLMMNPITKKNKGFAFLRFATVEQARRAVSELKSPVVRGKQCGVAPSQDSDTLFVGNICKSWTKEHFKEKLKSYGVENMEDMTLIEDTSNAGMNRGFAFLEFSSRAEAMDAYRRLQKRDVVFGVDRTAKVAFADSFIEPDDEIMAQVRTVFIDGLPAAWDEDRVKDYLKKYGVVEKVELARNMPAAKRKDFGFVTFDTHDNAVSCAEGINNAELGEGDNKVKVRARLSRPHQRGRVKRGLRGNFMIGRRPAYGGRVPYGRPPPHRFPSRAPRPVVPRGVPVGGRGVKRPLGYRDRHPVMAAAERGRLPPPERSYERRPPAPIASYPKISARRDYGRRDELPPPRSRAAVEYGSRVPGERHPSYKVDYSFRGSAYSDIPPRNATRPGDRRAYIDDGYDRKLERPVPSYREGRSRDYDSIPGPKRPYSDLDDSRYADVNIRQTRARLDYVSGSGAQYGDAYSDRFSRSHVGYSSSRTSLSGHDSFYGSRQGMYGGGSGSGNDGGRMYSSSLNSSYLSRGSDVGGGSSYSSTSLYSGRGLSGSGYVGSGGSSSYY >Ma03_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9246252:9248138:1 gene:Ma03_g11910 transcript:Ma03_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHACCYKQKLRKGLWSPEEDEKLVRHITKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEEDLIVDLHAALGNRWSQIAAQLPGRTDNEIKNYWNSCIKKKLRQRGIDPSTHKPLGETDGREDRETTNSEKTSGSRNPQLLDPASVEAEPTPPLMGTGVSEDPAIPTKEFFVDQLVSSRHESPNSTRYAPLQQSNYVACCDANGTRPIGPPIQPTPPLWFTHDTRLLNADAELCHEMLPSVVPSISTSMLSSSAELKPMISLPPDSNNSANSTDSSNELELQSSGTLFDSGIFQWLELLPNKGQIQFDTEPEDLKWSEYLNGTIASTTTNQSQGQSLFGVTKEESQVSVNGLNSWYEEQQLHPPDLYGKDLHQRIPAQPERI >Ma08_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26976528:26977294:1 gene:Ma08_g17750 transcript:Ma08_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSADLRNKYNVRSVPVRKDDEVQVVRGTFKGREGKVVQVYRRKWVIHVERITREKVNGATVNVGINPSKVVITKLKLDKDRKALLDRKARGRAADKAKGKFSAEEVAAAVAGAPSLQEID >Ma02_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27729368:27739534:-1 gene:Ma02_g22370 transcript:Ma02_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVGSGDVEKALLALKRGSHLLKYGRKGKPKFYPFRLSDDASSLIWLSSGGEKSLKLVSVSKIIPGQRTPVFKRYPCSEKDHLSFSLIYDNGTRSLDLICKDRFETEVWFAGLKALVSSGHVGRPKIDGWSDGGLYFDDSKDLISKSPSERSSGSILDSSSPDISYSIKTSSVVSSENFVRLERSDVSNMLAKGASSDIIRVSVSSAPSTSSHGSVQDDCDALGDVYVWGEVICEISSRTSTDRGISFSSGGADALLPKPLESNLVLDVHHVACGVRHAVLVTKQGEVFTWGEESGGRLGHGVGADVVQPRLLESLAICHADLVACGEFHTCAVTTAGDLYTWGDGTHNAGLLGHGNDVSHWIPKRVSGPLEGLQVAYVNCGTWHTASITSTGKLLTFGDGTFGALGHGSRESVTQPREVESLMGLKTIAVACGVWHTAAVVEVIVAQPGANASSGKLFTWGDGDKYRLGHGDKEPRLKPTCVASLIDYNFHKLACGHSLTVGLTTSGQVFTMGSTVCGQLGNPQSDGKLPCLVEDKLVGESVGEVACGSYHVAVLTIRGEVFTWGKGANGRLGHGDIEDRKTPTLVEALKDRAVKYIACGANFTAVICQHKWVSGAEQSQCSACRQAFGFTRKRHNCYHCGLVHCHSCSSRKALRAALSPNPSKPYRVCDSCYVKLNNVLEFGGINKRNGLPRLTGEIRDRFEKAEMKSTRLVLPSNLDLMKDLDIKAARHGKKTDSLSFVRAAHASSLVQLKDLALAGGIDLQGAAPRPLRTSMVQSVNPSRAVSPFSRKSSPPRSATPIPTTSGLSFSKSPTDTLKKTNELLNQEVQKLRAQVDNLTERCELQEVELQKLGKKAQEATALAAEESAKSKAAKEVIKSLTSQLKDMAELLPQGVHKNGAIRSAGLSNGLDLHSGPYSILNGDHQHRFSINNAMTAVSPMASESTLTNGNSGQNHALMNVHESHKMNANSQDCQSLNSSEMEEDFSTGKRDSNAERSSSSSKADIDNKETERPQNGEKVYKSRSPISTSNQVDAEWIEQYEPGVYITLVAHRDGTRDLKRVRFSRRRFGEHQAESWWSENRENVYERYNVRGSDRASSAVSRQSALRSEEDYMHSSRA >Ma09_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33931406:33935259:1 gene:Ma09_g21960 transcript:Ma09_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADAVSLSSTRRSLRCLLFSSSSSSSRPHAAADWNHPLIAPPPPPPPPPSPGKKRGITAAAFRGLGCASAAASDAHAPAAAAARASADWQGKRPRGRRGKKRRKKKERGSQVGGGDLWCAPGMPFAAEASVDCVAAHQPMVGRGRLDAASERIHRERFFIPRRVSHQEQISSFMYSPPDLSTPFFGQDLLPSGHLHRLQGYHRTPSGLEVIMMFQSRVLLGGGLDVYDQFRDWRLDVDNMSYEELLELGEKIGHVSTGLREEEIARSLRKIKHSVFGASARHLSTETEWKCSICQEEYEVSDETGRLECGHGYHMHCIKQWLLLKNACPVCKSPVLKT >Ma07_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11477684:11480548:1 gene:Ma07_g15290 transcript:Ma07_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVKRMLKLIDTDADSFAKRAELYFKRRPELINFVEDAYRAYRALAERYDHISGELHKANHTIATAFPEQVQYAMLEEEEDSLPKAITPIDPSKINKPTVEGLMNKRRENESSIRMKQKKGNAPQFNNEQAQEEINKLQKGILVLQTEKEFIKSSYESGIVKYWEIEKQIVEMQEKACGLQDEFSTSAVIGDNEAHALMTETALKSCEDAIVNLQEQRKKSLEQAKVESERIKIAGKKLKIFKGEYCRSEMVNADMSGENTQMGFTAEKMEGDDYALNKARLELQSICEKIRTHFEMNTESSVSEMAEKINELVNKVITLELTVSSQAVQINQLTSENNELDECLLKLEEEKNVLINDSNALSKRLKEAEEELSRVQAIEKIVQDEEIVFHENLAAACCSIKGFSDKLQSHEHPEDDCIVEKEVSKSSTEPQRECQDKKENEIHEMKKGLEEEIHTTQELGHFLKDLSQTEADSGLKSASGRNEELIKRNELAKKVSSQADLSIRLNNNEQILPDGEEQTLKFQQIILSGLEGREKILLAEYTSLLQNYKETKRRLSEEVLNKNQYLQRTMALTGELKNAIAIKDQEIRLLRQWLASLKMSSSVTLDAPSVEGFWHGQHKLEGISNSPMVTQNPTRDPEMPKDLNASATKQDSCVEYTEITSPLAQGTNANCIDEPTSISPIGEKFRRDIDALLDGNLEFWLRFSTSFHFIQDFRAKFKDLQADISKPKDNKTLEGNGGAASDRAGEPEPALLTTRLRELKTELQVWLEQSTLLRAELHGRISSLCYMQEKISGAVNTELEPGEVLLTPCQAAGFHGEVMNMKQENNKATSELQMGIDYIRRLQLEIEQQLSELREPFIPKSSPTDHLENSPKRTNVPLRVFLFGAKPKKPSLFARIQPMFQRQNSKLRAGHRSKRFSQPE >Ma08_p34440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44431607:44435298:1 gene:Ma08_g34440 transcript:Ma08_t34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALVFVGGTRSRRNPRVHPRDGGNLHGVQSLSLVPSRRILHAGVKVEEHLNLESPSNWLALGIVSSSSEISKSVISTG >Ma04_p38770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36170889:36173760:-1 gene:Ma04_g38770 transcript:Ma04_t38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNNNNNNGSWLGFSLSSHMNMEVPADPHHHHQSQPPPGAVSAASASFFLSPQLSSSGFSYGVSENGGYYSQLSSMPLKSNGSLCLMEALSRSHEQAMVPSPSPKLEDFLGCGPNMGTHQYGNHDRGAMALSLDSIYYHQNPEPEGNGSHPSGILHEQLPYFQPLQQGICSLLTSHEMYQAPLEGQAVRDEAIPRLRNWVTGGSNGDVGDGMGSGPVAAMGYGDLQSLSLSMSPVSQSSCVTAPQHASSVTADDYVILDPTKKRGAGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKREGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGTSTHINFMLEDYREELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISRYHVEKIMASSTLLAGELARRNNNVEPSAPSSNRELNLTADNITSSYQSTNQTSPIPQEQNQTLITSSAGFPNEHSASNLHSVVSMNTSAQGIGDSANLVTAPGMNPSSLFTSLGSSREGSPDRTDISMLFAKTPPKLISPTPLHSWMPSTQLRSALPLSHMPVFAAWTDA >Ma02_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18250063:18251456:-1 gene:Ma02_g07640 transcript:Ma02_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSASTGVPPGFRFHPTDEELLLYYLKKKVSLEKFELEVVREVDLNKIEPWDLQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATGAGFWKATGRDKCIRNSYKKIGMRKTLVFYRGRAPHGQKSDWIMHEYRLEDSDDAIDSAAGCEEGWVVCRVFKKKCFFKVGGDGSSGQAMENHVNVGVSRQQPRALSSQYVHPNLTHHYHHFHHHNPNLYHSHMPANAYSHGQVQDLLTNHRPSGYDFAVLPGDAAAVVKPYDGGLELAAGPCEVMKDPGSSHWAVLEGMDGRLGGAAATAQQMNPMASQRGGEMDLWGYGK >Ma02_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28600209:28601023:1 gene:Ma02_g23680 transcript:Ma02_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQEIGTMKAQPTTARYKGVRLRKWGKWVAEVRFPNSRQRLWLGSYPTPEMAARAYDAAVYCLRGPGAAFNFPSHPPSIPSADKLSRYEIREAAERHAREGPQREEAEEAGEQVVDPGAGSSCLGVPSGQPAEPSSSVPVFDDATASGGEWFDGFWYDAGGGNDDDDIYRSSPLWNFHQ >Ma08_p21460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35325473:35327703:-1 gene:Ma08_g21460 transcript:Ma08_t21460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGVGSLQLPSELLDDVFVTEREERRPAAEAVEPVTESESDEEGDYLAGLARQMAHSFLLDDDSGILGLSAGDDAKHRLTARSSPPCSPLEPPKGDAWDPLHEAAEQTMWSKLTDAEHGRWRVYEHELLSQPRKPCTSKSTSPFLARHQLQAARQLSAGWERQIKARRGANHGGNRCALSLGSFPSGCPPFQMQHRPQHGSGMRAVFLRSSGERKESIGTGVFLPRTAGSKTEPQKKSAAACSTVFIPARVVQALNLNLEAQTGCPGGFVLEQGKLCDGSTHLCTVSDLCSHRSLVTSDALVGPRNPGRHHSLPPRPSAMTTPVSGLPQEWTY >Ma08_p21460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35325473:35327696:-1 gene:Ma08_g21460 transcript:Ma08_t21460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGVGSLQLPSELLDDVFVTEREERRPAAEAVEPVTESESDEEGDYLAGLARQMAHSFLLDDDSGILGLSAGDDAKHRLTARSSPPCSPLEPPKGDAWDPLHEAAEQTMWSKLTDAEHGRWRVYEHELLSQPRKPCTSKSTSPFLARHQLQAARQQLSAGWERQIKARRGANHGGNRCALSLGSFPSGCPPFQMQHRPQHGSGMRAVFLRSSGERKESIGTGVFLPRTAGSKTEPQKKSAAACSTVFIPARVVQALNLNLEAQTGCPGGFVLEQDALVGPRNPGRHHSLPPRPSAMTTPVSGLPQEWTY >Ma08_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35325473:35327700:-1 gene:Ma08_g21460 transcript:Ma08_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGVGSLQLPSELLDDVFVTEREERRPAAEAVEPVTESESDEEGDYLAGLARQMAHSFLLDDDSGILGLSAGDDAKHRLTARSSPPCSPLEPPKGDAWDPLHEAAEQTMWSKLTDAEHGRWRVYEHELLSQPRKPCTSKSTSPFLARHQLQAARQQLSAGWERQIKARRGANHGGNRCALSLGSFPSGCPPFQMQHRPQHGSGMRAVFLRSSGERKESIGTGVFLPRTAGSKTEPQKKSACSTVFIPARVVQALNLNLEAQTGCPGGFVLEQGKLCDGSTHLCTVSDLCSHRSLVTSDALVGPRNPGRHHSLPPRPSAMTTPVSGLPQEWTY >Ma08_p21460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35325473:35327700:-1 gene:Ma08_g21460 transcript:Ma08_t21460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGVGSLQLPSELLDDVFVTEREERRPAAEAVEPVTESESDEEGDYLAGLARQMAHSFLLDDDSGILGLSAGDDAKHRLTARSSPPCSPLEPPKGDAWDPLHEAAEQTMWSKLTDAEHGRWRVYEHELLSQPRKPCTSKSTSPFLARHQLQAARQQLSAGWERQIKARRGANHGGNRCALSLGSFPSGCPPFQMQHRPQHGSGMRAVFLRSSGERKESIGTGVFLPRTAGSKTEPQKKSAAACSTVFIPARVVQALNLNLEAQTGCPGGFVLEQGKLCDGSTHLCTVSDLCSHRSLVTSDALVGPRNPGRHHSLPPRPSAMTTPVSGLPQEWTY >Ma04_p36030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34546582:34567370:-1 gene:Ma04_g36030 transcript:Ma04_t36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSPCPPTTVRRNPPRRAKQTTYAEALPLASLPQDTSCPADADNLKVFLRIRPNEVAPPRPGRIPPKAGTRALAKGAPPKMERRRTGACLSVNGPSSVTLSAPSLLDRGRAKNEVYDGFSFVFPPDSTQQEVYNMAVNPILMDFMGGKSGLLVALGPTGSGKTYTMFGCARNPGVVPLILKQLFDRPSQGDLHERRSYYLSMFEIHSERGKGERILDLSHDGVELSFQHSTVIGLKEVMVSNIAEAENALALGMLKRSTAATSANDQSSRSQCIINIRKTEKSLSEHSVSLPSAVLTIADLAGAERERKTGNQGARLLESNFINNTSMVFGLCLRALLEHQRNPRKPIEKHFKNSLLTRYLRDYMEGKKCMTLILMVKPGEDDYADTSFLLRQASPYMKIKFTNLEDMSSLPNRKRSTTSPVKVDHHKRRKTNVSKTSLDDEGIHGSDGNVGIKASKKDASSKKLQEIGIPQSSSISSKIVEPATMETPMKGALYIELQKMTRQEEIMRNFSKALWNVLKQYKEKLMESEKNVLSLREILQKDEIQRLELKRELEELKSHCSCHKAPHVGELSSAQGEPLLDCCGTRLATPSYQVNSSNIDSAFHDRGSPKMIDQVPENSPGVTLVPEDYKGPADFGAERTLTKLNKSDIDYGGAISLNSLCMVDGINVSSSSVEKITHLKENEGLIDKIPENMPGRTIVQEDFNGLSDFGSKGTSTKLDKSGICNGSSSSLDSLRMVDDINISSSSSVQKVTNLKENGTSPDSDRSRVQHAKSNDKYHVRKVLKEKNGPLFKSSNAEKPKRKLLPASAMLLKELTGPDMEAANGDARGKIPAGGHGVSQSTSLFSLLRKQS >Ma04_p36030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34546582:34567370:-1 gene:Ma04_g36030 transcript:Ma04_t36030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSPCPPTTVRRNPPRRAKQTTYAEALPLASLPQDTSCPADADNLKVFLRIRPNEVAPPRPGRIPPKAGTRALAKGAPPKMERRRTGACLSVNGPSSVTLSAPSLLDRGRAKNEVYDGFSFVFPPDSTQQEVYNMAVNPILMDFMGGKSGLLVALGPTGSGKTYTMFGCARNPGVVPLILKQLFDRPSQGDLHERRSYYLSMFEIHSERGKGERILDLSHDGVELSFQHSTVIGLKEVMVSNIAEAENALALGMLKRSTAATSANDQSSRSQCIINIRKTEKSLSEHSVSLPSAVLTIADLAGAERERKTGNQGARLLESNFINNTSMVFGLCLRALLEHQRNPRKPIEKHFKNSLLTRYLRDYMEGKKCMTLILMVKPGEDDYADTSFLLRQASPYMKIKFTNLEDMSSLPNRKRSTTSPVKVDHHKRRKTNVSKTSLDDEGIHGSDGNVGIKASKKDASSKKLQEIGIPQSSSISSKIVEPATMETPMKGALYIELQKMTRQEEIMRNFSKALWNVLKQYKEKLMMIDQVPENSPGVTLVPEDYKGPADFGAERTLTKLNKSDIDYGGAISLNSLCMVDGINVSSSSVEKITHLKENEGLIDKIPENMPGRTIVQEDFNGLSDFGSKGTSTKLDKSGICNGSSSSLDSLRMVDDINISSSSSVQKVTNLKENGTSPDSDRSRVQHAKSNDKYHVRKVLKEKNGPLFKSSNAEKPKRKLLPASAMLLKELTGPDMEAANGDARGKIPAGGHGVSQSTSLFSLLRKQS >Ma07_p25230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32327398:32333375:-1 gene:Ma07_g25230 transcript:Ma07_t25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPTKAGYAGGGDREGDDEQVVNPWEVTAKDGGKIDYDKLIEKFGCQRLDESLVRRVERLTGRPAHVFLRRGVFFAHRDFNEILDVYERGEKFFLYTGRGPSSEALHMGHLVPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLTVEESKRLARENAKDIIACGFDITRTFIFSDFSYVGGAFYENMVKVAKCVTFNKVVGIFGFTNEDHIGKISFPPVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPALIESSFFPALQGETGKMSASDPNSAIYVTDSSKDIKNKVNRYAFSGGQDSIENHRKYGANLEVDIPVKYLGFFLEDDAELDHIKKEYGAGRMLTGEVKKRLVEVLSELVERHQKARALVTDEVVDAFMAVRPLPQMFG >Ma10_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29354260:29357549:-1 gene:Ma10_g18450 transcript:Ma10_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRSGSLVLLLLLLAALVLAASPAAMATSDAPFMVAHKKVSLTRHKSGVDRVSVSIDLYNQGSSTAYDVSLNDDSWSQDMFNLVSDSTSKTWERLDAGSSASHSFILESKTKGMFHGSPAVIKFRIPSKAALQEAYSTPILPLDVLADKPPEKKLEWAKRLLAKYGSLVSVLTLVGLFIYVIATPSKMTSKKRR >Ma10_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31160115:31162473:1 gene:Ma10_g21270 transcript:Ma10_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEGGPATAAIPLRSTATVAPPRYSLPPCRFYGEDVLFCVDVDVESKAEMAKGRAITRLDAIKQALLLFVHTKLSMNPDHRFAFSILAQSVSWLRKEFSSEVDSALSAVRAITAADSSYGLADITQLFRIAAHEAKKSRAQGRLFRV >Ma04_p25900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27514233:27520571:-1 gene:Ma04_g25900 transcript:Ma04_t25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFGVPRELSELQKKRAQYHPELPPCLQGITVRVEFGDSTTCADPSSTNVIGRAFPHTFGQPLAHFLRATAKVPDAQIITEHPPMRVGVLFCGRQSPGGHNVVWGLYKAIKIRNPDSVLLGFVGGTEGLFTQKTREITDEVLATYKNQGGYDLLGRTVDQIRTTEQVNAAMTACNDLKLDGLVIIGGVTSNSDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTVCRVNSQLISNVCIDAQSAEKYYYFIRLMGRKVSHVALECALQSHPNMLILGEEVALSKLTIFDIAKQICDAVQARAEKEKYHGVILIPEGLVESIPELYALLQEIHGLHNQGILTDKISSRLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEKEMNRRMEEGIYKGKKFNAICHFFGYQARGSVPSKFDCDYAYVLGHICYHILAAGLNGYMATATNLKHPVNKWRCGAAPLTAMMTVKRYSRGPGAVPVGKPAIHPSTVDLKGKVYELLRQKAPSFLMDDLYRNPGPLQFEGPGADAKTYTLAIEDQDYMGRMKKLQEYLDKVKSIVKPGCSQEVVKAALSSMASVTEVLSVMTTPSFGGHQPL >Ma03_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:828544:832345:-1 gene:Ma03_g01030 transcript:Ma03_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRVMRMNSGAGESSYANNSKIQGVIISRTKDIRREAVVEAYLCAAASSGTMSIADLGCSSGPNALLVVADIMEAIERSCLQLQSPPPDFHVLLNDLYWNDFNTVFRSLPEFYRARERLPDCGRCFFSAVPGSFYGRLFPGKSLQFVHSSSSLHWLSQVPEELQNGSPVSCLNKGKVYISKTSPWAVLNAYLKQFRRDLRLFLRCRSEEIVGGGRMVLALMDRRSPDLGMPEDCHLWELLAEALNDMASEGLVATEKIDTFNAPFYAPSLEELKQVVEEEGSFSITTIEHFEAGWDAVADHHSGGEDQHDTIDRENGAVHERRKSYARRMAMGVRAVLESMLKGHFGEGILDELFFRYGKLLESYYSANKPEVGNVVVAMVRN >Ma06_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1059224:1060833:-1 gene:Ma06_g01320 transcript:Ma06_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWGDIYKVVDAMAPLYVALGLGYGSVKWWRVITPEQCEAINRLVSCITLPLFTFQFTLHTDPFAMNYRFVAADAISKVTIALLLAAWPKCSSKGSYCWSVTSFSLATLTNSLVVGVPLVGAMYGPWAQDLVVQLSVVQAIVWLTLLLFVFELRKAGCGLFSVATAPSIGNASIESPPAKDADVDVASQPSFWSLMKTVWLKLALNPNSYASIVGITWAFIANRWHFEMPSIMEGSVLIMSKAGTGMAMFSMGLFMALQEKILACGPSLTAFGMALKFVAGPVATAIGAIAVGLRGDILHLAIIQAALPQSITSFIFAREYGLHADVLSTAVIFGMLVSLPVLVTYYVVLGFLS >Ma03_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2545202:2547239:-1 gene:Ma03_g03890 transcript:Ma03_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLACSSCRRCLHHLLLLLFLALSRSSAYGKPPNMHVFSIHDLLPKSSCSSPEASDPSKLKVVHRHGPCSPFDEGRKLPHARLLDADQARVDSLHVRASAAAQVDKLRSSSAARIPARTGGSLGTGNYVVTVGFGTPLMDFSVVFDTGSDVTWIQCKPCNDCYAQQEPVFDPAQSSTYATLACNSADCGRLDSHSCSNASTCRYDVQYGDKSQTQGTFGRDTLSLSPSDVLPGFKFGCGDTNSGLFGRAAGLLGLGRGSVSLVSQASRKYGAVFSYCLPSTSSSTGYLTIGRGSRSSTKYTPMLTVPEMPSFYFVRLIAIKVGGKQLPISRMVFSTARTLMDSGTVITRLPPSAYAVLRSAFKQKMTQYPPAPALSILDTCYDFTGYTTVSVPTVALVFSGGATLSVDFTGILYVASVSQACLAFAGNDNADDPAIIANVQQRTYNVVYDVSKRKIGFGAKACS >Ma07_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27506473:27507091:-1 gene:Ma07_g19530 transcript:Ma07_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQERRELDEKARRGETVVPGGTGGKSLDAQERLAEGRSRGGQTRREQMGTEGYHEMGRKGGLSTTEESGGERAQREGIEIDESKFRKHDD >Ma11_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27499958:27500704:-1 gene:Ma11_g24600 transcript:Ma11_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELFGSADAVAYFPVVYINGDHDIEVGSVLVHPSLSFDTFQETISQMIGVARHRLSMTLVRSNNIRALGETSRMVAIDEASDLSAIACERDCFIHAVRLSRRKRRGRSKRKNRDPGPGENAGPNITILRRNPAESDVLYTVADGVMVPGTVAGLGLWDYEAPLRVLERQRERFPLSTPAARPSCYRFAEFAPQPAPLLWCDHCEVTKAEGLPPYFHRCVRDAITICFRSAAGPIQRPSTKQVEASS >Ma03_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8414390:8417045:1 gene:Ma03_g11130 transcript:Ma03_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNDEELFLGGTNSGARQILCYRAVMTTSTGFWVDDNPLNFSLPTLIYQITVIFVLFRLTHVVLRRLSQPVVISQIVAGIILSGDVLGRNQRFAYLIFPPYGFEQINVAAILASMLFFFVVGVKADLGLMLKAGKKAAAIALLGTLLPAVSVYLTAKALRHKIPHHFMETQVLLALTDKWSITSFAVLSCLLSELNLLSSKLGRLALSATLISDFVHLFLAAFVKTYSLSVKLHSAWKGLSALLCFFCVVALILFVLRPIVLWLIRRTPEGALLDEASFVAVLTMALACGVLTAITGFDVSTGPFFFGLVLPGGAPLGATMVQRMDGLVMGILLPTNIVMAGMATRISVLAGARHWGLFEMFLLICVVTKFVGVTLPCFYSRMAHRDTLSLGLMMITKGIFEVCAAIRWHEDKVVDNTDYTMVIITILVFGGGTAPLIKYLYHPEDRFVAYKRRTVQHVRPGDELRLLACVHEQDNVNPVLALLEATGPSPDSPICTYLLHLVQLVGRADAVFHLHRRHRKPYASVATLSESDHIVNAFQLFERQHPDGFSVLPYVCISPYNTMHNDICSLALDKKAALVILPFHKKVNADGSINSASTGIHAVNVNVLQHAPCSVGILVDNGLSSGGQLLERVAIYFLGGADDREALAYGVRMADHAAIGLTVIRLLPLEEGQEEGREERMDDRMLKQFQHNKVDGNRVVYREEVVKDGEGTVDVIHETSPEFSLLVVGRRAGKESPLTIGMSMWSEYPELGVIGDLLASTDFGSRASTLVVQQQVWVVGEAALATESRKSAATGSQVVPEAAVYTQSDGK >Ma07_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4765555:4771161:1 gene:Ma07_g06640 transcript:Ma07_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSSCFKIIGCGGGDAIDDDDDLGPEEAKASSDKHRWSFYKRSSKHRVLSNTVISEPVSICSSKQSQEVTITNFHSPKYAYPEKVQIQERPIETSPLPSEIVSTEAPPSSSNRSTDTVGPAINESDAVIVQSAIRGYLARKKLLKLKSVVKLQAAVRGHLVRRQAIETFRCIQAIIRMQALVRDHHARQLVEKSSPEDKNFQGKGDYFEKSKTSIEKLLSNKLARQLLETTPRSKTIYIKCEPLKSDSAWKWLERWMAVTSSGLSQQHEENLNQDSCALDGNAKMADDDSATEITHSDSSALSDSKLAPTELVMTDDGKNSLTTESIGSFGFQNPGIAPDKSSKSLGESDFENKELKNEVLNITVQDCTETEMANEESLDSISDNKQLQPKMSSEILVDSVPDKLECKVNSNHTNESASSETLENEGKKSVIGPRKPRNPAFAAAQSKFEELSSVSTVGRSVSPVYQTAVSKSETESNNIRVDSFTNNNEAISAEKSMWQDSRVEAEISEFGTELSVSSMLDSLDRSEMESGEVVETGALEKHNNSFSADAENPIDISDFCGNENDSRLTSDITVPQRPHGGDQTMANMNASTGVVQVDQHPAESTTSDMQSHLEGMTEQARSPEGTPRGHVAMPDLHGTPSSDVSVNAKKSKKDNNLPTHRQRSNLVGKRLPCNPNNDSGGRSSSENSTKDSKVPKRRNSLGMAKTEHVDQEPRLSSSNSLPGYMQATASARAKAHVSTPPKSSPDVHDNQPKKRHSLPIENGKQSSSPRMQRSASQAQKTGNSNGVHSPHNSAERRWQR >Ma08_p21200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35162589:35166237:1 gene:Ma08_g21200 transcript:Ma08_t21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKDVCWEYCDKMDGNKVRCKFCLKVLNGGISRLKFHLSRLPRKGVHPCTKVRDEVTDRVKAIISMKEEGKEVSVVKKQRLVETKSPGIVSNTKVHIPSPESTPPVVKLLTGCTAIRPQSSLDAERCIAEFFFENKLDFSVAHSPSYQLMLEALGGAGFRGPSPEALRMTWLQKLKSEVTLQIKEIEKDWATSGCTIIADTWTDLKSRALINFFVSSPLGTFFHKSVDASTYFKNSKCLYDLFDSIIQDFGPENVVQVITDSSLNYVSVGNHIMQNYNTIFWSTCASHCLNLILEDFSKIDWVNRCILQAQSITRFIYNHTWVLDLMRKFTGGQQLVRSGITRSTSNFLTLQSMLRHKSRLKHMFNSPEYSSSPYANRPHSISCMDILDDSELWRAVEEIAAVSEPLLKVLRDVSGGKPAIGSIYESMTRAKESIRTYYIMDEGKCKTFLDIVDRRWQNQFHSPLHAAAAYLNPSIQYNPEVKFLGIIKEQFLTVLDKLLPMPELRHDITEQIYIFRKAQGMFGSNLAREARNTTSPGMWWEQYGDSAPGLQRVAVRILSQVCSTSTFERNWSAIQQIHSEKRNRLDKETLSDLLFVHYNLKLGSKGKVADMDPIILDDIDMTSDWVEETENPNPTQWLDRFSSALDGGDLNTRQFSTTSIFNSNDHIFGL >Ma08_p21200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35162595:35166237:1 gene:Ma08_g21200 transcript:Ma08_t21200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKDVCWEYCDKMDGNKVRCKFCLKVLNGGISRLKFHLSRLPRKGVHPCTKVRDEVTDRVKAIISMKEEGKEVSVVKKQRLVETKSPGIVSNTKVHIPSPESTPPVVKLLTGCTAIRPQSSLDAERCIAEFFFENKLDFSVAHSPSYQLMLEALGGAGFRGPSPEALRMTWLQKLKSEVTLQIKEIEKDWATSGCTIIADTWTDLKSRALINFFVSSPLGTFFHKSVDASTVGNHIMQNYNTIFWSTCASHCLNLILEDFSKIDWVNRCILQAQSITRFIYNHTWVLDLMRKFTGGQQLVRSGITRSTSNFLTLQSMLRHKSRLKHMFNSPEYSSSPYANRPHSISCMDILDDSELWRAVEEIAAVSEPLLKVLRDVSGGKPAIGSIYESMTRAKESIRTYYIMDEGKCKTFLDIVDRRWQNQFHSPLHAAAAYLNPSIQYNPEVKFLGIIKEQFLTVLDKLLPMPELRHDITEQIYIFRKAQGMFGSNLAREARNTTSPGMWWEQYGDSAPGLQRVAVRILSQVCSTSTFERNWSAIQQIHSEKRNRLDKETLSDLLFVHYNLKLGSKGKVADMDPIILDDIDMTSDWVEETENPNPTQWLDRFSSALDGGDLNTRQFSTTSIFNSNDHIFGL >Ma08_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35162862:35166237:1 gene:Ma08_g21200 transcript:Ma08_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKDVCWEYCDKMDGNKVRCKFCLKVLNGGISRLKFHLSRLPRKGVHPCTKVRDEVTDRVKAIISMKEEGKEVSVVKKQRLVETKSPGIVSNTKVHIPSPESTPPVVKLLTGCTAIRPQSSLDAERCIAEFFFENKLDFSVAHSPSYQLMLEALGGAGFRGPSPEALRMTWLQKLKSEVTLQIKEIEKDWATSGCTIIADTWTDLKSRALINFFVSSPLGTFFHKSVDASTYFKNSKCLYDLFDSIIQDFGPENVVQVITDSSLNYVSVGNHIMQNYNTIFWSTCASHCLNLILEDFSKIDWVNRCILQAQSITRFIYNHTWVLDLMRKFTGGQQLVRSGITRSTSNFLTLQSMLRHKSRLKHMFNSPEYSSSPYANRPHSISCMDILDDSELWRAVEEIAAVSEPLLKVLRDVSGGKPAIGSIYESMTRAKESIRTYYIMDEGKCKTFLDIVDRRWQNQFHSPLHAAAAYLNPSIQYNPEVKFLGIIKEQFLTVLDKLLPMPELRHDITEQIYIFRKAQGMFGSNLAREARNTTSPGMWWEQYGDSAPGLQRVAVRILSQVCSTSTFERNWSAIQQIHSEKRNRLDKETLSDLLFVHYNLKLGSKGKVADMDPIILDDIDMTSDWVEETENPNPTQWLDRFSSALDGGDLNTRQFSTTSIFNSNDHIFGL >Ma10_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1523939:1526430:-1 gene:Ma10_g00280 transcript:Ma10_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFLLTAEARGRFLQIAGRLLGCTYVCAWSPDLYHPAANHLISTDGWHREEDGGHASSSSGTGSVSSRLFDAYRRCVCGIRRGCIPGLAYEGGLTYAEFSGDDLMNLASIHVQRQFYQEAGIKTAVFVGCRSGEIELGMTTPPNLELQMDICQVFGEEFIRRWQLQSGDHLIPPPEQSWRSSSSSSLRSPSAGSPESSSLLPTIAGAAAFMPDVVLPHQMAMPTYNLMSLPSSSSSPLMYQQLVLQRHHPSGAFEAYNPTGAMRVEATTNVAGQRMIKKGFDVLRSISTARMEAPTQEHRPTSDQLHHMISERKRREKLNQNFHALRLLLPPGSKKDKTSVLANTKNYLNSLKARVSELQERNQMLELQFRPTDDADGVTDSDEGVRVEISRSGADDSNASESQQINLRLVVREECDMTDLVVRTLECLKGMSKDVTLASVAASTMPPRKYTCARFNLRLREKVGDWDEASFKEAVARAVADVLGRGATASPSRSSPAHRS >Ma09_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2995097:2995801:1 gene:Ma09_g04650 transcript:Ma09_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLMDLLRLASPGQSRCQLAGRSEESPALGLDCISVDTSLVEGHE >Ma10_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8023568:8026905:-1 gene:Ma10_g02580 transcript:Ma10_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASPCASSGSASHLELSSSDDLPVQQSLLLSDGLEALRNMRSQLYSAAEYFELSYINDDRKETVMRTLKDYAVEAVVNAVDHLGSVSHKVNNLLNEEVDEVSVAESEVSCIEQRLRTCQACIDQEGLSQQSLLIRPPKYHKRYILQDESMVDCDRQAKLMYEELHPPTENGKLQQQQSACSATRCRPSPNRNARSSSPSPCPPLVREHSSSPSHNSQPASPLPQLEKISSKGRRDNSPLRASNPLTRARSAANRPPIPNSSKQYLLESQNSLPNCLHDERYNRKDTEQNPTKKKGFLKSLLMRNRSWTDESLYSYLDEY >Ma10_p02580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8023568:8026905:-1 gene:Ma10_g02580 transcript:Ma10_t02580.2 gene_biotype:protein_coding transcript_biotype:protein_coding METASPCASSGSASHLELSSSDDLPVQQSLLLSDGLEALRNMRSQLYSAAEYFELSYINDDRKETVMRTLKDYAVEAVVNAVDHLGSVSHKVNNLLNEEVDEVSVAESEVSCIEQRLRTCQACIDQEGLSQQSLLIRPPKYHKRYILQDESMVDCDRQAKLMYEELHPPTENGKLQQQQSATRCRPSPNRNARSSSPSPCPPLVREHSSSPSHNSQPASPLPQLEKISSKGRRDNSPLRASNPLTRARSAANRPPIPNSSKQYLLESQNSLPNCLHDERYNRKDTEQNPTKKKGFLKSLLMRNRSWTDESLYSYLDEY >Ma02_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22209693:22211819:-1 gene:Ma02_g14060 transcript:Ma02_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKELLGWYLVTLKLNETVQANLPRSQPSTPGTPSRPPPLLLTRGEPIQEEDEAATADAAPLESEWVISIREKLKQAWNDEAGVPWMRYSIYRVPKSLREGDEKAYMPQVVSIGPYHHGKRRLRDMERHKWRALHRMLRRTGGDVRVYLDAVGALEERARACYEGPVAFNDNEFVEMMVLDGTFVLELFRGVGAAGKGFKELGYARNDPVFAMRGTMHGIQRDMIMLENQIPLFVLDRLLGLQIGQPEQHGLVARLAICFFDPLMPTDEPLRKNHRAMSESSSTTRADAFDPLTETGLHCLDVFRRSLLRIGPKPTPGLWIKRWSNARRVADRRRLQLIHCVTELREAGIKFRHRRTDRFWDIEFKDGVLKIPRLLIHDGTKSLFLNLIAFEQCHSDCTKDITSYVIFMDNLINSEEDVSYLHYRGIIEHWLGNDGEVADLFNKLCLEVVFDFDDSYLSGLSERVNKYYNHRWNTWGASLKHRYFGNPWAIISLVAAVVLLVLTCAQTFYSVYAYYWPPQ >Ma06_p00340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:254621:259158:-1 gene:Ma06_g00340 transcript:Ma06_t00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTISKSSFEQEPEASGSHKIEPNSCKPSRTLQPIKSDSNAANIQVTINQTMSKHALNDIKEDKGSIHHPGKAIFSSETNDTVPSDGQKQPMQQGVSTELNGVDVSGDKDKNSEQSGNDSFVSFVSAKVSDATSSMTADFVESTKSSLCRPSTNSDVSDESSCSSLSSSLNKPHKANDSRWEAIQMIRSRDRALGLSHFRLLKKLGCGDIGSVYLSELSGTKCYFAMKVMDKGALASRKKLLRSQTEREILQSLDHPFLPTLYAHFETDKFSCLVMEFCPGGDLHTLRQRQPRKHFSEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVRNDGHIMLSDFDLSLRCAVSPTLINSDSESSRRNNSAYCVQPACVEPSCIHPSCVAPTTCFGPRLFSKSKSKDRKLKSETGNQVSPLPELIVEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLHFPESPTVSFAARDLIRGLLVKEPQQRLAYKRGASEIKQHPFFEGVNWALIRCASPPEIPKSVDIERLPKPAALTNEKVAATKDQKGSNNYLEFDFF >Ma06_p00340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:254621:259158:-1 gene:Ma06_g00340 transcript:Ma06_t00340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTISKSSFEQEPEASGSHKIEPNSCKPSRTLQPIKSDSNAANIQVTINQTMSKHALNDIKEDKGSIHHPGKAIFSSETNDTVPSDGQKQPMQQGVSTELNGVDVSGDKDKNSEQSGNDSFVSFVSAKVSDATSSMTADFVESTKSSLCRPSTNSDVSDESSCSSLSSSLNKPHKANDSRWEAIQMIRSRDRALGLSHFRLLKKLGCGDIGSVYLSELSGTKCYFAMKVMDKGALASRKKLLRSQTEREILQSLDHPFLPTLYAHFETDKFSCLVMEFCPGGDLHTLRQRQPRKHFSEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVRNDGHIMLSDFDLSLRCAVSPTLINSDSESSRRNNSAYCVQPACVEPSCIHPSCVAPTTCFGPRLFSKSKSKDRKLKSETGNQVSPLPELIVEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLHFPESPTVSFAARDLIRGLLVKEPQQRLAYKRGASEIKQHPFFEGVNWALIRCASPPEIPKSVDIERLPKPAALTNEKVAATKDQKGSNNYLEFDFF >Ma06_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:254621:259158:-1 gene:Ma06_g00340 transcript:Ma06_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTISKSSFEQEPEASGSHKIEPNSCKPSRTLQPIKSDSNAANIQVTINQTMSKHALNDIKEDKGSIHHPGKAIFSSETNDTVPSDGQKQPMQQGVSTELNGVDVSGDKDKNSEQSGNDSFVSFVSAKVSDATSSMTADFVESTKSSLCRPSTNSDVSDESSCSSLSSSLNKPHKANDSRWEAIQMIRSRDRALGLSHFRLLKKLGCGDIGSVYLSELSGTKCYFAMKVMDKGALASRKKLLRSQTEREILQSLDHPFLPTLYAHFETDKFSCLVMEFCPGGDLHTLRQRQPRKHFSEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVRNDGHIMLSDFDLSLRCAVSPTLINSDSESSRRNNSAYCVQPACVEPSCIHPSCVAPTTCFGPRLFSKSKSKDRKLKSETGNQVSPLPELIVEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLHFPESPTVSFAARDLIRGLLVKEPQQRLAYKRGASEIKQHPFFEGVNWALIRCASPPEIPKSVDIERLPKPAALTNEKVAATKDQKGSNNYLEFDFF >Ma08_p18970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32726565:32729693:1 gene:Ma08_g18970 transcript:Ma08_t18970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRALSALLISMLIFSFSPCSDGQQQCAFTGAGSAQVRAGYWFSLYSHDFPVSSIDASLYTHLYYYSLPLSYDEANAGVSVLPHDQLPLLGIFSNSLKSSSPSLRTLLSIATDDHQANDSNAAFSAMAADPVLRAAFINSTLELARANRFDGLDLAWQFPSSPSDMTNLGILLDEWRARIGEEARNSSSALLLTATVYFSNHLFDEATDNLDYPTDAISRNLDWINALCFGYHKNSNVTAHGAALFDKTSHFSTSYGITSWLDAGIPASKLVMGVPLQGRSWFLRNKIKNKAGDPVVAAGPRQKMSDRIGVMAYSEIEELMKDPRSGFVYDSQTVSSYLHSGDLWVSFDSPEVAEDKIRFAQHNTLLGYFLWPINFDDSNRTISKQASDAWLRNYDSSCYRDEDGFKQAPSPSVAPQEDAAAPLAAISGSAQSQTL >Ma08_p18970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32726565:32729693:1 gene:Ma08_g18970 transcript:Ma08_t18970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRALSALLISMLIFSFSPCSDGQQQCAFTGAGSAQVRAGYWFSLYSHDFPVSSIDASLYTHLYYYSLPLSYDEANAGVSVLPHDQLPLLGIFSNSLKSSSPSLRTLLSIATDDHQANDSNAAFSAMAADPVLRAAFINSTLELARANRFDGLDLAWQFPSSPSDMTNLGILLDEWRARIGEEARNSSSALLLTATVYFSNHLFDEATDNLDYPTDAISRNLDWINALCFGYHKNSNVTAHGAALFDKTSHFSTSYGITSWLDAGIPASKLVMGVPLQGRSWFLRNKIKNKAGDPVVAAGPRQKMSDRIGVMAYSEIEELMKDPRSGFVYDSQTVSSYLHSGDLWVSFDSPEVAEDKIRFAQHNTLLGYFLWPINFDDSNRTISKQASDAWLRNYDSSCYRDEDGFKQAPSPSVAPQEDAAAPLAAISGSAQSVCSQTL >Ma08_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32726565:32729693:1 gene:Ma08_g18970 transcript:Ma08_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRALSALLISMLIFSFSPCSDGQQQCAFTGAGSAQVRAGYWFSLYSHDFPVSSIDASLYTHLYYYSLPLSYDEANAGVSVLPHDQLPLLGIFSNSLKSSSPSLRTLLSIATDDHQANDSNAAFSAMAADPVLRAAFINSTLELARANRFDGLDLAWQFPSSPSDMTNLGILLDEWRARIGEEARNSSSALLLTATVYFSNHLFDEATDNLDYPTDAISRNLDWINALCFGYHKNSNVTAHGAALFDKTSHFSTSYGITSWLDAGIPASKLVMGVPLQGRSWFLRNKIKNKAGDPVVAAGPRQKMSDRIGVMAYSEIEELMKDPRSGFVYDSQTVSSYLHSGDLWVSFDSPEVAEDKIRFAQHNTLLGYFLWPINFDDSNRTISKQASDAWLRNYDSSCYRDEDGFKQAPSPSVAPQEDAAAPLAAISGSAQRSAKIDSCHLALYLLLCFLFI >Ma05_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2747816:2750787:-1 gene:Ma05_g03720 transcript:Ma05_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFERNGHHANGGLCIKDPLNWAAAAEALTGSHLDEVKKMVAESRQPLVSLEGTTLKIAHVAAVAGQSGARVELSESARGRVRASSDWVMDSMTKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGSGSDQSGHTLPPPATRAAMLVRINTLLQGYSGIRFEILEAITSLLNSNITPCLPLRGTITASGDLVPLSYIAGILTGRPNSKAISPAGEAIDAAEAFRLAGIPHGFFELQPKEGLALVNGTAVGSGLASMVLFDANILAVLSEVLSAIFCEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHVLEGSSYMKMAKKLHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLKNAVKNTVIQVAKKTLTTGVNGELHPSRFCEKDLITIIDREHVFSYVDDPCSSTYPLMQKLRQVLVEHALNNGEKEKDPNTSIFQKITAFEEELKAALPKEVEAARAAVENGNAATANRIEECRSYPLYRFVRAELSTGYLTGEKVRSPGEDFDKIFAAINKGMVIDPLLECLKEWNGAPLPIC >Ma05_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1588437:1593264:1 gene:Ma05_g02470 transcript:Ma05_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSSPPGTLPPKPWELAGTTSGPAPFKPPSSGSTSDVVEASGTAKPGEIVPTADRNVTANTTTLARSVPPRPWQNYGTSYGGYGSNMYNSGYGSGMYGGLGGSYGGGLYGNNMYSGYGGGFHGGSGMYGGSMYNSGLGGPMGGYGMGMGGPYGNQDPNNPYGPPSSPPGFWMSFLRVMQGVVNFFGRISILIDQNTQAFHMFMTALLQLFDRSGMLYGELARFVLRILGIRTRSRKQQQLGPGELPAPAGQQYLEGPKAPSGAWDSVWGNDVRGSD >Ma06_p26470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28299484:28304558:-1 gene:Ma06_g26470 transcript:Ma06_t26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED IN THE GREEN LINEAGE AND DIATOMS 27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67370) UniProtKB/Swiss-Prot;Acc:Q9FN15] MLRLQVSGCGIRDGGTQRIIGRRHQGQLVLEASRLKWPSRWSRFCCTVRAVRDDAEGGAGGFAGQSWDPGLEIEVPFEQRPVNEYAALKTSTLYSWGELSPGNFFLRLGSLSLVTFTVLGAPIAAASFNPSKDPLKFVLAAGTGTLLLVALVVLRIYLGWSYVGNRLLSAVIPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVSAVSLFIFAAPVEDFLHSAFRSNDNNLNSATSQIKPNLRKEELLRLPIEVKDDDDLAAAAAEAADGRPVYCRDRFYRALAGGQYCKWDDLLN >Ma04_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6576503:6589530:-1 gene:Ma04_g09220 transcript:Ma04_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAVLIDELKNEDVQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYAHVLLPPLEILCSVEETCVRDKAVESLCRIGAQMRESSLVDWFAPLVKRLAAGEWFTSRVSSCGLFHIAYPSAPDQLKAELRSMYSQFCQDDTPMVRRAAALNLGKFAATIEPNQLKTDILSLFEDLTRDDQDSVRLLAVEGCAALGKLLESHDCVAHILPVIVNFSQDKSWRVRYMVANQFYELCEAVGPEATRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCHISSPQLAVQHILPCVKDLSSDSSQHVRSALASVIMGMAPILGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGSLCMQWLEDKVFSIRDAAANNLKLLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLIAPVMGADITCQKLLPVVIAFSKDRVPNIKFNVAKVLQLLIPIVDQSVVEKTIRPCLVELGEDPDVDVRYFAGQALQACDQVMMSSHFTMQRALPLVAASLLMLAAATAPVAQGHNITKILAEHLEFSTFNHYLTVTHLAAEINRRLTITVLVVGNSGMADLLARHLSLLTLRNVLSLHILTDYYGAKKLHQLTGGSTLASSVFQSSGHAPGTTGYINITDHRAGKVTFSAGDDGGAPPVDFVKSVKEMPYNISVLQVSTILSSPEAEAPVAAPAPVNLTELMSKKGCKAFADLLLARPDILQTFQDNLDSGLTVFCPVDAAVKAFAPKYKNLTADGKASLLLYHGVPVYYSPQLLKSNNGVVNTLATDGSNKNFNYTVQNDGTDVTLETRVVTATITSTLIDQDPDAVYTVDKVLEPRELFKVAEVVDAPAPAPAGSNKSKHASPPAPAGPDEAPADQATSANSAFSTTAVGRWLEPTAAALAASFLVVV >Ma11_p22630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26343875:26347259:1 gene:Ma11_g22630 transcript:Ma11_t22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDPVVATTPSTPSLHVRNPNAQLSPHRRDLADNPATPNSSSSKNNNNNNNHVKDDDAGTGDDHSGGGGGGLDMVEAGSGGSGGGSGNSGRRPRGRPPGSKNKPKPPVIITRESPNALRSHVLEIVSGTDIMDAIAVFARRRQRGVSILSGSGVVTNVTLRQPAAPPGSVITLHGRFEILSVSGAFLPAPSPPGATGLTVYLAGGQGQVVGGVVAGELVASGPVLIIAATFANATYERLPLGDDEPEAAAAPPGSEEMQLLQSPGGDGAGGGSSSHQLHAGLATDPPLFNPPPSLLPNGQMPHEVFGAWTSTSSRHPPSY >Ma11_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26343875:26347133:1 gene:Ma11_g22630 transcript:Ma11_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDPVVATTPSTPSLHVRNPNAQLSPHRRDLADNPATPNSSSSKNNNNNNNHVKDDDAGTGDDHSGGGGGGLDMVEAGSGGSGGGSGNSGRRPRGRPPGSKNKPKPPVIITRESPNALRSHVLEIVSGTDIMDAIAVFARRRQRGVSILSGSGVVTNVTLRQPAAPPGSVITLHGRFEILSVSGAFLPAPSPPGATGLTVYLAGGQGQVVGGVVAGELVASGPVLIIAATFANATYERLPLGDDEPEAAAAPPGSEEMQLLQSPGGDGAGGGSSSHQLHAGLATDPPLFNPPPSLLPNGQMPHEVFGAWTSTSSRHPPSY >Ma00_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3363610:3368414:1 gene:Ma00_g00900 transcript:Ma00_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLAGTGNPAVARRSLPSFHGLRLSPFPFRLPSSIGACGVALERRGFRGHVVKAATVVAPKYISVKPLGDRVLVKINTSEEVTVGGILLPTTAQSKPQAGEVVAVGDGRTIGDDKVQIGIQTGSQVVYSKYAGTELEFNGSNHVILKEDDIVGILEADDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEASKEKPSIGTVIAVGPGPLDEEGNRKPSTTITPGSTVLYSKYAGNEFKSADGSLYIALRASDVMAVLS >Ma00_p00900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3363608:3368414:1 gene:Ma00_g00900 transcript:Ma00_t00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLAGTGNPAVARRSLPSFHGLRLSPFPFRLPSSIGACGVALERRGFRGHVVKAATVVAPKYISVKPLGDRVLVKINTSEEVTVGGILLPTTAQSKPQAGEVVAVGDGRTIGDDKVQIGIQTGSQVVYSKYAGTELEFNGSNHVILKEDDIVGILEADDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEASKEKPSIGTVIAVGPGPLDEEGNRKPSTTITPGSTVLYSKYAGNEFKSADGSLYIALRASDVMAVLS >Ma07_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4422339:4429213:1 gene:Ma07_g06140 transcript:Ma07_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDHDGNCLQEDEALSEDRINGGNGAEALTRVELDVAYSSEKLLNLEMLLMQVADRAHDIESVNMGYEDISDESVMKAFESDILSGILDMEVNELQSFMSLLQIEIMEARQNFCQGEHMEDCTATVEEKLHDAEESVKKLQDSVADIREQSSKFGRTLALFHNETRSDENEELENDHLTSIKKPQTVDQQRHVLQMLEKSLARELDLEKKLSEYRSNEEDLKIKLHYTERELYCMEELMEICLERAFEAENSAELLQGIARELAGKLQLVQLNLNSSLHREHEMRFNLEENMMQLPAEEAARERLTSSHAELNDMLSSKEKGLKASVMEAEEKGILTSAEILSLREKVIALEEQLRESNVQLQLAKTSAETSQQQQSTLQSDLYQMENVMEGLKANVLRAESKAESAEAKYTESRKSNIALNEEIVFLRNSEPEKRSLLERRYKESNTQLEHAKASAEALEEQQNVLYAAITDMQSMIEDLKAKVSKAESRAENAESKCTLLTETNLELNEELGCLRGRLEYLETSLHQTEGAKIATAKDIGIRTKIISDLVMKLALERERLQFQISALTKKNKVLLEKCKRKGDAHIPKSYKGPQNGTELGFPKSSIDALTESSATDFQVEKLAADVPASDKSTEMTASTEESSGAGPKMETWAVPTYWPPGFPISHHHLHLCSALISGSVSEPELPIILDKGDWQTAEILSLSVRFFRSSFHLPPLA >Ma11_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22025367:22029031:-1 gene:Ma11_g16510 transcript:Ma11_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g48350 [Source:Projected from Arabidopsis thaliana (AT1G48350) UniProtKB/TrEMBL;Acc:Q0WWC5] MSHSSSSLVASAPSTMAATARVSPAMVGSSSFVSGQRLCFKMNMMPSSAMACPTTTRRPSFIEAKVVTRRENRTFRHERLRKKVTGTPERPRMSVFRSNKHLYVQVIDDTKMHTLASASTMQKPVSEEFDCSSGPTVDVAKKVGEVIAKSCLEKGITRVAFDRGGYPYHGRIEALANAARENGLEF >Ma08_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20406323:20407454:-1 gene:Ma08_g16700 transcript:Ma08_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYVDPLVVGRVIGDVVDLFVPTINMTVSFGSKHVNNGCDIKPSMAANPPSVYISGQQSDLYTLVMTDPDAPSPSDPTMREWLHWMVINMPGGTDPSRGEEAVPYMGPAPRVGIHRYVLVLFRQKSRLPGVASPATRANFNTRWFAAQHDLSFPVATVFFNSQKEPANRRR >Ma11_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22802621:22814587:1 gene:Ma11_g17510 transcript:Ma11_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNITAPLDPAAFADAVVQIYLENAGDLELVAKNVESSDLNFSRYGDTFFEVVFTGGRTQPGTIKPDEGERHPYSVLDCHPKREAILPSVLYIQKILRRRPFLIKNLENVMRKFLQSLELFEENERKKLAIFTALTFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFITDFFKEYLVDNSLDDLISILKRGKMEDNLLDFFPSARRSAECFSEHFTKEGLLALVEYHEKKIFEVKLKEMKSALTTQIAEETDISEVIETAKQRVKDANLPDVEVVRILWDVLMDAVQWSGKNQQQNANAALRQVKTWAGLLNAFCTSGKLELELMYKVQIQCYEDAKLLKLFPEIIRSLYELDVLAEDTILLWFRKGANPKGRQTFVKALEPFVNWLEEAEEEE >Ma04_p29210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30149781:30153100:-1 gene:Ma04_g29210 transcript:Ma04_t29210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSCNCHYPRICCCKSSSRYYPPCPPKSQWGDAENVSSSPAPASTILAKKPSEEKVVVEQTSPEGGKDEISLKSSLKKPRSSDLEPFGKGNVKWLDLLGKELVEIKEFEAIESEESEDYADDSTACICVIQ >Ma04_p29210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30149781:30153100:-1 gene:Ma04_g29210 transcript:Ma04_t29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSCNCHYPRICCCKSSSRYYPPCPPKSQWGDAENVSSSPAPASTILAKKPSEEKVVVEQTSPEGGKDEISLKSSLKKPRSSDLEPFGKGNVKWLDLLGKELVEIKEFEAIESEESEDYADDSTACICVIQ >Ma04_p29210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30149781:30153267:-1 gene:Ma04_g29210 transcript:Ma04_t29210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSCNCHYPRICCCKSSSRYYPPCPPKSQWGDAENVSSSPAPASTILAKKPSEEKVVVEQTSPEGGKDEISLKSSLKKPRSSDLEPFGKGNVKWLDLLGKELVEIKEFEAIESEESEDYADDSTACICVIQ >Ma11_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:406628:408791:1 gene:Ma11_g00580 transcript:Ma11_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPPGEIASSHPTTTEKKKTKSKEDKRSAANGGVDQSFPLSAGGGDQEKDYFIKPQSFTPAVDTSDWPILLKNYDRLNVRTGHYTPLPAGHSPLKRPLAEYLRYGVLNLDKPSNPSSHEVVAWIKRIFRAEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVAKVARALETLTGAVFQRPPLISAVKRELRIRTIYESKLLEYDPDRHLVVFWISCQAGTYVRTLCVHLGLILGVGGHMQELRRVRSGILGEKENMVTMHDVLDAQWTYDNFQDESYLRRVVMPLEVLLTSYKRLVIKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGSVAKIKRVVMDRDTYPRKWGLGPRALMKKKMITEGLLDKHGKPNEKTPSEWLRNVVLPTGGDSMVASLAAAPEPAVVQKEDIVIEEVKEDKKKKKKKHKDRDDKDDDGEGRKHKLEDARELPASKKVKVEEIQEAVLETEPKKLKKVKDEVVEALEEEKSEKKKKKKKKDKEREEEELADEENIANERKKEKKHKSKAGSSDEEKLTEDKEKQKKKKKNKKKKNEEDKLGEETGSLTGNNEEEEKSEKLKKKKNRNAEETAA >Ma01_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6789111:6789242:1 gene:Ma01_g09390 transcript:Ma01_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTVHPSTTHNLIDRISWAFCVYLEAVSVLPQLRPMQNTKV >Ma05_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:264267:265918:-1 gene:Ma05_g00430 transcript:Ma05_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >Ma07_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6765392:6770665:1 gene:Ma07_g09020 transcript:Ma07_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNYLTAAPAFSVSDNSRSVHFAGGASPDPAADVEALRRLSDNLGAAFESPDFELFADARIAVEDGGAPAREVGVHRCVLSARSPFFREVFAEREGALAPVRLELSKLVSGFVVGYDALVTVLGYLYRGRVAPLTKEVCMCVDEECRHEACRPVVDFMVELLYASFVFQISELVSLFQRHLLDILDKVSIDDIPVILSVANLCDSSCAKLFNKCIEIAVKSDLDIITLEKTMTPDIVKQIMDSRLNLGTVGPESINFSDKRVKRIHGALDNDDVDLVRMLLKEGNTTLDDACALHYAVAYCDSKVTTELLDLELADINHRNIRGYTVLHIAAMRKEPKIIVSLLTKGARPSDLTLDGRKAVQISKRHTKSMEYFKSTEEGQASPKSRLCIEILEQAERRDPQVGEASAFLAIAGDDLRGRLLYLENRVTLARLLFPMEARVAMDIARVDGTLEFTLGSTTSHSTGNQRTAADLNETPFTIKEEHLARMRALSRTVELGKRFFPRCSAVINKIMDDDSTDFAYLEHDASEEKRMRFLELQDALSRAFSEDKEEFNKSALSSSSSSTSVGIVPTQR >Ma10_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28660492:28663655:-1 gene:Ma10_g17170 transcript:Ma10_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGFWGLQWQSTGAKLPPELNSGPAASDQLPQRFLHLNWKQPMAHDADFESALSSLVSSPCSNPPASADGSGIGELIGRLGGICNSNDISPSSRYRSADASCYGTPLNSPPKLNLSVIDHRQQGRGGPPMPVNRVPGPHLGPLSAEPGFEDGAARLSCFAGRSSGSFAGQFGFLSPGNLSEASRSESPKAGFGSCVGVGQSRSERPAHLETEMRSTIGGSSTAEESELGNGPEESSLSHRMTAEASSLRGIADNNSGKWKTAAKRKGREAPLSSSATNPPANMTEEENSDAKRCKSADRNGGAKNAAVKPKTEQNGDPGHREGKDNDHKPPEPPKDYIHVRARRGQATDAHSLAERVRREKISKRMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLTTLNPQMDVSMENFLPKDMYQSRGLMPQPVYPAEIGTVLSYAHQPQTIALQSVMTSGLEAQFSLNSLQSSLRQSQSMQHATTDAYTDAPSQLGGLWEDDLQSVAQTGFGQNHGQTHTSRMKIEL >Ma04_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8471280:8471738:-1 gene:Ma04_g11870 transcript:Ma04_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPALAMASAVKSKRTSRGRQKIRIKKIENPERRAVTFSKRRKGLFVMAAELCAHTGAHAAVIVFSPSGRPYAYSYPSVDEVLRRFLVGQFRDRAGLGGMQELVQMRALLLGEGDERAAEPHRGAVLEELQRGVEELEKLRRLVLAKADVVG >Ma04_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22055347:22056345:-1 gene:Ma04_g19460 transcript:Ma04_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNTGLNLNDWLGYPFTSIFLIGYCFLPALSLLPGQFIVQTLSVPYLIHLLVITLILAHLAAVIQGLLKVIAGIEISFTLTSKSAADDGDDEFADLYVVKWTSLMIPPLTIIMVNPIAIAVGVSRTIYNVIPQWSKLLGGLFFSFWVLAHLYPFAKGLMGRNTDDRLPVVGVHRHHYLTAAGGHQSSVGELADRRIIHVPLTRSLHSRAV >Ma02_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21917267:21917496:-1 gene:Ma02_g13580 transcript:Ma02_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCVNPNLAKSRLPPTGLHAQFCRCYPAEEQVEGNHRCYKEQLYFRA >Ma02_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15012702:15023045:-1 gene:Ma02_g03560 transcript:Ma02_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPGTEVSQEAAGVAGAMLVPTRFVWPYGGRRVFLTGSFTRWSEYLPMSPVEGCPRVFQVICSLAPGLHQYKFYVDGEWKHDESQPSVTGNYGIVNTIYLTREPNPLPPLLSPRTPNSRMSMDVDNEAFQHVVAVSDGTVQDAAIRISEADIKISRHRISGFLSAHTAYDLLPESGKVVALDVNLPVKQAFHILYEQGISVAPLWDSLSGRFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKHQIYRQLDEHGRPVQGCIIHAGPYDSLKDVALKILQNKVSTVPIIHSTDQDGSFPQLLHLASLSGILQCICRHFRHSSSSLPILQQPICKIPLGTWVPRIGDQSGRPLAMLRPNASLSLALSLLVQAEVSSIPIVDDNDSLVDTYSRSDITALAKDRIYAQIHLDEISIHQALQLGQDANSPNGFFNGQRCQMCLRTDPLQKVMEKLANPGVRRVIIVEAGSKRVEGIISLSDVIRFLLG >Ma10_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33234268:33236968:-1 gene:Ma10_g24790 transcript:Ma10_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWELVKVPLLKAGVGKVIVTTRNECVARNMQTMEPLSLNTLPFDKCWMLFEKLALEGLESSSRHNNLVDIGRKIVEKCKGLPLAVKVIARALSYEDDEDKWTDILESERWELVDANLEILPALKISYDYLPIDLKRCFRYLSLFPKDTVLFERYIVHLWMSQGLLRPPRSKQAEDVGSDYVRNLVDRSILQTKVIPGPIYLDPEEEKGLVLHDLVHDLAQSVAQGECLSIAANKLASIFQRDGDKFHKVRHLYLVFDDRMAPRDVKALLKLKRLRTLITNGSRFNEKYCCEFIDELFHNLKYLRALNFSRTDIAGLPDSIGDLKLLRYLSIEGIEIHSLPESICSLYNLQILSLTGTLGLRELPSGIVNLPNIRHLMLSHTRIAVPRGLGKLTYLQTLDCFALGPTSWRIEIEELKGLVNLRGKLIIENLRYVDKSVPQTETPLLNKRSYRVFDTGLVLECFRPHPNLQRFLIHAYGGARFATWLGDSSFSKLVNIEIVVCLKCNLLPPLGQLPSLRVLAIKYFSDLQRIGREFCGIGGAIKGFPSLETLTFLDMENWQQWDGVEAGDFPRLLRLRIDGCPKLRIFPHHPFFLLRKLELTHFGDISDDAPFISLDDDTTMLPLSFRGFHSFSIDMNLPSLQELEISRCSKLTSVTGLTNLTSLHSLTIDDCPYLRLPPTERLTTIVCRWQTSLSASTHPQQHYSTRYHSAFDVAYQVSNSEEIMTKRESGCLLQGGGDDRGGVGFILYVIRVIILFLLNVNFLNKDVLYILQGKAMTILVGL >Ma04_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6472889:6477286:1 gene:Ma04_g09080 transcript:Ma04_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARHAWQRTLNRCLVQEDAKRAPKLACCPSSTPQTDSSNGNAASTHDCPVANFIPLNRNQMNPNLSPETKWWLQIQPNYVYHKDFICEQLCFSRDEVDEKDMKTTIPTSKMDGDSSPVDSINLVLKNEESSMESPWIVSTAFMKHGPETSVKEMNIMASSSQHPLKRKTDMYDYLYNEEQLLDLKLVDRLISKRLEKDSLDLETPRAGVNKSEPWWHIADKDELASLVAQKSLQHIENCDLPKPTQTVHVARDPFSSPDNLNTRGRLQSSFSGNINAGICNANEYSDNTSFYGISGNKNLSSDEGDHMWIDSDKMSSHAQGRSNMEHHEINQPSESDRTRAQLLDALRRSQTRARKAEMAAQKAFDEKEHIVKLLFREASHLFAYKQWLLMLQLENLCLQLKIKDHQLSTLVPVLPWMPSKEKLFSKDKITSRKVRKKHNCSMCKYAVLFAVGLGLVGAGLLFGWTIGWLFPLNCTL >Ma04_p31030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31470982:31473636:-1 gene:Ma04_g31030 transcript:Ma04_t31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPLAQSRTEAKPGVAVHVGDEGVGLGFASRGSPGEIAFAVGDLAWGKTKGQPWWPAMVSDPSRALDDAKKVHRRDVSFFVTCFGSGAFAWCEPAQLKPFVEEFHRMARQSSSKGFVGAVEGALNEMRQRLQLALTCCCVSPEARGKNAGGPPGRLPVTNFEPIEFLEHLHDVACDVLAADMLEVAALKSWTMAFGKGWTDGAPGCHPRRQIMELVDKIDLDVPPGDFGDGNEEGDDDCWITGSRVGKGLRTSQGNMHKSQKRRSMAVLIAETDLDTVELSNGDELEEEEEKVKAAKHQMKSENEEDMVTGGGSIKAQEDPCSGRRERKRSKYLSPPYTCLGAYTMTLDSPVVTEVKSPRKAAEASGDLNSDISAVLRCNSEAFQKEEDNCSPGFSVENTSMIEILFEFLCTAKNLLHLKWNRSAKMIKGFFIKYRSTMYSSGSDFLTFQKHGTDCCDGSGQSSNKVTINTSSGVGECENHWWNNKGVAISEAETGFGADTKSHSEQGKAGKKRKMRLDEATSVSPAKLDPQVINVPVESRYVHKNIKNKDGATEESVVLCPEPVSCLQPAEVGNKMKKGKGVADGQKALKPGHDLSERSQGGKSGRKRKKSKPANIGVPLVDLGTGIMNGLEKDICRQSYPGALLLTFAHGVPLPSQNELTSAFRKYGVVIESETELLKETNSARIVFAKSTDAEKAFNSSDKTGYFRPPYASYCLCCLPAIACSPHPVPPLPYIREGLERMISTLTATSSLKETGTSDGMKHDARENLVGDMEGLLKKVNTMLSGAATGT >Ma05_p27600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38824340:38826490:-1 gene:Ma05_g27600 transcript:Ma05_t27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRFQLAFLVIFAVASSAAAAGACSSVTFSSNRVYAACSDLPHLSSSLHWSFDATAATLSLAFVAPPPKPEGWVAWAINPTADGMIGSQALIAFHQPNGSMGVRTYNITGYGSIAEGAIDFQTSDLAAEYSGGVMRVFGKVKLPAGTTAVKQVWQVGSSVADGVPQQHAIAQENMQSKGKLDLIKGAVSGSEASSSRNKNVHGVLNAVSWGILLPIGAIFSRYLKTFESADPTWFYLHVTCQIIGYGVGVGGWATGLSLGSKSKGIEYTTHRSIGMALFSLATLQVFALFLRPNKDHKYRFYWNIYHHLVGYTVIILGIINVFQGLDILGVDHKWRAGYIIAICILGGIALFLEVATWIVVLKRKSDGSTRPYGESTSNGAQKPLSV >Ma11_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27090067:27092027:1 gene:Ma11_g23900 transcript:Ma11_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADKKTGSRGSLTWIGGTRPEMMKPSFLLLLAVAIALGSCARGQAEGLKKGFYKNSCPQAEETVRSIIWNHVSSNSELPAKLLRLFFHDCFVRGCDASILIESTSDNVAEKDAGPNLSLAGFDVIEEVKTALETACPGTVSCADIVALAARDSVSFQFNKLLWKVKTGRRDGTISRRSEALSDLPSPASNFSVLAGQFANKGLHVKDLVVLSGAHTIGVGHCNLFSQRLYNFTGQNAENDTDPSLDPTYAALLKTKCRSLADNTTTVEMDPGSSTDFDNHYYANLQEKKGLFVSDAALLTDQRSAKLVGKLLHPGDFFDDFKNSITRMGAIGVLTGTDGEIRNKCSVVNS >Ma11_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1543718:1547346:1 gene:Ma11_g02160 transcript:Ma11_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVRKHGGQASPESARAWAEPPVPPSLPPHAKLPPPPSPPQGWKIPVVYYLCRNRHLEHPHFIEVPLSSLDGLYLRDVINRLNMLRGKGMPAMYSWSCKRSYKNGFVWHDLAEDDLVLPANGNEYVLKGSELLDQSPSDRIHQSNGNPRLPNLKPQQQENPALSRTQEAAASSSSPPASTVLKDLKPSPSLPSPQDDEHSPLLRQPGLPTNISPDHGPRRPSSWENSSSVAAEYRAYKPVGAADASTQTEDGGGRNALGSHATCIRGISTDDNSIDLEFEEKRWNRDMRSKEGSETGGYEISPAPTLSSESSSSGKTETLESLIRADAGKVNSFRILEEEEVLFDTGPKVRPTNVLMQLITCGSISVKDHHSFGLVPTYKPRFSHAKFPSPMFGGSMTLGEIDYLSEDPRLIRLRLDEKEYFSGSLIETKKHKDTVGQMMPTLKRSSSYNADRNSKTPDSKQDIEKLVDSSRSKCLPRAIKMTSNKQPKNEAHRSSISDGPRKSSVGQDCKELPIGEYQKITLSVNASSTSVESFKERKEKVIKIEENLCQGLVLQFSLELHAMIVRVVLILEWISAMNHPVYYRQPVERFSLSLKEGNVQRAISNQQKSYNLHHGRLDYGNHSCPPILVIQHQELVSNSVISLRIVRAALCRKMT >Ma08_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30074068:30111194:-1 gene:Ma08_g18360 transcript:Ma08_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQSTASKDTHHYPHFDELRWVIQIRHTLEEEHDDDVGIPVSVFNVPRCLQVTKPEAYVPQLIAVGSYHHWRPELYEMERYKLAAARRTQKQFHTIKLQHLIEQFTKLEHKIRAHYHRYLDFNGNTLAWLMAVDVSFLLEFLQVYAIQEEEGKGKVLRRLSSRMSHLVDYTGRKSAHNVILRDIMMLENQIPLFLLRNILELQCSSIEVADGTLTKMLTGLLKEISPFKLMENFPCIDVAQHAHLLELLYNVVVPKADDDGDDNEIEEQNDEAAAKEQTYGNSGYVRQLLDAVSKIGSSLNGAPIRFIKSVIVSRLVKFVVQVPRKILTSLPGFSIAKQPDEVEQQGGKAAGRGATATVVASQNAAVEVGLAESKGGRGPFRPDGLQRGEDVVVAEQGGSTAGRHAAIVARWLATVVALQHRRGVVLKWPRSRGGGRGNRRLRQQRDQRLLYFYRITETTTPTDRMAKAATKGRQRWWCG >Ma01_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7253763:7257141:1 gene:Ma01_g10120 transcript:Ma01_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPVDRSAAEVAFFGSSSAVGGGWADAASRLFMEDDEMASWLNCQIGNAESGGGDLEAMMANQEMTVVTPGETPVAGSTAMGSSETPETVVIVEDDQPRGSASSSGTAERKRKKVSAAREEETDRQVEQRRRDRINAKMKALQELIPRCNKSDKASTLDEAIEYMKSLQLQVQMMSMGCNPGAVMFPGVQTYMPPMGMGMHMGLGMGMGMGMGLGMRMGTDMAGGNIPRPILPFGPIPCPPVTAHPPVQFGPRPTCTTPLMPSFHPSPAMPIADQARFEAINQQNHLSDTLNIRSPNMGQMPHVADPFHHFLNLQCPLVQSQSQVPDEAGTSNRNGNVDGNNGYAVPSE >Ma10_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27481697:27486478:-1 gene:Ma10_g15360 transcript:Ma10_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSCSRFIVTRSFSSKMARSALDEMTESGAFNRTPSTFRNFISKDKTSQFPAESGRYHLYISYACPWASRCLSFVKLKGLDKAISYTAVKPIWERTKESDEHFGWVFPSSTEEPGAEPDPLNGAKSIRELYELASSNYMGKYTVPVLWDKKLKTIVSNESAEIIRMFNTEFNDIAENADLDLYPSHMQALIDEVNEWIYDTINNGVYKCGFAKKQGPYDEAVEKLFDALDKCEETLSKQRYICGNTLTEADVRLFVTLIRFDEVYTVHFKCNKKQLREYPNLFNYTKDIYQIPGMSSTVNMAHIKKHYYGSHPSINPFGIIPVGPGVDYSSPHDRERFGS >Ma09_p29160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39687910:39688665:-1 gene:Ma09_g29160 transcript:Ma09_t29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLMSAHGVVLATAVAVSGTVILIALRRQKPFSLSSATVPAPPPWRNLRSCMSSSEERKRARANRKKKKRVQFAAEVVEFDLGSSAEDHSLGDRRRGGEGLEASRMPANRAALYNGILRDRGMQRMSCCY >Ma01_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6713673:6727336:-1 gene:Ma01_g09290 transcript:Ma01_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MATAEAAAGSLGPHYAPDDPTLPKPWKGLVDGNTGLLYYWNPETNITQYERPASSVPPLPPGPPPSASVPKLAPIPMAGNLPPNGTALQQGTQQIVQQAALPAQQQQQPSQHLFQQQQQQSSQQLPGQQPGPQALLPNAQQIPIQQPHHSTNQQMTQQQTSRQYMQIQSQHAPYQHVSYLQGPLLPPSQVQQQQGFQFSHQQGQEPQGPQMTYQPGQQPQSLQIPNQQHPKESLTLNQQGQQTHGLQIPLSQGLPILSQQTHHGLQVGQQQGRQSQGLQAGSQLVQQPQGQQPRFPWVEDALHQEGKQGSLSSSGIASSSHHLPGLVPQVSIPSVGGRPPQSPNQLGGSVQNVSSSQVGIDTSYGKQQQAGSAVPSPMGHPVVHPPIGGKVAYDEDEHEFYPSSRMERPMMYPHQPKLAAIPLPQRQPDGGIRPQFDLPSLSGGMSMGPQHALPNMYGRSAFPNSGILGPSQVGFGSSDFSDVSAADAYRQHHEVTAMGNDVPPPFMTFEATGFPPEILREMHLAGFSSPTPIQAQTWPVALLKRDIVAIAKTGSGKTLGYLIPAFIHLRRCRNNPQFGPTVLVLAPTRELASQIQDEAFKFGRSSRISCTCLYGGAPKGPQLKEIERGADIVIATPGRLNDFLEMKKISFCQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPQRQTLMYTATWPKEVRKIASDLLRNPVQVNIGSVDELVANKSITQHVEVVTQMDKQRRLEQILRTQERGSKVIIFCSTKRQCDQLARSIGRTFGAASIHGDKSQGERDHVLYQFRTGRAPVLVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFTDQDWKYATDLVKVLEGANQRVPPEIREMAGRGGPLLSRPRDGINRWDSGGGGGGGRWSAVGGRGMRDGPPGGFSGRGGRQDFYGGRGPRGRGFGGPGGPGGRGRHDRGPNDRYVNSDGRNRSDGRRGFGERGRERSYSRSPEMVRTWGYDRSRSASRSRSSSRSRSRSRSKSWSPRSRSRSRSQSQSRSYDRVKRRSGWDCRPSPVPAAATAPFATSGSEPPTAAATGGPCIVEPEPVAGHQGPAPVVGFEPGLPLSGDMSPMSPGQGNGSLYGNEPPEQT >Ma01_p09290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6713673:6727336:-1 gene:Ma01_g09290 transcript:Ma01_t09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MATAEAAAGSLGPHYAPDDPTLPKPWKGLVDGNTGLLYYWNPETNITQYERPASSVPPLPPGPPPSASVPKLAPIPMAGNLPPNGTALQQGTQQIVQQAALPAQQQQQPSQHLFQQQQQQSSQQLPGQQPGPQALLPNAQQIPIQQPHHSTNQQMTQQQTSRQYMQIQSQHAPYQHVSYLQGPLLPPSQVQQQQGFQFSHQQGQEPQGPQMTYQPGQQPQSLQIPNQQHPKESLTLNQQGQQTHGLQIPLSQGLPILSQQTHHGLQVGQQQGRQSQGLQAGSQLVQQPQGQQPRFPWVEDALHQEGKQGSLSSSGIASSSHHLPGLVPQVSIPSVGGRPPQSPNQLGGSVQNVSSSQVGIDTSYGKQQQAGSAVPSPMGHPVVHPPIGGKVAYDEDEHEFYPSSRMERPMMYPHQPKLAAIPLPQRQPDGGIRPQFDLPSLSGGMSMGPQHALPNMYGRSAFPNSGILGPSQVGFGSSDFSDVSAADAYRQHHEVTAMGNDVPPPFMTFEATGFPPEILREMHLAGFSSPTPIQAQTWPVALLKRDIVAIAKTGSGKTLGYLIPAFIHLRRCRNNPQFGPTVLVLAPTRELASQIQDEAFKFGRSSRISCTCLYGGAPKGPQLKEIERGADIVIATPGRLNDFLEMKKISFCQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPQRQTLMYTATWPKEVRKIASDLLRNPVQVNIGSVDELVANKSITQHVEVVTQMDKQRRLEQILRTQERGSKVIIFCSTKRQCDQLARSIGRTFGAASIHGDKSQGERDHVLYQFRTGRAPVLVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFTDQDWKYATDLVKVLEGANQRVPPEIREMAGRGGPLLSRPRDGINRWDSGGGGGGGRWSAVGGRGMRDGPPGGFSGRGGRQDFYGGRGPRGRGFGGPGGPGGRGRHDRGPNDRYVNSDGRNRSDGRRGFGERGRERSYSRSPEMVRTWGYDRSRSASRSRSSSRSRSRSRSKSWSPRSRSRSRSQSQSRSYDRVKRRSGWDCRPSPVPAAATAPFATSGSEPPTAAATGGPCIVEPEPVAGHQGPAPVVGFEPGLPLSGDMSPMSPGQGNGSLYGNEPPEQT >Ma05_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36672412:36678438:1 gene:Ma05_g24430 transcript:Ma05_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLVGCGSAVPKLLISNDDLAQIVETSDEWISVRTGIRNRRVLSGNETLGGLAVAAAKGALQMAHVEAEEVDLVIMCTSTPDDLFGCGAQVQRDLGCKNAWAFDITAACSGFVVGLITATRFIKGGGFQNILVVGGDVLSRYVDWTDRGTCILFGDAAGAVLVQACGSDEDGLLGFDLHSDGHGQRQLNAPAKDDHSNFISNNNGTSLFPPKKASYSCIQMNGKEVFRFAVRCVPQSIEAALEEAGLTSSSIDWLLLHQANQRIIDAVATRLEIPSAKVISNLANYGNTSAASIPLALDEAVRTGKVQAGNTIATAGFGAGLTWGSVIMRWK >Ma05_p24430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36671566:36678438:1 gene:Ma05_g24430 transcript:Ma05_t24430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANALGIVSPSVHCFRRRNRAPLGLDGSEKKTALICLAAADGGVEGRVLDSTSSRSCRPRVVGMGSKLVGCGSAVPKLLISNDDLAQIVETSDEWISVRTGIRNRRVLSGNETLGGLAVAAAKGALQMAHVEAEEVDLVIMCTSTPDDLFGCGAQVQRDLGCKNAWAFDITAACSGFVVGLITATRFIKGGGFQNILVVGGDVLSRYVDWTDRGTCILFGDAAGAVLVQACGSDEDGLLGFDLHSDGHGQRQLNAPAKDDHSNFISNNNGTSLFPPKKASYSCIQMNGKEVFRFAVRCVPQSIEAALEEAGLTSSSIDWLLLHQANQRIIDAVATRLEIPSAKVISNLANYGNTSAASIPLALDEAVRTGKVQAGNTIATAGFGAGLTWGSVIMRWK >Ma04_p26160.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27716621:27723773:-1 gene:Ma04_g26160 transcript:Ma04_t26160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFGKPKEQTNAVATLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGRVPARPVPQKNTAEEDELAALQAEMAM >Ma04_p26160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27716621:27723773:-1 gene:Ma04_g26160 transcript:Ma04_t26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFGKPKEQTNAVATLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGRVPARPVPQKNTAEEDELAALQAEMAM >Ma04_p26160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27716621:27723792:-1 gene:Ma04_g26160 transcript:Ma04_t26160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFGKPKEQTNAVATLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGRVPARPVPQKNTAEEDELAALQAEMAM >Ma04_p26160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27716621:27723681:-1 gene:Ma04_g26160 transcript:Ma04_t26160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFGKPKEQTNAVATLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGRVPARPVPQKNTAEEDELAALQAEMAM >Ma08_p26530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39061303:39064050:-1 gene:Ma08_g26530 transcript:Ma08_t26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLQFALQKLDSLIIQEQQLLGGVNTGIKDIRDELESLKMFLRETDVSEDKDGIKGWMQQLREIAYDIEDLLEEYMIHFGQPHKYRLLGFLSKGIHHLKHLRTRHRIGVAIQDIKAQVHNISERRNMYNFNLNSIASRERLHDRHVAALFIEEAELVGIDKPKEDIIRWLVKGESNQKVISVVGMGGLGKTTLVRKIYDDEKVKGWFNSHAWITVTQSFEVSELLKSIINQFYEERHEVLPGRIETMGDIQLIDILRQFLQDKRYLVVLDDLWHINAWDDLKYALPNNDCGSRILITTRIGDVGISCLETPGHVYKLQPLPPTKAWSLFCKKAFRSIPGRVCPSELQEISEDIVRVCEGLPLAIVTIAGLLSKKEGVLEWRTMRDNLHAELANNPKLETIKRILLLSYNDLPYFLKSCFLYFSIFPKECSVKRITLIRLWIAEGFIESEKGETMERVAVEYLNDLIDRSMIQVAEHYDYGRVRSCRVHDLIHDLIVLKSKEENFSTALIRQNREIQGRILGRIRRLSTHDTGEHLLQTIDLSHLRAFFVFGENGFSISSMGNLFNRLKLLKILDLEGAPIDSFPVEFGKLPHLRYLSFRNTRINKLSKSLGRLNNLETLDLKGTYVTELPKTIINLQRLRHILAYHYYTGNHPPFYHADGVKLPQGIGRLRELQKLTYLETDQDSGIVRELGNLTQLKRLGIVKLRREDGPGLCTSIEKMELLRSFSVTSIGMDEFLNLQSLKSPPPLLQRLYLRGPLETLPNWISSLKYLVRMRLRWSRLKENSLGILEALPNLIELTLIHAYDGLKLLCQKGGFQKLKILDLERLNNLNYVIVDGAMPNLQKMYIRSCMQLKMVPTGIEQLINLKELHLFDMPDVFVQRLRRLGGMDHQKVSHIPIIRSYDNENRMYEEI >Ma02_p01470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10038873:10043520:1 gene:Ma02_g01470 transcript:Ma02_t01470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGHKETESHDNNNQKVYPQPIDETVNHNKESMDALILKIFNNISSLKAAYIQLQNAHTPYDPDKIQAADKLVIEELMKLSELKHSYRDKNPKLTSASPKDSRLLAEIQEQQNLLKTYEVMVKKFQSQIQTRDSEIVQMQKQIQESGQRKMKLEKKLKQRGLLPKESEAFEEENSFFSIELTPSLFSSAVDTAYKSIHDFSKPLINMMKMARWDLDAAANAIESAVVYAKRAHKKYAFESHICQKMFSGFQENFSMEPSNLTASHEGFFHQFLAIRAMDPLDVLSQNPDSVFGKFSRKKYLLVVHPKMEASFFGNLDQRNYVMSGGHPRTPFYQAFLKLAKSVWLLHRLAYSFDPKVEVFQVKKGIEFSEVYMESVVKNIILEEGDSKPKVGLMVMPGFMIGGSVIQSLVYLSGVRCSE >Ma02_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10038873:10043520:1 gene:Ma02_g01470 transcript:Ma02_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGHKETESHDNNNQKVYPQPIDETVNHNKESMDALILKIFNNISSLKAAYIQLQNAHTPYDPDKIQAADKLVIEELMKLSELKHSYRDKNPKLTSASPKDSRLLAEIQEQQNLLKTYEVMVKKFQSQIQTRDSEIVQMQKQIQESGQRKMKLEKKLKQRGLLPKESEAFEEENSFFSIELTPSLFSSAVDTAYKSIHDFSKPLINMMKMARWDLDAAANAIESAVVYAKRAHKKYAFESHICQKMFSGFQENFSMEPSNLTASHEGFFHQFLAIRAMDPLDVLSQNPDSVFGKFSRKKYLLVVHPKMEASFFGNLDQRNYVMSGGHPRTPFYQAFLKLAKSVWLLHRLAYSFDPKVEVFQVKKGIEFSEVYMESVVKNIILEEGDSKPKVGLMVMPGFMIGGSVIQSLVYLSGVRCSE >Ma10_p07870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22162441:22171441:-1 gene:Ma10_g07870 transcript:Ma10_t07870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRALRALMLLWLIPGLTYAGDIVHEDDKAPKLPGCSNHFILVKVQTWINNEEDSEFVGVGARFGTTIQTKEKYASRTPLSLSDPSDCCTAPKEKIAGDILLVHRGRCKFTTKAKVAEAAGASALLIINNRKELYKMVCERNETDLDINIPAVMLPHDAGVSLERSLKSGASLSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREASIEQEKLLKDAPDEFLKMETTGSGGVVDINTTSAILFVVIASCFLILLYKLMSFWFVELLVVLFAIGGVEGLQTCLVAMLSRWFKRAGETFIKVPFFGAVSYLTLAVSPFCVAFAVLWAVYRRISFAWIGQDVLGIALIITVLQIVRVPNLKVGMVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDRTAEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFSLRYDWAARKNLQAGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLLTLGWKRAELTNLFTKGEPERVCPHIPPSAQ >Ma10_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22162441:22171441:-1 gene:Ma10_g07870 transcript:Ma10_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRALRALMLLWLIPGLTYAGDIVHEDDKAPKLPGCSNHFILVKVQTWINNEEDSEFVGVGARFGTTIQTKEKYASRTPLSLSDPSDCCTAPKEKIAGDILLVHRGRCKFTTKAKVAEAAGASALLIINNQLYKMVCERNETDLDINIPAVMLPHDAGVSLERSLKSGASLSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREASIEQEKLLKDAPDEFLKMETTGSGGVVDINTTSAILFVVIASCFLILLYKLMSFWFVELLVVLFAIGGVEGLQTCLVAMLSRWFKRAGETFIKVPFFGAVSYLTLAVSPFCVAFAVLWAVYRRISFAWIGQDVLGIALIITVLQIVRVPNLKVGMVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDRTAEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFSLRYDWAARKNLQAGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLLTLGWKRAELTNLFTKGEPERVCPHIPPSAQ >Ma08_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23593719:23601260:-1 gene:Ma08_g17200 transcript:Ma08_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVKNDGHVIHMSWGNVASSSSSQDDEHDDSDGLHYDNRPSTRIRAPTFQSSMSMPASNSRNASLIRGGGSSVRPSHRSSLNSGFWISLELLLNVVQIIAAVTILSSSRHEHPQSPLFAWVIGYVIGCGATLPHLYWRFIHRNNHFSQHEVEHFHLGPSQVSLPESISYITMSPQALEEERSSFASILQYRQNSMIVGPRFNGFVDHFKMALDCFFAVWFVVGNVWIFSEHSSEYSAPNLYRLCIVFLALSCIGYAIPFIRFATVCCCFPCIISVLGIREDLRPTRGANSEVVNSLPTYKFRSKRRADIGNNQEYLFTFGILSSGSEERIISAEDSACCICLAKYVDNDELRELPCSHFFHKECIDKWLKINALCPLCKSEVGDTSSSLLAKISRHCSLRGLGSGINSARVAL >Ma08_p17200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23593719:23601260:-1 gene:Ma08_g17200 transcript:Ma08_t17200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVKNDGHVIHMSWGNVASSSSSQDDEHDDSDGLHYDNRPSTRIRAPTFQSSMSMPASNSRNASLIRGGGSSVRPSHRSSLNSGFWISLELLLNVVQIIAAVTILSSSRHEHPQSPLFAWVIGYVIGCGATLPHLYWRFIHRNNHFSQHEVEHFHLGPSQVSLPESISYITMSPQALEEERSSFASILQYRQNSMIVGPRFNGFVDHFKMALDCFFAVWFVVGNVWIFSEHSSEYSAPNLYREDLRPTRGANSEVVNSLPTYKFRSKRRADIGNNQEYLFTFGILSSGSEERIISAEDSACCICLAKYVDNDELRELPCSHFFHKECIDKWLKINALCPLCKSEVGDTSSSLLAKISRHCSLRGLGSGINSARVAL >Ma08_p17200.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23593719:23601260:-1 gene:Ma08_g17200 transcript:Ma08_t17200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVKNDGHVIHMSWGNVASSSSSQDDEHDDSDGLHYDNRPSTRIRAPTFQSSMSMPASNSRNASLIRGGGSSVRPSHRSSLNSGFWISLELLLNVVQIIAAVTILSSSRHEHPQSPLFAWVIGYVIGCGATLPHLYWRFIHRNNHFSQHEVEHFHLGPSQVSLPESISYITMSPQALEEERSSFASILQYRQNSMIVGPRFNGFVDHFKMALDCFFAVWFVVGNVWIFSEHSSEYSAPNLYRLCIVFLALSCIGYAIPFIRFATVCCCFPCIISVLGIREDLRPTRGANSEVVNSLPTYKFRSKRRADIGNNQEYLFTFGILSSGSEERIISAEDSACCICLAKYVDNDELRELPCSHFFHKECIDKWLKINALCPLCKSEVGDTSSSLLAKISRHCSLRGLGSGINSARVAL >Ma08_p17200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23593719:23600987:-1 gene:Ma08_g17200 transcript:Ma08_t17200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVKNDGHVIHMSWGNVASSSSSQDDEHDDSDGLHYDNRPSTRIRAPTFQSSMSMPASNSRNASLIRGGGSSVRPSHRSSLNSGFWISLELLLNVVQIIAAVTILSSSRHEHPQSPLFAWVIGYVIGCGATLPHLYWRFIHRNNHFSQHEVEHFHLGPSQVSLPESISYITMSPQALEEERSSFASILQYRQNSMIVGPRFNGFVDHFKMALDCFFAVWFVVGNVWIFSEHSSEYSAPNLYRLCIVFLALSCIGYAIPFIRFATVCCCFPCIISVLGIREDLRPTRGANSEVVNSLPTYKFRSKRRADIGNNQEYLFTFGILSSGSEERIISAEDSACCICLAKYVDNDELRELPCSHFFHKECIDKWLKINALCPLCKSEVGDTSSSLLAKISRHCSLRGLGSGINSARVAL >Ma08_p17200.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23593719:23601260:-1 gene:Ma08_g17200 transcript:Ma08_t17200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVKNDGHVIHMSWGNVASSSSSQDDEHDDSDGLHYDNRPSTRIRAPTFQSSMSMPASNSRNASLIRGGGSSVRPSHRSSLNSGFWISLELLLNVVQIIAAVTILSSSRHEHPQSPLFAWVIGYVIGCGATLPHLYWRFIHRNNHFSQHEVEHFHLGPSQVSLPESISYITMSPQALEEERSSFASILQYRQNSMIVGPRFNGFVDHFKMALDCFFAVWFVVGNVWIFSEHSSEYSAPNLYRLCIVFLALSCIGYAIPFIRFATVCCCFPCIISVLGIREDLRPTRGANSEVVNSLPTYKFRSKRRADIGNNQEYLFTFGILSSGSEERIISAEDSACCICLAKYVDNDELRELPCSHFFHKECIDKWLKINALCPLCKSEVGDTSSSLLAKISRHCSLRGLGSGINSARVAL >Ma08_p17200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23593719:23601320:-1 gene:Ma08_g17200 transcript:Ma08_t17200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVKNDGHVIHMSWGNVASSSSSQDDEHDDSDGLHYDNRPSTRIRAPTFQSSMSMPASNSRNASLIRGGGSSVRPSHRSSLNSGFWISLELLLNVVQIIAAVTILSSSRHEHPQSPLFAWVIGYVIGCGATLPHLYWRFIHRNNHFSQHEVEHFHLGPSQVSLPESISYITMSPQALEEERSSFASILQYRQNSMIVGPRFNGFVDHFKMALDCFFAVWFVVGNVWIFSEHSSEYSAPNLYRLCIVFLALSCIGYAIPFIRFATVCCCFPCIISVLGIREDLRPTRGANSEVVNSLPTYKFRSKRRADIGNNQEYLFTFGILSSGSEERIISAEDSACCICLAKYVDNDELRELPCSHFFHKECIDKWLKINALCPLCKSEVGDTSSSLLAKISRHCSLRGLGSGINSARVAL >Ma09_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1664739:1665540:1 gene:Ma09_g02340 transcript:Ma09_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETKSPRLRKPWYRRVMEVIILWKAIAKAPAVESNDGVSPNSTKPKLRKCTSLKAASSFTRVCLCAPISSYNEVFRADVPPRRSYSCPTSKSFATAPARQMMSTRSFVEGRRVFRGKSLTDDIMMRRFVAEEEAMMQLRRRNQMEFVRKRNAMRRRKKIGPSPLRRMVMAGED >Ma09_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2432321:2432606:-1 gene:Ma09_g03670 transcript:Ma09_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLDSSFTEPPRALMVGKVDCGGSVALILLFGYEVLSSVPFAHASILIQFFPLICLFYCWLY >Ma10_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29604852:29607949:1 gene:Ma10_g18810 transcript:Ma10_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRRGGHGGEVELSGSNGDDNGAAGPAPQQISLVRLIFSCMVAGGVQYGWALQLSLLTPYVQTLGLSHALSSIMWLCGPVAGFVVQPCVGLWSDKCRSRFGRRRPFLLAGCTMICVAVIVVGFSSDIGHALGDTKEHCSVYHGPRWHAAIVYVLGFWLLDLSNNAVQGPARALMADLSGTHGCNAANAIFCSWMAVGNILGYSSGSSGTWHKWFPFLLTRSCCEACANLKGAFLIAVVFLLICLVVTLIVAKEVPLGDPAQSGAKLNSKDGQAQSQNEDRVSFIDIFKAFKNLPPGMPSVLLVTSLTWLSWFPFILYDTDWMGREVYHGNPSGTPAQIDAYDRGVRQGALGLLLNSVVLMITSLLIEPMCRKLTPRIVWVISNMTMFAGMAGTTIISTWSIRNFHGSVQNVITADGEVRAAALATFAALGFPLAVLYSVPFAVTAQLVVNEGGGQGLCTGVLNISVVIPQVIIALGAGPWDSLFGKGNIPAFALASAIAFVAGVVGMLKLPRLSRKNFKSVGMAGGH >Ma11_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6981526:6982538:1 gene:Ma11_g08790 transcript:Ma11_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTEQSTGGVGRLSPMTTTGIMVAAVVAFFVLFVLAFFLYLRAKCYWGAIPVAAGTRLASFAATRPGGLDAAAVAALPSVVVSAGALKESLECAVCICELSEGDAARLLPKCGHAFHLECIDMWFCSHSTCPLCRTSAELVKPESAVPGAESVPGDSHSVEPSLNSAANVLSYTSEGRVASGSFGGPEGSSSTSGSSYVRSEDALVTEMPRTAVDGFQTPCSPLPVERTPDEEIRSPTTPALRSLRRLLIRGSRMADASCSPRRCDIEQGRRPPSPKTATSS >Ma07_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4455600:4460436:-1 gene:Ma07_g06190 transcript:Ma07_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKVSALDMPDVPMGQLPEHLHLQRTRVVCKADAPIHTEGIQYSGAYASIGVDNSLGPNKFCKNFKTEVVRLTEDEIEFDMVGIDASLANAFRRILIAEVPSMAIEKVLMVNNTSVIADEVLAHRLGLVPLNADPRLFEYLSENDTPNERNTIVYKMDVSCKKGGSRVTVKSDQLKWLPNGSELQMTTYDSSAKAKSYTSFSCSQDSMPEFSKKPLGVRFDDIILAKLGPGQVIELEAHAVKGMGKVHAKWSPVATAWYRMLPEVVILKEVKGDDAEKLVKKCPVGVFDIEDLGNGEKKAVVANSRTCTLCRECVRGVNEELVELRRVKDHFIFTIESTGAFSPEELFMKAVKILEEKCDRVISELS >Ma04_p01130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:984747:988756:-1 gene:Ma04_g01130 transcript:Ma04_t01130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLWISRLAAAKRHYSTRHRQNIQPDWNFLDRPSIDEFEMEEEEEVRPDFPCPYCYEDHDITSLCFHLEEEHGFESKAAVCPICSTKVTKDMLNHITLQHRHILKLQRRQRFHRFAMPSSQTLSLLRRDLREAHLQVLLGSAGYRSNNNEESNITADSFLSSLLLNFPTSDTDETLKRIADESLYRKKESHVPAWKSSLNSSLTQEQREQRRKQATIRATFMQDLLLSTLFGDQIS >Ma04_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:984747:988756:-1 gene:Ma04_g01130 transcript:Ma04_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLWISRLAAAKRHYSTRHRQNIQPDRPSIDEFEMEEEEEVRPDFPCPYCYEDHDITSLCFHLEEEHGFESKAARRQRFHRFAMPSSQTLSLLRRDLREAHLQVLLGSAGYRSNNNEESNITADSFLSSLLLNFPTSDTDETLKRIADESLYRKKESHVPAWKSSLNSSLTQEQREQRRKQATIRATFMQDLLLSTLFGDQIS >Ma04_p01130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:984747:988756:-1 gene:Ma04_g01130 transcript:Ma04_t01130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLWISRLAAAKRHYSTRHRQNIQPDWNFLDRPSIDEFEMEEEEEVRPDFPCPYCYEDHDITSLCFHLEEEHGFESKAARRQRFHRFAMPSSQTLSLLRRDLREAHLQVLLGSAGYRSNNNEESNITADSFLSSLLLNFPTSDTDETLKRIADESLYRKKESHVPAWKSSLNSSLTQEQREQRRKQATIRATFMQDLLLSTLFGDQIS >Ma04_p01130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:984747:988784:-1 gene:Ma04_g01130 transcript:Ma04_t01130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLWISRLAAAKRHYSTRHRQNIQPDRPSIDEFEMEEEEEVRPDFPCPYCYEDHDITSLCFHLEEEHGFESKAAVCPICSTKVTKDMLNHITLQHRHILKLQRRQRFHRFAMPSSQTLSLLRRDLREAHLQVLLGSAGYRSNNNEESNITADSFLSSLLLNFPTSDTDETLKRIADESLYRKKESHVPAWKSSLNSSLTQEQREQRRKQATIRATFMQDLLLSTLFGDQIS >Ma05_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3197504:3198135:-1 gene:Ma05_g04230 transcript:Ma05_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPSPRSLIGNLEGLQRICISPRRHIDGQHFLLWKMFEAHPPKITGRFPIW >Ma03_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26136725:26138196:-1 gene:Ma03_g21080 transcript:Ma03_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAASSPAATAVLGGARLSSSSSAALRTPVLHLPPPPRRHFSTHPLPLSCDAARFSSLRVKASSSSEESSGSVQTDELLADLKEKWDSIENKSTVFLYGGGALLAVWLSAVVIGAVNSVPLLPKIMELVGLGYTGWFVYRYLLYKDSRKELATDIEALKKKIAGTGE >Ma04_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:213455:214509:-1 gene:Ma04_g00150 transcript:Ma04_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASARRLLGAFSDTHAAANGTRPHTGSGNADFDTNMVIILAALLCALIFALGLNSIVRCALRCGRRLAFETPEEAATRLAATGLEKRALRRIPVAVYGSGADIPATDCPICLTEFADGEKVRVLPRCHHGFHVRCIDKWLASHSSCPTCRQSLLDHGTGDGACRSNGGGHGELTLA >Ma00_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2814345:2818246:1 gene:Ma00_g00730 transcript:Ma00_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGSKSYQSLDMSGDNSEAQPERHSSRILKPCVLLVTCLVSVLLVFLVGTHRTPSWELLRPVGAGAGIGRKNSSSEAPNDRLLGGLLSPDFDGESCLSRYQSMLYRKASPHAPSPYLIRRLREYEALHKKCAPNTHLYNKSIEQLKSGRSNGPMECNYVVWIPHNGLGNRVLTIVSSFLYALLNNKVLLLHIPSDFTDLLCEPFPGTSWVLPSDFPLHNFLDFDKGTPQSYGNMLRNKVIDDEMLSGSSNATLPAYVYLHLPWYYDQWDKLFFCGDAQLMLRRIPWLLLKSDHYFVPSLFLLQEYEEELRQLFLERATAFHHLVRYLVHPTNVVWDYVTKYYRAHLAAADETLGIQIRVFHNFPVPFESMLRQVINCSLSEGILPAVNLQEWAAPASKTDMKVKAVLVASLFSGYAERIRDMYAKHPTMTGEVVRVHQPSHEGQQHTEQQGHNIKALAEVELLSFSDALITSAWSTFGYVAQGLGGLQPWILLRHTQSDLPCRQAMSSEPCYLMPPPYPSTHCSKDHGDAGETAAARQYVRQCEDELGGIKVFD >Ma00_p00730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2814345:2818246:1 gene:Ma00_g00730 transcript:Ma00_t00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGSKSYQSLDMSGDNSEAQPERHSSRILKPCVLLVTCLVSVLLVFLVGTHRTPSWELLRPVGAGAGIGRKNSSSEAPNDRLLGGLLSPDFDGESCLSRYQSMLYRKASPHAPSPYLIRRLREYEALHKKCAPNTHLYNKSIEQLKSGRSNGPMECNYVVWIPHNGLGNRVLTIVSSFLYALLNNKVLLLHIPSDFTDLLCEPFPGTSWVLPSDFPLHNFLDFDKGTPQSYGNMLRNKVIDDEMLSGSSNATLPAYVYLHLPWYYDQWDKLFFCGDAQLMLRRIPWLLLKSDHYFVPSLFLLQEYEEELRQLFLERATAFHHLVRYLVHPTNVVWDYVTKYYRAHLAAADETLGIQIRVFHNFPVPFESMLRQVINCSLSEGILPAVNLQEWAAPASKTDMKVKAVLVASLFSGYAERIRDMYAKHPTMTGEVVRVHQPSHEGQQHTEQQGHNIKALAEVELLSFSDALITSAWSTFGYVAQGLGGLQPWILLRHTQSDLPCRQAMSSEPCYLMPPPYPSTHCSKDHGDAGETAAARQYVRQCEDELGGIKVFD >Ma03_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22910123:22914950:-1 gene:Ma03_g17560 transcript:Ma03_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSTDNIRGFALALSSSFFIGSSFIVKKIGLKKAGMYGVRAGSGGFSYLYEPLWWLGMITMIVGEIANFAAYAFAPAILVTPLGALSIIVSAVLAHFVLDEKLHIFGVLGCVLCVVGSTSIVLHAPKEKNIESVKEVWYLATEPGFIVYSCVVVILVLVLIIRFVPRYGQTHMIIYVGICSLMGSLTVMGVKALAIALKLTFSGMNQFVFAQTWFFTAVVVICCLLQLNYLNKALDSFNTAVISPVYYVMFTSLTIFASMIMFKDWASQNASQIVTELCGFVTILSGTFLLHKTKDMGETIDPEPTILSETELSNETEFSNH >Ma03_p31470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33670968:33671637:1 gene:Ma03_g31470 transcript:Ma03_t31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGEVAKSIEAGDELDPTSAASAGLLDVSLNMWPPSQESRDAVIQRLVETLSSPSVLTKCYGVVSVEEASSIALIIEQEAFAAANCDGVCPISDYDYGLEILQIYTREIGKRMIEFSKSSAPPASPAPIVVVAADDFVSIMVDSASTTDGDREVYSSTESESS >Ma07_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10305523:10308168:-1 gene:Ma07_g13730 transcript:Ma07_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTFFFVHGLLLLLSRRAACACGPSDRELIALAFRSVSGFQLPPPPPAADDTGCFLRLPSRNLSGTVSWMYLRNVSALRALDLSGNALHGSVPGGFWSAPALLDVNLAANRLGGALRFEIPAGGPLLPLRSLNLSGNRFTSAAGLAALSRLEILDLSHNGLGLVPLGLDKLRMLRHLDMSYNSMRGVFSEGFPSFGARLDFLNVSYNNFTGVVQSEVVKKFGKSAFLKAGSLRFGSTAHVARSSSPTTLASHKKDTKLKRRRRVIRLGVIAGVASVVVLAAFCCVLCIVLKKRKKRKDEWKWEEKEAVAAPPQEVRWVVEAKWSAPVVLFEKPLMETTFGDLVKATSGFGKESQLAEGGRSGPAYRAVLAGDMHVVIRVVEAAREAEERDAVASFHELARLRHPNLLPLLGYCIPGEEKLALYEYMDRGDLHRWLHELPAGQPNVEDWTSDTWDQQGGEERREAAKSAVAEVGDWPTRHRIALGIARALAFLHQGWVGTTRGVIHGHLVPANVLLGNDLEPRVADFGGAAAGNAGTAEGDVYDFGILVMELMTGMEGWSEEAVSWARGLVRTGRAAEAVDPRLRVAGPEEEKEVVECLRVGYLCTAPSPDKRPTMQQVVGLLKDIRPSSSSSPGGGATTSSAISSSRKQR >Ma01_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12351289:12361155:1 gene:Ma01_g16890 transcript:Ma01_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPVTTAAPTQEEAARQGEEALERLRSTDAPLYLSPSPELAKDARTASRYLFSSLVPFCSKPPLDSLLADDGFDVEQIWCQIDLLSRPLLSVLRRELNRLERDPPARVPGSALDVGVAGEAAEAEKERAMEDGEGDEEDGDESEGDEEEEEDEEEAEEDEDEGDEEEKEDEEGEAKGNPIEDKFLKIDEFEEFLTKEEEEYGLLERKWNKHKQKVSDKEDEDDDEEEDADDDEEEDDLHLGDFDGDGDEETGIAENARYEDFFGGIKKKGLNKNGIVGKRKRSSNAIDFVDGLESTDMDIEENDDKGQKILSTHEKELKKLHSKIEQMEKANLEPKSWTMQGEVTAAKRPKNSALEVDLDFEHNVRPAPVITEEVTASLEDIIRKRIIEGHFDDVQRAPTLPSKAPKERKELDENKSKKSLAEIYEEEYAQKSGLAPALLTVSDTLKNEASLLFKKLCSKLDALSHFHFAPKPVIEDMSIQVNVPALAMEEIAPVAVSDAAMLAPEEIFQGKGDIKEEAELTKAERKRRRANKKRKFKAEMAKRTPKKAGQNVTPGSTIEKPPGS >Ma09_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6895104:6896109:1 gene:Ma09_g10090 transcript:Ma09_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKWYDDGRRRRRRGMRQHAIRRFSGTGC >Ma09_p25600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37008185:37009804:-1 gene:Ma09_g25600 transcript:Ma09_t25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRIRRKNRVCLAQPLTPLVEGPDLDDAHGERAKKEKYWESIGTWLRLHKDKGMSGSHFSIPFHGSGASKWTDLKLILSVLGCPLAPLPLTTEPSHHFSFKDSPIEASSARYIIQQYLAATGCLKHHEYMKSMYAAGRVKMVSRETDGPSGKIGARSGAGHGCFVLWQKSPGMWLVELVVAGCKVAAGSNGKVAWRNVPWLGTDAARGPQRPLRRIIQGLDPKGTASMFTKARCLGEKRIKDENCFVLKVSADRATVAERSDGPAEVIRHVLYGYFSQRSGLLVYIEDSHLTRVQAPGSDTMYWETTIGSTMGDYKEVDGVLVAHQGRSAASVFRFGDASAQSSRIRMEEEWRIEDVVFNVAGLSADCFIPPREMLTNFHGK >Ma03_p22840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27566736:27575738:-1 gene:Ma03_g22840 transcript:Ma03_t22840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVEKSHNDITNVLFCGFHFPASQNYTREYLKGYPFIKVDEVPLDEVPNVIHRYHICVVKNRRFDATVIDKAVQMKLLMQFGVGLEGVDIEAATRCNIKVARIPGSSTGNAASCAEMAIYLILGLLRKQKEMDNAVKLKNLGVPVGETLLGKTVFILGFGAIGVDLAKRLRPFGVKILATKRNWTSVSLPPNASGAPNDEIDHLVDKKGGPENLYEFAGEADIVVTCLALNAATAGIVNGRFLSSMRKGSLLVNIARGGLLDYNAVHQHLQSGHLGGLAIDVAWTEPFDPEDPILKFPNVLITPHVAGVTEYSYRTMAKVVGDCALQLHAGSPLTGVEIVN >Ma03_p22840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27566736:27575795:-1 gene:Ma03_g22840 transcript:Ma03_t22840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLLGHAVRVSARRLRGFPDLPPRLLHFLRMGDGVEKSHNDITNVLFCGFHFPASQNYTREYLKGYPFIKVDEVPLDEVPNVIHRYHICVVKNRRFDATVIDKAVQMKLLMQFGVGLEGVDIEAATRCNIKVARIPGSSTGNAASCAEMAIYLILGLLRKQKEMDNAVKLKNLGVPVGETLLGKTVFILGFGAIGVDLAKRLRPFGVKILATKRNWTSVSLPPNASGAPNDEIDHLVDKKGGPENLYEFAGEADIVVTCLALNAATAGIVNGRFLSSMRKGSLLVNIARGGLLDYNAVHQHLQSGHLGGLAIDVAWTEPFDPEDPILKFPNVLITPHVAGVTEYSYRTMAKVVGDCALQLHAGSPLTGVEIVN >Ma03_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27566736:27575733:-1 gene:Ma03_g22840 transcript:Ma03_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVEKSHNDITNVLFCGFHFPASQNYTREYLKGYPFIKVDEVPLDEVPNVIHRYHICVVKNRRFDATVIDKAVQMKLLMQFGVGLEGVDIEAATRCNIKVARIPGSSTGNAASCAEMAIYLILGLLRKQKEMDNAVKLKNLGVPVGETLLGKTVFILGFGAIGVDLAKRLRPFGVKILATKRNWTSVSLPPNASGAPNDEIDHLVDKKGGPENLYEFAGEADIVVTCLALNAATAGIVNGRFLSSMRKGSLLVNIARGGLLDYNAVHQHLQSGHLGGLAIDVAWTEPFDPEDPILKFPNVLITPHVAGVTEYSYRTMAKVVGDCALQLHAGSPLTGVEIVN >Ma10_p28680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35490957:35492827:-1 gene:Ma10_g28680 transcript:Ma10_t28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKGPVAARPAGGSGVHPSAHPCFATVRLDKHPSRHTAAVPLLPPDDADAPPPPAAASLAAGFHLSKADLDRIAGGSFFSPASASGAGTAKLKVAIYSGGRGTTCLLRSRRLLGRVSLPLDLRETAEGRAVVFHSGWVALGKGASAAAKAQLYLTVKAEVDPRFVFEFDGEPERNPQVFQVQGNRRQPVYTCSFGCRHHSGDWNWGSRSAQSEPSSSRRWRSSMGSERERLGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPGDGTWQPWGRLEAWRERGGGPAGDGLGYRFELLPDTAAGPGVTLAESTISASKGGKFAIDLTGAGGNPLARTPSSSGRSGELVRRPSPCRGYRGFVMSSTVASEGTGGPPAVEVGVQHVGCAEDAAAFVALAAAVDLSMNACRLFSHKLRRGLSSSATLR >Ma06_p02320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1805818:1809694:1 gene:Ma06_g02320 transcript:Ma06_t02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRTANWQAMEKQGKFTALFISWLLGNGSLFSWNSMLTIEDYYANLFPKYHPTRVLTLVYQPFALVTTAVLAYHEAKTNTRLRNLAGYILFFVSSLALVVLDVATSGKGGIGAFIGVCIVSAAFGTADGHVQGGMVGDLSLMSPEFIQSFMAGLAASGTLTSALRLITKAAFDGSQDGLRKGATLFFAVSAFFELLCVFLYAFVFPKLPIVKYYRAKAASEGSRTVAADLAAAGIEALPDTGTEEDPKRLERLSNKQLLAQNIDYAIDIYLIYVLTLSIFPGFLSEDTGSHNLGSWYALVLIAMYNVWDLIGRYVPLIKCLKLTSRKGLMAATLARFLFIPAFYFTSKYGAEGWMIMLTSVLGLTNGYLTVCVLTDAPNGYKGPEQNALGNLLVAFLIAGLFSGVALDWLWLIGKGW >Ma06_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1805856:1809694:1 gene:Ma06_g02320 transcript:Ma06_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRTANWQAMEKQGKFTALFISWLLGNGSLFSWNSMLTIEDYYANLFPKYHPTRVLTLVYQPFALVTTAVLAYHEAKTNTRLRNLAGYILFFVSSLALVVLDVATSGKGGIGAFIGVCIVSAAFGTADGHVQGGMVGDLSLMSPEFIQSFMAGLAASGTLTSALRLITKAAFDGSQDGLRKGATLFFAVSAFFELLCVFLYAFVFPKLPIVKYYRAKAASEGSRTVAADLAAAGIEALPDTGTEEDPKRLERLSNKQLLAQNIDYAIDIYLIYVLTLSIFPGFLSEDTGSHNLGSWYALVLIAMYNVWDLIGRYVPLIKCLKLTSRKGLMAATLARFLFIPAFYFTSKYGAEGWMIMLTSVLGLTNGYLTVCVLTDAPNGYKGPEQNALGNLLVAFLIAGLFSGVALDWLWLIGKGW >Ma07_p27760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34000825:34006396:1 gene:Ma07_g27760 transcript:Ma07_t27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVVISLLCAAVASGSDVGGGSRRVLHQPLYPIQWTPPPPPQEFLDPPPDDSSPFFPGVPSPPAASTQTPAAATATSTTSSPSSGSKKAAAVAASVSVAVLAVLFLSGFFLYRRRRARRSPDTGKLMGPDDNGGRQEGRPASAAAPDFLYFGTMGTRSAAASGRTRSSELNGSPYRKLGTERVLEMHHPSPDLQPLPPLNATVSRKGMVPPPMASSDDDTFYTPQRSVASATTESPSSPVSRLSLPSMSSGRKEHLVPAVGDSAPRSRRSSPLMQLANYPSSEVKQMIPPTNQQPPPPPPPPPPPPPPPPPPPPPPTSTIDNITRNPPTPPPPPPPPPATEAVRKIEQPIKAPVAPIAPSSRRRLLNPLPPEAMRINIPLPPSKLGNGVSASSSRRNDEAGEDLEGDAKPKLKPLHWDKVRASSDRAMVWDQLKSSSFQLNEDVIETLFVNNATTSIPNDANRRRGVLPFKQENRVLDPKKSQNIAILLRALNVTREEVSEALLDGNPECLGAELLETLVKMAPTKEEELRLRDYTGDISKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEVNYLVKSFETLEAACGDLRNSRLFLKLLEAVLRTGNRMNVGTNRGQAQAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGSVNKSTSENPSSNAREEPFKKQGLIVVAGLSSELGNVRKAAGMDSDVLSGYVSKLETGLEKMKSVLQLEKSCTQGMKFFEMMKIFLNEAEREINRVKAEEKRVMNLVKETTEYFHGGAAKEEAHPLRIFMVVRDFLSVLDNVCREVGRLHERTIMGSTRSFRISASASLPVLRRYEQRRDAISDDDSSSS >Ma04_p00110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:148599:186037:1 gene:Ma04_g00110 transcript:Ma04_t00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLKSWSKGDPCTSNWTGIFCYPAPKDGYLHIREMQLLKLNLSGTLAPELGLLSHLEILDFMWNGIHGTIPKEIGNITSLKLLLLNGNLISGPLPDEIGNLYNLNRLQIDQNQISGPIPKSFANLRKIKHLHMNNNSLSGKIPPELSRLPILYHFLVDNNNFSGYLPPELASLPSLKILQLDNNNFSGSSIPASFSNMTMLVKLSLRNCSLQGAIPDLSQIPRLGYLDLSWNQLKGPLPSKLSIDITTIVLSHNYLNGTIPLTFSGLPKLQKLSLANNLLTGSVPSSIWQNMTFNTNDTLLLDFQNNSLTDISDDYSPPANVTILLYGNPVCDSENQLDIVHFCQLKTISEASRNSTKSKIMCRRCPVDKDYEHNPLSPMQCLCTVPLGVGFRLKSPGISDFRSYINDFEINLTSLLHLFVYQLYIDSFIWESGPRLNMQLKLFPSNVSVFNVSEILRLRGMLAGWEITLSDVFGPYELLNFTLGSYASDIPDTSESGLSKNAMVGILVGAVAGAIAVSVAVTVLIMRRYRGYRAISRKRSSMRTSIKINDVKCFTFEDMARATSNFSNSTQVGQGGYGKVYRGTLVDGTPVAIKRAQEGSVQGSKEFSTEIELLSRLHHRNLVSLVGYCDEQDEQMLVYEFMPNGTLRDHLSAKCTEPLSFSKRLHIALGSARGILYLHSEANPPIFHRDIKASNILLDFKFVAKVADFGLSRLAPGHDAEGITPGHISTVVKGTPGYLDPEYLLTHKLTDRSDVYSLGVVFLELLTGMRPISHGKNIVREVKTACQCGLMFSIIDSRMNAYPSECMEKFISLALGCCHDETDVRPPMSEVVRELENILHMMPEDATSEALVTDSSKIVSVSFVSSESSGADHLVSSGIPAVAAR >Ma04_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:147805:186037:1 gene:Ma04_g00110 transcript:Ma04_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVFLIFYGAIMTISPTCILHVAQAWSTDSLEISALRIIRGSLIDNMNNLKSWSKGDPCTSNWTGIFCYPAPKDGYLHIREMQLLKLNLSGTLAPELGLLSHLEILDFMWNGIHGTIPKEIGNITSLKLLLLNGNLISGPLPDEIGNLYNLNRLQIDQNQISGPIPKSFANLRKIKHLHMNNNSLSGKIPPELSRLPILYHFLVDNNNFSGYLPPELASLPSLKILQLDNNNFSGSSIPASFSNMTMLVKLSLRNCSLQGAIPDLSQIPRLGYLDLSWNQLKGPLPSKLSIDITTIVLSHNYLNGTIPLTFSGLPKLQKLSLANNLLTGSVPSSIWQNMTFNTNDTLLLDFQNNSLTDISDDYSPPANVTILLYGNPVCDSENQLDIVHFCQLKTISEASRNSTKSKIMCRRCPVDKDYEHNPLSPMQCLCTVPLGVGFRLKSPGISDFRSYINDFEINLTSLLHLFVYQLYIDSFIWESGPRLNMQLKLFPSNVSVFNVSEILRLRGMLAGWEITLSDVFGPYELLNFTLGSYASDIPDTSESGLSKNAMVGILVGAVAGAIAVSVAVTVLIMRRYRGYRAISRKRSSMRTSIKINDVKCFTFEDMARATSNFSNSTQVGQGGYGKVYRGTLVDGTPVAIKRAQEGSVQGSKEFSTEIELLSRLHHRNLVSLVGYCDEQDEQMLVYEFMPNGTLRDHLSAKCTEPLSFSKRLHIALGSARGILYLHSEANPPIFHRDIKASNILLDFKFVAKVADFGLSRLAPGHDAEGITPGHISTVVKGTPGYLDPEYLLTHKLTDRSDVYSLGVVFLELLTGMRPISHGKNIVREVKTACQCGLMFSIIDSRMNAYPSECMEKFISLALGCCHDETDVRPPMSEVVRELENILHMMPEDATSEALVTDSSKIVSVSFVSSESSGADHLVSSGIPAVAAR >Ma10_p25720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33719213:33721717:1 gene:Ma10_g25720 transcript:Ma10_t25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAASALYVSLVASYGTRIGLEPKAKALHVTQKTPKLFKGFNGLKAAASVSCESDTSFLGNGRNATVWESFKPKLGRPNLRTKSQLKPQASSFKVAVLGAAGGVGQPLALLIKMSPLVSAVHLYDIANVKGIAADLNHCNTPARVLDFTGESELASSLEGVDVVVIPAGIPQKPGMTCDDLFNVNANIVKSLMEAVADNAPDAFIHIISNPVNSTVPVAAEVLKQKGVYDPKKLFGVTTLDVVRASTFVAQKKHLKLIDVSVPVIGGHDGITILPLLSKTRPSVTFTDGEVEELTVRLQNAGTELVEGKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVQSDLTELPFFASRVKLSRKGVEAVIPSDLQGLTDYEAKALEALKPELKASIEKGVSFVHKQTAAAASG >Ma05_p30020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40553968:40560718:1 gene:Ma05_g30020 transcript:Ma05_t30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGLSLGLLFSATCIMSQMVLCFVTSVSSWCPTPPSRQSETKFTQKTNKFWEFEEQSNTWVEINLPFDLMSCINDSCSKVGSIENMDQKDDETPALKQQQNITDGDETKEDYGPVLPLRWRISLTRMSEASVWVTGQSGSIYERFWNGVKWVIAPHELPNPTGQAVSVLIINQTILALSEGGLLYQLQLNEDSQPIWTEFMLTTEQSTRTEEAEPGMVIQIKSGVVSYDGERLYLTTVTGELIEISEFQPLRWENHGRPPGGDNSAIADTGAIRPGIVFTISSSGDLYEFDKKSKPSWKKHIWSDPSIKQSSLRPSRGCTLHGLAGAHSSSLFLLTKDGFLVERRLHKRKWKWAVHGAPKGHQLSATTAVQQSELNEKIFSLFFATTKGYVFEYQLPKNPGGTDWDKVQGMWINHLQPQNAKVARGIQGIEIQSGRLIFPLDDGRLGELHLPGFGGEGSGPTQLSNLRRKTSNRYEWSVLDAPETEGWNAEYCTEERGPSNCMTGTKDVISYYEPNDLSTTAPARRRKAEEHQHYIPMSNHETGTSESYNFLTSSISNNFRIRVMHADRSFFLITDNGLTYEYLYTDNVWVWLRHEHSTAIRGALGSYNGSLFLVDVNGNLLIRERIGNELLWINCTAMKKGRQVVTGPPWDGVMGKARRVTTEDALFFVNKKGRLLQFTVALRKFQWKDCRSPLDTKIAFIVDQEVHRKNIIFVVGQNGRLYQYNRITELWHKHYQSPHLVLSRSPGTAIRPSVLSLAGSIFMISDNGGLVEYHWDSLDGWEWVEHGTPYRDVLLVGAPGPCFDDTQLFVIGSDGQVYRRHWDQRTWKWTCHGFPHSEPSSIEDQSIKGNKKCATDDNVASYKNEARYPDGYSRNCNEKVAAVRPTPFSEDSVIFELQDGRLAELRRSEGGAGWEWARIIGTPTSLCFTSYWTAVAT >Ma05_p30020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40553968:40560718:1 gene:Ma05_g30020 transcript:Ma05_t30020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGLSLGLLFSATCIMSQMVLCFVTSVSSWCPTPPSRQSETKFTQKTNKFWEFEEQSNTWVEINLPFDLMSCINDSCSKVGSIENMDQKDDETPALKQQQNITDGDETKEDYGPVLPLRWRISLTRMSEASVWVTGQSGSIYERFWNGVKWVIAPHELPNPTGQAVSVLIINQTILALSEGGLLYQLQLNEDSQPIWTEFMLTTEQSTRTEEAEPGMVIQIKSGVVSYDGERLYLTTVTGELIEISEFQPLRWENHGRPPGGDNSAIADTGAIRPGIVFTISSSGDLYEFDKKSKPSWKKHIWSDPSIKQSSLRPSRGCTLHGLAGAHSSSLFLLTKDGFLVERRLHKRKWKWAVHGAPKGHQLSATTAVQQSELNEKIFSLFFATTKGYVFEYQLPKNPGGTDWDKVQGMWINHLQPQNAKVARGIQGIEIQSGRLIFPLDDGRLGELHLPGFGGEGSGPTQLSNLRRKTSNRYEWSVLDAPETEGWNAEYCTEERGPSNCMTGTKDVISYYEPNDLSTTAPARRRKAEEHQHYIPMSNHETGTSESYNFLTSSISNNFRIRVMHADRSFFLITDNGLTYEYLYTDNVWVWLRHEHSTAIRGALGSYNGSLFLVDVNGNLLIRERIGNELLWINCTAMKKGRQVVTGPPWDGVMGKARRVTTEDALFFVNKKGRLLQFTVALRKFQWKDCRSPLDTKIAFIVDQEVHRKNIIFVVGQNGRLYQYNRITELWHKHYQSPHLVLSRSPGTAIRPSVLSLAGSIFMISDNGGLVEYHWDSLDGWEWVEHGTPYRDVLLVGAPGPCFDDTQLFVIGSDGQVYRRHWDQRTWKWTCHGFPHSEPSSIEDQSIKGNKNEARYPDGYSRNCNEKVAAVRPTPFSEDSVIFELQDGRLAELRRSEGGAGWEWARIIGTPTSLCFTSYWTAVAT >Ma04_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6688767:6691702:-1 gene:Ma04_g09410 transcript:Ma04_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVLLFIVLLHGAAAAVVSPTKWQTLSGNAPAVIARGGFSGLFPESSQFAYQFAQSTSLKNVVLYCDLQLTKDGAGICHSDLRLDNSTTISAVFPKGRTTYSVNGRPVQGWFSIDFTSDQLYNNVTLIQSIFSRPSVFDGNLPVSMVEDVAGLHPYQLWVNVQYSTFFKEHDLDAVDYIRSVSRETIIDCISSPEIGFLKSLSGLPGRHKTKLIFRFMEVDDVEPSTKKTYQALLNDLVTIKSFASGILVPKSYIWPVNKDQYLEAHTSLVTDAHGVGLEVYAYDFANDAPASYNYSYDPTVEYLQFISNSNFSVDGVLTDFPSTASEAVACLAHNENKPIPTEGRPLIITHNGASGMFAGCTDVAYQRAVEDGADVLDCSIQMSKDGVAFCLDSADLMGDTTAAAAFMPRAAMVPEIQQNNGIFSFDLTWSEIQSLKPALTSPMSQSGLARNPAAKSEGKFMTLADFLQFAKKSTVSGILINIENAAYLASKKGLGAVDAVSTALRNASYDKQVYIQSDDTAVLSAFKKNSGYQRVLHVKETISDAPKPTVEEIKQFADAVNLPRSSIVAASGFFVSAFTNVVDQMHAANISVYVSVLRNEFLTIAFDYFSDPMVELATYVAGVGVDGVVTEFPATAAAYLKSPCSDLNANLPYSILPAEPGTLLSLASPDAAPPAEAPAPVLEVADVVDPPLPPVADLVEKAPVTSPTTQHPPSGQPANVANAALCLLMAMLSFFALSGH >Ma02_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19560273:19560380:-1 gene:Ma02_g09670 transcript:Ma02_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFRNCSWLSMLTLRTSRQSKQNFGSNILPSLS >Ma03_p07860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5582602:5623718:-1 gene:Ma03_g07860 transcript:Ma03_t07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWLEDKDSAWLEAEVIDVKDKLVTVVEPTRRKKVTVASAKLLPRDADGDLGGVDDMTKLTYLNEPGVLYNLSRRYALNEIYTYTGSILIAVNPFTKLPHLYNEHMMEQYKGARLGELSPHVFAVADASYRAMMHEVRSQSILVSGESGAGKTETTKLIMQYLTHVGGRAANDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVKITDPERNYHCFYQLCASRKDADKYKLGHPSSFHYLNQSNSYELDGISNAEEYLKTRRAMDIVGISVDDQEAIFRTLAAILHLGNVEFSPGKEHDSSTIKDSMSYFHLQTAADLFMCNPDLMISTLCTRAIQTREGIIIKALDCAAAAANRDALAKTVYSRLFDWLVEIINKSVGQDLDSKIQIGVLDIYGFECFQNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRNEEINWSYIEFIDNQDVLDLIEKKPIGIISLLDEACMFPRSTHETFSTKLFQSFRSHSRLEKEKFSETDFTILHYAGKVTYQTKSFLDKNRDYIVIEHCNLLSSSRCPFVSGLFDSLPEESSRSSYKFSSVASRFKQQLQSLMETLNSTEPHYIRCVKPNSLNRPQKFENQSVLHQLRCGGVLEAVRISLAGYPTRRFYSEFIDRFGLLAPELLDGSYDEKALTRGILQKLKLENFQLGRNKVFLRAGQIAILDLRRNEVLENAAKFIQDRFRTFVAHREFVVTRVAAITLQAYCRGCLSRRMFATKRRIAAAVSIQKHVRRWLLRRTFLQVYSAVVVIQSSIRSSIGRQRYMCIKEHRAAVFIQAWWRMLKTCMAFQEYRNAVVCIQCAWRCKLAKRELRRLKLAANEAGALREAKSKLEKRLEDLSWRLALEKKLRFASEESKMLEISKLQKALDLKNADLDMAKSATAIECNKNAMLQNQLNSTLKEKEAIMISLNAMSELKKENLNLQNSVQSLAKKKMDLESELLKAKKCNDETLEKLHDMEEKCLELQGNLNSLEEKLSSLQDENHILSQKTISMSPMNNLSGVKPLSEKYSNALALCNIDQMPTFETPPTKYLIPLPQSLSVSRRTRRGVERHEENHELLLRCIKENLGFKEAKPVAACVIYKCLLHWHAFEAERTAIFDFIIEGINDVLRVDNEHDNLPYWLSNTSALLCLLQRNLRSNGFLATPRRSGSLGLNRRNVQSLKSPSKLIGGDDNLAYVDARYPAILFKQQLAACLEKIFGLMRDNLKKEILPLLNLCIQAPKSTRGPSVRTSKSPGGVVQPPLNTHWDRIVRFLDALMDRLRENFVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKSGLALLEKWIADVTEEYAGTSWHELNYIRQAVGFLIIHQKRKKTLEEIRQDLCPALSLRQIYRICTMYWDDKYSTHSVSNEVVATMREMVNRDSQNLVSNSFLLDDDLCIPFSTEDISKAIPAMDPTDVELPQSLQQLPSVQALFQPSKVPLP >Ma03_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5582602:5623718:-1 gene:Ma03_g07860 transcript:Ma03_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWLEDKDSAWLEAEVIDVKDKLVTVVEPTRRKKVTVASAKLLPRDADGDLGGVDDMTKLTYLNEPGVLYNLSRRYALNEIYTYTGSILIAVNPFTKLPHLYNEHMMEQYKGARLGELSPHVFAVADASYRAMMHEVRSQSILVSGESGAGKTETTKLIMQYLTHVGGRAANDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVKITDPERNYHCFYQLCASRKDADKYKLGHPSSFHYLNQSNSYELDGISNAEEYLKTRRAMDIVGISVDDQEAIFRTLAAILHLGNVEFSPGKEHDSSTIKDSMSYFHLQTAADLFMCNPDLMISTLCTRAIQTREGIIIKALDCAAAAANRDALAKTVYSRLFDWLVEIINKSVGQDLDSKIQIGVLDIYGFECFQNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRNEEINWSYIEFIDNQDVLDLIEKKPIGIISLLDEACMFPRSTHETFSTKLFQSFRSHSRLEKEKFSETDFTILHYAGKVTYQTKSFLDKNRDYIVIEHCNLLSSSRCPFVSGLFDSLPEESSRSSYKFSSVASRFKQQLQSLMETLNSTEPHYIRCVKPNSLNRPQKFENQSVLHQLRCGGVLEAVRISLAGYPTRRFYSEFIDRFGLLAPELLDGSYDEKALTRGILQKLKLENFQLGRNKVFLRAGQIAILDLRRNEVLENAAKFIQDRFRTFVAHREFVVTRVAAITLQAYCRGCLSRRMFATKRRIAAAVSIQKHVRRWLLRRTFLQVYSAVVVIQSSIRSSIGRQRYMCIKEHRAAVFIQAWWRMLKTCMAFQEYRNAVVCIQCAWRCKLAKRELRRLKLAANEAGALREAKSKLEKRLEDLSWRLALEKKLRFASEESKMLEISKLQKALDLKNADLDMAKSATAIECNKNAMLQNQLNSTLKEKEAIMISLNAMSELKKENLNLQNSVQSLAKKKMDLESELLKAKKCNDETLEKLHDMEEKCLELQGNLNSLEEKLSSLQDENHILSQKTISMSPMNNLSGVKPLSEKYSNALALCNIDQMPTFETPPTKYLIPLPQSLSVSRRTRRGVERHEENHELLLRCIKENLGFKEAKPVAACVIYKCLLHWHAFEAERTAIFDFIIEGINDVLRVDNEHDNLPYWLSNTSALLCLLQRNLRSNGFLATPRRSGSLGLNRRNSLKSPSKLIGGDDNLAYVDARYPAILFKQQLAACLEKIFGLMRDNLKKEILPLLNLCIQAPKSTRGPSVRTSKSPGGVVQPPLNTHWDRIVRFLDALMDRLRENFVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKSGLALLEKWIADVTEEYAGTSWHELNYIRQAVGFLIIHQKRKKTLEEIRQDLCPALSLRQIYRICTMYWDDKYSTHSVSNEVVATMREMVNRDSQNLVSNSFLLDDDLCIPFSTEDISKAIPAMDPTDVELPQSLQQLPSVQALFQPSKVPLP >Ma06_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4699850:4701153:1 gene:Ma06_g06510 transcript:Ma06_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQLHSPISSFGNIAERLISKLPSSGIYIAVLFSFSSNYSSLIDYEFAVSELAFLSTPILIFLLSICSDLYIWMFFLFYLSHLISIMIYIYSFKLDRTSDYVSYIGSCQQLHGHVFRSPINFGLLCVY >Ma01_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4752424:4754514:1 gene:Ma01_g06620 transcript:Ma01_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL33 [Source:Projected from Arabidopsis thaliana (AT2G40320) UniProtKB/TrEMBL;Acc:A0A178VXJ7] MKPHLSSSNSSIFAKRARLSPCILTLLAVMALVAVLSGEDFIFSFIQLSPEPHQFLSRSGSRGEKLPFAIGEMEGDCDIYRGRWVRDESTRPHYQESDCPYIQPQLTCQEHGRPDKEYQFWRWQPRDCDLPSFNATLMLEALRGKRMMFVGDSLNRGQFTSMVCLLHSAIPDSAKSLEVNGSLTVFRAKEYNATIEFYWAPFLLESNSDDAVIHRMADRIVRKGSIDKHGQNWKGADVLVFNTYLWWMTGLTMKILQGQGSFNDEARDIVQPSTEDAYRMAMRSLLEWVDQNMDLKKARVFFATMSPSHEKSRDWGDEPGGNCYNQTTMIEDPTYWGSDCRKSVMEVVREVVGGTKLPITVLNITQLSSYRKDAHTSIYKKQWVPLTPQQIANPVSYSDCVHWCLPGLQDTWNELLFTELFYP >Ma08_p29320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40965012:40967376:-1 gene:Ma08_g29320 transcript:Ma08_t29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGNHDIPENANEHCPGPQSEEAGKADACAGCPNQQICATAPTGPDPDMVTIAERMAAIKHKILVLSGKGGVGKSTFSAQLSFALAEMNYQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPHPDEAVIWRGPRKNGLIKQFLKDVDWGELDYLVVDAPPGTSDEHISIVQFLQATGIDGAIIVTTPQQVSLIDVRKEISFCKKVGIRVLGVVENMSGMWQSISDFRFVKSNETGDENDVTEWALSYIRSNAPELLSVIACSEVFDSSGGGAAKMCTEMGVPFLGKVPLDPHLCRAAEEGRSCFADKKCTVSAPALRQIIQKLISLGQQIFEG >Ma10_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30570358:30571304:-1 gene:Ma10_g20370 transcript:Ma10_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTERPGKPSLADSLGFGSRGSPAEEDVPPGDGSIPAVHHDPARQIEILEAADKDAAAADDDDDSDSSEDFEFAFVVKDPEAFPSVTADEIFSGGRIIPAYPVFKLASSDAGETVAAADATDVAEQIPLRQLLIEERVARPASSSSQPEDAGEDCVLAPDRCKKSASTGSSLRWRLRDLMVGRSHSDGKEKFVFLETASGPKATAGKGAKKAGRLTETDVVTAPRGYYRKGSGEKTVKGPRRSFLPYRQELFGLFAPVNGLRRSHHPF >Ma08_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4362941:4367548:-1 gene:Ma08_g06460 transcript:Ma08_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRSSLESIRSWVVEHKLRTVGCLWLSGIGSSIAYNWSRPNMKTSVKIIHARLHAQALTLAALAGAAVVEYYDQQSKPRSDVDKYPNQFIAHPQKD >Ma02_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16701110:16707642:1 gene:Ma02_g05370 transcript:Ma02_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRATNGDLAQWWRRQVRDRGNNWKQRGLQRRQVDPRTLFEAVQDEAEGPRRAPRPRRRSSRLLRRSQGGRIVIGLYGEVAPKTVENFKALCTEVFALASVAIPVLLFDFVHLLSNMYILTIVYNGTVRHMQDILLACFALPGIVSMVNRGPDTNGSPFFITTVKASW >Ma04_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17625859:17635842:1 gene:Ma04_g17370 transcript:Ma04_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGFVSTIEHKAYARIGLLGNPSDVYYGRTISLSIGNFCANVRLEPSADLVIRPHLTHDLVSFSSIHHLVCRLQSEGYYGGMRLLMAICKVFYNYCSDNKIELKGGNFTLSYETNIPRQTGLSGSSAIVCAALNCLLDFFKVRHLVKVDIRPELILSAEKELGIVAGLQDRVVQVYGGLVYMDFNKEHMDKLGHGLYVPMDIGLLPPLHVIYAENPSDSGKVHSTVRQKWLDGDKFIRSSMEEVGELALEGREVLLQKNFKELAMLMNRNFDLRRQMFGDDVLGSLNIKMVEVARSVGAACKFTGSGGAVVAFCPEGPAQIKNLEAACTKAGLKMQQVVVVPSVLQDEDLKNWSQ >Ma08_p20340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34436879:34439571:-1 gene:Ma08_g20340 transcript:Ma08_t20340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKANRAAVDSCHRVLSLLSQAQDQVQSGNLLAGTGDAVSRFKRVVSLLSNSAGHGRVRIASKVRSPSNSKLFSDSQLGSKMDHCPNPPQLPPRNILKNKIQILDSSSGNPLPINRRSFLENQFGLQASSSSQYQFLPWQQQQNDPRFQLHHQMKLEADMFRRSHSAINLKFESSSHTPSASTTRSFVSSLSMDGSMASLDGKPFHLIGGPASSDPINLHPPPKRRCVCRGEDGNGKCATTGRCHCSKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHNHARLLTHSSQT >Ma08_p20340.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34436879:34439522:-1 gene:Ma08_g20340 transcript:Ma08_t20340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKANRAAVDSCHRVLSLLSQAQDQVQSGNLLAGTGDAVSRFKRVVSLLSNSAGHGRVRIASKVRSPSNSKLFSDSQLGSKMDHCPNPPQLPPRNILKNKIQILDSSSGNPLPINRRSFLENQFGLQASSSSQYQFLPWQQQQNDPRFQLHHQMKLEADMFRRSHSAINLKFESSSHTPSASTTRSFVSSLSMDGSMASLDGKPFHLIGGPASSDPINLHPPPKRRCVCRGEDGNGKCATTGRCHCSKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHNHARLLTHSSQT >Ma08_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34436879:34439536:-1 gene:Ma08_g20340 transcript:Ma08_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKANRAAVDSCHRVLSLLSQAQDQVQSGNLLAGTGDAVSRFKRVVSLLSNSAGHGRVRIASKVRSPSNSKLFSDSQLGSKMDHCPNPPQLPPRNILKNKIQILDSSSGNPLPINRRSFLENQFGLQASSSSQYQFLPWQQQQNDPRFQLHHQMKLEADMFRRSHSAINLKFESSSHTPSASTTRSFVSSLSMDGSMASLDGKPFHLIGGPASSDPINLHPPPKRRCVCRGEDGNGKCATTGRCHCSKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHNHARLLTHSSQT >Ma08_p20340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34436879:34439426:-1 gene:Ma08_g20340 transcript:Ma08_t20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKANRAAVDSCHRVLSLLSQAQDQVQSGNLLAGTGDAVSRFKRVVSLLSNSAGHGRVRIASKVRSPSNSKLFSDSQLGSKMDHCPNPPQLPPRNILKNKIQILDSSSGNPLPINRRSFLENQFGLQASSSSQYQFLPWQQQQNDPRFQLHHQMKLEADMFRRSHSAINLKFESSSHTPSASTTRSFVSSLSMDGSMASLDGKPFHLIGGPASSDPINLHPPPKRRCVCRGEDGNGKCATTGRCHCSKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHNHARLLTHSSQT >Ma06_p36370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35808803:35811298:1 gene:Ma06_g36370 transcript:Ma06_t36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMDSHGFFILLVISVRSNSLFLFATQHLSNM >Ma06_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10306371:10307580:-1 gene:Ma06_g15140 transcript:Ma06_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQWIAQHGRAYEDKAEKLYRLGVFTRNMEHVNAFLQAGGHSYTIGLNRFADLTKEEFLATYTTGRMRPSDASYPGLQPFRYVNMTAPSSIDWRNKGVVTPVKDQETCGSCWAFSAVASMESINMIAKGSLIPLSEQQLLACDDNDDGCGGGLHYRAFSYVVSNGGITTEANYPYQPNESTCNSTKQSDHAVSITGYGIVPTNDEKLLMNAVANQPVSVSIDAGEFQFYAGGIFDGPCDTYLNHEVTLVGYGTDENGTAYWIAKNSWGTSWGDHGYILLKKDVAQKEGLCGLAIRASYPII >Ma11_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3215070:3216936:-1 gene:Ma11_g04120 transcript:Ma11_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSAPLLLLCTLLSLQFPILDGFQSNPLVLPLTHFLSHSQNPNFTVLHHLKSCALHSAARHRHRRHLPDRRKQVSLPLSPGSDYTFTASVGAPSDPTVVTLYMDTGSDVVWFPCSPFECILCEGKPSYPFATPPPPPPPASRPVTCGGAACSAAHSGLPASDLCAVAACSLDDIETSSCSAPCPPFYYAYGDGSLIARLRRGPLSLPGSAVPAAASSAAALHLKNFTFACAHSALAEPIGVAGFGRGPLSLPAQLAALSPSLAARFSYCLVSHSFKSDRLLRPSPLILGRTEPKPSEPDQNPPFVYTPLLHNPKHPYFYSVGLESLSVGPSRIPASSILRSVDRKGNGGMVVDSGTTFTMLPSDTYTNLANEFDRQMSRAGFERAAEAEDETGLRPCYYYEDRKQWRRRVPGLALHFSGNASVALPRRNYFMGLESGGRRLGCLMVTSGGDASGEEDYGGPAGTLGNFQQQGFEVVYDLQAKRVGFARRRCAALWEEMSRA >Ma06_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14310735:14313749:1 gene:Ma06_g20260 transcript:Ma06_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >Ma10_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31100755:31101789:-1 gene:Ma10_g21170 transcript:Ma10_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGETLPSVLASVYGWFTPTVLFVLLNVVIGSIAIASKSYHHHHQRGTAADDDGGAYPGRFLTRSLSRSSSVVLDRLRSFNLHHYRFGEIPPPFESTPAPAAEIVDEAPHPAPQAEGEHQHGEHLGRSQSDTQPTAGEMPPKLAVRMKKSASEKSAFSHFEEAVASATETVDPAVGDGGEEVDARADDFINRFRHQLKLQRLASIMRYKEMLNRGS >Ma06_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16174275:16176948:-1 gene:Ma06_g21790 transcript:Ma06_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSNYMEKQIQFFGISSNKPEENPDFYNWNRVIVRYCDGASFTGEGYDAKNNLYFRGQRIWSVVMEDLMSKGMRSAKQALLSGCSAGGLSSILHCDEFRALFPQSTKVKCLADGGFFLDAVDVGGGRSLRSFYEGVVNLHGVANSLPKSCTSRMDATSCFFPQNRVPDITTPTFLLNAAYDVWQIQKSLAPGKADPAGSWNGCKFNHTKCDSKQIQFLQGFRNEMINALRGFSTSSKNGLFINSCFAHCQSYNQDTWYADDSPAIGKKRIATSVGDWYFERSQEKNIDCPYPCDNTCHHLL >Ma01_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5648685:5650274:-1 gene:Ma01_g07810 transcript:Ma01_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 10 [Source:Projected from Arabidopsis thaliana (AT2G14620) UniProtKB/Swiss-Prot;Acc:Q9ZVK1] MVYCVCNKATLLISLLSISIVRFGLASVVSTGDFNTDFHITWSPSHVNTSADGHSRTLMLDQESGSSFASNNMFLFGEIDMRIKLILGYSAGTVLAYYLTSDRPNRDEIDFEFLGNVTGQPYILQTNIYADGSGNREERIYLWFDPTKDFHTYSIFWNLYQIVLMVDWVPVRVYRNHADEGVAFPRWQPMTLKASLWNGDSWATRGGEDKVDWSKGPFVATLGDYKIDACVWKGNPRFCRAGSNSNWWNKPRLRSLTGRQRRLLKWVRKYHLIYDYCQDPKRFHGQLPTECSLPKY >Ma05_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7424538:7431268:1 gene:Ma05_g10300 transcript:Ma05_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGFEDKLAYFQAITGLDDPDLCTEILSAHNWDLELAISSFTSHAPPSSSDPPAVAAAAAAAAPAPAPPPGLAWKIVTLPFYVVSGGVHLVTGAVGLGFWIAGGVMSRTLGLLGLAAAPRGQEGDRLIPLSASAAEAADFVAAFERDYGGAAADSKPHFVPEGFMDALQRSQREYKLMFVYLHSPEHPDVPAFCEGCLCSPVVAAFVNENFVAWGGSIRREEGFKMSNSLKASRFPFCAIVMPSTNQRIVLLQQIEGPKSPEEMLTILQRVIEECAPSLVAARLDAEERRNNQQLREEQDAAFRAALEADQARERQRIEEEERLKREAEEAERKRKEEEEALERAAREAADKEAALARRRQEKAMSLGVEPDKGPDVTQVLVRFPTGERKERRFNSSATISSLYDYVDSLECLKAENYTLVSNFPRVSYGPEKHSLTLKEAGLHPQASLFVEIHS >Ma09_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7691102:7696442:1 gene:Ma09_g11380 transcript:Ma09_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVPTKIRKLWSADEIRILVLLSLGFQIVLIVVSLFRKRCQNRLLSFILWTSYLGADYVAALALGNLLNDETEASEQNINGDLTAFWAPFLLLHLGGPDTITSYSLEDNELWLRHFLGLVLEVSVAILVFLESLPSPHLWKVAIVVFIAGILKYAERTLALWSASMDQLRESMISDPDPGPNYVKFMQEYHSRVTAGLNADIKTEKEPRPPLPKDTTEKRTDKEIIFGAYRFFPTIKRLTVDLMLSFQDRIESQTFFLTLEATRAFFMVDVELSLLHDILHTKAVHVHTLGGRLVRALSLSLVVLAFVLFHRSGRHNFSEADIIITYILLLAAVGLEAIAAVLLVFSDWTIVALQDSGKLERPFIARLKKIIRFLKLDLAIVKFRGGTRWSNSMRQCNLLCICLRDYEQTVFTRILHFLGLKELWDSYWHVEDTIVGDQQKTLIFEELKKKTYGAEGESTEYKRLRACKGEWVLREKGYTDFDWSMNKEFDESGGGVLTSQPMAMEFISRKIRKLWIAEQIRILVLLSLALQIILIFFSLFRKRSSKTLLRIILCKQIEASDQHVNCGPIALWETFLLLHLGGPDTATSYSLEDNELWLRHLIGLLYEVTMAIVLFLESLPSPHLWKIAIVVFTAGILKYAERTLALWSARMDRLKQSMITEQDPGPDYYKFMKAYRSSVTAGLKAISVAYRFFESFKSLTVNLMLSLQDQIESQTFLRGKWNQAFQVVDFELSFLHDILHTKAAHVHTLLEKHDFNEADVTITNVLLLAALGLETIAVVVTEGQEAHRLYGVENTDVDDDLKTLIFKELKGKAHSAVAEAIASPLRGMGASENGLHEPPLELRRENPVVAHRHVYLLPDGGDQCGRREGSH >Ma06_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14358284:14392638:1 gene:Ma06_g20300 transcript:Ma06_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLRAVMAIATVAAISAIAMGANYDVGGPAGSWDLATNYTQWVSGKAFRVGDTLTFKYASSHDVLEVSSAAYSSCTTSNPISTSTGGNTVVTLN >Ma10_p15530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27597987:27609324:1 gene:Ma10_g15530 transcript:Ma10_t15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNRGVPLQQQQKDFKIPSSWFPATLAKPVPTQRHFVPDNDPQNPKSTASNCLETVQVSTGTCGGGLFQETASQGTAFPRSSSSAGSSHNARPSMMTFNAAGGGWRWNPCSNPMELNDDTTASRNPFFALLLQSQIGEGQETPVHPNQSSLNAMMLRNPSLSNEKIITTGVTDAMPSPLTPETMEKNKFLQECLHQEVTGFVQERVTGGNTLENESEKWQPPVVKKVFPSFLNLTVPSSSEHVVLTSSDLIIPSSSEFALPYQVMPSEQVQNEVRAVVVTEQDAQPLNIEKHNLEIQGVDFNRTPQQKPKRKKHRPKVIKEGKPTRTPKPRTPKLVTPEVAKMKEEGSTGKRKYVRKKIVLSSSDASSSILVDNVVLDGTNRGKSVRRRLNFDSESMGARDACPGTAIAFKHCAQPQTLDSCLHSTMQPDLQSQAMIENPGSGMTIDLSGSIMVLKGRKRDNNVVQDVPIWDYREFMHTVGGFSQLSESHRMSNHDLFLPTRSKKKRTGNKLDGFTSDTSEGESRHVSTWRANHRVVNNTPMALEEQQTLEHILAFDKKEKQRLDVKVQSHELDSVCSIIDAVCTTPLKQSDCTHTGIYQVSSPMKPHRGNDCQKDEIFKTENSKDAHVISTSNEIKPKRHAKKEEATVANTQSLSADQVGLQGQKIASCNFDYSLVHKIPDFRVLIPGDCSENISHTNISYQCQNGSSFHNSVAYNLMSGALEPFGDPLDDIIEKLRHITLDEIHEDTREKAKNAIVPYDGGGVIVPYKGEFELAKKRRPRPKVDLDAETFRVWNLLMGTGGNDSVVENDSVKEKHWEEERNVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAARFPSKSSRNNAKPHEEKRRTCTEFQEGCTASLKYSSKLQDHMLSKESCCLNSHVIMGENERSNSNESFGYNTRGDSADCSRKCVDMHEAVVGCKSPNNSLDITVAMMGSKGITKAEDRWALNDVASLRNYIITSQNPSENQVLTTDQIELNSLSNFQVEDIMTGSMPNCVGSSSSFTKLLQMAEKILPEKFQDGICQCTDNVSEKKTSLLDPSCNLGMSTSPAMPYCFNKSSRSELVDMGSATVASHECRLNSSLMIDANGDKIFDSTGDSSAVTTAEVIVQQKLAFIPRNKLEGDSASISKCLLQPVTSSEAEACTRKKFFCHSDFQKQEKEASISNSITQTYTHVKNQDTIEIQQRENAKFQTECTGIIQAQMQNFGTQQNIQNFYNKKRNQLEVSDEVKTILEDEACNLQIVSDETTKVELKEKKIKDNTERKGAYDWDILRKNIHQNGNRKERTRDTLDSLDWEAVRCAEVNEISETIRERGMNNKLAARIKDFLNRLVKDHGSIDLEWLKEIEPDQAKDYLLSIRGLGLKSVECVRLLTLQHLAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLETIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKKLVISTMSFASEINCTTDLQMLQLPQFEVNRDPKEINCYSSCEPVVEEPSTPEAEEITTEESAIEDIIYENPDEIPEIKLNFEEFTQNLQCYMQGQYLKANGGDISKALMVRNPEAASIPMPKLKNVSRLRTEHHVYELPDSHPLLEGLDQREPDDPSSYLLAIWSPGETAQSTEPPEAFCNSQEMGKLCDRKTCFACNSIREAEAQTVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHDTSCNPIDVPREWIWNLPRRTVYFGTSVPTIFKGLTTQEIQQCFWRGFVCVRGFDQRTRAPKPLYARFHFPASKAPRNKKIAAAEARKE >Ma10_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27597987:27606535:1 gene:Ma10_g15530 transcript:Ma10_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNRGVPLQQQQKDFKIPSSWFPATLAKPVPTQRHFVPDNDPQNPKSTASNCLETVQVSTGTCGGGLFQETASQGTAFPRSSSSAGSSHNARPSMMTFNAAGGGWRWNPCSNPMELNDDTTASRNPFFALLLQSQIGEGQETPVHPNQSSLNAMMLRNPSLSNEKIITTGVTDAMPSPLTPETMEKNKFLQECLHQEVTGFVQERVTGGNTLENESEKWQPPVVKKVFPSFLNLTVPSSSEHVVLTSSDLIIPSSSEFALPYQVMPSEQVQNEVRAVVVTEQDAQPLNIEKHNLEIQGVDFNRTPQQKPKRKKHRPKVIKEGKPTRTPKPRTPKLVTPEVAKMKEEGSTGKRKYVRKKIVLSSSDASSSILVDNVVLDGTNRGKSVRRRLNFDSESMGARDACPGTAIAFKHCAQPQTLDSCLHSTMQPDLQSQAMIENPGSGMTIDLSGSIMVLKGRKRDNNVVQDVPIWDYREFMHTVGGFSQLSESHRMSNHDLFLPTRSKKKRTGNKLDGFTSDTSEGESRHVSTWRANHRVVNNTPMALEEQQTLEHILAFDKKEKQRLDVKVQSHELDSVCSIIDAVCTTPLKQSDCTHTGIYQVSSPMKPHRGNDCQKDEIFKTENSKDAHVISTSNEIKPKRHAKKEEATVANTQSLSADQVGLQGQKIASCNFDYSLVHKIPDFRVLIPGDCSENISHTNISYQCQNGSSFHNSVAYNLMSGALEPFGDPLDDIIEKLRHITLDEIHEDTREKAKNAIVPYDGGGVIVPYKGEFELAKKRRPRPKVDLDAETFRVWNLLMGTGGNDSVVENDSVKEKHWEEERNVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAARFPSKSSRNNAKPHEEKRRTCTEFQEGCTASLKYSSKLQDHMLSKESCCLNSHVIMGENERSNSNESFGYNTRGDSADCSRKCVDMHEAVVGCKSPNNSLDITVAMMGSKGITKAEDRWALNDVASLRNYIITSQNPSENQVLTTDQIELNSLSNFQVEDIMTGSMPNCVGSSSSFTKLLQMAEKILPEKFQDGICQCTDNVSEKKTSLLDPSCNLGMSTSPAMPYCFNKSSRSELVDMGSATVASHECRLNSSLMIDANGDKIFDSTGDSSAVTTAEVIVQQKLAFIPRNKLEGDSASISKCLLQPVTSSEAEACTRKKFFCHSDFQKQEKEASISNSITQTYTHVKNQDTIEIQQRENAKFQTECTGIIQAQMQNFGTQQNIQNFYNKKRNQLEVSDEVKTILEDEACNLQIVSDETTKVELKEKKIKDNTERKGAYDWDILRKNIHQNGNRKERTRDTLDSLDWEAVRCAEVNEISETIRERGMNNKLAARIKDFLNRLVKDHGSIDLEWLKEIEPDQAKDYLLSIRGLGLKSVECVRLLTLQHLAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLETIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFARFITILMYMYVCVYIYTLSKKGTV >Ma01_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26558052:26558174:1 gene:Ma01_g23080 transcript:Ma01_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCVRLKNLAFGFCAFIVFCSPPLFAWTLGLCSAPLCDE >Ma03_p32440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34229742:34230990:-1 gene:Ma03_g32440 transcript:Ma03_t32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGLITKISGVSKAQLQRVAGLLLVVAVWVVYFVWWTPRRLERALRPQGLDGTPYRFPHGDREQSARLDREVHAKPMPFSHSIIPRVAPFTHRTVSQYGKISFTWAGSVPEVTITDVGLFFFKGDFTYESEKWVKHRRILNPAFHMEKLKQMLPAFRTYCNDLISKWENTVDVIARAAFGTSYEEGRRIYQLQAQQAVLFVQPARYLRIPGDK >Ma06_p36430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35847469:35851259:1 gene:Ma06_g36430 transcript:Ma06_t36430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSKSDASSGSAHSHLSNNGMASANGNPIQRTSSGNVVSSRSATVKRTASAIFPSSVVTSIEPLLLFKDVPNSEKHNLFISKMKLCCVVFDFSDPNKNCAEKDMKRQALLDLVDYVDAGTSKFTEPMISASCKMFAINLFRTFPPNTRSSTGGGEAEEEELMFDPAWSHLQLVYDLLLKFIESSSLDSKIGKKYVDHSFIARLLELFDSEDPREKDCLKTILHRIYGKFMVHRPFIRKAVSNIFYRFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRALIPLHKPKTLGVYLQQLTYCMTQFIEKEPMLASSVIKGLLRYWPVTNSQKEVMFLSELEEVLESTSPAELQKCVIPLFRRIGFCINSSHFQVAERALLMWNNDHVTSLASQNQQAIMPLILPALERNIRSHWNQAVLNLTENVKKMLSEMNEELFTACKKKFEDEEEKRVAMEEKRRMIWECLETTAAFQPVTSNTAVLVIPAITPPIAAVLS >Ma06_p36430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35847454:35851259:1 gene:Ma06_g36430 transcript:Ma06_t36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSKSDASSGSAHSHLSNNGMASANGNPIQRTSSGNVVSSRSATVKRTASAIFPSSVVTSIEPLLLFKDVPNSEKHNLFISKMKLCCVVFDFSDPNKNCAEKDMKRQALLDLVDYVDAGTSKFTEPMISASCKMFAINLFRTFPPNTRSSTGGGEAEEEELMFDPAWSHLQLVYDLLLKFIESSSLDSKIGKKYVDHSFIARLLELFDSEDPREKDCLKTILHRIYGKFMVHRPFIRKAVSNIFYRFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRALIPLHKPKTLGVYLQQLTYCMTQFIEKEPMLASSVIKGLLRYWPVTNSQKEVMFLSELEEVLESTSPAELQKCVIPLFRRIGFCINSSHFQVAERALLMWNNDHVTSLASQNQQAIMPLILPALERNIRSHWNQAVLNLTENVKKMLSEMNEELFTACKKKFEDEEEKRVAMEEKRRMIWECLETTAAFQPVTSNTAVLVIPAITPPIAAVLS >Ma08_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3093487:3095739:-1 gene:Ma08_g04420 transcript:Ma08_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQANAGVLTNLEVLEFLRARGATSDPMGCLGAVAPSECKVFDYLVNTPACNQTRGAIDEFLKRCEKFKLAKAEKFNIINLRPSNQALIDPIIEYCEKRLTKDEARGIDEVQELVDSVLEVLPPPPAKPDEEMQDLEEPPTAKPMEEN >Ma07_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31367860:31373113:-1 gene:Ma07_g23870 transcript:Ma07_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVPSGADQVHGLGERRPIRGVGGERSSSGGLGDAGPPRAATTPSRYESQKRRDWNTFLQYLRNHKPPLALARCSGAHVIEFLRYLDQFGKTKVHAPGCAFYGHPSPPGPCACPLRQAWGSLDALIGRLRAAYEESGGTPETNPFAARAVRIYLRDVRESQAKARGIPYEKKKRKRAPPAAGQPHPAGESSSSTALSGGSCGSGAGEGSGPPPRGSSSS >Ma03_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26117690:26119550:-1 gene:Ma03_g21050 transcript:Ma03_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELEMAKTSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Ma06_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8896864:8904947:-1 gene:Ma06_g12900 transcript:Ma06_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRVAALLLCFGVAGVVSEASDHRYKQGDPVPLYANKVGPFHNPSETYRFFDLPFCIPDHVTEKKEALGEVLNGDRLVGAPYKLDFRVDYDSELLCKKKLTKGDVGKFRDAVTKDYYFQMYYDDLPIWGFIGKVDKEGKDLSNDNYYLYRHIHFDILYNNDRVIEITVHTDPNSVADLTEDKDIEAEFLYSVKWKETTMPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYADDEESAEDQEETGWKYIHGDVFRFPKNKSLLAASLGSGTQLFALTVFIFVLALVGVFYPYNRGALFTALVVIYALTSGIAGFTATSFYFQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAVVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNNKTEFQAPCRTTKFPREIPPLPWFRRTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYIIYGILFVVFIILLVVAAFVTVALTYFQLAAEDHEWWWRSFLCGGSTGLFVYGYCLYYYFARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFHAALFFVRHIYRSIKCE >Ma09_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5196989:5198923:-1 gene:Ma09_g07900 transcript:Ma09_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCAPIYYLDHRKKTPSSLHSLAALQDERAPSLHPINTNPGLHSAHTKQQFFHNKMAKLCAVLCLLLGALAFLAIAAPGSSSFVERQGTQFVLDGSPYLFNGFNSYWMMTVASQPAERAKVSQVLGEAAAAGLTVCRTWAFSDGGDGALQISPGVYDERVFQGLDFVISEAQSHGVRLILSLVNNYKDFGGRAQYVQWASNAGAAVGGEDDFYTNPVVKGYYKNHVQRVLTRINTITNVAYKDDPTIMAWELINEPRCQADYSGKTVNAWVQEMASYTKSLDSKHMLEIGMEGFYGDSMPEKKQYNPGYQVGTDFITSNLIDEIDFATIHAYPDVWLAAQDDASQTAFAQRWMWSHWDDATKILKKPLVLTEFGLSKKDPGYTENLRDVYINAICTDIYNLARSGGGSLSGGLVWQVMADGMESYYDGYEILLSQDPSTDAVLMRQSRAMSVLAHTMSKPAGGQVGHADEAVAGEQEDGVAHGARLHGLHVRHVHARDGKSSSHP >mito9_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000020.1:218735:219148:-1 gene:mito9_g00020 transcript:mito9_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPLEQFAIIPLIQLNIGNSSFESHLSRIRSISVGTVSIDHLFHSVSRNLDYDIPIPSRDLPRRIRSKRVSLSLSMRHSSNRNPFDEISCFGFRDPFDDLCCVEGISKRKRLFDRLLKARGSNGTRESLQKPRLY >Ma04_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7844281:7848128:-1 gene:Ma04_g11140 transcript:Ma04_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MEEDAAVSSSFTRHTCLKLDLPVEDPKAKDAVFVKATWFPSRFDLAISDGLDAWTCHASEAEVRLRAEQWDLPVAEYIALAEHYLGFQQPGSKYGFEDAGNGQRRLSWTFEKQGTTLEWRWKCQPSPNNKQTTAGILDFLMDANIRLSEEVVRKTQSNQKLKSEAEKCLLQSERFSNEKVEFESAVYTKFVAILNSKKAKLRELRDRIAKKGTAGKASKEDDSTDGTESFDEGSDDEIVKAEPAEETVGDLPGSSEAAASSGLRTRKRTRK >Ma01_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13599252:13602404:-1 gene:Ma01_g18350 transcript:Ma01_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPKLSDIIIKCPFKGALSQVTEAGFGPLCELRNRASRFPVPSRFPPSIYKPAARFLPTAKGGNEQGGARIFGPSQKYSHISLKPREEEQGIQDELPKQNLRKELEECERRHFASKAKSCTEDRDRRKGGQVLWKEQGEKLKIELFRVIMMLMILMHKSKMMQRVMAMMMMTRMIKALLAELERIKKEQAKQKLSKEQLQQAAALKDKEA >Ma07_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1890972:1895848:-1 gene:Ma07_g02380 transcript:Ma07_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEEVLMEAISTSSPDLSLHISPPNAASSSYADAGIGADHDKLHREIRAVEWHTGGGNEAYTELSLSYPSAVSEAESPWRQQRLSVQRSPLPFDHCHSNQRALEGLMDGSRPIKGIPIYNNSPFPFLHVDPKIGIYNQVSSYSPQLPSSLCLSSSPSPPTCSPPASSSNLGTIASSFFNPVGGVSGYHRLPTPPTRLNGLSSPDLLIKNQQQQQLFHPQQQHYHYNNQYGIGSFEASHNMMRSRFMPKLPAKRNIRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTLKTTDKPPGQSDGSGEEDFAAGNPDLNFRQLMEQRASDGTKPTQDLDFPPSITNTTSRWSNSSSRSAWEQPSAGDGIRPSEFSSDIEDGDTYRSNASQVAHLEHKNPSLEFTLGRPDWDATEHV >Ma07_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30081960:30083407:-1 gene:Ma07_g21960 transcript:Ma07_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRVEEVLHMVGGAGETSYASNSKFQEKALLMTKPVLDDAIGGVYKSLHPAKMVVADLGCSSGSNTFVVMSEVLDVVGDLRRSLQERQEPPEIQFFLNDLPGNDFNHVFRSLGEYKRKVEQEKGNLLVPYYVVGVPGSFYGRLFPRRSVHFFHCSGSLNWLSQVPQGLDTERGASLNNKNIYITETSPPEVVTAYQRQFQRDLSEFLRCRYAELSYEGRLVISFGGRKSNCPTYGQMRHLWGLLAEALNALVLEGMIEEDKLVNFNLPRYAPSMEEVKAVIHGDGLFDVEEAQVFEANWDAFDDSDDDSAAFDSDLSGKNVAKYVRAAVEPLISEQFGDAILDELFSRYAANVSRHLLQQKTKHSVFVISLKKKN >Ma07_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6848694:6854222:1 gene:Ma07_g09120 transcript:Ma07_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERGRKMATAAEENWRGGGVPLIVKELVAGGVAGGVSKTAVAPLERVKILFQTRRAEFHSVGLLGSFRKILQTEGLLGFYRGNGASVARIVPYAALHFMAYEQYRRWIILGFPNIGKGPVLDLISGSIAGGTAVIFTYPLDLVRTKLAYQVVGSSRLKNRGPYHSSEQVYHGILDCILKMYRKNGLRGLYRGVGPSLYGIFPYSGLKFYFYEELKMHVPEEHKKDVVVKLACGSVAGLLGQTITYPLDVVRRQMQVSCSVVYMLNITSKKNGNVTNQNTIQVQALSTSNNEVGKGTFETLVMIIRTQGWKQLFSGLSINYLKVAPSVAIGFTVYDAMKSWLKVPSRDEAAVITVRAENKSNHPSSLHSG >Ma07_p09120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6848694:6854222:1 gene:Ma07_g09120 transcript:Ma07_t09120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERGRKMATAAEENWRGGGVPLIVKELVAGGVAGGVSKTAVAPLERVKILFQTRRAEFHSVGLLGSFRKILQTEGLLGFYRGNGASVARIVPYAALHFMAYEQYRRWIILGFPNIGKGPVLDLISGSIAGGTAVIFTYPLDLVRTKLAYQVVGSSRLKNRGPYHSSEQVYHGILDCILKMYRKNGLRGLYRGVGPSLYGIFPYSGLKFYFYEELKMHVPEEHKKDVVVKLACGSVAGLLGQTITYPLDVVRRQMQVQALSTSNNEVGKGTFETLVMIIRTQGWKQLFSGLSINYLKVAPSVAIGFTVYDAMKSWLKVPSRDEAAVITVRAENKSNHPSSLHSG >Ma09_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7889509:7893561:1 gene:Ma09_g11650 transcript:Ma09_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYWFSGLTGADIICEYSSPRDLAYSKWTLDTEKLALGNSPNSPLSSQLECISLSLLSNSQEQNSSTETTSAVSPSEYSALDTDNAVKQSQVDIPEGVQVPSDSLYAVSRQSMRHALHEIETVLMAPDTDEPTTSTSAEMTENKQPPLTRQRSRTWTHATQLESADRIHPHYLSGRCLNPEVRPEKRQREMSYPPCDNVKQLLIKCAEALSENKIEEFKLLAEEARSVVSISGEPIQRLGAYMLEGLVARHESSGTNIYHALRCREPEGSELLSYMRILYDICPYFKFGYMAANGAIADALRNEDSIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVAEYARGGGLDMVGKLLSDMSNKFNIPLEFKGLPVYGPEVTREMLDIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRLVKGLSPKVTTLVEQESNTNTTPFLTRFVETLDYYSAMFESIDVTLPRDSKERINVEQHCLAKDIVNIIACEGKDRIERHELLGKWRSRLSMAGFKPYPLSPYVNSVIKTLLGYYSDKYALVEKDGTLLLGWKNRNLISASAWH >Ma08_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21809658:21810212:1 gene:Ma08_g16940 transcript:Ma08_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFYLVLHLLLLFSIPVHLLALQLKGIIVVFSLVIPAIRSPSVSRIRAKMLYATSKDRFRREMDGVHYEIQATDPSELDLEILRDRAH >Ma09_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12183768:12187912:1 gene:Ma09_g16740 transcript:Ma09_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRISKKHHKHINNPFPSTPKCLPFIHGGLSFNPHKISQDQSFQIGEDFQLAWSCRNGGCLSISHRSQPNRSIWSTIPGEAFISAAAVQTQVEESRGSFAIKDGDVLFICKHQSVEEIRVLYEADIEENAEDDNLLSGFSNSNNKGTPESFNKSYSPILMITGRLSSKKTNILPKKSLYLGKKKIRFGWARKPSFVARYWFLLEQRSSNQIGFSVKFGEYDKKLSPSVTKRRILQGSSWKLIRMQRQCIAYISRQRGFVALSSQDEQGEEQVVTEFNKVFITYASDKDEKFYGFGEQFSHMEFKGKRVPIIVQEQGIGRGDQPITFAANLISYRSGGDWSTTYAPSPFYMTSKMRSLYLEGYNYSAFDLTKPDRVQIQIYGNYAQGRILNGYSPVELIETYTETIGRPPVLPNWIISGAVVGMQGGTEAVRRVWDQLQDHDVPISAFWLQDWVGKRETVIGSQLWWNWEVDTCHYAGWRELVNDLRTHDIRVMTYCNPCLVPTDDKPNKYKNLFEEAKSLGILVKDKTQGTYMIPNTAFDVGMLDFTHPATSSWFKKILREMVDTGVRGWMADFGEGLPLDAHLYSDEDPFEAHNRYPELWAKVNREFVDDWAATCHGKEKEDPEENLVFFMRAGFRGSPKWSMLFWEGDQMVSWQANDGIKSSVTGLLSSGLSGFAFNHSDIGGYCTVDMPIIRYRRSEELLMRWMELNAFNVVFRTHEGNKPSSNCQFYSNRNTLAHFARCAKIYKAWKFYRIQLVKEAAQRGLPVARHLFLHYPDDKHVHSLTYQQFLVGTDILVVPVLDKAKKEVKAYFPMAGGCSWQHIWTGKIFTRPFNHPGHNQGFEAWVDAPIGYPAVFVKCGSHIGEAFLRNLKALNIL >Ma03_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10700601:10702792:-1 gene:Ma03_g13610 transcript:Ma03_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGGGGGGGGGGGGDGESLGGRMTMLAGIPLQMNPGPVGEMVGTSGGGGGGGGGGVLLPQQQRGREERVPQWGQQETRDLIAIRANLERDPAVARRNKTMWEGVAARMRERGYRRTPDQCKCKWKNLVNRYKGKETADPETGRQCPFFDELNAVFVERAKNMQRLLLESESGASQSKKKPKRVGRDRSSDEISDDDDEDDNDSDDELLPKGRRKKADHRGGHQQHRGKAAAAAAAAGSIQELLQEFLQQQQQMEMQWFDMMERRAQERRMFEQEWRQSMEKLERERLMLEQAWREREEQRRMREESRAEKRDALLTTLLNKFIEDDL >Ma06_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22053197:22054004:1 gene:Ma06_g23860 transcript:Ma06_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCQRQPPTAPPTTKHNKRLLSTSQDSIQSYFTIILPFCLEATMADERAPVEVGAQGTIGWLVSREMEYLQRLDLDCHQVSNQKQHKVSIDVASTSGGSKQKPGPSRAVGKKKKKVAATGGFLPSICSAMDVADTSRIERTAGSGYRRNLRTDRKKLPEG >Ma09_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13281271:13282075:-1 gene:Ma09_g17740 transcript:Ma09_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRERERERERELHENKTMNTKLLGFATQIMVMAILISVVLLFVGVGVLVLIHVCIVGRAFRRGFNTMTPPARDDGRSGGLSPDDLAKLPCYEFKGGEMVGATTDCAVCLESFQVGDGCRLLPACGHSFHAQCVDSWLLKSSICPICRTSADGGKGGKPGGGDGLEMREGQRVTAGVPHASSSQ >Ma03_p28430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31537347:31538713:-1 gene:Ma03_g28430 transcript:Ma03_t28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPIDQRHHPASASLRMPVCKFWLAGRCTRYQCPYLHGQNPPCASNRNSSDKGISWRRSHARKNPNVGRCKKQLHNVNNGPSKPTNELDHKDSFCSPSSDGGIPWRRSYVWKNPNVDPCKQQLHENTGGPSNQMIELDHKDSVCSPTPEEHCPPSLHSSGESSKLTNEGNMIQQEHEEAVCSPSKEHCPPLIHSVVMLDMINKASLITDDHVATKEKCNDLNPWFEYLIDLQGHAKGIVGIALPAGSDKLYTGSRDGIVRVWNCNTGQCIMMVDMEAEIICMFNKGPWVFAGVHKAVKAWNTETGLQISLEGSASRVNALAFQNELLFAGLESYYTIK >Ma05_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6910980:6912499:-1 gene:Ma05_g09540 transcript:Ma05_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKYPHLLWGLQNPRKREKDGMSTETFHHKLDFTGLSLEKAGTPEWERVRAQMMEVVANEENWFEAVYDGVAQELREALFGRTVKELFALPVEVKMRNTSNKAYHGYVGQIPGLDYEALSVFDAHLVEGTRSFTELMWPEGNPSFCDIVHSFGRQLSELEKMVRRMLLESLGVVKHFDRQNSELTFGLRMAKYGALTSQEATVVLPPHVDETTVTLVVQHKVAGLQVLTADGEWLAVPPSPNSYTVLIGQSLQGWSNGRLRAKLHRVMVGGEDTRYSSIFASHPKDDVVVQVPEELVDEEHPLIYKPFGYPGFVNFHHSEEGMKSDDALKAYCGVQLDEVGA >Ma08_p28030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40094172:40098159:-1 gene:Ma08_g28030 transcript:Ma08_t28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRESFAEQIEKMEDDNELELKLGLSFGGSSGKSKSKSIPSDSKLEEASISQLIGIGVTVSDVPFNNFFQNNVGNRNQSGKQAVFPSQENFRTDISKCSAPTIDGYDIAQSSQSQFTRHKELLIASNRANEFEEEKLGLKKPRLQSEKINFQKKHEKVVDRAEVLGKGPDEVTLVKHSHLLVAADDGSTGENEDVAESEAEVSSSWLVSQHVDTAKCSDLLKGTAKHALGNPAGICVRGQIQKTYSGNTSNVELQKVTYGTPLSLQPLTVSMVPYPIPAKPTVAGAPITTSSPSPYVVQPTIPTKDEDPVVQGTNTDDQQIVFGYSSVQLPTLETNSSWAFGSQPQIVSSLVVGTSNSLLHEDETKRSNVSTQMHPSTNLGYENKLAGLAKGNCKHVVETGASSSSKAEEGKGISSILRQKETTNLLVVEGFRHDGSAIKPGVASNLQFGGCGSFPDLPWVSATGPGPKGKTISGVTYKYSKNQVKVVCACHGSHMSPEEFLRHASADAMNPENSMSLASFTSSPSGSVQN >Ma08_p28030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40094172:40098194:-1 gene:Ma08_g28030 transcript:Ma08_t28030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRESFAEQIEKMEDDNELELKLGLSFGGSSGKSKSKSIPSDSKLEEASISQLIGIGVTVSDVPFNNFFQNNVGNRNQSGKQAVFPSQENFRTDISKCSAPTIDGYDIAQSSQSQFTRHKELLIASNRANEFEEEKLGLKKPRLQSEKINFQKKHEKVVDRAEVLGKGPDEVTLVKHSHLLVAADDGSTGENEDVAESEAEVSSSWLVSQHVDTAKCSDLLKGTAKHALGNPAGICVRGQIQKTYSGNTSNVELQKVTYGTPLSLQPLTVSMVPYPIPAKPTVAGAPITTSSPSPYVVQPTIPTKDEDPVVQGTNTDDQQIVFGYSSVQLPTLETNSSWAFGSQPQIVSSLVVGTSNSLLHEDETKRSNVSTQMHPSTNLGYENKLAGLAKGNCKHVVETGASSSSKAEEGKGISSILRQKETTNLLVVEGFRHDGSAIKPGVASNLQFGGCGSFPDLPWVSATGPGPKGKTISGVTYKYSKNQVKVVCACHGSHMSPEEFLRHASADAMNPENSMSLASFTSSPSGSVQN >Ma08_p28030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40094172:40098159:-1 gene:Ma08_g28030 transcript:Ma08_t28030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRESFAEQIEKMEDDNELELKLGLSFGGSSGKSKSKSIPSDSKLEEASISQLIGIGVTVSDVPFNNFFQNNVGNRNQSGKQAVFPSQENFRTDISKCSAPTIDGYDIAQSSQSQFTRHKELLIASNRANEFEEEKLGLKKPRLQSEKINFQKKHEKVVDRAEVLGKGPDEVTLVKHSHLLVAADDGSTGENEDVAESEAEVSSSWLVSQHVDTAKCSDLLKGTAKHALGNPAGICVRGQIQKTYSGNTSNVELQKVTYGTPLSLQPLTVSMVPYPIPAKPTVAGAPITTSSPSPYVVQPTIPTKDEDPVVQGTNTDDQQIVFGYSSVQLPTLETNSSWAFGSQPQIVSSLVVGTSNSLLHEDETKRSNVSTQMHPSTNLGYENKLAGLAKGNCKHVVETGASSSSKAEEGKGISSILRQKETTNLLVVEGFRHDGSAIKPGVASNLQFGGCGSFPDLPWVSATGPGPKGKTISGVTYKYSKNQVKVVCACHGSHMSPEEFLRHASADAMNPENSMSLASFTSSPSGSVQN >Ma01_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3478168:3483857:-1 gene:Ma01_g04990 transcript:Ma01_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) UniProtKB/TrEMBL;Acc:A0A1I9LQV7] MGTPALLLFLVIVLAAKSDCRGHHEAPSLWQNPLRFSADSAFKIALFADLHYGENAWTDWGPAQDDNSDRVMSAVLDTEIPDFVIYLGDVITANNLPIANATLYWDRALSSSRSRGIPWSTVFGNHDDAPFEWPSEWFSATGIPQVICPCANISFSDGEVDDTVLSDCNFKGTTRVKLISAEINNNRLSYSISGPKNLWPSVSNYVLQVSSSKDPKLPAVFLYFLDSGGGSYPEVVSNAQAEWFLRQSQKINPDASIPEIIFWHIPSTAYKKVAPMPIFGIHKPCVGSINKERVAPQEAEWGIMDIFVDRPSIKAVFAGHNHGLDWCCPYKNLWLCFARHTGYGGYGDWPRGSRILSMTEQPLSIESWIRMEDGTKHSHVTLSS >Ma03_p28580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31706464:31714316:1 gene:Ma03_g28580 transcript:Ma03_t28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGASGLVVALAVVVLAAVCGGARRAHEWAWERGLGAGRRGRLPPGDMGWPVIGNMWAFLRAFKSSDPDSFIASFIRRYGRIGIYKAFMFGSPTIMVTVPESCKQVLMDDDHFAPGWPKATNELIGKKSFIGITQAEHKRLRRLTANPVNGYEALNTYLQFIENTVISTLERWASMGQIEFLTELRRFTFRIIMKIFLSSENEAVMESLEKVYTDLNYGIRAMAINIPGFAYHRALKARKKLVAVFQSVLDERRAMRRKKLFPAHKDMMDALMEVEDDKGRRLDDEEIIDVLIMYLNAGHESSGHITMWATVFLQENPDIFEKTKLEQEEIQRSIPPTQKGLTMKEIRKMEYLSKVIDETLRIVNIAFVSFRQATEDTYVNGYLIPKGWKVQLWYRSVNLDPHIYPDPTNFNPSRWDHFTPKAGSFLPFGLGSRLCPGNELAKLEISVFLHYFVLGYQLTRKDPHCRVRYLPHPRPTDNCMAKITKLNKISANET >Ma05_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6201830:6203015:1 gene:Ma05_g08420 transcript:Ma05_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTVETVVSRDETTPTTPYQVESWAKGKRSKRPRASSSPAVSEKDDGRIPTEEEFLALCLMMLSRGVNGRSDLRTAVQVEQRDGGGTSRIDHAVPPSKTQSYECSVCGKAFPSYQALGGHKTSHRKPVAAAATAGGDAVTSASTSGATSVPGRAHECSVCHKSFPTGQALGGHMRCHYEGVNARAAATSSSGVASSGKDQGFDLNLPPASQRLPTMGLIGGGWDKEKRKKEEEEVLSPLALTNKKPRVLTPSTRGIEATPLTLISFI >Ma10_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16898869:16902900:1 gene:Ma10_g05550 transcript:Ma10_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRYSDASVQSDIKLWPFKVVPGPGDKPMIIVQYRGEDKQFSAEEISSMVLIKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIENMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMRNTIKDDKIASKLAAADRKKIEDAIEQAIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMAGEMDDDVPAAGGSGAGPKIEEVD >Ma11_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1032343:1034818:1 gene:Ma11_g01450 transcript:Ma11_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGRNGAVRQYTRSKVPRLRWTPDLHHCFVNAIERLGGQEKATPKLVLQLMDVRGLTISHVKSHLQMYRSLRNDMGRQELQARKQSWDENDGGADERDDAGSCSYSKPTHQGFQSQSMYSSTLPPLKRARMETAATSKSWHCSHFLGERIASQSCFDDYTPAAALGVERGIKEEALRWQKHAALLPFHHSPKFKVSGSRVEESISFKVNVLDHKHRQAARKLRSEEGGCKSQCRSHSEATENEEACNCSLSLSLSPYSTQRSDASFAGKGGFGFCSSAGRSISECSAYPGGHRINLDLSMSICGS >Ma06_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12807577:12810599:-1 gene:Ma06_g18740 transcript:Ma06_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein CCH [Source:Projected from Arabidopsis thaliana (AT3G56240) UniProtKB/Swiss-Prot;Acc:O82089] MAETVVLKVGMSCQGCVGAVKRVLTKMEGVESFDVDLKEQKVTVKGNVKPEDVFQTVSKTGKKTSFWEAEPEAKEAAPATPTKEDAPSAPTEEDAPSAPDAAADVTTAA >Ma03_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4534645:4534895:1 gene:Ma03_g06580 transcript:Ma03_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADSSSGDARGVGTSSRLSLSQFNFLVLYCGVSCFLFLSFSSHSVCFFWIFFFFFSSCKLI >Ma04_p37440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35351458:35352474:1 gene:Ma04_g37440 transcript:Ma04_t37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVVTENIAAQLDLLVDLRRYFRYAAIDTEFSGFIRPTPPYASEEDRYADVKYNVDRMKLIQLGITLFDENGSTPWQGCCWQFNFSDFDPLVHPSSPKSLELLRRSGHDLEGNRRNGINGDKWSNVLRNKLFDRRYGSIYVTFHGLYDVAYVIKLITGGAPLPPTLREFIAAARRVFGALYDIKYIAKYCDGLSGREFGLTSLAQELVVEQDGNPHQAAYDSLSISRVFNEMNRRYNIGGNERFVSVLYGLENSCTESKKRYQRGTMAYLPNYVGQYGFQAHSGIPPSPMFVFLPQVGPLLHQAHGVPSSPESQQQQNTLSCHGYVSGMGNVKVRR >Ma07_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13185964:13190658:1 gene:Ma07_g16330 transcript:Ma07_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MACALSTPILRLEATSSRRASCGTRLEICRGLDFLGARRKYPCQILSYKNPSYVVRAMVLPASRPILDNEEERKQMSERYGFTQIGEPLPDNIMLKDIMDTLPKMLFEIDDMKAWRSILISVTSYALGILMIAKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLVYPFEPWRFKHDRHHAKTNMLSEDTAWHPVWPEEFNSSPLLRKAIIFGYGPFRPWMSIAHWLIWHFDLKKFRPSEVGRVKISLACVFAFMAIGWPLIVYKAGIIGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKTSEEWNAAQAQLNGTVHCNYPRWIEILCHDINVHIPHHISSRIPSYNLRAAHKSLQENWGKYLTEANWNWRLMKTIMTTCHVYDKERYYVPFDDIAPENESYPIKFLQKAMPDYA >Ma07_p16330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13185963:13190658:1 gene:Ma07_g16330 transcript:Ma07_t16330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SFD4 [Source:Projected from Arabidopsis thaliana (AT4G30950) UniProtKB/TrEMBL;Acc:A0A178V0M7] MACALSTPILRLEATSSRRASCGTRLEICRDFLGARRKYPCQILSYKNPSYVVRAMVLPASRPILDNEEERKQMSERYGFTQIGEPLPDNIMLKDIMDTLPKMLFEIDDMKAWRSILISVTSYALGILMIAKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLVYPFEPWRFKHDRHHAKTNMLSEDTAWHPVWPEEFNSSPLLRKAIIFGYGPFRPWMSIAHWLIWHFDLKKFRPSEVGRVKISLACVFAFMAIGWPLIVYKAGIIGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKTSEEWNAAQAQLNGTVHCNYPRWIEILCHDINVHIPHHISSRIPSYNLRAAHKSLQENWGKYLTEANWNWRLMKTIMTTCHVYDKERYYVPFDDIAPENESYPIKFLQKAMPDYA >Ma08_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37758307:37762168:1 gene:Ma08_g24660 transcript:Ma08_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWANKGTLRDLSKNPSLLTQSGTSEGIEVNGASVPVAEQLPPANTANGHDSSSS >Ma10_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29708061:29712877:1 gene:Ma10_g18960 transcript:Ma10_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPQMLLRRRLFSAARHFSSSAPSGGVAAESRTQKLERIADELLSLTKEERNDYSILFRLKLGLNQLVQAGGGLPSAGTGPGVEAATEEKEKTAFDIKLEKFDAAAKIKIIKEVRTFTDLGLKEAKELVEKAPVVLKKGVTKEEAEAIAAKLKEVGATVALE >Ma10_p18960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29708943:29711595:1 gene:Ma10_g18960 transcript:Ma10_t18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPQMLLRRRLFSAARHFSSSAPSGGVAAESRTQKLERIADELLSLTKEERNDYSILFRLKLGLNQLVQAGGGLPSAGTGPGVEAATEEKEKTAFDIKLEKFDAAAKIKIIKEVRTFTDLGLKEAKELVEKAPVVLKKGVTKEEAEAIAAKLKEVGATVALE >Ma09_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13168018:13172729:-1 gene:Ma09_g17590 transcript:Ma09_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIFSSRWRLPLQHHPRWLVGLLTTHRTLVLVLWIVGFALVFGWQLSSMDGVAFFRRGPVARPVPRLRPVAYNLTDFGGVGDGKTLNTEAFERAVEAISTLGARGGGQLNVPPGFWLTAPFNLTSHMTLFLAEGAVILGIEDESYWQLMPPLPSYGYGREHKGPRYGSLIHGQNLKDIVITGHNGTINGQGQVWWTKYKKRILNYTRGPLVQLMWSKDIVISNITLRDSPFWTLHPYDCKNVTISHVTILAPVSGAPNTDGIDPDSCEDVLIENCYICVGDDAVAIKSGWDQYGIAYGRPSANITLRNLTVQSVVSAGISIGSEMSGGVSNITVENLIVWESRRGIRIKTAPGRGGYVRNIFYRNVTLDNVRVGIVIKTDYNEHPDEGFDPAAVPIIKNITYSGIHGQGVRVPVRIDGSEEIPVKDVSFQDMSVGLSYKKKHIFQCSFVEGRVIGSIFPAPCENLDLYDEQGRLVKRSLSQNNTDIDYDI >Ma01_p05520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3884808:3888035:1 gene:Ma01_g05520 transcript:Ma01_t05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSPQAAKGGEGGGHEGTSESATVAAHPFDFHVSGPRNFSSPNWRDLIRSTWKDPNYKRMVIACFIQAVYLLELDRQDKKTEMNGLAPKWWKPFKYKRTQTLVDDRDGSIYGAVLEWDRSAALSELILMKPAGAPRAVLALRGTLLKNPTIMRDLEDDLRFLAWESLKGSVRFHGALEALKLMVDRFGSSNVSIAGHSLGAGFALQVGKALAKQGVFVECHVFNPPSVSVAMSLRSAGEKAAFLWKKIKDILPSKGEVSIDKKEKASANGEMFSNEIKKWMPHLYVNNSDYICCYYIDAAGTAVATDVSSDKAKMINNSSGEAVAKLFVMTKGPRKFLEAHGLEQWWSDDIELQQALHQSKLINRQLRSLYIAAHGKS >Ma01_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3884808:3888035:1 gene:Ma01_g05520 transcript:Ma01_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQASRPRSLPTRSTSMCLVHATSPPLTGEISSAPPDPNYKRMVIACFIQAVYLLELDRQDKKTEMNGLAPKWWKPFKYKRTQTLVDDRDGSIYGAVLEWDRSAALSELILMKPAGAPRAVLALRGTLLKNPTIMRDLEDDLRFLAWESLKGSVRFHGALEALKLMVDRFGSSNVSIAGHSLGAGFALQVGKALAKQGVFVECHVFNPPSVSVAMSLRSAGEKAAFLWKKIKDILPSKGEVSIDKKEKASANGEMFSNEIKKWMPHLYVNNSDYICCYYIDAAGTAVATDVSSDKAKMINNSSGEAVAKLFVMTKGPRKFLEAHGLEQWWSDDIELQQALHQSKLINRQLRSLYIAAHGKS >Ma02_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21097040:21101405:-1 gene:Ma02_g12330 transcript:Ma02_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKNLLRPKPNPQQQLREWQRRLRQECRNIERQIRDVQREEKNVQKAIKDAAKRNDMASAKSLAKEIVRSKQAVNRLYENKAQLNSVTMHLGELVATARTVGHLSKSAEVMKLVNSLMKAPEVAVTMQEFSKEMTKAGVIEEMVNDAVDTALDSEDIEEEIEEEVDKVLAAIAGETVSQLPDAVRKEKIQQPSASVDVEEREAVAEGAEDEDLDEIRERLARVRS >Ma02_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24614548:24617423:1 gene:Ma02_g17870 transcript:Ma02_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKTKGELQNQLKDLKNELSLLRVAKVTGGAPNKLSKIKVVRLSIARVLTVISQTQKAKLREVYKKKKHIPLDLRPKKTRAIRRRLTKHQESLKTEREKKKEMYFPMRKYAIKA >Ma04_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10180082:10180276:-1 gene:Ma04_g13450 transcript:Ma04_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMFLVDPSNLNLTWSLSSSSLLCCCLLFHPVPFRSKVWKLIPHQFCSITSYNLQFQVSKFN >Ma07_p12720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9572405:9576202:1 gene:Ma07_g12720 transcript:Ma07_t12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKVAGRGEPMAAHYAFNPHEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFMSFAHEIEKDTDNLNECERLYKAFLQEISTFELPLLKSKAVVDANLREKEGFNELQLEIQRQIIQAQVDIEDLKKQLEQSKIERQHKEECEAIRKLIASQPPRSETQKTISDLEKEIAALEAENASCVRTLDLRKKQFSLLLHVVDELQNTIEDEQKGSVEELRAVIEEQKTSMEDGSGMGSEAMAID >Ma07_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9572405:9576202:1 gene:Ma07_g12720 transcript:Ma07_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKVAGRGEPMAAHYAFNPHEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFMSFAHEIEKDTDNLNECERLYKAFLQEISTFELPLLKSKAVVDANLREKEGFNELQLEIQRQIIQAQVDIEDLKKQLEQSKIERQHKEECEAIRKLIASQPPRSETQKTISDLEKEIAALEAENASCVRTLDLRKKQFSLLLHVVDELQNTIEDEQKGSVEELRAVIEEQKTSMEDGSGMGSEAMAID >Ma06_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14732199:14742570:1 gene:Ma06_g20600 transcript:Ma06_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGVSSAGDDAALEPSRPRTFIKDIVRGATEELVGRKVVVGGWVKTGREQGRGSFAFLELNDGSCLANLQVIVDAAVHPLTQLVPTGTCVLVEGELKKPPEGAKQSVELKVESVLEVGTVDPAKYPLPKTRKGFTLEFLRDFGHLRARTNTISAVARIRDELAYATHTFFRKNGFRYVHTPIITTSDCEGAGEMFQVTTLFSKAEKEEKELKQNPRPSETDIEAAKLLVKEKGETVSQLKSSKASEEEISASVSELIKAKESLSRLEERFKLKAGIPQKDGKIDYAGDFFGRQAFLTVSGQLQVETYACALGNVYTFGPTFRAENSHTTRHLAEFWMVEPEIAFANLEDDMNYAESYVKFLCQWLLDNCIEDMEFLKTFDEAAIERLKLVSSSPFERISYTKAVQLLKNVTDKEFAIEVEWGIDLASEHERYLTEVLFKKPVIVYNYPKGIKAFYMKLNDDQKTVAAMDVLVPKVGELIGGSQREERLDVLVKRIKESGLPLEPYEWYLDLRRYGTVKHSGFGLGFERMILFATGMENIRDVIPFPRYPGRADL >Ma07_p01990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1550741:1556060:1 gene:Ma07_g01990 transcript:Ma07_t01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLEGVPAMEMGSSSVGVSGASSGSSDSLHGLKFGKKIYFEDGGGNSSKDALAPASVAAPPPPPTKKMRGVVKGGLQQPPRCQVDGCKVDLTGAKTYYCKHKVCGMHSKAPKVTVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPPGPFASRHCHHTPSFHEELGRARSFPVDFSCPRFSTTTRDVWPTIAPGDWVAGNHCLGVLYPLSSGAVVHGAHPCMHGHLEGPLYSITKPPLRDCLSGASDSSCALSLLSTQPWNHNTARNQVPTISVSSCLDGTPMARSTVSDNCMTRSWGFIGHGGRPNPQNIQHIAGGVGRVAEVGDGQISGMLELARHGDGQCLHGSSGTAYDESGQVMRWSL >Ma07_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1550741:1556060:1 gene:Ma07_g01990 transcript:Ma07_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLEGVPAMEMGSSSVGVSGASSGSSDSLHGLKFGKKIYFEDGGGNSSKDALAPASVAAPPPPPTKKMRGVVKGGLQQPPRCQVDGCKVDLTGAKTYYCKHKVCGMHSKAPKVTVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPPGPFASRHCHHTPSFHELGRARSFPVDFSCPRFSTTTRDVWPTIAPGDWVAGNHCLGVLYPLSSGAVVHGAHPCMHGHLEGPLYSITKPPLRDCLSGASDSSCALSLLSTQPWNHNTARNQVPTISVSSCLDGTPMARSTVSDNCMTRSWGFIGHGGRPNPQNIQHIAGGVGRVAEVGDGQISGMLELARHGDGQCLHGSSGTAYDESGQVMRWSL >Ma04_p28630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29657699:29660874:1 gene:Ma04_g28630 transcript:Ma04_t28630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKATSKKADDKAQALKAAKAVKSGSATLKKKAKKIRTSVTFHRPKTLSKARNPKYPRISAPPRNKLDHYQILMYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKGAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Ma04_p28630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29657674:29660874:1 gene:Ma04_g28630 transcript:Ma04_t28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKATSKKADDKAQALKAAKAVKSGSATLKKKAKKIRTSVTFHRPKTLSKARNPKYPRISAPPRNKLDHYQILMYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKGAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Ma11_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15085700:15086218:1 gene:Ma11_g11860 transcript:Ma11_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAFPSFSLSLVKLHFSQRRWSLAEGKSEASLASGSDTMIEIIEDKNNII >Ma10_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20341298:20343560:1 gene:Ma10_g06800 transcript:Ma10_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKLSKAEKKVVYDKKLCALLDEYSKVLIAGADNTRNKNSLNLLPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIRKGDKVGSSEAALLAKLGIRPFSYGLVILSVYDNGSVFSPELPVMFINAYKNVLAVAIATEYTFPQAEKVKEYLKDPSKFAVATTVVAVEAAAAPAAVPVEEKKEQPAEESEDGMGFSLFD >Ma03_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26923003:26923803:-1 gene:Ma03_g22070 transcript:Ma03_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDRRAPSGLIPAPYAAGLRRLSARAAPAPPHAPVSPPSPPLPTPSSPTCAPPASPSSRGSPPLSSPTQRLSSPSPSPRTCAPFSPSPSPPPPAFPTGASPAASSPPSDSPSPLPPSRSGAGPLALTQPAQPSAALPSSSPSSSAATSPSGPRSRGTRSSSSTSPASPAAASTSPTSFAGSRCYPPRSPLRRSAASSQPPRKPSCRRPHRPTRGGASTPSPEKLPAGSSSGATPPPPTAVARALLPPRTRSCSWRFESKGSPTG >Ma02_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21053426:21055362:-1 gene:Ma02_g12250 transcript:Ma02_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGEEPHAIPRVVAVLSTILERLAERNDAVRRPLALHHCASAFHGLTKPAISVRSYLERIFRYANCSPSCYVVAYIYIDRFLWRHPAVFLDSFNVHRFLITSVLTAVKFMDDIYYNNAYFAKVGGISLMEMNYLEVDFLFGVGFELNVTPVIFSSYCSTLQREMYLESPASPPRLHCCLTEEESSSCQQKQQLAV >Ma11_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27154552:27155724:1 gene:Ma11_g23990 transcript:Ma11_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPQPSQPVIGIVSGAAQRAYAAPAYQPAAMVTGAQAVTGAMPHLTQLTSAYPINPVNLASPHQLVYQQVQQFQHQQQQQLQSFWTNQMSEIEQAADFKNHSLPLARVKKIMKAEEDVHMISADAPVVFAKACEMFILELTLRSWIHTEENKRRTLLKNDIAAAISGTDIFDFLVDIAPRDELNGDGLGLARAGAPAESIPYYYLPASQMTGSGMNTGKPIGQTTRSVAYMWQQPQAQQLPVQHLQQATDGE >Ma05_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14877184:14878923:1 gene:Ma05_g15960 transcript:Ma05_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYNWMAAQGDRFTLTSSDMAIQLDLIAKVHGISHAEDHFSNLPDNLKDKRTYGALLNVYGQAKIEDKAEAIMETMKSKGYASDALPFNVMMTLYMNVEEHEKVGMLINEMKEKNVTFDIYTYNIWITNCASMEDVEEMERVVGEMTSDSNINANWTTYTTLATMYTRLGNFEKAESCLKDAEIRMTGRDRTPFNYLIGLYGNIGKREEVYRIWNWYKSSFPSILNLGYQSMLSSLIRLGDMDGAEVIYEEWLSSTSSYDPRICNILMSSYVKEGLVGKAKDVLDGFLEKGGKPKPNTWEFLAEGYTKEKRLSEALLCIKAAASSEGVHRWRPRPTNITNLLELCKEKNDMDSLDMLMDLLRSRGLENLYMTPMVTHD >Ma08_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24990715:24996080:1 gene:Ma08_g17360 transcript:Ma08_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESATEEPPSLAEQWSLQDIEKTDQTEASVKQTNGDENPADVSSEEVTAEKNGESFASDAVTEKSDQTPSAEETSAVEEMNGEAPEEQETEVPQVEEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCIAAKGEDASECNKFAKYYRSLCPGEWVERWNEQRENGTFPGPL >Ma04_p39450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36604914:36606019:-1 gene:Ma04_g39450 transcript:Ma04_t39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEKLMQAVVEHRAQLALTAALAAAVVSLLSVGPSFSAVAGFFWPLLVSTAFLLVAVAVLLRISPPPGETSGEELIDYVAGRPEDAQLAGPYEETPDAGREGEAEAEAERVKHQKSQ >Ma06_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14527631:14530406:-1 gene:Ma06_g20430 transcript:Ma06_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEIYIVYYSMYGHVEKLAEEIQKGASSVEGVEAKLWQVPETLPEEVLAKMGAPPKGNAPIITPKELAEADGLLFGFPTRFGMMAAQFKAFLDATGGLWRAQQLASKPAGIFYSTGTQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAKMFDMGKIKGGSPYGAGTLAGDGSRYPSEVELEQAFHQGKYFAGIARKLKGSS >Ma03_p29830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32678224:32688877:1 gene:Ma03_g29830 transcript:Ma03_t29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGFPVVMCLYIPTETSSPTSHLHISSLSSVPSMAVAGSGSFQDGRIASKRLMMLVALLLVSCCLGHGFGDEDHVEVEGIGSSCMESERRALLAIKSDMYDPDNWFSSWTGKDCCGWRGVACDHTTGHVTKLDLRYPYTYTTTYLYTTDLWDVFNDGDTIGVSKVNPSLQELKHLKYLDLSMNNFSHAPVPTMIASLVHLEYLNLSNAMFDGLIPPQLGNLSNLHYLDLQGWHYDDLHVDNLDWLSRIPSLKYLEMSYVKLSKATNWFYIINSISTLEVLHLIYVDLPYVPSPLPTFNLTAITTLDLSGNSNVTSAMLRWLSNATSLENLLLSGCGSLTIESVQVALGGLLNLKKLDLSANSLKGEIREILNNGMCADVIGISGGDVPIIQDMQSFVLGVIKFAAVLVFL >Ma05_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:761125:764442:1 gene:Ma05_g01300 transcript:Ma05_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRPQADQEEVDYFPTTPRKDQSIRWLVKLVTFLVVFMAGVVLGLSVSAHYTRHYNSRTELFFPRATYAGNCDKESLSIKRFVQPTCSMHGMSDDELFWRATVVPIMAEYPFERVPKVAFLFMTKGPLPFVPLWDRFFKGHRGLYSIYVHTLPDYKLSVPETSAFYGRQIPSQEVSWGSITLLDAEKRLLANALLDFSNERFVLLSESCIPVYSFPTVYEYLVNSAHSFVDSYDKDIQQARGRYNWRMSPKIKLSQWRKGSEWFELNRGLAIDIVAEHTYYSLFRKYCKPSCYPDEHYIPTYLNMFHGSLNANRSVTWVDWSRGGSHPARYGAANITVEFIQSIRNNGTSCMYNSRSTSVCFLFARKFAPSALAPLLNVSSTVMGF >Ma07_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31911885:31917815:-1 gene:Ma07_g24610 transcript:Ma07_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNDGDSSSGSGPNPNPNPNSVPKLSNGGTPPQLKRSRTITATVASGGAAAVRRAATGPLPSSRRLTVAVDNPSDAPANGGVFDRDWCYPSFLGPYAARPRATGRASSSGPKKLDVPLPLQSSADRSSAALSDEEEKVVEERPLVLEERKQGPFPSTSISPPKRNSRFDNNSLILSLFIVACALLAISQQKRIIELEEEINNLNRFCNFDGVNGHEKVAVLQFGRNSLGNRSIALYIVFLSLASPFLVLKFLDHIPQIKARPESLNCNDEEVPLKKRIAYRVDVFFSVHPYAKLLALLFSTVLLIGIGGLALYAVSDASLSEALWLSWTFVADSGNHADQVGSGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSWRKGKSEVIESDHILILGWSDKLGSLLKQLAIANKSIGGGVIVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLTGVKEGLKGHVVVELSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGMCFEDVLISFADAVPCGVKVAANGGQIVINPDDSYVIKEGDEILVLAEDDDTYSPGPPPEVRRGFLPNVPSPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKEREKKLTDGGLDLSGLTNIRLVHKEGNAVIRRHLESLPLETFDSTSLWKILLCILIHDLWLPFSLFVISSPSAFHLRKQNLLCAMLVSRIAPGSVRCSKLQTNQ >Ma07_p24610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31910164:31917815:-1 gene:Ma07_g24610 transcript:Ma07_t24610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNDGDSSSGSGPNPNPNPNSVPKLSNGGTPPQLKRSRTITATVASGGAAAVRRAATGPLPSSRRLTVAVDNPSDAPANGGVFDRDWCYPSFLGPYAARPRATGRASSSGPKKLDVPLPLQSSADRSSAALSDEEEKVVEERPLVLEERKQGPFPSTSISPPKRNSRFDNNSLILSLFIVACALLAISQQKRIIELEEEINNLNRFCNFDGVNGHEKVAVLQFGRNSLGNRSIALYIVFLSLASPFLVLKFLDHIPQIKARPESLNCNDEEVPLKKRIAYRVDVFFSVHPYAKLLALLFSTVLLIGIGGLALYAVSDASLSEALWLSWTFVADSGNHADQVGSGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSWRKGKSEVIESDHILILGWSDKLGSLLKQLAIANKSIGGGVIVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLAADENADQSDARALRVVLSLTGVKEGLKGHVVVELSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGMCFEDVLISFADAVPCGVKVAANGGQIVINPDDSYVIKEGDEILVLAEDDDTYSPGPPPEVRRGFLPNVPSPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKEREKKLTDGGLDLSGLTNIRLVHKEGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPSKEAKSPLRYAGFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKSAEYYLYEQEELCFYDIMVRARQRKEIVIGYRLANTDQAIINPENKSEVRKWSLDDVFVVISNGD >Ma03_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27312362:27314861:-1 gene:Ma03_g22560 transcript:Ma03_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSASGMFVPSQTVGTVLCCICGVAMPPNPANMCVGCLRSRVDITEGLTRHAAVVHCPECRSYLQPPRTWLRGLEPESKELLAFCLRRLRLPLRRVRLVHAEFIWTEPHSKRLRLRLRVQAEALHGAILEQSHLVELTVHDRLCDACSRSQANPDQWSAAVQLRQHVSHRRTFFYLEQLILRHSAATRALRIGETDHGLDFFFGSRSHALKFVDFITGVTPVRSRSDKQLVSHDPKSNLYNYKHTFSVEICPVCREDLICLPPKLANALGNLGPLVLCTKVTNSIALLDPFTLRSAFLDANQYWRAPFKALLTGRQLVEYIVLDVEVESAEVSVGGFRYMLAHAQVARVADFGKNDTMFTIKTHLGHLLNPGDYALGYDLYAANSNDFEIEQHKGLILPEALLVRKSYQEKRTKNRGKARSWKLKSLNMDVDTTSKGRADEEKRNTEYEEFLRDLEENPEMRFNISLYRNKEYQPSEMASAADGEDVPSIPLEELLADLDLSEDEESEEAGNMKE >Ma06_p33580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34156238:34161448:-1 gene:Ma06_g33580 transcript:Ma06_t33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSCDELPSLLKCAASEKVAGFDSVACGITSPQGETTAGKGSEDACCHLPATVISIPPVRSAAQEGGNLSYALSVSLPASPSGFHILQANQMGSGASETAQPARSATPTAAVSEQPKQGKSFSQPILPVSSDGKVMANGRVVADPLEKSRRMSGNDNQRDKRFDSFKTWSGRLERQLSNLRGKPQEPDDEANDSSIIDVEPVPAVDRYFDALEGPELDTLRVSEVSVLPEDKKWPFLLRFPISSFGMCLGLSTQAILWKALATSPSVSFLNVSLTVNLVLWCISLVLMSTVSLIYALKVIFYFEAVRREYYHPVRVNFFFAPWIACLFLVQGVPPSVTETPPAAVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALVGASLGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNKTLPKELHPVFFLFVAAPSVACMAWARINGDFDYGSRIAYFVAFFLYVSLAVRINFFRGFRFSLAWWAYTFPMTGFSIATIKYSMEITNAFTQALSVGFSAISTFTVTALLVSTIIHAFVLRDLFPNDISIAITQKRPKFSKFIAHLRSINSDTKEMEASVSKDNLEV >Ma03_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26334292:26334790:1 gene:Ma03_g21350 transcript:Ma03_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVDANITYILHIWPSLQLRFAFMISTFISKSSSATTVGFSIFIIGFLTQLVTVGTFPYSSHFSKIYRVVWSLFPPNLLAKALGLLGNATATSEGKGISWRNRGECTTFEPNCVITIVCLQFIPSRKFAINLLHV >Ma01_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6300713:6301498:-1 gene:Ma01_g08770 transcript:Ma01_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYHHLDPSRKQLIHILRKLLKMICQNKNLLVSSAHFEPTSSMHRDPATMAVFLLGSEFYRACYFISFDKRSDRNQVTSLHVMPDFAQVYSFLGSVFDPNTSGHRQKLKATDPIDDETVSY >Ma04_p31610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31864840:31866779:-1 gene:Ma04_g31610 transcript:Ma04_t31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTEQYDFLSRRCVWVNGPIIVGAGPSGLAVAACLREKDVPFVILERADCIASLWQKRTYDRLKLHLPKQFCQLPMLPFPEDYPEYPSRKQFIDYLESYAKHFKLSPRFNQSVQSAKYDETSELWRVRAAGACAEPGNVSADVEYIGRWLVVATGENAEEVVPELEGLEEFGGDVKHVCDYKSGEAYRGKRVLVVGCGNSGMEVSLDLCDHDASPSMVIRDSVHVLPREVLGKSTFELAILLMKWLPLWLADKILLVLAWLVLGNVERFGLRRPSAGPLELKNTQGKTPVLDIGALGKIRSGDINVVPGIKRLSPGKVELVDGRLLDVDSIILATGYRSNVPQWLQGCDFFSKDGVPKSPFPNGWKGKSGLYAVGFTRRGLSGASSDAVRTAMDIGRLWGEETNVAKRIFACHRR >Ma06_p05430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4043779:4047625:1 gene:Ma06_g05430 transcript:Ma06_t05430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAFNKKALKPNTYALDLENIKLDFNWEDVTCSICLDFPHNGVLLFCSSYDKGCRPFMCDTGKNHANCLERFVSAYGVPAVVEVTAAANGVYMVCIQETSSSPTSQPTCPLCRGNVTGWLIIDEARANLNMMKRCCEERHCTYVGNFSELQKHAQLKHPYSYPSKIDPAHKLNWENFQQSSEIIDVLSTIHAEAPHAVVMGDYVIEYDDSEFNDEYEHFHRNRGKWWTSCISCKLFSNFRGSRNQRGSRRRSRSSHRSNSDGFYVGEGSSRSVEIREYRFAEADDELAQIGADAGVGAATSLVIPSHYRYGRRSFRFYDR >Ma06_p05430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4043779:4047311:1 gene:Ma06_g05430 transcript:Ma06_t05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAFNKKALKPNTYALDLENIKLDFNWEDVTCSICLDFPHNGVLLFCSSYDKGCRPFMCDTGKNHANCLERFVSAYGVPAVVEVTAAANGVYMVCIQETSSSPTSQPTCPLCRGNVTGWLIIDEARANLNMMKRCCEERHCTYVGNFSELQKHAQLKHPYSYPSKIDPAHKLNWENFQQSSEIIDVLSTIHAEAPHAVVMGDYVIEYDDSEFNDEYEHFHRNRGKWWTSCISCKLFSNFRGSRNQRGSRRRSRSSHRSNSDGFYVGEGSSRSVEIREYRFAEADDELAQIGADAGVGAATSLVIPSHYRYGRRSFRFYDR >Ma06_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4043779:4047311:1 gene:Ma06_g05430 transcript:Ma06_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAFNKKALKPNTYALDLENIKLDFNWEDVTCSICLDFPHNGVLLFCSSYDKGCRPFMCDTGKNHANCLERFVSAYGVPAVVEVTAAANGVYMVCIQETSSSPTSQPTCPLCRGNVTGWLIIDEARANLNMMKRCCEERHCTYVGNFSELQKHAQLKHPYSYPSKIDPAHKLNWENFQQSSEIIDVLSTIHAEAPHAVVMGDYVIEYDDSEFNDEYEHFHRNRGKWWTSCISCKLFSNFRGSRNQRGSRRRSRSSHRSNSDGFYVGEGSSRSVEIREYRFAEADDELAQIGADAGVGAATSLVIPSHYRYGRRSFRFYDR >Ma06_p05430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4043779:4047311:1 gene:Ma06_g05430 transcript:Ma06_t05430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAFNKKALKPNTYALDLENIKLDFNWEDVTCSICLDFPHNGVLLFCSSYDKGCRPFMCDTGKNHANCLERFVSAYGVPAVVEVTAAANGVYMVCIQETSSSPTSQPTCPLCRGNVTGWLIIDEARANLNMMKRCCEERHCTYVGNFSELQKHAQLKHPYSYPSKIDPAHKLNWENFQQSSEIIDVLSTIHAEAPHAVVMGDYVIEYDDSEFNDEYEHFHRNRGKWWTSCISCKLFSNFRGSRNQRGSRRRSRSSHRSNSDGFYVGEGSSRSVEIREYRFAEADDELAQIGADAGVGAATSLVIPSHYRYGRRSFRFYDR >Ma06_p37430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36468765:36473951:-1 gene:Ma06_g37430 transcript:Ma06_t37430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEWLEEALVELCGRIETGLDLDGDLIRGLVSFCELAPPQDAADYLTNIIGLEAGEDMIQEYMKKRGFVDSAAKVAEIKASGFQAYAKPPADEGMVVAAKKQSRPSKDINSTVIHGNQTENGSRGASKGNSSVPKKKKGGKTVTLAEAAKGSVVFQQGKPCSCQARRHKLVSNCLSCGKIICEQEGEGPCSFCGVLVLREGSTYAGLSDPGVPLSEAEAAAEAYAKRLVDYDRNTTARTKVIDDQSDYYEIEGNSWLSTEEKQLLQKKQKEIEEAAEARKRKVIVTFDLLGRKVILNKEEASDTEYSILRPEERESNRIKPNPTVSLQPVFVSTGPRKDPAKGKRRKRMTNGLCLEISGRVQHENMEMRQFHRNDHNDHGLSGEGFWQDKEDTHECSLDYN >Ma06_p37430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36468765:36473946:-1 gene:Ma06_g37430 transcript:Ma06_t37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEWLEEALVELCGRIETGLDLDGDLIRGLVSFCELAPPQDAADYLTNIIGLEAGEDMIQEYMKKRGFVDSAAKVAEIKASGFQAYAKPPADEGMVVAAKKQSRPSKDINSTVIHGNQTENGSRGASKGNSSVPKKKKGGKTVTLAEAAKGSVVFQQGKPCSCQARRHKLVSNCLSCGKIICEQEGEGPCSFCGVLVLREGSTYAGLSDPGVPLSEAEAAAEAYAKRLVDYDRNTTARTKVIDDQSDYYEIEGNSWLSTEEKQLLQKKQKEIEEAAEARKRKVIVTFDLLGRKVILNKEEASDTEYSILRPEERESNRIKPNPTVSLQPVFVSTGPRKDPAKGKRRKRMTNGLCLEISGRVQHENMEMRQFHRNDHNDHGLSGEGFWQDKEDTHECSLDYN >Ma09_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8664120:8667695:1 gene:Ma09_g12840 transcript:Ma09_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSLVAARFAFFPPEPATYEVFRERGGDGRLCLTGLSPEKNVEVHLVETRAGNRVVATFWRHPRARFTVLYSHGNAADLGQMLDLFLELRAHLRVNIMSYDFSGYGASTGKPSEFNTYYDIEAVYDCLKKEYGIRQEDLILYGQSVGSGPTLHLAARLQKLRGVVLHSAILSGIRVLYPVKVTFCFDIFKNIDKIRQVNCPVFVIHGTADDIVDWTHGKRLWELSKIKYDPLWIKGGGHCNLETYPEYIKHLRKFINAMEKLPLVKQNNQSRLPTSTITESKHNKCLRFGKR >Ma10_p29990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36419474:36421561:-1 gene:Ma10_g29990 transcript:Ma10_t29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKRKQRIMHIDLSLEAAEDEEREVEGGHAVGDQHHHQQEEEGGEKIILSGAKEGSNHREETPKEEKDQGCENPIEKVTIEDELCALQAEMDRMKEENKRLQEVIDRISNDYYELQMRLADIQQQGQPKEHRVSLSLGGGSFQEPMKAGESEQIEEPSVAAQSGDLGDDNELGLSLSLRTFAGPHADTSEEKGKGSKIWQPLDDKLQTGGFSTVTSQSINPTTRKTRVSVRARCQGPTMNDGLQWRKYGQKVAKGNPCPRAYYRCTVAQGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATALAFAAPTAATFMLPNDAPSSSSTADTAPSSYLNPYLANPSPHLPPMNSLTSSTSYSGIFGAASSNDHGRQQPSSATYPWAASSIPNPGFAGGSSWLPHKGTWNGESANVSLAGNIGAIASDSKFTAAVAAAISSFINKDSQTWAQKDGESSSRSSSKWVLESLSNSTKDLS >Ma04_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:374278:377087:1 gene:Ma04_g00400 transcript:Ma04_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast stem-loop binding protein of 41 kDa a, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63140) UniProtKB/Swiss-Prot;Acc:Q9LYA9] MAAAVTFAAPALPSLHSSSCSLPPLTHRSLSFVAFTSPTITKLSFHRSSRRFSSAPSTSVFSIKAAAAAADKKRVLVVNTNSGGHAVIGFYFAQQLLSSGHEVTVLTVGDESSDKMKKPPFTRFSELVSAGGKTVWGNPAQVGDIVGTAKFDVVLDNNGKDLDTVKPVADWAISAGVEQFLYISSAGIYKPLDEIPHVEGDLVKDDASHVLVEKYMAGLSFSSWAVFRPQYMIGSGNNKDCEEWFFDRIVRDRPVPIPGSGMQLTNIAHVRDLSSMLSLAVENSAAANGKIFNCVSDRAVTFDGLAKLCAQAAGRELKVIHYDPKAVGIDAKKAFPFRNMHFYAEPRAAKEIMGWTSSTNLPEDLKERFDEYVKIGRDKKPMQFDIDDKILESLKVAVTV >Ma05_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6697236:6698785:-1 gene:Ma05_g09200 transcript:Ma05_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIDAVLDGLGDDFCGQPGPPEVPAETRYKSKNLDAERRRRNKLNAKLLALRALVPNITKMSKESTLTDAISYIKQLQQQVLELQIELSKIPDEDGEKQGSASSTETMAPLETVQLQGKVELNPIGKTKYHLEMIYKNITGAFTRLLEALHRMGAEVTTVSSVAFSGFSETVFCLEVKDEGVIHMTELRQQLLAIVLNLNCTI >Ma09_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2800993:2804373:-1 gene:Ma09_g04270 transcript:Ma09_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSAKKTGGGSLDLNSVASEQPETLASPVDLGSGALEVGARDDASAVGRRNVVGEQAEEVGVEQDGVEKKDSMAGEEVVQAAGGERGNGYSGAVDVGDVKDVVEATDGKDTEDRGEGAEAEAGEVNVAVEVKPWYEDADVVDEKATIEGDTVSREMASNVGREAGGGEDQYVKVGEQESTARRKRGRPRKLVNKGDSVSQDVGRKAGTDTLSSEEQVLSAKRMRGRPRRAVTACIDDAYRHYHFQEEKKDPFAASNLVWGKVRSHPWWPGQIFDPLDASEMASNIQKKDHHLVAYFGDRTFAWCDDSRLKPFQTHFSQMEKQSSMDAFVSAISDALEEVSRRIELGMTCHCFMDETYARVNDQKVENAGIRKETCISAIDKSWIVSSFDTVGLLDRIQTLARFPYGAVDRLELAITKSLLKAFYHSKGYPELPVFVYGEGLGDNVEGSLTKRRTSGKDVADPSTPTLSDTASRKGKSRVRGSSFRKDKHIVEHGRKKKSLSELMEKSSEHHDADGEKSGSGGNASSLSSHKELEVADSDGVESGKSKKKKLDSLGDLTTMSQISRSKKQPKFGECMRRVAGQMSGPPPMLKLSGKSVTKPPTILSHRKGGIPRDYSSPGEMLSQLCLVARDPLGGYDTLSSIVSFFTDFRNGTIPSISMDEKHKGTVAGKRDRRKSTSFTAAFSEKLDYMQDSYWSDIIVSDEENLVSSGQKRERESQSKRQKEQHPEDESALLLTLSSLPDTKQHLQDDSTNTNNVKEMQDARTTGKSSLVCSSNPNSMQEVECEGPTSNLQNDIDECMPTALVLTFSEASSIPAEADLIKLFGRYGPLVEAETEVTKSKNHAKIVFKRRSDAEVALSNAGKYSIFGHTLVSYRLRVLEVEPKASLSSTPLSEQDALPVA >Ma09_p04270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2800993:2804309:-1 gene:Ma09_g04270 transcript:Ma09_t04270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSAKKTGGGSLDLNSVASEQPETLASPVDLGSGALEVGARDDASAVGRRNVVGEQAEEVGVEQDGVEKKDSMAGEEVVQAAGGERGNGYSGAVDVGDVKDVVEATDGKDTEDRGEGAEAEAGEVNVAVEVKPWYEDADVVDEKATIEGDTVSREMASNVGREAGGGEDQYVKVGEQESTARRKRGRPRKLVNKGDSVSQDVGRKAGTDTLSSEEQVLSAKRMRGRPRRAVTACIDDAYRHYHFQEEKKDPFAASNLVWGKVRSHPWWPGQIFDPLDASEMASNIQKKDHHLVAYFGDRTFAWCDDSRLKPFQTHFSQMEKQSSMDAFVSAISDALEEVSRRIELGMTCHCFMDETYARVNDQKVENAGIRKETCISAIDKSWIVSSFDTVGLLDRIQTLARFPYGAVDRLELAITKSLLKAFYHSKGYPELPVFVYGEGLGDNVEGSLTKRRTSGKDVADPSTPTLSDTASRKGKSRVRGSSFRKDKHIVEHGRKKKSLSELMEKSSEHHDADGEKSGSGGNASSLSSHKELEVADSDGVESGKSKKKKLDSLGDLTTMSQISRSKKQPKFGECMRRVAGQMSGPPPMLKLSGKSVTKPPTILSHRKGGIPRDYSSPGEMLSQLCLVARDPLGGYDTLSSIVSFFTDFRNGTIPSISMDEKHKGTVAGKRDRRKSTSFTAAFSEKLDYMQDSYWSDIIVSDEENLVSSGQKRERESQSKRQKEQHPEDESALLLTLSSLPDTKQHLQDDSTNTNNVKEMQDARTTGKSSLVCSSNPNSMQEVECEGPTSNLQNDIDECMPTALVLTFSEASSIPAEADLIKLFGRYGPLVEAETEVTKSKNHAKIVFKRRSDAEVALSNAGKYSIFGHTLVSYRLRVLEVEPKASLSSTPLSEQDALPVA >Ma04_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22804149:22808223:1 gene:Ma04_g20070 transcript:Ma04_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNHSSPVLTDPVPLSKSRLGLPSNLTPYSPVAAPYSSSGLYLQIPRRKAGKLDDVRATGWLDAMKSSSPPRKKLMNKDFTSESQPDETDAAYRTWMMSYPSALTKFHLITTYAKCKNIVLFLDYDGTLSPIVDNPDHAFMSVAMRSTVKKVAKYFPTAIISGRSRDKVYEFVRLSELHYAGSHGMDIMGPIRESESVDDHPGCIRTTDEQGKEVHLFQPASEFLPMINEVYDSLDEITKDIIGVKVESNKFCVSVHYRNVDEKMWEEVGKRVFGFLKGFPRLRVTHGRKVLEVRPVIDWNKGKAVEFLLESLGLSHRDDVLAIYVGDDRTDEDAFKVLRESNRGFGILVSTLPKETNAFYSLRDPSEVLEFLKSLVRWKKSQALLQTKDHITKS >Ma09_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36624576:36626081:1 gene:Ma09_g25020 transcript:Ma09_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAVSLLLAIFLLSAAAALTAGVSSHIYSDEQQPPDAGGVASTTVPAFPVAGADNQSACHLDLSDELFGGVGEACVRGGLDQGRCCPVLAAWLFAAHARSAIEVRPPPAAEDGMDGADRPVMPDDNQKCVDSLQSALERRGIRLPRPNATCDTVLCFCGIRLHQIGSLRCPAAFNVSGVGVAARNATPTAALQQLEHDCGNASYAGCTRCLRSLEKLKGNGSGERATRMFDRDCQLMGLTWLLARNRTAFIPTASAVLRALLYGAAAPLAQEEEESQCRCSRDQDDMPLAVDSLQFQHLSQQQQQQQQEDDDSSSSRSPSPFPFPLPLSSFVLLIVLLGTLSFPLL >Ma06_p21720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16072133:16081215:-1 gene:Ma06_g21720 transcript:Ma06_t21720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGAERQMKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIKNWIESVATIPVDGKDGAADVCVIELGGTVGDIESMPFIEALRQLSFSVGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSSQPIQENTKVKLSQFCHVPVANILNIHDVPNIWHIPLLLRNQNAHEAIIKQLNFYSMATSPDLKSWTEMAETYENLNSTVKIAMVGKYTGLTDSYLSVVKALLHACVACSFRPSVEWIAASDLEDESARVTPEAYEMAWKALKGSSCILVPGGFGDRGVPGMILAAKYARENKIPYLGICLGMQISVIEISRSILGLEGANSEEFDPQTPHPVVMFMPEGSRTHMGSTMRLGSRRTFIRSPDCITSKLYKGSAYVDERHRHRYEVNPDYVGKLESAGLEFVGSDESGTRMEVVELPSHPYYVGVQFHPEFKSRPGKPSALFLGLILAATGQLQPHLNRI >Ma06_p21720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16072122:16080882:-1 gene:Ma06_g21720 transcript:Ma06_t21720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIKNWIESVATIPVDGKDGAADVCVIELGGTVGDIESMPFIEALRQLSFSVGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSSQPIQENTKVKLSQFCHVPVANILNIHDVPNIWHIPLLLRNQNAHEAIIKQLNFYSMATSPDLKSWTEMAETYENLNSTVKIAMVGKYTGLTDSYLSVVKALLHACVACSFRPSVEWIAASDLEDESARVTPEAYEMAWKALKGSSCILVPGGFGDRGVPGMILAAKYARENKIPYLGICLGMQISVIEISRSILGLEGANSEEFDPQTPHPVVMFMPEGSRTHMGSTMRLGSRRTFIRSPDCITSKLYKGSAYVDERHRHRYEVNPDYVGKLESAGLEFVGSDESGTRMEVVELPSHPYYVGVQFHPEFKSRPGKPSALFLGLILAATGQLQPHLNRI >Ma06_p21720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16072133:16081215:-1 gene:Ma06_g21720 transcript:Ma06_t21720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGAERQMKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIKNWIESVATIPVDGKDGAADVCVIELGGTVGDIESMPFIEALRQLSFSVGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSSQPIQENTKVKLSQFCHVPVANILNIHDVPNIWHIPLLLRNQNAHEAIIKQLNFYSMATSPDLKSWTEMAETYENLNSTVKIAMVGKYTGLTDSYLSVVKALLHACVACSFRPSVEWIAASDLEDESARVTPEAYEMAWKALKGSSCILVPGGFGDRGVPGMILAAKYARENKIPYLGICLGMQISVIEISRSILGLEGANSEEFDPQTPHPVVMFMPEGSRTHMGSTMRLGSRRTFIRSPDCITSKLYKGSAYVDERHRHRYEVNPDYVGKLESAGLEFVGSDESGTRMEVVELPSHPYYVGVQFHPEFKSRPGKPSALFLGLILAATGQLQPHLNRI >Ma06_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16072122:16081215:-1 gene:Ma06_g21720 transcript:Ma06_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAGAERQMKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIKNWIESVATIPVDGKDGAADVCVIELGGTVGDIESMPFIEALRQLSFSVGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSSQPIQENTKVKLSQFCHVPVANILNIHDVPNIWHIPLLLRNQNAHEAIIKQLNFYSMATSPDLKSWTEMAETYENLNSTVKIAMVGKYTGLTDSYLSVVKALLHACVACSFRPSVEWIAASDLEDESARVTPEAYEMAWKALKGSSCILVPGGFGDRGVPGMILAAKYARENKIPYLGICLGMQISVIEISRSILGLEGANSEEFDPQTPHPVVMFMPEGSRTHMGSTMRLGSRRTFIRSPDCITSKLYKGSAYVDERHRHRYEVNPDYVGKLESAGLEFVGSDESGTRMEVVELPSHPYYVGVQFHPEFKSRPGKPSALFLGLILAATGQLQPHLNRI >Ma08_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2764406:2765210:-1 gene:Ma08_g03890 transcript:Ma08_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGPAAAALLLAVLSLFSAYADARPGVPFHPCNTVFVTYTITTTASDDDALPGLHRTSGFVSVYRIIAPILTFHHDPRPAMIPRPVLLRRREVASAEPAALGFSSLQDRAKDILVVVVGLLFGVGCGALTAATMCLAWSLVTHRHEICGSDEYSDDEEGADESPKKAGYVKIPAADPVLVKEGYEGN >Ma04_p33520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33089110:33094374:1 gene:Ma04_g33520 transcript:Ma04_t33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYSMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTRRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTIRVWDIGSLRQKVVPADDILRLSQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHTNNVSSVMFHTKMDIIVSNSEDKSIRVWDATKRTGVQTFRREHDRFWILAVHPAMNLLAAGHDSGMIIFKLERERPAFSVSGDTLYYVKDRFLWLYEFSTQKDIQVVPIRKPGSVSLNQGPRTLSYSPTENAVLICSDVDGGSYELYIVPKDISLRSDYMQEAKRGAGGSAVFIARNRFAVLDRSTNQVVVKNLKNEIVKKSLLPIATDAIFYAGTGNVLCRAEDRVAIFDLQQRIVIGELQTPSVKYTVWSSDMESVALLGKHAIVIANKKLVLRCTMHETIRVKSGAWDDNGVFIYATLNHIKYCLSNGDTGIVRTLDEPIYITKISGSNIFCLDRDGKSRVISIDATEYIFKLSLMQKRYDHVMGMIRNSQLCGQAVIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNTNIVEYAYQRTKNFERLSFLYLVTGNMEKLSKMLRIAEIKNDIMGQFHNALYLGDIQERVKILENAGHLPLAYVTAATHGLQEVADRLANELGDNVPSLPEGKTHSLLMPPAPLMCGGDWPLLRVMRGIFDNGSDMGRAGQEEEEDAAGADWGDEELDIVDMEGVMQNGEIIADLEDGEGNIENEEEGGWDLEDLELPADVDTPKAAGNSRHSFFVTPTPGMPVSQIWIQKSSLAGEHVAAGNFDTAMRLLSRQLAIKNFAPLKPLFMDIHMGSHSYLYALATAPIISIAVEKGWNESASSNGGGPPALVFRFSQMDEKLKAAYRATTEGKFPDALRHFLNILHAIPLIVVDSRREVDEVKELIDIAREYVLGLKIELKRKEIKDNLVRQQELAAYFTNCKLQKIHMRLVLTSAMTICYKGGNCSTAANFARMLLESSPTEVQAKKARQLLQHCGDKKDVNQLNYDYRNPFVVCGATFVPIYRGQKDVSCPYCGARFVPTIEGHLCAVCELAVVGADASGLLCSPTQIR >Ma11_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21115660:21118510:-1 gene:Ma11_g15390 transcript:Ma11_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGGSDGYDGTKRPRMMESNPYFAVSSGFAMDGYEVGSKRSRLMDSSPYFSVGGIGSSYQSFGSSFNGGFGSMHSFPVVRLRGLPFNCDDLDIIKFFMGLDIVDCLLVNKSGRFSGDAFVVFSSPMQAEFALQRDRQNMGRRYIEVFRCSKQDYYRAVATEVNSGGWAFEDEYHQDAPPVRVKKSYEDKDKMEYTEVLKLRGLPYSVTKSDIVDFFEEFELSEDKVHIAYRLDGKATGEALVEFPSVEVAKKAMCKDKMTIGSRYVELFPSTPDEARKAESRSRELNQ >Ma11_p15390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21115660:21118504:-1 gene:Ma11_g15390 transcript:Ma11_t15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVSSGFAMDGYEVGSKRSRLMDSSPYFSVGGIGSSYQSFGSSFNGGFGSMHSFPVVRLRGLPFNCDDLDIIKFFMGLDIVDCLLVNKSGRFSGDAFVVFSSPMQAEFALQRDRQNMGRRYIEVFRCSKQDYYRAVATEVNSGGWAFEDEYHQDAPPVRVKKSYEDKDKMEYTEVLKLRGLPYSVTKSDIVDFFEEFELSEDKVHIAYRLDGKATGEALVEFPSVEVAKKAMCKDKMTIGSRYVELFPSTPDEARKAESRSRELNQ >Ma11_p15390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21115660:21118497:-1 gene:Ma11_g15390 transcript:Ma11_t15390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRGAMLGSGGGSDGYDGTKRPRMMESNPYFAVSSGFAMDGYEVGSKRSRLMDSSPYFSVGGIGSSYQSFGSSFNGGFGSMHSFPVVRLRGLPFNCDDLDIIKFFMGLDIVDCLLVNKSGRFSGDAFVVFSSPMQAEFALQRDRQNMGRRYIEVFRCSKQDYYRAVATEVNSGGWAFEDEYHQDAPPVRVKKSYEDKDKMEYTEVLKLRGLPYSVTKSDIVDFFEEFELSEDKVHIAYRLDGKATGEALVEFPSVEVAKKAMCKDKMTIGSRYVELFPSTPDEARKAESRSRELNQ >Ma04_p29190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30138885:30143665:-1 gene:Ma04_g29190 transcript:Ma04_t29190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPAPPADQATDLMQKLSLDSKNKSDDSSDVSKKPSGVQYGPANGRELPIAPIPTCKRSLTPLLQEHMDASMCYFPNGYTSPFYYGGYDASITEWEDYPRYVSPDGMEVPPLGVYGDMYQHGYGYAPYTPYSAPGSPVPTLGHNSQLYGPQQYQFPTTYYHSPAPTSAPYTTSQAPISEGDVSTSAAANLTPIQSDTAKHNSNGVAKGSTNDNNESGKPKPSQHNLSNPGGSFGKGALPGSLPSSGYQDPRFGFGGMWSSVPWSDGPIVPDGQQRSPTANAVSSMTSHISNTTSTRNQNLHPVPHLAGMHAPRPAAPGIVNKMYPNSRMYGQNINGFIVGQNFCSNMYNSRMNGRWGMPMDIKYKPRGRGNGFYGYGNENLDGLSELNKGPRAGRFRNQVFGPNITLAVRGQSLPANLNVQDSTVIPEKEQYNKSDFPETYSDAKFFIIKSYSEDDIHKSIKYNVWASTPHGNKKLDAAYQESKEKTNGCPVFLFFSVNTSGQFVGVAEMVGRVDFNKTLDYWQQDKWIGCFPVKWHIVKDVPNNILKHITLENNENKPVTNSRDTQEVKLEQGLQLLKLFKEHVGKTSILDDFSFYETRQKVMQEKRTKQHQLQKKVVDGKPVDFDRKDNNEVNGKPLEAITLLKKETARDGVTLETNGVAGVAPKNANSATEKLVAANGVANC >Ma04_p29190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30138885:30143665:-1 gene:Ma04_g29190 transcript:Ma04_t29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPAPPADQATDLMQKLSLDSKNKSDDSSDVSKKPSGVQYGPANGRELPIAPIPTCKRSLTPLLQEHMDASMCYFPNGYTSPFYYGGYDASITEWEDYPRYVSPDGMEGVYGDMYQHGYGYAPYTPYSAPGSPVPTLGHNSQLYGPQQYQFPTTYYHSPAPTSAPYTTSQAPISEGDVSTSAAANLTPIQSDTAKHNSNGVAKGSTNDNNESGKPKPSQHNLSNPGGSFGKGALPGSLPSSGYQDPRFGFGGMWSSVPWSDGPIVPDGQQRSPTANAVSSMTSHISNTTSTRNQNLHPVPHLAGMHAPRPAAPGIVNKMYPNSRMYGQNINGFIVGQNFCSNMYNSRMNGRWGMPMDIKYKPRGRGNGFYGYGNENLDGLSELNKGPRAGRFRNQVFGPNITLAVRGQSLPANLNVQDSTVIPEKEQYNKSDFPETYSDAKFFIIKSYSEDDIHKSIKYNVWASTPHGNKKLDAAYQESKEKTNGCPVFLFFSVNTSGQFVGVAEMVGRVDFNKTLDYWQQDKWIGCFPVKWHIVKDVPNNILKHITLENNENKPVTNSRDTQEVKLEQGLQLLKLFKEHVGKTSILDDFSFYETRQKVMQEKRTKQHQLQKKVVDGKPVDFDRKDNNEVNGKPLEAITLLKKETARDGVTLETNGVAGVAPKNANSATEKLVAANGVANC >Ma04_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4809304:4811722:-1 gene:Ma04_g06550 transcript:Ma04_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVTASPAAASRPPLAIPNSGSTFPLGPRWRRALLDVPAANGARPQGRWRRDTAVRCEGGAAAAGQRVLRTCKNCKRQYDPALNHPLACRFHTAHFGGETKRKFESVYTGGTMDTPDSGKVFQYWHCCGSEDPFDAGCTAAPHCSYDD >Ma04_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24192261:24192680:1 gene:Ma04_g21680 transcript:Ma04_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLKKLKIWSMLKKRRRPANATQQGPNSGHRCSCSARQPTAPLLLPWAELDPAARTTEALRAPGVAPPLDLTSILTSAAHSGAPASYQQYLVPSPLFGVPTPPGVVREGVFCGCFTGIAALAALLRYCLCCFQHWPC >Ma09_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3667907:3671436:-1 gene:Ma09_g05700 transcript:Ma09_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSIECVSSVDGMDEEVTSLPHRSSFKPHGAAVVAPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKMRVQNRCPTCRQELGDIRCLALEKVAESLELPCKYYALGCPEIFPYYSKLTHEAQCNHRPYNCPYAGSECSAVGDIPFLITHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFNCFGHYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNFSYSLEVGANGRKLTWEGTPRSIRDGHRKVRDSHDGLIIQRNMALFFSGGDRKGLKLRVIGRIWKEQQNSDAGVCMPKHCSS >Ma08_p27950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40034424:40041648:-1 gene:Ma08_g27950 transcript:Ma08_t27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIKRLYDACKMSFSDNGPISAEALEYVRSVLDDIKPSDVGLEHEAQIARGWKVSMHGTNGRKGRNGSNQYPPPIKYLHIHECESFSIGIFCMPPSSVIPLHNHPGMTVLSKLLYGTMLVKSYDWIDTEEPIDPSKARPAKLVRDDQMTAPCGTTILYPTSGGNIHSFKAITPCALFDVLSPPYSSKDGRHCSYFKKSRKDSSGVLPSGIKASDVIWLEECQPPESFIVRRGPYKGQIVNS >Ma07_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2930849:2933470:-1 gene:Ma07_g03850 transcript:Ma07_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFICKEIENGMLWEVDGKWVVKGTVDVDIGANPSAEGGDDDGVDDTAVKVVDIVDTFRLQEQPPFDKKQFVTFVKRYIKLLTPKLDEEKQELFKKHIEGATKFLLSKLKDLQFFVGESMHDDGSMVFAYYKDGAVDPTFIYFAYGLKEVKC >Ma01_p18150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13455967:13464567:1 gene:Ma01_g18150 transcript:Ma01_t18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYLMGTYEQDSEAYRWEVGPFHNYLFANPPYFIGSTEQDSVFYSSRCIREDASGTARDFTEDLFGQYTREYSFGVENGQEEVSNFCSSPRENSCEGLYSLDVTDEHSAADGEVGRRLNQMVPIPHVPRINGQIPSVDEATSDHQRLTDRLQLYDLIEHKVQGDGNCQFRALSDQLYQTPEHHEFVRQQVVDQLKSHSEIYEGYVPMPYGDYLNKLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPSVQNSNRVIFLSFWAEVHYNSIYPEGDLPAADTKKKKKWWQFGNKH >Ma01_p18150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13455978:13464567:1 gene:Ma01_g18150 transcript:Ma01_t18150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYLMGTYEQDSEAYRWEVGPFHNYLFANPPYFIGSTEQDSVFYSSRCIREVVDADASGTARDFTEDLFGQYTREYSFGVENGQEEVSNFCSSPRENSCEGLYSLDVTDEHSAADGEVGRRLNQMVPIPHVPRINGQIPSVDEATSDHQRLTDRLQLYDLIEHKVQGDGNCQFRALSDQLYQTPEHHEFVRQQVVDQLKSHSEIYEGYVPMPYGDYLNKLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPSVQNSNRVIFLSFWAEVHYNSIYPEGDLPAADTKKKKKWWQFGNKH >Ma01_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13455978:13464567:1 gene:Ma01_g18150 transcript:Ma01_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYLMGTYEQDSEAYRWEVGPFHNYLFANPPYFIGSTEQDSVFYSSRCIREVVDADASGTARDFTEDLFGQYTREYSFGVENGQEEVSNFCSSPRENSCEGLYSLDVTDEHSAADGEVGRRLNQMVPIPHVPRINGQIPSVDEATSDHQRLTDRLQLYDLIEHKVQGDGNCQFRALSDQLYQTPEHHEFVRQQVVDQLKSHSEIYEGYVPMPYGDYLNKLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPSVQNSNRVIFLSFWAEVHYNSIYPEGDLPAADTKKKKKWWQFGNKH >Ma05_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:423061:430889:1 gene:Ma05_g00690 transcript:Ma05_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERFPSSRDNPRVAADRPPRPAAAAPSIMVRFHAMLREREEELKEATGEDPPPPLTADDVVRCYEDVLAELTFNSKPIISELTMIAGHQVRYAKEIADAICARVLEVPVDQKLPSLYLIDSIVKNIGHEYVKILATRLPKVFCEAYNQVHPSQYSPMRHLFKTWSQVFPLSVLQKIEDELQFSPSENERPSGIASTRPSKSTSPCPSHGIHVNPKYLEARRQFEQSSVIHAVDTHKNAQESDYDLERLEGLSSEKPEGWSGATRKLHSMPHARVSSGGLQAYGQKPSAQYSEYDLDQPELLPQRLAVAREGSPQTAMLRPSSMIDARGSVPYLKNKISVPLSPRRIGLKRPVSPPIVRSHNGTSPRKIGGRASTSHFGSGFEPGRLSDPNGWLGRSWPSNEDPQHVEASTLYKLNNGSGKQHPRDLIDAYGNPRGRVSSYEKFSKVQRLDVNGIASEAAARKWKNSDEEEYDWEDMSPTLSDRSRRNSLPRVGPSAGSLGIRTGFSRPDPAVLESDFGRRSWPGQAQLHAADNPSFMVEDRIAARGSRSASMMKYLDGTTSQSDIGKLSYLFPQPTHKSLSPRSRSRVTQMPVAAKEMTSAAVQRLPIPHDYSPDIDLPHHRLSNAHADPLKMDMSTQRPHSSAPVILPSIHKSQPRPLLSIPQTQKPISSSPDVSLATKSIAIQGSHPTRFVPEQQYDIADRKNKDSVKLLHLPYKPSGLPHLNQQTQKQGISEPIPSQGSYGSILPPAEVMVPSYSVGQPLNFPPTLLHGATTSALQSSSFVTPSIAVQNTTDALLHAPAGLLPPLPPGPPPSLSQIGLTSQSMSSAVSGSSTSAFSGLISSLMAQGLISLKSPPQPQDSLGVEFNLDLLKVRHESAINALYADLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRISRNRKQKPSRKWFVSAKEWLSGAEILGNDVVPGFLPTESVAEKKEDKEVAVPADENQNVCALCGEPFEDFYSDETEEWMYRGAVYLNAPDGNLEGLDRSQLGPIVHSKCRSETNECSAPT >Ma05_p00690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:423061:430889:1 gene:Ma05_g00690 transcript:Ma05_t00690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERFPSSRDNPRVAADRPPRPAAAAPSIMVRFHAMLREREEELKEATGEDPPPPLTADDVVRCYEDVLAELTFNSKPIISELTMIAGHQVRYAKEIADAICARVLEVPVDQKLPSLYLIDSIVKNIGHEYVKILATRLPKVFCEAYNQVHPSQYSPMRHLFKTWSQVFPLSVLQKIEDELQFSPSENERPSGIASTRPSKSTSPCPSHGIHVNPKYLEARRQFEQSSVIHAVDTHKNAQESDYDLERLEGLSSEKPEGWSGATRKLHSMPHARVSSGGLQAYGQKPSAQYSEYDLDQPELLPQRLAVAREGSPQTAMLRPSSMIDARGSVPYLKNKISVPLSPRRIGLKRPVSPPIVRSHNGTSPRKIGGRASTSHFGSGFEPGRLSDPNGWLGRSWPSNEDPQHVEASTLYKLNNGSGKQHPRDLIDAYGNPRGRVSSYEKFSKVQRLDVNGIASEAAARKWKNSDEEEYDWEDMSPTLSDRSRRNSLPRVGPSAGSLGIRTGFSRPDPAVLESDFGRRSWPGQAQLHAADNPSFMVEDRIAARGSRSASMMKYLDGTTSQSDIGKLSYLFPQPTHKSLSPRSRSRVTQMPVAAKEMTSAAVQRLPIPHDYSPDIDLPHHRLSNAHADPLKMDMSTQRPHSSAPVILPSIHKSQPRPLLSIPQTQKPISSSPDVSLATKSIAIQGSHPTRFVPEQQYDIADRKNKDSVKLLHLPYKPSGLPHLNQQTQKQGISEPIPSQGSYGSILPPAEVMVPSYSVGQPLNFPPTLLHGATTSALQSSSFVTPSIAVQNTTDALLHAPAGLLPPLPPGPPPSLSQIGLTSQSMSSAVSGSSTSAFSGLISSLMAQGLISLKSPPQPQDSLGVEFNLDLLKVRHESAINALYADLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRISRNRKQKPSRKWFVSAKEWLSGAEILGNDVVPGFLPTESVAEKKEDKEVAVPADENQNVCALCGEPFEDFYSDETEEWMYRGAVYLNAPDGNLEGLDRSQLGPIVHSKCRSETNECSAPT >Ma05_p00690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:423061:430889:1 gene:Ma05_g00690 transcript:Ma05_t00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERFPSSRDNPRVAADRPPRPAAAAPSIMVRFHAMLREREEELKEATGEDPPPPLTADDVVRCYEDVLAELTFNSKPIISELTMIAGHQVRYAKEIADAICARVLEVPVDQKLPSLYLIDSIVKNIGHEYVKILATRLPKVFCEAYNQVHPSQYSPMRHLFKTWSQVFPLSVLQKIEDELQFSPSENERPSGIASTRPSKSTSPCPSHGIHVNPKYLEARRQFEQSSVSMPHARVSSGGLQAYGQKPSAQYSEYDLDQPELLPQRLAVAREGSPQTAMLRPSSMIDARGSVPYLKNKISVPLSPRRIGLKRPVSPPIVRSHNGTSPRKIGGRASTSHFGSGFEPGRLSDPNGWLGRSWPSNEDPQHVEASTLYKLNNGSGKQHPRDLIDAYGNPRGRVSSYEKFSKVQRLDVNGIASEAAARKWKNSDEEEYDWEDMSPTLSDRSRRNSLPRVGPSAGSLGIRTGFSRPDPAVLESDFGRRSWPGQAQLHAADNPSFMVEDRIAARGSRSASMMKYLDGTTSQSDIGKLSYLFPQPTHKSLSPRSRSRVTQMPVAAKEMTSAAVQRLPIPHDYSPDIDLPHHRLSNAHADPLKMDMSTQRPHSSAPVILPSIHKSQPRPLLSIPQTQKPISSSPDVSLATKSIAIQGSHPTRFVPEQQYDIADRKNKDSVKLLHLPYKPSGLPHLNQQTQKQGISEPIPSQGSYGSILPPAEVMVPSYSVGQPLNFPPTLLHGATTSALQSSSFVTPSIAVQNTTDALLHAPAGLLPPLPPGPPPSLSQIGLTSQSMSSAVSGSSTSAFSGLISSLMAQGLISLKSPPQPQDSLGVEFNLDLLKVRHESAINALYADLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRISRNRKQKPSRKWFVSAKEWLSGAEILGNDVVPGFLPTESVAEKKEDKEVAVPADENQNVCALCGEPFEDFYSDETEEWMYRGAVYLNAPDGNLEGLDRSQLGPIVHSKCRSETNECSAPT >Ma07_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20718882:20723105:-1 gene:Ma07_g17590 transcript:Ma07_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASVADQTVTEIETTAPEDLKGKDKDEKRTECHVSDDASNADEPSLSKPDDLKGKDEEEKIETIVSDVISNADDASLPKPEDPKQEVEEEKIIVEDSSSVEAPTSGPEENLKQKEEGSVIEEVKEEAVDKSVSEPPVEAEEKEIVVDKTDAPNTPELPNEPVEQPAEVLEASVVEDSVDKIKESEQTEIMTAEAKSADESSKVTVVPVSPEVTDDKPVEQPTTVCLVQEPTLDANVNLNSDETAEKPRDEEPLSDGMEVSPESSKEEIARNETSPSITEDLTTKVHETVETESSEAIAVVPPVDEPKEAEEETIVAELPSDSGAKESAGEERVSATSVIEVSDTIPATEVAECAVEPEVDVKKETKGSTIDVDKTTLGETIQTIVESEVTGISEEVKINQMKNESLESEKLTVAEASRDINLVGAENNNKASRNDPECDSKELESSEDKKQETEKVVDQCSAETTKDSGDTKVEEEARKTDVPSKKSSKSQGNNIISKVKKSIVKVKKAIIGKSPSSKAMTPEGDDEMKAK >Ma07_p17590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20718882:20723104:-1 gene:Ma07_g17590 transcript:Ma07_t17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASVADQTVTEIETTAPEDLKGKDKDEKRTECHVSDDASNADEPSLSKPDDLKGKDEEEKQIETIVSDVISNADDASLPKPEDPKQEVEEEKIIVEDSSSVEAPTSGPEENLKQKEEGSVIEEVKEEAVDKSVSEPPVEAEEKEIVVDKTDAPNTPELPNEPVEQPAEVLEASVVEDSVDKIKESEQTEIMTAEAKSADESSKVTVVPVSPEVTDDKPVEQPTTVCLVQEPTLDANVNLNSDETAEKPRDEEPLSDGMEVSPESSKEEIARNETSPSITEDLTTKVHETVETESSEAIAVVPPVDEPKEAEEETIVAELPSDSGAKESAGEERVSATSVIEVSDTIPATEVAECAVEPEVDVKKETKGSTIDVDKTTLGETIQTIVESEVTGISEEVKINQMKNESLESEKLTVAEASRDINLVGAENNNKASRNDPECDSKELESSEDKKQETEKVVDQCSAETTKDSGDTKVEEEARKTDVPSKKSSKSQGNNIISKVKKSIVKVKKAIIGKSPSSKAMTPEGDDEMKAK >Ma08_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2394302:2394982:1 gene:Ma08_g03210 transcript:Ma08_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYFSCLCQSSHRRQKEKVLLLSSRVIYLLSGPPIQSVQMQSRTFKHYEHINKEKCHFSKHHLN >Ma03_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8432342:8435712:1 gene:Ma03_g11160 transcript:Ma03_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHYTPPSTFLLLFVLGFFRMEALGDEASTKLYIVCLEEWHHEDPELVTASHHEMLSSVLGSKDAAFNSIVYSYRYGFSGFAARLKESQADQIAVLPEVLSIEPSRAFRLQTTRSWDYLGLGYQHHQPPGLLEKGKEGDGIIIGVVDTGIWPESRSFNDDGYGPAPSRWKGKCEVGQNFTVNHCNRKIIGARYYNKDARPSEVARDYDSPRDANGHGTHTASTAAGSLVSDANFHGLGSGTARGGAPRARLAIYKVCWGSGRCGKADVLQAIDDAVGDGVDILSLSIGGDGYFPATLGAVKKGTTVVFSGGNDGPVPQTINNAVPWVITVAASTIDRSFPTDIILGNGRTLVGQSMCYASSDPGYKVLVAFDSCSVVPQYLTQLADKIVLCFDRTFAGAVAAAGETSQLLGKLSLAGARGAIIARFPRSILPDCSGITCVLVDYDVGGQIANYARVETASGRIPLVHVNPASNIVGSQVMSPRVAAFSARGPSTGYPDLVKPDITAPGVNILAAVRDGYQFMSGTSMACPHVSGIAALLKVVHPDWSPAAIKSALVTTAYTTNDRGFPVEAEAIPRKLADPFDYGGGHIDPNRAMYPGLIYDIDPNDYVNFFRYTNRVSNSTSGQNHLKLPSGAIPDLRKSGVGSSRTYDLNLPSISIPDLKETPVKVRRTVTNVGDTHGRYMAVVQTPAGVKMEVQPSVLHFRASGEKLNFEVTFTPLHKVQGGFTFGSLTWVHRRGKNWVRIPIAVRIIIRDFFSDTS >Ma01_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25104475:25106907:1 gene:Ma01_g22480 transcript:Ma01_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVGSVDGVAKPVSNDVGGLPSATCHTLSPSAVACSSDATLGRHLARRLVQLGVTDVFAVPGDFNLTLLDHLIAEPGLRLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNTNDYGTNRILHHTIGLPDFSQEVRCFQTVTCYQAVVNNLENAHELLDTAISTAMKDSKPVYISISCNLPAIPHPTFSRDPVPFFLSPRLSNQMGLDAAVEAAAEFLNKAVKPVMVGGPKIRVAKAGKAFVELADACGYAIAVMPAAKGLVPEHHPRFIGTYWGAVSTAFCAEIVESADAYVFAGPIFNDYSSVGYSLLLKKEKSIIVQPDRVVVANGPAFGCILMKDFLRALAKRLNCNKTAYENYSRIFVPRGAPPECQPDEPLRVNILFKHIQNMLSSATAVIAETGDSWFNCQKLNLPQGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMLRCEQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDSIHNGEGKCWTTKVQREEELKKAIETATNEKSDCLCFIDVVVHKDDTSKELLEWGSRVSAANSRPPNPQ >Ma09_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1849405:1851770:-1 gene:Ma09_g02640 transcript:Ma09_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPCLFSTPMSHELLVAARTGDVSVLGGNDSLVQVTAERNTVLHIAAKLGHTNFASIALIRQPFLLTIQNRQGDTPLHCAARAGHTPMVDVFIPHPPRRGDPERRLPYMVNNVGNTALHEAALNGHDSFVEELMTKAPGVSAVTNNVNGVSPLYMAVESGSASIVRRLLAATEASCDGPNGRTALHSAVLRSSPVEITRMLLQQRASLTRKADAAGLVPLHFVAARGDLEMVRLLLQNDASTAYLRDNGGASAIHVAASFGHVNVIKHLIETCSGCTEVRDGEGSNFFHVAISKRREQVVRFVATSPRLTDLLNEPDSDGNTPLHRAIISRDMPIIQMLSSSPSVKLSATNNRGQSALDVALSNTRNRLGIKMVLLVFAVQAPSGHGPSDQKGTVEEKNHKEIADSRHTVHTVDQTSKVEEKNYKEIADSLPVVAALITTATFTAAFTLSSSFKMDRSDYGSIYMRIRGIAFVVFLIFDALAMITAICVPFLVIFVRVGTPVTQVNCLTLSEILLQVAFIGFTVAFASGVCVLLADHWLIILIWLVILLSIPILKRRILPYYPYLCRLTRGSEFQIIYARLMIARHRLIRIANYELAVDFIKFPGVWGFSITKS >Ma07_p00080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:97808:114189:-1 gene:Ma07_g00080 transcript:Ma07_t00080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQETLTSDSLEKRLRLQNEVLKWLSEFSEKLLQRAKDTEMEVNGLFDQAGAVELDLKNTVNTLCNLSRDLLINHKISDEDGIACHPLKDHAESSAQAGIPAQDYQKDILPRYKNALSLGLNSCRKHLHGVERRHMTSSVSRTGLVYRPLPHVIGSEEFIHDNSCGITENTSLGNPQLDLGLILESKQASSVAEELDSLDLFGPDIFGNQQDSSEKDMTDPVASAASDFKAMLEAALLNQYKFHDEESLSVKDILRDKMISGQIHTDVVGTSGEGQVSQGTSEQLNSTIEEDKPVTEGNLCSVTHPQELYSALLGGSLFDNDEDLLSSGRKESTDVSTYVGESNSWWTGIKGKDNSMCSNEEESGQRAPWQVVNSDE >Ma07_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:97808:114189:-1 gene:Ma07_g00080 transcript:Ma07_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGLFDQAGAVELDLKNTVNTLCNLSRDLLINHKISDEDGIACHPLKDHAESSAQAGIPAQDYQKDILPRYKNALSLGLNSCRKHLHGVERRHMTSSVSRTGLVYRPLPHVIGSEEFIHDNSCGITENTSLGNPQLDLGLILESKQASSVAEELDSLDLFGPDIFGNQQDSSEKDMTDPVASAASDFKAMLEAALLNQYKFHDEESLSVKDILRDKMISGQIHTDVLIQVGTSGEGQVSQGTSEQLNSTIEEDKPVTEGNLCSVTHPQELYSALLGGSLFDNDEDLLSSGRKESTDVSTYVGESNSWWTGIKGKDNSMCSNEEESGQRAPWQVVNSDE >Ma07_p00080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:97808:114189:-1 gene:Ma07_g00080 transcript:Ma07_t00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQETLTSDSLEKRLRLQNEVLKWLSEFSEKLLQRAKDTEMEVNGLFDQAGAVELDLKNTVNTLCNLSRDLLINHKISDEDGIACHPLKDHAESSAQAGIPAQDYQKDILPRYKNALSLGLNSCRKHLHGVERRHMTSSVSRTGLVYRPLPHVIGSEEFIHDNSCGITENTSLGNPQLDLGLILESKQASSVAEELDSLDLFGPDIFGNQQDSSEKDMTDPVASAASDFKAMLEAALLNQYKFHDEESLSVKDILRDKMISGQIHTDVLIQVGTSGEGQVSQGTSEQLNSTIEEDKPVTEGNLCSVTHPQELYSALLGGSLFDNDEDLLSSGRKESTDVSTYVGESNSWWTGIKGKDNSMCSNEEESGQRAPWQVVNSDE >Ma07_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31027429:31028144:1 gene:Ma07_g23340 transcript:Ma07_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCFCLVLVIRRGSFPLAAYITARYMYYLVKVTVSPCESFNIVPALTVFSFFSHAALTCATAHSKWEKSESFPEEPLIDPLEISTKLQLDHMLMFLLKDSFLEHSHYHFNSHVKGGGRRDATNVNHHIFLWILWCKMTNVWTKFSGIYIQKNIDIFFPYHCFHLPLVMSSTPFTVLIQYIFL >Ma02_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13782835:13788917:-1 gene:Ma02_g02540 transcript:Ma02_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPFLAHNLLPKFKLLLLSFALFLQLSASEIFFEERFDDDWESRWVKSDWKRSEGKAGYFKHTSGKWPGDPDDKGIQTHTDARHFAISAKFPEFSNKNRTLVVQYSIRFEQDIECGGGYIKLLSGYVNQKKFGGDTPYSLMFGPDLCGTQTKKLHLILSYQGQNYPIKKDLQCETDKLAHVYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDTNAKKPKDWDDREYIEDPDDIKPEGYDSIPKEIPDPKAKKPDTWDDDEDGLWKPPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVYDNILICDDPDYAKEVVQETVLKNREVEKEAFEEAEKVRKAKEEEEAQRAREEGERRRRERGHDRRYRDRERYRDRYKKRHHRDYLDDDYHDEL >Ma09_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11052494:11053105:-1 gene:Ma09_g15710 transcript:Ma09_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSNSNLVAALSDGVALLTTRRGYATAAAGTTTTTGSRERLAVAEQMVKRAPPAGAEEAAACSWVPDPATGYYRPANRMGEIDPAELREMMLSHNKSRD >Ma08_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4609875:4637378:1 gene:Ma08_g06800 transcript:Ma08_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MSVRENAGETMARAKDEFMKQFGKDYGYPDAPKDIDEIRASEFKRLQGLVYLDHAGATLYSEAQIEAVAKDLTSSVYGNPHSQSDSSLATCDIISAARQQVLDYLNASPKNYRCMFTSGATAALKLIGECFPWSSESCYMYTMENHNSVLGIREYAFDRGAAAVAVDVKEGTCLQNRSHESSFEFLEHTVQRRAHLFQQNALNGKTSGNTYNLFAFPSECNFSGQKFSLDLVNYVKEGGGKPLTGSPRRRGCWMVLIDGAKGCATEPPDLTRYPADFVVFSFYKIFGYPTGLGALVIRSEAAKVLTKAYFSGGTVSASIADVDFIKRRDNIEQVLEDGTISFLAIASIRHGFKIINTLTHSAISRHTASLATYTRTKMMDLKHENGAEVCKIYGRNTSQAPYQDMGPTIAFNLKRADGSWVGYREVEKLASLSGIQLRTGCFCNPGACAKYLYLSHSDLIANFEVGHVCWDDNDILHGKPTGAVRISFGYTSAFEDAEKFLGFLVNSFVEKLNASGDGYPLRMKTDPISGVGSLQLVRSVQLKSITVYPVKSCAGFAVYKWPLSNIGLKYDREWLLKGPTGEILTQKKVPEMCNIRSFIDLECGILNLESPRCKERLHVPIQENSSFDYMEELDIYGQRYKVQCYNDEVNMWFSEAIARRCTLVRCSSSEYRSCNIMGGRGNMCRDTWGKLNFVNEAQLLLVSEESVGDLNSRLNSCGFMSLSAEFKLVQRSNLEAILFSVFTLDFLKDNSGCVQRVLVDAMRFRPNLVISGAEPFAEDDWKSLHIGKARFTSLGGCNRCQMINLDQQSGQPHKAKEPLATLASYRRVQGKILFGILLRHEIKDAEDGEQNNFGERWLQVGQEVHPSIR >Ma07_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7739034:7739786:-1 gene:Ma07_g10390 transcript:Ma07_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRELPDRSKYCRFHQQHGHDTEQCRELKRQIEELICRGHLGQYLWPDKERSPCPEGPIEQHIDVIAGGHASGRGSMTGRKAYARAASAEAPKHGPEPEVTFLAGASEQPEHDDALVISARVANAQVRRIMVDTGSSADILYLDTFRKLGLARENMKPMCSALTGFTGDSISPLGAITLPLTLGAPPRSKTMMTTFLVVDLPTAYNAILGRTTLNKVRAVVSTYYQTVKFPTHAGVGEVTGSPQESKN >Ma03_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24393016:24394845:-1 gene:Ma03_g18910 transcript:Ma03_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGLKNAGATYQRVVNKMFAAQIGRNVEVYVDDMIMKSRMATDHLTDLAETFSTLRSYSLRLNPAKCVFGVSSGRFLGFIVHERGIDVNPKKVQAVINMQAPRTIKDLQRLNGRLTALSRFLSRSGDRCLPFFRALKNPKDFRWTAQCEEAFGQVKRHLANLPRLALVTLEEKLSVYLATSQHAVSSVLTKEASGEQLPVYYINHVLNGPKERYPPLEKLALALVLPLRQVLSKFDVAGRLVKWSVELGEFDIRYVPRTAIKAQSVADFIAELVEDGNGSPEQSEGAWDLHVDGSATSSSAGTGLVLSAPDGHSFERSFCFGFRATNNEAEYEALLAGLKLALEMQVDAIHVFTDSQLVAEQLSGGYEATEPTMARYLAEVKSLASNFSHFTLSRVPRSQNERADELAKMASGPDHGNYSEVKDLPFRAISVSAVTPAEARATWVQEMLLFKCNGILPDDEAAVRRIHRTQAWFSEVNGRLYKQSFSQPLLRCLEPDEAKAVLAEVHKGICGEHIVARTLAYKILRQGYYWPTMSRDARIYVRWCVPCQRHARTPRQPTVPLSPIDCAWSFAQWGLDLFSPFPPASGQ >Ma10_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25441198:25447083:-1 gene:Ma10_g12260 transcript:Ma10_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSVKGSSVGRGQSLSSGCSEPHEGAPVRGGDAEGSMEYSGGAVSGKDSEDALYTELWLACAGPLVTIPRFGERVFYFPQGHIEQVEASTNQVADQQMPVYNIPWKIPCRVMDVRLKAEPDTDEVFAQVTLIPDSKLLLVQQDENTVEKEMLPPPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPSQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQNNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFIVPFDQYVESIKRNHSVGMRFKMRFEGEEAPEQRFTGTIVGIGDSDSSRWPGSKWKCLKVRWDETSSIPRPDRVSPWKIEPALTPPPLNPLLMPRPKKPRTAAFSYSPDSSILRKEAAPKVIADPSQSHGSPRVLQGQEIMTLRSAFADSNESGAAKKSIMWSTNAEEKNDVSSQRRFGSDSWMHTKRHEQTCAEILSGFRAPDSSGFRSPLLEHNSGDKSLSKPHLQDQESRHNYSPGSWSLMPPHSDFSMGECNLKTSSQVGEISHLKAERYRCGMQGGLSEFQGLGGDQTSLNWLEHMMPESRKDNQPQPKVIKPQPLVPSQIDVAKPKASSGYKLFGFHINSSPMISESAVPQSNSADILISHSCQTSTLPQPHGLEADKHSESSIVAKLVGTTPTNGDAEKLIQACPQAPKEGQSKLQGGPIRSCTKVHKQGIALGRSVDLTKFNGYDELIAELDQMFEFEGALVAPNKNWLVVYTDNEGDMMLVGDDPWNEFCNMVHKIYIYTREEVHRMNPGTLNSRVEESPAASDEKIAGKETNGPVPTPDPDNSEGCPGVDAAF >Ma10_p12260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25441198:25447083:-1 gene:Ma10_g12260 transcript:Ma10_t12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSVKGSSVGRGQSLSSGCSEPHEGAPVRGGDAEGSMEYSGGAVSGKDSEDALYTELWLACAGPLVTIPRFGERVFYFPQGHIEQVEASTNQVADQQMPVYNIPWKIPCRVMDVRLKAEPDTDEVFAQVTLIPDSKQDENTVEKEMLPPPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPSQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQNNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFIVPFDQYVESIKRNHSVGMRFKMRFEGEEAPEQRFTGTIVGIGDSDSSRWPGSKWKCLKVRWDETSSIPRPDRVSPWKIEPALTPPPLNPLLMPRPKKPRTAAFSYSPDSSILRKEAAPKVIADPSQSHGSPRVLQGQEIMTLRSAFADSNESGAAKKSIMWSTNAEEKNDVSSQRRFGSDSWMHTKRHEQTCAEILSGFRAPDSSGFRSPLLEHNSGDKSLSKPHLQDQESRHNYSPGSWSLMPPHSDFSMGECNLKTSSQVGEISHLKAERYRCGMQGGLSEFQGLGGDQTSLNWLEHMMPESRKDNQPQPKVIKPQPLVPSQIDVAKPKASSGYKLFGFHINSSPMISESAVPQSNSADILISHSCQTSTLPQPHGLEADKHSESSIVAKLVGTTPTNGDAEKLIQACPQAPKEGQSKLQGGPIRSCTKVHKQGIALGRSVDLTKFNGYDELIAELDQMFEFEGALVAPNKNWLVVYTDNEGDMMLVGDDPWNEFCNMVHKIYIYTREEVHRMNPGTLNSRVEESPAASDEKIAGKETNGPVPTPDPDNSEGCPGVDAAF >Ma11_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24904743:24908604:-1 gene:Ma11_g20420 transcript:Ma11_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDDGRVAVLAAHAVGKQSNSIQHRRLELEKEVAELQRMLRNEEKMHEVLEHAMLPPKTRSTLQIPSFLPRRTKELLAEVVMVEEEIVRLEHEISKAQESLSNMREAHEEETPKVYEYRHANRNVIEPPSNMSDTNLDVPNDKLSQKIALETKPLFFINQAIKGDYLVNGFVKKGNVGSLNRSDNHNENQSTVEIKERASRKNGRTEKASSIKLPGKYPTNQVSLNTNVENVLKLFREPSSTINLTDQNAGKYHPNKLSEKILNFLICIFLRLSRTSRALDLEKSSNLSKSANLLLRSGSFEIDGSSIRKGRIPAQREIRHHDPYGIFEIEGSILRDIGPYKNLIKFTSSSLDHKDISYSLPLLKKLRILISRLHEVDLRSLRHQEKLAFWINVYHTCIMHGILELGMPSNPEMVQVVKDKALLDVGGSKLNAQAIEHLLLRQPLNYNETEWKEVQEDYKKVIRRNYGLEHSDPNIVFALCSACKSSPAVRIYTADGVTGELEKSKLDYLQASIAVTANRRVMIPHFLFSKMHDFATDLDSLAEWIIKQLPTSWPLRKSMLECFKGHTDGKISHMVDVIPNDSEFQYLLRV >Ma08_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8713537:8745011:1 gene:Ma08_g11740 transcript:Ma08_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MDVDLIMEQAIPSGRLSGIIFDLMTDADIEKICNVNIVEANEVTSAKLGLPNASSQCATCGSRNIRDCDGHSGFVKLPKTIYHPFFVTEVVHILNQICPGCKTVKKDLKMKGSVILEASEKAIGEGIYILEAFGKNLTVLQRRLLKLCRSKLLLPTRSILARNTKVRFINLKKILKTRKNWVSKDSQVCCKYCARKANGWYPPVKFKISSKDILGRRSLSIIAEVNEKLPKKFHSQSLGEVLPEDYWNFIPKVSLLQGAKPSKIDLTPHQVFCLLSELDPEFIQQFVSRRELLFLSYLPITPNCQRVVETSHVFADGPKLSFDERTRAYKRLADVSKKIGEFRHHQQFGPLATSYTMSRVLDCFNASKLHASSSSRGDSTSGIRWLKDVVLSKRTDNVFRMTMVGDPKIKLQEIGIPFDISESLIVAEQVNSYNLEKLNMSCNLHLLRKEGLNTRSKGQLTSLHKTNQLQVGDIVSRPLENGDIILVNRPPSVHQHSLIALSVMILPIQSVISINPLCCAPLLGDFDGDCLHGYVPQSIGCRVELQELLSLDHQLFNAQDGRSLVSLTHDSLTAAYLLTANREFLNKVDMQQLAMLCPFPMPPPAVVKTPKFQIPLWTGEQLFSMLLPPTMDFGVNSRNMISKGEVMASLGGSFWLQNTTTGLFTTMFKHYGRKALDYLCCSQELLCEYLTLRGLSVSLGDVYLSSDSYSRLKMMDEIYFGLEEAEDACQVMQLMLEPEMEILRNQNKDEDTLDCISQYKIASERTCVSQVSIAAFKNVYHDLLSQIYQFINKDNSMLEMIDAGSKGSLVKLIQQGACLGLQLFAHPLPFTVPSKLNCCMWNNLKALDGGISDAAKSSCGQSSYAVIGTSFLDGLNPLECFVHAICGRANLFTENAELPGTLTRKLMFYMRDLYLAYDGTVRSAYGQQIVEFSYSILEDSTEGGESCVAYDGKDAEYTGLGGEPVGSWAACSISEAAYGSLEFPMNSLEYSPLMKLKMVLDCCKSNASTNHTALLFLSKRLHGWRYGCEYGALEVKNHLERVCFFNLIITVMILYDGHDVQGTNFSPWIMHFHVSKDKMLRRRLNVQLVKNELIKHYNCMRERMNPMLPRLCFVSKNCSSAYKWKEHDDSFCMSVAAETSESLIQLDTIRDMVIPLLLETPIKGFWASEKVEILCESLPGSGSELFLRVTMSKKCLPGSFWSDLQDACIPIMDLIDWQCSHPDNVYNISGTYGIDAAWKYFVKSLKSVTADIGRDIHKRHLFMAADCLSVTGEFHGLSTKGLRQQRNDMSISSPFAQACLSNPVNCFVNAAKQGSMDHLSGTLDAVAWGKEAPSGTGGPFEIVYSGKVHNLTRGEGIYKNLHSIKAELQQGDGEVCMAANQTVPAKWKQQPKYSTNFDGAKETIDPQTSDEDHLKERIVSKFRTNCKGYNKQQQISANDFLAKSHLTFGLRSKFSSESVSSWTDVVDMCSSLRTILYKYPIDGFLDEKDNSSLIEALNYHPKRAAKIGSGIQKIKVGCSPLHPGSRCFVLLRSDGSLEDFSYRKCVVGAAKLISPEFGSIVHKKIFHSR >Ma08_p11740.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8713537:8745011:1 gene:Ma08_g11740 transcript:Ma08_t11740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MDVDLIMEQAIPSGRLSGIIFDLMTDADIEKICNVNIVEANEVTSAKLGLPNASSQCATCGSRNIRDCDGHSGFVKLPKTIYHPFFVTEVVHILNQICPGCKTVKKDLKMKGSVILEASEKAIGEGIYILEAFGKNLTVLQRRLLKLCRSKLLLPTRSILARNTKVRFINLKKILKTRKNWVSKDSQVCCKYCARKANGWYPPVKFKISSKDILGRRSLSIIAEVNEKLPKKFHSQSLGEVLPEDYWNFIPKVSLLQGAKPSKIDLTPHQVFCLLSELDPEFIQQFVSRRELLFLSYLPITPNCQRVVETSHVFADGPKLSFDERTRAYKRLADVSKKIGEFRHHQQFGPLATSYTMSRVLDCFNASKLHASSSSRGDSTSGIRWLKDVVLSKRTDNVFRMTMVGDPKIKLQEIGIPFDISESLIVAEQVNSYNLEKLNMSCNLHLLRKEGLNTRSKGQLTSLHKTNQLQVGDIVSRPLENGDIILVNRPPSVHQHSLIALSVMILPIQSVISINPLCCAPLLGDFDGDCLHGYVPQSIGCRVELQELLSLDHQLFNAQDGRSLVSLTHDSLTAAYLLTANREFLNKVDMQQLAMLCPFPMPPPAVVKTPKFQIPLWTGEQLFSMLLPPTMDFGVNSRNMISKGEVMASLGGSFWLQNTTTGLFTTMFKHYGRKALDYLCCSQELLCEYLTLRGLSVSLGDVYLSSDSYSRLKMMDEIYFGLEEAEDACQVMQLMLEPEMEILRNQNKDEDTLDCISQYKIASERTCVSQVSIAAFKNVYHDLLSQIYQFINKDNSMLEMIDAGSKGSLVKLIQQGACLGLQLFAHPLPFTVPSKLNCCMWNNLKALDGGISDAAKSSCGQSSYAVIGTSFLDGLNPLECFVHAICGRANLFTENAELPGTLTRKLMFYMRDLYLAYDGTVRSAYGQQIVEFSYSILEDSTEGGESCVAYDGKDAEYTGLGGEPVGSWAACSISEAAYGSLEFPMNSLEYSPLMKLKMVLDCCKSNASTNHTALLFLSKRLHGWRYGCEYGALEVKNHLERVCFFNLIITVMILYDGHDVQGTNFSPWIMHFHVSKDKMLRRRLNVQLVKNELIKHYNCMRERMNPMLPRLCFVSKNCSSAYKWKEHDDSFCMSVAAETSESLIQLDTIRDMVIPLLLETPIKGFWASEKVEILCESLPGSGSELFLRVTMSKKCLPGSFWSDLQDACIPIMDLIDWQCSHPDNVYNISGTYGIDAAWKYFVKSLKSVTADIGRDIHKRHLFMAADCLSVTGEFHGLSTKGLRQQRNDMSISSPFAQACLSNPVNCFVNAAKQGSMDHLSGTLDAVAWGKEAPSGTGGPFEIVYSGKVHNLTRGEGIYKNLHSIKAELQQGDGEVCMAANQTVPAKWKQQPKYSTNFDGAKETIDPQTSDEDHLKERIVSKFRTNCKGYNKQQQISANDFLAKSHLTFGLRSKFSSESVSSWTDVVDMCSSLRTILYKYPIDGFLDEKDNSSLIEALNYHPKRAAKIGSGIQKIKVGCSPLHPGSRCFVLLRSDGSLEDFSYRKCVVGAAKLISPEFGSIVHKKIFHSR >Ma08_p11740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8713537:8745011:1 gene:Ma08_g11740 transcript:Ma08_t11740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MDVDLIMEQAIPSGRLSGIIFDLMTDADIEKICNVNIVEANEVTSAKLGLPNASSQCATCGSRNIRDCDGHSGFVKLPKTIYHPFFVTEVVHILNQICPGCKTVKKDLKMKGSVILEASEKAIGEGIYILEAFGKNLTVLQRRLLKLCRSKLLLPTRSILARNTKVRFINLKKILKTRKNWVSKDSQVCCKYCARKANGWYPPVKFKISSKDILGRRSLSIIAEVNEKLPKKFHSQSLGEVLPEDYWNFIPKVSLLQGAKPSKIDLTPHQVFCLLSELDPEFIQQFVSRRELLFLSYLPITPNCQRVVETSHVFADGPKLSFDERTRAYKRLADVSKKIGEFRHHQQFGPLATSYTMSRVLDCFNASKLHASSSSRGDSTSGIRWLKDVVLSKRTDNVFRMTMVGDPKIKLQEIGIPFDISESLIVAEQVNSYNLEKLNMSCNLHLLRKEGLNTRSKGQLTSLHKTNQLQVGDIVSRPLENGDIILVNRPPSVHQHSLIALSVMILPIQSVISINPLCCAPLLGDFDGDCLHGYVPQSIGCRVELQELLSLDHQLFNAQDGRSLVSLTHDSLTAAYLLTANREFLNKVDMQQLAMLCPFPMPPPAVVKTPKFQIPLWTGEQLFSMLLPPTMDFGVNSRNMISKGEVMASLGGSFWLQNTTTGLFTTMFKHYGRKALDYLCCSQELLCEYLTLRGLSVSLGDVYLSSDSYSRLKMMDEIYFGLEEAEDACQVMQLMLEPEMEILRNQNKDEDTLDCISQYKIASERTCVSQVSIAAFKNVYHDLLSQIYQFINKDNSMLEMIDAGSKGSLVKLIQQGACLGLQLFAHPLPFTVPSKLNCCMWNNLKALDGGISDAAKSSCGQSSYAVIGTSFLDGLNPLECFVHAICGRANLFTENAELPGTLTRKLMFYMRDLYLAYDGTVRSAYGQQIVEFSYSILEDSTEGGESCVAYDGKDAEYTGLGGEPVGSWAACSISEAAYGSLEFPMNSLEYSPLMKLKMVLDCCKSNASTNHTALLFLSKRLHGWRYGCEYGALEVKNHLERVCFFNLIITVMILYDGHDVQGTNFSPWIMHFHVSKDKMLRRRLNVQLVKNELIKHYNCMRERMNPMLPRLCFVSKNCSSAYKWKEHDDSFCMSVAAETSESLIQLDTIRDMVIPLLLETPIKGFWASEKVEILCESLPGSGSELFLRVTMSKKCLPGSFWSDLQDACIPIMDLIDWQCSHPDNVYNISGTYGIDAAWKYFVKSLKSVTADIGRDIHKRHLFMAADCLSVTGEFHGLSTKGLRQQRNDMSISSPFAQACLSNPVNCFVNAAKQGSMDHLSGTLDAVAWGKEAPSGTGGPFEIVYSGKVHNLTRGEGIYKNLHSIKAELQQGDGEVCMAANQTVPAKWKQQPKYSTNFDGAKETIDPQTSDEDHLKERIVSKFRTNCKGYNKQQQISANDFLAKSHLTFGLRSKFSSESVSSWTDVVDMCSSLRTILYKYPIDGFLDEKDNSSLIEALNYHPKRAAKIGSGIQKIKVGCSPLHPGSRCFVLLRSDGSLEDFSYRKCVVGAAKLISPEFGSIVHKKIFHSR >Ma08_p11740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8713537:8745011:1 gene:Ma08_g11740 transcript:Ma08_t11740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MDVDLIMEQAIPSGRLSGIIFDLMTDADIEKICNVNIVEANEVTSAKLGLPNASSQCATCGSRNIRDCDGHSGFVKLPKTIYHPFFVTEVVHILNQICPGCKTVKKDLKMKGSVILEASEKAIGEGIYILEAFGKNLTVLQRRLLKLCRSKLLLPTRSILARNTKVRFINLKKILKTRKNWVSKDSQRKANGWYPPVKFKISSKDILGRRSLSIIAEVNEKLPKKFHSQSLGEVLPEDYWNFIPKVSLLQGAKPSKIDLTPHQVFCLLSELDPEFIQQFVSRRELLFLSYLPITPNCQRVVETSHVFADGPKLSFDERTRAYKRLADVSKKIGEFRHHQQFGPLATSYTMSRVLDCFNASKLHASSSSRGDSTSGIRWLKDVVLSKRTDNVFRMTMVGDPKIKLQEIGIPFDISESLIVAEQVNSYNLEKLNMSCNLHLLRKEGLNTRSKGQLTSLHKTNQLQVGDIVSRPLENGDIILVNRPPSVHQHSLIALSVMILPIQSVISINPLCCAPLLGDFDGDCLHGYVPQSIGCRVELQELLSLDHQLFNAQDGRSLVSLTHDSLTAAYLLTANREFLNKVDMQQLAMLCPFPMPPPAVVKTPKFQIPLWTGEQLFSMLLPPTMDFGVNSRNMISKGEVMASLGGSFWLQNTTTGLFTTMFKHYGRKALDYLCCSQELLCEYLTLRGLSVSLGDVYLSSDSYSRLKMMDEIYFGLEEAEDACQVMQLMLEPEMEILRNQNKDEDTLDCISQYKIASERTCVSQVSIAAFKNVYHDLLSQIYQFINKDNSMLEMIDAGSKGSLVKLIQQGACLGLQLFAHPLPFTVPSKLNCCMWNNLKALDGGISDAAKSSCGQSSYAVIGTSFLDGLNPLECFVHAICGRANLFTENAELPGTLTRKLMFYMRDLYLAYDGTVRSAYGQQIVEFSYSILEDSTEGGESCVAYDGKDAEYTGLGGEPVGSWAACSISEAAYGSLEFPMNSLEYSPLMKLKMVLDCCKSNASTNHTALLFLSKRLHGWRYGCEYGALEVKNHLERVCFFNLIITVMILYDGHDVQGTNFSPWIMHFHVSKDKMLRRRLNVQLVKNELIKHYNCMRERMNPMLPRLCFVSKNCSSAYKWKEHDDSFCMSVAAETSESLIQLDTIRDMVIPLLLETPIKGFWASEKVEILCESLPGSGSELFLRVTMSKKCLPGSFWSDLQDACIPIMDLIDWQCSHPDNVYNISGTYGIDAAWKYFVKSLKSVTADIGRDIHKRHLFMAADCLSVTGEFHGLSTKGLRQQRNDMSISSPFAQACLSNPVNCFVNAAKQGSMDHLSGTLDAVAWGKEAPSGTGGPFEIVYSGKVHNLTRGEGIYKNLHSIKAELQQGDGEVCMAANQTVPAKWKQQPKYSTNFDGAKETIDPQTSDEDHLKERIVSKFRTNCKGYNKQQQISANDFLAKSHLTFGLRSKFSSESVSSWTDVVDMCSSLRTILYKYPIDGFLDEKDNSSLIEALNYHPKRAAKIGSGIQKIKVGCSPLHPGSRCFVLLRSDGSLEDFSYRKCVVGAAKLISPEFGSIVHKKIFHSR >Ma11_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9464434:9470279:-1 gene:Ma11_g10180 transcript:Ma11_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSIPIRSDFLIAPGSPDSYIRLGLLPNGKTRSIRLLSRRQRSPRASLCSDPLLVQAARGNAISRPPAWMMRQAGRYMDAYKKLAKKHPSFRERSETVDLIVEISLQPWKAFQPDGVIIFSDILTPLPAFGVPFDIEEVKGPIIHSPICDEEALKCLHPIDLEKLQFVGESLSILREEVGEKAAVLGFVGAPWTIATYVVEGGSTRTYTTIKRMCHTAPRVLKVLLSHLTQAISDYVIFQVKSGAQCIQIFDSWGGQLPPNIWEQWSKPYIEQIVSLVRKECPQIPLVLYINGNGGLLERMTGIGVDVIGLDWTVDMADGRRRLGYNVNVQGNVDPAYLFSPLPVLTDEIHRVVRCAGRRGHILNLGHGVLMNTPEEAVAHFFDVVRGLSYDTLFEDGVTAQELEPLKL >Ma10_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15755892:15756972:1 gene:Ma10_g05030 transcript:Ma10_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGGGRDQQLQPLTDAEHGSFHGLMLNEVQSRLGGPLHGLSLGDLLEHAPLVADGLRCSWSGGVPRVLSKKTIVEAWRDIQLRHEEGSSERAVLGEMTVEDFLPKAGAAAQGTDSGVADAHAVRSPGRGSNSSAAAATAPPRSRRRRRVATEDVAEKMVERRQKRMIKNRESAARSRARRQAYTNELENKVVLLEEENQRLVKHKELEAVERSMPHPDPKHQLRRTSSAPF >Ma03_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26015246:26015646:-1 gene:Ma03_g20930 transcript:Ma03_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSCLVESFSWSSILSALIMRSCYWQPTTTQDSFTDKCSTNEGSLMKRQALPESIKYHIVQIRPNFIRGGFTYPTFQNFLKLHVCSYKFCFCMHIP >Ma04_p35750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34368316:34369739:-1 gene:Ma04_g35750 transcript:Ma04_t35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLLLFAYVTFLAVFGSSSTPSEARAFFVFGDSLVDSGNNNYLATTARADNIPYGIDSPSHRPTGRFSNGRNIADVMSEQLGGEPTLPYLSPELRGEKLLVGANFASAGVGILDDTGIQFANVIRISKQLQYFEEYQEKLSALVGRSQARKIVSHGLVMITLGGNDFVNNYYLIPLSLRSRQFSLPDFVRYLIFEYRKVLVRLYELGARLILVTGTGPLGCVPAELALRRSLSGECDPELQRAADMFNMLLFDVVRELNLEIDYVSFIAANAFNMHMNYITNPQVYGFITSKVACCGQGLFNGVGLCTAVSKLCEDRDEYAFWDAFHPTERACRIIVREILSGSEEYMHPMNLSTILARDGTV >Ma05_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3543050:3546505:-1 gene:Ma05_g04660 transcript:Ma05_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGACTLQQALTAEAASVLKHSLSLARRRGHAQVTPLHVATTLLSCSSSSNLLRRACLKSQPHCPASHPLRCRALELCFNVALNRLPTTPPPASHPSLSNALVAALKRAQAHQRRGCMELQQQQQQLLLAVKIELEQLIISILDDPSVSRVMREAGFSSTSVKNSLEGETSSVLSRSSPFLLESHKDVIDHRSPLFTLSSQQSSHHHHDSSAVLEVMLGKQGRRTNTVLVGDSVSLAEGVVAELMAKVGRGEVPDELKAAHFIKLHLSYVHLRLMSRSDVDLKLSDLRRKIDHLASERTGGGVIIYAGDLRWAVDEETRDGCRFKPVEHMVAELGRLLSEFRSSIGNGGGGTVNTKLWLLATASYQTYMRCQVRQPSLETRWALQAVVVPSGGLGLSLQAPSGLHTGLPELFEHPSPLLGSKVLSSREDEKLICCGECISNFEKEASVHRSVNKDTNCGSTQLPFWLQRQSPDNHKDALLELKRKWNSLCRNLHHIRRSQTRLHPSSILNQSSIGKNLSCSSSYPWWPNSNQSESSMQTTPELDGGLPFDMIDSRNGTGNWQEREESKPSFPEVSLHCLRSAGNLDVGVTLSLGGAVVSDSATSNKQKEMMTDHRELTRKLLENMPWQSEIVPSMVEALTSSENKGVRLLLQGNDCVSKRRLARVMVEHFGGSEERFIHIDMRRRASKCSSCGEILEEALEKESKLVVFMEDIDRADTSFVTSLVDVLKMGAFETSSGQEVCLTETTFILTTSSSAGIGDANDVIKMKLQAEVPSTNKDLRRKAETEQQNKPKRPRTGDCTLDLNLLAEGEDEEAVPSDLTNETDCGNSRLPSELLELITARLAMDADLERLRPASENLVSKLRRAFDEVRSGVGGEIGELLIDGAAVAELMAAAGSFLEGIFERWVREVFQTCLRRVERGGNVRLRAEGKVGNVGEFGFMSSLLPKWMDVD >Ma04_p25220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27019578:27019820:1 gene:Ma04_g25220 transcript:Ma04_t25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKNTILFVRLLLDMLLSTTPTKIRVNSSIKNLKILLFPHEALFIFFHNASLIFLQNKITPSFYRHDHLSPPPTIEDRG >Ma02_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14318253:14321674:-1 gene:Ma02_g02910 transcript:Ma02_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVVGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma08_p32640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43286266:43289058:-1 gene:Ma08_g32640 transcript:Ma08_t32640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRNRPDEEEAEYSTWTPRNSWSIGLIKFVSFLVIFMAGIIIGLSLSAHFTRSFNSQTDIFFPKTMYTTNCDKASLSFKGFLSPAHLMHSMSDKELFWRVSMAPKMKEYPFERVPKVAFMFMTRGPLPLARLWDRFFKGHEGLYSVYVHTIPDYKLNAPESSAFYGRQIPSEEVSWGSVTLVDAEKRLLANALLDFSNERFILLSESCIPVYNFPTVYEYLINSAHSFVESYDENSQQGRGRYSRHMFPTIKLHQWRKGSEWFELNRELAVNVVADYKYYSIFSKYCKPSCYPDEHYIPTFLNMFHGSLNANRTITWVDWSRGGPHPATYGAPNITPDFIQSIRNNGTSCMYNSRPTSVCFLFARKFAPSSLDPLLNLTSTVMGF >Ma08_p32640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43285876:43289058:-1 gene:Ma08_g32640 transcript:Ma08_t32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRNRPDEEEAEYSTWTPRNSWSIGLIKFVSFLVIFMAGIIIGLSLSAHFTRSFNSQTDIFFPKTMYTTNCDKASLSFKGFLSPAHLMHSMSDKELFWRVSMAPKMKEYPFERVPKVAFMFMTRGPLPLARLWDRFFKGHEGLYSVYVHTIPDYKLNAPESSAFYGRQIPSEEVSWGSVTLVDAEKRLLANALLDFSNERFILLSESCIPVYNFPTVYEYLINSAHSFVESYDENSQQGRGRYSRHMFPTIKLHQWRKGSEWFELNRELAVNVVADYKYYSIFSKYCKPSCYPDEHYIPTFLNMFHGSLNANRTITWVDWSRGGPHPATYGAPNITPDFIQSIRNNGTSCMYNSRPTSVCFLFARKFAPSSLDPLLNLTSTVMGF >Ma00_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3723848:3737049:-1 gene:Ma00_g01010 transcript:Ma00_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDLRGDSSPDTAPRRSQLPRSPTVGDKEKQTHIRFLVSNTAAGCIIGKGGSTITEFQSQSGARIQLSRNHEVFPGTSDRIILISGVFSEVMKAMELILEKLLSEVEDSNDIESRSKVRLVVPNSSCGAIIGKGGSSIKSFIEDSRAGIKISPQDNIAGLNDRLVTLTGSFEEQMRAIFLILSKLMEDAHYPPTFNSPFPYSGVNFPGFPGFPVGYMVPSVAYSPISYGSNGSGGKYPSNKGVASPVVPHPSQSPGGPLEGQSNSVTIAIADEHIGAVVGRGGRNIMEISQVSGARIKISDRGDFIMGTSDRKVTITGSPEAIRAAEALIMQKVSSNSER >Ma00_p01010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3723848:3737046:-1 gene:Ma00_g01010 transcript:Ma00_t01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDLRGDSSPDTAPRRSQLPRSPTVAGCIIGKGGSTITEFQSQSGARIQLSRNHEVFPGTSDRIILISGVFSEVMKAMELILEKLLSEVEDSNDIESRSKVRLVVPNSSCGAIIGKGGSSIKSFIEDSRAGIKISPQDNIAGLNDRLVTLTGSFEEQMRAIFLILSKLMEDAHYPPTFNSPFPYSGVNFPGFPGFPVGYMVPSVAYSPISYGSNGSGGKYPSNKGVASPVVPHPSQSPGGPLEGQSNSVTIAIADEHIGAVVGRGGRNIMEISQVSGARIKISDRGDFIMGTSDRKVTITGSPEAIRAAEALIMQKVSSNSER >Ma11_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1917272:1918942:-1 gene:Ma11_g02630 transcript:Ma11_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGGVVLLAFVVALCLSATGAEPQLEVGFYSYSCPAAELLVKEEVEKALQDDPGVGADLLRMHFHDCFVRGCDGSILLNSTKGNTAEKDARVNTDLEGFDVIDTIKEKLEAACKGVVSCADILAFASRDSIVHYGGVPYKVPSGRRDGRVSIAADTSILPSPKLGLSELTKLFISKGLSQNDMITLSGAHTVGIAHCDAFSNRLYNGDATLDQNYAAYLKTQCPPGSNNTVSMDPKTPRKFDNLYYRLILKNQALFTSDQTLVSTQGTATQVKRLAESYKRFQKKFADAIVKMGAIEVLTGSEGEIRADCKVVN >Ma05_p31120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41187339:41191225:-1 gene:Ma05_g31120 transcript:Ma05_t31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYTSLPTSHLLGSVPAVIAEEKPSAGASQSLPANLQVFPPGNGGYIPPESPLGGEEQAANNWKGFCSLSSYTPYFNVDTDVVVDRIISSVYPMDEFSRKIDGSPDLYGPVWISATLVFMLAALGNYGTYLIRKRSEPNGDWEFDVSYVDWAACVVFGYAVAVPAAFYFLLQYFGLNANLVRLWCMWGYSLFVFIPASLFLVVPSEIFRWIIIILAGSASSWFVYLNLKVSTEGSDTRPLVVSALVLQFALALFIKIFFFS >Ma10_p26350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34082652:34092826:-1 gene:Ma10_g26350 transcript:Ma10_t26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTEGTARGRAPRRMKCPSVASLWPAAPPPHHVTAAVALPHPAAALYTGGSDGSIVWWSLSAGREIRPVALLCGHAAPISDLAACSPAGSSLPAAAALLSACADGVLCVWTAGSGRCRRRRKLPPWSGTPLLLSPLPLSPRYVCVFCTSADSAGHHANEGPKCAVVVVDSWSLNVLRTVFHGGLPIGPVKSMVVVPVAEDGGKKRQDAILVDGHGKTKFLTVSESDDDDQEGTILQRGSSLEAVPSVSGETSEAQATAVAVTGDGKLLALVLENYCVFKSVNDGVTVGEIYLDGSPLCNENYTKKSQLVGGMFLKKDIEHSATEPEDLADGSAWSFFLWSSSGAGVVYMVSLSGVTFKFEPLCKVPATLVVPSEKGSAYFCQINRCLVRTESLCFSVGGALLWKPYVTKWSIAKLEAILDDNPCSLFVANLLGEGGFAGDTVGKLSCSVYEAGESTEKNIQHSYFGHTSGLNVECGDDSHNFFGSNDQIVSSSMVLSEDFFGPYAVVYGFYSGQIQILQFINVFREVNSDTGSTNYQNYLQTSERFFTGHTGSVLCLAAHRMIAHSEADSFHHILISGSMDCTIRIWNMDTGNLVSVMHHHVAPVRQIILPPPWTYHPWNDCFLSVGEDCCVALISLEALGVERMFPGHPSYPSMVAWDSTKGYIACLCKNLQSSSDAVTVLYLWDVKTGARERIIRGTASHLMFDHFCRGIHKNSITGSILGGTTSASSLLLSAPKDAIISQSHAAKLETGVNLSKVGISHRSMGSLDMSTIQAEHTRGKVPLLVPSPDSYNHDLARNSFKRRAKSQRFNEKKKHPVKCYCPFPGIAVLKFDLSYLMSPLSKQNSDKQVNIHLPELDPKEPGFQYRGSSFDSQGLENCLVKGSLEGYLLRFSLCFLHLWGVDQELDKLLMHEMSVCKPEGCHIGSGLIGDRGSLTLMFPGLSATLELWKASSEFCAMRSLSIVSLAQRMITVSQTCTTASSALAAFYTRNFAEKVPDIKPPLLQLLASFWQDPSEHVRMAARSLFHCSAPRAVPYPLYCQKMIVPEISSSSSGVVSNASNGYSSGYTDSNRSMHGTMSVDSEVSSMVSWLESFEFQEWILWIGGTSQDVIASNIIVAAALVVWYPSIVKNTLPELVVNQLVKLVMSTNDRYSSTAAELLAEGMENTWKFCLSSEIPRLVGDIFFQIECLSGTTANKAKQNPAVAVTIREALVEILLPSLAMADIAGYLNVIEGQLWATSSDSPIHIVSLKTLIRLVRGSPKPLALYLDKVVHYILQTMDPGNLVMRKACLNSSMIALREVARVFPMIALNETSTRLAVGDAIGDISTATIRVYDIDSVSKIKVLDASGPPGLPSLLEESSKSRITTVITALTFSPDGEGLVAFSENGLMIRWWSLGTAWWEKLSRSLVPVQCTKLIFVPPEGFSPSSSRSSMIATIIGNDKRGNSPDKIKELDDADVLKLLTHSLDLSYRLQWVGGKKVALIRHGLELGTFQL >Ma08_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3678005:3687294:1 gene:Ma08_g05370 transcript:Ma08_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPRILLCGDVLGCLNHLFKRVQSVNKSTGPFDALLCVGQFFPDSTDGLDEVADYIEGRSAVPIPTYFTGDYGVGAARFLSAASKLPSNRGFKTDGLEVCPNLFWLKGSGKFSLHGLSVVYLSGRQSSGADESGIYSEDDVGALRALAEEQGIVDLFLTNEWPSGVSNGADPSNTPPVVADPSGCDPLVSELAAEIKPRYHIAGTKGVFYAREPYTNNESVHVTRFLGLAAVGNKDKQKFIHAISPTPASMMSSNEIHARPPNTTMSPYSLGEKVSHARETTKRPSDSDTQYWRYDTSQKRQRQGENDSGRLCFKFTSTGSCSRGGKCHFRHDEEAREHYMRNVCFDFLNKGKCERGPDCKFGHSLAESGASFPEKERAQSGRGRTERSCWFCLSSPNVESHLVLSIGESYYCALAKGPLVPNHVLLVPVQHCPNTLTMPLDTETELEKYKSALNIYFKNQAKAVVFFELIFPQSPHANLQAIPIPLSKASNVKRIFNLASKKLGFEFATLNPDGDSTQGRQLLRSQLNSTSSMFYVELPEGAILLHVVDDKEKFPVQFGREVMAGLLNMADRADWRNCKLSKEDELQMVEEFKNGFREFDPAQ >Ma08_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37418839:37418958:-1 gene:Ma08_g24100 transcript:Ma08_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQCYKGNRTVVLDLVSINFTLLVLFISPQFKSHVHG >Ma08_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28417364:28432741:-1 gene:Ma08_g18110 transcript:Ma08_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLTASEEDLVEYVFHDIKARRAGILKALTADFEDFHQQCDPAKENLCLYGFPDEHWEVNLPADEVPPEIPEPVLGINFARDGTNKKDWLSLVAIHSDAWLNALASYFGGRFQFDRTQRKHLSVMIADLPTLCEVVSEMNLQRVSGSKHSSNKSKSNSKLRASSEHQAKKSKSKHIKEEVEDLNGNDNNDDEDKHLCASCGGNDSVGDYFWICCDACEKWYHGKCVRVTPAKAKHIKNYCCPRCSHSKRRIS >Ma04_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5299781:5304949:-1 gene:Ma04_g07300 transcript:Ma04_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLLDAFTDENMDLQKQIGCIAGIFQMFDRHHLLIGKRLNDHNHRKLPSGHVLPKNGRRESEQKPRFSQILREKNLSKSLNETQTASMESSRASCSSSSSSYSSLESVKASRQEACSFDRAFFQEKSLLANSPEVKKPEDDAKSISFDSCSSTPKAILQTLDFHNAVRDPIKKGAQGFPTRTASTEKVNNHEVKHKDTPRPVLLAKTRMPADLNEAIRLLVELKEAPWRTRDAKGDQLPHAVQSRDSTIAKPKDHHSPLKHKSKIMTETAPWTQQTPQKARTGYQEAQFIYQEIEERLKGPKFQQQKKDLRALKQIVAAMHAKRLAQTTKDNDYPYKISVWKQPAQEGSSPKAFDPPIVVMKPAKNVRISDASGHTVVLLEGLSNLPKLLTSSAGNRKKSSANITAERDHSPRVRLGESNIQSLLSTTDRQIIRSILSPRLQQRKTEAEKQPCPLTPNMNKAQSEHNNRKPVESVSPRHKLRLKQSQVQKREDQVDVDEIICEKRVSSRRNDETSPGSNKSRSFALQSSVLQHRIPSSRASQIATLNNLGILYRFFLKKLCFTWTQHISDKELTSITFEYPSPVSVLDSSCYEDQFSPSPVKRTPDAVKGEHLFYEFSDTEPCTLDLYCHPKNSSKMNDETSLKKLQDFENLVQIKMLKSADAELPTTDFITSKCNMDNPDHNYVSEILLATGILNKDCRVPLQLYTSGHAINPELFGVLEQPKHGWFSKCELDKEETQHQKTNTEKNHRKLVFDVVNEIISHKMESTAYGNGLDLLCQFRRKLSGQQLVLEEVCSQIRRLRTEKTRSTSLEDDVEFIAGEDILYRSEGWVDFSVEQSRVALQIERLIFKDLIDEVLSGVTEAGLQIKPRMALLRPRRFFFLGPNIPQKNIEHFSNPINAGTPH >Ma02_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25227182:25229124:1 gene:Ma02_g18840 transcript:Ma02_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGEVWRRDVARRNHPPLVDSRACLCRVDAGLKTVTGAKKYVPSTKLCVQPRIRTSIHPVRPNPAFDRNRIQSPLLPGLPDDLAIACLIRVPRPEHRKLRLVCKRWQRLLAGNYFYSLRKSLSVAEEWIYIIRRDREGRISWDAFDPRFQLWHPLPPVPKEYSKAIGFGCAVLHGCHLYLFGGKDPCKGSMRRVIYYNARTNKWHRAPDMLRRRHFFGACVINNCLYVAGGESEGVHRFLRSAEFYDPSKNRWSFVSEMSAAMVPFIGVVYEGKWFLKGLGPQQQLLTDVYFPETDTWCPASSGGMVAGWRNPSVCLNGRLFALDCRDGCKLRVYDAGAGSWSRHIDSKLHLGSSRAMEAAALVPLNGKLCIVRNNMSITLVDVEARDGAGSGDQRWETIAGKGQLKTFVTNLLSNIAGRRSNRSYIVHCQVLQA >Ma06_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13724502:13724732:1 gene:Ma06_g19680 transcript:Ma06_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVPNPSCSLEGDCVQHVHHVHCAPFHMSSMNQLVLQVVSCLGF >Ma08_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36083153:36083913:1 gene:Ma08_g22520 transcript:Ma08_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGTAAKGGRGKPKASKTISRSQKAGLQFPVGRIARYLKDGKYADRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAIRNDEELSKLLGTVTIANGGVLPNIHQTLLPKKQGKGQGELGSASQEF >Ma08_p31760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42560211:42561683:-1 gene:Ma08_g31760 transcript:Ma08_t31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPPGKKKEGNAAKYITRTKAVHYLQVSLSTFRKLCILKGIFPREPKKKVEGNHKTYYHMKDILFLAHEPLLEKFRLVYLLNIVS >Ma08_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7451440:7459014:-1 gene:Ma08_g10230 transcript:Ma08_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGMVAVSLASVVEDVLKQHGTKLSDVNLASRKAGEAVARRYEAAGWLRKAVGVVAAKDLPDEPSEEEFRLGLRNGLILCNALNKIHPGAVPKVVVNPGDTVQQPDGAALSAYQYFENVRNFLVAVQEIGLPTFEASDLEQGGKSARIVNCVLSLQSYGEWKQMGGHGSFRYGGNSKPSISGKSFIRKNSENYKDSLSRSQSLNENDGLCAELNSQGDISMESCQMTTSRPLNMLVHAALSDKTPEELPLLVESMLNKVMEEFECRVTRQNELEKTTLKGHDTSKSFVKAKASIGPPSIHCEMEKETEGSILRKAKRENHAKKINKEDASKENHMKQQLIFQQQERDIQELRHTLQTTKAGMQFMHMKFTDEFTKLGEYLHGLAHAASGYHKVLDENRKLYNQVQDLKGSIRVYCRVRPFLPGQLSGNTLGSIDEGSITIVTPSKYGKEGRRSFNFNKVFGPSSSQEEVFSDTQPLVRSILDGYNVCIFAYGQTGSGKTYTMSGPKLLNEQTVGVNYRALSDLFKLSEQRRGIFSYEISVQMIEIYNEQVRDLLVGDGLSKKLEIRNNSQKGLNVPNANLVPVTSTSDVIELMNIGQRNRVVGATALNDRSSRSHSCLTVHVQGKDMTSGTILRGCLHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVISALALKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEMDAIGETLSTLKFAERVSTVELGAARVNKESGEVKKLREQMASLKAALTCKEEGSQHLQNTIPGADSLSTRPSSPVHSNRRSGADYLHNQSNQRQPMEEVGNIEVRSSLPSRQKKPSFDLQDLFTTNDSPPWPDSCSRMNLPVRDDKEIVSGDWVDKIMVNKLDTAVMDDNPMIDWEGDTGTLPDFFYQRCVSDVRIYPDKQYHKSAMRRKDSYELDMQRSHSYYVATDDSDDQDIATSDSSEADMLWQFSLQNVHSTGNESGSRIKKPQPKFTQSSDIRTPNYTHIPSPSQKTSNGSKRTGRQANGDDGKRIASGGKTGNRK >Ma08_p10230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7451440:7459020:-1 gene:Ma08_g10230 transcript:Ma08_t10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGMVAVSLASVVEDVLKQHGTKLSDVNLASRKAGEAVARRYEAAGWLRKAVGVVAAKDLPDEPSEEEFRLGLRNGLILCNALNKIHPGAVPKVVVNPGDTVQQPDGAALSAYQYFENVRNFLVAVQEIGLPTFEASDLEQGGKSARIVNCVLSLQSYGEWKQMGGHGSFRYGGNSKPSISGKSFIRKNSENYKDSLSRSQSLNENDGLCAELNSQGDISMESCQMTTSRPLNMLVHAALSDKTPEELPLLVESMLNKVMEEFECRVTRQNELEKTTLKGHDTSKSFVKAKASIGPPSIHCEMEETEGSILRKAKRENHAKKINKEDASKENHMKQQLIFQQQERDIQELRHTLQTTKAGMQFMHMKFTDEFTKLGEYLHGLAHAASGYHKVLDENRKLYNQVQDLKGSIRVYCRVRPFLPGQLSGNTLGSIDEGSITIVTPSKYGKEGRRSFNFNKVFGPSSSQEEVFSDTQPLVRSILDGYNVCIFAYGQTGSGKTYTMSGPKLLNEQTVGVNYRALSDLFKLSEQRRGIFSYEISVQMIEIYNEQVRDLLVGDGLSKKLEIRNNSQKGLNVPNANLVPVTSTSDVIELMNIGQRNRVVGATALNDRSSRSHSCLTVHVQGKDMTSGTILRGCLHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVISALALKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEMDAIGETLSTLKFAERVSTVELGAARVNKESGEVKKLREQMASLKAALTCKEEGSQHLQNTIPGADSLSTRPSSPVHSNRRSGADYLHNQSNQRQPMEEVGNIEVRSSLPSRQKKPSFDLQDLFTTNDSPPWPDSCSRMNLPVRDDKEIVSGDWVDKIMVNKLDTAVMDDNPMIDWEGDTGTLPDFFYQRCVSDVRIYPDKQYHKSAMRRKDSYELDMQRSHSYYVATDDSDDQDIATSDSSEADMLWQFSLQNVHSTGNESGSRIKKPQPKFTQSSDIRTPNYTHIPSPSQKTSNGSKRTGRQANGDDGKRIASGGKTGNRK >Ma02_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16490605:16493265:1 gene:Ma02_g05150 transcript:Ma02_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFTCVDAAVPPHGYAFAWDGALPAQGAFPGDASTTADGPTADLSSPWSTDLSAKLYRIDGWGAPYFCVNASGDISVRPHGAATLPHQEIDLMKVVKKASGPKSAGGLGLRLPLLVRFTDVLKHRLESLQQAFDVAIRSNGYGSRYQGVYPVKCNQDRHLVEDIMEFGAPFDFGLEAGSKAELLLAMTCLTRARPEAFLICNGYKDEEYITLALIARSMDLNTVIVLEQEEELDTVVETSERLGVRPVIGLRAKLRTKHSGHFGSTSGEKGKFGLSTAQILSVAQKLQRLEMLDCLQLLHFHIGSQIPSTALLSDGVGEAANIYCELARLGALMRVIDIGGGLGIDYDGSRSGGSDMSVGYGLDEYANAVVRAVMLACDRKHVGHPIICSESGRALVSHQSVLIFEAVSSTSTKSEASSSIWPNHACLLDELADDAHSDYHNLIAASLRRENETSVLYAEKLKQKCVDHFKDGILGLEHLAAVDAVCDLVSKEFDVADPVKTYHVNLSLFTSIPDFWAIGQLFPIVPIHRLDQRPVVKGILSDLTCDSDGKVDRFIGGQSSLPLHELERGGGAGGYYLGMFLGGAYQEALGGLHNLFGTPSVVRVSQADGPRCFAVTLAVPGASCTDVLRAMQHEPEVMFETLKQRAECAGGDAVSCALALAHSFDTMPYLVFDANGGYSVVSGGDEEGTDGMSSYSDGCGEEGDQEWESMRCLSV >Ma07_p28140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34282767:34289047:1 gene:Ma07_g28140 transcript:Ma07_t28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLIQEPQSQNKFKQLDPGTGQRNSLSKLSASAKPAPVDQLPAMIDDVPINHVSDCNWPKTVVPSMPLVPINSQPFIGDNMNWLQLNDNPTVPNVASSFVISNNQIQTMRSMGFIPQQFDQTFHGIPVSSAKGVICQHPQFFGASSNCTDLTTTGARNESRKAWVPFNSLQSDQSSPAQNFSRERTFAMCNFHGKGSLDNASVQVLSNDVTSGNFQQIDNLKCSVRVHEVQDNQDKADLSSNLHENPSMQVETSDDVAANLDPTEQKLLFGMDDGHSLEVPLGGSLNTCSGNFLCGSSLENIHFGAIPSMQSGSWSALMQEAVQACSSDKGIQEEWSGLSYQKMECPMVMDSVVSNDNAKQPTTWDDSYLQSASSLTSRHLPLYNDADACSNSCTSPSFHNSFAHDGNSRVQSGAPHVSFQLSAGGNNYKQFHQDQKQKPIFEGGPQAQIPLTNEVWVDQSYEQCANDSVDMQYTEGSWTYQQNEALVNFPGEFSDTPNFWNSSYIMAPGGDCVSDVCDNDVNMGKPGGSNMHVNSGEQPVESDIGSSVQAEDFAVDNYGSVVNTNNFEWNDEMNQLASNSGLMVFGKNLNFDACVTVKTNGDKNVERNYNQLSGRPQTSYDTNKRLSSTDENKKDLQMVSGDGYTSSSLDHSRYSYTIDSAKENSVLAANDQKSFVSEIQDSLIQTGQHTVGSKMKRLTGSLGMDVEPLLPKNHPFIFQCMPKSVIQGYKKEEQIYAQKSKFADHIDSNNVENLVKRIAVESQKLQSRDNILTHASASSFDGSIGPYSQNKRIAQTSQNVLELLHKVDQSRNTDIPTQVGFDTASPRICQPSAVQGFGLQLAPPSQQFSKFVLPSNTCLNINPMNLDSKAGDKDQIWSISTPSVQSLMNETSQRENQSKISSISEQKHKEALYCDKQNTLSAIARNSCNMRNHLQGQQSQERNPNVNVYLEKEQDIPSSTGYGTLDQSMNFTIFNQANANALVKNTSLLQQPYKSHDGAVADRSIQTSFPSLAGRVPPFRVASGEQLQTTKMDYTKQKQVVQDFSQISSSMYSNTETISIQPSTAGISYQVDPSSMLAYMWTNISTQQHQASLLPDSLSLQIPQSHNIRETSFLGLQKTYDQGSNGESASSEVGTSSHLMNRDDPNKRFSLKPSITEKIDSITQAETEFQWTELVGNAEEGLNTFIPSLVHLHQKGMDQGKSWHASTPYTQAVHASINRMASSSSEIGFPGFTSNPSDVQQKNCSLLHRMQARRKADSDLSNKVQSRLRGADFVSNSSFVYWNNDQGNVQEQDSDFKIPAYRELGACSQSLLPSNAKMLSFASKENVLQNASTPSSGGHCLQNDISSLSTCSTANLIRGNEHTCMNPQVSPSWFEKYQMYKNDAVIAEHDGQKITKPTSQQNFFSDIPGSTAENIMVKHRIQNSQTYTWPSSSIAEVSSDRPHSLLPTIVDQDAVIRSRKRKNTITELLPWHKVAQGQQRLRSISIAELDWARVTNKLFEKVHDGAEALENGPSTTQPRRRLILTTHLMHQLIPAVPSRILNKEVISAYENVTFSIAKSALADVCSLISSLGRDYHIHLEKENTFSDNVTSCKKAGDHLCSKIMEVFIQRSKKLECDFSRNMAIWSGLVGPDAYCDCG >Ma08_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34661406:34662370:1 gene:Ma08_g20550 transcript:Ma08_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEPVLPLAGRVAIVTGASRGIGRAIALHLASLGAGVVLGYASNATQADLLAAQINSSHEARAVAVRADVSDPADVKSLFDRAESAFGGAAPHILVACAGVLDPKYPPLVDTTLEDWDAAFNVNARGAFLCCQEAAARLIRGGGGRIVTVSSSLVAALLPGYAAYAASKAAVEAMTKVLAKELRGTGVTANCVAPGPTATDLFFAGKSEEAVNRTAEASPLGRLGQPEDVAALVGFLCTDAGEWVNGQVVRVNGGFI >Ma03_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23539806:23541295:1 gene:Ma03_g17940 transcript:Ma03_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVPSLLLLSSFRPPPLWVSLSVESSVPPHCASKAFSVTYLLGYFVIFRNWNPKYRPDASSCFISLFHGSPAVFLAVAAILNQPVRGFASPNTNFQNLVLDFSIGYFTVDLLHYLILIPGDYLFIAHHLATLFVFVTCRYLVVHGAFSILVLLVLAEVTSACQNVWTLAGLRKADLPIAARIHKNLSPPFYGLYTIMRGFIGPVFFCKMSAYYLSGKASNVIPIWVSAAWIVVVGGAILVSIMWISNLWLQFFKDENVEKKDS >Ma06_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5823381:5829388:-1 gene:Ma06_g08240 transcript:Ma06_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MPLIGGAAVTFAAPALTLRRRRPIRCAVTDAATAEEAALLRRAAEVADLSAGHTSPHPNFGCVIARCGDVVGEGFLYAQGTKCAELQAVEKAGGLARGATAYLNMEPGDCYSDHTAVSSLVQAGISRVVIGLRHPLQHLRGKAIQSLRSESVQVDVLGEDLQSKTFEDALKSCLMVNAPLLYRAAFRVPFSVLKYAMTLDGKIATMSGHASWISSKVSRGRVFELRGRSDAIVVGGNTVRRDDPQLTARHGGGHVPVRIVMSRTLNLPDEAKLWNVTEAYTIVTTQRGARRDLQKKLAKKGVEVVEFDILNSRDVMEYCYDRGYLSVLWECGGALSAPAISSGVIHKVYAFVAPKIIGGVNAPSPVGDLGMVQMTQALNLIDVSYEQVGPDMLISGFLQPIPDLSPVIPSSDEISILDPTVSPFDTKIISFYKTWDPYGAFSNYSPHPIQMPDENGNNLTWSTMEHYYQAHKFVGVDNPLAKDAFEQIKMAKSPEEASRLGRKLQRQHPEVTTKAHITFGVKIES >Ma06_p08240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5822769:5829388:-1 gene:Ma06_g08240 transcript:Ma06_t08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MPLIGGAAVTFAAPALTLRRRRPIRCAVTDAATAEEAALLRRAAEVADLSAGHTSPHPNFGCVIARCGDVVGEGFLYAQGTKCAELQAVEKAGGLARGATAYLNMEPGDCYSDHTAVSSLVQAGISRVVIGLRHPLQHLRGKAIQSLRSESVQVDVLGEDLQSKTFEDALKSCLMVNAPLLYRAAFRVPFSVLKYAMTLDGKIATMSGHASWISSKVSRGRVFELRGRSDAIVVGGNTVRRDDPQLTARHGGGHVPVRIVMSRTLNLPDEAKLWNVTEAYTIVTTQRGARRDLQKKLAKKGVEVVEFDILNSRDVMEYCYDRGYLSVLWECGGALSAPAISSGVIHKVYAFVAPKIIGGVNAPSPVGDLGMVQMTQALNLIDVSYEQVGPDMLISGFLQPIPDLSPVIPSSDEISILDPTVSPFDTKIISFYKTWDPYGAFSNYSPHPIQMPDENGNNLTWSTMEHYYQAHKFVGVDNPLAKDAFEQIKMAKSPEEASRLGRKLQRQHPEVVRADWDSAKIDIMYGALKCKFSTYPHLEAMLCSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRTEILEESSKTSVVS >Ma07_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2961493:2965237:1 gene:Ma07_g03920 transcript:Ma07_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADTRSHLSAASKSRHPALQSRYDAYLGFEELDGGEDEPRPEFACPFCSEVFDVVGLCCHMDDEHPVEAKNGVCPVCAARVGMDMVGHITTQHANFLKIQRRRRYHKGSWGSHSTILLLRKDNTLEGNMQSLVGGSSFTPSSAAPDPLLSSFIFNLPVIDPSEDARPEPLDERSMDDKISEEKLVESVVPCPLHQDREESARRSEFASQIVISTIFDDTL >Ma09_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17941789:17942586:1 gene:Ma09_g18480 transcript:Ma09_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRDVWLLAAVLMYLAGLAAGSTFISDTVFELHGSAGRSLLQAKTSCPIDLEGMNSTIITGKCKGPQYPANLCCAAFKELACPFADQLNDETNDCASTMFSYINLYGKYPPGLFASECREGKEGLACPATPPESEDGNASADFTSQTLASLLTLLICAMVLVFLLHT >Ma07_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2177056:2178981:-1 gene:Ma07_g02760 transcript:Ma07_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAMRDRRMDRLVALPFSVGCVSQSSVAITEGHHPKRAQIEPAGTAASSGGGGGRHATGKRPSISAGIQKMLKGLKRFSQLFVLDKEEEEEAEEVEMEIGFPTDVQHVAHIGWDGFSTMKSWGRAPDCLSLPNSFSLKPFELAMAAAQAGGPPPHGPLRA >Ma09_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3845130:3846635:-1 gene:Ma09_g05940 transcript:Ma09_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYEVDSGIANLVKVWIKKRAHKLKEYVDQNLQQEDTFNSFFGTYLMNFSLGAIEVKSGCGTQSSFIPPLPALTRCEIGSKPRKEKEKLQDMPERKSQVGSTNGDGCYGLSQLCICIRVNSLNYIWTELENLEKKIITCSRNVESAQADYIKWVAYQF >Ma04_p36500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34848896:34849966:1 gene:Ma04_g36500 transcript:Ma04_t36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEHHGKKPVFEKVKEKVKKIKDTISKKKHGHGDDNHDGHRDVSEEEEGYEEDDEERLDDPAVHGATGHTGGEKARDVEKAPLKDYVRSLEEDPAAPMSDTSAQRGSCCAAGGEDIGTSPVIQAFEAMTVTDQPPVKNEVCGVHNISEEAAKAPYEAVAEAGACVIEKVQQGDSSTEGGSVRVGSGVRVKLAEKLKPGEEDKALCEVISDAIRKRREGAEEAAAAVTDSNAKSGKLGMMGRLRGAVPSWVGGRRESRASPSIEHQRKETEGDRPQEEIHEQELEETE >Ma04_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11679587:11689870:-1 gene:Ma04_g15400 transcript:Ma04_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDIMNRFPIDPYDRVWNPYNDPSWLTLSTNSTVDNRFDPFEPPSAVMQTAVTPASGSQLAFAWDSVSPDDKLYTILHFAEPQNLTGNATRVFDIIWNDESWVSGYTPLYLSADCQYDSEPFEANFEYQYVLNATSDSTLPPIINAFEVYTLMQLTQTATDSADVDAMMAIKSHYQLKRNWMGDPCAPKEYVWDGLNCSYNTDPPRITNINLTSRGLTGEIYISFAMLEAVKYLDLSHNSFNGTIPDFLGSLSSLLVLDLSFNNLTGTVPDSLENLVSLQILNLAGNNFNGSVPEKLCKRSDAGLLILRLDKNGCNKASSSRSKTAIIVIIAAVSGLLLLVIILVAVVCNIRKQQGRTSNTFVQSHSVVYPRQREHQISFESRQFTYTQLENITNKFTTVIGKGGFGMVYHGCLETGKQVAIKMHSVSSPQGMKEFLAEAQNLTKIYHRNLVSLVGYCMDGNCLALVYEYMKQGSLQDHLRDKAGCAKVLSWGQRLQIALDAAQGLDYLHKGCKPPIIHRDVKSSNILLSEELEARIGDFGLSKSFHSDEQTHVSTGTVVGTPGYIDPEYHQNYQLTEKSDVYSFGVVLLELITGRPPIVPSPGNVHIIKLIATSLSRGCIEEIMDETLQGEYDATSAWKILDLALRCTADPGSQRPTMFEVVTQLKGCLKPEIASNSNDIIYIEGFNMSREISSEMGASLLGPTVPSIR >Ma10_p20980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31001544:31006400:-1 gene:Ma10_g20980 transcript:Ma10_t20980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHMEGKNCMVTGANSGIGYATVEGLASRGATVYMVCRSKERGEAALSKIQLSTGNSNIHLEICDLSSINEVQAFVSRFSVQEKPLHVLVNNAGLLEQNRVTTPEGLELNFAVNVAATYALTELMMPLLEKAAPDARVITVSSGGMYTTPLTPDLQFSESNFDGTLQYARNKRVQVALTEKWAETYSGKGIDFYSMHPGWADTPGVAQSLPGFSERLSGKLRTKDEGADTVIWLALQPKEKLKSGAFYFDRAEAPKHLLFAGTARSHAAIHGIVDGLRSLCKLP >Ma10_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31001544:31006504:-1 gene:Ma10_g20980 transcript:Ma10_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQKAWRTAAFGLYGFTQFTKSGFLEHSKKFKEEDMQVHMEGKNCMVTGANSGIGYATVEGLASRGATVYMVCRSKERGEAALSKIQLSTGNSNIHLEICDLSSINEVQAFVSRFSVQEKPLHVLVNNAGLLEQNRVTTPEGLELNFAVNVAATYALTELMMPLLEKAAPDARVITVSSGGMYTTPLTPDLQFSESNFDGTLQYARNKRVQVALTEKWAETYSGKGIDFYSMHPGWADTPGVAQSLPGFSERLSGKLRTKDEGADTVIWLALQPKEKLKSGAFYFDRAEAPKHLLFAGTARSHAAIHGIVDGLRSLCKLP >Ma08_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11293341:11294696:-1 gene:Ma08_g14180 transcript:Ma08_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESMAFKQKKRVKNTKNKYLKPGALAQIRYSRSSSKSCTDIGKKRIVLQSEKAKIDLLNRDEVIQSDSPIVSPIRVSSHLAMDDAKQQKLPLTPKTPQSVDCDSQSRLESLPMDILVKILCHLHHDQLRAVFHVSQRIRTAVLLARQLHFNYTTPDRSRQEMLNTKTPVPTEHWPFVSKANGKRPTPHTPKAPRHGPRPRLHLMDMRQIAAVLFQESTLRPGRIMPPGLPRPIFKPVASTRVLFYEDELCQAVAQNKLR >Ma06_p13410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9200296:9211869:1 gene:Ma06_g13410 transcript:Ma06_t13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MHLHGWESLKRLIGKRRRPRSPHLARLLSPPNPTSDSRGDVASDADTQPSSSSAEEPATTMEGHGVYDMDWVSCPVCDRSIRGTNHNVNSHIDTCLIAGTKRKFTQCTLLQFQFFKRSKMESSQDGVKRETEDTVNAVFSSKNDSSNALLLPGDSHGSRNGNTRGLSSDHSPRNIETFTEIVPPETTVLDNTISHEIMVPCGTYMFPQMNMDKLDACGTKGDDSVITFETYIVGRRFHESIELQQGARVSVAREPENVKDRNAIKVLYADSGRVEMLGYLPRELSKHLSPLIDCRYIECEGFVDSLPELRHDDVPIQLVCQKSVACDEKKSAHLDFSESLWENFLLATENIKLQSPKMTKYQKNFSLMIEEVMSHHSQLFTVEEKMFTGSFNSLSDEGQRLFIRLYTRKGPWFRVSNISYPEIQDPQKAVEELQLAGYIYSFQSSEDPFIYDMKEVIDLLNVSEMRKVINLELPKKRINCARRHELINILFSAYANGACPLLPKMVLGQVGTCIRISSSSDILFWRIQRLFFLNGEQDLSAFLLIDLGMIKFPDYVCNISHRIFQDRTDLLEYEEAIEVAQIMDESLEESNMEMVIRCIDISDIRMCTSFRGKSQSSTSGTPPQFFSTFSASFVYSKVLSLGVSFFEREHRYEDAIRLLKGLLRRIIHDSRRGYWMLRLSVDLEHMNRLNESLSVAEEGILDPWVRAGSRIALQRRVLRLGKPPRRWRIPDYADSVKRKIKEVCIRGRPLTSETATKNSYYGYDGELCGVEQLALQFYAEEGGGWSGVHSESGIWMTIFGLLMWDVIFFNVPDVFMSRFQIAPLDFDTDDFYVTRESLIESQLQKINGGMAEEILISSWESHVGIACRGVNWERQSLSDLRVAVACIGGSPLASLCRHLATDYRSWSSGMPDLLLWRFHGDKGEGEAKLVEVKGPTDRLSEQQRAWLLTLMDCGFDTEVCKG >Ma06_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9200296:9211819:1 gene:Ma06_g13410 transcript:Ma06_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MHLHGWESLKRLIGKRRRPRSPHLARLLSPPNPTSDSRGDVASDADTQPSSSSAEEPATTMEGHGVYDMDWVSCPVCDRSIRGTNHNVNSHIDTCLIAGTKRKFTQCTLLQFQFFKRSKMESSQDGVKRETEDTVNAVFSSKNDSSNALLLPGDSHGSRNGNTRGLSSDHSPRNIETFTEIVPPETTVLDNTISHEIMVPCGTYMFPQMNMDKLDACGTKGDDSVITFETYIVGRRFHESIELQQGARVSVAREPENVKDRNAIKVLYADSGRVEMLGYLPRELSKHLSPLIDCRYIECEGFVDSLPELRHDDVPIQLVCQKSVACDEKKSAHLDFSESLWENFLLATENIKLQSPKMTKYQKNFSLMIEEVMSHHSQLFTVEEKMFTGSFNSLSDEGQRLFIRLYTRKGPWFRVSNISYPEIQDPQKAVEELQLAGYIYSFQSSEDPFIYDMKEVIDLLNVSEMRKVINLELPKKRINCARRHELINILFSAYANGACPLLPKMVLGQVGTCIRISSSSDILFWRIQRLFFLNGEQDLSAFLLIDLGMIKFPDYVCNISHRIFQDRTDLLEYEEAIEVAQIMDESLEESNMEMVIRCIDISDIRMCTSFRGKSQSSTSGTPPQFFSTFSASFVYSKVLSLGVSFFEREHRYEDAIRLLKGLLRRIIHDSRRGYWMLRLSVDLEHMNRLNESLSVAEEGILDPWVRAGSRIALQRRVLRLGKPPRRWRIPDYADSVKRKIKEVCIRGRPLTSETATKNSYYGYDGELCGVEQLALQFYAEEGGGWSGVHSESGIWMTIFGLLMWDVIFFNVPDVFMSRFQIAPLDFDTDDFYVTRESLIESQLQKINGGMAEEILISSWESHVGIACRGVNWERQSLSDLRVAVACIGGSPLASLCRHLATDYRSWSSGMPDLLLWRFHGDKGEGEAKLVEVKGPTDRLSEQQRAWLLTLMDCGFDTEVCKVRPTLKCQ >Ma06_p13410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9200296:9211819:1 gene:Ma06_g13410 transcript:Ma06_t13410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MHLHGWESLKRLIGKRRRPRSPHLARLLSPPNPTSDSRGDVASDADTQPSSSSAEEPATTMEGHGVYDMDWVSCPVCDRSIRGTNHNVNSHIDTCLIAGTKRKFTQCTLLQFQFFKRSKMESSQDGVKRETEDTVNAVFSSKNDSSNALLLPGDSHGSRNGNTRGLSSDHSPRNIETFTEIVPPETTVLDNTISHEIMVPCGTYMFPQMNMDKLDACGTKGDDSVITFETYIVGRRFHESIELQQGARVSVAREPENVKDRNAIKVLYADSGRVEMLGYLPRELSKHLSPLIDCRYIECEGFVDSLPELRHDDVPIQLVCQKSVACDEKKSAHLDFSESLWENFLLATENIKLQSPKMTKYQKNFSLMIEEVMSHHSQLFTVEEKMFTGPWFRVSNISYPEIQDPQKAVEELQLAGYIYSFQSSEDPFIYDMKEVIDLLNVSEMRKVINLELPKKRINCARRHELINILFSAYANGACPLLPKMVLGQVGTCIRISSSSDILFWRIQRLFFLNGEQDLSAFLLIDLGMIKFPDYVCNISHRIFQDRTDLLEYEEAIEVAQIMDESLEESNMEMVIRCIDISDIRMCTSFRGKSQSSTSGTPPQFFSTFSASFVYSKVLSLGVSFFEREHRYEDAIRLLKGLLRRIIHDSRRGYWMLRLSVDLEHMNRLNESLSVAEEGILDPWVRAGSRIALQRRVLRLGKPPRRWRIPDYADSVKRKIKEVCIRGRPLTSETATKNSYYGYDGELCGVEQLALQFYAEEGGGWSGVHSESGIWMTIFGLLMWDVIFFNVPDVFMSRFQIAPLDFDTDDFYVTRESLIESQLQKINGGMAEEILISSWESHVGIACRGVNWERQSLSDLRVAVACIGGSPLASLCRHLATDYRSWSSGMPDLLLWRFHGDKGEGEAKLVEVKGPTDRLSEQQRAWLLTLMDCGFDTEVCKVRPTLKCQ >Ma03_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2226555:2227508:-1 gene:Ma03_g03310 transcript:Ma03_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNGQLAVPPGFRFHHTDEELLYYYLRKKVAYEAIDLDVIREVDVNKLEPWDIEEKCRIGCGPQNVLFFFSHKDSKYPTGTRTNRATTAGFWKGTGRDKAIHLSNSRRIGMRKTLLFYTGRAPHGQKTDWIMHEYRLDESLDIQEDGWAVCRVFKKKNHQGVIPPEAILEEDQFNPSKASGCSPVDHKHNFQKSECI >Ma04_p33880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33296279:33306944:1 gene:Ma04_g33880 transcript:Ma04_t33880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEYICNFAGVIGRKLKALKEEEEARSTKRSSQSLAHLATPSDSIRVADDRVSMWVVILCGLILFKILRRIFHRADAFPDIDSSDSDASFAVAARLEKLYGSKAFVGLRIPDADAGVRQHIDVVLVTKREVMVVAVRNFSGFVEIGDNENWVCTSDKKHRPQSHPDPVLEVSKQVAVIESYLEQRGVPLPKGHVIGQVILPHPNCRPAYSISSQLEVVSFDKWRELKPKPRSGLSNWIKGAVGKSDMQDGFCEKLHFILSTSPMWDRLELRGDRNILGEFVEFKGNKNDMQALRNVKRSKVGRFIVQKPSMLGLGRSRLQVLYFPRDYRGEGASSLECKEIAVKPSTEVIFQQMNSKKAKKFKLSSIVSVTLSG >Ma04_p33880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33296279:33306944:1 gene:Ma04_g33880 transcript:Ma04_t33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEYICNFAGVIGRKLKALKEEEEARSTKRSSQSLAHLATPSDSIRVADDRVSMWVVILCGLILFKILRRIFHRADAFPDIDSSDSDASFAVAARLEKLYGSKAFVGLRIPDADAGVRQHIDVVLVTKREVMVVAVRNFSGFVEIGDNENWVCTSDKKHRPQSHPDPVLEVSKQVAVIESYLEQRGVPLPKGHVIGQVILPHPNCRPAYSISSQLEVVSFDKWRELKPKPRSGLSNWIKGAVGKSDMQDGFCEKLHFILSTSPMWDRLELRGDRNILGEFVEFKGNKNDMQALRNVKRSKVGRFIVQKPSMLGLGRSRLQVLYFPRDYRGEGASSLECKEIAVKPSTEVIFQQMNSKKAKKFKLSSIVSVTLSG >Ma11_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7358990:7361747:1 gene:Ma11_g09210 transcript:Ma11_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGECRAAMMSRINSALWTEDGGDEGDAALWSRANASSSKGGGMGASKEDELGLTSFKSLLEDDWYAALAASCPGQCAAATHPFEAFLAQQDLKDVAFLSNPSPHEALLPPSVGNLDQSQPFFPSKAALSSLLNAANPLDAGHGLGSDIPGFLPAAQASNFPVVMNRKGDGPAAAMLGVVGIGTYGQLGRPELHSGSQLFGGRLPPPAENCSSSSSDAAMFPLSFDNFENSPFLNRAKELRPLEMFPTVGAPPTLFQKRTAAALQQNSAVAAEKGGILGPWALKGSFQGSSSTAVLEGENERKRKGNEEDDVDDDGIYGSGLNYDSGDASGENAKGEENAKTEADGGGGNNSNADLMVTGGGMDKGKKKCFPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAVEYLKELLQRINDLQNELESTPSSSSLPTTTTTSLHTMIPTFSALPCHMKDELCPSSLQSPNSQSARVEVRAKEGRAVNIHMFCARRPGLLLSAMRALDGLGLDIQQAVISCFNGFALDIFQAEQCKEPGVLPEDIKTVLLHSLGFQSTM >Ma09_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27072860:27073691:-1 gene:Ma09_g20000 transcript:Ma09_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] MRKGWPSAAEVADGPARFRGVRKRPWGRFAAEIRDPWKKARVWLGTFDTAEAAARAYDAAAIALRGPDARTNFPSHHCLPPPQRPASSSLSSTLESFSGPRVPAAPIHPRSSRARRQVDPPPPHDLFAVEGCHSDCGSSSSVIDDGDILSSEFWQPMLFDLNLLPPQDDDFPDTVLRL >Ma01_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23853447:23856972:-1 gene:Ma01_g22190 transcript:Ma01_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEYVLNSLELAACDSESTGCRTATIVGNKMYVVEGSEDGSKSWINVKIFDKLTRSWVFPTVLGIKPVQLKGHSTLLLKNGQLLIVKMDSTLDGCIWFLEVDSPFVKEQKKIFGTEVVAWSKGVIGNAPKPIVISGPSGVGKGTLINKLMKEFPSRYGFSVSHTTRAPREKELDGVHYHFTERSIMEKDIRDGKFLEFASVHGNLYGTSIEAVEVVTDSGKRCILDIDVQGARSVRASSLDAIFIFITPPSFEELEKRLRARGTETEEQVQKRLRNARAELDEAKSPGLFNHILVNDDLESCYGNLKKLLASDETADPLYQSSVKILKAHVSGMESKADHRTLIHCSASGTNAATNSLLMLDTSSLKGGAPGRTRGLGVYALGSLGDGLNGI >Ma01_p22190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23853391:23856722:-1 gene:Ma01_g22190 transcript:Ma01_t22190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEYVLNSLELAACDSESTGCRTATIVGNKMYVVEGSEDGSKSWINVKIFDKLTRSWVFPTVLGIKPVQLKGHSTLLLKNGQLLIVKMDSTLDGCIWFLEVDSPFVKEQKKIFGTEVVAWSKGVIGNAPKPIVISGPSGVGKGTLINKLMKEFPSRYGFSVSHTTRAPREKELDGVHYHFTERSIMEKDIRDGKFLEFASVHGNLYGTSIEAVEVVTDSGKRCILDIDVQGARSVRASSLDAIFIFITPPSFEELEKRLRARGTETEEQVQKRLRNARAELDEAKSPGLFNHILVNDDLESCYGNLKKLLASDETADPLYQSSVKILKAHVSGMESKADHRTLIHCSASGTNAATNSLLMLDTSSLKGGAPGRTRGLGVYALGSLGDGLNGI >Ma01_p22190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23853391:23856972:-1 gene:Ma01_g22190 transcript:Ma01_t22190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEYVLNSLELAACDSESTGCRTATIVGNKMYVVEGSEDGSKSWINVKIFDKLTRSWVFPTVLGIKPVQLKGHSTLLLKNGQLLIVKMDSTLDGCIWFLEVDSPFVKEQKKIFGTEVVAWSKGVIGNAPKPIVISGPSGVGKGTLINKLMKEFPSRYGFSVSHTTRAPREKELDGVHYHFTERSIMEKDIRDGKFLEFASVHGNLYGTSIEAVEVVTDSGKRCILDIDVQGARSVRASSLDAIFIFITPPSFEELEKRLRARGTETEEQVQKRLRNARAELDEAKSPGLFNHILVNDDLESCYGNLKKLLASDETADPLYQSSVKILKAHVSGMESKADHRTLIHCSASGTNAATNSLLMLDTSSLKGGAPGRTRGLGVYALGSLGDGLNGI >Ma09_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32126804:32128451:-1 gene:Ma09_g21250 transcript:Ma09_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLNPHAPVYIPSSFFSPFGSYYSSPLPASYQHPSDTPPSCLAFNQPPVQTTTGFCGAAPPMNPFPFCYYSLSPHALPHSAYRTSWKVFACGQIPPMPLHGPKAHERATLTLLSEEEESGGKPEENPVPQTKVKEEVKGGCRAADKARMGFKGSGGGGSFVYRRKAVAPRRSERRRVQALNKKGSVEFEFKKLVRGASELLDDGKTTVMIKNLPNKFTKEKLLGILDKHCSEENHKLSNDGAEKLSESGGGEMSSAAFSEFDFLYLPIDFTTGSNMGYAFVNFTSAAAAWRLYNFLHNYDWKFHGSRKICEVTYARIQGLPALQKQFRNSTFVCGSDDFLPVCFVPPRNGYCQPSFQFLGRRELPLHADPKA >Ma04_p31860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32074345:32075293:1 gene:Ma04_g31860 transcript:Ma04_t31860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQQKVVLKVLAMTDERAKRKAMEAVADIYGIDSIAADLKEQKMTIIGEMDAVKIANKLKKIGKVDIVSVGPAKEEKKEEKKEGKK >Ma04_p31860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32074099:32075293:1 gene:Ma04_g31860 transcript:Ma04_t31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLKVLAMTDERAKRKAMEAVADIYGIDSIAADLKEQKMTIIGEMDAVKIANKLKKIGKVDIVSVGPAKEEKKEEKKEGKK >Ma04_p31860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32074065:32075293:1 gene:Ma04_g31860 transcript:Ma04_t31860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLAMTDERAKRKAMEAVADIYGIDSIAADLKEQKMTIIGEMDAVKIANKLKKIGKVDIVSVGPAKEEKKEEKKEGKK >Ma01_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7458759:7474716:-1 gene:Ma01_g10370 transcript:Ma01_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase SEC [Source:Projected from Arabidopsis thaliana (AT3G04240) UniProtKB/Swiss-Prot;Acc:Q9M8Y0] MLSLQADARQHQPQQPQPPQLQQQQQPLFGANVAGGLGFHPAGSDVEEIPFALQLLDGSADIKPLIAKPTEGDEDMHLALAHQNYKAGNYNQALDHSNAIYRKNPKRTDNLLLLGAIYYQLHDFDMCIAKNQEALAIDPHFAECYGNMANAWKEKGDIDLAIQLYQAAIKLRPNFSDAWSNLASAYTRIGRLTDAAQCCRNALALNPRLVDAHSNLGNLMKAQGLIQDAYNCYVEALRIQPTFAIAWSNLAGLFMESGDLNRALLYYKEAVKLKPAFADAYLNLGNVYKALRMPQEAIMCYQHAIQARPSCTMAYGSLAGIYYEQGRLDLAILHYKEAIDCDSTFIEAYNNLGNALKDAGRVEEAISCYRSCLAFQPNHPQALTNLGNIYMECNMMSYAASCYKATLSVTTGLSAPFSNLAIIYKQQGNYADAIACYNEVLRIDPSAADGLVNRGNTFKEIGRVTEAIQDYVRAVSIRPNMAEAHANLASAYKDSGLVELAIKSYRQALMLRPDFPEATCNLLHTLQCVCDWDDREKRFAEVEAIIRRQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRYVLPAFTHPPCVPVKSEGKNGRLRLGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSQNDGTEWRQRIQSEAEHFIDVCSMSSDMIARKINEDKIHILVNLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGASYIDYLVTDEFVSPTHLSQIYSEKLVHLPHCYFVNDYKQKNRDVLNPVCRHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGETRLRAYAAAQGVKPDQIIFTDVAVKNEHIRRSALADLFLDTPLCNGHTTGTDVLWAGLPIITLPLEKMATRVAGSLCLATGLGDEMIVNSLKEYEERAVTLAENPSKLQALTNRLKAVRMTCPLFDTKRWVLNLERAYFKMWYLYCSGNHPQPFKVMENDNEFPYDR >Ma03_p26870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30443548:30445465:-1 gene:Ma03_g26870 transcript:Ma03_t26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLLLRLLSLTRVAMPINQITSTKLSSPSLVVMSRTIMRNPERGSHALTRLMVQPQDIITSAHDGRLSALHESHLARDREAIVGAIIHQHDLCRLELFLRPRLLSSMAEVACSWSEEEETEVTGSSDAKEKRCVKCSHTQDLTDDGIR >Ma03_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23736194:23738138:-1 gene:Ma03_g18090 transcript:Ma03_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALKKYSPSEISLHASKKDCWVSIHGKVYDVTTFLEDHPGGEDVILHASAGGDATQAFDDVGHSSTAIIMMEGYVIGTVEGHVAVDEPSKPVSGLQRLQRKQPPPSYNFSDFLLPLLVLGSAFAAWYYLNFYSVPKA >Ma07_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27594861:27595898:1 gene:Ma07_g19620 transcript:Ma07_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAQVSGGDVGDDGATPPPPSQPREQQEQLEQQQQQQKPSRYESQKRRDWNTFIQYLTNHKPPLTVGRCGGAHVVEFLKYLDQFGKTKVHAHGCTYFGHPSPSGPCECPTKQAWGSLDALIGRLRAAYEENGGGRPESNPFGAKVVKSHLREVRESQAKARGVAYKKKKRKRRLPSAVGEGCSRGVAGTAATAPTTTIAMATAEVASVSASSASATASDAAGSSTSAS >Ma04_p29850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30660700:30664466:1 gene:Ma04_g29850 transcript:Ma04_t29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRAEVLSLFRSLLRTATKFSDYNIREYAKRRAIDGFRDNRGLTDPSSIVAAFADGKSQLEVAKRQAVVYSLYAPRIKSVMEAESP >Ma04_p29850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30660700:30664452:1 gene:Ma04_g29850 transcript:Ma04_t29850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRAEVLSLFRSLLRTATKFSDYNIREYAKRRAIDGFRDNRGLTDPSSIVAAFADGKSQLEVAKRQAVVYSLYAPRIKSVMEAESP >Ma10_p26980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34419092:34420901:-1 gene:Ma10_g26980 transcript:Ma10_t26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEGINNLSVNADSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVERKIMTSMVDVKDESRGRPMQKAKIEILLGKTENFDELMAAATERDAEDGEEQT >Ma01_p18210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13508559:13510952:1 gene:Ma01_g18210 transcript:Ma01_t18210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVRARSLINADSGATGANHGRENTQKRPLMGSSVRRNGLSDITNTVSSAGIGISRTSCSDDDKENANVMPSPRPKDCISQLMKENTSLLKLLAERNEIIRLSSIELQKLELQLQKTNQQNWQLARANSQMLAELNLGKDRLKALQHELGCTRAALKLKTSELEEKEKLNKEICQKEGGTNHGEVAAGAFTTTDKKTSNLNRKCKLKAQCSATLTHQVPSEEKVDGRRLSLRRRSINLTPESYQPVESLRTIEDVKVPVQSIGESSDENISIQLDSANGSMIQVKNEEEDLSGSPKLVNEELQRRSSFGRPLRKAAEKVSSYKEMSLKSKMRRMG >Ma01_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13508576:13510952:1 gene:Ma01_g18210 transcript:Ma01_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVRARSLINADSGATGANHGRENTQKRPLMGSSVRRNGLSDITNTVSSAGIGISRTSCSDDDKENANVMPSPRPKDCISQLMKENTSLLKLLAERNEIIRLSSIELQKLELQLQKTNQQNWQLARANSQMLAELNLGKDRLKALQHELGCTRAALKLKTSELEEGGTNHGEVAAGAFTTTDKKTSNLNRKCKLKAQCSATLTHQVPSEEKVDGRRLSLRRRSINLTPESYQPVESLRTIEDVKVPVQSIGESSDENISIQLDSANGSMIQVKNEEEDLSGSPKLVNEELQRRSSFGRPLRKAAEKVSSYKEMSLKSKMRRMG >Ma01_p18210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13508576:13510952:1 gene:Ma01_g18210 transcript:Ma01_t18210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVRARSLINADSGATGANHGRENTQKRPLMGSSVRRNGLSDITNTVSSAGIGISRTSCSDDDKENANVMPSPRPKDCISQLMKENTSLLKLLAERNEIIRLSSIELQKLELQLQKTNQQNWQLARANSQMLAELNLGKDRLKALQHELGCTRAALKLKTSELEEKEKLNKEICQKVGSKEGGTNHGEVAAGAFTTTDKKTSNLNRKCKLKAQCSATLTHQVPSEEKVDGRRLSLRRRSINLTPESYQPVESLRTIEDVKVPVQSIGESSDENISIQLDSANGSMIQVKNEEEDLSGSPKLVNEELQRRSSFGRPLRKAAEKVSSYKEMSLKSKMRRMG >Ma07_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4445560:4450769:-1 gene:Ma07_g06180 transcript:Ma07_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASQDAVKQLSALIGQVDEPSKITFQNIHQGYPTETLVRFLKAREWNISKAQNMLVDCLNWRKQNHIDDILAKPIIPADLYRGIRDSQLIGMPGYTKDGHPVFAIGVGLSTYDKASVNYYVQSHIQLNEYRDRVILPAATKKYGRHVGTCVKVLDMSGLKLSALSQIKLLTIISTIDDLNYPEKTETYYIVNVPYVFSACWKVVKPLLQERTRRKVQVLQGCGRDELLKIMDYASLPHFCKREGSGSSRHSSSGSDDCFSFDHPFHQQLYSYIKQRAPSREFIAPLKQGSFHVDVPEPNPEGIKIAKTIESEMHKLEDCNGLGRSLTGLKI >Ma07_p06180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4445560:4450764:-1 gene:Ma07_g06180 transcript:Ma07_t06180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASQDAVKQLSALIGQVDEPSKITFQKPIIPADLYRGIRDSQLIGMPGYTKDGHPVFAIGVGLSTYDKASVNYYVQSHIQLNEYRDRVILPAATKKYGRHVGTCVKVLDMSGLKLSALSQIKLLTIISTIDDLNYPEKTETYYIVNVPYVFSACWKVVKPLLQERTRRKVQVLQGCGRDELLKIMDYASLPHFCKREGSGSSRHSSSGSDDCFSFDHPFHQQLYSYIKQRAPSREFIAPLKQGSFHVDVPEPNPEGIKIAKTIESEMHKLEDCNGLGRSLTGLKI >Ma07_p06180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4445560:4450764:-1 gene:Ma07_g06180 transcript:Ma07_t06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASQDAVKQLSALIGQVDEPSKITFQNIHQGYPTETLVRFLKAREWNISKAQNMKPIIPADLYRGIRDSQLIGMPGYTKDGHPVFAIGVGLSTYDKASVNYYVQSHIQLNEYRDRVILPAATKKYGRHVGTCVKVLDMSGLKLSALSQIKLLTIISTIDDLNYPEKTETYYIVNVPYVFSACWKVVKPLLQERTRRKVQVLQGCGRDELLKIMDYASLPHFCKREGSGSSRHSSSGSDDCFSFDHPFHQQLYSYIKQRAPSREFIAPLKQGSFHVDVPEPNPEGIKIAKTIESEMHKLEDCNGLGRSLTGLKI >Ma05_p26390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38023444:38026503:-1 gene:Ma05_g26390 transcript:Ma05_t26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAKRVDFQNLVSLADDLLGVLKNKKDGDGLVQSLEGAMLLQSSCRSDVDETGRVLEDYENKIEACKEKIAKSKEETDLDAELEHLQHGLDEKLQEEHLLRQELRAISEELIGFEHQRVSIEERTKMIKKREKDLTRTRNLLSLCASVTNIIPDFEDTTKISGVVVDRNKKKAEKFEFEMTEAPLDICNKLWKMA >Ma03_p30810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33283449:33289570:-1 gene:Ma03_g30810 transcript:Ma03_t30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEAETKGSASPPPPDSKTASVVEAEGDVDERDRYSREIKAGLHPLKHKFVFWYTRRTPGVRSQTSYEDNIKKIVEISTVEGFWVCYCHLARPSSLPSPTDIHLFKEGIRPLWEDAANCNGGKWILRFKKIVSGRFWEDLVLALVGDQLDYGDDVCGAVLSIRFNEDILSVWNRSASDHKAVMALRDSIKRHLKLPHNYLMEYKAHDASLRDNSSYRNTWLRG >Ma08_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35786823:35787063:-1 gene:Ma08_g22090 transcript:Ma08_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCLVNSDSRDHSSLLYKVHCLVRKHSMGIAAFVAFMLPSCGLLC >Ma04_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6514843:6517266:-1 gene:Ma04_g09140 transcript:Ma04_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLTWARIECMGSKIIGLAETKDALLGSISFGEKDINVNLRSLGFRRSDSWKRMASDVPNGTAMEKSSSFKSSEPEVIQHDAGFSRCLPEFSSPRASSELDAAATKLQKMYRSYRTRRNLADCAVVVEELWWKALDFASLEHSSVSFFKVEKPETAASRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDIWFKSESNQPFFYWLDVGDGREINLDKCSRNKLQNQCIEYLGPKERETYEVIVEDGRLVYKQSKMPVATAEGSKWIFVLSTSRVLYVGQKKKGKFQHSSFLSGGATTSAGRLVAEEGVLKAIWPYSGHYLPTEENFKEFIVFLRRNNVDLSNVKRCSIDDDDEHPSFKRRADEMAVDSTAARDAAAVAEALQESSEQESSGTEETMGGEKASELGERLPCKWTSAAGARIGCVRDYPTDLQSKALEQVNLSPRVAASPSGHRAPVPSPRPSPKVRLSPRLQYMGIPRPTVSLTLPNHRRRC >Ma08_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36666510:36677134:1 gene:Ma08_g23300 transcript:Ma08_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANSALANGCDNGPSPGRLSSVYSEVQTSRLNHPLPLPSVLKGHFKLVDGPPSSAAGNPDEIAKLFPNLFGQPSAKVVPTGSDPSDSAGSLKIGVVLSGGQAPGGHNVISGIFDYLQERAKGSILYGFKGGPAGIMKCKYVQLTPEFIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLVVIGGDDSNTNACLLAENFRQKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNIAIIGEEVAAKKQTLKNVTDYITNIICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDKEGLWKKKLQHQSQLLFEFLPQAIQEQLLLERDPHGNVQVAKIETEKMLISMVETELEKRKLEGTYSGHFKGQSHFFGYEGRCGLPTNFDATYCYALGYGAGVLLRSGKTGLISSVGNLSAAVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFGKFASMRDEWALKNRYISPGPIQFMGPGSGDVNHTLLLELGAQA >Ma06_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5246963:5247866:-1 gene:Ma06_g07370 transcript:Ma06_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARYLSDAPPQIPAWNLVRSAPAFFWQSEDAALPSEEERASVSRSREHDSEGVSLFLDCLSARELLPSSTVSVSSVLWKKRKRKHVAGLVPKLHEDYDRAGQDTDEWKAREIVKDIASQGVIISIVHCLFFA >Ma05_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7900387:7900805:-1 gene:Ma05_g10830 transcript:Ma05_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVHNGQTDLGHRILFDLLNEALLRVVQNSKPHSTVKKWFPGCRRLPHGNQILDSLWHQIQLYINPHVDESYPTKSIVAQALNRTPWSGMLQDNIDVICVEMEFSVAEILIDEFVCDLSY >Ma02_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23254211:23255273:-1 gene:Ma02_g15590 transcript:Ma02_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFAAMASSFRRLSSLLVFVGFLSLLRPCACYNRMNSSDTDLAMSPAVATWYGAAEGPGSTGGACGYGDGVAKAPFESKISAGNSALFKSGKGCGACYQVACTANPACSGRPVTVVITDQCPGACDNDPLHFDLSGAAFGAMAKPGEAAALRSAGFIHVQYARVPCSYRGFHIAFRVDNGSNPNYFAVLPEFVNGDGEISAAEVGQGSSWSPMQFSWGALWKLNAPVHGPASIRLTSAVSRKTIVATNVIPAGWRPGATYYSNVNF >Ma07_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14691138:14694125:1 gene:Ma07_g16590 transcript:Ma07_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSYSNASSGMGVAEDCRDTFVELQRKKTHRYVIFKIDEKRKQVIVEKTGDATESYDDFMASLPENDCRYAVYDFDFVTEENCQKSKIFFIAW >Ma03_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6743662:6751833:1 gene:Ma03_g09150 transcript:Ma03_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLYAACSQRLSSSLMASKPQRLHQIASGASILGSGKKPRAMTTSTATSDSPMREEFAKYTDYLNQLNDKRERVVKASRDVTMNSKKVIFQVHRMSKGNREDVLAKAENDLAAVTDQYMSKLVKELDGTDFWKLRRAYTFAVQEYVEAATLCRFCKAGTLLNLAEINASLLSLSDESLEPLQINVLDYLLGLADLTGELMRLAIGRISDGEVEYAEKICRFVRDIYRELTLLVPLMDDNFEMKKKMDTMLQSLVKIENACFSVHVRGSEYIPLLGSSDPNYSFLGLPDLES >Ma00_p03810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31335292:31340137:1 gene:Ma00_g03810 transcript:Ma00_t03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGISSLSYVFRSTIEDEAEADTKPLDFFSRALDLDRRNHHEAAVMAATPDLLFGLRNSFYLGAYQAAINSSDIPNLPADDALERDVLVHRSYIALGSYQLVINEIDSSAPTALQAVKLLALYLSENKAAISSLQEWFSHAEISNNPILRLIAGTIYMYEQDYNEALKHTNSGGSMELHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDLAMGGSKVQEAYLIFQDFSEKYQMTGTILNGKAVCCMHMNRFDEAESLLLEALNKDAKDAETLANLAVCSLHLGKPSSRYLNQLKLSHPDHMLVRCLASAEDNFDRAVQSIA >Ma00_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31335292:31340137:1 gene:Ma00_g03810 transcript:Ma00_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGISSLSYVFRSTIEDEAEADTKPLDFFSRALDLDRRNHHEAAVMAATPDLLFGLRNSFYLGAYQAAINSSDIPNLPADDALERDVLVHRSYIALGSYQLVINEIDSSAPTALQAVKLLALYLSENKKAAISSLQEWFSHAEISNNPILRLIAGTIYMYEQDYNEALKHTNSGGSMELHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDLAMGGSKVQEAYLIFQDFSEKYQMTGTILNGKAVCCMHMNRFDEAESLLLEALNKDAKDAETLANLAVCSLHLGKPSSRYLNQLKLSHPDHMLVRCLASAEDNFDRAVQSIA >Ma10_p28770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35534254:35540696:-1 gene:Ma10_g28770 transcript:Ma10_t28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDGSENGSFREKGGEGSIVINGGRSSSLIFLGTGCSSCVPNARCLIQPTDPPCAVCTQSLSVPPERNPNYRCNTSLLIDYCQDDGVHKYILIDVGKTFREQVLRWFIHHKVPQVDSIVLTHEHADAVLGLDDIRVVQPFSATNDIAPTPVYLSQYAMDSLAIKFPYLMKKKLKEGEELRRVSQLDWKIIESDPEKTFMASGLVFVPLPVIHGEDYVSLGFLFGKKSRVAYISDVSRFPASTENVISKSGAGQLDLLILDTLFKENSHNVHFCLPQTLDAIKRICPKQALLIGMTHEFDHYKDNMLLAEWSRREGIPVQLAHDGLRVFIDL >Ma10_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31519400:31520503:-1 gene:Ma10_g21960 transcript:Ma10_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDWDLGAVVRSCRMAAAPRQPLSSSPLHTAPVEAEAEGGKGGSFLGFPDLFRGRDGVWELEELCKPFFPKVRQQLPRAAVAVAGPHQPPPSRQSHRPVSQIPRSKRKKNQQKKVVCHVPAAGLSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERSRADPAIFIITYTAEHNHPAPTHRNSLAGSTRHKLPSPEGDHPPPGNPSSSPPSSSTATGLSPTTPLTNSMEDELLRRRPMEGKDEDVDVDEEEGMILADDMEVMGEDDLLLVGGVEEGASPAASGGGGVRRR >Ma10_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21337099:21340132:1 gene:Ma10_g06970 transcript:Ma10_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHVQYSPLSADDIDEDHGMLGEGDLRFAYNPKALDRVPWKSIALAIFLLALGTLLLILSFFIFTGHMEGEQSQAYGLLTLGILAFLPGFYETRIAYYSWKGAPGYRFSSIPS >Ma05_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6662406:6663505:1 gene:Ma05_g09120 transcript:Ma05_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSFLSSASSWHATSLNPWRSARAVGRKISTTTMTTTARKVSFCNSTPHAIAPSSPLFMSVTSLLFSDSFHESLARAEVSSSEWEFIDMSDEEVDIICRMYRLVGDRWEMIAGRVPGRTPEAIERRRRRRRRRRPYTLFGHSPNLLSSPFSS >Ma00_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35408267:35408996:1 gene:Ma00_g04130 transcript:Ma00_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKTTSERAAWLSQLLGSEFFGACEEHKEMRKCEINTYCVDCQGSLCPHCLAPHRAHRLLQIRRYVYQDVVRILDMQRLLDCSKVQPYTVNSAKVVLLNPRKQSKPSKPNLGVPVCEVCRRSIADPNRYCSIACKTSGSWQSEDALVAVAVADAESSESESFLPHLTSDVGSSSSSSHPGSLGAGKDWNPDSPPRSRRTRPRKGIPRRAPLF >Ma05_p30290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40752106:40754173:-1 gene:Ma05_g30290 transcript:Ma05_t30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 53 [Source:Projected from Arabidopsis thaliana (AT2G38510) UniProtKB/Swiss-Prot;Acc:Q9ZVH5] MCRNKEALPVSSISDARLDGEDDGERRSGCEELIHDQQGEGEPHADEVGEELVLLGRIVWPIVITSFLLYSRSVVSMLFLGRLGGAELAGGSLAIAFANITGYSVLKGLATGMEPICGQAFGAKRWSVLAHTFQKTLLLLLFATIPITLLWLNMEPILLRLGQDAAITSVAKDYLLYSFPDLIAQAHLHPLRIFLRTQSHTKPLTLFAAFALLLHFPVNYFLASYLDCGVKGVALASSWNTISIDLGLVGFFLRSENSIKPWAGLSRESISGWRPLLALALPSAASVCLEWWWYEVMLLLCGRLPDPRAGVAAMGVLIQTTGLIYVLPASLSMGLSTRVGHELGANRPARARHAALVGLSVAAAVGSLAFAFTVGVRHAWGRMFTDELPTLALTSAALPIVGLCELGNCPQTAGCGVLRGSARPAVGANINFCSFYVIGLPVAAVAGFRLGFGFQGLWLGLVAAQASCVCLVLCTVARTDWEAEAKRAEELTGTAANGEKDELSVTLLA >Ma09_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18210980:18214873:1 gene:Ma09_g18590 transcript:Ma09_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDRPQQPSVVAIECVAGSSKAEEWGGDLLQTGDVVEEIKIGGSPAVRSPFKGGKSGVQKLLHSAFKRGDTSIEVRVRRCGGNAAELQACIVPHGPAGRRQYVLRSIRDPNYAVGFVDRMESECIALQGSRSSRVVCALSTCKIQDGYVSYNWEKKMKEFLPVSNSSCFLSMLVLPKALDPVASRYNSLEDTLARANAWLVASQISGVPIDFMDVQTEALLTKISGETASATVNSGSLSDLSSLANASLYGFEDYHGVDIGVVKAARLWYTPSAGELAVDIRLQEGDTKLGFAIGRTEEGFIYIASVDETDDEAAASTRSGLGVLFRQARDSSKLLVISRVSNEKVLPWMVSSAGAIRCFDTISLSQKLSLHRHALKPIRIHVLMWEQASADELGRCKPAAAPSVRFPPLAAELPKNLVADDSSETWPG >Ma09_p18590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18210970:18214873:1 gene:Ma09_g18590 transcript:Ma09_t18590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDRPQQPSVVAIECVAGSSKAEEWGGDLLQTGDVVEEIKIGGSPAVRSPFKGGKSGVQKLLHSAFKRGDTSIEVRVRRCGGNAAELQACIVPHGPAGRRQYVLRSIRDPNYAVGFVDRMESECIALQGSRSSRVVCALSTCKIQDGYVSYNWEKKMKEFLPVSNSSCFLSMLVLPKALDPVASRYNSLEDTLARANAWLVASQISGVPIDFMDVQTEALLTKISGETASATVNSGSLSDLSSLANASLYGFEDYHGVDIGVVKAARLWYTPSAGELAVDIRLQEGDTKLGFAIGRTEEGFIYIASVDETDDEAAASTRSGLGVLFRQARDSSKLLVISRVSNEKVLPWMVSSAGAIRCFDTISLSQKLSLHRHALKPIRIHVLMWEQASADELGRCKPAAAPSVRFPPLAAELPKNLVADDSSETWPG >Ma04_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8198998:8199989:1 gene:Ma04_g11600 transcript:Ma04_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSRSLSTCLHSGQLALLAILVSGGIVLQILACALYNNWWPMLTALMYVILPMPLLFFTGSNSSSLMSNEGNGWVNFTKFLTGASVIGSIAIPSILKHANLIGWGALAMELSSFVVFGVAILWFLQMSNHDDYSYF >Ma01_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4145817:4148041:-1 gene:Ma01_g05860 transcript:Ma01_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGMASSSSSFFSSNFVLPMQTLHEEQHQIPNPVSPHPHTIPCNNLQDLRVMAPMLGKRSMSFSGIENGEEMNADDDLSDDGMQTGEKKRRLKMEQVRTLEKSFEQGNKLEPERKMQLAGALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQFEAMKSENESLHEQNKKLQAEILSLKRRETSEMINLNKETEGSSSNRSENSSEINLDLSRASVTESPLNPNQSLPIFHSVRPADVDHLLLHSSSRPELQCPKIDHGVTEGSFSNLLCSMEDQSASFWSWSDQHNFH >Ma02_p18300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24832019:24834722:1 gene:Ma02_g18300 transcript:Ma02_t18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPFAELIRFHKSRGSEATIMVTKVEEPSKYGVVVMEEETGKVDRFVEKPQTFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAADQKLHAMVLPGFWMDIGQPKDYITGLRLYLEAIRRRKPYKLAVGPHIVGNVLVHESAVIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRAARIKKHSCISSSIIGWHSTVGQWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPAIVM >Ma02_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24831767:24834722:1 gene:Ma02_g18300 transcript:Ma02_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPFAELIRFHKSRGSEATIMVTKVEEPSKYGVVVMEEETGKVDRFVEKPQTFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPKIAADQKLHAMVLPGFWMDIGQPKDYITGLRLYLEAIRRRKPYKLAVGPHIVGNVLVHESAVIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRAARIKKHSCISSSIIGWHSTVGQWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPAIVM >Ma07_p27040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33576043:33585051:-1 gene:Ma07_g27040 transcript:Ma07_t27040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRVSKKAALGGAAASSAFDDVFGGPPKFLAPFSARMHDYAEIFGAAASACSIPFLDLPPAMDGFDGEPAAPRRSSGFDYFEVFGGLDFGELAVSYEELFAVPRRVEVGASGGRALDDPAINDQDTEEYEYPLEDMHEDHKACREEDYVPLSSNSNHSDHGLKQSNLSYDRASPISKEGAFSGKTHITDLPEFHSTLDTIVPLQTQTSDAACCMVYGDKNDGKLQSKFMSNSSTHGLEISRDEFTGDQKKSTIESPILDIDHANLKYCSQSASSDSVASDDASSSNTIYVSVSNISLRTQPLRVPPPSRPPPKTVSKKENPFLKACATSRISLDGRSLCKPNENSEEHYISVSSASHACQDADKNIPCFFDVNVDASSAAAAMKQAMELAQARLRTAKESMEKKRINLQSHKKLGQQESNKERRVDQTFIEGNFSEMLTQKSIVKEDKHTDVIASKERNRITNGVKASEDVAEAQRYVVFDQQIMQGNNLRSEKDCGKWRMNQEHHELLSNEKNYKMVEGVSDQEGNRKKTKATANIIEDIYAANKEAILPSELESTGILKEESDGSKNDTNLQDDNVAYKKQVIEEIENATPKFCLHENVDDLQKAHVSSSGENEKHGKAHEVCDGGMRIPYAIENTISERSENNRELSEGNEFQGIHDSKEGNWKSKAGKEAFECEENFFNLELIRRSSEDKLNSETCKDVLESADNADDRTVADASCEPCESKKKLNVSMISSVPNGGEIKMPIGSCVFEAGKGVSATSECSEFMEKTGEEQVEYDHAENLDRMEESGLGNLQEVREEPYLKQELCMSGKNESELKVSQSVDELGENLKKLNATGVIMSGEQEKPMKAIEAACLKDCTGIKVKDSQVEEQQSDKIQEATRASCMQANSGNQDTCQANHEMRENEEIRKIHVKPDFSYNSNILSSIPDVSGKPLFVDLGVQQETLSERRCDILESTQNARENYNVESERIDFDMKKTEEKENERMERERKWAEVEASKLEEEKEREREREKDRVAVERATREAHKRAFAEARERAERIAVEKVTAEARQRALKEAREKAEKASVLSMEKSLAEKASREAKLRAERAAVQRATAEARERAAERALAEKATADARDRAERSNGIYKDRMSKQNIKEDHISARNKDDYIGAHLQSTSSSSNYRKYSALINQIGNGESALRCKARLERHQRTAERVAKALAEKNMRDIFAQREQAERNRLAEYLDADVKRWSNGKQGNLRALLSTLQYILGPESGWQPISLTDVITAAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >Ma07_p27040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33576043:33585051:-1 gene:Ma07_g27040 transcript:Ma07_t27040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRVSKKAALGGAAASSAFDDVFGGPPKFLAPFSARMHDYAEIFGAAASACSIPFLDLPPAMDGFDGEPAAPRRSSGFDYFEVFGGLDFGELAVSYEELFAVPRRVEVGASGGRALDDPAINDQDTEEYEYPLEDMHEDHKACREEDYVPLSSNSNHSDHGLKQSNLSYDRASPISKEGAFSGKTHITDLPEFHSTLDTIVPLQTQTSDAACCMVYGDKNDGKLQSKFMSNSSTHGLEISRDEFTGDQKKSTIESPILDIDHANLKYCSQSASSDSVASDDASSSNTIYVSVSNISLRTQPLRVPPPSRPPPKTVSKKENPFLKACATSRISLDGRSLCKPNENSEEHYISVSSASHACQDADKNIPCFFDVNVDASSAAAAMKQAMELAQARLRTAKESMEKKRINLQSHKKLGQQESNKERRVDQTFIEGNFSEMLTQKSIVKEDKHTDVIASKERNRITNGVKASEDVAEAQRYVVFDQQIMQGNNLRSEKDCGKWRMNQEHHELLSNEKNYKMVEGVSDQEGNRKKTKATANIIEDIYAANKEAILPSELESTGILKEESDGSKNDTNLQDDNVAYKKQVIEEIENATPKFCLHENVDDLQKAHVSSSGENEKHGKAHEVCDGGMRIPYAIENTISERSENNRELSEGNEFQGIHDSKEGNWKSKAGKEAFECEENFFNLELIRRSSEDKLNSETCKDVLESADNADDRTVADASCEPCESKKKLNVSMISSVPNGGEIKMPIGSCVFEAGKGVSATSECSEFMEKTGEEQVEYDHAENLDRMEESGLGNLQEVREEPYLKQELCMSGKNESELKVSQSVDELGENLKKLNATGVIMSGEQEKPMKAIEAACLKDCTGIKVKDSQVEEQQSDKIQEATRASCMQANSGNQDTCQANHEMRENEEIRKIHVKPDFSYNSNILSSIPDVSGKPLFVDLGVQQETLSERRCDILESTQNARENYNVESERIDFDMKKTEEKENERMERERKWAEVEASKLEEEKEREREREKDRVAVERATREAHKRAFAEARERAERIAVEKVTAEARQRALKEAREKAEKASVLSMEKSLAEKASREAKLRAERAAVQRATAEARERAAERALAEKATADARDRAERSNGIYKDRMSKQNIKEDHISARNKDDYIGAHLQSTSSSSNYRKYSALINQSNGESALRCKARLERHQRTAERVAKALAEKNMRDIFAQREQAERNRLAEYLDADVKRWSNGKQGNLRALLSTLQYILGPESGWQPISLTDVITAAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >Ma07_p27040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33576043:33585051:-1 gene:Ma07_g27040 transcript:Ma07_t27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQRVSKKAALGGAAASSAFDDVFGGPPKFLAPFSARMHDYAEIFGAAASACSIPFLDLPPAMDGFDGEPAAPRRSSGFDYFEVFGGLDFGELAVSYEELFAVPRRVEVGASGGRALDDPAINDQDTEEYEYPLEDMHEDHKACREEDYVPLSSNSNHSDHGLKQSNLSYDRASPISKEGAFSGKTHITDLPEFHSTLDTIVPLQTQTSDAACCMVYGDKNDGKLQSKFMSNSSTHGLEISRDEFTGDQKKSTIESPILDIDHANLKYCSQSASSDSVASDDASSSNTIYVSVSNISLRTQPLRVPPPSRPPPKTVSKKENPFLKACATSRISLDGRSLCKPNENSEEHYISVSSASHACQDADKNIPCFFDVNVDASSAAAAMKQAMELAQARLRTAKESMEKKRINLQSHKKLGQQESNKERRVDQTFIEGNFSEMLTQKSIVKEDKHTDVIASKERNRITNGVKASEDVAEAQRYVVFDQQIMQGNNLRSEKDCGKWRMNQEHHELLSNEKNYKMVEGVSDQEGNRKKTKATANIIEDIYAANKEAILPSELESTGILKEESDGSKNDTNLQDDNVAYKKQVIEEIENATPKFCLHENVDDLQKAHVSSSGENEKHGKAHEVCDGGMRIPYAIENTISERSENNRELSEGNEFQGIHDSKEGNWKSKAGKEAFECEENFFNLELIRRSSEDKLNSETCKDVLESADNADDRTVADASCEPCESKKKLNVSMISSVPNGGEIKMPIGSCVFEAGKGVSATSECSEFMEKTGEEQVEYDHAENLDRMEESGLGNLQEVREEPYLKQELCMSGKNESELKVSQSVDELGENLKKLNATGVIMSGEQEKPMKAIEAACLKDCTGIKVKDSQVEEQQSDKIQEATRASCMQANSGNQDTCQANHEMRENEEIRKIHVKPDFSYNSNILSSIPDVSGKPLFVDLGVQQETLSERRCDILESTQNARENYNVESERIDFDMKKTEEKENERMERERKWAEVEASKLEEEKEREREREKDRVAVERATREAHKRAFAEARERAERIAVEKVTAEARQRALKEAREKAEKASVLSMEKSLAEKASREAKLRAERAAVQRATAEARERAAERALAEKATADARDRAERSNGIYKDRMSKQNIKEDHISARNKDDYIGAHLQSTSSSSNYRKYSALINQIGNGESALRCKARLERHQRTAERVAKALAEKNMRDIFAQREQAERNRLAEYLDADVKRWSNGKQGNLRALLSTLQYILGPESGWQPISLTDVITAAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >Ma11_p20760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25174788:25177834:1 gene:Ma11_g20760 transcript:Ma11_t20760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDENSWGTMKPATFRDMGDRRALRDIRNLVGAPSYACAVKKTGLTDNGSSDDKNPTFVARLPVTRNFAATVASKSQPDQQGSSAQHDRFGNESHCKPPAPSMSSSSCPDSSSAVDVDDYSLSNDIASPMVEEKEEMDNSNLREVEMEDLVIETIPDIDGCDLDNPLAVVEYVEDIYTFYRKTEVTSCVRPDYMPHQFDINEKMRAILIDWLIEVHYKFELMDETLFLTANIIDRFLASQTVVRKKLQLVGVTAMFLACKYEEVSVPVVEDLILICDRAYTKEELLEMERLIINTLQFNMSVATPYVFMRRFLKAADSDKKLELLSFFIIELCLVEYKMLEFRPSLLAAAAIYTAQCSLRGFRYWTKTCELHTTYSEDQLLECSRLMVDFHHKAGVGKLTGVHRKYSTFKYGCAAKAEPALFLLNASL >Ma11_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25174765:25177834:1 gene:Ma11_g20760 transcript:Ma11_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDENSWGTMKPATFRDMGDRRALRDIRNLVGAPSYACAVKKTGLTDNGSSDDKNPTFVARLPVTRNFAATVASKSQPDQQGSSAQHDRFGNESHCKPPAPSMSSSSCPDSSSAVDVDDYSLSNDIASPMVEEKEEMDNSNLREVEMEDLVIETIPDIDGCDLDNPLAVVEYVEDIYTFYRKTEVTSCVRPDYMPHQFDINEKMRAILIDWLIEVHYKFELMDETLFLTANIIDRFLASQTVVRKKLQLVGVTAMFLACKYEEVSVPVVEDLILICDRAYTKEELLEMERLIINTLQFNMSVATPYVFMRRFLKAADSDKKLELLSFFIIELCLVEYKMLEFRPSLLAAAAIYTAQCSLRGFRYWTKTCELHTTYSEDQLLECSRLMVDFHHKAGVGKLTGVHRKYSTFKYGCAAKAEPALFLLNASL >Ma01_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1707470:1710472:-1 gene:Ma01_g02540 transcript:Ma01_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYGVWRGGVYPAYPPPRIRGYHTTMKNAIRSSLWSSTPNK >Ma03_p29360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32287987:32298109:1 gene:Ma03_g29360 transcript:Ma03_t29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPQDAIKQLSALMDEVDEPRKRTFQNMHQGYPTATLGRFLKAREWSVAKAHKMLIDCLNWRIQNHIDDILTKPIIPAELYRGVRDSQLIGLSGYSNEGLPVFAIGVGLSSFDKASVNYYVQSHIQINEYRDTVILPAATKKYGRHIGTCVKVLDMTGLKLSALSHIKLLTIISTVDDLNYPEKTETYYVVNAPYVFSACWKVVKPLLQERTRRKVQVLQGCGRNELLKIMDYSSLPHFCRRENYGSSRISSAGADVCYSLDHPFHQQLYNYIKQEALTQECVSLLKQGSFHVDVPGADTEGTNIVKALESELHKIGGHDGLTHPLNGLRINDA >Ma06_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3057847:3059452:-1 gene:Ma06_g04210 transcript:Ma06_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPSCCKKSFNKGSWSATEDKILTDYVKAHGEGRWGKLPKSAGLNRCPRSCRLRWLNYLRPDIKRGNISHEEEDLIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTVLRKKVKACSVGSKKIMHSDKDEDRSSKSQEKVICPEFENSTSCNDASVSSQHDDKNICKKESKKSVVSGEIHLDTYFLGPEDCDPVMASVIEESCTKHPLDIDFSEIFGDNLQKDMRIWGIVDAPEPPDDIMLFSNGISDSWFNGDDIQLYGGINLESFTTLFPSESEFLGL >Ma11_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24398640:24402787:-1 gene:Ma11_g19600 transcript:Ma11_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWELGNALKGSPIDALIRSCLLEERSADAAFHYDAPAGCAAYTLKWAFDNDLGLVFVAVYQRILHLLYVDDLLAAARREFSRVYEPKRTSYDDFDETFRQLQKEAEARAEEMRRFKQAGRAPAAPGKKQVPGAASRGSGKQRSSGGGGSGKDESDGYPGKGRRSENGSSNGHENEIKPNSRAQNVVVHGKENGAPRVDAFDVSKLQKLRSKGAKKTDTGGGGCKAAKAEPKKIAKKNRVWDDSPSESRLDFTDPVADRGEGPEVVVADQGESMMDKEEIVSSDSEGEEDEEVGNEKPGTKKKGWFSSMLQSISGNAVLEKSDLRPALKALKDRLMTKNVAEEIAEKLCESVATSLEGKKLGSFTRVSSTVQTAMEEALLRILTPKRSIDILRDVHAAKEQGRPYVVVFVGVNGVGKSTNLAKVAYWLLQHKINVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVANEAIQEAKRNNSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLTKFNQKLADLSMVPNARLIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCGQSYTDLKKLNIKSIVKTLLK >Ma07_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5900013:5911648:-1 gene:Ma07_g07950 transcript:Ma07_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLQSLRNFDAFPRAEEHLLQKTRSGAVVSIIGLIIMATLFVHELKYYLTTYTVHQMSVDVKRGETLPIHINMTFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLTREGHITGTEYLSDLVEKEHSAHKHGDSNDHHEDPTKNENEQGFGPDAVTMIKNVKHAMENGEGCRVFGVLDVQRVAGNFHVSVHGLNFFVAQQIFDGAKNVNVSHVIHDLSFGPKYPGIHNPLDGTTRILHDTSGTFKYYIKIVPTEYRYLSHRVMPTNQFSVTEYFVPTRAIDRSWPAVYFLYDLSPIIVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRIIEAFTKSKTGSIFR >Ma02_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23125285:23126193:1 gene:Ma02_g15380 transcript:Ma02_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQQLYLFLFVVFVSLQLASADRFTVGDRQGWNPNVNYTVWVEKHKPFHVGDWLVFYYQSGMADVVQVDEAGYNKCDASNPISNYSKGRNYAFQLNHTGRYYFVCSRGYCYGGMRLAILAERLPPPSPPPSSHRNSAARCRLSSALLALAVSLLSAAALFHFHL >Ma05_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7321208:7324100:1 gene:Ma05_g10180 transcript:Ma05_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTENGSTAAGKEASGFKFLIYGRTGWIGGLLGGLCTERGIPFVYGDGRLENRAQLEADITAASPTHVFNAAGVTGRPNVDWCETHRVETIRANVVGTLTLADVCRERGLILVNYATGCIFEYDGAHPLDSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISTDLLNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPKFTWKNFNLEEQAKVIVAPRSNNELDTTKLKGEFPELLPIKESLIKYVFEPNKKTSMA >Ma08_p34620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44607450:44611051:1 gene:Ma08_g34620 transcript:Ma08_t34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNNHQTPVGVPPSQGYPPEDHAKDAHPPPGYAPQGYAPQGYPPPGGYPPPGGYPPAGYPPPGYPPPAYPPHGYPPPGYGYPPQGYPPAYPQPPPQQQSNSSSFMDGCMIDFDYSGRLVDLVPRSSYRCGNVVKDREIVNFTNGRSRWKRTREKTVLADSEGNRS >Ma09_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7777156:7779584:-1 gene:Ma09_g11520 transcript:Ma09_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNLYSPKAKLIRLEALVLLATVLLFLLIIFGSFRRRSSNTVIQAVVSVAYTCSTFLVFYTVGQMQSSGINNGLLAIWAICLSLVLGSAISISAYGLEDNENWKKNFAENITHLFIIGYLVGTYLGHTHSSFRIPVVVLLILTGIRVGERTDVFQGATKTNGQKKSKLIAAYMKYESELTPQDEPDPTNMRGYNYLVYGEDQVGLIYEAPNCLLRIEITDRQVITVNKIWRCTGGLLSSSGDPEGRLKDICLSFALFKLIQRRFSGYQLAESSLQKTRDFVIRGLLSNDDDAHERAFRVIEVELAFLHDYFYTKYPLIFVSEKFMLAMSATLLIFLCWSGVSVMKYYLSPTTYFNLITIGRKSFDAIFTLIIVVAIALLELFQILLYVCSEWAKVSLVCRYVAHPSWHDKPWITEMIKHLCHLKVFDRVLQNKLGQYSLLEHCDYKPTAKNMLYCMTCEMVGKTRAGQKQSKRLKLPREVKKAVVRWLRLNHDALPTNGISSLQRNGVSAQLSWACNLETHAQVILVWHIATSQCEIHGSRVERANVTSMEMKDNQVVANSLSQYCAYLVGFVPDLLPDNSFDVQLIFDDAVKEASSQLGTLNLDQRFQSMMNQSDTSQNVVCRGARLGKQLIDMETPEMRWKVMAEFWVEMILFLAPSDNAKAHAEGLARGGEFITHLWALLSHAGILGRGASCIL >Ma04_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2566577:2569094:-1 gene:Ma04_g03320 transcript:Ma04_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPVTAPPLDDSSVPSPPTPAAPPPSDSLSSSPTSDSSTSPSSQPPSKSESDTSTTKNSPPPPSSSSSQSLPSSPPPSSHKSSSSSSSSPSLPSESSSPTTPSSSDASSSPASRSPSKSLPSQTSPPSKDSSTHRTNDSDANLPLILGVTVGLGIFIMLMIVSFVLCTKKKKKNPNHVMHYYDAPGPNGGFYNGGSLPRWQNGAQGMDYGGKMPPPPGAAMSPGGGWHQSPHPPMMASGDMSSLYSGFHGPPLPPPSPNVALGFNQSTFTYDEIAAVTNGFSRDNLLGQGGFGYVYKGVLPNGKEIAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGAQRMLVYEFVPNQTLEYHLHGKDLPTMDWPTRLKIAIGSAKGLAYLHEDCHPRIIHRDIKGANILLDNKFEAMVADFGLAKLSSDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPIDLTGDMEDSLVEWARPLLARALADQNFDELVDPRLENNYDVGEMLRMAASAAASVRHSARRRPKMSQIVRGLEGDVSLEDLNEGVKPGQSTIFNSSSDYDSASYSSDMRRFRKLALESNDYSNEYSGAASDYGLNPSESGSSGEISSARGQRKKSQPTLGTTWED >Ma02_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28281431:28282142:1 gene:Ma02_g23140 transcript:Ma02_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRIPAFGNWDYDDELPITQYFESAAQAGLIRDQCLCGDDKLCKPEAAPVKPVGYHSEVKKGGGKGEKQRRKQEKGCGVTPQDSSQRRPGRAPKAVDEDLYKIPPELLYQKPKRVQKRFLRSPWPACLGLSCVT >Ma03_p17860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23487813:23490631:1 gene:Ma03_g17860 transcript:Ma03_t17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGIVRSSTILPQEFNPTRKSKIVDCVGASPTTRFFTKAPSKPHNHSKCTSKCRTARCKGCHSNPMSKSRDKAKGAYKLKSCDVVLNHQLVAWRVVNNSTSLPNYKVASASETLNHLYADSLCEGEDYDNHNMEENLDYGYGEVSPETIDLEVGCKGAKVEEVEPFSPDSDEAGDMNFYVVGMSWDYSDGEWLVVGEI >Ma03_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23487792:23490631:1 gene:Ma03_g17860 transcript:Ma03_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGIVRSSTILPQEFNPTRKSKIVDCVGASPTTRFFTKAPSKPHNHSKCTSKCRTARCKGCHSNPMSKSRDKAKGAYKLKSCDVVLNHQLVAWRVVNNSTSLPNYKVASASETLNHLYADSLCEGEDYDNHNMEENLDYGYGEVSPETIDLEVGCKGAKVEEVEPFSPDSDEAGDMNFYVVGMSWDYSDGEWLVVGEI >Ma08_p01660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1401528:1404103:1 gene:Ma08_g01660 transcript:Ma08_t01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAKPISVRNLLVRALLFFLSVFLLRFVYVVTVHGGTCAAGDFCLFSSPAEPVAVAGTGAGSASAAVASVHAGLGSAATPALRALWTSREWRKAVEFYSSVFQNLVAEGFLSPASKSLCVDSPAGYEVLALKEIGVADAVGVAKKSAPPLVVGGADSLRLPFGNGTFDFVFAGRSLDRSKQPANLAAEIARTLKPHWFLVALTASAHDAYSRHSLAELFPDCITVRSRVIHSPDSAKPLWEIVFQKQEGTQTVSPNGKSGGDCPIPEHKLEILRSAEPLIEEEPLKPWITLKRNIQNVKYLPSIADISFKPRYIYIDVGARSYGSSIGSWFRKQYPKQNHTFEVFAIEADRAFQDEYATKKAVKLLPFAAWVRNETLTFEINRDPENHDDVEKGRGMGRIRPAGGSDGRVSSGEVHAIQGFDFAAWLKRTVTERDYVVMKMDVEGTEFDLVPRLFETGGICLIDELFLECHYNRWQKCCPGQRSPKYQNTYGECLKLFTRLRDGGVLVHQWW >Ma08_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1401528:1404103:1 gene:Ma08_g01660 transcript:Ma08_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAKPISVRNLLVRALLFFLSVFLLRFVYVVTVHGGTCAAGDFCLFSSPAEPVAVAGTGAGSASAAVASVHAGLGSAATPALRALWTSREWRKAVEFYSSVFQNLVAEGFLSPASKSLCVDSPAGYEVLALKEIGVADAVGVAKKSAPPLVVGGADSLRLPFGNGTFDFVFAGRSLDRSKQPANLAAEIARTLKPHWFLVALTASAHDAYSRHSLAELFPDCITVRSRVIHSPDSAKPLWEIVFQKQEGTQTVSPNGKSGGDCPIPEHKLEILRSAEPLIEEEPLKPWITLKRNIQNVKYLPSIADISFKPRYIYIDVGARSYGSSIGSWFRKQYPKQNHTFEVFAIEADRAFQDEYATKKAVKLLPFAAWVRNETLTFEINRDPENHDDVEKGRGMGRIRPAGGSDGRVSSGEVHAIQGFDFAAWLKRTVTERDYVVMKMDVEGTEFDLVPRLFETGGICLIDELFLECHYNRWQKCCPGQRSPKYQNTYGECLKLFTRLRDGGVLVHQWW >Ma06_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14427799:14429439:1 gene:Ma06_g20360 transcript:Ma06_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLWITLKKSLHSKSGNSDVHELKGRSHLGTLLRRKRSRSCCSRSIADLGDVIHGARRQMEKPNCSSPRSIGSSGVLNPIAHEVVLSDTRCELKIATGPERRSTTALRKVTYIFADRQGYSSLSDAVLGNAGADHASLKVWPSTGHSSPSLCHSCREQFGRWEDLEAHHLSKHAVSELLEGDSSRKIVEIICKASWSKFESSCGRLQRVLKVHNMQKTLTQSEEY >Ma07_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7241955:7254406:1 gene:Ma07_g09660 transcript:Ma07_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPSEPWILASLYSGSVCIWNYQSQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWICTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKIWNLASPDPNFTLDAHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCIQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENALNYGLERVWAVGYMKRSRRVVIGYDEGTIMIKIGREVPVASMDNSGKILWAIHNEIQTVNIKTIGADFEVTDGERLPLAVKELGSCDLYPQSVKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAIRESTSKIKIFSKTFQERKSIRPTFSAEHIYGGTLLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLLVIASDTSFYILKYKRHVVFSYLESGKPVDDQGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEVLPAIPQDHHNSVAHFLESRGMLEDALEVATDPNYRFDLAVQLGRLEIAKAIAMEVQSESKWKQLGELAMSTGKLEMAEECLSQAVDLSGLLLLYSALGDAEGIKKLASLAKEQGKNNVAFLCLFMLGKLEDCLELLVESNRIPEAALMARSYLPSKVSEIVSIWKKDLNKVNPKASESLADPEEYPNLFEDWQVALAVESNLAHDRDKYPPAEEYLSYAEKSQINLVEVFKSMQVDEERLPLENGDSGHEMREEDVTEEGQDEAVEHDVNDSTDSTVPVNEIKG >Ma01_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12693425:12695053:-1 gene:Ma01_g17330 transcript:Ma01_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVTRTSRSFVAPCEATPRGSLGLSVIDRMPVLRFTMRMLHVFRHGREPARVIREALSKALVKYYPFAGRFVDDPDGSGEVSIACTGEGAWFVEAKMDCSLEDVKYLESPLMIPEDALLPKPSPEMNTLDLPLMMQVTEFAGGGFVVGLIYVHAIGDGFGIAQFFNAVAEIARGLPNPTVEPAWSREVIPNPPKLPPGGPPVFPSLKLVDATVDLSADHINHVKARHLELTGQRCSTFDVAISNLWQSRTRAINLDPGVDVHVCFYANTRHLLRPVLPPEGGYYGNCIYPMTVTASSGRIASAELIDVISIIRDAKARLPDEFAKWLAGDFKDDPYELSFTYSSLVVTDWTRLGLLDVDYGWGKPLHVIPFAYLDIMAIGIIGAPPAPQKGTRVMTHCVEKEHMQAFLEEMKGFA >Ma02_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23079946:23081656:-1 gene:Ma02_g15320 transcript:Ma02_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTALAIAVLCLALSSAAAQSPASSPTATPPTSSSTSAAPAKHTTPVPVAAPAASPTKSTAPAPAVPSPFTSTPPAPVAAPLSSPPTASVPSASPPTASPPSDAVPPPTPVPLSSPPSPPPALPPPEPATAPPKPAEVPAASPPKPKKKGVTPASSPVATTTPSTPASSPSSEAASPGPSGSAADTSGAASGVGKMMSSVAVLAVAILVI >Ma04_p39850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36859672:36860497:1 gene:Ma04_g39850 transcript:Ma04_t39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKSVSCSLLLMIMLLLASVNMVMNLFLGVDCLISIRVWIWMREKL >Ma08_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35833128:35836487:1 gene:Ma08_g22160 transcript:Ma08_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHWIPASALVWGFVLLLAVAAVLVAAQNSTYKPRDHILLNCGASGQVTDLDGLNWTGDAGSKYAPSLNGGGSAARQDPSVSSVPYLTARVFTSPYTYTFPLGAGRIFIRLHFYPTTYSNHSASDAFFSVTSGHYTFLQNFSASLTADALNFAYFSLEYSVNVSTGGLNLTFTPSTTHANSYAFINGIEIVSIPDFFSSASPVLVNGGDSFPFPIDPDQALQTVYRFNVGGQAISPVRDSGLFRSWDDDTPYIYGAAFGVSYSADPNVTIEYTAAVPNYIAPSTVYSTARSMGPNAQVNLRYNLSWILTVDAGFYYLVRLHFCEIQYPITKINQRAFDIYLNNLTAQEEADVIVWSGGIGIPVYKDYVVILSGSGQMDLWVALHPDTISNPEYYDAILNGLEVFKLQNSDNSLAGLNPSPRPEPDVDPSRVSKNQNGNPKSKIFAIVGGVIGGFAVLLAGFCLIAMWKRQKKKKKGKDAASSDEPSAWMPLSVSGNAHSVTSAKTTTGTSASSLPSNLCRYFSIAEIRAATKDFDESLLLGVGGFGRVYRGEIDGGATKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENYEMILVYDYMAHGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDGKWVAKVSDFGLSKTGPTVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLPKEQVSLAEWALHCQKKGILDQLVDPFLTGKIAPQCFKKFAETAEKCVADFGIERPSMGDVLWSLEFALQLQESAEESGSIIGISDDTTPFVLVGSKDPSDPLTGTSPATTTTTTISIGGRSISSEDSVRLTPSAVFSQIMNPTGR >Ma08_p22160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35833038:35836487:1 gene:Ma08_g22160 transcript:Ma08_t22160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHWIPASALVWGFVLLLAVAAVLVAAQNSTYKPRDHILLNCGASGQVTDLDGLNWTGDAGSKYAPSLNGGGSAARQDPSVSSVPYLTARVFTSPYTYTFPLGAGRIFIRLHFYPTTYSNHSASDAFFSVTSGHYTFLQNFSASLTADALNFAYFSLEYSVNVSTGGLNLTFTPSTTHANSYAFINGIEIVSIPDFFSSASPVLVNGGDSFPFPIDPDQALQTVYRFNVGGQAISPVRDSGLFRSWDDDTPYIYGAAFGVSYSADPNVTIEYTAAVPNYIAPSTVYSTARSMGPNAQVNLRYNLSWILTVDAGFYYLVRLHFCEIQYPITKINQRAFDIYLNNLTAQEEADVIVWSGGIGIPVYKDYVVILSGSGQMDLWVALHPDTISNPEYYDAILNGLEVFKLQNSDNSLAGLNPSPRPEPDVDPSRVSKNQNGNPKSKIFAIVGGVIGGFAVLLAGFCLIAMWKRQKKKKKGKDAASSDEPSAWMPLSVSGNAHSVTSAKTTTGTSASSLPSNLCRYFSIAEIRAATKDFDESLLLGVGGFGRVYRGEIDGGATKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENYEMILVYDYMAHGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDGKWVAKVSDFGLSKTGPTVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLPKEQVSLAEWALHCQKKGILDQLVDPFLTGKIAPQCFKKFAETAEKCVADFGIERPSMGDVLWSLEFALQLQESAEESGSIIGISDDTTPFVLVGSKDPSDPLTGTSPATTTTTTISIGGRSISSEDSVRLTPSAVFSQIMNPTGR >Ma03_p14880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14687665:14694368:1 gene:Ma03_g14880 transcript:Ma03_t14880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAVLGLPVKWRTFGNGALVDRRRVFALGDVASSFARDGERKLLGHLALVAKSHSSRGEISGQAKLRVLEAPAVENWQILSGVEIPVTCFQLLGVTAQSEKDEIAKVVMELKSSVIEDGYTAGTIVSRQVGEDKMVLEIGQAALQLADAKPYSHDLLLSMTLAECSIAKIGFENNKISEGFEALAYARYLLRSHISLGKMPLLSQIEESLEDLAPACTLELLGLPHVPDNAECRRGALAALQELIRQGLDVEFSCRVQDWPCFLIQAMNKLMASEIVDLLSWDSLAIRRKNKKSIESQNQRIVLDFNCFYLAMIAHIALGFSTRQNEMISRAKTICECLIVSEGAELKFEEAFCSFLLGQGGGMEAVEKLQQLEAIRSSTSRNSLSSLSGMDKDKVVVNQALETWLKDAALSLFLDTHDCSPSMANFFGSPMRILSVGKQKIGTTKSLPSAGNRISNFGLLPDHGTSVAQAAHDNLTNHLDKAFKHLLSVNLQRQMSVGDPTGNISLPSSQLKRNIDFHSKRFWESWFSKGNMAGKIAHTALVGWIVFGAFKLLAMRSGNSKMPYELKTSHPCSTSQITASSHHGSCDSASAFVKRDLMGQLRKFWAIFRGDLKYTNGVGSLQNTWPTDDLSRFSAVAAGTQVHRRQMSIEEAEALVKQWQDIKAEALGPSHQIQLLPNILSESILLKWEELANSAKARSCFWKFVLLQTSILHAKIVSDGGDDEMAEIEAVLEEAAELVDDSEPRKPNYYSTYEVHYILRRQEDGSWRFCGGGIQNQNMT >Ma03_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14687762:14694368:1 gene:Ma03_g14880 transcript:Ma03_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAVLGLPVKWRTFGNGALVDRRRVFALGDVASSFARDGERKLLGHLALVAKSHSSRGEISGQAKLRVLEAPAVENWQILSGVEIPVTCFQLLGVTAQSEKDEIAKVVMELKSSVIEDGYTAGTIVSRQGLLIDVRDKLLFEPEYAGNIKEKVPPKSSLRIPWSWLPGALCLLKEVGEDKMVLEIGQAALQLADAKPYSHDLLLSMTLAECSIAKIGFENNKISEGFEALAYARYLLRSHISLGKMPLLSQIEESLEDLAPACTLELLGLPHVPDNAECRRGALAALQELIRQGLDVEFSCRVQDWPCFLIQAMNKLMASEIVDLLSWDSLAIRRKNKKSIESQNQRIVLDFNCFYLAMIAHIALGFSTRQNEMISRAKTICECLIVSEGAELKFEEAFCSFLLGQGGGMEAVEKLQQLEAIRSSTSRNSLSSLSGMDKDKVVVNQALETWLKDAALSLFLDTHDCSPSMANFFGSPMRILSVGKQKIGTTKSLPSAGNRISNFGLLPDHGTSVAQAAHDNLTNHLDKAFKHLLSVNLQRQMSVGDPTGNISLPSSQLKRNIDFHSKRFWESWFSKGNMAGKIAHTALVGWIVFGAFKLLAMRSGNSKMPYELKTSHPCSTSQITASSHHGSCDSASAFVKRDLMGQLRKFWAIFRGDLKYTNGVGSLQNTWPTDDLSRFSAVAAGTQVHRRQMSIEEAEALVKQWQDIKAEALGPSHQIQLLPNILSESILLKWEELANSAKARSCFWKFVLLQTSILHAKIVSDGGDDEMAEIEAVLEEAAELVDDSEPRKPNYYSTYEVHYILRRQEDGSWRFCGGGIQNQNMT >Ma03_p14880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14687666:14694368:1 gene:Ma03_g14880 transcript:Ma03_t14880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAVLGLPVKWRTFGNGALVDRRRVFALGDVASSFARDGERKLLGHLALVAKSHSSRGEISGQAKLRVLEAPAVENWQILSGVEIPVTCFQLLGVTAQSEKDEIAKVVMELKSSVIEDGYTAGTIVSRQGLLIDVRDKLLFEPEYAGNIKEKVPPKSSLRIPWSWLPGALCLLKEVGEDKMVLEIGQAALQLADAKPYSHDLLLSMTLAECSIAKIGFENNKISEGFEALAYARYLLRSHISLGKMPLLSQIEESLEDLAPACTLELLGLPHVPDNAECRRGALAALQELIRQGLDVEFSCRVQDWPCFLIQAMNKLMASEIVDLLSWDSLAIRRKNKKSIESQNQRIVLDFNCFYLAMIAHIALGFSTRQNEMISRAKTICECLIVSEGAELKFEEAFCSFLLGQGGGMEAVEKLQQLEAIRSSTSRNSLSSLSGMDKDKVVVNQALETWLKDAALSLFLDTHDCSPSMANFFGSPMRILSVGKQKIGTTKSLPSAGNRISNFGLLPDHGTSVAQAAHDNLTNHLDKAFKHLLSVNLQRQMSVGDPTGNISLPSSQLKRNIDFHSKRFWESWFSKGNMAGKIAHTALVGWIVFGAFKLLAMRSGNSKMPYELKTSHPCSTSQITASSHHGSCDSASAFVKRDLMGQLRKFWAIFRGDLKYTNGVGSLQNTWPTDDLSRFSAVAAGTQVHRRQMSIEEAEALVKQWQDIKAEALGPSHQIQLLPNILSESILLKWEELANSAKARSCFWKFVLLQTSILHAKIVSDGGDDEMAEIEAVLEEAAELVDDSEPRKPNYYSTYEVHYILRRQEDGSWRFCGGGIQNQNMT >Ma10_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31850957:31852949:1 gene:Ma10_g22490 transcript:Ma10_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGRMEKSKDDVQRFVFDSSVDHNGRVPCRTSTGCWKASLFIVAIEFSERLSYFGLATNLIIYLTKVLRQELKTAAKNVNYWSGVTTVVPLVGGFIADAYLGRFSTVIISTLIYIGGLLLLTMSQIVPRLKPCDPVTCGRSLGLHEVIFFLAMYLISLGTGGHKPSLESFGADQFDDNHDEERRKRMSFFNWWNFALCSGLILGVTVVVYVQDRVGWWQADVALTATMALSLVSFLAGRPFYRYRKPEGSPFTPMLQVVAAAMAKRHLPLPSDARELCEVPETQTTSKRLLCHTNKLRFLDKAAIIEQKHDEAATAAAGKQNPWRLATVTQVEELKLVLSMVPIWITVLPFGICIAQTNTFFVKQGSVMDRQIGNGFIIPAASIFSLGALGMVISVTFYERMLVPILRRVTGDERGVSILRRIGIGMAITTVGMISAALVEMKRLKVAENEGTIAVSMSVLWLAPQFVIIGVGDGFALVGLQEYFYDQVPDSMRSLGIAFYLSVLGVANFISSVLITVVDRITSRGGRGSWFAKDVNKSRLDLFYWLLAIMGALNLCCYVFLARSYSYKKVHQRRVGVIDSSEDDV >Ma09_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35775015:35775284:-1 gene:Ma09_g24100 transcript:Ma09_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEDDKECHTPTSEESRLPVFPRICPPAPKKTRRSAPCKRRHWSSEPELIVVGTEEMEQLFRWRDQQKDDHSLAKKRRVHKTSEISS >Ma04_p05680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4233818:4240170:-1 gene:Ma04_g05680 transcript:Ma04_t05680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESEPPPPPLSAEPPSEPGAEEDAEAEARRLKSLAEEKLLSSSLKSALKYAKRAARLAPDLDGVTQMVTALKVLRADPSDHYKVLRLPPFSTPAAVRKQYKALALMLHPDKAAAHPLPAAEDAFKRVADSFRFLSDRSLKRDLDLRLRLSLSAAASAEGEAPIPPVTFWTACTTCRLLHEFDRKYVGYRLVCPSCRKSFLAVEVPAGDKPSAAHENGAMKDEARVRVTRSRSSSRPRIPRFPALVGDKKRKTRVSPSPVAKMPRPSAEKTLAEIQMELIKAKGKDKSRNGGKEKALAAVKMEEDNDVSLMAVEDSDFYDFDKDRTEKSFKMGQIWAIYDDDDGMPRHYGLIDEVISFNPFRMTMSWLDIQCNGDEAMVLGEKSGLHISCGRFKVGRKVDIDSVNCFSHYVNCERAARELYRIYPNKGSVWALYGEQNAREDGRHYDIVVCLTSHSEMYGLSIAYLEKVVGYKTIFKRQAIGCHAIKWLKKDDMRLFSHQIPARKLSEAQGIDLPRDCWELDPASLPPDLLRIGCG >Ma04_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4233818:4240170:-1 gene:Ma04_g05680 transcript:Ma04_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESEPPPPPLSAEPPSEPGAEEDAEAEARRLKSLAEEKLLSSSLKSALKYAKRAARLAPDLDGVTQMVTALKVLRADPSDHYKVLRLPPFSTPAAVRKQYKALALMLHPDKAAAHPLPAAEDAFKRVADSFRFLSDRSLKRDLDLRLRLSLSAAASAEGEAPIPPVTFWTACTTCRLLHEFDRKYVGYRLVCPSCRKSFLAVEVPAGDKPSAAHENGAMKDEARVRVTRSRSSSRPRIPRFPALVGDKKRKTRVSPSPVAKMPRPSAEKTLAEIQMELIKAKGKDKSRNGGKEKALAAVKMEEDNDVSLMAVEDSDFYDFDKDRTEKSFKMGQIWAIYDDDDGMPRHYGLIDEVISFNPFRMTMSWLDIQCNGDEAMVLGEKSGLHISCGRFKVGRKVDIDSVNCFSHYVNCERAARELYRIYPNKGSVWALYGEQNAREDGRHYDIVVCLTSHSEMYGLSIAYLEKVVGYKTIFKRQAIGCHAIKWLKKDDMRLFSHQIPARKLSEAQGIDLPRDCWELDPASLPPDLLRIGCG >Ma04_p05680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4233818:4240170:-1 gene:Ma04_g05680 transcript:Ma04_t05680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESEPPPPPLSAEPPSEPGAEEDAEAEARRLKSLAEEKLLSSSLKSALKYAKRAARLAPDLDGVTQMVTALKVLRADPSDHYKVLRLPPFSTPAAVRKQYKALALMLHPDKAAAHPLPAAEDAFKRVADSFRFLSDRSLKRDLDLRLRLSLSAAASAEGEAPIPPVTFWTACTTCRLLHEFDRKYVGYRLVCPSCRKSFLAVEVPAGDKPSAAHENGAMKDEARVRVTRSRSSSRPRIPRFPALVGDKKRKTRVSPSPVAKMPRPSAEKTLAEIQMELIKAKGKDKSRNGGKEKALAAVKMEEDNDVSLMAVEDSDFYDFDKDRTEKSFKMGQIWAIYDDDDGMPRHYGLIDEVISFNPFRMTMSWLDIQCNGDEAMVLGEKSGLHISCGRFKVGRKVDIDSVNCFSHYVNCERAARELYRIYPNKGSVWALYGEQNAREDGRHYDIVVCLTSHSEMYGLSIAYLEKVVGYKTIFKRQAIGCHAIKWLKKDDMRLFSHQIPARKLSEAQGIDLPRDCWELDPASLPPDLLRIGCG >Ma06_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2585657:2587128:1 gene:Ma06_g03550 transcript:Ma06_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRNKRKMLDVLWGVEVAELHAGSCSECPTMDSFLRRHELESLRSTMLKHEEVFRHQVHELHQLYRVQRMLMAELRSEGLKLRYLANATLSTTTGAATTRLWSSTSTVTNVHQRKVSSMELNLCFDLEQPGEAVAAEERSTAAGKHREEERSSGGRRKWMDDESGVELTLSIGRGSGKNP >Ma11_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18137680:18140537:1 gene:Ma11_g13560 transcript:Ma11_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDLIPATVARRVTAEHLWPGGRRRRGKQQRREVEEDFEADFLEFDDESGEDEFEDEFDDEVDANSSGFGSNTSFSREISVTLRSRGFGGPACKSGKRKRKSQFRGIRQRPWGRWAAEIRDPCKGVRVWLGTFDSAEQAARAYDAEARRIRGHKAKVNFPAGSKSGAKKSILKPTAPRTHTSNMSEKLECKHSDHPNDQDCVFYSAMGLLEEELTKPDYFYPFASEGPSAPCEGLDFHSAEEGAEESDSFGHPEFIWEHEDKTPEIKLVDVPTAIEGNGTGLLGDDGHQENLKNGCGAESSAEETTAIKLSKNLYAFDSYMNFLQFPYTEGSSNVSLDCLFGGELAQDDFSTVNLWSFDDLPMEGSVY >Ma10_p25820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33776266:33779576:-1 gene:Ma10_g25820 transcript:Ma10_t25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKEVEAAAEPRDARVVKELLRSMGLGDGDYEPRVVHQFLELAYRYVVDVLSDAQIYSDHASKTAIDPDDVRLAIQSKVNFSFSQPPPREVLLELARSRNKTPLPKSIAPPGSIPLPPEQDTLISPNYQLLIPRKHPPQVEETEEDIDGSNTNPIATTNLSQEPRIGTDPIATTNLSQEPRIGTDQQPQQQSPQRVAFPLSSTAERPR >Ma07_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30970436:30972134:-1 gene:Ma07_g23270 transcript:Ma07_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGMLLPPGFRFHPTDEELILHYLGNRAASLPCPVSIIAEVDIYKFDPWELPAKATFGDGEWYFFSPRERKYPNGYRPNRAAASGYWKATGIDKPVVTSSGNSYIGVKKALVFYKGRPPKGVKTNWIMHEYRLAEPPSKNSYKPIELRDLSMKLDDWVLCRIYKRNSSSSQSSAVEDVFVPTPSITTHQSLSDLLLDAADYSDVLGLENRHNIDSSRIHSSRLPHNLKRQWVADGWFGDGDEALSSPAKRPTGSRIGTDLIDDFEFDLCNPQLISYSSHLAWQ >Ma11_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1160840:1161264:1 gene:Ma11_g01650 transcript:Ma11_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFLISNHGFLFGGGLYGCTRYCLHHGQPSKDPAKNLKQYHLNHHFRIQVCIIAERKCVCRVIKK >Ma03_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22434792:22437897:1 gene:Ma03_g17200 transcript:Ma03_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARESRKSRSTPDLIPLLNRNPNPPLIFLRRRLLPRPSQVGLTWPHHVRRHALAATIFHFFASWIVASPRSSSASSSSMGEASPVPPPPDAGAAFVLESKGSWWHAGFHLTTAIVGPTILTLPYAFRGLGWGVGLAALTVVGAVTFYAYTLMSKVLDHCEKQGRRHIRFRELAADVLGSGWMFYFVVFFQTAINTGVSIGCVLLAGECLKIMYSSLSPDGPLRLYHFIVMVTVVLILLSQLPSFHSLRHINLVSLFLSLGYTTLVAAGCINAGYSRNAPPRNYSLDSSRVQRTFNAFTSISILASLYGNGILPEIQATMAPPATGKMVKGLMLCYSVIIVTFYSAAVSGYWAFGNKVNSNVLDSLLPDSGPSLAPTWLLGLAVVFVLLQLLAIGLVYSQVAYEIIEKNSADIKKSKFSKRNLVPRLILRTLYMAFCGFMAAMLPFFGDIVAVVGAIGFIPLDFVLPMLLYNISIGPSKRSAIHWINIFIMVVFTGVGIMGAFASIRKLVLDAHQFKLFSNDVVG >Ma06_p28340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30037168:30039473:1 gene:Ma06_g28340 transcript:Ma06_t28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDSLVLIIALGLVIGTVGAQSDGCGSELPIALSSNFSGLSCKTVWNNFVLRYSQDQDNVLSIVLSTVYTTGWVGLGFSKDGMMVGSSAVVGWMGKTGQRHIERFYLGGQSSSDVQVDKGELQFSTAAAAAGPSVLVENAKIYLAFRLKFSAPVTQQQLIFAIGSATPVNNVLQIHDDKTSILFDFSSGTSSASSSYPTQLKRTHGALAIFGWGVLLPIGTIVARYCKQWDPLWFYLHTVIQFIGFIIGLAAVVTGKSLYDKLHASVHTHRGLGIFVLVLGILQVLAFFLRPDKDSKYRRYWNWYHQWAGRLALFFAAVNIIVGIRVGGAGSSWKVGYGFNLALLMTVSIILEVLLWTRWSKGNNTPVF >Ma04_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26915587:26919680:1 gene:Ma04_g25060 transcript:Ma04_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNREEAMVDDERRKQKPWLIRRGWSSGQIKSFAALSSSLLPAFGAGVDGNYPDIKKYIIAPYDPRYRWWQMFLIVLVFYSAWASPLELAFPQVSSGSLLVVDLVVDVFFGVDIVVSFSVAYFNSSTYLLVDDRRKIAKRYLTRPWFVMDVASTIPFHIIYRIITGRGNGGSVFGVVNLLRLWRLRRASKLFARLEKDIRFSYFWTRYVKLICVTLFAVHSSACVYYWMAIHYRVKEKTWIGSLIPDFQERSIWLGYTYAIYWSITTLTTVGYGDLHAWNTGEKVFTIFLMLFNIGLTAYLIGNMTNLIVHAATRTFLMRDTIHRVSRFASKHRLPDGLREQTMAHLQLRFKTMELQQEEVIADLPKAIRSTIAQHLFRRTVEATYLFKGVSPEFIVQLVSEMKAEYFPPKVDIIIENEIPTDLYIIVSGAVDVLTSKNGAEKFLSTVGPADLVGEIGVIFNIPQPFTVRSKRLSQVVRISHRHFMQIVQPYNADGKRVLSNFMQFLKELSTDLIEEVAFVPELLKQMNEEGISEELQDLEASMSNDQETAAQEPLTAAYSSSSCDLDKRVTIHGHHPEETSKIGRHAAGKLIFLPDSMEELLKLAEKTFGMEARRVLAPDGAEIEEICTIRDNDHLFIC >Ma09_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29948933:29953731:-1 gene:Ma09_g20740 transcript:Ma09_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSLLAQCLPALVPRDKASLKADVSLVSERDLHLPSPAIEILPSKNAHPYKYAGENVDLQGLKIFKGRVRLSDVIGFSNSEMASSKSDGSLKSWESSTDLVNSVKLEIRDGLLSFRGKRVLELGCGYGIAGTFACLKGASTVHFHDLNAETIRCTTIPNVLANIEQAKDKQSRQSESPLTPSRQHLTSDVHFYAGDWEELPSVLSVVRVDGFELASGASLSLSEDDYIDACSSQEGSVIAQETSSRRSRKLSGSRAWERASKTDPGDGGYDVILITEIPHSVTSLRKLYALMTKCLRPPYGVSYLAVKKKFVGPNGVARQLKAMVHEDNIFSVHMVSRTSEREIWKFFFN >Ma09_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5919567:5920587:1 gene:Ma09_g08900 transcript:Ma09_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGHSNSKGGAAAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFENYVEPLKVYLQRFREMEGEKAGGGAAASSQSQPKDGSNAGGGPSTSVGGGGMYGGGMMMMGQQMYGTGPPSALQPFQHHQMTMGGINSLSGGGGADGGSPSSGSRQRHDRI >Ma06_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7952081:7954201:1 gene:Ma06_g11380 transcript:Ma06_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHSGREQRCHDCIRALEEERRKIEAFQRELPLCLQLVTRAIECVREQMGDDESVNDAPVLEEFIPLKPSLASTSSEGSGEAKKAAMVGRLETKPDWLRSVQLWDQQPDTVLKVEPPKKPMAVSLKKTGGAFQPFEREKHATTPPAATAAASNFTTSRGGGDSSRGGEMEKKEEQSPVHRKARRCWSPELHRLFLHALERLGGSHVATPKQIREMMEVDGLTNDEVKSHLQKYRLHTRRPNPADQSSSSRPQFVLVGGLVVPPASPPGGNGACAPPNGIYAPVASRPSGLRSQQRPRSGIRPSNSGGRCSEDVNSMGDDDSASPTTSASSQTTTASPPF >Ma07_p17400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17488263:17509573:1 gene:Ma07_g17400 transcript:Ma07_t17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGKQVVGKVAASAASSSSVKRKTAASENPGGCRKRRRRPGVLQFFDDAAVDADSDCDNKEESEVDEKITDLVDFADDGKYENKKITGDGQSHHLPFFVKEELSGDELEELINDRYAHGSEHVTYNDDSAECDVKASEADGMKDVIIWKVKCMVGRERQMTFCFMQKYVELENLGTKLQISSVFALEHVKGYVFVEADKLYDVIEACKGFCSVYSSRINIVPRSEVPHLLAIRNKLPEVCTGKLVRLKSGKYKGDLAQVVAVDDGQKQLTIKLVPRIDLQAIAKKFGGGISLKLAAVPAPRLISSHELESFRPHIEVKRDRLTGELFEVLDGMMLKDGYLFKKVSTGSVIFSGVQPSSSELLMFSDVTNNMVEDLNWVSSIYNARKKKPGAEILDDEVSVVTKNDYGLHDLVLFGQKHYGVIIAVEKDCFKILKGDIEGENVVTVKIQDIKNSCVDKMFTALDWKKTTIFINDIVKILAGPLQGREGVVKHMYKGTLFIHAEYETKNSGFFSVKSVSCEKVKESKNSYGVKAGKGKEANTSFSQSPIRTFDRENNAHGSTRRGQSDNEQMFSIGQTLRIREGPLKGYLCRVVGIYRSDVTVKLDSLVKLITVKDKSLAVPKIKGDNATGSSDQATISSDHFGLSTACPSFGETSTPAEKSSWDSVMPSFGRDSWQPFSSSNSSVACNNENQTDHGNEADPWSNMATATGKQTSGGSIEITDGWGLGLGADPWNKVTYANRTDAPTSDGAIGGWGNSSNSGLLEKASSGAGDQAGSSEIKSYDWSNKAAVVLRNDVDGWEKSKTSVDDAGGIWDNESVKKSNACSWDSEGIGKMSKKEDAWDKTAKSQEKSNDNWDVAAIGQTQSNNNTCSWDNKEGKISTEDDAWQKAAKLQDTNNNSCDAVAIGGSHSIQNHGCEVGDWDNAKSPSASLSGYRVKAKENDKAVIGRLSRAGVSGQSQNCLGDNRMSPKGNYDFNWNKGKDCEGADANTRMNSEKPRELQHVGGLGATDNDRSQDSWSKNHNWGQTSSLEREREQDNGHRNHDNNWSRQNEFNVFRGSSWERGRGFHGVSGCMKHDAGDQEWLSGRGGGRDEGRGKARGHSGEAGGNRDGLSDTRSVGQVCNWDKGHGAIETSDWKNQQSSVDSLSNWENDKNADWHKLRNCNQNESLGKTGQMHSWSKNKSLAGQSLSGWSSFSQDADAKKDAKGVDDASCWEKGTSLPEGSSNWEKSHAGEQFELSNDDKNKWSTTTTSGWVGDSLKNVPAAPENLHISICRRFIGDNQDMSIVSDGNKPGWDKLSSSDEGKAAGGYEWDSMKASGAKQVSGWCGWTDHASEVKAYEKTIRGAWDGPRADESCKNSTGAGINSWEVAVRSDEKARGVILDKSCDWTHSEVSSGNVDAWDMEGKKRTADGNWDTTTNSQREKTDEGNNWGDNSKESKEDFTVQGGYQTDSRNGAVLHSTQPGTEHSGQVSSWERTTNSWSGQSGQWKDRQSNDSKDWKQGGSKQSENDTWYGRKSSGEEFGKGDQVDRWSKPREGGHGFGRGRGGGQNSWDSGSWNKEMDHVGNQRSGWGRGRGGNNEADGEKQCKWNKQRDFSEDRGSSWVRGRGRFGTRDKSQGDNSDGPPNQQGRWSGSRRGWGRNSGYNFDGGWHFSHGGGRGPGHSSFFSSDMKDDRESCGDGSLPRNSVSSWGSNENTGWEKSKDHANSECGGKTNQQHDWSKGKSHDGDSSGCSKRSSNWSKDEADVGEENWARTKEFGVVQSSSWNKWSTNTEDIGEASGICRNSKDAQAAGDQGCSWDKAAVSWDEH >Ma07_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17488263:17509573:1 gene:Ma07_g17400 transcript:Ma07_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGKQVVGKVAASAASSSSVKRKTAASENPGGCRKRRRRPGVLQFFDDAAVDADSDCDNKEESEVDEKITDLDFADDGKYENKKITGDGQSHHLPFFVKEELSGDELEELINDRYAHGSEHVTYNDDSAECDVKASEADGMKDVIIWKVKCMVGRERQMTFCFMQKYVELENLGTKLQISSVFALEHVKGYVFVEADKLYDVIEACKGFCSVYSSRINIVPRSEVPHLLAIRNKLPEVCTGKLVRLKSGKYKGDLAQVVAVDDGQKQLTIKLVPRIDLQAIAKKFGGGISLKLAAVPAPRLISSHELESFRPHIEVKRDRLTGELFEVLDGMMLKDGYLFKKVSTGSVIFSGVQPSSSELLMFSDVTNNMVEDLNWVSSIYNARKKKPGAEILDDEVSVVTKNDYGLHDLVLFGQKHYGVIIAVEKDCFKILKGDIEGENVVTVKIQDIKNSCVDKMFTALDWKKTTIFINDIVKILAGPLQGREGVVKHMYKGTLFIHAEYETKNSGFFSVKSVSCEKVKESKNSYGVKAGKGKEANTSFSQSPIRTFDRENNAHGSTRRGQSDNEQMFSIGQTLRIREGPLKGYLCRVVGIYRSDVTVKLDSLVKLITVKDKSLAVPKIKGDNATGSSDQATISSDHFGLSTACPSFGETSTPAEKSSWDSVMPSFGRDSWQPFSSSNSSVACNNENQTDHGNEADPWSNMATATGKQTSGGSIEITDGWGLGLGADPWNKVTYANRTDAPTSDGAIGGWGNSSNSGLLEKASSGAGDQAGSSEIKSYDWSNKAAVVLRNDVDGWEKSKTSVDDAGGIWDNESVKKSNACSWDSEGIGKMSKKEDAWDKTAKSQEKSNDNWDVAAIGQTQSNNNTCSWDNKEGKISTEDDAWQKAAKLQDTNNNSCDAVAIGGSHSIQNHGCEVGDWDNAKSPSASLSGYRVKAKENDKAVIGRLSRAGVSGQSQNCLGDNRMSPKGNYDFNWNKGKDCEGADANTRMNSEKPRELQHVGGLGATDNDRSQDSWSKNHNWGQTSSLEREREQDNGHRNHDNNWSRQNEFNVFRGSSWERGRGFHGVSGCMKHDAGDQEWLSGRGGGRDEGRGKARGHSGEAGGNRDGLSDTRSVGQVCNWDKGHGAIETSDWKNQQSSVDSLSNWENDKNADWHKLRNCNQNESLGKTGQMHSWSKNKSLAGQSLSGWSSFSQDADAKKDAKGVDDASCWEKGTSLPEGSSNWEKSHAGEQFELSNDDKNKWSTTTTSGWVGDSLKNVPAAPENLHISICRRFIGDNQDMSIVSDGNKPGWDKLSSSDEGKAAGGYEWDSMKASGAKQVSGWCGWTDHASEVKAYEKTIRGAWDGPRADESCKNSTGAGINSWEVAVRSDEKARGVILDKSCDWTHSEVSSGNVDAWDMEGKKRTADGNWDTTTNSQREKTDEGNNWGDNSKESKEDFTVQGGYQTDSRNGAVLHSTQPGTEHSGQVSSWERTTNSWSGQSGQWKDRQSNDSKDWKQGGSKQSENDTWYGRKSSGEEFGKGDQVDRWSKPREGGHGFGRGRGGGQNSWDSGSWNKEMDHVGNQRSGWGRGRGGNNEADGEKQCKWNKQRDFSEDRGSSWVRGRGRFGTRDKSQGDNSDGPPNQQGRWSGSRRGWGRNSGYNFDGGWHFSHGGGRGPGHSSFFSSDMKDDRESCGDGSLPRNSVSSWGSNENTGWEKSKDHANSECGGKTNQQHDWSKGKSHDGDSSGCSKRSSNWSKDEADVGEENWARTKEFGVVQSSSWNKWSTNTEDIGEASGICRNSKDAQAAGDQGCSWDKAAVSWDEH >Ma10_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24065021:24067994:-1 gene:Ma10_g09950 transcript:Ma10_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGGERVNNPTIMKSPSGSTQKVVNVQYYGAKGDEDSDSMAFEKAWKKACSSSTPTILLIPKNKRYLLKPMNFRGPCKSRVSFTIMGTLEASRNQKDWDGRISRHWILFSNIDNLTVRGGGTINGNGKIWWRGSCKMDASMPCISAPTALSFNSCKNLRVENLKVMDSPRMHISLEKCTGVDASHLTITAPHESPNTDGIHITHSKNVKIANSFIGTGDDCISIVSGSKNVMATNIVCGPGHGISIGSLGAHNSEAQVSNVTVDTARFIGTANGVRIKTWQGGKGYAKGLTFKNIVMHNVLNPIIIDQNYCDSQNPCQRQVSAVQVMDVLYQNIKGTSASEVAINLQCSNDRPCRHVLLQDVNLVGVGGDSAKSLCSNVQWIKRGTVIPPPRIHD >Ma06_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:187958:192043:-1 gene:Ma06_g00260 transcript:Ma06_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARFAFFPPEPATYEVFREGGGEGPLCLSRLPPGKNVEVHLVETKAGNRVVATFWRHPLARFTLLYSHGNAADLGQMLDLFHELRSHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYDCLMKEYEIKQEDLILYGQSVGSGPTLHLAARLQKLGGVVLHSAILSGIRVLYPVKVTLWFDIFKNIDKIRQVNCPVLVIHGTSDEIVDWTHGKRLWELSKEKYDPLWIKGGGHCNLETFPQFIKHLRKFINAMEKLTLVKQITQSSLSISAVTEVKYNKCLRLGKR >Ma04_p34660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33734710:33735657:1 gene:Ma04_g34660 transcript:Ma04_t34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPDEDAKLKSYIEHHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNLKRGGFSEEEDDIICRLFVSIGSRWSVIASQLPGRTDNDVKNYWNTRLKKKLLGKHAKGAEAKGDDNGGITGSPQQPAPIDHVRHELDGRFYIDAANHGSSSVMPSTVPCLVSPWLQEDSGRQALQCLNDLTTELDEILRFNSLMYSSMMEGCERDATASI >Ma09_p04670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3003867:3024609:-1 gene:Ma09_g04670 transcript:Ma09_t04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRPCLLYRGFFEDASRRLKKTPAGSKISLLNSACGSSPILGCSTRVSRSLVSAELGARLGALRSAVEEAKIVRQQEEEEEKGRPLRVGIICGGPSAERGISLNSARSVLDHIQGEDLHVSCYYIDCSLNAYAISPAQLYSNTPADFDFKLESLAQGFRSLHTFVEHLAVSVDIVFPVIHGRFGEDGGIQELLEKANVPFVGTASHECRQAFHKYNASLELIKQGFLTVPGFLVQGNQPDRSEMIRWFEINLLDKETGKVVVKPTLAGSSIGVTVAYGVDDAIEKAINIISEGIDDNVLVEVFLEGGSEFTAIVIDVGIDNDAKPVVLLPTEVELQVLTNNDQSEDTIFNYRRKYLPTQQVAYHTPPRFPTDVIECIRQGASLLFQHFGLRDFARIDGWFLPGNANMFSTVAKNTKFGITESGNIIFTDINLISGMEQTSFLFQQASKVGLSHSNVLRTIIQHACLRYPSLKSCSNAWNTLSRRLQSAQHSNVPSKIRGSQKVFVLFGGETSERQVSLMSGTNVWLNLQGYGDLDVIPCLLAPANGYGSAELKNADQQEICRTVWTLPYSLVLRHTTEEVLAACIEAVEPDRALLTSHLRDNVMSELSAALSKHYWFSGFDINEELPANFTLENWIKHAKESQSIVFIAVHGGIGEDGTLQSLLEAAGVPYTGPGPFASKICMDKMQTSLSLSQVS >Ma09_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2998483:3024609:-1 gene:Ma09_g04670 transcript:Ma09_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRPCLLYRGFFEDASRRLKKTPAGSKISLLNSACGSSPILGCSTRVSRSLVSAELGARLGALRSAVEEAKIVRQQEEEEEKGRPLRVGIICGGPSAERGISLNSARSVLDHIQGEDLHVSCYYIDCSLNAYAISPAQLYSNTPADFDFKLESLAQGFRSLHTFVEHLAVSVDIVFPVIHGRFGEDGGIQELLEKANVPFVGTASHECRQAFHKYNASLELIKQGFLTVPGFLVQGNQPDRSEMIRWFEINLLDKETGKVVVKPTLAGSSIGVTVAYGVDDAIEKAINIISEGIDDNVLVEVFLEGGSEFTAIVIDVGIDNDAKPVVLLPTEVELQVLTNNDQSEDTIFNYRRKYLPTQQVAYHTPPRFPTDVIECIRQGASLLFQHFGLRDFARIDGWFLPGNANMFSTVAKNTKFGITESGNIIFTDINLISGMEQTSFLFQQASKVGLSHSNVLRTIIQHACLRYPSLKSCSNAWNTLSRRLQSAQHSNVPSKIRGSQKVFVLFGGETSERQVSLMSGTNVWLNLQGYGDLDVIPCLLAPANGYGSAELKNADQQEICRTVWTLPYSLVLRHTTEEVLAACIEAVEPDRALLTSHLRDNVMSELSAALSKHYWFSGFDINEELPANFTLENWIKHAKESQSIVFIAVHGGIGEDGTLQSLLEAAGVPYTGPGPFASKICMDKMQTSLSLSQLTSLGVLTIPKVVRRKEELLNSYVPDTWQALTSELQSRSLCVKPARDGCSTGVARLCSMEDLEVYVNALRNSLPRIPANSLLKAHGVIEMPSPPPQSLIFEPFIETDEIIVSSKSLDSNARHLIWEGHTGWVEVTVGVMGTRGEMHSLSPSITVKETGDILSLEEKFQGGTGINLTPPPAAIISDEALQRCKQRIEIIANTLGLEGFSRIDAFMNVQSGEVLVIEVNTIPGMTPSTVLIHQALEEQPPIRPQDFFRTVLEFARQRSN >Ma09_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9318167:9319595:-1 gene:Ma09_g13740 transcript:Ma09_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVIVTFLAYPPDCRLQITEGLDDGKNEKGVLCQSAIQAKFYCPFNECSALLEAGDGEEAITVSECPHWNRMLCAYCKVPWHHAPDCKEFQGLEEGERGREDLLLRELAAKLRWQRCPQGKCYCRED >Ma02_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9628856:9631028:1 gene:Ma02_g01330 transcript:Ma02_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSNPSAISALPPTPVTVMASRPIAKSRLSMPKLSPPSPSPSPARTEYHLISIIHSSKSAFQLKQVHGRVLRLRLPLTARLAAQLVSAACSPHLRAVPYALALFRHSPPSPPVFDALVRALASCSLFSAALSHFVLITRSGLRPSRLAFPFALKSAAALPSPRHAACLHAAAAKSGLDLDLFVRTSIVDMYVKLGFAECALMVFDDTPGWHKASSVLLWNVSINGCCLSGDLEVARRLFESMPVRSVASWNSLIQGYMRQGDAQSAVELFHQMTQKNVVSWTTMVAGFLRLDEYEQGLGFFEKMLDSGVQPNEFTISSALSACARMGALERGIRIHDFALKSGFRENGVIGTALVAMYSKCGKIELAAQVFDVMDRRDLLTWTSMILGWAIHGHWAKALRCFEEMKCECIEPDEAVFLAMLMACSHSGKVERGLQIFDSMRFEYKIEPTIKHYTCMVDLFGRAGRLNDAMSLMKAMPMEPDFVLWGAFFNACRANKNVELAEVAAEKLLNFRPKHQGTFIFLSNMYSGAGRWDDDAEKVRTVMKDSGIERLPGWSYIEVEGGGHRFVAGDRSHPRSKEIYGKLEELATRAKEQGYEPDTDWVLHNIEDEDKEESLGCHSEKLALALGLLSTPIGADIRIVKNRRVCGDCHLLMKFASKLCNKVIILRDIKRFHHFKDGRCSCGDYW >Ma09_p25390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36868085:36870156:-1 gene:Ma09_g25390 transcript:Ma09_t25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFALVARQVDELLRSAKKTLDPSETESRLKSKLDEIKKNINFKIEELKAKPMDSSGEQESKAEEEEEEEDFPLERQIQESSAWAHLLLVVDSFETQLKLCLFCLTVFPANAVLKKRLLIHWWMGEGIVKSSEEGKKCFDQLVSKGLIITIKKKHCDKVHHFSIQSWIRRLLITVAKSNAFLEFDQDGRPSNDYSRSRRACLRLEQNPIGDDGERRLLTVYNVYKRYVEFEPTWLVNKGEMTTMQLGRWKDSDQKHHIEVKNDEFLKGIDHCKSLRYMSLRGVSRVETLPESIGKLTKLMVLDLRACHNLEKLPEEIGSAKKLQYLDVSDCFLLDKMPKSIANLSDLEVLKGFLLISGPDDKHVCHLHELAKLAKLRKLSINIGSRIAETELEKVGELKTLTTLIITWAVVTTKKEDSHSTRGAEAPSQEKKQQGTGKDSVEDLPPKSKQSSDAATATAAAAADATTKKVQLKSDHEARPTTDRQASGARSLTKKETKKIAADRSHDPAKVTLPSTIEKLDLRCFTEEEFPQWIDPLKLPELKKLYLRGGMLRSLGDGRGWKVEVLRLRFLNYLDHPSWEKLTSSFPELRVVEKFKCGKESSSHKESSSWPCNKEGLWCKEDAKKSAEDEPKAINLPPPETD >Ma10_p28270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35209032:35209466:1 gene:Ma10_g28270 transcript:Ma10_t28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWFFSSCKRPKTLSFDSRNDPDSGVDVSLADLVVERSDTLHDHHPNSNDDGNGGSTDGRPTGGDIRSPGHLRSRGVAVVKYSKEPYFDFRQSMMEMMEENCVNLGEKTDWDFMRRLLDRYLELNDRSVHDDIRRAFDDLTRL >Ma10_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26416674:26418481:1 gene:Ma10_g13690 transcript:Ma10_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLELKAKRTYRFIVFKIDEKLKQVIVETLGEPNLTYDDFTASLPTNECRYAIYDFDFVTEENCQKSKIFFIAWSPDTARVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVIRGRAN >Ma10_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14711018:14786751:-1 gene:Ma10_g03970 transcript:Ma10_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGAMRCCPELTGMVHTEWRLDMVAGSVRGGASLADLAVTWFITKTSVEQKILGYETIIGIETHVQLSTLTKAFCSCPYPYGSQPNTTVCPVCMGHPGTLPVLNSKVIEFAVKLGLALNYGLSMTSKFDRKQYFYQDLPKGYQISQFDIPIAIKGFIDLDLPVEFGGGHRRFGITRVHMEDDAGKLIHSETGSYSQVMDLNRTGVPLLEIVSKPDMRSGLEAAEYAAEIERLVRYLGISIGNMQEGSLRCDVNIFVRPVGQSNFGTKVEIKNMNSFSAMNKAIDYEISRQVLLHSQG >Ma01_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13190727:13194435:1 gene:Ma01_g17890 transcript:Ma01_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHQIIHLTTRQSQQALLLMTMGEDKPSPQKPSRVRPGASSPNVQSTCVGEDVSVLGSDLRLAPFGAGRRVCPGKAMGLATVHLWLAQLLQSYKWVPTEGGVDLSECLKMSLEMEKPLACKAVPRW >Ma10_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15007256:15013616:1 gene:Ma10_g04320 transcript:Ma10_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MAESLSQVERVKQAMEETREAAAELRFQDHGSRLPFARGGPIFYPGLVGPITSVRDFKASILEELQSLQAELVSTEDFEQELSVDELKVLTEEELVEIALKEEDVPGVHDSSRLLECSGEGIVGDASIGKEIVCSTSSTMERSNLESSENSKVSHALTCETDASNKIVKKKGGKRGRRFDRDCRAAELEGDYFAKVEELAKIKKRQDEDKLAARLHSFSGNSKLVEGATSTSEKIERTKSLRFITTPVKVKSLSQEHVPIYFPELILCVEIYAKKSTLRKTQEFLVLGSQVLTELKDRIYCLTDKLMEMAGEHDPSGYFLIEDTFCNDLRNPSFIDYSKPVFDWLKDNSDEAIEKWEYIMSGELKKKQKELLGDANVSSLPKFKALDMHKTRFSDLRFRLGSGYLYCHQGNCKHTIVIRDMRLIHPEDVQNWADYPLLTYQLRRHYRKCSVCDIYIATKMTVDDKWAPVNPCYFCIKCYFLLHYKEDNSLLYPHTVFDYFHE >Ma06_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7968496:7968926:1 gene:Ma06_g11410 transcript:Ma06_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFHKSGDSMWLPCGPRHPGSIQTTLQELAAKGLAAKILPPPITKTRFRQNGASKYTLLLSKVHCWDLSHLCFKDQTLHLSFFLSFLCYHPLLHVSQCNAKTVFFVLLHKFV >Ma05_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19004000:19004849:-1 gene:Ma05_g16810 transcript:Ma05_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLKMLALIVLSLGLSAEATVFTVGDSAGWDISADLASWVANKVFYVGDALMFEYSTYHTVNEVDSAGYESCNFATPRLTGSNGNTTVPLTSPGERYFVCGVTLHCLGGMKLHVNVESNQTAASPVGAPPSATQAAPSPLLEWPSDKSDFPFLSGSYSHVARGSYAGVASLLCAVAWALAL >Ma01_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7145550:7154075:1 gene:Ma01_g09970 transcript:Ma01_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARNKLIFSKSDEVGIVLFGTEDTNNELEKEVGGYEHVAVLRDIRVVDDDAVVVLKNLPKGTFPGDFLDAIVVGMDMLIKKFGVSDKGKKRLYLITDARFSTKEPYEGTKEDQVDTISQQMKVLGIRLNCLIIREKICSTEHLGAIDENDKLLDQFSRKAMAKTVHVDCATSLLGAIKTRNVVPVTVFRGDLELSSMMKIKVWVYKKTSEEKFPTLKKYSDKAPASDKFATHEVKVDFEYKSSQDPDKVVPPEQRIKGYRYGPQVVPISSAEWEAVKFKSEKGVKLLGFTDVSNILRHYYMRDVYIFIPEPGNTKAIIAVSALAKAMKEMNKSAVLRCVWRQGQGNVSVGVLTPNLSSIDNIPDSFYFNILPFAEDVREFQFPSFSSFPSSWQPDQHQQEAADDLVRMLDLAPFDRKEVLQPDYTPNPVLERFYQFLHLKSKAPEIDVPQLDRSLKRIAEPDPDFLSRHNSIINNFQERFELKENPKKKKLSRQAWREKPSASNDEKVGVDGVVPKVQSSSLKKSLSSLEVEKIGDLNPVQDFEAMMARRDSSKWITEAIKDMQHYIYHLLENSYEGNSYPKAIECLMALRKGCILEQEPKEFNRFMLDICKKFRRSDLADFLELLYSKKIPLISKSEAADSDLTEEEAKNLPIKMEDSSE >Ma01_p09970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7145546:7154075:1 gene:Ma01_g09970 transcript:Ma01_t09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARNKESLVLLIDVGPSIHSVLQDVEGVCSMLVQKKLIFSKSDEVGIVLFGTEDTNNELEKEVGGYEHVAVLRDIRVVDDDAVVVLKNLPKGTFPGDFLDAIVVGMDMLIKKFGVSDKGKKRLYLITDARFSTKEPYEGTKEDQVDTISQQMKVLGIRLNCLIIREKICSTEHLGAIDENDKLLDQFSRKAMAKTVHVDCATSLLGAIKTRNVVPVTVFRGDLELSSMMKIKVWVYKKTSEEKFPTLKKYSDKAPASDKFATHEVKVDFEYKSSQDPDKVVPPEQRIKGYRYGPQVVPISSAEWEAVKFKSEKGVKLLGFTDVSNILRHYYMRDVYIFIPEPGNTKAIIAVSALAKAMKEMNKSAVLRCVWRQGQGNVSVGVLTPNLSSIDNIPDSFYFNILPFAEDVREFQFPSFSSFPSSWQPDQHQQEAADDLVRMLDLAPFDRKEVLQPDYTPNPVLERFYQFLHLKSKAPEIDVPQLDRSLKRIAEPDPDFLSRHNSIINNFQERFELKENPKKKKLSRQAWREKPSASNDEKVGVDGVVPKVQSSSLKKSLSSLEVEKIGDLNPVQDFEAMMARRDSSKWITEAIKDMQHYIYHLLENSYEGNSYPKAIECLMALRKGCILEQEPKEFNRFMLDICKKFRRSDLADFLELLYSKKIPLISKSEAADSDLTEEEAKNLPIKMEDSSE >Ma06_p38050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36934961:36938710:-1 gene:Ma06_g38050 transcript:Ma06_t38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MKKLLSPLDSISAALVRRPILLRFVSSHFTSAAASLVVDSADSSEPEAGSLRFPSVIPDKDLLLKSPTAESGLLLLDLIDAGSLEPSADLYSDLVKRCTQFKKLKEGRLVHAHLMRSEFKSDIFLQNSIVNMYCKCGSLDDARKAFDEMPARDMVTWTALITGYAQNDRPNEAVEMLPRMLRLRLVPNAFTFGSLFKACGAASRNGHCEEVHALSVKCGCSPDVYVGSAILDMYARHGRMEEACLVFDQLDSKNEVSWNALIAGYARREDCKTAMKMFWEMQRSGFEATHFTYSSIFSACASIGALEQGKWVHAQMIKCGQVLTAFVGNTLLDMYAKSGSIRDARKIFDRVNKKDLVSWNSMLTAYAQHGLVSEAIHWFEEMRKLGVQPNQITFLCILNACSHGGLLKEGQYYFDMMKRYKVEPEIEHYVTIVDLLGRAGLLDRAQKFINEMPVQPSAAVWGALLGACRMHKNAELGKFAAERVFELDPYDAGPHVLLYNIYASTGRWTDAAKVRKMMKDGGVKKEPACSWVEMENSVHMFVANDDSHPQIKEIHKMWEKIDAMIKEAGYVPDTNYVLLYVDEHEREAKLQYHSEKLALAFALLKMPPGAPIRIMKNIRMCGDCHSAIKHVSKIMEREIIVRDTNRFHHFSGGSCSCGDYW >Ma05_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25849804:25852500:1 gene:Ma05_g18990 transcript:Ma05_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDREHTTFITDQGVYFYKVMPFGLKNAGATYQRTVNKMFAHQIGRNMEVYVDDMIVKSREAGTHLADLAEAFATLRKFGMRLNPAKCAFGVTSGKFLGFIVHQRGIDADPKKAQAIINMQSPGTVKDLQRLNGRLVALSRFLARSGDRCLPFFKALKDPKNFQWTSECEEALKQMKQHLASLPRLASISPGEKLGLYLAASPHAVSSVLVKESSGRQLPIYYVSHVLSGPEERYPPIEKLALALVLSARKLRPYFQAHPVEVITDQPLRQVLTKFDVAGRLHKWAVELGEHDIRYLPRTTIKAQAVADFIAELTQVGGVNLEQSPEAWTLHVDGSANPKGAGAGLVLLAPDGRSFERSFHFGFKATSNEAEYEALLAGLRLALEKQAAAIRVHTDSQLVAEQLNGGYEARDATMAKYLTRVRDLAAKFHYFTLSSVPREENGRADALAKMASRPTTEAGVEVEELPARAIEIATMAPGSTPITWVQELLLRFKRDGTLPPDEGAARRLRRMHAWYTEESGHLYKRSFTYPLLRCLEPGEARTVLAETHDGVCGGHISGRTLAHKILRQGYYWPTMCRDAKAYAQRCSSCQQHARAPLRPAVPLSPIDCAWPFAQWGLDLLGPFPPTSGQRKYIIVGVDYFTKWVEAEPLATITERQMEKFMWKNLVTRFGLPKAIITDNGPQFAGRGFREFCAGHGIQLRFNSVAHPQTNGLAEATNRSILDGLKRRVSAARSAWTDELPSVLWSLRTTPKTATGESPYSLTFGTEAVLPPEVAVTTLRTSSYDEEASNEGLRASLDVLEERRADAHLKALSHQRAVARIYNKKIQPRPIKLSDLVLRKAEVSYPSQTRGKLAPKWEGPYRVVKVSRPGTYRLASMDGSPLPRTWNVGNLKKFFV >Ma04_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24625608:24628001:-1 gene:Ma04_g22270 transcript:Ma04_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTAKISFTLSSSSSRPNPSAAQIPSQSFPYRGAVTASTQGDPGDEGEDGVAKPQFISVFDASQTLAVSHDARSVIIPPVPDAKTKCPLPVPAPDDDYMRWKFRDDMKDLPQDRGLDEFKDIRVEDFPFAYLAGYGWSEGQVIGRNKMLADPKVVEHKRRYGTEGLGYHKRKKETRTLQQEEQIGGLCLSNEGQSRAAFEGNR >Ma06_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21739991:21743214:1 gene:Ma06_g23630 transcript:Ma06_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKIQDIILRERSKAVSRKKELMPDTTSSPPPNPAFTSPNLLVEGCSVRKSSMYSEDAIGPKSMLATIPFFATGNRSSSDSRDCKTKPLSVETAAATTGTESRHHPWHNRSSRAIGLGLVDALSNEETGDVGCTMVVFGCQLKIQTLPGLSNSMSPVSIESPRSIMEFGSKTKTSQMDSYLPGRTVSGSVFSPQLFMGHMSPTEIELSEDYTCVISHGPIPKKTHIFHDCIIESCDREFLTLKKSTCMDDPSGYAADTSLSCCVSCKEDIGKGKGISLYRGEKGLCSHECGYQEVVSDE >Ma01_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12741779:12741880:-1 gene:Ma01_g17370 transcript:Ma01_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHQNKKEVLVYLYVHLQQIIMLIKLFCLLL >Ma03_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:968713:975912:-1 gene:Ma03_g01310 transcript:Ma03_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated protein AAF, chlorolplastic [Source:Projected from Arabidopsis thaliana (AT1G66330) UniProtKB/Swiss-Prot;Acc:Q9C8Y4] MASKVSGRVTVTEQRGFQCSFSNSWHHIGSAPMSKTIDFKKLCHGQFWSARICSSFEGFWRISPQYYMHSKGHKVICFSTGTRSSETKECTRSSEDCENVSRDHLEDEHCGGYASHSSQGLAEACRFVYNDAKYVNERARNDIILLSRGITRLNNRARQDVAVLGLGFLKLDARARKDTEKIKIDLGVKEKAAHLHRIATILKRAADKHWSDGALEADLRRANVIVRRRAMEDAYMALKFIRNIHDMMANKLYQMPPKEGCFLMSDKMGFITLEKNGKALDLFAGEVTTDRIHAIQDAYLSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALATAPSMWILGNAGMGALQRLAQDSNHAVAAAASKAINELKQQWELEEGDSLRFMMNQFSQEEDIDDTNEEADS >Ma09_p27440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38435754:38437084:1 gene:Ma09_g27440 transcript:Ma09_t27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAVWIVRRSINAFFDGYQSFTSIAALLVFPVSASVLLSQALIPFATPPLLEAISLRLWSLFQAARFPASVGFFSLLNVKLAQTVFTFVSTLPLVLTFQLLAKASVVHVVCEGRDPRRKRGPPPLSSFLPLYRSILPTHLFNSFVVLSANASTFALLFLVFNAVDLLGLSTSNVVLCLSAAGAVLYSVVVANTTVICNLAIVVSATDNCGGYLPVLKACLLIRGRVMTALGLALPANLGMAAVEALFQYRVVRQYNLSGELNSSLFWEAFSITYFHALLVVLDVIMSCMFFKICRTDCHSDCEKGHEHHGEELEPEDKVTLHV >Ma07_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9518398:9519790:1 gene:Ma07_g12630 transcript:Ma07_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRCRRPIDGPSIAVVLSVLPLLLAPWCVHAQPSPPSGRGNNSSNSFYNYDAKFNPAMSIVIIGMISVFFCLGFLAIYIRSCTGDGGDLGVSSPRWAGGGFARSRRQQGLKPEVLQTFPTLMYAEVKGLKAGKGALECAVCLSEFEDDEELRLLPHCSHVFHPDCIDAWLASHVTCPVCRANLAEQTTDGGLHPPFVAVPTAGNHPESAALPQNHVAIPVNSAVAEEEERKAAMELARIGSQKREARSRSGRRSPKFPRSHSTGHSVVRSVEDVDRYTLRLPEHIRKEIFAARKLHRSASCVASPIAGEGSSRSGHGGGGGGGGEGSSRGWWSFRPRKSDRWPPFFLRTLSVKVPAWVTGTKGEGECSVQKGEAEGSVKRRVAAVRTSLECLGGGGGGGGGRDDDESPTSSLTRQV >Ma06_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5964715:5965805:1 gene:Ma06_g08420 transcript:Ma06_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRSKKLFKRSSSKASGGNGGCSRNGDIQWELRPGGMLVQKRECGEGADEVITVRISTGSSWHEISIGATATFGELKIVVGMVTGLEPREQRLLFKGKEREDGDHLHMVGVRDKDKVLVLEDPAIKERKLMMAMAERQVMSSPCHTIIEV >Ma08_p19480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33238891:33244632:-1 gene:Ma08_g19480 transcript:Ma08_t19480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAVGGRQMQQRAGNQLHQRQYSSDHFFPDAGNGGGGSKWLQPGGVAYSQQVDYSRMLRSMHKGMSGEFSMSGEPLTPPLTSRSSSQRKNGEEVSPSELSPGILDLHSFDTELLPEQVTGAGFGAGPLGYGRNMDELETSFSSNKPMSRNLGPSENTLLKSFSVDKEKTSAVAKIKVVVRKRPLNKKEIAKKEDDIITIVPSTNSLTVHETKLKVDLTEYLEKHEFVFDAVLDENVSNEEVYHETVEPVIPAIFQRTKATCFAYGQTGNGKTYTMQPLPLKASQDILRHMHHTYRNQGFHLYVSFFEIYGGKLFDLLNDRRKLCMREDGKQKVCIVGLQEYRVSSLDTIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKKTVDGSESKPARIVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQVHIPFRGSKLTEVLRDSFVGDSRTVMISCVSPNSGSCEHTLNTLRYADRVKSLSKGNSKKDLSSATLNVKESVSAPISFSRFEVNSGDTGEDQKPGWSKQVEKEVFLSYNSVSSGREEDQVISSHAKHFRANSRSSSTAEVFDYSEEVYEPDKPSWRKGKAEAYSAVAADGKTRRVDSLAKRRESHNEDANCFDSDDDLNELLKEEEDLVMAHRRQVEETLNIVREEMRLLDEADQPGNQLDEYVSRLNAVLSQKAAGIVNLQTRLAHFQQHLTEKHVLVSSLRP >Ma08_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33238891:33244632:-1 gene:Ma08_g19480 transcript:Ma08_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAVGGRQMQQRAGNQLHQRQYSSDHFFPDAGNGGGGSKWLQPGGVAYSQQVDYSRMLRSMHKGMSGEFSMSGEPLTPPLTSRSSSQRKNGEEVSPSELSPGILDLHSFDTELLPEQVTGAGFGAGPLGYGRNMDELETSFSSNKPMSRNLGPSENTLLKSFSVDKEKTSAVAKIKVVVRKRPLNKKEIAKKEDDIITIVPSTNSLTVHETKLKVDLTEYLEKHEFVFDAVLDENVSNEEVYHETVEPVIPAIFQRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRHMHHTYRNQGFHLYVSFFEIYGGKLFDLLNDRRKLCMREDGKQKVCIVGLQEYRVSSLDTIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKKTVDGSESKPARIVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQVHIPFRGSKLTEVLRDSFVGDSRTVMISCVSPNSGSCEHTLNTLRYADRVKSLSKGNSKKDLSSATLNVKESVSAPISFSRFEVNSGDTGEDQKPGWSKQVEKEVFLSYNSVSSGREEDQVISSHAKHFRANSRSSSTAEVFDYSEEVYEPDKPSWRKGKAEAYSAVAADGKTRRVDSLAKRRESHNEDANCFDSDDDLNELLKEEEDLVMAHRRQVEETLNIVREEMRLLDEADQPGNQLDEYVSRLNAVLSQKAAGIVNLQTRLAHFQQHLTEKHVLVSSLRP >Ma08_p19480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33238891:33244632:-1 gene:Ma08_g19480 transcript:Ma08_t19480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAVGGRQMQQRAGNQLHQRQYSSDHFFPDAGNGGGGSKWLQPGGVAYSQQVDYSRMLRSMHKGMSGEFSMSGEPLTPPLTSRSSSQRKNGEEVSPSELSPGILDLHSFDTELLPEVTGAGFGAGPLGYGRNMDELETSFSSNKPMSRNLGPSENTLLKSFSVDKEKTSAVAKIKVVVRKRPLNKKEIAKKEDDIITIVPSTNSLTVHETKLKVDLTEYLEKHEFVFDAVLDENVSNEEVYHETVEPVIPAIFQRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRHMHHTYRNQGFHLYVSFFEIYGGKLFDLLNDRRKLCMREDGKQKVCIVGLQEYRVSSLDTIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKKTVDGSESKPARIVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQVHIPFRGSKLTEVLRDSFVGDSRTVMISCVSPNSGSCEHTLNTLRYADRVKSLSKGNSKKDLSSATLNVKESVSAPISFSRFEVNSGDTGEDQKPGWSKQVEKEVFLSYNSVSSGREEDQVISSHAKHFRANSRSSSTAEVFDYSEEVYEPDKPSWRKGKAEAYSAVAADGKTRRVDSLAKRRESHNEDANCFDSDDDLNELLKEEEDLVMAHRRQVEETLNIVREEMRLLDEADQPGNQLDEYVSRLNAVLSQKAAGIVNLQTRLAHFQQHLTEKHVLVSSLRP >Ma08_p19480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33238891:33244632:-1 gene:Ma08_g19480 transcript:Ma08_t19480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAVGGRQMQQRAGNQLHQRQYSSDHFFPDAGNGGGGSKWLQPGGVAYSQQVDYSRMLRSMHKGMSGEFSMSGEPLTPPLTSRSSSQRKNGEEVSPSELSPGILDLHSFDTELLPEVTGAGFGAGPLGYGRNMDELETSFSSNKPMSRNLGPSENTLLKSFSVDKEKTSAVAKIKVVVRKRPLNKKEIAKKEDDIITIVPSTNSLTVHETKLKVDLTEYLEKHEFVFDAVLDENVSNEEVYHETVEPVIPAIFQRTKATCFAYGQTGNGKTYTMQPLPLKASQDILRHMHHTYRNQGFHLYVSFFEIYGGKLFDLLNDRRKLCMREDGKQKVCIVGLQEYRVSSLDTIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKKTVDGSESKPARIVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQVHIPFRGSKLTEVLRDSFVGDSRTVMISCVSPNSGSCEHTLNTLRYADRVKSLSKGNSKKDLSSATLNVKESVSAPISFSRFEVNSGDTGEDQKPGWSKQVEKEVFLSYNSVSSGREEDQVISSHAKHFRANSRSSSTAEVFDYSEEVYEPDKPSWRKGKAEAYSAVAADGKTRRVDSLAKRRESHNEDANCFDSDDDLNELLKEEEDLVMAHRRQVEETLNIVREEMRLLDEADQPGNQLDEYVSRLNAVLSQKAAGIVNLQTRLAHFQQHLTEKHVLVSSLRP >Ma09_p23770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35511051:35518996:1 gene:Ma09_g23770 transcript:Ma09_t23770.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 5-like protein [Source:Projected from Arabidopsis thaliana (AT1G09770) UniProtKB/Swiss-Prot;Acc:P92948] MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKRPPQGFFDVSGEERPVEQPKFPTTIEELEGKRRADIEAQLRKQDVARNKIAQRQDAPSAILQVNKLNDPEAVRKRSKLMLPAPQISDQELEEIAKMGYASDLVLANEELDEGSGATHALLANYSQTPRPGITPLRTPQRTPGGKGDAIMMEAENLARLRESQTPLFGGENPELHPSDFSGVTPRKREIQTPNPMATPSATPGPGLTPRIGMTPSRDGYSFGITPKGTPFRDELHINEDIEMQDTAKMELHRQAELKRNLRFGLNNLPQPKNEYQIVIQPIPEEHEESEEKVEEDMSDRLAREKAQEQARQEALLRKRSKVLQRELPRPPTASLEIIKKMLMRGDEDRSSFVPPTPFEQADEMINRELLVLLEHDNAKYPIDEKTDEKKKKGTKHLANGKSSAIPELEDLEEDQLKEADSLIKEEIQFLRVVMGHENESFDDFVKARDACQEDLMFFPARSTYGLASVAGNNEKLAALQNEFEIVKKRMDDEAKRATRLEQKIKILTHGYQARAGKLWSQVEATFKQVDTAATELECFQVLQKQELLAATYRVNSLVEEVTKQKALEQNLQHRYGDLLAEHDRVKRLLEEHKMRLRMEEEIAARNRALEEEAAARNRALEEEAAAMNRALEEEAAAMNRALEEEAAARNPVPVEEAAEKNVTQEETAANNHAMNEELADKKDHLDSDHAEDGTSNEEPIQGEATHCVGIISNGTDDLKEQMPVANSVIVSGDVPQLGTEEKMMVDDKMTLNPVDDNMLPIVLVESTGVIPQADSGVLNSDVVGASVGMEDATISDVSNQEVAASMTQPILSLQNTNEASASDIGSQMAQDDDQQVGLELVDSGNLVVDGSVSNADAHVDNVNVNPSVTDHASGGLSSETVMTSSEISANPAVQISGNSMDHDAA >Ma09_p23770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35511051:35518996:1 gene:Ma09_g23770 transcript:Ma09_t23770.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 5-like protein [Source:Projected from Arabidopsis thaliana (AT1G09770) UniProtKB/Swiss-Prot;Acc:P92948] MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKRPPQGFFDVSGEERPVEQPKFPTTIEELEGKRRADIEAQLRKQDVARNKIAQRQDAPSAILQVNKLNDPEAVRKRSKLMLPAPQISDQELEEIAKMGYASDLVLANEELDEGSGATHALLANYSQTPRPGITPLRTPQRTPGGKGDAIMMEAENLARLRESQTPLFGGENPELHPSDFSGVTPRKREIQTPNPMATPSATPGPGLTPRIGMTPSRDGYSFGITPKGTPFRDELHINEDIEMQDTAKMELHRQAELKRNLRFGLNNLPQPKNEYQIVIQPIPEEHEESEEKVEEDMSDRLAREKAQEQARQEALLRKRSKVLQRELPRPPTASLEIIKKMLMRGDEDRSSFVPPTPFEQADEMINRELLVLLEHDNAKYPIDEKTDEKKKKGTKHLANGKSSAIPELEDLEEDQLKEADSLIKEEIQFLRVVMGHENESFDDFVKARDACQEDLMFFPARSTYGLASVAGNNEKLAALQNEFEIVKKRMDDEAKRATRLEQKIKILTHGYQARAGKLWSQVEATFKQVDTAATELECFQVLQKQELLAATYRVNSLVEEVTKQKALEQNLQHRYGDLLAEHDRVKRLLEEHKMRLRMEEEIAARNRALEEEAAARNRALEEEAAAMNRALEEEAAAMNRALEEEAAARNPVPVEEAAEKNVTQEETAANNHAMNEELADKKDHLDSDHAEDGTSNEEPIQGEATHCVGIISNGTDDLKEQMPVANSVIVSGDVPQLGTEEKMMVDDKMTLNPVDDNMLPIVLVESTGVIPQADSGVLNSDVVGASVGMEDATISDVSNQEVAASMTQPILSLQNTNEASASDIGSQMAQDDDQQVGLELVDSGNLVVDGSVSNADAHVDNVNVNPSVTDHASGGLSSETVMTSSEISANPAVQISGNSMDHDAA >Ma09_p23770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35511039:35518996:1 gene:Ma09_g23770 transcript:Ma09_t23770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 5-like protein [Source:Projected from Arabidopsis thaliana (AT1G09770) UniProtKB/Swiss-Prot;Acc:P92948] MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKRPPQGFFDVSGEERPVEQPKFPTTIEELEGKRRADIEAQLRKQDVARNKIAQRQDAPSAILQVNKLNDPEAVRKRSKLMLPAPQISDQELEEIAKMGYASDLVLANEELDEGSGATHALLANYSQTPRPGITPLRTPQRTPGGKGDAIMMEAENLARLRESQTPLFGGENPELHPSDFSGVTPRKREIQTPNPMATPSATPGPGLTPRIGMTPSRDGYSFGITPKGTPFRDELHINEDIEMQDTAKMELHRQAELKRNLRFGLNNLPQPKNEYQIVIQPIPEEHEESEEKVEEDMSDRLAREKAQEQARQEALLRKRSKVLQRELPRPPTASLEIIKKMLMRGDEDRSSFVPPTPFEQADEMINRELLVLLEHDNAKYPIDEKTDEKKKKGTKHLANGKSSAIPELEDLEEDQLKEADSLIKEEIQFLRVVMGHENESFDDFVKARDACQEDLMFFPARSTYGLASVAGNNEKLAALQNEFEIVKKRMDDEAKRATRLEQKIKILTHGYQARAGKLWSQVEATFKQVDTAATELECFQVLQKQELLAATYRVNSLVEEVTKQKALEQNLQHRYGDLLAEHDRVKRLLEEHKMRLRMEEEIAARNRALEEEAAARNRALEEEAAAMNRALEEEAAAMNRALEEEAAARNPVPVEEAAEKNVTQEETAANNHAMNEELADKKDHLDSDHAEDGTSNEEPIQGEATHCVGIISNGTDDLKEQMPVANSVIVSGDVPQLGTEEKMMVDDKMTLNPVDDNMLPIVLVESTGVIPQADSGVLNSDVVGASVGMEDATISDVSNQEVAASMTQPILSLQNTNEASASDIGSQMAQDDDQQVGLELVDSGNLVVDGSVSNADAHVDNVNVNPSVTDHASGGLSSETVMTSSEISANPAVQISGNSMDHDAA >Ma09_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35511051:35518996:1 gene:Ma09_g23770 transcript:Ma09_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 5-like protein [Source:Projected from Arabidopsis thaliana (AT1G09770) UniProtKB/Swiss-Prot;Acc:P92948] MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKRPPQGFFDVSGEERPVEQPKFPTTIEELEGKRRADIEAQLRKQDVARNKIAQRQDAPSAILQVNKLNDPEAVRKRSKLMLPAPQISDQELEEIAKMGYASDLVLANEELDEGSGATHALLANYSQTPRPGITPLRTPQRTPGGKGDAIMMEAENLARLRESQTPLFGGENPELHPSDFSGVTPRKREIQTPNPMATPSATPGPGLTPRIGMTPSRDGYSFGITPKGTPFRDELHINEDIEMQDTAKMELHRQAELKRNLRFGLNNLPQPKNEYQIVIQPIPEEHEESEEKVEEDMSDRLAREKAQEQARQEALLRKRSKVLQRELPRPPTASLEIIKKMLMRGDEDRSSFVPPTPFEQADEMINRELLVLLEHDNAKYPIDEKTDEKKKKGTKHLANGKSSAIPELEDLEEDQLKEADSLIKEEIQFLRVVMGHENESFDDFVKARDACQEDLMFFPARSTYGLASVAGNNEKLAALQNEFEIVKKRMDDEAKRATRLEQKIKILTHGYQARAGKLWSQVEATFKQVDTAATELECFQVLQKQELLAATYRVNSLVEEVTKQKALEQNLQHRYGDLLAEHDRVKRLLEEHKMRLRMEEEIAARNRALEEEAAARNRALEEEAAAMNRALEEEAAAMNRALEEEAAARNPVPVEEAAEKNVTQEETAANNHAMNEELADKKDHLDSDHAEDGTSNEEPIQGEATHCVGIISNGTDDLKEQMPVANSVIVSGDVPQLGTEEKMMVDDKMTLNPVDDNMLPIVLVESTGVIPQADSGVLNSDVVGASVGMEDATISDVSNQEVAASMTQPILSLQNTNEASASDIGSQMAQDDDQQVGLELVDSGNLVVDGSVSNADAHVDNVNVNPSVTDHASGGLSSETVMTSSEISANPAVQISGNSMDHDAA >Ma06_p25900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26514128:26514522:1 gene:Ma06_g25900 transcript:Ma06_t25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKEQKGLHHESLTSWIKQHSSTG >Ma01_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5423695:5427452:1 gene:Ma01_g07510 transcript:Ma01_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKPTMRKPEFTKVDQLRPGTTGHTLTVKVVSSKMVLQKGRTAGPQVRQMRLAECLVGDETGMIVFTARNDQVDVMKPDSTIILRNAKIDMFKGSMRLAVDKWGRIEVTDPADFTVKEDSNLSLIEYELVTVVEQ >Ma10_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22490539:22491673:1 gene:Ma10_g08210 transcript:Ma10_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVSRYIEQVTGFVEGEICKVLGVKKEIKTLQEKLEMIKCYLESAERKSSGDPGIEAWVRKLKDIMYDADDIIDLCMMDGGKLLEAGGSASASGEMKPKQNLLRKTAEQKWNPNLPHSLLKIGLRRCIEIYPEISNGMVRREI >Ma02_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23802897:23803703:-1 gene:Ma02_g16430 transcript:Ma02_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIAETRKETADLSMASSLLCLSRPPFCSVSRGSSFSLPSSPSFPTSSPALELLPLSSFSLSSHSPLADPKLRSRWPQSDLKGFNRLTIERLKEIWCYRKIKHEMGLPCRGQRTENNCRMLRARRLLLLARKRAAR >Ma02_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28312125:28315914:1 gene:Ma02_g23200 transcript:Ma02_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDGHQSSAIVETESVGSDQVGFSGPLNKHGGGSNRKSARFNLPPPPAAPVDGETFLEITLDVTDDSVAVHSVKPAAGGVGGKEEDPEEVSLLVQKLERQSASFGSSVLRSASSRIRQVSQELRQLASLTKRPAAPRLDRSKSAAAHALKGLKFITKANGAAGWPAVEKRFDRLSVDGALHLSLFGQCIGMKESSEFAGELFDALKRRRSITGDKITKAELREFWDQISDQSFDSRLQTFFDMVDKDLDGRITEEEVKEIISLSASANKLSKIQEKAEEYARLIMEELDPDNVGYIEIYNLEMLLVQAPSQSMQLATANSRNLSQLLSQRLNPTQERNPLRRWYQRAKYFMEDYWQRVWVVVLWLCVCAALFAWKFVQYRRRAVYHVMGYCVCVAKGGAETLKFNMSLILLPVCRNALTWLRTRTKLGKVLPFDDSLSFHKVIAAGIAVGLGLHAIAHLTCDFPRLLHATDAEYEPMKPFFGDARPSDYWWFVKGTEGWTGVAMVVLMAVAFILATPWFRRGAISLPKPLHRLTGYDAFWYSHHLFVIVYVLLVIHGYFLYLTKKWYKRTTWMYVAIPVVLYASERLTKVMRSKIQVVKILKVAVYPGNVLALHVSKPPGFTYRSGQYITVNCAAVSPFQWHPFSITSAPQDDYISVHIRSAGDWTSQLIEVFSKACQPSTAGKSGRLRADINDGSDDGVSPSFPRVLIDGPYGAPAQDYEKYEVVLLVGLAIGATPFISIVKDILNNIKQRDVEEGGGGRSSTEANSFRTRRAYFYWVTREQDSFEWFRGVMDEVAEADAQGVIELHNYCTSVYEEGDARAALIAMLQSLNYAKHGVDVVAGTRVKSHFARPNWRNVYKRIALNHPDQRIGVFYCGKPTLVKELRQLAQDFSHKTTTKFDFHKENF >Ma04_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7485203:7488808:1 gene:Ma04_g10520 transcript:Ma04_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKALLSRVPSSSAGWQRRDNEAGGVGGRAEDEEDIEEGGRDGASTAAASGQEEEQERWASILPELLREIVRRVEAGGERWPMRKDVVSCACVCRSWREVTKGVVRPPLETGKITFPSSLKQPGPADTPIQCFIKRNKMSSTFYLYLSLTQTFMDKGKFLLAARRFRRGARIGYIISLNADDLSQGSNAYMGKLRSDFLGTNFTIYDSRPPYDGAKASSSRASRRFASKQISPQVSAGNFEIGRLSYKFNLLKSRGPRRMCCSLHHPVVVQDSSEEDLLKSKAHGPGMSLVLQNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADSNRPGGIGDEEVVLLQFGKVGDDMFTMDYRQPLSAFQAFAICLTSFGTKFACE >Ma05_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6219245:6223607:1 gene:Ma05_g08450 transcript:Ma05_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVSSAAFPSECRGDSTLPSPAFYRAVYSQIEEVGWEHLMGAAEDLSCLTFRLLDKKGRVHILEISLPCNYPESSPTIAADVPCINEVVWSEKSRLKDVVQQFDEHLQKLQEFWSTMDDIDRDLCVVYPKESSLATSYCQIGLGNDCYLLLHISTSSPRSLPECRFFGPDSAVVLMRNNWKKNAIKWKVDKPFHENLAALLERELPGPPVSSKDDGQVDCGICYAQYLPVDDELGANSGSAPDYTCENPSCSKAFHTVCLRDWLHSITTTRKSFDVLFGDCPYCSEPVAVKVNN >Ma04_p11640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8223219:8230347:1 gene:Ma04_g11640 transcript:Ma04_t11640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMLPFFGGNQQQQPHSNLQEIPTQSWYPSSVASSSSRPTTPVSSSGVDTHHAQPSPAEAAGVIACLKEKSVDELRKLLNDKEAYNAFFNLLEQVKIQNNLRDELRKETVQLAKENLEKEPQILELRNQCTIIRTTELAAAQEKLAELEMQKEEALKTYSPSVLLEKLHAAMIEVEEESEMLHRQLLDKEIDLATFVQKYKKLRNTYHRRALIHLAAKTSGF >Ma04_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8222973:8230347:1 gene:Ma04_g11640 transcript:Ma04_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMLPFFGGNQQQQPHSNLQEIPTQSWYPSSVASSSSRPTTPVSSSGVDTHHAQPSPAEAAGVIACLKEKSVDELRKLLNDKEAYNAFFNLLEQVKIQNNLRDELRKETVQLAKENLEKEPQILELRNQCTIIRTTELAAAQEKLAELEMQKEEALKTYSPSVLLEKLHAAMIEVEEESEMLHRQLLDKEIDLATFVQKYKKLRNTYHRRALIHLAAKTSGF >Ma04_p11640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8222983:8230356:1 gene:Ma04_g11640 transcript:Ma04_t11640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMLPFFGGNQQQQPHSNLQEIPTQSWYPSSVASSSSRPTTPVSSSGVDTHHAQPSPAEAAGVIACLKEKSVDELRKLLNDKEAYNAFFNLLEQVKIQNNLRDELRKETVQLAKENLEKEPQILELRNQCTIIRTTELAAAQEKLAELEMQKEEALKTYSPSVLLEKLHAAMIEVEEESEMLHRQLLDKEIDLATFVQKYKKLRNTYHRRALIHLAAKTSGF >Ma07_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1419218:1423946:-1 gene:Ma07_g01860 transcript:Ma07_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COMPASS-like H3K4 histone methylase component WDR5B [Source:Projected from Arabidopsis thaliana (AT4G02730) UniProtKB/Swiss-Prot;Acc:Q9SY00] MSTPGPAQAAPASPYRPYRLSRTLTDHRRAVSCVKFSNDGLYLASASLDKTVIVWASDTFGTVATFSGHSEGISDLAWSSDSHYVCSASDDRTLRVWDVHQPSNGCVRTFRGHISFVFCADFNPPSDRIASGSFDQTVRIWDVKSGKCIKTIEAHDEPVTSVHFIRDGSIIVSASHDGSCKIWDADSGACLKVLIDDKTPAVSFSKFSPNGKFILIATLDDTLKLCNYSTGKFLKIYTGHVNRRYCITSAFSVTNGKYIVSGSEDKCAYIWDLQSKQMIQKLEGHTDTVISVSCHPTRNIIASAGLDNDKTVRIWIQETA >Ma01_p02970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1932947:1936712:1 gene:Ma01_g02970 transcript:Ma01_t02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLFVSRALILVLGYAYPAYLCYKTVELNKPGIEQLRFWCQYWIVVALMAILERFGDLFFSWFPMYCEAKLAFYIYLWYPKTRGTTYVYETYFRPYIARHETDIDRNLLELRARAADFMSMYWQKATSYGQTSFIEILNHATLLIQAPRTRTFQQTQQPQQEPQLPSATPPAHQPAPSQPSPQETRAPPSPIKSQPQEESRKADASLQPAAASPDQPQEPVSLTENSETANPPSPREEPMQVDTDNSESVEVSVPPPEQTAMEEAILVTRNRLRKRAAAAAAAADADAGPV >Ma01_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1932947:1936712:1 gene:Ma01_g02970 transcript:Ma01_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLFVSRALILVLGYAYPAYLCYKTVELNKPGIEQLRFWCQYWIVVALMAILERFGDLFFSWFPMYCEAKLAFYIYLWYPKTRGTTYVYETYFRPYIARHETDIDRNLLELRARAADFMSMYWQKATSYGQTSFIEILNHATLLIQAPRTRTFQQTQQPQQEPQLPSATPPAHQPAPSQPSPQETRAPPSPIKSQPQEESRKADASLQPAAASPDQPQEPVSLTENSETANPPSPREEPMQVDTDNSESVEVSVPPPEQTAMEEAILVTRNRLRKRAAAAAAAADADAGPV >Ma08_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10979512:11012666:-1 gene:Ma08_g13850 transcript:Ma08_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDEYFKRADLDRDGKISGPEAVAFFQGSNLPKNVLAQVWMYADQNRIGFLGRPEFYNALKLVTVAQSGRQLTPEIVKSALYGPASAKIPAPKINPVSSPAPQLNSAATPTAPTNSSRSPNQFGATSQLNSAATSMVPTNSLPLSSNPLGTVAPNTPQNVGFRPTQSPQNAVMNQQFPTANSNFMRPPQATPAAAAAPTQMQGGNLGSTTGGSVAGPHLPSSSNLSLSTDWLGGKSSGSSVGGTPQTPNRGSIPSQSLDGFGLTLSGTTGVPSKPQMKSALSSTVSPKRLEPNLPPSKPNEPNTPVVSGNDFSSDSFFGANVSSAASQEKSDSNILDLSVKNMANSSSVASVAAGPQSFIRPGQLDPFQNAGLLLPAGSQLQQAQSNVKQNQLDNRKTSSAPTASNGAAGLASSAPNQPQIQWPKITQADIKKYTNVFVNVDKDRDGKITGEQARTLFLSWKLPREVLKQVWDLSDQDNDSMLSLREFCIALYLMERSREGFPLPSVLPSNIMYDETLLRSTGMPSAAYGVPTWQPGLPQQSLRGSHPVMPTTGIRAPIQNSDPSQAFSAAQPMQQTLGKPGLDNHMLKNLGNDRQTTVNLKNQEATNVDNKVQEVEKQIMDSREKIEFYRTKMQELVLYKSRCDNRLNEITERASADRREVELLAKKYEEKYKQVGELASKLAVEEAKHRDIQERKMELHNAIVKMEQGGSADGLLQVRVDRIQSDLEGLEKALNERCKQYDVHVKATTSIELPFGWESGPTEGVADWDEDWDKFEDEGFTVVKDLMSVVDTASGEYPKSPSIWSDKASMDETSPVASSRNVDGKNEKLYDTHERMNGSTYDNSEEGLTRSPGSPGSTLESPFNSTQFGIHDNSSRTKESHSSDQVDAESTVSGDKYMDEPWTFDDTDSVWKETEYGGGAQNTFFMSDFGSIKSNSPSASSVFEKEKSSFFDDSVPSSPMFNSASSSKFNSGQEDYSFNSFGRFDSFATHESGSFTARESLSRFDSNVSMSRPETLARFDSISSKREVGNRRGFESFDDADPFGSTGPFKSSGGHSPRQDSDNWRAF >Ma00_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:42202883:42204093:-1 gene:Ma00_g04880 transcript:Ma00_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISMVLLSISSLLTDPTQTPMIHWCRKLLACTRPIVSSTMRPPDLGPGNMPWDKIIQLKTRVASVNESAWLGPRADVRNFRWNKWDDEVAAPLERFGRGLRRSDRSSAREQLRVSTPKLTDPSVIYGEMRPLVLGVVGNLPRHVGTSLRWEMRAGAGRDSSCWTTLLCVMGDDFGVKTLTA >Ma08_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35182926:35186660:1 gene:Ma08_g21230 transcript:Ma08_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSGSPPTATPAAQSTPSPANTSQPPPTPSSSSPPLPSGQAPPIPRPPLPPDPLSAPFPPSPSSTNSVSTSLVVGVTVGGLVILLLLSFICVCCWIKKRSPPPHYYGAPPPPPPPHYYGSLPPPPPARKVFYEWFGQHRQQYGPPRAYHFVKGPPGPPQPPPPPPPPPLFLRPPRPPSHLPPPPMISSSGGLGSNNSGAEDPPTPSPGVALGFSKSTFTYEELAMATDDFSDANLLGQGGFGYVHRGALADGKEVAIKQLKPGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLGFHLHGKGQPTMEWSIRLRIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDYKYEAKVADFGLAKFASDTDTHISTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPIDSSQTFTDESLVDWARPLLARALDDGNYDALVDPRLGKKYNLSEMARMVSCAAACVRHSARRRPRMSQIARALEGDTCLEDLNEGIRPGHSMYHTSYGSSDYDSGQYSEDIREFRKMVMGTEENATGESIMPTAEYAQNPSTSSSEGRHTQEIEMGKKKDVSEKGG >Ma07_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31570157:31571901:1 gene:Ma07_g24120 transcript:Ma07_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKARSQAMAGQQLGGLRLCPKNQPQQQERKLVRPHPEQALKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKNKRSTASSKKAQDTDPVAADSTNDHPLPPPFFPPPLLHDLTLAFDAHGFLPDHVLNLDICGSVDTVNPSGSNDLCYGLGGVAGEDGLVRFEGGGLGDATDRAVAGRNDMDEGETKASMGLPWAGVGSSWYGLISSSTTTTTLGDAYAFF >Ma03_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10662210:10663951:1 gene:Ma03_g13540 transcript:Ma03_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEFSIDVKGSETVVAVLPVQEHRLPLSNLDLLLPDMDVGVFLCYRKPEGKHFHSTFASMVSLLKASLAQALVTYYPFAGQVMANSVGEPELQCNNRGVDFVVVFADVELRELRLCNPDDSVEGKLVPKKKEGVLCVQATELKCGSLVLACTFDHRVADAYSANMFLVAWSEIAMLKPLSRLPSFRRSLLTPRHPLQLHPSFDRLFVPVSSLPPPKHHYSDQAVNRIYYIAAADINRMQSSAKRRSKIVVFTAYLWRVLAKAAAPEDRWCRMGVVVDGRSRLGNAAMSSYFGNVLSIPYGRLNVESLRRMELAEVAEVVYGWLRPGTTEEHFRGLVDWVEVHRPEPAVARIYCGEADEGPGCVVSSGRAFPVAEVEFGWGKAAFGSYHFPWGGSAGYVMPMPSAKGNGDWVVYMHIQKEVVAALEEEQPPVLRPLTPDYYLTDTN >Ma02_p14430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22494222:22509283:1 gene:Ma02_g14430 transcript:Ma02_t14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTITEDYGMSLRSSATEGTLSEQRCPSSDSITEWRSCEQVENGTPSTSPPYWDTDDDDDGRSKTFELYGRFTWKIEKFSTINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFIVADTLVIKAQVQVIREKADRPFRCLDCQYRRELVRVYLSNVEKVCRHFLEERIGKLSKFVEDKVRWSGFRAFWFGMDLNSRWRMSRDRTDAILKAVVKHFFIEKEVTSTLVMDSLYSGLKALEFQSKNMKGRARLVDLEELPSPMILVDKDLFVLADDVILLIERVVSDSLPHQPLPSKDDKCPQNRTKDGSSGDEFNKDSIERDEKRLMELGRRTIEIFVLAHIFSRIEVSYQEAVALKRQEELIREEEAAGQVEHELKSKRGAAEKEKRAKKKQAKQKRNSRKGKDKVKDVRCNQGQERLQQETPLEERTSDSFSSGQVELIIEKIDAREDVSETGDDVAEVLQPDLDDRDTSPTNWDTDTSEIHLITEASGSDVQNGQTEKRSQSVMDDSSSTCSTDSVPSAFMSGPYKGNILPNNNGAQSSPNRRKNHWSRETNNRISLTHGGQIPPETTSVDGHSHDATGSKASQPELEATGFSFKNEIQHLGKNLARKEEVSSLQKKSTSKDQVDAESQSSSSGLGKKPLSTIQQPKHSSVVTTSTAAITAAITTVEPASSKEAPSSSTSQTEKILVLASGSAPVSSSSQSEAQKQNMPLKINTSHQDNAISRPSSAPLVPAPRPPASIASTVQAVPLLSRSVSAAGRLGTDPSPSAPSYIPQSYRNAIIGKTMRARRSDFIDETTSSGQSVSCSQSPSVCLSSASMLPPQAPVRKDQTSVRPGLTFGCLKPEVVHSHHPRIDDSYHESSSSSQRIGSSLVDNMQKLDIDNNLWKEQYPAEIASRITPYQVQGTVAEEFPHLDIINDLLDDEQNIERAARGPQHGFNRQYSLPSNLFAAEFGSLGGSGRFDHSDQYYEEGFLGGYGTSANPLQGLRDGALQQMDLSSYSNNHSQLDGLMRNHWPYGNTDPSMLRLGDGDANTYPYQFRVYRARGGNRYLYHPANGP >Ma02_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22494222:22509283:1 gene:Ma02_g14430 transcript:Ma02_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTITEDYGMSLRSSATEGTLSEQRCPSSDSITEWRSCEQVENGTPSTSPPYWDTDDDDDGRSKTFELYGRFTWKIEKFSTINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFIVADTLVIKAQVQVIREKADRPFRCLDCQYRRELVRVYLSNVEKVCRHFLEERIGKLSKFVEDKVRWSGFRAFWFGMDLNSRWRMSRDRTDAILKAVVKHFFIEKEVTSTLVMDSLYSGLKALEFQSKNMKGRARLVDLEELPSPMILVDKDLFVLADDVILLIERVVSDSLPHQPLPSKDDKCPQNRTKDGSSGDEFNKDSIERDEKRLMELGRRTIEIFVLAHIFSSRIEVSYQEAVALKRQEELIREEEAAGQVEHELKSKRGAAEKEKRAKKKQAKQKRNSRKGKDKVKDVRCNQGQERLQQETPLEERTSDSFSSGQVELIIEKIDAREDVSETGDDVAEVLQPDLDDRDTSPTNWDTDTSEIHLITEASGSDVQNGQTEKRSQSVMDDSSSTCSTDSVPSAFMSGPYKGNILPNNNGAQSSPNRRKNHWSRETNNRISLTHGGQIPPETTSVDGHSHDATGSKASQPELEATGFSFKNEIQHLGKNLARKEEVSSLQKKSTSKDQVDAESQSSSSGLGKKPLSTIQQPKHSSVVTTSTAAITAAITTVEPASSKEAPSSSTSQTEKILVLASGSAPVSSSSQSEAQKQNMPLKINTSHQDNAISRPSSAPLVPAPRPPASIASTVQAVPLLSRSVSAAGRLGTDPSPSAPSYIPQSYRNAIIGKTMRARRSDFIDETTSSGQSVSCSQSPSVCLSSASMLPPQAPVRKDQTSVRPGLTFGCLKPEVVHSHHPRIDDSYHESSSSSQRIGSSLVDNMQKLDIDNNLWKEQYPAEIASRITPYQVQGTVAEEFPHLDIINDLLDDEQNIERAARGPQHGFNRQYSLPSNLFAAEFGSLGGSGRFDHSDQYYEEGFLGGYGTSANPLQGLRDGALQQMDLSSYSNNHSQLDGLMRNHWPYGNTDPSMLRLGDGDANTYPYQFRVYRARGGNRYLYHPANGP >Ma11_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27314883:27319128:-1 gene:Ma11_g24310 transcript:Ma11_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHQALPDTDRQAAPPLRTQPSPPRFITYASAGSQRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGAVDLTVSVAADDDDAANGRPDSHESQRKMEEDFDAFTTTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGPSAVIMGSRGFGAARSISKSRLGSVSDYCVHHCVCPVVVVRYPDDGAAAGPATDNGEGPKSDEVVEKHVVPEDEQEFHDAAEEHKDV >Ma03_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25566955:25573085:-1 gene:Ma03_g20400 transcript:Ma03_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQIASGRKRSIKERLHGDLGGDVGRSGVVAAKRQRQSDDKWKHDLYEDNMEPERLKSVDPNDLRWKLQKKSSQQAYRSGKVSVVRDLRDKLSGMMHSQPPNTDPSKARRVSEISETTKKNLRHDPPVSEAKKPTKSASTKPSQHKSELSVDGFLHSLGLEKYLITFQAEEIDMAALKHMNDEDLRALGIPMGPRKKILLALESKA >Ma05_p19090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26118206:26159508:1 gene:Ma05_g19090 transcript:Ma05_t19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MVVCSPCHYPVSARRPPHLPLLFKPILLNIFHRPPFSKRRAAPQPINAIGDQSSSFSGTPQQQHQQRQKQVRTLFPGGFKRPELNIPTLVLRLSVDEVLEREADVDVALLKRVGVVVLDGGDQSGARLYEAACALKSLLRDRAYLLIAERVDIAAAVGASGVVLSDSAIPALVARNMMMKSRSDSVYLPLVARTVQDTASAITASSSEGADFLIMSIKTVKSVAGQESSITQFIKVPVFFTTSDSHGNQLPSKMASKLLQYGAGGMVMSLNDLMSFDDGILKMFAMAYMANGILQDAFPNSGTKSDDSRVVNNGQKGISGFTRLDDREIQLIERERLLIDEAVSIIQKATPMMKDVSLLVDAAARLCEPFLLVIVGEFNSGKSTVINALLGKRYLEEGVVPTTNEITLLLYSDMDSDNHKRCERNPDGQFICYLSSPILKDMNLVDTPGTNVILQRQQRLTEEFVPRADLILFVISADRPLTESEVTFLLYVQQWKKKVIFVLNKLDLYRTASELEEATSFVKENARKLLNAENIMLFPVSARSALEAKLSSSIYSVGDYEEVLSNDHRWISSRFYALEKFLLSLLDGTTETGMERVKLKLETPLAIADRLLSSCQRIVKQEYENAIEDLTSINGVIGSVKDYAVRIESESVSWRTNIMSLIATAKARAVNLIDSILRLSNIDLLPTYALRGEKAGSTIATSAVQNDIISPALVDAQRLLVDYSMWLESRNSDEANLYMECFEKRWPASVDYERKVYLETCASLDTSEDFSMKVLENFSSAAAARLFEQEIREVVVGTFGGLGAAGLSASLLTSVLPTTLEDLLALAFCSAGG >Ma05_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26118206:26159878:1 gene:Ma05_g19090 transcript:Ma05_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MVVCSPCHYPVSARRPPHLPLLFKPILLNIFHRPPFSKRRAAPQPINAIGDQSSSFSGTPQQQHQQRQKQVRTLFPGGFKRPELNIPTLVLRLSVDEVLEREADVDVALLKRVGVVVLDGGDQSGARLYEAACALKSLLRDRAYLLIAERVDIAAAVGASGVVLSDSAIPALVARNMMMKSRSDSVYLPLVARTVQDTASAITASSSEGADFLIMSIKTVKSVAGQESSITQFIKVPVFFTTSDSHGNQLPSKMASKLLQYGAGGMVMSLNDLMSFDDGILKMFAMAYMANGILQDAFPNSGTKSDDSRVVNNGQKGISGFTRLDDREIQLIERERLLIDEAVSIIQKATPMMKDVSLLVDAAARLCEPFLLVIVGEFNSGKSTVINALLGKRYLEEGVVPTTNEITLLLYSDMDSDNHKRCERNPDGQFICYLSSPILKDMNLVDTPGTNVILQRQQRLTEEFVPRADLILFVISADRPLTESEVTFLLYVQQWKKKVIFVLNKLDLYRTASELEEATSFVKENARKLLNAENIMLFPVSARSALEAKLSSSIYSVGDYEEVLSNDHRWISSRFYALEKFLLSLLDGTTETGMERVKLKLETPLAIADRLLSSCQRIVKQEYENAIEDLTSINGVIGSVKDYAVRIESESVSWRTNIMSLIATAKARAVNLIDSILRLSNIDLLPTYALRGEKAGSTIATSAVQNDIISPALVDAQRLLVDYSMWLESRNSDEANLYMECFEKRWPASVDYERKVYLETCASLDTSEDFSMKVLENFSSAAAARLFEQEIREVVVGTFGGLGAAGLSASLLTSVLPTTLEDLLALAFCSAGGWLAISNFPARRRQAVEKVKRVADKLAHEIEKAMQKDLQHSIEKLNHFVEATGKPYAEAAQDRIDWLAKTQDELAKVEQNLQAMKVEIQNLHVS >Ma04_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22897058:22897511:1 gene:Ma04_g20200 transcript:Ma04_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCVRSMSRRIALSCLGSLGVRSRPVAAAPCPIRRFSTLSRIPVELGCCGGSLFPLHSAVAAARLTSRLSATSRGGRTLSQGILRRTYPGL >Ma06_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3094694:3096881:1 gene:Ma06_g04270 transcript:Ma06_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCYADSSPSSSAKGVPSQTDSLSFNTASSVERHHCGCCTLPFMESCLSLQENAQQNSEVSHDKYLLGENTTVGSGAAESGQSKSCARGHWRPAEDSKLKELVELYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRPFTEEEEEKLMTAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSFACRRRKLSQAVHRRFEEVAAARATAARGFGSSHSSPSFPSRTPLDSFSANKADEKGDMQSAEPFMVFGQHSPLSLSMQQSTHLSHVSANETPFEENSESSHLDAAASPTFIDFLGVGAT >Ma09_p07030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4522536:4527174:-1 gene:Ma09_g07030 transcript:Ma09_t07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRKQCLVGAAVPRLLHLVMLLVALDLVRRCRAASPSSSSSPDAKAMSELARALSGVPSSWKTGSDPCDPEWDGVTCSAGRVSSINLASRGVSGTLPDSLNALTSLASLQLQRNRLSGPLPLLPDLASLQSLFLDGNAFDLLPNTFFAGLSALQEISLDDLPLAPWNLSQDLAAAVGLSKLSASNASLSGTLPDFLGSLPNLNVLRLSYNQLTGPLPSSLAGSSVQQLLLNNQQSRDKLSGRIDVLSAMPQLTMVWLQSNSFTGPIPDLSNLTALESFNVRDNALTGVVPPSLTACPTLRNATLSNNLLQGPFPQFSSKSVTLDIDKGNQFCNSGDSPCDPRVTALLAVAEGFGYPAVLAKSWKGNDPCASWLGVTCNAQKDIIVLNFGSQHFGGVISPAFASFTALRQLYLSNNGLTGLIPDSLTQLPQLQLLDVSNNSLSGKIPAFSSSVTLKLDGNTKLGSDSDSSGGSSSSPSSGMPGSTSGSSGNSGGSKSSSAAMIAGIIVAVVVLVGCSVALFMHYHKKKQEKKFGRVPMGTPPNEPEIVSIGVGGMNGNGGGLGMLYSQSSAGSTGSYMVEAQGMHISIQSLRRATNNFSEDNVLGRGGFGIVYKGDHNGTLIAVKRNQCDLMGKKGQEEFKAEIDVLKKVKHRNLVTLLGYCDDAQERLLVYEYMSGGTLGDHLFEWQSRHEPPLTWKQRLTIALDVARAIEYLHSLAQESFIHRDLKPSNILLDKDLRAKVSDFGLVKLADDNQKSMMTRLAGTFGYLAPEYATTGKVSTKVDVYAFGVILMELITGRKVLDESLPPEDSHLVALFRRGFSHEKNKFLNAMVDQILELDEEAHQSLAEVADLAWHCTAREPYQRPDMSHAVNRVAPLVEQWRPTNCAIEDDGEPSLSLTERLKRWRYDNTNSTTESFDYTSSDV >Ma09_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4522451:4527174:-1 gene:Ma09_g07030 transcript:Ma09_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRKQCLVGAAVPRLLHLVMLLVALDLVRRCRAASPSSSSSPDAKAMSELARALSGVPSSWKTGSDPCDPEWDGVTCSAGRVSSINLASRGVSGTLPDSLNALTSLASLQLQRNRLSGPLPLLPDLASLQSLFLDGNAFDLLPNTFFAGLSALQEISLDDLPLAPWNLSQDLAAAVGLSKLSASNASLSGTLPDFLGSLPNLNVLRLSYNQLTGPLPSSLAGSSVQQLLLNNQQSRDKLSGRIDVLSAMPQLTMVWLQSNSFTGPIPDLSNLTALESFNVRDNALTGVVPPSLTACPTLRNATLSNNLLQGPFPQFSSKSVTLDIDKGNQFCNSGDSPCDPRVTALLAVAEGFGYPAVLAKSWKGNDPCASWLGVTCNAQKDIIVLNFGSQHFGGVISPAFASFTALRQLYLSNNGLTGLIPDSLTQLPQLQLLDVSNNSLSGKIPAFSSSVTLKLDGNTKLGSDSDSSGGSSSSPSSGMPGSTSGSSGNSGGSKSSSAAMIAGIIVAVVVLVGCSVALFMHYHKKKQEKKFGRVPMGTPPNEPEIVSIGVGGMNGNGGGLGMLYSQSSAGSTGSYMVEAQGMHISIQSLRRATNNFSEDNVLGRGGFGIVYKGDHNGTLIAVKRNQCDLMGKKGQEEFKAEIDVLKKVKHRNLVTLLGYCDDAQERLLVYEYMSGGTLGDHLFEWQSRHEPPLTWKQRLTIALDVARAIEYLHSLAQESFIHRDLKPSNILLDKDLRAKVSDFGLVKLADDNQKSMMTRLAGTFGYLAPEYASVGKKCTRDMQLVRSIFGRLVC >Ma03_p25420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29461721:29465754:-1 gene:Ma03_g25420 transcript:Ma03_t25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MRSQAPLSSSSSSLTLSPCFDHCFVLPFLDLEEAGRGGMMARRASSPASPVTITVSSSGGKGGGDGALRRSIGLTSPVPRHSFSNTPDSPAAAARRSSHRVSSDGGGGRYCSMAANDESQELTSEFVRYTVHIPPTPDRQAAAEVEAEEALEPESRPQRSYISGTIFTGGLNCVTRGHVIECSADGASVAKSTGMFCKMKGCDGGAFLSGSKPPCECGFMICKDCYLECAGSGGGQCPGCKEPYGTVTDDEDSASETEDRPLPLTSMAEFKAGRRLSIVKSMKGAPNQGGDFDHNRWLFETKGTYGYGNAVWPRDGNGGGGVGFKGFEEPPDFEGRCRRPLTRKKGVSQAILSPYRLLIFIRLVALGLFLTWRIRHPNHDAIWLWAMSVACEVWFAFSWLLDQLPKLCPVNRATDLSVLKERFESPSIRNPKGRSDLPGVDVFVSTADPEKEPPLVTANTILSILAVDYPVEKLACYLSDDGGSLLTFEALAETASFARIWVPFCRKHAIEPRNPEAYFGQKRDFLKNKVRLDFVRERRKVKREYDEFRVRINSLPESIRRRSDAYNAHEELRAKKKWEEVKEDVSEPTEFVKATWMSDGSHWPGTWFSAAADHSRGDHAGIIQVMLAPPNSEPVMGTAATQENNLVDTTDVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGVDPSDRYANHNLVFFDVTMRAMDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWFGRKKTKLFLLRKPTMGKKHDREENDIVLPPIGDDDLDEGDVESALLPKRFGSSATLVASIPVAEYQGRLLQDMAGAGQGRPAGSLAVPREPLDAATVAEAISVISCFYEDKTEWGGRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSQNNALFASRRMKFLQRVAYFSVGIYPFTSIFLTVYCVLPAISLFTGQFIVQSLSITFLIFLLAITLTLCLLALLEIKWSGITLHEWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPATDDGEDALAELYMVKWSYLMVPPITIMMVNTIAIAVGIARTMYSQFPQWSKLAGGVFFSFWVLCHLYPFAKGLMGRRGKVPTIVFVWSGLISIVVSLLWVYISPPAGARRDYMSFQFP >Ma02_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22632915:22637423:1 gene:Ma02_g14640 transcript:Ma02_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETLLVPDAAAYTRLCPCACPFCCRSPSPSWRRSIKRRLDPEASADCAAPSPAGGDVAARVEVENEVAALREAVASQQQSIQELLAELDEERNAAASAASEAMSMILRLQREKAEAQMEARQFKRFAEEKMHHDQQEILALEDLLFKREEAVQFLTFRLQAYAHRLLSYGIDPNAVGADLAPASTSGAKDDAWTPQLDEFPTFDYPRLKCTLPYDVEHEEDHYDEAPHLEKYASVETPQAKEDLEDLEQRICQLEAYPDDISLMEKGVIEESPRPLSDSRHSLADSHGSVSAKNLPGLTKGEEFPASADKPLDDGSGFDDMADRVYTIDAVHGVPMVIVSEDCVDTPVKEEKNGEMDGRLEGGSPDIKNLYMRLQALEADRESMRQTIISMRTEKAQLVLLREIAQQLYREVAPESKIIKKKSSTANFSIVGLLKLIVSFIVWRKKASRIKYSFGSSNNNAGLLLLLDKTHRISNWKCVTRTHG >Ma02_p14640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22632915:22637484:1 gene:Ma02_g14640 transcript:Ma02_t14640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETLLVPDAAAYTRLCPCACPFCCRSPSPSWRRSIKRRLDPEASADCAAPSPAGGDVAARVEVENEVAALREAVASQQQSIQELLAELDEERNAAASAASEAMSMILRLQREKAEAQMEARQFKRFAEEKMHHDQQEILALEDLLFKREEAVQFLTFRLQAYAHRLLSYGIDPNAVGADLAPASTSGAKDDAWTPQLDEFPTFDYPRLKCTLPYDVEHEEDHYDEAPHLEKYASVETPQAKEDLEDLEQRICQLEAYPDDISLMEKGVIEESPRPLSDSRHSLADSHGSVSAKNLPGLTKGEEFPASADKPLDDGSGFDDMADRVYTIDAVHGVPMVIVSEDCVDTPVKEEKNGEMDGRLEGGSPDIKNLYMRLQALEADRESMRQTIISMRTEKAQLVLLREIAQQLYREVAPESKIIKKKSSTANFSIVGLLKVLFWVI >Ma02_p14640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22632915:22637484:1 gene:Ma02_g14640 transcript:Ma02_t14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETLLVPDAAAYTRLCPCACPFCCRSPSPSWRRSIKRRLDPEASADCAAPSPAGGDVAARVEVENEVAALREAVASQQQSIQELLAELDEERNAAASAASEAMSMILRLQREKAEAQMEARQFKRFAEEKMHHDQQEILALEDLLFKREEAVQFLTFRLQAYAHRLLSYGIDPNAVGADLAPASTSGAKDDAWTPQLDEFPTFDYPRLKCTLPYDVEHEEDHYDEAPHLEKYASVETPQAKEDLEDLEQRICQLEAYPDDISLMEKGVIEESPRPLSDSRHSLADSHGSVSAKNLPGLTKGEEFPASADKPLDDGSGFDDMADRVYTIDAVHGVPMVIVSEDCVDTPVKEEKNGEMDGRLEGGSPDIKNLYMRLQALEADRESMRQTIISMRTEKAQLVLLREIAQQLYREVAPESKIIKKKSSTANFSIVGLLKLIVSFIVWRKKASRIKYVLFWVI >Ma06_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1512685:1524377:-1 gene:Ma06_g01840 transcript:Ma06_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAARKTFVLLRPPMLFAGKASSVHESRRARLSVPAPICALGAPSRGFLSLSARGHLNSCLPASFGVHALPTSVISSCPPDEKIRDGFRLVLVAIACWVFVVRCQRAFAAEGTVDAAKGVLTSGRASLSTAWPKLLQILHVLREQGLILTALLGLSAFFSMAETAITTLWPWKVRELAEKEPENGVFRMLRTDVTRFLTTILIGTTVVNIGATALVTEATTAIFGEAGVSAATAVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRVVTFLSMGILKLLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDGSATLIDFQKLWETHQYSRVPVFEERIDNIVGVAYAMDMLEYVERVEKLKEITVREIARMPTYFVPDLMSVWNLLREFRIRQVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQRKTGYIVLRDDGTFDVDANTSIDQLSEELNVKIPEGHQYETVSGFVCETFGYIPEEGGKIIVVLEKANQEEESENAETSPDNQDEERHQTFELEVLEANERKVGLVRFIPIDNEGEEDLDNKGVNRVVSKKVIKQKKQTVNKPEKCDEEIEESLEDGCSQQTILLQEQFQSDGSIRHAIDEKVDNHD >Ma06_p01840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1512685:1523599:-1 gene:Ma06_g01840 transcript:Ma06_t01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRVVTFLSMGILKLLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDGSATLIDFQKLWETHQYSRVPVFEERIDNIVGVAYAMDMLEYVERVEKLKEITVREIARMPTYFVPDLMSVWNLLREFRIRQVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQRKTGYIVLRDDGTFDVDANTSIDQLSEELNVKIPEGHQYETVSGFVCETFGYIPEEGGKIIVVLEKANQEEESENAETSPDNQDEERHQTFELEVLEANERKVGLVRFIPIDNEGEEDLDNKGVNRVVSKKVIKQKKQTVNKPEKCDEEIEESLEDGCSQQTILLQEQFQSDGSIRHAIDEKVDNHD >Ma05_p26710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38248777:38250085:1 gene:Ma05_g26710 transcript:Ma05_t26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGQDLDRRNKDAPPQMNKGLRRALIAVNCVLMAIGNAGGPLLLRLYFHSGGKRQWLSGWLETGGWPIIFLPLLVSYLHRRRRLLRGHGHRHQPPTKLFLITRPLFLYCAALGLLLGLDDFLYAYGLSFLPVSTSALLISAQLVFTAFFAFVLVKQKFTPYSINSVVLLTVGAVMLGLHVSSDRPNNVTRGEYYMGFVLTLGAAAVYGLFLPLVELTYTKAKQAITYTLVMEMQMVMGFFATAFCTVGMLVNKDFQAIPREAKHYEQGEFRYYVVLVWCAILWQFLFLGTVGVIFCVNTLLAGVLIAVFIPVTEVFAVIFFKEKFGSEKGVALALSLWGLASYSYGEYREGKRSQNTAADLSSQPV >Ma08_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8227594:8229591:-1 gene:Ma08_g11150 transcript:Ma08_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNANSQLGEPTQQSEDSVSGVLVHSLSRRLEVLEHSVHYLVDNMMTRLSVMEARVAALMRRMDGYNDLNRSWSQQVSLEFPAVSPDAPVLPSPPLPSRHKKKQGTLTSRRVIRRYQRLVKSSWDNKSFIKHVIKKIKKKRRQRS >Ma08_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33359945:33366502:1 gene:Ma08_g19570 transcript:Ma08_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGVDVLQIDEAYEFRAPRFFDFVNEETEEDIKRAELWFETSRSYAPSPFMPRIREGRSVQIDSLCDFGNVDQQQKVEVSTKVTQTDALNEAENTTRNYQQELETKLLVKPEQSQEVEDRKNSFEFVSEVKPQTNILPQQKTSSSSLASSKEEAPCLEFHIAPGGAPVAAASTACTAKALKSSTKLVAPSTVNNHVTVEACTPRAQRIPEKGVAPSSSKHLTTRKNASMMRQPSALKPKTKSPIQSSKSTKGKNIIKRPGSLAAKSSMAAEIAQENQAVKRQKLDDGRSRQIHNIKNRVLLHKPRLGLTGGTGMSTSAAKGCLEEKSSRKEISPFISAAEMINKFHSRTRDLDLSQNRSLSNDDTASVIQRRPKLTLTRPKNPEFETAHRVRAVRIKSSAELEEEMLAKIPKFKARPLNKKIFEAPSFPALPKSVPQPPIFQEFHLKTMDRANQHTETSSVVSSIDGSVQNQNKPFRLTEPRPPHLETSLRARPPMIKSTQELELEDLEKIPKFKARPLNKKILESKGEIGLFCNPKPQITITEEFHFATNERLGPPAAVVQLFDKLSLHSETSNHERKEVPRVTIPTPFHLHTEERGFEKERQLAEQILQKELEEERARIPKANPYPYTTDYPVIPPKPEPKHCTKPEAFQLESLVRHEEEMQRKLEEKERMEREEAQRRIFRAQPILNDDPLPLPQRERKPLTEIKEFVLHLDHRAVERTEFDQKIKEKELTYKRLREEQEFAQMMEEEKAVKQMRRTMVPHAKPLPKFSNPFVPQKSTKEATKPKSPDLRVNHRVELRQAFHMR >Ma08_p19570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33359945:33366502:1 gene:Ma08_g19570 transcript:Ma08_t19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGVDVLQIDEAYEFRAPRFFDFVNEETEEDIKRAELWFETSRSYAPSPFMPRIREGRSVQIDSLCDFGNVDQQQKVEVSTKVTQTDALNEAENTTRNYQQELETKLLVKPEQSQEVEDRKNSFEFVSEVKPQTNILPQQKTSFPCNSSSLASSKEEAPCLEFHIAPGGAPVAAASTACTAKALKSSTKLVAPSTVNNHVTVEACTPRAQRIPEKGVAPSSSKHLTTRKNASMMRQPSALKPKTKSPIQSSKSTKGKNIIKRPGSLAAKSSMAAEIAQENQAVKRQKLDDGRSRQIHNIKNRVLLHKPRLGLTGGTGMSTSAAKGCLEEKSSRKEISPFISAAEMINKFHSRTRDLDLSQNRSLSNDDTASVIQRRPKLTLTRPKNPEFETAHRVRAVRIKSSAELEEEMLAKIPKFKARPLNKKIFEAPSFPALPKSVPQPPIFQEFHLKTMDRANQHTETSSVVSSIDGSVQNQNKPFRLTEPRPPHLETSLRARPPMIKSTQELELEDLEKIPKFKARPLNKKILESKGEIGLFCNPKPQITITEEFHFATNERLGPPAAVVQLFDKLSLHSETSNHERKEVPRVTIPTPFHLHTEERGFEKERQLAEQILQKELEEERARIPKANPYPYTTDYPVIPPKPEPKHCTKPEAFQLESLVRHEEEMQRKLEEKERMEREEAQRRIFRAQPILNDDPLPLPQRERKPLTEIKEFVLHLDHRAVERTEFDQKIKEKELTYKRLREEQEFAQMMEEEKAVKQMRRTMVPHAKPLPKFSNPFVPQKSTKEATKPKSPDLRVNHRVELRQAFHMR >Ma08_p19570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33359937:33366502:1 gene:Ma08_g19570 transcript:Ma08_t19570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGVDVLQIDEAYEFRAPRFFDFVNEETEEDIKRAELWFETSRSYAPSPFMPRIREGRSVQIDSLCDFGNVDQQQKVEVSTKVTQTDALNEAENTTRNYQQELETKLLVKPEQSQEVEDRKNSFEFVSEVKPQTNILPQQKTSSSLASSKEEAPCLEFHIAPGGAPVAAASTACTAKALKSSTKLVAPSTVNNHVTVEACTPRAQRIPEKGVAPSSSKHLTTRKNASMMRQPSALKPKTKSPIQSSKSTKGKNIIKRPGSLAAKSSMAAEIAQENQAVKRQKLDDGRSRQIHNIKNRVLLHKPRLGLTGGTGMSTSAAKGCLEEKSSRKEISPFISAAEMINKFHSRTRDLDLSQNRSLSNDDTASVIQRRPKLTLTRPKNPEFETAHRVRAVRIKSSAELEEEMLAKIPKFKARPLNKKIFEAPSFPALPKSVPQPPIFQEFHLKTMDRANQHTETSSVVSSIDGSVQNQNKPFRLTEPRPPHLETSLRARPPMIKSTQELELEDLEKIPKFKARPLNKKILESKGEIGLFCNPKPQITITEEFHFATNERLGPPAAVVQLFDKLSLHSETSNHERKEVPRVTIPTPFHLHTEERGFEKERQLAEQILQKELEEERARIPKANPYPYTTDYPVIPPKPEPKHCTKPEAFQLESLVRHEEEMQRKLEEKERMEREEAQRRIFRAQPILNDDPLPLPQRERKPLTEIKEFVLHLDHRAVERTEFDQKIKEKELTYKRLREEQEFAQMMEEEKAVKQMRRTMVPHAKPLPKFSNPFVPQKSTKEATKPKSPDLRVNHRVELRQAFHMR >Ma10_p23250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32294461:32304715:1 gene:Ma10_g23250 transcript:Ma10_t23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRHRARSRRPLWIIAMILLVCTMLIVLYVYPPQRYSACYLMSSHVCSPLMEWLPPVRTRVYSDDELAARVVIRDILSAPAVESKNPKIAFMFLTAGSLPFEKLWEKFFLGYEGRFSIYVHASTEKPVHVSPLFIGTDIHSEKVAWGKISMVEAEKRLLVNALQDTDNQHFVLLSDSCVPLHNFDYVYNYLTGTNISFIDCFEDPGPHGTGRYSENMLPEIEKEDFRKGAQWFSIKRQHALLILADGVYYTKFKLYCRPGMEGRNCYSDEHYLPTLFHVSKLVFLFMVDPGGIANWSVTHVDWSEGKWHPKAYRTRDVTFELLKNIASIDESIHVTSDSKKVVQKRPCLWNGVKRPCYLFARKFYPEALDNLMQLYSNSI >Ma10_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32294461:32304715:1 gene:Ma10_g23250 transcript:Ma10_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRHRARSRRPLWIIAMILLVCTMLIVLYVYPPQRYSACYLMSSHVCSPLMEWLPPVRTRVYSDDELAARVVIRDILSAPAVESKNPKIAFMFLTAGSLPFEKLWEKFFLGYEGRFSIYVHASTEKPVHVSPLFIGTDIHSEKVAWGKISMVEAEKRLLVNALQDTDNQHFVLLSDSCVPLHNFDYVYNYLTGTNISFIDCFEDPGPHGTGRYSENMLPEIEKEDFRKGAQWFSIKRQHALLILADGVYYTKFKLYCRPGMEGRNCYSDEHYLPTLFHMVDPGGIANWSVTHVDWSEGKWHPKAYRTRDVTFELLKNIASIDESIHVTSDSKKVVQKRPCLWNGVKRPCYLFARKFYPEALDNLMQLYSNSI >Ma03_p08480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6177010:6198182:1 gene:Ma03_g08480 transcript:Ma03_t08480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKYIDPAFQGVGQKVGTEIWRIEDFQPVPLPKSDYGKFHSGDSYIILQTSSGKGGAYLYDIHFWIGKESSQDEAGTAAIKTVELDAVLGGRAVQHRELQGFESDKFLSYFKPCIIPLEGGFASGFKKPEVEKFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVVQYLKDKYHEGTCGVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKVVNEDDIILEASPAKLYSINDGQLKLEENTLSKAMLENYKCYLLDCGAEIFIWVGRVTQIEERKAASKAAEDFIINQNRPKTTRITQVIQGYETHSFKSNFESWPAGTVTGTGTSSGEEGRGKVAALLKQQGVDLKGISKGSPINEEIPPLLEGGGKLEVWRINGSAKNPVPKEEIGKFYSGDCYIVLYTYHSSEKKEDYFLACWMGKDSIQDDQMMATRLANTMWSSLKGRPVQGRIFQGKEPPQFIALFQPMVVLKGGISSGYKKFIADKNLNDETYTSDGIALIQVSGTSVHNNKAVQVDAVATSLSSTDCFILQSGNSLFIWSGSSSTFEQQQWAARIAELLKPGVTLKHVKEGTESSAFWFGLGGKQGFTSKKIAQDIIRDPHLYTFSFKKGKLEVTEVFNYSQDDLLTEDMLVLDTHAELFVWIGQSVDSKEKQKAFDIGQKYIELATSLEGLSPDVPLYKITEGNEPCFFTTYFSWDNAKAMVQGNSFQKKLLHLFGNAMHASESKDKSTSDYHGGPTQRASALAALSSAFSPSSNTKTTAPRPSRPSRGSQRAAAVAALSSVLTAEQKKGESETSTTRFSRSPSPGPHATVNDSAKTDSVGSELGASLGLSLETEITEGDSTISESTISDTEVAQDPEIVKNGGETTFGYERLKAKSSNPVRGIDYKRREAYLSDDEFQTVMGITKKAFYQQPKWKQDMQKRKMDLF >Ma03_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6177002:6198182:1 gene:Ma03_g08480 transcript:Ma03_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKYIDPAFQGVGQKVGTEIWRIEDFQPVPLPKSDYGKFHSGDSYIILQTSSGKGGAYLYDIHFWIGKESSQDEAGTAAIKTVELDAVLGGRAVQHRELQGFESDKFLSYFKPCIIPLEGGFASGFKKPEVEKFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVVQYLKDKYHEGTCGVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKVVNEDDIILEASPAKLYSINDGQLKLEENTLSKAMLENYKCYLLDCGAEIFIWVGRVTQIEERKAASKAAEDFIINQNRPKTTRITQVIQGYETHSFKSNFESWPAGTVTGTGTSSGEEGRGKVAALLKQQGVDLKGISKGSPINEEIPPLLEGGGKLEVWRINGSAKNPVPKEEIGKFYSGDCYIVLYTYHSSEKKEDYFLACWMGKDSIQDDQMMATRLANTMWSSLKGRPVQGRIFQGKEPPQFIALFQPMVVLKGGISSGYKKFIADKNLNDETYTSDGIALIQVSGTSVHNNKAVQVDAVATSLSSTDCFILQSGNSLFIWSGSSSTFEQQQWAARIAELLKPGVTLKHVKEGTESSAFWFGLGGKQGFTSKKIAQDIIRDPHLYTFSFKKGKLEVTEVFNYSQDDLLTEDMLVLDTHAELFVWIGQSVDSKEKQKAFDIGQKYIELATSLEGLSPDVPLYKITEGNEPCFFTTYFSWDNAKAMVQGNSFQKKLLHLFGNAMHASESKDKSTSDYHGGPTQRASALAALSSAFSPSSNTKTTAPRPSRPSRGSQRAAAVAALSSVLTAEQKKGESETSTTRFSRSPSPGPHATVNDSAKTDSVGSELGASLGLSLETEITEGDSTISESTISDTEVAQDPEIVKNGGETTFGYERLKAKSSNPVRGIDYKRREAYLSDDEFQTVMGITKKAFYQQPKWKQDMQKRKMDLF >Ma10_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15002654:15003301:-1 gene:Ma10_g04310 transcript:Ma10_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSAPSTTGTLTLTIEELSPSSSSAPTSSQRSQPPETLVLRLKRPKKKVSWKEGTVDNEFLNRKSSKKCCIFHKQKPFDEDDSDEEDRGDKPAGDSAGPSRCCSHALDH >Ma10_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29418938:29422933:1 gene:Ma10_g18540 transcript:Ma10_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCCRLDGDNLTQLFEDVFDSLFQRRERVAPSDVQGAVPPTPTSPVGVDTDFSFPRPLSYDDPRLTQSQCQNNGLVLGRAEVPTHLHKKSEPLRSENVTDAESTDRKENIDGSKACFSESSLKLQSAQMTVGCSLSEDEDVCPICLEEYTYENPRVDMQCTHQYHLGCIYEWMERSQNCPFCSKWAF >Ma05_p26860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38380638:38381749:-1 gene:Ma05_g26860 transcript:Ma05_t26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSLLHHHRIVSFNPPFHLVVLLRLCFCPGNFYGAMDPDTYLIPSSPSNDSVSSSDLDTESTASFFPDRSTTLGSLMGVTYAEAAAHSMRLPSWREHGGGGGTSAGDAERRPKPRAAEKRRRRVRWGRRRLWRLCGNDMAGPTSLGEFLQRERRLAGGSDGAEGIYDFGGPAEHVAVGGEALFADGRVLPPAPAAERRRRRVEYLRRLRCCCSPGSVAGGSGRIVISSFVQMEGKRNDKNNVSFKFHTLFFSLISAKRFLS >Ma03_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26057272:26060738:1 gene:Ma03_g20990 transcript:Ma03_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKDEEEYIKPSPVVAPEIAIA >Ma11_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20482103:20483338:1 gene:Ma11_g14790 transcript:Ma11_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSVKAQSVADFISELVQTDNESPTQPGEAWVMRVDGSSTSSGAGAGLVLSAPDGRSFERSLRLGFRATNNEAENEVLLAGLRLSREMQVDAIHILTDSQLVAEQLDGRYEAREPTMVKYLAKVRSLASDFSRFMISRVPRSQNERVDELAKMASKSDSGAQTEVERLPFRAVSISAVSSADSRSTWVQEMLLFKCDGTLPADQTAARRIRRTQAWYSKVNGQLYKRSFSHPLLRCLEPEEAQTILAEVHEGIYREHIVGRTLACKILRQGYYWPTMSRDAKSYVQRCGPCQKHARTPRQPAVPLTLIDCAWPFEQWGLDLLGPFPPASGQRRYIVVGVDYFTKWVEAEPLATIIERQVEKFVWKNIVTRFGLSRAIITDNGSQFASTRFREFYANYEIQLKFSSMAHP >Ma03_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7501599:7502459:-1 gene:Ma03_g10050 transcript:Ma03_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 domain-containing stress-associated protein 12 [Source:Projected from Arabidopsis thaliana (AT3G28210) UniProtKB/Swiss-Prot;Acc:Q67YE6] MFIRPNQSRVLEPKKRRQIYKYLEAKAQGRQRRTKKEAGVAMRGGTEAFPDLGEHCQHEDCNQLDFLPFTCHGCKKVFCLEHRSYAAHVCPKADHNSRFVVVCEACSMSMEKRAGEEEKAALERHEKSGSCDPSKKKKPRCPVKRCKEVLTFSNNSTCKVCNQSVCLKHRFPSDHACKPPPRLPTRCGMDCGDWKGNVSTRSSVKAC >Ma09_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12248076:12250904:-1 gene:Ma09_g16790 transcript:Ma09_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLGRRWLRGRLPFMAEQRATLVNVKVKWVKDRPLDWAVSRERHLRPALHLVHLISSQPRCRAALRDLASCRRQFALPHDNIAAFLRRFPTVFRESADFGGMDGPWFSLSDDALRLRRMELGALADAEQDLVDRLRRLLMLTADRSLPLHAVDHLRWDMGLPSDYHLSFVPRHPDFFELVRRPGDERIWLKLVSWDPRLAVSELRKSASSADGDCLAFPVSFTRGFGLKKKCMAWLREWQTLPYTSPYADASGLDSRTDVSEKRIVGVFHELLHLTIAKKTGRRNVSNLRKPLGLPFKFTKVFERHPGIFYLSQKLAIQTVVLREAYGGSDLLIKHPLQEIREKYLALLMASQQERTKGDLKKEIEVAGHTGCEL >Ma05_p27280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38639232:38645995:-1 gene:Ma05_g27280 transcript:Ma05_t27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFESRRGGVLNPGNGRDDSREEHPMVPPHIDRSSSVADSVNHRSNSGSKREAQSEKDVSSVNISAHTFTFRELATATRNFRDECFLGEGGFGRVYKGRLESTGQIVAVKQLDREGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFLPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSALPHGEQNLISWARPMFNDRKKLPKLADPRLQGRFPMRGLYQALAVASMCIQEQAASRPGIADVVTALSYLANKAYDPNASPASSNRSGGDHDERRIRGGSGMITKNEEVGDSGRKRELEGSEKEDSPREMAGIFKKDFDRERAVAEAKMWGENWRGKTRASSRNASSANS >Ma08_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4706913:4710985:1 gene:Ma08_g06900 transcript:Ma08_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITMSHLSMMEARLPPGFRFHPRDEELVCDYLMKKVAGDDGGGLYGCHMMIHVDLNKCEPWHLPEMACVGGREWYFFNLRDRKYATGQRTNRATRSGYWKATGKDRTVSRRGVLVGMRKTLVFYQGRAPKGRKTNWIMHEFRMAGSAPHPHKTPLMEDMVLCRVFQKSRSATSEPTKAPPGNGEAAASSSLPPPMDSFAAFEHQPPASSEVHKQVSAFSDLAPHCGANDGAINPRFMVQRSLQIKNSGSSVLSYSTELEGNPKREVPPSPCMAARSSGSYFTENGLSYVRNPFGAFYATS >Ma09_p18660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18308478:18310157:-1 gene:Ma09_g18660 transcript:Ma09_t18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLAVATGNEDPGSGDQEVLPVYKRRGRPQKPLKDDIDVDDTETVEGKDDMKLTAPNKELKGSTVAVGKKRKRYSKAKENSDAIIEENGVGSKSRNEDLTRSNGFRHSGSRRKSKPRRAAEAGVECK >Ma09_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18308478:18310138:-1 gene:Ma09_g18660 transcript:Ma09_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLAVATGNEDPGSGDQEVLPVYKRRGRPQKPLKDDIDVDDTETVEGKDDMKLTAPNKELKGSTVAVGKKRKRYSKAKENSDAIIEENGVGSKSRNEDLTRSNGFRHSGSRRKSKPRRAAEAGVECK >Ma04_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6661204:6662944:-1 gene:Ma04_g09350 transcript:Ma04_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVGDRAVVALLTLLLLFSVPASGHQERGTEREEAEKVRECGEDATGRGRFILGRTRRAVRTEAGQVRVVSGDRWKGHPSTMHIGFLRLEPNSLFLPQYVDANLIQFVREGEVEAGWIHKDELVQRKLKRGDINVIPAGSPFYIANTNSERSHMVCGIDTSQSLTYNSQQSFFIGGGTNPTSVLAGFDVNTLANAFNITSDQLRPMMRRQSGGPIVHFSGQQEEELDPNNDDEEAATTWTRKNLLDYLFGRSGREKARSTESHHAPYAFNLYNNEPSFQNSYGWSTAIDEHDYSLLSSCGVGVYLVNLTAGSMMAPHFNPVATEYGVILSGSGSIEVVYPNGSTAMNAEVSEGDVFWIPRYHPFCQVASRGGPMEFFGFTTSSKRNHPQFLAGASSVLRSMSGPELATAFGVSEEQLGRLVKAQRESVILPASNNWDGGRETADERKKEKMVEELLVIKRGFLA >Ma08_p25620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38383341:38385531:1 gene:Ma08_g25620 transcript:Ma08_t25620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEKPVVLITGCSEGGIGYALARAFALEGCFVVATSRSLSSMKSLENDPRFFLQELDVVSEDSIRRVVTNTLDKFGQIDVLVNNAGVHLVAPLAEVPMSSFEHVFNTNVYGPMRLIQFVVPQMVLRKKGKIVNIGSISALAPGPWAGVYSASKAALHALTDTLRLELRTFGISVINVAPGAIRSNFGKSSTASYDQMPEWKFYKPFEAAIRARTALSQGPKSTPAEEFAKRTVTMVLSKQPPAWFSYGQLSTILAILYYLPLSIRDYIYRLALKC >Ma08_p25620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38383459:38385531:1 gene:Ma08_g25620 transcript:Ma08_t25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEKPVVLITGCSEGGIGYALARAFALEGCFVVATSRSLSSMKSLENDPRFFLQELDVVSEDSIRRVVTNTLDKFGQIDVLVNNAGVHLVAPLAEVPMSSFEHVFNTNVYGPMRLIQFVVPQMVLRKKGKIVNIGSISALAPGPWAGVYSASKAALHALTDTLRLELRTFGISVINVAPGAIRSNFGKSSTASYDQMPEWKFYKPFEAAIRARTALSQGPKSTPAEEFAKRTVTMVLSKQPPAWFSYGQLSTILAILYYLPLSIRDYIYRLALKC >Ma08_p25620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38383341:38385531:1 gene:Ma08_g25620 transcript:Ma08_t25620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEKPVVLITGCSEGGIGYALARAFALEGCFVVATSRSLSSMKSLENDPRFFLQELDVVSEDSIRRVVTNTLDKFGQIDVLVNNAGVHLVAPLAEVPMSSFEHVFNTNVYGPMRLIQFVVPQMVLRKKGKIVNIGSISALAPGPWAGVYSASKAALHALTDTLRLELRTFGISVINVAPGAIRSNFGKSSTASYDQMPEWKFYKPFEAAIRARTALSQGPKSTPAEEFAKRTVTMVLSKQPPAWFSYGQLSTILAILYYLPLSIRDYIYRLALKC >Ma08_p25620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38383341:38385531:1 gene:Ma08_g25620 transcript:Ma08_t25620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEKPVVLITGCSEGGIGYALARAFALEGCFVVATSRSLSSMKSLENDPRFFLQELDVVSEDSIRRVVTNTLDKFGQIDVLVNNAGVHLVAPLAEVPMSSFEHVFNTNVYGPMRLIQFVVPQMVLRKKGKIVNIGSISALAPGPWAGVYSASKAALHALTDTLRLELRTFGISVINVAPGAIRSNFGKSSTASYDQMPEWKFYKPFEAAIRARTALSQGPKSTPAEEFAKRTVTMVLSKQPPAWFSYGQLSTILAILYYLPLSIRDYIYRLALKC >Ma09_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7827753:7828468:1 gene:Ma09_g11580 transcript:Ma09_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRAVAAIVTVAALLHVAIATRYTVGGPNGGWNTITDLQAWASTKRFAPGDSLIFTYTSSHDVLEVTKAAYDACSATTPMESHTGGNTAIKLSAPGKRYFICGVPGHCAAGMKLDVDVISTAVGAPPPKHHYPPAAPKSTSNSPSEPPMVAPSRAPSASFPPMSHAPDVAPSMTSAARGCKQCANLAVGLAMGVLLILAA >Ma01_p17800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13085344:13091581:-1 gene:Ma01_g17800 transcript:Ma01_t17800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRWRSKGKTAPADEDEEAQSVISLGSSDDEEANEDLSLAIVEKARQREAKRKRSEDALGPVPAAETPAPAVRLSSVFPSSGVAELVSDRNPESSVGGLTSLTHGERKKKPKKLKKKQQHEVEEKKVIGAIIDEEQPPRKGESVIAEANGVSDNLVFRKLLRGVRYFDPGGNNWETCFNCGEEGHIAANCTMEKRQKPCFYCGLFGHNSKQCLQGQDCFVCKRKGHLAKDCPDKNKKIVQESEICLRCGEMGHIMSSCPNNYSPDDLKETQCYVCTKYGHLCCVDFKDSCPIEIFCYNCAQPGHTGLGCAKPRGENISLASPTLCYICHEEGHFARGCTKRTKSARKMGESSTPRTFDNKNRSSRGTKSVPRDFGKGQRKKNLLYEERWNMTTGKSKIKGGWIVDDPGDLPRKKFRAHDYASPMTSRKKSHRNYSIGFSGHSSNSNTRKKWKSHTGTSGSQ >Ma01_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13085344:13091581:-1 gene:Ma01_g17800 transcript:Ma01_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRWRSKGKTAPADEDEEAQSVISLGSSDDEEANEDLSLAIVEKARQREAKRKRSEDALGPVPAAETPAPAVRLSSVFPSSGVAELVSDRNPESSVGGLTSLTHGERKKKPKKLKKKQQHEVEEKKVLQIGAIIDEEQPPRKGESVIAEANGVSDNLVFRKLLRGVRYFDPGGNNWETCFNCGEEGHIAANCTMEKRQKPCFYCGLFGHNSKQCLQGQDCFVCKRKGHLAKDCPDKNKKIVQESEICLRCGEMGHIMSSCPNNYSPDDLKETQCYVCTKYGHLCCVDFKDSCPIEIFCYNCAQPGHTGLGCAKPRGENISLASPTLCYICHEEGHFARGCTKRTKSARKMGESSTPRTFDNKNRSSRGTKSVPRDFGKGQRKKNLLYEERWNMTTGKSKIKGGWIVDDPGDLPRKKFRAHDYASPMTSRKKSHRNYSIGFSGHSSNSNTRKKWKSHTGTSGSQ >Ma08_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4134477:4134732:1 gene:Ma08_g06110 transcript:Ma08_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKLGLHSLRHRHRHRHRSAGEGSYDGSDWLSNNIANKV >Ma08_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5689023:5691242:1 gene:Ma08_g08190 transcript:Ma08_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTRGKTDEEEVDYFHSTPIKRWSIGLVKFVSFLVTLMAGVVLGLSLSTNFSRYYNLQTELFFPRTMYMANCDKDCLSMKSFLGPTHLMHGMTDEELFWRASLVPKMEEYPFERVPKVAFLFLTRGPLPFKPLWERFFMGHKGLYSIYIHSIPDYKLNVTEDSVFYSRQIPSEEVFWGSITLVDAEKRLLANALLDFSNERFVLLSESCVPVYNFPSVYEYLINSAHSFVESYDEDSPQGRGRYSQNMAPEIQEYQWMKGSEWFELNRELAVNIVADYKYYSIFRKYCKPSCYPDEHYIPTYLNMFHGALNANRSVTWVDWSRGGPHPARYGDPNITVGFIQAIRNNATFCMYNSRPTPICFLFARKFAPSALVPLLDMASTVMGF >Ma10_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26096706:26099738:1 gene:Ma10_g13200 transcript:Ma10_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLPEPKSLEDVARYAADRIRRTRVAKPPLRPAAWNKETTSASVMNLADLPEASVPLKEVVADCARRWFQDALKEARSGDVSMQILVAQMYHSGYGVAKNEQKANVWITKASRYRSAVWKVSDKHPGYNASDSDSDEEKN >Ma04_p38220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35846890:35852477:1 gene:Ma04_g38220 transcript:Ma04_t38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNQFEDEYAYLKQNTSSEQSKSHQLSLRSIITNVYQLGIPTKTDGACGGLPCSEEGRHSLLDIVLLSQWEDHAWKGHLRYDVSSCQMKVITGGKKFIAQLNENWRSNILMDLEKKIFQSLSPIRSSYMKTHREDVLFCVSCGEKEGSELVYSTVLPKDGILIIANANPVEYGHIFLVPYDVHQMPQFLDKRVLGLMSQITAEVANRSFHIFFDYDASRSLDHKCFQACYFADPLPVELLPTTAIYGNLLTTGLYIGEVADYPLKAVVFVSKNLKALVEIVGEMCSYLRDNGTAFSLLISDCGTRIFLFPQVYTLLGYRLSTWECGGYFVYDAKSDFNDVTEVDISKLLASVSLDVYNFKNLMQQCCNAAVKLIL >Ma04_p38220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35846914:35852477:1 gene:Ma04_g38220 transcript:Ma04_t38220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNQFEDEYAYLKQNTSSEQSKSHQLSLRSIITNVYQLGIPTKTDGACGGLPCSEEGRHSLLDIVLLSQWEDHAWKGHLRYDVSSCQMKVITGGKKFIAQLNENWRSNILMDLEKKIFQSLSPIRSSYMKTHREDVLFCVSCGEKEGSELVYSTVLPKDGILIIANANPVEYGHIFLVPYDVHQMPQFLDKRVLGLMSQITAEVANRSFHIFFDYDASRSLDHKCFQACYFADPLPVELLPTTAIYGNLLTTGLYIGEVADYPLKAVVFVSKNLKALVEIVGEMCSYLRDNGTAFSLLISDCGTRIFLFPQVYTLLGYRLSTWECGGYFVYDAKSDFNDVTEVDISKLLASVSLDVYNFKNLMQQCCNAAVKLIL >Ma10_p26400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34105658:34105918:1 gene:Ma10_g26400 transcript:Ma10_t26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFLWVPLTAGPRYQPRRTPPPPPKSPIGS >Ma11_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27605313:27607654:-1 gene:Ma11_g24720 transcript:Ma11_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNPWFDPIGMWRSLRFSLLLLVLLAAAEPSAAATCPLNLTYVSTFPWDDTSCLPATANQTDCCLTLLSLFGIGLAQRLHDTGRFRLPDVSTAAACLVDLQSNLSAAPLSLPSSLVSTCFPLPGRFVNTCAGIFTRGDWTAKLGNSTALDAACDGDLTNLQRCDSCLKAGFDVTSRLTRIDGNDSNATPCFYIAILYAAGVANQYGPKDPRAATCVLGLALSSTSPPDHQSRSSHSAAIYASVAAVLALALLSCSIGLFVCRVSRRQKKRSDSAVSERSSRSYPRPNTGSICFDIKELDKATASFSQQNLIGRGGFGVVYRGTLSDGSSVAVKKVLEPDLDDGDEGFHNEVEIISHLRHRNLVPLRGCCIREDMAEGKQLYLIYDYMPNGNLHDHLFGTSKDAHGNSGRRRPPLTWPQRKNIVLDVAKGLVYLHHGVKPAIYHRDIKPTNILLDGEMRARVADFGLARQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEIMSGRRALDTSPESNLVLITDWAWTMIKTGRAEEVLDEALTRTDDEGRSPPKGIMERFVLVGVLCAHVMVALRPTILEAVKMLEGDIDITDIPDRPLPLARGSVFGDGNTSYASPALSGPCLNTGDMLR >Ma03_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6458423:6460423:1 gene:Ma03_g08790 transcript:Ma03_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSVSSALDEVLRVHKLKALNVLPYACDLVKATLIQIQQMLFKGDKRHEQHRKWEHGHVLAPTSVDLHQIKKEHPRT >Ma04_p35410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34200293:34208537:1 gene:Ma04_g35410 transcript:Ma04_t35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIEQAWHLLIALVRLGRPTPAADLAARCDLTLSPSPDTVEFLCRIPGSPLLLTDGGFVTISDTAVATFLGFLSSAVAPFVPRIAMVGSAQMRTCGNVSVTYARKRKVRPLDDDLVADVPIAKRRLLLPCGGDVEELGGLNKEVSDQLSIVKNAESAATKASQFDLMVSNILNNDVLSVGLSAPTYPLSILIKRNPLPLSLSNPNEVQSSYAIQAIHKKLCNITRATGVHEVLSDFLSFKHDYGIETSANIPKEIAPDDSKIGEPVIAVAFQDYMEQKPTHLENETETSTERGPQMTVPLTCRIKKDISETNDFGATKYDDETLLGHALIPIDGGEATAALCSQSKETSVEINPLKKSLEEFVPAEWTNEKLEPSAQCLSANLRSVNKKQSKSFVKPNTMDIPNMVAGLNNYTPSIDHQRHGCSLKKRQEKRDPKIMVKKQTMQSICKKDTLIKDHKDTFPGASKCDFDPKLLPNFESFIIEEEEGSGGYGIVYRARRKTDGKIFAIKCPHANAHSHHINNEMKMLEQFGGRNFVIKFEGSFKSGNSECFVLEHVKHDRPEVLKKEINVFELQWYGYCMFKALASLHKQGIVHRDVKPGNFLFSRKLNKGYLIDFNLANDLHQKFLKNRKHEADSIVRPNPVPIPDMKSTSRKQAKEAMKEGILDNRFKEATNDSKKHLTKSLKRSERSAMDVLPKHDNKNRCGSQAADVSGVTSAKDPTSARTDKLKQPIPCKGRKELINFLHEAMQTPSPKGEAVPTSQRKRVAAPLGKMERKPVIPTPMPLHYGGIPVAGSGTCSNKRNGKQKREGPCVGTKGFRAPEVLFKSLHQGYKVDVWSAGVTLLYLMIGRSPFGGDPEQNIKEIAKLRGSEDLWEVAKLHNCESSFPQELLDFRSLRSTELREWCVLNTKRPELLEVIPRSLFDLVDKCLTVNPRCRITAEEALMHDFFAACHESLRQQRKLRREAALESGTPFAGAV >Ma10_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29798452:29805179:1 gene:Ma10_g19120 transcript:Ma10_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGATGSKLEKALGEQFPEGERYFGLENFGNTCYCNSVLQVLYFCIPFREQLLDYYENNKNVGDAEENLLTCLADLFSQISSQKKKTGVISPRRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDTLERESNAANSSPEASSSSSSSSSEKVANGPSNPPVNGLRKDPFVTWVHDSFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPSILVIHLKRFKYIEHLGRNKKLSYRVVFPMELKLMNTVEDPDTEYSLFAVVVHIGTGPNHGHYVSLVKSHNHWLYFDDETVEMIDELTMHKYFGSSQEYPSNTEHGYILFYESLGKSS >Ma02_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16991396:16998066:-1 gene:Ma02_g05800 transcript:Ma02_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILELVLLGGGDKKEEEAEMEGDLAASSPIPNLSSVASLVIRRCSRILLLSVEQLQQSFEDELPDHSNQPTSYARNLVEYCAHKALRVETQRPDHLADKGFSLLTFDMMLAWEAPDTETEFLLDENGFSNCPEVDNDDEGSLFYASVTRLASQIDGKKTVGLEAFAQIVSACALVADPITVHNLFDALTSSSGGRLHFLIYDKYLKRLYKVLKSMKHIYGRPQSFNFSLADGEIILEVDAKSILQHTRISTRPGRLTLTSHALYFEASGIGTSKYDLSKDLKQVVNCELTGPWGARFFDNAIICKSDSVVEPIVFEFSGHSHRDYWFAIIQEVLRANKFIRKYKLKRFQRAESLSKAALGIFRSRAVKEAFHGMPSHFKSILPFNLAEKLPKGDKILEALYNHLELMRIRFQNHDGLVTASYEKQLTGPLSDSLYTLTRMGFLLLKKEDNPEENGVLVGNIHVGETSPLQLAIRESVRYSGRVEEARATLYQVKVEDIDTNLAAIKDLLFPLFEIGKLLHLLAAWEDPFKSRVFLFLILYILYRGWVRYILPCFFLSAAVYMFWHKHHSGGKPIQVFQVTPPPNRNPVELLLMLQEGVSQLQTNVRTGTISLLKLRALLLAAFPKTTQKVAIAMVIVAVGFTLVPFGHILTLVLLELYTRKMPVRRESSEKLVRRIKEWWNRVPAAPIQIARPEEHNSSR >Ma07_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11327697:11336764:1 gene:Ma07_g15080 transcript:Ma07_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLSTLRRIYLSLYNWTVFVGWFQVLYFAVKALREGGPTTVYDSVERPLQLAQTAAVMEILHGLVGLVRSPVSATLPQIGSRLFLTWGVLWSFPETRTHVLVSSLVISWSITEIIRYSFFGMKEALGFAPSWLLWLRYSTFLILYPTGITSEVGLIYIALPYIKASEKYCIRMPNKWNFSFDYFYASIVALAIYVPGSPHMYQYMLGQRKKALSKSKTA >Ma06_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3825353:3827156:1 gene:Ma06_g05120 transcript:Ma06_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGDVLHLLVFPFPAQGHLIPLLDLVHHLSLRLPGLSFTVVVTPANLPLLDHFLAATPSAFPLVLPFSFSTLAPGVEHVRHLSHGNSIAALIHALSSLGPAVVSWARSLTCPPFALLSDFFIGWTHHLAADLGIPRVVFYSSGAFGVSVLDHVWRQMPPAPPSGAAASSSSVTLASLTSAPSFPFSHLPSVYRRYKAGDPDWEFARDSFLANAASWGAVINTFDALEGDYLAHLRRSYGHDRVWAVGPIRPAGAVGGRGGRSSVPAEEVMAWLDACPPRSVVYICFGSQYTPTETQIGGIAAALEKSGVRFLWALGGAAAAPEGFEGARGMVVRGWTPQVAILGHAAVASFVTHCGWNSVLEGVTAGVALLVWPMEAEQFVNAKLLVEDIGVAVRVGEGSGAEGTPAAEELARTLAESVAEGGEWQEVRDRVAELRGRAVAAVAEGGSSYRALEELTIQLSQLSKYTTIEKNQHQ >Ma03_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24180243:24182152:1 gene:Ma03_g18610 transcript:Ma03_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRSLWGGKKESKDPKEYSRYSGGEDRREKKRWSFGKPARDAGEVVLGQNASTAAAVEAAWFRSFYAESDKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTTRGTGAALDSCRERYMAAVKIQTSFRGYLAKKALRALKALVKLQALVRGYLVRKQATATLRSMQALIRAQATVRAQRSRNLLPHDRRFQLEFRHRRSLERFDDTRSENTSSFHSRRLSACLDNVSNSFDRSPKIVEVDTGRPRSRSSRRSTPSVLDPAEDLHWSSITSPLPCQIPARISVPDCRDFQDYDWCLAFEKCRQSATAQSTPRCASSCSNWPVTGAKSVCDEDGVLRRFSNVPSCPNYMASTESFEAKLRSQSAPKQRPDPAGTRKRLPLSEATVESMAALSGVGFQRPCSRAQEDFNFRSAVVGKIDQSSELRREAEEEFYLPRKW >Ma05_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9363189:9364665:1 gene:Ma05_g12950 transcript:Ma05_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNLELLDLSSNNLAGRIPDQLGDCMKHRSLKLNNNNFSGTIPLAIGNLVYLQDTFDISQNSLTGEIPSQLGKLVMLQSLNLSHNSFSGHLPSSLTYMTSLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNNDLCGVVRGLPPCVSLGTPTKDDRSKRHKVVVIAIIASVVFFLLLIIFIAAALRFHKRTKPPVPVDDNHVKEGAFSILNFDGRDVCKDIIEATEDFDAKYCIGSGAYSRVYRAELASGELLAVKKIHLPDTEGTFDEQPFQNEIQTLTQIRHRNIVKLYGFCSSPRHKFLVYEYMEKGSLGSVLRSESAAELDWGMRVNIVKDVARALFYMHHDCVPPIVHRDVTSNNILLDSEFKACVSDFGIARLLNPDSSNWTMLTGTRGYLAPELAYTMKVTTQCDAYSFGVVTLELLIGEYGEILVSILSSSPINDSFVKD >Ma08_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:849649:851497:-1 gene:Ma08_g00910 transcript:Ma08_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPPDTSKSSKLERYNSYLLRRVSSAKLIAASSHLLFRATILAALILIFLFLLHYPPLLLSSSHYSSSSPHSNHRSLLSSGSYGGGYWERDVRRSAAPRRPDGLSVLVTGAAGFVGTHCSLALKRRGDGVVGLDNFNAYYDPALKRSRQALLSSHGVLVLEADINDGTLLAKLFDVVPFSHVLHLAAQAGVRYATRNPQSYVASNVAGLVSLFEVAAKHADPQPAVVWASSSSVYGLNTEAPFSEIHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTKSILSGKPITLFRMPDGTAVQRDFTYIDDVVKGCLGALNTAKKSTGVPGGGKKRGPAQLRVYNLGNTSPVPVAEMVSILEHLIGKKAKKNVVTLPQNGDVPFTHANVSLAKTDFGYRPTTDLATGLRKFVKWYVEYYGVQTPKVVNKDAGEEDKEEEGAAASA >Ma02_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19302866:19303396:1 gene:Ma02_g09180 transcript:Ma02_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTATGEQHPSTRRYRGVRLRKWGSWVAEVRFPNSRERLWLGSYPTPEQAARAFDAAVYCLRGPGAALNFPEQPPHIPSASTLNREEIRAAAVRFAHDVPRRAEEAQGGGGEASTGVVSPGEANSRAMAESMEVFPAFYFPGVSGWWNEEEEDVWAAAATASIDDIYRSSPLWNF >Ma05_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33017389:33017802:1 gene:Ma05_g21370 transcript:Ma05_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFLFVALFLVCFGMGVVFMVYMCMLWSSMLRNGEGNKSGKGLSTAELERLGGEADGGAVAGQECAVCLEDIEAGQAARVLPECRHAFHRLCADRWLSAHPDCPLCRAQLHPPPPPPPPPPLAPPSVPVQVVVAA >Ma02_p12810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21422832:21456215:1 gene:Ma02_g12810 transcript:Ma02_t12810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIVLVAIEEVCARAAAGIHVAELWPSLRGALSDAGLPPCDAVYKVIWIRLLAHPGLRFEAHGSPLGSHDPSIQSLEEAQRIGVRIVAEDHLRDSFLGIYDLKASSSDISQIQRTALERLAAARTNGVTQSELGKEFRIKGNNLFYIVKHLESQHLIVRQSTIVRAKDSGTEWENAAKNNSVVSTNLLHLHRYAKNLNLNSQQRFEITRADILEGVTVDGISVNGDEISGDFAKDDVSIKDYLPEMKAICDKLEEASGKVSVVSDIKMSLGYRKTTGHRAWRNILQRLKDAHLVEEFQAEVNKRVVSCLRLLKKFDSRDFQPKKGMHSHDNFDNENLIKIGKRGHITDQFVELPLEHRIYDMVDSEGQKGLTISEVCRRLGFTPKKLYSRISSMRERFRMCWQAEIHEKTPLYRMWTFRNYPHHAINTFPGKHEALQDCAVGLAPSGMPPEHDRDAQEIKCRGDQQNNATELPSVSYDSKPAIVEIMLKQNACQWEESRFVSSVSPEVKAVKRHHQLSTSNRTRREERIVKKLKKEEFILTAELYRWLEELEKGKNTKMGRRTLTSTLNKLQKEGLCKCIQVSFPVVTNFNRHRITDVILHPSVDNLSPELLDKIYRRQREFDGRIRGQASARSRTGQPVHNLTSLGMTSKHVDDKPVLLEAMRANGFASARMVRARLLHRFLWSYVSNLPSWHGAVNSNQCSYDLKSPSTCQLFALDEAIKTMPLELFLQVVGSPKEIENMVERCKLGLRLSDVSISEYKSLFDSRATCRLSNIINILVRMKLIRVVKEGTAEDDNGLSHAVLTYAMELKPYIEEPMTRTITPSHFKVDLRPRIRHDFLLLKPEAVDVYWETLEYCYAAADQVAASCAFPGSSVCDVFHTRSWTSVRVMNTEQRIELLKRVNDADPRKKISFKDCIRIARELDLTVEQVLRVSYDKRQYRLYRYSSSSKSSEQDNRIDGDNCRPFNSKRKRSSKDGSPKYDLEQNESLRTGKPKICHSIGVDDQSTETNLLPTGDHDNIKHASDSDMHVEDGRNSAFINCAFPRQKPMRAKRFFWTDTLDRRLVMQYARHRAMLGARFYRVDWTSLSDLPALPSTCARRMAVLNANIHIRRSIMRLCNLLGERYATYLEKIRIMKEPVTTQNLSLTHDESISELNCQQYFWDNFEDPDVRIAVDEVLRCKRSATFQYAKRLGTRQGKEWPDIPPIDGKTSDIQEFSQPALKDQNIISECGGNESQKRISRHKKVNVLSTRPSRLRSHHSRRNLVKIWNSRYIFMKRKVYESLAVANAVELLKLVFLSTSAITEVQSSLVATLQLYSEHDIFAAFNYLKEKNFMVVGHGSRPFVLSKMFWHHLSSSPFPIDSGKRAVEFSSWLSKQEKDLIDNRVSLTQDLQCGEICHLFALVSSGEFSISPCMPKEGIGETDEPVEHDKVNNSNSLKRKCAETKLGNLKKIKKPKFEMIIDNDYCSRREKGFPGIRVVLKRKIISADTFSNLMKENLECSSSYDKNSQGLSSEEIGAGLRGNLMCQNYGSVTAVVDEVPWDAIANYAECLSAVQLDGNKATTFSPEFFKSVHSAVCQAGEQGLNMKELSEAMDIQGEQFTEVVMDTMELFQLIIKVNSFDNERILDSSYKSKYLLRSPGVQTPDRNMSSYMKSRVTSYGASRQNFEKKVDITYDSQKSNVDVCDGHKMTIIDLPSESVILDVEGQDNISIATLPKESMVVRDSDQGKEVNYTAGSETHPSRPILPWINVDGSTNTIVYKGLTRRLLGTVMQYPGILEEDIIRRMDVLNPQNCRRLLELMILDNHLTVRMLHQTPSSAPPTILKSLLNSSSSNMEPVFRKHFFANPRSTTLL >Ma02_p12810.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21422894:21456215:1 gene:Ma02_g12810 transcript:Ma02_t12810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIVLVAIEEVCARAAAGIHVAELWPSLRGALSDAGLPPCDAVYKVIWIRLLAHPGLRFEAHGSPLGSHDPSIQSLEEAQRIGVRIVAEDHLRDSFLGIYDLKASSSDISQIQRTALERLAAARTNGVTQSELGKEFRIKGNNLFYIVKHLESQHLIVRQSTIVRAKDSGTEWENAAKNNSVVSTNLLHLHRYAKNLNLNSQQRFEITRADILEGVTVDGISVNGDEISGDFAKDDVSIKDYLPEMKAICDKLEEASGKVSVVSDIKMSLGYRKTTGHRAWRNILQRLKDAHLVEEFQAEVNKRVVSCLRLLKKFDSRDFQPKKGMHSHDNFDNENLIKIGKRGHITDQFVELPLEHRIYDMVDSEGQKGLTISEVCRRLGFTPKKLYSRISSMRERFRMCWQAEIHEKTPLYRMWTFRNYPHHAINTFPGKHEALQGTNELLFQSKNLTLLELQSINSCSTDELSSIEKTDCAVGLAPSGMPPEHDRDAQEIKCRGDQQNNATELPSVSYDSKPAIVEIMLKQNACQWEESRFVSSVSPEVKAVKRHHQLSTSNRTRREERIVKKLKKEEFILTAELYRWLEELEKGKNTKMGRRTLTSTLNKLQKEGLCKCIQVSFPVVTNFNRHRITDVILHPSVDNLSPELLDKIYRRQREFDGRIRGQASARSRTGQPVHNLTSLGMTSKHVDDKPVLLEAMRANGFASARMVRARLLHRFLWSYVSNLPSWHGAVNSNQCSYDLKSPSTCQLFALDEAIKTMPLELFLQVVGSPKEIENMVERCKLGLRLSDVSISEYKSLFDSRATCRLSNIINILVRMKLIRVVKEGTAEDDNGLSHAVLTYAMELKPYIEEPMTRTITPSHFKVDLRPRIRHDFLLLKPEAVDVYWETLEYCYAAADQVAASCAFPGSSVCDVFHTRSWTSVRVMNTEQRIELLKRVNDADPRKKISFKDCIRIARELDLTVEQVLRVSYDKRQYRLYRYSSSSKSSEQDNRIDGDNCRPFNSKRKRSSKDGSPKYDLEQNESLRTGKPKICHSIGVDDQSTETNLLPTGDHDNIKHASDSDMHVEDGRNSAFINCAFPRQKPMRAKRFFWTDTLDRRLVMQYARHRAMLGARFYRVDWTSLSDLPALPSTCARRMAVLNANIHIRRSIMRLCNLLGERYATYLEKIRIMKEPVTTQNLSLTHDESISELNCQQYFWDNFEDPDVRIAVDEVLRCKRSATFQYAKRLGTRQGKEWPDIPPIDGKTSDIQEFPALKDQNIISECGGNESQKRISRHKKVNVLSTRPSRLRSHHSRRNLVKIWNSRYIFMKRKVYESLAVANAVELLKLVFLSTSAITEVQSSLVATLQLYSEHDIFAAFNYLKEKNFMVVGHGSRPFVLSKMFWHHLSSSPFPIDSGKRAVEFSSWLSKQEKDLIDNRVSLTQDLQCGEICHLFALVSSGEFSISPCMPKEGIGETDEPVEHDKVNNSNSLKRKCAETKLGNLKKIKKPKFEMIIDNDYCSRREKGFPGIRVVLKRKIISADTFSNLMKENLECSSSYDKNSQGLSSEEIGAGLRGNLMCQNYGSVTAVVDEVPWDAIANYAECLSAVQLDGNKATTFSPEFFKSVHSAVCQAGEQGLNMKELSEAMDIQGEQFTEVVMDTMELFQLIIKVNSFDNERILDSSYKSKYLLRSPGVQTPDRNMSSYMKSRVTSYGASRQNFEKKVDITYDSQKSNVDVCDGHKMTIIDLPSESVILDVEGQDNISIATLPKESMVVRDSDQGKEVNYTAGSETHPSRPILPWINVDGSTNTIVYKGLTRRLLGTVMQYPGILEEDIIRRMDVLNPQNCRRLLELMILDNHLTVRMLHQTPSSAPPTILKSLLNSSSSNMEPVFRKHFFANPRSTTLL >Ma02_p12810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21422894:21456215:1 gene:Ma02_g12810 transcript:Ma02_t12810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIVLVAIEEVCARAAAGIHVAELWPSLRGALSDAGLPPCDAVYKVIWIRLLAHPGLRFEAHGSPLGSHDPSIQSLEEAQRIGVRIVAEDHLRDSFLGIYDLKASSSDISQIQRTALERLAAARTNGVTQSELGKEFRIKGNNLFYIVKHLESQHLIVRQSTIVRAKDSGTEWENAAKNNSVVSTNLLHLHRYAKNLNLNSQQRFEITRADILEGVTVDGISVNGDEISGDFAKDDVSIKDYLPEMKAICDKLEEASGKVSVVSDIKMSLGYRKTTGHRAWRNILQRLKDAHLVEEFQAEVNKRVVSCLRLLKKFDSRDFQPKKGMHSHDNFDNENLIKIGKRGHITDQFVELPLEHRIYDMVDSEGQKGLTISEVCRRLGFTPKKLYSRISSMRERFRMCWQAEIHEKTPLYRMWTFRNYPHHAINTFPGKHEALQGTNELLFQSKNLTLLELQSINSCSTDELSSIEKTDCAVGLAPSGMPPEHDRDAQEIKCRGDQQNNATELPSVSYDSKPAIVEIMLKQNACQWEESRFVSSVSPEVKAVKRHHQLSTSNRTRREERIVKKLKKEEFILTAELYRWLEELEKGKNTKMGRRTLTSTLNKLQKEGLCKCIQVSFPVVTNFNRHRITDVILHPSVDNLSPELLDKIYRRQREFDGRIRGQASARSRTGQPVHNLTSLGMTSKHVDDKPVLLEAMRANGFASARMVRARLLHRFLWSYVSNLPSWHGAVNSNQCSYDLKSPSTCQLFALDEAIKTMPLELFLQVVGSPKEIENMVERCKLGLRLSDVSISEYKSLFDSRATCRLSNIINILVRMKLIRVVKEGTAEDDNGLSHAVLTYAMELKPYIEEPMTRTITPSHFKVDLRPRIRHDFLLLKPEAVDVYWETLEYCYAAADQVAASCAFPGSSVCDVFHTRSWTSVRVMNTEQRIELLKRVNDADPRKKISFKDCIRIARELDLTVEQVLRVSYDKRQYRLYRYSSSSKSSEQDNRIDGDNCRPFNSKRKRSSKDGSPKYDLEQNESLRTGKPKICHSIGVDDQSTETNLLPTGDHDNIKHASDSDMHVEDGRNSAFINCAFPRQKPMRAKRFFWTDTLDRRLVMQYARHRAMLGARFYRVDWTSLSDLPALPSTCARRMAVLNANIHIRRSIMRLCNLLGERYATYLEKIRIMKEPVTTQNLSLTHDESISELNCQQYFWDNFEDPDVRIAVDEVLRCKRSATFQYAKRLGTRQGKEWPDIPPIDGKTSDIQEFSQPALKDQNIISECGGNESQKRISRHKKVNVLSTRPSRLRSHHSRRNLVKIWNSRYIFMKRKVYESLAVANAVELLKLVFLSTSAITEVQSSLVATLQLYSEHDIFAAFNYLKEKNFMVVGHGSRPFVLSKMFWHHLSSSPFPIDSGKRAVEFSSWLSKQEKDLIDNRVSLTQDLQCGEICHLFALVSSGEFSISPCMPKEGIGETDEPVEHDKVNNSNSLKRKCAETKLGNLKKIKKPKFEMIIDNDYCSRREKGFPGIRVVLKRKIISADTFSNLMKENLECSSSYDKNSQGLSSEEIGAGLRGNLMCQNYGSVTAVVDEVPWDAIANYAECLSAVQLDGNKATTFSPEFFKSVHSAVCQAGEQGLNMKELSEAMDIQGEQFTEVVMDTMELFQLIIKVNSFDNERILDSSYKSKYLLRSPGVQTPDRNMSSYMKSRVTSYGASRQNFEKKVDITYDSQKSNVDVCDGHKMTIIDLPSESVILDVEGQDNISIATLPKESMVVRDSDQGKEVNYTAGSETHPSRPILPWINVDGSTNTIVYKGLTRRLLGTVMQYPGILEEDIIRRMDVLNPQNCRRLLELMILDNHLTVRMLHQTPSSAPPTILKSLLNSSSSNMEPVFRKHFFANPRSTTLL >Ma02_p12810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21422894:21456215:1 gene:Ma02_g12810 transcript:Ma02_t12810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIVLVAIEEVCARAAAGIHVAELWPSLRGALSDAGLPPCDAVYKVIWIRLLAHPGLRFEAHGSPLGSHDPSIQSLEEAQRIGVRIVAEDHLRDSFLGIYDLKASSSDISQIQRTALERLAAARTNGVTQSELGKEFRIKGNNLFYIVKHLESQHLIVRQSTIVRAKDSGTEWENAAKNNSVVSTNLLHLHRYAKNLNLNSQQRFEITRADILEGVTVDGISVNGDEISGDFAKDDVSIKDYLPEMKAICDKLEEASGKVSVVSDIKMSLGYRKTTGHRAWRNILQRLKDAHLVEEFQAEVNKRVVSCLRLLKKFDSRDFQPKKGMHSHDNFDNENLIKIGKRGHITDQFVELPLEHRIYDMVDSEGQKGLTISEVCRRLGFTPKKLYSRISSMRERFRMCWQAEIHEKTPLYRMWTFRNYPHHAINTFPGKHEALQEKTDCAVGLAPSGMPPEHDRDAQEIKCRGDQQNNATELPSVSYDSKPAIVEIMLKQNACQWEESRFVSSVSPEVKAVKRHHQLSTSNRTRREERIVKKLKKEEFILTAELYRWLEELEKGKNTKMGRRTLTSTLNKLQKEGLCKCIQVSFPVVTNFNRHRITDVILHPSVDNLSPELLDKIYRRQREFDGRIRGQASARSRTGQPVHNLTSLGMTSKHVDDKPVLLEAMRANGFASARMVRARLLHRFLWSYVSNLPSWHGAVNSNQCSYDLKSPSTCQLFALDEAIKTMPLELFLQVVGSPKEIENMVERCKLGLRLSDVSISEYKSLFDSRATCRLSNIINILVRMKLIRVVKEGTAEDDNGLSHAVLTYAMELKPYIEEPMTRTITPSHFKVDLRPRIRHDFLLLKPEAVDVYWETLEYCYAAADQVAASCAFPGSSVCDVFHTRSWTSVRVMNTEQRIELLKRVNDADPRKKISFKDCIRIARELDLTVEQVLRVSYDKRQYRLYRYSSSSKSSEQDNRIDGDNCRPFNSKRKRSSKDGSPKYDLEQNESLRTGKPKICHSIGVDDQSTETNLLPTGDHDNIKHASDSDMHVEDGRNSAFINCAFPRQKPMRAKRFFWTDTLDRRLVMQYARHRAMLGARFYRVDWTSLSDLPALPSTCARRMAVLNANIHIRRSIMRLCNLLGERYATYLEKIRIMKEPVTTQNLSLTHDESISELNCQQYFWDNFEDPDVRIAVDEVLRCKRSATFQYAKRLGTRQGKEWPDIPPIDGKTSDIQEFSQPALKDQNIISECGGNESQKRISRHKKVNVLSTRPSRLRSHHSRRNLVKIWNSRYIFMKRKVYESLAVANAVELLKLVFLSTSAITEVQSSLVATLQLYSEHDIFAAFNYLKEKNFMVVGHGSRPFVLSKMFWHHLSSSPFPIDSGKRAVEFSSWLSKQEKDLIDNRVSLTQDLQCGEICHLFALVSSGEFSISPCMPKEGIGETDEPVEHDKVNNSNSLKRKCAETKLGNLKKIKKPKFEMIIDNDYCSRREKGFPGIRVVLKRKIISADTFSNLMKENLECSSSYDKNSQGLSSEEIGAGLRGNLMCQNYGSVTAVVDEVPWDAIANYAECLSAVQLDGNKATTFSPEFFKSVHSAVCQAGEQGLNMKELSEAMDIQGEQFTEVVMDTMELFQLIIKVNSFDNERILDSSYKSKYLLRSPGVQTPDRNMSSYMKSRVTSYGASRQNFEKKVDITYDSQKSNVDVCDGHKMTIIDLPSESVILDVEGQDNISIATLPKESMVVRDSDQGKEVNYTAGSETHPSRPILPWINVDGSTNTIVYKGLTRRLLGTVMQYPGILEEDIIRRMDVLNPQNCRRLLELMILDNHLTVRMLHQTPSSAPPTILKSLLNSSSSNMEPVFRKHFFANPRSTTLL >Ma02_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21422894:21456215:1 gene:Ma02_g12810 transcript:Ma02_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIVLVAIEEVCARAAAGIHVAELWPSLRGALSDAGLPPCDAVYKVIWIRLLAHPGLRFEAHGSPLGSHDPSIQSLEEAQRIGVRIVAEDHLRDSFLGIYDLKASSSDISQIQRTALERLAAARTNGVTQSELGKEFRIKGNNLFYIVKHLESQHLIVRQSTIVRAKDSGTEWENAAKNNSVVSTNLLHLHRYAKNLNLNSQQRFEITRADILEGVTVDGISVNGDEISGDFAKDDVSIKDYLPEMKAICDKLEEASGKVSVVSDIKMSLGYRKTTGHRAWRNILQRLKDAHLVEEFQAEVNKRVVSCLRLLKKFDSRDFQPKKGMHSHDNFDNENLIKIGKRGHITDQFVELPLEHRIYDMVDSEGQKGLTISEVCRRLGFTPKKLYSRISSMRERFRMCWQAEIHEKTPLYRMWTFRNYPHHAINTFPGKHEALQVGLAPSGMPPEHDRDAQEIKCRGDQQNNATELPSVSYDSKPAIVEIMLKQNACQWEESRFVSSVSPEVKAVKRHHQLSTSNRTRREERIVKKLKKEEFILTAELYRWLEELEKGKNTKMGRRTLTSTLNKLQKEGLCKCIQVSFPVVTNFNRHRITDVILHPSVDNLSPELLDKIYRRQREFDGRIRGQASARSRTGQPVHNLTSLGMTSKHVDDKPVLLEAMRANGFASARMVRARLLHRFLWSYVSNLPSWHGAVNSNQCSYDLKSPSTCQLFALDEAIKTMPLELFLQVVGSPKEIENMVERCKLGLRLSDVSISEYKSLFDSRATCRLSNIINILVRMKLIRVVKEGTAEDDNGLSHAVLTYAMELKPYIEEPMTRTITPSHFKVDLRPRIRHDFLLLKPEAVDVYWETLEYCYAAADQVAASCAFPGSSVCDVFHTRSWTSVRVMNTEQRIELLKRVNDADPRKKISFKDCIRIARELDLTVEQVLRVSYDKRQYRLYRYSSSSKSSEQDNRIDGDNCRPFNSKRKRSSKDGSPKYDLEQNESLRTGKPKICHSIGVDDQSTETNLLPTGDHDNIKHASDSDMHVEDGRNSAFINCAFPRQKPMRAKRFFWTDTLDRRLVMQYARHRAMLGARFYRVDWTSLSDLPALPSTCARRMAVLNANIHIRRSIMRLCNLLGERYATYLEKIRIMKEPVTTQNLSLTHDESISELNCQQYFWDNFEDPDVRIAVDEVLRCKRSATFQYAKRLGTRQGKEWPDIPPIDGKTSDIQEFSQPALKDQNIISECGGNESQKRISRHKKVNVLSTRPSRLRSHHSRRNLVKIWNSRYIFMKRKVYESLAVANAVELLKLVFLSTSAITEVQSSLVATLQLYSEHDIFAAFNYLKEKNFMVVGHGSRPFVLSKMFWHHLSSSPFPIDSGKRAVEFSSWLSKQEKDLIDNRVSLTQDLQCGEICHLFALVSSGEFSISPCMPKEGIGETDEPVEHDKVNNSNSLKRKCAETKLGNLKKIKKPKFEMIIDNDYCSRREKGFPGIRVVLKRKIISADTFSNLMKENLECSSSYDKNSQGLSSEEIGAGLRGNLMCQNYGSVTAVVDEVPWDAIANYAECLSAVQLDGNKATTFSPEFFKSVHSAVCQAGEQGLNMKELSEAMDIQGEQFTEVVMDTMELFQLIIKVNSFDNERILDSSYKSKYLLRSPGVQTPDRNMSSYMKSRVTSYGASRQNFEKKVDITYDSQKSNVDVCDGHKMTIIDLPSESVILDVEGQDNISIATLPKESMVVRDSDQGKEVNYTAGSETHPSRPILPWINVDGSTNTIVYKGLTRRLLGTVMQYPGILEEDIIRRMDVLNPQNCRRLLELMILDNHLTVRMLHQTPSSAPPTILKSLLNSSSSNMEPVFRKHFFANPRSTTLL >Ma08_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36861104:36864203:-1 gene:Ma08_g23480 transcript:Ma08_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGPSSCLLYRELCHREQGIHPFNGGGCSLVSVGGGGLYSWQPGGSRKRMWGGEVKMRGFWQNVSRPTSIEMEAINGMEDLDRILALSKELSQAIVIDWMAAWCRKCIYLKPKLEKLAAEYHPRVKFYFVDVNKVPQALVKRGNISKMPTIQLWKDGEWKAEVIGGHKAWLVMDEVREMIQKHL >Ma06_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8479439:8486901:-1 gene:Ma06_g12200 transcript:Ma06_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGQPQFRYTQTPSKVLHLRNLPWECTEEELIELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMISYYASSSEPAQVRGKTVYIQYSNRQEIVNNKASGEVAGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYNDAATASEARNSLDGRSIPRYLLQDHVTSCHLRISFSAHTDLNIKFQSHRSRDYTNPYLPVNPSAIEGTLQPVLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCV >Ma06_p12200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8479439:8486901:-1 gene:Ma06_g12200 transcript:Ma06_t12200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGQPQFRYTQTPSKVLHLRNLPWECTEEELIELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMISYYASSSEPAQVRGKTVYIQYSNRQEIVNNKASGEVAGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYNDAATASEARNSLDGRSIPRYLLQDHVTSCHLRISFSAHTDLNIKFQSHRSRDYTNPYLPVNPSAIEGTLQPVLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCKLHLSFSRHTDLNVKAYSDKSRDYTIPDSGLLTNPQASAVPTASTSWQTNPQAAGTYVSSVGQMPTWDPSKTTFASAAGTFPGQPFVPSAGAPYATSGSLLTASAGYPQVSQQMSQYGSQPRAGSGAPYVGQPPRYF >Ma10_p29750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36225298:36226327:-1 gene:Ma10_g29750 transcript:Ma10_t29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTTKVAEPETEGKTLSFPNGTLRKHHQRQPATAVEEFLYRECLKNHAASLGGHALDGCGEFMHSPAADPADPSSLRCVACGCHRNFHRRLPDPLHHRHRLHDREGEDERNREGGDEEESEMDGRREPTRTHRSSNSPPPFYSAPRMLLALNGGPGPIPVRPIVTPIAAVAAATPMAADVAQPRKRFRTRFTPGQKERMQELSERLGWRMQKRDEGQVEECCRQIGVDKGVFKVWMHNNKHAFFGQARKGGAGGGCGGSRTGNGVDGGDVVGRVEETSHSANGVDDSGGGNGHAMNGSSSSS >Ma11_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24630875:24638726:-1 gene:Ma11_g20010 transcript:Ma11_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRWDFLEWLGPDASTAVLMLLDDPADLVRVSAVSQSWRQFVIANSFGKSLCLRIFPEVSNFARVAEVSSSSKTTEVGSSAVAELENLGREHRVYLYLGHCLGSPKGKRDCINQAICASSTDNFPDESIENTLEPSEMVDRRPSYWSSGGQRNPGVPESLTYRLVANICIVEEIKIQPFRAFFQYGHPIYSAKSVRFRMGYSRSAHGKSTSITNECAGHQSSLDDKYCWTYTSPEFPMVQENVLQSFKLPNPVICIGGILRIELLGRVQRQAMDDLYYICVCHVQVIGRPLSPALDLDIHEGTGSLVLKYFPHASSCKTTEGSSADEAGEPSSWRSFAVRLRHLRAVRRWNQAILSTLLGPIQFSDDDGDDDDYDDGLEEETSV >Ma10_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11115365:11117139:-1 gene:Ma10_g03040 transcript:Ma10_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLKCAKPRHLCELLKRFSFGAVSFDVHSLADFLDACMYDVAAAHACLLKSHGLASCSAPWNKILDLYCRSGLFRRAQQLFDVIPSRDVVSYNTLISAHVRQGHATFESLRLYTRMLCENIKPDNVTLSMLLATSSMLVEQIHSHSTKMGLNSNAFVGGALVSGYERCRGLEEAIRTFEEIEEMDVVSWNILIDVCARRGSKRHAVDMFCRMRKEGGGALDCFTLTSVLKTCSERGDLSLGMQLHGCSWKAGLVRDTPIGNALITMYMKCGGGLDSAVEVFQTILDPNIISWTAIIAGLVQNGLAKEAAGFYCEMVYVGMMENEFCFTSVLPAFSMLVSLDHGRMIHSRIIKSGFCCDVMVGNALIDMYFKCGSAEDAQMAFETMRNRDTVSWTITILGFGQHGKGEEALQIFRALERSGTSPDSVTFLALLSTCSHGGLVEEGIKIFHSMVNDYNIKPKREHCACVIDMLGRAGKLSEAEKFIQEMGIERDPLAWESLLRTCAIHGATELGERSAEKVMELEPHKDGPYVLLSNIYAEQKMWEEKVKLRGRLDASMLAKNVGYSWSSGFKV >Ma03_p25850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29795525:29796490:1 gene:Ma03_g25850 transcript:Ma03_t25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFSWFNFYHMSFTLVSNILASRGIDFAGVDHVLLFDFPRDPIEYVCHVGRTAQGAGGNGMAYRQSRSPWPGGLWKGTRKAIHAIGDCCFSLLQTDDPLYLIPQVLDRCSCFLFQ >Ma08_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20522876:20525566:-1 gene:Ma08_g16740 transcript:Ma08_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEIRVSSREGSSDCGGTGRVSAAELQGIMDRIRSRVEEHKVQAAMDIRRLTKTSSRNRRHLSPAVEPLVSMLRSRSSESHEAAILALLNLAVKDERNKISIVEAGALEPLISFLESTNGNLQEYATAALFTLSASSVNKSRMSASGAIPLLVKVLTDGSQQAKIDATVTLYNLSTIVDNINTILSFHPIPPLVAFLKTCNKSSKTSEKCCALLESLVALDEGRTALTSEEGGVLAVVEVLEEGSPQSREHAVGALLTMCESDRSRYREVILKEGAIPGLLELTVQGTLKSQAKARRLLELLRDSPYPRSELQADTLENIVSNIVSQMDGDEQAEKAKKMLAEMVQISMEKSLRHLQRRALMCTPTELPLGKRPSEVSSK >Ma03_p18630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24188481:24192770:1 gene:Ma03_g18630 transcript:Ma03_t18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMGFNQSEKMRSLQHRSSKSSKENGLPSSETKNAHVLEKVGTLKTERLRKPWPSRNATGIEGPIKNMSNVPYYLQRKENGDNIHEKALNFGVLDWGLLERWAYHQRSVTDVGGGDSASSSTMSSTFSTFGSSNQSCRTMSSSRGKKSPSAFHRKKPPVLDSSIKMSEKESSAHTNGFCGSRISSSSSSSKFPVQHERHSDRDFCLIVDHKLGTDDNKNLDSQAISSEVCVALHSATSPSGYKIDDSPATKVVEDQNSSLMKAEMPQDYPHSLPIADDMLWLSLDRRERWDYLRQSVDGGCLNSDSPLTSDGWLDERNGNNYSGSLAEDVEIIRQYPHVPHSCPLPRTILKDEPDISCTLPSESSGPTDKLFPRDPCKQLEVNTIQESRKYGAKAMAVTGKKSSDHPTSVGLSRMSRSCSLKDGSSEEQFETSSYLYNSQGDQATRNNKSRQSPLRRILDPLFKPKNNLRLTGIAAALPGRHSCELSRTVKAFHGLHKPSKPSVDSTCQPRRSMNASNRLSINGKGIHQDEKHMASVKQAFLQLAWENGYPSFIISSCDSEVLAATTTMTSVSNDDGLECIYKLFSIKDSKKKSMFWSNPVSKGKKHQLTSNVVGQLRVSLCKLRSYQYDNSHVVREFNLFGAESTPTSHKPVDNPIKSELAAVVVNLPLERPKSTNVGDLRCSEFRSAPNEEKRLQTDRHDVAVDRSGVSVILPSGVHGLSTDGEPSPLIERWRSGGACDCGGWDEGCKLTILSDKLQECTSGSFQDRQTTDRTYRFELFIQGGSQEKRHAFSMVSFGDGQYAVDYLSSISLLQAFAICIAIHHGRKPDNSSAEPKSFREHDVCGQSGRALRAQGDHASYVPNHPPLSPVGRA >Ma03_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24189214:24192770:1 gene:Ma03_g18630 transcript:Ma03_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMGFNQSEKMRSLQHRSSKSSKENGLPSSETKNAHVLEKVGTLKTERLRKPWPSRNATGIEGPIKNMSNVPYYLQRKENGDNIHEKALNFGVLDWGLLERWAYHQRSVTDVGGGDSASSSTMSSTFSTFGSSNQSCRTMSSSRGKKSPSAFHRKKPPVLDSSIKMSEKESSAHTNGFCGSRISSSSSSSKFPVQHERHSDRDFCLIVDHKLGTDDNKNLDSQAISSEVCVALHSATSPSGYKIDDSPATKVVEDQNSSLMKAEMPQDYPHSLPIADDMLWLSLDRRERWDYLRQSVDGGCLNSDSPLTSDGWLDERNGNNYSGSLAEDVEIIRQYPHVPHSCPLPRTILKDEPDISCTLPSESSGPTDKLFPRDPCKQLEVNTIQESRKYGAKAMAVTGKKSSDHPTSVGLSRMSRSCSLKDGSSEEQFETSSYLYNSQGDQATRNNKSRQSPLRRILDPLFKPKNNLRLTGIAAALPGRHSCELSRTVKAFHGLHKPSKPSVDSTCQPRRSMNASNRLSINGKGIHQDEKHMASVKQAFLQLAWENGYPSFIISSCDSEVLAATTTMTSVSNDDGLECIYKLFSIKDSKKKSMFWSNPVSKGKKHQLTSNVVGQLRVSLCKLRSYQYDNSHVVREFNLFGAESTPTSHKPVDNPIKSELAAVVVNLPLERPKSTNVGDLRCSEFRSAPNEEKRLQTDRHDVAVDRSGVSVILPSGVHGLSTDGEPSPLIERWRSGGACDCGGWDEGCKLTILSDKLQECTSGSFQDRQTTDRTYRFELFIQGGSQEKRHAFSMVSFGDGQYAVDYLSSISLLQAFAICIAIHHGRKPDNSSAEPKSFREHDVCGQSGRALRAQGDHASYVPNHPPLSPVGRA >Ma03_p18630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24189002:24192770:1 gene:Ma03_g18630 transcript:Ma03_t18630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMGFNQSEKMRSLQHRSSKSSKENGLPSSETKNAHVLEKVGTLKTERLRKPWPSRNATGIEGPIKNMSNVPYYLQRKENGDNIHEKALNFGVLDWGLLERWAYHQRSVTDVGGGDSASSSTMSSTFSTFGSSNQSCRTMSSSRGKKSPSAFHRKKPPVLDSSIKMSEKESSAHTNGFCGSRISSSSSSSKFPVQHERHSDRDFCLIVDHKLGTDDNKNLDSQAISSEVCVALHSATSPSGYKIDDSPATKVVEDQNSSLMKAEMPQDYPHSLPIADDMLWLSLDRRERWDYLRQSVDGGCLNSDSPLTSDGWLDERNGNNYSGSLAEDVEIIRQYPHVPHSCPLPRTILKDEPDISCTLPSESSGPTDKLFPRDPCKQLEVNTIQESRKYGAKAMAVTGKKSSDHPTSVGLSRMSRSCSLKDGSSEEQFETSSYLYNSQGDQATRNNKSRQSPLRRILDPLFKPKNNLRLTGIAAALPGRHSCELSRTVKAFHGLHKPSKPSVDSTCQPRRSMNASNRLSINGKGIHQDEKHMASVKQAFLQLAWENGYPSFIISSCDSEVLAATTTMTSVSNDDGLECIYKLFSIKDSKKKSMFWSNPVSKGKKHQLTSNVVGQLRVSLCKLRSYQYDNSHVVREFNLFGAESTPTSHKPVDNPIKSELAAVVVNLPLERPKSTNVGDLRCSEFRSAPNEEKRLQTDRHDVAVDRSGVSVILPSGVHGLSTDGEPSPLIERWRSGGACDCGGWDEGCKLTILSDKLQECTSGSFQDRQTTDRTYRFELFIQGGSQEKRHAFSMVSFGDGQYAVDYLSSISLLQAFAICIAIHHGRKPDNSSAEPKSFREHDVCGQSGRALRAQGDHASYVPNHPPLSPVGRA >Ma09_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34505316:34506018:1 gene:Ma09_g22620 transcript:Ma09_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGTSSGSSLLQNSASEEDLQAVMDQKKQKRMKSNRESARRSRMRKQKRLDDLAAQICQLRKENSQILTSLSLTTKHFFAVETENSVLRTQMMELSSRLQSLNEILHCLNGSNTISNGLFCDSNQINNSSITPWNLMCMNQQPIMALANIFHY >Ma06_p19950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14034459:14040201:-1 gene:Ma06_g19950 transcript:Ma06_t19950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSSESGDLDIWFKRLIVSGGGGKMEGAGDVMTGWKDLPMELLLRVLSPVDDRTVIVASDVCTSWRDALRLGITSISLSWCKYNMNSLVKSLAPKFVKLQVLTLRQNYRPQLEDDAVETVARYCHDLRDLDLSKGLKLSDRSLYALADGCPHLTKLNISGCEAFSDYALVYLSSCCKNLKNLNLCGCSAAASDRALQAISFNCPKLQYLNLGWCEDISDEGVTNLAVGCPDLRALDLCGCVHITDKSVIALANGCPHLRSLGLYYCQNITDRAMYSLANSRARNDTRMWQNSSHDQEGLVNLNISQCTALTPPAVQAVCDSFPGLHTCPGRHSLIISGCLSLTSVHCGCTFQTSRAGGATLAGDA >Ma06_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14034459:14040201:-1 gene:Ma06_g19950 transcript:Ma06_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSSESGDLDIWFKRLIVSGGGGKMEGAGDVMTGWKDLPMELLLRVLSPVDDRTVIVASDVCTSWRDALRLGITSISLSWCKYNMNSLVKSLAPKFVKLQVLTLRQNYRPQLEDDAVETVARYCHDLRDLDLSKGLKLSDRSLYALADGCPHLTKLNISGCEAFSDYALVYLSSCCKNLKNLNLCGCSAAASDRALQYLNLGWCEDISDEGVTNLAVGCPDLRALDLCGCVHITDKSVIALANGCPHLRSLGLYYCQNITDRAMYSLANSRARNDTRMWQNSSHDQEGLVNLNISQCTALTPPAVQAVCDSFPGLHTCPGRHSLIISGCLSLTSVHCGCTFQTSRAGGATLAGDA >Ma04_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:841909:845758:1 gene:Ma04_g00910 transcript:Ma04_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRPPYVSLLFKALAFIPRRRPADAAVFLSTHSFSAASGAPQSSFMAEYLVSSCGFDPDEAAKASKLLGRIESRHQPDSVLGFFKSHGFDNAQMKRVLSVNPRWLLLDVEKTLTPKFRALQDLGFSCSDITHLVISNNVIFRNKLQNVLSKIQFWQGTLGSNDLLVKVCKRNRWFLGYSIEKKIQPNIELLRDFGITDQKLSKILRYRPLLIAQKAETLKALISRAEGLGVARTSGMFLPTLNVLNGVSEKNFKAHLEFFKGFGWSEDDFLAAFRMAPSLVAFSLKSLQRKMEFLVNETRCAPSYLARRPRILSFSLEKRLIPRYRILTGLKSRGVHIGNLQMNTYMFYPEKKYLERFVIRHKEFPELIELYNVAPKNQTAL >Ma01_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11438471:11440843:1 gene:Ma01_g15740 transcript:Ma01_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLALCLSEFFLLFTFSAHAGAADDSLFRGQSLSGGQTMVSGGGKVELGFFAPGNSSKYYIGIWYKVSKQPVVWVANREKPVASASSSELTLAEDGNLVLRLKVSKNQIWSSNSSSSLASNSTVAVLLDDGNLVLKDNVTSDTLWQSFDHPTNAWLPGAKLGYNKLTGQDWFLTSWRNPEDPSPGMFTMEFDPNGSDQFYLVRDRRHRYATSGLWTGDTFAGIPEIKSNHFFDISHVYNANVNEFSFRVRDRAATHYIMLDFTGELRRQRWDNDDKVMLQFFSLPRDPCDVDGRCGPFGSCNNFTSAPCQCLQGFNPRSSNEWALGDHTGGCVRRTALRCGERDRFLELPNTQPPANPVRMSTIGGREECRTACLRNCSCIAYAYHSECSIWQGDLLNLKSLGSSNGAESGAIYVRVDASELAVNDHKNRKKTATIFVGAVSGVAAIAVVVLLLASRYCKGATVGGSAITPKADVYSFGLMLHEIVSGRRNRETREECNRLYFPLWAAIKLQEGDTLCLLDPRLKGKVDEEELSRVCRIACWCIQDLECSRPSMGEVVQQLEGVLDVSIPPIPALLKKLVDDESAANNYYTTTT >Ma11_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23684674:23699304:-1 gene:Ma11_g18670 transcript:Ma11_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MAVPSTDIFKLGFIGAGNMAESIARGVSKSGVLPASSIRTAHPRPQRQQLFQSFGVSIVQDNAQVVDDSDIVILSVKPQVVKQVLLDLKPFFTEEKLLVSIAAGIKLRDLQEWSGQHRVIRVMPNTPSAVGEAASVMCMGEMATKKDEERVTSLFRAIGKVWTADEKYFDAVTGLSGSGPAYIYLAIEALADGGVAAGLPRDLALGLASQTVLGAATMVNKTGKHPGQLKDAVTSPAGTTIAGINELERGAFRGTLMSAVIAATKRCQELSQS >Ma05_p25490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37422954:37423941:-1 gene:Ma05_g25490 transcript:Ma05_t25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDEKLITFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEEQMVIELHSQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHKPLREEPCLHSSPQVPAEQVEGKNTTSISATCSVSPSSSCFSEKAEEIQLPRMEWPESAYLYGLDDLGGWDFTSDHLLLDSFSQCQRAALDQESWKFELF >Ma08_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10474895:10475185:1 gene:Ma08_g13210 transcript:Ma08_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLSNSIIPNANQFQGFPSKGENSSYAIDGIKFRAKDKINYLIDSIGKWDNNNNNVIIRIKSTLLPSIHIFFYCFNRQNIQSSHIACHTIRSFI >Ma04_p35380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34180913:34190189:1 gene:Ma04_g35380 transcript:Ma04_t35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSKNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLAPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLLYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLENESQLKSRPIKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKIRKFPGQTESTMSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >Ma04_p35380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34180819:34190189:1 gene:Ma04_g35380 transcript:Ma04_t35380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSKNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLAPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLLYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLENESQLKSRPIKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQVTSGRAKYNASIDCLVWKIRKFPGQTESTMSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >Ma10_p26930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34393789:34400638:1 gene:Ma10_g26930 transcript:Ma10_t26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALPISQSPRSFVSARHRAASRPSLPVVYASSLGGQLHCLRLGTRGSSRIGLPLRRVVVYATTTDVHKKRQAPGARDRGFVDEMRAVAMSLHTRDQAKKGEKEANAPPVAKWEPSVERYLRFLVDSKLVYDTLETIVQEAAYPCYAELRNTGLERSEKLAKDLEWFRDQGHTIPEPSSPGVSYASYLEELSKKDPQAFICHFYNIYFGHTAGGRMIGSKVAEKILDNKELEFYKWDGDLSQVLQNVRDKLNRVTCSWSREEKDHCLEETRKSFQYSEDIISLIYSS >Ma10_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19826040:19834983:1 gene:Ma10_g06570 transcript:Ma10_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEEGVVVLQLPVKDPTFDLANAVCNHGLFMMAPNGWDPDTASLRRPLHLSSSSASLCVRVSQPSPHPDHLLVSVYCTTFLSSQDQDAILSQVRRMLRMSNENDRMIKEFHTIHAAAKERGFGRIFRSPTLFEDMVKCILLCNCRWPRTLSMAKALCELQLELKCCTISRDLYPKTPQLRDFKRKRQNTMDVIAKSDDKSQENGSNLAQKAMSFFNHSNHSNNSQRMETSTVGETDSIYDFEGLPIINKLDASSPVCQLSSGILCLPNTQGNFPSPEELANVDVNYLASRCKLGYRSQWIVSLAQDIVEHKIQFSKLEEICNGSTLYSYDELDKELSGIHGFGPFTRANILMCMGFYHKIPSDSETIRHLKQFHSIKNCTVRSSRKNLEAIYGKFAPFQFLAYWFEMWTCYEEIFGKMTQMPPSKYQIVTGINMKSLIDNDDMHGTSLNAEKDAI >Ma03_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9279488:9282377:-1 gene:Ma03_g11970 transcript:Ma03_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCMPTAKLPVTKWRGGRSYSIRCAGIAEPAGVPAPMGQKTQYKDGLFEKLFMSLFARKMEKFATSEVSKKNNALWDMDYERFVDVSKRVMIGRSRKQQQEVVREVLLSMLPPGAPAQFRKLFPPTRWAAEFNAALTVPFFHWLVGPSEVIEVEVNGVKQRSGVQIKKCRYLENSGCVGMCVNMCKLPTQDFFTNEFGLPLTMNPNFEDMSCEMVYGQVPPLFEEDAVSKQPCYADMCSVANTSSPVCPKLQ >Ma02_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18877833:18904933:-1 gene:Ma02_g08610 transcript:Ma02_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSENVTQCKTCRCGEGDTNLLTTRASDNPDKQTYKCPNCDVFKSGSLLVSSKGIGWTSWKKRWFVLTRTSLVFYRTDPATLPEKGSEANPTLGGIDLNSSGSVVVKADKKLLTVLFPDGRDGRTFTLKAETSEDLYEWKAALENALAQAPSAVLAMGQNGIFNNDVTDSVETSNDKCGDREPEKSTIVGMPILLALEDIDGSPSFLEKALRFIEQHGIKVEGILRQSADVEEVKRRVREYEQGKVEFSPDEDAHVVGDCIKYVLREMSASPVPASCCTALVEAYRTDRGRRVNAIRSAIYKTFPEPSLRLMQRILKMMQTVASHKSQNRMSLSALAACMAPLLLRPLLAGDCEFEDDFNMGGDGSIQLLKAAAAANHAQAIVIILLEEFDKMFDEDLLHEGSASSDPYSDSEGDLEDDVSTDNDIPEDHDEHDSNDDLTDNDITEDDGYHDEHNSNDDYSDNDIPEDDGYGDEHNGLEADIDDDSENSCSETNSESHSNIGNDPYDNELIDNQKRGGASLQEHQKSSQKSDIQIPGDSSIQKHETLLHDSDDGEGADKPNKLVVSIKRPAIWGRTPARKNLSMESIDLSEDENAIQRLENTKNDLQNKIAKEVKGNAVLQESLQRRKEVLHERRLALEQDVERLLEQLQNEQDFRASLENGLMNTQPGNASLVSAMDSKTRADLEEVALAEADIVILKLKVDDLHGQLNNQLKRSCVSLCESCSRQLHISDHSGEKTQLEDVRSINLVDQHNKFLKQAEDALSGVAHEAKPVKEQELPFSEVTEPSLDKKSDPICQNAIGLGTRSSSSTEDSLSKFSKQFQTRQSNSETPVSCISYSERNADCENVETQPRESVSPSDGQPSEKQRIDIANQSRKLSKGLGNDPSTEESARVGQITASKNFTLGCEDVNQAQDSSLPGKQSLLNQWPTKTALDSLRSQTSASVSSSEPSGVAKRLSSRPEETVSSTASALAKLTNRLNLLKERRAQLANELQIMHNGQGSGASSM >Ma05_p27560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38809472:38809675:1 gene:Ma05_g27560 transcript:Ma05_t27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILEDLLGRKAKMNVVTLRACRTRTCPSPKPTSAWPTGTSGIGRRHDLATGLRKFVKWYVESTTA >Ma07_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2934074:2934903:1 gene:Ma07_g03860 transcript:Ma07_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESPSSFETLRKWVVEHKLRTVGCLWLSGIGSSIAYNWSQSNMKPSVKIIHARLHAQALTLAALAGAAVVEYYDQYQSKSGGPKAN >Ma03_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8390678:8392942:-1 gene:Ma03_g11090 transcript:Ma03_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g22760 [Source:Projected from Arabidopsis thaliana (AT4G22760) UniProtKB/Swiss-Prot;Acc:P0C8Q5] MPVPWTAKRAFPSRHCRLASFSSSSSSLSSWTQAIRSAADLGRSRRAVYLYAHMLRSGCRPDPFAAAAALKACARIPSKPLAVAIHGHLQKLGFDSHVYAQTALADLYSKLDGMEAARKIFDGMPVKNVVSWNSILSMHMRAGELEAARRVFDEMPVRDVISWNSIISGYAKAGDMDSAAELFDCMPERNPASWNGMISGYINRGDMGMAREFFEEMPVRSNVSWIAMISGYTRCGDVASAWEIFDQMEKKDLYAWNAMIACYAQNGCPREAIQSFNRMRKPDSGVEPDEMTFSSVISACSQLGNLRFGLWIEEYMRLLGIELDDHLRTALVDLYSKCGGMDKAFELFSGFRRRDLVSYSAIILGCGINGRSNDAIKLFQKMLDEKVSPNAATFVGLLTAYNHAGLVEEGRRCFASMSKKHKVAPSVDHYAIIVDLVGRSGRLEEAYQLIRKMPMQPHVGVWGALLLASRLHGNIEFGELAARNCFKMKPEESGYYLLLAGLYAEAGKWEKAKRLRKMMEAKGLYKMPGCSWVEPT >Ma02_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21269067:21272114:-1 gene:Ma02_g12630 transcript:Ma02_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCQETERKALMEFKQRVRDPSHRLSSWVGEDCCSWEGIRCSNVTGHVIELHLRNRHRSDVSYMDCSETNFDDDDVGCRWALHGGITPSLLSLQHLNHLDLSGNNFEGNRIPEFLGSFRRLTYLNLSSAGFGGRVPDQLGNISTLHQLDLSYNFYSNYDLHIENIGWISRLTSLQHLYMNWVSFRNVSNWLQALNTLSRIQVIELVSCDLRTFPPSLPHVNFTSLTTLNLEDNIINSTVPDWLFNITSLEELYLCWNYLYGQTPDTIAKLTNLRALDLSGNMFHDDFRLEALSNLSKLQILYLEEVPINDMLSNLESVFSGCLMFSLKELDLHGTQLRGSLPDWLGNFKNLKSLRLSYNFLYGSVPASLGNLSSLQSLFLYSNDLNGSISEGIGGLKGLINLDLSNNSFRFSKVHFANLSSLKFLDISYSYIDLNKGDDWIPPFQLQSLYMFFCQIVPRPHFPKWLRLQTTLRGLDLSSTSIKERIPNWLPSSLEYLYLSNNKISGDLLQYLPNLISLDLSNNSLSGHLSPRIANIMPNLEVLDLSGNKIAGELPQLFGKQTYYLDLSNNSFLGHLSSKISNTMQYLYWFDLSMNHLSGSIPLSFCQNKYLLVLRISKNNLSGEIPNCWKNFSSLFILDVSSNKLQGRIPNSLSNLRSLQSLHLSNNNLIGQIPLSLKSCTNLVTLDLGYNNFIGNIPAWIEESLPFLKTLSLRSNALTGSIPELSHLTYLQILDLSNNAFSGAIPRSLGNFSSLKRSSSSELYFNNYSYDDEMWLFIKGSELEYTTRLLSIDKVIDLSNNSLSGYIPEELGNLHELRSLNLSRNCLTGEIPSNINGMQQLEILDLSRNNLSGAIPSTLAALNFLSDLNLSYNNLSGRIPTGSQLQTFTDPSIYIGNSDLCGSPLTKYCPEDMPTKGKEEEEKKKSKDRIESIWLYMCRALGFIVGFWTICGSILLNSRWRIAYFHAIDSMCDRLYVVLVLNVAMFKRKLLVGGQVD >Ma01_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2041520:2043262:1 gene:Ma01_g03120 transcript:Ma01_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGESGWVVSLSLAAKAGELSADPSRLLALAVVVAVCWLTTLLLHWAFPGGPAWGRYWWSRRRSWGIGGVIPGPRGLPVVGSMGLMSGLAHRKLAAAADAIPGARRLMALSLGDTRVVVTCDPVVARDILNCSEFADRPAKESAYGLMFHRAIGFAPYGAYWRTLRRTAATHLFSPKQISAFGLHRAEIAAQMVRALNGLPSQPVQVRKIVKRASLNHVMWFVFGKKYGLEQETEESKELRSLVEEGYELLGKLNWSDHLPFLAGLDLQRVRSGCNGIVRRVERFVTRIIAEHRVGHRRAAEAATGDFVDVLLSLQGSDRLSDADMVAVLWEMIFRGTDTVAVLIEWVLARLVMHGEVQARLQAELDAVVGRNGAVTGPEAIPALPYLQAVIKETLRMHPPGPLLSWARLATSDAIVSGGHSVPAGTTAMVNMWAIARDPAVWPDPLRFDPDRFIGPGGHAAEFPVMGSDLRLAPFGSGRRSCPGKGLAMATVELWVAALAHEFEWRAPSDDAAEGGDVDLSEVLRLSCEMAAPLTVRLRRRRRGLA >Ma04_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22661492:22669162:1 gene:Ma04_g19980 transcript:Ma04_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFHQLSFMVASVFIPLALLSFFCMRSGRKLLLPPGPQPLPIIGNMLMMDQLTHRGLARLAERYGGLFHLRLGSLHAVVVSTPEMARLVLQVQDASFCNRPVTAAIAYLTYDRADMAFANYGPFWRQTRKLCVMKLFSRRRLQSWASVRQEVDSAVRFAARRSGSSVDVGDLAFTLAKNVTFMAAFGAQSHGNQGEFAGILQEYSKLFGEFNISDFLPWLRWMDLQGIDKRLKVARQAIDRYIDVIIDDHLANPKEADAQDADMVDGMLAFLGDSGDTNEGGDLHGDLSLTRSNIKAIIMDVMFGGTETVALGIEWAMAELLKSPEELKRTQQELASVVGLHRKVDDSDLDKLPYLKCAVKEMLRLHPPLPLLQHQATQDCELAGYFIPVGTRVFVNAWGIGRDRDAWKSPNAFRPSRFALGGDAAAFDFRGSCFELLPFGSGRRSCPGMQLGLYVLELAVAQLLHCFDWSLPAGTKPGDLDMGDVFGLTAPKAVRLMAVPTPRLTCPLL >Ma07_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1512529:1522238:-1 gene:Ma07_g01930 transcript:Ma07_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSGAAFLPFLLIALLGPSNGADTLTFDHPLADGGEPLISEGGSFALGFFSPNGSDSRYIGIWYKKISVQTVVWVANRQRPVAGSHGSLSVATNGTLVITGENSTVVWSSPSLTLSDPVAHLLDDGNFVVREADGNPSDPNSFAWQSFDYPTDTLLPGMKLGWNLTTGFNRTLTSWASANDPAPGEYTFGIDLRGDPQIFEWSGTRQVWRAGPWNGLRFTGVPQMTSYNMLPLQFVVDATQVVYVFHTIDIWNVSRLVVNQSGTLQRLVWVNDSKFWNAFWYAPMDPCDNIFPCGPNAICDTSRSPLCGCPQGFQPKNPTNWGFRDGSDGCVRKTAVDCRNGTDGFVLVSGAKLPDTSSSTVEWVGTTLDQCRAKCLKNCSCTAYAQANISGSGSGCILWSTNLTDLRVFGSGGQDLYVRAAAADVDSESSHHRIHLTVISVILALFISILAFVGCCVWRRKNRSMSGMAISLTERHNDEGTEANDLDLPLFDLGTVADATGNFSIESKLGEGGFGPVYKGKLEDEQEIAVKRLSKTSVQGIDEFKNEVVLIAKLQHRNLVRLLGCCIEGEERMLIYEYMPNGSLDSFLFDKAKGWLLNWPTRYSIIVGIARGLLYLHQDSRLRIIHRDLKAGNILLDMHMNPKISDFGMARIFGGDESEVNTKRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLILEIISGKKNRGIYDSSRSLNLLGYTWSLWREGKGLDLVDEAIGDSYPKAEVLRCMKVGLLCVQERPEDRPTMSSVLFMLGSDSALLPQPRQPGFVAMRGPLEPDSSRSKQDSLSINNVSVTMFEGR >Ma10_p30310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36646135:36648530:-1 gene:Ma10_g30310 transcript:Ma10_t30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATREESVYMAKLAEQAERYEEMVEYMEKVAGLATEGEELSAEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNHDHVAAIRGYRSRIESELASICGGILRLLDSRLIPAAAAADSKVFYLKMKGDYYRYLAEFKTGSERKDAAENTFSAYKTAQDVALAELAPTHPIRLGLALNFSVFYYEILNSSDKACDLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEDGVDEMRETSKPDDGN >Ma01_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12791083:12794550:1 gene:Ma01_g17470 transcript:Ma01_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNWISFALPHMEMLLRPCSYDLQASAAASSSSSSSDNNVGAFAYGYQYVLPPAPAPSELKMEVLLGGGVGGAPRSEFLTADGRDGSSAVVRGAGEVAAPVGLKKATASAAAGQRTSVYRGVTRHRWTGRYEAHLWDNTCRREGQKRKGRQVYLGGYDDEEKAARAYDLAALKYWGPTAATNFPVCIYSKEIEEMKCLTKQEFIASIRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDVAAIKFRGPNAVTNFELSRYDMDAIANTELPIGAPAKRIKQTPQANDDQLPFDRSGNGQHLSSVPPPLLHNLVQLHCPPGFSPGLTCCNLEENDAVDACSQNLFFLTANDRLKNQVINMQPERAGNLQLRHLTSQ >Ma04_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20492482:20493115:1 gene:Ma04_g18510 transcript:Ma04_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLRKANTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMAKKADQKKKEDEITRLQEKYGISTSKDK >Ma03_p25450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29484486:29492717:1 gene:Ma03_g25450 transcript:Ma03_t25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKRPLLAPGEEEREGGEGSRTIKRWRPLILEVMGEQHMKRFLTRLESVVRRAVQDEIRKSLVNWSLPVPSKRYCHLLSSDAELYQLQFQNGLPEKLYTLKPIMTKDQNLVQISIIDTTTGKRVTSGRWSSVMVEVLALHGDFYCDGQKRWTKDEFNNHIVVAREGKGSLLMGKLVIQLTNGVGYLDNVSFSDNSIWTRSQKFRLAVRLYKAEGAQEGISEPLRVKDRRGQLNEKHHPPSLTDEVWYLEKIRKDGPFHKRLVNAGIHCVKQFLQTLSMDHDNLRKLLGNGESSKKGIAKRTWEAIVANARECPPGKELYSYNVVGQSVTLIFNSVYELLGAKLDGHYHTISNFSAPQKALVEIWKGLAFEDTKSFNSDHMMVDNIPVPLSQEYDHLVTVSSSLGSRVPRLSDDAFGQVGLLNEPFAPYGEITNHLPQLEDTFSKYGVHPLKKSTCSQETENSCPVSTEIINLLVNHSTMCPPTPNGIQIPESSIQMENDELVTQVGIEQFFHDTSAKQIMQSFQAPGSFQATMFNSSDNVDEHTFQNEPCTSRLVERSLREDEIDKFIMETWQPDITMTLVATSIRKWVKLIAVVQVWNLYQQVSRC >Ma04_p34420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33618354:33619653:1 gene:Ma04_g34420 transcript:Ma04_t34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGHNNSGAGLGAAGELSSLREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLQRFRETEGEKGAGSSSSSQPQPDGGAAMSMANDAGGFASSGGAAMYGGGMTMMMGQQVYGSPPSSSPYHHHHQLAMAAKHTTGSGDGGGGSSTSSTGIGRQGRI >Ma09_p28100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38935183:38935549:1 gene:Ma09_g28100 transcript:Ma09_t28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRSRISEEELKELMSKLQSLLPETRRRRSERRASAAKLLKETCNYIKSLHREIDDLSGRLSELIATMDMNSAEAEIVRSLLHS >Ma04_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3320068:3331007:-1 gene:Ma04_g04380 transcript:Ma04_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIKAARSSGSLNLSNRSLKEVPEEIYRNLDSHGEDDKWWEAVELQKLILAHNNLEVLNEDLKTLNMLTVLNVSHNKLSSLPIAIGELPLLKALDVSFNLITSIPEEIGSVTSLVKLDCSSNQLKELPFSLGRCLDLSELKASNNCLTKLPDELANCSKLIKLDVEGNKLTSFTEKMLMSWTLLTEINAARNFLTSIPESIGLLVKLIRLDFHQNKISSIPSSIMGCSSLAEFYMGTNLLSSLPAEIGALSRLGTLDLHSNQLKEYPVEACNLRLSVLDLSNNSLSGLPAEIGTMTSLRKLLLAGNPIRTLRSSLVSGPTPILLKYLRSRLSADEESGSGTKTMKDDQIARATRLSLSSKELNLSGLGLTTVPPTVWETEDVVRVDLSKNSIEELPNELSTCSSLQALTLSGNKIREWPGAVLSTLPNLSCLKLDNNPLVQITRNGLESLTNIKILDLSGNKSSLPESFSFSSLPQLEELYLRRMQLNEVPQGLLTLQRLQILDLSQNNLASMPKEIKGLTSLAELDLSDNNISILSPEMGLLEPSLQVLKLDGNPLRSIRRPILDRGTKAILKYLKDKLPGQ >Ma05_p25980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37779702:37784740:1 gene:Ma05_g25980 transcript:Ma05_t25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSASLPPGFGFHPTDVELISHYLKRKILGHKFDELIPELDIYKHEPWDLPAKCRLPTRDSKWHFFNLRDRKYPNGSRSNRATEEGYWKSTGRDRNVKFQNRVIGTKKTLVFHEGRPPRGKHTDWIMHEYYIDEKECKAAPGLKDAFVLCRVTKRNGWQVEIEKIEPMGCKESSHSGEIDDINAWVAELFDPDFGAPVNDFNTPSTDFGTSSNMLEHEAEISQTDLRSLLPKQEPVDHYLDQSAEGDLDYLLEGDIYNILQSDSVLWESNGTIDTAFTTESSSPDGNAGKENFTSFGHNDTGIQIRPRRAGPAAELTSKKIRLQVSKMESRGSASVNHTVKIDKEDRHLDLKKSHNTDFSAFDQESAVGKLSVCFHRADAKSNPSSGSNLPCSVASRSLLGARPATDVTLQKSTGALDDASCIRGILRSLGMAVRALPSAGWNCFILSGCIIGIAALLICLLLRDARGFLASFSSLWL >Ma07_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26334668:26337141:1 gene:Ma07_g18570 transcript:Ma07_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDIFIWISSWYFDEEPILIHKERKDYSALTVLIHFLFQKKNKTVSVAIRLQRQQGYHVTPDVFNKFKVEEGNLVSTLKDDPKGLLSLYNAAYLRIHEETILDEAISFTRDQLASMLSDLTPPLATQVRLFLESPLCRRMKRVLARNFISIYQECATRNDALLELAKLDFNLLQCLHRDEIKSISIWWNDLFLSKNLSFARDRVVECYYWMLTVYFEPHYSRARVITTKVLAMTSILDDIYDLYSTAHWRRVNYTLKQFKEQQAVHQLPEYMKGYFLKLIHTFEEFKNLLAPSEKYHLFYLKEAMKGLSRSYLEENKWAFKHYVPNLEEHMQISLISSAYPLLVCASFVGRGEVVTCTCD >Ma02_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26581427:26583303:-1 gene:Ma02_g20730 transcript:Ma02_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTGAEPNLERARRVSTAVRLFTSILYSSIKIMFGL >Ma04_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6478326:6482336:1 gene:Ma04_g09090 transcript:Ma04_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQRIQLYSLSRDVVSMISTFITQAAKQQRYRDTMACPMMHHVPFFIGKLTEKVAEDDRTMVLKISKNHLKEFISICEALELVPEEELESSSQGGADTRATQRAKKNAHFKLQRGAEAKLQEIKERKERRQQSLREAKLQRVDCLHPLKLGRKMYLMMMARRKERLLISCTC >Ma09_p30070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40384372:40388380:-1 gene:Ma09_g30070 transcript:Ma09_t30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLPATTDSIAQALEAKDASESISMLYRVLENPSASPEALRIKEQAITNLTELLTQEKKAEDLRSLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLMESKEYSEALTLLSSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVSGIISSKAGLQYVGPDLDAMKAVADAHSKRSLKFFETALRDYRAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHVAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKPDAIFPATLETIANIGKVVDSLYVRSARIMA >Ma10_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15183402:15188410:-1 gene:Ma10_g04540 transcript:Ma10_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTSVLAEFAAVTGNVHAVARRILEKLPPDSDSRLCFSQDRYIFHVLRSDGITFLCMANDTFGRRVPFLYLEDIHMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVSEIHTIMVDNVEKILDRGDRIALLVDKTATMQDSAFHFRKQSRRLRRALWMKNAKLLAVLTLAIVLLLYVIIAACCGGITLPSCRSR >Ma04_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1486626:1487938:-1 gene:Ma04_g01680 transcript:Ma04_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTVTLDDEWVKGLEEKVADTKWEDRRSQLPTIFKAPNHIREIDTKAYEPVIIALGPYHRDKPHLQDMNKLKWHYLKKFLARNPVKNLVDYLKQVKALESQARMAYAEEPNMSSNDFVQMLLLDACFVVETITSWEQTEQGLEAVQNPIKSTSWALRAVARDMLLLENQLPFFLLETLFDAAFPNQSVNLLVMVLNFIGRFVRTGKMEIASLSGSSHHLLHILHSCIIPETDRHCNRVVMTGQPTSELPWMPNATLLKEVGVQFKMRVAAKSFLDVTFQNGVMEMAQLIFDGDTYTLFRNLTAFEQCHKLANPVVTAYVLLMNSIIDTAADVQLLKRDKIVIGAWDHSKEVASIFHDLVMDKVFDGSWCPPSIFSDVTKYYDSNRHRATHFNTRRAFFSLFSVILVLTLVTIVSYFWPPK >Ma03_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28384260:28393330:-1 gene:Ma03_g23990 transcript:Ma03_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(14)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G52940) UniProtKB/Swiss-Prot;Acc:Q9LDR4] MEVEAILAGLIPSWSSVVLLSCYLGYLAVAGSVLPGKIVPGALLSDGSRLHYRCNGLASLLLLVALLEIGIYMKLMSAVVIADKGLELLSATFIFSLFVSLVLYAAGLKSRSQSSSLKPHVTGDFIHDWWFGVQLNPHFLGIDLKFFFVRAGMMGWLLINLSLLAKNYEAGTANLSVILYQLFCTLYVLDYFFYEEFMTSTWDIIAEKLGFMLVFGDLVFIPFTFSIQGWWLLKNKVELTKVAAVANCLVFLVGYRVFRGANKQKHIFKKDPKAPIWGKAPKVIGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSAIPYFYPTYLLILLIWRERRDEARCSQKYKEIWAEYCQLVPWRILPYVY >Ma04_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15239672:15244351:1 gene:Ma04_g16330 transcript:Ma04_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPNLRRRHCDGYGGFFDRTGVEVFEISGLMAEKAAQSGGNNEQEEEEEEEKQEAERATSEEAGRSYQGWLRLGTGSDPTAGTSRGRRDLLEMNLFSGGPSAERPIEWSPLQLPGFTAMAPSHPAAATAGYGRTWRLRSPSPSASSLSMAPPPIPSSSLRLSSVATGSEVRVVSPPRRPQAGMWLVLRAAQNQGKQPFLRQISKSYLRMKDGRMTARALMKYLANKLGLEDGHEVQIYCRGQQVPPSMSLQQIRDQIWCSTEAIADHLLTLDYSRSG >Ma02_p04150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15611948:15626214:-1 gene:Ma02_g04150 transcript:Ma02_t04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDGGGGGDAPAIPPNMTIYINNLNEKIKLDELKKSLHAVFSQFGKILEVLAFKTLKHKGQAWVVFEDVQSATEALKRMQGFPFYDKPMRIQYAKTKSDIIAKADGTFVPRERRKRHDERAERKKREQHHDANQGGTGLNSAYSGAYGAVPPLSQLPYGGGAKSMLPEAPALPNNILFIQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEMQSTVAMQGLQGFKITQQNPMLITYAKK >Ma02_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15611948:15626214:-1 gene:Ma02_g04150 transcript:Ma02_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDGGGGGDAPAIPPNMTIYINNLNEKIKLDELKKSLHAVFSQFGKILEVLAFKTLKHKGQAWVVFEDVQSATEALKRMQGFPFYDKPMRIQYAKTKSDIIAKADGTFVPRERRKRHDERERKKREQHHDANQGGTGLNSAYSGAYGAVPPLSQLPYGGGAKSMLPEAPALPNNILFIQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEMQSTVAMQGLQGFKITQQNPMLITYAKK >Ma02_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13891243:13893512:-1 gene:Ma02_g02630 transcript:Ma02_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDDDGKDGHEHDLVMPGFRFHPTEEELFEFYLRRKVEGKRFNVELIPFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTRSGYWKATGADRIIRGENHRGSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHGETVQCLRTEISLCRVYKRTGVVDHLQLPGPLASRPSSSRGTAKLSCPRRQLPGVDPSLTLVMEKVPMLQGATPTNLSSPILSTVSTASMEEDSAPLHHSKNSLLSSTNYSMITPEIEELNRFVSYDHSFMSPPNTLSVTVPPQPQLAPLNQHTMSLSMISDKLWEWWNPLPEIGKDYSGFK >Ma01_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3719217:3720230:1 gene:Ma01_g05300 transcript:Ma01_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLAVSADQRARLLMSTERLNKSSDRIKESIRSTLESEEVGVSVLQGLDQQGQPLLHAHLTVCFCVNLLVLMTASCTFFHGLIFHFQCHL >Ma08_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11204650:11208820:1 gene:Ma08_g14080 transcript:Ma08_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRCCTRGRGVGRGVVELWHRELGDFPPRAFAHRFVASEDLVLRFGVHRKLDNHRGCVNTVSFNADGDTLVSGSDDRKVILWDWDAGRVKLSFDSGHSNNVFQARFMPYTDDRIIITCAADGEVRHAQILEGGKVDTTLLAQHDGRAHKLGIEPGSSYIIYSCGEDGLVQHVSSLSCFVFFTCYFQLLSTYIASLVHVTQIDLRTKTATKLFTCRSTYGTAIHLNAIAIDPRSPNLFAIAGTDEYARVYDIRKYMWDGSTDCDYPANFFCPRHLIGNDNVGITGLAFSDQSELLASYNDELIYLFSKDQGLGPNAVRESPISSVNADAGDKSKSASLLSPVDGDQTGPQVYKGHRNCETVKGVSFFGPNCEYVTSGSDCGRIFIWRKRDGKLLRAMEGDKYVVNCIEPHPYATVIASSGIENDIKIWTPNAAEPAAPVKIEELKPHKRRSRFFRFALPEDMIAQILALQRMQTRSGDTDEDLAANADLMDLIMHLTNRDGSSDENGDTSETPGDCIVN >Ma08_p14080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11204650:11208817:1 gene:Ma08_g14080 transcript:Ma08_t14080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRCCTRGRGVGRGVVELWHRELGDFPPRAFAHRFVASEDLVLRFGVHRKLDNHRGCVNTVSFNADGDTLVSGSDDRKVILWDWDAGRVKLSFDSGHSNNVFQARFMPYTDDRIIITCAADGEVRHAQILEGGKVDTTLLAQHDGRAHKLGIEPGSSYIIYSCGEDGLVQHIDLRTKTATKLFTCRSTYGTAIHLNAIAIDPRSPNLFAIAGTDEYARVYDIRKYMWDGSTDCDYPANFFCPRHLIGNDNVGITGLAFSDQSELLASYNDELIYLFSKDQGLGPNAVRESPISSVNADAGDKSKSASLLSPVDGDQTGPQVYKGHRNCETVKGVSFFGPNCEYVTSGSDCGRIFIWRKRDGKLLRAMEGDKYVVNCIEPHPYATVIASSGIENDIKIWTPNAAEPAAPVKIEELKPHKRRSRFFRFALPEDMIAQILALQRMQTRSGDTDEDLAANADLMDLIMHLTNRDGSSDENGDTSETPGDCIVN >Ma02_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18462064:18462486:-1 gene:Ma02_g07970 transcript:Ma02_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYGTSDALMCRAFPTTLRGPTRTWYGGLRTGTVASFDQLARDFELNFLASARPKPSIALLLGLHQREDESLSHFVNRFAMQIRGLSYAHPSLLMQAIMTGLRPSRFFWSLVERPPSAVPEMLQRANQFIATEAWLAGK >Ma08_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36438811:36440443:1 gene:Ma08_g22980 transcript:Ma08_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLVASTYPSSFPLDSLRCSRSHYFLQTTSCSPKEVSKKGLKDTWPSISLTLFGAGFFLGPLLDGLHSRVNLMIYQNGAVDVGPLHTNIWVPPMLGAFYCTVGLLQLLLDEKTPSKSKLGVGSVEKTAISLITLAAFIELSAELYKAGVADNVEAYTLFALAEFIWVFLDGTWLGFALACFVGVACPLAEIPMIKLFHLWNYPGADVQLFGEGLVSWTSTCYFVYTPFLTNLARWLKSATAEAEDSDQVVQ >Ma04_p21180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23753655:23760502:1 gene:Ma04_g21180 transcript:Ma04_t21180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKMDDRGSVRSKRPRSEGFRRDGDWTCPQCGNVNFSFRTACNRGSCGAARPSASPSPVSLITRTTPVHGTFDHPGPSYYGDVGVPPPMRLGMLSGYPSSFTLHRMQYGYGPPSGAPGPYSLLSPYGPSGPLGVYGYGPGPVMDGYGGPGTVMDGYGGPGPIMDRYGYGYEGSPMPTSGPASRELFDNSTSRKRRGGPDGLLEGDWICPQCENVNFAFRTTCNMKKCGTPKPTTVPNRYSKDVSDAPEGSWTCDKCNNLNYPFRNVCNRKGCENEKPSST >Ma04_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23753676:23760502:1 gene:Ma04_g21180 transcript:Ma04_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKMDDRGSVRSKRPRSEGFRRDGDWTCPQCGNVNFSFRTACNRGSCGAARPSASPSPITRTTPVHGTFDHPGPSYYGDVGVPPPMRLGMLSGYPSSFTLHRMQYGYGPPSGAPGPYSLLSPYGPSGPLGVYGYGPGPVMDGYGGPGTVMDGYGGPGPIMDRYGYGYEGSPMPTSGPASRELFDNSTSRKRRGGPDGLLEGDWICPQCENVNFAFRTTCNMKKCGTPKPTTVPNRYSKDVSDAPEGSWTCDKCNNLNYPFRNVCNRKGCENEKPSST >Ma06_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6153852:6155522:-1 gene:Ma06_g08760 transcript:Ma06_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKPARKENNSQEILKSLCHEATWNWTWMIHNWNIWLTSLSSLSVDDASNNIGVFPLVVNFWSPNYYGSHSQDHHIMFTVIHYRSACSVNNVDCSTAMKDISTPALMSHFKRLAYTLMVVDIADLSFEEAVLHSEATEKILGVRIYCRVRLNHPREMSGVTWSALNGLLHRGPDYVPQCDHGFEKLLHPPNRQNGSLTAHASLCQQRPSRSVLEGCAVTSDGRRSTERIFSLGS >Ma07_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9614609:9620913:1 gene:Ma07_g12790 transcript:Ma07_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEGGRFYWGRTEGEEQREVKGIVVLFAWISSQESHLKPFIDLYWSLGWSPLVCHVDFLTLFFTDKATSLAHGILDELLKVIKIRQLPIVLMSFSLGSKGCLYKVLQILDGKWQKGLKLDEYQLIRECICGQIYDSSPVDFTAELATRFLHHTSQRLFSPTRITSWMTKVVTSSLDAVFLSRFEAQRAEYWQSLYSSVSMGPFLIFCSEDDDLASYQIIINFSQHLNELGGDVRLVKWSNSPHVGHYSHHQTDYRSNLVEFLGKAATVFSQRRVFNGGTENLRSSCDSMFESSCSLHDALSSSESLRRVAIGPNDHFFPPSSSSKLEVKDGSSFHDEQKPNLFTSPSINPHGYLSQILFDVCVPKNVEGWDIKPITSNGKQSTVSARRRGPLNPIRCIRRSRL >Ma07_p12790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9614609:9620499:1 gene:Ma07_g12790 transcript:Ma07_t12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEGGRFYWGRTEGEEQREVKGIVVLFAWISSQESHLKPFIDLYWSLGWSPLVCHVDFLTLFFTDKATSLAHGILDELLKVIKIRQLPIVLMSFSLGSKGCLYKVLQILDGKWQKGLKLDEYQLIRECICGQIYDSSPVDFTAELATRFLHHTSQRLFSPTRITSWMTKVVTSSLDAVFLSRFEAQRAEYWQSLYSSVSMGPFLIFCSEDDDLASYQIIINFSQHLNELGGDVRLVKWSNSPHGIIVITKLTTALISLSFLGRQLLFFLREEYLMEEQKTSEVPVTVCSSPPAAFTTH >Ma03_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26545618:26550824:-1 gene:Ma03_g21570 transcript:Ma03_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNTGEREREGTAIMAEKRKTGVFHIMPLAMMCGHEAERELSRDGSHYSLSSGILPSLGARSNRRVNLPSFIVSPYDRRYRSWETFLVTLVVYTAWVSPFEFGFLEKSRGVLALIDNIVNVFFAIDIVLTFFVAYLDRTTYLLIDSRKQIAWRYLSTWFILDVASTIPSEIARKILPKNLRSYGFFNMLRLWRLRRVSSLFARLEKDRNFNYFWVRCAKLICVTLFAVHCAGCFYYFIAARYPDPSRTWIGASIPDFHEKSLWIRYVTSMYWSITTLTTVGYGDLHAENTREMVFDIVYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATGFAQRNHLPVRLQDQMISHLSLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLVSNVYLFRGVNNDLLFQLVSEMKAEYFPPREDVILQNEAPTDFYVLITGTMDLIDHSSGTEQIVQLAKSGDLVGEIGVLCYRPQLFTARTRSLCQLLRLNRTTFLSIVQSNVGEGTKIVSNLLQYLKDQNGDPVMEGVSRDIEKMLTHGRLDLPLTLSFAVIREDDLLLHQLLRRGLDPNESDSNGHTVLHIAASKGNEHCVCLLLEYGADPNSTDSEGSVPLWQAMVGKHEDVVNLLIKNGAALSSGDMGSFACTAAEQNNLELLKDIIRYGGDVTLPKKDKTTALHVAVCEGNVQLVEFLLEQGANIDTPDCNGWTPRKLADQQGHEEIKRLFEAKKDFATSSVPVSTPASHSVGRYSSEPAIRLSSNEDRPDLSWERSDRLRKTSFHNSLFGIISAAHVNRQIHSRLLTSVGLLKSTGMYNGSRCHGIPLLRVTISCPEKGNTTGKLVLMPDSLPELLDIGSKKFDFLPTRILTKDGAEVDDVKLIRDGDHLVLASDAWMG >Ma05_p11780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8618749:8622264:-1 gene:Ma05_g11780 transcript:Ma05_t11780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPATATTTTPALASSIFCRCPPFAVPPLPPAVASSPPAAILAARAPVAQRRVSCQIVVSTDLSSSSSEVEEEEQQAADKIGKRVRVKVPLKVYHVQKAPELDLNGLEGVIKQYVGVWKGKRISANLPFKVEFKIDVEGQPRPVKFFAHLKEDEFEYLPSSD >Ma05_p11780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8618749:8622264:-1 gene:Ma05_g11780 transcript:Ma05_t11780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPATATTTTPALASSIFCRCPPFAVPPLPPAVASSPPAAILAARAPVAQRRVSCQIVVSTDLSSSSSEVEEEEQQAADKIGKRVRVKVPLKVYHVQKAPELDLNGLEGVIKQYVGVWKGKRISANLPFKVEFKIDVEGQPRPVKFFAHLKEDEFEYLPSSD >Ma05_p11780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8618749:8622289:-1 gene:Ma05_g11780 transcript:Ma05_t11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPATATTTTPALASSIFCRCPPFAVPPLPPAVASSPPAAILAARAPVAQRRVSCQIVVSTDLSSSSSEVEEEEQQAADKIGKRVRVKVPLKVYHVQKAPELDLNGLEGVIKQYVGVWKGKRISANLPFKVEFKIDVEGQPRPVKFFAHLKEDEFEYLPSSD >Ma05_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8618749:8622264:-1 gene:Ma05_g11780 transcript:Ma05_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPATATTTTPALASSIFCRCPPFAVPPLPPAVASSPPAAILAARAPVAQRRVSCQIVVSTDLSSSSSEVEEEEQQAADKIGKRVRVKVPLKVYHVQKAPELDLNGLEGVIKQYVGVWKGKRISANLPFKVEFKIDVEGQPRPVKFFAHLKEDEFEYLPSSD >Ma04_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15118915:15133586:1 gene:Ma04_g16290 transcript:Ma04_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNVDLPEDLLPSKLADEAWAGKDQVTSENNIPLSPQWLYAKPSDNKDARSPSLAPSVTLPDSFQNDMRCFDGSLDKKEWRRNANDLESNRRWRDEERETGLLGRREWKKEGDRETEYRKNDRHPDNISMREAPDLRTLSSSDWLHEVSNRSAGNESRRDSKWSSRWGPEDKDKEPRKEKKADVDKDGSHAEKQSFIANLRPWSGSDSHDRWRPRHRQEIHSSGSSVLRAAPGFGLERDRVEGPPVPFTSGRGRSNSVTVLQFGKSSASGPIGAVPINKEQFRYPRGKLLDIYRNQKMSVVDAIPDGFEEVPLVTGSSSLTPLAFVAPDVDEEVLLKDIWKAKVTSRELSLSQEKAVIINETEIDGGKTIVEKKHDEKGSITTSRDLDTDCKSENNPDIRINLVGPAGLAPVVVDHDVVYDKPVSDGNIINSEINVGEIELVNVDGRSCLLDILKNIKLEGEDSTVSLDVSAMLPDESYPLFDASFEIPNTNKHEISKIEKKHLEQGTSLEELSLLYQDPRGDIQGPFLVVDIISWFEQGFFGTDLPVCLSDAPEGTPFQPLGEIMPYLKLETHTISDAPSAENFEAVDTTRGNLDACVASSHSNGSFITNGQQRVLSWDALGRHMKPNVVESEASVNPNKERLSFSNSEAPLGTACVDGKIFQDFAGQDAEVVSFSGRPMSDMEVSGKLVNDHIALSSSTTGHHFMVADTGNTSFSSHKISRDNDLNPLGLLWSELEATHVKHPLSSTIPLSSEKLIDNHDAARNAFLFSHNQEQFNLTSDYPVAKESWANNCRMSKGLNIIHDTIYANNLSRFEAQPNQLNLEQQLLFQQLQKQQHEEQCLLAHQDVEFAGKFFNQMHRSVHQHHLVNQRSMEDLEHLLKYQFEQQRFLDQLQQQHQLHQRQQQLQEHQMQLLQHHLQFHEPQQPQKQIHREDLLHQHLLEPGSGASNIDSHEMNMFEQVLLRQHLLNETHQQSHNLLLHHDATIEQLLQANVSRSLQRQNHNDLLDVLSHSKQRQVPPLEQQFLLRLQREQLQGQKYSTASRKLPGMEEERHVGGVWSVDEYGQFIRTAASPHQSHSARLSHLDILRTPQSLSSPEQPSHLHRNFPSHERMQQGPYERGPHPIDRSMHMHAVTPNSNLKLLNAIARAQGPDGQGHLDHFHTPGQIGQFPSSAHPCQSDIFEFTGTHSDAAEKLWSEPSRHQPADLMQSHLKQLQIEAENQRRGINMIEDPNTWASYVENDGNSEYGFRDLIHREIPQSQHTKGLVVATATPSYEQRDSSWIYSQPVFEHAFKLGPDRAGLSSSFSEGSLFAQVGQPPNEQLVNNNLEDGVNNFESSRSTLRSNSTTSLEQKHFQSDMDLIERDKFVNYVGGASLQRLGFSNLVEGERGKMQGLKGTSGTQSAMETQESGVMQFEGGGHEEQHIDKPSRHDSSGKAGGGLVFYNYETGLDSANLEETNNMISGDLPKGTDKLFSKHAYDLPATSPATVSDLISSRPPERRNPITSGSSKEGKRESAGNPASQSIETSISNKKDLRFCQTSSGNNADVIEPSFSEMLKSTKKLMPELVETLEAGSIGKSAKKRGKKGRQIDPSLLGFKVHSNRILMGEIQRPDD >Ma06_p32800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33651997:33658842:-1 gene:Ma06_g32800 transcript:Ma06_t32800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSAPKENVHQSTHYRTEDYDEYEEEYDEYEEEASERDGEDEQEAPKPTKEEQEFLSVREQLKDRIRTKLKKQNARALGHSSQTQDKRRTTTNATFGSFFGPSQPVIASRVIEESRSIRETRHVMTNLSSSVAKKRDATASASTLLTQQHHKKPAVVNEIKNKAQTLKDMRDYSFLLSDDTDFPTAKEQPATRSVSAPKSDGRYAQTSLKSQLPMNQPVKLVSVANGLRNPDSRKQNIQSNTGFAKEAPLNRPLPASTDSQKVLSGAVGNGSSKPMGTNPSQRIVGNGSSKPMGTNPSQRVVGNGSSKPMGTNPSQRVVGNGSSKPMVTNPSQRFVGNGSSKPMVTNPSQRVVGNGSSRPAVTNPSQRVVGNGSSRPVVTNPSQRVVGNGSSRPVVTNPSQRVVGNGSSRPVVTNPSQRVVGNGSGRPMVTNPSQRVVGNGSSKPMGNSSSQRKVPIQAAGANKPLSKVVNDPYLKKDISAAKPHSSALKHYPEKKRLTQGLDQVKTTVKQSMPPSKSQPIKQSFSHGNLDNRLKRRPSGRNLSDEEDVDYRSLIRGMFGYNPNKYAGMDEDDSDMEVGFDVIQKEERISSKIARKEDEEQLRLIEEEEERERQMRRRMKKKPKH >Ma06_p32800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33651997:33658842:-1 gene:Ma06_g32800 transcript:Ma06_t32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSAPKENVHQSTHYRTEDYDEYEEEYDEYEEEASERDGEDEQEAPKPTKEEQEFLSVREQLKDRIRTKLKKQNARALGHSSQTQDKRRTTTNATFGSFFGPSQPVIASRVIEESRSIRETRHVMTNLSSSVAFLQKKRDATASASTLLTQQHHKKPAVVNEIKNKAQTLKDMRDYSFLLSDDTDFPTAKEQPATRSVSAPKSDGRYAQTSLKSQLPMNQPVKLVSVANGLRNPDSRKQNIQSNTGFAKEAPLNRPLPASTDSQKVLSGAVGNGSSKPMGTNPSQRIVGNGSSKPMGTNPSQRVVGNGSSKPMGTNPSQRVVGNGSSKPMVTNPSQRFVGNGSSKPMVTNPSQRVVGNGSSRPAVTNPSQRVVGNGSSRPVVTNPSQRVVGNGSSRPVVTNPSQRVVGNGSSRPVVTNPSQRVVGNGSGRPMVTNPSQRVVGNGSSKPMGNSSSQRKVPIQAAGANKPLSKVVNDPYLKKDISAAKPHSSALKHYPEKKRLTQGLDQVKTTVKQSMPPSKSQPIKQSFSHGNLDNRLKRRPSGRNLSDEEDVDYRSLIRGMFGYNPNKYAGMDEDDSDMEVGFDVIQKEERISSKIARKEDEEQLRLIEEEEERERQMRRRMKKKPKH >Ma10_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29172714:29173725:-1 gene:Ma10_g18060 transcript:Ma10_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLDIPSEYGYVVVVLVLYMFFNLWMSFQVGKARKKYKVFYPALYAVESENKDAKLFNCVQRGHQNSLEMMPVFFATLLVGGLQYPLIAAGLGAFYTVARFFYFKGYSSGVPENRLKIGGLNFVALFGLKILTGAFGISLILREVL >Ma09_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9267076:9269022:-1 gene:Ma09_g13680 transcript:Ma09_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFQDFGYLSERRRAERQQRRRKLLMIAGATVSVVLILAVVGATAFVYYSKQPNRSTTTTTATSSSSGSSSTKGSRASSAVQVICSPTDYRSACESSLTTYANSSSSPKDLVRAAVSSLVDEVGKAFNRVDSIESDDPEVKSAVDSCKEMHQYAVDELARTLGTIDAHNLNQLPKQVHELKNWLSAVSAYQQTCIDGFPDGEMKSKMQTAMNTAKQLTSNALAVVGSLHSFLSLHIPGLNRRLLAAKPEPAFREDGVPSWVSDGDHRILLGRAAKQLTPNVTVAKDGSGDFTTISDALAKIPMKYEGRYVIYVKAGVYEEQVVVDKNMINVTMYGDGSRKTIVTGSKNFVDGTKTFQSATFAAIGDGFMAVAIGFQNTAGAIKHQAVALRVQSDRAIFLNCRMEAYQDTLYTHSHRQFYRGCLILGTVDFIFGDAAAVFQNCILTVRRPLDNQQNIVLAQGRDIRHESTGYVIHNCRIVPDDSLVPDVAKISSYLGRPWKEFSHTVIMESDIGDFISPDGYMPWEGDFALKTLSYKEYNNKGGGADTSKRVKWPGVKVISRDEANTYTTQAFIQGDDWITKTNTPVRLGLFGQ >Ma02_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23396433:23401293:1 gene:Ma02_g15850 transcript:Ma02_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAALSSPLLSPTGNDDVDQPLPPSTPPAMADEGEAHGASGQLEIVLNDASIPWARRMWLASLIEMKLLVFLAAPAVMVYMINYVMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGAHKYEMLGIYMQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIAKAASIFVYGLIPQIFAYAVNFPIQKFLQAQSIVAPSAYISATTLAVHLLLSWVAVYKIGLGLFGASLVLSLSWWIIVAAQFMYIVTSRRCRFTWMGFTWQAFSGLPEFFRLSSASAVMLCLETWYFQVLVLIAGLLENPELSLDSLSVCMTISGWIFMISVGFNAAASVRVSNELGAGNPKSAAFSVIVVTMMSFIISVIAAVIVLCLRDYISYAFTEGETVARAVSDLCPLLAITLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPFGSLLGFKFGLGAKGIWGGMIGGTIMQTLILMWVTFRTDWNKEVEEAKKRLNKWDGKKEEPLLS >Ma06_p29290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30712260:30713650:-1 gene:Ma06_g29290 transcript:Ma06_t29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSSGARKRAQPPSTASRNRVWCLVDGCRSDLRNCREYHRRHKVCELHSKTPVVMVGGVEQRFCQQCSRFHPLVEFDEVKRSCRKRLDGHNQRRRKPRSEEASTASACLHPLPPASGFSMYPLTSLLESASAEKDAREQRFAPSFSHGYEEGEAAFCRTAVDECGGSTRVLHSHRVLSLLSSTSQASIANVGRMLPADTSPMDQPLLSGSQASSGVLSTGFSCSRMEDERVGGVVVSDTDADLQCMFLVEGEESLDEPPDLFPSIGGSKHL >Ma06_p33990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34407222:34408652:-1 gene:Ma06_g33990 transcript:Ma06_t33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTRDAEAELNLPPGFRFHPTDEELVVHYLCRKAACQRLPVPIIAEVDLYKYDPWELPEKALFGQREWYFFTPRDRKYPNGSRPNRAAGSGYWKATGADKPVSPPGSGRPLAIKKALVFYHGKAPRGLKTDWIMHEYRLADTNRSPNRKGSLRLDDWVLCRLYNKKNSWEKKMQAKEEAAMETSEINEDARSDSLRTPESDIEHAGFPEVDDLVRQGCHPFHTLEKLKEESDWFVDLNLEELQNPFAGIASLPVVDVVNQECCFFPSM >Ma03_p26170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30003506:30004567:1 gene:Ma03_g26170 transcript:Ma03_t26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSSLKLLGSWADSHTHRVQLALKLKGLEFEYQEEDAINPSPALLLHNPVYKKVPVLLHSDRPVVESVIILQYIDETWADNPIMPADPFERAVARFWCHFAEDKLAPAVGAVFSSSGEGQKTAVDQVHDNLKLLERELRDGAFKEKRFFGGDKIGLLDIVLGCGSYWLAVFEEVMEVKLVDPGTFPVFHAWLKDFEEQNEVKETIPAIDKLLEYARGIRQMMLSLSNGTSTTAPAAAGTTSAINVAVDGSSG >Ma08_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14777672:14778277:1 gene:Ma08_g14840 transcript:Ma08_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKERSKEEKMVVRQQRQRICPQGYNVELAPQSLLMQWSERERERERERERTDVFGCPFVILGGKINQHCHG >Ma09_p31060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40900568:40901970:1 gene:Ma09_g31060 transcript:Ma09_t31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVNSVALSPPPEAFPWLSPRISFSHDAADMPPVAPPEGHPDDSAEDFEFCLHDDPVAMLPADELFSGGKLVPLQLAPPKPAAEAEPTCTAEIGLPEPPETRRKAEIAGVDPYAFSPRAPRCTARWRELLGLKRARGAKPAGRVAAPAATVTATSKNTNPRSSLKHLLHRHPKLASLDASLSVPLLGDSEHESAATASRLSQSSSSSSSSSSSSLGPDHEDLARLSIDSDKPSHVPPRVRLFRPSPPVPASSRARAGPTRRAAESAAPTPSRGVSVESPRMSSSGKIVFQGLERSSSSPGSFTGGPRPRPRGVERSYSANVRVAPVLNVVPVCSLRGSGKPVSVFGLAHLFTPHHQTKKDRDGSASNRADLTRSARRQRRLGQDRQPSPKIIIEK >Ma07_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11227563:11228868:-1 gene:Ma07_g14960 transcript:Ma07_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLWRTCHSFCLNMPDLHSIGSLVPLFGYLKTSDAGIGAKDADVVTTIVQNNPRSQQLVMESLLSNFTSHPDLTVHTEALGVISSLIQNNQAGIAAFDLVNGYAVLGYALCSERVKVSFLNLSYVSGGSTVVAKENKLKEVFQRRIEGISG >Ma04_p31470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31766987:31772457:-1 gene:Ma04_g31470 transcript:Ma04_t31470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGHRENGRHKNDQYKTAHAQWMMPHHQLKENQTIKLLMAEREKALQERDMAISEKKAALAERDTAYLQRDAAIAERNNAILERDDAIAALEYARENCMSNNCAPGCSPAPCGTKNMYNYQQQHLQHIQAAPQQLHDAPNNQTRETPRSEAFHNSGGPETLGKLIKTKRSRKKTEVEASSSKKMTKFPRKSKKGGGNDWDKQVTIARAAGAWRGEVGVGEDLNKVSSLKHHEWKSQDLGLNQVTFDDSSMPAPVCSCTGRYQQCYKWGNGGWQSACCTMTLSMYPLPVLPNKRHARVAGRKMSGSAFRKLLSRLAAEGHDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p31470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31766987:31772578:-1 gene:Ma04_g31470 transcript:Ma04_t31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGHRENGRHKNDQYKTAHAQWMMPHHQLKENQTIKLLMAEREKALQERDMAISEKKAALAERDTAYLQRDAAIAERNNAILERDDAIAALEYARENCMSNNCAPGCSPAPCGTKNMYNYQQQHLQHIQAAPQQLHDAPNNQTRETPRSEAFHNSGGPETLGKLIKTKRSRKKTEVEASSSKKMTKFPRKSKKGGGNDWDKQVTIARAAGAWRGEVGVGEDLNKVSSLKHHEWKSQDLGLNQVTFDDSSMPAPVCSCTGRYQQCYKWGNGGWQSACCTMTLSMYPLPVLPNKRHARVAGRKMSGSAFRKLLSRLAAEGHDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p31470.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31766987:31772578:-1 gene:Ma04_g31470 transcript:Ma04_t31470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGHRENGRHKNDQYKTAHAQWMMPHHQLKENQTIKLLMAEREKALQERDMAISEKKAALAERDTAYLQRDAAIAERNNAILERDDAIAALEYARENCMSNNCAPGCSPAPCGTKNMYNYQQQHLQHIQAAPQQLHDAPNNQTRETPRSEAFHNSGGPETLGKLIKTKRSRKKTEVEASSSKKMTKFPRKSKKGGGNDWDKQVTIARAAGAWRGEVGVGEDLNKVSSLKHHEWKSQDLGLNQVTFDDSSMPAPVCSCTGRYQQCYKWGNGGWQSACCTMTLSMYPLPVLPNKRHARVAGRKMSGSAFRKLLSRLAAEGHDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p31470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31766987:31772578:-1 gene:Ma04_g31470 transcript:Ma04_t31470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGHRENGRHKNDQYKTAHAQWMMPHHQLKENQTIKLLMAEREKALQERDMAISEKKAALAERDTAYLQRDAAIAERNNAILERDDAIAALEYARENCMSNNCAPGCSPAPCGTKNMYNYQQQHLQHIQAAPQQLHDAPNNQTRETPRSEAFHNSGGPETLGKLIKTKRSRKKTEVEASSSKKMTKFPRKSKKGGGNDWDKQVTIARAAGAWRGEVGVGEDLNKVSSLKHHEWKSQDLGLNQVTFDDSSMPAPVCSCTGRYQQCYKWGNGGWQSACCTMTLSMYPLPVLPNKRHARVAGRKMSGSAFRKLLSRLAAEGHDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p31470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31766987:31772578:-1 gene:Ma04_g31470 transcript:Ma04_t31470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGHRENGRHKNDQYKTAHAQWMMPHHQLKENQTIKLLMAEREKALQERDMAISEKKAALAERDTAYLQRDAAIAERNNAILERDDAIAALEYARENCMSNNCAPGCSPAPCGTKNMYNYQQQHLQHIQAAPQQLHDAPNNQTRETPRSEAFHNSGGPETLGKLIKTKRSRKKTEVEASSSKKMTKFPRKSKKGGGNDWDKQVTIARAAGAWRGEVGVGEDLNKVSSLKHHEWKSQDLGLNQVTFDDSSMPAPVCSCTGRYQQCYKWGNGGWQSACCTMTLSMYPLPVLPNKRHARVAGRKMSGSAFRKLLSRLAAEGHDLSLPVDLKDHWAKHGTNRYITIK >Ma07_p25250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32342556:32342841:1 gene:Ma07_g25250 transcript:Ma07_t25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRSCVLVMALLVSAVVASNVGGVDAARPVPDCFDGGESYLAYPSTQEKARATVATWMARLPSGPSPKGPGH >Ma04_p25510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27247067:27260914:1 gene:Ma04_g25510 transcript:Ma04_t25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDYAMMENDEDDEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQSDFVETIYKISFGQIYLSRPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRIIKKGHDCEEVAETQDFAKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRTSAKGGSSGQYIRATLPYIRADIPIIIIFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCAIGRRPEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAAHPILEFLEEWSTENFEEISPAVIPQSTKIFVNGCWVGIHRNPDLLVKTLRQLRRQIDVNTEVGVIRDIRLKELRLYTDYGRCSRPLFIVEKQRLLIKKKDIRALQQRETPEEGWHDLVSKGFIEYIDTEEEETTMISMTINDLVNARQNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPNRENTMGMRHGSYEKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQASRYTRRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTKEPNPTKDQKKK >Ma10_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32211466:32212334:-1 gene:Ma10_g23120 transcript:Ma10_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITYENPFEGEEEGCRRCERRGREMDKEAMERYLLSMFWESDRDEVAALVDGPALAWKCGSRSRTAAVGCNKKRLLSKQLSMKETKREVKWEKRRRQILQSRHMMTERGGKEEEEEDEDEAGDGGERRATKRVRNLTDEDLDELRGSIELGFGFNEEEGGHDLLDTLPALDLYFAVNRQLSDIKIPFSPSPMTSPTTASTPSTLCGSPSPRSPDEHSGGPSESWKICNPGDDPQHVKTRLRHWAQAVACSVRQSS >Ma01_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26571505:26583376:-1 gene:Ma01_g23110 transcript:Ma01_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLMKRGLQAAAKAFQAEAKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAASYIETQHLKAREQQQQQLSPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHIQIQQLLLHRQAQQQQQHQQQQQQPQRREGSQLLNGSASGLVGTDTLMRQNSGTANVLATKMYEERLKLPLQRDSPDDQSIKRYGDNVGQVMDPNHAPMLKSAPNPGQPLGHVLHGSAGGLSGPLQLVQARNQQLPGSSQDIKSEINPALSPRASGPDGSLIGIPGANQAGNNLTLKGWPLTDLDQLRTGFLQQQRTLMQSPQSVHQLQFLSPHQQQLLLQAQQNLSSPSAADIDNRRLRMLLNNKNMLLGKDGQSNALNDVIPNAGSPMQTSNPVMSRTDSDLLIKKVAQLQPQQQSSNSQQQQLQQHSLSSQQSQNSNHLNQEKLGTANMMVDGSMSNSFRGTDQTSNNQSGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDMISMTQLQHNGGSSKPMIMFGDATGALTSSANQLADMDRFVEDASLDDNVESFLSHDDTDPRDVVGHSIVATKGFPFTEIKSARASTNKVVCCHFSSDGKLLATGGHDKKAVLWHADTLKSESTLEEHTLLITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFTGHSASVMSLDFHPSKEDLICSCDSDGEMRYWSINNGNCARVFPGGTTQMRFQPRHGRYLAAAAENTIGILDVETQARRHLLQGHTKHVISICWNSSGDRLASVSEDSVRIWSLGLGSDGECIKELNCSGTKFHSCVFHPSYPSLLVIGCYQSLELWDMNENKTMPSSAHEGLIAALAASNVTGVIASVSHDKCVKLWK >Ma11_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26776917:26778929:-1 gene:Ma11_g23440 transcript:Ma11_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVLLVEDMVYPGGSNYVQGERNVGYGGFHQVSAGEHITGEGDPVDLPPEQFAEAGDEDTNDDIDIEELELRMWRDQLRLKRLKEKQQSKNKVQTDAARQQSQKQACRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKDKVRFDQNGPAAIAKYQVDNAIPGSNSDVNAETLGPHSLMELQDTTLGSLLSALMQHCDPPQRRFPLEKGIPPPWWPTGREEWWRKLDIPKEHGLPPYKKPHDLKKSWKVAVLMVVIKHMSSDIERIRRLVRQSRCLQDKMTAKESTTWLAVIKQEEVMYMKLHTDACAPQSAGRGVSGAISFDNNSSEYDHTGIYKVKGEGVANYKLALAANAFNLRACTGDVMPIRSAPMKGEKDVGFIQKRTSRESELMMNQRIYTCDNALCPHNNFRNGFLDRNARNSHRYVCKYQNTLLPTGIGMMSNGLQVPGNKPSVNPVNISELGIPSDGQKLINELTNFYDISINASKNSSSGDVTMLEGSKSLQMEDSFFDRSLFEEVSGVVERSLPIEQEPSGQPIEASGGIRIRSGFNMLGMDYSDALHGGTKQDGFSWFC >Ma11_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17547826:17555647:1 gene:Ma11_g13250 transcript:Ma11_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSENPNWFLDCSLIDDIPHAGGDFAANDVGGLCWPPQGFNTSSGVSVDIDSPFVNSDGLKEPGSAKRVRSESCSRPASKACREKMRREKLNDRFMELSSLLDPGNPPKTDKAAILSDAARVVIQLRNEAQKLKDSNESLQEKIKELKAEKNELREEKQKLKAEKESLEQQVKLLNARPSFVPQPPLVPSLFPAQGQAAGHKLMVPVVGYPSFPMWQFMPPADVDTSQDADKCPPVA >Ma09_p27940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38795493:38822639:-1 gene:Ma09_g27940 transcript:Ma09_t27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G60440) UniProtKB/Swiss-Prot;Acc:O80765] MAGCPANLNLGGAEIRGDPDERNPPIFLPNQPPDSSLLALDIGGTLIKLVYFSEGLLHFVKFERRRLHDCLDFIRSKKLISPNGFSAEPSNGHATIKATGGGAFKFTGRFKEILGVSLDKLDEIDSVVAGANFLLKEIPGESFTHMDGKRQPVEIDRNNLFPYLLVNIGSGVGLIKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCLSYDEFLKLSQQGDNLVLDLIVKDICGDLVSEQQGLSASTLASSFGKVITSKRTLSDYKPEDLATTLLSAFTYNIAQVAFLVAALLGFNRVFFGGSYIRGHPSTMDNISYAISYWSKGQMQATFLRHEGFLGALGALMSYKELGIEDIEMVDVHLNDKEDQDLHEPSSNSDESVTTDPNEHNIFPYLLVNVGSGVSMIEVIGKWKFERIIGTHLGGGTILGLARLLTGCTSYEEFLELSQRGNNLAVDLTVGDIYGKEGYPKHGLPASTTASSFGKVTSNKLSDYKAEDLAAALLNAFTYNIGQISCFVAKLSGLKKIFFRGTYICGHEKIMDKISCSMKYWSKGQLQTTFLCHEGFLGAVGAFWSYENMGIDDFATKEVMKQVLLGAPYIGGNSPSSYSPRQIFNNGRSMNLKKEAERLQNENTELNAEVQRLRNENAELRAKLEKLQQDKSQSS >Ma06_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3100141:3102901:-1 gene:Ma06_g04300 transcript:Ma06_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHAFFDLAVSFDEASAVLFGIAWDALPNRIGLLWMWVRGRVVVPLVRIAVVLCLVMSIILLIEKVSMALVSLYVKVFRRKPEKIYKWEPIQADEELGSSVHPMVLVQVPMFNEREVYKLSVGAVCSLSWPPDRLIIQVLDDSTDLAIREMVRSECEKWIRKGITVHYISRDNRNGYKAGAMKEAMEIDYVRQCDYVAIFDADFQPASDFLVRTVPFLMHNPEIALVQARWKFVNADECLMTKIQEMSLNYHFKVEQQAGSSTIEFFGFNGTAGVWRISAMVEVEGWKERTTVEDMDLAVRASLAGWKFLYVGNVKVKNELPSTFKAYRFQQHRWSCGPANLFKKMAVEIITAKKVSMVKKFFMLYNFFFARRVIAHNVTFFFYCMIIPLSCFFPEIQIPKWGVIYIPSAITLLSAVATPSSIHLIIFWILFENVMSWHRCKAVFIGLTEANRVNEWIVTEKLGDTMKTKPAAAAAAPKKSKTKCWERIQFDEIGIGAFLVACATYDFVHNTDRYFMYIYPLALSFILMGVGYAGTFVPGGGK >Ma04_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17320076:17322393:1 gene:Ma04_g17120 transcript:Ma04_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAFWKKLPHATPATHRRPPPCHLFFSLILSIKLPNPLFLRFTSVSLPGRNQEDRKQVSRDTERRGLSTISSAIPASAMKPAIIFLCLLLSVLDHGSAQSCVGETLSGNKLYTTCSSLPYLSASLHWNYHPSNGTVDIAYRAQQSSDGWVAWAINPTGSGMAGANAFLAFPGSNGAVTVYTTQFSSTNPRTSDVKDENLTFTVYSKEGEYSDGYYTIYATLELPGNDTKQNTVWQASTTFSGGVPFNHPNGDNYLSQTSLDFLSGTAVSTGGNSRLHRRNIHGVLNAISWGVLMPIGIIIARYMKVFKSADPAWFYLHVACQVSGYIIGVSGWGLGIKLGKDSAGITYHKHRDLAIALFCLATVQVFALFLRPNKDHKYRLYWKIYHLAVGYSIVILSVVNIFEGFDILDPAKKWKRAYVAIIVTLGAIALVLEAVTWAIYLKRRQRESEKSHHGANGANGYGVREHEML >Ma04_p17120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17320062:17322426:1 gene:Ma04_g17120 transcript:Ma04_t17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAFWKKLPHATPATHRRPPPCHLFFSLILSIKLPNPLFLRFTSVSLPGRNQEDRKQVSRDTERRGLSTISSAIPASAMKPAIIFLCLLLSVLDHGSAQSCVGETLSGNKLYTTCSSLPYLSASLHWNYHPSNGTVDIAYRAQQSSDGWVAWAINPTGSGMAGANAFLAFPGSNGAVTVYTTQFSSTNPRTSDVKDENLTFTVYSKEGEYSDGYYTIYATLELPGNDTKQNTVWQASTTFSGGVPFNHPNGDNYLSQTSLDFLSGTAVSTGGNSRLHRRNIHGVLNAISWGVLMPIGIIIARYMKVFKSADPAWFYLHVACQVSGYIIGVSGWGLGIKLGKDSAGITYHKHRDLAIALFCLATVQVLDVYIRAKHSMCIHITFIENDLMDDLRVNSCKMRLAPNQSEAEDKLMFFGVQVFALFLRPNKDHKYRLYWKIYHLAVGYSIVILSVVNIFEGFDILDPAKKWKRAYVAIIVTLGAIALVLEAVTWAIYLKRRQRESEKSHHGANGANGYGVREHEML >Ma06_p28860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30424874:30428823:-1 gene:Ma06_g28860 transcript:Ma06_t28860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMNVDEPVKQDEENAMPSSQQEEEAIKKKYGGILPKKPPLISKDHERAYFDSADWALGKQGGHAQKPKGPVEALRPKLQPTPQQQVRSRRSSYASSDNEDGGNLVTEDMNDDDNNNNQ >Ma06_p28860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30424874:30428836:-1 gene:Ma06_g28860 transcript:Ma06_t28860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMNVDEPVKQDEENAMPSSQQEEEAIKKKYGGILPKKPPLISKDHERAYFDSADWALGKQGGHAQKPKGPVEALRPKLQPTPQQQVRSRRSSYASSDNEDGGNLVTEDMNDDDNNNNQ >Ma06_p28860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30424874:30428836:-1 gene:Ma06_g28860 transcript:Ma06_t28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMNVDEPVKQDEENAMPSSQQEEEAIKKKYGGILPKKPPLISKDHERAYFDSADWALGKGGHAQKPKGPVEALRPKLQPTPQQQVRSRRSSYASSDNEDGGNLVTEDMNDDDNNNNQ >Ma07_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30553005:30554155:-1 gene:Ma07_g22710 transcript:Ma07_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELDQILRANEINFAVLAALPAFFLSLVLLMLVRVWVMRDKSAEGRGRVAHRQRRLLVVEVERRLVQFQTCMDQGKEEDARCI >Ma06_p01340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1073869:1078395:-1 gene:Ma06_g01340 transcript:Ma06_t01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEAIASAFLAAAPSLTFRRSVAGLPLPSQPPSSFLPRYLPVRALMKRNPKRLKYSAPRFFKKSEAMVYVEMDPLGSETWRLEPVIELIKEGAVGVIPTDTVYAIVCDLRSYSSIERLRRIKDIENKKPLSILCHSFQDIDKYTVGFPRGDGRGQTNIFRTVKHCLPGPYTFILPATKELPKQCIKSGRTARYESRKHVGVRMPNDSICQAILQNLDEPLVSTSVKWPSEDQWMLDPVIIADTYEPEGLDFVVDGGIRVADPSTVVDMTGKYPTIIRQGKGPKLEWMIVEGEDYGGDPSELPFPQVA >Ma06_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1073794:1078397:-1 gene:Ma06_g01340 transcript:Ma06_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEAIASAFLAAAPSLTFRRSVAGLPLPSQPPSSFLPRYLPVRALMKRNPKRLKYSAPRFFKKSEAMVYVEMDPLGSETWRLEPVIELIKEGAVGVIPTDTVYAIVCDLRSYSSIERLRRIKDIENKKPLSILCHSFQDIDKYTVGFPRGDGRGQTNIFRTVKHCLPGPYTFILPATKELPKQCIKSGRTARYESRKHVGVRMPNDSICQAILQNLDEPLVSTSVKWPSEDQWMLDPVIIADTYEPEGLDFVVDGGIRVADPSTVVDMTGKYPTIIRQGKGPKLEWMIVEGEDYGGDPSELPFPQVA >Ma06_p01340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1073795:1078397:-1 gene:Ma06_g01340 transcript:Ma06_t01340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEAIASAFLAAAPSLTFRRSVAGLPLPSQPPSSFLPRYLPVRALMKRNPKRLKYSAPRFFKKSEAMVYVEMDPLGSETWRLEPVIELIKEGAVGVIPTDTVYAIVCDLRSYSSIERLRRIKDIENKKPLSILCHSFQDIDKYTVGFPRGDGRGQTNIFRTVKHCLPGPYTFILPATKELPKQCIKSGRTARYESRKHVGVRMPNDSICQAILQNLDEPLVSTSVKWPSEDQWMLDPVIIADTYEPEGLDFVVDGGIRVADPSTVVDMTGKYPTIIRQGKGPKLEWMIVEGEDYGGDPSELPFPQVA >Ma03_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28575159:28575620:1 gene:Ma03_g24300 transcript:Ma03_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLWGPAHAWYSGLKPGTVASFDQLAKDFELNFLAYARPKPYMALLLGLNKKEDEPLSHFVNRFTTQIRGLSDAHPSLLMQTFMTGLRPSRFFWSLVERPPAAIPEMLQRASQFVAAESWIAGKREDHKNVKSEPSR >Ma06_p25380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25676574:25682021:-1 gene:Ma06_g25380 transcript:Ma06_t25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPASRLLHLSSSPLIRSCRFGARSISPSLLLPSPYYHRRFPFFLPLAQPPSLRSHATCSAAAIAADGPVASVDEPPASSHPWPEWDRFLDKLRGKGYFAVPTSTIPAGSVEGEGASVSSEHDDASVELNRVKNACLKFSRERFDIFSSLPREDIQAVVEYGCPNVFRKAVNSAKRLRAYLQLDEGDVCGACNLRGACDKAYIIPKEDEGPRTVDIMRILLSYAVNLKQLSGGANSAVKEQVQKSARNLLSELIKLSDTIIDPTVPGPVMKSPSPKEPSQKVRSGKDSRASNVEMKRGDWLCPNCNFLNFARNLRCLECKEDGPKKVHLGSAEMKVGDWTCPECEFMNFARNRECFRCQGARPKRVLNPGEWECPLCYYLNFRRNRVCKKCDGDRPVDEGNQLEDHSWRSPKDSKKNNPIKFGDR >Ma07_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32218695:32220497:1 gene:Ma07_g25090 transcript:Ma07_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDDYPRKPSQSQSPTRSKLKILLVLVLTNLLSIYLFSGSSGRINWLPPATHLWDSGALLRELNETQGLLSASQAEVMLLSRRLSTTNTLLETLLTDVGKARRDEAAEQDLEGWAHQLTGELKLAVGPHKLPLGYTPNLGSDELYPTLGTACRRYQEELTQYMSYAVGGECPSDEAFAQRLMLKGCEPLPRRRCHPKSPVGYVEPTPFPESLWSIPPDASITWDAYTCKNYACLVHRKMEKGTYDCKDCFDLSGREKDRWLYDNGELDYGIDEVLEAKHGSVRIGLDIGGGTGSFAARMRERNVTIVTSSMNFDGPFNNFIASRGLLPLHISVAHRLPFFDNTLDIVHSMHVLSNWIPDAMLEFALFDIHRVLRPGGLFWLDHFFCLGTQLNATYVPMFQRIGFKKLRWSAGRKLDRGLDKNEWYLSALLEKPTT >Ma04_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26063624:26064413:-1 gene:Ma04_g23990 transcript:Ma04_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRRWNSAASATLVILLIVFGFCISSCFTDATRIHADFIVSSCQATMFRRVCVDSLSPYANVIRDSHMELARSALSVSLSGARSAAAAVSKLAAEGTLAPSEAAAVRDCMTTTGDSVDELQRSLEAMGNPKGKGEAVVGLRLDDIQTWVSAALTDEDTCMDGIGGGRGMGGVVKVAIRRQIVNIAQLTSNALALINVLNSASP >Ma08_p34450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44435928:44436090:1 gene:Ma08_g34450 transcript:Ma08_t34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIEEDCWSEFRGWALWIDHSWSFLRRGH >Ma03_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23763631:23769891:-1 gene:Ma03_g18160 transcript:Ma03_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVNGEFELNEVADNIEGSVGSRLSWISREYGLGNRKWSSGTKLKVSRDCYRSFVINPNGRSYRIWVDVVFVWSIYSAFFTPLEFGFFRGLPELLKDLDCVQVIFLADVVFQFFVAYRDAHTYKMICDRRRIALRYLKGSFAVDLLGCVPWDSIYKMMGVEAIRFLIWVRIFRARKINQFFKTMEKDIKINYLFTRIVKLIMVELYCTHTAACVFYYLATTVPPAQEGYTWIGSLSMGDYQYTNFREIDFWRRYITSLYFSIGTLATVGYGDVHAVNTREMVFSMIYISLTMILGAYLIGNMTALIVKGSKTEQFRDKMTDLIKYMNRNKLGKDIRSQIKNHLRLQYESSYNKESVLEDVPMALRSKISQILYLDVIQKVPLFQGCSDEFLNQIVMMLNEEFFLPGEVVIEQGSPADQVYIILLGYLEAVAVREDGSEESIAKLTTYDALGDAAALCNIPQRYTVRVCELCRLLRIEKQSLANISQLYFKDGNQMLVNLLKGKGSELSIKQLEPDIKYLISKQEAELALGVNSAAYHGDISRLQVLINAGADPNKTDYDGRTALHMAACKGHEQVVKFLIQRGSNTNCIDKFGTSPLFETLKTGNDRIAAILVENGAILNLDDAGNYLCEVVTNGNIDLLRCLLEYGANPNSKNYDRRTPLHVAASEGLHLMANILIEFGANVLSKDRWGNTPVDEGHRCGSKPLIKILEDAVVNHAGK >Ma02_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25625054:25626971:-1 gene:Ma02_g19460 transcript:Ma02_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESRGKRGEMEGGNWKRSGGGEKKDLLHVIHKVPPGNSPYVRAKQLQLVEKDPEAAILWFWKAINGRDRVDSALKDMAVVMKQQDRAEEAVEAIRSFRHLCSKQAQESLDNLLIDLYKKCGMVEEQIELLKQKLRMIHMGEAFNGKATKTARSHGKKFQISIKRETARILGNLGWAYMQQNNYAAAEVVYRKAQMIEPDANKACNLGLCLMKQGRLDEARRALEDVTHGRFSAAGDGTSSKNKAEELLREIEVRPATSTSEVGLAIEDEIMERIELVLNEWVPSRSKRLPIFEEISAFRDRIAC >Ma04_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23976242:23985554:-1 gene:Ma04_g21430 transcript:Ma04_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGRYPPPGMGNGRGGSASTNPGFYSRNSHHQHHHQQQQQQYVQRNPVQVQPNQHFQQQQWSRRNQLGGDSGSGEVVKSVQPETSDTSLQDWKAQLKMPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDHNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLAGTPGRILDLAKKGVCVLKDCSMLVMDEADKLLSPEFQPSIEQLIQFLPANRQILMFSATFPVTVKDFKDRYLPKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPQIDQTIYCR >Ma11_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10304401:10305535:1 gene:Ma11_g10710 transcript:Ma11_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKVRKGLWSPEEDEKLVKHITEHGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEESLIIELHAVLGNRWSQIAARLPGRTDNEIKNSWNSCIKKKLRQRGIDPNSHQPLGDFKMPTPTPENVNRAVAENSMASTCLSDPMAVFSLAQLSFRPDDSPHCSTVIDSSRSSMSKANGVELQSSCSFDGGILPWSELAPDTDAQLHLEGESEDLKWSEYLQGVFPTPSASQTQTQQFGAWHQNQNQQQFLLSDIHDKDF >Ma02_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19146672:19147852:-1 gene:Ma02_g08940 transcript:Ma02_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFAGASISARRAVSVKAELPKPKGTQGQLILLRFSGRRASSHGFALVTSLPSTPPNMSEKVAESIRNAEETCAEDAASGECAAAWDEVEELSAAASHARDKLKVGGPQYNGRRASYRRLAPVASSPPTPPNISEKVVESIKNAQETCAEDAASGECAAAWDEVEELSAAASHARDRLKADNPETDEGRTYE >Ma06_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12043029:12051051:-1 gene:Ma06_g17740 transcript:Ma06_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYSYKQFFRVVFWVSWILMLRWTLQVTSSQISATTSLSLRSGLETLRRRFRITTFGLRRGGCGRRHYFGMTTGEAATASPRQSFASSDHLRHVESMAQLPSGAGKISHLNAVILGETLASEENDLVFPSQDFSRQALVSSPEQYRKMYERSIQDPAGFWSEIASQFYWKEKWDPEVYNENIDVRKGTVKFEWFKGASTNISYNALDRNIEAGNGGKVAIYWEGNEPGDDEQLTYAELLEKVCQLANYLKHVGVRKGAAVVIYLPMLMELLISMLACARIGAVHSVVFAGFSAESLAQRIIDCKPKVVITCNAVRRGPKAIHLKDIVDSAINESVKSGVPVGLCLTFENQSAMKREDTKWQEGRDVWWQDVVPQFPTKCAVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDHKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVVFEGAPNYPNSGRCWDIVDKYKVTLFYTAPTLVRSLMREGDEYVTRYHRKSLRVLGSVGEPINPSAWRWFYNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGNEIEGECSGYLCIKKSWPGAFRTLYGDHERYQTTYFKPFAGYYFTGDGCSRDKDGYFWLTGRVDDVINVSGHRIGTAEVESALVSHPHCAEAAVVGVEHEIKGQSIYAFVSLVEGVSYSEGIRKSLILAVRNQIGAFAAPDKIHWAPALPKTRSGKIMRRILRKIASGQLDELGDTSTLADPNVVDQLIALSDC >Ma06_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15067049:15067822:1 gene:Ma06_g20940 transcript:Ma06_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIDVMGVTKGKRLRGRPLAHGILPGYPTPSRVLDRMVTTTVPNEMYKMNGQSWRRVTEFDTTVKDINHTRERGGLVKDAYAAVLCWAEEEVFTSPYRSRLLVWRHSEEIELKYIDASSKFGHGRFQMTREKHKLYGRLKQTSLVPLNLLLCLSITQPGTKSTHVFDDKPSTVLHTKPNHCAPQAGF >Ma03_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17643237:17645783:1 gene:Ma03_g16040 transcript:Ma03_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEVSSEPPHRQTRPALAAAPTAVACNSGVCYGMEDENGGGLYSQLSIMPLKSDGSLCNMEALRRSQQEGMMMPSPSPKLADFLSGGQHMGIHHQYENNDRAAIALSLDSMHRNEESETGGHVNQMQVQQQLCFGPSQEGMCSEVRSHEMDVDAIPGLRNWVHWHHNACNNGSCEEGGLGAGNPVGAMGYGHLQSLSLSMSPGAQSIYVTAPLQTSAAVATECMASDAPRKRRTGKGGRKQPVHRKSVDTFGQRTSQYRGVTRHRWTGRYEAHLWDNTCKQEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGSSTHINFPSENYQQELDEMKSMTRQEYVAHLRRRSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIRFRGVNAVTNFDIARYDVEKIMASSTLLSGELARRSNKATDAGKEPPPAQDSCRDLTEESSSGAGWKMDFQQQTPPSEYRSPMEFSPVLHGLVVGSSRSMQAVGDTDRLGKSLSSSVDQTELSLLYAKGSSPEFTGSTWAPSAPHMPVFAAWGDA >Ma06_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11559949:11564423:1 gene:Ma06_g17070 transcript:Ma06_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAFRALARHLKATLSSSSLPPLFSPLRRRPSKTLLPLALPGCRLSSAASLLRSAAGDRLARLFSSISSDQDLELREGKESPSSRSAEDEYKDPLLQAQQEKQSRFVPVKAYFLCTSIDLRSLQAQNATNVIPPSSRATNYVVLRYYDVKNGPQVMETGFPTESICRYMVVFHYGSTVLFNVSDHEAQGYLKIVEKNASGLLPEMRKDDYAVVVKPNLETWMQGGVDYIALKSLDIDGVRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGLEKTGTFTMKRRKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQDILQNRTSIFLEGLIILLITVAILISVYNVFCHPTPRILQPKAGTPKIVEGSAYYSN >Ma06_p38490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37228056:37232356:1 gene:Ma06_g38490 transcript:Ma06_t38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIKERCGGGGKIPASKPQNLPFHSTPKDKAGPAARRTPIAAGKENLRTLSGGKISSIRPTPVPRLVEKPAAAVGVRWSTSSLPRGKAVNPSDLSRLVSDFRGNRRLPRVSASDRTAGDVGRDLEAEAGRSGGVRDSDGRHQGKGVLGSNLKKNNERQATRIAVSQQQNPKPNGFIGLPAAKKDAKATVATSEKLGGRTAAGVASEKHSRKEVAAIKLLVADGGDDCAKEKGTTAEQKKETNLLAHKSGVVCSDRGKHKVWLVSCSTSTDGKTAENASDRNGLKGRSCTASNSKALNDINKDTAPVLSNDKKKDCIVVQSQTSQEAGGDVDIKNDPSEKRCIDSVPTVKIPEKSSADVTVFEKVKDYMKGAPVANKQPSKLHEKLALLEGKVQKIASEIKRTKEMLDSNNPDESKLILSDIQSKISGIEKAVGCTIDGTICQFGPSKIMKGVDCHNNHNVVSRHCEEISVPGHLVNDSIDEGLQERLFPHHKLLTNRRSSGRLGTNHNSNPDVEDGPGSPIEANPIALEFLASLNSVQAEPSRSVSIGHVAVRELGVDKGSSDERYVSRKKVIEHCKAGIELNEKHEEFEDREKKTAMMLHVDNEEPCVKHLCEIGQKPSTGGWFVSEGEAILLAHDEGSCSYYDIANNEFKAVYNPPGVTHNIWGDCWLIRAPGTDGCFGKYVVAASAGNALESGFCSWDFYTGDVKAFSLGDVTTNSFSPSSSRIVLGSLSNRGFSRSASCSMQTVGQPQWWYRPCGPLLICTGSGQKVVSAYDIRDGDLIMKWEVKSPVTGMDYSSPLQWRSRGKVVVAGSEAISLWDVNSLTPQPLLSVTCAGKKVHSLHVNNTDAELGCGVRQRASISEMEGNDGVFCMQESINVLDFRVPAGVGLKISRHGGIGHSIFSRGDSILVGSTEARLAKGSPRSRVQHYSLRKGKLVTTYELPEFNAHSHYSSLTQVWGNTNVVMGVCGMGLFVFDAFQDERSQAFCFDRGNTVGAKESIGPDDLYCPTFDYCGSRVLVISRDRPALWRYL >Ma05_p29880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40441239:40442473:1 gene:Ma05_g29880 transcript:Ma05_t29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAFSVKLLGSWADSHTHRVQLALKLKGLEFEYQEEDFINPSPALLLHNPVYKKVPALLHGGRSIVESVVILQYIDETWTDNPIMPADPFERAVTRFWCHFTDDKLAPAVGLVFSSSGEGQKAAVDQVHENLKLLERELKDGAFKGRRFFGGDKIGLLDIVLGCGSYWLAVFEEVMEVKLIDPESFPVFHAWLRDFEEQQEVKETIPAIDRLLEYARGIRQMMLSLSNSSSSSINNAAASSG >Ma04_p39000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36276893:36278492:-1 gene:Ma04_g39000 transcript:Ma04_t39000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUR3 [Source:Projected from Arabidopsis thaliana (AT2G45490) UniProtKB/TrEMBL;Acc:A0A178VSR7] MAAKEEWSISDFEIGKFIGEGKFGKVYLAREKQSGYVVALKVIFKAKLEKYRSHAHLRREIEIQHGLNHPNVLRLFAWFHDETRVFLVLEYAARGELYKLLKSLHHFSEKRAATYVSSLAGALAYCHEKHVIHRDIKPENLLLDIEGRLKIADFGWAVQSNAKRHTMCGTIDYLAPEMVEKKEHDHAVDNWTLGILCYEFLYGVPPFEAEDEYGTFRRIMKVDLKFPSSPAVSVEAKDLICKLLVKDSSKRLSLQKILKHPWIVTHADPSGSCCD >Ma04_p39000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36276985:36278492:-1 gene:Ma04_g39000 transcript:Ma04_t39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUR3 [Source:Projected from Arabidopsis thaliana (AT2G45490) UniProtKB/TrEMBL;Acc:A0A178VSR7] MAAKEEWSISDFEIGKFIGEGKFGKVYLAREKQSGYVVALKVIFKAKLEKYRSHAHLRREIEIQHGLNHPNVLRLFAWFHDETRVFLVLEYAARGELYKLLKSLHHFSEKRAATYVSSLAGALAYCHEKHVIHRDIKPENLLLDIEGRLKIADFGWAVQSNAKRHTMCGTIDYLAPEMVEKKEHDHAVDNWTLGILCYEFLYGVPPFEAEDEYGTFRSPAVSVEAKDLICKLLVKDSSKRLSLQKILKHPWIVTHADPSGSCCD >Ma04_p39000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36277216:36278492:-1 gene:Ma04_g39000 transcript:Ma04_t39000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUR3 [Source:Projected from Arabidopsis thaliana (AT2G45490) UniProtKB/TrEMBL;Acc:A0A178VSR7] MAAKEEWSISDFEIGKFIGEGKFGKVYLAREKQSGYVVALKVIFKAKLEKYRSHAHLRREIEIQHGLNHPNVLRLFAWFHDETRVFLVLEYAARGELYKLLKSLHHFSEKRAATYVSSLAGALAYCHEKHVIHRDIKPENLLLDIEGRLKIADFGWAVQSNAKRHTMCGTIDYLAPEMVEKKEHDHAVDNWTLGILCYEFLYGVPPFEAEDEYGTFRSE >Ma11_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6125986:6129434:-1 gene:Ma11_g07690 transcript:Ma11_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERRAATEETLASAEEGRVEVGRPAVLLVCGTLVYYHCAYRGSSLLSLVSDVLIVLLCSLAILGMLFRQMNISVPVDPLEWQISQDTANTIVACLANTVGAAESVLRVAATGHDKRLFVKVVFTLYLLSALGRVASGATIAYAGLCILCLYFFAQSSELVSSLNLDLDTPSKRHIQEATR >Ma04_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5263353:5263960:-1 gene:Ma04_g07240 transcript:Ma04_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREQFCHANGGHTIGLDDGSRTTYGGAFRSSKMRPFHQIELKGEDDSSKRGNHQKSAQDFADFNEYITGFKK >Ma03_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28964531:28981681:1 gene:Ma03_g24720 transcript:Ma03_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHEPVVSAAWLHANLKNPDIKVLDASWYMPQEQRNAFQEYQVAHIPGAIFFDIDQISDPTSNLPHMLPSDEAFAASVSALDIQNKDAVIVYDGKGQFSAARVWWMFRVFGHNKVWVLDGGLPQWCKSGYEVESTSSKVAILRATSVSKAIENVYRGQLVEPASFKTKFQPHLVWTLEQIKQNMSDQTHQHIDARSRSRFDGIAPEPREGIKSGHMPGSKCVPFTEMLDGSQMLLPEDQLREKFVLEGISLDRPIVASCGTGVTACIVNMGLHRIGKPDVPVYDGSWTEWATQPDATIITLAAD >Ma03_p24720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28964447:28981681:1 gene:Ma03_g24720 transcript:Ma03_t24720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHEPVVSAAWLHANLKNPDIKVLDASWYMPQEQRNAFQEYQVAHIPGAIFFDIDQISDPTSNLPHMLPSDEAFAASVSALDIQNKDAVIVYDGKGQFSAARVWWMFRVFGHNKVWVLDGGLPQWCKSGYEVESTSSKVAILRATSVSKAIENVYRGQLVEPASFKTKFQPHLVWTLEQIKQNMSDQTHQHIDARSRSRFDGIAPEPREGIKSGHMPGSKCVPFTEMLDGSQMLLPEDQLREKFVLEGISLDRPIVASCGTGVTACIVNMGLHRIGKPDVPVYDGSWTEWATQPDATIITLAAD >Ma08_p34100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44162517:44172366:1 gene:Ma08_g34100 transcript:Ma08_t34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSFLGAPRTIFHGHSSVEKPVPILVSSTVSKYLLQRVPEECQRSISSMVLPKHSLHVKASQNTSALTTNADTDQKNSITSTFPNGCQTLIEEVCDLTDIAELKMKVGDFEMFLKRDVGISNAPNSVSAPIESPITAPPIPSKPMVEAVPSSPPVLEQKSPATASSPFTYVSAAKTSKLAALEASGLNAYALVSSSTVGSFQSGRSLKGERQPPICKEGDIIKEGQIIGFLDQFGNELPIRSDVAGEVIKILCENGEAVGYGDPLVAVLPSFHGVK >Ma05_p28130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39227115:39233992:-1 gene:Ma05_g28130 transcript:Ma05_t28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKYDGRQESLFQNKHGAREEDMAQPNSLAEDSAEDFRLPIYHRPTENLDTDELEQASLDKQLTSSNVGFRLLQKMGWKGKGLGKNEQGIVEPIKAGIRDPKLGVGKQEEDDFFTSEGNVQRRKLDIELEETEENIKKREVIAEREQKIQSEVKEIKKAFYCNLCNKQYKLAMEFESHLSSYDHNHRKRFKEMKEMHGSSSRDDRQKREQLRQEKEMAKFAQMADAHKQRQQQQQQQQEGSEISSDAGAARTSAKVLNQDQRQALKFGFSKIGPSKASAGGISKKPKIGVKVSSIFGSDSDDEET >Ma05_p28130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39227058:39233992:-1 gene:Ma05_g28130 transcript:Ma05_t28130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKYDGRQESLFQNKHGAREEDMAQPNSLAEDSAEDFRLPIYHRPTENLDTDELEQASLDKQLTSSNVGFRLLQKMGWKGKGLGKNEQGIVEPIKAGIRDPKLGVGKQEEDDFFTSEGNVQRRKLDIELEETEENIKKREVIAEREQKIQSEVKEIKKAFYCNLCNKQYKLAMEFESHLSSYDHNHRKRFKEMKEMHGSSSRDDRQKREQLRQEKEMAKFAQMADAHKQRQQQQQQQQEGSEISSDAGAARTSAKVLNQDQRQALKFGFSKIGPSKKASAGGISKKPKIGVKVSSIFGSDSDDEET >Ma05_p28130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39227058:39233992:-1 gene:Ma05_g28130 transcript:Ma05_t28130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKYDGRQESLFQNKHGAREEDMAQPNSLAEDSAEDFRLPIYHRPTENLDTDELEQASLDKQLTSSNVGFRLLQKMGWKGKGLGKNEQGIVEPIKAGIRDPKLGVGKQEEDDFFTSEGNVQRRKLDIELEETEENIKKREKAAYNRMYVFLTGMIYHKGASTLEEREQKIQSEVKEIKKAFYCNLCNKQYKLAMEFESHLSSYDHNHRKRFKEMKEMHGSSSRDDRQKREQLRQEKEMAKFAQMADAHKQRQQQQQQQQEGSEISSDAGAARTSAKVLNQDQRQALKFGFSKIGPSKKASAGGISKKPKIGVKVSSIFGSDSDDEET >Ma01_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13156045:13160438:-1 gene:Ma01_g17870 transcript:Ma01_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHNDQSLADHMADQFSCEDVRHLACDDQHPPFNEDSRCESIPTKSPASGNTSEMPSGNGKRPLCGDGACLAANKRSKQANHNVQSSSFEEIGFSASEEMPAVAAEDLKLKGQGTAPVTEDLAIQATCSHWFTQGTSQEIGLDPHVRLPSYPSYFGDSCQVAKFDEDEEIFSPDFNYFKQKHVAIGMDHQADIPELRSHEFKNHIRDYHDCSPPLTPVTSSSSCDDLIIDGGVSDKWLGAPVMPMPDSTLLASDGVVLHHNTDCSCPDEGSIRCLRQHVMEAREKLMRKLGQERFVGLGFGDMGEVVAQKWTEEEEQLFHEVVLSNPASLGKNFWEKLPQVFPARSSKELVSYYFNVYMLRKRAAQNRLELLHVDSDNDEWQESDDAEFATEEEDEIDSVVDSPLADEGAVSGEEDDPEEAGITEKIDDIEDSDYCTFSRPNEKWSWHDVKGCTTGESNLFSSVQFTRSNSNHCSEEQDIQDHSCTSYEGQHNVADICDPVDIFHFQRGLIGDHENLHKEYQNNGLSGLTDNSFFCGHHNLKAWDMSYSSGTEKEDFLSTCDVIDEVFGEEPWESENLS >Ma05_p31730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41533479:41533541:1 gene:Ma05_g31730 transcript:Ma05_t31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTGLPTTFVFGRDISRT >Ma06_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5726930:5732605:-1 gene:Ma06_g08080 transcript:Ma06_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFFSLAALRLRPLPPVSAVLRRARAPFHAGSFAALSSREKPRRPAPDKNVLKARDVVKDLPSATSPLDSDDDRRRHLLPSQAVGLVAAAQANFMRVIVVSAGGEPESRGFLGSDPRVGRELLCVVRALLKKIKRRVLVGDRVLVGSIDWAERRGMIEDVFKRSSVILDPPVANVNHLLVLFSMDQPKPEPFTLTRFLVEAESTGLPLTLAMNKSDLVGDETLSAWKERLHGWGYKPLFCSVDQKSGLAALEDRLKRQTTVVVGPSGVGKSSLINSLRLTLNISDEEKINKFLEHYERSKWLGEQRVGNVSKSGRGKQTTRHVSLLPQSGGGYLADTPGFNQPSLLKVTKKSLAETFPEIRQVLSASEPLKCAFNDCLHLGEPGCIVKADWERYPYYVQLLDEIRIREEFQLRTIGTKRESDVRYKVREMGVKQAEPRLELKRHRRISRKRLNQSILDDLSDLDELEDY >Ma10_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3898518:3899113:-1 gene:Ma10_g01000 transcript:Ma10_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITDEDTKGKKVLDSIVEIRAEGSSVLPRGDMESGVSSQENLDELLAASLAAEEEMEINKNVLTSEGGLDEAEDDNDENEEMIF >Ma04_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8380921:8391674:1 gene:Ma04_g11770 transcript:Ma04_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCTFCANYRQPSNTGHQSTFKASSSDATTEKLSTHSCSFGRSTVSGASGDEEFPEGRILEVPNLRTFTFAEMKIATRNFRPDTILGEGGFGRVYKGWVEEKTLNPAKTGLGMIVAVKKLSPDSMQGLEQWQSEVKFLGRLSHPNLVKLLGYCLEDNELLLVYEYMARGSLESHLFRKGESYEPLSWSLRLKIAIGAARGLAFLHTSEKEVIYRDFKAANILLDSNYNAKLSDFGLAKNGPTDGDTHVTTRIMGTMGYAAPEYMATGHLYVKSDVYGFGVVVLEMLTGMRAVDTNRPVTLRNLVTYARPSLSDRRKLARLMDRRLEGQYPPKGALQVAQLTLECLASDPKKRPNMKEVVQRLEQIEDLKGRPREEAVAGSRGPSSSHNHSPRIA >Ma08_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37820819:37830498:1 gene:Ma08_g24740 transcript:Ma08_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNIPKLNVMGRIQHCSSSACRHRVDEMETSNCLTKGRMCSSLNSCTEEYDWRRQPRDIFSRDLLIWNTLHTDKSQFIFTSVCDSQHLHDPQYKSSCNDMEIGGVASKFFGFMPKFVKIVEVGPRDGLQNEKLTIPAAIKVQLIQKLVSSGLSVIEATSFVSPKWVPQLADAKDVMQAIHVVHGVKFPVLTPNLKGFEAAIASGAKEVVIFASASESFSKSNINCTIEESLIRYHEVVVAAKGLAIPVRGYVSCAMGCPVEGTVPPEKVAYVAKKLYDMGCSEISLGDTIGVGTPGTVIPMLKAVMSLIPVDKLAVHFHDTYGQALSNILVALQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLGKLMAAGDFICKHLGRRSGSKFATALSRVTADASKI >Ma02_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11187900:11199183:-1 gene:Ma02_g01710 transcript:Ma02_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESFHKLEQESGFFFNMKYFEEKAQAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRATAVEILIKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIRTLFTDHTCAPPNGARASPVPVPLAAAPKAAGTYTPLGAHGPFPPQAASNASALAGWMANAAASSSVQSAVVTASSIPVPLNQVSIMKRPRTPPNTISMSDYQNVESEQLMKRLRPGSHPVDEVTYPAALPQVTWSLDDLPRVVACSLTQGSNVTSMDFHPSHHTVLLVGSVNGEITLWEIGLREKLVSKQFRVWEMAACSPQFQSAIVKDSSISITRVIWSPDGNLIGIAFTKHLIHLHGYQAPNDLCQVIEIDAHVGGVNDIAFSHPSKQLCVVTCGDDKLIKVWDLNGQRLFVFEGHEAPVYSICPHRKENIQFIFSTSLDGKIKAWLYDNIGSRVDYDAPGRWSTTMLYSADGSRLFSCGTSKDGDCFLVEWNESEGSIKRQYTGFRKKSTVVVQFDTAQNHFLAAGEDNLIKFWDVDNINMLTSTEADGGLPSRPRLRFNKEGNLLAVTTVDNGFKILANADGLRILRSFGSRSLEPFRAQHEPSPIKVSCSPVVANISPNISIVDRLDRNSPAKPSIILSGGDPTPRNVDNKPKISEELQDKMKSWELAEILNAKQCRVASMPDTDSACKVARLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKATASVVPQHWQPNSGLLMTNDASDTNSEEAVPCIALSKNDSYVMSACGGKISLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTKLKGHQKRISGLAFSNNLGILVSSGADAQLCIWNTETWEKKKSLAIQLPTGKTPASDTRVQFNSDQSRLFVVHETQLAIYDASNTERIQQWVPQDALSAPISYASYSCNSQLVYASFCDGNIGVFDADNLRLRCRIAPSAYMTPAAASSNLPVYPLVIAVHPQEPNQFAVGLADGAVKVFEPLESEGKWGAPVPVDNGVHGSRAQASSTTSNSAVDQLQR >Ma01_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1054994:1056433:-1 gene:Ma01_g01530 transcript:Ma01_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDDLPLWGFLGKIEGVKTDSGKDKYFLFKHIHFNILYNDDRVIEINVQTDPNINLDISEDRKLDVEFLYSIHWFSIVNSCVTVLLLTGFLATILMRVLKNDFVKYSLIEESLEDQEDSGWKYIHGDVFRFPKNKSLFSVIIGSGTQLLVLTMFIFLLALVGVFYPYNRGALYTALVVIYALTSGIAGYTASSFYMQLKGTNWVRNLLLTGCLFCGPLFLTFFFLNTVAITYSATAALPFGTILVILLIWALVTSPLLILCGVTGKNSKIEFQAPCSTNKYPREIPELAWYRGTIPQMAMAGFLPFSAIYVQVELYYIFARVWGHNIYTIYSMLFIVFIILIIGTAFITVALTYFQLAAKDHGWWWR >Ma09_p12390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8347950:8351573:1 gene:Ma09_g12390 transcript:Ma09_t12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMAASQLYGSGLVAKRSMRSLEGRRPSLPWSRVSSSDSVDFKSMCFRVPAFRVSNISGRKLSVSMSLNGTSAGSNVDANNAIRYAEGTKPDLVYDPLKSNPTEESFDGKMGMDMRIQKEGIIPTKRSAKLHDFCFGIPFGGFLFAGGLLGFIFSRNAMAMIHGGAILVLSVLSLKVWRTGRSSLPFILGQAAFSAAFLWKLMQAYTLSKKLFPTGFYIFSSAAMICFYSYVLISGGNPPPKKLAAAPQS >Ma09_p12390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8347777:8351366:1 gene:Ma09_g12390 transcript:Ma09_t12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLYGSGLVAKRSMRSLEGRRPSLPWSRVSSSDSVDFKSMCFRVPAFRVSNISGRKLSVSMSLNGTSAGSNVDANNAIRYAEGTKPDLVYDPLKSNPTEESFDGKMGMDMRIQKEGIIPTKRSAKLHDFCFGIPFGGFLFAGGLLGFIFSRNAMAMIHGGAILVLSVLSLKVWRTGRSSLPFILGQAAFSAAFLWKLMQAYTLSKKLFPTGFYIFSSAAMICFYSYVLISGGNPPPKKLAAAPQS >Ma09_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8347950:8351366:1 gene:Ma09_g12390 transcript:Ma09_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSMAASQLYGSGLVAKRSMRSLEGRRPSLPWSRVSSSDSVDFKSMCFRVPAFRVSNISGRKLSVSMSLNGTSAGSNVDANNAIRYAEGTKPDLVYDPLKSNPTEESFDGKMGMDMRIQKEGIIPTKRSAKLHDFCFGIPFGGFLFAGGLLGFIFSRNAMAMIHGGAILVLSVLSLKVWRTGRSSLPFILGQAAFSAAFLWKLMQAYTLSKKLFPTGFYIFSSAAMICFYSYVLISGGNPPPKKLAAAPQS >Ma04_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21957560:21957814:-1 gene:Ma04_g19350 transcript:Ma04_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLYLHSLGLYEYKRRRLQSSLCDAVDHKGTCTPRSEWLTYSCVTSRMLCPSVSRLLVTLQSSHSQSYMISCAFRRTCDERF >Ma06_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12121377:12122187:-1 gene:Ma06_g17850 transcript:Ma06_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDIDLLNPPAELEKRRHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >Ma07_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24295450:24303241:-1 gene:Ma07_g18080 transcript:Ma07_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDSRVTERDLEDEFRAFGVLRSVWVARRPPGYGFVEFDDRRDALDAIRDLDGKHGWRVELSHNSKGGGGGRGGYGRGGGDMNCYECGEPGHFARECRLRIGPGGMGSGRRRSPSPPRYRRSPSYGRGSRSPRGRRSPLRRSYSSRSRSPAPRRQDSPYRNRSPDRDRRHSYSPRLGKNCSRSPAYRRQDSPYANGDGRRSRSRSRSRS >Ma02_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18623919:18629096:-1 gene:Ma02_g08210 transcript:Ma02_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MASSSSVVSSSSSSSSDKGSGRILQFDPFQSLVDEGFWHRLSSLKLDSLGLDQSPIPLTGFYAPCSHPKVSNRLTLLAESLPSDFKELQFSLERAHGNRNRCPVPGTLYNMNTFESFKALDRDSLLKKEAKKILDDIHSGKVEEDSAVLSRFFIISFADLKKWNFLHWLAFPALVVGPPAVVLNLQPAREVFNQGEAQSLSAALNEWRNSSLTTGVLYFLVSIASNSCATIRQLKDWKACQDDGQKDGKIQFLCYREKRGFADMGLSLVGEAVISPSKDCRDPQCVPKAVGWEPKPKSINLAESMNPERLAVSAADLNLKLMRWRTLPSLDLGTLSSVRCLLLGAGTLGCQVARMLMAWGVRKITLLDSGLVAMSNPVRQSLYTLDDCLDGGSLKAVAAARTLKRIFPAVEAEGVTLSIPMPGHPVPTNEVARVLEDCERLRDLIASHDVTFLLTDTRESRWLPTLLCASENKIAITAALGFDSYLVMRHGAGPLSVSDQTNSLSTVDGNGGQRLGCYFCGDVVAPIDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGLLHHPNGIYAPGEIANSIMSSSEQPFGILPHQIRGLLPQYSQVTLIGHASNSCTACSTTVVSELRRRGTEFILQAIDHPTYLEDLTGLTELIESANSFKLDWDDETDDADDI >Ma02_p08210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18623919:18629096:-1 gene:Ma02_g08210 transcript:Ma02_t08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MASSSSVVSSSSSSSSDKGSGRILQFDPFQSLVDEGFWHRLSSLKLDSLGLDQSPIPLTGFYAPCSHPKVSNRLTLLAESLPSDFKELQFSLERAHGNRNRCPVPGTLYNMNTFESFKALDRDSLLKKEAKKILDDIHSGKVEEDSAVLSRFFIISFADLKKWNFLHWLAFPALVVGPPAVVLNLQPAREVFNQGEAQSLSAALNEWRNSSLTTGVLYFLVSIASNSCATIRQLKDWKACQDDGQKLLFGFYDPSCRLDNPGWPLRNYLAFISLRCQDGKIQFLCYREKRGFADMGLSLVGEAVISPSKDCRDPQCVPKAVGWEPKPKSINLAESMNPERLAVSAADLNLKLMRWRTLPSLDLGTLSSVRCLLLGAGTLGCQVARMLMAWGVRKITLLDSGLVAMSNPVRQSLYTLDDCLDGGSLKAVAAARTLKRIFPAVEAEGVTLSIPMPGHPVPTNEVARVLEDCERLRDLIASHDVTFLLTDTRESRWLPTLLCASENKIAITAALGFDSYLVMRHGAGPLSVSDQTNSLSTVDGNGGQRLGCYFCGDVVAPIDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGLLHHPNGIYAPGEIANSIMSSSEQPFGILPHQIRGLLPQYSQVTLIGHASNSCTACSTTVVSELRRRGTEFILQAIDHPTYLEDLTGLTELIESANSFKLDWDDETDDADDI >Ma04_p37960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35649592:35651456:-1 gene:Ma04_g37960 transcript:Ma04_t37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKKLIDLCKKTSCPVSAGDFVLSHQQTFTIIYPTGFIYTYTEANRTWEAADLHHL >Ma08_p09350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6753597:6764744:-1 gene:Ma08_g09350 transcript:Ma08_t09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRIGMTAVVSVAAAPSTSSLASRRPFLFRTVSPRCPSRRTQKPVGRRASLPVSASLAASTDEAATVPPPGDSSGGIENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRIRRQAERWGAELFQEDVEFIDVQHNPFIVHSSERKVKCHSLIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRRNQLRASKAMQDRVLNNPNITVHFNTEAVDVVSNSRDQMSGVLVKRVDTGEESVLELKGLFYGIGHTPNSHLLEGQIELDSSGYIIVKEGTSKTSVEGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANNLLVEFHQPVTEVVKKEVTDKDAQMGFDITLTKHKGQYALRKLYHESPRLLCILYTAPTCGPCRTLKPILSKQVIDDYNQNVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTLSGVKMKKEYREIIEANK >Ma08_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6753597:6764744:-1 gene:Ma08_g09350 transcript:Ma08_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRIGMTAVVSVAAAPSTSSLASRRPFLFRTVSPRCPSRRTQKPVGRRASLPVSASLAASTDEAATVPPPGDSSGGIENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRIRRQAERWGAELFQEDVEFIDVQHNPFIVHSSERKVKCHSLIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRRNQLRASKAMQDRVLNNPNITVHFNTEAVDVVSNSRDQMSGVLVKRVDTGEESVLELKGLFYGIGHTPNSHLLEGQIELDSSGYIIVKEGTSKTSVEGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANNLLVEFHQPVTEVVKKEVTDKDAQMGFDITLTKHKGQYALRKLYHESPRLLCILYTAPTCGPCRTLKPILSKVIDDYNQNVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTLSGVKMKKEYREIIEANK >Ma06_p07600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5404167:5410082:1 gene:Ma06_g07600 transcript:Ma06_t07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGMQVALGTSALLQELEQIWTEIGESEKEKDHMLVELEKECMQVYRRKVDEARSARARLHQSLVSKEAEVASLMALLGEQHLQLEVEKATSLKGKLASVNPILENLQKKKEDRVKQFSDIWSQIEKLNVEITGFRDAVTIEEHDLSIRKLTEYQTKLRSLQKEKSDRLHNILEHVNEVHSLCGTLGVDFRKIVGEVHPSLHETCGEKSTNISDTTLEGLSQAILKLRTEKKIRVQRLQDASASLLELWNLMDSSEEERRPFEKVTIKFRSPENDVMCPGVLSLEIIKQTEAEVKRLKQLKVSRMKELVLRKRLELEEICRYAHIEPDSSTAPEKTCALLDSCLVDPSELLTNIETQIEEAKKQSMTRKEIIDRVNKWLFACEEENWLEDYNKDWNRYSAGRGGHLNLKRAEKARVIVSKIPAIVDNLMSKIFIWEDERNVPFLYDGVHLVSILEEYKINRQQKEEEKRRYREHKKLRNLLLTEKEAAYGSKSTLKPSNSFDRKTNMYLTNGYGNGFMTPIRRISAGGATPELLTPRSHSGRYNSYFKEARRLSAVAVSKEDAVSLFASVAGSGPGSPHT >Ma06_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5404167:5410067:1 gene:Ma06_g07600 transcript:Ma06_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGMQVALGTSALLQELEVEKATSLKGKLASVNPILENLQKKKEDRVKQFSDIWSQIEKLNVEITGFRDAVTIEEHDLSIRKLTEYQTKLRSLQKEKSDRLHNILEHVNEVHSLCGTLGVDFRKIVGEVHPSLHETCGEKSTNISDTTLEGLSQAILKLRTEKKIRVQRLQDASASLLELWNLMDSSEEERRPFEKVTIKFRSPENDVMCPGVLSLEIIKQTEAEVKRLKQLKVSRMKELVLRKRLELEEICRYAHIEPDSSTAPEKTCALLDSCLVDPSELLTNIETQIEEAKKQSMTRKEIIDRVNKWLFACEEENWLEDYNKDWNRYSAGRGGHLNLKRAEKARVIVSKIPAIVDNLMSKIFIWEDERNVPFLYDGVHLVSILEEYKINRQQKEEEKRRYREHKKLRNLLLTEKEAAYGSKSTLKPSNSFDRKTNMYLTNGYGNGFMTPIRRISAGGATPELLTPRSHSGRYNSYFKEARRLSAVAVSKEDAVSLFASVAGSGPGSPHT >Ma06_p07600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5404429:5410082:1 gene:Ma06_g07600 transcript:Ma06_t07600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILCTVDLREQQIWTEIGESEKEKDHMLVELEKECMQVYRRKVDEARSARARLHQSLVSKEAEVASLMALLGEQHLQLEVEKATSLKGKLASVNPILENLQKKKEDRVKQFSDIWSQIEKLNVEITGFRDAVTIEEHDLSIRKLTEYQTKLRSLQKEKSDRLHNILEHVNEVHSLCGTLGVDFRKIVGEVHPSLHETCGEKSTNISDTTLEGLSQAILKLRTEKKIRVQRLQDASASLLELWNLMDSSEEERRPFEKVTIKFRSPENDVMCPGVLSLEIIKQTEAEVKRLKQLKVSRMKELVLRKRLELEEICRYAHIEPDSSTAPEKTCALLDSCLVDPSELLTNIETQIEEAKKQSMTRKEIIDRVNKWLFACEEENWLEDYNKDWNRYSAGRGGHLNLKRAEKARVIVSKIPAIVDNLMSKIFIWEDERNVPFLYDGVHLVSILEEYKINRQQKEEEKRRYREHKKLRNLLLTEKEAAYGSKSTLKPSNSFDRKTNMYLTNGYGNGFMTPIRRISAGGATPELLTPRSHSGRYNSYFKEARRLSAVAVSKEDAVSLFASVAGSGPGSPHT >Ma02_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16234595:16238942:-1 gene:Ma02_g04830 transcript:Ma02_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGPFILGNPPRHHPLTPLRAFRGVLCLVILLLTAFMMVVYCAPVTTILLRLFSVHYSRKATSLFFATWLSLWPFLFEKINKTKVIFSGETLPMRERVLLFANHRTEVDWMYIWDLAWRKGRLGYLKYILKGSLMKLPIFGWAFQILEFIAVERKWEIDESIMRKKLSSFEDPQDPLWLVIFPEGTDYTEKKCIKSQQFAAENGLPILRNLLIPKTKGFFACLEVLRNSLDAVYDVTIGYKHRCPTLIDNIFGVDPSEVHIHAQRILLCEIPTSENEAAKWLIARFRVKDELLADFTTLGYFPNPGTEGDLSTLACLAKCSLVMVLTGTFMYLTLFSSIWFKIYVAFSCASLSFATYFNVLPSPLHDCTKALFYGKMKTM >Ma06_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3472912:3473642:-1 gene:Ma06_g04670 transcript:Ma06_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKLAVLLLALVCSTLSAATSPCNPETPKPKTPTPYFPPKTAPPNPFCPWDTLKLGACLDFLGDVGLLVGAAPSRGKCCALLEGLTDAEAALCLCTTIKESVLGVTTKWTVALSIVVSSCKKQIPDGFKCV >Ma04_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17296742:17297203:1 gene:Ma04_g17100 transcript:Ma04_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFSTTLQGPARAWYSGLKAGIVASFDQLAKDFKLNFLAYARPKPSVVLLLGFNQREDEPLSHFVNRFTTQIRGLSDVHPSLLMQAFMTGMRPSRFFWSLVERPPAAVPEILQRASQFIAAKTWMAEKREEHKKVKSEPPR >Ma03_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23696898:23697771:1 gene:Ma03_g18040 transcript:Ma03_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPLPIVLVRLSLLCLRLISRLLYFLPKKLTSLLLSPSSSSSSSSSPSHENPAASFTSTVAARPASSAPSMDPSELKPVFHMFDRNGDGRITKEELSDSLRNLGMRVPEAELASMIERIDANGDGYVDSDEFATLYRSIMEERDEEEEDMREAFNVFDRNGDGFITVEELRSVLASLGLKQGRTAEDCKTMINTVDVDGDGMVDFKEFRQMMNGGGFAASS >Ma03_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11202498:11208996:-1 gene:Ma03_g14030 transcript:Ma03_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALPEENAGEAAPDAMAAAEEEAAAAVESVAEETSGKTGGDRPISTILIVIAMQTEALPLVAKFGLAEDADGSVFPKGVPWVRYHGTYKGLHIDLVWPGKDTILGVDSVGTVSSALLTFASIQALKPDLIINAGTAGGFKAKGACIRDVYLPSHVAFHDRRIPIPVFDLYGIGSRQTFSTPNLLKEVNLKVGRLSTGNSLDMSPQDEASILANDATVKDMEGAAVAYVADLLSVPAIFVKAVTDIVDGDKPTAEEFMQNLIAVTAALDHAVTEVVDFINGKSLYDL >Ma02_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21917018:21917215:1 gene:Ma02_g13570 transcript:Ma02_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHCRLDAWRRMLQPTLPGTARIRRRSHGRTANYEEGASHGLRGRIAVASAGGSSSQLRLSGVT >Ma01_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4759867:4762005:-1 gene:Ma01_g06650 transcript:Ma01_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSVLAQLIQSYARARKLNRGKQLHAHLISLGGVPSTFVANHLINMYAKCGDIHHAAALFDGMTQRNLVSWSAMISGFSQNGQALEALRTFSLMCGAGVRPTEFAFSSAIQASASFGSLAYGRQMHCSSVKLGFDDELFVGSNLAEMYAGCGSLIEARLVFEEMPWKDEVSWTTMIDGYAKNGNFEDALRAFKEMIDAGRITIDQHALCSALNACAGLKAYRFGQSLHSSVVKLGLESETFIVNALTDMYAKAGDMDSASSVAKKVGSDWNVVSCSSLIDGYVEMNQFVEALRTYVDCRRQGTEPNEFTFSSMVKACANQAVLEQGTQFHAQVIKTSFDMDPYVSSSLVDMYGKCGILKCSIQLFGEIQHASDAAWNSMVGALAHHGRGKEAVEAFHRMVAGGCKPNHISFVNLLMACSHSGLVESGLEYFHSMHKTYGVEPAEEHYSCVIDMLARAGRLEEVKDFIGRMPFEPNAYGWCSLLGACRTHGDVELGEFAAEKLMKLEPGNTGIHVLLSTMYASMGHWEDVKAARKLMRDSRVKKLPGFSWVDVDNKAHVFGADDPSHPQIKEIHEKLDELSVKLSQAGYVPVTASVASNLEEGSRGRSLHHHSERIAVAFALISTPPTKPIIVKKNLRICIDCHSAIKLISQIESREIIVRDNARFHHFADGMCSCGDYW >Ma06_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6906731:6913520:1 gene:Ma06_g09930 transcript:Ma06_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVNAIAVTRMMTTATMTSAAWGSTAVVLQQREDIPFGSLMWCAYAGISCVLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPAEKKQAATILPVVQKQHQLLVTLLLCNAAAMEALPLYLDKIFNPFVAIVLSVTFVLFFGEVIPQAICTRYGLAVGASLVWLVQILMVICYPIAYPLGKILDYALGHNESALFRRAQLKVLVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTIRPETETPVSAISIRRIPRVPADMPLYDILNEFQNGSSHMAAVVAAKVKTKNISPADGDEYEENKEPSGVSELTTPLLSKTADKSDTVVVNIDKCQNKQVNENKPAHSSEATEDGEVIGIITLEDVFEELLQEEIVDETDEYIDVHKRIRVAAAAAASSVARTPTYKRLTKQKSAGPVPRQGQQSTGFPRKSTELDPNTLRHQVTLVEPISGTKR >Ma01_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7974367:7985267:-1 gene:Ma01_g11070 transcript:Ma01_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFPNRNAEGSSRLLLLIAANMLIASCVSVTRSLPTRLSYAPRHLCLLAAASPKPVQNKSFPCPLWSASFSFCLDRRPKSTTSSAAPPPSSMAFAADSLDSNPLLHDFAFPPFDVVEPKHVRPGIRELLRKLESDLENLERTVEPTWPRLVVPLEKIMDRLQVVWGIVNHLKSVKDSPELRSAIEEVQPEKVKFQLRLGQSKPIYDAFKAIQNSSSWSTLSDARKRIVEAQIKEAVLSGVALEDEQRKKFNEIEQELEKLSQKFSENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAALKGHENASAENGPWMITLDAPSYIPVMQHAKNRSLREEVYRAYVMRASSGDLDNTPIIGQILKLRLEKAKILGYKNYAEVSMAMKMATVDRAAELLEKLRSASWDPAVQDMEDLKTFAGNAGAAEANQLTHWDITFWSERLRESKYDINEEELRPYFSLPKVMDGLFGLAKKLFDINIETADGLAPVWNNDVKFYSVKDSSNNPIAYFYFDPYSRPSEKRGGAWMDEVFARSYVLARDGAPVRLPIAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRNTLMSIAKHYETGEPLPEEVYQRLVAAKTFRAGSLSLRQIRFSSVDLELHTKYIPNGPESIFDIDQRVGRMTQVIPVLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDSDKTIRETGHRFRETILALGGGRSPLEVFVEFRGREPSPEPLLRHNGLLPVPASA >Ma08_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37635893:37636838:1 gene:Ma08_g24470 transcript:Ma08_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALGSISMALRYSTPNIIIPRDPTKKAVMRASMLPNKQITPKASIPLIRSCMHIKIYEDKAMGIICYKDAKGEVICEGYDEGPRYSRPPQEEEHQERQKEVQIPSFLQPVKPRYAKEDPYFCQIVREQW >Ma08_p24470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37635874:37636838:1 gene:Ma08_g24470 transcript:Ma08_t24470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRYSTPNIIIPRDPTKKAVMRASMLPNKQITPKASIPLIRSCMHIKIYEDKAMGIICYKDAKGEVICEGYDEGPRYSRPPQEEEHQERQKEVQIPSFLQPVKPRYAKEDPYFCQIVREQW >Ma04_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5256494:5260526:-1 gene:Ma04_g07220 transcript:Ma04_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGSCDSSCGVVVVPEAGAGVPPRPTVSLPPRPSLESLFQGGGSGWGAWEASPGPLTLVSSFFAEDSESECRSFTQLLAGAMNSPVAAAAVRMTAGSAGEQGKDAEKRFSGDEAESGSGFVRSGQNRPPSLAISQPQVFAVPPGLSPASLLDFPAFFSPGQGNFAMSHQKALAQVTAQAAESPFRLLNQTQLPSPSLASTATTSSQQPIQEIPTLKPSYIAVESAEGSQSDKKSQPHTTIVDKSAQDGYSWRKYGQKVVKGSNYPRSYYKCTHPKCPVKKKVERSVDGQVTEIIYKGQHNHQRPTPNKRTKVDSNLPCGLDETNGDHGNLEPGFLGCHGNLRKLNNITAAPASGRDQESNYGTLEQVSGSSDSEEVAAIRIGEGDHNEHDAKRRNIAASSQRTLTEPRIIVQTTSEVDLLDDGYRWRKYGQKTVKGNPHPRSYYKCTYAGCRVRKHIERSPTDPKSVITSYEGKHNHDVPAARNSSHSRVTTVSLTSADIKAYDQTPVALLQLKEEHQVT >Ma09_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9066613:9077204:-1 gene:Ma09_g13450 transcript:Ma09_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRLVIASIVFFLLVAGVWAEEAAIGDGIVEPEPSDSALKRELELLRSKIQDLEIGISDRTRELNNRDDRIKNLEKIIQEKSATISTLNGQIESLQKKGALDAEELLGKAYTRAAGLEKQVDNLRNELETQSNNRGALEARASEAEKKVRELILKLENLEKTNDEQKHLIRKTERALQVAKEELIIVQLEATAKLEELTKIHGAWLPPWFATHISYCQNLVETHWKEHGKPALDVVLQKASDKSAQAQKWLEPHLEIVKTRWVPAVKERWVILVTSAEPYVQQVSTKTMEVYETSKNTIAPHVEKVQKVADPYLQEAKKLSKPYIDQVATVTKPHVEKVRITLKPYTKRVVHVYRKLHKSVAAYHRQVEASIKEHLKKHELTEPLVTKELVWYMASATLALAIFCVYRLVACILWSKMRKSTQNGQTKNAHRRPKRRHADK >Ma02_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24776031:24777433:-1 gene:Ma02_g18200 transcript:Ma02_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNPRVTSAISAFLLHLRNPISTIDHRNHHFNRRDRKPNTKTTTTTTSNGSNNHPNEDEGNADDQSTGGLEVVEGGPGSRAGGAAGRRPRGRPPGSKNKPRPPVIITRENPNALHAHVLEVASGTNIMDAVATFARRRQRGVCILSGSGVVTSVTLRQPGAPAGVITLPGCFEILFISGSFLPTPSPPGATGLAVYLAGGEGQVVGGNVVGKLVASGPVMMIAATFLNTTYERLLHEDEEPAAVVAQLGTEGLPQRPRGNEGGGRWSSQLAHGRLDPASMSLFNLPPNLLPDGQIPHEVLGAWASAAAPRPPPSY >Ma06_p26810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28715517:28731052:-1 gene:Ma06_g26810 transcript:Ma06_t26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATDKRRVRRVPQNGGRDPSDPPSKKTSSSKDVFQLFAEKVRDNKKLESRWAIMQETRVEYFRGKDITIFLRNHPEVKQILDSDKDLEVEDIVNTLLMKNLLVRCDRVVKTIRPGKKKLSSWPAHLQIHSEQVFSENDGFFAWTFMKRRTLWQTILSFLWPLVALAVCLFPVYPYQCKIVVLYSCAGALLFLVTLLLLRGAIFGFLYVVLGKRIWFFPNINAEETTFRELIRFWPKKDEEEPPKWTSRLTYAVAAVLFILLLRHHAPDEAARARYQKKVYNIIDDVLEWSPKLALSGMMEKQHPVDNATDINYTQDESQAANAEELTDDNVMVNISSSGTDDHDAGEVET >Ma05_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32198121:32199435:1 gene:Ma05_g20550 transcript:Ma05_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGAIAYRATASIVVAPLLVLVVIYACLWPLGVPTAFFRLQHGANTTEITPKDELEAALEGVAMENRTLIIAILNKAYVEQNAMLDLFLQSLGEGEDTESLIDHLLFVTVDQRAFNRCRTLELHCYNLVTEGVDFSKEVFYMSDAFNNMMWRRTLFLGDVLRRGYNFIFTDMDVMWLRNPFSQLSRDGEDLQMSSDFYYGKPFNNSNFNTGFYFVTANKKTVALFDEWYAWRNNSKGMKEQDVLQKMKKEGAFTRLGLKVRYLETTYFSGFCQMSRDLRKVITVHANCCVSMKVKLIDLRSVLEAWKVSNSNGTSNATTTAWPPVKGICLHDTATKQHTATKH >Ma07_p04910.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3592729:3598665:1 gene:Ma07_g04910 transcript:Ma07_t04910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRVAKEVGDGTFGTVWQAINKQSGEVVAIKKMKIKYYSWEECLNLREVKSLRRLNHPNIIKLKEVIRENDTLYLVFEYMECNLYQLMKKRGKPFTEVEIRNWCFQIFQALAYMHQRGYFHRDLKPENILVTKNILKIADFGLAREASSKPPFTEYVSTRWYRAPEVLLQSSVYDFAVDMWAMGAIIAELFTLCPLFPGSSEADEIYKICSVIGPPNRNSWAKGLQLADAIKFQFPQLASVPLSLLIPSASQDAVNLISSLCSWDPSRRPTSVEVLQHSFFQPCFYIPPSPRVRLSVGAPKTSPSVIMKGVLESEAARRYSLDFLSNARMSSNLSFTNVNESSRTGVQRNIKMSHQENKNERFDTNDIKPYRHQPAARNTPGYSRMTCNVVEKLAHLTVSPNRDSHIAGKAPNLNLRPAREPSQLVTQPPALKSGIWHGRTALLGRPNDIPAARCYHARKVAG >Ma07_p04910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3592729:3598665:1 gene:Ma07_g04910 transcript:Ma07_t04910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKYYSWEECLNLREVKSLRRLNHPNIIKLKEVIRENDTLYLVFEYMECNLYQLMKKRGKPFTEVEIRNWCFQIFQALAYMHQRGYFHRDLKPENILVTKNILKIADFGLAREASSKPPFTEYVSTRWYRAPEVLLQSSVYDFAVDMWAMGAIIAELFTLCPLFPGSSEADEIYKICSVIGPPNRNSWAKGLQLADAIKFQFPQLASVPLSLLIPSASQDAVNLISSLCSWDPSRRPTSVEVLQHSFFQPCFYIPPSPRVRLSVGAPKTSPSVIMKGVLESEAARRYSLDFLSNARMSSNLSFTNVNESSRTGVQRNIKMSHQENKNERFDTNDIKPYRHQPAARNTPGYSRMTCNVVEKLAHLTVSPNRDSHIAGKAPNLNLRPAREPSQLVTQPPALKSGIWHGRTALLGRPNDIPAARCYHARKVAG >Ma07_p04910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3592729:3598665:1 gene:Ma07_g04910 transcript:Ma07_t04910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRVAKEVGDGTFGTVWQAINKQSGEVVAIKKMKIKYYSWEECLNLREVKSLRRLNHPNIIKLKEVIRENDTLYLVFEYMECNLYQLMKKRGKPFTEVEIRNWCFQIFQALAYMHQRGYFHRDLKPENILVTKNILKIADFGLAREASSKPPFTEYVSTRWYRAPEVLLQSSVYDFAVDMWAMGAIIAELFTLCPLFPGSSEADEIYKICSVIGPPNRNSWAKGLQLADAIKFQFPQLASVPLSLLIPSASQDAVNLISSLCSWDPSRRPTSVEVLQHSFFQPCFYIPPSPRVRLSVGAPKTSPSVIMKGVLESEAARRYSLDFLSNARMSSNLSFTNVNESSRTGVQRNIKMSHQENKNERFDTNDIKPYRHQPAARNTPGYSRMTCNVVEKLAHLTVSPNRDSHIAGKAPNLNLRPAREPSQLVTQPPALKSGIWHGRTALLGRPNDIPAARCYHARKVAG >Ma07_p04910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3592729:3598665:1 gene:Ma07_g04910 transcript:Ma07_t04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRVAKEVGDGTFGTVWQAINKQSGEVVAIKKMKIKYYSWEECLNLREVKSLRRLNHPNIIKLKEVIRENDTLYLVFEYMECNLYQLMKKRGKPFTEVEIRNWCFQIFQALAYMHQRGYFHRDLKPENILVTKNILKIADFGLAREASSKPPFTEYVSTRWYRAPEVLLQSSVYDFAVDMWAMGAIIAELFTLCPLFPGSSEADEIYKICSVIGPPNRNSWAKGLQLADAIKFQFPQLASVPLSLLIPSASQDAVNLISSLCSWDPSRRPTSVEVLQHSFFQPCFYIPPSPRVRLSVGAPKTSPSVIMKGVLESEAARRYSLDFLSNARMSSNLSFTNVNESSRTGVQRNIKMSHQENKNERFDTNDIKPYRHQPAARNTPGYSRMTCNVVEKLAHLTVSPNRDSHIAGKAPNLNLRPAREPSQLVTQPPALKSGIWHGRTALLGRPNDIPAARCYHARKVAG >Ma07_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3592734:3598665:1 gene:Ma07_g04910 transcript:Ma07_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRVAKEVGDGTFGTVWQAINKQSGEVVAIKKMKIKYYSWEECLNLREVKSLRRLNHPNIIKLKEVIRENDTLYLVFEYMECNLYQLMKKRGKPFTEVEIRNWCFQIFQALAYMHQRGYFHRDLKPENILVTKNILKIADFGLAREASSKPPFTEYVSTRWYRAPEVLLQSSVYDFAVDMWAMGAIIAELFTLCPLFPGSSEADEIYKICSVIGPPNRNSWAKGLQLADAIKFQFPQLASVPLSLLIPSASQDAVNLISSLCSWDPSRRPTSVEVLQHSFFQPCFYIPPSPRVRLSVGAPKTSPSVIMKGVLESEAARRYSLDFLSNARMSSNLSFTNVNESSRTGVQRNIKMSHQENKNERFDTNDIKPYRHQPAARNTPGYSRMTCNVVEKLAHLTVSPNRDSHIAGKAPNLNLRPAREPSQLVTQPPALKSGIWHGRTALLGRPNDIPAARCYHARKVAG >Ma11_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17749833:17762352:-1 gene:Ma11_g13410 transcript:Ma11_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQFSVLPPPPLPSRSPPLRPAPRLLLPSDGCSLNLLHSRRRRRRRRPLVIVLGSGGGDRWKLTDLDPNAVQDRLRSWLVKARTLLTEVATPIVKPGQGRKPATARELENMAVEEEVFVASEMTVDRRTTNGFLSFAAAVSIEQFARMNGLTGRKMQKIFEALAPETIRTDARSLVEYCCFRYLSRDSSDIHPSLKEHAFQRLIFVTMLAWEQPYARDGGSQFLQDTSSFQGQLVGEDAFVRIAPAVAGVSDVSTAHSLFKALAGDEQGISLSLWTTYLAELLKVHQGRQSYENGDFVLPYEQLLCIGSSRKRPVLKWENNIAWPGNLTLTNKALYFEAIGLTGTKKSVRLDLTCHGSRIEKTKVGPFASKLFDSAVSVSSGLNSETWILEFVDFGGEMRRDVWHAFIREIISLYEFLREYGPDDDDPSIHDVYGAHKGKRRAIRSAANNIARLQCLQFIRKLSEDPAKLVQFSYLRNIPYGDVVFQTLAVSFWGGPLVTKFKHTNNLPVQRMKSVEDLSGSNVHLIDIDGSVYLRKWMKSPSWSSSSSVTFWKNSLVKHGIVLAKNLVVADLNLVERAALTCKEKSRIVEKTQATIDAAMIKGIPSNIDLFKELMLPLVVVAQKFDKLRRWEKPRVTISFLVFAYTIIFTNLLSYVLPATLIVMATTMLLLKGLKEQGRLGRFFGRVVIRDQPPSNTIQKIIALKEAMAYVENYLQNINVILLKIRTIMLSVQPEVTFEVAVVLLGSAISLLVIPFKYILAFIIFDLFTRELQFRREMVMKFVSFLRERWAGIHAAPVVVLPYESPETVTEGVNTTNPDRIKSGRILGNGSAAKS >Ma11_p13410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17749833:17761875:-1 gene:Ma11_g13410 transcript:Ma11_t13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTGRKMQKIFEALAPETIRTDARSLVEYCCFRYLSRDSSDIHPSLKEHAFQRLIFVTMLAWEQPYARDGGSQFLQDTSSFQGQLVGEDAFVRIAPAVAGVSDVSTAHSLFKALAGDEQGISLSLWTTYLAELLKVHQGRQSYENGDFVLPYEQLLCIGSSRKRPVLKWENNIAWPGNLTLTNKALYFEAIGLTGTKKSVRLDLTCHGSRIEKTKVGPFASKLFDSAVSVSSGLNSETWILEFVDFGGEMRRDVWHAFIREIISLYEFLREYGPDDDDPSIHDVYGAHKGKRRAIRSAANNIARLQCLQFIRKLSEDPAKLVQFSYLRNIPYGDVVFQTLAVSFWGGPLVTKFKHTNNLPVQRMKSVEDLSGSNVHLIDIDGSVYLRKWMKSPSWSSSSSVTFWKNSLVKHGIVLAKNLVVADLNLVERAALTCKEKSRIVEKTQATIDAAMIKGIPSNIDLFKELMLPLVVVAQKFDKLRRWEKPRVTISFLVFAYTIIFTNLLSYVLPATLIVMATTMLLLKGLKEQGRLGRFFGRVVIRDQPPSNTIQKIIALKEAMAYVENYLQNINVILLKIRTIMLSVQPEVTFEVAVVLLGSAISLLVIPFKYILAFIIFDLFTRELQFRREMVMKFVSFLRERWAGIHAAPVVVLPYESPETVTEGVNTTNPDRIKSGRILGNGSAAKS >Ma02_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20867711:20875959:1 gene:Ma02_g11950 transcript:Ma02_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYPGHVGAVQVGAYFLEQYYRILQQQPELVHQFYTDVSSMARFDGTATETATGMVQIHRLVMCLNFKGIEIKSAHSLESWNGGVLVMVSGYVQLKDYSVRRKFVQTFFLAPQEKGFFVLNDIFHLLEEEHVHQHPAAIVGHSNFETNLNVSSSVPETVSDYMLGEQVQDQDLVPPVHVEENDIVEKYSIPEAPQQLPQPDERIDESPAEDTASYPSGLDTMREPPPATPEEPVGEPTRQTYASILRAKSQSGQSIPHPTSLTKTTHVASEWAHSSQSTAQQPQPAMVPEKSSSEVVEEAPPVEDEGEARSVYVGNLPSSISASDLEQVFKNFGRLRSDGVSIRSRKESDVFYAFIEYEDAIGVQNALKASPIQLNGRLIHVEGRRPNSGASRGKRGRGRGGYPSDASRGRFTSRNFGRGSRQDSYDRDYNSRPRGNGYPQRVQERGS >Ma10_p28700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35508354:35509030:1 gene:Ma10_g28700 transcript:Ma10_t28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAPPTPRRTPSPSPREAPAAAPPPRCPSV >Ma02_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19425915:19428697:1 gene:Ma02_g09450 transcript:Ma02_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVACVLAALALAERDVAGVKRGFVAQGRVFRDTCRAGFETPASTYIRGEVECRSKVIGTTICSFELVSHTRQSGDTFLPTADGGTVQPPRLTAR >Ma10_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28486460:28494311:-1 gene:Ma10_g16930 transcript:Ma10_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCEGVEAPRLLIAPLPPITNKGEDIIGNANAEGCLLSLRHPQSGKPACYLLREGYLQELHWFKQSYGSWFLGDYACEDGSLYISTPIDPIFVLLPIFEEARMKKASDQGVFRQLDEILYVDGYPGYQHLFSVAKDTMNMVCEVKEIGSSKFFRLDNSRVLAWLCCKVQHLKATLLELDKNYTAQEEGETLKDVISILGEYVKDEPWLMLLCSHLKLDIEETHKETTKTMTGQIFLENNSESCHTFQSKVENGRSMTSNRRQSKRPKTETNSQNIKDMFRRVTRKGTS >Ma11_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23483296:23490539:1 gene:Ma11_g18430 transcript:Ma11_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 16, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G12600) UniProtKB/Swiss-Prot;Acc:Q9LHK1] MSDLVARTGRHQQRYEAGCRLVSGCIPFRYRNCDDTSDAKPDPEKEVEVLMINSPSGPGLLFPKGGWENDETVEEAAVREALEEAGVRGDIVSFLGDYIFKSKTLQDEFSPEGLCKAAVFAMHVREELELWPEQSTRHRTWVTIPEAAEQCRHPWMRDALLDGFIKWHASTMATPEEDVTPEG >Ma07_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30207572:30208060:1 gene:Ma07_g22150 transcript:Ma07_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWIGSRSTTRAGGRWDAHGRLPTRQSAALLILCSSLHHLPPSPSPCFRPHGIRVRGCSSHVGLDHDIDLGGSPSSTPVIFGAFHQTGGDFLLASNPRVTVCHTSYNITGTHESTHQDGSITLQSQRTDPHAFSYQDLKVCFGINGFRKGPHVVLDSADTLI >Ma04_p39600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36657664:36658361:1 gene:Ma04_g39600 transcript:Ma04_t39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCRGTLPEQIRAGTLARARLPRERLCLRTGRWEASVSRESHAG >Ma10_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5534811:5541095:1 gene:Ma10_g01940 transcript:Ma10_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYERVEKGAAPGGDIEAGTLYPGLSRGDNELRWGFVRKVYGILAAQVLLTTAVSAATVLYRPVNAALASSPGLALCLAFLPLFLLCPLYYYQQKHPLNFLFLGLFTVCLSLSVGVACANTQGRIVLEALILTSAVVLSLTGYTFWASRKGKDFSYLGPIVFSGLMVLFLSTFIQIFFPLGPTSVAIFGGLGAVVFSAFIVYDTDNLIKRYNYDEYIWASVVLYLDILNLFLSIMNMLRGMQSDG >Ma02_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23939600:23940350:-1 gene:Ma02_g16690 transcript:Ma02_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQMSSSRITDEQINDLLTKLQAVLPEAHLRSTDRVSAAKVLQDTCNYIRSLHREVDDLSERLSELLANNDINSAQAAIIRSLLR >Ma08_p32780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43379362:43387932:1 gene:Ma08_g32780 transcript:Ma08_t32780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESDEYNVPIISCETGNIRSCLEKHGQEVLTMNGLSPYATPPLSPMSSYASCSSSFGDIWTGLNLQGREDGCGVIDTGEKHRGKSIEASFARTSADGSGHHILTGKNLSSSSLDSNEEENKLVNHILDDVQAKNVGLDSFKAEDLVDNDSPTMLPNFETDPGIWDPPEPECMEDDEPSIADNYEDDEYGSTEWKQPSSLSILDEHQGINHSFREKKQKAMLEEMNRQFKVLVSRFLASEGISFSGLDDGQSWLDIVASLSWKAALLVKPDATEGRAMDPGSYVKVKCVASGSRHQSELIKGLVFKKHAAHKHMPTKFKNPKLLLLQGALGHSAVGLSSFDSMGQEKDYLRSLAEMIEACHPNVVLVEKNISRDIQESLTEKGITVVSDMKLHRLERISLCTGSPIISCANFPAKLILPQCDSFHIERFVEECNNISEGGRKPSKTLMFLEGFSRPLGCTILLKGAQSDELKKIKQVVQYTVFAAYNLILETSFLADQRLLLSDKNAVRERSANFRTRPVLSISSDATKYSDSLEGCLSTVASENALDVPIYNESLGIINDTAFSASQDTSLNSELLHMLSDKSSSIGMLMLLSPLQPQLLLSPLSASQEKFQGVNFQPLKSNHESSRFFMNNRPFDGPSIGPHALLDVSDHENPVSSDVSEEKIDEVLYFKKTGPARDSTQGIDTDACSTVESKPQDDMESVLNSQSIVVLLSKQCTIKGVICRHSHLSRIRYYGHFDVSLGRFLRDILLNQKSCSSCGKPPEAHMYSYTHQNGNLSVHVRKLPPMLHLPGEAEGKIWMWTRCLRCKDGSGISTRRVVLSSSARYLSFGKFLELSFSCHSVARLSECGHMLHRDFLRFFGLGSKVAVFVYSSVKIYAACKPPPVLDINNPKRQEWLRREMEILLYRGRGLFSEVSNLLQNLKPIYSGTLAKQCAEVSGSLKNLSEVEEMLIQEKAEFEAPLLKAVDHSGQIEITPHEILGLKWLYQELLFELYVWDCRLGRLLQHTNFQQGKDILVHDDEVPKLPSHEDEPPRFTGSHHAQDMNINLDIESTEASIVTSHSDYKLLDIGPVEVERSTDLPSPSEGKQSCQVVCVPISGDLQFDHSIQIVEEPYIEKKTDVELKKDEKVAGGEILAASLSNEHSNILDGIYAKSEGPEEMIWASFSDLKREYRRDLHSGSLHKFEFVNTYSPFHLSPIYQPSSGEMDLLHYSVGPNGTIISASKDEISSIIACGLAILEDQHGLLDRVGKESGEIHKSYSLTSVNSEICTYWSSVGYSESGINLSRGASSLSFDESSTSISDGSLSVNQLLPSENLHPEVTVGIEKVSGKSIFSVVCIYAKQFYKLRKKYCPSELSYICSLSRCKKWDAQGGKSKAFFAKSLDDRFIIKQIKRTELDSFLKFGPDYFKHVFHSVDSGSDTCLAKIMGVYQVQQNIGGKEIKTDLMVMENLLYGHKISRIYDLKGTVFSRYIPDAKHGEKVLLDQNFVEDMDKSPIYVSGKTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDDERQELVFGIIDYLRQYTWDKQLETWVKASMVVPRNELPTVISPKEYKKRFRKFMARYFLAVPLSWNCDHCSPSCIFCVDSKRNSAKIHNAELPEQS >Ma08_p32780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43379362:43387932:1 gene:Ma08_g32780 transcript:Ma08_t32780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESDEYNVPIISCETGNISEYQPERCRSCLEKHGQEVLTMNGLSPYATPPLSPMSSYASCSSSFGDIWTGLNLQGREDGCGVIDTGEKHRGKSIEASFARTSADGSGHHILTGKNLSSSSLDSNEEENKLVNHILDDVQAKNVGLDSFKAEDLVDNDSPTMLPNFETDPGIWDPPEPECMEDDEPSIADNYEDDEYGSTEWKQPSSLSILDEHQGINHSFREKKQKAMLEEMNRQFKVLVSRFLASEGISFSGLDDGQSWLDIVASLSWKAALLVKPDATEGRAMDPGSYVKVKCVASGSRHQSELIKGLVFKKHAAHKHMPTKFKNPKLLLLQGALGHSAVGLSSFDSMGQEKDYLRSLAEMIEACHPNVVLVEKNISRDIQESLTEKGITVVSDMKLHRLERISLCTGSPIISCANFPAKLILPQCDSFHIERFVEECNNISEGGRKPSKTLMFLEGFSRPLGCTILLKGAQSDELKKIKQVVQYTVFAAYNLILETSFLADQRLLLSDKNAVRERSANFRTRPVLSISSDATKYSDSLEGCLSTVASENALDVPIYNESLGIINDTAFSASQDTSLNSELLHMLSDKSSSIGMLMLLSPLQPQLLLSPLSASQEKFQGVNFQPLKSNHESSRFFMNNRPFDGPSIGPHALLDVSDHENPVSSDVSEEKIDEVLYFKKTGPARDSTQGIDTDACSTVESKPQDDMESVLNSQSIVVLLSKQCTIKGVICRHSHLSRIRYYGHFDVSLGRFLRDILLNQKSCSSCGKPPEAHMYSYTHQNGNLSVHVRKLPPMLHLPGEAEGKIWMWTRCLRCKDGSGISTRRVVLSSSARYLSFGKFLELSFSCHSVARLSECGHMLHRDFLRFFGLGSKVAVFVYSSVKIYAACKPPPVLDINNPKRQEWLRREMEILLYRGRGLFSEVSNLLQNLKPIYSGTLAKQCAEVSGSLKNLSEVEEMLIQEKAEFEAPLLKAVDHSGQIEITPHEILGLKWLYQELLFELYVWDCRLGRLLQHTNFQQGKDILVHDDEVPKLPSHEDEPPRFTGSHHAQDMNINLDIESTEASIVTSHSDYKLLDIGPVEVERSTDLPSPSEGKQSCQVVCVPISGDLQFDHSIQIVEEPYIEKKTDVELKKDEKVAGGEILAASLSNEHSNILDGIYAKSEGPEEMIWASFSDLKREYRRDLHSGSLHKFEFVNTYSPFHLSPIYQPSSGEMDLLHYSVGPNGTIISASKDEISSIIACGLAILEDQHGLLDRVGKESGEIHKSYSLTSVNSEICTYWSSVGYSESGINLSRGASSLSFDESSTSISDGSLSVNQLLPSENLHPEVTVGIEKVSGKSIFSVVCIYAKQFYKLRKKYCPSELSYICSLSRCKKWDAQGGKSKAFFAKSLDDRFIIKQIKRTELDSFLKFGPDYFKHVFHSVDSGSDTCLAKIMGVYQVQQNIGGKEIKTDLMVMENLLYGHKISRIYDLKGTVFSRYIPDAKHGEKVLLDQNFVEDMDKSPIYVSGKTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDDERQELVFGIIDYLRQYTWDKQLETWVKASMVVPRNELPTVISPKEYKKRFRKFMARYFLAVPLSWNCDHCSPSCIFCVDSKRNSAKIHNAELPEQS >Ma08_p32780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43379324:43387932:1 gene:Ma08_g32780 transcript:Ma08_t32780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLSPYATPPLSPMSSYASCSSSFGDIWTGLNLQGREDGCGVIDTGEKHRGKSIEASFARTSADGSGHHILTGKNLSSSSLDSNEEENKLVNHILDDVQAKNVGLDSFKAEDLVDNDSPTMLPNFETDPGIWDPPEPECMEDDEPSIADNYEDDEYGSTEWKQPSSLSILDEHQGINHSFREKKQKAMLEEMNRQFKVLVSRFLASEGISFSGLDDGQSWLDIVASLSWKAALLVKPDATEGRAMDPGSYVKVKCVASGSRHQSELIKGLVFKKHAAHKHMPTKFKNPKLLLLQGALGHSAVGLSSFDSMGQEKDYLRSLAEMIEACHPNVVLVEKNISRDIQESLTEKGITVVSDMKLHRLERISLCTGSPIISCANFPAKLILPQCDSFHIERFVEECNNISEGGRKPSKTLMFLEGFSRPLGCTILLKGAQSDELKKIKQVVQYTVFAAYNLILETSFLADQRLLLSDKNAVRERSANFRTRPVLSISSDATKYSDSLEGCLSTVASENALDVPIYNESLGIINDTAFSASQDTSLNSELLHMLSDKSSSIGMLMLLSPLQPQLLLSPLSASQEKFQGVNFQPLKSNHESSRFFMNNRPFDGPSIGPHALLDVSDHENPVSSDVSEEKIDEVLYFKKTGPARDSTQGIDTDACSTVESKPQDDMESVLNSQSIVVLLSKQCTIKGVICRHSHLSRIRYYGHFDVSLGRFLRDILLNQKSCSSCGKPPEAHMYSYTHQNGNLSVHVRKLPPMLHLPGEAEGKIWMWTRCLRCKDGSGISTRRVVLSSSARYLSFGKFLELSFSCHSVARLSECGHMLHRDFLRFFGLGSKVAVFVYSSVKIYAACKPPPVLDINNPKRQEWLRREMEILLYRGRGLFSEVSNLLQNLKPIYSGTLAKQCAEVSGSLKNLSEVEEMLIQEKAEFEAPLLKAVDHSGQIEITPHEILGLKWLYQELLFELYVWDCRLGRLLQHTNFQQGKDILVHDDEVPKLPSHEDEPPRFTGSHHAQDMNINLDIESTEASIVTSHSDYKLLDIGPVEVERSTDLPSPSEGKQSCQVVCVPISGDLQFDHSIQIVEEPYIEKKTDVELKKDEKVAGGEILAASLSNEHSNILDGIYAKSEGPEEMIWASFSDLKREYRRDLHSGSLHKFEFVNTYSPFHLSPIYQPSSGEMDLLHYSVGPNGTIISASKDEISSIIACGLAILEDQHGLLDRVGKESGEIHKSYSLTSVNSEICTYWSSVGYSESGINLSRGASSLSFDESSTSISDGSLSVNQLLPSENLHPEVTVGIEKVSGKSIFSVVCIYAKQFYKLRKKYCPSELSYICSLSRCKKWDAQGGKSKAFFAKSLDDRFIIKQIKRTELDSFLKFGPDYFKHVFHSVDSGSDTCLAKIMGVYQVQQNIGGKEIKTDLMVMENLLYGHKISRIYDLKGTVFSRYIPDAKHGEKVLLDQNFVEDMDKSPIYVSGKTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDDERQELVFGIIDYLRQYTWDKQLETWVKASMVVPRNELPTVISPKEYKKRFRKFMARYFLAVPLSWNCDHCSPSCIFCVDSKRNSAKIHNAELPEQS >Ma08_p32780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43379362:43387932:1 gene:Ma08_g32780 transcript:Ma08_t32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFESDEYNVPIISCETGNISEYQPERCRSCLEKHGQEVLTMNGLSPYATPPLSPMSSYASDIWTGLNLQGREDGCGVIDTGEKHRGKSIEASFARTSADGSGHHILTGKNLSSSSLDSNEEENKLVNHILDDVQAKNVGLDSFKAEDLVDNDSPTMLPNFETDPGIWDPPEPECMEDDEPSIADNYEDDEYGSTEWKQPSSLSILDEHQGINHSFREKKQKAMLEEMNRQFKVLVSRFLASEGISFSGLDDGQSWLDIVASLSWKAALLVKPDATEGRAMDPGSYVKVKCVASGSRHQSELIKGLVFKKHAAHKHMPTKFKNPKLLLLQGALGHSAVGLSSFDSMGQEKDYLRSLAEMIEACHPNVVLVEKNISRDIQESLTEKGITVVSDMKLHRLERISLCTGSPIISCANFPAKLILPQCDSFHIERFVEECNNISEGGRKPSKTLMFLEGFSRPLGCTILLKGAQSDELKKIKQVVQYTVFAAYNLILETSFLADQRLLLSDKNAVRERSANFRTRPVLSISSDATKYSDSLEGCLSTVASENALDVPIYNESLGIINDTAFSASQDTSLNSELLHMLSDKSSSIGMLMLLSPLQPQLLLSPLSASQEKFQGVNFQPLKSNHESSRFFMNNRPFDGPSIGPHALLDVSDHENPVSSDVSEEKIDEVLYFKKTGPARDSTQGIDTDACSTVESKPQDDMESVLNSQSIVVLLSKQCTIKGVICRHSHLSRIRYYGHFDVSLGRFLRDILLNQKSCSSCGKPPEAHMYSYTHQNGNLSVHVRKLPPMLHLPGEAEGKIWMWTRCLRCKDGSGISTRRVVLSSSARYLSFGKFLELSFSCHSVARLSECGHMLHRDFLRFFGLGSKVAVFVYSSVKIYAACKPPPVLDINNPKRQEWLRREMEILLYRGRGLFSEVSNLLQNLKPIYSGTLAKQCAEVSGSLKNLSEVEEMLIQEKAEFEAPLLKAVDHSGQIEITPHEILGLKWLYQELLFELYVWDCRLGRLLQHTNFQQGKDILVHDDEVPKLPSHEDEPPRFTGSHHAQDMNINLDIESTEASIVTSHSDYKLLDIGPVEVERSTDLPSPSEGKQSCQVVCVPISGDLQFDHSIQIVEEPYIEKKTDVELKKDEKVAGGEILAASLSNEHSNILDGIYAKSEGPEEMIWASFSDLKREYRRDLHSGSLHKFEFVNTYSPFHLSPIYQPSSGEMDLLHYSVGPNGTIISASKDEISSIIACGLAILEDQHGLLDRVGKESGEIHKSYSLTSVNSEICTYWSSVGYSESGINLSRGASSLSFDESSTSISDGSLSVNQLLPSENLHPEVTVGIEKVSGKSIFSVVCIYAKQFYKLRKKYCPSELSYICSLSRCKKWDAQGGKSKAFFAKSLDDRFIIKQIKRTELDSFLKFGPDYFKHVFHSVDSGSDTCLAKIMGVYQVQQNIGGKEIKTDLMVMENLLYGHKISRIYDLKGTVFSRYIPDAKHGEKVLLDQNFVEDMDKSPIYVSGKTKHLLQRAIWNDTSFLTSINVMDYSLLVGVDDERQELVFGIIDYLRQYTWDKQLETWVKASMVVPRNELPTVISPKEYKKRFRKFMARYFLAVPLSWNCDHCSPSCIFCVDSKRNSAKIHNAELPEQS >Ma08_p00460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:407805:417233:1 gene:Ma08_g00460 transcript:Ma08_t00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAASGMEDMKPSIASLADDDGTMEARFADLCKSKLSLDESTLRQAMVLFRESRHVLVANMSAIGSGLPEEIERLWSAFILYCVTKLSKARSKQEKEENSVSLCQILRAFKLNVVEFFKEMPQFCLKAGYILSGLYGSEWEKKLELKELQANIVHLSLLSRYYKRAYEELFLQNDAKSSQDSAVSCAKDYVSDCHRFGWLLFLALRIHAFSRFKDLVTCTNGLVSILAVLILHVPVRFRKFVVQDSPIFAKKSGKGVNLLASLCDKYDASEDELRRVMEMVNSLIVNILKKNPCSLLDGKKENLAHIDTDGLTYFEDLMEEKSLQSSIVILEKDYDDAILKGELDERMFVNDDDSLLGSGSLSGGAVNICGTKRKYDAITSPAKSITSPMSPPCSPGSPLKGGLIGIFKIAPATPVSTAMTTAKWLRSIISPLPSRPSSELLRFLSSCDRDVTSDVIQRASIILGAIFPCTSFGERCVSVSMQNAARMDSIWAEQRKSEALKLYYRVLEAMCRAESQILNGINLTSLLSNERFHRCMLACSAELVLTTHKTVTLMFPAVLERTGITAFDLSKVIESFVRHEETLPRELKRHLNSLEERLLESMAWEKGSSMYNTLIVARPTLSAEINRLGLLAEPMPSLDAIAGSYNVSAAGLPLPFQKQEHSPDQNGDTISPKKACNDYRNVLVERNFLASPVKDRAFNSPKSKLPPLQSAFASPTRPSPTGGGETCAETGTNIFFNKIVKLAAIRIKSLSEWLQLSQQILERVYCLIQQILTHRTALFFNRHIDQLILCSFYGVAKISQLSLTFKEIIYNYRKQPQCKPQVFRSVFVHWPSTSRNGKTGEEHVDIITFYNEVFIPSVKPLLVELGPGGVNNRSNRSPEDKISSDCQIPGSPRIPPFPNLPDMSPKKVSAVHNVYVSPLRSTKMDTLLSPSSKSYYACVGESTHAYQSPSKDLSAINNRLNGGRKVSGRLNFDVVSDFVVAGTLQDGNSASSSTAAPTTTSVAKAEQPEP >Ma08_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:407805:417099:1 gene:Ma08_g00460 transcript:Ma08_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAASGMEDMKPSIASLADDDGTMEARFADLCKSKLSLDESTLRQAMVLFRESRHVLVANMSAIGSGLPEEIERLWSAFILYCVTKLSKARSKQEKEENSVSLCQILRAFKLNVVEFFKEMPQFCLKAGYILSGLYGSEWEKKLELKELQANIVHLSLLSRYYKRAYEELFLQNDAKSSQDSAVSCAKDYVSDCHRFGWLLFLALRIHAFSRFKDLVTCTNGLVSILAVLILHVPVRFRKFVVQDSPIFAKKSGKGVNLLASLCDKYDASEDELRRVMEMVNSLIVNILKKNPCSLLDGKKENLAHIDTDGLTYFEDLMEEKSLQSSIVILEKDYDDAILKGELDERMFVNDDDSLLGSGSLSGGAVNICGTKRKYDAITSPAKSITSPMSPPCSPGSPLKGGLIGIFKIAPATPVSTAMTTAKWLRSIISPLPSRPSSELLRFLSSCDRDVTSDVIQRASIILGAIFPCTSFGERCVSVSMQNAARMDSIWAEQRKSEALKLYYRVLEAMCRAESQILNGINLTSLLSNERFHRCMLACSAELVLTTHKTVTLMFPAVLERTGITAFDLSKVIESFVRHEETLPRELKRHLNSLEERLLESMAWEKGSSMYNTLIVARPTLSAEINRLGLLAEPMPSLDAIAGSYNVSAAGLPLPFQKQEHSPDQNGDTISPKKACNDYRNVLVERNFLASPVKDRAFNSPKSKLPPLQSAFASPTRPSPTGGGETCAETGTNIFFNKIVKLAAIRIKSLSEWLQLSQQILERVYCLIQQILTHRTALFFNRHIDQLILCSFYGVAKISQLSLTFKEIIYNYRKQPQCKPQVFRSVFVHWPSTSRNGKTGEEHVDIITFYNEVFIPSVKPLLVELGPGGVNNRSNRSPEDKISSDCQIPGSPRIPPFPNLPDMSPKKVSAVHNVYVSPLRSTKMDTLLSPSSKSYYACVGESTHAYQSPSKDLSAINNRLNGGRKVSGRLNFDVVSDFVVAGTLQDGNSASSSTAAPTTTSVAKAEQPEP >Ma10_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14395497:14401766:-1 gene:Ma10_g03790 transcript:Ma10_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSEKYRPKSFHELVGQSEVAQSLLDTILKGKIAPIYLFHGPRGTGKTTAARIFAAALNCQSCKEQWPCGCCQECVLVFSGRSRDVTELDASETNHKDALKVLSESALVPTSSCYKIFIIDECQLLQRNIWSAIYKSIEDLSQQVVFIMITSDPDKLPSGTLSWCQRYHFLNVKSDDIVGRLKKICLEEKLEFEEDALIFLATESNGSLRDAITTLDQLALLGKGIITSLAYELMGIISNDELLDLLHLALSSDTSGTVRRARELISSGIDPMQLTSQLAKLIMDILSGGCQLEPSRFIAEADKEKLKHGLKILVETEKQLRTSKDQSTWLTAALLQFNTGESLPPTNMNPLEAPEKVSYSRDDGPPTVASPKESLKSAITVCNHHISSSKSHYDAARELEDIWRRTIENCQSSSLKRFLWKEGRLSSVHVCEGLAVAELEFCHPDHVSRAEESWELIIGSLQTVLGCKVDIKISLVPINRRAKKKSSISLFCCTGRKQQTSDLTVTNKKDSLLPGTKEETIEFCSSHHKEDLCIKQQLHFNTMYSSNSFDQKVVNTNASNGYALVTGNTMVSRTVQDGLSKECKEETDPSMEVCEGGQYVNIQGTEDQPSCFCCTLKFSRSFSANAAHATTLRIKQLDKLNLFNSKKSSSEENFCTDDPYLFCSDTNEQDTYNTEEDNGPSTGSSLCSRLYCCRASMPVEKAGPKRQQDGRPRLVGSLWPCAEQASPETTTAEQHSPALKQKAKRRP >Ma09_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3482691:3484298:1 gene:Ma09_g05420 transcript:Ma09_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCSAALSIVLALLSAYTWTGGDAAPPSPSPTAARGSTLDPRQLTALQSMGFPTVGDPCAAPSPRDNATACDDDAPFRHLVSLRLTNCSPDLDLTTTALYALSTLRSLAFLRCPIPAPRHFPSDLVASLRSFSCAASLRRLTGVWLSRLQNLTDLTVIGVPVAASGPAIILSHMRHLRSASIASTNLSGSVPHHWHALDLVHLNLSSNHLKGPVPSSISVLGSLQTLDLSSNALTGTLPDSIGDLAALKSASFAHNSLSGPIPVSMSQLTLLVHLDLSSNQFNGSIPRSLSKLKGLKFLNLENNNFQGVLPFDASFLQKLEVFKVGGNSNLCYNHTLLSHKLKLGIAPCDKYGLPVSPPPDRSTRADTSDYSDNGGGDDVVADRKSSGGGHHGPSKLVLGVAIGLSCFVFLVIFLVCLSKLCG >Ma05_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21737673:21740672:-1 gene:Ma05_g17810 transcript:Ma05_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAREAKVQRREESKPNPCPTRWAAVGTVEAPEAGPSAITVDGAGEDQCLRRSDALSREEVLRRRSRRVRQLARCYRRQYWALMEEVRVKHRNYYWEYGACPFEVDDGGGADERGRGADGNKENGRAGARDGEGGGGKGGERKRCAFAGCKSKVMPMTRFCHPHILADREQTLYKACSYVTKSCGQSGPVTCGKPVLRATVPSLCHVHSQKAQRSILQALKRAGLNLASSSRPAPKFSVLLAECVNQIQARRKELDAAVNDVDYIDEEVC >Ma07_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32253681:32257913:-1 gene:Ma07_g25160 transcript:Ma07_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLFVFRPCTASRSHCATSLTMATSDLILLLPYPSQGHINPMLQFGKRCAAHGVSATLATTRFILNTTRPQPGPVHLAAISDGCDRAGYAEVSSIPDYLDRLERVGSETLESLLRSEAAAGRPVRLLVFDAFLPWAGDVGRRLGLATAAFFTQSCAVNALYFHVWEGRLHVPVQAAELDLPGLPRLRPRDLPSFLPDRDSIYPAYLQLVLNQFKNLEKADEVLVNTFYELEPQESEYLKVESGAKTIGPTVPSSHLDGRLPSDSHYGFHLFEPSVAACMSWLDSKPPASVVYVSFGSMAAICQEQMEELAQGLSATGKHFLWVVRSSEAHKFPPGFVEGCRDRGLVVPWSPQLEVLAHPATGCFLTHCGWNSTVEGLGLGVPMVAMPQWTDQPTNAMYVEEVWGVGVRVREDGEGLVRRVEVERCVREVMEGGRSSEMRSNAARWRALAKAAVGLNGSSDKNIVELIAKYCSKADKDCVHQQLVAT >Ma02_p24210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28898045:28910632:-1 gene:Ma02_g24210 transcript:Ma02_t24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMPVNWEALDSLVVDFANCERLFFLDSSSSPPTSPPISPSSSSSPSSTPIPSSSSSSSSSYRSRLLIRQIRRSIEAGDVDAAIHHLRLHVPTVLDDRRILFWLQKQKFIELLRRGTERDRDLAIECLRVALAPCALDAYPEAYEEFKHLLLALIFNKEDQNSPVAHEWSERRRFDLAGLLSSILRAHLHAYDPVFSMTLRYLISIHKVFCCRQGVSSPISDLTERLLLEDRDPSATPQESLYEAPPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLYQAFQNELCRMKLDLSILDKLVHEYCVYRGIIDGVSNSPPGDCSSNIECTSSKLSDGETSVNNADAEGFPESYADVISIGESRFASEVINNHENCSISDVNNQETCYRRLRRSRNYSTGHRRRKRWRGRVEELEYSPRSSVLGIPKPEVMGWPPRGSEDTIQEELDCKNLSFSESLKNVEDHKYEIILEMRELACKGMAAKVVEEINAIDPDFFIQNPNLLFQLKQVEFLALVHSGDLAESLKVACTHLGPLASQNQDLLKPLKETLLCLLKPSEDAFTKGTPWPILATSLQVAMGKKFGIEEPQLMRIVRATLHTHNEWFKFQMCKDRFEQFLKIDQLKDIDTPMSSNPAAKALLDDTANGSQITICSSSKMQEDGGSPQASSGENVCDENAILKVMEFLALPRADAIHLLMQYNGNADTVIQQIFS >Ma05_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5490961:5493269:-1 gene:Ma05_g07550 transcript:Ma05_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Ma04_p03760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2894660:2901965:1 gene:Ma04_g03760 transcript:Ma04_t03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKFSPALRLADLNDFIAPSQDCIVSLKTVKSKHGKAESQEKARYISQPSQTEAVKISLKDCLACSGCITSAETIMLEKQSLDEFLAHIKSGDTVIVSISPQSRASLAAYIDISPSQVLRKLTTFFKSLGVKAVYDTSCSRDLSLIESCNEFISRYQQQCGENLPILSSACPGWICYAEKTLGSYILPYISSVKSPQQTIGAIIKHHIVQKLGLKPDNVYHVTVMPCYDKKLEAARDEFSFSVDEGENGHANSVMKIPEVDSVLTSGEVLDLIQSKSVDFKALKEAPLDSMLSNVDDGGHLYGVSGGSGGFAETVFRHAAKKLFGVEIQGPLEFRNIRNSDFREVALEVDGKTVLKFAQCYGFRNLQNIVRKIKIGRCDYHFVEVMACPSGCLNGGGQIKPKNGQSAKDLIQLLETLYMQDVEVADPFDNPIVKRLYDEWLGQPGSEKARKYLHTEYHPLVKSTASQLQNW >Ma04_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2894660:2901965:1 gene:Ma04_g03760 transcript:Ma04_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKFSPALRLADLNDFIAPSQDCIVSLKTVKSKHGKAESQEKARYISQPSQTEAVKISLKDCLACSGCITSAETIMLEKQSLDEFLAHIKSGDTVIVSISPQSRASLAAYIDISPSQVLRKLTTFFKSLGVKAVYDTSCSRDLSLIESCNEFISRYQQQCGENLPILSSACPGWICYAEKTLGSYILPYISSVKSPQQTIGAIIKHHIVQKLGLNRPDNVYHVTVMPCYDKKLEAARDEFSFSVDEGENGHANSVMKIPEVDSVLTSGEVLDLIQSKSVDFKALKEAPLDSMLSNVDDGGHLYGVSGGSGGFAETVFRHAAKKLFGVEIQGPLEFRNIRNSDFREVALEVDGKTVLKFAQCYGFRNLQNIVRKIKIGRCDYHFVEVMACPSGCLNGGGQIKPKNGQSAKDLIQLLETLYMQDVEVADPFDNPIVKRLYDEWLGQPGSEKARKYLHTEYHPLVKSTASQLQNW >Ma10_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29186877:29189447:1 gene:Ma10_g18090 transcript:Ma10_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPSNGLCASAFLTADHPLRRRLAASVAFPLHRRAASATAVRAASSSKPASGAGARPARGITKPRPVSPAMQALVGVPEIPRTQALKLIWAYIKEHNLQDPENKKIIVCDEKLKAIFGGRDRVGFLEISGLINPHFSK >Ma09_p30280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40481848:40483523:-1 gene:Ma09_g30280 transcript:Ma09_t30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHHLLLLSLSLLLLLLLFSASSTPVSLQLSRFPLPPHPDSLHRLAALASASALRASLLKNPRRHDASPAPYRSPLFPHSYGGYSLTLALGTPPQQLSLLLDTGSHLTWVPCSSSYQCRSCSSPSAAPIIPFLPKSSSSTRLVGCHNPRCLWIHPRDRLSRCPSCNSTSDDGCPAVPCPPYAIIYGSGSTAGLLMLETLAFPHRTVPDFAVGCSILSERQPAGVAGFGRGAPSLPSQMGLKRFSYCLISRRYDDDAVESGFLVLDPAKDDTSSGLSFTPFLNNTATGADEASPFSIYYYVGLREIAVGGEKVGVPRSALIPNSRGNGGAIVDSGTTFTYMAPPVFKPLVAAFVNRVAGRYNRSAAVEARTGLRPCFALPPDSAEVELPELTFRFDGHAEMRLPPENYFAFTGPDRAAMCLTIVSDSDGGASSDNAGGPAIILGNFQQQDYYMAYDLEKGRLGLRRQSCLRS >Ma04_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5783115:5785190:-1 gene:Ma04_g08060 transcript:Ma04_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRGTELPQRRPQRVPLHQKTNSEVNSLHRTAVPAVDRRVKPEKRQSPRSTLHEIQRKSGPRVADLETKLGRALVELDRLRDRLAFAEAAKLDAERALEMSKEQVPAASAVVKAVEEEKLLLSQDSDEISEVTQEAKTEEESINSPNTNDVFQVVAPTEPINQEKDDVSKPEEDETETAKEEEKTEEEQCKRVEIPDSTEMVELKTKLLEKTTEVGILLEENVLFKRRAAEETAKATAAARAKEDELMTKLRSAEEELKQSKAKEKLLTEQLQAAEGAKSVLEAEMNLLRVQTEQWRKAAEAAAAALVPGDVGDEEWGPALMAGDGMGEEGVVAGRRRKKGAAGVRMFGELWKKKSLHK >Ma04_p08060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5783115:5785183:-1 gene:Ma04_g08060 transcript:Ma04_t08060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRGTELPQRRPQRVPLHQKTNSEVNSLHRTAVPAVDRRVKPEKRQSPRSTLHEIQRKSGPRVADLETKLGRALVELDRLRDRLAFAEAAKLDAERALEMSKEQVPAASAVVKAVEEEKLLLSQDSDEISEVTQEAKTEEESINSPNTNDVFQVVAPTEPINQEKDDVSKPEEDETETAKEEEKTEEEQCKRVEIPDSTEMVELKTKLLEKTTEVGILLEENVLFKRRAAEETAKATAAARAKEDELMTKLRSAEEELKQSKAKEKLLTEQLQAAEGAKSVLEAEMNLLRVQTEQWRKAAEAAAAALVPGDVGDEEWGPALMAGDGMGEEGVVAGRRRKKGAAGVRMFGELWKKKSLHK >Ma04_p08060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5783115:5785105:-1 gene:Ma04_g08060 transcript:Ma04_t08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRGTELPQRRPQRVPLHQKTNSEVNSLHRTAVPAVDRRVKPEKRQSPRSTLHEIQRKSGPRVADLETKLGRALVELDRLRDRLAFAEAAKLDAERALEMSKEQVPAASAVVKAVEEEKLLLSQDSDEISEVTQEAKTEEESINSPNTNDVFQVVAPTEPINQEKDDVSKPEEDETETAKEEEKTEEEQCKRVEIPDSTEMVELKTKLLEKTTEVGILLEENVLFKRRAAEETAKATAAARAKEDELMTKLRSAEEELKQSKAKEKLLTEQLQAAEGAKSVLEAEMNLLRVQTEQWRKAAEAAAAALVPGDVGDEEWGPALMAGDGMGEEGVVAGRRRKKGAAGVRMFGELWKKKSLHK >Ma09_p17160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12669639:12674624:1 gene:Ma09_g17160 transcript:Ma09_t17160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENPKDVKEEVPELAPFDSTKKKKKKKVVIQDPAEEVDKLTEKTESLTVDDISEPSFVGLKKKKKKPVETDFLNDENGDAGEDLNGDQIGGDEEGEGIVLGVVRYPWEGTDRDYKYDELLGRVFNILRENNPDLAGDRRRTVIRPPQVLREGTKKTVFVNFMDLCKTMHRQHEHVMNFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVARVGRRKAGT >Ma09_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12669644:12674624:1 gene:Ma09_g17160 transcript:Ma09_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENPKDVKEEVPELAPFDSTKKKKKKKVVIQDPAEEVDKLTEKTESLTVDDISEPSFVGLKKKKKKPVETDFLNDENGDAGEDLNGDQIGGDEEGEGIVLGVVRYPWEGTDRDYKYDELLGRVFNILRENNPDLAGDRRRTVIRPPQVLREGTKKTVFVNFMDLCKTMHRQHEHVMNFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVARVGRRKAGT >Ma09_p17160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12669636:12674624:1 gene:Ma09_g17160 transcript:Ma09_t17160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENPKDVKEEVPELAPFDSTKKKKKKKVVIQDPAEEVDKLTEKTESLTVDDISEPSFVGLKKKKKKPVETDFLNDENGDAGEDLNGDQIGGDEEGEGIVLGVVRYPWEGTDRDYKYDELLGRVFNILRENNPDLAGDRRRTVIRPPQVLREGTKKTVFVNFMDLCKTMHRQHEHVMNFLLAEMGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVARVGRRKAGT >Ma07_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6449420:6451111:1 gene:Ma07_g08680 transcript:Ma07_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKPRFFFFSLLFLASAAFTSAHIADYDEYWQKKAALARSHANNAYNPNPESVTHHFNEAVMRDLASNSTRRGLRGKMRNEDGACQATNPIDRCFRCQSNWVHHRKKLATCAKGFGRHATGGKNGDFYVVTDSSDADLVNPRNGTLRHAVIQDRPLWIVFAHDMLIRLTEELIINSNKTIDGRGANVQIAYGAGLTIQFVHNVIVHNIRIHDIKAGNGGMIRDSEEHYGLRTRSDGDGISIFGASNIWIDHVSMSNCMDGLIDAIEGSTAITISNSHFTQHNDVMLFGASDAFSGDAIMQITVAFNHFGKGLVQRMPRCRWGFVHVVNNDYTHWMMYAVGGSQHPTILSQGNRFIAPPTLFAKEVTKREYSPEPVWKQWSWRSEGDLMANGAFFVESGAPITKPYADLIKAKPGSFVTRLTRFAGSRPCIPNQPC >Ma10_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26484831:26487880:-1 gene:Ma10_g13850 transcript:Ma10_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMETASTYWCHHCCRFVLIWPWDAVICPDCGGGFLDAPGRFPLASETATEPRRRRVPSAVDNSSAAVGRRRQSSEIRFRRNNRASTGDRSPFNPVIVLRSPPVGGRRDADWTTNSSFELYYDDGTRSGLRPLPESMSEFLMGSGLERILDQLSQTEVDGIGGAPGFEYSPASKVAIESMPTIEIADGHITTESHCAVCKDPFELGTEVREMPCKHIYHQDCILPWLSLRNSCPLCRHEMPTDVQEMEDDERTHVAENEEETVGLTIWRLPGGGFAVGRFTGGRRGTERELPMVYTEMDEGIRTAGTPRRISWSSRGNRSRESGRIVRAFRNFFSFFRRLRSPPTSSLRLTSESRSFSTTSLRHRRYPFFSRSYRGQSTSRVLEDGDDDN >Ma10_p13850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26483402:26487880:-1 gene:Ma10_g13850 transcript:Ma10_t13850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMETASTYWCHHCCRFVLIWPWDAVICPDCGGGFLDAPGRFPLASETATEPRRRRVPSAVDNSSAAVGRRRQSSEIRFRRNNRASTGDRSPFNPVIVLRSPPVGGRRDADWTTNSSFELYYDDGTRSGLRPLPESMSEFLMGSGLERILDQLSQTEVDGIGGAPGFEYSPASKVAIESMPTIEIADGHITTESHCAVCKDPFELGTEVREMPCKHIYHQDCILPWLSLRNSCPLCRHEMPTDVQEMEDDERTHVAENEEETVGLTIWRLPGGGFAVGRFTGGRRGTERELPMVYTEMDEGIRTAGTPRRISWSSRGNRSRESGRIVRAFRNFFSFFRRLRSPPTSSLRLTSESRSFSTTSLRHRRYPFFSRSYRGQSTSRVLEDGDDDN >Ma06_p32070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33046411:33058496:1 gene:Ma06_g32070 transcript:Ma06_t32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGGLNTAAAGNVNPNKSLEVLPSPSDSVSSLSFSPKGNYLVATSWDNQVRCWEIMAGSSQPKASISHDHPVLCSTWKDDGTTVFSGGCDKTVKMWPLMSGGQPTTVAAHDAPVKEIAWIQQMNLLVTGSWDKTLRYWDTRQAQPVHTQQLPERCYALTVQYPLMVVGTADRQLQIFNLQNPQTVFKTITSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHREGNEIYSVNSMNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMARCPHPIPCSTFNHDGSIFAYAVCYDWSKGAENHNPATAKTYIYLHSPQESEVKAKPRLGTGSRK >Ma06_p32070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33046467:33058496:1 gene:Ma06_g32070 transcript:Ma06_t32070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGGLNTAAAGNVNPNKSLEVLPSPSDSVSSLSFSPKGNYLVATSWDNQVRCWEIMAGSSQPKASISHDHPVLCSTWKDDGTTVFSGGCDKTVKMWPLMSGGQPTTVAAHDAPVKEIAWIQQMNLLVTGSWDKTLRYWDTRQAQPVHTQQLPERCYALTVQYPLMVVGTADRQLQIFNLQNPQTVFKTITSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHREGNEIYSVNSMNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMARCPHPIPCSTFNHDGSIFAYAVCYDWSKGAENHNPATAKTYIYLHSPQESEVKAKPRLGTGSRK >Ma10_p29360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35971740:35974927:1 gene:Ma10_g29360 transcript:Ma10_t29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G30330) UniProtKB/Swiss-Prot;Acc:O22929] MEGAKAQMGSLESSLLQIVQEHQQRSIWTREQTDKAKKNALRTAMRVSELLVDTVNGGVEESFINEKRVELEIRALASTIMRYKKQTDQWLAASHALNTVIKEIGDFENWMKIMDFDCKSINAAIRNIHQM >Ma02_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17061825:17067085:1 gene:Ma02_g05960 transcript:Ma02_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHQDLTEMSNYKLGVEVVSAHDLMPKGGHGSSSPCVELHFDGQKFRTTIKEKDLNPVWDERFYFNIADPASLPELALEASVISFNKATHSKTILGKVRIAGASFVPFADAVVMQYPLEKRGMFSRVKGELSLKVFLTNDPSIKPSNPLPAIDSNPSNPPPSQAHKVLAQVPILSRSTPRENKSDSRHTFHSIPKKIDQHHYSAPVSEPLRHVVDSMKPEPQPHRMFKVYSLVSTQQPVDYALKETSPFLGGGQIVGGRVIRAEKPASTYDLVEQMQYLFVRVVKARDLPAMDVTGSLDPYVEVKVGNYKGTTKHFEKKQNPEWNEVFAFSREHMQASILEVVIKDKDLLKDDFVGIIRFDLHDVPTRVPPDSPLAPEWYRLEDKMGDKTKGELMLAVWIGTQADESFPDAWHSDAVARFDASSVISHIKSKVYHAPRLWYVRVNIVEAQDIVIKEKARFPDVYVKAQLGNQVLKTRTVQARTFNPLWNEDLMFVAAEPFEDHLILSVEDRVAPNKDEVLGRVVIPLGSLEKRVDDHIILGHWFDLEKPVLIDVDQLKKDKFSTRIFLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGVLNAEGLHPMKSREGKGTSDTYCVAKYGQKWVRTRTVIDSLSPRYNEQYTWEVYDPATVLTVGVFDNCQLEKGSNGDKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGEIHLAIRFSSTSFVNMMYIYSQPLLPKMHYIRPLMMMQQDMLRHQAVQIVAARLNRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFSVSRWFGDICKWKNPITTVLVHILFIMLVCFPELILSTIFLYMFLAGLWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDSFPTSRGAELVRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATSVFVLFCLIAALVLYVTPLQVLAALAGFYIMRHPRFRHRIPSAPLNFFRRLPAKTDSML >Ma08_p26040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38684781:38689093:1 gene:Ma08_g26040 transcript:Ma08_t26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSEVEKSVKERKKMMAVAPIAKPLAGKKLCKRTLKLVRRAAEAKCLKRGVKEVVKSVRRGQKGLCVIAGNISPIDVITHVPILLEEADIPYIYVPSKEDLAVAGVTKRPTCCVLVMTKPTKGELAQEVQEKLQGDFNQVSADVKEMASSLF >Ma02_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26110773:26114046:-1 gene:Ma02_g20060 transcript:Ma02_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSAAKTLLYPSQPRTPPPRAGHCFPLWSLHTSRRSALRVSSLKWEPSKVAPQADRVLIRLEELPEKSAGGVLLPKSAVKFERYIMGKVLSVGSDVAEVEAGKKVLFSDINAYEVDLGTEAKHCFCKAGDLLAVVE >Ma02_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15992603:15994084:-1 gene:Ma02_g04640 transcript:Ma02_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLPSSAASSPDLKMATHESNNGIGKSEAKVDTAGSLAGDGEAQPASSQIQMGSGGGEVPRPMPGERRKVALITGITGQDGSYLTEFLLGNGYEVHGLIRRSSNFNTARLDHLYVDPHNAVKARMKLHYADLSDASSLRRWVDALLPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRCATKPARYYQAGSSEMFGSTPPPQSEASPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRLGLQTKVFLGNLSAARDWGFAGDYVEAMWMMLQQEEPGDYVVATEESHTVEEFLQAAFSYVGLDWKDHVVIDPRYFRPAEVDSLKGDSTKARRALGWKPKVGFQDLVKMMVDHDIETAKREKVLVDAGYIDAQQQP >Ma10_p25480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33587598:33591052:-1 gene:Ma10_g25480 transcript:Ma10_t25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWMVVLPPLPPPFPPQEPELFQLGPVFFSKYSLCMIREGRHLSKTEVPFPDFFLCAFTRELSIASAGCMLDQQWAGRPQLHAASHDVMHALALFVNLGRPL >Ma09_p26230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37546938:37558241:-1 gene:Ma09_g26230 transcript:Ma09_t26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRVSAGVGLLRKDEKIEEGLLVTYCGCELHGKRMPKQRSGARQGHLRSKKLDDIPAPSQPIGQAENPVLPAPNRRRGGAGRGRGSRAAATAKRPSVAPARPTVGGRGRGIGVIDLDPDQPCEILPRAAAGGAVVVGAEGFFLNQAAEGIADKDLAMEGGSGDKVMVAEGEATTAPVPERVQVGNSPVYKIERKLGKGGFGQVYVGRRVSGGKQGTGPDAYEVALKFEHRSSKGCNYGPPYEWQVYNSLNGCYGIPWVHYKGRQGDYYILVMDMLGPSLWDVWNSVGQAMSPNMAACIAVEAIAILEKLHSKGFVHGDVKPENFLLGQPRSADEKKLFLIDLGLASRWKEASSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFIIRGRLPWQGYQGDNKSFLVCKKKMATSPEILCCFCPPPFKQFLEIVTNMKFDEEPNYSKLISLFDDLIEPCTSLRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADARLQQHIVKGMEDGLYISCVASSANLWALIMDAGTGFSSQVYELSPMFLHKDWIMEQWEKNYYISAVAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGIVMSRNSGYSDQVVELDFLYPSEGIHRRWESGYRITSTAATPDQAAFILSVPKRKLMDETQETLRTSTFPSNHVKDKWAKNLYIASICYGRTVC >Ma09_p26230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37546938:37558241:-1 gene:Ma09_g26230 transcript:Ma09_t26230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQRSGARQGHLRSKKLDDIPAPSQPIGQAENPVLPAPNRRRGGAGRGRGSRAAATAKRPSVAPARPTVGGRGRGIGVIDLDPDQPCEILPRAAAGGAVVVGAEGFFLNQAAEGIADKDLAMEGGSGDKVMVAEGEATTAPVPERVQVGNSPVYKIERKLGKGGFGQVYVGRRVSGGKQGTGPDAYEVALKFEHRSSKGCNYGPPYEWQVYNSLNGCYGIPWVHYKGRQGDYYILVMDMLGPSLWDVWNSVGQAMSPNMAACIAVEAIAILEKLHSKGFVHGDVKPENFLLGQPRSADEKKLFLIDLGLASRWKEASSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFIIRGRLPWQGYQGDNKSFLVCKKKMATSPEILCCFCPPPFKQFLEIVTNMKFDEEPNYSKLISLFDDLIEPCTSLRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADARLQQHIVKGMEDGLYISCVASSANLWALIMDAGTGFSSQVYELSPMFLHKDWIMEQWEKNYYISAVAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGIVMSRNSGYSDQVVELDFLYPSEGIHRRWESGYRITSTAATPDQAAFILSVPKRKLMDETQETLRTSTFPSNHVKDKWAKNLYIASICYGRTVC >Ma09_p26230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37546938:37558241:-1 gene:Ma09_g26230 transcript:Ma09_t26230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQRSGARQGHLRSKKLDDIPAPSQPIGQAENPVLPAPNRRRGGAGRGRGSRAAATAKRPSVAPARPTVGGRGRGIGVIDLDPDQPCEILPRAAAGGAVVVGAEGFFLNQAAEGIADKDLAMEGGSGDKVMVAEGEATTAPVPERVQVGNSPVYKIERKLGKGGFGQVYVGRRVSGGKQGTGPDAYEVALKFEHRSSKGCNYGPPYEWQVYNSLNGCYGIPWVHYKGRQGDYYILVMDMLGPSLWDVWNSVGQAMSPNMAACIAVEAIAILEKLHSKGFVHGDVKPENFLLGQPRSADEKKLFLIDLGLASRWKEASSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFIIRGRLPWQGYQGDNKSFLVCKKKMATSPEILCCFCPPPFKQFLEIVTNMKFDEEPNYSKLISLFDDLIEPCTSLRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADARLQQHIVKGMEDGLYISCVASSANLWALIMDAGTGFSSQVYELSPMFLHKDWIMEQWEKNYYISAVAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGIVMSRNSGYSDQVVELDFLYPSEGIHRRWESGYRITSTAATPDQAAFILSVPKRKLMDETQETLRTSTFPSNHVKDKWAKNLYIASICYGRTVC >Ma03_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10935785:10939442:-1 gene:Ma03_g13880 transcript:Ma03_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFGSSAPPSGASSGPSAEAMMEQIKTQLAQAYAKEFLETVGSKCFAKCITKPGTSISGSESSCISRCIDRYIEATGIISRSLFSSPH >Ma08_p20600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34720707:34722431:-1 gene:Ma08_g20600 transcript:Ma08_t20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRSKAVGGKQGLMSDPYSLSSSSGNSHNKPTASSLFPSPSLFRSFSSKSFSDHEAAMMSPTSILETKHLSSFGNLLHSDTLPKNPPLENASAAATDTVTESKHHPWDSSGQEPIGLGIVDALTDDKAMQSSNPQRRMVLFGSQLKIQIPSVCSSSGSPPARSMELPSSPIEFGIKNKDSQLALFSPVLRSLGHEAPAASSSPWAFTTGSISVSEMELSEDYTCVISHGPNPKTTHIFDNCIVESCGDEFTAVMKESSPLPSHEGCSADDFLCCACKKNLGEEMDIPVNRCTSLCSSLALQVLVVMFRVF >Ma08_p20600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34720290:34722435:-1 gene:Ma08_g20600 transcript:Ma08_t20600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRSKAVGGKQGLMSDPYSLSSSSGNSHNKPTASSLFPSPSLFRSFSSKSFSDHEAAMMSPTSILETKHLSSFGNLLHSDTLPKNPPLENASAAATDTVTESKHHPWDSSGQEPIGLGIVDALTDDKAMQSSNPQRRMVLFGSQLKIQIPSVCSSSGSPPARSMELPSSPIEFGIKNKDSQLALFSPVLRSLGHEAPAASSSPWAFTTGSISVSEMELSEDYTCVISHGPNPKTTHIFDNCIVESCGDEFTAVMKESSPLPSHEGCSADDFLCCACKKNLGEEMDIPVNRFLWSCLGSSSLRIHSCNRQPDQTRNTFLHDATILQNSLMNLFDQLLCKKSQCRLPTERDVLIPCHFHNQDQTPVLNFVEMWLLSKAVHAWGRHVSCGCCWGPPIPL >Ma08_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34719830:34722429:-1 gene:Ma08_g20600 transcript:Ma08_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRSKAVGGKQGLMSDPYSLSSSSGNSHNKPTASSLFPSPSLFRSFSSKSFSDHEAAMMSPTSILETKHLSSFGNLLHSDTLPKNPPLENASAAATDTVTESKHHPWDSSGQEPIGLGIVDALTDDKAMQSSNPQRRMVLFGSQLKIQIPSVCSSSGSPPARSMELPSSPIEFGIKNKDSQLALFSPVLRSLGHEAPAASSSPWAFTTGSISVSEMELSEDYTCVISHGPNPKTTHIFDNCIVESCGDEFTAVMKESSPLPSHEGCSADDFLCCACKKNLGEEMDIPVNRECQSHEKHVNEELDKQ >Ma09_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7161719:7177864:1 gene:Ma09_g10560 transcript:Ma09_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTESGGKWEPLAPTKEAQEFHLSQTYHEGLLKLQAKDYGKARELLESVLRDPLISSAQVGNVPGDRHMLQLRFLSLKNLASVFLQQGPIYYENALQCYLQAVELDENDSVVWNHLGTLSCKMGLLSTSRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVANLILRHWPSHSRALHVKKTIEDAEPVPFAPRGIDKLEPKHVRLNFSEKRKSVDDENSSNRISKRRNQTIQLQLAGATWSALLDAILGIFVQPATKDSEPETLHDHEDVRNDKQFNRLGMELSGDATTIDDKSMGTQTNENMDRFTCIKIDICLSEFSDIIVNPAKTKEHGLHPVDGCTLLGSYGMEKSTTIKERDISTDREHHQERRSTRLEMLRSRKLSKEESESSAKDQANIVCQFLDPFILRRLRTVGQDCSFTSDSMYPDSLTYNSNLEHNDTLQFISKTSKNFGAHHIAHLLLEEVAHKYIPFQDSFVKFMELEKLTRNWGQDRSPLSCLFLAELYYDQGSWFANRSKRLEYLSEASYHLCKVIELVTLDSHDDLIGIDNHFCSTQTVMEINDAQRTSLLLDDKLEKEGGLLLNNFQNVRATISSDSASQERFVHSSTLTDNNAFWVRFFWLSGRLSLFQDCKAKAFNEFYICLSLLRNNNKLEEASDFVFLPHCKLVSLITVDRILHEINLLKLDSLLGKVSDEMMEKGMYLECMNMLSPLLLSTKDVYLDSVFGPLKEKEKIMSVELSALNLLISACQKAEPMDIQVYLNCHRRKLQVLSVAAGMEGSAAALKGKRSALKASCDFEIDFAEPMSKHWKSLVSEEVKDISRSTTLVKNFIDQAGATDSLGSLICTVADIQSLLVTVMRSIMRTIVSQKSPGSASSGQTEQWESWCLVDAAIAFCKLQHLDPSVSIKTQVDLIVAVHDLLAEYGLCCAGRDSEGEEGTFLKFAIKHLLALDVKLKQLSGTNGQEEITSSHKHTVENVVSDCVVTYEENEKHEDALDTGKNSKLDSSSEQKQSTTVGETASSLTDEELEEIELGIDNALDQSFFCLYGLKINPDSSSEEELAIHKNTSRGEYQTKEQCADVFRYVLPYAKALSRAGLVKLRRVLRAIRKHFPQPPDDILSENAIDKFLDGPDLWEDKLREVSGPNEGQELVTTILSNARGLETHKKSSVVSSEQYLEVYGNLYYLMAQAEEISAIDKYAGFVLKKEGEEFVEQSANLFKYDLLYNPLQFESWQKLANIYDEEVDLLLNDGSKHINILDWKKNTNLHQRVEAGRRRSRRCLLMSLALASTSSQQSQIHELLALVYYDSLQNVVPFYDQRSILPTKDSTWITFCQNSMKHFEKAFALKSEWLHAFYLGKLCEKMGQSPAKALYYFSKAASLNPSAVDPVYRMHASRMKLLYTRGKQSLDIIQVVATHAFSQSTREKIQEMFDWTNQDLMQLNLDGKDVIDQDDTKEKKTIDPKLLDKAWHMLYDDCLIALGICVEGELKHFHKARYMLAKGLYRKGEAGDLERAKEELSFCFKSSRSSFTMNMWEIDGMARKGRRKSLGLSGNKRSLELSLSESSRKFITCVRKYMLFYLNLLEKTGDLWTLDRAYVYLKTDKRFALCLGDIVPIALGKYIQVLISSICNAEIHNATDNSISLEQMLEKLFNIFMDHVNLWTDIISLPELKSPDLSEGNLYNYIHQYIHLLESDIRLEALEGINEKIRKRFKNPKLTNNNFAKICKHASLAWCRSITIKLALITPLPDSGESSGQLSCVENSLLLFVDLQPDELLVSPVEGPFQSKGLDMNWFEALCKIKNIQIRQTSEENMEAAVALMRCTYNFYRESSCGTFPSGINLYTVSLSQTAVEGLQQQGKEISDILDLSIPRKLLLWAYTLVHGRYSNISAVVKYCEEAKSRMKKGIMTSTVSQVNMPASVTHAASSAGREKTEGHEHAEGEDNPSVSSGSVALPAEETTRSATPVSSTEVQKSAAAAPSSQLQRCNMSKSTESTEG >Ma02_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21137469:21138724:-1 gene:Ma02_g12390 transcript:Ma02_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVLNSSLVAPEETPRLSIWLSTLDLFQIRSHVPAVYFYRPPIQGDGSGFFSPEVLKAGLRKALVPLYLFACRIGTDDTGRTEIECNGKGVLFVEAKAEELTIDKFGDFAPSPECRRMLIPTVDPGEGDDAIPLLLLQVTYLKCGRVCLGVGAHHLVSDGVASLLFINAWSDITRGIEPAVPPFLDQSLLLPRSPPSVRFPQIEFKRYAAGIHTYADMPAISTAILELTADQIAALKRSCAATMPSPRRVTTYEAVAAHVWRQACMARRLDAHSETRVYITTDARRCQRATSVT >Ma08_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37330839:37332068:-1 gene:Ma08_g24030 transcript:Ma08_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKVQAIASMLHSKDTIPPEFVRVEDEQPGATTYRGPAPAVPVIDLADTDRVVDAIADASREWGIFQLVNHGIPAAVIGELQRVGREFFELPQEEKESYAADPRSGSIEGYGTQIQKDPNGKKAWGDYLFHNVWPPSRINHGMWPRQPSSYREANEEYTKYLLGILDKILDSLSLGLGLEGSALKKALGGEEMDMLLKINYYPPCPRPDLALGVVAHSDLSAVTILVPSDVPGLQISKDDRWIDIDYVPGALIIHIGDQIEILSNGKYKSVLHRATVNKEKARISWPVFCSPPPEMTVGPLPQFVSDQNPAKYKTKKYKDYQYCKLNKLPQ >Ma03_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28196247:28199851:1 gene:Ma03_g23680 transcript:Ma03_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFKIEQNHGKSRVRVARVWRRVAGSGHVIVEWNVGVSLFSDCLPAYTSADNSAIVATDSMKNTVYAKAKECTEVMSMESFAVLLGRHFTSYYPQVTAAVINIVEKPWERVAVDGQPHSHGFKLGSEKHTTEVRVEKYGTLSVTSGIEGLALLKTTQSGFEGFVRDHFTLLPETRERMVATEVTAAWRYPFADVSDIPAKPFCFTQRHLDVKKVLADTFFGSPLEGVYSPSVQNTLYLMAKAVLNRFPDIASVKLRMPNLHFLPVNLSSKDNPNMVKFADDVYMPTDEPHGTIEATVSRIMSRL >Ma05_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7056362:7056901:-1 gene:Ma05_g09820 transcript:Ma05_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAFIFFQINVLTVCVFLYGQLYLVMSGLERSILEDPHIQQNGKPLENALASQSIFQLGLLLVLPMVMEVGLEKGFQTAIGEFILMQLQLACVVFTFQLGTKPHYYGRTILHGGAKYRTTGHGFVLFHAKFADNYRMYSRSHFCLRTRAVDIVDCLLSIWKFTSKLDAVPVRHVFCVS >Ma02_p01360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9749934:9756684:-1 gene:Ma02_g01360 transcript:Ma02_t01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPQGARVRLSPRALMRPPSPRSTIPGRCLSSLLLVAAVTLPCAVLYRAAAPTSSPSWRPGPAIPDTSPIATQLESEDVRLEKVLEEAAMENKTVILTTLNAAWATPGSIIDLFIESFQIGDGTRKLLDHLVIIALDKQAYMRCLSIHAHCFPLITEGADFSDEKLFMSAGYLSMMWTRIEFLRLVLEKGYNFIFSDVDILWFRNPLPQFYPEVDFQIACDHFMGDAFDLENIPNGGFNYVKSNNRTIEFYKFWHASREKFPGHHDQDVFNFIKHDPYLLEMGIRVRFLSTAYFGGLCEPSRDFSKVCTMHANCCIGLSRKIHDLRVMLDDWRTYMSLPWKVKRSRAFSWRVPQNCSLAPLGS >Ma02_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9748220:9756684:-1 gene:Ma02_g01360 transcript:Ma02_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPQGARVRLSPRALMRPPSPRSTIPGRCLSSLLLVAAVTLPCAVLYRAAAPTSSPSWRPGPAIPDTSPIATQLESEDVRLEKVLEEAAMENKTVILTTLNAAWATPGSIIDLFIESFQIGDGTRKLLDHLVIIALDKQAYMRCLSIHAHCFPLITEGADFSDEKLFMSAGYLSMMWTRIEFLRLVLEKGYNFIFSDVDILWFRNPLPQFYPEVDFQIACDHFMGDAFDLENIPNGGFNYVKSNNRTIEFYKFWHASREKFPGHHDQDVFNFIKHDPYLLEMGIRVRFLSTAYFGGLCEPSRDFSKVCTMHANCCIGLSRKIHDLRVMLDDWRTYMSLPWKVKRSRAFSWRVPQNCSLAPLGS >Ma08_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33685469:33686528:1 gene:Ma08_g19810 transcript:Ma08_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHCCSTSGETRKPQPSPPPADAARQRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAEAAARAYDTAVYLLRGRSARLNFPDEVPADACYDGGGGDPQLALSAASVRKKAIEVGARVDASLRTMPASSPPQPQPRPSRPTKNPDLNQAPSPDSSDVDRQL >Ma10_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31079537:31082028:-1 gene:Ma10_g21130 transcript:Ma10_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPFNITGPPPPPAAAIREPILARPEDDPPNTTTALLSAYSTPDDASPSGLRRPHTAFLFSALVITTCTAVAAAAAFAFLFFTSSAAAKDPIFDHRSQARPLSRLSRPVLLLISSDGFRFGYQFKTAAPNIHRLIANGTEAETGLIPVFPSLTFPNHYSIVTGLYPAHHGIINNHFVDPVSGAAFNMGSHEPEWWLGEPLWETAVDQGFNAATVFWPGSEVKKGSWDCPPRFCRHYDGSVPFEERVDAILSYFDLPSSEIPVFMTLYFEDPDEQGHKVGADDPEITAAVARIDDMIGRLIAGLEKRGIFEDVTIILLGDHGMVGTCDEKLIFLDDLSPWIKIPRDWVQSTSPLLAIRPPAGVAPSDVVAKMNEALGSGKVEHGNYLKMYLKEDLPERLHYRESHRIPPVIGLLEEGYKVEQKRTKRNECGGAHGYDNAFFSMRSIFVGHGPRFQRGRKVPSFENVEIYNVIASILNLKGAPNDGSASFPSSILLSHA >Ma07_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27138144:27173552:1 gene:Ma07_g19110 transcript:Ma07_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPLPEEDEQPFEEHFEGEIIHEEIVESAVATMRREREERRQKLKRENREDGLNRYSQSVRNDYVTQSRNTRRHGRVKEPPQGWLDCPSYGQCIGNIIPSKVPLDETYNDSVLPGKRYSSKQVINKQRRQGRELGLVIDLTNTNRYYSPLEWTKLGIKYVKIACKGRDSVPDNESVNLFVHEVLQFLSRQRHSKKYILVHCTHGHNRTGFMIIHYLMRTQPMHVAEALQIFSQARPPGIYKQDYIEALYMFYHESPENIVCPSTPEWKRSSDLDLNGEAAQDDDDDDEGDTAARLPVQVSLSEHTDSKILTNDDILGDAIPIDQQDAMRHLCYQMLDLTATGRGSAQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMFITNTGCYLIDRNFCFRRVQMRFPLKNPAEGFHSGTLIDGEMIIDKIPDAGLKRRYLAYDLVALNHHSVIKLPFSERWKLLEEEVVRPRNNERKQFEFESKGHPVYRYDMEPFSVRRKDFWFLSTVAKLLKEFIPRLSHEADGLIFQGWDDPYIPRTHEGLLKWKYPSMNSVDFLFEIGSENRQLLFLFERGKKKLMDGARVSFAGEEDIASLSGKIVECSWDAQEGCWSCMRIRTDKATPNDINTYRKVMRSINDNITEEVLLNEISEIVHLPMYADRIARAQQLQHRQR >Ma07_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28086044:28095895:-1 gene:Ma07_g20160 transcript:Ma07_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIINSRLPIESSSAPKTLAKEMGLSLIEGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLSGCLTALIVMTILSASLGWAAPNLISRRWSHHLTTVLFFGFGLWSLWEGFTEEGEAEELKEVEAKLNADWKAETGSNKGDSKVREDLKKQQRPFLTQFFSPVFLKAFSITFFGEWGDKSQIATIGLASDENPFGVVLGGIVGQAFCTTAAVLGGKSLASQISEKMVALSSGVLFLIFGLLSFLSVTGE >Ma05_p22400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34148821:34152421:-1 gene:Ma05_g22400 transcript:Ma05_t22400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEMMDGCGGSWTEERHSAFLNWVEESFVRRVLAARGNDPSPHLDLDVKLGASVWLPPPLPLDRFLPDSATESNRNPGRPARPARTRRSATTAVEAEEPEEEEEGESVVTSRHRKRKPQPLLSKHKCLKDQLGSAKPDDGITSHKMKAGEAGASQIAQRDANTTPSKKRSASNRSVGAV >Ma05_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34148821:34152421:-1 gene:Ma05_g22400 transcript:Ma05_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEMMDGCGGSWTEERHSAFLNWVEESFVRRVLAARGNDPSPHLDLDVKLGASVWLPPPLPLDRFLPDSATESNRNPGRPARPARTRRSATTAVEAEEPEQEEEEEGESVVTSRHRKRKPQPLLSKHKCLKDQLGSAKPDDGITSHKMKAGEAGASQIAQRDANTTPSKKRSASNRSVGAV >Ma08_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4252922:4254668:1 gene:Ma08_g06280 transcript:Ma08_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIALAASGMLGTIATYLASDNAAGIAEMAGMVCKLCEIMDALDARMDNTLVLFLKNTLLLATALLSVALFGAFISRARIMAVNVSSPKVFIGLIVKLCFLPCCFSTMAMTGVGKAALKTVEEMSTDASLKKMIPTDALVILTPLVVGNFFGVHTCSSCWLTGFCCAGCYLIFERRWGLVRIMQRNTWSPSVLLSSNAVSIYVTRAKGDLHKAAVISATVGVPLKETSGPSLNVLSKLMAVESLMFAPFFAAHGGLLLKLL >Ma06_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5089343:5090968:1 gene:Ma06_g07120 transcript:Ma06_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRVNFGGKERLRSVGFWTDKFKPITSFQLMLQRRGESYVDDHLMREVVDQHQTAAGGAWAQTAFFPQDSGGVTVNKAVFDHWHL >Ma05_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9695203:9695635:1 gene:Ma05_g13350 transcript:Ma05_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTMTVCSMCGDIGFADELFQCIRCRSRFQHSYCTNYYEEEGSETVRVCDWCLREERDGAKRRGIHRKKVTGGCTSETSSNKAEQRSDREESRRGRGKSSGGAAASRPTARRYKLLKDVLR >Ma08_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4465820:4468799:1 gene:Ma08_g06600 transcript:Ma08_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLNSLGLDLSSGTATESELPATPSVVYMDVNVVPEHDKEGLASTISSLQGMEMSELRSKQIYLDAKRREALDKILDIKGSIRVFCRVKLMDERKAASPISTEADKITVRSAGTRKEFVLDRVFHPESTQEDVFREVKPILRSALDGHNVCILAYGQTGTGKTHTMEGNNGRPGIVPRAIEELFHQISRDESASFTLSMSMLEVYMGSLRDLFVHRRSPARVTHRIPKCNLSILRSSDGTVEIEGLTDVQVTDVKQARRWYARGKHARSTSWTNVNDASSRSHCLTRITISRSNDAVDGTNNLVSKIWLVDLGGSERLLKTGATGQTLDEGRAINLSLSALADVIAALRRRRDHIPYRNSKLTQLLSDSLGDGSKVLMIVHVSPGEDDAGETVCSLSFATRVRAVEASRELSEDTKKRKQQRVAELEQGVQEAEEELRRVRDQMERTAKLIQEKTNTLRVDLRGSPRSPLTLNPVELDGGDTKTAEKPVQRARAAPVPRFMSSTACSRRRQQQAAESLGRARRRSTDLRGSQSHSCYQTSKKMTVAYRRRDPPLSLQCNPLNVSHDSVDSRGSWSSSKTKKVSNSNPNLRVALHQQHRRRMSDLI >Ma10_p03700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14287969:14294601:1 gene:Ma10_g03700 transcript:Ma10_t03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGRIPRELTLPQYAEQHLTDDHAVIGSVDPLSGPAEPSVEPQHAPLVSAGGSDGIGPAWLGGAALRPQGPRGSFLHLQTASDSSASPGGRGGGGQWLPRLPVLPQDGGDDEILASEDQGDGETEEGEGAMQGSGDGEAAEVGEGTWRSARYKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDTQLAQTQHIVSKYSALGAQMLADDKELDQFMTQYVLLLRSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQADSETNLFDGCLDGSDGMGFGPLFLTESEKTLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSTLKAWWQSHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSSTKSKRKR >Ma10_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14287969:14294601:1 gene:Ma10_g03700 transcript:Ma10_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGRIPRELTLPQYAEQHLTDDHAVIGSVDPLSGPAEPSVEPQHAPLVSAGGSDGIGPAWLGGAALRPQGPRGSFLHLQTASDSSASPGGRGGGGQWLPRLPVLPQDGGDDEILASEDQGDGETEEGEGAMQGSGDGEAAEVGEGTWRSARYKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDTQLAQTQHIVSKYSALGAQMLADDKELDQFMTQYVLLLRSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQADSETNLFDGCLDGSDGMGFGPLFLTESEKTLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSTLKAWWQSHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSSTKSKRKSNAGDKGQL >Ma02_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11929323:11932922:1 gene:Ma02_g01770 transcript:Ma02_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGPLDYWRKFFRSANSDIFEVMEHAILVAASDYPQEFRSRRDQIVEKLFAVLLPRCFGCDRVAEGEEGDRSVKRDGEKESKVDSSNDGPEDLNRIVSNYSFDEAEALTEEIEEESQIVGEVLRIKEIFANHHDESDSVLFESLRRLQLMELSVEVLKATEIGKAVNGLRRHSSKPIRHLVRTLIEGWKLLVDEWVRATAAIADDKSPESVNPPMEDEEGLPSPPLDEGALFATQTASIQLSEFFDEMDDDGNFRNNMDVEKQRGNGLPTVHDVPQRKIQPLDQSLMPKEKPETRRQELRELAVPEGMEVIRRKEPPHSAIPEELKQMRLQAPVMRRMKQQDPLICQSKNEGILNKQSKPVIPDSGPGRPPKLVRTLKAGGEMKPKQHQDDAGPRRKQLATTQDKTKFSEEVSIRTKLELAKRKLHEGYQQAENAKKQRTIQVMELHDLPAQRHNKHPVPKSRNNIRNWANGRR >Ma01_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13227561:13230327:-1 gene:Ma01_g17920 transcript:Ma01_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKAKETVSSNPVVVFSKTFCPFCVRVKKLLDQLGARYKAIELDVESDGSDIQAALAEWTGQRTVPNVFIGGKHIGGCDDTLAKHQQGKLKPLLIEAGALASAA >Ma05_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:102096:102479:-1 gene:Ma05_g00140 transcript:Ma05_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDSRVVHPIKKAVSRSDNGRLRCGDSRVRDGRQRRWRSFHVVLLLPHQHHRRISSRTALRHAAPPRGIRPATAKDEIVVGVDILFLL >Ma04_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11345937:11348094:-1 gene:Ma04_g14970 transcript:Ma04_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDVIVFLFGLIYSGVVAKGSEDGLSSGPSLARTTDSNKEMVYEPGKQFNRFLLAETELQSSKTMPEMDVVTPITTVPVLNPTITTPTTTTPDYNPFPTTSTTPTTDPYSTPAMVTPSSSSSSSQSWCVASQTASKTGLQVALDYACGYGGADCSAIQEGGSCYNPNTVRDHASYAFNEYYQKNPIPTSCDFGGTAVITNVDPSTSTCQHPSTSTSSSVLNTTIPTGSTVFGSVPPATSDSTLMLNGMTLVITVTCLVMSLFFSSVCKIDTKDIL >Ma08_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35640440:35641615:1 gene:Ma08_g21890 transcript:Ma08_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRQRLNPYAQVNPPPESVERFPQWTHDETRELLAIRAQLDRSFTETKRNKPLWEATSSMLQQKGFFRTPDQCKSKWKNLVTRFKGIESAEGEIGKQFPFYEEMRRIFADRMERLLVFEKDKGKGVQVRVKEWEEEAEEEEEEEEEEGKAEESLSSFCRCFAYHQGLVSVAPAKVTEACKCFFWLLPLCHLLFRLSLASSPI >Ma11_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5812273:5814102:1 gene:Ma11_g07290 transcript:Ma11_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSSVTVLDRFQVSPPPGSAPNASLPLTFFDVVWLPTGPVERIFFYPFPHPTSHFVSHHLPSLKSSLSRALRHFYPLAGRVVPPCGPSPEAKFQIRCSDGDSVSLTLAESSDDFRQLSGDQPRGFGRVYGLIPQLPPTGDGSIPLLALQITVFPDQGVSIGVAVHHVACDDSSSIHFVKSWAAAAACSVEDPSESPLSPPPLCDPTVIADPDGLYYKILTEMQNLKSAGPPPAPLDLPPVGTEQGDAVIASFLIGREQIDRLKQGAMARAGATHVSTFVVACAFAWACLVRAQAGFYAGKKSAHLLFSVECRGRLEPPVPAGYFGNCLRPCFVEVSMSDLIKDDGVFAAAEAIGRAIKGLEHGILKGAEGWLEKIVSLTAERPMSIAGSPRYRVYDADLGWGRPIKVEMTSIEKTPGTVSLAESRDGGGGIEMGLVLPEKDMDEFARAFLVASSFLET >Ma03_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2825679:2829862:1 gene:Ma03_g04290 transcript:Ma03_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDSIANGSEKQALSEEQRTKINEVRASLGPLPDKLVCYSSDASIARYLTARNWNVTKATKMLKETLKWHLEYKPEEIRWDEIAHEAETGKIYRSSYSDKFGRSILVMRPGYENTRSTKGKIRYLVYCMENAILNLPPDQEQMVWLIDFKGFNLSNISIRTAKETADVLQNHYPERLGLAILYNPPKFFEPFWTIAKHLLEPKTCRKVKFVYSDDDNTKKTMEEFFDMDELDCGFGGNNQVGFNISDYAARMKEDDKRMHFIWSQENASSSSVQHETIMTNVSGNSGSDCDKSENGTPGR >Ma09_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9858190:9859266:-1 gene:Ma09_g14400 transcript:Ma09_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCTSSAIGVLLLLLLCCTMWTAFATDHTVGGSTGWANGVDYTKWTSGKTFVAGDSLTFNYGAGVHTVDQVSATDYSSCSASNALSTDGSGQTTVKLSKAGTYYFICGVASHCSNGMKIAVPVKAASPAISPATPPSGSTKPPTAATATPPSASTTPSVSTTPSTTTPTTTAADKQSSAGYVSSASVAMLTGLLALELVLL >Ma08_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35763765:35767065:1 gene:Ma08_g22050 transcript:Ma08_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSMVPVAETLAPPTDDPKVTVAAAAGRAEIDTSAPFVSVREAVDRFGGSAVWKSQLRQLFHPDKLHFSEDVEVIKVEEQAAQLEKDLILKEKETLDVLKELEMTKKIVDGLKLRLQKETSETNMIPATNSDGIKLHPVPEVEEHGPHDPEKNVGIDDPSMGVNQSPGQMLVELKQAKANLNRTTSDLAGIRTSIKMLSIKIEEEKLLLEETQEKLSSNNALISSLEDDLNQTTEKLQRAKGSVNEKPEDPSNILQEINQMNSELEQSRRTTEATKFDVTKLASELEQTKASIKTADIRWLAAKKMEEAAKAAEAVALADIKALISSNDSILGLQSACGVTLSMEEYITLASKAQEADQVARKKVEAAVVQVDEANMSKSELLMRVEEANSEAKKCKKALEDALKRVEVATRAKLEVEDSLQRWRSEHGQKRHSIHNSTKFKNYAAHHRKDSRVLNDSTLVTDMPSSGSRQPLSIGQILSMKLMGPGSPEEYERGAWEKENKNPTVSLGQMLNKRHGVLPSPMTDNVSLHKQFSAKRKKIGFVGFPLLLAKQSKKNKKKRQSLSTR >Ma01_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10882740:10887241:1 gene:Ma01_g14960 transcript:Ma01_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRAICRRYAVIYSIYCCRSHGRLGFVPSAGYSDLRLPSPCFASSPFVVDDAPRMGPGSRANPRRTITRVSNWNSEKSRHETLVMPWYGFWLDAWGCSS >Ma09_p29020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39622828:39624025:1 gene:Ma09_g29020 transcript:Ma09_t29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYRGRAEHSRIERETSPARAAVVYYLSRNGHLEHPHFMEVPLSSSSGLYLRDVINRLHVLRGRAMVGLYSWSSKRSYRNGYVWQDLSEDDFIHPVHGNEYVLKGTELLHLNSSSSSEDSAVSFSRGKQATRSSFYKSNTSAETTANSIDASTQTEEHKRQQRRAPVAEKHPATELSGDEVSPPPSSSSPETLEALIKADGRCIMAVRPEEKGWSVGGCLSGRVKASAVLMHLISCGSISAKANGLSLMPQYSGRLDRAESMGHMPSFRSISLEDKEVFTDKMRGDDDDGELPPVLKKSSSYDADR >Ma02_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11370675:11371145:-1 gene:Ma02_g01720 transcript:Ma02_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVICSIAIGLSLGHTAKGVMATLCLFRFWLGFGIGGDYLLSATIMSEYAYKKTRDAFIPVVFTTQGFGILTGGIVSIIISAAFKERFDYPAYRDDRTGSTVPEADYIWCTTLMLGALPAALTYYWRMKMPKTARFTALVAKNAERAAPECRGII >Ma01_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9822879:9825913:1 gene:Ma01_g13440 transcript:Ma01_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSSSQCSSETSESQDEMAVQQQIKLPKESKQKAVEFDLERILEEQDTHDLYCPICDSCITKKVILRKRKRMVQHGGPSKKVNEEKYDAGKSDTGMTNPSDAPEPDVFRCLSCFSFFMPTEGGFNIFQIFEKGKKIHAQSSPQVPEKPSQPGDGAIQITSENDQIIQRSEATATEVLAHSDVRLDVAEQSARGPETSNDWDVLKSIVYGGLAESIASLGVVSSAAAADASTLSIVALGLANLFGGFLLIIHKLFELRTARDVATDHEEDERAGRYWELLGRKANFRLHFVVVIISYLLVGSVPPVVYGFSFRGSDNKEHKLIAVAAASLLCIALLATGKAHVGPQRAYTKTLFHYLSLGVSASGVSYVAGVMANKLLEKFGLFDGGMSPPSPPGLLYQEDLSSGGRAWASSY >Ma11_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:907031:909493:-1 gene:Ma11_g01280 transcript:Ma11_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINLAPDCLVLPPLLSRPCLVPSRLICILPEILPFSAFCTDEEETSSRLVMGNCFETPAQAVSPTDTRIPSPGRSTTRTTGSDLTATTGKLSTISNSSLGQSIGSSVTIGDAYPEGRILEAPNLVIFTFAELRSATRNFKPDCVLGEGGFGRVYKGWVDEKTLNPTKSGIGMIVAVKKLNPESLQGLEEWQSEVNFLGRLSHPNLVRLLGYCWEEKELLLVYEYMAKGSLENHLFRKGKAYEPLSWDIRMKIAIGAARGLAFLHLSEKQVIYRDFKASNILLDANFVPKLSDFGLAKNGPTGGQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGLRALDTTRPSGHHNLVDFAKPFLSDRRKLARLMDPRLEGRYPSKAAQQAAQLTVRCLAGDPKSRPSMKEVVETLEHIEVMTSRPRESKSGSPRPLSR >Ma05_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:242767:243872:1 gene:Ma05_g00360 transcript:Ma05_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASQKAVVIFSLSSCCMCHTIKRLFCELGVNPAVYELDEDPRGREMEKALVKLLGRNPSVPVVFIGGKLVGSTDRIMALHLGGKLTPLLREAGALWL >Ma08_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32151510:32152449:1 gene:Ma08_g18620 transcript:Ma08_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVQSMGGVGRLSLTTATGIMVAAVIAFLLIFVLAFFMYLRAKHCWGAIPVSRGAPASLASAPRLRGLEVPAVAALPSVLIRFGGLKDCAECAVCLCELSEGDAARLLPKCGHAFHVECIDMWFLSHSTCPLCRSSVDPEVADRDARSSESSPDSAANVLLCASDDQVNGPSSLASSSTLSSSSSEEASVIEIPRRAVDGFQTPNSPLPVRSLPEEETRSPTAPTSRSQGCYTGTSSRMAAA >Ma08_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10429797:10431265:1 gene:Ma08_g13140 transcript:Ma08_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKIEHSHLDVRGISLHIAHIGKGELGTVLFVHGFPEIWYSWRHQMIAAAEAGFKAIAPDLRGYGLSGQPTEPENTTWEDLVADLLAILDSLSIPKVFVVGKDFGAWPAYHLALYHPQRVLGVVTLGVPFIARNLDSDTLPEGFYILRWREPGRAEADFGRFDVRRVVRTIYILFSRVEIPIAERGQEIMDLADSSTPLPQWCTEEDVDVYAALYETSGFRFPLHMPYRSLLKVTAPADPKVEVPALLIMGEKDYVLRFPGMEDYVRSGTVKQFVPDLEIVYVPEGSHFVQEQFPEQVNQLLIDFVKSHL >Ma08_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38111647:38115182:1 gene:Ma08_g25160 transcript:Ma08_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVYGPGKNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTSGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFRVDVVESEWGRGADLDALASKLEADRSHTIKAVCIVHNETATGVTNDLAAVRKLLDEYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSMPTGMGIVCASPKAMEAAKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRTALDLLFEEGLDNVIARHSRLGKATRLAVEAWGLKNCTQREEWFSDTVTAVVVPSYINSADVVKRAWTRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLRDVGYPVKLGSGVAAAAAYLRNSTPMITSRI >Ma02_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2431615:2446352:-1 gene:Ma02_g00230 transcript:Ma02_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAQTPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVILAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLATKVEKLGKDSLVNCAKTSMSSKLIATDSDFFANLVVDAVQAVKTTNARGEVKYPVKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPIRVAPARIACLDFNLQKTKMQMGVQVLVTDPRELEKIREREADITKERIQKLLKAGANVVLTTKGIDDMSLKYFVESGAIAVRRVRKDDLRHVAKATGATVVTTFADMEGEETFDLSLLGHADEVIEERIADDDVILIKGTKNSSAVSLILRGANDYMLDEMDRALHDALCIVKRTLESNMVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLVIPKVLSVNAAKDATELVAKLQAYHHTAQTKADKQHLSSMGLDLSKGIVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKDERETEED >Ma06_p31950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32929274:32939307:1 gene:Ma06_g31950 transcript:Ma06_t31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSRMAPRSPRRRQQARRRLGLAAFLCGGAAAEAAASADSPQIEDKLAEKSVIHARLDGSVLNSNIQISVKESSLSFSQENKPSSSTSEQIKSTQSNNCVNADHLENSCIEMSRSERTSRLPSMLGKPVEIVDTTLAPTMEVANSTNGHNSHSLPSFSSGMHQLELRDLHTNEILSIVNPSDEVVSLPSTNTEYVSVIPSVSSTSHFSSEEPLGATSSGSDAQRSTGSGEQRNGSELHVDLVSISSDVPNGSRETSSSVSRRNTRRLFWDAFSRHSSRTVDSALIHSSADNNGLGYQDRWLLDIGDHTFGDGAEDDSFYSRQRRHGLNGVSWHSRSEIRERLHAGFNNNDGQASSCPSGIHQDGTCSCTLLMTDVSSTRASIARIFVLAEALFEVLDEIHHQPGSLSLSVVSVPAPESIVNSLPSKIHRKPDTALGIDGVEQCYICLADYDDGDIIRVLPCHHEYHKSCVDKWLKEIHGVCPLCRHNVSEGVTGITLSNS >Ma11_p25180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27898868:27906287:1 gene:Ma11_g25180 transcript:Ma11_t25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MGSFGMFPGFPSSAIPESSCGYLLQELKLIWDEVGQDQLERERILLELEQECIDMYRRKVDSANILRVRLHQALADSEAEFTNLLLSLGERSFSGRPEKLTGTLKQQLDAITPALHEMQLRKEERMNQFREVQTQIQRIASEVAGHQPDCNVLVNEGDLSLKKLDEHQNELQRLHKEKNDRLRKVEDYIKVVQNLAETMGMDASMIISDIHPSLLGGSTAQQSRNISDTILERLNSKVEQLKDEKRKRTEKLYKLGKALTNLWNLMDTSIEDRQKFYYMNIYTSSAPTDHILRPGSLSIDIIHQVESEVERLDQLKASKMKELFLKKLSELREICKKSHLEVPSGSEMDKIMNLIMSGEMDHADLLVIMEEHISQAKEEARSRKDIMEKVEKWMASCEEEQWLEEYSKDENRYSVSRGAHKNLKRAERARIIVNKIPDLVELLMAKTEIWEEERMKNFLYDKVPLMAMLKEYTLLKQEKEEEKQRQRERKKVQTQITAEHENSFGSRPNTSTTRPSNKILNSSFVSGPSSRRLSIISQHQRSKNNYANKGANLNKGSIKLQTRKILSNYSHAPNSKEDAASEISITFSG >Ma09_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2180840:2181331:1 gene:Ma09_g03200 transcript:Ma09_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYIIGGEIDGVRMAHVLMLLSRGGGGGGGGGVDESGRLAQSASSSSSGGRMFECKTCNRQFSSFQALGGHRASHKKSRLAAGDHGRAEAVADKPKVHQCSICGQEFALGQALGGHMRRHRTTTEGFVHSLAEKKTGDDRGGMFLDLNLPPLENELKLGDCG >Ma06_p37290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36394080:36395627:1 gene:Ma06_g37290 transcript:Ma06_t37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36730 [Source:Projected from Arabidopsis thaliana (AT2G36730) UniProtKB/Swiss-Prot;Acc:Q9ZQA1] MKQRCLSLLQSCGSMDELRQIHARLHSSGFAGDKFLNSEMLRFCALSPRGDLSYASTLLLSAADTMLSSWNHVIRGYSQSDFPREATLVFLHMRRRGLRPNEFTFPFVLKACAKIMCLLLGRQVHADTLKNGVHPVVYVQNALMNLYGSCRRMDEVRRLFDGMSLRTVVSWNTVLSVCADNALPEESIDIFGQMRSCGFEPDQTTYAILLSAAAELGCLSFGRWLHGQLIGSKLVLNVQLGTALVNMYAKCGAVRYASRVFERMALKNVWTWSAMILGLAQHGYASQAIELFDQMGNASVKPNYVTYLAVLCACSHAGLVNEGYRFFHEMVEEHRIDPTMAHYSAMVDVLGRKGCLLEAYHFIRSMPLEPDAVVWRTLLSACQLHVAKDVTGIGKDVKRILLELEPKRSGNYVMASNLYSEEGSWEEAAKVRRVMREEGLKKVPGESCIEVGGRICRFISGDESCINFEDICQILDGLKLNMKMPYSYLYGFY >Ma04_p02250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1952264:1963995:1 gene:Ma04_g02250 transcript:Ma04_t02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKPPVEICKGVNDLDKVVLRGLRGSSAEVYLYGGHVTSWKNNHDEELLFLSSKAIFKPPKAIRGGIPICFPQFGSHGNLEQHGFVRNRFWSIDRSPPPLTVSCNKAFVDLIFKPSEEDLKIWPYSYEFRLRITIGPGGDLMLTSRIKNTDGRPFSFTFAYRTYFSISDISKIFSEVRIEGLETLDYLDNLKSRERFTEQCDAITFESEVDRIYLETPTKIAILDHEKKQTFVLRKDGLPDAVVWNPWEKKAKSMMDFGDDEYKHMLCVEAAAIEKAITLKPGEEWKGRLYLSVVPSCYRSGQLAPLRVLQG >Ma04_p02250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1952264:1961930:1 gene:Ma04_g02250 transcript:Ma04_t02250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKPPVEICKGVNDLDKVVLRGLRGSSAEVYLYGGHVTSWKNNHDEELLFLSSKAIFKPPKAIRGGIPICFPQFGSHGNLEQHGFVRNRFWSIDRSPPPLTVSCNKAFVDLIFKPSEEDLKIWPYSYEFRLRITIGPGGDLMLTSRIKNTDGRPFSFTFAYRTYFSISDISKIFSEVRIEGLETLDYLDNLKSRERFTEQCDAITFESEVDRIYLETPTKIAILDHEKKQTFVLRKDGLPDAVVWNPWEKKAKSMMDFGDDEYKHMLCVEAAAIEKAITLKPGEEWKGRLYLSVVPSCYRSGQLAPLRVLQG >Ma04_p02250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1952264:1961930:1 gene:Ma04_g02250 transcript:Ma04_t02250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKPPVEICKGVNDLDKVVLRGLRGSSAEVYLYGGHVTSWKNNHDEELLFLSSKAIFKPPKAIRGGIPICFPQFGSHGNLEQHGFVRNRFWSIDRSPPPLTVSCNKAFVDLIFKPSEEDLKIWPYSYEFRLRITIGPGGDLMLTSRIKNTDGRPFSFTFAYRTYFSISDISEVRIEGLETLDYLDNLKSRERFTEQCDAITFESEVDRIYLETPTKIAILDHEKKQTFVLRKDGLPDAVVWNPWEKKAKSMMDFGDDEYKHMLCVEAAAIEKAITLKPGEEWKGRLYLSVVPSCYRSGQLAPLRVLQG >Ma04_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1952264:1963369:1 gene:Ma04_g02250 transcript:Ma04_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKPPVEICKGVNDLDKVVLRGLRGSSAEVYLYGGHVTSWKNNHDEELLFLSSKAIFKPPKAIRGGIPICFPQFGSHGNLEQHGFVRNRFWSIDRSPPPLTVSCNKAFVDLIFKPSEEDLKIWPYSYEFRLRITIGPGGDLMLTSRIKNTDGRPFSFTFAYRTYFSISDISKIFSEVRIEGLETLDYLDNLKSRERFTEQCDAITFESEVDRIYLETPTKIAILDHEKKQTFVLRKDGLPDAVVWNPWEKKAKSMMDFGDDEYKHMLCVEAAAIEKAITLKPGEEWKGRLYLSVVPSCYRSGQLAPLRVLQG >Ma02_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6211116:6213240:1 gene:Ma02_g00730 transcript:Ma02_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASPPVKFLPPWAYEMGLLLALICRELLCSLIDLMKNCCRVSTSVSFKKASTSRKKSDPRSEGSEERTAERGTNILNLPELTLDCILEKLSPKELVTVACVCSCLRDRCRSDHLWESHMRKKWGRLLGEAARRAWESYAASRKHALGSATLVQHTKPKNWMGYLFSRSNSDADRRLNIVNNTSPADVTMSCYSALESGRLWVPAQICNRENGNVGFVLSCYDAELRYDRHSDTFYARYYPHGSKAVQEEEGVSWNRVRVPPVDISPHEIHVSECLSELSPGDHIEVQWRRSQKFPCGWWYGVIGHLDSCDGDEQRCLCNLSDTIILEFKQYPPGSRWRRATVSRKNHEEGKGNETTGFYGGIRKLHCKDEICMWLRHWPEESL >Ma02_p00730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6211116:6213240:1 gene:Ma02_g00730 transcript:Ma02_t00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASPPVKFLPPWAYEMGLLLALICRELLCSLIDLMKNCCRVSTSVSFKKASTSRKKSDPRSEGSEERTAERGTNILNLPELTLDCILEKLSPKELVTVACVCSCLRDRCRSDHLWESHMRKKWGRLLGEAARRAWESYAASRKHALGSATLVQHTKPKNWMGYLFSRSNSDADRRLNIVNNTSPADVTMSCYSALESGRLWVPAQICNRENGNVGFVLSCYDAELRYDRHSDTFYARYYPHGSKAVQEEEGVSWNRVRVPPVDISPHEIHVSECLSELSPGDHIEVQWRRSQKFPCGWWYGVIGHLDSCDGDEQRCLCNLSEFKQYPPGSRWRRATVSRKNHEEGKGNETTGFYGGIRKLHCKDEICMWLRHWPEESL >Ma11_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9145181:9146917:1 gene:Ma11_g09930 transcript:Ma11_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPWSSPLRLVLLFLVSLQASGYTRPPPGRIIETAHTKSSSHPQQVHVSAVAADHTRVSWITDDRHVPSLVEYGKVSGKYDTAATGEHTSYIYFFYTSGKIHHVTIGPLEPDTVYYYRCGGIDQEFSFKTPPAALPIEFAVIGDLGQTEWTASTLAHVAESNYDMLLLPGDLSYADTQQPLWDSFGRFVQPYASKRPWMVTEGNHDVEAFPVFHCHPFVAYDSRWRMPYEESGSASNLYYSFDVAGAVHVVMLGSYADFNASSAQYKWLVADLAKVDRRITPWLVALLHAPWYNTNLAHQGEGESMRQAMESLLYRARADVVFAGHVHAYERFTRAYDNKANPCGPAYITIGDGGNREGLALQFDKHHKSASLSLFREASFGHGRLKVVNGTHAHWSWHRNDEDDGSTVGDQVWVESLSASAACGGPLGGVPSASTKVEL >Ma04_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5734868:5737958:-1 gene:Ma04_g07930 transcript:Ma04_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKSQQQQLPGDGFTSQFGGLSKAQIYDIMSQMKALMEQNEQQARQILVDNPLLTRALFQAQIMLGMVQPPKVIPNIQQALSQPQPAEFKQPPNIQSSQTLPVEVGAQGESSSSQTVLPARPQHPSQPSISISPASVASLTSQSQAMATALSAPQIKNFPIVQVPSVQPPQSSQNQNISLPAPAHPHYSTLSSHLPVVSVQPQQTLQNPGVFSQVLQPPLPLPPRPVARQPFTHQLPPQITHSAGFQPSSAPQHLLTQPLFQSGVTPPSSFVQGQPPLPSQPPPQHLYQVSSHIGPEYGNQTGTGTALPADRGAPWAPGPPEMTKAGTQLPGPPLMATGTSGQPPRSSVTPEMEKALLQQVMSLTPEQINLLSPEQRNQVLQLQEMLRQ >Ma06_p21550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15764843:15771443:-1 gene:Ma06_g21550 transcript:Ma06_t21550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWGGMLMNPGVVSATDYTSVASINIFVALLCACIVIGHLLEEHRWINESITALVIGLCTGCVILLTTRGKSSHILVFSEDLFFIYVLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFIIISLGAIGLFRKLDIGALEIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFHAIQNFDLIHIDAIAVLKFVGNFLYLFFTSTLLGAIAGLLTAYIIKKLYFGRHSTDREVALMILMAYLSYMVAELLDLSGILTVFFCGILMSHYTWHNVTEKSRITTKYVISFSHCLKQHALLHFCFLLFSLAHRYSQDKPYDLLLACCCRHAFATLSFIAEVFLFLYVGMDALDIEKWRSVSNSPGKSVSVSSILLGLVFVGRAAFVFPLSALSNWRRKSPDERITFKQQVTIWWAGLMRGAVSIALAYNQFTSFGDTEERGNAFLITSTITVVLFSTIVFGLITKPLVGYLLPPSATGHLSSLTLSFTSEPSSPPRSYLSMLLGFGQESQVEEEQTVPPPPPPPPDLRMLLTAPSRSVHHYWRKFDDKFMRPVFGGRGFVPFVPGSPTERSLHPCQPSKSEPEGDNPPPLSPVPATS >Ma06_p21550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15764843:15771443:-1 gene:Ma06_g21550 transcript:Ma06_t21550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWGGMLMNPGVVSATDYTSVASINIFVALLCACIVIGHLLEEHRWINESITALVIGLCTGCVILLTTRGKSSHILVFSEDLFFIYVLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFIIISLGAIGLFRKLDIGALEIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFHAIQNFDLIHIDAIAVLKFVGNFLYLFFTSTLLGAIAGLLTAYIIKKLYFGRHSTDREVALMILMAYLSYMVAELLDLSGILTVFFCGILMSHYTWHNVTEKSRITTKYVISFSHCLKQHALLHFCFLLFSLAHRYSQDKPYDLLLACCCRHAFATLSFIAEVFLFLYVGMDALDIEKWRSVSNSPGKSVSVSSILLGLVFVGRAAFVFPLSALSNWRRKSPDERITFKQQVTIWWAGLMRGAVSIALAYNQFTSFGDTEERGNAFLITSTITVVLFSTIVFGLITKPLVGYLLPPSATGHLSSLTLSFTSEPSSPPRSYLSMLLGFGQESQVEEEQTVPPPPPPPPDLRMLLTAPSRSVHHYWRKFDDKFMRPVFGGRGFVPFVPGSPTERSLHPCQPSKSEPEGDNPPPLSPVPATS >Ma06_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15764843:15771443:-1 gene:Ma06_g21550 transcript:Ma06_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWGGMLMNPGVVSATDYTSVASINIFVALLCACIVIGHLLEEHRWINESITALVIGLCTGCVILLTTRGKSSHILVFSEDLFFIYVLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFIIISLGAIGLFRKLDIGALEIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFHAIQNFDLIHIDAIAVLKFVGNFLYLFFTSTLLGAIAGLLTAYIIKKLYFGRHSTDREVALMILMAYLSYMVAELLDLSGILTVFFCGILMSHYTWHNVTEKSRITTKHAFATLSFIAEVFLFLYVGMDALDIEKWRSVSNSPGKSVSVSSILLGLVFVGRAAFVFPLSALSNWRRKSPDERITFKQQVTIWWAGLMRGAVSIALAYNQFTSFGDTEERGNAFLITSTITVVLFSTIVFGLITKPLVGYLLPPSATGHLSSLTLSFTSEPSSPPRSYLSMLLGFGQESQVEEEQTVPPPPPPPPDLRMLLTAPSRSVHHYWRKFDDKFMRPVFGGRGFVPFVPGSPTERSLHPCQPSKSEPEGDNPPPLSPVPATS >Ma04_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15981355:15983468:1 gene:Ma04_g16580 transcript:Ma04_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MELQTLNLGSRRPRYRIETKSLSYDLSTATRNVHLRCCGFPSTCRSILKNVCCEALPGELLAIVGPSGAGKTTLLSVLAGVIHPSQVSGDILVDGRPMDVSRFRRVSGYVTQDDALFPLLTVEESLAYSARLRLNATAGEAAARVRELIKELGLDHVAGSRIGGHGAGGISGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSASALHIVKLLKSMASVQGKTIVLTIHQPGFRILELFDQVLLIAGGTVHHQGPIALLESRLREAGHRIPPHVNVLEFAMDAMASLDSGPTTPQEEVIRAPNCSTKEDKIFYANSRCGEVFILTSRFFKNASRTRELFTAKVIQSIAAGFGLGTIFINVSNLQARVGFFAFSLTFLLSSTNEGLPIFLRERRILQRETSRGAYRVSSYVIANALVFMPFLLVAALLYATPVYWLVGLRREMDKFLYFSLVIWLVMLMANSFVACFSALVPTFIMGNSVISGLMGSFFLFSGYFIAKDNIPRYWIFMHYLSFFKYPFEAFVLNEYGGVRGRRECLEWEGNMCALDGGMFLREQGVVESQRWSHVGVMLAFICGYRILCFLILRLRCYDVRR >Ma07_p28060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34197352:34230971:1 gene:Ma07_g28060 transcript:Ma07_t28060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQITEEQRRRAEANRLAALEKRKRAAEVADVESWKLSKCRNMPSPATVEAAVTLRPLSPFRAVFEICSPDEFSVTPEPLQGSWFPGEADCLQIIETCVSSVVPFYLTLSQGGRRTSVFKLSDYDSVLRCIKKLAVVELQEIPYTTRLVVEKFSQSVGSWWVPCMEGHRTEEQVDELLGKLPRSLRNALLPFQLEGVRFALRRGGRCLIADEMGLGKTIQAISVASCFSDEGPVLIVCPAVLRFSWAEELEHWLPTLLPKDIHLVFGHRDNLDYLERHPKVVVVSYTMLNRLQKTMLERNWSLMIVDESHNVRCTKKQMESEETKSVLALAAKIKRIILLSGTPSLSRPYDIYHQINMLWPGLLGKDKYEFAKSYCSVKLVQRSHGVVFKDFSKGIRLGELNILLGQTVMVRRLKEHVLTQLPPKRRQIVWVVLKAADILLATSSCSTSGTMVMDGESRKESFVDCFSDQDKCDGDQKLEKYDACPGTECRKSQKHLSHQEIGIAKLSGFREWFSNHFIFRESEDVDSVEMGLCSQKTIIFAHHLKVLDGIQAFICEKGIKFVRLDGNVLGRERKHAVETFRFSTEVMIAIIGITVGSCGLDFSSSQNVIFLELPETSAEMIQAEDRAHRQGQSNAVNIYIFCAKGTSDESRWLHLNKSFFCCSSMTNGKKYAIKQIEVGSVLHLKYNDNSLSDSSMGISTVDLSRSSVKQTMQAIEMYNITAQGNESSVQHNQTTKDHDMDGEEHSLIHENQNIDGHGEHTEQSNTDSICKTIKSYNDTQFDLLENSAFEEKLLSGMSNDVEVVVSEPVIVDEHYNTQAEWLRFEVSQYTGRVHLYICIPGTDERPRPVFENFRPEELKSILVSAGNTFKEASPETLKTNPAYWNVIKKFFEEWNGLRPIERNKLLGKPLQLPLIAELCYLKDSINHVHGGLLRGGSNRRVTPLSDISCPLPENSFWKKITLHHGAAKEREYKQAWTTEDVPLCKLCQDPCNGKLAKAPEYFEDLFCNLSCFQEYRIRTSRSALREALFQIENGVCTHCKLDCHELVKCIRPLSKSRRKEYIEKVAPKLAQKKKLLDKLVHEPTEGNAWHADHIIPVYKGGGECSLENMRTLCVACHSEVTMAQQDERRLERMQAKKQLKIAMKELENIKPFNSTADVSIRLLNLIWKFIFSFFFHFVNSRINREPMNFYVNSASILHKLNIEKC >Ma07_p28060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34197352:34232359:1 gene:Ma07_g28060 transcript:Ma07_t28060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQITEEQRRRAEANRLAALEKRKRAAEVADVESWKLSKCRNMPSPATVEAAVTLRPLSPFRAVFEICSPDEFSVTPEPLQGSWFPGEADCLQIIETCVSSVVPFYLTLSQGGRRTSVFKLSDYDSVLRCIKKLAVVELQEIPYTTRLVVEKFSQSVGSWWVPCMEGHRTEEQVDELLGKLPRSLRNALLPFQLEGVRFALRRGGRCLIADEMGLGKTIQAISVASCFSDEGPVLIVCPAVLRFSWAEELEHWLPTLLPKDIHLVFGHRDNLDYLERHPKVVVVSYTMLNRLQKTMLERNWSLMIVDESHNVRCTKKQMESEETKSVLALAAKIKRIILLSGTPSLSRPYDIYHQINMLWPGLLGKDKYEFAKSYCSVKLVQRSHGVVFKDFSKGIRLGELNILLGQTVMVRRLKEHVLTQLPPKRRQIVWVVLKAADILLATSSCSTSGTMVMDGESRKESFVDCFSDQDKCDGDQKLEKYDACPGTECRKSQKHLSHQEIGIAKLSGFREWFSNHFIFRESEDVDSVEMGLCSQKTIIFAHHLKVLDGIQAFICEKGIKFVRLDGNVLGRERKHAVETFRFSTEVMIAIIGITVGSCGLDFSSSQNVIFLELPETSAEMIQAEDRAHRQGQSNAVNIYIFCAKGTSDESRWLHLNKSFFCCSSMTNGKKYAIKQIEVGSVLHLKYNDNSLSDSSMGISTVDLSRSSVKQTMQAIEMYNITAQGNESSVQHNQTTKDHDMDGEEHSLIHENQNIDGHGEHTEQSNTDSICKTIKSYNDTQFDLLENSAFEEKLLSGMSNDVEVVVSEPVIVDEHYNTQAEWLRFEVSQYTGRVHLYICIPGTDERPRPVFENFRPEELKSILVSAGNTFKEASPETLKTNPAYWNVIKKFFEEWNGLRPIERNKLLGKPLQLPLIAELCYLKDSINHVHGGLLRGGSNRRVTPLSDISCPLPENSFWKKITLHHGAAKEREYKQAWTTEDVPLCKLCQDPCNGKLAKAPEYFEDLFCNLSCFQEYRIRTSRSALREALFQIENGVCTHCKLDCHELVKCIRPLSKSRRKEYIEKVAPKLAQKKKLLDKLVHEPTEGNAWHADHIIPVYKGGGECSLENMRTLCVACHSEVTMAQQDERRLERMQAKKQLKIAMKELENIKPFNSTADEGGDKQVAEEDPLFVKVPGSVYSRNEQ >Ma07_p28060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34197352:34232359:1 gene:Ma07_g28060 transcript:Ma07_t28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITEEQRRRAEANRLAALEKRKRAAEVADVESWKLSKCRNMPSPATVEAAVTLRPLSPFRAVFEICSPDEFSVTPEPLQGSWFPGEADCLQIIETCVSSVVPFYLTLSQGGRRTSVFKLSDYDSVLRCIKKLAVVELQEIPYTTRLVVEKFSQSVGSWWVPCMEGHRTEEQVDELLGKLPRSLRNALLPFQLEGVRFALRRGGRCLIADEMGLGKTIQAISVASCFSDEGPVLIVCPAVLRFSWAEELEHWLPTLLPKDIHLVFGHRDNLDYLERHPKVVVVSYTMLNRLQKTMLERNWSLMIVDESHNVRCTKKQMESEETKSVLALAAKIKRIILLSGTPSLSRPYDIYHQINMLWPGLLGKDKYEFAKSYCSVKLVQRSHGVVFKDFSKGIRLGELNILLGQTVMVRRLKEHVLTQLPPKRRQIVWVVLKAADILLATSSCSTSGTMVMDGESRKESFVDCFSDQDKCDGDQKLEKYDACPGTECRKSQKHLSHQEIGIAKLSGFREWFSNHFIFRESEDVDSVEMGLCSQKTIIFAHHLKVLDGIQAFICEKGIKFVRLDGNVLGRERKHAVETFRFSTEVMIAIIGITVGSCGLDFSSSQNVIFLELPETSAEMIQAEDRAHRQGQSNAVNIYIFCAKGTSDESRWLHLNKSFFCCSSMTNGKKYAIKQIEVGSVLHLKYNDNSLSDSSMGISTVDLSRSSVKQTMQAIEMYNITAQGNESSVQHNQTTKDHDMDGEEHSLIHENQNIDGHGEHTEQSNTDSICKTIKSYNDTQFDLLENSAFEEKLLSGMSNDVEVVVSEPVIVDEHYNTQAEWLRFEVSQYTGRVHLYICIPGTDERPRPVFENFRPEELKSILVSAGNTFKEASPETLKTNPAYWNVIKKFFEEWNGLRPIERNKLLGKPLQLPLIAELCYLKDSINHVHGGLLRGGSNRRVTPLSDISCPLPENSFWKKITLHHGAAKEREYKQAWTTEDVPLCKLCQDPCNGKLAKAPEYFEDLFCNLSCFQEYRIRTSRSALREALFQIENGVCTHCKLDCHELVKCIRPLSKSRRKEYIEKVAPKLAQKKKLLDKLVHEPTEGNAWHADHIIPVYKGGGECSLENMRTLCVACHSEVTMAQQDERRLERMQAKKQLKIAMKELENIKPFNSTADEGGDKQVAEEDPLFVKVPGSVYSRNEQ >Ma04_p27790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28949836:28950750:1 gene:Ma04_g27790 transcript:Ma04_t27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGKWLRSLLTGKKEKDKERNGGKPTTSPTATSKDSQSPEVDKRLHAMVMKIEDNAAMKIQAAFRSYLARKALCALKGLVKLQAMVRGQMVRQQAATTLRCMQALITAQAGVRTQRTRMLEEAQGTSQSAKAVEMDLGEGCSSWRSRRSCSAFACVRARGSLTDVSPRTCSGRFEEFSFTTAGSSPRWTSACSMHHTPMSSFGASSDCAFPNYMANTESSRAKARWQSAPKQRADMVEMKASRKRASVEGRKTARSARILRPAT >Ma01_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6827411:6827582:-1 gene:Ma01_g09440 transcript:Ma01_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIKIVKARGERKPQVALIYHDRLL >Ma02_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9235035:9236203:1 gene:Ma02_g01270 transcript:Ma02_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNPSPAAPAPNRTLRRPSWPIIGALLLILVPIVISIVVNDPNGFDPAPLPADYSFSASLAVAERHDRILASSKRVGEGRLPGPEDLAYDKARGFLYTGCSDGWIRRVSLKDEKMEVEDWAYVGGRPLGVALGPGGDLVVAESNNGLMIVKPDQSVAMLTDEADGLMFRLTDGVDVASDGLIYFTDASYKFNLDTHILDILEGRPHGRLMSFDSSTNQTSVLLRDLYFANGVSLSPDQRFLIFCETT >Ma06_p26360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28199148:28204548:1 gene:Ma06_g26360 transcript:Ma06_t26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNQNGQPFSGHMSDQFAYEHERHLAYDDQLSPFAEDSACEAIPSKSQSSDEEQKGFSNCHKDFENVTADPPYDNLRSDLNGNPSFSWMVVNNSEVIWSENGKRLFCDDETCLYARKHSKQLGSFEDNRFLAFEKPPLAAAAAEDFKDTRISVAVDAINQATTSHCVAQGNSREAGLDTAREPSHHSYMGNIHQAVEFDQVEEICSPVFDYFKRKHVAIGVNHQVDIPEWRSNNHIGDYEDFASTLPSKTTPPSSNHVVDEVESDRWVGTCAMPLPESALLASNVLVLQCKDCGCADEGSIRCVRQHVMETREKLKKQLGQDKFIELGFGDMGEVVAQKWTEEEEQLFHEIVLSNPASLGKNFWDKLPQVFPARSSKELVSYYFNVFMLQKRAKQNRLDPLHVDSDDDEWQESHHGEFAMGEDEDSVVESPLEDEDEDEDEDDDDAGEEDETEEEEISETADDIENCDFYALARNNEKGTCGAVKGCISSQTNLISNMQFTESSLYNCTEEQDIQDDSCTSFEGHYIGSDSCDPLGIFDLQHGLNADHDFCKEYQNDSLSRLTDDGFYDGHCDPKAWDMSYSCGAEKDDFLSTNNLIEEVFGKEPGDDK >Ma06_p26360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28199148:28204548:1 gene:Ma06_g26360 transcript:Ma06_t26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNQNGQPFSGHMSDQFAYEHERHLAYDDQLSPFAEDSACEAIPSKSQSSDEEQKGFSNCHKDFENVTADPPYDNLRSDLNGNPSFSWMVVNNSEVIWSENGKRLFCDDETCLYARKHSKQLGSFEDNRFLAFEKPPLAAAAAEDFKDTRISVAVDAINQATTSHCVAQGNSREAGLDTAREPSHHSYMGNIHQAVEFDQVEEICSPVFDYFKRKHVAIGVNHQVDIPEWRSNNHIGDYEDFASTLPSKTTPPSSNHVVDEVESDRWVGTCAMPLPESALLASNVLVLQCKDCGCADEGSIRCVRQHVMETREKLKKQLGQDKFIELGFGDMGEVVAQKWTEEEEQLFHEIVLSNPASLGKNFWDKLPQVFPARSSKELVSYYFNVFMLQKRAKQNRLDPLHVDSDDDEWQESHHGEFAMGEDEDSVVESPLEDEDEDEDEDDDDAGEEDETEEEEISETADDIENCDFYALARNNEKGTCGAVKGCISSQTNLISNMQFTESSLYNCTEEQDIQDDSCTSFEGHYIGSDSCDPLGIFDLQHGLNADHDFCKEYQNDSLSRLTDDGFYDGHCDPKAWDMSYSCGAEKDDFLSTNNLIEEVFGKEPGDDK >Ma06_p26360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28199148:28204548:1 gene:Ma06_g26360 transcript:Ma06_t26360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNQNGQPFSGHMSDQFAYEHERHLAYDDQLSPFAEDSACEAIPSKSQSSDEEQKGFSNCHKDFENVTADPPYDNLRSDLNGNPSFSWMVVNNSEVIWSENGKRLFCDDETCLYARKHSKQLGSFEDNRFLAFEKPPLAAAAAEDFKDTRISVAVDAINQATTSHCVAQGNSREAGLDTAREPSHHSYMGNIHQAVEFDQVEEICSPVFDYFKRKHVAIGVNHQVDIPEWRSNNHIGDYEDFASTLPSKTTPPSSNHVVDEVESDRWVGTCAMPLPESALLASNVLVLQCKDCGCADEGSIRCVRQHVMETREKLKKQLGQDKFIELGFGDMGEVVAQKWTEEEEQLFHEIVLSNPASLGKNFWDKLPQVFPARSSKELVSYYFNVFMLQKRAKQNRLDPLHVDSDDDEWQESHHGEFAMGEDEDSVVESPLEDEDEDEDEDDDDAGEEDETEEEEISETADDIENCDFYALARNNEKGTCGAVKGCISSQTNLISNMQFTESSLYNCTEEQDIQDDSCTSFEGHYIGSDSCDPLGIFDLQHGLNADHDFCKEYQNDSLSRLTDDGFYDGHCDPKAWDMSYSCGAEKDDFLSTNNLIEEVFGKEPGDDK >Ma06_p26360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28199148:28204548:1 gene:Ma06_g26360 transcript:Ma06_t26360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNQNGQPFSGHMSDQFAYEHERHLAYDDQLSPFAEDSACEAIPSKSQSSDEEQKGFSNCHKDFENVTADPPYDNLRSDLNGNPSFSWMVVNNSEVIWSENGKRLFCDDETCLYARKHSKQLGSFEDNRFLAFEKPPLAAAAAEDFKDTRISVAVDAINQATTSHCVAQGNSREAGLDTAREPSHHSYMGNIHQAVEFDQVEEICSPVFDYFKRKHVAIGVNHQVDIPEWRSNNHIGDYEDFASTLPSKTTPPSSNHVVDEVESDRWVGTCAMPLPESALLASNVLVLQCKDCGCADEGSIRCVRQHVMETREKLKKQLGQDKFIELGFGDMGEVVAQKWTEEEEQLFHEIVLSNPASLGKNFWDKLPQVFPARSSKELVSYYFNVFMLQKRAKQNRLDPLHVDSDDDEWQESHHGEFAMGEDEDSVVESPLEDEDEDEDEDDDDAGEEDETEEEEISETADDIENCDFYALARNNEKGTCGAVKGCISSQTNLISNMQFTESSLYNCTEEQDIQDDSCTSFEGHYIGSDSCDPLGIFDLQHGLNADHDFCKEYQNDSLSRLTDDGFYDGHCDPKAWDMSYSCGAEKDDFLSTNNLIEEVFGKEPGDDK >Ma08_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:785407:786946:-1 gene:Ma08_g00850 transcript:Ma08_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRELPRRMMMSEQLSNVDSSDPRDHVKVREEDETDQSCRQSSDSVALTCEKRESSTASGGLRGGGRRLFDVIQQVREANAAAAGGNSSGSGSKWKSLRDRIRRAGAAWAAASSAQPHPISDPELVVPSRRSPFLGRSVSRSVSVRNPYPISDPELVVSSRSNPVRDRSVSLSVSVRNSEPPVPEPTVMAAASEKAAAVSSAGGNTEFGGGQKYVPGGTYDSSPLAAARGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGYILEILDIF >Ma08_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32419065:32419223:1 gene:Ma08_g18830 transcript:Ma08_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENLDKLDWELSEEEKHKIGQIPQRKGYAGRDFVSDDGPYKSTAEPWDGEI >Ma04_p23430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25609443:25612977:1 gene:Ma04_g23430 transcript:Ma04_t23430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) UniProtKB/Swiss-Prot;Acc:Q9FPQ8] MQSDQGLGMEMGVGAGGECSSSAAAAEQQLLKAEMAVHPLWEQLLGAHVGCLRVATPIDHLPLIDAQLAQSHHLVRSYAAGRRPLLSPHEKQELDSFLAQYLMVLCSFREQLQQHVRVHAVEAVIACREIEQSLQDLTGATLEEGSGATMSDDEDELQMDCPLDISVEGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFRSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQNSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNSSQSATTLKSKRKK >Ma04_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25609443:25612636:1 gene:Ma04_g23430 transcript:Ma04_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) UniProtKB/Swiss-Prot;Acc:Q9FPQ8] MQSDQGLGMEMGVGAGGECSSSAAAAEQQLLKAEMAVHPLWEQLLGAHVGCLRVATPIDHLPLIDAQLAQSHHLVRSYAAGRRPLLSPHEKQELDSFLAQYLMVLCSFREQLQQHVRVHAVEAVIACREIEQSLQDLTGATLEEGSGATMSDDEDELQMDCPLDISVEGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFRSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQNSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNSSQSATTLKSKRKK >Ma03_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:922163:922714:1 gene:Ma03_g01220 transcript:Ma03_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISHMGGPNQAVSGNDLETSLIMRKGRGIILALVVVLRQLNLLILFIAYFCPVKKIGNVLGKGGEIINALRGVTHAKIRVADAVPGAEERVVMIFCYLWQSERNDSDQDPYDNDGIELEDMRSRCPAQDDLLKIHYWIAADEILRGGVIQENTEPDGVVTARIWFAKNQVGCLLGKGGTIIQ >Ma07_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11088822:11090625:-1 gene:Ma07_g14790 transcript:Ma07_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDSSHVTLASQPNACVVLDVGGKLFETTVATLQSGGPDSLLAALCLRANPDSDEEPSPVFIDRDPEIFSTLLSLLRSGRLPSSVLSRFSNQDLLEEALYYGVEARLRSALSPPPLVGFDATLVATLRPASDAFPTALSAGSEDGSVWIAHGGQISAYDWSLAHTGTVRTHLDEITALQRVWPEVAAAGSLDSPGLHFYDVSGGRHVGSVHWSDPGDHRVYKARVTAIAAGWSGPDDPVYAAFECPHPENCILAVDPATLLPTAAIGRQSGSAAKAAAPGRLVHVRERGLVFAAAVSAGAFGYAGYMRLWDPRSGEAVWETNEPGAGSSSRRFGDSFADADVDREGSAIYKVCWRSGDVAVADMRRLGEDPWVYLEERSAAAGMRSAGEGANSVLRCYKNQVFVGREAGLEVWSQIMDKEGGRRRSDRETEAAVCENAFRRIFVDKEEDARRGLIKAMEGGGDRLFLSREGVEGVEVWESSDLSRAISLV >Ma04_p17400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17664329:17671691:1 gene:Ma04_g17400 transcript:Ma04_t17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MARLDPHSYTESSQPLAKHVALTLYFDFAARTIHGSALLTLPAAHTGPLLLDTRSLVIHSVLDRHTNAPIPFSFPSDPTPDPVLGQPLSLSLSAQSAALVVFSTSPSSSALQWLSPAQTASKAHPFVYTQCQSIHARSLFPCQDTPAARLVFSARLNLPHPLTAVMAAARAAAPRDPIPGEAGSACPDALWCAPGRSVEEFIMDRPIPAYLFAFAAGDIAARDVGVRTRVYAEGGAALLDAAAREFAGAEEMIRAGEALFGPYEWGRFDLLVLPPSFPYGGMENPMMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEETAALNMGIGWRGLNDEMERFKDNMEFTKLKPNLEGIDPDEIYSQVPYEKGFQFLWRIERQVGRPTFDEFLKKYIAKFKFQSIDTETFLEFLKANLPGIENQIDLKQWVEGTGIPPDAMEPLSSIYSKIVSLSNEFKLGKIPKEQEVANWSGQEWELYIENLPKSVEPSQIAALDAHYRLSESRDYEVKVAFLQLAISSGCKEYFAEVERTLKQVGRMKYLRPLYTALVQGSAEEAKMSARRIFAEARDTYHPIAQGVVESILAKHS >Ma04_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17664377:17671691:1 gene:Ma04_g17400 transcript:Ma04_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MARLDPHSYTESSQPLAKHVALTLYFDFAARTIHGSALLTLPAAHTGPLLLDTRSLVIHSVLDRHTNAPIPFSFPSDPTPDPVLGQPLSLSLSAQSAALVVFSTSPSSSALQWLSPAQTASKAHPFVYTQCQSIHARSLFPCQDTPAARLVFSARLNLPHPLTAVMAAARAAAPRDPIPGEAGSACPDALWCAPGRSVEEFIMDRPIPAYLFAFAAGDIAARDVGVRTRVYAEGGAALLDAAAREFAGAEEMIRAGEALFGPYEWGRFDLLVLPPSFPYGGMENPMMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEVVQGEETAALNMGIGWRGLNDEMERFKDNMEFTKLKPNLEGIDPDEIYSQVPYEKGFQFLWRIERQVGRPTFDEFLKKYIAKFKFQSIDTETFLEFLKANLPGIENQIDLKQWVEGTGIPPDAMEPLSSIYSKIVSLSNEFKLGKIPKEQEVANWSGQEWELYIENLPKSVEPSQIAALDAHYRLSESRDYEVKVAFLQLAISSGCKEYFAEVERTLKQVGRMKYLRPLYTALVQGSAEEAKMSARRIFAEARDTYHPIAQGVVESILAKHS >Ma09_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34391673:34392932:1 gene:Ma09_g22450 transcript:Ma09_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSGAVLGRGSFGTVRLAFVRRAEDNRPLVMAVKSAPLATSDSLRHEESVLSDLRCCPHLVRCFGHDVTTDAASGAQFYNLFLEYVAGGTLRDVLGRSGGALREPAVRRYARSILRALDRVHSGGYVHCDLKLQNILVENGGADVKIADLGLAKRIEKEIDARDRGSMRGTPLYMSPESVARREYGAPGDIWSFGCAVAEMVTGRPAWSGSDDGDAWGLMFRIGFSDELPDIPSKLSEEGKDFLSRCFVKDPFKRWTAEMLLQHPFIAAEEDLVDAAAACNRCSSTESSPRSVFGLPQWLSPRSPSNSIDSSLMESVAPDSRVSSPSDRIRELATMQQPTWSSPSTSSSADGWIDVRISDANLKSEEPKHETQATLEEMLSRIRRRDDLRPENRI >Ma05_p21520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33203955:33225741:1 gene:Ma05_g21520 transcript:Ma05_t21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFEEYFKRADLDRDGKVSGDEAVAFLQGSNLPRNVLAQVWMYADQNRTGFLGRSDFFNALKLVTVAQSGRQLTPEIVKSALFGPAAAKIPAPKINLASSPAPQSNSTTTPTVPTNSLRPPTNQFGSIAANSPQNLGFRPTQAPQNSFMNQQFFPTANSNVMRPPQATHPAASTPVQGGNSGLVGGGSVAGPPLPNSNNSNLSNNWRGGNLNVVSVGGASQTPIRGTIPSQNQGGVSVGLLGMSGAPSRPQTQFVAKPPDQILPSSKPNESKALASGNDHSSEPFFGGDVFSVTSQPKLSSNTLGFSVNSISNSSSTASVIVGTQSSIRPGQLDPTQITRSLPSAGSQLQQTQSNVKQNQLDSLKMNSAMAAPNVTAGSVNPASNQSQTQWPKITQADIKKYTNVFVNVDKDRDGKITGEQTRTLFLSWKLPREVLKQVWDLSDQDNDSMLSLREFCIALYLMERYREGRPLPSVLPSGIMYDETLLRAAGMPSAAYGVPTWQPGLPHQGLPGYRPVMPAAGPRPPMQTPVPSQIPGAMHSPQQNLGKPGLDNHMVNNFGKDEQHTTPKYQEWSNADNKVQEVEKQILDSKEKIEFYRTKMQELVLYKSRCDNRLNEITERASADRREVELLAKKYEEKYKQVGEIASKLAIEEAKYRDIQERKMELHNATIKMEQGGSADGLLQVRVDRIQSDLEELEKGLNERCKQHGVHVKSTTSIELPFGWESGPLDMVADWDEDWDKFDDEGFSIIKDLTSGVVNTVSTGEPKSPSIWDDKSSIDDNSPIASSQNVGGRNEKLDGINENMNGLAYDNGEEGSTRSPTSSPGRSTIESPFNSTHFGIHDVSPRTKESHSDQVGAESTISGDKYNDEPWTFDDTDSVWKETDYESSTRNAFMSHFDSMKADSPSASSVFEKGKKNLFFDDSVPSSPLFNSASPSRFNDGRDDYGFSSFGRFDSFATHDSGPFPAHETFSRFDPISSSRPETLARFDSVSSSREFGRGRGFESFDDADPFDTTGPFKPSGGHSPKQGSDNWRAF >Ma05_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33203955:33225741:1 gene:Ma05_g21520 transcript:Ma05_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFEEYFKRADLDRDGKVSGDEAVAFLQGSNLPRNVLAQVWMYADQNRTGFLGRSDFFNALKLVTVAQSGRQLTPEIVKSALFGPAAAKIPAPKINLASSPAPQSNSTTTPTVPTNSLRPPTNQFGSIAANSPQNLGFRPTQAPQNSFMNQQFFPTANSNVMRPPQATHPAASTPVQGGNSGLVGGGSVAGPPLPNSNNSNLSNNWRGGNLNVVSVGGASQTPIRGTIPSQNQGGVSVGLLGMSGAPSRPQTQFVAKPPDQILPSSKPNESKALASGNDHSSEPFFGGDVFSVTSQPKLSSNTLGFSVNSISNSSSTASVIVGTQSSIRPGQLDPTQITRSLPSAGSQLQQTQSNVKQNQLDSLKMNSAMAAPNVTAGSVNPASNQSQTQWPKITQADIKKYTNVFVNVDKDRDGKITGEQTRTLFLSWKLPREVLKQVWDLSDQDNDSMLSLREFCIALYLMERYREGRPLPSVLPSGIMYDETLLRAAGMPSAAYGVPTWQPGLPHQGLPGYRPVMPAAGPRPPMQTPVPSQIPGAMHSPQQNLGKPGLDNHMVQEVEKQILDSKEKIEFYRTKMQELVLYKSRCDNRLNEITERASADRREVELLAKKYEEKYKQVGEIASKLAIEEAKYRDIQERKMELHNATIKMEQGGSADGLLQVRVDRIQSDLEELEKGLNERCKQHGVHVKSTTSIELPFGWESGPLDMVADWDEDWDKFDDEGFSIIKDLTSGVVNTVSTGEPKSPSIWDDKSSIDDNSPIASSQNVGGRNEKLDGINENMNGLAYDNGEEGSTRSPTSSPGRSTIESPFNSTHFGIHDVSPRTKESHSDQVGAESTISGDKYNDEPWTFDDTDSVWKETDYESSTRNAFMSHFDSMKADSPSASSVFEKGKKNLFFDDSVPSSPLFNSASPSRFNDGRDDYGFSSFGRFDSFATHDSGPFPAHETFSRFDPISSSRPETLARFDSVSSSREFGRGRGFESFDDADPFDTTGPFKPSGGHSPKQGSDNWRAF >Ma11_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6574630:6575664:1 gene:Ma11_g08250 transcript:Ma11_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQANQFITAEAWMAGKQEKHMRVRPEPARGQQPAATRRRMDQSDLPAPRPPLPPLSASRTEIFLQIKERGLLRAPVPMKNPRELADQSKHCSFHRQNGHDTEDCRELKRQIEELIRGGHLSRYIRHNGESSPLPEGPVERYIDVITGGPAAGGTSMSGRKAYARSARIDAPQRGPDPKVAFPPEDVKLPEHDDALVIIARIANAQVRRIMINTGSSADVLYLDAFQKLGLTKESLKPICSALTGFTSDSVSPLGTVTLPLTLGAPPRTKTVMSTFLVVDLPAAYNAILGRPTLNKIRVVVSTYHQTVKFPTPTGTSEVWGSPRESRRCYLTAISLHKKARTD >Ma05_p26830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38362157:38364458:1 gene:Ma05_g26830 transcript:Ma05_t26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSETQSLVLIDYIHNPQAEVNNGSASKLPESLKKDDVNRAYVDTAAPFESVKEAISKFGGLIDWEAQTLEEVLKLSSPRSSSRTSKLLESLKKVNINQAYIDTAAPFESVKDAISKFGGTVDWEAQTAVTIERHKHVHLELEKAQEEIMKCKEQYEAVESIKEDVTKELSSTKRLVEELKQSLEKAQPREAQVKQDSELIDVRLKEIEQRIAKFASVATNTDGVEIDRERIADFTAVVAELRLVKQELESMESERVVLVDERNTALRKATDFDVASKEIEKTIEDLNLELVATKESIELAHSAHLEAEEQRASAAVALEQDKLIWQKELKHAEDEVEQLKEQLLSTDDVKSMLNRASSLLSRLKDELALYMKAKPNREAKSTQEHKLVDHTGDVKESNPLALNPKELDEARSRIEKANNEINCLRVASSSLKSELEMERASVSTLRQRNVLASVLVSSLEDELNRTKTELGKALERAAEEADRAKEREEQAKDEACTMENVANSTLKDSSNLVTLSIEEYFKLRETAKEAEEIANSRVISAIAQVNAAKDLELRSLQELEEVKDMIQERKTALRTAEEKAERATEGKLGMEQELRTWRAKQEEQRKARGAATRLPELSNLDTAVEARSCVGKADAGIDESPLMANPKLQMTRSNTTNSIGASKRGKRSLFRRIAMLFTGKKTQPMG >Ma10_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32545144:32555055:1 gene:Ma10_g23790 transcript:Ma10_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKYPIRVEDYQLFEAVGQGVSAQVYRALCVPFDEIVAAKILDFERNNSDLNNITREAQTMILIDHPNVLRAHCSFVNDHNLWVVMPFMAGGSCLHIMKSVYPNGFEEVVIATILREVLKGLEYLHRHGHIHRDVKAGNILVDSRGGVKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPLKVLLMTLQNAPPSLDYDRDKKFSRAFKHMIATCLVKDPSKRPSAQKLLKQPFFKQARSQDYIVRKILEGLPTLGDRHQALKEKEEDLLAQKKMPYGKKEEISQNEYKRGISGWNFDTEDLKAQASLIPDNEDNKDSNGFQNSLFEIDALQERVPEVMTVSSSLSLKEDDEFENAPPHNKSNLSSPDKTATYQRTKSDGSDNDLKFAGTSEQNAQNGSHFHHSEMGNGFSGNCGSDIDENHLENALHGCHDRKPSTSSCSSEVLPLAKAESFKPQNQLQSIGNCNGGLTPLVIDTTKSSASFIDDLDDKSKPPLVQQKGRFKVTSENVDLDRAPTPTPLGIQKSYSMQMFSQLPSPSVQLPADATSNLGCSMLPQLHYILQANIVQRDNILSLMRQVTTGDSSPFFGASSNRLAEGVSLCGHSMISEKSLLEAAHDREKELMQEIAELQWRLACMQDELQKHKSRNSQV >Ma07_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32036830:32042311:1 gene:Ma07_g24840 transcript:Ma07_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISRGRNLGPGAGAGALQVPCGRVHQIGALALIAATFLLTRAFDRLSCGLSYGGLASVASSAALFDRAGSLFWPDRGYGSRLDLKIYVYEEKEIDGLRELMRGRDGRISPDACVKGQWGTQVKIHQLLLKSRFRTINKQEADFFFVPSYVKCVRMMGGLNDKEINNTYVKILSQMPYFRYSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRDISAFNTWKDIIIPGNVDDGMTKFYGSNVVQPIPLSKRQHLANFLGRAQGKVGRLRLVELAKQYPDKLESPELQLTGPNKLGRTDYFNHLKNAKFCLAPRGESSWTLRFYESFFVECVPVILSDQVELPFQNVIDYTQISIKWPSTRISTQLLEYLETIPDEVIEAMIARGRQVRCLWVYAPETEPCSAMVGIMWELQRKVRRFHQSGETFWLHNGSVVNRDLVEFHDWRPPVPLP >Ma06_p33750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34261493:34267894:-1 gene:Ma06_g33750 transcript:Ma06_t33750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNSRIEEDKALTLCRERKRLVRQALDERCSLAAAHVSYIRSLRETGIALREFVETEAPNESSLFTTTSATPEPLALTDTPKHIVPQSDEIPSSETPPTHSGTTWDFFAPSHPIDNQLSFQNGRGLNRGFDNADEIRRLREEEGIPELEEDGDRASTNEENDLDSEDDFDHPSSEPLVQMFENRNLISEHLLKSESAAIQSEKDIVSETKHQNGDDMKLTNDISETDEMTPTKAASYIVSISMNGKGKETDPETKNEARDLLSCMLEIADLFLKASESGKEIPMMLEANKVQFRPLFAEEKAHASKVSAFIMSYFACCKEETPHPQVSATNEVKYLTWHSSVSSLSSSSRNFLGTTMKDDTEEPSSNLFNSICMNSGSHASTLDRLYAWERKLYDEIKACGILRRDYGMKCRLLRHRESQGENRIKIDKSRAAVKDLHSRIHVAIQSIDSISKKIEGIRDKELQPQLEELIGGLTKMWRTMLDYHNRQYNIIMSVSDNGNTKVSVRSESQHQAADLEFELNELSSNFTGWMSAHKSYVQAINGWLLKCIDSTVKQNKSSRRKAQRFSLKIDLAPPIFVTCQNWLDLLEVLSTEDVVSAVKDLVNATTHFLPHQEKGHGTSKSSFSLPRNDESGEHVLANDPSVDWSLNYDHLQSALKIFLDSLKAFAASSVSKYEALQVSIKKAKELYEGKAEKQV >Ma06_p33750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34261493:34267894:-1 gene:Ma06_g33750 transcript:Ma06_t33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNSRIEEDKALTLCRERKRLVRQALDERCSLAAAHVSYIRSLRETGIALREFVETEAPNESSLFTTTSATPEPLALTDTPKHIVPQSDEIPSSETPPTHSGTTWDFFAPSHPIDNQLSFQNGRGLNRGFDNADEIRRLREEEGIPELEEDGDRASTNEENDLDSEDDFDHPSSEPLVQMFENRNLISEHLLKSESAAIQSEKDIVSETKHQNGDDMKLTNDISETDEMTPTKAASYIVSISMNGKGKETDPETKNEARDLLSCMLEIADLFLKASESGKEIPMMLEANKVQFRPLFAEEKAHASKVSAFIMSYFACCKEETPHPQVSATNEVKYLTWHSSVSSLSSSSRNFLGTTMKDDTEEPSSNLFNSICMNSGSHASTLDRLYAWERKLYDEIKACGILRRDYGMKCRLLRHRESQGENRIKIDKSRAAVKDLHSRIHVAIQSIDSISKKIEGIRDKELQPQLEELIGGLTKMWRTMLDYHNRQYNIIMSVSDNGNTKVSVRSESQHQAADLEFELNELSSNFTGWMSAHKSYVQAINGWLLKCIDSTVKQNKSSRRKAQRFSLKIDLAPPIFVTCQNWLDLLEVLSTEDVVSAVKDLVNATTHFLPHQEKGHGTSKSSFSLPRNDESGEHVLANDPSVDWSLNYDHLQSALKIFLDSLKAFAASSVSKYEALQVSIKKAKELYEGKAEKQV >Ma06_p33750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34261493:34267635:-1 gene:Ma06_g33750 transcript:Ma06_t33750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATNSRIEEDKALTLCRERKRLVRQALDERCSLAAAHVSYIRSLRETGIALREFVETEAPNESSLFTTTSATPEPLALTDTPKHIVPQSDEIPSSETPPTHSGTTWDFFAPSHPIDNQLSFQNGRGLNRGFDNADEIRRLREEEGIPELEEDGDRASTNEENDLDSEDDFDHPSSEPLVQMFENRNLISEHLLKSESAAIQSEKDIVSETKHQNGDDMKLTNDISETDEMTPTKAASYIVSISMNGKGKETDPETKNEARDLLSCMLEIADLFLKASESGKEIPMMLEANKVQFRPLFAEEKAHASKVSAFIMSYFACCKEETPHPQVSATNEVKYLTWHSSVSSLSSSSRNFLGTTMKDDTEEPSSNLFNSICMNSGSHASTLDRLYAWERKLYDEIKACGILRRDYGMKCRLLRHRESQGENRIKIDKSRAAVKDLHSRIHVAIQSIDSISKKIEGIRDKELQPQLEELIGGLTKMWRTMLDYHNRQYNIIMSVSDNGNTKVSVRSESQHQAADLEFELNELSSNFTGWMSAHKSYVQAINGWLLKCIDSTVKQNKSSRRKAQRFSLKIDLAPPIFVTCQNWLDLLEVLSTEDVVSAVKDLVNATTHFLPHQEKGHGTSKSSFSLPRNDESGEHVLANDPSVDWSLNYDHLQSALKIFLDSLKAFAASSVSKYEALQVSIKKAKELYEGKAEKQV >Ma11_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27020094:27022677:1 gene:Ma11_g23820 transcript:Ma11_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEAGEMTDLYIPRKCSATNRLITAKDHASVQINIGHLDESGVYTGQFSTFALSGFIRAQGDADGSLDRLWQKKKVEVKQQ >Ma11_p23820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27020091:27022677:1 gene:Ma11_g23820 transcript:Ma11_t23820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEAGEMTDLYIPRKCSATNRLITAKDHASVQINIGHLDESGVYTGQFSTFALSGFIRAQGDADGSLDRLWQKKKVEVKQQ >Ma03_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23881896:23890691:-1 gene:Ma03_g18330 transcript:Ma03_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGAVESPEARPAAVAIDFTDGDTSPSRARIPRRIRRRLLEGKSSGGRSSVEEIEAKLRDADLRRQQFHELLSSKARPKPRSPSWSSQEDDPGQRIEAKLFAAEQKRLNLLSKAQMRLARLDELRQAAKSGVEMRFVKEREELGTKVESRVQQAEANRMRLLKAHMQRRAAIRERTASSLLQRVIRENKHKECVRSAIFQKRAAAEKKRLGLLEAEKKRAHARVVQARRVAKTVYHRRETERRRLKEQLEYRLQNAKRQRAEYLKQRGGSHGSARINLIRHGDFLSRKLARCWRQFVKSRRTTFALAKAYAALGLNENSVKCMPFEQVALLIESSKTLATAKSLLDRLESRISLLLSSGPSSVENINHLLKQLASPNRKVPSSRTSRERGGTKRVAVRESRSSETKMSRYPVRVILCAYMILGHPNAVLSGQGEREVALREAAINFLREFELLVNTILDGPKSAHSSRQSSPDALSLNHHEDSSTGLPREQNFRCQLRTFDTAWCSYLYRFVVWKVKDARSLEEVLVRAACQLELSMMQTCKMTAEGQTVDLSHDMRAIQKQVIEDQKLLREKVQHLGGNAGIERMECALLDTRSKFFEAKENGSPLATSVAHISSPSAPDTSGKNVVSVPHEQSVDIKGRSNHVVRSLFGASSSTQPTVGAEIQNVDVQSSFRTVTQSPTENELLVNEIMHWGNGNIADNLDLKAEEIGIQVKGTMEKAFWDGILDSLKEDRPDYSRILGLVKEVRDELCDLAPQSWKQDILNSIDLDILSQVLESGSHDIHYLGNILEFVLTMLRKLSTPASEDDMRKDHQKLLNSLEDIARSNDKQNNLFVIAAIKGLRFVLEQIQTLKKEVSLARIKLMEPIIKGSAGLEYLQKAFMDRCGSPVGAANSLPKTSRWLSSFVDSLEEEWNEHVDLCSVLSASHGLPITTLRTGGGLSSSSKQYDVLFNASGGDELPECSGEKVDKLVRLGLLKLASAIAGLTTEMAPETLELNVLRLRAVQSQLQQIIVVATSILVLRQVVLSEKSVAPSELESVVSKTVEGLSDLLKNSPDVGFEEITEMMVSLSGSYSTSSPETKLQSRKEIMARMLTKSLQNDDAIFAKVSRSIYLAVRGVVLGGSGARGRKLADAALRRVGAAMLLDQVVNAGNMIVIMAMVTSRVHGPWYRVLV >Ma05_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4190525:4201844:1 gene:Ma05_g05520 transcript:Ma05_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKPPCNITISFADGKTRKKASIKKENGQTAMVPLFQSLENIVGEVSIEPVQGKKIEHTGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGDIYERKTFPFEFSSVEMPYESYNGINVRLRYILKVTISRNYVSNIVEYQDFWVRNYTPAPTINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYFLNLVLVDEEDRRYFKQQEITIYRLQETS >Ma01_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19142574:19143005:-1 gene:Ma01_g20580 transcript:Ma01_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGNGKENASPPRPSPSYTVPLRIIRQPLAKPWRRPVPPPPRVYHVHPRGFRQLVQSLTGAPRPRSSEPATESATTPSAPLIPASPTLLSPPTFAALTSVMEDNSAKLEFALPSPYPEAYTAWNSSPIWGTMVDDDGHVYF >Ma06_p36050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35665059:35668124:-1 gene:Ma06_g36050 transcript:Ma06_t36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIRDLRGAMNGFKHDQLFPGPITADGLKLKGLPPPTTAAYLDGFIDVQDGPFLQASSDSALNASAPRSFMDDLFTEEDALNSLDLGGQQQSSNHRSSRLDLPDDHPGLPPSVCTPNANVSPVTETGTLDDSEIFSDIGLNYISQMLMEENTDDKFDVYLENPALFDPENTFLEILRENLTASPGQPPLCSSHFSDSPDGDHHGSSGSSTVMDNSHSYDAPETHPVPIDDSPQSSFSFVNSFGDILEGVEESLLSTLVAPDLPADSQPAWQFQGGIEEAHKFLPSNDKLAINFEANNFYLPQVPNEGTRLVEVNAEGGVSEHAVHPSRGRKNRHGEDLELEEGRSIKQSAVFSGETGRTKLFDEVFLCSGGSCAKAVDKFRERSQNEASKVSHGSRSKGRKGRGKKQPKREVVDLSSLLTHCAHAVAADDHRSANELLKQIRQHSSPFGDANQRLAHWFADGLQARLAGTGSQIYHSLTAKRIPVTDVLKAYQLYMAACPFRKVSHFFATQTILNVAEKATRLHIIDFGMYYGFQWPCFLQRLSSRPDAPPKVRMTGIDVPRHGFRPTELIDETGRRLADYASNFRIPFEFRSIVAAKWDDIRVEDLDLRDDEVVVVNCLYRFKNLLDETVVVDNPRDKVLNTIRKINPDVFIHGVVNGTYSAPFFVTRFREALFHYSSLFDMIGTNAPQEDESRQLIEKVLFGREALNVLACEGTERLERPETYKQWHVRNLRAGFVQLPLNRDIVKNVTDKMKSCYHKDFVVDEDKRWLLQGWKGRIVYALSTWKSNSSY >Ma06_p36050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35665060:35668119:-1 gene:Ma06_g36050 transcript:Ma06_t36050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIRDLRGAMNGFKHDQLFPGPITADGLKLKGLPPPTTAAYLDGFIDVQDGPFLQASSDSALNASAPRSFMDDLFTEEDALNSLDLGGQQQSSNHRSSRLDLPDDHPGLPPSVCTPNANVSPVTETGTLDDSEIFSDIGLNYISQMLMEENTDDKFDVYLENPALFDPENTFLEILRENLTASPGQPPLCSSHFSDSPDGDHHGSSGSSTVMDNSHSYDAPETHPVPIDDSPQSSFSFVNSFGDILEGVEESLLSTLVAPDLPADSQPAWQFQGGIEEAHKFLPSNDKLAINFEANNFYLPQVPNEGTRLVEVNAEGGVSEHAVHPSRGRKNRHGEDLELEEGRSIKQSAVFSGETGRTKLFDEVFLCSGGSCAKAVDKFRERSQNEASKVSHGSRSKGRKGRGKKQPKREVVDLSSLLTHCAHAVAADDHRSANELLKQIRQHSSPFGDANQRLAHWFADGLQARLAGTGSQIYHSLTAKRIPVTDVLKAYQLYMAACPFRKVSHFFATQTILNVAEKATRLHIIDFGMYYGFQWPCFLQRLSSRPDAPPKVRMTGIDVPRHGFRPTELIDETGRRLADYASNFRIPFEFRSIVAAKWDDIRVEDLDLRDDEVVVVNCLYRFKNLLDETVVVDNPRDKVLNTIRKINPDVFIHGVVNGTYSAPFFVTRFREALFHYSSLFDMIGTNAPQEDESRQLIEKVLFGREALNVLACEGTERLERPETYKQWHVRNLRAGFVQLPLNRDIVKNVTDKMKSCYHKDFVVDEDKRWLLQGWKGRIVYALSTWKSNSSY >Ma10_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31433244:31436754:-1 gene:Ma10_g21840 transcript:Ma10_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDWEPWPPLQRKSNHVFVKLLVLVLLLGLSFRLLFSRSAVFLPVSESPAAVAETASSTAVVVGVVGDAEPREGSPLTDSAAPVTEEVFDDGKASQQGTISNADKCDLFTGEWIPNPSGPAYTNASCRFIEYPQNCLKNGRPDTGYLFWRWKPHGCDVPPFNAEKFLNAMRNKSWGLIGDSILRNHAQSLICLLSKAEEAVEVYHADQYKSRRWLFPSHNFTLSLIWTPFLIKAEIFENDDGESKSENRLHIDTLDKKWTSQYNNFDYVVISGGQWFLKTAIYMENNTVIGCHYCPKLNMSEVSLEYAYSKILNSVYNFVTTSGHKPVVIYRTWTPDHFEYGEWFSGGVCNRTVPYKIGEFNGSNVDHVMRKIEVEEFRRAVVVEGSETGVRLKLLDTYELSWLRPDAHSGPYRKFHPFDKDKNAKVQNDCLHWCLPGAIDTWNDLIMELILGE >Ma06_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13078012:13079317:1 gene:Ma06_g19100 transcript:Ma06_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSELALPPGFRFHPTDEELVMHYLCRRCAAIPVPVPIIADVDLYKYDPWQLPGMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPRPVAIKKALVFYSGKPPKGEKTNWIMHEYRLADVDRSARKNRSLRLDDWVLCRIYHKKGEVGKQANPGRRAAGPKKTRPAQPDSKQGVVSHVPAPRSAMICFDSPESLPPLTGESTDSEHVLSSSEFACEREVESHAHDYDDWDMELGVGLIDEEDATGVGGLPAVSPAFFHDPFPDLLVHLQRPFWEI >Ma09_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:357333:360507:-1 gene:Ma09_g00430 transcript:Ma09_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERRRNRPERYDRNVTEMTLKAIKKIDKIRVDREVRHHKMRMKGKKAFEQQAAIKELENSIDLVKAPVALQQDSQFTLAKIKVKVSQSQPEGERMEE >Ma00_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43815978:43816187:-1 gene:Ma00_g05060 transcript:Ma00_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISGSIQLGSKPRAQEDRCGRREDLMLGVDVGKMIPFSVLKGAGRTLKGRDLRRVRNVVLQKTGFLD >Ma08_p30790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41936155:41938452:-1 gene:Ma08_g30790 transcript:Ma08_t30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTRNQAPCAMAETGEARRKLERSNRSKKQEQRRAKKSPAWRALRNLFSCKDQRDARGRKKGKKRDSTSETSQEATTAAAVNPCNTSSRSLRSPCKDVSGDISTSFASYSSASIVASATASSSSSSSSSVGGSLTGMHLRRLSGCYECHVMVDPINGPSRDPSMRVSICSCPHCGEIFVRPESLELHQAVRHAVSELGPEDTSRNIIEIIFQSSWLKNQTPVCKIDRILKVHNTPKAITRFEDYRDSIKTKADNKLAKKHPRCVADGNELLRFHCTTLACSLGFNGSTNLCQSMERCDVCSIIRDGFKLDEAGKVQTMATSGRAHDMARVSSSDEEKRAMLVCRVIAGRVKKKSQDAVDEFDSVAGSAGTYSNIDELFVFSPKAILPCFVVIYTGF >Ma05_p27770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38925275:38926915:1 gene:Ma05_g27770 transcript:Ma05_t27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNQLSRTSSFRGSLKGLEADISHANSLAETIQRAYGGPCLHMRLSCSPLAPFFLFLIQYMGCSCSYSLLSYLCIFQIMIYKVYVDGTSSISTYERRASLREFYAVVYPSLQQLDSNLMGREECSERGQNKEIVGRKRMEDRKKASDKDLDREDECGICLEVCTKMVLPSCSHAMCLKCYRDWDVRSQSCPFCRGSLKRIRSRDLWVLTSNGDVVDNMTLEKDNVRRLYRYIDSLPLIIPDTLFFVYYDQWI >Ma08_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8259545:8266617:-1 gene:Ma08_g11200 transcript:Ma08_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACCLPIVECVYCLACARWAWKRLLHSAGHDSETWGLASTVEFEPVPRLCRYILAVYEDDLDHPQWAPPGGYGIDTRSVVLRKTYEDTHGHAPPYLIYVDHKNSDIVLAIRGFNFAQEGDYALLLDNGLGKRKFSGGYVHNGLLTAAVWVFDAECKILRELLEKYPEYTLTFAGHSLGSGVAALLAMVAVHNLDKLGDAERKRMRCFAIAPARCVSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMADTCIPEDAMLRDPRRLYAPGRLYHIVERKPLRCGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQKALDLMLEKERLMVIPPKPRMERQKTIDREHSEEHEAALRRAVIMAVPDAYLPSTYGTFNDQSTGGASELHSAPSSSETHSSKLAEWIKQVFEKDESGEMVFRK >Ma08_p11200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8259545:8266617:-1 gene:Ma08_g11200 transcript:Ma08_t11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACCLPIVECVYCLACARWAWKRLLHSAGHDSETWGLASTVEFEPVPRLCRYILAVYEDDLDHPQWAPPGGYGIDTRSVVLRKTYEDTHGHAPPYLIYVDHKNSDIVLAIRGFNFAQEGDYALLLDNGLGKRKFSGGYVHNGLLTAAVWVFDAECKILRELLEKYPEYTLTFAGHSLGSGVAALLAMVAVHNLDKLGDAERKRMRCFAIAPARCVSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMADTCIPEDAMLRDPRRLYAPGRLYHIVERKPLRCGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQKALDLMLEKERLMVIPPKPRMERQKTIDREHSEEHEAALRRAVIMAVPDAYLPSTYGTFNDQSTGGASELHSAPSSSETHSSKLAEWIKQVFEKDESGEMVFRK >Ma08_p11200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8259545:8266617:-1 gene:Ma08_g11200 transcript:Ma08_t11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACCLPIVECVYCLACARWAWKRLLHSAGHDSETWGLASTVEFEPVPRLCRYILAVYEDDLDHPQWAPPGGYGIDTRSVVLRKTYEDTHGHAPPYLIYVDHKNSDIVLAIRGFNFAQEGDYALLLDNGLGKRKFSGGYVHNGLLTAAVWVFDAECKILRELLEKYPEYTLTFAGHSLGSGVAALLAMVAVHNLDKLGDAERKRMRCFAIAPARCVSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMADTCIPEDAMLRDPRRLYAPGRLYHIVERKPLRCGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQKALDLMLEKERLMVIPPKPRMERQKTIDREHSEEHEAALRRAVIMAVPDAYLPSTYGTFNDQSTGGASELHSAPSSSETHSSKLAEWIKQVFEKDESGEMVFRK >Ma10_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16834020:16836140:1 gene:Ma10_g05510 transcript:Ma10_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKGLRRLLSSYSFGRHHHHHHHDRPSSASSPASYPHTTPPPPLQQQQLIAGGVMKDDVAAIEAILAKWEPDDAAYAKSSSLFHGDRAEACEYLAAVRDLQRAMLSFVSSDASSESSSHAALIRAQTLMQTAMRRLEKEFYQILAANRHRLDPESVSARSSTHSSASDDAEYDDVRGARNSMGDVEGAAAIAMDDLHAIAETMISVGYGKECVKVYKVLRKSIVDEGLYRLGFERLSPSQVHKLDWQVLEPKIRSWLGASRVAVSTLFSGERVLSDHVFASSDSIREAVFADISGDAAVQFLRFPESVAKCKRSTEKMFRILDLCEAVSELLPEIEPVFSFVSTAAVRDQALSAYSKLAETARAILADFEAAIHKEHSKSLPPGGGIHPLTRHAMDYVITLADYEPTLAEIFADFPLHTQSSLSDFFDSSYSESRPSSPAFSSSISSIDDGHGSEIAVRFAWLILVLLCKLDGKAAAYRDAGLSYLFLANNLQYIVNKVRSSRLWGMLGEEWTARHAAKARQHAAGYERVAWGKVLALVTAEEVSPGEARDRMRAFNAALEEACTAQAGWVVADAEMREEVRAAVRGMLLPAYRGFYEQWRVVLEGSGAVRFSPEEVRDRLAELFDGSDDSGSGSCSSYRLGSDSGSVRSEPSPRPI >mito3_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:981805:983945:1 gene:mito3_g00170 transcript:mito3_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHHILGLKKVPRVRLFADQSGT >Ma10_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22962550:22964893:1 gene:Ma10_g08640 transcript:Ma10_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLSLAQTMISAGVFSVISFWYGFMFGRESARKELGGLIEDLRRSNAAASDATTKSSQD >Ma03_p11720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9102985:9103985:-1 gene:Ma03_g11720 transcript:Ma03_t11720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGHTTPPVMGVATGVPYAAAAGGGPYQAYQHLYHQQQQQQQQQLQLFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAISRTDVFDFLIDIVPREEGKEDVARPLGGPPTDPMSYYYAQQ >Ma03_p11720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9102986:9104238:-1 gene:Ma03_g11720 transcript:Ma03_t11720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGHTTPPVMGVATGVPYAAAAGGGPYQAYQHLYHQQQQQQQQQLQLFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAISRTDVFDFLIDIVPREEGKEDVARPLGGPPTDPMSYYYAQQ >Ma03_p11720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9102993:9104276:-1 gene:Ma03_g11720 transcript:Ma03_t11720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGHTTPPVMGVATGVPYAAAAGGGPYQAYQHLYHQQQQQQQQQLQLFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAISRTDVFDFLIDIVPREEGKEDVARPLGGPPTDPMSYYYAQQ >Ma03_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9102986:9104116:-1 gene:Ma03_g11720 transcript:Ma03_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGHTTPPVMGVATGVPYAAAAGGGPYQAYQHLYHQQQQQQQQQLQLFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAISRTDVFDFLIDIVPREEGKEDVARPLGGPPTDPMSYYYAQQ >Ma08_p33650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43918266:43927139:1 gene:Ma08_g33650 transcript:Ma08_t33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCGSSTVLVLAGKSPQEDDLARFLKSRSDTLKLLDEEAGEVRVLLRSEAYQSTFDPQFYMGALTASRFGRLLIWSPRLPSTHDLVSKNFGELPVGTVCVTDVQIKGRGRAKNVWESPMGCLLFSFTLQMEDGRKLPLLQYVVSLAVTEAIKELCQTNGLPQLDIRIKWPNDLYLNGLKVGGILCTSTYRSKHFNVCAGIGLNLDNEKPTTCLNAVLQEINSGSLCLRREDILASFFNKFENLFEVFLDQGFQSLEELYYKTWLHSGQKVVIEEKQEGQPEESIIVTVQGLTSSGYLLAVGEDDKSYELHPDGNSFDFFKGLVRRRLE >Ma06_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6006024:6010311:-1 gene:Ma06_g08500 transcript:Ma06_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGELGSSSSPSPSSSIAHSSVFHSYPLISAVLAFAIAQSIKFFTTWYKERHWDAKQLIGSGGMPSSHSATVTALALAIGIQDGLDSSAFATAAILAFVVMCDAFGVRLHAGKQAEVLNQIMYELPEEHPLSVTRPLHELLGHTPIQVIAGAALGSVVAIVAQLINRFAGGAWQSEIINKLIDDN >Ma06_p08500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6006941:6010311:-1 gene:Ma06_g08500 transcript:Ma06_t08500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGELGSSSSPSPSSSIAHSSVFHSYPLISAVLAFAIAQSIKFFTTWYKERHWDAKQLIGSGGMPSSHSATVTALALAIGIQDGLDSSAFATAAILAFVVMCDAFGVRLHAGKQAEVLNQIMYELPEEHPLSVTRPLHELLGHTPIQV >Ma07_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30378417:30378989:-1 gene:Ma07_g22430 transcript:Ma07_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERKEGKRDPACPKDLLRQDPLALAIIPALCCSTSWEPVLAAWAAQFPPVVVLRILPREIKLMTWTPLQMDLGSRPRQRGGPGGHHRAKGEEVRSTERAHGTLLWHQCMKE >Ma01_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10364451:10366533:-1 gene:Ma01_g14170 transcript:Ma01_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVFRSLNRVNTRDCSVGLLAAFILVSLMYVTMSRTNTMRVSMARSQLPPVLKVNASMTSGDSIRQEASAKAAEIGKSSPVVHVEIPVKGSPDESGGTKAEKKPMCDRSNSKSDICEADGDVRIIGKDTRMVFVAPPQSNDNGEGESWTIKPYARKWAADSGARVREVTLKSVHGYGEDTRCSVNHTVPALVFAIGGWTGNFFHDFADVLVPLFETAYPFGGEVQFLIANMNPPWMDKYRPFFQKLSQYEIIEYDNDDAVRCFKHVTLGLRCSSVEDFQMEPSKSPHGYTMFDFAKFARSVFSLESEHPSRVGEQSDKKPRLMIITRARTRRLVNAEEIVQMAAEVGYEVVITEADPDVSKFSRIVNSCDVLMGVHGSALTNMIFLPTDAVVIQVVPWGNMDWAAGHHFRDPSKQMKLKYLEYSINEEETTLSELYPRDHAVFKDPLSLHRQGWATYARIFLREQNVRLNVTRFRPFLERALGLLHQEQRE >Ma09_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5282258:5284829:1 gene:Ma09_g08050 transcript:Ma09_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQQKAQGIPPRYDLNAKWDACLDITMRRLTYSSLAGAFTALLFFRSPVTRWASVAFAAGVGIGSAYTDCSYIFDGFPQKVSSSEVSSISSGSP >Ma08_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7076306:7077082:1 gene:Ma08_g09740 transcript:Ma08_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSKQDVATGNTVSLRRPLRKESSVAFDSEASSTTTGSTATDDGSKRLHEVNGEEAQLASTESPDGVLSAAKRDAKDFEVSTNSDVDAVGTDHVEMAAGVHRGADASESKTSADETSKAFQEKEETSTRGDVDDGESVGEHSADFKEAIFVEEAEEAVAISEKNSSEKAQTVSES >Ma11_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23945333:23945488:1 gene:Ma11_g18940 transcript:Ma11_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGSGSSPSLASAPIPAKVRAFSSSLFLLELIPDRRRPSI >Ma10_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27967061:27968261:1 gene:Ma10_g16140 transcript:Ma10_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSFPTIAVDQDSLCSPPFPHFAASPLWFLPSSDAERKISGDGPRCFFSAEGEEEEASKVAGAAALEDSMDMLWEDFNEELRRSFCERDREAEVPDYGMAELRCLQVLSESKNGSLIHRRRSSLILILKVLKKLFLTHRDSSSRRTPLC >Ma01_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14571179:14573365:-1 gene:Ma01_g19140 transcript:Ma01_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWRAREESSPKKLVASPWDDEAPLAGVTSQASCAASRPKCSKKSSSLVTPKKGDSKFGSATADNSSPNGQLRSFTDLPATLLSEILQRLDADELGVVSCVSPLLNSIASDHCGWKGFYCERWGSPPGVDVPAGPGFRDQRFWKELFVEREFRSKSFMGRFSIDVLHGHTEAVRAVFLLQTAKLIFTGGYDSVIRMWDMEEGLSVAVSRPLGCTIRAIAADSELLVAGGTDAFLQCWRAIKGHPHLFDIAGFSLNHNPSFRLWGHEGPVTCLALDSTRIYSGSWDMSVRVWDRAHCKCLKILRHEDWVWSLAPRHGTVASTAGRDAYVWDTDSGCLRTVIHNSHVGNAYSLTRSRLGDLLFTGGEDGAIHMFEIGHNCNVEDVKPSATWVPHTGAVHSLSFEFPWVVSSSSDGRVALIDVRKLLKSGRSQSPRQHSKTRFSASDAVEAPRRMLHGFGCNLFSVDIGADRIVCGGEEGIVRIWNFSQALEIAKRVEALRSVRLENRMRRRKAHIKMGGNGARSDQCSVAAKRNQLNGEHTGIWHSKRNMNSCGKLKA >Ma04_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3138973:3139998:1 gene:Ma04_g04080 transcript:Ma04_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLNIQHEKKTTHCREHTKYQKKHHLSTQGKWVGIGPVVAASMTDSCLVRSSLSPLIMLGLFSPSHRWQWDFDRGDDMQGAPNTSDIYCLPVQDAHLVPNFFIIH >Ma09_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7960609:7962631:-1 gene:Ma09_g11730 transcript:Ma09_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGIIEVVVSPLLKHLENARRRVLEVEGSDAISEIISLFDNIGRDIRDMEDVFRRAERWEKDVVRDFGVVARLVDDILEEDCTLPKFQSKLRVIDAEISDLRGRVTPPLQLPPVESSAAASSGSLPSMFSSLQASQEWRRLELDKKIFESSTISNLLVSYENLDLQLKLCLLCFSIFPENALLKKRLMIYWWIGEGLVTPKRGKTAEEIGEDCLRKLIMNGMVEPAFRKRSSAIEYCKVHPWTRRMLISVARRNQFFDFDSEGVPRSDFSVSRHACLVTARGGDSQQTLSRGQSNASELIALFNVDEQYLRLDKSWFSEMRKIKVLQLGRWQRQAKHHIEVESTEFLEGLRGFKHLSYLSFQGISRITELPASIGKVSNLRILDLRACHNLEKLTSGITNLKKLTHLDVSECHLLEFIPKGISSLSQLQVLKGFVVGDSRSKHPCRLNELAKLEKLRKLSMIIGNKVTVTEDELGDLKSCRALRSLTITWIVLPPKKAVSRLTRMASMTMTSLALPVGLEKLDLRCFPGKLAPEWLNPAALRSLKKLYLRGGPLKSLGLERFPPTWNVEVLRLKFLSELEVEWSQIRASFPGLVYLEIFQCSRVRSFPCDEDGVWVKRGRQTSSPAARGVEQSDQRYQMSC >Ma10_p27380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34710479:34711204:1 gene:Ma10_g27380 transcript:Ma10_t27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELPVSANKPEHHDLPHQPASPSQFAGSRINVFAIIGFVFLTINFLDSAYRSRHDPSALAFAVFIYSDLAMLFVCLRNFEKLGADCSPEKKERVKATVWALVSALNLALAWQVAKIMPPMLAVVVWLMASLVTLGGFYGLFLYRDADDIVAAHDYSPVKNNQVSSPEEHV >Ma01_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1193739:1204855:1 gene:Ma01_g01790 transcript:Ma01_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENEDRLLLERKTGSQKKSKILYTRDELLSFSNLDICKKLPRGIDASILSELDEASVIERQRGFGGLTFQSTKRSDYGSSPPNRLEGASSYFRGSSGRWDARSSGSDTRDGDLPSNRESSMQDSGRRYGQQQQSRHLSQNLEHDGLLGSGAFPRPSGYAGSSGQKARATSQFQLNRTSEPYQPPRPYKALSFQRKDDKDSCNDETFGSTNYSSEDRAEEERRRRASFELMRKEQQKALQEKPKQIPDNHKENLDADIIALLQNSVDRNSKMSKTDMPDDSSLPQNDSSRVSSTMNAPLSRPLVPPGFSSTALDKNLPVQSSSTQFASEGSFSGSLDDLPPDGTDNDPEKRHQSAVCLDNSMLKTGSMSDLVVNVDEKLAIPSSALKVKLPMDAENISCSTSGSPKVNKIWEEVIENDVSNTKEEKFEVTSPLMQDSSVSILEKLLGGSVVKTSGSSPTSSANQGFKTDEEPWVPAISESSKFASWFLEEENKHVEDFSSKDLLSLIVNNEKVNSSSSIISCDKAIEHMAPSLPIKISDTTEKLDASSATSLMVGIPEQYHQGVKPDLSPAVLTCEDLEQSFMANITGRSSPQHAVQGPRKTTDGKMEQKLDIDDHASQHLLSLLQKGTKKEKAASVTPDGLDAEFFDKFSITDANSGFKLRIAEKATSCNSEPAPSSEKTLTLEALFGAAFMNELQSAQAPVSVQRVTDGGINTTEVPTSLRLPFQNSDAGFFPSSSGDYKQNKPAHEMDMVSTNQIQDANVHHTMGPSKEHENSLIEDSKHVASGFEERALQIHLPDEDNLITTSDSLDSVASGPSPFPNATRSEELLSENSVEHLNYKLLNAITRDAERIPSSSLDGLPPFRTPHDLVGSDSFYHHLQGRTSPQLPHIINHARPLHPGLDHLTNRNQQMKFIGPEGIHHDPRRNLLENVVPLNTPNYASGPHVEPPDYHLMLQQMPIPGSYPQQLPLPGFPRGVPLPHHLNHMQGYIPEMNNVHNISLHQQHPTYGSLGMGMPGSLIGGGGGNHPEALQRLIDMELRANAKQVHPASAGHIPGIYGPEFDRSFRYR >Ma04_p34380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33601512:33601781:-1 gene:Ma04_g34380 transcript:Ma04_t34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKQRNTIEAGGWPSNHLMHGCFSPPVLLVSSRRARIGRIGHRGGTGRRGGTEAFLDGLERPCSSSANVLIMMPLVSKNRRPWYTNQS >Ma05_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:993395:1004923:-1 gene:Ma05_g01640 transcript:Ma05_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MDAFYAAVETLENPSLKGKPLAVGSMSMICTASYEARKYGVRAAMPGFIARKLCPDLIFVPTNFEKYTYYSELTRNVFSKYDPDFIATSLDEAYLNITDICSQRGIGCEEVAVELRNTIFQETRLTCSVGVAPNRMLAKVCSDINKPNGQFILPNDYNAVMTFISSLPIRKVGGIGKVTEQILRDVLGINTCADILHKGAVLYALFHQCSTDFFLSVGLGLGGTDTPQQKMRKSISNEKTFSATNDESIIFQKLADIAETLSKDMQKECLLGRTLTLKLKTASFEVRTRATSLQKFVNSKEDILIYASKLLRAELPISARLMGLRMSHFHDDKHSPLDPMQKTLTSFLTIGNVAPIADKSTGFDTSDIQLMYNTKSDISVDDESTFLSDVSSFSYESCDFLETNQLSVPDYVAVSYGNGAESLNECPKTQNKFESAEKISDVSNLFDRKRVAASSSQILEPYWVDDYICSACGIEVPASFTEERREHLDYHLADMLQKEECRSLLQSFPHKESARKKRKSSGNQGKYIPIDSFFVKEDKSL >Ma05_p01640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:993395:1004907:-1 gene:Ma05_g01640 transcript:Ma05_t01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MEATSDGDRPWQSYHTVYTNAKAGMEGVDKEKVQKIIYELSKGSKYFENEKRKEAIIKQKIDNLRTHHAKLTEKEISHFQMIADNRILELEASRDLSKIWLHIDMDAFYAAVETLENPSLKGKPLAVGSMSMICTASYEARKYGVRAAMPGFIARKLCPDLIFVPTNFEKYTYYSELTRNVFSKYDPDFIATSLDEAYLNITDICSQRGIGCEEVAVELRNTIFQETRLTCSVGVAPNRMLAKVCSDINKPNGQFILPNDYNAVMTFISSLPIRKVGGIGKVTEQILRDVLGINTCADILHKGAVLYALFHQCSTDFFLSVGLGLGGTDTPQQKMRKSISNEKTFSATNDESIIFQKLADIAETLSKDMQKECLLGRTLTLKLKTASFEVRTRATSLQKFVNSKEDILIYASKLLRAELPISARLMGLRMSHFHDDKHSPLDPMQKTLTSFLTIGNVAPIADKSTGFDTSDIQLMYNTKSDISVDDESTFLSDVSSFSYESCDFLETNQLSVPDYVAVSYGNGAESLNECPKTQNKFESAEKISDVSNLFDRKRVAASSSQILEPYWVDDYICSACGIEVPASFTEERREHLDYHLADMLQKEECRSLLQSFPHKESARKKRKSSGNQGKYIPIDSFFVKEDKSL >Ma10_p29260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35919587:35921574:1 gene:Ma10_g29260 transcript:Ma10_t29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEEGVLPHLPVQTWTTVSFMEEEDGAFHHLPLRTWTRNDHEAETSAKKPMDTLRGKAIMDSRDDDYDRTTISGDNFDDFCEWQDEVRLGRRDWVLENELYSTGAEPQHTDDELREKLEAVEETLRCLADKDLKYLTIPIGKIPKQALFDALRECADVRRYQLLQLAEQLRGRIADRFEAAEHLIQVRMDLDARARRLEWEKSEVQISLEKELERRSIDYSMKLKMLLVDEQELKKQVRELEEQSASLQREISWLGGGGKQNQVTTANSEMEITDLKAAVEELRTENGKLRKDSSELQEKLDASEEDRRRIARCFCEKEKENNELQKLVASLKQECDEQERTISGLRLVYNNEVENRPTDENDQLSRLQMEQLRLTGVEQKLRKELETCKHEQEKLRHENIGLLSRLQDAGNGGDVSWIKLDEELRARVDCLQTEGLSLLGDLLGLLDSQRVEQKQEPRDDTSGHLPVDYTIRNQSLSRRHENFRISLQTVAKILDEKSLPAEGSSMSKQSEAELEIKQLEIESQEYTKELTAARSMLSEVTEQRNQMWEEVKKSKENIMLIDDEVATLKKKTKELKEDILTEEGQIADLEDSLEKPFDLICSSTSMEESQQK >Ma06_p20120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14184266:14194798:1 gene:Ma06_g20120 transcript:Ma06_t20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGGAGELQCVGKLEIANPKPVGFLCGTLPVPTDSTFPLFQSALLPSPHVIGAPRYQMLPAETDLNTLPILSNLPEKVFPSAAKINEGFHLESNPISQNLSRKCEALAVSGLTEYGDEIDVVAPADILKQIFKIPYSKAQLSVAVHRIGDTLILNTGPDFEEGEKVYRRQSNQSRNSDPSILLNFAMHSVRAEACDCPPSYKPSSMEQSSSTILPGHFGHREVPFVSSTNTHVSKSQFLDQNSSGTRKPSQGNQDAYFWGTKQNKQKNKTSDPIEKSSQVGEKPRFPMQESDKFKRLGNNGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELLKTDDIFLLKGISADGTPAFHPQIVQQNGLSVLRFLQDNCKQDPGAYWLYKGNGEDVIQLFDLSVIPKKHSTDDHDESCSSLSSLMDKGRRDSLFSLGTLLYRVAHRLSLSKAPDNRVKCAKFFKKCLEFLSEQDHLVVRAYAHEQFARLILKCYEELELTLEPFLPESEVSVTNLEDESSVEMFVSKSQDKRLSDDVKHENPKESGMEKLETETYSNENVQSSATMEIETLESKVSSGIRDSLVMCQNISSPPMVSTVADPISSKLVAIHHVSQAIKSLRWKRQLQNTQGDLIDHGSRIHDISSSVNFSLCSCGDADCIEVCDIREWLPKSRIDHKMWKLVLLLGESYLSLGEAYKEDGQLLRALKVVELACLLYGSMPQYLEDAQFISSMTSSSSCQLKLDSGKDSTYVVADSATDLEPKLFEDACCDGQFSPTNLFWAKVWTHIGDVYVEYHRRNGKDITVQAEKNTSGSEVRMSNEVVKEVKRLKKKLGRCKQNCSTCSLMNCSCQSDRASSGNSASSSTRDTPSFYNRKPSRKSTIKNLPFSPSVQTQNNNNPCMVGISSVFDGDQLQYDVPVGSRGDEEPKESSISTGVEHINHDKDICTKNSKEAIVSEPCSTDSSKARSGGVFKFLEGPKPGDVEYNLSAAVGCYREASKAMDGLPSGLGELGSVLKKWGWVSNELGRYKLENRKLADAEIAFADAIKAFKEVSDHTNIILINCNLGHGRRALAEELVSKMDELKKYDLLQNAYKQAMNSAKSEYTKSLKHYGAAIMEMNLVSEKVDTFLCNEAHTQYANTYLRFGMLLAKESISTESYDSGHIDVLLSDEKKEQEKHVISASDAFREALSTYEALGELRKQEAAFAQFQLACYYRDLCLKFLDLDHKQVKDSKTENKNRQKAKWYASLAEKNWQKSIAFYSPQTYAVMYLNMLMEQSSLSLRLSESFHSNTMLEAALVHLLEARHVVEADNDQTSEIKEKFWNQLQALLKNMLAAALSAGANKAGVIGQAPHCSRGGDAAKLREMYRLSLKSTSLHELHLMHKLWLS >Ma06_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14184266:14194798:1 gene:Ma06_g20120 transcript:Ma06_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGGAGELQCVGKLEIANPKPVGFLCGTLPVPTDSTFPLFQSALLPSPHVIGAPRYQMLPAETDLNTLPILSNLPEKVFPSAAKINEGFHLESNPISQNLSRKCEALAVSGLTEYGDEIDVVAPADILKQIFKIPYSKAQLSVAVHRIGDTLILNTGPDFEEGEKVYRRQSNQSRNSDPSILLNFAMHSVRAEACDCPPSYKPSSMEQSSSTILPGHFGHREVPFVSSTNTHVSKSQFLDQNSSGTRKPSQGNQDAYFWGTKQNKQKNKTSDPIEKSSQVGEKPRFPMQESDKFKRLGNNGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELLKTDDIFLLKGISADGTPAFHPQIVQQNGLSVLRFLQDNCKQDPGAYWLYKGNGEDVIQLFDLSVIPKKHSTDDHDESCSSLSSLMDKGRRDSLFSLGTLLYRVAHRLSLSKAPDNRVKCAKFFKKCLEFLSEQDHLVVRAYAHEQFARLILKCYEELELTLEPFLPESEVSVTNLEDESSVEMFVSKSQDKRLSDDVKHENPKESGMEKLETETYSNENVQSSATMEIETLESKVSSGIRDSLVMCQNISSPPMVSTVADPISSKLVAIHHVSQAIKSLRWKRQLQNTQGDLIDHGSRIHDISSSVNFSLCSCGDADCIEVCDIREWLPKSRIDHKMWKLVLLLGESYLSLGEAYKEDGQLLRALKVVELACLLYGSMPQYLEDAQFISSMTSSSSCQLKLDSGKDSTYVVADSATDLEPKLFEDACCDGQFSPTNLFWAKVWTHIGDVYVEYHRRNGKDITVQAEKNTSGSEVRMSNEVVKEVKRLKKKLGRCKQNCSTCSLMNCSCQSDRASSGNSASSSTRDTPSFYNRKPSRKSTIKNLPFSPSVQTQNNNNPCMVGISSVFDGDQLQYDVPVGSRGDEEPKESSISTGVEHINHDKDICTKNSKEAIVSEPCSTDSSKARSGGVFKFLEGPKPGDVEYNLSAAVGCYREASKAMDGLPSGLGELGSVLKKWGWVSNELGRYKLENRKLADAEIAFADAIKAFKEVSDHTNIILINCNLGHGRRALAEELVSKMDELKKYDLLQNAYKQAMNSAKSEYTKSLKHYGAAIMEMNLVSEKVDTFLCNEAHTQYANTYLRFGMLLAKESISTESYDSGHIDVLLSDEKKEQEKHVISASDAFREALSTYEALGELRKQEAAFAQFQLACYYRDLCLKFLDLDHKQVKDSKTENKNRQKAKWYASLAEKNWQKSIAFYSPQTYAVMYLNMLMEQSSLSLRLSESFHSNTMLEAALVHLLEARHVVEADNDQTSEIKEKFWNQLQALLKNMLAAALSAGANKAGVIGQAPHCSRGGDAAKLREMYRLSLKSTSLHELHLMHKLWLS >Ma07_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4856904:4858655:1 gene:Ma07_g06760 transcript:Ma07_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSSLSELGFADDIHRTLFRPIQQAAPPSPTQRRTKISVIGAGNVGMAIAQTILTQDLTDELALVDAKPDKLQGEMLDLQHAAAFLPRTRILASPDYAVTANSDVCIITAGARQIPGETRLNLLQRNLALFKEIVPPLARYSPGTLLLVVSNPVDVLTYIAWKLSGFPPNRVIGSGTNLDSSRFRFLLADHLEVNAQDVQAYMVGEHGDSSVALWSSMSVGGVPILSQLTNDKAVMEQGVLEKIRKAVVESAYEVIRLKGYTSWAIGYSAANLARSLLRDQHRIHPVSLLAKGFYGIPDDREVFLSLPAQLGRSGVLSVANIHLSDEEAARLRRSANTLWELQQKLDL >Ma07_p29110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34913109:34931283:-1 gene:Ma07_g29110 transcript:Ma07_t29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGVPMEEEELQKAVVAYLRKKGFAKTEIALQEEQQNRLSSSSHPDLPLSRLENDPARYSGGYSKLRSWAYSSLDLYKHELLRVLYSVYIHCFMDLVAEGHMRDARTFFQTFREDHELTHLRDLQKLEGILSPSHLEEMELARSLRQNKVKIKICQYAYDLLLQYLQKTQSLTILGIINERINFEVSPGQPISISDDMEVVALVGSNHDQAKQINQKEVRWGLLEDSVEDRLERANSDSEKAEAENKELDPEDTKKRSVDGGKQAAPVKKPKKDKLVGATGKNVRSETSTAPVAPRVKPDLTLPIMPMEVEKAILEDLRNRVQLSSSALPSICFYTFINAHNGLNCSAISHDGSLVAGGFSDSSLKVWDMSKIGQSAKPGENDSIPSEHFLGTEGEGPYTLFHGHSGPIYSATFSPLGDFLLSSSSDSTIRLWSTNLNANLVCYKGHNYPVWDVQFSPVGHYFASSSHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYVATGSSDKTVRLWDVQSGECVRIFIGHRSTVLSLAMSPDGRFMASGDEDGTIMMWDLSSGRCISPLMGHSSCVWTLAFSREGSLLASGAADCTVKLWDVTTSMKGQKIEESKPSSINRLRLLKALPTKSTPVYTLQFSRRNLLFAAGALSKC >Ma01_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1098927:1108955:-1 gene:Ma01_g01590 transcript:Ma01_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSGGDALRTSTSWGTGTRRSIDEIPHLSSARREAFSKHELEKFNISNLEWINDIPECPVFYPTKEEFENPLDYIQQIAPMASKFGICKIVSPLVATVPAGIVLMKEKAGFRFTTRVQPLRLSEWNANDKISFFMSGRNYTFREFEKMANKIYARRYSSAGYLPDKYLEEEFWHEITNGKIETVEYACDIDGTAFSSSPSDQLGKSKWNLKWLSRHPMSILRLLEAAIPGVTDPMLYIGMLFSMFAWHVEDHFLYSINYHHCGASKTWYGVPGHAAYDFEKVVKECVYAHDLLSSEEDDAAFSVLLEKTTMFPPTILLEKGIPVCRAVQRPGEFVVTFPRAYHAGFSHGFNCGEAVNFATGGWFPFGAAASKHYASLKRSPLLPYEELLCKEAKFLDDRLSNADSLNFVPSKHFSSQSSIKISFVLLIRFQHHARWVLMKFGACMRLSSDVIGTVLCSICRCDCYVSYFQCNCNLQPICLRHVMERTHCSCGGKCIVFLRKDLGELEALAQKFEEEDGILEAVEKKNLLHTTEDGYSPYCKIKFVETPAIAENAELYTQDLTCISQKEDILYGSPVQISSDSSTLSSSIGLDDGSSMDIDDCGKSRRANPTDCSVRLSGKEYGSPQLIRSSDKCATDYNAGTRRTAFLQDSDDESDSEIFHVKRRSSMIMVNRSANNSTSQRFPEQQVLKRLKKLHQGGGSAHLP >Ma10_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24673791:24674644:1 gene:Ma10_g11030 transcript:Ma10_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSFPTITADQDSLRQLPFPHFAASPLWFLSSVVDCRKSSSSAGEASLDADVMRGDLEADSAGGRLDEEKMDLLWEDFNEELARVSCDRKRMAKEGSSSASEQHCVRALKDANSGGLIHRRSPSLILMVKVLKKLFLVQRVVSSKKQSLCSA >Ma03_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27556330:27560878:-1 gene:Ma03_g22810 transcript:Ma03_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSASPATSILSKGFPHVPVQKSRFTCRTPVPSRRLCLRSSQKCRLGHGGRSGARERLLGRWFVGCSSTAGEVGVVSEDESGKAGVGDGQDKYLLREFGWGVRRMAKVGEEMSKVAHVQAEAFHTPVALLNDLFFHMFKAEVLSALMYRVRSSPPERYACLVAESASAHNSLWEPLKEIVGVVDVTVQRDEDVLCHIQGEQEYLYISGIAVLTKFRRQKIATVLLKACDVLSALWGFSYLALRAHEDDFGAQRLYSNAGYKVVSRDPIWITWIGKKQRVLMVKPSPFYKTDFM >Ma05_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9792841:9794526:-1 gene:Ma05_g13500 transcript:Ma05_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKEDLALSLSLSSSANRFDRLGLVPSSSSSPSRSLPSSCQQWSRLLLARSERGGAGEARRGLRTIDVNQPPPAGAAAGRPDSEEADASSPNSGVSSVGGKRPAEREAEHDAEPSCSRGASDEEEGDGDGSRKKLRLSKDQSAVLEESFKEHTTLSPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQLYMQMTPPTTLTMCPSCERVSKPSFSTTSTTNPPPSEAAAPRSLRPPFLDAPPQR >Ma10_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29145679:29146066:-1 gene:Ma10_g18010 transcript:Ma10_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVNYDSLLCDKVNEECPQCHHPELEYYTKQLRSADEEQMVFYDCPQCRHKFSINT >Ma03_p18870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24366764:24370856:1 gene:Ma03_g18870 transcript:Ma03_t18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGTNTLIGAVNFVTFLISIPILAGGIWLSAKANSTDCLRFLQWPLIIIGVAIMVISLMGFAGACYRLAWLLRLYLFAMFFVVTALLGFVVFAFAVTDRGQGQVVMNRAFLEYQLSDYSGWLKDRVSDPGYWAKISACLREGHACSKMTRYSRDPTTGLLVPESPDMFYRRNLSPIESGCCKPPTSCGYTYINETFWAAGAGMVVNDMDCTRWSNDQQSLCYQCDSCKAGVLASIRHSWRKVSVINIVVLIILVIVYVIGCAAFRNAKRADNDEPFGENRMSKSRPSRFQF >Ma03_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24366927:24370856:1 gene:Ma03_g18870 transcript:Ma03_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGTNTLIGAVNFVTFLISIPILAGGIWLSAKANSTDCLRFLQWPLIIIGVAIMVISLMGFAGACYRLAWLLRLYLFAMFFVVTALLGFVVFAFAVTDRGQGQVVMNRAFLEYQLSDYSGWLKDRVSDPGYWAKISACLREGHACSKMTRYSRDPTTGLLVPESPDMFYRRNLSPIESGCCKPPTSCGYTYINETFWAAGAGMVVNDMDCTRWSNDQQSLCYQCDSCKAGVLASIRHSWRKVSVINIVVLIILVIVYVIGCAAFRNAKRADNDEPFGENRMSKSRPSRFQF >Ma03_p18870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24366927:24370856:1 gene:Ma03_g18870 transcript:Ma03_t18870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGTNTLIGAVNFVTFLISIPILAGGIWLSAKANSTDCLRFLQWPLIIIGVAIMVISLMGFAGACYRLAWLLRLYLFAMFFVVTALLGFVVFAFAVTDRGQGQVVMNRAFLEYQLSDYSGWLKDRVSDPGYWAKISACLREGHACSKMTRYSRDPTTGLLVPESPDMFYRRNLSPIESGCCKPPTSCGYTYINETFWAAGAGMVVNDMDCTRWSNDQQSLCYQCDSCKAGVLASIRHSWRKVSVINIVVLIILVIVYVIGCAAFRNAKRADNDEPFGENRMSKSRPSRFQF >Ma10_p28170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35164849:35166085:-1 gene:Ma10_g28170 transcript:Ma10_t28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEHKQQGHQGDDNPPPVQAAQHGGARGSWLLDPAILALLFLSVNVAIGVYRSRGDLSTLAFLFFSYFDLLLLFFCLRRFERLTADARPEEKRRLKVTVWLLSTALVVAFSCRVSEVMPWALAVLVWVMAGSVTVGGFYALFLFEEKDDKRER >Ma11_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5046609:5050780:1 gene:Ma11_g06240 transcript:Ma11_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASHSLFGLLLRGVRDRAARPSYSLFRSSPAALRPTSCLLAPNPIRLFRGTVQPRSESRSQSEMEELVSYTFGPYKIHRTEVFHSTLHSFAMVNLRPLLPGHVLVCPRREVKRFIDLTADEVCDLWLTAKEVGGRLERHHEASSLTFAIQDGPQAGQTVPHVHIHILPRKKGDFEKNDEIYDAIDLKEKELKEKLDLDKERKDRTPEEMAREADEYRALFS >Ma08_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18998611:19008720:-1 gene:Ma08_g16520 transcript:Ma08_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPTHPLAPMSTIPVSNITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPNAPAVRPQMMMHGSIPQAGHYIQQAPVFPPGAPPQYNPQQMQEQQMHQFQGMVFPGQVAMRPGAVNGIHNMQTEPRPRTGTSSSAVDRRGNKQDANAGEVAAADSHRSSGSEHGSGDADQLHVKRPEEAKMS >Ma09_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3983391:3987159:1 gene:Ma09_g06210 transcript:Ma09_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRNQPDKRARKEPKILLGRFEVGKLLGAGTFAKVYVARNVRTDELVAIKALDKEKIVRWGLVAHIKREIAILRRVRHPYIVELFEVMATKTKIYFVMEYVRGGELFSRVAKGRLREDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDENGDLKVSDFGLSAVAEQSRGGDGLLHTFCGTPAYVAPEVLSRRGYDGSKVDIWSCGVILFVLMAGYLPFHDRNIVAMYRKIYKGDFRCPRWFSQDLVRLLRRLLDTNSRTRITIPEIMEDKWFKKGFRHVQFYMDDDQLHTLDDPLLQIDEQSEATTAWETESDCSVVSCPSALSDDRRAARQGMPRPPSLNAFDIISFSRGFDLSGLFEETGEETRFLSKEPVPTIISKLEEIAKVVSFTVRRKDCRVSLEGTREGERGPLTIAAEIFELTPSIVVVEVKKKAGEREAYEEFCNKELKPGLRHLVYESIHATKAASQQCPN >Ma08_p01590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1351530:1358282:-1 gene:Ma08_g01590 transcript:Ma08_t01590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRPDLVHLGVLLGFLLLCYRAGASIHEYSNGAFTPRSNSFFFHGGSEGLYASAVVNITAPSSDGDSFIQFESVTFRRTKESASKHSDMQQNTGLVEAIIVEIQDRDKIGGRYLNTNAICCTRELNDQNLCKVGEVIIRPTQANSDWPKRIQTFFEGSSKETTMMTQTIPIRKTGMYYLYFMFCDPLLIGMVIKGRTVWRNPYGYLPGKMAPLMTFYGFMSLAYLLLGLIWFLQFVRHWRHTLQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGVTIWAVTFTAVKKTVSRLLLLVVSMGFGVVRPTLGGITSKVAVLGAVYFVASVALELVEHLGNINDFAGKARLFLVLPVALLDATFIVWIFSSLSKTLEKLQVRRSIAKLELYRKFTNTLAVSILLSLLWIGYELYFNATDPLSELWQRAWIVSAFWNVLSYVLLGIICILWAPSHNPTGFAYSEDTNDDFDDEGVSLTGSGARGIGDNLSKLERKERKNMDHVFGIGNDIEEDKRE >Ma08_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1351530:1358282:-1 gene:Ma08_g01590 transcript:Ma08_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRPDLVHLGVLLGFLLLCYRAGASIHEYSNGAFTPRSNSFFFHGGSEGLYASAVVNITAPSSDGDSFIQFESVTFRRTKESASKHSDMQQNTGLVEAIIVEIQDRDKIGGRYLNTNAICCTRELNDQNLCKVGEVIIRPTQANSDWPKRIQTFFEGSSKETTMMTQTIPIRKTGMYYLYFMFCDPLLIGMVIKGRTVWRNPYGYLPGKMAPLMTFYGFMSLAYLLLGLIWFLQFVRHWRHTLQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGVTIWAVTFTAVKKTVSRLLLLVVSMGFGVVRPTLGGITSKVAVLGAVYFVASVALELVEHLGNINDFAGKARLFLVLPVALLDATFIVWIFSSLSKTLEKLQVRRSIAKLELYRKFTNTLAVSILLSLLWIGYELYFNATDPLSELWQRAWIVSAFWNVLSYVLLGIICILWAPSHNPTGFAYSEDTNDDFDDEGVSLTGSGARGIGDNLSKLERKERKNMDHVFGIGNDIEEDKRE >Ma10_p02040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:6723185:6794509:-1 gene:Ma10_g02040 transcript:Ma10_t02040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGQLKRALVDSLAGAVSGGISRTVTSPLDVIKIRFQVQIEPTSSWALLKRDLYGTSKYTGILQATRDIFREEGLSGFWRGNVPALLLYMPYTAIQFTVLHKLKTFAAGSSKTEDHLQLSPYLSYFSGALAGCAATIGSYPFDLLRTILASQGEPRVYTTMRSAFLDIMKTRGVRGLYAGFSPTLVEIIPYAGLQFGSYDTFKRWMMAWNRYRFSNISSMRPDDSLSSFQLFLCGFAAGTCAKAICHPLDVVKKRFQIEGLRRHPKYGARVENQTYKNMYHALWQILHVEGWPGLYKGIFPSLIKSAPAGAVTFVAYEYISDWLETLLT >Ma10_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:6723185:6794322:-1 gene:Ma10_g02040 transcript:Ma10_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNIQAFYKLLEIFFERKGFWRGNVPALLLYMPYTAIQFTVLHKLKTFAAGSSKTEDHLQLSPYLSYFSGALAGCAATIGSYPFDLLRTILASQGEPRVYTTMRSAFLDIMKTRGVRGLYAGFSPTLVEIIPYAGLQFGSYDTFKRWMMAWNRYRFSNISSMRPDDSLSSFQLFLCGFAAGTCAKAICHPLDVVKKRFQIEGLRRHPKYGARVENQTYKNMYHALWQILHVEGWPGLYKGIFPSLIKSAPAGAVTFVAYEYISDWLETLLT >Ma09_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4329570:4338208:1 gene:Ma09_g06790 transcript:Ma09_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MALILQLPPSSCCGTFRERSDRLAALSSPWIRVGGSLGRVATVSAAANKGTAPRDLVRISAMADQTVYKMNLNEYMVTLEKPLGIRFALSVDGRIFVHSLKKGGNAEKSRIVMVGDALKKASNVSSGGFIDIKDLRDAETMLKDIAGLFSLVLERPFSPYPIQQLHLSGVYHTLFNRGRVAFATWNRNVLATDLQPGSEGSGESGFTIFSPKFLKSEGWMLLANEGSVDSPVQSNRSILAKRTHEIVSIFSEEESEDVEWAYGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIYVGSCIQTEKDVQTLSDAVGITAVLNFQSESERANWGINSESINDACCQKNILMVNYPIREVDSLDLRKKLPFCVGLLLRLLRKNFRIFVTCTTGFDRSPACVIAYLHWIQDTALHAAHNFVTGLHSCRPDRAAIVWATWDLIAMVENGKHDGPPTHAVNFVWSNGCREGDEVFLVGDFTNNWKEPIKAVHKGGSKFEAELRLRHGKYNYKFIINGQWRHSPALPAESDECGNVNNVIGVGDIARIRPSPSHLQIKDPTVVKVIERPLTEDERFMLAFAARRIAFSICPIRLAPK >Ma08_p26440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38996953:38999120:-1 gene:Ma08_g26440 transcript:Ma08_t26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast dicarboxylate transporter [Source:Projected from Arabidopsis thaliana (AT5G47560) UniProtKB/Swiss-Prot;Acc:Q8LG88] MADHILTSSSDERKTPLLPLHQPPQSLIHPFRSLLTLKNLFIVSGPLSCMVVFFFLDLGGRTSSRNMLAVLSWVFLWWINEAVPMAVTSMSPLFLFPLFGLASSNDVAKSYMDDIIALVLGSFMLALAVEHYNIHRRLALNITSLFCGDPLNPPLLLLGICGTTAFVSMWMHNTAAAVMMMPVATGILQRLPDGDSAQPDVKRFCKAVVLGVIYSATIGGMSTLTGTGVNLILVGMWESYFPQEEQISFSSWFFFGFPLALLIFFTLWGILCLLYWSKNSGKALSAYLDRNHLRRELELLGPMAFAEKMVLFIFSLLVVLWMTRRLTNDVPGWGALFHGRAGDGTVLMATLLFIIPNKKNQGEMLMDWNKCKKLPWNIILLVGTGFAIADGVRTSGLTEVISSNLDFLRAAPYLAIAPLACVISATITEFTSNNATTTLVLPLLIELAEPMKVHPLLLMVPGAIGAQFAFLLPTSTPSNVVGFTTGHIRIKDMISAGAPLKVAGIAALSLLMPTLGSFVFKTNKQA >Ma07_p06600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4723965:4740574:-1 gene:Ma07_g06600 transcript:Ma07_t06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSFRNGGPKLTSKLDRQPSSAAYSTPKPSSKSRPSAAPASRRGGSVAPAAAHSASKAGGDAGVPGRVRVAVRLRPRNAEEMIADADFADCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILGDMSPETDSVSVSYLQLYMESIQDLLVPANDNIAIVEDPKTGDVSLPGVTTVELRDQKSFMELLRLGEAHRFAANTKLNTESSRSHAILIVNVKRSVKGRHDADQSFHGENGTSSTMVTSFRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLRDSFGGSARTSLVVTIGPSPRHRGETSSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKDFEDEIERIRTEADSRIAEAERSYTNALENERLKCQQDYMDSIKKLEEKWMSDVEKARAEHQDACLEKECNKEAPTSSSDEIAEVRQLLESEKLMRQSSEDEVSNLKSEVSHWKNLEAAGNAEIMKLRKMLDSEANQKQKLEEEIAILKSQLLQLSLQADETRRSLDRGGSGKVLTGFDSLVSQARHSQLRDSANGPKASIAKLFEQVGLQKILSLLESEDPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQELIMAQGGITLLSITAADAEDPQTLRMVAGAIANLCGNDKLQMRLSGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRASTQGNKAGVSLLIEDGALPWIVKNANHEASPIRRHIELALCHLAQHEVNAKDMIREGALWELVRISRDCSREDIRMLAHRTLISSPTFQAELRRLRIEF >Ma07_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4723965:4740574:-1 gene:Ma07_g06600 transcript:Ma07_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSFRNGGPKLTSKLDRQPSSAAYSTPKPSSKSRPSAAPASRRGGSVAPAAAHSASKAGGDAGVPGRVRVAVRLRPRNAEEMIADADFADCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILGDMSPETDSVSVSYLQLYMESIQDLLVPANDNIAIVEDPKTGDVSLPGVTTVELRDQKSFMELLRLGEAHRFAANTKLNTESSRSHAILIVNVKRSVKGRHDADQSFHGENGTSSTMVTSFRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLRDSFGGSARTSLVVTIGPSPRHRGETSSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKDFEDEIERIRTEADSRIAEAERSYTNALENERLKCQQDYMDSIKKLEEKWMSDVEKARAEHQDACLEKECNKEAPTSSSDEIAEVRQLLESEKLMRQSSEDEVSNLKSEVSHWKNLEAAGNAEIMKLRKMLDSEANQKQKLEEEIAILKSQLLQLSLQADETRRSLDRGGSGKVLTGFDSLVSQARHSQLRDSANGPKASIAKLFEQVGLQKILSLLESEDPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQELIMAQGGITLLSITAADAEDPQTLRMVAGAIANLCGNDKLQMRLSGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRASTQGNKAGVSLLIEDGALPWIVKNANHEASPIRRHIELALCHLAQHVNAKDMIREGALWELVRISRDCSREDIRMLAHRTLISSPTFQAELRRLRIEF >Ma04_p25720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27403595:27406359:-1 gene:Ma04_g25720 transcript:Ma04_t25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHGRDASDLHGGSSGTNDDATASKLSLSQNAAMTNHYNQPEHRVEREAFISKDPSR >Ma02_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24317698:24322892:1 gene:Ma02_g17420 transcript:Ma02_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFMIGGRNADKLPGADDDGSTAPLPEKVQIGNSPVYRIERKLGKGGFGQVYVGRRTSVTNADDRATSSNALEVAVKFEHRSSKGCNYGPPYEWQVYNTLGGIHGIPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHPKGYVHGDIKPENFLLGPPGTPEEKKLFLVDLGLATRWKDSGTGQHVEYDQRPDVFRGTVRYASAHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGFQGENKGFLVCKKKMATSPESLCSFCPHPFRQFVEYVVNLKFDEEPNYTRCISLFDGLVGPSPDIRPINTDGAQKVGQKRGRLMMEETDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADARIAQHIQKGNEDGLFVNSVASCTNLWALIMDAGTGFTTQVYELSPLFLHKEWIMEQWEKNYYITALAGANNGSSLVVMSRGTPYAQQSYKVSDSFPFKWINKKWKEGFFVTAMATAGTRWAVVMSRNAGFSDQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFVLSIPKRKPPDETQETLRTSAFPSQHVKDKWAKNLYISSICYGRTVS >Ma09_p25920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37278314:37283904:1 gene:Ma09_g25920 transcript:Ma09_t25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] MLKALASASSSHVNAFSQLQTQSPNLIVCSSSSFRHSPGPRKAVAPSIRRPDADRCSLWSHHGSVPTRSRSDGGGASTAAAAVHGELELFLELVPPRMRRELARHEEIRELIEVVMDLGRKPVARFPSGDWIMSEEPVGLEDLRHAISKVGDFSDDNRSGINRSLHRISAIRNRKMQIIGLTCRVGRAISGSAKMIRDLVEGGGSILVIGPPGVGKTTLIREIARVLADEHMKRVVIVDTSNEIGGDGDVPHSGIGRARRMQVPNVSMQHDVMIEAVENHMPEVIIIDEIGTEPEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQALVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEMISKTECRVHHKLEATVDAILAGKSPLLEVRKMDSKDGDLRSAFPVLGKYHEEEPFISCKNDSSMEMRPPKDDANNNAGYNKKVRSNSSMPRRLPVRVYTYKILEADLLQVATVMGYEDDIDVTDDIGTATAILASTSEMKQNPWIRSVAKFHQLPIFVIKENTMAQMVKAVKMILGMNNFGSTQNESTKHMHKDIEVKDDAPMRKPTLEEIDALEEVRMAIEYIVIPGGEPVELLPRCSEIIARQLELVESYQLAAKRSGTELNSRLQILPVKLSKKSLPAKGDRSESIEQVDLNDLTSLNVGSRVTRLPLLPDH >Ma09_p29520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39950169:39954625:-1 gene:Ma09_g29520 transcript:Ma09_t29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVPMAATSSNSHIRLAALHSPLSSIAAAARVAPSCLSSAPRPIHLEHLFGITTSRLIRKANCIKASVSGNTTQASTVDKESAFEWVKTDNRRMLHVVYRVGDLERTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVVELTYNYGVDKYDIGTGFGHFGVAVEDVAKTVDLIKAKGGKVTREPGPVKGGRSVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMELLRKRDNPEYKYTIAMMGYGPEDTNTVLELTYNYGVTEYDKGNAFAQIAIGTDDVYKTAAAIKLHGGTITREPGPLPVINTKITACLDPDGWKTVFVDNVDFVKELEY >Ma04_p27830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29003168:29007258:1 gene:Ma04_g27830 transcript:Ma04_t27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESGEKDEGLGSSDIFLRADQIDLKSLDTELEKQLSETKRKDTDVIEGPKEEWQIDLSKLELHRVIAQGTYGTVYRGTYDGKTVAVKVLDWGEEDGMATDAETASLRASFRQEVAVWHKLDHPNVTKFVGASMGTTDLKIPQTDSTSSGHASLPARVRCVVVVEYLARGTLKRYLIRNSERKLAYKDVVRLASDLSRGLSYLHSQKIVHRDVKTENMLLDGRGNLKIADFGVARVEAQNPKEMTGQTGTLWYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYRDLSFAEGSSAVVGQNLRPEIPRCCPSGMASIMRKCWDANPDKRPDMDEVVGLLEALDTSKGGGMIPEDAAHGCWCLIRKRGP >Ma01_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5688294:5694817:1 gene:Ma01_g07900 transcript:Ma01_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKSKISELLPRSFKPVKCKTSLKLAVSRMKLLKNKREASVRQMRRDLAQLLESGQEQTARIRVEHVIREEKTMAAYDLIQIYCELIESRLPIIESQKNCPIDLKEAISSVIFASPRCADIPELMDIRKQFQAKYGKDFVTAAIEVRPECGVSRMMVEKLSAKAPDVQIKIKVLNEVAKEHDVKWDSKAFEEQVQKPKDDLLDGPSSFIAAEKMTIKSSDVKPSSVNSWDNESTIKVSHSRKASEPKETMPDLQEVKSAYVKGESASVHRSNWKMEFKDATSAAEAAAESAERASMAARAAAELASRGSISRQPSSGSYKSSAHVIKSEGPGSGKSSYLGSNYIVGRSVTEEDDKSQRLQFVKPKVQTPQKDDMVRTSTFTEDTTLNSNHIAGDDLVSEKSQFSGSSFRDVVSEIDGRESNAKSYDNSEKSFSDPESESKNLKNDENENYDPRHNILASSVYSNNFDDYTTTDDHANNTRGDISDVVYDNYDYDTDDHSFLKRHSEENMLDSFFQQPREESSSFSLNMDSFSNKEHTSGSLNNESRSQLHSWRESDRTDYSESTRKANLHSDSDDYLPPKFDSPKYDSEGPGSESEDELEKSKHVTDMRPSSFLHTDTSSGKGSITSQPGNRTYLMDDTVEVDEGNGSSPPAVLPSAKKFEVEPSPNEESWRSGLSVHKNQQTQRDSRSPNYNHIGTEEELDERYGSGVLNFGRLTGGLRNRGYTRTPYLRNTSNDASPRLKTNSDDISLTNEEEISFGMSRFSSIEVTNEEKPSKDVHAIAFRPKMRASKANTDTDLEDGGQVANYDVGHKGSMSGGSLTSLNRTIDDTSEDFEDPVHESYGRLQQRKEYRESILRSSYVNPSVDSKEEQISTAGNRQRRDSSISTPKSQKLSIATSMEKSTVNHPNKTLLSSEVLDQGLDNQKSRVKAYTETSSRMPINYSSIDDNEAEELAPRTTYVRGGSNDVKLSRRTKPTSPVVKEDKVPRTSDQFATSMVKESKSSRLSSATESFSGTTKHTRDLDHRENSKPRLPHSSAEETSPKHLLAESSTGKRNPNVSQDERRQSSVKENNEKPTSSSSSEKPTSRESSFKNASHVHPKLPDYDSIAAHFQSLRANVRQK >Ma08_p33430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43736136:43739723:1 gene:Ma08_g33430 transcript:Ma08_t33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASRSLHKGTVMAGKNRKKSYGLLLLLALGAALLSVIVLQKLRERRVLGLLLQDREHELLAFELLLQKERETTKEMKSRLDDLRNKTISLSQQKSELYNKLTETEMMFAELKRTKKEMEATLEDKENQIIQITKQAAASVVADRKASDLTEILKKKEDEIEEMKHKLSEVHQPENITTEDDIVRNVTGPDYTKENEDLQDRSLASTNPGDRKTSEEKTQVGGANEDNSSKGTWVKLETIIENEGLKDESESNDEQIAPEVSQENQLEKIEVSQGSEHSKKQGEIDQEIPLEAEERTKKLNDEETVVAGDNKPKVTEMEEKEQWGPEDDSSGKLKNSRFSNEQKVSTDLKEVTAIDGPKMKHRRHKRTKTRSRRRQVVPKGMELEKSAWEDNRVTPEDESQVIRTETEDLTTDAGSSKGDDKEQANHTNDASVKMTTSLHENEVPQTMENKERNKEDEIEGSIHITDDNPKSEHAAKGSFSGTNELDGPESLSDSKSQNHESSEDIQQPGGESSTGSEHEGEEKEAVSTDDKLRESEKPQNDDSVKPNDGNPHNDHQEAMVSST >Ma04_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6433472:6434178:-1 gene:Ma04_g09030 transcript:Ma04_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPRASLGLVAIVLLGLDIVLPNVQAQAPAPAPTSDGASIDQGIAYLLMLVALVLTYLVHPPNDASTPYNLF >Ma03_p30750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33250809:33251484:1 gene:Ma03_g30750 transcript:Ma03_t30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKSTTKKLEQMGASGSSPKEQLEKSVPSERDLSEKLNDSLGLENKAVRKARVFFADPDTTESSSDDEDEEGGSGSKKKKKKKKKKKRNRVRYEIPLTRTDKTLETRKISGPSSASSSASGRQKGVRQRPSGKWAAEIRDPIRRVRLWLGTYPTAEAAAGAYRAASRQLEEEKRRFVRPTEATPPTSPRP >Ma00_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13446554:13452822:1 gene:Ma00_g01890 transcript:Ma00_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKRRRGWRAASSPASPGHLAEEPAAQSGDGDGWLSSLVSGAGKLVSAVLGPDSAPSSSSSFYSSEEGTDSNSSEEEDTIRACEGHKLSKKTKTSKMVNDWLEGSISIITEIEAKSAIEQLLLRETFTRDECNKLTKIIQSRVIDVQSAENDHHCVPKELPHMAAREAFACPQACQSLHQSGDIPKTIRSPSILNCHSPGPSTSPAVQFNFHDSVVIGARKGLELKRTPSCLKASLDHGLCTLNTDMLHYVLKRDYSTFTPRDTFDEVRRVRLKSKENMLAGASTKILGINHSSTLTEALHAEDDSASRTLRQSDARALELVKPIAVNNAPSPNINALDTEAALDIELTHTLKQTALPPETTPSRVAYDSRLSVPVSLPDEKKAHFSHPVLYQFFNDIEETSATMEQLENMSESHALASTGLENKGEHKENIHCSLQPAILDEASNVSKEINQLRLLLKFMLLDMARHL >Ma11_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11042626:11057522:1 gene:Ma11_g10960 transcript:Ma11_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHPILQDLVKTPFFRYFKVKLWCDCPFWPDDGMCYLRDCTVCECPESEFPEPFKKSSRLFSADDVICQEGKPQAAVDRTLDDTIFKGWIQIDNPWTYDDETDDAAMTYVNLQLNPERYTGYAGPSAQRIWTAVYKENCPQYPSEEWCNEKKVMYKLISGLHSSISVHIASEYLLDSSANLWGQNVQMLYDRVWKHPDRVRNLYFIFLLVLRAVTKAADYLEQAEYNTGNHVEDLKTQSLVRQLLYNNKLLSACRVPFDEVNIWQGQNGPDLLQQIQNQFRNISAIMNCVGCEKCRLWGKLQVNGLATALKVLFFVDGKNNLQRNEVIALFNLLNRLSQSVKFVHDMGPLMEKMERHDSSPTGKTPW >Ma11_p10960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11042626:11057522:1 gene:Ma11_g10960 transcript:Ma11_t10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHPILQDLVKTPFFRYFKVKLWCDCPFWPDDGMCYLRDCTVCECPESEFPEPFKKSSRLFSADDVICQEGKPQAAVDRTLDDTIFKGWIQIDNPWTYDDETDDAAMTYVNLQLNPERYTGYAGPSAQRIWTAVYKENCPQYPSEEWCNEKKVMYKLISGLHSSISVHIASEYLLDSSANLWGQNVQMLYDRVWKHPDRVRNLYFIFLLVLRAVTKAADYLEQAEYNTGNHVEDLKTQSLVRQLLYNNKLLSACRVPFDEVNIWQGQNGPDLLQQIQNQFRNISAIMNCVGCEKCRLWGKLQVNGLATALKVLFFVDGKNNLQLQRNEVIALFNLLNRLSQSVKFVHDMGPLMEKMERHDSSPTGKTPW >Ma11_p10960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11042626:11057522:1 gene:Ma11_g10960 transcript:Ma11_t10960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHPILQDLVKTPFFRYFKVKLWCDCPFWPDDGMCYLRDCTVCECPESEFPEPFKKSSRLFSADDVICQEGKPQAAVDRTLDDTIFKGWIQIDNPWTYDDETDDAAMTYVNLQLNPERYTGYAGPSAQRIWTAVYKENCPQYPSEEWCNEKKVMYKLISGLHSSISVHIASEYLLDSSANLWGQNVQMLYDRVWKHPDRVRNLYFIFLLVLRAVTKAADYLEQAEYNTGNHVEDLKTQSLVRQLLYNNKLLSACRVPFDEVNIWQGQNGPDLLQQIQNQFRNISAIMNCVGCEKCRLWGKLQVNGLATALKVLFFVDGKNNVNKTLQLQRNEVIALFNLLNRLSQSVKFVHDMGPLMEKMERHDSSPTGKTPW >Ma08_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8971142:8973109:1 gene:Ma08_g11970 transcript:Ma08_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTLTSCRFARDLSLPPRAAGDCSLDFRPLPVRPPFGRASAVQPWLRRPTAVCFVLKEVSKEQKKNAGYTWMEDASEGEEEGEMEGTEEIRISWNRRMEERAARKQEERRTYLIAAVMSSLGITSMAVAAVYYRFSWQMEGGEIPLTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAISLMAYGFFNRGLLPGLCFGAGLGITLFGVAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGTEELEKEISRRIKLYNRSGSS >Ma06_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12152520:12155673:1 gene:Ma06_g17920 transcript:Ma06_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAVEAGGSNGHNGGGGDGGGGGRAPRLPRWTRQEILVLIQGKRVVESRGRGRGMGRGVGGGGGGGEEGAAAPVEPKWASVSSYCRLHGVNRGPVQCRKRWSNLAGDFKKIKEWEGKGKESFWTMRSDLRREKRLPGFFDREVYDILDGAAVAAVAEEEGKNGSGPAAAAGAEEEEAVFDSGRTAAEDGLFSDFDEEKEAEEEEEEEDSAPPLQPVAAVPISEKKCESSQQGGSDRATTKDKQQDYNPEKGSPLREGQKRRRTSPEGAEDSDLQNRLIKVLEMNSRMLTAQLEAQNINCQLDRDQRKDQTNSLLAVLGKLADALGRIADKL >Ma09_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18740859:18744861:-1 gene:Ma09_g18710 transcript:Ma09_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESLTRRHRGPETDLKLTEGGRKKRRPTRIHCGGNGAVIPADDEMARSGDPDEREVWGTWEELLLACAVNRHGTRRWDSVSMEIQSRTTASHHVTPQGCRQRFRDLQRRFGAGGDNDGSDADPDPPADVPWLEELRKLRVAELRRELERCDLSIGSLQLKVKRLQEDRERSLQDGESGEGKPDRDAKEEGGSPKSTPGSLAGDPISSGGASGPSCEQSNSTDPKQKSGEDLWETEKEAADPSTGGNEKMDEGSYNGSTGSPAAEAAARSHAMGESGESIAESKGGGGEGEESSDVQSSASLSRRRRKKVMPDGGGEEPEAEDASILNKRAAAESLPLVSLLQIIRSDKYGSVFERRLESQDCVGYRSLIRHHVDLEMVRAKLDRVESGCSYATVEFARDMLLLCTNAVVFYAKGSPEAVAAFSLRRLLVKEMASVFRRPKEPTLPPPPPPAPQSEPTASKPKLEPDLAVALVEKPMSPTPSIVCRKRSSISNKPAVAVVKEERDEKADPGRKELDNEEKSLQTKTTNEKTGPTGTTRGLRTNKVRGGNGGGGPPAKKPNLAPAPTLKSKSLENVPTVEEAVKPDKKNCGGGGAGTGTGTAPASSAKKQSATSFLNRMKRSSNGKLMEMLKTSSSSGGGNAKGMEQKDAKGDDRKDQRPRRGTGGRGGPRKRAAETSGGSAKRSVGRPPKRAAAPPPPPAKRAREAAEATSRTSASAPRKRGRR >Ma10_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15108966:15109988:-1 gene:Ma10_g04450 transcript:Ma10_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETGLGYGGGGEAADGSVGLEAAIQALNTIIQLHFEKILEKKREVDEQKKEMWRLFQLFFLFLAVVLAAQLGAPPERLQCRHCWAPIGLLSLGHLAFYVAVAQTLRCINGFKYQRRCHKLTLALATDRLKLLKMRFSSYSAVAAGADPPPVLPRDFEIHYQEPPDSYLGKFKRSWAMHFGFLMCTFGVMVSASVVLLCF >Ma03_p31800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33882267:33885883:-1 gene:Ma03_g31800 transcript:Ma03_t31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILERRELGNTGLKLSCLGFGASPLGNVFGHVSHADALAAVRLALQLGINFFDTSPYYGGTVSESVLGNCLRELNVPREDIVVSTKCGRYIDGFDFSAERVTRSIDESLARLKLDYVDILHCHDIEFGSLDQIVNETIPALQKIKAAGKARFIGITGLPLGIFTYVLDRVPPGSVDVILSYCHYSINDSALLDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPASSELKSACRAAVAHCKKKGKNISKIALQYSLMNKEISTVLVGMNSPKQVEENVAAALELSSLGIDEDLLHEVEAILEPVKTQTWPSGIQQG >Ma05_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3436462:3436893:1 gene:Ma05_g04510 transcript:Ma05_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPDPARVHGPRLPRWPAPPARSSPGLPPSKSAHRDIKPTSLLIDSGRRVKIADFTDNGPLRLLRRYHRLNEPRADRHRPQPQDLRRLRRQHLELRPQNPILGVVARQLPVRREPRSACRLSIAHVRHLLRRSAGGAAHPLR >Ma09_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3552247:3556646:-1 gene:Ma09_g05520 transcript:Ma09_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLIPLLPMSSPLSPPLRPLRSPNAVPVIRTRNPRSRVRRTPPPPPKATPLPEPAALQDSLLNLVRASPPTWKSAVASNLLIFVVGSPILLSGLTASGIGAAFLLGTLSWRAFGPSGFLIVAAYFVIGTAATKLKIKQKEALGVAEKRRGRRGPGSVIGSGAAGCVCAFLSICNIGGAASAALWQLGFIASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSMEGTVAGLLASVLLACIGYFLGKVDLPQAAVCVLASQIANFGESLIGATLQEKNSFQWLNNDIVNVINISIGSILAILMQQFILGH >Ma07_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10891107:10891226:1 gene:Ma07_g14450 transcript:Ma07_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCGFYLCHCCSTTNRQVICPLKRIQRRGPPTPHYRI >Ma04_p39990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36937777:36938328:-1 gene:Ma04_g39990 transcript:Ma04_t39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRHGAERLLEAPAHSAGRSGAADLPNLAEDDIWAAFEGDNDEGGGQDRRTSRARSRVWVDGHVGGLSLNFEDSYHGTAAVAARSLPLDRLRVAASAPVNVPAWSRSLRSSSGVPPPEEEAEEEEEEETGGEWLPPHEYLARVQGKTMGTSVLEGAGRTLKGRDMSRVRDAVWSQTGYFG >Ma09_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:477158:478781:1 gene:Ma09_g00710 transcript:Ma09_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYPIGKMVSKALRKCKGRKRRGVATTVVYSSPPTYSHAASRAKHVTWTDDSPAVVIMPSESRVSKHHSEAVIPPPPSTQPEEQRRVRFAPGPDHPDEVPHHGPIPSRTPKESVHVRFDVGPRSGPDLHELVPPHGSGYRYMTSPLPPRWEDGEQRREYFGGEYHYYPTPIREGIYRIATDEHRLTTIFSEENPNACSIV >Ma05_p26220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37912834:37913349:1 gene:Ma05_g26220 transcript:Ma05_t26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTSMTLLQGLLISCLDGKLSTALHIGLHRLMDPLTRGDFPSSMRTIVKNRLPEFTKNQSEMSKASFDFTGINYSTTLYSFDDAKPNFHAVRTGIFGYRSFRNKLHDNTRIPVILLASLATGLRENSCSMHSNPVIYITENAKLDGLFKLRLPLVSRNECLPFQRSCRGR >Ma06_p36350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35793325:35797903:-1 gene:Ma06_g36350 transcript:Ma06_t36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERLEAKIEFDLNVVSPCQSAAWASNSSEASSSAAAEEEDLRSTSGAASLCFDNLRCPTEPAVVDDDGEDEGGVLPERRVVTWPLLPTTVVASTFQPPVAANSSSTSRPGDLGFVERTVGCAAEAEARVLLHRQQQQQEVVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFDLNDYDEDLNQMRNLTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIRWNGRVAITNFESSLYEGERLPEPENKAAFEDVDLSLRISQPNFHSTKSDGNSTGTNFSHGSYEVPETGNAQPDSPNHPAVVPGFAMTPQHPHLWNAYPGYFPRIEERGKEQRPEVGLRSLPGWAWHMHGPTLLPLVTSAASSGFSNATTVAALPPLPAPPPPPISHHLPFPPPASTGYFLRH >Ma00_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:25224637:25225521:-1 gene:Ma00_g03120 transcript:Ma00_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIYNFVPSLPPEGTTRGLFLLNLWSQKANGIYTIYKQERDLCFL >Ma04_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8545811:8556407:1 gene:Ma04_g11980 transcript:Ma04_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGRVMWALVLVLLTPGFVHGGDIVHEDDEAPKLPGCSNNFVLVKVQTWINNTEDNEFVGVGARFGPTIVSKEKHANRTRLTLSDPPDCCTAPTKKLAGDVLLVHRGHCKFTTKAKVAEAAGASAILIINNHKELYKMVCDRNETDLNIKIPAVMLPQDAGASLESSLKHGFSVSVQLYSPDRPLVDTAEVFLWLMAIGTILCASYWSAWSAREALIEHEKLLKDAPDELLNMENTGSSGVVDINTTSAILFVVIASCFLILLYKLMSFWFVELLVVLFCIGGVEGLQTCLVALLSRWFKRAGESYIKVPFFGAVSYLTLAVSPFCIVFAVVWAVYRRVSFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLSCAFLYDIFWVFISKSWFHESVMIVVARGDRTAEDGVPMLLKIPRLFDPWGGYSIIGFGDILLPGLLIAFSLRYDWAAKKSLRAGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTFLALGRKRGELINLWTQGEPPRVCPHVQPAK >Ma10_p30020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36431069:36436895:1 gene:Ma10_g30020 transcript:Ma10_t30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAATISGMVTSILESILVIRYMVLESNALLMVTVMKVHGMRARNKNSLVPSDPAVRQAVQAARRSAEKAALVPRVDEQVDGAVTAAQVAAIKAVQNQIRVFHLFQLLFRTKLDGHVSIETPIGMDLDWERRRWVIFFSVLLQKILMFVRKPMAWFGSAIEYWPNLLSENHGFISLLFNILTGRVVFPKKGSSTYRTVIGFSDPRVELDRKIKPTDKKYHAALSMMAAKLAYENESCIQSIVRNPWNMEFLGFYNCWNEYQKQFSTQAFMFSDKTGDAELIVVAFRGTEPFDAVQWCTDFDISHYQIPHNWLLDRLQGVYTFGQPRVGDRNFGEFVEQYLDKPKRRYFRFVYCNDIVPRVPFDDSALSYKHFGTCVYFNSLYKPKVMKEEPNKNYFSLWTIIPKYINAWWELIRSFLIGFVRGPEYKEGKTMRLLRLVALVFPGLAPHFPQDYNNCTRLVTSPALDKRLV >Ma11_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16677797:16679155:-1 gene:Ma11_g12780 transcript:Ma11_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRMKPPLAAVLAMAVAVVSVAAAEVYFEERFGDGWENQWVISDWKKDENMAGDWNHTSGKWTGYPEDKGIHLQLLKLTV >Ma10_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23700555:23703249:-1 gene:Ma10_g09620 transcript:Ma10_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGDGFALVAADTSAVHSILVHKSNEDKVMILDSHKLLGASGEAGDRVQFTEYIQKNVHLYEFRNGIPLTTAATANFTRGELAIALRKNPYFVNILLAGYDKNIGPSLYYIDYIATLHKVDKGAFGYGSYFTLSMMDRHYKSGMSLEEAIDLVDKCIIEIRSRLVVAPPNFVIKIVDKDGAREYAWRESIKDAGVSAA >Ma09_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12606754:12616425:-1 gene:Ma09_g17130 transcript:Ma09_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18e [Source:Projected from Arabidopsis thaliana (AT5G05150) UniProtKB/Swiss-Prot;Acc:Q9FHK8] MSSTIPTSPDIHPSMMISSLEHPHSQQSTASSGQSEPEIADDSEIKLLSVSWNQNYGRFAFGTSNGFRIYNCDSLTEAFRRDFKGGGFRIVEMLFRCNFLALVGEDTNTQFPPNKVMIWDDHQRRCTYELTFRSHVRGVKLSRDLIVVVLEHKIYVYNFADLKLVHQLETLSNPKGLCCLSHHSNTLVLTCPGIRRGEVRVEHLFLKMKKIISAHDSQIACMTLTLNGLLLATASTKGTLIRIFNTNDGTRLQEVRRGLDRADIYSISFSPNAQWLAVSSDKGTVHVFSLRVIAARGDASTQLVAAQIPGNVQQNALISAKTGGVLPKYFSSEWSSAQFHLAEETYHIAAFDAQDTVMIVGMDGSFYKCTFDQVKGGQMVQQDHMRLLKKI >Ma06_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2808598:2809422:1 gene:Ma06_g03870 transcript:Ma06_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEHDEGIEEMALPVGSSDHTVTGNSDLGGGGGAKMGGGGESASATAGMAMRGSGHRKTYGGRGGRGKYRECLKNHAVGIGGHAVDGCGEFMTAGEEGTLDALRCAACGCHRNFHRREEEGGGAGGGATEVIGYHPQFSPYNRAPGGYFHPHHSTAAALASPQQHRPAPLALPSARGGGGHSRDEQEETPIPMVGVGGGVGRRGGVVARKRFRTKFTQEQKEKMLAFAEWLGWRIQRHDEAAVQKFCEETCVKRHALKVWMHNNKHTLGKKH >Ma07_p11620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8651171:8659563:-1 gene:Ma07_g11620 transcript:Ma07_t11620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MNAASAPSKLYSDDVSLIVVLLDANPFFWASSAAAAGDSASSHHPTTTLPFSKLLNHVIPLLNSLLLLNQLNQVVLVATGVNSCGYIYDSGDGGGGSACGSVPAICSEILRRLEEFVVKDRLQGKSPDKVVATGVSSLLSGSLSLALCYIQRVFRSRARHPQPRILCLQGSPDGPEQYVAVMNAIFSAQRSMIPIDSCVMGVQHSAFLQQASYITGGVYLKPQQLDGLFQYLSAVFATDLQSRNFLQLPKPAGVDFRASCFCHKKTIDMGYVCSVCLSIFCKHHKKCSTCGSDFSQGPTDANADRKRKTSEVQP >Ma07_p11620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8651171:8659563:-1 gene:Ma07_g11620 transcript:Ma07_t11620.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MNAASAPSKLYSDDVSLIVVLLDANPFFWASSAAAAGDSASSHHPTTTLPFSKLLNHVIPLLNSLLLLNQLNQVVLVATGVNSCGYIYDSGDGGGGSACGSVPAICSEILRRLEEFVVKDRLQGKSPDKVVATGVSSLLSGSLSLALCYIQRVFRSRARHPQPRILCLQGSPDGPEQYVAVMNAIFSAQRSMIPIDSCVMGVQHSAFLQQASYITGGVYLKPQQLDGLFQYLSAVFATDLQSRNFLQLPKPAGVDFRASCFCHKKTIDMGYVCSVCLSIFCKHHKKCSTCGSDFSQGPTDANADRKRKTSEVQP >Ma07_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8651171:8659563:-1 gene:Ma07_g11620 transcript:Ma07_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MNAASAPSKLYSDDVSLIVVLLDANPFFWASSAAAAGDSASSHHPTTTLPFSKLLNHVIPLLNSLLLLNQLNQVVLVATGVNSCGYIYDSGDGGGGSACGSVPAICSEILRRLEEFVVKDRLQGKSPDKVVATGVSSLLSGSLSLALCYIQRVFRSRARHPQPRILCLQGSPDGPEQYVAVMNAIFSAQRSMIPIDSCVMGVQHSAFLQQASYITGGVYLKPQQLDGLFQYLSAVFATDLQSRNFLQLPKPAGVDFRASCFCHKKTIDMGYVCSVCLSIFCKHHKKCSTCGSDFSQGPTDANADRKRKTSEVQP >Ma07_p11620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8651169:8659563:-1 gene:Ma07_g11620 transcript:Ma07_t11620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MNAASAPSKLYSDDVSLIVVLLDANPFFWASSAAAAGDSASSHHPTTTLPFSKLLNHVIPLLNSLLLLNQLNQVVLVATGVNSCGYIYDSGDGGGGSACGSVPAICSEILRRLEEFVVKDRLQGKSPDKVVATGVSSLLSGSLSLALCYIQRVFRSRARHPQPRGSPDGPEQYVAVMNAIFSAQRSMIPIDSCVMGVQHSAFLQQASYITGGVYLKPQQLDGLFQYLSAVFATDLQSRNFLQLPKPAGVDFRASCFCHKKTIDMGYVCSVCLSIFCKHHKKCSTCGSDFSQGPTDANADRKRKTSEVQP >Ma11_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22937762:22939197:1 gene:Ma11_g17680 transcript:Ma11_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNGDSAGAGLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGRCAFLGKLGDDEFGRMLAAILKDNGVDDAGVSFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTEAELNLDLIRRAAIFHYGSISLITEPCRSAHLKAMEAAKEAGALLSYDPNLRLPLWPSAAEAREQILSIWDQADIIKVSDVELEFLTGTESVEDEVAMRLWRPSLKLLLVTLGEKGCKYYTKDFHGSVKSYAVKQVDTTGAGDAFVGALLGKIVEDQSALQDEKKLRELLRFANACGAITTTKKGAIPSLPTAAEAMQLMGSA >Ma02_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24293521:24294318:-1 gene:Ma02_g17370 transcript:Ma02_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGRSNGGQHQQRHRHGASTDAVIAWGRDGKLRGRRPLRLLAEPPPENFRHSNFSSFVRQLNTYVSIALRAVDLGRWQFAHASFLRGQTHPLVQMVRRNSGCGKEEEDEERVTAELVRLIQEQRRVEERVERMWRRVQEMEADAGFPGQHGWGLQAARRDRWTGEASSVSIGRRREDGGDGRWSWFLAARWPGGGGGRWSLEGWRTGVMLHIRSPAHR >Ma07_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7662684:7663511:-1 gene:Ma07_g10230 transcript:Ma07_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGKGRKGAGGRRGGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTANKEPKSPAKPASKSPKKA >Ma05_p07340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5329648:5336160:1 gene:Ma05_g07340 transcript:Ma05_t07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSAAFCHLVQRTPGLSFYAKNPQEAANSLLPLLEKAESVIPAELRRTTPVRVGATAGLRALGAVTAEQILQAVRFLDLLRQKSSLKFQSDWVTVLDGTQEGAFQWVTINYLLGKLGKSYWDTVGVVDLGGGSVQMAYAISENDAANAPKISNEEHSYVQQLFLKGTSYHLYVHSYLHYGLLAVRAEILKAADGNSKCILDGYNGSYKYGEKEYKAVASPSGASYSKCRSDTIKALKVDEPTCTHMKCTFGGVWNGGGGDGQRNLFVASFFFDRAVEAGFVDHKVPVAKVKPAEFKVVAKHACKLNVEKAKATYPRVQDNNLPYLCMDLVYQFTLLVDGFGLDPYQEITLVKKVKYGDAFVEAAWPLGSAIEVASTP >Ma05_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5328639:5336160:1 gene:Ma05_g07340 transcript:Ma05_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRQESLPDRIHRFRGVILVFSVPLLLVSFVLFLMPRAPSPIVSSGRKTAPGGGGVGSGGGELGSKSYAVIFDAGSSGSRVHVYCFDVNLDLLSIGKEIELFEQRTPGLSFYAKNPQEAANSLLPLLEKAESVIPAELRRTTPVRVGATAGLRALGAVTAEQILQAVRDLLRQKSSLKFQSDWVTVLDGTQEGAFQWVTINYLLGKLGKSYWDTVGVVDLGGGSVQMAYAISENDAANAPKISNEEHSYVQQLFLKGTSYHLYVHSYLHYGLLAVRAEILKAADGNSKCILDGYNGSYKYGEKEYKAVASPSGASYSKCRSDTIKALKVDEPTCTHMKCTFGGVWNGGGGDGQRNLFVASFFFDRAVEAGFVDHKVPVAKVKPAEFKVVAKHACKLNVEKAKATYPRVQDNNLPYLCMDLVYQFTLLVDGFGLDPYQEITLVKKVKYGDAFVEAAWPLGSAIEVASTP >Ma05_p07340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5328639:5336160:1 gene:Ma05_g07340 transcript:Ma05_t07340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRQESLPDRIHRFRGVILVFSVPLLLVSFVLFLMPRAPSPIVSSGRKTAPGGGGVGSGGGELGSKSYAVIFDAGSSGSRVHVYCFDVNLDLLSIGKEIELFEQRTPGLSFYAKNPQEAANSLLPLLEKAESVIPAELRRTTPVRVGATAGLRALGAVTAEQILQAVRFLDLLRQKSSLKFQSDWVTVLDGTQEGAFQWVTINYLLGKLGKSYWDTVGVVDLGGGSVQMAYAISENDAANAPKISNEEHSYVQQLFLKGTSYHLYVHSYLHYGLLAVRAEILKAADGNSKCILDGYNGSYKYGEKEYKAVASPSGASYSKCRSDTIKALKVDEPTCTHMKCTFGGVWNGGGGDGQRNLFVASFFFDRAVEAGFVDHKVPVAKVKPAEFKVVAKHACKLNVEKAKATYPRVQDNNLPYLCMDLVYQFTLLVDGFGLDPYQEITLVKKVKYGDAFVEAAWPLGSAIEVASTP >Ma03_p28040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31225159:31226349:1 gene:Ma03_g28040 transcript:Ma03_t28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLLLVIFTLLSSLGDGSVGSIISSSVFDQMLKHRNDAACPGKGFYTYTAFIAAANSFSGFGTTGDDATKKREIAAFLAQTSHETTGGWPTAPDGPYAWGYCFVSERNPPKDYCVTSSQWPCAAGKKYYGRGPIQISYNYNYGPAGRAIGSDLLKNPDLVATDATISFKTALWFWMTTQSPKPSCHNVITGSWKPTNADQAAGRLPGYGVITNIINGGLECGKGSDSRVADRIGFYKKYCDLLGVSYGDNLDCYNQKPFT >Ma04_p25540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27276583:27280866:1 gene:Ma04_g25540 transcript:Ma04_t25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFRFHQYQVVGRALPTAAVEHPKIYRMKLWATNEARAKSKFWYFLRKLKKVKKSNGQVLAINVIFERKPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRCLCIQIIRTATIPSKLCKQFHDSKIKFPVVFKKVRPPTRKLKTTFKASRPSLFK >Ma04_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6027659:6028948:-1 gene:Ma04_g08430 transcript:Ma04_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFRLLAHGGKHGGGAPSSATRPAATADLCPDNDDGPFFDLDLALPLREDADLHRENRQSSIGADSEDDDGDSHLCYPVLSLYPSDGLFLKGRLLPLEPSSLGVFSASALPKSTKSQVPAFLLKSAARVRAFKLGFHRRSKSASPELNPAASPVTTSTSPKQKHHNDIHVKAKAEEAPPVSLFARENISRSSSSRSSAQIRIDGALPASDEKKLPWDVLQRCLDKLKPLYTRISKRRFSEPLRPVGKGAEADGEDRRRVAESIASSFNGPLKSQDGNTLAGHKVVSRRLRKSRSASAAGRQDDFLMEQQDGIQSAIAHCKRSFNRGTDSPLTRSRSDSGNGRSLESSSSSSSSNSSTT >Ma07_p04550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3351153:3353325:-1 gene:Ma07_g04550 transcript:Ma07_t04550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAASLVPPWLEPLLSAHFFTACPLHRDAPRSECNMFCIDCGPASSSFCFYCRSDSHAGHRVIQIRRSSYHDVVRVAEIQKMLDISGVQTYVINSAPVLFLNERPQPRGGGRGGGASAASSSTSTPYTCEICSRSLLDPFRFCSLGCKEIVRPTQILYFQSCSSSTRSQRKYTLQQQQHCLYLFTSMVGTRSQTLVVTLTQTRLRKARYLLRSSEKAVEGDKKYK >Ma07_p04550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3351564:3353322:-1 gene:Ma07_g04550 transcript:Ma07_t04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAASLVPPWLEPLLSAHFFTACPLHRDAPRSECNMFCIDCGPASSSFCFYCRSDSHAGHRVIQIRRSSYHDVVRVAEIQKMLDISGVQTYVINSAPVLFLNERPQPRGGGRGGGASAASSSTSTPYTCEICSRSLLDPFRFCSLGCKLAGVKRNSDATFVLNPGDVEPSDGGGGIRDSSSTAAEESKSGRRGRSSGGSREGTRHEARGPAPPPPAPNSRRRKGIPRRAPFAS >Ma07_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3351564:3353325:-1 gene:Ma07_g04550 transcript:Ma07_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAASLVPPWLEPLLSAHFFTACPLHRDAPRSECNMFCIDCGPASSSFCFYCRSDSHAGHRVIQIRRSSYHDVVRVAEIQKMLDISGVQTYVINSAPVLFLNERPQPRGGGRGGGASAASSSTSTPYTCEICSRSLLDPFRFCSLGCKRNSDATFVLNPGDVEPSDGGGGIRDSSSTAAEESKSGRRGRSSGGSREGTRHEARGPAPPPPAPNSRRRKGIPRRAPFAS >Ma09_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3098131:3102626:1 gene:Ma09_g04800 transcript:Ma09_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyacylglutathione hydrolase cytoplasmic [Source:Projected from Arabidopsis thaliana (AT3G10850) UniProtKB/TrEMBL;Acc:Q0WQY6] MKIVHVECLEDNYAYIIVNEVSGEAAAVDPVEPDKVCWTAKQIGADLKLVLTTHHHWDHAGGNEKMKQLVPGIKVFGGSKDSVKGCTDNLENGDKLPLGPDMEILALHTPCHTMGHISYYVTSKGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLSSLPKPTRVYCGHEYTVKNLQFASTVEPDNEKISQKLLWAQNQRKEGQPTIPSTIDEELQTNPFMRVDLPEIQARVGCGTPVETLRKIRTMKDNWRG >Ma10_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23585130:23588586:1 gene:Ma10_g09390 transcript:Ma10_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAWERAVAAALKGRSEPPRALTLDCAVKCPSGRLPPPSFFEGRLAALEHLSVANVRLSSLEGFPCLPALRRLVLSDNRIVGGLHALVDARLDALRDLDLSNNRIASVEDLAPLAKLRLESLDLYECPVTKIEGYRSKVFGLFGSLKYLDKADANGNERTETDDEEEEDEEEEEGADEEDEDDEIVDEEEEEEEEEEGDDGDEEGEESDEDEEDEGDEQNGIEDEGNNGTANAEHKNKNANGGSKNGPGGEHDQVKHQNNGVLQHKSSRKREREREDDP >Ma08_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36321149:36322176:-1 gene:Ma08_g22850 transcript:Ma08_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQPEDITAHVLHYEDPFACYPYMEELEIGHDDLLRHCSVLAAKDDSSSSTKKLCHNAYERDRRKKLNDLYSSLRDLLPESDQARKKKKKLSIPLIVCRVLKYVPELQRQVERLSRRKEEILLALSRPEEQSHCVRSAVQYPMVSATCLSKREVMVQLCVVNKDATFSFSKILKVLEREGLHLMNSSNYTTCDGRCVCSLHLQAREDFRSECRIFCEHLMEEIKEQARHGSNLPRSLWM >Ma07_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11337248:11337469:1 gene:Ma07_g15090 transcript:Ma07_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQRDASVACRGVLQHLFNSIELGNLDTNISSNKMYHVLAIYLLELAIGVPIGHCLCLGNNIFMVYCMHATV >Ma03_p11470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8908789:8914488:-1 gene:Ma03_g11470 transcript:Ma03_t11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDPSDSSGTDDDLPPTHQNRGIRGHVTGNGRNIVGAIPYARMQNDMEFQIHRIEQEAYSSILRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRQAGGLQSGVLNNVQPDGGRQAPSPTISASRKRQRTSQPARSLSLDAPSPGLYSQPIASSMQPSLSTAKRVAATGAKGKKPKSGQGLPSASSMKSMQYSSAGPSGRGLIANRNSSGAPVIVEPAKKAPYDPLIGRIVMTRWPADNHFYEAVITDYNPVEGLHALVYDKGTNNETWEWVNLKEISPEDIRWEGEDPDINYQPGQGAPGRKIKKSTGRADAIPGAGRGRETIKNQPKKDFLTSQNGVSKKNTGDIEILHTETLIKEVEKVFGASHPDPLQIEKAKNVLKEHEQSLIDAIARLADASDGERVNDNLLCF >Ma03_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8908314:8914488:-1 gene:Ma03_g11470 transcript:Ma03_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDPSDSSGTDDDLPPTHQNRGIRGHVTGNGRNIVGAIPYARMQNDMEFQIHRIEQEAYSSILRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRQAGGLQSGVLNNVQPDGGRQAPSPTISASRKRQRTSQPARSLSLDAPSPGLYSQPIASSMQPSLSTAKRVAATGAKGKKPKSGQGLPSASSMKSMQYSSAGPSGRGLIANRNSSGAPVIVEPAKKAPYDPLIGRIVMTRWPADNHFYEAVITDYNPVEGLHALVYDKGTNNETWEWVNLKEISPEDIRWEGEDPDINYQPGQGAPGRKIKKSTGRADAIPGAGRGRETIKNQPKKDFLTSQNGVSKKNTGDIEILHTETLIKEVEKVFGASHPDPLQIEKAKNVLKEHEQSLIDAIARLADASDGEREDDEHQFSHEQYMERDGEWRNEQYGGKQHRANHHEDDMITGEGGEDSDGHHLIGDGVASGYQHHGDNDDT >Ma03_p11470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8908314:8914488:-1 gene:Ma03_g11470 transcript:Ma03_t11470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDPSDSSGTDDDLPPTHQNRGIRGHVTGNGRNIVGAIPYARMQNDMEFQIHRIEQEAYSSILRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRQAGGLQSGVLNNVQPDGGRQAPSPTISASRKRQRTSQPARSLSLDAPSPGLYSQPIASSMQPSLSTAKRVAATGAKGKKPKSGQGLPSASSMKSMQYSSAGPSGRGLIANRNSSGAPVIVEPAKKAPYDPLIGRIVMTRWPADNHFYEAVITDYNPVEGLHALVYDKGTNNETWEWVNLKEISPEDIRWEGEDPDINYQPGQGAPGRKIKKSTGRADAIPGAGRGRETIKNQPKKDFLTSQNGVSKKNTGDIEILHTETLIKEVEKVFGASHPDPLQIEKAKNVLKEHEQSLIDAIARLADASDGERDDEHQFSHEQYMERDGEWRNEQYGGKQHRANHHEDDMITGEGGEDSDGHHLIGDGVASGYQHHGDNDDT >Ma10_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14221914:14224349:-1 gene:Ma10_g03600 transcript:Ma10_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRHLSSPSPPPIAAAHRHRILLLAVAVSASSLILLALFLLFLFYLYLRRSPTLPFLSSAAAADNPLCLRRFSYRTLRSATASFDPARSLGRGASAAVFRGLLPDGKSVAVKRLLSSPSSPTRAASSDREFHNELQVLAALRPSPFVVSLLGYCLEGRRRRLLVYEYMPNGSLQEALFGSSSPLSWDRRFSIILDVARALAFLHLECDPPVVHGDIKPSNVLLGFDFQAKISDFGLSRVKTEADLGPEFFSQDLGPSQELFKSQDLVPESPQVDLPVPLHASSSISCKNSDTRPNPAGSHRGKEATALSSPVQDEIFSFEHRTESGATLDDGRTESNGQWGKHWWWKQEGSGELSSKDYVREWIGTQICPSGNPDWEDEKRISPEKCFDFRNSSRVENLDVGDDTLFGDARDKNTEKKQGRKKGILASDRKDRKMREWWKEEYFAEISKKSHHKKERKWFRTISSREHTGSAVDDDARRGRDLNVDISFRKGWKKKRSRSAGSDMFSGDMFSRELSSTTSMRGTVCYVAPECHGCEQLMEKADIYSFGVLILVIVSGRRPLHVLSSPVRLEKANLVSWCRQLAQMGNLLELVDERLKSSYNKEQAGLCINLALLCLQRIPELRPDSGDVVKILKGEMELPVVPCEFSPSPNARHMSRSRRKASMEVV >Ma08_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37718315:37720198:1 gene:Ma08_g24610 transcript:Ma08_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCRSSGGEWSAKQLSGDLEASAASTFDLQRLLVQEALAVDSTGCVQSSFSMVSPSSAVFQVIIGGGGGGAFIGAGAPGGAAAGSGGGAAAPDAPPTPEEKKEEKEESDDDMGFSLFD >Ma03_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25125171:25131050:-1 gene:Ma03_g19860 transcript:Ma03_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSSKAEESKLVALCRERTELIRAARDRRYALAAAHAAYFQALAAVGDAFHHFVHEELAPGSSVLVLPSSEGKRKARTGRGSAITAAAASSTSSSVTPLSHSLSPEGSHLPLSSGSESEASPRGAGGGSTSFADGKASRVGSSGEGEISTSPHHRFSPTSPNSSFIRSPTAIPTMVSQDPFASSWPNSAYDGYGYPFYGVPIGSPPQEREDWAGPSAPAVAPGTPPQPPPNEASSWDFLDPFNYYEEFLPYYSGGKYGSRSSISSPDLSEVRKREGIPDLEEEADVEMTENNVVDDDLRQKDSFSRSSNSGPSREIGGKHEKVGIELEEKERRSSSAGSKTISGGEDAGSNGKKKGVTFEDVSYVTEESVRSSEKPLSAYSDDDQPLPVQGTRDVMEVVQEIEEHFSSAAGCGEDISQMLELGKLPYRSRSKMHRVTFVNLINLVPVISSRIWDRMALPLLIRSRLSPSRHSSKSTIRRKAGNAVARNSTVARSGSLSSTLEKLYVWEKKLYREVKDEEKLRVSYDKKHKWLKALDDRGAENSKIDLTWASVRKLCTKISIIIKSANAISSRMHEIRDEELQPLLIELIQGFIRMWKSLLDCHQRQLRAVSNCKSHSLMMKTTSPRGPAAKATKKLELELLNWCNCFDEWIRIQRAYVEVLNEWLMKWLPQEQEQTPDGLAPFSPTRLGAPSAFIISNDWHHAIESISEEKVVDRMRAFVEIIHVIRKSQEEERRQRLEEQRLLQVYDRRLRSRQANQTNGHLEILSRHEGRPKHGDDSSMALYTLRQRLDEKRLTQKETLRRLQEAASSILPSGLLPIVQELETFTAEALHVYSEIRTSNSGGA >Ma05_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9381615:9384684:1 gene:Ma05_g12960 transcript:Ma05_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLHKTLLCATSLLLLLLPRAPLVIASLGSQGRALLHWKATLRSPQSLRSWNLNSSPCNWTGVTCNPVISRGRSVITEISLPSMGLAGPLDSLDFSALRSLLRLNLSYNQLGGVIPSTISVFSRLVSLDLTSNQFTSKIPVGMGSMKNIRFLSLEQNQIVGTIPPSLSNLTYLVSMYLDDNKLVGVIPKELGRLHELMYLNIGVNNVSGSIPSSLKNLTKLRLLALYQNQLSGPIPFEIGNLIEVTDLDFSENLLTSSIPSSIGNMTRLKTLYLWGNQLSGFIPLEIGNLIEVTDLKLSTNLLTGPIPSSIGNMTKLNTLYLWGNQLSGFIPLEIGNLIEVTDLELSTNLLTGPIPSSIGNMTRLNTLYLWGNQLSGFISLEIGNLIEVTDLKLSTNLLTGPIPSSIGNMTQLEFLSLQMNQLSGSFPRSVGKLSKLRELRVFDNKLSGVLPMEMNNITGLTYLELSNNSFVGYVPPNICKGGVLKYLTLDTNNFHGPIPTTLKNCTTLERVRLEHNQLTGDVSQCLGVYPHLYYMDLSFNQLSGTLSPDWARWHNLTRLRISNNNITGVIPTEFGQLTKLQDLDLSSNYLQGEIPKRFGSLTLLYNLSLGNNQLVGHVPLEFGMLSNLRLLDLSSNNLAGRIPDQLGNCTNLGSLKLNNNNFSGTIPLAIGYLVHLQDTFDVSHNSLTGEVPSQLSKLVMLQSLNLSHNYLSGHLPTSLKYMASLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNNDLCGVVRGLPPCVSLGTPTTDDRSKRHKIVIVAIIASVVFFLLLFIFIGAFRKREKDTVPVDNNHFKEGAFCILNFVGRDVCKDIIEATEDFDAKYCIGSGAYGSVYRAELASGELLAVKKIHLPDTEGTCDEQPFQTEIQTLTQIRHRNIVKLYGFCSSPRRKFLVYEYMERGSLGSVLRSDTAAELDWVKRVSIVKDVARALFYMHHDCVPPIVHRDITSNNILLDSEFKACVSDFGIARLLNQDSSNWTMLAGTRGYLAP >Ma05_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10024654:10030058:1 gene:Ma05_g13800 transcript:Ma05_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLL1 [Source:Projected from Arabidopsis thaliana (AT2G35350) UniProtKB/TrEMBL;Acc:A0A178VV40] MGSGASRHGLLHCLRPSAGAADVGDSDGGRPTFELGPLEETLGHSFCYVRSSSPVHSRASSVSSAGGDGGGETAFKTISGASVSANSSAPLPLYDAAGRPHPAGFRSSSSFSALPLQLSAAGCGGGCAASGPLDRGFFMSGPIERGALSGPLEPVPFSGPLVKKKRRGIARRFRKPLLLRRSISEKNRPWVFPLRSFPSRQGDQQEDPAAAATEGNVQWAHGKAGEDRVHVVVSEEQRWLFVGIYDGFNGPEAPEFLVGNLYRAVFNELRGLFWEEVEEGGAEDEGLEEGSEKKVTFQEDSKVARRLWEFLAEGHGDGELDFSAGSGGFAFTLSKLRYGIGSWRKEGRRMWFPKYRYDSEAKAKEIKEVTGRSRRRRRKKGPVAEHGLVLAALARALEVTEVEYLEMTDRVMDCNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYRPQGHIEGRNNGSFGADLEKANVNEANKGVEGNGESMEIEGLSRGVIELGALQLSTDHSTSIEEEVLRIRREHPDDNKCIFNDRVKGRLKVTRAFGAGYLKQPKWNNALLEMFRNEYIGTAPYISSTPSLCHHKLGANDHFLILSSDGLYQYLSNEEVVLHVESFMERFPDGDPAQSLIEELLFRAANQAGLDFCELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKCVAC >Ma03_p06210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4285619:4289244:1 gene:Ma03_g06210 transcript:Ma03_t06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLGMCFIMGSFFSEPGDSMRAKRSCEYELFALVFLFSWVSAAGVLSPKGVNYEVQALMGVKASLKDPHSVLENWDQDSVDPCSWTMVTCSPENLVIGLGTPSQNLSGTLSPSIGNLTNLQIILLQNNNISGPIPQEIARLSNLKTLDLSNNNFNGEIPTSISYLSSLQYLRLNNNSLSGAVPLSLTNLTQLAFLDLSYNNLSGPIPSFAAKTFNIVGNPLICATGTEKECLGMMPMPISYNLSNSESTATPGRQRNHKVALALSSSIGLACLIVLVVGLLLWRRQRRVQQILFQVDERHDEEVCHGNLKKFQFRELQVATDNFSSKNILGKGGFGIVYRGHLTDGTLVAVKRLKDGSTASGDIQFQREVEMISLAVHRNLLRLCGFCMTASERLLVYPFMSNGSVASRLKGKPPPDWITRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGRTALEFGKSVNQKGTMLDWVKKIHQEKKLELLMDKNLKHNYDRIELEEMVKVALLCTQFHPRHRPKMSEVVRMLEGDGLVERWEASQRVDSQGFKMPELAFSDRCYSNLTDDSSILIQAVELSGPR >Ma03_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4285619:4289244:1 gene:Ma03_g06210 transcript:Ma03_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLGMCFIMGSFFSEPGDSMRAKRSCEYELFALVFLFSWVSAAGVLSPKGVNYEVQALMGVKASLKDPHSVLENWDQDSVDPCSWTMVTCSPENLVIGLGTPSQNLSGTLSPSIGNLTNLQIILLQNNNISGPIPQEIARLSNLKTLDLSNNNFNGEIPTSISYLSSLQYLRLNNNSLSGAVPLSLTNLTQLAFLDLSYNNLSGPIPSFAAKTFNIVGNPLICATGTEKECLGMMPMPISYNLSTATPGRQRNHKVALALSSSIGLACLIVLVVGLLLWRRQRRVQQILFQVDERHDEEVCHGNLKKFQFRELQVATDNFSSKNILGKGGFGIVYRGHLTDGTLVAVKRLKDGSTASGDIQFQREVEMISLAVHRNLLRLCGFCMTASERLLVYPFMSNGSVASRLKGKPPPDWITRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGRTALEFGKSVNQKGTMLDWVKKIHQEKKLELLMDKNLKHNYDRIELEEMVKVALLCTQFHPRHRPKMSEVVRMLEGDGLVERWEASQRVDSQGFKMPELAFSDRCYSNLTDDSSILIQAVELSGPR >Ma03_p30740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33240133:33242298:1 gene:Ma03_g30740 transcript:Ma03_t30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSENCSIRPCLQWIKNPESQANATVFLAKFYGRAGLMNLINAGPDHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGSPIVQIPSETVASIPAPPLKAYDIRHVFKGPGAAAASKAAAELHKVNKPRSRFKRSGSKPARAATPPPEFQRARSHESVASDATVPVTPSAAAGGEDGECRENECMSSADTAEEASHVSQGEPEQPDEDEVGLELTLGFEPVSSEPAPRPAPEARRHLSCWDADACTADLGLALPATS >Ma07_p27860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34061243:34062543:-1 gene:Ma07_g27860 transcript:Ma07_t27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAVLFIALVFVAASHAGAQSPAAAPTKPVTPAPTAAPIKPATPAPAAAPTKPTPAPSTAPVATPAKAPVAAAPTTAPPAPAPMTPPPVPVPVSSPPTPPPTLSSPVPAPAAPVPAAAPPKPTDVPAPAPSKSKKKKPKTTKKKKHSAAPAPAPLAHTPPAPLTESPAGIGESPAPSLAADQTSGAETLRHKVAGRMSAAWLLPLGFAALAWI >Ma04_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2702369:2705237:1 gene:Ma04_g03510 transcript:Ma04_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKHSAEMFKHLEKQSDVLMDAYRSMSHELHRLQVEEEMLMQKLYELMHAKGLLKKEKKGHNDSSKDATNTSM >Ma01_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24135052:24137007:1 gene:Ma01_g22240 transcript:Ma01_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLIIDSVIQEMEHAFDLTKKLQSLIELGSCSDRLKELVRVLEDDLQQIVRSRKKDVKPQKKRMASLDVPIRVDRRSLSVRREITATPFDHDHQWRKYGEKKITGCIFSRSYYRCTYSEDQGCTAKMQVQRQTPSLFLVTHAGRHRCKTSSSRTDDDDTVKPSASHITVEPFLLRFDSGDHYKMEENKSYVRKDMVQTSSGKLESADPTFHESMESSSAIGGIDSLSSVALDMDFSVFDFDDKEY >Ma01_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10686796:10689255:1 gene:Ma01_g14630 transcript:Ma01_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRRKSYIRIGGSHRFGSSRMENCGEGHEFQAYQEEDHNTVAAAAAAATANNNSISNIQSGGGSATFMFPAQVAMGDGAGDAAASGLLPWPLPLLNNLHPTHFPSSGQIRDQDRFFPSLPPLPPLPPPPPPAFYHDLHARRASAALQLAYDGSGLGSSSSDPLGLAGLYLGPEALIRGRGMMSSSPFGALHAELCKMTPQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPSTTKTDKASLLAEVIQHVKELKRQTLEIAEESPLPTETDELTVDATNDEDGKFLVSATLCCDDRPDLLPDLIKALKALKLRTLKAEITTLGGRIKSMLLITGEDGSDHLQQEQSIASIQDALKAVMDRTSSADEASTAGGIKRQRTTNLSSILEHRSI >Ma08_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36643882:36646147:1 gene:Ma08_g23270 transcript:Ma08_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPSRSMGLLLFCSFLLPLFLSYSEAGTVGVNYGRVANNLPPATKVVELLKSNDIGHVKLYDADPTVLRALAGTGIKVVVTIPNEILAATASRSGFAFAWVQRNVAAYYPSTQIEAIAVGNEVFVDTRNFTAFLIPAMQNVHAALSRLRLDSAVKVCSPIALTALQASYPPSAGSFRSDLAEPVMRPMLELLRTTGSYLMVNAYPFFAYEANADVISLDYALFRPNEGVVDSGNGLRYYSLLDAQIDAVFAAMSALKYDDIKIVISETGWPSKGDSNETGAGAANAAAYNGNLVRRVLSGNAGTPLRPQADLNVYVFALFNENQKPGPTSERNYGLFYPDEGKVYDIEFTLGGTNSGGGGLRWEEDRGRSKGGSKGSGSPPTLPQPSSSGTVRASSTGESWCVANAMVGKARLQAALDFACGEGGADCRSIQPGAACFEPDTVEAHAAYAFNSYYQRKGRAIGTCDFEGAAYLVSQPPKIGRCAFPSGA >Ma07_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16772109:16844075:1 gene:Ma07_g17260 transcript:Ma07_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANPTLRHGGRAHPFSSPSPPLFLSSPWRTSLLIASSRVCPFAPFSRSFSSFPSLGWKRRRYRVGASLESVPCEVGGSADDGAGTVSNKFSVEIPFGNRRILVETGHIGRQASASVTVTDGETIVYCSVCLSDVPSEPSDFFPLTVNYQERLSAAGRTSGGFFKREGRAKDHEVLTCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCLAVTAAGIAVALSEVPYTKIIAGVRIGLVGEKFVVNPTTKEMEESELDLIIAGTDSAILMIEGYCNFLTEERLLEAVEIGQVAIREICYEVESLVKKCGKRKLVEAIKLPPIELYRHVEDISGDDLVKALQIKNKIPRRKSLSAIEENVLTILTEEGYVLKEETIGGVEVSEDVYEEEDEDEVIVVDGEVDEGDVHIKPMSRKPVPLLFSEVDVKLVFKEVTSKLLRKRIVEGGKRSDGRSPSEIRPINSQCRLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRIDNLVDTEELKRFYLQYSFPPSCVGEVRRMGAPSRREIGHGMLAERALEPILPSDEEFPYTIRVESTITESNGSSSMASVCGGCLALLDAGVPIKNSVAGIAMGMVLDTKEFGGDGTPLILSDISGSEDASGDMDLKVAGDENGITAFQMDIKVGGITLPVMQQALVQARDGRKHVLAEMMKSSPPPSKQLSKYAPLIHVMKVKPDKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGLIKITAKDLSSLEKSKAIIANLTMVPTVGDIYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSTWLAKAEDVVNVGDHVDVKLIEINEKGQLRLSRRALLPDGDLDTSGKPKTSNSIKENIPPQDDLVKIAAKKPLRKDEKENAIKKLARPVRDGQFVNKQKKSIDKAVTGVVPSEGMINGEVKTG >Ma07_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31837923:31842167:-1 gene:Ma07_g24480 transcript:Ma07_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKTLGDLNVHPATGFDKNTALGKGSLTKSYIENINDNGPELQKKSSSPFSAPINIGDAGSNGLETGNTEVEYIESENLADLPDVDASFNTLLARLDSKDWVSVCEALNNVRQLSIYYKERLLEIIRAVIPLIVKSLRSPRSAVCKTAIMASADIFKAYNDMVIDSFDPLLVQLLLKSSQDKRFVCEAAEAALIAMTTWISPMLLLPKLLPHLTNRNPRIRAKASMCFSRSVPRLGMEGIRAYGIDKLIQIAASQLSDQLPESREAARALAMQLQTIYVNSHVSPNEDSLELVDADSWEAFCHAKLTPLSAQAILRVTSTPKEALVLSFC >Ma10_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29834959:29836567:-1 gene:Ma10_g19180 transcript:Ma10_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRFLSNRLLICQKKATSDTQKVMMERSCPSSANLSTSSSSTSTVTITADDRSTPAAGNPCGQGGCGSSISNSKTSNSNVQSSLRSLNRASYKISKPVQRNAAAVPEPNTVAAPAAAAAQPLAPPGPQAGTSVGSGGGAPPYQPQPPVYNIDKNDFRDVVQKLTGSSAHHQSRPPPAEAPPPVPPPAAAAAAPTSRLHRIRPPPLAHLSPRPPALVPAPPLPAVDPWTRPPLSPLPPLPTVSAAAESPISAYMRRLRSGGGGPAPSPTAAPVLPPSSSPLGFGCLLSPRTAYQMMMTAPALGLPTSPGVQLPSPRLGDS >Ma05_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4043808:4043915:1 gene:Ma05_g05310 transcript:Ma05_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRLKKVNGIVKEKPSLFSFSLHDGCHMIGANH >Ma11_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10012280:10014231:-1 gene:Ma11_g10560 transcript:Ma11_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRLSYINSSFLFLKPGTNSSRPVLKPLVLPDHHLTTIAAHPRPPDLPSQLARFCSLLKRRRYAAAKSLLKSLATADGLAHPFPSLAPSVEASCRAAVVPFADALDMLFRVYSDAGMTDRALDAFELLLGRFGRVEERSCTIYLQALRRSGRSDLAHRFLLRMLDLDSIDVSAYSMAIVVDGLCKNGDIKIARELIDEMFLGGLRPNVMSYNSLVEHYAKRKDYGSTNDILVLMEERGVEASVGTYTILVDAYSDSGKIDKAEKAFEEMKKKGLTGDIYAYTSMINANCRMGNIKRALALFDECVERGLQPTDHTYGALINGLCKHGQMLAAEMLMDEMQHKGINLNQIILNMMIDGYCKKGMVDKALNFKAIMEKKGIELDVYTYNTMACGLCKCNKISEAKNLLHVMVERGVVPNTVSYTTLIDILCKEGDMVEARRLFREMGNKGANPSIITYNVMIVGHSKKGSIREAERLKKEMEMKGMLADVYTYTSLIHGLCINRKLDDAMNLLMEMKKRNVQANAVTYTALISGLSKEGRSDEAFRLYDEMLGAGITPDDPVYSSLVSSLHNAKEGEALVDRNLVHRAENSTIRSQNIG >Ma10_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30548955:30553374:1 gene:Ma10_g20340 transcript:Ma10_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPRDGIVVNEASHELNSSNVKENLSTQKSPDASPSRKTAPGEAANVPVETSNEPSIEQLYDNVCEMESSSDGSRSRHSFGSDGEESRIDSELRHLVGAEMEAIRVIEEEEDGTNVGEEIQNGSDGKKQEDTVKVHSPNVSSGSSKKSRRPMQLQLETSISVKSDTKNGRSPDKDNENEVTANVGVGLAKKINNRSKGDAKSHNGTADPSDTGLDNPDLGPFLLKHARDLIASDNPRRALRYALRAARAFEKCAGQKPNLDLVMSLHVIAAIYCKLGKFGEAVPVLQKSIEIPVLEEGQEHALAKFSGYMQLGDIHAMLGQLESSIQCYTTGLQIQKQTLGDMDPRVGETCRYLAEAHIQALQFDEAEKLCQMALDIHSEGGEAASLEETADRRLMGLICDTKGNREVALEHLVSASVAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFAYQKALVVFKTTKGANHTTIASVFVRLADLYNKIGKFKESKSYCENALRIYGKPIPGTSSEEIAAGLTDVSAIYESMNEHEQALKLLQKALKMYNSSSGQQSTIAGIEAQIGVLYYILGNYGESYASFKSAIAKFRACGEKKSAFFGIALNQMGLACVQRYALNEASELFEEAKSILEQVCGPYHSETLGVYGNLAGTYDAMGRLDEAIEILEYIVGLREEKLGTANPDVDDEKRRLAELLKEAGRVRNRKARSLETLLDTNPRVVKKDATLHEA >Ma04_p33280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32989255:32990492:-1 gene:Ma04_g33280 transcript:Ma04_t33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTNRRQKDITKLMMKDYKVEMETDDSKKFFVYLHGPKESLYEGGVWKLRVELPDEYPFKSPSIYFVNNIFHPNVDDESGELCVNVFHEDWSPMIDLLTVFENYITQFLLTPNPLNPLNEEAAELMITDHSAYEQKVKEHCQKYAKPADVGVSEDKMLSKEESDRNDEH >mito8_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000019.1:374826:375032:1 gene:mito8_g00070 transcript:mito8_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINITWPSSRGKISFFLAFKWGLPCTNADSQCSLNRARRSHITRLSNPGHFVKALDPLPPTLLVIGLA >Ma00_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5517074:5523994:-1 gene:Ma00_g01300 transcript:Ma00_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRITCARALPLSIPMATSPLFSHLKPPSPSSPLPPRVLSSSRSFPFSSLASASPSKPSSKRANPPIAALVEVGGVKIAKDDVVRESDPTNNVPDNIFSKIGLQLHRRDNHPIGILKNAIYDYFDTSYSSKFVKFDDLCPIVSVQQNFDEVLVPADHVSRSYNDTYYVNADTVLRCHTSAHQAELLRDGHSYFLVTGDVYRRDSIDSTHYPVFHQMEGVRVFSPDEWEGSGADAPSYAAMDLKKCLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQERWMEVLGCGVTEQEILSRNGRKDCVAWAFGLGLERLAMVLFDIPDIRLFWSTNQRFTSQFSEGKLGVKFKPFSKFPPCYKDISFWITDSFTENNLCEVVRGIAGDLAEEVKLIDNFTNKKGMTSHCYRIAFRSMERSLTDDEINQLQWNVREAVQNKLHVTLR >Ma09_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35124224:35129179:-1 gene:Ma09_g23210 transcript:Ma09_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPSPPSYGVVVADAAAGRLEMTEVPARENVEVRRLRTRRGTEIVAMYVKNPAASLTVLYSHGNAADLGQMFELFCELSKHLCVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLEASYGIHAEDVILYGQSVGSGPTLELATHLPNLRAIVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVQCPVLVIHGMADDVVDSSHGKQLWERCKEKYEPLWIKGGNHCNLELFPEFIRHLKKFISVIEKAPPARTASSENSVLRNPPSTSTDYLDHQRKSTDRREKPRPSTDRKDKGRNSTDRREKPRISTDKKEKSRKSFDVTDKARSCVDQQEKPRKSFDRFGGMIKSVNLCNIDCFRVPASQAEECKGRC >Ma02_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19677616:19683829:-1 gene:Ma02_g09920 transcript:Ma02_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEYRLHPSMYPPQYKEDEEMISCRIRQGKQIRGQRQQPSSGGFTGSRTGDRHTGLNTNPNAVASLRSRNVPPGSPLHTAIDHEGKWWLRLISCVITVVSDPIFPGIRLQKIVLNVCIMCNKCKICIMTIIAHFEGVVSIALDAERNTVTIVGNVDATLIVKALRKAKKTVDIVSVGEAGKDMEEKTKKKVELCTPSQSHCSSCRPVMVVYDETNWCSIL >Ma07_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25656295:25666780:1 gene:Ma07_g18490 transcript:Ma07_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MPPADEAERWKWENAAAGAVAGFATVAALHPLDVVRTRFQVTDGRASHLPLYRNTAHAIYTIARTEGFKGLYSGFYPAVFGSTISWGLYFFFYSRAKQRYLTRNNEQLSPSYHLLSAAEAGVLVSLFTNPIWLVKTRMQLQTPHQDYRPYSGLYDALRTILKEEGWKGLYRGIGPSLLLVTHGAIQFTAYEELRKLATYMKGKGGKAKITEGDTLLNSFDYAALGASSKSFAILFTYPYQVVRSRLQQRPNIDGIPKYLDSWHVVKDTARFEGLGGFYRGITSNILKNIPASSITFVVYENVLHILKLNRRQS >Ma04_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11293892:11294131:-1 gene:Ma04_g14900 transcript:Ma04_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKSSWSEEENKSFELALALYDEETPERWHNVARAVGRGRSAEEVKRHYELLVKDIHLIDSTKEPIFHYPPTRSQG >Ma02_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22465792:22467578:-1 gene:Ma02_g14360 transcript:Ma02_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLHFVSAPLISNFSTSSSPPVISAPPPALGEEEEKNKCGEAMVPILVAAVQVLAGLVGVSEGQGLVPGVIIFGDSTVDVGNNNHLLTLVKANFPPYGRDFPHHSPTGRFCNGKLVTDFVVEVLGFTTHPPAYLSKEATGNNLLNGANFASASSGYLDATASLYQAVSLTRQLRYFEQYQAKVKSIAGKATATALFADSIYVLSAGSSDFLQNYYINPLLRAIYSPDQFSGLLLQSFTTFVQELHDMGARRIGVTSLPPIGCLPAAITLFGGGDGDGDACVARLNDDAVAFNEKLDAAARALKRNHADLKLVVFDVYGPLLKLIRNPADGVVDGKGFLEARRACCGTGTIETSLLCNAASPGTCGNATGYVFWDSFHPSEAANSVLADALIIQGIDLIL >Ma02_p14360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22465764:22467571:-1 gene:Ma02_g14360 transcript:Ma02_t14360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLHFVSAPLISNFSTSSSPPVISAPPPALGEEEEKNKCGEAMVPILVAAVQVLAGLVGVSEGQGLVPGVIIFGDSTVDVGNNNHLLTLVKANFPPYGRDFPHHSPTGRFCNGKLVTDFVVEVLGFTTHPPAYLSKEATGNNLLNGANFASASSGYLDATASLYQAVSLTRQLRYFEQYQAKVKSIAGKATATALFADSIYVLSAGSSDFLQNYYINPLLRAIYSPDQFSGLLLQSFTTFVQELHDMGARRIGVTSLPPIGCLPAAITLFGGGDGDGDACVARLNDDAVAFNEKLDAAARALKRNHADLKLVVFDVYGPLLKLIRNPADGGFLEARRACCGTGTIETSLLCNAASPGTCGNATGYVFWDSFHPSEAANSVLADALIIQGIDLIL >Ma05_p28440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39449439:39450165:-1 gene:Ma05_g28440 transcript:Ma05_t28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQEVEETPLVSLNHVSFVCRSVTRSVKFYEEVLGFASIKRPSSFNFHGAWLFNYGIGIHLLQCNSEEGMPKKKAVINPKEDHISFQCSNMQALKRKLERTGVEYVTAVVEEGSVQVRQLFFHDPDGHMIEICNCEDLPVLPLSSCPVKLKLPSPMPPPPAADPSSLISSTISFNADEESPNARCAVKAEAVILENLFADTMPISF >Ma11_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9314558:9318013:1 gene:Ma11_g10060 transcript:Ma11_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDELFVETSIPEQKAVGGVLFPAVLYPSPTVDLDLGSFNAAVASQRPRLESLLRSSGAILLRGFPVRDAADFDRAVAAFGYDELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEFPTKLFFFCEVEPGSGGETPIVLSHLVYERMKAKFPEFVARLEEYGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDRSVAEERAAHLGVKLEWLEDGVKTVTGPIPAIRLDQTRGRKIWFNSMVAAYTGWEDARNDPVKAVTFGDGTPLPADIIHECLNILEEESVAIGWKKGDILLLDNLAVLHSRRSFDPPRRILASLCK >Ma09_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4794865:4804673:1 gene:Ma09_g07340 transcript:Ma09_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSRPAVVIDNGTGYTKMGFAGNVEPSFIIPTIVAVNESFSNQPKSSSKGNWLTQHTAGVVADLDFLIGEEALTCSRSSNMYSLSYPIHHGQVENWDTMERFWQQCIFDYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNIPGLYIAVQPVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSISSIPIAGKNVTQFIQQLMKERGEHIPPEDSFEVARKIKEAYCYTCSDIVKEFNRHDKEPGKYTKQWVGTKPKTGVRYSCDIGYERFLGPEIFFHPEIYNSDFTTPLPAVVDKCIQLSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKRIVDARIVTSEAQFKDVKAQPIEVNVVSHPIQRYAVWFGGSVLASTSEFYGACHTKAEYEEYGASICRTNPVFKGMY >Ma09_p08010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5258914:5262266:1 gene:Ma09_g08010 transcript:Ma09_t08010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLHPFFHLLSTLLLLASLRISNSQDEQAQALLHLKSTLIDPANFLETWKESSSPCRFLGVSCDSTSGEVTGISLPRSNLSGEISPSISLLSNLTTLLLQENSISGTVPAELANFMNLQVLNLSSNSLTGQLPDLSGLKNLKVLDVSSNKLSGSFPPWIGNLSGLVELGLAENDFDEGEIPPGIGNLKNLTWLYMANCNLSGEIPDSVSELSSLGTLDFSQNKLSGPLPKAISKLRKLFKIELYQNNLTGVIPPELSDLTELREIDVSRNQISGRIPAEVGSLKKLTVIQLYRNDFWGELPRGFGDLQFLSAFSIYENRFSGEFPANFGRFSPLNSFDISENNFSGRFPGFLCQNNNLQFLLALENKFSGAFPDSYANCKTLRRFRISQNSFSGRLPNGIWGLPFAVIIDVSDNGFTGRIPSEIGKSTSLSQLSVRNNKLSGEIPAEIGKLSQLQKLYASNNSLSGRIPSEIGSLYQLTTLHLQDNDLSGSIPSELGLCSRLVEIDLSQNTLGGRIPGTLSQLASLNSINLSRNLITGPIPDGLQSLKLSSIDFSGNKLSGRVPPGLLVIAGEEAFSGNPALCIDGRSGNRWDPELGVCRVSSKHRYVFGNRMVFTALVFSALIIFLAGLVLVSYRSLKLDESIRNKDLDQCMEDEPEWKIESFYPLELDAEEMSNLDEEHLIGSGSTGKVYRLDLRNRSTVAVKQLLKGNEARVFMAEMNILGKIRHRNILKLHACLTRGDLSLLVFEFMPNGNLYHALRREVKAGEPELDWNKRYKIAMGAAKGIMYLHHDCSPAIIHRDIKSNNILLDEDYEAKIADFGIAKIAEESDSSCFAGTHGYIAPELAYSVKVTEKTDVYSFGIVLLELLTGHGPVEPQYGEGKDIVYWVSTHLNQQNASEILDSRVSSPAEECMMKVLKVAILCTTKLPNLRPTMREVVNMLIDADPCNLAAREKNYYKNL >Ma09_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5257627:5262266:1 gene:Ma09_g08010 transcript:Ma09_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLHPFFHLLSTLLLLASLRISNSQDEQAQALLHLKSTLIDPANFLETWKESSSPCRFLGVSCDSTSGEVTGISLPRSNLSGEISPSISLLSNLTTLLLQENSISGTVPAELANFMNLQVLNLSSNSLTGQLPDLSGLKNLKVLDVSSNKLSGSFPPWIGNLSGLVELGLAENDFDEGEIPPGIGNLKNLTWLYMANCNLSGEIPDSVSELSSLGTLDFSQNKLSGPLPKAISKLRKLFKIELYQNNLTGVIPPELSDLTELREIDVSRNQISGRIPAEVGSLKKLTVIQLYRNDFWGELPRGFGDLQFLSAFSIYENRFSGEFPANFGRFSPLNSFDISENNFSGRFPGFLCQNNNLQFLLALENKFSGAFPDSYANCKTLRRFRISQNSFSGRLPNGIWGLPFAVIIDVSDNGFTGRIPSEIGKSTSLSQLSVRNNKLSGEIPAEIGKLSQLQKLYASNNSLSGRIPSEIGSLYQLTTLHLQDNDLSGSIPSELGLCSRLVEIDLSQNTLGGRIPGTLSQLASLNSINLSRNLITGPIPDGLQSLKLSSIDFSGNKLSGRVPPGLLVIAGEEAFSGNPALCIDGRSGNRWDPELGVCRVSSKHRYVFGNRMVFTALVFSALIIFLAGLVLVSYRSLKLDESIRNKDLDQCMEDEPEWKIESFYPLELDAEEMSNLDEEHLIGSGSTGKVYRLDLRNRSTVAVKQLLKGNEARVFMAEMNILGKIRHRNILKLHACLTRGDLSLLVFEFMPNGNLYHALRREVKAGEPELDWNKRYKIAMGAAKGIMYLHHDCSPAIIHRDIKSNNILLDEDYEAKIADFGIAKIAEESDSSCFAGTHGYIAPELAYSVKVTEKTDVYSFGIVLLELLTGHGPVEPQYGEGKDIVYWVSTHLNQQNASEILDSRVSSPAEECMMKVLKVAILCTTKLPNLRPTMREVVNMLIDADPCNLAAREKNYYKNL >Ma09_p08010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5258124:5262266:1 gene:Ma09_g08010 transcript:Ma09_t08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLHPFFHLLSTLLLLASLRISNSQDEQAQALLHLKSTLIDPANFLETWKESSSPCRFLGVSCDSTSGEVTGISLPRSNLSGEISPSISLLSNLTTLLLQENSISGTVPAELANFMNLQVLNLSSNSLTGQLPDLSGLKNLKVLDVSSNKLSGSFPPWIGNLSGLVELGLAENDFDEGEIPPGIGNLKNLTWLYMANCNLSGEIPDSVSELSSLGTLDFSQNKLSGPLPKAISKLRKLFKIELYQNNLTGVIPPELSDLTELREIDVSRNQISGRIPAEVGSLKKLTVIQLYRNDFWGELPRGFGDLQFLSAFSIYENRFSGEFPANFGRFSPLNSFDISENNFSGRFPGFLCQNNNLQFLLALENKFSGAFPDSYANCKTLRRFRISQNSFSGRLPNGIWGLPFAVIIDVSDNGFTGRIPSEIGKSTSLSQLSVRNNKLSGEIPAEIGKLSQLQKLYASNNSLSGRIPSEIGSLYQLTTLHLQDNDLSGSIPSELGLCSRLVEIDLSQNTLGGRIPGTLSQLASLNSINLSRNLITGPIPDGLQSLKLSSIDFSGNKLSGRVPPGLLVIAGEEAFSGNPALCIDGRSGNRWDPELGVCRVSSKHRYVFGNRMVFTALVFSALIIFLAGLVLVSYRSLKLDESIRNKDLDQCMEDEPEWKIESFYPLELDAEEMSNLDEEHLIGSGSTGKVYRLDLRNRSTVAVKQLLKGNEARVFMAEMNILGKIRHRNILKLHACLTRGDLSLLVFEFMPNGNLYHALRREVKAGEPELDWNKRYKIAMGAAKGIMYLHHDCSPAIIHRDIKSNNILLDEDYEAKIADFGIAKIAEESDSSCFAGTHGYIAPELAYSVKVTEKTDVYSFGIVLLELLTGHGPVEPQYGEGKDIVYWVSTHLNQQNASEILDSRVSSPAEECMMKVLKVAILCTTKLPNLRPTMREVVNMLIDADPCNLAAREKNYYKNL >Ma09_p08010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5258122:5262266:1 gene:Ma09_g08010 transcript:Ma09_t08010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLHPFFHLLSTLLLLASLRISNSQDEQAQALLHLKSTLIDPANFLETWKESSSPCRFLGVSCDSTSGEVTGISLPRSNLSGEISPSISLLSNLTTLLLQENSISGTVPAELANFMNLQVLNLSSNSLTGQLPDLSGLKNLKVLDVSSNKLSGSFPPWIGNLSGLVELGLAENDFDEGEIPPGIGNLKNLTWLYMANCNLSGEIPDSVSELSSLGTLDFSQNKLSGPLPKAISKLRKLFKIELYQNNLTGVIPPELSDLTELREIDVSRNQISGRIPAEVGSLKKLTVIQLYRNDFWGELPRGFGDLQFLSAFSIYENRFSGEFPANFGRFSPLNSFDISENNFSGRFPGFLCQNNNLQFLLALENKFSGAFPDSYANCKTLRRFRISQNSFSGRLPNGIWGLPFAVIIDVSDNGFTGRIPSEIGKSTSLSQLSVRNNKLSGEIPAEIGKLSQLQKLYASNNSLSGRIPSEIGSLYQLTTLHLQDNDLSGSIPSELGLCSRLVEIDLSQNTLGGRIPGTLSQLASLNSINLSRNLITGPIPDGLQSLKLSSIDFSGNKLSGRVPPGLLVIAGEEAFSGNPALCIDGRSGNRWDPELGVCRVSSKHRYVFGNRMVFTALVFSALIIFLAGLVLVSYRSLKLDESIRNKDLDQCMEDEPEWKIESFYPLELDAEEMSNLDEEHLIGSGSTGKVYRLDLRNRSTVAVKQLLKGNEARVFMAEMNILGKIRHRNILKLHACLTRGDLSLLVFEFMPNGNLYHALRREVKAGEPELDWNKRYKIAMGAAKGIMYLHHDCSPAIIHRDIKSNNILLDEDYEAKIADFGIAKIAEESDSSCFAGTHGYIAPELAYSVKVTEKTDVYSFGIVLLELLTGHGPVEPQYGEGKDIVYWVSTHLNQQNASEILDSRVSSPAEECMMKVLKVAILCTTKLPNLRPTMREVVNMLIDADPCNLAAREKNYYKNL >Ma10_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18082572:18100476:1 gene:Ma10_g06260 transcript:Ma10_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRPAHALPVRGSPPSLLGRPPPRAAIHPADEPLLVRRAPVPSSILALEDRLAAQHRQIQVLLVDNQQLAASHVALKQDLSASKHELRLAAASAAETKAAKDAEVREVYERSLKAEAEARALEGMRAELAQVRSDVQSLGAVRHELVEQLQGLKGQLSSARAEHKQADTVMAEIEIMRKEIQKGRAAIEFEKKVHADNTEQSQIMENNMVLMAREIEKLHAELANTEKKAQVAAAASANSGSGYAGTYGNPGMAYAANFAGPHNFHQVQRTVDNDPQFGSSAVPHGQYDIQQTYARR >Ma05_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6638868:6641116:1 gene:Ma05_g09040 transcript:Ma05_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLDSSLIFFIFYSFPSVILLNPWLKYNIRQRLIRDNSYLIYSIFFLLMCKISNISIGLGSIGELGDHDWLLNVGLKVEIWLVTILPNRIIKPLAEHSEYPIELIAFSNDRKFLGSISHDQMFKVRSSHSI >Ma04_p39710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36739973:36751575:-1 gene:Ma04_g39710 transcript:Ma04_t39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSKIQSGHAMASAAVAEIDGRPVLQPASNTSNRIATPEAGRPLKKTFHKSISLPTSFTKHAARSDVVDPGTAILPKLSPPVSPKLKPAAKAAPKRSNDPNGLNTSTDKPSAKSRPAMMTRSKSSVGDDRGSPVTARKRQSQILDRWAARQAREMVTTIERQAHEAEISALTTVAQPVSARAASLLREASPEPSETCSGSAPASTSGAGDLPRSVRASSLIQMWRELEAEAGLTPKHRPASSGGNAENANAASTDEPSGVNSDGIENANAAPTDEPSAVNSDGVEDSDASGDWESDMTTTATSEPVNPPSSSNENDKGRVGSIVKMLSSGDRTRSSMAPLNHDTKPMRRENPVTTDKADSFCSTGSSSLRLRGLRGRREMEDLVARMEEERRKELAALADHQCVSRFAHRGRLQSMLRLRSLRRQVTEQDHLRAPARTLELDQSHNGATISFLRERFNQRGNHSGSRKPTPESSSSVQIQFAMDTEDSGYTCSTDQFTRDNNQYQGTVSPRDSESTPSRTNSPCSRSNDTQEASHSIDGSWDEQNVWMSNIDWQRPADSSLTNGWQGEATVEELESYPQQNASSWIDEGPSNSWRQWRVRRRPPCHDLFQNFSDNAEIRDLLERRRVSTSLESDFCDKMNQMLLSFLQRQGKQGFDENFAENDEDLPCWQQNGEYQNTEQEASVSSSLIPLQYHTLHHQESWQHNSFAHQSSNNVPDMEAMHKLRSDMAQIHDEISELRKLVKSCMEWQAKLQHSIKQDILDAIFQSTGSGSSLHNLGATSARKGGCYICSEMQVDSVFYRCGHMCTCYKCACELQWNSGKCPICGSPIMDVVRAFPNS >Ma01_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9848437:9852290:-1 gene:Ma01_g13480 transcript:Ma01_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFFNELRVAPEEHPILLTEAPLNPKANREKMTQIMFESFDVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELEAARTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMESAGIHETTYNSIMKCDVDIRRDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYEESGPAIVHRKCF >Ma05_p19170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26686391:26707855:-1 gene:Ma05_g19170 transcript:Ma05_t19170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MSVMRSASFPPRWLASGPGAPIAPSVRACKSMERSKSHKVYKQLGLYSLKKKIEDAVHRAEMMAPNALEIEEARRIKQEEVLRGHSLWDDLAKSDQHFTALADAIRLINDLKDLRYKAEEAKLIMELAEMDVISHELFKQAYKATLDVNSFLEQYELSKFLSGPYDSEGACLTIKAGPEGIASEVWAEKLLQMYTRWAKKHGWTGKIIERYSSKGAGTRIVTVEFESEYMYGYLSGERGVHRKICNSVDGSAVPRLYSASVDVIPLFLGGSINMPIDDNDLEISFFSAHKQFPFENGTEHAVSIHHIPSGVTAQSSGERSSFANMVKALNRLKGKLLVLENEQGTSDVNKVERGAVQNKLNDETRRYIFHPQKMVQDVKTGIQLPDLNSILNGNIEPLIRTHISLKRGREMVATDML >Ma05_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26686798:26707855:-1 gene:Ma05_g19170 transcript:Ma05_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MSVMRSASFPPRWLASGPGAPIAPSVRACKSMERSKSHKVYKQLGLYSLKKKIEDAVHRAEMMAPNALEIEEARRIKQEEVLRGHSLWDDLAKSDQHFTALADAIRLINDLKDLRYKAEEAKLIMELAEMDVISHELFKQAYKATLDVNSFLEQYELSKFLSGPYDSEGACLTIKAGPEGIASEVWAEKLLQMYTRWAKKHGWTGKIIERYSSKGAGTRIVTVEFESEYMYGYLSGERGVHRKICNSVDGSAVPRLYSASVDVIPLFLGGSINMPIDDNDLEISFFSAHKQFPFENGTEHAVSIHHIPSGVTAQSSGTSSSL >Ma09_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15809729:15811317:-1 gene:Ma09_g18130 transcript:Ma09_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHQDKVFVDAWYYLKDSVLEGVVPLNSAYGMPVFDYIGTDPRFNKAFNAGMRGLFCVIVNNLLRVYGGFDDVEILVDVGGNDGAILQMITSRHTHIKGINYDLPHVISGTKPLPGVKHVHGNMFKTVPSGDAVFLKWILHDWSDEHCMKILKNCWKALPQHGKIIVVEHVLPAVPEPTANAQAVFQLDLAMMVYCVGGKERTEKEFKALATEAGF >Ma11_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26065596:26071398:-1 gene:Ma11_g22220 transcript:Ma11_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNHFLHGSFEHSFAIFRPFSIAHHVRSQFSTFTASSRYSKLHGKGIDKALKVLDLVTPRTSKVESKSCHYHLIQGCMHDLLEVDQRNCLKFVKKSTSSKLCNHAKVMSEKSHHCKSLLCSQISKSVKEDALLLFLELHKRGISLDASTFSVVISSCVSTGTFGTGLQLHALSVKNGCDVSIPGGSSLISLYSKLGQLGNAYHVFDMMPTRNVVPWTAIIAGFAQSWEVDTCSRLFYLMRQALIEPNDITFASVLSSCTSSAYLGFGRSIHSLEFKMGFDLYTHVSNALISMYAKSGSIEEAHCVFKNIPCRDLISWNSMISGFSQHGLAKNAMNLLNQMDTQNVTPDAISYLCVLSSCRHVGLVEQGQRCFDSMLKRGLNPELDHYSCIIDLLGRAGLLEEALDVIKKMPIHPNAVIWGSLLSSCRVHGNVWIGIHAAENRLLLEPGCAATYVQLANLYASVGYWNHVAKVRKLMKERGLRTSPGYSWIEIGNKVYNFKAEDRSNDKMNEILTILDSLACHMELSKYTAAANIGLQYEEIPP >Ma11_p22220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26065596:26071398:-1 gene:Ma11_g22220 transcript:Ma11_t22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNHFLHGSFEHSFAIFRPFSIAHHVRSQFSTFTASSRYSKLHGKGIDKALKVLDLVTPRTSKVESKSCHYHLIQGCMHDLLEVDQRNCLKFVKKSTSSKLCNHAKVMSEKSHHCKSLLCSQISKSVKEDALLLFLELHKRGISLDASTFSVVISSCVSTGTFGTGLQLHALSVKNGCDVSIPGGSSLISLYSKLGQLGNAYHVFDMMPTRNVVPWTAIIAGFAQSWEVDTCSRLFYLMRQALIEPNDITFASVLSSCTSSAYLGFGRSIHSLEFKMGFDLYTHVSNALISMYAKSGSIEEAHCVFKNIPCRDLISWNSMISGFSQHGLAKNAMNLLNQMDTQNVTPDAISYLCVLSSCRHVGLVEQGQRCFDSMLKRGLNPELDHYSCIIDLLGRAGLLEEALDVIKKMPIHPNAVIWGSLLSSCRVHGNVWIGIHAAENRLLLEPGCAATYVQLANLYASVGYWNHVAKVRKLMKERGLRTSPGYSWIEIGNKVYNFKAEDRSNDKMNEILTILDSLACHMELSKYTAAANIGLQYEEIPP >Ma11_p22220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26065596:26071398:-1 gene:Ma11_g22220 transcript:Ma11_t22220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYNHFLHGSFEHSFAIFRPFSIAHHVRSQFSTFTASSRYSKLHGKGIDKALKVLDLVTPRTSKVESKSCHYHLIQGCMHDLLEVDQRNCLKFVKKSTSSKLCNHAKVMSEKSHHCKSLLCSQISKSVKEDALLLFLELHKRGISLDASTFSVVISSCVSTGTFGTGLQLHALSVKNGCDVSIPGGSSLISLYSKLGQLGNAYHVFDMMPTRNVVPWTAIIAGFAQSWEVDTCSRLFYLMRQALIEPNDITFASVLSSCTSSAYLGFGRSIHSLEFKMGFDLYTHVSNALISMYAKSGSIEEAHCVFKNIPCRDLISWNSMISGFSQHGLAKNAMNLLNQMDTQNVTPDAISYLCVLSSCRHVGLVEQGQRCFDSMLKRGLNPELDHYSCIIDLLGRAGLLEEALDVIKKMPIHPNAVIWGSLLSSCRVHGNVWIGIHAAENRLLLEPGCAATYVQLANLYASVGYWNHVAKVRKLMKERGLRTSPGYSWIEIGNKVYNFKAEDRSNDKMNEILTILDSLACHMELSKYTAAANIGLQYEEIPP >Ma10_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30388651:30391303:1 gene:Ma10_g20100 transcript:Ma10_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLKMFRNAGQSQRFRFVVLVIGCFLVSMTFIVVSRPLVFPSLSSRLRPKKSDVEPKKAADSSPYSAPFMREDEQTTQQSEKNAEENLQVKNNGAVADPIEEDKTDIDEVLESTSETEEDRTKNDGSSDRDEPHNRMTLPTVSNYTINDNVQVENKTAPDQPVQEIKDVEKKLSCEFSDPRVDICEMSGDIRIPGNSSDVIFVESPGRNEVFRFRPYARKGDEAASSRVRELAVKYSTAAPECTVNHDVPAIVFSAGGYTGNLFHDFTDVLIPLFLTSRPFDGEVQFVVSDMNPWWIYKYLLVFKQLSKYPVIDFDKDKGVHCFSRVIVGLRAHKELSIDPARAPNGYSMVDFGKFIRNTFSLERETMSNIEDLAGKRPRILIIARKQSRAFVNLSEITRLAEQLGFEAVINDGEAGGDVAQLARLVNSVDVMVGVHGSGLTSLVFLPPNATIIQVVPWGGLEWISTLDFGDPAKDMGLNYVQYSISIKESTLRKQYPKNHPVFKDPLSFHKQGADVVRSTFMDKQNVKLDTKKFKNVLWKALEHIIQ >Ma05_p17140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19373194:19392423:-1 gene:Ma05_g17140 transcript:Ma05_t17140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIEDQDLERKLKKEQKAKLKEEKKLKAAQKAEAAKLQAQRSSDGAKKSERKQRKRDVEEENPEDFMDPLTPVGEKKQLSRQMAKQYNPSAVEKSWYSWWEASDFFTADASSPKPSFVIVLPPPNVTGALHIGHGLTAAIQDTIIRWKRMSGYNVLWVPGMDHAGIATQVVVEKKLMQERKLTRHDLGREGFVSEVWRWKNKYGGTILNQERRLGASLDWSRECFTMDEARSKAVTEAFVRLYKEGLIYRDHRLVNWDCTLRTAISDIEVDHEDIKEETFRKVPGYDHDVQFGVLTSFAYPLEGGLGEIVVATTRVETMLGDTAIAVHSKDKRYAHLHGRFAVHPFNGRKLPIICDDMLVDPEFGTGAVKITPAHDPNDFEVGKRHNLDFINIFTDEGKININGGADFEGMPRFAARVAVIEALQAKGLYRGTQKNEMKLGICSRSNDVVEPMIKPQWFVDCKSMAKLSLDAVLSRDNKKIEIIPHQYEQEWKRWLENIRDWCISRQLWWGHRIPAWYVTLEDDQLKEMGSYNDHWVVGRNEQEAVLEAQKIFPGKNFEIAQDPDVLDTWFSSGLFPLSVLGWPEVTPDFKAFYPTSLLETGHDILFFWVARMVMLGMKLGGDVPFRKVYLHPMIRDAHGQKMSKSKGNVIDPLEVINGISLEGLHKRLEASNLDRNELERAKMDQIKDFPNGIPECGADALRFALVSYTAQSDKINLDVLRVVGYRQWCNKLWNAIRFAMTKLGDNYAPPKMLVVESMPSICQWILSVLNKAVAKTVSSFESCKFSEATTAVYSWWQFQLCDVFIEAIKPYFMGSSQFESARKDARDTLWVCLDTGLRLLHPLMPFVTEELWQRLPQAEGTCRKESIMISEYPSVVEAWTNERIESDMEIVNGAVRKLRSLRPQSDKSERYPAFALCRGHDVSNIIKAYELEILTLATVSSLKILTEDDSVPAGCAVDVVNENLSVYLQLQGTLNAEAEWEKLRKRREETQKKHDLLAQEMNSLGYKEKAPLTIQEDQMKKLNSYLDELRLIDEAEQDLKRRI >Ma05_p17140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19373194:19391930:-1 gene:Ma05_g17140 transcript:Ma05_t17140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIEDQDLERKLKKEQKAKLKEEKKLKAAQKAEAAKLQAQRSSDGAKKSERKQRKRDVEEENPEDFMDPLTPVGEKKQLSRQMAKQYNPSAVEKSWYSWWEASDFFTADASSPKPSFVIVLPPPNVTGALHIGHGLTAAIQDTIIRWKRMSGYNVLWVPGMDHAGIATQVVVEKKLMQERKLTRHDLGREGFVSEVWRWKNKYGGTILNQERRLGASLDWSRECFTMDEARSKAVTEAFVRLYKEGLIYRDHRLVNWDCTLRTAISDIEVDHEDIKEETFRKVPGYDHDVQFGVLTSFAYPLEGGLGEIVVATTRVETMLGDTAIAVHSKDKRYAHLHGRFAVHPFNGRKLPIICDDMLVDPEFGTGAVKITPAHDPNDFEVGKRHNLDFINIFTDEGKININGGADFEGMPRFAARVAVIEALQAKGLYRGTQKNEMKLGICSRSNDVVEPMIKPQWFVDCKSMAKLSLDAVLSRDNKKIEIIPHQYEQEWKRWLENIRDWCISRQLWWGHRIPAWYVTLEDDQLKEMGSYNDHWVVGRNEQEAVLEAQKIFPGKNFEIAQDPDVLDTWFSSGLFPLSVLGWPEVTPDFKAFYPTSLLETGHDILFFWVARMVMLGMKLGGDVPFRKVYLHPMIRDAHGQKMSKSKGNVIDPLEVINGISLEGLHKRLEASNLDRNELERAKMDQIKDFPNGIPECGADALRFALVSYTAQSDKINLDVLRVVGYRQWCNKLWNAIRFAMTKLGDNYAPPKMLVVESMPSICQWILSVLNKAVAKTVSSFESCKFSEATTAVYSWWQFQLCDVFIEAIKPYFMGSSQFESARKDARDTLWVCLDTGLRLLHPLMPFVTEELWQRLPQAEGTCRKESIMISEYPSVVEAWTNERIESDMEIVNGAVRKLRSLRPQSDKSERYPAFALCRGHDVSNIIKAYELEILTLATVSSLKILTEDDSVPAGCAVDVVNENLSVYLQLQGTLNAEAEWEKLRKRREETQKKHDLLAQEMNSLGYKEKAPLTIQEDQMKKLNSYLDELRLIDEAEQDLKRRI >Ma05_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19373194:19391930:-1 gene:Ma05_g17140 transcript:Ma05_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIEDQDLERKLKKEQKAKLKEEKKLKAAQKAEAAKLQAQRSSDGAKKSERKQRKRDVEEENPEDFMDPLTPVGEKKQLSRQMAKQYNPSAVEKSWYSWWEASDFFTADASSPKPSFVIVLPPPNVTGALHIGHGLTAAIQDTIIRWKRMSGYNVLWVPGMDHAGIATQVVVEKKLMQERKLTRHDLGREGFVSEVWRWKNKYGGTILNQERRLGASLDWSRECFTMDEARSKAVTEAFVRLYKEGLIYRDHRLVNWDCTLRTAISDIEVDHEDIKEETFRKVPGYDHDVQFGVLTSFAYPLEGGLGEIVVATTRVETMLGDTAIAVHSKDKRYAHLHGRFAVHPFNGRKLPIICDDMLVDPEFGTGAVKITPAHDPNDFEVGKRHNLDFINIFTDEGKININGGADFEGMPRFAARVAVIEALQAKGLYRGTQKNEMKLGICSRSNDVVEPMIKPQWFVDCKSMAKLSLDAVLSRDNKKIEIIPHQYEQEWKRWLENIRDWCISRQLWWGHRIPAWYVTLEDDQLKEMGSYNDHWVVGRNEQEAVLEAQKIFPGKNFEIAQDPDVLDTWFSSGLFPLSVLGWPEVTPDFKAFYPTSLLETGHDILFFWVARMVMLGMKLGGDVPFRKVYLHPMIRDAHGQKMSKSKGNVIDPLEVINGISLEGLHKRLEASNLDRNELERAKMDQIKDFPNGIPECGADALRFALVSYTAQSDKINLDVLRVVGYRQWCNKLWNAIRFAMTKLGDNYAPPKMLVVESMPSICQWILSVLNKAVAKTVSSFESCKFSEATTAVYSWWQFQLCDVFIEAIKPYFMGSSQFESARKDARDTLWVCLDTGLRLLHPLMPFVTEELWQRLPQAEGTCRKESIMISEYPSVVEAWTNERIESDMEIVNGAVRKLRSLRPQSDKSERYPAFALCRGHDVSNIIKAYELEILTLATVSSLKILTEDDSVPAGCAVDVVNENLSVYLQLQGTLNAEAEWEKLRKRREETQKKHDLLAQEMNSLGYKEKAPLTIQEDQMKKLNSYLDELRLIDEAEQDLKRRI >Ma04_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5352766:5356629:-1 gene:Ma04_g07390 transcript:Ma04_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSQAPNGALSARRCPSSSSSAVSSSLSPFSKTDPSLFSKSRPRFPSRNPRRPRPLSLSAPAVDPGRSARPLSSPPAAVQEDEIVSVGDDGVPLEGVIQFEKPGGSSKLLTWAQVGLLAGGDVLCLLIFSAVGRFSHGLPVFDFETLRTADPFIAGWVLSAYFLGGYGDDGRGVNGSMKAVLAAVKSWAIGIPLGLVIRSATSGHVPPTPFMLITMGSTGILLIAWRALVCNLLPSNRNKQNDVYKRGSAFELFELLTSLVRRW >Ma08_p19100.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32905525:32913588:1 gene:Ma08_g19100 transcript:Ma08_t19100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHIGKIICRFLIFAIWFWGSQHVVSQKTEFEPKPNVPDKFVLSDPPIGLFDPIEISPAVVPRNPYPVEPLSPMYPSFPSTYEPVLTGRCPVNFSSLSDIFDKTASDCSAPLAALVGNVICCPQVNSLMHIFQGVYSSESDMLVLQQATANYCFSDLINILASRGANSTIPTLCPVKSSNLTGGSCPVKDLVTFEKIVNTSKLLDSCSTVDPLKECCRPICQPAIVEAAFQISLRGASMLDSSRIPGSTAGITVVNDCKGVVYTWLSRKLSSEAANTAYRILSSCKVNKVCPLEFEEPSSVIKACHGMAPSSLSCCSALNTYVASIQKQMLITNRQAINCATLFGSMLEKGGVTANIYELCKVDLKDFSLQSYGQQGCLLRSLPADIIFDNVTGFSFTCDLSDNIAAPWPSSSSLSSLSLCAPEMSLPALPIPQASVSSGSYNIARTIISAVYVISSILL >Ma08_p19100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32905525:32913588:1 gene:Ma08_g19100 transcript:Ma08_t19100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHIGKIICRFLIFAIWFWGSQHVVSQKTEFEPKPNVPDKFVLSDPPIGLFDPIEISPAVVPRNPYPVEPLSPMYPSFPSTYEPVLTGRCPVNFSSLSDIFDKTASDCSAPLAALVGNVICCPQVNSLMHIFQGVYSSESDMLVLQQATANYCFSDLINILASRGANSTIPTLCPVKSSNLTGGSCPVKDLVTFEKIVNTSKLLDSCSTVDPLKECCRPICQPAIVEAAFQISLRGASMLDSSRIPGSTAGITVVNDCKGVVYTWLSRKLSSEAANTAYRILSSCKVNKVCPLEFEEPSSVIKACHGMAPSSLSCCSALNTYVASIQKQMLITNRQAINCATLFGSMLEKGGVTANIYELCKVDLKDFSLQSYGQQGCLLRSLPADIIFDNVTGFSFTCDLSDNIAAPWPSSSSLSSLSLCAPEMSLPALPIPQASVSSGSYNIARTIISAVYVISSILL >Ma08_p19100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32905525:32913588:1 gene:Ma08_g19100 transcript:Ma08_t19100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHIGKIICRFLIFAIWFWGSQHVVSQKTEFEPKPNVPDKFVLSDPPIGLFDPIEISPAVVPRNPYPVEPLSPMYPSFPSTYEPVLTGRCPVNFSSLSDIFDKTASDCSAPLAALVGNVICCPQVNSLMHIFQGVYSSESDMLVLQQATANYCFSDLINILASRGANSTIPTLCPVKSSNLTGGSCPVKDLVTFEKIVNTSKLLDSCSTVDPLKECCRPICQPAIVEAAFQISLRGASMLDSSRIPGSTAGITVVNDCKGVVYTWLSRKLSSEAANTAYRILSSCKVNKVCPLEFEEPSSVIKACHGMAPSSLSCCSALNTYVASIQKQMLITNRQAINCATLFGSMLEKGGVTANIYELCKVDLKDFSLQSYGQQGCLLRSLPADIIFDNVTGFSFTCDLSDNIAAPWPSSSSLSSLSLCAPEMSLPALPIPQASVSSGSYNIARTIISAVYVISSILL >Ma08_p19100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32905525:32913588:1 gene:Ma08_g19100 transcript:Ma08_t19100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHIGKIICRFLIFAIWFWGSQHVVSQKTEFEPKPNVPDKFVLSDPPIGLFDPIEISPAVVPRNPYPVEPLSPMYPSFPSTYEPVLTGRCPVNFSSLSDIFDKTASDCSAPLAALVGNVICCPQVNSLMHIFQGVYSSESDMLVLQQATANYCFSDLINILASRGANSTIPTLCPVKSSNLTGGSCPVKDLVTFEKIVNTSKLLDSCSTVDPLKECCRPICQPAIVEAAFQISLRGASMLDSSRIPGSTAGITVVNDCKGVVYTWLSRKLSSEAANTAYRILSSCKVNKVCPLEFEEPSSVIKACHGMAPSSLSCCSALNTYVASIQKQMLITNRQAINCATLFGSMLEKGGVTANIYELCKVDLKDFSLQSYGQQGCLLRSLPADIIFDNVTGFSFTCDLSDNIAAPWPSSSSLSSLSLCAPEMSLPALPIPQASVSSGSYNIARTIISAVYVISSILL >Ma08_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32905525:32913588:1 gene:Ma08_g19100 transcript:Ma08_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHIGKIICRFLIFAIWFWGSQHVVSQKTEFEPKPNVPDKFVLSDPPIGLFDPIEISPAVVPRNPYPVEPLSPMYPSFPSTYEPVLTGRCPVNFSSLSDIFDKTASDCSAPLAALVGNVICCPQVNSLMHIFQGVYSSESDMLVLQQATANYCFSDLINILASRGANSTIPTLCPVKSSNLTGGSCPVKDLVTFEKIVNTSKLLDSCSTVDPLKECCRPICQPAIVEAAFQISLRGASMLDSSRIPGSTAGITVVNDCKGVVYTWLSRKLSSEAANTAYRILSSCKVNKVCPLEFEEPSSVIKACHGMAPSSLSCCSALNTYVASIQKQMLITNRQAINCATLFGSMLEKGGVTANIYELCKVDLKDFSLQSYGQQGCLLRSLPADIIFDNVTGFSFTCDLSDNIAAPWPSSSSLSSLSLCAPEMSLPALPIPQASVSSGSYNIARTIISAVYVISSILL >Ma11_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10725067:10725165:-1 gene:Ma11_g10800 transcript:Ma11_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTITSYFGFLLAALTITSALFIGLNKIRLQK >Ma11_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6553360:6559735:1 gene:Ma11_g08220 transcript:Ma11_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDAAAVTDGVDKRGAMASSADVMGAASPPLLRSPIDQVTMWQMRMREIESVESGPFPERPREPDCTYYLRTGLCRFGITCRYNHPPNRQMAIAAARIKGGYPERVGQPECEFYLRTGTCKFGATCKFHHPRDKAGIAGRVQLNVLGYPLRPDEAECAYYMKNGECKFGNTCKFHHPQPNTMVSLCGSTVYPGVHSPTSGQQSYTGGLTNLSLSRASFIASPRWQGLSSYAQVIFPQGLVQVPSWNTFSGQLRSVSSSESQLHMPQTTPFYGTSRQSETTTGVQGMIHSYRSGAIPLGQYVLARDNVFPVRPGQPECEFYLKTGDCKYGAACKFHHPRERLIPIPDCVLSPLGLPLRPEEPICIFYSRYGICKFGTHCKFDHPMAAPMGIYAYSLATSSLADVAVARNLLATSSGPPSFQAPLEVATGKSRRLLFSDSLQIASGDERIKSEGSQATSSTGKLSSF >Ma11_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25491449:25492548:-1 gene:Ma11_g21280 transcript:Ma11_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT4G01630) UniProtKB/TrEMBL;Acc:A0A178UWZ2] MEKAALLLLFLAWFASEFRALGDDWIPASATFYGGGDASGTMGGACGYQNLYTDGYGIKNTALSTALFNNGAACGACFQIVCDSRKSTWCKKGTHITVTATNYCPPNYDLPSDNGGWCNPPRQHFDMSQPAWETIAVYRGGIVPVYYRRVKCQRSGGIRFTINGKNYFELVLIANVGGSGVVSGAWIKGSDTQWMAMSRNWGMNWQSNAYLTGQSLSFRVQTSDGKVKTAYDVAPATWKFGDTYASSIRF >Ma10_p30140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36500246:36501734:-1 gene:Ma10_g30140 transcript:Ma10_t30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKLFQHHYLQQHQQQQHQKSLSDEVDSSKSSGENKKVKFDEQRERTGGDGSTIEVVKRPRGRPPGSKNKPKVPVVITREEEPSAVMRPHVLEIPAGHDVADSLARFSQRRNLGICVLSGIGAVANVTLRQPHLGLVPQPAGAPATIVFRGRFEILSITGTFLTPAMAEVSPAAASSLSISLAGPQAQIVGGTVAGPLVAAGTVVVVAAAFSNPTFHRLPAEDDVSASVSITGGGGGGGDMEDQDQHMYNQQQKQQAHRQRRHQVLATTASAAMAVGSSGMSVYSGGHVPSDVIWAPTARPPPPPPY >Ma05_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4564798:4568127:1 gene:Ma05_g06110 transcript:Ma05_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRHECFRSAWVLWPSSFMLLLLMLSSSAGSSASQFATPTAESTTDRLSLRSFKTLLSDPSGALTSWDNASLHFCRWRGVTCRTHGGEPRVTGLNLESLQLEGKLSPSLANLTLLRRLCLGSNSLEGSIPQELGFLSHLRTLNLSNNQLGGMIPNSLFQNCSRLQIFSLSHNNMNGTIPRNLSNCSELQVIGLDQNKLRGDIPTDLGSLPKLRELVMWNNLLQGGIPPEIGNLASLTGLYLGGNQLTGAIPAAVGNLSSLAHLDLSNSTLVGDIPAAIWNLTSLRQLVLWSNKLTGAIPSDIGNLVSLTTLFLHYNQLTGTIPSEIGNLVNLTVCVLGGNHLVGTIPRSLGSLHSLDALILGSNGLQARDAAEWSFLDSLANCTHLRILDISKNDLSGMLPKSIANLSRSLEELDIGGNQIAGSIAEEIGNLISLTKITMWYNLLGGAIPATLGRPSGLGRVNLGGNHLVGEIPATLGNLTRLNILVLATNELHGSIPPSLGKCPLNILNLDSNRLNGTVPVQIFDIPSLTKLNISRNLLTGVLPSEIGNLRNTQSIDASDNRLSGRLPSGIAGCQVLEILCIGGNYFQGPIPSSFSQLKGLRVLDISSNDLSGHIPDFLGSFNMTYLNLSYNDLDGEVPKDGIFANASAFSVVGNRKLCGGIPELRLPSCPSEEKSSSAKLIAIVSVVGGILCVTFLISVLVACYRLRKSSRLSSVTSRIEEQHRRVSFAELLRATNEFSPANLIGKGSFGSSYRGIMDWEDHKEVAVKVLNLQQTGAVRSFMAECEALRNVRHRNLVKILTSCSGVDFGGNDFKALVFEFLPSGSLDEWLHPPERDERGSSRVLSLGQRLNISIDVASALDYLHRHVPTPIVHCDLKPSNVLLDDDMVAHVGDFGLARFVGKSSQRSTNSVTLKGSIGYAAPEYGMGHKVSVQGDVYSYGMLLLEMFTAKRPTDDGFKEGLNLHRYVERALPKHVVEIIDPNLSLGGGEGEACRSSPSANESSMRAVECIASVLRVGVSCSKGSPKERMQMEDVIRELHDIKDAFLGSTLLRA >Ma02_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15236704:15238133:1 gene:Ma02_g03780 transcript:Ma02_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSSCYKPKLRKGLWSPEEDEKLLNHITRFGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIVDLHAVLGNRWSQIASQLPGRTDNEIKNFWNSSLKKKLQQSGVDPSTHKPLDQADKASGSSESKLFISDGSHTGSFRHSPYSSNCGGEEKASDGPLWFEQNCRLLNVIPELSSLQLKPMLHLPHDTLLTPSQFLEAGNPSNSSASSVNGSIGVELQSSILPWPELLPHNLEEEPGDLKWSEYLNGAAITSAEIRCSYGVGKGGEELDPWHQDQQLLHPSLMHGKNFQIQ >Ma05_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33328651:33331920:-1 gene:Ma05_g21640 transcript:Ma05_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALFCTIVDILLLLLALCSPCKFIQSPMDFRPLSFLQSTNTSSLDFGRIKFNSPAAVLRPQSPKEISLLLSFLSASSFSKVTVAARGAGHSIHGQAQALDGVVIEMDSLPSNISIHKGTEFSPSYADVSGGALWIELLEEGLKFGLAPRSWTDYLYLSIGGTLSNGGISGQTFKYGPQISNVLQLDVVTGKGDQVACSPTENSELFYAVLGGLGQFGIITRARILLQDAPQKVKWVRAFYDDFNTFIDDQELLVAMPNVVDYVEGFMLLNEQSLQSSSLAFPSHLEFNREFYSKSSHNVYFCIEFAIHDYQAKSTNVEQVVTEISRKMSYIPSHFYSVEVSYFDFLNRVRGEEVHLRSRGLWEVPHPWLNMFVPKSGIKDFKDLLLNNISPSDFEGLVLMYPILRDKWDTNSSAVLPDAGEAGEEVVYTVGVLRSANPATCSAQCLNDILLCHRRVAQAASSPRIGAKQYLPHHLSQSHWQHHFGRRWDQFMARKAQFDPRHILGQGIILPGTKAPRP >Ma06_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21763562:21773332:-1 gene:Ma06_g23650 transcript:Ma06_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMTKKTMEQREKTTCRLQSLEKLYSEDKYPKQNKMEEYASSLNLTYHQIHGWFAERRRKDRRKNEALHSSIKSFPSSSSNAFKHTHGKCLNLSGHRRTAGTSRCAIKKMNQLVRQKCRYKDLEQLMKSHSGGRSNYAEKNHIFHLQILFPEDHILKKIFCKHGPVLGIEIDPPGDAFGHSTEFEKLQSCHDNQRIPRRSKVSQNFKTLPEVNFHARKYGMGKGLMTVWHATRPNGQKRSTVMSCTDRSSWMNVRSHVSHKATSSYVLKRLQQREPCMVNGLCLFLKIQVPSKQDAIQQEPHLRDFNLSLDESFEQSSEPRTLVDDEELELEELQEGPNPLRCSTHLASNGRHGCSLCKDLLARFPPQTVKMKQLFCTRPWDSSPGLVKQLFKVTDFCPDMRTFTLDEFAQAFHDKDSLLLGIHVALLKLLMLNVEKETTAGFITPSSKICRFLVFLDFVKQDFEVDHWKQSLGPLTWTEILWQVLVAAGFGSKQKAIQRGNYNEERNPMEKYGLHPPTLKGDIFCLLSKQGNRGLKVSELARASLHHPLHIVFELIRRLKEKVILNQIQRIQEVLVMTLRTQAHDSEELDSSIQDLRIVMYKGQHKKMSKKLTVYTEIDESYSGEAWMQGLVEGEYSDLSIEEKLDALVALIDLVALLSSFKLCSQIPVVFIKWRTFFLAVTYFCLSYQFSYSLFQVDFHDKTDCVLSLITNAWYHESGAKIKKSLTNYQVLPMSSLEGNGSRRGCRSSSSETNNCKDREACNDVHPLQSIFLGSDRRYNNYWLFLGPCSAHDPGHRRVHFESSEDGHWQIIDTAQALHALLSILDSRGMRGLVASLEKRKSFLCQPMDEYMAAAIGSRQTNNFEPSYTTAGSGDGSSPTSDVHSVLITSESLDNLSVVSGAIDLEIERSSEEKKQKWARLQAFDKWDWNSVYSTLNAVRYSKRSYMESLSHCVSCHDLFWRDEKYCEFCHTTFEIDFDLEERYAIHIATCGEREDVKRLRRASSLPELLQVLTDLVGAINEHWLYECPTMGWL >Ma09_p28420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39193805:39194749:-1 gene:Ma09_g28420 transcript:Ma09_t28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYTQEHVYHHQWDRVTTAAWRKFTDPDTPAVLSHIVDVHTLDRRLDPDSGSLQAVRSITVRSPPLPFLLRRILGQDAVVCHCVESTVVDARGRSMEIVVRNASLRGLLEVEERSTYRPHPDRPEGWTAFRQETSIRCKPFSALAAVAEKVEQRCAERFQQNSVKGREVVERICNYLEAETASAAAAISC >Ma07_p28720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34659446:34660774:-1 gene:Ma07_g28720 transcript:Ma07_t28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASRLLRTTRALRSDRLPSSSSCSRLLPPRFAWRGGEKERLAGCQGRKKVAAASDATVVLPDTKQKPSSAEGMEIAHLSTLITELADAARRLLDPSKRAVWVKNWRTVAEILIEKVHLTHPLPFLRHQTHACLPQGVTGCRSFTLIAVAGSLIGSILCFVEGCFFVLESYCQYFQPGMDQGGIIRSLVEAIDMFLVGTALLTFGISLYVMFASSEEMKQKRGWQTAKSCFGSFNLKKLADSMEMQSMSHAKSRLGHAVLLILQTGVVDKFKNVRLASGMDLACFAAAVFVSSACVFLLSKLSMQHSKTEQDISCHVSDAGKGMIRRT >Ma05_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20064606:20067173:-1 gene:Ma05_g17370 transcript:Ma05_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRIISQQLLRKRHHIQSCIRECLHYNPCFSAVTTTPRVLAVTDDPVNATLAPDSLKEKGKDVVTFLSWATEPEMEERRLMGFKWIFVLSLLLLQVACYRRLNGSVLKSRKMALNCVS >Ma10_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16931103:16933078:-1 gene:Ma10_g05590 transcript:Ma10_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDDHYRQAQRATYDCLLFDLDDTLYPLSSGIATECRKNIGDYMHEKLGIEESKISELCDVLYKNYGTTMAGLRAVGYDFDYDDYHSFVHGRLPYNKLKPDPVLRQLLLSLPMRKVVFTNGDEVHAAEVLKRLGLEDCFEGVICFETLNPPSSSCGTQTPSEIFDIVDHFSKPDTSGIELPKTPVLCKPSVEAMEHALRLANIDPQRSVFFDDSARNIRSGKRIGLQTVLVGTSHRVKGADHALESIHNIREALPELWEKAEKSGSIRHSGKVAMETSVTA >Ma11_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20211252:20216314:1 gene:Ma11_g14530 transcript:Ma11_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] MAGFFFLFGKRSFSNPPRFLLFSKIPILCSSTSSLVSSSQTLARSPIRSGFNNVVVGGIGGFPRVSVPRRFSSHPAAVPDLKTVDGLTVDAIAAKDWPILGESESDWRSHAAAIAQSIQLIKKRMQWRKLIVRLDQVSAVLNKPDLWDDPVSAGRISREHGELLSKMKEVNGYEQELLEHIDLMKLAREENDEELEWESMKALVNMRRTAKEKELEALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYKSWAQHHGFVVTIVEEMPGEIAGIKRATIKVDGDYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSTRYQIKESDLRIERFRASGAGGQHVNTTESAVRIVHIPTGISATCQNERSQHSNKASAMAVLQSRLDQLEMARQAQLNAEHTQSLTDISWGNQIRTYVLHPYRMVKDLRTNYEVSDPDSVLGGDLDDFILNFLSYLLDKDEASV >Ma04_p28900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29890081:29895877:1 gene:Ma04_g28900 transcript:Ma04_t28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNLGNQAGVQGPTINPQPNPFGDALYGAGSGLIRGSLGAYGERFLGSSSEFMQSNISRYFSNPQYYFQVNDQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPVYDINAPDLYIPFMAFGTYIILAGFLFGLLGKFSPEALSLQFTRGLAGWFLQVLILKGLLYSLGGGEAPLLDIVAYGGYAFTGMSLTMLARLCWSYTYYFLMPWMSLCMGVFLVKTMKRVLFTEMRSYEKHSSRQHYLLLFMAIAQFPLFFWLGKIAA >Ma01_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12011974:12012887:-1 gene:Ma01_g16570 transcript:Ma01_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQKFKLLATQCAAPAASPSRSPGPAAAIAPSSPAFRLRRRRSLRTLLGRASSRRAAAAVPGKPPPAPERPSEKRALLSHSLADLFVSSPPPGGAEGGHESAAGAARRFGFDILAAGGRAGGGPRFGSRGLRYRLLRRTWRPVLVAIPE >Ma09_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8255103:8264064:-1 gene:Ma09_g12230 transcript:Ma09_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSGGGISSFFVACLLLQLGLTTAQKWLTLSGNAPAIIAKGGFSGLFADSSYNAYKSVSLFSSSDTTLWCDVRLTKDGVGICLPDITLNNCTDIQYSYPQGKKSYMVNGVPTSGWFSVDYDKNELAQVTVTQAIYSRTNAFDSSASPIYSVDDVKTQIKPGALWLNIQHDIFYRQHNLSMRNYVLSVSKRVIVNYLSSPELAFLSGIAPRFRNTKTKLIFRFLGKDTTEPSTNQTYGLLLNNLTFIKTFASGILVPKNYIWPTTSDNYLRPYTSIVTDAQKSGLEVYAADFANDYLFSYNYSYDPLAECLSFIDNGFFSVDGVVTDFPVTPSVAIGCFSQLNKSSIDHGKPLIISHNGASGDYADCTDLAYQKAVDDGADVIDCPVQVTRDGFLICMSSIDLIEDTTVTNSPFRSQISVVPQIKKTPGIFTFNLTLDEIQKNLKPTISQPESLYGLVRNPLYKNSGSFMRLSDFLSFAKGKPLSGVLISVENAAFMAEQLGFSVVDSVISAVNDAGYNKTALEVMIQSTDSSVLVKFKQLTKYKLVYKIEQHIRDAVASSVEDIKTFANAVALDKESIYPATRLFTTGETGLVSKLHDAGIDVYVYVFQNEFVSQPWDFFSDATVEINAHVLGARVDGIITDFPETARRYKRNSCRNLGNSMPNYMQPVLAGGLISLMNSDSLPPALAPIPPLDVSDVVEPPLPSATAKAVAPASGGTASPSAKPSSGQRSPASILVPLMMMICGFLLLV >Ma06_p35830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35562210:35564328:-1 gene:Ma06_g35830 transcript:Ma06_t35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSALVLVFLCFVADAAIVEHTFNVGNLTVSRLCEDRVITAVNGQLPGPTIEVNEGDTLVVHVTNESPYNMSIHWHGIFQMLSAWADGPNMVTQCPIRPGNSYTYKFNVTGQEGTLWWHAHVSFLRATVHGALIIRPRGGPKRCPFPQPHHEVPIVLGEWWKANVVDVADEAFLTGGNPNISDAFTINGQPGDSYECSEKHTYMLKVVAGKTYMLRIINSALNNQLFFKVAGHNFTVVAVDASYTKPYKTDVVVIAPGQTVDALMVADAAPGRYYMAARPYISTGPQGPPFDTTTTTGIVKYKSAHGSSPPAMPTMPLFNDTATAHRFFTEITGLLKPGLPTVPLVVDEQMFVTFGLGLAPCEPSQVRCNRTAGSFAGSMNNVSFRFPTTTSLLEAQYKGVSGVYTADFPNNPAALFDFTNLTVNTDPSLQPLRSTVKGTKLKKVKYNATVEMVLQNTAILGTENHPLHLHGFNFFVLAQGFGNFNATAAASSYNLVDPQVRNTVAVPVGGWAVIRFVANNPGVWILHCHLDAHLPFGLATAFEVANGPTAESTLPPPPPDYPTC >Ma10_p28880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35637407:35638196:1 gene:Ma10_g28880 transcript:Ma10_t28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETIPSSGDASEDDEEEAAAGGGRGWVEMAWRLGKRVAIAGAAFTAAPIVIPSYCVASTVGLALSVPFGLYLATMAATEKVMSSLLPPASLAEPEEEEGEERFIVDVEEEGEEGETPVAEQEAMAPQSKQTSATEPSMDAQTETLTLEPSMVVKTSPYERHERKDMIWEEISALRTIMGYRDALRSSTVEELRALYIFTGVEPPISLKDPSNMMEIQDKLRFLKLLVGVK >Ma06_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4865920:4869142:-1 gene:Ma06_g06780 transcript:Ma06_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKRLQAVEKKSDVFFLPFPELGFSWNEGLYPSGGLFASVGQMGVGFGVSPNPPNPSDNSVNVPGTDLYVKYVSPDVGYRVLGTPAEPAAEATNVIAEVVANKKEKKGGLKARIRIGNLHFRRLISGAIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFQSIMETEGWKGLFRGNFVNVIRVAPSKAIELFAYDTAKKVLTPKDGKPPKLPVPSSLVAGAFAGVSSTLCTYPLELLKTRLTIQRDVYDNLLHAFLKIVYDEGPSELYRGLTPSLIGVMPYAATNYFAYESLKKFYRKTFETEEIGSIATLLIGSAAGAISSGTTFPLEVARKHMQVGAVGGRQVYKNMLHAFLSILEKEGIGGLYKGLGPSWMKLVPAAGISFMCYEACKKILIDEEDA >Ma03_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2386076:2388705:1 gene:Ma03_g03540 transcript:Ma03_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKILTALDHARTQYYHFKAIIIAGMGLFTDSYDLFCITPVMKLIGRIYYDPQGGTPGVTPPAVVSAIVAIALVGTVIGQLIFGMLGDRVGRRRVYGFSLLLMIASSFGCGFSICRTRNCVLTSLCFFRFMLGIGIGGDYPLSATIMSEFANKRTRGSFIAAVFSMQGFGILASSGVTMAIAAAFKRATKASSIGPLHTPEAADLAWRIILMIGAIPAALTFYWRINMPETARYTALVEQDVIKATNDMGKVLVDFDMETSEVSGGPRRPAPTYGLFSKQFMGRHGRNLFACAMAWFLVDIPYYSSTLFQSQIYQPWFPPSDHVNAYEEVYNVAKFQAIIAVASTIPGYFATVYFIERTGRRKIQMMGFFFMAIFLFALAGPYDKYWHDHTKGWFIVLYGLTFFFSNFGPNTTTFIVPAELFPARFRATCHGISGASGKVGAIIGAVGFLWASQQRNKKQVSPGWKPGIGMTYALTILGGVCLIGMLHTYLFTPETKMRSLEENESGESQHGPEDLENINHSVFTSSAPSVHPLGGRSPLQSPL >Ma03_p31040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33428510:33429323:1 gene:Ma03_g31040 transcript:Ma03_t31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRFDAIALHARPISILRSFTSRYLPLPLPNLISKRHSPTSSHVSYNLLACRLEQSAELRRAPAPIMRSPRRPCFLALPVLLLVLLGAVLPAPAAASSGKALGGWTPIKDVNDPHVQEIAQFAVSEHNKQANAALALGKVAKGETQVVSGTNYRLVLSASDGSGASAKYEAVVWEKPWEKFRQLTSFKKLVNS >Ma06_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10844835:10852768:-1 gene:Ma06_g15970 transcript:Ma06_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSMERHASIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQSLHGEDLRDTVQECYEISAKYEGTRDLSKLDELGHVLMSLDPGDSILVASSFSHMLNLGNLAEEVQIAHRRRNKLKKGDFVDESNATTESDIEETLKRLVEQLHKSPVEVFDALKNQTVDLVLTAHPTQSIRRSLLQKHGRIRNCLTQLTAKDITPDDQHELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMIAASLYYSQIEDLMFELSMWRCSNELRERANELHQSTKKDAKHYIEFWKQVPPSEPYRVILGDVRDKLYNTRERSRHLLTNGISDISEDATFTNVEQLLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITNYLGIGSYHDWSEEKRQDWLLCELRGKRPLFGPDLPQTEEIADVLQTLHVIAELPSDSFGAYIISMATAPSDVLAVELLQRECRVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYMNRISGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETINGSFRVTIQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIPPKPEWRALMDEMTVVATEEYRSIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVMHKDIRNLHMLKEMYKEWPFFRVTIDLVEMVFAKGDPGIAAIYDKLLVSEALWPFGERLWANYEETKQLLLQVAGHRDLLEGDPYLKQRLRLRHAYITTLNVCQAYTLKRMRDPDFIINVKPHILKDVDSDDPAADLVKLNPASEYAPGLEDTLILTMKGIAAGMRNTG >Ma06_p15970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10844835:10852680:-1 gene:Ma06_g15970 transcript:Ma06_t15970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSMERHASIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQSLHGEDLRDTVQECYEISAKYEGTRDLSKLDELGHVLMSLDPGDSILVASSFSHMLNLGNLAEEVQIAHRRRNKLKKGDFVDESNATTESDIEETLKRLVEQLHKSPVEVFDALKNQTVDLVLTAHPTQSIRRSLLQKHGRIRNCLTQLTAKDITPDDQHELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMIAASLYYSQIEDLMFELSMWRCSNELRERANELHQSTKKDAKHYIEFWKQVPPSEPYRVILGDVRDKLYNTRERSRHLLTNGISDISEDATFTNVEQLLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITNYLGIGSYHDWSEEKRQDWLLCELRGKRPLFGPDLPQTEEIADVLQTLHVIAELPSDSFGAYIISMATAPSDVLAVELLQRECRVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYMNRISGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETINGSFRVTIQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIPPKPEWRALMDEMTVVATEEYRSIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVMHKDIRNLHMLKEMYKEWPFFRVTIDLVEMVFAKGDPGIAAIYDKLLVSEALWPFGERLWANYEETKQLLLQVAGHRDLLEGDPYLKQRLRLRHAYITTLNVCQAYTLKRMRDPDFIINVKPHILKDVDSDDPAADLVKLNPASEYAPGLEDTLILTMKGIAAGMRNTG >Ma02_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22773501:22775280:1 gene:Ma02_g14860 transcript:Ma02_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSFLASLGVYFLEFAELMLVNKIWKVKEECRKKLARAEGVLAESGRGHTYRTGFLGVVSAKLDPIDHCNKKIKELLPKFEAEQQITLREKQEAAALVFFNSRVAAVSASQTIHAQKTVAIVGLFNYNCPAAVWAGLGAFLSKEEGLPSESQAVRAGIKKYLYFVVFDVFLGFRVWGAFLDALQGFIDQPSDQFMPLLGLSVPRNSNFFITFISLKFFICNGLEISRLFPLIIFHFKKKFLCKTKAEVPPGDLGYASRLPSDMLVITLVLCDSVAAPMIIPFGAVYFGLGWLITRNQVSEPCSSCLSPSTRAKGVCGRHTHHLSGLHDRIHCGMEEVLFRSSSCSLDLNLLDIWLFIREAFLRLLLKQRAGSSRKLRHGVHIRGLYSTMLELKEARGGQTGCTCSITLTEMHISSMKLSAARRQHVSYVPLRTPVCNMNAVCIRQVQMRAICH >Ma07_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:69106:77841:1 gene:Ma07_g00050 transcript:Ma07_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGGPTMAPLNVAALRGEERWRHFDDSVNAVSFGFVATAILISMFLAMAIFERFLRPRSPLLFSSDNGQRGGGGPVSAFQRGTLLSADLEAQPQGFAGKLDYPSPKMSIYSKEVPVLMPGHSVPTFIAHPAPVPCRPERIPWPSHQQYSISGSPSNLD >Ma07_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3618039:3619447:-1 gene:Ma07_g04950 transcript:Ma07_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFVPATPQQTSWAVWLAAIVCAVLAIAVIIAGIVVFAIYIIYQPKMPYIKVAYAHLSKLDYGQPGLLEIEMVLNLVAENDNKKAHASFSDLSFLLQFHRIDVAALRADPFDVAKNSSLELDYHFPSSPIPLDQAAMETMDVALKRGVVSFDLSGHARTRWRVGIFLSVKFRTYLSCELRFFWPNGSTVNLDCISKSP >Ma07_p29120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34934075:34935877:-1 gene:Ma07_g29120 transcript:Ma07_t29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGRGGVLDGRYELGRVLGRGTFGKVHLARDIRNGRSVAVKVVDKEAVVRAGMMEQVKREISAMKMVRHPNVVELHEVMATRSRIYLAMELVRGGELFARVARSGRLSEASARQYFRQLVAAVDFCHRRGVYHRDLKLENLLLDEHGDLKVADFGLSALIDHVPGDGLLRTTCGTPAYVAPEVLGRKGYDGAKADVWSCGVILFVLLAGFLPFRDGNMMAMYKKICRGDFQCPPWISSGARRVITKLLDPNPNTRITVAKLIETSWFNKCTVQKPVPAQSTTEENGKQGQMEEPEKLNAFHLISFSEGFDLSPLFGGEGIREDGMRFTTREPASSIVSKLEGVAAKTAGKYRVTKSSAAGVWLEGESRGRKGKLAIATQIFALAPSVLVVEVRRDDGDTLEYQKFCADELRPALKDIIWSSSNCQTVTAC >Ma10_p30860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37086658:37096812:-1 gene:Ma10_g30860 transcript:Ma10_t30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTIRRRLHHGDIDGKRNEYSDASGFDALNEPLLGNNNHGGHYNYKGCDDGGEQDWDDKKKEINLHWTRLFANLIAQWSQWLANIILGSGSVLGRFLPISSIASNEQDDPICLCPLQEERYRNLRLRVEVQFDGSRLDHQDALKQLWRLAYPDREIPPLKSELWKEMGWQGCDPSTDFRGGGYISLENLIFFAKNYPNSFQTLLHKTEGRRADWEYPFAVAGVNISFMLIQMLDLQSVLPSSKAGVRFLELLGEDEKAFDNLYCIAFRMLDAQWLAKRASYMEFNEVLKSTRNQLERELALEDITCVKDLPAYNMLM >Ma11_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3873163:3876385:-1 gene:Ma11_g05030 transcript:Ma11_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFSWADEVEREEQEELEKAKGKDAGEEDEKQQPERKADPFGSARPREVVLEEKGVDWRKLDQELDAHSNLRNDKKLTGNVSLAASSISKKEHPLVGFGNHTDQMKPGHDPRSIRSQKHQNAVLVPPLKLLPKNIAPSLGHTHREQSYPQNAALDSGLLSRHPCNSNTNTVHHFAVDPALGLLRVPQYSLSMVGRSQLRMQPNTGTLKHSQQMGSNCKMGVARNKEMILMNNHEDDGIRRCDHGKETHFLVAGEELQGDGRRILTDLNMGRTNMKKTHRVGESQAAKRKSCIQELTDKAMEPRMWIMKTNQNNDCLRSDSPGTKNKVDDEGVELVGPSTSKNASSGKNNHNRKRGLHSAPQSGRHRRKQRNQAGLCK >Ma11_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18744083:18750226:1 gene:Ma11_g13680 transcript:Ma11_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPLLAHHPLSKLKLMLLLLSLALFLQLSASEIFFEERFDDGWENRWVKSDWKRSEGKAGTFKHTAGKWPGDPDDKGIQTYTDAKHFAISAKFPEFSNKNRTLVVQYSLRFEQDIECGGGYIKLLSGYVNQKKFGGDAPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLECETDKLTHVYTFILRPDASFSLLVDNRERESGSMYTDWDILPPRKIKDTNAKKPKNWDDREYIEDPDDVKPEGYDSIPKEIPDPKAKKPGTWDDDDDGIWRPPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPDYAKEVAHETVLKNREIEKEAFEEAEKVRNAKEEEDAQKAREEGENRKRERGHDRHYHDRGRYKDRYKRRHHRDYLDDDYHDEL >Ma09_p26930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38068235:38070441:1 gene:Ma09_g26930 transcript:Ma09_t26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLSKSWEESKKTWHIAGPAILGSVFQFSISFVTAAFAGHLGAVALAAVSVAQNVIEGFAFGILLGMGSALETLCGQAVGAGQFHILGIYLQRSWVITLATATVLTPLYVFTAPILKLLRQPTDISEVAGEFCVWVIPQLFAYAVNFPLQKFFQSQRKVWVITEIAGAVLGLHILLNWVFVVRLGYGLAAAAIIGNVSWWLINLAQMVYLFSGCFRDSWTGFSLLAFQNLSAFFKLSLASAVMLCLELWYYTAVIILVGYLKNPDVAVAAISICMNYQIWTLMITLGFYIAVSVRVSNELGANRPKAAKFSVVVVLTTSVLIGTVFMAMALIFRKKLPEFFTDVPEVINEASKLGYFLGAIILLNSIQPVLSGVAIGAGWQTSVAFINCACYYLLGLPVGAVLGFKLKLDELGIWIGMLIGTLVQTSVLLLIMFRTKWQKEAAKAEERVREWEGRVELQSISRS >Ma03_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4701676:4704179:-1 gene:Ma03_g06850 transcript:Ma03_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSFLLVFLLISLPPSLQASLSPPRGFSINCGSKNVENIGGIEWITDDSFVKVGNATDLNIPGIVPVLSSLRYFPDKSARKYCYVIPAAKGAKYLIRTTYYYGGFDGGNEPPVFDQIVGGTKWSTVDTSENYAKGLASYYEIIMASPGKTLSVCLARNEHTMSRPFISALEVQHLEGSMYNSTDFAMYALSTVARHRFGHGGQITSYPDDPFNRYWEPFVDANPVVDSQTNVTSFEFWNLPPAMAFLNALTTSRGKNLTIQWPAVALPNASYYLALYFQDNRSPSPFSWRVFDVLVNGKTFYSGLNVSTGGVVVVGDNWPLSGLTEIKLTPAVGSPVGPVINAGELSMVVPLGGRTLTRDVIAMEALARSFDNPPSDWSGDPCLPRQSAWTGLTCSGGKIMRVVSLNLTNYGISGSLPSSIAKLTAISSIWLGGNKLSGPIPDMSSLKHLASLHLENNQLSGTVSPSLGYLPKLQELYLQNNNLQGPLPDSLKQRKGTIIQVSPGNYGL >Ma03_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3360065:3361675:1 gene:Ma03_g05150 transcript:Ma03_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLLGYAKMDEQMAIQEAATAGLRSMEHLLSQLSHHHQQSPQLDCREITDLTVSKFKKVISILDRTGHARFRRGPSLAPAVEPAPRAVALTPAKPPQPPIPPPPRSMTLDFTKPIAAPSLVEASGSQFTAEGFSISTSITGDGSISNGKIGSASLLLHPAAVSTGKPPLSSSFKKRCHEHAHSEHLTEKHAIPGGRCHCSKRRKSRVKRTIRVPAISPKMADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSILRWCPARKHVERALDDPTMLIVTYEGEHCHGRGTAAPPETADAPVAAV >Ma04_p30780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31337981:31342254:-1 gene:Ma04_g30780 transcript:Ma04_t30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPADDNGDAVVTTTTTGAGWEETAEDEERPPAQPRGGRRVTPTVDQAEEAAAVEKVMPNGDLYTGGFAGSAPHGRGKYLWADGCMYEGDWRWGEAAGKGKFSWPSGATFEGEFRSGRMEGFGTFTGTDGDTYRGQWVADRKHGFGSKSYANGDYYEGMWRRNLQEGHGRYVWRNGNQYAGEWRGGVINGGGALIWANGNRYDGQWENGVPRGSGVFTWPDCSCYVGSWSGGEPMALDGTFYPATIAACKEISGRRSSFFQLADGSAPTTPLVLTSRKPSSVDGGSTRRGSSVAEKSLPRICIWESDGEAGDITCDIIDTLEASVLYKDGSSFDHGSGTLIGTVHRRRSPSYLVTREAKKPGQTISKGHKNYDLMLNLQLGIRYSIGKPGSKQPRELRPADFDPMEKFWTRFPPEGSKITPPHLSVEFRWKDYCPMVFRHLRKLFSVDPAEYMVAICGNSALRELSSPGKSGSFFFLTQDDRFMIKTVKKSEVKVLIRMLRSYYRHVCQYENSLVTRFYGVHCVKSNGGQKVRFIVMGNLFCSEYHIHRRFDLKGSSHGRTTDKVEGEIDETTTLKDLDLNFVFRLQTSWYMELLEQIKLDCEFLEKEGIMDYSLLVGVHFCDDISASKMCPSPFLALPKLYDQKETFKCGDVWSDLCFSSLTCQDMDQILDTWKSSIRLGVNLPARAEHITGTESEPPFLVGGEGMSTPTGNSKLHDVLLYFGIIDILQDYDITKKLEHAYKSLQVDPNSISAVDPKLYSRRFQDFISRIFVEDT >Ma11_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3596592:3598652:1 gene:Ma11_g04610 transcript:Ma11_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQGTIVFTTVGLPHYGFDVFSVPVSPDLDDPAAQLTERRHTDGTSVNFNAQFVDEGDSIAFVSERTGSARLFRSSSRDPAPVPLPAFSDSLFHDRPTVRNGRVFFVSAHERPAEPFRSWCAVYSARLDSGETARLTPPGFADMSPAVSCSGELVAVASYGSAPWKGDFHELATEVVVFRASDPSRRSVICSGGGWPAWAGESTLFFHRKANDGWWSIFRSDLTAELDNAGGPDAARRITPAGLHAFTPAAAHDGKRIAVATRRKGSSYRQIEIFDLESDKFLPVTERINPSLHHYNPFFSPDSGHLGYHRFRGEFAPGDSIVPHLQPVRSPVSGLRMQRLHGTFPSFSPGGRFITMNGDFLTSPGLMVIRSDGFKRWTLLKEPSAFYTAWSPTENGVIFTSIGPIFDSAKATVQIARVTFDPADLDGSRDEEVKTEMKVLTRADAGNNAFAACSPDGRYLVFRSGRSGHKNLYIVDAVEGETSGGGVRRLTEGEWIDTMPVWSPDGELIAFSSNRHDPSNPDVFGIYLVRPDGTGLRRVHVAGPPGSAEVGRERLNHVCFSPDSRWLVFAANLGAVTAEPVSLPNHFQPYGDLYACRLDGTGLTRLTYNCYENGTPTWHSGGDVPSLGSLSLGPHVGEKLRGQFDEPLWITFDV >Ma11_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7039770:7043213:-1 gene:Ma11_g08890 transcript:Ma11_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQDARLRHLHRYRRRLLVALLSHRTLLAVLWTVLFSAVVVWQSGAVGRLGLLRRWAPPPRPCPRLRPTVFNLSDFGGVGDGVTLNTQAFEKAVEAIMEFGGSGGGQLNVPPGMWLTGPFNLTSHMTLFLAEGAVIMGIEDYKHWTLMPPLPSYGQGRELKGPRYGSLIHGQNLRDVVITGYNGTINGQGQTWWKRYRQGLLEHTRGPLVQLMWSRDIVISNITLQDSPFWTLHPYDCRNVTISNVTIMAPLYDAPNTDGIDPDSCEDMVIENSYISVGDDGIAIKSGWDRYGIAYGRPSTNILVKNVVLRSKMSAGVSIGSEMSGGISNIKFENILVWDSRRGVRIKTAPGRGGYIHNISYSNLTFNNLSVGIVIKTDYNEHPDDGFDPKAIPTIRNLTFRGVYGHNVRIPVEIQGSKEILVRGISFQDMQVGLSYKKKRTFRCSYVEGYAVGPIFPAPCDSLDRYDENGRLVKRSIIQQNVTYTDYEI >Ma04_p37510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35387777:35390888:1 gene:Ma04_g37510 transcript:Ma04_t37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYALHTLLLLLLLLLSTRAQELQTLLSIRSSTDDPLHSLSTWNSSTTSFCTWEGLTCDSSSRHVSAARLSGKNLSGALPAPLLSLPFVAAVDLSFNGFSGVFSFPRFAPSLLYLNLSNNNLSGPVGFVSDSGGGGLLSLQVLDLSNNFLTGPIPDEIFHLSRLKVLDLGGNYFRGRIPISISELRSLQFLTLASNELTGPIPAQLGEITALRWVYLGYNNLSGEIPPEIGNLTALEHLDLVYNNLTGGIPGSIGNLGKLQYLFLYRNLLSGPIPPSVYNLTAMIALDLSQNELSGEVSEDVVRLENLEVLHLFSNRFEGAIPSSLGAIRQLQVLQLWSNRFQGPIPSSLGLGNDDLAVLDVSSNNLTGRVPEHLCYSLRLVKLILFSNALHGGIPPGLSRCRTLERIRIENNQLSGEIPLEFARLPAVYYLDASGNGFSGEISRLRWEMPALRMLSIAGNKFSGPLPESFGGDEIEHLDLSKNQFAGGIPAGFRLFTELSDLNLSGNRLSGVVPESIGELKRLVRLDLSKNHLSGEIPTGIADLPVLSALDLSENWFSGEIPPSLGKTGALVSINVSHNRLIGGVPATEAFFSVEAASLVGNSGLCGGGPKTGLLPCEAASAKTPWWFPVTVLAAALVIIFLSVILTFLARKWWRGGEFEIKKAETDSNVVWEVRVFDGTLSTVEAILRTIKNAKSGAVSNSDGEGRWFTVREVKEVPGLGWAEVTKLGRSRHRNVAGFVAACRSESRWVFVYEPTAGARCLGVAMRDLSWKQRHRVALGVAKGLRHLHRCGLLGAVLTADTVVLDGDGEPRLVLDVANVRPGEARTDVYYFGVLLAELLTGRRHHDGEEGGGSGGVVDWARYCYRERHVEAWIDPAMSGQVSQHRDEMIGAMGLAVRCTSAERPSMKEAVKLLVGFEGKTSSWLSKVTRATWIY >Ma04_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13916211:13916998:-1 gene:Ma04_g15840 transcript:Ma04_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRGCCCICCLWLTLFLIALVFLAAIAAGVLYVLYRPQHPTFSVSSLRLAALNLSAADLLTSRLDLSVTARNPNRKLVFVYDDVAISASSGGVTIGEGTIPGFAQGTDNTTVLKTTVSSSGRSLDPTEASDLRKRKRYPLEIELDTRAGVKIGGFKSKHLGIRASCDGIEAVVAKGNATATTTGSAKCKVKLRIKIWNWTI >Ma02_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5403623:5412072:1 gene:Ma02_g00480 transcript:Ma02_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNKLKNLDAYPKINEDFYSRTFSGGVITVVSSIIILLLFISETRLFLYSATETKLIVDTSRGERLHVNFDITFPSLPCTLLSVDTVDISGEQHYDIRHDITKKRLDHLGNVIESRQGGIGAPKIDRPLQKHGGRLDHNEAYCGTCYGAETSDDQCCNSCEEVREAYRKKGWALTNPDMIDQCTREGFFQKIKDEEGEGCNIHGFLDVSKVAGNFHFSPGKSFHQSGIHAHDLLAFQTESYNISHRINKLSFGKEFPGVVNPLDRAQWKQGGSFGMYQYFIKVVPTIYHGIRGHKINSNQFSVTEHFRDESVYPRPLPGVYFFYDFSPIKVIFTEENRSILHFLTQLCAIIGGVFTVSGIIDAFVYHGARTIKKKRELGKYR >Ma05_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32164985:32165754:1 gene:Ma05_g20480 transcript:Ma05_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMHHPYEDGPGVCASCLRDRLLALVAPRTECSLGHPSTPQPRPLPLPLPPSACRREPDASAPNHNLSFGAPEVGPSSSVNRRSGSGKLCILSILFGHHPKSEEPKRDTKRPKSPISSQWVPTLTHGRRKKKISRLFRGRTESPGGMWWRSTPYFRRETPRSTTTATGADLAGFAMCLNPLVRPSPGRRTDPGISEIFRGRFASATTGHLPAVKWRCALTARVT >Ma11_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1456185:1459604:-1 gene:Ma11_g02040 transcript:Ma11_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALCHGLLISSLLFCASAIEIGYSRCNCDGDNSFWNVENIFQFQKVSDFFIAAAYFSIPLELLYFATCSNLFPFKWIVFQFGAFIVLCGLTHLLNVFTYEQHSFLLMLSLTISKFFTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDREVVLMKRQEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKTLGLQNCAVWMPDESKKEMYLTHELRQRSSSDLYGRSIPIDDPDILEIKETKGVKMLGTDSVLGSASSGGMLEPGAVAAIRMPMLKVSNFKGGTPEVVQASYAILVLVLPRDAARVWSDQELEIVEVVADQVAVALSHAAVLEESQLMREKLAEQNRDLLQAKRNTLRASEARNQFQVAMSQGMRRPIHSILGLLSMMQQEKFSPEQRLIIDTVTKSGSVVSTLVNDVMEMSCINSERVSLVMRPFHLHSMIKEAITAARCLCDYRGFGFNFQVENEVPNGVVGDEKRIFHVILHMVGSVLSGCGEGLLMFRVLRYDGIKDKEDQEWVPWKSNFSDGYACVKFEVGLKRTETDKFSSSSVQLSQVPDSEVLEMGLSFRMFKKLVQMMQGNIWEVKNPQGVTSNITLVLQFQLQRLTAMPEARGSFELHSASSTPNFKGLRVLLAESDDINRAVTRKLLEKLGCRVSSVTSGIQCLSSFGAPPTPFQLIILDLHMPQMDGFEVAMRIRKFRSRSWPLIVALTASAEADIWEKCLQSGMNGLIRKPVTLQSMGDELYRVLHSS >Ma10_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22335229:22338646:1 gene:Ma10_g08040 transcript:Ma10_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLSGVAPPRVAASLKDRWRRWKAWASSSEPEGEVEIRVCVNRTCGRQGSRDILAVLSAIAPLGISVVSCGCLGRCGAGPNLAVLPPGALIGHCGTAAKAAQLLADLCGPEFDPQRNLETLALRKKAEDELEKGNEAEAESLLSQAIDLKPSGGLHLIYRSRSSARLAMGDDVGALEDARESSRLAPKYPQAYICQGDVFLAMEQWEAAEKAYSTALLLDPSIRRSKSFKARVANLQEKLVALNTSS >Ma03_p27710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31005879:31009945:1 gene:Ma03_g27710 transcript:Ma03_t27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAEEVWLAAWASGGRSKEEEERKQNKGEETLRWIKLRSMGGCMSSKANVDTTIRSVGTPLGNVSLAHDFNIGYVARDIFSLALQDADTNSSSPSKAVDDLKFSSHLRKFTFKELKSATSNFQPDGLLGEGGFGRVYKGWIEEYGTAPVKPGTGLTVAVKMLSHDRIQGHKEWLAEVNLLGDVRHPNLVKLIGYCIEDDQRLLVYEFMQRGNLENHLFRRSRDLPWSIRMKIALGAAKGLAFLHEEAERRVIYRDFKTSNILLDADFNAKLSDFGLAKAGPEGDKTHVTTRVMGTYGYAAPEYVMTGHLTTRSDVYSFGVVLLEIMTGRRTMDKRRPAGEQNLVEWARPYLGNKRQFYRIIDPRLHGNFSVKGAQKVAQLVQACVGRNAKARPLMSEVVEVLKPLVDLNDIASSSSSFRAMTKERAASIAASHPIECNAQQPKRSSISRGSSPYPHPMRSLSHGSEP >Ma06_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21518763:21520210:-1 gene:Ma06_g23510 transcript:Ma06_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIAPILLLFFSLFSSSSATSLFPEEARPTKSGYLPIGAASSPSSAALFYAFYEAQQPLSPLPQTPLLVWLQGGPGCSSMLGNLFELGPFLVSHDSPALRRNPASWNRRFGLLFIDNPLGTGFSVAPSLADIPRNQSAVAAHLISALRHFLDSDPSFPLRPLYITGESYAGKYVPSAGYYILRQNAQLPPNRRFNLRGVAIGNGLTHPIAQVGTHAASAYFTGLINERQRARLEELQAEAVQLVLAAKWPEAADARDRVLRWLQNATGLATLYDLTKKRPYETGMVAVLLNKDEVKEALGVAAGVAWEDCSDAVGEALYADVMKSTMFMVEELVRKSRVLLYQGVFDLRDGVVSTEAWIKEMKWEELQSFMRAKREVWDVDGELAGYVQRWGSLSHVVVYGSGHLVPADQGEAAQAMIEDWVMEKGLFGDAAAAIV >Ma10_p30190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36548572:36549395:1 gene:Ma10_g30190 transcript:Ma10_t30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGGCSPPSSPVCSMCGDRGLPEELFRCKTCHVRFQHKYCSNLYPKAEAYRACNWCLRDVAAGKPVAPENCTAQNTSFSSSSNGDVVGSATAVKLRRCSSVSHLDKPIKRQRLPERPYEARSQAKQVFRGKVRRYKLLEDVSS >Ma04_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:846963:852011:1 gene:Ma04_g00920 transcript:Ma04_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISISAEEEFNIEKLQLVEAEMKKIRQEYERKEKQVEIRKKIEYSMQLNASRIKVLQAQDDLVNSMKEDAAKELLNVSHHHHILNVTHHDHEYKHLLKELIVQSLLRLKEPAVLLRCRKDDAHLVESALESAKEDYAAKANVHPPDIIIDHKVYLPPAPSHHHAHGPSCSGGVVLASIDGKIVCENTLDARLDVAFRKKLPEIRKSLYGQVVA >Ma06_p34120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34512377:34521477:1 gene:Ma06_g34120 transcript:Ma06_t34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWMGGSRRKVNTSRKSMHNKQKHYFEQRKRQQQTTGLDNHIHDSSKRAHYPEEPRSLDILSFLNLATVAQQGYSSHNSCFQQEILLPDKCSAAITENASATQSLSNKVEGYKTSASSCKFSPISTHLKSEEKQEDIQDEVSVLDLLNDDNKKIDCRGRVVPESHVAFSVGGLGNVGMETPAHSPRTQIRSFVSPLRMSKQVQNSANLRSMSYDLGIELSAAMCDTEKPIHGHSAGVACKQKGTFEKENRTSMKFIDSCIYSDVLDDLSDCFGSGNENFQECRNELWEFSNGMLDDDFVSIREYETVRQNKSFYSESHASILSNAKFSDAYECGLGDFFLDRSRLTI >Ma06_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4295255:4300619:1 gene:Ma06_g05810 transcript:Ma06_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKGPYVGWQEVVVSNDRGRRVVHYYLKGAGGGADLAVVGREKSVRHMSYAVPNQFVRLLMARPHVLPSSPSSSPHSLQALFSFKWRSRREVIDWLSSFVSDANATESPLAVDGFPDCEDSETDLPNSKLPSRKTAHPSKEFSWLGASWICRKRKKHYRSFCKNGITISVHDFVIVMAEEKKSLVAYVEDLYEDLRANNMVVVRWFHEVDEVGIVLPPDTNDREIFFSLCLQDFSVECIDGLASVLSSQHFEQFQNEARHTNWRPYMCRRQIDNDDVKPFDITQLQGYWSQQLLRSMFTSPVKLRLKITRGGSVLSAEKSDVFLGDSRRNHQLHDRDIHVAETNIMDMQSRGSAVSRKTGKNVTSTLSGSALIRKKLFKQKLQQQLYPGCHVEVLSQDSGIRGCWFQCVIIKRHQDMVKVRYQDILDPEDGGNTEEWVSLSRVAAPDELGIRCERTIVRPHPPQRGKTYNFDVGAIVDAWWHDGWWEGIVIHKDFEGQMHVYFPGENRTSVFCQGELRQSHDWINNKWNRLEERMDIADSLFSDTMINTKDLSDSEQFTKEQYTEETNGSSLPADMVQDENIPSGDSSSDGEAGILDLTKDSHFNKLRWKRKRRREQTEDGSSHKKQHCEASSGGSQDAAESNACGGFVLPKSLTVDHENCKIGGDPLFNTPMAISSLVMSQ >Ma08_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2131618:2141066:-1 gene:Ma08_g02840 transcript:Ma08_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWISVSATGFGHVATSFLSSSLSSGVLFCSGHGLGRLTNLLPSLSRSGGFLGGGTDSPSPVAPAAAGQPVTGRKMASVEQLVLDLCDPELREQALFDLSKKRDIFQDLAPLLWYSCGTITMLLQEIISIYPALSPPTLSPDVSIRVCNALALLQCVASHPDTQILFLNAHIPLYLYPILNTTSKTRPFEHLRLASLGVIGALVKVDDPEAISFLLQTEIIPLCLRTMELGGELSKTVATFIVQKILFDNLGLQHVCATFERFFAVDVILANMVVSLVEQPSTRLLKHIIRCYLRLSENGRACKALTRGLPVMLKDGTFNRCLRDDPATHQWLQQLLRNVAGANLVSSLRAGGLDHMMGN >Ma08_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1423603:1429427:1 gene:Ma08_g01700 transcript:Ma08_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRLSHCSHPINGTPFEAYYHGSWHGVDHISIRNGSTFAKLNYHGTMIVDKVDGDCLRMRSRKASLSDCIHFLKPGVEVCVLSRHPVSANFGQEVQKPLLSWHDAIIISIKKVRHVDHCSFLFSVMICTNKSSVSEKKGRNDRWAEVVPIDNISILQKLQSEPCEDGYYQWNSTVDCVSYGKSKLLNEAFSSEVAWLLVLSTLKGMSFDLKLVQNKLVSFITKSYQESASLSNLQSDGATNITNSSSHETIKIMRFQWSNEILKPKIQTVVMAPSEEITPEKATEANHHVVNVESDSEVEFLYEHTSLRHSKRLKVVPDRFSSYSSPDFGRCTKKIVIEGINGTDETCIPFKSGVLLEGDLDYVELQQQKLLEGKSALLHSRHSSNLHEMTLIGLHKRGKDGSTDPSSNEIDHIPLHVYKRRFKRKKLANPNECKEVIEKCIGNIRNQIERQFEPIVPQAAYQPTASPKEEEEEDFNWTPLADTPAEQKEHEDLWKEMEHSLTTLALLEQKQAVNFESQTEGTFNSVEYGEEKCQHDYELHDEIGLRCRLCSFVCTEIKHVSPPFLQVDGWNSFKEKFVDQTLWIERYDLELNALGDAISSREISLSERCENVWSLIDDLQSKLHLHQKKAFEFIWKNTTGSLKPEEMNHLSENTGGCVISHSPGSGKTLLIIAFIVSYLRLFPKSRPLVLAPKSAIHTWSKEFQKWGISVPVYLIQRENKFRTSSFRTSMPSRKMRQIMDSVKKLQQWHENPSILLMNYSSFFALSKQYSKLEHIRFVAEVLQKSPGILILDEGHNPRSTKSKLRKLLMEVKTENRVLLSGTVFQNNFEEYFNTLALARPRFVDDVVNELEPNMLNLFDRNKQKQAKTKIRKERLARKLFMEKVGQNIESNKEHDRKQGFGLLNKITGGFVDVYGSEILDMLPGLEIYTIMLASTELQHEMLMKLQNSVPHKRYPLELEILVTVCSIHPWLVKSVGCVTSFFSADELETISKCRENFRLGSKVNFVIDLVHKSDIRGEKVLVFCHNISPLNFLVDLFKLIFGWNKGEEVLILQGDQELPLRAKIMDKFNGDVHGKCKVLLASTTACAEGISLTAASRLVMLDSEWNHSKTRQAIARAFRPGQEKVVYVYLLLASGTWEEGKYKSNARKAWMSKMIFLGRYIEFSSSRQVEHIDDELLRELVEEDEIKMLQMIMRPS >Ma01_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3875963:3883102:-1 gene:Ma01_g05510 transcript:Ma01_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRTIRVDEKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDITRHVTFESVERWLKELREHTDSSTIIMLVGNKADLRHLRAVSTEDAKDFAERENSFFMETSALESMNVENAFSEVLTQIHHVVCKKALEAGNDPASLPKGQTINIGSKDDVSAVKKAGCCSA >Ma07_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7571724:7574717:-1 gene:Ma07_g10140 transcript:Ma07_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAYFSSLSVFPAAAAAGKNVRPLCVARPGRRGFAAGAGSSNGGKSGGGCAGVPNSNYVVPLDKATSSLARPLNEILRDLNKRVPDKIIDTADNTIPWYHTNRMLSFYAPGWCGEVRDVRFSNYGTVTVVYRLTVRGSDGEAHRESTGTVSVNDGQLDDPVAAAEELAFCRACARFGFGLHLYHED >Ma02_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19465490:19466909:1 gene:Ma02_g09540 transcript:Ma02_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGGVAPPPSVVCCMCGDHGLAQELFRCKVCLVRCQHKYCSDLYPKAQSYGACNWCLREEGAKSLAKEAVKDPNTSVSSSTNIDVGNGSSGSGGVELHHRVFSSQLHKPIKRPKLLNRSASDVTDRSRSGELSPGSRRARQAFRGKVRRYKLLEEFSS >Ma07_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2975785:2983460:-1 gene:Ma07_g03950 transcript:Ma07_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEQLGELATVAQLTGVDAVRLIGLIVQAATTARMHRKNCRQFAQHLKLIGNLLEQLKISELKKYPETREPLEQLEDALRRSYILVDSCQNRSYLYLLAMGWNIVYQFRRAQNEIDRYLRIVPLITLVDNARVRERIDSIERDEREYTLDEEDRKVQDAILDRDASTNHATVLKNSLSCSYPNVPFDEALKKEREKLQMELQRSYDIGQCEMIQHLIGVTQNVASTIEERSTQLKSPNKVELNYSDENNYKEKTFGKNSHEQDTKATFRTTSSDSLGHDMSLHREHHGHEEWHSDLLGCCSEPSLCMKTFFYPCGTFSQIATVAKNRPVTSAEACNDLMAYSLILSCCCYTCCIRRKLRKMFDITGGLCDDFLSHLLCCCCALVQEWREVEIRGVKGIPLLLHLDIIN >Ma07_p03950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2975357:2983460:-1 gene:Ma07_g03950 transcript:Ma07_t03950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEQLGELATVAQLTGVDAVRLIGLIVQAATTARMHRKNCRQFAQHLKLIGNLLEQLKISELKKYPETREPLEQLEDALRRSYILVDSCQNRSYLYLLAMGWNIVYQFRRAQNEIDRYLRIVPLITLVDNARVRERIDSIERDEREYTLDEEDRKVQDAILDRDASTNHATVLKNSLSCSYPNVPFDEALKKEREKLQMELQRSYDIGQCEMIQHLIGVTQNVASTIEERSTQLKSPNKVELNYSDENNYKEKTFGKNSHEQDTKATFRTTSSDSLGHDMSLHREHHGHEEWHSDLLGCCSEPSLCMKTFFYPCGTFSQIATVAKNRPVTSAEACNDLMAYSLILSCCCYTCCIRRKLRKMFDITGGLCDDFLSHLLCCCCALVQEWREVEIRGVKEPWKTKTSPPASQYMEA >Ma07_p03950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2975530:2983460:-1 gene:Ma07_g03950 transcript:Ma07_t03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEQLGELATVAQLTGVDAVRLIGLIVQAATTARMHRKNCRQFAQHLKLIGNLLEQLKISELKKYPETREPLEQLEDALRRSYILVDSCQNRSYLYLLAMGWNIVYQFRRAQNEIDRYLRIVPLITLVDNARVRERIDSIERDEREYTLDEEDRKVQDAILDRDASTNHATVLKNSLSCSYPNVPFDEALKKEREKLQMELQRSYDIGQCEMIQHLIGVTQNVASTIEERSTQLKSPNKVELNYSDENNYKEKTFGKNSHEQDTKATFRTTSSDSLGHDMSLHREHHGHEEWHSDLLGCCSEPSLCMKTFFYPCGTFSQIATVAKNRPVTSAEACNDLMAYSLILSCCCYTCCIRRKLRKMFDITGGLCDDFLSHLLCCCCALVQEWREVEIRGVKDHQLRGS >Ma07_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4251544:4256737:-1 gene:Ma07_g05860 transcript:Ma07_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSIGGGVGRGEGMAAESPDGACHGDRSGGGDGDLRRNPKPSTYKGKSCKGCLYYSSRLRSDSSNPVCVGISRTLPQVPSYIIGESEMETTKDGHNLSDFKYACVGYSVFLDKRDGPVEKPENQSELPFCAGIELLVDRRASTAGHVPANVHKEDATLRSQPHPHRPAQSSGDEFFSRFRKNAGVVASGVARNLNKVGNYIKENIEDILYPYRRPPK >Ma07_p19390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27406005:27411462:-1 gene:Ma07_g19390 transcript:Ma07_t19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVLHIDRLTTIRKEGSTSSAEAARGSDRTLSAAPVASSGDKKVEEKDNKVGEEEEPLIQMVDCRICQDEDHIKNLEAPCACSGSLKYAHRACVQHWCNEKGDITCEICHEQYKPGYTAPPQVHPTDAAIDINGGWIITGSPLDLHDPRILAMAAAQRHLVEDEYDEYAPNASGAAFFRSAALILIILLLLRHSLTITNADGDDDDASTYFSLFLLRVAGFLLPCYIMAWAISILQHRRQRQEAAALAATEVAFILQSGRRQGLHLTLAPESPATPQQEPHQQQL >Ma07_p19390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27406007:27411480:-1 gene:Ma07_g19390 transcript:Ma07_t19390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVLHIDRLTTIRKEGSTSSAEAARGSDRTLSAAPVASSGDKKVEEKDNKVGEEEEPLIQMVDCRICQDEDHIKNLEAPCACSGSLKYAHRACVQHWCNEKGDITCEICHEQYKPGYTAPPQVHPTDAAIDINGGWIITGSPLDLHDPRILAMAAAQRHLVEDEYDEYAPNASGAAFFRSAALILIILLLLRHSLTITNADGDDDDASTYFSLFLLRVAGFLLPCYIMAWAISILQHRRQRQEAAALAATEVAFILQSGRRQGLHLTLAPESPATPQQEPHQQQL >Ma07_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27406006:27411442:-1 gene:Ma07_g19390 transcript:Ma07_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVLHIDRLTTIRKEGSTSSAEAARGSDRTLSAAPVASSGDKKVEEKDNKVGEEEEPLIQMVDCRICQDEDHIKNLEAPCACSGSLKYAHRACVQHWCNEKGDITCEICHEQYKPGYTAPPQVHPTDAAIDINGGWIITGSPLDLHDPRILAMAAAQRHLVEDEYDEYAPNASGAAFFRSAALILIILLLLRHSLTITNADGDDDDASTYFSLFLLRVAGFLLPCYIMAWAISILQHRRQRQEAAALAATEVAFILQSGRRQGLHLTLAPESPATPQQEPHQQQL >Ma04_p18990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21510776:21521587:-1 gene:Ma04_g18990 transcript:Ma04_t18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MIMCACSVNTSSGAPTTLSLPTHRVMLSKASSSSAQASQPTTVISSPPSNYCSSHGSRVISRTPTTITAMKDAVDLSRAAAQVAKEAVSSATAFEEITPVQSGRELLLLLRRKEEDSDERRCGDNAMTERRRRRRRKRSKAAGLSGVDDDSEEDGIASRFLLGKTCSSRSERSKYLTSRQEAEFSRYLKEEAMMDAEAQLLRGCSSLDSENVAQYGRMKRRSEKAFLRARESRERITLSYKRLVVSIAATYQGKGLTIQDLIQKISQVIDHTALFQEGCIGLLRGAQRFDHMKGYKLSTYVYWWIKQGIVRAIANKSRLIRLPGNLCEAMNKVAEANSSLRRRLGRSPTYKEVADLIDMDVSNVRLVSERSRPPISIDQVGKEGLRLMDIIPAQDDRRPETVVDRQLLLQNLEKALKTLSDREEYIVRLHYGLNGETVRSCDEIGRLMNLSRERVRQIHCGALKRLREDKSFVEYLHHNVA >Ma04_p18990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21510869:21521587:-1 gene:Ma04_g18990 transcript:Ma04_t18990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MIMCACSVNTSSGAPTTLSLPTHRVMLSKASSSSAQASQPTTVISSPPSNYCSSHGSRVISRTPTTITAMKDAVDLSRAAAQVAKEAVSSATAFEEITPVQSGRELLLLLRRKEEDSDERRCGDNAMTERRRRRRRKRSKAAGLSGVDDDSEEDGIASRFLLGKTCSSRSERSKYLTSRQEAEFSRYLKEEAMMDAEAQLLRGCSSLDSENVAQYGRMKRRSEKAFLRARESRERITLSYKRLVVSIAATYQGKGLTIQDLIQKISQVIDHTALFQEGCIGLLRGAQRFDHMKGYKLSTYVYWWIKQGIVRAIANKSRLIRLPGNLCEAMNKVAEANSSLRRRLGRSPTYKEVADLIDMDVSNVRLVSERSRPPISIDQDIIPAQDDRRPETVVDRQLLLQNLEKALKTLSDREEYIVRLHYGLNGETVRSCDEIGRLMNLSRERVRQIHCGALKRLREDKSFVEYLHHNVA >Ma04_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21510776:21521587:-1 gene:Ma04_g18990 transcript:Ma04_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13730) UniProtKB/Swiss-Prot;Acc:Q9ZSL6] MIMCACSVNTSSGAPTTLSLPTHRVMLSKASSSSAQASQPTTVISSPPSNYCSSHGSRVISRTPTTITAMKDAVDLSRAAAQVAKEAVSSATAFEEITPVQSGRELLLLLRRKEEDSDERRCGDNAMTERRRRRRRKRSKAAGLSGVDDDSEEDGIASRFLLGKTCSSRSERSKYLTSRQEAEFSRYLKEEAMMDAEAQLLRGCSSLDSENVAQYGRMKRRSEKAFLRARESRERITLSYKRLVVSIAATYQGKGLTIQDLIQEGCIGLLRGAQRFDHMKGYKLSTYVYWWIKQGIVRAIANKSRLIRLPGNLCEAMNKVAEANSSLRRRLGRSPTYKEVADLIDMDVSNVRLVSERSRPPISIDQVGKEGLRLMDIIPAQDDRRPETVVDRQLLLQNLEKALKTLSDREEYIVRLHYGLNGETVRSCDEIGRLMNLSRERVRQIHCGALKRLREDKSFVEYLHHNVA >Ma04_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6895163:6897240:1 gene:Ma04_g09720 transcript:Ma04_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDYLFKYIIIGDTCVGKSCILLQFTDKRFQAIHDLTIGVDYGAKMISIEDKLIKLCIWDTAGQETFRSITRSYYRGAVAALLVYDITRRRTFDHLADWLEDARRHANADMTIVLIGNKSDLARKRAVSTEEGEQFAKDHGLMFMEASARSGENVEEAFLSTAEIIYKRIRDGQYDVSNESCGIKIGEGGTQHPCGERSLSSSRYDSCCS >Ma07_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5107578:5116138:1 gene:Ma07_g07040 transcript:Ma07_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRNCISVLTGHNHYVMCASFHPKEDLIVSASLDQTIRVWDISSLRKKVSPADDILRLGQMNADLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHMNNVSSVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDALYYVKDRFLRFYEFSTQKDTQVVPIRRPGSVSLNQGPRTLSFNSTENAVLICSDVDGGSYELYIVPKDTAGRGDYMQDAKKGAGASAVFVARNRFAVLDKTSNQAIVKNLKNEIVKKCPLPSGTDSIFYAGTGNLLCRAEDKVAIFDLQQRIVLGELQIPPVKYVVWSSDMESIALLGKHAIVIANKKLVHRCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVSGRTIYCLDRDGKNCVISIDSTEYIFKLSLFRKRYDHVMSMIRNSQLCGQAVIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIEIAVASANVIDDKDHWYRLGVEALRQGNTSIVEYAYQRTKNFERLSFLYLVTGNKEKLSKMLKISELKNDIMGQFHNAMYLGDIQERVKILENAGHLPLAYVTAVTHGLKEVADRLTAELGENIPSLPKGKVCSLLMPPASLVCRGDWPLLKVMRGIFDNGLDVGRAGNEEEEEATGAEWGDEELDIVEMEGMLQNADIVAELEDGVVNEDSEEGGWDLEDLELPPDADTPKAAGNVRTSLFVAPTPGMPVSQIWIQKSSLAGEHVAAGNFDTAMRLLRRQLGIKNFAPMKPLFMDLLVGSHTYMHAFASAPAISIAVEKGWSEASSPNVRALPALVFNFSQMDEKLKAAYRATTEGKFPEALRQFLNILHTIPLIVVDSRREVDEVKELIEIAREYVLGLKMEVKRKEIKDNAVRQQELAAYFTNCKLQNIHMRLVLINAMTICYKGGNYATAANFARMLLENSPTEIQAKKARQVLQHAGDKQDANQLNYDYRNPFVVCGTTYVPIYRGQKDVSCPYCGARFVPAIEGQLCSVCELAMVGADASGLRCSPTQAK >Ma10_p25190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33448267:33464377:-1 gene:Ma10_g25190 transcript:Ma10_t25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILKKLHIVASHTEDADASASPSSSPVKGAVKVPHAHPDQKPLSSLTSWLNSVSSKHSFTPPSSSSSSASSSSSLVPAQRVEPREGPSTVSSSASEAVVDSAMRGSTLSKPTVPEMDEEYQIQLALELSAREDPEAAQIEAVKQISLGSCPPENSPAEIIAYRYWVLADSQGIPCRLVKGKQYTGSDDGALVIVKLNDGREYIVDLMSEPGTLIPSDGAGLATESEASITVKPFTEVGNHAHITTSNREVTNSARCTENEPFDKSSVSGYFEAPSTAGVMSCQLSLQENQNSSSLWRSSNNSCMQKNMNIPDESTTIGNNVMVKTPEASFRPRHVSKITRSPSWTEGISSSTVHRMKVQDVSEYMINAAKENPKLAQKLHDVLLESGVAAPPNLFTEICTVEAETSVAEETHLIEDKDETKMLKNELKQKTESDGRHGPVLPHLPSHMLRQKMVLARSQMDATKPVEGFSLCGSVDSGEAINTPSLSEVSDEHLPPEAPLQLIRHMPVAAAAATAAVVASSMVVAAAKSNTDMNLEVPVAAAATATAAAVVATTAAVSRQYEQLEPSALMLSGCSDTNLTPNNDIIPGNEQQGNCPGHDDIYMKEMASDSNQEMDRSSDKSTGTESTKSENALHDVVDFEIAWEDIILGERIGLGSFGEVYRGDWHGTEVAVKRFLHQDISTDALEEFISEVRIMKRLRHPNVVLFMGAVTHVPNLSIVTEFLHRGSLFRLIHRPNNQLDERRRLRMALDIARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRLKHNTFLSSRSTAGTAEWMAPEVLRNEPSNEKCDVFSFGVIMWELCTLQQPWEGMNPMQVVGAVGFQDRRLDIPDDMDPVIAGIIKKCWHIDPKIRPSFSEIMAALKPLQKPMSSAQGLRQKIQVVDSSGKGRS >Ma10_p25190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33448267:33464377:-1 gene:Ma10_g25190 transcript:Ma10_t25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILKKLHIVASHTEDADASASPSSSPVKGAVKVPHAHPDQKPLSSLTSWLNSVSSKHSFTPPSSSSSSASSSSSLVPAQRVEPREGPSTVSSSASEAVVDSAMRGSTLSKPTVPEMDEEYQIQLALELSAREDPEAAQIEAVKQISLGSCPPENSPAEIIAYRYWNYNALGYDDKILDGFYDLYGILVESTSLEMPSLTDLQGTPVSDNISWEAVLVNKTEDADLVRFEQKVSLMASKVRSASSDSPDNVLAQNVAILVANYMGGKVSDPDSMLKSYHNLRNYLRASVGNIVLPLGHLTIGLARHRALMFKVLADSQGIPCRLVKGKQYTGSDDGALVIVKLNDGREYIVDLMSEPGTLIPSDGAGLATESEASITVKPFTEVGNHAHITTSNREVTNSARCTENEPFDKSSVSGYFEAPSTAGVMSCQLSLQENQNSSSLWRSSNNSCMQKNMNIPDESTTIGNNVMVKTPEASFRPRHVSKITRSPSWTEGISSSTVHRMKVQDVSEYMINAAKENPKLAQKLHDVLLESGVAAPPNLFTEICTVEAETSVAEETHLIEDKDETKMLKNELKQKTESDGRHGPVLPHLPSHMLRQKMVLARSQMDATKPVEGFSLCGSVDSGEAINTPSLSEVSDEHLPPEAPLQLIRHMPVAAAAATAAVVASSMVVAAAKSNTDMNLEVPVAAAATATAAAVVATTAAVSRQYEQLEPSALMLSGCSDTNLTPNNDIIPGNEQQGNCPGHDDIYMKEMASDSNQEMDRSSDKSTGTESTKSENALHDVVDFEIAWEDIILGERIGLGSFGEVYRGDWHGTEVAVKRFLHQDISTDALEEFISEVRIMKRLRHPNVVLFMGAVTHVPNLSIVTEFLHRGSLFRLIHRPNNQLDERRRLRMALDIARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRLKHNTFLSSRSTAGTAEWMAPEVLRNEPSNEKCDVFSFGVIMWELCTLQQPWEGMNPMQVVGAVGFQDRRLDIPDDMDPVIAGIIKKCWHIDPKIRPSFSEIMAALKPLQKPMSSAQGLRQKIQVVDSSGKGRS >Ma02_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29376485:29377950:1 gene:Ma02_g25000 transcript:Ma02_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVNSLAYEAEARVKDPVYGCVGAISVLQRQVQRLQKELDAANAELLRYACNEIPMGLTVPPTMASPMMDYPRIEYCRRTGINGGSPLCPAPALALPSLPPWSGNDFPGDHENSNPVAMQITACELQNDHKATNLSSLR >Ma07_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31164460:31165424:-1 gene:Ma07_g23560 transcript:Ma07_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHPAKISRLIVQTKGLQSPAAVGSGRSNSEHHSPRRLFGEGSVRERLKLLGEFLGTPPTAANGTRQHATRNNGGGTASFDTNMVFILAALLCALVFALGLNSVVRCALRCGRRVVFENPEEVSSRLAATGLKKRSLRRIPVAVYGSGADFPATECPICLGEFADGEKVRVLPRCHHGFHVRCIDKWLASHSSCPTCRQSLLDHGGGDGVGGHVMESPHP >Ma11_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2150624:2154359:-1 gene:Ma11_g02920 transcript:Ma11_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYFVFALFLPLLLLFPLLIPAYSPPPLGSAPRVNRTPETQAEIDALIAFRLAVRDPLGALAGWDAASTSAPCSWRGVACARDAPRVVELRLPRLRLSGPISPRLAELRLLHRLSLRSNLLSGPLPLALAALTRLRSLFLQSNALSGPLSPALLSNLSALQVLSLSGNLLTGPVPPVLPPCVRYLDLSSNALSGPIPANLSAAAPHLQLLDLSFNRIRGTIPGDLGRLPALAFLWLDGNRLEGTLPAVLANCSSLVHLSLQGNGLRGIVPAAIVEMPKLQVLALARNGFSGAVPASVFYNVSGTSGSSLRIVQLGLNEFTELAPPPQGSRASVALQVLDLRQNRLAGAFPVWLANVTGLTVLDLSMNAFTGSLPPDVGHLASLHELRLGWNSMTGPVPVEIGRCGALQVLDLEENRFSGQIPTSLGSLSRLKDLYLGGNLFSSTIPASLVNLSKLETLSVHGNNISGSLTEELMRLSNLTTLDLAGNGISGEIPATIGDLTGLQTLNLSMNSLSGVIPAAIGRLLNLRLLDLSSQKNLSGDLPAELFGLPSLQVISLADNSFSGPIPEGFSSLWSLQILNLTANAFSGPVPATYGYLQSLRVLSLSYNNITGEVPAELANCANLTVLQLRSNDLSGPVTVDLSRLSDLRELDLGRNNLSGKIPPAISNCSALVTLKLDGNRLSGDIPDSLSNLSKLEVLDLSDNELSGSVPSALARIFGLAYLNVSDNSLRGEIPGVLSSRFGDPSAFAGNPDLCGYPLDGCQRRKRSYLILVIGLAAAAACVLVLLCCCFAFSLLRWRRRFLDGRTGVKKRSPGRGSGSSGGSSGGGENNGGPKLVMFNNRNTYADTLEATRQFDEENVLSRGRHGLVFKACYNDGTVLSILRLPSTSADGAIVIEEAAFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIGLGVARGLAFLHASGVVHGDVKPQNILFDADFEPHLSDFGLEPIVVTAGAAAAAAAASTSALPVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGAFAGEEEDIVKWVKRQLQRGQVAELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPVDRPSMADIVFMLEGCRVGPDLPSSADPTSQHSPA >Ma05_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7844534:7859971:-1 gene:Ma05_g10750 transcript:Ma05_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGEGGGEGRPRPPRGDLGDIVLSWSLGQIFDENLFQNQVVKIPSLFLSIEHYFGSFAAPLMEETHAEIHSSLVDISKAPRAKILAIENGQRKSETTQEYYIDVEFLNNHIDCGSQNYKARNGDLFILSSMKPEDVRDFNRYNVACCLALVTEVSMDDDVQKGFVVKLHKFTDVEYDMGNFKFALFLTNLLTNIRIWKALCFTSGMNNNMRIINEVLSPRPTMTIDIFLPISLQVTATCNTSLSGANDYWFTKLLDKLCSFDLNLSQIEAVRAAIHATGCRDSHCVELVWGPPGTGKTKTVSAMLWAFLHMKCRTLTCAPTNVAVVGVCSRLLQLIKNTDEKDKLHGLPSSLGDVLLFGNSERMEIDDELQDVFLDYRVEQLVDCFAPSTGWKYRISSVVSLLEDCSCMYHMFLENSHKEEALTFTNFFKKQFNAVVTPLENCIRNLWIHTNCISSGNVTEISSLLNLLETMHNLLCDKELSDDELKEVLLPDNSKCLLTKSIHDPASLEHGFSTSKLLSEARVESLHLLRVLQSSLSLPNTVDSRQIRTYCLQSASLIFCTASSSSMLHHVEMNPLHIVVIDEAAQLKECESMIPLRLNGLNNAILVGDECQLPSTVKSQVSKDAGFGVSLFERLSSVGQRKHLLNMQYRMHPSISLFPNFRFYKKQILDGPNVEGINYNKNYKDLKFGAYAFLNVADGIEEVDEHGNSKRNLVEVVVVLHLVQRLFIHWEDSGQILSIGVISPYSSQVNAIKEKLGNKYGAYDGFRIRVKSIDGFQGEEDDVIILSTVRSSDKANVGFLQDHQRTNVALTRARHSLWIVGNAKTLERSGTIWKCIVLDAKARKCYFDANDDVCLAKSILHVKHELDQLDDLLRSDSVLLSGSRWKVLFSDDFKKSFLRLRQLHAKQEVIHLLLRLSNGLRSKSKIQGISDSFDLVRISRLKDLYLIWTVDIIKEERYVQVIKVWDLRPFEQIARLVSRLDHIFSTYTEVYLKHCKAACVEGNLEVPMSWNVVCDMIRYKTLKKARSTTEEDAVQLDSSSHMEDSKVNESLLLMKFYSLSSRVVRHLLIANDGTDVVIPFELNDQEKEIIRFPLSTFILGRSGTGKTTILTMKLIQKEQLYSIASEGASEIFGLSDAADRSVVLKKHSSPNKGHFLKQVFLTVSSKLCSAIRSHICQLQSIASGCDFCGPAISLEMNDKSELSDLLDIPDSFTSLKQEHYPLVITFRKFLMMLDGTFNSFFDKYYNKWGVIPNQRGCAKSFALQSLIQSKEVNYEKFVGSYWPHFNKQLTKIVNPSTVFTQIISHIKGGLDSGTVHAGKLKREDYLLLSEKRVSTLSRETRETIYDIFIDYENKKLLYGEFDLSDFVNDLHQQLARNGFAGDMIDFVYIDEVQDLTLRQIRLLRYVCKNFEEGYTFAGDTAQTIARGIDFRFEDIRSLFYTEFLSEVNSGYQQKKKEREIHVTDLFQLNQNFRTHAGILGLAQSIMDLLYYFFPLSVDKLIPEFSLICGEAPVLLESSNDENAILTIFGNSGSGQRCLSGFGAEQVILVRDTVTKEQIFDQIGKQALVLTILECKGLEFEDVLLYNFFGTSPLKKKWRVTYEYMKHRDILEPCVSRTFPSFELERHNLLCSELKQLYVAITRTKQRLWICENTDEYSKPMFDYWKRLCLVQERHLDSSLAQAMKVASSAEDWRLRGIELFNEGNFDLAAVCFEKAGDEYNEKWAKAAGLVAHADHVISTNTDMGEIALKRAAEIYESIDKLESAATCYIKLNDFKEAGMIYMEKCGISRLKDAGDCFAMAECWTDAAHAYAKGKFLSECLLVCSKGRIFETGLEIIEQWNEASCADGRQKHELNEMISSYLENCAQHYLELGGIQCMMMFVKAFQSNDVRLRFLDVVHHFLKSNNRIDDLLTVELELGNYIEAASIARNKGNMLLEAEILEKANCFEEATTLLLLHVLMSSLWSSGSKGWPLKRYAEKENHLMKAKELAQWKSGSFQEYVFLEADILSDREKSLSDMSRHLVEAQKHRNLRQEVFSSRAILDVHIESKPFKFLHGQVSVFDSDKHVNDIISQKCLSVDTMMYAWNLWKAVILDLLSHLDDPKLTKDGSRYEEFYLEYFGVRTLDEKNMYFIQNPEAYWVKNTVKFSLVKKKNLVWLSASECISCAKSYWSTELFSVGMKLLDCLQALHNFYVQKNLYSFCRGRMAIWIYEVAKFLIESELTSASSMNKSSMNKVHGYYNLSQTWYFDIIFPLDWRNDTMENVIFLHENKTAMEIIDVSLTGILERRNGKLTHGQIGRLVMLLFLTGQLSDELFKMIMNCLTNMQPWRDFIEQYRTFLNFGFARFPVISTFESALQSSFNVYWKNEIDYLSPHCFIYLIECLTFFASSCRGLGGHFFTTKSSLLEMIKCHGCKGYMTACLSSVPDLSQNTVQLSLGYIIETIKILLGDKHQLFAWMNKSSMRRESYPLLALKLVIILYLTHLNAGRSTYAIGRFLSENKIYEDLPKAFSVQLLHQAKNYGAGGSFQFIGVFAEALRMVGNSLVIVCSAESPVMLSSLNALTINSEHLRCTEKVFALLFPEKKMIFQSKQSERKPIEKGSIVIDPSNNRNQEVDSIPSNVSHEKDQGPGLGDREFGKELAEQCKHFRQKLEEFLSGKYDDVEDTINIFGTTMNWIKQKDQLKDSEGHLMAEMRNLHVELQLLATMRSEGTQEGCPTKADLYSKWKGLRASLEHLMDKLIPQKEAVTKEASPSDDDNDIDNTQGCDPNMREAVAIPEASSSSQTKASKHKENKKSRKKGKGKGKRK >Ma06_p26530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28393354:28394989:-1 gene:Ma06_g26530 transcript:Ma06_t26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQPPVGVPPPQGYPPEGYAKDAYPPPGYPQQGYPPAGYPPQGYPPAGYPQQGYPPPYAQQPPPRQQSSGPSFVEGCLAALCCCCLLDACF >Ma09_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9868173:9869590:-1 gene:Ma09_g14430 transcript:Ma09_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEALGFTTKELCNMGCEIGGGGDGRRKLNRGREVATGKRGSGSSWDTCCSSMLQNFDDWDVDSWSPKTGSAAVCQEGTADRRKRRRTKRFKNKEEVETQRMTHIAVERNRRRLMNEYLAVLRSLMPASYVQKGDQASIVGGAINFVKELEQSVQSLEAQKRIRERSKAAPFADFFTFPQYSSSSSHCTSTSSTDTTATVEAARRNPSAMADIEVTIVESHANVKIFSRWRPRQLLMLVLGLQNLRLTTLHLNVTTVDEMVLYCFSLKVEDDCQCASVDVIATAVHQIIARIEEEETAHH >Ma09_p19850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26585027:26598935:-1 gene:Ma09_g19850 transcript:Ma09_t19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSESKTNSNPRQVRRHSAAKSRVSRPMECARKKETLQLDRNVSEDGSSTSESSCGLSVLKAGRFETRKRQSRVKPVKVVSQGVGEAVVVPQLQLERTIGKRRCTWITPFSEPLYVSFHDEEWGLPAYDDQNLFELLSLSAALSEFSWPTILNMREKFSGSLLSEQKMRAVVENARQILKVIEEFGSFSNYCWSFVNHKPVVNGFRYARQVPVKSPKAEIISKDLMRRGFHCVGPTIIYSFMQAAGIVNDHLSSCFRFTDCNGSCYNQLNMKAEATSLAKTLNQSCTLQA >Ma09_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26585027:26598935:-1 gene:Ma09_g19850 transcript:Ma09_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSESKTNSNPRQVRRHSAAKSRVSRPMECARKKETLQLDRNVSEDGSSTSESSCGLSVLKAGRFETRKRQSRVKPVKVVSQGVGEAVVVPQLQLERTIGKRRCTWITPFSEPLYVSFHDEEWGLPAYDDQNLFELLSLSAALSEFSWPTILNMREKFRKLFDNFDPTSVAKFTEKKILSLKSSGSLLSEQKMRAVVENARQILKVIEEFGSFSNYCWSFVNHKPVVNGFRYARQVPVKSPKAEIISKDLMRRGFHCVGPTIIYSFMQAAGIVNDHLSSCFRFTDCNGSCYNQLNMKAEATSLAKTLNQSCTLQA >Ma03_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2378983:2384812:1 gene:Ma03_g03530 transcript:Ma03_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVIYQIDRLIHPSMAERGNENLSSASRLLLLVLFFLSAAEAEGAAFTAAEADAAISRFQEYLRIDTAHPSPDYAAAAAFLRSQADSLSLKHETLEFVAGKPLLLLKWPGRRPSLPSLLLNSHTDVVPAEPHKWVHPPFSAALGSEGNIYARGSQDMKCVGIQYLEAIRRLKAARFVPDRTIYISFVPDEEIGGTDGVGALVASDTFKQMRVGVVLDEGLASPRPEYRVFYGERCPWWLVIRAQGAPGHGAKLYDGSAMENVMKSVEAVRRFRAAQFDLVKTGTKVEGEVVSVNLVYLKAGIPSPTGFVMNLQPSEAEVGLDVRVPPNTDPKALEKRIAEEWAPSSRNMTYEFKQKVSVYDMFGKPAITAADRSNSWWILFEEAVKEANGKLSKPEIFPASTDARFFRELGIPAFGFSPMANTPILLHDHNEFLNKEEYLKGVTIYESIIKAFASYKDEVEESKAEL >Ma03_p30610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33187565:33189995:-1 gene:Ma03_g30610 transcript:Ma03_t30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSLRSPLPSILLLLALSSTPPSSSESYYRYADCAPYTYSCGGTKINISYPFRVDSRADYCGYPGYYVACSKNNTSMTIEIDGKGYVVKDIDYLNRLITVVDPPFVNQSCPQPYQNTSLDISLYSYSDRDVNVTVFVNCTALSPPIPDVHDMGCATGGRHGFYQLTDENHIEMFENCSSMVVVPIHQAAAVEIGYGKRSFSDAVKGGFSLRWEAGEGWCRDCVESGGRCGFDVLSQESHTCFCPHNSTVGTCSPSNKGSVIGGISLLCLFLRVIYALRFRKSPDVGAFDRQNCCIPCRRRSCGNSAQGVEAFLRQCGILAPKRYRYSEIKRMTKSFDDKLGQGGFGSVFKGALQDGRLVAVKVLKATKGHGGEEFINEVASISRTSHVNIVGLLGFCLEGSKRALVYDFMPNGSLEKFICSDKSTEEPRFGCEKLFEIALGVARGLEYLHGGCSTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCPPKVSVISMADARGTVGYIAPEVFSRGFGVVSSKSDVYSYGMLLLEMAGGRRSIGATDENTSEVYFPHCLYDDLDRYCNVGAAGVTTETREVARKMMIVGLWCIQMMPENRPSMSEVVEMLKGSATDLRMPPRP >Ma03_p14140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11304163:11313179:-1 gene:Ma03_g14140 transcript:Ma03_t14140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEQDSEVYRWGIDLFHGEFFPNPSYSGSTTEQDSGLNGNSYIRQDASATAHEYEEHTQASFFTHDLFDPYCSSGIKDGQEEMDDTKPSSSCSSPARNSYEEGYSLDVTDEFSFVDGEVGRRLNQMVPVPHVPKINGEIPSVDEATSDHQRLLERLQLYDLVERKVQGDGNCQFRALSDQLYRTPEHHEFVRQQIIAQLKSHPEIYEGYVPMTYGEYLNKLSKLGEWGDHVTLQAAADSYGVKIFVITSFKYTCYIEILPSVQKSNRVIFLSFWAEVHYNSIYPEGDLPMADTKKKKKWWKFGNKH >Ma03_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11304163:11313179:-1 gene:Ma03_g14140 transcript:Ma03_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEQDSEVYRWGIDLFHGEFFPNPSYSGSTTEQDSGLNGNSYIRQDASATAHEYEEHTQASFFTHDLFDPYCSSGIKDGQEEMDDTKPSSSCSSPARNSYEEGYSLDVTDEFSFVDGEVGRRLNQMVPVPHVPKINGEIPSVDEATSDHQRLLERLQLYDLVERKVQGDGNCQFRALSDQLYRTPEHHEFVRQQIIAQLKSHPEIYEGYVPMTYGEYLNKLSKLGEWGDHVTLQAAADSYGVKIFVITSFKYTCYIEILPSVQKSNRVIFLSFWAEVHYNSIYPEGDLPMADTKKKKKWWKFGNKH >Ma03_p14140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11304163:11312685:-1 gene:Ma03_g14140 transcript:Ma03_t14140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEQDSEVYRWGIDLFHGEFFPNPSYSGSTTEQDSGLNGNSYIRQDASATAHEYEEHTQASFFTHDLFDPYCSSGIKDGQEEMDDTKPSSSCSSPARNSYEEGYSLDVTDEFSFVDGEVGRRLNQMVPVPHVPKINGEIPSVDEATSDHQRLLERLQLYDLVERKVQGDGNCQFRALSDQLYRTPEHHEFVRQQIIAQLKSHPEIYEGYVPMTYGEYLNKLSKLGEWGDHVTLQAAADSYGVKIFVITSFKYTCYIEILPSVQKSNRVIFLSFWAEVHYNSIYPEGDLPMADTKKKKKWWKFGNKH >Ma03_p14140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11304163:11313179:-1 gene:Ma03_g14140 transcript:Ma03_t14140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEQDSEVYRWGIDLFHGEFFPNPSYSGSTTEQDSGLNGNSYIRQDASATAHEYEEHTQASFFTHDLFDPYCSSGIKDGQEEMDDTKPSSSCSSPARNSYEEGYSLDVTDEFSFVDGEVGRRLNQMVPVPHVPKINGEIPSVDEATSDHQRLLERLQLYDLVERKVQGDGNCQFRALSDQLYRTPEHHEFVRQQIIAQLKSHPEIYEGYVPMTYGEYLNKLSKLGEWGDHVTLQAAADSYGVKIFVITSFKYTCYIEILPSVQKSNRVIFLSFWAEVHYNSIYPEGDLPMADTKKKKKWWKFGNKH >Ma04_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26367084:26373397:-1 gene:Ma04_g24340 transcript:Ma04_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARLGHRSREPQPTWLAVAELFLFLLAVVLSAPSPALSASTVTHLPGFRGPLPFHLETGYVEVDEVNGAELFYYFIPSEGRPSEDPLLLWLNGGPRCSALSGLVFEVGPLKFVPAEYNGSLPNLVYHPYSWTKVANMIFLDSPVGSGFSFSRRYEGYNANDMSWSEHVYKFLIKWFIDHPQFLSNPLYISGDSYGGKIVPIVAHLVSEGTKVGNKQLNLKGYLIGNPVTGENFDENSRVPYAHGVGIISDGVFEMIQRSCKGQDHRNPTTVQCASCLETFENFCSEIYENHILEPKCALASPKRKDMILDRRSLKENMDLLKPPPVPELNCRGYAYFLSYYWANSNAVRQALHIKKGTVEEWQRCNSDLPYANGLKSNLEYHLNLTTRGFRALVYSGDHDLYIPFVGTMAWIKSLNFSLVDDWRSWHVEGQVAGYTMKYANNLTFATVKGGGHTAPEYRPKQCLAMIQRWIFHEHL >Ma08_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4368484:4375780:-1 gene:Ma08_g06470 transcript:Ma08_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGAAKDTKEREEVVHIACSICLEAVKSGGDRSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKVEEGNWLYANGSHPTPELNMDDYIHDEDLYSLGYMENLLCIFFPFGGHWCPFRGLARIPSLFEEGESSPPVAFRDPLGYHAIVMENQATLSAAHPCPFIGARLPQTSSSSSNHPFDVHTDGPGYHYRWSHFPSARDVQNPLMMAPSNLHYRGWQHHHPSYSPNAHVGGADAASGFPRLRTDGLPTADSVFHPFVLSHGSGSRAGATSSSVPSLVPPYLRNHGNIHERYRLQTSQSLEGTAMLQPGSSRGFNPLDQCGPFLVSPAIPLGQTAVDAENMGSNRLCAWERECFASHHPYSRVDRECSCWGPFPPAIGVSDSNPRMTFFPPNVPEISSSQAGYNRSIPPAHMLSLM >Ma03_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8952538:8956536:-1 gene:Ma03_g11540 transcript:Ma03_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNSRYGEFNQRIDYVFKVVLIGDSAVGKSQLLARFARNEFSVDSKATIGVEFQTRTLNIDDKTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHVARWLDELRGHADRNIVIMLIGNKSDLGSLRAVPIEDAKEFAQRENLFFMETSALEATNVEGAFVTVLTEIYRITSKKSLVANDQSDSTGNSSLLKGTQIVVPGQEPPSESKSMCCG >Ma04_p32510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32432839:32443870:-1 gene:Ma04_g32510 transcript:Ma04_t32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTVGISQQAPEEEEKRCLDSELWHACAGPLVCLPTAGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPSLLPQLLCQLHNVTLHADVETDEVYAQMTLQPLSPEEQKDAYFPMEMGIASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRHANRPQTSTPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHARVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRTVQVGWDESTAGERQRRVSLWEIEPLTTFPMYPSLFPIGLRRSWHPGASFPHDNREEFNTFMWPRGVPVDQGMYSLNLPSLGTGPWIQQRHETLLLGSEFDQYQAMCPLAFQDIRCGDILKQQFLQNQQPIQFLQQSCTSSSLFKPQDNQQQIVNPQPQCLTENHRHPVPYQQLQPPHTEQQKQLPQEANVYTQAFTMHNNHVQRQSALPSPLFENSTIPDSSLNFSSVPTPISDQDILGSAYREGNVSGSNYSRLNQSMINHPGQKSWELNFTKSQMISFDGAALLSSFPAKNSTVGNDNFTDTQTCTLFGFSKDSSSLLNSALSNSGTVNDVSTMPYTSSCFQNSFYGYLDDSPSLLHGAGETDLQSQTFVKVYKSGSVGRSLDISRFSNYEELREELGQMFGIEGLLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDVLKMGKQGVDSFC >Ma04_p32510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32432839:32443870:-1 gene:Ma04_g32510 transcript:Ma04_t32510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTVGISQQAPEEEEKRCLDSELWHACAGPLVCLPTAGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPSLLPQLLCQLHNVTLHADVETDEVYAQMTLQPLSPEEQKDAYFPMEMGIASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRHANRPQTSTPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHARVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRTVQVGWDESTAGERQRRVSLWEIEPLTTFPMYPSLFPIGLRRSWHPGASFPHDNREEFNTFMWPRGVPVDQGMYSLNLPSLGTGPWIQQRHETLLLGSEFDQYQAMCPLAFQDIRCGDILKQQFLQNQQPIQFLQQSCTSSSLFKPQDNQQQIVNPQPQCLTENHRHPVPYQQLQPPHTEQQKQLPQEANVYTQAFTMHNNHVQRQSALPSPLFENSTIPDSSLNFSSVPTPISDQDILGSAYREGNVSGSNYSRLNQSMINHPGQKSWELNFTKSQMISFDGAALLSSFPAKNSTVGNDNFTDTQTCTLFGFSKDSSSLLNSALSNSGTVNDVSTMPYTSSCFQNSFYGYLDDSPSLLHGAGETDLQSQTFVKVYKSGSVGRSLDISRFSNYEELREELGQMFGIEGLLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDVLKMGKQGVDSFC >Ma04_p32510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32432839:32442683:-1 gene:Ma04_g32510 transcript:Ma04_t32510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFLYLVVQLKKSFRPWCDGHEQDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRHANRPQTSTPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHARVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRTVQVGWDESTAGERQRRVSLWEIEPLTTFPMYPSLFPIGLRRSWHPGASFPHDNREEFNTFMWPRGVPVDQGMYSLNLPSLGTGPWIQQRHETLLLGSEFDQYQAMCPLAFQDIRCGDILKQQFLQNQQPIQFLQQSCTSSSLFKPQDNQQQIVNPQPQCLTENHRHPVPYQQLQPPHTEQQKQLPQEANVYTQAFTMHNNHVQRQSALPSPLFENSTIPDSSLNFSSVPTPISDQDILGSAYREGNVSGSNYSRLNQSMINHPGQKSWELNFTKSQMISFDGAALLSSFPAKNSTVGNDNFTDTQTCTLFGFSKDSSSLLNSALSNSGTVNDVSTMPYTSSCFQNSFYGYLDDSPSLLHGAGETDLQSQTFVKVYKSGSVGRSLDISRFSNYEELREELGQMFGIEGLLEDPLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDVLKMGKQGVDSFC >Ma06_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5292471:5296863:-1 gene:Ma06_g07450 transcript:Ma06_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVPMFNATSFSSNSVRLVALHAPLSSSAVAPAARFAPSPRSGLCSAPRAIHHEQLFGIKTSRLMRKANCIKASASGNMAQASTTISKEEAFEWVKKDNRRMLHVVYRVGDLERTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVVELTYNYGVDQYDIGSGFGHFGIAVEDVAKTVDLIKAKGGKVTREPGPVKGGKTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFHEKAFGMELLRKRDNPEYKYTVAMMGYGPEDKNTVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEAIKLHGGKITREPGPLPGINTKITACLDPDGWKTVFVDNIDFAKELE >Ma04_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6106444:6113106:-1 gene:Ma04_g08540 transcript:Ma04_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDSGPSAKPGAATNVSSEKDRSGNGDYASGRWKSEDGRLACGYSSFRGKRASMEDYYDLKSAKIDGQSISLFGIFDGHGGSRAAEYLRNHLFDNLTRHPKFMTDTKLAISETYKKTDSDFLDAECNTSRDDGSTASTAVLIGKHLFVANVGDSRAIVSKAGKAIPLSDDHKPNRSDERKRIEDAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVAEPEIQEQVVDDELEFLVLASDGLWDVVTNEDAVSIVRAEEEPDAAARKLTETAFSRGSADNITCIVVRFHHEKTKVDSPPPAGTHG >Ma02_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15373363:15389222:-1 gene:Ma02_g03940 transcript:Ma02_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MAAASLLLSVPVAKTEGTLLSGSNLLPGPDRVAALFRRGIHRRAAKCRPARRRPPAAVASLGGLLGGIFKGTDSGEGTRQRFSEAVALINRLEPEMSRLSDSELRERTSLLKERARNDEPLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAVLPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTSEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRDFNFCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAAAFERDIHYTVDEKQKTILLTEQGYEDAEEILDIKDLYDPREQWASYVLNAIKAKELFLRDVNYIVRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETITLASISYQNFFLQFPKLCGMTGTAATESAEFESIYKLKVSIVPTNKPMIRKDESDVVFRATTGKWRAVVVEISRMHKTGRPVLVGTTSVEQSDALSEQLHEDGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNSEFMARLKLREILMPSVVKPIEGAFVSVKKLPPRKTWKVNEKLFPCDLSKETISLANDAVELAVKSWGQRSLTELEAEERLSYSCEKGPTRDEVVAKLRDAFIKIVQEYKVYTDEERKKVVAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGGSRFFLSLEDNLFRVFGGDRIQGLMRAFRVEDLPIESTMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALISDNLQSLIIEYAELTMDDILEANISPDTPKENWDLEKLIAKVQQYCYLLNDFTPELVGSKCPSYEDLREYLRYRGREAYFQKMEIVEKQAPGLMKEAERFLILTNIDRLWKEHLQAIKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLEMMAQIRRNVIYSIYQFQPVLSKSQQQGDGSMRKDSRRKGADTDANPIGAAQAAS >Ma09_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10401616:10404299:-1 gene:Ma09_g15080 transcript:Ma09_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQRTTGSSLFLLFVFFSFFGGGAPDDLASDRTALLAFRAAVVGVARRWNSTKSSPCSWSGVTCATGRVTKLRLPGSYLVGRIPPGTLGKLTALRVLSLRYNLLYGTIPHDFAALTTLRHLCLQHNRLSGEIPTVVFALRHLVRLNLANNSLSGAIPPAFNDLTGLSTLLLEGNRLSGALPDLHLPGLWHFNVSFNQLNGSIPAGLRSLPASSFIGNTLCGGPLPACPGEFPPAPSPLNPRGAGGGSKKLSAGAIAGIAIGSAAGLLVLLLLLVLCCRKKERGEAEPKAAQKMEPESEMALRSNQEVADNASGPRPTRPPPPAAAMAGTSSGSGQKLVFVGKLQRIYDLEDLLRASAEVLGKGATGTTYKAMLEMGMVVVVKRLRDVNLPEKEFHERMEAIGATDHPNLVVLQAYYYSKDEKLLVYEFAPNGSLSSVLHGNTLLDWETRLEIALGAAQGIEYIHLKGAGVSHGNIKSSNVILSRSNKACVADFGLSSLGSIPMPNQRAAGYRAPEVTDVRKVSQKADVYSFGVLLMELLTGKSPAQTLNDEDTIDLPRWVQSVVREAWNSEVFDLELSRHQNVEEEMVQLLQLAIDCAVQYPESRPSMSEVVAQIEQIRSSFSVRQQQQGGTISSGVRFS >Ma06_p34480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34697604:34698962:-1 gene:Ma06_g34480 transcript:Ma06_t34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPAAAGDAGEEGPSASSAPDDPPPPLAPTAPPAQPQQPLSRYESQKRRDWNTFLQYLRNHRPPLSLARCSGAHVIEFLKYLDQFGKTRVHAPGCTHFGLPKPPAPCACPLKQAWGSLDALIGRLRAAYDENDGRPESNPFGAKAVGIYLREVKAYQGKARGIPYEKKRKRKRLPGTAPVEGSSAGGGEATVAGAFSSSAASEGSSAPPTSS >Ma07_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12094750:12094881:-1 gene:Ma07_g15910 transcript:Ma07_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRETTELQVDPQIIVSPYILLLQISLEVSFVFKSKIISTCS >Ma10_p31380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37558663:37563522:1 gene:Ma10_g31380 transcript:Ma10_t31380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKDDVDAEFQERGVNEADSDDEVYDQYNGKVTDISAAQTRKGKDIQGIPWDRLNFSREDYRRTRLEQYKNYENVQNSGVESEKDCKPTEKEGVYYDFRRNSRSVRSTILHFQLRNLVWATSKHDVYLMSHYSLVHWSAVTRNKYEVLNLAGHVAPRQKHPGNLTEGFSQTQVSTLAVKDKLLVAGGFQGEIICKYLDREGISFCCRATYDDNAITNAIEIYNSSSGALHFMASNNDSGVRDFDMEKFQLCKHFHFDWPVNHTSLSPDGKLLVVVGDNPEGALIDSCTGKTVQILGGHLDFSFASAWNPDGYTIATGNQDKTCRVWDVRNLSKSLAVLRGNLGAIRSIRFTSDGQFMAMAEPADFVHIFDVRSGYKERQELDFFGEISGISFSPDAEALFIGVWDRSYGSLLQYNRIHNFSYLDLLL >Ma10_p31380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37558680:37563522:1 gene:Ma10_g31380 transcript:Ma10_t31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKDDVDAEFQERGVNEADSDDEVYDQYNGKVTDISAAQTRKGKDIQGIPWDRLNFSREDYRRTRLEQYKNYENVQNSGVESEKDCKPTEKEGVYYDFRRNSRSVRSTILHFQLRNLVWATSKHDVYLMSHYSLVHWSAVTRNKYEVLNLAGHVAPRQKHPGNLTEGFSQTQVSTLAVKDKLLVAGGFQGEIICKYLDREGISFCCRATYDDNAITNAIEIYNSSSGALHFMASNNDSGVRDFDMEKFQLCKHFHFDWPVNHTSLSPDGKLLVVVGDNPEGALIDSCTGKTVQILGGHLDFSFASAWNPDGYTIATGNQDKTCRVWDVRNLSKSLAVLRGNLGAIRSIRFTSDGQFMAMAEPADFVHIFDVRSGYKERQELDFFGEISGISFSPDAEALFIGVWDRSYGSLLQYNRIHNFSYLDLLL >Ma02_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19809919:19816041:-1 gene:Ma02_g10130 transcript:Ma02_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MEENAKRNRVDLDRLPIKRLDAIDEAGNEQFPPDVSHEEKRLGMIRRIDFSNVVAENTDTRKKQKKSPKEAAPAPQQAWPWQSLVENLQLAHQELSIIIDLINTVEANDAVAVAGMQRPKQLPNEILSDLAVSAATKLQRLRNLGRYFKQSSKAMEQKVSKEARFYGSLIRLQQHWKVKRQRLLAAGPGSEGFTFDMLDNPADTTMISRPSPIGVVRVLHETAGLLAIQRPQRSCRFISIRYLGTNFSSKHKGLPTGNIYGSDESPQADKKEALTDEDVNSWVKDTHSALREIHQSIFLEQVFDRVNRESYGPSPGINVTGMREDFLQLAIDQDTSICLCLVSSKNEDDIQMIDSRRHPQNGENGLTRPDSSASANTNEDNNPLKMNKFGVPNPVSLEIYLLHVFHKNFQVKVKERHFATRAPVPGQAPADSCGLSHFCKTVAHRIFSNKVLAEIECLVSRIPYLQLLSHPTWHSRSSSWSLSLKFPDSIFHAGCLSKHPNIYDVKHLTRSQFHTKIVVKDDQVTVSGEGAPGILSSFRGISADVFSVSCYGCDLDDLPLVLLQQIAGQIIHWLHEEALVVGMKVSRDFLCLYFDVDQGDMLGLVAHVDPNDVDGCISWWLIMEDGLTEDGKFSREKGEYENRRFLGHLSLEALYSLLMDLVNLCSSTTGSH >Ma04_p32480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32414476:32425423:-1 gene:Ma04_g32480 transcript:Ma04_t32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MKRAFDEISDDEWERHTFRPSRVLKRTKTPSPPPIESFAYRPKALGGYSSSNATGSFESPVNLDDDDEEENAELKVVRPPQGGGRGRRFVVDEDSEAGNAVEVLEVRSTTVDDEEISWTDEDDVEALSEEAVVTAEEEEVEEVDVVGKALQKCAKISLALRRELYGSSVSNCDRYAEVEAFSSRIVTQEDIDAVFFDEESDFEPILKPYQLVGVNFLLLLYRKNIGGAILADEMGLGKTVQAVTYLNLLKHLDRDPGPHLIVCPASVLENWERELKRWCPSFSVILFHGSGRTTYSKELSSFGKAGLPPPFNVLLACYSLFERHSAQQKDDRRILKRWQWSCVLMDEAHVLKDRNSYRWKNLMSIAQSARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDVDLKKLLNAEDSDLIPRIKSILGPFILRRLKSDVMQQLVPKIQHVQYVYMGSEQSMAYAKAINEYRAASEARVLKSTTCKSGGVGGLLPKRQISNYFMQFRKIANHPLLVRQIYSDEDVVCVAKVLYPKGVFGFECSIQRAIQEIKSYNDFEIHRLLISYGNNGTKGALTDEHVLASAKCQALAELLPLLKKDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGSTQVLERQTIVDTFNNDPSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQDKPVTIYRFVTKDTVDENIYGIARRKLVLDAAVLESGAELDNENDVPEKTMGEILSALLL >Ma11_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21900804:21903234:1 gene:Ma11_g16350 transcript:Ma11_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSLLPGFRFRPTDDELVGYYLKRKVDGLGTELDLIPVVQLYKYDPWDLPDKSFLPKRDMEWFFFCPRDRKYPNGLRTNRATASGYWKATGKDRKIACEPSVFALRKTLVFYRGRAPGGERMDWVMNEYRLCENLHEGSSNFVGGFALCRVIKRNVHGMKTGDLHGESKAKRCPSSSDAFKFDPKGYSGKVLNSLEENSSRVTKVSHRSTDSTPIASPDADREIDSEMRGFWESQVVSDASKTSLVDRGISSSMITIGNTAEREASPPSNLSPFSVDLIEEEFGVVGGFPGSGSLLAFPSPAYCMGLYGNARDITYQSLELDAPRDPSASHSGTETWNTTAPASVCRQAGEGEDESLWLHEDNLVVVI >Ma03_p28400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31518978:31527696:-1 gene:Ma03_g28400 transcript:Ma03_t28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTKEVRYTSRSVTPPPSRRSKSITPSRSRSRSRSRSQDFDSSNPGNNLYVTGLSTRVSSSDLEKYFSKEGKVSECHVIVDPRTRESRGFGFVTMETVEGAERCVKYLNRSVLEGRLITVQKAKRKRGRTPTPGRYRGARERRGRDRRRSRSYSPYWSRRRDRSRSRTRSRSRSRGRRDRSYSPYARESHRRRRERSSSRTSDRKSN >Ma06_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14867281:14870919:-1 gene:Ma06_g20720 transcript:Ma06_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPHRSVTMASSPCLRYLIVLVIVIVGSVSPPSLASVGSPRELLESARAPEFFDWLTTIRRRIHQHPELAFEEYGTSELIRSELDALGVEYTWPVAKTGIVASIGSGAGPVFALRADMDALPLQELVNWEYKSKESGKMHACGHDAHVTMLLGAAKLLQHQKSELKGTVKLVFQPGEEGYAGAYHMLQEGTLDDVEAIFAMHVQPSLPTGHIASRAGPLLAASGRFHAIIKGQGGHAASPHRTIDPLVPASFAILSLQQLVSRESDPLESRVVSVGFIKAGEAHNVIPESVTFGGTFRSMTREGLSYLSRRIKEIIETQAAVHRCTASVDFMEEKLIPYPATVNDHQMYSHVRKVGENLVGEDNVHESPPTMGAEDFSFFSQRMPSSMFWLGVRNDDSVGPVHPLHSPYFFLNEQALPIGAAFHAAVAMSYLDEHSASS >Ma03_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28487465:28490426:-1 gene:Ma03_g24150 transcript:Ma03_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQCELCDRPARMLCESDQASLCWECDARVHGANFLVARHTRCLLCRSCQSPTPWRAEGARLGPTVTVCERCAAADGAEDGDGGQGKGSGVDDEGGEGKGEEREVVEDDDEEDDGDEGEEDADADEDEGDDDDDEGENQVVPWSMTPPPVASSSSGEESGRMGNGGGFLKRMRENVDLGVSQEATYRALTPAAANDEATSFGSASRSSNDRKRLALLRPSTAEPSVS >Ma03_p24150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28487465:28490425:-1 gene:Ma03_g24150 transcript:Ma03_t24150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQCELCDRPARMLCESDQASLCWECDARVHGANFLVARHTRCLLCRSCQSPTPWRAEGARLGPTVTVCERCAAADGAEDGDGGQGKGSGVDDEGGEGKGEEREVVEDDDEEDDGDEGEEDADADEDEGDDDDDEGENQVVPWSMTPPPVASSSSGEESGRMGNGGGFLKRMRENVDLGVSQEATYRALTPAAANDEATSFGSASRSSNDRKRLALLRPSTAEPSVS >Ma03_p24150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28487465:28490426:-1 gene:Ma03_g24150 transcript:Ma03_t24150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQCELCDRPARMLCESDQASLCWECDARVHGANFLVARHTRCLLCRSCQSPTPWRAEGARLGPTVTVCERCAAADGAEDGDGGQGKGSGVDDEGGEGKGEEREVVEDDDEEDDGDEGEEDADADEDEGDDDDDEGENQVVPWSMTPPPVASSSSGEESGRMGNGGGFLKRMRENVDLGVSQEATYRALTPAAANDEATSFGSASRSSNDRKRLALLRPSTAEPSVS >Ma03_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5402523:5402627:-1 gene:Ma03_g07680 transcript:Ma03_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAFKKAAGGRSTTGRRKRRALISVSLSSNGSS >Ma03_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26802539:26804132:-1 gene:Ma03_g21940 transcript:Ma03_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCAMQQNAFAAACEEMRAPFAFVDRKAPIFCPRPRRFSPLAAVVDPVRPVRWQSSHQSDFSDSNAGPDPLDIFLAKDEELDQLATSPPFFCGSPPSRAANPVVHDARFGENHPPALFAPLPLTLSGPPMSPKQGCAHDKFGVLPATVRVEGFDCLNRDGRSCSGIAAVA >Ma06_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:846419:848556:1 gene:Ma06_g01030 transcript:Ma06_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWHEQGNTKAAMEELIRGQEQATRLQSMLKDMLPPEYPSGPAADLLIEVLSSFSKALSLLDLGSSAEANPPSSNGGVRRKMQSPRRVSCRGRQHPYTCRTTFLKTIEDGFTWRKYGQKNIYGAKHPRSYYRCIHKHGQGCQATRQVQRTEKDDSTFAITYMGDHTCTDATTPRDQPTHHDITSGSNTAAANDRRATPPSSALGNPKQECEEEVISNHSPSTPSSSQLLKLPALSALEGSSPPMAQLMEAVSEQYCSLEMEFDPSEFKLEDMFELSHDEYNC >Ma03_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27969830:27972254:-1 gene:Ma03_g23380 transcript:Ma03_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILAGLGSLGSSLIGRVKDQISDYLIGRVLEDPGVADLHELRNTLSGTERIIGRVENMWIKDEDKKKRLKELLMKLKDTVYDADDLLDEIQFRVLKQQIEQQGAQGRFFGREYDVIRMITSVVPRTTTSFPIETQVFGRDEQLNHLLEQLMKSADGSGSSNSSISTVTIVGIGGVGKTTLAQQAYNHERVKDYFHPKVWLCVSDNFNEVKEKLTSKRYGEPGSKILVTTRSKKIAEMVGNPIPLYLEAIAKKIAGRLKGLPLAARTQDEEGVLPVLQLSYQSPVGSKYVMHDLIHDLAQFISEGEFCRIDDDDHWFGFFESPLFIQFEKLKNIRWLTESLCDLYNLRVLDLSECDLQSLPHGMSNLKQLHGQLRITNLENEVLEGLQPHQALKRLTIRGYIGVRSPSWLQTQLLANLITLDLYN >Ma11_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:31070:37170:-1 gene:Ma11_g00070 transcript:Ma11_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEVVLIEDIEASATRLGIDLSSVDLDSIQLPRGEDFGIKSDDDDDLYQEDPLEFEAGFGNIIVVDNLPVVPPEKFEKLEGVIRKIYSQIGTIREGGIWMPVNPDTQKTLGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNLFDDFEKYMKVPDEWKAAEIKPYTPGENLQQWLTDEKARDQFVIRAGSNTEVFWNDPRQLMPELVHQRQYWTESFVQWSPLGTYLATVHRQGAAVWGGATTFNRLMRFAHPQVRLIDFSPGEKYLVTFSSHEPSNPRDTHRIVINIFDVRTGKLMRDFKGNVDEFATGGSGGVSGISWPVFRWGGGKEDKYFARMGKNVISVYETETFTLIDKKSMKVENVVDFSWSPTDPILALFVPELGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIVAFAWEPKGHRFAVIHGDGPRPDISFYSMRTTLNIGRVSKLTTLKAKQANALYWSPAGRYIVLAGLKGFNGQLEFYNVDELETMATGEHFMATDIEWDPTGRYVATAVTSVHEMENGFHIWSFSGKLLYRISRDHFYQFEWRPRPPSLLSAEKEEEIAKNLKKYSKKYEAEDQDVSMQLNEQDRRKRKMLQEQWNEWVTKWKQLHEEERQLRIELRDGVVSDEEEEYEAKEVEVEEVLDITEEVVAFDLDQE >Ma04_p32840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32720299:32741136:-1 gene:Ma04_g32840 transcript:Ma04_t32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRYSAASCGGGVSNSAVGGASSRENARVESSYSASNFSLNSRRQSQVPSYKLKCDKEPLNSRLGPPDFYPQTPNCPEETLTREYLQSGYKETVEGIEEAREIALTQGLSFSKFEHILKFKEALRKRLRVINESRAQKRKAGQAYGVPLSGQLLTKAGVFPEQKPCNEDARRRWIEALSQQNKQLRSLAEHVPHGYRRKALFEVLIRHNVPLIRAAWFIKVNYLNQVRPASTSVPSDKAQFARSDLLSKDVVEYLQQLLDEIFPKDGSNIPTPNKNQSSQSTMVVPYLTPQKNDSSSSTPDEEPSLDFKWWYMCHLVQWHLAEGLLLPSPIIEMIFNQLQEKESLEAFELLLPLLVDMIESVALSQTYVRMFMDLFVQKVQDPSSSILSSVDTSQKLSLVDAMVGVVRYLIVAVPDTFVALDCFPLSSNVVPDLRYRNTFLKLPECVDNVQFDTRDAYLRYLSCGYVVSSIQKRASNLSNIVNPSLQAHGAAKVLLALDRALITGNVMIAYNSLFEDLSDVAVEERWIAEISPCLRSSLKWIGTIGLSLICSIFFLCEWATCDYRNFRTSLPNDLKLTGRKEFSQIYFAVLLLKLKMEDLLSLTQSSDGNILLFGTSGKTSSDNDTLLDGAVVENVSVPRNNSRSLHDRKNKREIFQGPGPLHDIVVCWLDQHEIGKAGSFRCVEIFLVETIRNGIFFPHAYVRQLIASGIMDKNESLLDMERQRRHRRILQQLPGSCLFDVLEEARTTEVHLLYEFVHAYSNERRLLLRGLLGGKSNQSSSRGDVCSVFSVQKYIDSSSPGHAKTKDQITQLKVLISRFLRFSLHFAMPMETCLDDSQGISKRTPGSLESKVDLTEETPGCEECRKGKWQKLVDDRSSAPEGFSSSHSDDEDTWWVKKGSKSQESFKVELPLKPAKPASRGRQKTVRKTQSLAQLAAARIESSQGASTSHVCDNKVSCPHHRPVTDSEVPKEANQMISGNQSDIGKALKQLRLLERRSISIWLLKSIRQLVEGNEKAASKVSNCTDVYSVPQPDDRNAARWKLGEDEILFILYVLDISGDFISAIKFLIWLIPKVLCGPSIVVQGVRNAVFPKSREFQVCQVGEAFLFSSLQRYENVLRAADLLPEALTASIHRSLATITSNGRPYGSVAFAYARNLLKKYRDVTNVSKWEKSFRATCDQRVLAELDTGRSVDGEFMFSPGVSAGITDADEHIRQRMNGRMSRIGTNMKELVQRHVEEAVHYFYGKERKLFAAPTSRIHSPEKWDDVYQIAHDIVCGLVECIRQIGGASIEGDPSVVASAVSAIIGSIGLAVVKLPDFTTSSNYQAFPSSINSLNCVRHILQIHIASLCLLKDALGDRLNRVFEIALAAEASSAISTTCAPGKAHRNQFQLSPETNEIYQNHSNELLNNSTKLIVGKAAKAAAAISALVLGAIVRGVSSLDRMITAFRLKEGLDVLQFIRSARSSSNGISRTIGMMKLDYCIEVYVHWFRLLVGNCRTVFDGLVAEMLGESYILGLLRMQQLLPLSLVFPPAYSIFAMVIWRSYILNSNIASREDILLYQCFSTAIGDAIRHKPFREVFFQNTHGFYDLLTNDSGDSEFAAMLELHNPDKHLKTMAFVPLRARLFLNALIDGKMPAFTVAREDGSWVAGPAEPRSYAETEASLLDKLIHVLDTLQPAKFHWQWLELRLLLNEQALIEKIETHNMPFVEAIRSLSPSAENFALSESEKKFTEIILTRILARPEAAPLYSEVVHLLGKLLQESLVMDTKWILAGPDVLLGRKSIRQQLVSVAQRKGFPTKARFWKPWGWPSSLSDLAANRGEKRKFEAICVEEGEVVDESIDVRKSSKVIHSMDAEGCSSSQQYITEKALAELILPCIDRSSNELRNLFTSELIKQMGAIDQQINTVTSNGCKSSVNPEPSSNKGSSRKVIRGGSPVLGRRPTDSAPPSAAALKTSLCLRLQFLLRLLPIIYEDRNMRQMLAPIILRLLGTRLIYEDADLCISPIHVDPSKREFESSSEVPLLNHSSDSLFDRLLAVLHGLLSSYKPSWLKPKPVSKSAHKSLRDFSPFDREVAESLQNVLDRMELPATIRRRIQAAMPLLVPSRPISAPCHLPTLSSAALTSLQPSTPSPGPHQRIIPSRASNSSSGRSKSLASQDLDMEIDLWTLLEDGTSSAPSMSSGSNMGGTSGDHSNLKACSWLKGTVRVQRTDLTYIGALDEDS >Ma06_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10485173:10487589:1 gene:Ma06_g15450 transcript:Ma06_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSPENAANAYLDTLKLRSQDHGRRNEAQKSVEPESIEFISALAAGMGAKLIVQVSPKASQSTVALAAAARRTGGRLVCILPEEESLASTKEVIEESGLNDIVEFKVGDPYELLPEYENIDFSLVDCKSDSYTGLLKLIDVNPRSSVVVANHLEGGKEGLRGDVRGLNKGAVRSLKRPIGEGMEVTMIGKIDEAGTMATRGSPEPKRASRGGGRRWWSRKKSKWVKKIDESGEEHIFRLPQSL >Ma08_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37054849:37057306:1 gene:Ma08_g23690 transcript:Ma08_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNRLDHPMMASGRRSMGSLLRLFLFFSFFCSLFGGGAPDDLASDRAALIAFSAAVSGVTRRWNVSDPSPCAWYGVTCASNRVTELRLPGSYLLGRIPSGTLANLTALRALSLRYNLLSGSLPPDFAALANLRYLYLQNNRLSGGIPAAVFSLRELVRLNLAGNSLDGGIPVAFNNLTGLSTLLLDHNRLSGAIPDLRLLGLLQFNVSFNQLNGSIPARLRGLPASSFEGNSLCGRPLAPCLGEGSPSPAPSPWIASNNVDSSGKKKLSAGAIAGIAIGSAIGFLVLVLLLVLCCRKREKDEAGPKAGEMMQPEAEMALRGKREAADNVAGPPQVAALPAAVAGGASGSARKLVFIGKVQGIYDLDDLLRASAEVLGKGTAGTTYKAMLEMGMVVTVKRLRDVNVPEKEFRERMEAIGAMDHPNLVALQAYYHSKDEKLLVHELVPNGSLSSILHGSKVSGRTPLDWETRLEIALGAARGIEFIHLQGSGLTHGNIKSSNIILSKSNEARVSDFGLSSLGSTPMPNQRAASYRAPEVTDVRKVSQKADVYSFGVLLMELLTGKPPTQALHNEDGVDLPRWVQSVVREKWSSEVFDHELLRHQNVEEMMQLLQLAIDCAVQFPENRPSMSEVVARIEGIRSNSSMTNQQQGGTAFGD >Ma08_p33340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43673973:43675727:-1 gene:Ma08_g33340 transcript:Ma08_t33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEGFHLGGRKEGRKRAASSWFNLASLPPLKLAVLCLLLALTGRLRAEPCIAVYWGQNGNEGGLRDACATGYYKYVLVAFLNQFGNGQIPQMNLAGHRDPNSGGYTFLSSDIISCQQDYNVKVMLSLGGGIGSYRLASKEDAREVARYIYNSFLGGSSSNRPLGNAVLDGVDFDIEGGSRDHWDDLARYLKAYDTPERKVHLSAAPQCPIPDYYLQTAIDTGLFDYLFVQFYNNYCRYTSSNAATFEQIWNQWVSKNVSKVFLGLPASPQAAGNGFVEPDELIKNVIPIVKRSEKYGGIMLWSRYYDGIYGFSPRVKNHVCPIDLSFASSMRIKPLTMI >Ma06_p29850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31219634:31225398:1 gene:Ma06_g29850 transcript:Ma06_t29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTPPLLRHLLRGATDGGASRPPLAHAEPRRSVTYMPRPGDGAPRRVTLIPGDGIGPLVTGAVQQVMEAMHAPILFETYEVHGDMTAVPAEVIESIRRNKVCLKGGLRTPLGGGVSSLNMQLRKELDLYASLVHCFNLQGLPTRHEKVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNEKIMEQRKANPVALLLSSAMMLRHLRFPSFADRLETAVKRVIAEGKYRTKDLGGSSTTQEVVDAVVAKLD >Ma00_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3482450:3484565:-1 gene:Ma00_g00910 transcript:Ma00_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFTQRYMHKYCYSDGLRNSKSASWTSGHVSRWKAILHSLANQVSQVPLSLSIRVISLRGTLRLYIKPPPSDQLWFGFTTMPELDWNLESSVGDRKITSSHIALLISNRFKAAIRDSLVLPNCETICIPWMLAEKDDWVPRKVAPFMWINNENTEMTWPEFPVPHNREDKPKLDGSNKTKDSLDDKVDEAKNVIHVEQPALQFSCIDV >Ma01_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13093012:13097466:-1 gene:Ma01_g17810 transcript:Ma01_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGIELEPENGTLETDPKANGFMSNINKDDEVSYSGDAVQADGDSSVDEPKVTEVDYPGEGNEGSESATAIKDFSLSEKSGSDRGDQLKKAQKEQGGQNGHSKEFQKKRHVLSQSLSFPSKGHLGSSLRKGATVMRQPKDSSSITNGVLPAKKTSSAAAHGSRRSLPKKTGSLDATVNGTETGDGSTPCGRKGAISCFSFRLDERAEKRKEFFTKLEEKNHAKEMEKTNLQARSKENQEAEIRRLRKSLTFKATPMPNFYQEPGPPKVELKKIPPTRARSPKLGRHKASVAASDIPSEASMSCGSPCLTPSSTKLNEAAATNRGNSTSNIPTQKSLPKLPSQKPKVVATELKSVATKTKFSNSKIKVKKAEVEGSSNNPIKSSPETSAVMELVLENRVEEDDPILNSSGTGISSLEVSVQC >Ma01_p17810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13093011:13097459:-1 gene:Ma01_g17810 transcript:Ma01_t17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGIELEPENGTLETDPKANGFMSNINKDDEVSYSGDAVQADGDSSVDEPKVTEVDYPGEGNEGSESATAIKDFSLSEKSGSDRGDQLKKAQKEQGGQNGHSKEFQKKRHVLSQSLSFPSKGHLGSSLRKGATVMRQPKDSSSITNGVLPAKKTSSAAAHGSRRSLPKKTGSLDATVNGTETGDGSTPCGRKGAISCFSFRLDERAEKRKEFFTKLEEKNHAKEMEKTNLQARSKENQEAEIRRLRKSLTFKATPMPNFYQEPGPPKVELKKIPPTRARSPKLGRHKASVAASDIPSEASMSCGSPCLTPSSTKLNEAAATNRGNSTSNIPTQKSLPKLPSQKPKVVATELKSVATKTKFSNSKIKVKKAEVEGSSNNPIKSSPETSAVMELVLENRVEEDDPILNSSGTGISSLEVSVQC >Ma09_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6350878:6351376:-1 gene:Ma09_g09660 transcript:Ma09_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGDPIPYSFHFMEDLKTGRGENNRCTEANRRVNSEIGRPFTFPLPERGRKKKRVAILRKNVEMALISEIADEAPDEEEGSTAMVEPKEEPRGESSQGPPVEEPSKMGPSLGGADAL >Ma08_p32850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43408331:43410300:-1 gene:Ma08_g32850 transcript:Ma08_t32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNPTSVVLRPSLALDKEREAGSGGVVFSSALLPNQATIPKAFIWPQCDRPTAIEELDSPVVDLDGFLRGDEASTARAVDCVRAACSTHGFFQVANHGVDASLGRDALGCMDEFFGLPLCHKLRARRKPGNMWGYVGAHADRFSSELPWKETLSFGYHEAGDDRVVIDYFTSTLGNDFQRMGLVFQRYCEAMKKLSLVIMELLAMSLGVDRTHYRDFFEDSRSIMRCNYYPPCPEPELTLGTGPHCDPTSLTILRQDQVDGLEVFDGNRWRSVRPIRDALVINIGDTFMALSNGRYKSCLHRAVVNRRRQRKSLAFFLCPREDRVVRPPPGELGGARLYPDFTWAELLEFTQRHYRADMKTLHSFTDWLLSSSSPSPLRST >Ma11_p16310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21874384:21878823:1 gene:Ma11_g16310 transcript:Ma11_t16310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATDDFVVWKDFPKRLKILLLQKDEASAAETKSKLEEMDYLVSLYHSEEDALEAISKDAESFHVSIVEVTASDSCGTFRFLEMAQDIPTIVISDEHCLSTMMKCIALGAAEFLQKPLSEDKLRNIWQHVVHKALNAGGSVLSKSLKPIKDTVVSMLRIQSETSEHKIEEKVTEIDGKHGCEKTMANDRLSAPSTPQLERGRFPPDREFQDVTCCSVAKELLQPRKSSSSASKSVENTCDNSISVANTVDALPSKAQHIAAEEEVNSVDGSKTDECSSVKAGSLPSDLHSVENIESHADDVQKKKSVLDYSYLNGGRNNRKKTKVDWTPELHRRFVQAVEQLGIEQAIPSKILELMKVEGLTRHNVASHLQKYRMHRRHILPKDQDRRWQPHRDSTARGCISRPILAYPPYHPNCGAPTNQIYPVWGHPNYRSHGVQMWGHAGYTAWHPPPQSWPWKTYPLVRADAWGCPVVTQYGQYRMSSPRSPMSSDFDMNGDRNEMFRESYDLHQVEMIDQLVKETMSKPWLPLPLGLKSPSTDVVLAELNRQGICTVPPATNAASPDPNDFCG >Ma11_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21874384:21878823:1 gene:Ma11_g16310 transcript:Ma11_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATDDFVVWKDFPKRLKILLLQKDEASAAETKSKLEEMDYLVSLYHSEEDALEAISKDAESFHVSIVEVTASDSCGTFRFLEMAQDIPTIVISDEHCLSTMMKCIALGAAEFLQKPLSEDKLRNIWQHVVHKALNAGGSVLSKSLKPIKDTVVSMLRIQSETSEHKIEEKVTEIDGKHGCEKTMANDRLSAPSTPQLERGRFPPDREFQDVTCCSVAKELLQPRKSSSSASKSVENTCDNSISVANTVDALPSKAQHIAAEEEVNSVDGSKTDECSSVKAGSLPSDLHSVENIESHADDVQKKKSVLDYSYLNGGRNNRKKTKVDWTPELHRRFVQAVEQLGIEQAIPSKILELMKVEGLTRHNVASHLQKYRMHRRHILPKDQDRRWQPHRDSTARGCISRPILAYPPYHPNCGAPTNQIYPVWGHPNYRSHGVQMWGHAGYTAWHPPPQSWPWKTYPLVRADAWGCPVVTQYGQYRMSSPRSPMSSDFDMNGDRNEMFRESYDLHQVEMIDQLVKETMSKPWLPLPLGLKSPSTDVVLAELNRQGICTVPPATNAASPDPNDFCG >Ma11_p16310.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21874384:21878823:1 gene:Ma11_g16310 transcript:Ma11_t16310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATDDFVVWKDFPKRLKILLLQKDEASAAETKSKLEEMDYLVSLYHSEEDALEAISKDAESFHVSIVEVTASDSCGTFRFLEMAQDIPTIVISDEHCLSTMMKCIALGAAEFLQKPLSEDKLRNIWQHVVHKALNAGGSVLSKSLKPIKDTVVSMLRIQSETSEHKIEEKVTEIDGKHGCEKTMANDRLSAPSTPQLERGRFPPDREFQDVTCCSVAKELLQPRKSSSSASKSVENTCDNSISVANTVDALPSKAQHIAAEEEVNSVDGSKTDECSSVKAGSLPSDLHSVENIESHADDVQKKKSVLDYSYLNGGRNNRKKTKVDWTPELHRRFVQAVEQLGIEQAIPSKILELMKVEGLTRHNVASHLQKYRMHRRHILPKDQDRRWQPHRDSTARGCISRPILAYPPYHPNCGAPTNQIYPVWGHPNYRSHGVQMWGHAGYTAWHPPPQSWPWKTYPLVRADAWGCPVVTQYGQYRMSSPRSPMSSDFDMNGDRNEMFRESYDLHQVEMIDQLVKETMSKPWLPLPLGLKSPSTDVVLAELNRQGICTVPPATNAASPDPNDFCG >Ma11_p16310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21874384:21878823:1 gene:Ma11_g16310 transcript:Ma11_t16310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATDDFVVWKDFPKRLKILLLQKDEASAAETKSKLEEMDYLVSLYHSEEDALEAISKDAESFHVSIVEVTASDSCGTFRFLEMAQDIPTIVISDEHCLSTMMKCIALGAAEFLQKPLSEDKLRNIWQHVVHKALNAGGSVLSKSLKPIKDTVVSMLRIQSETSEHKIEEKVTEIDGKHGCEKTMANDRLSAPSTPQLERGRFPPDREFQDVTCCSVAKELLQPRKSSSSASKSVENTCDNSISVANTVDALPSKAQHIAAEEEVNSVDGSKTDECSSVKAGSLPSDLHSVENIESHADDVQKKKSVLDYSYLNGGRNNRKKTKVDWTPELHRRFVQAVEQLGIEQAIPSKILELMKVEGLTRHNVASHLQKYRMHRRHILPKDQDRRWQPHRDSTARGCISRPILAYPPYHPNCGAPTNQIYPVWGHPNYRSHGVQMWGHAGYTAWHPPPQSWPWKTYPLVRADAWGCPVVTQYGQYRMSSPRSPMSSDFDMNGDRNEMFRESYDLHQVEMIDQLVKETMSKPWLPLPLGLKSPSTDVVLAELNRQGICTVPPATNAASPDPNDFCG >Ma11_p16310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21874384:21878823:1 gene:Ma11_g16310 transcript:Ma11_t16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATDDFVVWKDFPKRLKILLLQKDEASAAETKSKLEEMDYLVSLYHSEEDALEAISKDAESFHVSIVEVTASDSCGTFRFLEMAQDIPTIVISDEHCLSTMMKCIALGAAEFLQKPLSEDKLRNIWQHVVHKALNAGGSVLSKSLKPIKDTVVSMLRIQSETSEHKIEEKVTEIDGKHGCEKTMANDRLSAPSTPQLERGRFPPDREFQDVTCCSVAKELLQPRKSSSSASKSVENTCDNSISVANTVDALPSKAQHIAAEEEVNSVDGSKTDECSSVKAGSLPSDLHSVENIESHADDVQKKKSVLDYSYLNGGRNNRKKTKVDWTPELHRRFVQAVEQLGIEQAIPSKILELMKVEGLTRHNVASHLQKYRMHRRHILPKDQDRRWQPHRDSTARGCISRPILAYPPYHPNCGAPTNQIYPVWGHPNYRSHGVQMWGHAGYTAWHPPPQSWPWKTYPLVRADAWGCPVVTQYGQYRMSSPRSPMSSDFDMNGDRNEMFRESYDLHQVEMIDQLVKETMSKPWLPLPLGLKSPSTDVVLAELNRQGICTVPPATNAASPDPNDFCG >Ma11_p16310.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21874384:21878823:1 gene:Ma11_g16310 transcript:Ma11_t16310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATDDFVVWKDFPKRLKILLLQKDEASAAETKSKLEEMDYLVSLYHSEEDALEAISKDAESFHVSIVEVTASDSCGTFRFLEMAQDIPTIVISDEHCLSTMMKCIALGAAEFLQKPLSEDKLRNIWQHVVHKALNAGGSVLSKSLKPIKDTVVSMLRIQSETSEHKIEEKVTEIDGKHGCEKTMANDRLSAPSTPQLERGRFPPDREFQDVTCCSVAKELLQPRKSSSSASKSVENTCDNSISVANTVDALPSKAQHIAAEEEVNSVDGSKTDECSSVKAGSLPSDLHSVENIESHADDVQKKKSVLDYSYLNGGRNNRKKTKVDWTPELHRRFVQAVEQLGIEQAIPSKILELMKVEGLTRHNVASHLQKYRMHRRHILPKDQDRRWQPHRDSTARGCISRPILAYPPYHPNCGAPTNQIYPVWGHPNYRSHGVQMWGHAGYTAWHPPPQSWPWKTYPLVRADAWGCPVVTQYGQYRMSSPRSPMSSDFDMNGDRNEMFRESYDLHQVEMIDQLVKETMSKPWLPLPLGLKSPSTDVVLAELNRQGICTVPPATNAASPDPNDFCG >Ma03_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5768264:5769150:-1 gene:Ma03_g08020 transcript:Ma03_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLRPRSSLPLLLLFLNCFCFRSAVGGESPTAYEVLESYDLPIGLLPKGPIGYDLDASTGAFSAYLNGSCSFSLEGSYQLRYSPTISGRIASDHLSDLRGVSVKVLFFWVNIIDVRRLGDSLRFSVGIASADFAINNFYMCPRCGCGLNCPDDDDVAAGFNLRLPRLHPDAVNRS >Ma02_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14953498:14954326:1 gene:Ma02_g03520 transcript:Ma02_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRRPDSQLEHGNGSGIQRSTSIRQPIAPSQLGRTSSMRIPANTAQGPYYQSMISSIQKSGTGIQPPTPKEIYGVYLDEEVAELKDWIKSFKRRVVFHKSVNASEKIQNVNYIESLMDTMVEEIGPQYVVQIITDNEVNFKKVSLQLMEKRKTLFWPPCATHCIDLMLKDIGELDAIKKCVA >Ma09_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3702825:3704313:1 gene:Ma09_g05760 transcript:Ma09_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKCCEGVESEHNSRNSISPRRMVGGGLRLFGMQLEMAASPMKKCFSTDCLTCPAAASSSPSPSSSSSLVSIDETAEKTSNGYLSDGLVVRTQERKKGVAWTEEEHKLFLAGLERLGRGDWRGISRNFVTTRTPTQVASHAQKYFLRQSGLNKKKRRSSLFDVVSSHEIAAQIGHSPKLEEHSPVLSLGTTCRRIPETAVFDLNTSEQESETHISSCLSLMHNCSISTVSQSYSLVEVHHEQPCHVDLDLSISTSRPNHNLETSISGLVFEII >Ma04_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6759519:6764750:1 gene:Ma04_g09550 transcript:Ma04_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGDQFDVVVVGAGIMGSCAAYEAAKRGCRVLLLEQFDFLHQLGSSHGESRTIRATYPEEYYPPMVLEAHRLWEAAQSEAGYRVLTRTPHFDMGPADNRSLRSAIASCERQSSVNVRLLDRAAVDEMFSGTFRLPEGWIGVRSDAGGVIKPTKAVAMFQALAIRRGAVLKDHMEVTGIKKDEEDRGVRVSTATGDSFLGKKCVVTVGPWTSKLVKAVSGHVLPIHPLHTTICYWKIKEGHEHEMTPEGGFPTFASYGEIYVYGTPSMEFPGLIKIGLHGGRPCDPDRRDWTSNSGMLVDAVGSWIEQMLPGKVETDKPVIIQSCMYSMTPDEDFVIDFLGGELGKDVVVAGGFSGHGFKMGPVVGRTLAELAIDGAADGVELKHFRLGRFTEDSNGNAKDFDDQVSSHLHQ >Ma04_p09550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6760007:6764750:1 gene:Ma04_g09550 transcript:Ma04_t09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGDQFDVVVVGAGIMGSCAAYEAAKRGCRVLLLEQFDFLHQLGSSHGESRTIRATYPEEYYPPMVLEAHRLWEAAQSEAGYRVLTRTPHFDMGPADNRSLRSAIASCERQSSVNVRLLDRAAVDEMFSGTFRLPEGWIGVRSDAGGVIKPTKAVAMFQALAIRRGAVLKDHMEVTGIKKDEEDRGVRVSTATGDSFLGKKCVVTVGPWTSKLVKAVSGHVLPIHPLHTTICYWKIKEGHEHEMTPEGGFPTFASYGEIYVYGTPSMEFPGLIKIGLHGGRPCDPDRRDWTSNSGMLVDAVGSWIEQMLPGKVETDKPVIIQSCMYSMTPDEDFVIDFLGGELGKDVVVAGGFSGHGFKMGPVVGRTLAELAIDGAADGVELKHFRLGRFTEDSNGNAKDFDDQVSSHLHQ >Ma06_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1969728:1972230:1 gene:Ma06_g02540 transcript:Ma06_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSSDCEDCGGKYVLIRDEEDPRLALFEKPLPCYGCGIGWSSLLLGFLCPLIWYCAATLYLCRYYNKDPRERIGLAASAIA >Ma02_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28382354:28385639:-1 gene:Ma02_g23320 transcript:Ma02_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRSGILNLFLLAAVLIVASSVALASSDAPFVVAHKKVSLTRLKSGVERVSVSIDLYNEGSSTAYDVTMNDDSWSQDMFDLVSGRTSKTWEKLDGGSSASHSFVLESKTKGMFHGSPAVIKFRVPTKAALWEAYSTPILPIDVLADRPPEKKFEWAKRLLAKYGSLVSVLTLVGLFIFVIATPSKSSKKRR >Ma05_p25940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37758326:37765143:1 gene:Ma05_g25940 transcript:Ma05_t25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLDRRALPLLFLLVACAVATDVHYCKKGADYPVKVSGVDMSPNPIARGKPATFNISATTGDQISEGKLVIDVDYFFFHVHHDVYDLCEETSCPVYVGDFVLSHQQTLPSYTPPGSYTLTMRMTGEDGQQLTCITFGFSIGFVPVAYN >Ma10_p29720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36203970:36214198:1 gene:Ma10_g29720 transcript:Ma10_t29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLLPKHVAAVIKHQKDPLRALEMFNSAASDDGFKHTLFTYKCMADKLGSHGEFKAMEDVISEMRMNLDNSSLEGVYVGAMRSYGRRGKVQAAVDTFERMDFYGCEPTVISYNAIMNVLVEFGHYDQAHKVYLRMLHKGIVPDIYTFTIRIKSFCRTRRPHAALRLLRNLPQRGCDANAVSYCTIIGGLYEEDCRCEAYNLFEEMLSRKLCPDIVTFNKLIDVLCHKGNVLESYKLVAKVMKRGISLNLFTYNILIQGLCKDSKLSEAVSLLEEMGEGLTPDVITYNTLISGLCKSSNVVEAEQYLHKMANRGCLPDDFTYNTIIDGYCKMGRMQDACKLLKDALFKGFVPDRVTYCSLINGLCEEGDINRAFEVFDEAQGKRLKPDIIIYNSLIKGLSRQGLILQALEVMAEMFENGCSPDIWTYNIIINGLCKMGNVSDATVVLNDAIAKRYLPDVFTFNTLIDGYCKRLKVDKALEIVDRMWTHGIMPDAITYNSILNGLCKAGKTSDVIETFTEMVKKGCHPNIITYNILIENLCNANRVKEASDLLMKMTNEGLAPDTISFNTLIHGFCRNSDLDGAYDLFRKLKQDKFFPTIDTYNIMICAFSEKLNIHMAEQIYNEMIDKGCLPDTYTYSVLVNGFCRTGNTDRAYEFLIVMINKGFIPTMGTFGRVINCLSVTHRVHEAVGLIHIMVRSGVVPEVVHTILSVDKREIAAPKILVEELMKKGHITYYAYELLYDAIRDKKLLRKQRQMKFREDIVLNQALN >Ma10_p29720.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36203970:36213071:1 gene:Ma10_g29720 transcript:Ma10_t29720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLLPKHVAAVIKHQKDPLRALEMFNSAASDDGFKHTLFTYKCMADKLGSHGEFKAMEDVISEMRMNLDNSSLEGVYVGAMRSYGRRGKVQAAVDTFERMDFYGCEPTVISYNAIMNVLVEFGHYDQAHKVYLRMLHKGIVPDIYTFTIRIKSFCRTRRPHAALRLLRNLPQRGCDANAVSYCTIIGGLYEEDCRCEAYNLFEEMLSRKLCPDIVTFNKLIDVLCHKGNVLESYKLVAKVMKRGISLNLFTYNILIQGLCKDSKLSEAVSLLEEMGEGLTPDVITYNTLISGLCKSSNVVEAEQYLHKMANRGCLPDDFTYNTIIDGYCKMGRMQDACKLLKDALFKGFVPDRVTYCSLINGLCEEGDINRAFEVFDEAQGKRLKPDIIIYNSLIKGLSRQGLILQALEVMAEMFENGCSPDIWTYNIIINGLCKMGNVSDATVVLNDAIAKRYLPDVFTFNTLIDGYCKRLKVDKALEIVDRMWTHGIMPDAITYNSILNGLCKAGKTSDVIETFTEMVKKGCHPNIITYNILIENLCNANRVKEASDLLMKMTNEGLAPDTISFNTLIHGFCRNSDLDGAYDLFRKLKQDKFFPTIDTYNIMICAFSEKLNIHMAEQIYNEMIDKGCLPDTYTYSVLVNGFCRTGNTDRAYEFLIVMINKGFIPTMGTFGRVINCLSVTHRVHEAVGLIHIMVRSGVVPEVVHTILSVDKREIAAPKILVEELMKKGHITYYAYELLYDAIRDKKLLRKQRQMKFREDIVLNQALN >Ma10_p29720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36203970:36214198:1 gene:Ma10_g29720 transcript:Ma10_t29720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLLPKHVAAVIKHQKDPLRALEMFNSAASDDGFKHTLFTYKCMADKLGSHGEFKAMEDVISEMRMNLDNSSLEGVYVGAMRSYGRRGKVQAAVDTFERMDFYGCEPTVISYNAIMNVLVEFGHYDQAHKVYLRMLHKGIVPDIYTFTIRIKSFCRTRRPHAALRLLRNLPQRGCDANAVSYCTIIGGLYEEDCRCEAYNLFEEMLSRKLCPDIVTFNKLIDVLCHKGNVLESYKLVAKVMKRGISLNLFTYNILIQGLCKDSKLSEAVSLLEEMGEGLTPDVITYNTLISGLCKSSNVVEAEQYLHKMANRGCLPDDFTYNTIIDGYCKMGRMQDACKLLKDALFKGFVPDRVTYCSLINGLCEEGDINRAFEVFDEAQGKRLKPDIIIYNSLIKGLSRQGLILQALEVMAEMFENGCSPDIWTYNIIINGLCKMGNVSDATVVLNDAIAKRYLPDVFTFNTLIDGYCKRLKVDKALEIVDRMWTHGIMPDAITYNSILNGLCKAGKTSDVIETFTEMVKKGCHPNIITYNILIENLCNANRVKEASDLLMKMTNEGLAPDTISFNTLIHGFCRNSDLDGAYDLFRKLKQDKFFPTIDTYNIMICAFSEKLNIHMAEQIYNEMIDKGCLPDTYTYSVLVNGFCRTGNTDRAYEFLIVMINKGFIPTMGTFGRVINCLSVTHRVHEAVGLIHIMVRSGVVPEVVHTILSVDKREIAAPKILVEELMKKGHITYYAYELLYDAIRDKKLLRKQRQMKFREDIVLNQALN >Ma10_p29720.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36203970:36214198:1 gene:Ma10_g29720 transcript:Ma10_t29720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLLPKHVAAVIKHQKDPLRALEMFNSAASDDGFKHTLFTYKCMADKLGSHGEFKAMEDVISEMRMNLDNSSLEGVYVGAMRSYGRRGKVQAAVDTFERMDFYGCEPTVISYNAIMNVLVEFGHYDQAHKVYLRMLHKGIVPDIYTFTIRIKSFCRTRRPHAALRLLRNLPQRGCDANAVSYCTIIGGLYEEDCRCEAYNLFEEMLSRKLCPDIVTFNKLIDVLCHKGNVLESYKLVAKVMKRGISLNLFTYNILIQGLCKDSKLSEAVSLLEEMGEGLTPDVITYNTLISGLCKSSNVVEAEQYLHKMANRGCLPDDFTYNTIIDGYCKMGRMQDACKLLKDALFKGFVPDRVTYCSLINGLCEEGDINRAFEVFDEAQGKRLKPDIIIYNSLIKGLSRQGLILQALEVMAEMFENGCSPDIWTYNIIINGLCKMGNVSDATVVLNDAIAKRYLPDVFTFNTLIDGYCKRLKVDKALEIVDRMWTHGIMPDAITYNSILNGLCKAGKTSDVIETFTEMVKKGCHPNIITYNILIENLCNANRVKEASDLLMKMTNEGLAPDTISFNTLIHGFCRNSDLDGAYDLFRKLKQDKFFPTIDTYNIMICAFSEKLNIHMAEQIYNEMIDKGCLPDTYTYSVLVNGFCRTGNTDRAYEFLIVMINKGFIPTMGTFGRVINCLSVTHRVHEAVGLIHIMVRSGVVPEVVHTILSVDKREIAAPKILVEELMKKGHITYYAYELLYDAIRDKKLLRKQRQMKFREDIVLNQALN >Ma10_p29720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36203970:36214198:1 gene:Ma10_g29720 transcript:Ma10_t29720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLLPKHVAAVIKHQKDPLRALEMFNSAASDDGFKHTLFTYKCMADKLGSHGEFKAMEDVISEMRMNLDNSSLEGVYVGAMRSYGRRGKVQAAVDTFERMDFYGCEPTVISYNAIMNVLVEFGHYDQAHKVYLRMLHKGIVPDIYTFTIRIKSFCRTRRPHAALRLLRNLPQRGCDANAVSYCTIIGGLYEEDCRCEAYNLFEEMLSRKLCPDIVTFNKLIDVLCHKGNVLESYKLVAKVMKRGISLNLFTYNILIQGLCKDSKLSEAVSLLEEMGEGLTPDVITYNTLISGLCKSSNVVEAEQYLHKMANRGCLPDDFTYNTIIDGYCKMGRMQDACKLLKDALFKGFVPDRVTYCSLINGLCEEGDINRAFEVFDEAQGKRLKPDIIIYNSLIKGLSRQGLILQALEVMAEMFENGCSPDIWTYNIIINGLCKMGNVSDATVVLNDAIAKRYLPDVFTFNTLIDGYCKRLKVDKALEIVDRMWTHGIMPDAITYNSILNGLCKAGKTSDVIETFTEMVKKGCHPNIITYNILIENLCNANRVKEASDLLMKMTNEGLAPDTISFNTLIHGFCRNSDLDGAYDLFRKLKQDKFFPTIDTYNIMICAFSEKLNIHMAEQIYNEMIDKGCLPDTYTYSVLVNGFCRTGNTDRAYEFLIVMINKGFIPTMGTFGRVINCLSVTHRVHEAVGLIHIMVRSGVVPEVVHTILSVDKREIAAPKILVEELMKKGHITYYAYELLYDAIRDKKLLRKQRQMKFREDIVLNQALN >Ma10_p29720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36203358:36214198:1 gene:Ma10_g29720 transcript:Ma10_t29720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLLPKHVAAVIKHQKDPLRALEMFNSAASDDGFKHTLFTYKCMADKLGSHGEFKAMEDVISEMRMNLDNSSLEGVYVGAMRSYGRRGKVQAAVDTFERMDFYGCEPTVISYNAIMNVLVEFGHYDQAHKVYLRMLHKGIVPDIYTFTIRIKSFCRTRRPHAALRLLRNLPQRGCDANAVSYCTIIGGLYEEDCRCEAYNLFEEMLSRKLCPDIVTFNKLIDVLCHKGNVLESYKLVAKVMKRGISLNLFTYNILIQGLCKDSKLSEAVSLLEEMGEGLTPDVITYNTLISGLCKSSNVVEAEQYLHKMANRGCLPDDFTYNTIIDGYCKMGRMQDACKLLKDALFKGFVPDRVTYCSLINGLCEEGDINRAFEVFDEAQGKRLKPDIIIYNSLIKGLSRQGLILQALEVMAEMFENGCSPDIWTYNIIINGLCKMGNVSDATVVLNDAIAKRYLPDVFTFNTLIDGYCKRLKVDKALEIVDRMWTHGIMPDAITYNSILNGLCKAGKTSDVIETFTEMVKKGCHPNIITYNILIENLCNANRVKEASDLLMKMTNEGLAPDTISFNTLIHGFCRNSDLDGAYDLFRKLKQDKFFPTIDTYNIMICAFSEKLNIHMAEQIYNEMIDKGCLPDTYTYSVLVNGFCRTGNTDRAYEFLIVMINKGFIPTMGTFGRVINCLSVTHRVHEAVGLIHIMVRSGVVPEVVHTILSVDKREIAAPKILVEELMKKGHITYYAYELLYDAIRDKKLLRKQRQMKFREDIVLNQALN >Ma09_p25230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36762289:36765032:-1 gene:Ma09_g25230 transcript:Ma09_t25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEQFPCLFFQEGGGCQGKSLTKYFFP >Ma02_p24880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29296459:29300762:1 gene:Ma02_g24880 transcript:Ma02_t24880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQQEQKMLVRADDAKDWFYKGEGAANIVLGYCGSSLFLVGKVLRIQKVAKGGSPSPNGCLVLSDHERLMWKDIGELAESTSKDVAATAFIDHVMRNLLDSKHIDAGILVHVSKEFLEAVEGNIKSQRPPWRIDASKIDVLCESAFLISDHSIIIGTPKHDFCIAVEIKPKCGFLPSSEYIAEKNAVKRHVTRFKMHQFLKLHQGEISQISGYDPLDLFSGLKDRIHLAITALFASPQNNFRIFLNGCLIFGGLGGSMDNTDVRSHKSGEAIADLISACGLQLGSFLELVAEAIFGSGILDRLLATQQLDVLDIEGAIHVYYNIISRPCAVCKNSSDAELLHQYSSLHSLSLDDSLKIVREYLIAATAKDCSLMISFSRTADGRNASDCNSVALKSLNQSYNYKAYFIDLDLKPLEKMAYYYKLDQKIVNFYKMNDKTEGKPSISGSGGPPGEALDASS >Ma02_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29296459:29300762:1 gene:Ma02_g24880 transcript:Ma02_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRADDAKDWFYKGEGAANIVLGYCGSSLFLVGKVLRIQKVAKGGSPSPNGCLVLSDHERLMWKDIGELAESTSKDVAATAFIDHVMRNLLDSKHIDAGILVHVSKEFLEAVEGNIKSQRPPWRIDASKIDVLCESAFLISDHSIIIGTPKHDFCIAVEIKPKCGFLPSSEYIAEKNAVKRHVTRFKMHQFLKLHQGEISQISGYDPLDLFSGLKDRIHLAITALFASPQNNFRIFLNGCLIFGGLGGSMDNTDVRSHKSGEAIADLISACGLQLGSFLELVAEAIFGSGILDRLLATQQLDVLDIEGAIHVYYNIISRPCAVCKNSSDAELLHQYSSLHSLSLDDSLKIVREYLIAATAKDCSLMISFSRTADGRNASDCNSVALKSLNQSYNYKAYFIDLDLKPLEKMAYYYKLDQKIVNFYKMNDKTEGKPSISGSGGPPGEALDASS >Ma11_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24777286:24780421:-1 gene:Ma11_g20240 transcript:Ma11_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRSSPSYPWTNSMLLWQRTAFHFQPQKNWMNDPNGPTYYRGWYHLFYQYNPASAVWGNITWGHAVSLDLVHWFYLPIAMVPDHWYDANGVWTGSATILPDGRLAMLYTGSTAELVQVQNLAFPADQDDPLLLTWVKSESNPVLVPPPGIAPKDFRDPTTAWYVPSESAWRIAIGSKNDSQRHAGIALVYRTSDFLSYELLPGVLHSVAGTGMWECVDFYPVSTESATGLDTSAATGPGVKHVLKASMDDDRNDYYAIGTYEAATNAWVPDDPEKDVGIGLRYDYGMFYASKTFYDPVKQRRVLWGWIGETDSERTDLRKGWASLQTIPRTVLFDQQTGSNLLQWPVDDVETLRSGSQEFSNISIPAGSVVPLDCSTSGGAAGRGVLGPFGLLVLADDDLSEQTAVYFYFVRSTDGSISTHFCHDELRHACHPALLAADIVKRVYGSLVPVLDGETLSVDHSVIESFAQGGRTCITSRVYPTSATYGGGRLFLFNNATGVDVTAKSLKIWQMGSAFIRPFSEHDSVSLH >Ma07_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31880834:31887768:1 gene:Ma07_g24550 transcript:Ma07_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKEGFLTEEQREVLKIAAQKAEVLSSSPKSPTKLVLSEIHTKGGGGGGGGRSPSSGVRHVRRSHSGKLVRVKKDGAGGKGTWGKLLDADPDSRVDPNDPNYDSGEEPYQLVGATLSNPIDDYKKSVATIIEEYFSTGVVEVAATDLGDIGSDQYHHLFVKKLVSMAMDRHDKEKEMASVLLSSLYADVITSAQISQGFLMLLEAVDDLALDILNAVDVLALFIARAVVDDILPPAFLTKAKKTLSESSKGLQVVQTAEKSYLSAPHHAELVERRWGGTTHFTVEEVKRKISDLLREYIENGDTAEACRCIRELGVSFFHHEVVKRALVQAMENQTSEPLILKLLKEAAEELLISPSQMTKGFSRLAESLDDLSLDIPAAKSLFQMIVPKAISGGWLDPSFLQSKEAEDEDRDEGYDKLRKYKEEAVTIIREYFLSDDIPELIRSLEDLGAPEYNPVFIKRLITLAMDRKNREKEMASVLLSALSMEIFSRDDIVDGFIMLLESAEDAALDILDASNELALFLARAVIDDVLAPLNLEEINSKLPRNCSGSKTVHMARSLASARHAGERLLRCWGGGTGDVGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNERLLGLLQVCFDEWLITMNQMTKGFSRVRDGLDDLALDIPNVEEKFQQYMEHARKHGWLLASF >Ma09_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2365935:2369409:1 gene:Ma09_g03580 transcript:Ma09_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSQVMPNWRQRQDKDENRMLIPKQMCVGVLLLRIFVRKARKVYATKDC >Ma04_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20585639:20604480:-1 gene:Ma04_g18550 transcript:Ma04_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAASSTAFSKLSHQSPLRRTSASTDSRSPPPHGRVVVVRASPVPAADGVELEGELELPRWAGETSLSRFIGALISFKPLFSVMKLGARQLLIGNAEKTNIPWREMTNEILNSDVYKEMESVQNPSLVYPDYYLNPFHAYDEGNLSWLAAAEAEAATMSIAKLAVPDAPTVDEANKIVRGKWLKAIENHLKQYSGNSEINDILDIGCSIGVSTRYLAEMFPSANVTGLDLSPYFLSVAQYKEKKKAARIKPIRWIHANGECTGLPSKSFDLVSLAYVLHECPRRATIGLVNEAFRLLRPGGTIAVTDNSPKSKVLQELSPAKFTLLKSTEPFLDEYYLLDLEKTMRDAGFVSVRTIMTSPRHRTVTATVPHC >Ma04_p18550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20585635:20604374:-1 gene:Ma04_g18550 transcript:Ma04_t18550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAASSTAFSKLSHQSPLRRTSASTDSRSPPPHGRVVVVRASPVPAADGVELEGELELPRWAGETSLSRFIGALISFKPLFSVMKLGARQLLIGNAEKTNIPWREMTNEILNSDVYKEMESVQNPSLVYPDYYLNPFHAYDEGNLSWLAAAEAEAATMSIAKLAVPDAPTVDEANKIVRGKWLKAIENHLKQYSGNSEINDILDIGCSIGVSTRYLAEMFPSANVTGLDLSPYFLSVAQYKEKKKAARIKPIRWIHANGECTGLPSKSFDLVSLAYVLHECPRRATIGLVNEAFRLLRPGGTIAVTDNSPKSKVLQIQKFAGTLPSKVHVAQKHRAVPR >Ma07_p26890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33485070:33485561:-1 gene:Ma07_g26890 transcript:Ma07_t26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVHKQCHHHRQNNIGRLIINHLRSLSLSPQTNGCVLPDASSAPLPLNRLPPPTTPGRRPASHPLCDSSVTVKRGREIHRCLLRKGRMAAEPGGGSWLRKLNGGRGCRWPWKLRFANCWRWKRPNLRFSFLDDLLFRILYCLEAVLLVGALCCFYLCCGCHL >Ma03_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25862664:25862921:1 gene:Ma03_g20760 transcript:Ma03_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVYPFAFCLNNNNNMLYLMMRYILVPRYIRLESVLRCCLARHVLRIPRRRHPQDTPRQNPYQGTVWHVHHVNPHMINPHTII >Ma03_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25379487:25382697:-1 gene:Ma03_g20210 transcript:Ma03_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MPPSLFLLPRSLLARIPFLPLSSRARALTMPVTRRPSRSLASEARSPDSKLDPGTECPGGVSDREKRAHLRKKRVKRIVEIDEKRAKEEAADRKVCDLIDIEDFAYDKVNLSAISNDVNLDSSFPASKRKSTHLTDEPKADAPANWEEVLDGIRKMRFADGAPVETMRHEKSASLVPPKEQRFAVLISSLLSSQTKGAVTNGAVQRLSEKGLLDADAIVRTDEATLASLIYPVGFYLRKAHHMKKVAEICLEKYGGDIPSSINELLALPGVGPKIAYLGYVSILMCIVFVID >Ma03_p20210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25378070:25382697:-1 gene:Ma03_g20210 transcript:Ma03_t20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MPPSLFLLPRSLLARIPFLPLSSRARALTMPVTRRPSRSLASEARSPDSKLDPGTECPGGVSDREKRAHLRKKRVKRIVEIDEKRAKEEAADRKVCDLIDIEDFAYDKVNLSAISNDVNLDSSFPASKRKSTHLTDEPKADAPANWEEVLDGIRKMRFADGAPVETMRHEKSASLVPPKEQRFAVLISSLLSSQTKGAVTNGAVQRLSEKGLLDADAIVRTDEATLASLIYPVGFYLRKAHHMKKVAEICLEKYGGDIPSSINELLALPGVGPKIAYLVMIMGWNNVQGICVDTHVHRICNRLGWVSRPGTRQKTSNPEQTRVSLETWLPKDLWDPINPLLVGFGRSVCTALRPRCGTCIINHLCPSAFKEARSSSSKAKRSPN >Ma07_p27140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33631567:33640367:-1 gene:Ma07_g27140 transcript:Ma07_t27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFAKEEPPPMVLVPPIFDFPPLAARTRMLVPAYDLLFGKLALRCLFEDYFEQPRHLNTRIMLKPLEDPNVDLIATVSGPLDQTSGRTMEGNALFRWQRDLDDPNTFMDIMVSTNDPMLRLRSCAYYPKYRLGAFGIFPLLKSKRVLPEDYGVMGLRYGSEILSIGSTFMPFPLSSDTPVSAWLVGRAGRLSIGVQYKPLCESKKPLCFKDLNNWSCSIGYGVGSSSPLCPSYNFSLELARSSQLIASFYQHFVVQRRVKNPFEESQIAGITNYIDFGFELMSRIDGDKSLGSGDNSSFQLAASWQANKNFLVKGKLGPFGSSVALAFKSWWRPSFTFSITAINDRRNGTTSYGFGIRTEDLREPSYQRADPNYVMLTPNKEHLAAGVVQNFGKRPLFQSNIDTGNYDHLPRELKPMGKIF >Ma07_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2423653:2427003:1 gene:Ma07_g03120 transcript:Ma07_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRGLNTASPNLAEGRYSSAISDETSKRKMRCRDSREGDRYRVMFSGWSSGCKFHSLKLALFVMTCCAALTLLHCPAAHNEQLLQSSSRSRFADVGRIWQKKLSDPRYLSDLDVDWRQVSDVLRSVDGREGSLRIGLLNFNVTEIGVWRRTMPNAELSVVQLDYADASITWDVLYPEWIDEEEEDEVPTCPSLPQARLKKGSRFDVVAVKLPCRRSKSWARDVARLHLQLSAAKLAVASAGGASAVHVLLLTECFPIPNLFSCKSLVGREGNAWLYKPDVPALQEKLQLPVGSCELAVPLKPAVRPQAGGRGTREAYATILHSVEVYACGAIAVARSIRLAGSTRDLVVLVDESISGRHRSGLEAAGWKVRTIRRIRNPKAEKNAYNEWNYSKFRLWQLTDYDKIIFIDADLLVLRNIDFLFGMPEVSATGNNATIFNSGVMVIEPCNFTFQLLMAHIDDITSYNGGDQGYLNEIFTWWHRIPRHMNFLKHFWEGDSERVRAKKTALFAAETPGLYVLHYLGVKPWMCFRDFDCNWNSVTYRSFASDEAHATWWKVHDSMPESLQSFCLLSTLTKAGLEYARREAEKANFPDRHWRRNVTDPRRHVCFEKFCRWEAMLLHWDEPHASITV >Ma10_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14713845:14715828:-1 gene:Ma10_g04080 transcript:Ma10_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGSLRCDVNISVRPVGQSNFGTKVEIKNMNSFSAMNRAMDYEISRQVLLHSQGQSDQIVQETPSPSVVHKTFTMRKKEGLADYRYFPELDLPEVILTKDYVDKIQQVLPELSQKQNADDMKNWVSTCKMFSFLPMTIMLLNFLMLLLRMVLMQSWLPTGLWATLQLF >Ma11_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27368609:27375951:-1 gene:Ma11_g24390 transcript:Ma11_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRFALVLTLLFYVTFSHGYTYEQDVYAINYLYLALGFPLLPGWTAFGGDPCNDNWQGVQCVNSNISAIILNGANLGGELGDKLGNFTSLITMDLSGNQIGGRIPESLPITIRKFFLSANKFTGSIPGSLSGLTLLSDMSLNNNLLSGELPDAFQTLTGLINLDLSDNNFSGQLPPSMESLSSLTTLHIQNNQLSGTLDVLQDLPLQDLNVENNMFSGPIPAKLLNIPNFKKGGNPFNASIAPSPLPPPPTTFPFSHAPAPANSFKGPTQNGGLPSENNKISIIKAVEYVCPGVILLAIVTLMVILNVSKWQQKKLKDEGFHRGQDVRKDERPEEPHISIDFIKPHKGDEVLGESTQRQEYNFNIQRTTAHPMPLLIEKDTVNPVVTGKKILRDPAEILNPPTSATPFSVASLQLHTNSFSEENLIQDGTLGPVYLAEFPQGKLLEVVKLDNKNSDLPGDEFLKLVKIISEIQHPNIVELVGYCMDFGQRLLVYKYFSSKTLFDVLHSDDVGVKKKLSWNARIQIALEAAKALEYLHEGCRPPIVHQRFESSNILIDDDLSVRVSECGLTSLLPSSFVTQLSGMRPFFSYDAPEVSDSGSYSEKSDVYSFGIVMLELLTGHKPFDSSLPWAEQHLVRWASSQLHDIDALIRMMDPCVDRQLHVKSLSRFADIISRCIQQGSEFRPPMSEVVQDLARVI >Ma04_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18994931:18995773:1 gene:Ma04_g17850 transcript:Ma04_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHYTKRPFLLFLSFTVLLVLIRADPDPLQDFCVADLGATVVVNGFPCKPASGVTSDDFFFAGLSREGNTSNIFGSNVTNANVLSFPGLNTLGVSMNRVDVAPGGTNPPHSHPRATELIILLKGRLLVGFISTSNQFFSKVLNPGEMFVVPKGLIHFQYNVGKEKALAITTFDSQLPGVVIASTTLFASNPAIPDDVLAKAFQVDAKVVALIKSKFER >Ma04_p05480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4091752:4096555:1 gene:Ma04_g05480 transcript:Ma04_t05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLPLACPSLSEWDEEPEKSRWGEKVSEAVLSLYRSLPKKGKPQGRETTVVAAFLLSSPTQGLEVVALGTGTKCIGGSLLSPTGDVVNDSHAEIIARRSLLRYFYAEIERLDRIHQTSRDDVGFADASKSAFGLDTSCCGQTKYRMNPGWGLHLYITQLPCGVFSYPTLQPRELPVQTDLSAKIGGSSGSAESNGFQNGKSQIKESDHFPSDYPQISTIVQRKPGRGDTTYSMSCFNKITRWNVVGVQGALLFHILQPVYLSTLTVGFSPCDGLQKSVKTNYLEKAIYDCMERLHIKLTKPFHLNKPCIIEAPIPADRLQQSNGDETNLTCGYSICWNKSGLYEVVLGTTGRKQGTSAKGALSPSTESSLCKRRLLEVFVSLQSRLSLQLQSAEISYHGLKAMAHEYQSSLKMLRESPAFSSWHLKPSNLEMFAISGQ >Ma04_p05480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4091752:4096556:1 gene:Ma04_g05480 transcript:Ma04_t05480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLPLACPSLSEWDEEPEKSRWGEKVSEAVLSLYRSLPKKGKPQGRETTVVAAFLLSSPTQGLEVVALGTGTKCIGGSLLSPTGDVVNDSHAEIIARRSLLRYFYAEIERLDRIHQTSRDDVGFADASKSAFGLDTSCCGQTKYRMNPGWGLHLYITQLPCGVFSYPTLQPRELPVQTDLSAKIGGSSGSAESNGFQNGKSQIKESDHFPSDYPQISTIVQRKPGRGDTTYSMSCFNKITRWNVVGVQGALLFHILQPVYLSTLTVGFSPCDGLQKSVKTNYLEKAIYDCMERLHIKLTKPFHLNKPCIIEAPIPADRLQQSNGILSVGINLGCMKLSWEQQGGNKALLQKGRSLHPPSHLYARGGCWKFLCHCNPDYLCSFNLQKSLIMG >Ma04_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4091752:4096556:1 gene:Ma04_g05480 transcript:Ma04_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLPLACPSLSEWDEEPEKSRWGEKVSEAVLSLYRSLPKKGKPQGRETTVVAAFLLSSPTQGLEVVALGTGTKCIGGSLLSPTGDVVNDSHAEIIARRSLLRYFYAEIERLDRIHQTSRDDVGFADASKSAFGLDTSCCGQTKYRMNPGWGLHLYITQLPCGVFSYPTLQPRELPVQTDLSAKIGGSSESNGFQNGKSQIKESDHFPSDYPQISTIVQRKPGRGDTTYSMSCFNKITRWNVVGVQGALLFHILQPVYLSTLTVGFSPCDGLQKSVKTNYLEKAIYDCMERLHIKLTKPFHLNKPCIIEAPIPADRLQQSNGDETNLTCGYSICWNKSGLYEVVLGTTGRKQGTSAKGALSPSTESSLCKRRLLEVFVSLQSRLSLQLQSAEISYHGLKAMAHEYQSSLKMLRESPAFSSWHLKPSNLEMFAISGQ >Ma03_p21420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26367085:26389856:-1 gene:Ma03_g21420 transcript:Ma03_t21420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYKIRGVDVDFPFDAYDCQIVYMEKVIRSLQEGCNALLESPTGTGKTLCLLCATLAWRKTFGDFLTSANEERSNFSLSHSSGSQSAENGQTNYPAIIYTSRTHSQLKQVIRELKTSNYRPKMAVLGSREQMCIHDEVRLLRGRAQNNACHYLCKKRLCPHQPGVSEYMKKHHELGNEPFDIEDLVNTGRTMGLCPYYISRELHKVVDILFAPYNYLIDPGNRRSLSGIQWNNAVLIFDEAHNLESICADAASFDLPSGYLTACISEAKQCVDLCIKRRVIEKSADKEFDPENYAILRALLLKLETRIAEVAIESRELGFTRPGDYIYEFLSDLNITYETANMLIDTIDNAALLLEEGNTSGAGTGIKMKGAVCRLESIRNMLNIIFRDGGKDHAKFYRFHVQESQLSITDSLKGKVSRTLSWWCFNPGLAMQQFERLGVCSIILTSGTLSPLDSFALELNLEFPVRLENPHVITPNQVWVGVVPSGPSSQPFNSSYKNRDSLEYKQELGNSIVNFARIVPDGLLVFFPSYYMMDQCIECWKDMNINLFQGHASSSDFSTIWERISKYKQPIIEPKQSSLFPRAIEDFESKIRDNTTSGAIFFAVCRGKVSEGLDFADRAGRAVVVTGLPFSTKTDPKVRLKRDYLDHYATSQKKQSKVLTGEEWYVQQAARAVNQAVGRVIRHCHDYGAIVFCDERFAQQNWQCQMSYWLRPHIKCYPKFGDVVFTLTRFFRDKDFCDLKPKLAKSCTKEKSLMPLEKTLSLKSITSLAPNTEHCSRKSLSSVLSTNTSSGFDHLGQIVPANRSSVSNELGFCSTLQRSVNHFCHKKRLPFKEGISKNIQYQDTVVIDLANDTSSSEQACEVAFAATSAKRPKTLEPVDNVECQKDTDSKWPADFGSSTSVRLTQHVHAVKETFQQPTSHKEKHNTSIPCTDKTSGSAFLMQVQEKLTVAEYKEFVGFMKALKSKTMKITPLLESIAKLFSSPGRFSLLERFKDFVPAKYHPIYEQLLRVHGTNNDV >Ma03_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26367085:26389856:-1 gene:Ma03_g21420 transcript:Ma03_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPTYKIRGVDVDFPFDAYDCQIVYMEKVIRSLQEGCNALLESPTGTGKTLCLLCATLAWRKTFGDFLTSANEERSNFSLSHSSGSQSAENGQTNYPAIIYTSRTHSQLKQVIRELKTSNYRPKMAVLGSREQMCIHDEVRLLRGRAQNNACHYLCKKRLCPHQPGVSEYMKKHHELGNEPFDIEDLVNTGRTMGLCPYYISRELHKVVDILFAPYNYLIDPGNRRSLSGIQWNNAVLIFDEAHNLESICADAASFDLPSGYLTACISEAKQCVDLCIKRRVIEKSADKEFDPENYAILRALLLKLETRIAEVAIESRELGFTRPGDYIYEFLSDLNITYETANMLIDTIDNAALLLEEGNTSGAGTGIKMKGAVCRLESIRNMLNIIFRDGGKDHAKFYRFHVQESQLSITDSLKGKVSRTLSWWCFNPGLAMQQFERLGVCSIILTSGTLSPLDSFALELNLEFPVRLENPHVITPNQVWVGVVPSGPSSQPFNSSYKNRDSLEYKQELGNSIVNFARIVPDGLLVFFPSYYMMDQCIECWKDMGHASSSDFSTIWERISKYKQPIIEPKQSSLFPRAIEDFESKIRDNTTSGAIFFAVCRGKVSEGLDFADRAGRAVVVTGLPFSTKTDPKVRLKRDYLDHYATSQKKQSKVLTGEEWYVQQAARAVNQAVGRVIRHCHDYGAIVFCDERFAQQNWQCQMSYWLRPHIKCYPKFGDVVFTLTRFFRDKDFCDLKPKLAKSCTKEQMFVAEKSLMPLEKTLSLKSITSLAPNTEHCSRKSLSSVLSTNTSSGFDHLGQIVPANRSSVSNELGFCSTLQRSVNHFCHKKRLPFKEGISKNIQYQDTVVIDLANDTSSSEQACEVAFAATSAKRPKTLEPVDNVECQKDTDSKWPADFGSSTSVRLTQHVHAVKETFQQPTSHKEKHNTSIPCTDKTSGSAFLMQVQEKLTVAEYKEFVGFMKALKSKTMKITPLLESIAKLFSSPGRFSLLERFKDFVPAKYHPIYEQLLRVHGTNNDV >Ma08_p30810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41943217:41945641:1 gene:Ma08_g30810 transcript:Ma08_t30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPLLLLLLLHSRLLPSSSAAQLGSICLSNGNCDAGLHCETCLANGNLRPRCTRIQPSDPKSKGNGLPFNRFAWLTTHNSFARLGQQSRTGTPVIAPENQQDSVTGQLNNGVRGLMLDMYDFENDIWLCHSFGGNCFNFTAFQPAIDVLKEIQLFLEANPSEVITIFIEDYVTSPKGLTKVFNASGLMKYWFPVERMPKNGGDWPLLGDMISRNERLLVFTSKAAKEASEGIAYEWRYVVENQYGDGGMEAGSCPNRAESLPMNAMSRSLVLMNHFPSNPDMATACKHNSAPLVSMLSTCHGSSANRWPNFIAVDFYKRSDGGGAPQATDIANGHLVCGCNSIAYCKVNASFGVCDDPAKPGAAATSASCRLSSPVLASQLQYLSLLAIVLVSMHL >Ma01_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13984523:13991880:-1 gene:Ma01_g18680 transcript:Ma01_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPKFPGILGSGGGIDIGSSFYDTAYYRKLGEGSNMSIDSINSMQTSVNGGSMAMSRDASSVGSSDSRTGILSHPGLRQVPTPNYSVNHSVIRPGRVNPGLTDDALVQALMDTQHPTETLQGYEEWTIDLYKLNMGMPFAQGAFGKLYKGTYNGEDVAIKLLERPENDPERAQLMEQQFVQEVMMLATLKHPNIVRLVGACRKPVVWCIVTEYAKGGSVRQFLMKRQNRSVPLRLAVRQALDVARGMAYVHGLGFIHRDLKSDNLLIFTDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKSVRPVIPHDCLPALGEIMTRCWDANPDARPPFTEVVSMLKTAEIEIVGAVRKARFRCCMSEPMTTD >Ma11_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27590984:27594443:-1 gene:Ma11_g24700 transcript:Ma11_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEGIEMELHEGREIRRDGAHGRGSRRVAAAEEEINGLPAVKASSVETSDMNKDESQELKAGWKKFLAHVGPGFLVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVTTGKHLAELCKAEYPKFVKYCLWVVAELAVIAADIPEVIGTSFALNILFHIPVWAGVLITGLSTLLLLGLQRYGVRKLELLISVLVFVMAACFFGELSYVKPPASEVIRGLFVPRLKGDSATSDAIALLGALVMPHNLFLHSALVLSRKTPPSVKGINVACRYFLLESGFALLVALLINIAVVSVSGTVCATDNLSSDDSDTCSDLTLNSASFLLKNVLGKSSSIVYGIALLASGQSSTITGTYAGQYIMQGFLDIKMRMWLQNLMTRCVAIGPSLIVSIIGGSAGAGKLIIIASMILSFELPFALIPLLKFSSSRTKMGPHKNSVFIIVISWILGVGLIGINIYFLSTSFVDWITHSSLPRPVTVLIGIVVFPFMAVYMLAILYLAFRKDRVVTFVDKSDSSQIEMEDGVHPSDGNKATEVMPYREDLADIPLPD >Ma03_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5329634:5332045:1 gene:Ma03_g07580 transcript:Ma03_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPEIVLSSTSPATGSRAIPVLGMGTGLAHFTPEQRPATKHGILRAIELGYRHFDTAAIYQSEDVLGEAIAEALQAGLIHSRAELFITSKISCADAHRDLVVPAIRESLRNLKLDYLDLYLIHWPMRLKAGESYPPIESHHILPFDLKSVWEGMEECHRLGLAKQIGVSNFTTWKLAELLRYAKVPPAAVQVEMNPTWQQKKLREFCKEKGVHVIAYSPLGGQDMFVGKNLVMESQVLKEIAKAKGKTLAQVSLRWVYEQGASMIVKTLNKERIEENMKIFDWELSEEDKHKISQLPQCKRVTLRAMLSTEAASKLPDEDEVVEE >Ma10_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24546366:24546905:1 gene:Ma10_g10770 transcript:Ma10_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTDPTAGSTEEHRPASHRVRNRSRSQPRFFSGSAYPSPCPRSSAIPFSWEQRPGIPKSLSHTAATEDVSALPLPPPARSRSELSTTRKKRSAASPDPFAAALAVCSNGLPHDGDDVGELWLAPDAAPQRAASIADRFRLFDLYGSCKAACSVVDATVRLPRTGSLPFPGRRPGPDNI >Ma01_p19230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14707768:14714775:-1 gene:Ma01_g19230 transcript:Ma01_t19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAFPSLSPMLRRSVALTKISLPIRKPSTFRRNPTLLPIKALKEWREYEDALREKDLARALRFLRSMELEPLPAEESSVDSVSYVPADYPRTARDWEVLDACLNADDMRLVGSAYAFLQDRGFLPNFGKFKNIVLEGPREVTPTVLKESTGLEASNLAPKKWGISGSSRLLLIGFLGGVSFLLSQGVDIRPNLATILALGMFDAIFLGGTCLAQIQCFWPPYKRRVLVHEAGHLLTAYFMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLDNELAEGRLSSTAFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSLCVLLRPPLTVMQMSNQARRSVMQSYNLLKWHKNAHKAAVKALESGHSLGVVIRRIEEAMSSGN >Ma01_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14707768:14714775:-1 gene:Ma01_g19230 transcript:Ma01_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAFPSLSPMLRRSVALTKISLPIRKPSTFRRNPTLLPIKALKEWREYEDALREKDLARALRFLRSMELEPLPAEESSVDSVSYVPADYPRTARDWEVLDACLNADDMRLVGSAYAFLQDRGFLPNFGKFKNIVLEGPREVTPTVLKESTGLEASNLAPKKWGISGSSRLLLIGFLGGVSFLLSQGVDIRPNLATILALGMFDAIFLGGTCLAQIQCFWPPYKRRVLVHEAGHLLTAYFMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLDNELAEGRLSSTAFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSLCVLLRPPLTVMQMSNQARRSVMQSYNLLKWHKNAHKAAVKALESGHSLGVVIRRIEEAMSSGN >Ma06_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8944319:8946112:1 gene:Ma06_g12990 transcript:Ma06_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVCWISTLAGPLLVGCPEALGRHFQKGEGGFVATLILVPRKLKSQNQQKVVADTSLNLSTCKFILAHGTWLMQMWYGNGPFWKIFMVHVETLYMLKSRTENGLMCTIC >Ma08_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8961692:8962547:-1 gene:Ma08_g11950 transcript:Ma08_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVSSVAALPASNTLWFPGTRMEGFAVVPPSKRTAEIAKSREELLRLLHDLPECEYELSLTDLIEKGPVAADSTTAESSPSLEEGKSDQASLAKKRKQDMNRSNKRSFRSHSDGVLLRFYVPASLTRSLTTPRTSRGRRFKSTKESNERDGEVIPFGCWSALWNRRGKSSRKALERMN >Ma11_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9480165:9481916:-1 gene:Ma11_g10190 transcript:Ma11_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPHLFLRVGVKRGVYGFTLFLAVLALLLSVVTFSRFYSQSSHLTIKAFASYLSADPRQVEGGINETVDEIIEKIVKEMAHLRETTTDHSELMRERLRYIGFLTDILGLIGSVKEPLQHIGSSAHLRPSGTAAVAHPLSRSNQPYDELVEFFLVEEVRKYMRIKPNRLGKQNFMGANGTFASIGHACFSMKEEMEEYMDYDVGDFCEDDWKQAQRLMVHGCDPLPRRRCFARAPKLYTKPLPINESTWRYPDDRNVRWSHYRCKSFACLARNSTGKGFFKCADCFNLTHHEMPRWTKVVYIDPSSNSTPDFLVREVLQIKPGEIRIGLDFSVGTGSFAARMRELNVTIVTATINLGAPFSEMIALRGLIPLYLTINQRLPFFDNTLDIIHTTRFLDGWMDFILLDFVLYDWDRVLRPGGLLWIDSFFCQRKDLDDYLEAFKLLRYKKHRWVVVPKVDKDGQEVFFSAVLEKPPRPF >Ma05_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17994229:17996116:1 gene:Ma05_g16710 transcript:Ma05_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHSSTMALLVALLLLLLIALSVPSAATSASLAGVNYGRVANNLPQPESVPRLLASIGVGRVRLYDADPAVLRAFANTGIELVVGLPDRCLPSVAADPAEARAWARTHIQAFVPATKIAAVTVGNEVLTGTNASALARCLAPAMENIHDALAALSLDRDVIVTSTHSLAVLASPSYPPSAAVFRPDLLPYVRPLLAFHARTGSPLFVNAYPYFAYAEDPSGVGLEYALLDPGAAAFTDAATGLRYANLLHAQVDAVYHAISAAAAPSSKGCRVEVRVSETGWPSAGDANETGATPENAARYNGNLMRLLAGQKGTPLVPGTPLRAYVFALFNENQKAGPSSERNYGLFKPDGTPAYQLVGFAVHQDGNSTSTAGMGGGRSGSGEGSPGELVGESGYFSISTALPNLQQRVAAVAAAAAIAGVSVFLRLF >Ma05_p29680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40291679:40292298:1 gene:Ma05_g29680 transcript:Ma05_t29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFEPIKPSEVPTTATGALQSYKLTAKPTAKLKSLPGGSMRRLCNTVVEEVFELTGYDRVMVYRFHDDDHGEVLAEITKRGLDPYPGLPSHGCPLQYMETMNSISSLVLAVVVNGSGKEDDDDAEPGRRNRLWGLVVCHNQSPRFVPFPLRYAC >Ma08_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37143475:37144442:-1 gene:Ma08_g23760 transcript:Ma08_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEESKPPSHPPYAEMIMAAIAALGEKGETDESAIAAYIESAYQGLPESHAALLTAHLARMTDDGELHVVGDNYVRPDPTPAAPPKRGRGRPPKPKPNPALTPSAAPAAPRPRGRPPKPKDPLAVAVAKAASGLPRRRGRPPKRVKAAQPAGADAGAAPSPAVVGVKRGRGRPPKVKPAVDVV >Ma01_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15828431:15828808:1 gene:Ma01_g19950 transcript:Ma01_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGDTMPLHPSSQSDIDEIENLINAGTHTATVLPARPPSPSRASIPVSHSPFTLPPYQKVRPSSSPTPVVPSVPAAAATSPGGSPRIGISTGALVSPPDTLTEPVWDTVKRDLTRIVSNLKLVV >Ma11_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9114020:9116227:1 gene:Ma11_g09860 transcript:Ma11_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNRAALLFLFFLPPFFHACNATAAGLRQPKWRRELETYSGSGLYETRYFTQTLDHFNFNPQSYAKFQQRYLVNHTYWGGKGSPIFVYTGNEGGIELFAKNTGFMFDIAPSFKALLVFIEHRYYGESIPFGGEDVAYSNASTLGYLCVTQAIADFATLIIDLKKNLTSEDSPVVAFGGSYGGMLAAWFRLKYPHVVLGALASSAPLLQFDDLVSPYTFYNIVTNDFRSESESCYRTIKSSWKELDDTLSRPGGPKKLAKAFKTCSDEVSNLPQWIEEALVYGAMTDYPTVSDFLTPLPAYPVKQMCKAIDDPISGNDTFARLYGAMNIYYNYTGKATCFDVNTDSLDDTVGTDGWNWQSCTEMILPTGGRSEESMFPANKYSYDDNAGFCESYYGVAPRPHWITTEFGGHDITRVLKRFGSNIIFFNGLRDPWSGGGVLKSLNPSLKAMVVPQGAHHVDLRYSTKEDPMWLQNVRRKEINIIAGWTNQFYADSKL >Ma07_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17172870:17173292:-1 gene:Ma07_g17330 transcript:Ma07_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKRKEKCVRPDHGLLLSVSNNSSVATPATHPLVFCCNYCSKKFSSYQGLGGHQNAHKLEREEAKERSQRKALGFILVPVGLHDGPGNKASAVVDEEDDAARPRTQDLLSKIGESSTKDSDPGVDESELLEVDLTLRL >Ma07_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8160034:8163482:-1 gene:Ma07_g11010 transcript:Ma07_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELASGEPPSISQIRRRIPRVMTVAGIISDLDSDSDSDGSATGPSSTTRDRTIVVANQLPIRARRRPDGRGWDFSWDEDSLLLQLKDAIGDRGADMEFFYVGCLRDEIPPADQDDVGQVLLESFKCVPAFLPPDLLARYYHGFCKQQLWPLFHYMLPMSPDLGGRFDRSLWQAYVSVNKIFADKILEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGYIGLEYYGRTVSIKILPVGIHLGQLKSVLGLPETETKVQELREQFLEKGRVMMLGVDDMDIFKGISLKLLAMEELLKQHPEWRGKVVLVQIANPARGRGKGVKEVQAESFATMKRINEAYGNPGYEPIVLIDEPLPFFHRIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNEKLDRVLGLGTSAQKKSMLVLSEFIGCSPSLSGAIRVNPWNIEAVADAMVNALEMSEQEKQFRHEKHYKYVSTHDVGYWANSFVQDLERTCRDHSRRRCWGIGFGLGFRVVSLDTNFRKLAMEHIVSAYKRTRTRAILLDYDGTLMPQASIDKSPNAKSIEIMNSLCRDKNNLVFLVSARTRATLSDWFSACENLGVAAEHGYFLRLKRDAEWETCVPVADCSWKQIAEPVMNLYTETTDGSTIEDKETTLVWSYEDADPDFGSCQAKELLDHLESVLTNEPVSVKSGQHIVEVKPQGVSKGLVARRLLSIMQERGLSSDFVLCIGDDRSDEDMFEVISTSIASTSLTPTAEVFACTVGQKPSKAKYYLDDTAEIVRLMQGLATVSEQALRTQQSLQNGL >Ma06_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19198273:19202061:-1 gene:Ma06_g22660 transcript:Ma06_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MEGVAASLPRPSDLSPSLVGFLAEKFRTPEDLPRSPDLEAELTSRCSDLEASLADLSRRLAGSVAAYAVRSEETGALLGGVRAGLVDLRSSLRGSSKDGGDTEDGSGRREQMLADELPALAKEVARVETVRSYAETTLKLDRLIGDVEDAVSSSVTGKLKTPSATNSVDICMVAINSLKQIEDMLASVTKSRPQWSRLVSAVDHRVDRALSILRPQAIADHRNLLASLGWPPPLSGSNIVHPNTGASPELYNPLFLMTGNLKIKYCENFLSLCKLQELQRRRKSRQLSGHTLEIALSQPLWVVEELVNPIMVAAQHFLSKWHDKPEFIFALVYKLTMDFVASVDEILQPLVDKAMLVGRSCREEWISAMVTSLSTFLSKEIFPKYVDLLEGSHSSSNSSQARLSWLHLVDLMISFDKRIQTLITNSGLVLSLTDDANLQRVSSMSIFCDRPDWLQMWAEIELGETVEKLRVAMHDEKSWKTRFQGTVLMTGSEDYKSPAVSGAVLQGLSLLIDRSRPLPSVELRARFIRLAGAPIVREFLDCLLRRCQEAEGLTALADDDALLKVSQSINSARHFDSGLTEWCENVFFLEMESIGKDDTEGRRIFEEEITMFKEFRTEWIEKIATVVLRGFDSLCRDYLKNRRQWQEKTEGVSLSKTFVTALDYIQGKISKLEEGLNAMDFVPMWRAVASGVDQLVFSGVFLSSIKFNSSAVERLNGDLEVLFGVFSAWCLRPQGFLPRLAEGLKLLKMEEKQLKDGILRHDERWLRENGIKHLTIAEAEKIVKNTVVMG >Ma11_p02200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1576820:1582486:1 gene:Ma11_g02200 transcript:Ma11_t02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGGGAAGDYSSGSMATPEAAVAEEDMVGKDYVGISEVSSSSYPGSAGVGGGMDEEEELELGLSLGTKKAVGGGGTPWADYCRILTAEDLPSMGSRASPLSSSSSVSSSSSPIAGGERCNGRVAKKTSSNPPSQMVVGWPPIRAYRMNSLVNQSKENTSAHTTITSTHKKASQNIKNNKTGERICCKEIEKKGRSSVNSLFVKVNLDGDPIGRKVDLSSYPSYETLALALEAMFLRQTLVLGSSIYDGPRTSKLLDGSSGFIITYEDRDGDWMLVGDVPWGMFLSTVKRLRIMKTSGASGLGKWDCQSHVHPSCSPGVFRMIPHSEGLL >Ma11_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1576820:1581418:1 gene:Ma11_g02200 transcript:Ma11_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGGGAAGDYSSGSMATPEAAVAEEDMVGKDYVGISEVSSSSYPGSAGVGGGMDEEEELELGLSLGTKKAVGGGGTPWADYCRILTAEDLPSMGSRASPLSSSSSVSSSSSPIAGGERCNGRVAKKTSSNPPSQMVVGWPPIRAYRMNSLVNQSKENTSAHTTITSTHKKASQNIKNNKTGERICCKEIEKKGRSSVNSLFVKVNLDGDPIGRKVDLSSYPSYETLALALEAMFLRQTLVLGSSIYDGPRTSKLLDGSSGFIITYEDRDGDWMLVGDVPWGMFLSTVKRLRIMKTSGASGLAPRFHSWPNIGPC >Ma03_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21049:43302:1 gene:Ma03_g00020 transcript:Ma03_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELHGDSSPDAVPRRSQRPRSPPLDDKEKQTHIRFLVSNTAAGCIIGKGGLTITEFQSQSGARIQLSRNHEVFPRTSDRIILISGAFSEVMKAMELILEKLLNEVEEGNDVEGRSKVRLIVPNSSCGAIIGKGGSTIKSFIEESQAGIKISPQDNIAGLNDRLVSLTGSFEEQMHAIFLILSKLIEDAHYPQTLNSPYPYSGVNFPGFPGVPVSYMIPSVAYPVGYGANGIGGKYLSNKGVASPLVSSRSPGGSHENQSNSTTIGIADEHIGAVVGRGGRNIMEISQVSGARIKISDRGDFILGTSDRKVTITGSSEAIRAAEAMIMQKVSSNSER >Ma07_p26090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33027141:33030184:-1 gene:Ma07_g26090 transcript:Ma07_t26090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYTGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >Ma07_p26090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33027141:33030182:-1 gene:Ma07_g26090 transcript:Ma07_t26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYTGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYEATARSWTQKYAMG >Ma07_p28250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34372122:34375807:1 gene:Ma07_g28250 transcript:Ma07_t28250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) UniProtKB/Swiss-Prot;Acc:Q8GYX3] MGSLGDALTMEFFPAPSDPVMIELNRLENGLLEKERELGLAKCEIKTLKATELSKDKAVMELSNEVKKMDEKLRATEKQLENKNLEIKKLINEKKEALAAQFSAEAALRRVHATQKDEELVPVGVLTAPLESDIRQYKNEIVMLQEDNKALERLTKSKEAALVEAEALLQSALEQAMSVEMVRNKNLELKRQMEICQEENRTLEKTHRQKVVEVERLTKTITELEESILASSVTANAIRDCQRQISELNEEKRMVERELARVKVSASRMATVAANEWKDDNDKVIPVKQWLEERRFLQGEIQRLRDKLALAERTAKAERQLKDKLSLRLKTVEECLKPSGLPEKHGELPGGKKYGVKKRSASRPRASHATKNASVLQQPHSISGNGDKIFDGKNPVQKNLSAPRSRSFSDSEKENAETNVKPNGHVDDDVVTGKTELTHEVNAKECGHIKSEMKRLGVDCEDMVSGFLYDRLQKEVLNLRGSQKDKESLLSAKDDEIKMLQKKVDVLTKAVEMELKKTRRNAVARERKMLAKPEDNKHNTDISERYVRQLEVSKGSTA >Ma07_p28250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34372207:34375807:1 gene:Ma07_g28250 transcript:Ma07_t28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) UniProtKB/Swiss-Prot;Acc:Q8GYX3] MGSLGDALTMEFFPAPSDPVMIELNRLENGLLEKERELGLAKCEIKTLKATELSKDKAVMELSNEVKKMDEKLRATEKQLENKNLEIKKLINEKKEALAAQFSAEAALRRVHATQKDEELVPVGVLTAPLESDIRQYKNEIVMLQEDNKALERLTKSKEAALVEAEALLQSALEQAMSVEMVRNKNLELKRQMEICQEENRTLEKTHRQKVVEVERLTKTITELEESILASSVTANAIRDCQRQISELNEEKRMVERELARVKVSASRMATVAANEWKDDNDKVIPVKQWLEERRFLQGEIQRLRDKLALAERTAKAERQLKDKLSLRLKTVEECLKPSGLPEKHGELPGGKKYGVKKRSASRPRASHATKNASVLQQPHSISGNGDKIFDGKNPVQKNLSAPRSRSFSDSEKENAETNVKPNGHVDDDVVTGKTELTHEVNAKECGHIKSEMKRLGVDCEDMVSGFLYDRLQKEVLNLRGSQKDKESLLSAKDDEIKMLQKKVDVLTKAVEMELKKTRRNAVARERKMLAKPEDNKHNTDISERYVRQLEVSKGSTA >Ma02_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22769879:22771361:1 gene:Ma02_g14850 transcript:Ma02_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPMYSDGEIGSEALNSSQSSMSSSGGSCDDRHSSFSRLSFDGVAAAAALELPSGDRPLAASRLPAKPHRWSDPSWAAIRSRNSAGLHANLGPRDFKIVRRIGSGDIGTVYLCRLREEASACVYAMKVVDKLALAKKNKLERAATEKRILRVLDHPFLPTLYADFDASPHYSCVVMEYCSGGDLHTLRHRQPRLRFSVAATRFYAAEVLLALEYLHMLGIVYRDLKPENILIRSDGHIMLSDFDLSLESTASPTLEQFDAADEAAFGDDFSCIPFLARRPVRTSAPCRRFVAEPISARSGSFVGTHEYVAPEVASGRHHGSAVDWWAFGVLLYELLYSRTPFAGSNNESTLRNIVKQPLTFPPSSSSCSSSAARDLITCLLLKDPAARLGSRGGSAEVKAHPFFKGLNFALMRSHRPPVIPGLVRSASAKERRKPDRFDYF >Ma06_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12438821:12441376:1 gene:Ma06_g18310 transcript:Ma06_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSPLKLPLQSPLPSPLLVVPRYPRMASLPVLLPCLLLLLAASPALSTNPEGEALHAWRTRLTDPTNVLQSWDPTLVNPCTWFHVTCDSQNRVIRLDLGNSNISGSIGPELGGLERLQYLELYRNNFQGKIPAELGNLKSLISMDLYGNQLQGEIPKSFAKLKSLRFLRLNNNRLSGSIPRELVFLSNLKVLDLSNNDLCGTIPIDGPFANFPLQSFDNNSRLNGPELQGLVPYDIGC >Ma09_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1235249:1238074:1 gene:Ma09_g01660 transcript:Ma09_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGPAESGLAAARVGELKKELRRLVRAIAEEDDSRIEKFEGAARALAALKDLRFRGSGNSNGALASQRKAETEIEAVVVPEHFLCPISAELMRDPVVVASGQTYDRAFIEEWLSSGNRTCPQTQRVLSDTTLTPNHLVRSMISQWCIEHGVTLPPLDQGQEEGLITGKERNALRRIFDKMSSSSSVPEQKQAVRELRLLTKRNRSFRALVGENPDTIPQLLSVLSAPGLRCDPEVQEDTVTTILNLSIHDSNKKIVGDNPQAIPLLIDALQTGTMETRSNAAAALFSLSALDCNKLKIGESGAMKPLVDLLEQGSPSAKKDAGSAIFNLCMVHENRARAVRGGAVGVVLKAIGHHSLVDESLAILALLSSDQDAVEEIARAGGVPRLLGIVRDSPCARNKENAAVVLFSICMHDRTKLREVGEEEEKHGSISRLAQNGTSRARRKAAGIIDKWKRASRSTHYSC >Ma07_p28670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34642957:34643641:-1 gene:Ma07_g28670 transcript:Ma07_t28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSCDATTVVAATATAKLVLQDGKLQEFARPVKASHVLQKDPNCFVCSADDMGFDDFVSPVNADDELQPGQLYFLLPVSMLRRPLHAEEMAALAVKASAAIMDSDGYHCRGGPVGRLVFPEASADGIRSAVAPAQRRRRGPSSKRRGGKGRDFKSELGGIPE >Ma11_p21340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25530078:25546691:1 gene:Ma11_g21340 transcript:Ma11_t21340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDPPENPEAQRPSPAPTAAKPDGDAASETSSAQSSEPSDRKDAESLVLSTRSEEYRFLFRLSPDDVLLQDFNCAIHENILLQGHMYLFTHHICFYSNIFGFETKKIIPFHEVTCVRKAKTVAIFHNAIEILAGVKRVTKLWYFFGSFLTRDEAYRLIVDVWVQHGGDVRAQDTKLEASSQDDAIIIFDKVKGGKALLDDSSSSNRSKDAKLSEEFKSIPNGKVDSDISIRLLEIQENEGEENVNKSLSQNPFSWTIEDIDAPKVPEHFAIVAESKFPLLVEDFFSLFVSDRAADFLKDFHTRCGDKDFQCTSWHRHEQFGYTRNVSFLHPVKVYLGAKFGNCQEVQKFHVFRNSHLVIETSQQIDNVPYGDYFQVEGIWDVEQASKGENSCTVKVYSNVAFSKKTMFKGKIEQSTREESKEVYATWISIAHETLKEKNIGQSKGLAEQDASMVHENNSELGSPPKLEGSTQNSTLNSTYLSRNIHEITNCNSGIDNHMKEKSQLFSPLMSIFREPWATFCSHMKAQSLLATILAVAFIIFILMQLSIILLLARVPEVHLVTHGNYNMENVEWLEKRFNYLKEEMLMVESRLERMRHEYALLKSYLQSLEQLRAKS >Ma11_p21340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25530078:25546691:1 gene:Ma11_g21340 transcript:Ma11_t21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDPPENPEAQRPSPAPTAAKPDGDAASETSSAQSSEPSDRKDAESLVLSTRSEEYRFLFRLSPDDVLLQDFNCAIHENILLQGHMYLFTHHICFYSNIFGFETKKIIPFHEVTCVRKAKTVAIFHNAIEILAGVKRVTKLWYFFGSFLTRDEAYRLIVDVWVQHGGDVRAQDTKLEASSQDDAIIIFDKVKGGKALLDDSSSSNRSKDAKLSEEFKSIPNGKVDSDISIRLLEIQENEGEENVNKSLSQNPFSWTIEDIDAPKVPEHFAIVAESKFPLLVEDFFSLFVSDRAADFLKDFHTRCGDKDFQCTSWHRHEQFGYTRNVSFLHPVKVYLGAKFGNCQEVQKFHVFRNSHLVIETSQQIDNVPYGDYFQVEGIWDVEQASKGENSCTVKVYSNVAFSKKTMFKGKIEQSTREESKEVYATWISIAHETLKEKNIGQSKAGLAEQDASMVHENNSELGSPPKLEGSTQNSTLNSTYLSRNIHEITNCNSGIDNHMKEKSQLFSPLMSIFREPWATFCSHMKAQSLLATILAVAFIIFILMQLSIILLLARVPEVHLVTHGNYNMENVEWLEKRFNYLKEEMLMVESRLERMRHEYALLKSYLQSLEQLRAKS >Ma11_p21340.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25530078:25546691:1 gene:Ma11_g21340 transcript:Ma11_t21340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDPPENPEAQRPSPAPTAAKPDGDAASETSSAQSSEPSDRKDAESLVLSTRSEEYRFLFRLSPDDVLLQDFNCAIHENILLQGHMYLFTHHICFYSNIFGFETKKIIPFHEVTCVRKAKTVAIFHNAIEILAGVKRVTKLWYFFGSFLTRDEAYRLIVDVWVQHGGDVRAQDTKLEASSQDDAIIIFDKVKGGKALLDDSSSSNRSKDAKLSEEFKSIPNGKVDSDISIRLLEIQENEGEENVNKSLSQNPFSWTIEDIDAPKVPEHFAIVAESKFPLLVEDFFSLFVSDRAADFLKDFHTRCGDKDFQCTSWHRHEQFGYTRNVSFLHPVKVYLGAKFGNCQEVQKFHVFRNSHLVIETSQQIDNVPYGDYFQVEGIWDVEQASKGENSCTVKVYSNVAFSKKTMFKGKIEQSTREESKEVYATWISIAHETLKEKNIGQSKAGLAEQDASMVHENNSELGSPPKLEGSTQNSTLNSTYLSRNIHEITNCNSGIDNHMKEKSQLFSPLMSIFREPWATFCSHMKAQSLLATILAVAFIIFILMQLSIILLLARVPEVHLVTHGNYNMENVEWLEKRFNYLKEEMLMVESRLERMRHEYALLKSYLQSLEQLRAKS >Ma11_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25530050:25546691:1 gene:Ma11_g21340 transcript:Ma11_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDPPENPEAQRPSPAPTAAKPDGDAASETSSAQSSEPSDRKDAESLVLSTRSEEYRFLFRLSPDDVLLQDFNCAIHENILLQGHMYLFTHHICFYSNIFGFETKKIIPFHEVTCVRKAKTVAIFHNAIEILAGVKRYFFGSFLTRDEAYRLIVDVWVQHGGDVRAQDTKLEASSQDDAIIIFDKVKGGKALLDDSSSSNRSKDAKLSEEFKSIPNGKVDSDISIRLLEIQENEGEENVNKSLSQNPFSWTIEDIDAPKVPEHFAIVAESKFPLLVEDFFSLFVSDRAADFLKDFHTRCGDKDFQCTSWHRHEQFGYTRNVSFLHPVKVYLGAKFGNCQEVQKFHVFRNSHLVIETSQQIDNVPYGDYFQVEGIWDVEQASKGENSCTVKVYSNVAFSKKTMFKGKIEQSTREESKEVYATWISIAHETLKEKNIGQSKAGLAEQDASMVHENNSELGSPPKLEGSTQNSTLNSTYLSRNIHEITNCNSGIDNHMKEKSQLFSPLMSIFREPWATFCSHMKAQSLLATILAVAFIIFILMQLSIILLLARVPEVHLVTHGNYNMENVEWLEKRFNYLKEEMLMVESRLERMRHEYALLKSYLQSLEQLRAKS >Ma04_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10870686:10872904:-1 gene:Ma04_g14350 transcript:Ma04_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAAKGGTERLLLGMERSARDFLRRFVGNGYGDETTEVTEGDSDEIELSLGLSLGGCFGADPKGKKLVRSSSIASFTSLPWEPEFPVVPAAALTRTSSLPTETEEERRKRKEMQSFKRLEAKRKRLERRNSIRSGAAKAGEKPDEEVTGSMATAAADHVAGARNGVTPPGLPAWTVGGSKRAAARPVDVPGNFPPISHGSLGSQGSSTAGACALGNRAPQGFGMTETKNSSMIHSAGNETSTKQVAVRANGIKEMERKMMEKMPFVSTRGDGPNGRRIEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGHDVTNPLRHIVVNSSPFSLL >Ma05_p13600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9891112:9895067:1 gene:Ma05_g13600 transcript:Ma05_t13600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGKIKGSVLVTGGAGFIGSHTVLQLLKEGFFVSVIDNLDNSLEEAVHRVRVLAGPHLSQNLRFHLGDIRSKDDLEEVFSKSSYDAVIHFAGLKAVGESVAKPSLYYNNNLIGTLNLFEFMGKYGCKKMVFSSSATVYGQPEKIPCVEDFKLKAMNPYGRTKLFLEDIAHDIQKADPEWRIILLRYFNPVGAHESGLIGEDPKGIPNNLMPYIQQVAVGRLPVLNVYGYDYPTKDGSAIRDYIHVMDLADGHIAALYKVTTAENIGCVAYNLGTGRGTSVLEMVNAFEKVVDKKIPIKLCPRRLGDATAVYASTEKAQKELGWKARYGIEEMCRDQWNWAFKNPYGYRPRP >Ma05_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9890651:9895067:1 gene:Ma05_g13600 transcript:Ma05_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVLVTGGAGFIGSHTVLQLLKEGFFVSVIDNLDNSLEEAVHRVRVLAGPHLSQNLRFHLGDIRSKDDLEEVFSKSSYDAVIHFAGLKAVGESVAKPSLYYNNNLIGTLNLFEFMGKYGCKKMVFSSSATVYGQPEKIPCVEDFKLKAMNPYGRTKLFLEDIAHDIQKADPEWRIILLRYFNPVGAHESGLIGEDPKGIPNNLMPYIQQVAVGRLPVLNVYGYDYPTKDGSAIRDYIHVMDLADGHIAALYKVTTAENIGCVAYNLGTGRGTSVLEMVNAFEKVVDKKIPIKLCPRRLGDATAVYASTEKAQKELGWKARYGIEEMCRDQWNWAFKNPYGYRPRP >Ma02_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24212706:24212933:1 gene:Ma02_g17170 transcript:Ma02_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEPTSQEKDGSNTKPHRFFLKKVESRGVGETSKKGKAGERERGEHKSRSFNPTSNLPTWRSRKRSLNSQELG >Ma11_p10130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9393662:9409521:1 gene:Ma11_g10130 transcript:Ma11_t10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSERAFMASGPLVGGGAGGDGSREKHRWAESSKVYTRKSSNKTPKPSSNPQPPAPSAAENNDLDSARRQHSQPAPAPPAASDDASSSLNRPPADPAFAANGHGRSVTISLASRSRQEMQELRRKLATELEQVRALSRRLEAHELQLASAAVAPAAGYTHSQLSATDPNTPVAAKRAPELATPTTAAAGPFSRQLSVSVSAMENNLSEGVEKEKRTPKANQYYQNSDFVLGKEKFPPPDPHGNKKSKAIGGKKQSSELSDYSGAHPAEKKLYVQAFKSCVVLLSKLMKHKYGWVFNKPVDAKALGLHDYYNIIKHPMDLGTVKSRLAKNWYKSPQDFAEDVRLTFCNAMTYNPKGQDVHVMAEQLLQVFEEHWPAIEAEFAYFSHPLAPKKPPPLDMRMLERSDSTVHPVVVEPKTKPVNQPTHVGRAPALKKPKAKDMNKRDMTFEEKQKLSNNLQKLPPEKLESIVQIIKKRNSSLNQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAILARQEAELNTQEMAQGMIQEAVVAEVLEKSKTASSSPVEGAKKGENASRSSSSSSSSSDSGSSSSDSDSESSSAYGSDAARSPRT >Ma11_p10130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9393662:9409521:1 gene:Ma11_g10130 transcript:Ma11_t10130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSERAFMASGPLVGGGAGGDGSREKHRWAESSKVYTRKSSNKTPKPSSNPQPPAPSAAENNDLDSARRQHSQPAPAPPAASDDASSSLNRPPADPAFAANGHGRSVTISLASRSRQEMQELRRKLATELEQVRALSRRLEAHELQLASAAVAPAAGYTHSQLSATDPNTPVAAKRAPELATPTTAAAGPFSRQLSVSVSAMENNLSEGVEKEKRTPKANQYYQNSDFVLGKEKFPPPDPHGNKKSKAIGGKKQSSELSDYSGAHPAEKKLYVQAFKSCVVLLSKLMKHKYGWVFNKPVDAKALGLHDYYNIIKHPMDLGTVKSRLAKNWYKSPQDFAEDVRLTFCNAMTYNPKGQDVHVMAEQLLQVFEEHWPAIEAEFAYFSHPLAPKKPPPLDMRMLERSDSTVHPVVVEPKTKPVNQPTHVGRAPALKKPKAKDMNKRDMTFEEKQKLSNNLQKLPPEKLESIVQIIKKRNSSLNQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAILARQEAELNTQEMAQGMIQEAVVAEVLEKSKTASSSPVEGAKKGENASRSSSSSSSSSDSGSSSSDSDSESSSAYGSDAARSPRT >Ma11_p10130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9393662:9409521:1 gene:Ma11_g10130 transcript:Ma11_t10130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSERAFMASGPLVGGGAGGDGSREKHRWAESSKVYTRKSSNKTPKPSSNPQPPAPSAAENNDLDSARRQHSQPAPAPPAASDDASSSLNRPPADPAFAANGHGRSVTISLASRSRQEMQELRRKLATELEQVRALSRRLEAHELQLASAAVAPAAGYTHSQLSATDPNTPVAAKRAPELATPTTAAAGPFSRQLSVSVSAMENNLSEGVEKEKRTPKANQYYQNSDFVLGKEKFPPPDPHGNKKSKAIGGKKQSSELSDYSGAHPAEKKLYVQAFKSCVVLLSKLMKHKYGWVFNKPVDAKALGLHDYYNIIKHPMDLGTVKSRLAKNWYKSPQDFAEDVRLTFCNAMTYNPKGQDVHVMAEQLLQVFEEHWPAIEAEFAYFSHPLAPKKPPPLDMRMLERSDSTVHPVVVEPKTKPVNQPTHVGRAPALKKPKAKDMNKRDMTFEEKQKLSNNLQKLPPEKLESIVQIIKKRNSSLNQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAILARQEAELNTQEMAQGMIQEAVVAEVLEKSKTAVNEKFAASSSPVEGAKKGENASRSSSSSSSSSDSGSSSSDSDSESSSAYGSDAARSPRT >Ma11_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9393662:9409521:1 gene:Ma11_g10130 transcript:Ma11_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSERAFMASGPLVGGGAGGDGSREKHRWAESSKVYTRKSSNKTPKPSSNPQPPAPSAAENNDLDSARRQHSQPAPAPPAASDDASSSLNRPPADPAFAANGHGRSVTISLASRSRQEMQELRRKLATELEQVRALSRRLEAHELQLASAAVAPAAGYTHSQLSATDPNTPVAAKRAPELATPTTAAAGPFSRQLSVSVSAMENNLSEGVEKEKRTPKANQYYQNSDFVLGKEKFPPPDPHGNKKSKAIGGKKQSSELSDYSGAHPAEKKLYVQAFKSCVVLLSKLMKHKYGWVFNKPVDAKALGLHDYYNIIKHPMDLGTVKSRLAKNWYKSPQDFAEDVRLTFCNAMTYNPKGQDVHVMAEQLLQVFEEHWPAIEAEFAYFSHPLAPKKPPPLDMRMLERSDSTVHPVVVEPKTKPVNQPTHVGRAPALKKPKAKDMNKRDMTFEEKQKLSNNLQKLPPEKLESIVQIIKKRNSSLNQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAILARQEAELNTQEMAQGMIQEAVVAEVLEKSKTAVNEKFAASSSPVEGAKKGENASRSSSSSSSSSDSGSSSSDSDSESSSAYGSDAARSPRT >Ma11_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22411722:22416553:-1 gene:Ma11_g17020 transcript:Ma11_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRCDINGVKKASYYLNAEEQRPRSPSAIVIGGGFAGIAAAYALKNASFKVVLLESRDRIGGRVYTDYSFGFPVDMGAAWLHGVCKENPLAPWIGRLGLPIYRTSGDNSVLFDHDLESYALFDADGRQVPQELVQKVGEVFESILEETDKLRHETSEDMSIAQAITLVLERHPDLRQEGVANNVLQWYLCRMEGWFATDANNISVKNWDKEVLLPGGHGLMVRGYRPVINTLAKGLDIRLHHQVTKIVRGKKGVEVTVSSGKAFFADAAIITVPLGVLKAKSIKFEPRLPEWKEEAIDGIGVGTENKIVLHFNKVFWPNVEFLGVVSPTSYGCSYFLNLHKATGHPVLVYMPAGRLANDIEKMSDKAAAEFAFSQLKGILPDASEPIQYLVSHWGTDENSLGSYTYDAVGKPREYFERLRIPVDNIFFAGEATSIKYTGTVHGAFSTGLMAAEECRMRVLEKYGDLDTLEMFHPAMGEEAASISVPLLISRM >Ma11_p17020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22412002:22416553:-1 gene:Ma11_g17020 transcript:Ma11_t17020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRCDINGVKKASYYLNAEEQRPRSPSAIVIGGGFAGIAAAYALKNASFKVVLLESRDRIGGRVYTDYSFGFPVDMGAAWLHGVCKENPLAPWIGRLGLPIYRTSGDNSVLFDHDLESYALFDADGRQVPQELVQKVGEVFESILEETDKLRHETSEDMSIAQAITLVLERHPDLRQEGVANNVLQWYLCRMEGWFATDANNISVKNWDKEVLLPGGHGLMVRGYRPVINTLAKGLDIRLHHQVTKIVRGKKGVEVTVSSGKAFFADAAIITVPLGVLKAKSIKFEPRLPEWKEEAIDGIGVGTENKIVLHFNKVASLMILRKCLTRLLLNLPLVS >Ma01_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7444873:7445748:-1 gene:Ma01_g10330 transcript:Ma01_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFVPCLGHHAVRVAGSACSGSSISSERPPQSTVSCCYRAALSTHNELLNKVTWHKNNRDTSVLVVIEENPGAGVSDPRGTTCQLLMRKKGSQSFAIGNSEFALHWDISAATYGAGAEPTRDFYLVMMADKVFVLLLGDMWREFIKKFEDKVLVAASSMYSRREQVFGATLYSTKAQFHDGGKDHEIMVRCKGDETDAKDAELYVCVDRKRLLHVKRVMWNFRGNQTIFVDGSAIDVLWDVHDWWFRGSPSGATFMFRARSALASRPWSEEELAKRASQFTLLIQAFKSK >Ma01_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4690560:4695729:1 gene:Ma01_g06530 transcript:Ma01_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISVVPSMGLRNTSGTIGAVDRLPDEMKDMKIRDDKEAEETVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRFLDHPNVISMKHCFFSTTTKDDLYLNLVLEYVPETVHHVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYNEFKFPQIKVHPWHKIFHKQMPLEAVDLVSRLLQYSPSLRCTALEALIHPFFDELRNQSTRLPNGRFLPPLFNFKPHELKGVPTEMVAKLIPEHARKQCVGLGSQAG >Ma01_p06530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4690569:4695729:1 gene:Ma01_g06530 transcript:Ma01_t06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISVVPSMGLRNTSGTIGAVDRLPDEMKDMKIRDDKEAEETVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRFLDHPNVISMKHCFFSTTTKDDLYLNLVLEYVPETVHHVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYNEFKFPQIKVHPWHKIFHKQMPLEAVDLVSRLLQYSPSLRCTALEALIHPFFDELRNQSTRLPNGRFLPPLFNFKPHELKGVPTEMVAKLIPEHARKQCVGLGSQAG >Ma04_p29280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30239908:30245483:-1 gene:Ma04_g29280 transcript:Ma04_t29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSLSKNGFFQSVSATIWRNRSNKDDLPTSNGKATGEGTEATPPKPDTDAPQPVKIQDDEAKPAKVPEAESKPAPERPPTDQPTKPNHVKRASSVAGLQVEFVLKSKTENLKDVYSLGRKLGQGQFGTTYLCIEKATGKEYACKSILKRKLTTEEDVDDVRREIQIMHHLSGNPNVISIKEAYEDAVAVHVVMELCAGGELFDRIIQKGHYTERKAAELAKVIVGVVEACHSMGVMHRDLKPENFLFVNQMEDARLKTIDFGLSIFFQPGEIFTDVVGSPYYVAPEVLKKRYGPEADVWSAGVIIYILLSGVPPFWAETEQGIFEEVLHGTLDFQSDPWPSISESAKDLVRRMLVRDPRRRMTAHEVLCHPWVQIDGVAPDKPLDSAVLSRLKQFSAMNKLKKMAIRVIAEHLSEDEIAGLKEMFKMIDTDNSGQITYEELKAGLEKFGANLKESEIYALMQAADVDNSGTIDYGEFIAATLHLNKIEREDHLFAAFSYFDKDGSGYITQDELQQACQEFGIEDVRLEDMIRDVDQDNDGRIDYNEFVAMMQKGNTGFGKKGVHASFGIGFREALKIG >Ma08_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1619122:1621734:1 gene:Ma08_g01960 transcript:Ma08_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFVEKISLFDRRSSPMAEAEDIARDGLLVYHHPLAPATTDAQAVTLGQLFKHVGDAHSGEGDDGDAAPPPHHVLELDEFSAGAGGGDHLSSRPPTFVLAFTNLSYSVKRPRKMSLFQRNRLATDPVAALAPPEAFSRTKTLLNSISGEAREGEIFAVLGASGSGKSTLIDALANRIVRDSLQGSITLNGEKLDGRLLKVISAYVMQDDLLYPMLTVEETLMFSAEFRLPRSLSVSKKKSRVQALIDQLGLRSAAKTIIGDEIHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAHSGSIVIMSVHQPSYRILCLLDRLLFLSRGQTVYSGPPEGLHRFFSDFGHPIPDNENPTEFALDLIRELEGTPAGARSLVEFNKSRQDEQPALVPAARSSLSLNDAIGASISRGKLVSGTTEGTTSASSVQKYANPFWIEMGVLTKRSFTNTKRMPELFAIRLAAVLLTGFILATIFWRLDNSPKGVQERLGFFAIAMSTMFYTCADALPIFLQERNIFMRETAYNAYRRSSYVLSHAIVGFPPLILLSVAFALTTYFAVGLAGGMQGFVFFVLIILASFWAGSGFVTFLSGVVTHVMLGYTVVVAILAYFLLFSGFFINRDRIHDYWIWFHYLSLVKYPYEAVMQNEFDDRHKCFVRGVQMFDNTPLGVLPDAIKLRVLKSMSNSLGVNITSRTCITTGTDILKQQSITQLSKWDCLWVTVAWGFLFRFLFYISLLLGSRNKRR >Ma02_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16268774:16270113:1 gene:Ma02_g04860 transcript:Ma02_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKSGLKKGPWTPEEDQKLIDYIQKHGHGSWRTLPKNAGLARCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNSHIKKRLIRMGIDPVTHTSLLNASLCNPTLLNLCGLLGLHPLIKTELMRISTNILASQSQSPSLVNQSIQGQQYLPHDAQAQQHLELFQNQQLQNQTLLNAPFPDGGQFQEAADTNLWHDIVISDTHLMNVTGVDNNNLEASIPGLVSDSFCISGNNSQNYNLASILSTPASSSDNMISSPPSTYMNSNTEDERDSSCSDIYDFQIPDLLDVSDYM >Ma03_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28206188:28207287:-1 gene:Ma03_g23700 transcript:Ma03_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFPFCSYRGLRQVPQIPTTLLSRNAPVQPRLPLLSSPRAARLSLHTPIPLPEKPPKLSPTLPLLPPDSAPSSSSSFRDKLLFLDALGVDLFAAAAAHPALVAVPLADLRVAVDFLRSLGLAAPEIRRACGMCPEILTAAPADLAAAVAFLLREAGVQGRDLRRVIGRRPRLLVSDVARRLRPTLYFLQMLGVAPIARHASLLSCSVEEKLLPRLDFLEEVGFSYRDARAMARRFPQLFCYSIEENLRPKSQFFVSEMRRELRELRDFPQYFSFSLADRIRPRHQSCKEKGASFPLPALLRPSNEQFNAQLEVRISSSSPLRRSPLWLATSDTDL >Ma04_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17338165:17339869:1 gene:Ma04_g17140 transcript:Ma04_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVAVGIMEELEAQSEVWNHIFRFITSMSVKCEVELRVPDAIHAHGGNATLPQLAAALSLPPAKLADLRRLMRMLVHAGCFAKQEDDVYALTPWSRILVSSEHTSVAPFVVWMLHPLMVQSWHSLGAWFHRRAPTPFAATHGKGIFETTREQPGFAAVFNEAMASDCRLVGQVLVKKHAEVLEGARSMVDVGGGTGTLAAIVAEAFPHMKCTVLELPHVVAAAAGAGKPNNLDVVGGNMFDHIPSADIMLLKWILHDWKDAECVKILKRCREAIPSKQNGGKVIVIDIVLAGDEGSKSDKSRESQLFLDMLMMTVSGGMQREEHEWRKICTDAGFSSYTIKGMGLRSLIEVYP >Ma10_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29224719:29227009:-1 gene:Ma10_g18170 transcript:Ma10_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDASSLTFLSSLLFPLLVLLLASLSRRWLKSARKNPPPSPPSFPFIGHLHLIAKPPLHRALAAIAAARGPVVLLRLGSRPVLLVSSAPAAEECFTAHDLAFANRPRLLAAQILGYGCTTIAWSPYGPHWRNLRRISAVHLLSTGALRSSSDSRTGAVRSLAKSLFLEGGDSGPSGPRRVEMKSRFFNLAYDVMMGVVATAIEGESTDERQRFREVVEETFAVSGAVNVADFFPALRRLGWRGHERKLASLHHRRDALIGELIERHRVRRRQSCRNREAAAGKGDEGRTTVIDVMLSLQESEPETYTDVTIKGLIVSLLAAGTDTSAVTMEWAMCLLLNHPEVLHAVRAELDAKIGQGRMAEEEDIPDLPYLNCVINETLRLYPAGPLLVPHESSQDCTVGGYDVPRGTMLLVNAWAIHRDPNTWDEPQEFKPERFQCEGGKEEAGLRMLPFGSGRRKCPGEGLAMRVIGLALATLIHCFEWEKLPGEEVDMTEGRGLTMPKAKPLEAMCTPRHTMLDALSQL >Ma06_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3152384:3153807:1 gene:Ma06_g04370 transcript:Ma06_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGVKKGPWTPEEDLMLVSYIQQHGPGNWKAAPTQTGLMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIVHLQALLGNRWAAIASYLPKRTDNDIKNHWNTHLKKKLRTAPDHLEANLNKDAFSIHQPVSKGQWEKKLQTDINVAKQALGEALSLKEPTSPSGFSSPVPSTTSYASSTENISRLLGEWMKNPPKKRTLGTEPATVADSNDHNNKTTVLAEKLNSLLSDIESSASMVSESEHLPLLETWLFDESLGQGNAALVEMPLDYTAELF >Ma10_p25280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33507764:33519189:1 gene:Ma10_g25280 transcript:Ma10_t25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGVPPSQRGQPATFRDGAATDRRFVKHVFDNVHGSITLDPLSLKFMDTEQFQRLRDLKQLGLTCMVYPGAVHTRFEHSLGVYWLAGEAVRCLQTYQGSEIDIDCYDAQTVKLAGLLHDIGHGPFSHMFEHEFLPQVLPGSKWSHEQMSVQLVDYMVDQNHIDIDSDRLRKVKDMIIASSKFAVKKSSEEKHFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQFQRLLEGMRVMDDEICYPAKEYLNIHKLFATRADLHRTVYTHAKVKAIELMVVDALVKADDYLKISLYPFDPAQFWKLDDTILKTIEFADSKKLKESRNIIQRIRRRDLYQFCNEYAVPKDKLEHFKDVTPQDIVCSQKANGVQLKEEDIAVSNVKIDLTRGKHNPLESISFFKVEAVSEAFENFQLKIYGVKTQVHETPEAKKQRR >Ma10_p25280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33507758:33519189:1 gene:Ma10_g25280 transcript:Ma10_t25280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGVPPSQRGQPATFRDGAATDRRFVKHVFDNVHGSITLDPLSLKFMDTEQFQRLRDLKQLGLTCMVYPGAVHTRFEHSLGVYWLAGEAVRCLQTYQGSEIDIDCYDAQTVKLAGLLHDIGHGPFSHMFEHEFLPQVLPGSKWSHEQMSVQLVDYMVDQNHIDIDSDRLRKVKDMIIASSKFAVKKSSEEKHFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQFQRLLEGMRVMDDEICYPAKEYLNIHKLFATRADLHRTVYTHAKVKAIELMVVDALVKADDYLKISLYPFDPAQFWKLDDTILKTIEFADSKKLKESRNIIQRIRRRDLYQFCNEYAVPKDKLEHFKDVTPQDIVCSQKANGVQLKEEDIAVSNVKIDLTRGKHNPLESISFFKDYHCVKKFSITEDRISHLLPATCQDRIVRVYAKKPELVEAVSEAFENFQLKIYGVKTQVHETPEAKKQRR >Ma11_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6357530:6363936:-1 gene:Ma11_g07990 transcript:Ma11_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 56, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01590) UniProtKB/Swiss-Prot;Acc:Q7Y1W1] MASINLGWIGDNWFPKPPKPSKPPFFACIGSHNFSFPNPKSNPAASLSSSSAPADTKEAPGKYSQMLDQFFWECDHRPDFRHTPEVERILADDTCFDKKENPTPEEVEENREWWEEFNENPVVEFLRRAEVIADRINELELEKNKHPYRREDRDLWKKLPHVPGLDGRPMPRKSIRTRKESDDKFWDFARQFFFGLWGFQQRPYPPGRPIDVAQAIGYKRLEKRYYDFIMRSGGWYYKDRLGRTRGPLELITLKTAWAAGIIDTHTFIWGEDMDEWAPIGMVYGLEKAVATWEVRLGAAATAFLHKLQKGISPWTPLKGFEKKTYKELQEEAIESKKRDMAVLQANGGIWPGVRTPSHAMFLWASGSELTTILERDHMPNKYIPKEMRYRLAKVIPGLRPWEVLSVEQAMDQITYDGDWYREPLGSYTTGPPYLKQWNSDVKRLFTIFYNLSYRVYNKFVRRIPGFIQVMEKVHADAAARDERRRAKREAQKRAETEAAIYGRRVSSAIHSRGTDAGVSP >Ma10_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1881950:1886542:1 gene:Ma10_g00620 transcript:Ma10_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPARGGGVRLFGVRLAEGVAAMKKSASMGCLPSSSTSAAACAASSSPAAGAAASPCGDPLVDHHHSASAASGYASDDPARASCSSNCRSERKKGVPWTEDEHRMFLMGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRQSNASRRKRRSSLFDMVPEMPIDHGPPHEEQLLPNSPIEVETTNKLPALHLGLQGPKPIEPSTTEHVVEPRESIPHENHPVMMLPMFYPTFIPVPVPFLPSDLAATAKDDTMGEAHEVVKPTPVVRKEPVNMDEVISMSKLRIGEGVARSIEPSALSFKLLGSSSASRQSAFHINPSIAMPDLNQSSGSPIHAV >Ma08_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2603350:2604794:1 gene:Ma08_g03600 transcript:Ma08_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEKRRETEGGGELARIPEECVVLVLSHTSPRDSCRSALVSSAFLSAANSDALWERFLPSDYADILSRAVHPVEYSSKKQLYIRLCDSILLDDGKVSFRLEKSTGGKCYMVSAESMTIIWGYESRYWRWVPHPESRFAKVAELLSVCWLHIRGSMNCRLLSRRTRYVVCIVFKLAPGSHGLGDPPQKASVKLGSYASESFIRLQPDDEDDDDDESEEEDEEEKPAVEGEEAKRRLREDGWMEMELADFYTEEGDDGNVEVNLRETEGLNWKRGLIVQGLEFRPRI >Ma02_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16972325:16973822:1 gene:Ma02_g05760 transcript:Ma02_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRWNSQTNGYDTAIWAAKIAFCFLGILSFGAAARAAIPAAAGALASAVPGFWEFLRSWLAPPYLFIAVHLIILVIWKLSDQKQEHHQHREQWAAAERVAEPENPAKVKSFEPLHISPVPILRKPSPEMWRDEISPSLTTPAVRAPDPGEFSTSDASCLTTESGEISTASSASAVKKSVEPESMSSLTVKKDEDEAAAAATAAGTANDSMEATWKAIMEKSPRAAEVPPPTASSGRGSRQPEAPPSSTGQDELNRRCDDFIKKNYEQIRFLSGRRL >Ma09_p31120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40950002:40961000:1 gene:Ma09_g31120 transcript:Ma09_t31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRVPCGWRGSVRAFEECKIGYAKTRQTLKLNDYRVITEIDLQLEPLCTELIAYFAERSISAKTLERNGIMQCKHDDQIVIAFTYRRNAALVSCKYLASSKECWQETGTEHIFYGLDDIKQASDVIIVEGEIDKLSMEEAGFPNCISVPYGLPAQSSKDLPAEDEDTKFQYLWNCIEYLEKASRIILATDADGDGQALADELARRLGKERCWRVKWPKKNATELCKDANEVLMYIGPDELRDVIENAELCPIMGLSHFSNFFNEVDEYYHRSLGFELVSTGWRALDELYNVVPGELTVITGVPNSGKSEWIDALLCNINEARKWKFLLCSMENKVRDHARKLLEKYINKPFINSRYGESTERMSVQELEQGKKWLNDTFYLIRCEDDSLPSIDWVLELAKSAVVRYGIRGLVIDPYNELDHQRSSKLTETQYVSHMLTKIKRFAQHHACHVWFVAHPRQLQNWRGYPPNLYDISGSAHFINKCDNGIVIHRNRNANAGPLDRVQVCVRKVRNKVAGRIGDAFLSYNKTTGKFEDWHDGARTFRKQRR >Ma11_p06090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4873408:4875073:1 gene:Ma11_g06090 transcript:Ma11_t06090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCFYSDFALSVCRSTDSESKPEGRQARKQRKMEAIQARLIVPLPPTVTSLEQTVSAMQRNTSWRGVALSDRSAEETAGATRGKPNGVWQTKALLLVTFTRLLDQVQKKLQMRIEALGKCL >Ma11_p06090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4872612:4875073:1 gene:Ma11_g06090 transcript:Ma11_t06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGLMFYHLKSHLQKYRLGKQTRRETSQEAKKNGSNSSKTNCSSTTNSDVSRTDGVGHAEKYFLERRCAIRSKCRGNCRSNS >Ma11_p06090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4872612:4875073:1 gene:Ma11_g06090 transcript:Ma11_t06090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGLMFYHLKSHLQKYRLGKQTRRETSQEAKKNGSNSSKTNCSSTTNSDVSRTDGVGEILLGEALRYQIEVQRKLQEQLVVQKKLQMRIEALGKCL >Ma11_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4872612:4875073:1 gene:Ma11_g06090 transcript:Ma11_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGLMFYHLKSHLQKYRLGKQTRRETSQEAKKNGSNSSKTNCSSTTNSDVSRTDGVGEILLGEALRYQIEVQRKLQEQLVKKLQMRIEALGKCL >Ma11_p06090.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4872612:4875073:1 gene:Ma11_g06090 transcript:Ma11_t06090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGLMFYHLKSHLQKYRLGKQTRRETSQEAKKNGSNSSKTNCSSTTNSDVSRTDGVGHAEKYFLERRCAIRSKCRGNCRSNSWYRRNCK >Ma02_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6331571:6334627:-1 gene:Ma02_g00760 transcript:Ma02_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENGAYTNSTTDGLCIKADALNWATAAEALSGNHLDEVRRMVEESRRPLVRLEGATLTISQVAAVATAAAVRVELSESARDGVRASSQWVVDSMTKGTDSYGVTTGFGATSHRRTKEGGALQKELIRFLNAGIFGSGEESRHTLPASAARAAMLVRVNTLLQGYSGIRFEILEAMASLLNCGITPCLPLRGTITASGDLVPLSYIAGLLTGRPNAKALDPAGEAIDAAEAFRRAGIPHGFFELQPKEGLALVNGTAVGSGLASVVLYEANVLAVLAEVLSAVFCEVMLGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSFMKIAKKLHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRTPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTADAVDILKLMSATYLVALCQAIDLRHLEENLKNAVKNTVSQVAKRVLTAGVNGELQPSRFCEKDLITIVDREHVFSYIDDPCSSTYALMPKLRMVLVEHALANGEKEKDPSTSIFQKITAFEEELKAVLPKAAEAARAEVENGDATIANRIKECRSYPLYRFVREELGTACLTGEKVRSPGEEFDKVFVAINGGSLIDPLLECLKEWNGAPLPIC >Ma05_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8155844:8157543:-1 gene:Ma05_g11290 transcript:Ma05_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSTSSYSSSSSSSSHFSSSEGRESDGYSFMVFQMFGRVLCAVVTCVFAIVGSLVGAITGAPIGLATESGLLRGAGIGAISGAVFSIEVVESSLDLWNSQESGIWSVLYVMDIISSLLSGRLVREKVGPAVQSAVQSQMSALSLPFMESLDFFETGGIRGLPMDAIDRIPKFRITTQDREDAAGEKNCCSVCLQDLQIGEMARKLPHCHHMFHSPCIDSWVIRHASCPLCRRDV >Ma05_p29570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40183043:40185867:1 gene:Ma05_g29570 transcript:Ma05_t29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAAAPKEEREMEVGKKSKEEEIKAINGKVDVYDVDEDGAEEERKDDEEPEEIVELDDDDDDDDDDGDDDEDDGDDDDDAEEVAPKGYRPQAQAADDDAEEGVEEEDEEEGGDGDDDSDDDDDDDEEEEEELGTEYLVKPVGRAEDEEDASDFEPGDETDEDEDIDGDNNQGYGGGGSVKDGTSSKRRRSSKDDSDDDDDDDDDDERPPKR >Ma09_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9962099:9962914:-1 gene:Ma09_g14600 transcript:Ma09_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTETPGVMSLLRHATEGRRSKTGGGGVFKMFKLLPMLSSGCKMVALLGRPSKTLLADKAIAITFFGYRRGRVSLAIQEDPRSPPFFLIELPMLTSSLHKEMASGLVKIALESETRTIKKKLVEEYVWAVYCNGRKSGYSIRRKQVSDEERHVMQLLRGVSMGAGVLPCLSEKDAADGELTYMRARFERVVGSKDSESLYMINPDGSGGAELSIFLVRLK >Ma08_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5534875:5536364:1 gene:Ma08_g07990 transcript:Ma08_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVSSLVSGFVKVVGDLFGAPVDFLSGKSCSSVCGSTWDLICYIENFCIANLLRLLAVVALLYVVLLFLYLLHKIGFCQCIGRGACKMLRACFMSCFSTCEYACMFVWFKLKNVKQMKEERLKRMEQDYGSTSSDDDLEESVLYARTLRSLSQRSRERRRMHLERSLRPRSHRVRVGISKHSIYINEKDPRKCHGHGSILQKIKVTHTSKFAQKGNGRRIRK >Ma05_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2509660:2511839:1 gene:Ma05_g03450 transcript:Ma05_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTVYPAPSLHSSCSFPGPAKTYLGFHQRQVIFFTGGRRSGSRGGRSSSEISCSAEAKTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYDQVKALKEGVAVDKPIYNHVTGLLDPPELINPPKILVIEGLHPMFDARVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTLLIPDDNEGKVLRVRLIMKEGVKHFSPVYLFDEGSTISWIPCGRKLTCSYPGIQFSYGPDTYYSNEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIIGLKIRDLYEQIVAERAAAAVEAAKV >Ma07_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12949014:12951837:-1 gene:Ma07_g16200 transcript:Ma07_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREIAVTKEALHQYIIIRKLHMELEEEREASATAANEALSVILRLQREKAAEKMEACQYRRIAEEKMHHAEQSLIILEEEMQQKEFEILILKHQIKLYKTKLLSNGIGDLGSGNVVTSEDGALVDKTGLHGYISRNISLPPLRVVKLCSETGGNENSLLAFSEQTKWKRIGDCTDQLGDKNRETPNLFRESATDDYNSEEVDGEVKYESSPRRNGCQTTSSNSPHSSGKEFSSCSRDSTLTGDALCHSNCGTKICLDAEGASSLHSQAVKQVDTMESVGDSISHDQIESRRNANHLACVHDIFEVPESDKYHTSREPNKHFLEESIKESKTSVGTEDLVSQDTVESPSRDNDWLDRVVTHSDHSSGISTPTSQPDKLSAPTKAD >Ma11_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7036045:7037998:-1 gene:Ma11_g08880 transcript:Ma11_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGRKQGRTNFAVTCTLLSQYIKEKGSIADLGLGIAQDAAIGKSESVRPPTTMSVIPGADLCRGEDGAKELFPQSVDLGPAVLEDAREAARGQLTIFYGGKVLVFDKFPAEKAKDLMQLATKGNSASQNSVSSRSVSVSYQTSTLVPITANNSLVPQVHMSRSAQPNLPDLPIARKASLQRFLEKRKDRINARSPYQVSASPELGINREDNKSWLGLGPRFSIPSLSPSSEYNR >Ma06_p34510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34714359:34720303:-1 gene:Ma06_g34510 transcript:Ma06_t34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNHLLTTLRSLKLIDGCKGTQVYAVNQSASNGNAPASSSSSSSVGEKPLLRHVASLRSKSIQGGNNGGGRNHYTPSLLPDSLLPFGLPSADHIDPPVDPFLRHVDPVSALSDSFRRLSSTTKAEADAGAGDERLLLLCDLHLEQLSLFRPVADPKLLRRSLRSARLHAPNAHHRVVLSAWLRFERREDQLLPSPSPLSSCSPTSPALECPRAALLSPSSSSDLLCPCRHPPPDPSPSSSASVPAPRRHGQEEIEDADVWFCIGDDEVPCVRSSIAALSKPLSTLLYGGFAEAQRERISFSHNGISVRGMKAVDVFSRTGRLDEFPPDTVLELLAFANKFCCEGLKSACDAKMASLVRNLDDALLLVEYGLEETAYLLVAACLQVFLRELPRSLSDPDITRLLCTQEGRERLEAADHASFLLYHFLSQVAMEEDMKSNTTVMLLERLVECATPGWQKQLSLHQLGCVMLERGEYKDAQRWFEEAASEGHVYSRIGVARAKFKKGHKYSAYKLASSLIDDFEPVGWMYQERSLYCNGKEKMSDLRVATELDPTLAYPYKYRAIALMENGKVGAAIAEVNKIIGFKVSTDCLELRAWFCLALEDYEGAVQDTRALMTLDPSYLMFHGKLHGDQLIEILQQHGKQWDMADCWMQLYDRWSAVDDIGSLAVVHQMLAKEPANSSLRFRQSLLLLRLNCQKAAMNSLRLARNHSIHDHERLVYEGWILYDTGHRDEALAKAEESISVQRSFEAFFLKAYALADSNLDPTSSSYVIQLLEQANSCASDNLRKGQAHNNMGSIYVDCDMLDEAAECYLKALGIKHTRAHQGLARVYYLKNQKKAAYDEMTKLIEKAKNNASAYEKRSEYCDRDMAKSDLNMATKLDPLRTYPYRYRAAVLMDDNKEEEAIAELSQAIAFKPDLQLLHLRAAFYDSMGDTASTLRDCEAALCLDPSHCDSMDLHNKALGRAGPPST >Ma08_p25380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38243577:38245680:-1 gene:Ma08_g25380 transcript:Ma08_t25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGFDDLIQRLMDAKKSKASGKKVQLSELEIRSLCATAKGVFLSQPVLLELEAPINICGDVHGQFSDLLRLFEYGGVPPTSNYLFLGDYVDRGKQSIETICLLLAYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWRLFTDCFNCLPVAAIVDDKIFCMHGGLSPELQSMDQVREIERPVDVPDQGLLCDLLWSDPDREIKGWADNDRGVSYTFGADRVAEFLRKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMSVDASLLCSFQILKPYRGKPGGVSG >Ma10_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30743222:30744171:1 gene:Ma10_g20680 transcript:Ma10_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSATSSGSSRIGQSQSEEDLQALMNQRKQKRMLSNRESARRSRMRKQKHLDDLTAQVSQLRKENGQILTALSVTTQHYVGVEAENSVLRAQTMELTATLQSLRQILHYMRGIGSAIGDLVQPSDSFVRPWNLMGANQPMMMASADTSQLYC >Ma06_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9657859:9669641:1 gene:Ma06_g14090 transcript:Ma06_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLRWKKVRDSATHAARNAAAAVRPRPEPPCRVSRRCPISAFAGERRRLLLQAPAPPALAASRRRLGLLRQERHKWDGGADEFHTRRIRAEAQCPRCSHHMDILFSNRSPPSVVGGGGGGGGYQAVNFCPSCKTAYYFRPHRLAPLEGTFVEIGRLRDPESAKERADDEDNGSRIKNLFWEALKSSYGGEPPEKWPPMPGPPESNGLAVHTPPGPPFPPNLNVVRVAGPGGSGGGGGSSGGSGFGGKDGWGGSNLGKNFPTPKEICKGLDKYVIGQERAKKVLAVAVYNHYKRIYHASLQKGSEADHGNFDTEGNNDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVAEFNVLAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRSGGLTNALVTSSLLESVESSDLIAYGLIPEFVGRFPILVSLLSLSEDQLVQVLTEPKNALGRQYRKLFSMNNVKLHFTGTALRLIAKKAMAKNTGARGLRAILESMLIEAMFEIPDVKTGCERIDAVVVDEEAIGSADKPGCGAKILRGVDALEGYLSENDKSRDLVVEEVAVAGETEGESELTSGAMSM >Ma09_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8394144:8397264:-1 gene:Ma09_g12480 transcript:Ma09_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKCKRDKKVTVVDHSWVGHVIEYSLEHFEWFNTLDIKRKNPRNNTGPLVLYVLTNDADRLKFETKKTIPRGSLQSEDFKTKKIFVGGIRSTLTEGIRLMLLHCCRWRSRQLNQSKSQTSRLHMVVNLGHGRLVIALVVLATLIVVLEVVDMALVPIGLLEVLVVGLVAMVLVLVNSVEDMVVLIED >Ma09_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7702367:7721483:1 gene:Ma09_g11400 transcript:Ma09_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISATKLKSVDFYRKIPRDLTEASLSGAGLSIVAAFSMMFLFGMELNNYLTVSTTTSVIVDRSSDGEFLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDPNLIPTGSEFHSGPIPKVNKHGDDFQEEYSDGSDSLTAESFEKYAHQYSILVVNFFAPWCYWSNRLKPSWERAAKIIKERHDPEMDGRILLGKVDCTQEAELCRRHHIQGYPSIRIFRKGSDVKDNHGHHEHESYYGERDTESLVAAMETLVAPVPRESHKLALEDKSNKSVDTAKRPAPLTSGCRIEGFVRVKKVPGNLVISAHSGAHSFDPSQINVSHVVSQFSFGKKLSLRMLSELKRLTPYLGGSHDRLMGHSYITNRDHVNANVTIEHYLQVVKSEVVSRRSSQELKLLEEYEYTAHSSLVDSLHIPVAKFHYEPSPMQVLVTEVPKPLSHFVTNICAIIGGVFTVAGILDSILHNTLRLVKKVELGKHF >Ma09_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7436196:7437820:-1 gene:Ma09_g10980 transcript:Ma09_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRSFRFVRLVFLSVLLVPTLSSSSGSDREALEIGIGIGVGVGGGAAPSTPQPECPPPPPRQPQPSDFENILQYRAYFVIQRFKQTVTCDPKNKTGSWTGFRICNNDGRGYQGFYCETPPGLSNMRTIASVDFNGFTLSAPTVCGFVDQLPDLALFHANSNSFGGTVPALASLPFFYELDLSNNRLSGGFPASVLPLVDLAFLDLRYNGYAGPVPPAVFLIRTDVLFLNNNGFTQNIPANLGSTTAAYLTLANNDFTGPIPSSIGKACDTLIEVLFLGNRLSGCLPYETGLLKRATVFDAGSNQITGPIPLSFGCLQKVEQLNLAGNLLYGEVPDVVCQLAKYGHLANLSLSDNYFTSVAPSCAGLIQQKVLDVRKNCIPGLPNQRPPEACAWFLNRPKITCPNAQYIPCHLPWAGRDALDSAASSAPAVDGARDKNPASGYTTYKALRHHDEP >Ma03_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5892168:5893047:1 gene:Ma03_g08200 transcript:Ma03_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSLKHPCFALVLLYIASSCCEAQDAIQIVARAALCFDNRTVIDNCLTSMGISTNGTANATSNSSTPVPQQDNATASFCSSPCLGEMMLMTSCFDGIMSSFGFYRPGLMQGVQAVFQMACSGGGGNGSSNATLSRVAYGGDVMGEANVGGVLLVPAFMSLLMPVLFISFYLA >Ma01_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1317188:1317491:-1 gene:Ma01_g01940 transcript:Ma01_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGCFLKRREEMNEEEEKGAQKRGARRQSAFIFFESHRPVRFEDLEDGGICAGVGLWLEGGS >Ma08_p26250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38876431:38877350:-1 gene:Ma08_g26250 transcript:Ma08_t26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIELKVDMVALHEKRLRKCLSKVKGIERVEVEASIEKVVITGYANRNKVVKALRRVGLRAEFWSAHNEILSTYATGSVMFNTYSFF >Ma09_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8932127:8938704:1 gene:Ma09_g13240 transcript:Ma09_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFSVCSSGLVLDPSKCSKLSIEEKRELVRELSKWPESAPEKLQTWSRRDILEILCAEIGKERKYTSLTKQKMIEYLFRVVSDKKSGEHTKDRDSAQDLCTHSPQTPAKRQRKNDHPSRLPITTVNLQSGDVEEASDNIRYCKNSACRATLNIEDAFCKRCSCCICHKYDDNKDPSLWLFCGSENLSQGDSCGLSSHLECVLKHEKGGVMKSGQCTRLDGGYYCIYCGKVNDLLGCWKKQLMIAKDARRVDVLCYRISLSHKLLNLTERYGSLHEIVETAQKKLEAEVGSIDDLPNMARGIVNRLSVGAEVQKLCACAVDLLDTMRLGGLSATAQVQQTGSVSSSFIKFEQISQTSLTAVLDLENNSSLGQEVAGFTVWHRKVDTPEYPKKASFSLLNPKRRFLVTELAPATEYMFKVVAFGDTGDLDTCEVGTKTKGISLDNSMGLAPQTAVLEPHCQSPKTNSSGLSNPSEGDESNTNSTACADLNKLPEIEFDECEKPEILETEKSTDHAQKDAGHQKSECKGSTSRAEVPERDESPGRSDSVLDEEPNSTIRTDSTNSMENNQTSDIPRSENESNAPVVNEMVIVPFVQSNSTLPATPCRVEAGTEGSERCSKGKPSVKKFEDGLMKPGMEPGSSSKKRCGGNLEGVNVKDGSLEGAYEYCVKVIRWLECERHIETNFRVKFLTWFSLRATPQERRIVNVYVDTLIDDPVSLAGQLVDTFSETVCSKRPPRVPTGFCAKLWH >Ma09_p13240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8932022:8938704:1 gene:Ma09_g13240 transcript:Ma09_t13240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFSGLVLDPSKCSKLSIEEKRELVRELSKWPESAPEKLQTWSRRDILEILCAEIGKERKYTSLTKQKMIEYLFRVVSDKKSGEHTKDRDSAQDLCTHSPQTPAKRQRKNDHPSRLPITTVNLQSGDVEEASDNIRYCKNSACRATLNIEDAFCKRCSCCICHKYDDNKDPSLWLFCGSENLSQGDSCGLSSHLECVLKHEKGGVMKSGQCTRLDGGYYCIYCGKVNDLLGCWKKQLMIAKDARRVDVLCYRISLSHKLLNLTERYGSLHEIVETAQKKLEAEVGSIDDLPNMARGIVNRLSVGAEVQKLCACAVDLLDTMRLGGLSATAQVQQTGSVSSSFIKFEQISQTSLTAVLDLENNSSLGQEVAGFTVWHRKVDTPEYPKKASFSLLNPKRRFLVTELAPATEYMFKVVAFGDTGDLDTCEVGTKTKGISLDNSMGLAPQTAVLEPHCQSPKTNSSGLSNPSEGDESNTNSTACADLNKLPEIEFDECEKPEILETEKSTDHAQKDAGHQKSECKGSTSRAEVPERDESPGRSDSVLDEEPNSTIRTDSTNSMENNQTSDIPRSENESNAPVVNEMVIVPFVQSNSTLPATPCRVEAGTEGSERCSKGKPSVKKFEDGLMKPGMEPGSSSKKRCGGNLEGVNVKDGSLEGAYEYCVKVIRWLECERHIETNFRVKFLTWFSLRATPQERRIVNVYVDTLIDDPVSLAGQLVDTFSETVCSKRPPRVPTGFCAKLWH >Ma06_p28600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30237170:30238608:-1 gene:Ma06_g28600 transcript:Ma06_t28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLADEVLDQIPLIRSPKHGSNVSDIPVVDLSMPGSAEALVGACEELGFFKVVNHGVPVELAQRLEAEAIKFFALPQLDKEKSGPPNPFGYGNKTIGPNGDVGWVEYLLFAITSAPLSYTSMSFLEEPSACSFRSALKEYLLAMRKLASDLLRLMAEGLKIEPRDAFSRLVMGEQSDGIFRLNHYPKCPILEKLNCSLTGFGEHTDPQIISVLRSNSSTGLQISLKDGSWVAVPPDQESFFINVGDALQVLTNGRFRSVRHRVLANGLKSRVSMIHFFGPSPAERIAPLPQLMGEGEQSKYREFTWGEYKKASYKSRLSDDRLGQFEK >Ma09_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34721849:34730620:1 gene:Ma09_g22850 transcript:Ma09_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLISVLTLSVLVSWTIGGVTAANYSVVDHGAKGDGKTDDTQAFTDAWNAVCSDAAAPTFLVPSGMTFLLGQVLFQGPCKSNVHVEISGNILRPSGLWSGGVVSWIVFNNVNGLSIASTGVIDGQGSAYWTCRNNYQCNDAPSALTVLNANGSRLSGLKLINSPSKHLIVGRSVGVTIDRINVTAPGDSPNTDGVFIQQSQHVSLSNSIIGTGDDCVAIGNGTLDVTVTGITCGPGHGISIGSLGSENSEAQVEQIHVSNSRIFNATNGLRIKTWQGGSGYAKNISFEAINLAAVDNPILIDQYYCPRGDCALNQSSSLQVSNVHYSDISGTTTGKIAINLNCSQSVICTDISLQNINIQSADAGGTVVANCTNVQGTAVGIVKPTVPCLN >Ma01_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12447:30055:-1 gene:Ma01_g00030 transcript:Ma01_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFQSLGGSSRAGRVLGPALDKIIKNAAWRKHSNLVSACKAALDHLDGLSDSPDIADPSPLLGLPFAAADSLLQPLVIAIDSASPKVAEPALECSQKLFSHGLLRGEINLQTDADDAPRSAASRLLASVCSCGGIGDEAIELAMLRVLIAAVRSPAVLVRDECLAQIVRSCYNVYLGSQSGANQLCAKLVLAQMLVIICARVEADAMDVVRVRTVSIADMMDLSDRNLNDSTLVQAAQNFINEVMEGNEAEPLPSKSHKGEGSVPTVSSEGEGAVNGGVSGGLSKIREDGLFLFKNLCKLSMKFSTQENPEDPLLLRGKVLSLELLKLAIENAGPLWRTNERFLGAIKQYLCLSLLKNSALSVMSIFQLLCSIFMGLLSRFRSMLKEEVGIFFPMLVLRILENVLQPSFLQKMTVLNLLETICQDPQIMVDIFVNYDCDVNAPNIFERVVNGLLKTGLGVPPGSVTSLSPAQDSTLRVESVKCLAGIIKSMGAWMDQQLKIGVFAPQSLEKEHSAENLTALNGEEGTIVEYELHSDANSELSDAATLEQRRAYKLEFQKGVSLFNRKPSKGIDFLIKTKKIGASPEEVASFLKITSGLNAAMIGDYLGEREEFPLKVMHAYVDSIDFEGMNFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPSSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMSKAEFIRNNRGIDDGKDLPEDYLSSLYDQIVKSEIKISVDSSAPQSKQTSSINKLLGLDSIFNLVNWKQAEEKALGANDLLIRHNQEQFKAKSGKSESMFYAATDTAILRFMIEVCWAPMMAAFSVTLDQSDDKSATAQCLQGFRYAVHVTSVMHMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIVSIAIEDGNYLQESWEHIFTCLSRFEHLHLLGEGAPPDASFFTAPLTESEERSQKTLAVTSMKRKGNALQNPAVMAVVRGGSYDSASVGIKASALVTPDQISSFISNLNLLDQIGSFELNHIFAHSQRLNGDAIVAFVRALCKVSMTELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLAEFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVVVMQKSVSSEIRELIVRCVSQMVLGRVNHVKSGWKSVFTVFTIAAADERKSIVLLAFGTMEKIVRDYFPYITETETTTFTDCVKCLIAFTNSRFNSDASLNAIAFLRFCAVKLAEGGLVCYDKNSDGHLGNGDALDGNNLTEKDDHAFFWLPLLDGLSKLTSDPRPTIRKGALEVFFDILKDHGHLFSSHLWINILKSAISSIVNNPRHMLVGQVSPVHNSQYMEDDSWKSETDTLAAKCLVDLFVKFFDVVRSQLANVVAIITSFLSSPYKQYANTGMAALLHLAASLGSKLSEAEWKDILVPLKESAASMLPVFSNIIKIMQNVEVPDRNQPYSDGEQYSDHEFINDDEEEANMETASYAIVRMKNHISVQLQIVQVVKKLYEVHRKYFSAAHVTILLEILSSIASHSSEVSNESAVQLKMQKACSLLEISDPPIVHFENESYQNLLKFLQTLLTEDEFVSHELRIESEVVSVCQKILQIYLNCAGHELTDQISNTSPALHWILPLGSAKREELAARASLAVLSLQVLSSLERDSFKRNLPCIFPLLVNLIRCEHSSSEVQHVLFDIFQSLVGPAIMNL >Ma07_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3379983:3384278:-1 gene:Ma07_g04590 transcript:Ma07_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGFRGRSDGGGGRGRGRGGGGRGGGFEGRGGGRGRGGGPGGRGGRGGRGRGGGRGGGMKGGSRVVVQPHRHDGVFVAKGKEDALCTKNMVPGEAVYGEKRVSVQNEDGSKVEYRVWNPFRSKLAAAILGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTVPAEAVFAQEVKKLQADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKVATES >Ma04_p23690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25811958:25816740:-1 gene:Ma04_g23690 transcript:Ma04_t23690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLDATRAELALLVLYLNKAEARDKICRAIQYGSKFLSNGKPGTAQNVDKTTSLARKVFRLFKFVNDLHALITPPSPGSSLPVILLGKSKNALLSTFLFLDQIVWASRTGIYKNKERAELLGRISLYCWMGSSACTTLLELGELGRISSAVTKLEKELKHVDRSKDEQYHNKIKQLNQRQLALIKASMDFVVAIGLLQLAPKKITPRVTGALGFVTSLISCYQLLPAPAREKKA >Ma04_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25811958:25816803:-1 gene:Ma04_g23690 transcript:Ma04_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLDATRAELALLVLYLNKAEARDKICRAIQYGSKFLSNGKPGTAQNVDKTTSLARKVFRLFKFVNDLHALITPPSPGSSLPVILLGKSKNALLSTFLFLDQIVWASRTGIYKNKERAELLGRISLYCWMGSSACTTLLELGELGRISSAVTKLEKELKHVDRSKDEQYHNKIKQLNQRQLALIKASMDFVVAIGLLQLAPKKITPRVTGALGFVTSLISCYQLLPAPAREKKA >Ma01_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1513532:1522460:1 gene:Ma01_g02240 transcript:Ma01_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLSLQDLKSFSKLNSGGVDDLVNDRSYGRAKPLRALQREGAASSFSKEKSSPSTPTKRKIWVRATIFVITVLLLFSLILLFSRFFRTYWSREASEYTVVLDCGSTGTRVYVYKWAVDQNEGTRNFPIALRSLPEGPQRAPATQSGRAYHRMETEPGFHKLVHNESGLRAALQPLLQWAETQIPKHAHKGTSLFLYATAGVRRLPSSDSEWLLEKAWTILKNSSFLCRRDWVKIISGMEEAYYGWIALNYRMGFLGSLPVGKTYGSLDLGGSSLQVTFETETPTQDDTGIELRIASASHHLSAYSLSGYGLNDAFDKSVAHLFRKIVGTTDNINNDKLQLKHPCLNTGYREEYTCSRCTSASLEGSPLIGGKTMTKGLTGTTVELLGAPEWDKCSALAKLTVNLSAWSNLSSGVDCELKPCALSDGLPHPHGKFYAMSGFYVVFRFFNLSSEASLEDVLKRGQVFCGKTWEVAKNSVAPQPFIEQYCFRAPYVASLLRDGLHIKDSEVIIGSGSITWTLGVALLEAGQTLSNRIPPQGYNIVHADIHPAIPLLLLLMSVVLLCCALSCASNWIPRFSRRSYLPLFKHNSVTNSVLNIPSPFKFQRWSPIISGDGRIKTPLSPTIGGSGQHPFSMRHDLGGSSIQLSESSVHPLVVSHSSSSGSLGQMQFGNGAGTFWPPHRGQATLSSRKSQSREDLDSSLAEAHMVKI >Ma01_p02240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1513532:1519690:1 gene:Ma01_g02240 transcript:Ma01_t02240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLSLQDLKSFSKLNSGGVDDLVNDRSYGRAKPLRALQREGAASSFSKEKSSPSTPTKRKIWVRATIFVITVLLLFSLILLFSRFFRTYWSREASEYTVVLDCGSTGTRVYVYKWAVDQNEGTRNFPIALRSLPEGPQRAPATQSGRAYHRMETEPGFHKLVHNESGLRAALQPLLQWAETQIPKHAHKGTSLFLYATAGVRRLPSSDSEWLLEKAWTILKNSSFLCRRDWVKIISGMEEAYYGWIALNYRMGFLGSLPVGKTYGSLDLGGSSLQVTFETETPTQDDTGIELRIASASHHLSAYSLSGYGLNDAFDKSVAHLFRKIVGTTDNINNDKLQLKHPCLNTGYREEYTCSRCTSASLEGSPLIGGKTMTKGLTGTTVELLGAPEWDKCSALAKLTVNLSAWSNLSSGVDCELKPCALSDGLPHPHGKFYAMSGFYVVFRFFNLSSEASLEDVLKRGQVFCGKTWEVAKNSVAPQPFIEQYCFRAPYVASLLRDGLHIKDSEVIIGSGSITWTLGVALLEAGQTLSNRIPPQGYNIVHADIHPAIPLLLLLMSVVLLCCALSCASNWIPRFSRRSYLPLFKHNSVTNSVLNIPSPFKFQRWSPIISGDGRIKTPLSPTIGGSGQHPFSMRHDLGGSSIQLSESSVHPLVVSHSSSSGSLGQMQFGNGAGTFWPPHRGQATLSSRKSQSREDLDSSLAEAHMVKI >Ma11_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2759042:2767622:-1 gene:Ma11_g03710 transcript:Ma11_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIIIGLLDTGVTPGHPSYDDHGMPPPPAKWKGRCDLKASACNNKLIGARSFINHDGRDRRSTGTPVDEEGHGTHTSSTAAGAFVKHANVMDDGVDVLSFSISADPIPFHSDPIAQGTFNAIGKGVFISCSAGNEGPDPGSVNNDAPWVLTVGASTTDRLFLASVKLGNGRKLYGESLHRPRSFNPKMLPLVYPGFVTAKDGAYMCINGTLDGVDVRGKMVLCQLGVIDSVQMSEVVKKAGGAGMIVMNYPVDGYTIIADDHVLPTSVVPYAYGLEIQAYINSTSTPIANIIYHGGPILDELHHPADLFAVGAGHVNPRQAIDPGLVYDLTQEDYVPYLCGLRYNDSAVSALARKPVRCSSLKSISQGELNYPSISVKLRANSSKSVSYTRTVTNVGKPTSIYAVKVDMPKGVSARVTPTTLSFKKVNQKKSFSISFRRSGGRSGRVSGQLRWVSRKHVHYDYKDATGPEPKCQPMKDSSVNATSRSSVRGCKEPIELVLSFHVLHLYIIIEKVGGVSSSDGTEEPKVYIVHVKQPEGENFSVAEQWAPWYSSLLNGATDVFGLASEQDTAASRIIYSYRNVMTGFSARLTDKEVEAMSKLDWFLHAYPSPVYRPLTTHTPMFLGLRYGGHSVWNATNMGEGIIIGVLDSGVTPGHRSYDDHGMPPAPAKWKGRCDLNATVCNNKLIGARSFIKYNNVTRRSTDEPIDYDGHGTHTSTTAAGAFVKGANANGSAKGVASGMAPRAHIAAYKVCYGSQCLGHDILAGMDAAVDDGVDVLSVSLGGDSEHFHSNPIAQGGFNAINRGVFVSCSAGNSGPDHNTLSNDAPWLLTVGASTMDRSLLATVKLGDGQEFDGETMYQPHDFGSKMLPLMYPNGNEQSSLCVSGSLDRFDVRGKIVLCDRGRNSRIEKGQVVQFGGGAGMILANAPVDGYTTVADPHVLPASNVPHAYGLKIKSYINSTSSPTATIIFKGTAMNTAHSPAMASFSSRGPSQITPGILKPDITGPGVSVLAAWKDQKFNMNSGTSMSCPHLSGIAALIKKAHPDWSPAAIKSAIMTTAYVTDNSRGPILDERHLPADFFAIGAGHVNPPNAIDPGLVYDLTPQDYIPYLCGLYDSTYVQVIVRKHVDCSSVKSISEGELNYPSISVTLPANSSTSISYTRTVTNVGEPKSTYTVKLDVPKEVSAGVTPTTLSFNEVNQKQSFSISFRRNGGGSGAVQGQLLWVSGTHVVRSPISIKLE >Ma03_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23779237:23780448:-1 gene:Ma03_g18180 transcript:Ma03_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKELHTYNPIKITESYWVCFSKKKKKNKKQKVWEKKKRTI >Ma11_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2114882:2115717:1 gene:Ma11_g02850 transcript:Ma11_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLHQVGLPSPPLAERAFPWIRSSSNLVHHTNLLHALRSSKRRAARLQAQGRGFGVSVSDRKARPEEDDGVYRSEDGDDEIPQVVFDRMIRRIVFSVGAPMASGIGVLYLESFLKKSQLWEVPGWLPLLTILLSFGTSALGIAYGTLSTSWDPNKEGSLLGWEQARKNWPELWKDEEDESK >Ma04_p39790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36813400:36819301:1 gene:Ma04_g39790 transcript:Ma04_t39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLTPPPLDDQVPAPDEPPPSPLPPPPLSTQQQQQQQQQQKQEPDKEDAGGGEADEGKAPAETPLAQDDSDGGSGGGQSSNEDDEEAEKPEFILVKLADIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIATLYPDIDKYEEEELAFHEEEKSRNKKIQAYITETFRRQSEALGRRRSTAKAAAVFGRKPQGSYRNHLRGRGRSIGGETAVAGSDEEEEEANGNDVTKDTSSADEPSPEQRPKRCKRWGAPRSSPARTAGSGDVGSEENDDFEVNREPLGTSPLRAGNREMLAWGKNGTRSQTRHSSTSGLNGRLVKGGRLAKLVDCLRNLDEADDEFDVHLTLVPLDDENMPNLEQPYLCCRPTLSIRHLCQFIALQTSVQAEEVEMYARKPQCRNLAVGASNSMDEASTDPSIGLQMLEGQESLAAICASFTSDQGEMVLVYRRKIQG >Ma11_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2684776:2685923:1 gene:Ma11_g03600 transcript:Ma11_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTEEELVDYYLKKKVASRGIDLDIIKDVDLYKIEPWDLQDRCKIGREEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYSKNSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESNESAPLQEEGWVVCRVFKKRMTATPIGRASGHDSACWYDDHASLVPELDSPRQAGARPGMAFLQLPQLESPKLPFDVGHAAALHPPAITQDDLMQYSNKQLQVFSTYNIAGATDHSVEQVTDWRVLDKFVASQLSHDGGVCKELHCSTSEKPEVNAERASTSNCSAAQIELWK >Ma06_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9021895:9025803:1 gene:Ma06_g13160 transcript:Ma06_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDILFSTSLASYLDKKLLVLLRDGRKLLGILCSFDQFANVVLQGACERVIVGDLYCDIPLGLYVIRGENVVLIGEMDSEREELPAHMICVSESEIRRAQRAERDATDLKGSMRKRMEFLDLD >Ma08_p27340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39617448:39620553:1 gene:Ma08_g27340 transcript:Ma08_t27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHVLPEQVCYVNCSFCNTVLVVNIPGNNSFSIVTVRCGLCANILYVNLEGLHGVLPLQNFQNHNLGSQHLHMDFGSSSNCTRLSVMNPVDYVQQQMQLIHPTEKRRAPSAYNRFIKEEIRRLKTKNPNISHKEAFSRAAKNWAHFPEIHMGLTIKGNKQV >Ma07_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2378096:2381664:1 gene:Ma07_g03040 transcript:Ma07_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILAFAWPTISFLHALEWFFKTLYRENMENKVVLITGASSAIGEQLAYEYARRKANLVLVARRENRLWGIRENARLLGAKHVLVMAADVVKEEECRRFISDTISYFGHLHHLVNTASLGHNFYFEEATDPAVFPHMMDINFWGNVYPTYVALPYLRQTRGRILVDASMESWLPMPRMSLYAAAKAAVVNFYETLRFELEEDVGITIATHGWIGSDVSRGRFMLEEGAEMQWKEDREVSQLGIVRLAGGHVEEFAKLMVADVCRGYAHAKHPSWYDVFLLYRVFAPDILGWAFRLLLPNDDRAKPTSGRLFPEASSPRKPIAAFSSASPRRLSEVE >Ma10_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25009981:25012957:1 gene:Ma10_g11490 transcript:Ma10_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAPAAPSTLYPTPRCNSSGNIVPFPANPFKRSATLLDRPFRQALRLAATVPQAVSGTDQQTNVLSANEEGDTIRRLQNGSDVRGVALEGEKGRSVDLTPQAVEAIAESFGEWVMESLTRESEQEMAKVRVSFGRDPRLSGPALSTAVLAGLSRAGCLVFDMGLATTPACFMSTVLPQFAYDASIMMTASHLPYTRNGLKFFTRRGGLNASEVEDICDRAARKHTTRRMGLGYSGSVPSLTRNNVDFMSAYAEHLRTIIKERINHPHHYDTPLEGFKVVVNAGNGSGGFFTWNVLDKLGADTFGSLHLEPDGMFPNHIPNPEDRTAMSLTRAAVLEQQADLGIVFDTDVDRSGVVDSTGAAINGDRLIALMAAIVLREHPGTTVVTDARTSVALTEFIAARGGHHCLYRVGYRNVIDKGIQLNRDGVETHLMMETTGHGALKENHFLDDGAYMVVKIIIEMVRMKLMGSSEGIGSLIKELKDPLESVELRMNILTQPKHAKQKGAEVVETLKQNIEEGRLRGWHLDACGDCWVSDGCLVDSNDTPVDIDAHMYRARVSDEVHGQHGWIQIRQSVHNPNIAINMQSSMVGGCQAITTTLRDEFLLASGLEEFVDISQVDAYARSGSLG >Ma02_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27099407:27104746:1 gene:Ma02_g21500 transcript:Ma02_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSTMNPKNSVSQIKRLLGRKFSDPEVQKDIQSFPFRVTEGPDGFALIHANYLGEQRAFTPTQILAMVLSNLKSIAEKNLNAAVVDCCIGIPVYFNDLQRRAVMDAATIAGLHPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCVAGYKKGQLKILAHAYDQSLGGREFDEVLFSYFAAKFKDEYKINVYQNARACLRLRTACEKLKKVLSANPEAPLNIECLMDETDVRGFIKRDEFEKISIPILERVRGPLEKALSESGLSVENIHTVEVVGSGSRVPAIIKILTEFFGKEPRRTMNASECVARGSALQCAILSPTFKVREFQVHESFPFPIALSWKGSGTDSQNGGTEKQQSTVVFPKGNPLPSVKAITFFRSSTITVDAVYTDSSDVQVPAKISTYTIGPFLSSKGEKAKLKVKVHLNLHGIVSIESATMLEEEEVEVPVSAAVEPPKESTKMDMDELTNDVSKTETDVKMEDSKNAANNSSGEVDTAVPESDEKPAQMETDNKVEAPRKKVKKTNVPVVELVYGGMSAEDLQKAVEKEFEMALQDRVMEETKEKKNAVEAYVYDIRNKLYDKYQEFVMPSEKDELIAKLQEVEDWLYEEGEDETKGVYVAKLEELQKQGNPIEVRYKEWTERGPAINQLVYCIHSFREAALSKDPKFDHIEVAEKQKVVSECSESEAWLREKQQQQDALPKYSTPVLLSADIKRKVEALDRFCKPIMTKPRPVPAKPQTPPTAAPGEQSQAHEGENASGEPMAEEDSHAPPQPAPEPMDTDKSETVPSA >Ma06_p27690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29599620:29602118:1 gene:Ma06_g27690 transcript:Ma06_t27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTDS >Ma08_p33750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43961708:43966752:1 gene:Ma08_g33750 transcript:Ma08_t33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MRTATHHPLITAPCNLWPRPRPTSAPVLPSGSRRPRRGALVVRAAVVVEQEVKTKISLVRIGTRGSPLALAQAYETRDKLMASHSELAEEGAIDIVIIKTTGDKILNQPLADIGGKGLFTKEIDEALLEGSIDIAVHSMKDVPTYLPDGTILPCNLPREDVRDAFICLTAKSLAELPAGSVIGTASLRRQSQILYRYPSLKVVNFRGNVQTRLKKLNEGEVQATLLALAGLKRLNMTENVTAILSIEEMLPAIAQGAIGIACRSNDDTMANYIASLNHDDTRLAVACERAFLETLDGSCRTPIAGYAYRDSDGNCVLRCLVASPDGTRVLETSRKGLYALDDMVAMGKDAGKELLSKAGPSFFDW >Ma10_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7930576:7935950:1 gene:Ma10_g02450 transcript:Ma10_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVHAGVGPEPANFLLVLCFAFGSFVARLLLDRFVYKPLAMKLLSYKAVPMMNDEAKWSKITKCSESMWKLTYYAAVQIWVLSIIKQETWSLDTKEYFKGWPNQELKSSLILFYMCQCGFYVYSIGALVAWETRRKDFSIMMSHHIVTSVLIGFSYITRFFRIGTMILALHDTSDVFLESAKLFKYSEKEMAASLCFGLFAISWFLLRLVYFPFWIIKASSYECVEALSWMENFPTTLYYVFNTMLLTLLVFHAYWWKLIFAMIMKQMSNKGQVGEDIRSDSEDGE >Ma05_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6913249:6915437:1 gene:Ma05_g09550 transcript:Ma05_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLAWSNGKVYSPMHGVTVSGKETRYSVLLFAMPKNERPIQAPVELVDDKHPPIFKPYYYDDYLRFCFSEEGMMQQCKLVAYCGTDATKEADA >Ma01_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12374426:12375009:1 gene:Ma01_g16910 transcript:Ma01_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPTSSFEGLWEGERESHILYCQSPASMSSTQDKQRQGIDPLQLMVREREREGKGI >Ma03_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27888169:27888680:-1 gene:Ma03_g23280 transcript:Ma03_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILAGLGSLGQALIGRVKDQISDCLIGRVLEEPGVADLDKLRNTLSGTERIIGRVENMWIKDEDTKKRLKELLMKLKDTVYDADDLLDEIQFPVLKQQIEQQGAQGYEASNQSSSSSGLHPSKRRKLYERVGRFFGREDDVIRVGKIQMKC >Ma02_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27032456:27032845:1 gene:Ma02_g21400 transcript:Ma02_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDGYAWLSALGFAFLTYSSAVAVYRSRDDASVVTFVAVAYADLWLLFRCLRALERGGEGANNWRLRAAVWSLVTLLTSMFSYKVAAVMPWPVSVVVWGMAAAVAMGGFWAFFVRREPPSDGSGGNPE >Ma10_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7376447:7377018:1 gene:Ma10_g02140 transcript:Ma10_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEKATEEKGKKAEKAPAEKKPKAEKRLPSKDGAVAAGDKKKKKKAKKGTETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEASRLARYNKKPTITSREVQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Ma06_p31700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32748884:32749694:1 gene:Ma06_g31700 transcript:Ma06_t31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNSIISSSPGNRLPHKAKKLSISILVISLPLLYVSLLHIPPSTLFKDTTFWFLMSNSIIIIVAADSGVFSSSTHDAGDLYDEYVEHRRARSAFPAAKKSSPDVTHKENQKEHDDAPSQSELVLLHENAAEHRRSRSASLAAKKQQSSSPPPPDITDKENHKDRDDDHGQSEVVVDKSIILHEKSANRESRECPMSRSDIGDEKGMVLHQNSLPPLEEVDEKKREYRVEESEYSSMSNEELNKRVEEFIRRFNREMRLQPRN >Ma04_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2915804:2916879:1 gene:Ma04_g03780 transcript:Ma04_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIEEACEETREWPPVASKSLQRVASGGSEVIDPEGCVEAEFRKLPSSKYKGVVSQPNGRWGAQIYEKHQRIWLGTFNEEAQAARAYDVAVQRFRGRDAVTNFKPLNDADDEDAAELFFLDSHTKHEIVDMLRKRTYHNELQQSKRSIGADNKKGIAGRSNAAVAGATDPLAGAQRQHLFDKAVTPSDVGKLNRLVIPKQNAEKYFPPQNTGGAASKGVLLSFEDGCGKEWRFRYSYWNSSHSYVLTRGWSRFVKEKRLEAGDVVTFQRSTGTDKQLFIDAKARSGVQVLRLFGVNIVRGPAAAIGEGGVDDNAVFCTTGKKNRDHITELVSSQGMFKKQRTEAL >Ma09_p28440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39195947:39202606:-1 gene:Ma09_g28440 transcript:Ma09_t28440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGIDPIKCRYSHVGDSCHETSDPASSISHHASSSSQVACPFRASLSRETTQAFRIPLNLPASSQSHIRPCEHAQTQKVGADTFLSDESSHVHARIGPGKREEHSMIGQKKNKLLETLKYSQEIECSICLEIVLFKPTDAERKFGMLSECDHPFCISCIRNWRRNSPASGIDLNTALRACPVCRKHSYFVVPSVTWFSTKEEKQEIIDTYKNKLKSIDCKYYDFGNGTCPFGTSCFYKHIYKPSTNRRNTCRPHRYRPHPHRHGQVMEGAELEDVVNQLVMGNELANLAALLDINEGESEDLDDADLGSLLASFLLMQMDDEDSQSDDDNYP >Ma09_p28440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39195947:39204161:-1 gene:Ma09_g28440 transcript:Ma09_t28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVLCKYFAHGTCLKAEYCEFSHDWRDRSNKACTFYQKGLCIYGSRCRYSHVGDSCHETSDPASSISHHASSSSQVACPFRASLSRETTQAFRIPLNLPASSQSHIRPCEHAQTQKVGADTFLSDESSHVHARIGPGKREEHSMIGQKKNKLLETLKYSQEIECSICLEIVLFKPTDAERKFGMLSECDHPFCISCIRNWRRNSPASGIDLNTALRACPVCRKHSYFVVPSVTWFSTKEEKQEIIDTYKNKLKSIDCKYYDFGNGTCPFGTSCFYKHIYKPSTNRRNTCRPHRYRPHPHRHGQVMEGAELEDVVNQLVMGNELANLAALLDINEGESEDLDDADLGSLLASFLLMQMDDEDSQSDDDNYP >Ma09_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6235421:6236514:-1 gene:Ma09_g09480 transcript:Ma09_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEDKPEVDLRLLSSLLDEEEEEEEEGKEEVEKGLPADDEAAMLDVAEGGENGDQRYHLTSIQSTVVIRQIRSQGLSFQLWPAASSLVSLLDSRPSALLLPATSQIRILELGSGTGLVGIAAAAILGASITLTDLPHVLPNLRFNAESNAAAVAARGGSLDVRQLRWGEDEDAAALVDGEPAFDVVVASDVVYYEHLIDPLLRTLRVFVTGEAAFVMAHLRRWKKRDSVFFRKARKLFDVAVVHTDPPLPGKRVGVAIYRFTAKRKLF >Ma07_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2829468:2832014:-1 gene:Ma07_g03690 transcript:Ma07_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEYGGGNGVAAEAGAAATAAAAAMPGWLGGLVEESFFVGCGAHESRKKNEKNIFCLDCCTSICPHCAPAHSSHPLLQVRRYVYNDVVRLDDLEKLIDCSYVQPYTINSAKVVFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCSLSCKVDHVLLQGADLSSILFRFNDSDLAFSHFENLRVDSSDLLIEDDGQVTPSSTLEERHGCSASANGGRGSLGKKKKTGGFFPQIVLSLSNRRKGAPHRSPLS >Ma11_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27481255:27482142:-1 gene:Ma11_g24550 transcript:Ma11_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVACDTRTPPPPEEKEEEEEHDPHLPRVSFEVPVGRSGGGLGYRIYDREESTKGLTNPKSGQANSKSRSSSERFSVPRKPPIIGLPAKVQTSGYGGRCTRRSTQGRIFPKKGGRGGGRKSAVPEKEPGSPKVSCFGKVGSERARARRQLEQQRDPVVEPEVTGCCAGFMAILGLGGGGRRQPEVPVEKSSSPPRRSILEKTVKKASREATTAPYPAGPGSGLGGGMRRFSSGRRAASWGEEAELEARKREGAATVEKDD >Ma11_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9907512:9914320:1 gene:Ma11_g10470 transcript:Ma11_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAEEEAVGVGFDGARAAVLVAGLRRGFASGRTRSYEWRAAQLKGIVRMIDDKEAEIMAALHDDLAKPHMESYLHEISLAKAACTFALKEMKRWMKPEKVPTSITTFPSSAEIVSEPVGVVLVISAWNYPFLLSIDPVIGAIAAGNAVALKPSEVAPATSSLFATILPKYTDNSCVKVVEGSIPETTALLEQKWDKIFYTGNGKVGRIVMTAAAKHLTPVALELGGKCPVLVDSNVNVKVATKRIAVGKWGCNNGQACVAPDYILTTKSFAPTLVDAMKKTLEKFYGKDPLESPDLSRIVNAKHFRRLTSLLDDEKVSGTIVYGGQQDEKHLKIAPTLLLDVPHDSLIMKEEIFGPLLPIITFNEVEDCLDFINSKAKPLAAYLFTKDKRLEERFVKTVSAGGMLINDTALHLANPHLPFGGVGESGIGAYHGKFSFDSFSHKKAVLSRGFGGEAPARYPPYTPQKQKILRGLINGSIIALLLAILGWPRG >Ma10_p29400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35995355:35996198:-1 gene:Ma10_g29400 transcript:Ma10_t29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGAADTSTGGEGPSSGGAGKAGPQRGAAAAAPSRYESQKRRDWNTFLQYLRNHKPPLALARCSGAHVIEFLRYLDQFGKTKVHAPGCAFYGHASPPGPCACPLRQAWGSLDALIGRLRAAYEESGGTPETNPFAARAVRIYLRDVRESQAKARGIPYEKKKRKRAPAAAGQAHPAGESSTSTVLSGGSSGSGAGDGSGALLRGSSSS >Ma04_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10697487:10698099:1 gene:Ma04_g14130 transcript:Ma04_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASIDSYRKGAMIYRGDTICKRRSIELLEELGLPKGLLPLEDMEEFGYNRETGFIWLVQGRKREHTFRKIKRQVSYAAEVTAFVEERKMKKMTGVKTKELLLWLSVVEMYVDDPSSGKITFKTGTGLSDSFPVSAFELQQ >Ma09_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2279171:2279404:1 gene:Ma09_g03380 transcript:Ma09_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYMDEKWKLSAKGSRREAGRRSMAESFSRRWASLVKEQRSRFYIMRRCVVMLICWRDYS >Ma02_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19419273:19422697:-1 gene:Ma02_g09430 transcript:Ma02_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRAGSSRSFPKHFNPGTTGSSSGNSASVPDHGSKVSARSETSLLVLNAPEPPPPWQELSGRVRRAVLAEVNRPSSLTKCFVSVLRGLFPILRWGRHYDRKSFRRDLMAGLTLASLGIPQSIGYANLAKLDPQFGLYSSFVPPLIYAVMGTSRDIAIGPVAVVSLLLSSMTQKLVDPYTHPETYRSLVLTATFFAGIFQASFGFFRLGFVVDFLSHATIVGFTGGAAIVIGLQQLKGLLGINHFTSNTDVVSVIKAVWFAVHQPVSIASFDWNPDNFLIGCSFLVLILIVRSIGLRKRKLFWLAAIIPLLSVILSTLLVYLTRADKHGVKIIRQVKEGLNPSSVKQIQLTGPFIGETAKIGLICAIIALTEAVAVGRSFAAVRGYQLDGNKEMVAMGFMNIAGSLSSCYVATGSFSRTAVNVSAGCETTVSNMVMAITVFASLQLLMKLLYYTPVTILASIILSALPGLIDIKEACRIWRVDKMDFLACLGAFLGVLFGSVEIGLLTAVIISFAKIIVSALVPKTEMLGRIQGTDIFCSMRQYPSAVETPNLLILRIDSPFLHFMNANSVKERIFARITGGCDATMTRFVVLDMSNVTHIDTSGIPAVEEIHKKLTSNGVQLAIANPGWRVIHKMKLAGLVDIIGEEWIFLTVSEAVEACGCGNEEDSSLSG >Ma02_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24029702:24030334:-1 gene:Ma02_g16860 transcript:Ma02_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDCLCHICLGKTKIYVSYEDYFCYSFSSKSVLRRAAAIPMGRTNGMWSIIITGSHSGRNDKELPPLLDGGVGACGRAMIQLPPLLPPLRSHKAACPSLLLGILQS >Ma10_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3781738:3782267:1 gene:Ma10_g00850 transcript:Ma10_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANVLLLLLLLPELTSAQTKVVDCVAQFELANRACTIIHAANRRGRESEDGHSHGHGEGEAKGHHRNRCCRWLKEIDDSCVCNTLLRLPISLVKPKHAYTVSVGDHCSVTYKCHGL >Ma09_p29960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40285099:40286399:1 gene:Ma09_g29960 transcript:Ma09_t29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGLCYPGLPQWEAQWRAVDEGQAGRARKRTRRLHRVGRRTAAEWPDLFDTIPDDLVVSILSKLSASAASPSDLASVRITCKRLDGLGSSPLVLATASVKSLAVGARNWTESAHRFLKQCADAGNLEACYMLGMIRFYCLESRGSGAALMARAAMGSHAAALYSLAVIQFNGSGGSKRDKDLGAGVALCARAAVLGHVDALRELGHCLQDGYGVRRNVAEGRRFLIQANARELAAVLNPSSPAAAAAAWQHHHRDGLASGCSLLSDFGCNVPAPEAHPANRFMVEWFTSRGGAGESGPGEEGLRFCSHGGCGRAETRRHEFRRCSVCGVVNYCSRACQALHWKLAHKAECAPMERWLDAAGGVEMMN >Ma03_p30410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33046133:33047839:1 gene:Ma03_g30410 transcript:Ma03_t30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIASAPPQPLPKIPHPVPIPGRLPACIRRSQDRPHNRRRTLHSAPAEWPLDQRIRESLAILDLMETQSISPDPELLAALLKSCADAGTLRLGRVVHDKATQAGLQSHPLVANTLVLLYGRCGQLGLARRLFDEMTARNVVSWTTIISVYHHAGFPHDALDLYRSMIMDGGVRPNVFTFTIALNCCASVEDLDLGVRIHEDIVKDGCDGDEFIIVALIDMYAKCGRVSDAREVFDRVTNPSVEACTAMIEGYNGNGEAKKAMDVMRRMFRTGLSSEAASKLGFASMIRSCAMELALRQGQEIHARIIKFGHQPGSKALSTLADLYESSDRMIAAKHVFDYLVVKDLDLWARMVSGFARNEQYVDALKLYIEMVAQDARLNPQIMSLAIKACIGMSGLEEGKQMHGILIKVSHLLDESVISSLTELYRSCGEYETAQKLLKNN >Ma06_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11362217:11366060:-1 gene:Ma06_g16770 transcript:Ma06_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKIKAESPSHSAFASGTNSKYGSREGKAFSSSSSKVSVPPTPRSEDEILQSSNVKSFTFSELKTATRNFRPDSVLGEGGFGSVFKGWVDEHTFAPTKPGTGMVIAVKKLNQDGHQGHREWLAEVNYLGQLSHPNLVRLIGYCLEDEQRLLVYEFMQRGSLENHLFRRSSTVQPLSWNIRMRVALGAAKGLAFLHSDKAKVIYRDFKASNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLELLSGRRALDRNRPIGEHNLVEWARPFLASKRKIFRVLDTRLGAQYPLATAQKASFIALQCLSTEARHRPAMAQVVSALEELQNAEVTDQSLRTGQNSSSQSGYSNPHRSRRRSAGEIGNRKVAYPRPSASPLYID >Ma04_p33380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33041261:33041854:1 gene:Ma04_g33380 transcript:Ma04_t33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASASAALFLALNLVFFALTSACGTTCTPATKPPPSSSHGKCPVDTLKLASCANVLNGLIKVGVGKFPKQPCECCTLLDGLVDLEAAVCLCTAIKANVLGIHLNLPINFSLLLNYCGKKAPTGFQCP >Ma01_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1921415:1925709:-1 gene:Ma01_g02960 transcript:Ma01_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARRPIYAVATWVKRQPPKVKAFLCVVAGIAALVFLRFIVHDHDNLFIAAEAVHALGISVLIYKLTKERTCAGLSLKSQDLTALFLAARLYCSFVMEYDIHTVLDTATLATTLWVIYMIRFKLRPSYMEDKDNFAIYFVVVPCVLLALVVHPSTSHNIFNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGIARFLSCAHWVLQVLDTHGRLLTALGYGLWPPMVLLAEIVQTFILADFCYYYVKSVVGGQLVLRLPSGVV >Ma08_p33090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43559357:43561365:-1 gene:Ma08_g33090 transcript:Ma08_t33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVRPRPNQVPLLTQFYPRSPPVLLSQIIPKKNRREICKYLFQEGLLYAKKDYNLAKHPEMDVPNLQVIKRMQIFKSREYVRETFAWQHYYWYLADDDRRPARLALALPVTAPGDHHDSKEIGQDLGIGMDVGVVLVLEVLRVILVTRAAHLRSFSHLSGYASTHISYLYSKLFSYHQNLLRVLRGQSRMPFLVVFLVENDEKFFLAVTL >Ma11_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13659451:13666235:-1 gene:Ma11_g11510 transcript:Ma11_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGTFMGHPSSALCRSQHTTQLGRTHSTWILFLVCPHILALLYKLAINGKELILLPDYYNHFSDEKYQQFQADQNLQYKCAACRGDCYQVEDIDDAVRELWKRKDIADNDLIASLRAAAGLPSHEVTYSISPYSGDEQSGPIILKNDNNKPLKFSLKGISDKSSEYENTTSNKKQVKKKGYWIKLVGKTEEAYPELDKQDETRSLECRYRDQNIDEMTTFRPDGPDILSSSAARDPNGNGVKSCTNQLGTFDQSMAKEPASSNADMIPKVKIKSSKLQGLHFKECADKSANKIETTRSTKLVIHIGSINRNASNSPKSETSSCHKDQDLVNCFGAKMVNANVMRTPKHGVKEKTKVKIGKVYVKPQKSNGNVTDECDLNATDLSVERMNEANFAAENDTTERSNKVCQKKHSADIYVKPQRDSSEMSPSDFTSSSSKDLKTSLKLKFKNPCFEQKSSWAPHGEEDNTVKGQRSKRKRPSTEKMGGWQDDRSSKLHLENLIDEAMDANWILQKLGKDAIGKRVEVQPTNSSWHQGVVIDVNQSTSSLSVHLDDGRSTLLELGKQGLRFISQKQRTKS >Ma02_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17134895:17136381:-1 gene:Ma02_g06070 transcript:Ma02_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEPDAAGTGGGGNDEDNDNDREPREGAIVVSTRRPRGRPPGSKNKPKPPVFVTRDSPNALRSHVMEVAGGSDIAESIAQFARRRQRGVCVLSCAGTVSNVALRQPSAPGAVVALHGRFEILSLTGTFLPGPSPPGTTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLEEGDEIPGGGGGIPEHLPGGLAPLMPGASGPGRLPDPSALPIYNLPPHMAHDEVGGQLGHEAFGWAHARAPF >Ma03_p02340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1595660:1598166:-1 gene:Ma03_g02340 transcript:Ma03_t02340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKKFKRPQSDRKICVKPNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVSELDLLMMHNRTYCAEIAHNVSTRRRKSIVERATQLDIVVTNKLARLRSQEDE >Ma03_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1595664:1598152:-1 gene:Ma03_g02340 transcript:Ma03_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKKFKRPQSDRKICVKPNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVSELDLLMMHNRTYCAEIAHNVSTRRRKSIVERATQLDIVVTNKLARLRSQEDE >Ma01_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24915161:24921173:1 gene:Ma01_g22460 transcript:Ma01_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSASGERKPESMGPPMGLSRYGSAPGSFLSGLADSVATGRGGEELSAVGSEGMIGRFFSGDSPCLTSESSCRAACRGSDRDTPGAPATGGACLHGPYAPEALQVSAAGSPLVRHSSSPAGFFSHLLTDHGLSATRAIGNYSQAGTDGIHAYANRRLRSQWSFSRQDSLSQISELSIPEIGETDTCCNSSDEATGHAGQSYISGNFQLGSWDDNNSIVFTAPPSKRAKDNNGDIVTGLSNVDSQFSLPRTSSEMSALEKYLQVQQDSVPCRVRAKRGCATHPRSIAERERRTRISKRLQKLQDLVPNMDKQTSTSDMLELAIQHIKELQSQVQKLKQEQANCTCPEMQEKA >Ma11_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9815785:9816282:1 gene:Ma11_g10430 transcript:Ma11_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSPADSSAAGSPLEIFPCRIRRRRHRRSRSRSLSTVAASSPSLCSSAVPFSWEHRPGIAKNPKALPAARPALPRPPPLRSLPVTDCPAADPFAIALAECAKGPPPAKGDGADDRCRGAVAVRRKVAALVGWFALFDLYGSCKAAGSVAGATLRIPRPGSGRS >Ma10_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15100731:15104516:1 gene:Ma10_g04430 transcript:Ma10_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSAATGEELQLVDPRVWKASAGISSRIAAVGSSVYYFPQGHADQASSLPDFSAVPCPRAYVRCRISSVRLHANRSTDEVFARISLDPRAPPAASPVQPQTEFPFSYSHAAMPTLGGGFSVPRFCAESIFPPLDLGDPTPAQTVTIHDVHGKSWTFRHVYRGTPRRHLLTSGWSNFVNSKRLISGDSLVFMNSSGKVFVGIRRTSRSCGPATLNVEMEEKHGRFSRMPQEAVDAATRVSWTVGMRVRMSVETGDSARMNWFNGSVTKVTINDAGLWRRSPWGMLQVLSLIDSLICESIDTKLYKKQFVVITDMFIEIITWDDPEVLQKVRDVSPWQVECFVAGPQGEIPRSIRNILDEQESSLLPDDKEDSVLTGFKSRIIGSLSPSVSNSSLFPVGMQGARHDFFHTAGLFDSKSNGNQTFLNSVHGVNAPEKSDVPVEPSIRIPSQSRGSSPPRQGSIQALDRETLEPASNQVTKASIQLFGQVIHVGNHIDKDADN >Ma11_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23229232:23232738:1 gene:Ma11_g18100 transcript:Ma11_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPDREEDAAEATAAGEDEDTGAQIAPIVTLSEVAVTTGEEEEHVLLDLKAKLYRFDKEGNQWKERGTGNVKLLKHIETGKVRLVMRQAKTLKICANHLVLPSIKIQEHAGNDKSCVWHAADFADGELKEEMFCIRFGSVENCKKFIEMVEDITESAGKHVEKESKDASDAAGLLGKLSVDESKTDEAAGPPANAYVKAEDEKSEAETKA >Ma09_p31030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40872587:40879793:-1 gene:Ma09_g31030 transcript:Ma09_t31030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGLRRHTILSRCLRSLARPLFQPSSGRGFSSTAIPILLERVGFIGLGNMGFHMATNLINAGYTVTVHDIDIGTMKKFSERGVPAKETPREVAESSDVIITMLPSSSNVLDVYTGQNGLLSSGNHLSPWLFIDSSTIDPQTSRLLSDKVSKYHLKGKKGYAENPIMLDAPVSGGVPAAEAGTLTFMIGGMEEAYLAAKPLFLSMGKNTIYCGGTGNGVAAKLCNNLALAISMLGVSEAFALGQSLGITASTLTKVFKSSSARCWISDSYNPVPGVMAGVPSSRNYDGGFTSKLMAKDLTLAMAAATEVGFKCPITSEAYDIYKKMCDEGFESKDFSCVFRHYYSGLVEGTILTVQKQMEVSGGAQTCKILLESAST >Ma09_p31030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40873015:40879793:-1 gene:Ma09_g31030 transcript:Ma09_t31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGLRRHTILSRCLRSLARPLFQPSSGRGFSSTAIPILLERVGFIGLGNMGFHMATNLINAGYTVTVHDIDIGTMKKFSERGVPAKETPREVAESSDVIITMLPSSSNVLDVYTGQNGLLSSGNHLSPWLFIDSSTIDPQTSRLLSDKVSKYHLKGKKGYAENPIMLDAPVSGGVPAAEAGTLTFMIGGMEEAYLAAKPLFLSMGKNTIYCGGTGNGVAAKLCNNLALAISMLGVSEAFALGQSLGITASTLTKVFKSSSARCWISDSYNPVPGVMAGVPSSRNYDGGFTSKLMAKDLTLAMAAATEVGFKCPITSEAYDIYKKMCDEGFESKDFSCVFRHYYSGKDEN >Ma09_p31030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40872587:40879793:-1 gene:Ma09_g31030 transcript:Ma09_t31030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGLRRHTILSRCLRSLARPLFQPSSGRGFSSTAIPILLERVGFIGLGNMGFHMATNLINAGYTVTVHDIDIGTMKKFSERGVPAKETPREVAESSDVIITMLPSSSNVLDVYTGQNGLLSSGNHLSPWLFIDSSTIDPQTSRLLSDKVSKYHLKGKKGYAENPIMLDAPVSGGVPAAEAGTLTFMIGGMEEAYLAAKPLFLSMGKNTIYCGGTGNGVVSLLLCLNYAAKLCNNLALAISMLGVSEAFALGQSLGITASTLTKVFKSSSARCWISDSYNPVPGVMAGVPSSRNYDGGFTSKLMAKDLTLAMAAATEVGFKCPITSEAYDIYKKMCDEGFESKDFSCVFRHYYSGLVEGTILTVQKQMEVSGGAQTCKILLESAST >Ma11_p00560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:367842:388873:-1 gene:Ma11_g00560 transcript:Ma11_t00560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMPIPNSFLAQHCQRNWQSNTKSSSVLFALDIPSTRPFQVWKLAWTGMSAMQSHIISMRGISSGKPTSNMCNAAQSTNAVSSFEKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVAEAIGAAFAAWLLERKNPNESRRLRVSIGHDSRISAKKLQDAVSLGLAGAGLDVIQYGLASTPAMFNSTLTVDETFFCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKPDIKDILVRAANICVKESIQSANATVKKVDYMAVYTSDLVAAVRKAAGNTEKPLEGFHIVVDAGNGAGGFFAGEVLEPLGAITTGSQFLEPDGMFPNHIPNPEDKVAMKAITKAVHENKADLGIIFDTDVDRSAAVDSSGREFNRNRLIALMSAIVLEEHPGTTVVTDSVTSDGLTTFIEKKLGGKHHRFRRGYKNVIDEAIRLNSIGEESHLAIETSGHGALEENNWLDDGAYLMVKLLNKLASAKASGSSSGSSVLTDLVEGLEEPAFAVELRLKIDQNHPDLKGGSFHDYGEAVLKHLENKVTSDANLKKAPINYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNEDAVKLGLAVLAAVKEFFALDTLALDKFVQQ >Ma11_p00560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:367842:388883:-1 gene:Ma11_g00560 transcript:Ma11_t00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQSTNAVSSFEKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVAEAIGAAFAAWLLERKNPNESRRLRVSIGHDSRISAKKLQDAVSLGLAGAGLDVIQYGLASTPAMFNSTLTVDETFFCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKPDIKDILVRAANICVKESIQSANATVKKVDYMAVYTSDLVAAVRKAAGNTEKPLEGFHIVVDAGNGAGGFFAGEVLEPLGAITTGSQFLEPDGMFPNHIPNPEDKVAMKAITKAVHENKADLGIIFDTDVDRSAAVDSSGREFNRNRLIALMSAIVLEEHPGTTVVTDSVTSDGLTTFIEKKLGGKHHRFRRGYKNVIDEAIRLNSIGEESHLAIETSGHGALEENNWLDDGAYLMVKLLNKLASAKASGSSSGSSVLTDLVEGLEEPAFAVELRLKIDQNHPDLKGGSFHDYGEAVLKHLENKVTSDANLKKAPINYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNEDAVKLGLAVLAAVKEFFALDTLALDKFVQQ >Ma11_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:367842:388873:-1 gene:Ma11_g00560 transcript:Ma11_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMPIPNSFLAQHCQRNWQSNTKSSSVLFALDIPSTRPFQVWKLAWTGMSAMQSHIISMRGISSGKPTSNMCNAAQSTNAVSSFEKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVAEAIGAAFAAWLLERKNPNESRRLRVSIGHDSRISAKKLQDAVSLGLAGAGLDVIQYGLASTPAMFNSTLTVDETFFCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKPDIKDILVRAANICVKESIQSANATVKKVDYMAVYTSDLVAAVRKAAGNTEKPLEGFHIVVDAGNGAGGFFAGEVLEPLGAITTGSQFLEPDGMFPNHIPNPEDKVAMKAITKAVHENKADLGIIFDTDVDRSAAVDSSGREFNRNRLIALMSAIVLEEHPGTTVVTDSVTSDGLTTFIEKKLGGKHHRFRRGYKNVIDEAIRLNSIGEESHLAIETSGHGALEENNWLDDGAYLMVKLLNKLASAKASGSSSGSSVLTDLVEGLEEPAFAVELRLKIDQNHPDLKGGSFHDYGEAVLKHLENKVTSDANLKKAPINYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNEDAVKLGLAVLAAVKEFFALDTLALDKFVQQ >Ma11_p00560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:367842:388883:-1 gene:Ma11_g00560 transcript:Ma11_t00560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSAMPIPNSFLAQHCQRNWQSNTKSSSVLFALDIPSTRPFQVWKLAWTGMSAMQSHIISMRGISSGKPTSNMCNAAQSTNAVSSFEKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPVAEAIGAAFAAWLLERKNPNESRRLRVSIGHDSRISAKKLQDAVSLGLAGAGLDVIQYGLASTPAMFNSTLTVDETFFCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKPDIKDILVRAANICVKESIQSANATVKKVDYMAVYTSDLVAAVRKAAGNTEKPLEGFHIVVDAGNGAGGFFAGEVLEPLGAITTGSQFLEPDGMFPNHIPNPEDKVAMKAITKAVHENKADLGIIFDTDVDRSAAVDSSGREFNRNRLIALMSAIVLEEHPGTTVVTDSVTSDGLTTFIEKKLGGKHHRFRRGYKNVIDEAIRLNSIGEESHLAIETSGHGALEENNWLDDGAYLMVKLLNKLASAKASGSSSGSSVLTDLVEGLEEPAFAVELRLKIDQNHPDLKGGSFHDYGEAVLKHLENKVTSDANLKKAPINYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNEDAVKLGLAVLAAVKEFFALDTLALDKFVQQ >Ma05_p01420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:821807:844502:1 gene:Ma05_g01420 transcript:Ma05_t01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MAEDFCGRAEIPDDAEGAEVSSIPLTDIKTLLNQIMSIRSKKVLQLIPLNTLVRLINILDRQIQCAQGLSIDGNDNADDDAANLVLCALESTHASLGIMAHQDMPKQLYREEVIERILDFSRHQIMEIIAACNPLHSLPKPSENAPFDGDEEDDDDVDNTNSSLAKRRRYNRNVNLRKSSGSKISAPVYAVVQKLCSILGFLKDLLSVERLSDSCILQLVKTSFSTFLADNIQLLQLKSINLICGVYSSYTQHRSFLIDETLQLLRKLQFNKRAIRAYHLPDEEQKQIQMITALLIQLVQFSSNLPESLKTAANWNAVLDISSDSSSPAKSYEAATQTCCLFWTSVLQRLTTAKSQDVSESKMILENIIMDLLTTLNLPEYPASAPILEVLCVLLLQNAGLKSKDISARCMAVDLLGMIAARLKRDAVTCSRDRFWILQDLVDANDDVSVGTKDACSVCLKRRGANIICHLCKRCFHPDCLGISGQEMLLRDWSCHICLCKKQLITLHSYCNMQSKDNAKISLVSASTTSGDSDCVTKLEVVQQILLNHLQQNGSEDDVNLFTRWFYLCLWYKDDSQSQERVIYYLARLKSKAILRDSGSSLLLSRDGAKKICLALGQNNSFSRGFDKILSLLLASLRENSPVLRAKALRAVSAIVEADPEVLCDKRVQCAVEGRFCDSAISVREAALELVGRHIASHPGVGLKYFEKVAERIKDTGVSVRKRSIRIIRDLCISESNFSEATRAFIEIISRVTDEESSVQDLVCKTFFELWFEEPTGSQKHLIADGSSVPMEVAKKTEQIVDMLRNMPNSHHLVTVIKRNLTLDFLPQSAKATGINAASLASVRKRCELICKRLLERILQVEEETSDKEEVCALPYVLALHSFCVVDPTLCAPSTDQSQFVITLQPYLKNQVDNKSVAQLLESIIYVVNAVLPLLRKPPQSVIEELEQDLKHMIVRHSFLTVVHACIKCLCSLSKIAGKGSSIVEYLIHIFFKHLQGSGSDNMQLLGRSLFCLGLLLRYGGELIVKTDSQHVHIDKSLSLLKRYLVMDDFGLKVRALQALGYILISSPEYMLEKDIGKILEASLASSADFRLKTQALQNLYEYLLDAETKMGTDCGSKNATSHAEDAGNKVPVAAGAGDTNICGGIVQLYWNSILERCLDGNDQIRQTSLKIVEIVLRQGLVHPITCVPYLIALEIDPLDVNSKLAHHLLMNMNDKYPAFFESRLGDGLQMSFKFVQCIASNHNLVSGLQKGKSDGNPIAYVRPGISRIYRLIRGNRIARNKFIHSIVRKFESGGGNYLPIGFLVYCTEILASLPFTCPDEPLYLIYDINRIIQVRAGALEANMKTWSSVSQHRDSLEMPSEIDKGNANSGWPNISEHDFTEIADKMSDSSRGISTEDLQKFQADCHEAIALQLLLKLKRHLKIVYGLNDARCQAFSLKELPKPGETISKQNIPFNISDTPISLPTSYQAMVEKYQEFKVSLREDAIDYSTYTASVKRKRPTPRSSRGGKAAQRKGEEDDDDVDDEDWTGGPRVLDFSGQRSNGMRMTRQRLQV >Ma05_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:821807:844502:1 gene:Ma05_g01420 transcript:Ma05_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MEFNQDLGFERYCRLSNTTHSEIAPSLSLPSLPVCFGSFDQQLGLSDVPGGSRSGDRPDILAHAGTIAELLRNCDVDYLNLKENARVVPDDLGDRSRLYQEVVKYNPDAFKCFYRGPLQEQRRSNQTIEKKPFGQGVSQVQRDNGGECDDRSEKNLITEPPSFASSRKPKIKKKESDSVPSSVGPDLLDHQGIVGSFCEMAEDFCGRAEIPDDAEGAEVSSIPLTDIKTLLNQIMSIRSKKVLQLIPLNTLVRLINILDRQIQCAQGLSIDGNDNADDDAANLVLCALESTHASLGIMAHQDMPKQLYREEVIERILDFSRHQIMEIIAACNPLHSLPKPSENAPFDGDEEDDDDVDNTNSSLAKRRRYNRNVNLRKSSGSKISAPVYAVVQKLCSILGFLKDLLSVERLSDSCILQLVKTSFSTFLADNIQLLQLKSINLICGVYSSYTQHRSFLIDETLQLLRKLQFNKRAIRAYHLPDEEQKQIQMITALLIQLVQFSSNLPESLKTAANWNAVLDISSDSSSPAKSYEAATQTCCLFWTSVLQRLTTAKSQDVSESKMILENIIMDLLTTLNLPEYPASAPILEVLCVLLLQNAGLKSKDISARCMAVDLLGMIAARLKRDAVTCSRDRFWILQDLVDANDDVSVGTKDACSVCLKRRGANIICHLCKRCFHPDCLGISGQEMLLRDWSCHICLCKKQLITLHSYCNMQSKDNAKISLVSASTTSGDSDCVTKLEVVQQILLNHLQQNGSEDDVNLFTRWFYLCLWYKDDSQSQERVIYYLARLKSKAILRDSGSSLLLSRDGAKKICLALGQNNSFSRGFDKILSLLLASLRENSPVLRAKALRAVSAIVEADPEVLCDKRVQCAVEGRFCDSAISVREAALELVGRHIASHPGVGLKYFEKVAERIKDTGVSVRKRSIRIIRDLCISESNFSEATRAFIEIISRVTDEESSVQDLVCKTFFELWFEEPTGSQKHLIADGSSVPMEVAKKTEQIVDMLRNMPNSHHLVTVIKRNLTLDFLPQSAKATGINAASLASVRKRCELICKRLLERILQVEEETSDKEEVCALPYVLALHSFCVVDPTLCAPSTDQSQFVITLQPYLKNQVDNKSVAQLLESIIYVVNAVLPLLRKPPQSVIEELEQDLKHMIVRHSFLTVVHACIKCLCSLSKIAGKGSSIVEYLIHIFFKHLQGSGSDNMQLLGRSLFCLGLLLRYGGELIVKTDSQHVHIDKSLSLLKRYLVMDDFGLKVRALQALGYILISSPEYMLEKDIGKILEASLASSADFRLKTQALQNLYEYLLDAETKMGTDCGSKNATSHAEDAGNKVPVAAGAGDTNICGGIVQLYWNSILERCLDGNDQIRQTSLKIVEIVLRQGLVHPITCVPYLIALEIDPLDVNSKLAHHLLMNMNDKYPAFFESRLGDGLQMSFKFVQCIASNHNLVSGLQKGKSDGNPIAYVRPGISRIYRLIRGNRIARNKFIHSIVRKFESGGGNYLPIGFLVYCTEILASLPFTCPDEPLYLIYDINRIIQVRAGALEANMKTWSSVSQHRDSLEMPSEIDKGNANSGWPNISEHDFTEIADKMSDSSRGISTEDLQKFQADCHEAIALQLLLKLKRHLKIVYGLNDARCQAFSLKELPKPGETISKQNIPFNISDTPISLPTSYQAMVEKYQEFKVSLREDAIDYSTYTASVKRKRPTPRSSRGGKAAQRKGEEDDDDVDDEDWTGGPRVLDFSGQRSNGMRMTRQRLQV >Ma04_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6685309:6688534:1 gene:Ma04_g09400 transcript:Ma04_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGSRLQSKWQATTRSLPPLLTLLVLVSPVLALGQDGVLLLKFKSSILSDPLAVLRDWNYYDATPCSWNGVVCMGFPDAAAITWTPPPPPPAANTGGGGNVQVPTASRVIGLVLPNSRLLGSVPPELGLLEHLRHLDLSGNMLNGTLPPSLFDASELRVLSLANNAISGELPELDGRMSSSLQVLNLSDNALIGRLPANLSRLPNLTVVSLANNYLYGQLRGGGFLRLQYLDLSSNLVNGSLPTDLGGPRLRYLNLSYNRLTGAIPLELGATIPANATVDLSFNNLTGEIPHGGAFAAEKPMAFVGNPSLCGRPLRNPCAIPSIPSTVSDHPNSSVAAPQKGKSPPAFAAIPKNTDGTSPAGGGQSSAGRGTLRPFAVIAITVGDLAGVGILFVVFLYLYHVKKKKKTQEQRQQKEVGGVGLKNEPPPTATTASPESKTIGLLSCCLRKKGGGDGGDTEETSETSGSSETEGELEEAPKGEKDGEDGRSHSQQKLQGATLVMVDGETELEIETLLKASAYILGASASSIVYKAVLADGTALAVRRIGEGSVIGKLKDFGAQVRSLAKFRHPNLLRLRGFSWGADEKLLIHDYAPNGSLANISLSKKLGSSPLHLSWEARLRIARGVARGLAYIHEKKCSHGNLKPSNILLDSDMEPKIGDFGLDRVMLGAGASARQFGSKRSMHSSISLPDLSSVAGASPSAASGSSSSALAPPPYQAPESLKNLKPSAKWDVYSFGMVLLELVAGRVFSEVELCHWNAGLVVEERNRLLRMADAAIRGEVEGKEEALLCCIKLGFACCAMAPQRRPSMKEAVQVLDNISPLPLPHPQSANVLLSNHSKCNP >Ma08_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7498927:7538179:1 gene:Ma08_g10280 transcript:Ma08_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDSGLLAGAEIHGFRTSADLDVDKLMEDASSRWFRPNEVYAILSNYTLFKIQPQPIDNPASGRVLLFDRKMLRNFRKDGYNWKKKKDGKTVQEAHEKLKIGNEERIHVYYARSEDDPNFYRRCYWLLDRDLERIVLVHYRQTSEDNSFQHVPASVECKEVVSATGRVQYGSPSTPVNSAGGSAQSEVSGHTFVSEEINSIDYNVSGNGSGNCIELQNHELSLHEINTLEWEELVGSTANNNAPIVSLGLSNVTSGNDHGRVDQLKDQEITLVPFKTGNPNPPVAEFNLDVAVCSENANIYNADVLLTQNSFGSWNCINDDSLGLIDDTQLQPKSLTGDEASPIATSLGDHIFNVTDISPCWSYCTENTMVLIVGYFGESKKHLISSNIYYVLGEICAKAEMVHPGVYRCMAFPQPPGLVDLFLTLDGHTPISQVLSFDYRLLPNTQMDGPVTSSEDNYNKLKWEDYQVQKRLAYLLFTTSNNMSILSSRIPPKSLNEAKRFASLTSPLVEKDWINLLKLDSADGVSSASTRDDLLEVVLRNKFQEWLLLKVAEGCKTTDHDSQGQGVIHLCTILNYTWAIRLYLLSGLSLDFRDIHGWTALHWAASLGREKMVAALLSAGANPSLVTDPTTESPGGWTAADLASKQGYEGLAAYLAEKGLSAHFEAMSLSGNITTQGRSISVTIDNSENLSEPELCLKESLAAYRNAADAADRIQSAMRERALKFQTKAVQLVKPEMEATQIIAALKIQHAFHNYNRRKLMKAAARIQSHFRTWKTRRDYINMRRKAIKIQATFRGHQVRKQYRKIVWSVGVLEKAVLRWRLKRKGLRGIQVEATKTMKVDTMPESTGEEDFFRISRKQAEERVQRSVVRVQAMFRSYRAQQEYRRMKMAHEQAELEFCDVDQLR >Ma06_p17970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12192262:12199825:1 gene:Ma06_g17970 transcript:Ma06_t17970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVQSAVLPAVIMNRSHSQLQGSGKAKRGARMICQLQMHPLRLQGFAGLRVADNLFSSRHRQDFHSVVSGYITNPRGRPSRLVTKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGISLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLHEGEGVAARVLESLGADPSNIRTQVIRMVGESTEAVGAGVVGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQEQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMDEIKQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCVGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDEAIQILRGLRERYEIHHKLCYTDEAIVAAAQLSFQYISDRFLPDKAIDLIDEAGSRVRLRHAKLPEDARELDRELRQITKEKNEAVRSQYFEKAGELRDREMELKAQISALIDKGKERSKAESEAGVSGPVVTEADIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTESVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVSFKSTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLEEVFDRLKGKDIKLQVTERFKDRVVEEGYNPSYGARPLRRAIMRLLEDSLAEKMLAREIKEGDSAIVDVDFDGNVTVLSGGTGTPESTPEAIPI >Ma06_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12192261:12199825:1 gene:Ma06_g17970 transcript:Ma06_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVQSAVLPAVIMNRSHSQLQGSGKAKRGARMICQLQMHPLRLQGFAGLRVADNLFSSRHRQDFHSVVSGYITNPRGRPSRLVTKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGISLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLHEGEGVAARVLESLGADPSNIRTQVIRMVGESTEAVGAGVVGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQEQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMDEIKQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCVGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDEAIQILRGLRERYEIHHKLCYTDEAIVAAAQLSFQYISDRFLPDKAIDLIDEAGSRVRLRHAKLPEDARELDRELRQITKEKNEAVRSQYFEKAGELRDREMELKAQISALIDKGKERSKAESEAGVSGPVVTEADIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTESVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVSFKSTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLEEVFDRLKGKDIKLQVTERFKDRVVEEGYNPSYGARPLRRAIMRLLEDSLAEKMLAREIKEGDSAIVDVDFDGNVTVLSGGTGTPESTPEAIPI >Ma10_p26030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33915591:33916074:1 gene:Ma10_g26030 transcript:Ma10_t26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNRSFYIHEVILSRVVNLMLNSVLSLRHPDIYRTYFRNHELESLFVEKKKKKKVGWLKPKNLYTKIVPFFFLTQCILMMSWFNLLLWLGMDY >Ma04_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5478708:5480353:-1 gene:Ma04_g07580 transcript:Ma04_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVTLTPETASSRIRPAVSAARDRSERRGSDSSYFPGCRKDTNCHCDICLASIDATRDLIPSGGSILTSVTKLSVSRPTPSPFVAGSPPTSPETGSTVTPPSTPVIESWTRSRPAAKAVAKKEESWGLGCRAVRILVGLFLLWAADMGLSAVIMKDFGSKLTPEVVSRAGEECRVLRNDLKGTLQLLQQRMEKLVGGRASNCSSVDSFWEMNPGGNFFFQWRCVVYKSIAEEVNVWGSPLRTAGLLSAGASPRSLTLLSGNIIEWSDGKLASTTRTSCNSSWIYEKWRSSAVRLDANTWVLEYQRNPLLQRPRLIPIAWEVLRATIVKNTKQLWKQSFWRPEEQLTPPT >Ma11_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1066105:1066367:-1 gene:Ma11_g01490 transcript:Ma11_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAGKLCDCTEEYEKMEAAYDGLRRHKAAMEAEAARLEEEIRSLEKGKRDDGDKV >Ma02_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19728898:19729795:1 gene:Ma02_g10020 transcript:Ma02_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRLPRAALRWSPASGEGGWRRTCLLILALICCSPVLIPLACVSFPLLCIVGLCLQARRRRPRNSPGWESGGASVILGLCREQEAPAMEPRLLRRYLEDQLRLVGGELLVLDCDSGGGH >Ma04_p27930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29095094:29111759:-1 gene:Ma04_g27930 transcript:Ma04_t27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:XRN4 [Source:Projected from Arabidopsis thaliana (AT1G54490) UniProtKB/TrEMBL;Acc:A0A384KRW9] MGVPAFYRWLADRYPLSISDVIEQEPAEGMGGAPLPLDLTEPNPNGFEFDNLYLDMNGIIHPCFHPDGRPLPATYDDVFKSIFDYIDHLFGLVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEERLREEFESEGRKLFPTEKPETADSNVITPGTEFMAVLSVALQYYVHLRLNCTPGWQSIKVILSDANVPGEGEHKIMSYIRLQRSLPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVISMPGQQEKCFSCGQIGHLAADCREDKKSADGTPIYKKKYQFLNIWVLREYLENDLEICDAPFAINFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMFVYKREFIAMGGYLTDSGEVSLDRVEHFIQSVAVYEDQIFQKRARIQQAYENNEEIKLKMQREGSEDIQSAYTDKVKLGEPGYKERYYAEKFGVKEPKLIDDVKRDVVQKYVEGLCWIMRYYYQGVCSWQWFYPYHYAPFASDIKGLADLEITFFLGQPFKPFDQLMGTLPASSANALPKHYGALMTDPTSPLSSFYPKDLEIDMNGKRFAWQGVAKLPFIDERRLLAETKKLEDTLTEEERSRNKIMFDIIYVHHGHQLAAQVALLYRMCSQLPVQEPSYCIPIETSFSDGMNGFLCLSERNRYSTLIPTPIKGLSSIGNNRVLNATYINPQYHKHIPEPPDGVKMPMKILRYQDIKPFPMLWHEDNNGRRQSRESFRPQVSGALSGHLLGEAAHRLVKNSLQIRSNNNTGLLDTPYRNVPNFGNKSRPAGPLGYERGFFEDSNYHQYSSSSFLGFSNSRPKFHDGAQFGRQNIRMQGMSNSQGQHDSIRTEMSRLTVGEGPKVQPHPQMPNAGGSSYHQQQLIQNAGPPPPFPPMDWIDKQMMRGFGGGFVSRQEPMEWPSKQPLVRGNHAGGFGRHEISSRSPNDKQQQANKVYRIKLQMPQEPPDSSTQQ >Ma04_p27930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29095094:29111759:-1 gene:Ma04_g27930 transcript:Ma04_t27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XRN4 [Source:Projected from Arabidopsis thaliana (AT1G54490) UniProtKB/TrEMBL;Acc:A0A384KRW9] MGVPAFYRWLADRYPLSISDVIEQEPAEGMGGAPLPLDLTEPNPNGFEFDNLYLDMNGIIHPCFHPDGRPLPATYDDVFKSIFDYIDHLFGLVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEERLREEFESEGRKLFPTEKPETADSNVITPGTEFMAVLSVALQYYVHLRLNCTPGWQSIKVILSDANVPGEGEHKIMSYIRLQRSLPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVISMPGQQEKCFSCGQIGHLAADCREDKKSADGTPIYKKKYQFLNIWVLREYLENDLEICDAPFAINFERLVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMFVYKREFIAMGGYLTDSGEVSLDRVEHFIQSVAVYEDQIFQKRARIQQAYENNEEIKLKMQREGSEDIQSAYTDKVKLGEPGYKERYYAEKFGVKEPKLIDDVKRDVVQKYVEGLCWIMRYYYQGVCSWQWFYPYHYAPFASDIKGLADLEITFFLGQPFKPFDQLMGTLPASSANALPKHYGALMTDPTSPLSSFYPKDLEIDMNGKRFAWQGVAKLPFIDERRLLAETKKLEDTLTEEERSRNKIMFDIIYVHHGHQLAAQVALLYRMCSQLPVQEPSYCIPIETSFSDGMNGFLCLSERNRYSTLIPTPIKGLSSIGNNRVLNATYINPQYHKHIPEPPDGVKMPMKILRYQDIKPFPMLWHEDNNGRRQSRERPQVSGALSGHLLGEAAHRLVKNSLQIRSNNNTGLLDTPYRNVPNFGNKSRPAGPLGYERGFFEDSNYHQYSSSSFLGFSNSRPKFHDGAQFGRQNIRMQGMSNSQGQHDSIRTEMSRLTVGEGPKVQPHPQMPNAGGSSYHQQQLIQNAGPPPPFPPMDWIDKQMMRGFGGGFVSRQEPMEWPSKQPLVRGNHAGGFGRHEISSRSPNDKQQQANKVYRIKLQMPQEPPDSSTQQ >Ma01_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7173412:7176126:-1 gene:Ma01_g10010 transcript:Ma01_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLPPAVAEERSGRPSAPASATPLSPPRRSTNLHLLGFPNGDTTPPPPVSSSWLEIRLFYVRVAPCVVDAVPPILTLSHLRREIGSALEINGVRLPSSERTAVPLRRDRVDRGAAEVTYVSTDGVRLTGAVDFEVCDDRGKLMLCGSLERMEAPWSNGAIGLDHLQGPSDSKTGWSMNCYVAASIGSSAFVQPDKVGILSTPSIEVYVAGCFAGVPLILTQTVQLSPRRRAVRLGALESIPEDEETTGGKQGSSADLVHPKPSPSTDAEGETNEYDPDLTFRHSYYPEGWYSGEDEQLSWFNSGVRVGLGIGLGMCVGIGIGVGLLMNSYQATTRSFKRRFF >Ma03_p32710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34387976:34392762:1 gene:Ma03_g32710 transcript:Ma03_t32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEIITHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYVLALGTAVMIPTFLVPLMGGSDDDKVRVVQTLLFVTGINTLIQTLFGTRLPTVIGGSYAFMVPIISIIHDSSLTQITDDHQRFLQTMRAIQGALIVSSCIQIILGYSQLWGICSRFFSPLGMVPVVSLVGFGLFDRGFPVVGRCVEIGVPMLILFVASSQYLKHLHVRGLPILERFSLLITITIIWVYAHLLTVSGAYKHHPERTQVNCRTDRANLISSAPWIKIPYPLQWGAPTFDAGHSFGMMAAVFASLIESTGAYKAAARLASATPPPAHVLSRGIGWQGIGILLDGLFGTVTGSTVSVENLGLLGSTRVGSRRVIQISAGFMIFFSIMGKFGALFASIPFVIFAAVYCVLFGIVAAVGLSLLQFTNMNSMRNLFITGVSIFLGLSVPQYFFKYTSSAQRGPAHTNAEWFNDYINTIFSSPPTVALIVAVFLDNTLDVSGTAGDRGMAWWARFRKFQGDSRNEEFYTLPFNLNRFFPPS >Ma03_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11401232:11403293:1 gene:Ma03_g14250 transcript:Ma03_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVRPHSYIKMDNFYTVTVYEKGAEVVRMYKTLLGSSGFRKGMDLYFKRHDGQAVTCEDFFAAMCDANDADLSSFLLWYSQAGTPQVRVTSSYDPDARMYSLKFSQEVPPTPGQPVKEPMFIPIALGLLDSSGKDMPLTCVYRDGVQQTISSNDQPVWKTVLHVKKVSQELFYVHLLWTF >Ma01_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2531369:2533057:1 gene:Ma01_g03820 transcript:Ma01_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDTASAPPKMAGNPIAGFLFQVAMLSSLLCSSNGHGLKMGFYKQSCPQAETIVRTTVDSFFSHDPTVSAPLLRLHFHDCFVRGCDGSVLLNSTRKNLAEKDAKPNLTLDGFYVIDAAKAALEESCPATVSCADILALAARDAVSLAAGLGKGIAMTNQSLYQVETGRRDGFVSRASEAEAHLPSSYANISALKANFGSKRLTARDLAVLSGAHAIGNSHCFSFDKRLKRYHGKGGIDPTLDPHYAIKLISKCRPGNGTTTEMVPGSSTTFDTKYYELVAKRRGLFHSDAALLHDKVTRDYVFSRLKSPESSFFADFGSAMVKMGKVGVLTGKAGEIRKNCALVNR >Ma03_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9261483:9268006:1 gene:Ma03_g11940 transcript:Ma03_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLASRGDRGGASTGVFSNRIEREQLVPGDHIYSWRSACIYAHHGIYVGDGMVIHYTGAAGQEIGTGTFVNRIFSISSSPWRNSTPVCERCGVDQSRQNGVTKSCLDCFLAGFNLCLFRYSVTPAFFITQARGGTCTLAVSDPPEVVLHRARCLLGNNGFGTYSLFNNNCEDFAMYCKTGLLVDASSGGATRSGQISSLAAAMTLVASSPLGFLATGGGGLVVAGAMYSISRYLSDISIRWDVIKIPVETLVAQLPTGNPGAAS >Ma00_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3080402:3081598:1 gene:Ma00_g00820 transcript:Ma00_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKTFLLGLLLPSVSPLLFSSESYSDACDPRSLPDPLTLRPDRLTVLINGYSEARLPLLRSLAASYATHPLVAAVLILWGNPSTPAAALSSLATHGGAGGFAPISVHRQPSPSLNARFLPRANIRTRAVAVCDDDVEVEPRTLAFAFAVWRSRGGAALVGLFARSHDLDLEQRRWIYAMHPDRYSIVLTKFMIVGTDYLRRYSCWGRLSEARRLVDRERNCEDILMNFVTAMESGAGPVLVGGRVRDWGDPRNNGGISNSSVDNGGSGVVGIERVGLSARGGHRKKRGDCITEFHRMLGEMPLRYSYGKVVDGVGEQGLCRKGGRLVLCDHQE >Ma08_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37509821:37510115:-1 gene:Ma08_g24270 transcript:Ma08_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLVLWARAHVLMMLLCRQRLHSSTRLIYIGESQNLLKDTV >Ma06_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15484990:15488741:-1 gene:Ma06_g21310 transcript:Ma06_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDGSGRYNGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADVEDYEEEEEEGEEA >Ma00_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2013182:2013427:-1 gene:Ma00_g00640 transcript:Ma00_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLWEEAIQKWYTDSHTSHLDYLNLAETTKPTKVYWEEAIQKLFKSLLPAPELRTVVGGSTGTNPRSPGACQRSSSELAK >Ma09_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:519966:530357:-1 gene:Ma09_g00770 transcript:Ma09_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAPEEALMGSQLKRPNVPRVDPSMQTHMAPASAASNTPKLTTNDALAYLKAVKDIFQDKREKYDEFLEVMKDFKSQRIDTNGVIMRVKELFKGHRDLILGFNTFLPKGYEIKLPEEKKPVEFEEAINFVNKIKNRFQNDDHVYKSFLDILNMYRRENKSIHEVYQEVAALFQNHHDLLEEFTHFLPDASATYAPHHAYSGRGFVQRDDRSSLMPTARHIQGDKRERSYTSHADRDFSVDHPDTEHDRQRRHAEREKDRKEDRDKRDRERDEKDIEHDSGDLDEANRRHKFQSGRMDDSVAEPKQQRGDCTENIGTYSISVSSSDDKNALKSVYTREFNFCEKVKEKLHPDTYQEFLKCLHIYSKEIINRTELKNLVSDILGKHLDLMEGFNEFLAHCENIDGFLEGVFNKRHTARPIKIEDRDRERERDVDEQKDSERERNNERERVDKGALYNSKEGASHKATFFSNKEKYNLWKPISELDLSNCQRCTPSYRLLPKNYPIPPASHRTELGVSVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEMTQDPVKSENLIRIGDHLSSLNLRCIERLYGDHGLDVMDVLHKNAGLALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRCFYFKQQDTKSLSTKALLAEIKEINDKMKEEDDILLAVAARNRRPILPNMEFEYVDVDIHEDLYQIIKYSCGEVCTSLEQVDKVMKIWTTFLEPLMCVRSRNQGEEDAQDAKPKNRAVKTSMVGVGENNRSSGVDCAGATRQNNGDGNISPEQVAPCRTRLASGDTTVTENGFHNIDRTTRHSENLGNKPLQGRGQGSAPMADEVSGINGQYVSAEPLQDNIYVAGGAEQSQNRTNLEIISGANSASLRTGHFGMETVVETRATNEILPSSEGGQTGRPIVSANGASTTENNKGHRPNEGSASLNNLKVEREEGELSPTGDFGEDNFVTFGDAAINVAPKGKDTSASRQFQVRPGEVEASCGEAAGEHDADADDEGEESAQRSTEVSENASEAGEDVSGSESGDGGECSREDHEEEEDDAENDQDGKAESEGEAEGTTDTHDAEGEITSLPFSERILHTVKPLARHVPAALHNKEDKCSRIFYGNDSFYVLFRLHQTLYERILSAKTNSSAAEKKWRSSKDTTPPDLYAKFISALYHLLDGSADNTKFEDDCRTIIGTQSYLLFTLDKLIYKVVKQLQAMASDEIDNKLLQLSLYEKSRRSGRSSDLVYHENIRVLLHDENIYRFECFSQSSYVTRLSIQLMEYGHEKPEATAVSMDPSFSAYLYSDFLSSIPDKKGAEGVFLRRNKRKYGDDDEYASTYKAMSRFQVINGLECKISCSSSKVSYVLDTEDFLFRGRKKRIYSCGGTNICGQAQPSQAHDAKVEQFHRILSSFLSRS >Ma09_p00770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:519966:530357:-1 gene:Ma09_g00770 transcript:Ma09_t00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAPEEALMGSQLKRPNVPRVDPSMQTHMAPASAASNTPKLTTNDALAYLKAVKDIFQDKREKYDEFLEVMKDFKSQRIDTNGVIMRVKELFKGHRDLILGFNTFLPKGYEIKLPEEKKPVEFEEAINFVNKIKNRFQNDDHVYKSFLDILNMYRRENKSIHEVYQEVAALFQNHHDLLEEFTHFLPDASATYAPHHAYSGRGFVQRDDRSSLMPTARHIQGDKRERSYTSHADRDFSVDHPDTEHDRQRRHAEREKDRKEDRDKRDRERDEKDIEHDSGDLDEANRRHKFQSGRMDDSVAEPKQQRGDCTENIGTYSISVSSSDDKNALKSVYTREFNFCEKVKEKLHPDTYQEFLKCLHIYSKEIINRTELKNLVSDILGKHLDLMEGFNEFLAHCENIGGLVHVPIDGFLEGVFNKRHTARPIKIEDRDRERERDVDEQKDSERERNNERERVDKGALYNSKEGASHKATFFSNKEKYNLWKPISELDLSNCQRCTPSYRLLPKNYPIPPASHRTELGVSVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEMTQDPVKSENLIRIGDHLSSLNLRCIERLYGDHGLDVMDVLHKNAGLALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRCFYFKQQDTKSLSTKALLAEIKEINDKMKEEDDILLAVAARNRRPILPNMEFEYVDVDIHEDLYQIIKYSCGEVCTSLEQVDKVMKIWTTFLEPLMCVRSRNQGEEDAQDAKPKNRAVKTSMVGVGENNRSSGVDCAGATRQNNGDGNISPEQVAPCRTRLASGDTTVTENGFHNIDRTTRHSENLGNKPLQGRGQGSAPMADEVSGINGQYVSAEPLQDNIYVAGGAEQSQNRTNLEIISGANSASLRTGHFGMETVVETRATNEILPSSEGGQTGRPIVSANGASTTENNKGHRPNEGSASLNNLKVEREEGELSPTGDFGEDNFVTFGDAAINVAPKGKDTSASRQFQVRPGEVEASCGEAAGEHDADADDEGEESAQRSTEVSENASEAGEDVSGSESGDGGECSREDHEEEEDDAENDQDGKAESEGEAEGTTDTHDAEGEITSLPFSERILHTVKPLARHVPAALHNKEDKCSRIFYGNDSFYVLFRLHQTLYERILSAKTNSSAAEKKWRSSKDTTPPDLYAKFISALYHLLDGSADNTKFEDDCRTIIGTQSYLLFTLDKLIYKVVKQLQAMASDEIDNKLLQLSLYEKSRRSGRSSDLVYHENIRVLLHDENIYRFECFSQSSYVTRLSIQLMEYGHEKPEATAVSMDPSFSAYLYSDFLSSIPDKKGAEGVFLRRNKRKYGDDDEYASTYKAMSRFQVINGLECKISCSSSKVSYVLDTEDFLFRGRKKRIYSCGGTNICGQAQPSQAHDAKVEQFHRILSSFLSRS >Ma11_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2485888:2486540:1 gene:Ma11_g03330 transcript:Ma11_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKNAGISTKDVEEVLLVGGMTRVPKIQEVVAEIFGDVKELLLLDVIPLSLGIETLGVIFSRLINRNTKIPTKKSQVFSTAADNQTQVRIRVLQGEFELVGIPPAPRGMPQIEVTFVFGNRKGAANHYSVFRWAVGGGD >Ma09_p27560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38520628:38521816:-1 gene:Ma09_g27560 transcript:Ma09_t27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLALPGGDLIAELGLASEKRTGTCRKRGFDDMFYGATSPPLFVHKDGDDDDGDDDDSRFNHLQELNLGSRVLVGWPPVRVSRRKGEEGGKRRVNCVKVNMEGVAIGRKVDLSLHDSYQALFLTLSQMFPKKQHDGEESDAAYYKVTYEDEDGDWMLVGDVPWEAFIL >Ma09_p27560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38520628:38521816:-1 gene:Ma09_g27560 transcript:Ma09_t27560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLALPGGDLIAELGLASEKRTGTCRKRGFDDMFYGATSPPLFVHKDGDDDDGDDDDSRFNHLQELNLGSRVLVGWPPVRVSRRKGEEGGKRRVNCVKVNMEGVAIGRKVDLSLHDSYQALFLTLSQMFPKKQHDGEESDAAYYKVTYEDEDGDWMLVGDVPWEAFIQSVKRLKILN >Ma09_p27560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38520958:38521817:-1 gene:Ma09_g27560 transcript:Ma09_t27560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLALPGGDLIAELGLASEKRTGTCRKRGFDDMFYGATSPPLFVHKDGDDDDGDDDDSRFNHLQELNLGSRVLVGWPPVRVSRRKGEEGGKRRVNCVKVNMEGVAIGRKVDLSLHDSYQALFLTLSQMFPKKQHDGEESDAAYYKVTYEDEDGDWMLVGDVPWELLCSS >Ma07_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1663166:1664572:1 gene:Ma07_g02150 transcript:Ma07_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARIPEEFVVQQAIEEDDCNSHRSPSSKVTAAVAEGVFVPPLNFAMVNDGVFRSGFPETTNFRFLETLELRSIVYLCPEPYPEVNKEFLESNSIRLFQFGIEGRKEPFVNIPGERIREALEVVLDAKNHPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYQRFAAAKARVCDLRFMELFDASSMKLLST >Ma11_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24440047:24441874:-1 gene:Ma11_g19680 transcript:Ma11_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFICSLRTLSTKSISPKTESSVVSLSPSLYEQKHMAIWSESASERAVSLYSMDKGKGHLR >Ma01_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2380860:2383459:1 gene:Ma01_g03590 transcript:Ma01_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISASTHGHHLPPPFHGQEFHPLHFQHLQQQQQLKVEEEHSGLRRGTKRDHDDSNNDENNNSSGGDGKELAPATFSAGGEGEIMRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIASGCDIVESVASFACRRQRGVCILSGNGTVTNVNLRQPASSSAVVNLRGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQIVGGSVVGALIASGPVIIMAASFGNAAYERLPLDEEEPLQAQQGALGSPGLVGQSTPPQQPQQQQLLDPNNPLLHGIPPNLLNNLQLPAEAYSWATGAGGRASF >Ma03_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26565677:26570155:1 gene:Ma03_g21580 transcript:Ma03_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLVTLKLLPGLNPATKATSGRGWPTRDIVERGGGGIGTRRRCANVRETKATSSSLPTPPDGDRDRDRDGKEEGEEGASSAAASFLFRSQTYALLKQQMAVAAKFEDYKEAARIRDSLKFFEEEEPALHLRKLMRKAIEEERFEDAAKYRDELKIIAPHSLLKCSSDATTLGIRVQVRSVYIESRSHPSKWQYFFAYRIRISNNSQHPVQLLRRHWIITDANGRTENVWGAGVIGEQPVIHPRTGFEYSSACPLSTPSGRMEGDFEMKRIDKVGSPTFNVAIAPFSLSILGDDNDGLL >Ma05_p31110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41183008:41186469:-1 gene:Ma05_g31110 transcript:Ma05_t31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFTLNTGAKIPSVGLGTWQSAPGLVGAAVIAAVKAGYRHIDCARVYNNEKEVGSALKKLFDEGVVKREELFITSKLWCSDHAPEDVPVAIDLTLKDLQLDYVDLYLIHWPFRVKKGSSISPENFLPPDIPATWGAMEKVYDSGKARAIGVSNFSTKKLEDLLSVARVPPAVDQVECHPGWQQMKLRALCQSKGVHLSAYSPLGSPGTSYIKGSNVLTHPIVNMIAEKLGKTPAQVALRWGLQMGQSVLPKSTTEARIKDNFDVFGWSIPDDLFGKFSEFEQARLLTANFFVHPQSVYKSVEELWDDEI >Ma09_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19367942:19368923:1 gene:Ma09_g18940 transcript:Ma09_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01440) UniProtKB/Swiss-Prot;Acc:Q9SGH4] MATPLSNLGGASQILPTRPTLRGDSKPQSRWLTVTMSASKNREPKCYPVQVSRRASVSIAMASLLQQLGIGSSQAEEGNGLWLTGPLPVPAVTSEIANKETGTRSFLRNGIYMANIGPQMSAYRLKHYAFDLLALGDLIGQDAWSYLMKYLCLRSTVMYYDFDKVISAAPEEQKQPLTDLAIRLFDSVEKLEEAAKKRSDTMTQACYADTEAILKEVIIRMA >Ma06_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9925263:9925475:-1 gene:Ma06_g14490 transcript:Ma06_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKKTSMTSQKNSGLATPNWLISPRGKKKQQKKEKKKKKKRGRKERNSVQYSSWLLLSLFLSLHVYNE >Ma10_p25730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33722265:33724163:-1 gene:Ma10_g25730 transcript:Ma10_t25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVAPKAPLAFENRPSKPRDDSFSTYLALVRENFPPELGNSAPERLSCRISLGTRRTLDGEIEIFDAEKYFSGGMDGDAPPQALENGPEKPTKKEVRVRVRSRSTTGSISSEMTCNSRNTLLRDRRKHPVPGGQRKVKGKRFLGVFPCSCARKDAIDIDKDGLSHARSDGLRKQLPQAGERRDEHLVSDSRLKSISPGLRQQVFASREDRKGGGGPRVVFAPDFFTHRRSLKIASGGEGGRDDDVQSDASSDLFEIESLSMTSSHPFITHEGTESVTTTAYEPSEASVEWSVVTRSVANFSIASERVAPAASLRKTRKSSGSGLLLGCVSEKAVDVTSGAKKPTGSTSFDHWERSGIDGAARFRAESCGVDVGSGSTGRVLPPSPFGSSRSSHDL >Ma01_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1807266:1811517:1 gene:Ma01_g02740 transcript:Ma01_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREETPINENEIRITTQGRMRNYITYATSLFQEKGSNEIVLKAMGRAINKTVMIVELIKRRIVGLHQNTAIGSTDITDTWEPLEEGLLPLETTRHVSVISITLSKKELDTSAVGYQPPLPKDQVKPLAEFDYEEEGSPTGRGRGRGRRGGRGRGRARGNGTTDYGDGDWDSRPHGYGRGGYSRGRGRGFRGRGRGGYGDQPDYQQEAGGYDDESPIPARGRGRGRARGQTRGRGRNSRPNDLVQADASGA >Ma02_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15330738:15331879:-1 gene:Ma02_g03880 transcript:Ma02_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVADPHHPQPRRRLQHTRRRRPRRPTENPRTSPLPPTPRPPGPPSPTPPTPSDLEPSDLNSDSAAAPGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLATSGGGAGSGPISAADAATDQLFASAPVPHHFLSRGPVAGPEPFPPYVPMPALQHHQQIAAAMQQQQYYHQRHLGHFGSPTGGRGFDQGFLNRAVPQSGMHQMLAPAPGMGLMQLSSPRAASFVDDSEPAGREGSGERKVLTLFPTGED >Ma06_p36480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35871751:35877638:-1 gene:Ma06_g36480 transcript:Ma06_t36480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGGGEMAAAAVSLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGDFTRLAYFSDICVGSIACRLEKKEGGAICVYIMTLGVLAPYRGLGVGTKLLNHVLDLSHKQNIWEIYLHVQTNNDDAIAFYKRFGFEITDTIRNYYMNISPPDCYVLSKVIPQPHSKK >Ma06_p36480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35871751:35877622:-1 gene:Ma06_g36480 transcript:Ma06_t36480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGGGEMAAAAVSLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGDFTRLGCCCRVVKTRSQTVKKRIFEKLTCSYFSDICVGSIACRLEKKEGGAICVYIMTLGVLAPYRGLGVGTKLLNHVLDLSHKQNIWEIYLHVQTNNDDAIAFYKRFGFEITDTIRNYYMNISPPDCYVLSKVIPQPHSKK >Ma06_p36480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35871751:35877622:-1 gene:Ma06_g36480 transcript:Ma06_t36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGGGEMAAAAVSLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGDFTRLGRSRLLPALDLKEGGAICVYIMTLGVLAPYRGLGVGTKLLNHVLDLSHKQNIWEIYLHVQTNNDDAIAFYKRFGFEITDTIRNYYMNISPPDCYVLSKVIPQPHSKK >Ma08_p33510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43786549:43787264:1 gene:Ma08_g33510 transcript:Ma08_t33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVGKATKIFFFLVGALMVVGLVVGFGLVRHGWAHKAAAQPCQSSSLTVAGCRPIFPDPIPADTATASTAPPFPAAAIPAGTIPVPPPPPAATDVPTPPTTPPPTVILPPAPPTATATDVPPPASSTAAPPLVSFGPPSPVYQALGPAHA >Ma07_p28700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34653292:34659099:1 gene:Ma07_g28700 transcript:Ma07_t28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYTIQVEEGKPTVGNKPSCGPVYRCIYAKDGLLESPVGIDSPWDFFSESVKRYPKNQMLGRRQVIDGKAGNYVWQTYEEAYEVALKIGSAIRQTGVNLGDCSGIYGSNCPEWLIAMEACNSQGICYVPLYDSLGPNAVEFIINHAEVSIAFVQENKMHSILMCLPRCAHLKSIFGMVTGEQKKEAENVGVSCFSWKEFVFMATANCKLPPKRKDDICTIMYTSGTTGEPKGVILTNKAIIAEVINNEHLLLETDKVFTEEDSYLSFLPLAHVFDQIMEIYCIYKGASIGFWQGDVRYLMEDIQELKPTIFCGVPRVYDRIYTGINQKISSGGLSARMSFSLAYVYKLQNLRRGFKQDEASPFFDRLIFNKIRQVTGGRVRIMISGAAPLPRHVEEFLRVTTCSVVIQGYGLTESCSGCFTSIANVFSMMGTVGVPAATIEARLESVLELGYDALSDVPRGEICLRGNTLFSGYHKRADLLDEVLVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVEVLENTYMQCPLVTSVWVYGNSFESFLVSVVVPEKNSLEEWAAANKVKGSFELLCKHPEARKHVLEELNYTARKHQLKGFEMLKAVHLEPSPFDMERDLITPTFKLKRPQLLKHFKECIDGLYREAKVL >Ma10_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31461156:31462018:1 gene:Ma10_g21880 transcript:Ma10_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHFACKSCIVNYNCYGCMGLNSNHEEDISACFVFDSLLGLLQALVLPKIPREKVRTLPLRRERERERERDATVLCNYASYKAIQVESICIYGFFFEKKNE >Ma04_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24140256:24144351:-1 gene:Ma04_g21630 transcript:Ma04_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGGEATLTSRLLFFFLVSTAVMGGSATVETRRSVLGNGLGRTPPMGWNSWNHFHCNINEQLIRETADALVHTGLAGLGYRYVNIDDCWGEANRDYQGNLVAKRSTFPSGIKSLADYVHAKGLKLGIYSDAGSQTCSRTMPGSLGYEQHDATTFASWGVDYLKYDNCNNPGTRPRERYAKMSYALRNSGRNIFFSLCEWGDDNPATWASGIGNSWRTTGDIYDSWGSMTSRADENNRWASYAGPGGWNDPDMLEVGNGGMSTEEYRSHFSIWALVKAPLLIGCDVRTISGDALEILSNSEVIAVNQDYLGVQGKKVFGGGSEEVWAGRLSGGKVAVVLWNRGSSRATITARWSDIGLSSSTAVSVRDLWARATIGSVRGQLAATVAPHACKMYVLTPR >Ma06_p29440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30829801:30831480:-1 gene:Ma06_g29440 transcript:Ma06_t29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRKKWTEEEERSLLDKYAEMAADGSISRLRTRERRFRPIAAHVNAAHHAADPAAYPFLWSWKDAANKVHNMRHQYLLVKRKLLLLNPPPTSSSSSAVAATAAVDWDEQGLSRWSNFLRYRSVFGDAPLPLTGPAPAPGEAFDNDGELALGLAFDDCAEGGSGEGADQEVGDDEGFDFDDVAPVAGSVPQQPARPLAVNMGRMKRRKKKRAERRRALAARKEWEAKMEDREAQWERARIERERAEVEAEKVREQERRQVQRQRREEEIEWEERMEGRRAEWRKRIEGMLREHRVEMEQIQARIIHEQQSVVGQLLGVLSQWAASPVFGGLSDATGGGTALGQHHHHQHQPHHQAVPYLSQMVHGLHHVNGIVPGENRVDGDGHEDHFIVDD >Ma09_p28250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39079595:39081234:1 gene:Ma09_g28250 transcript:Ma09_t28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLLLPFICCYSIADAQCRSGVSSGTFNVLHYGARANGFSDDSKVFMAAWKAACAASGNVKLHIPRGKYLVGPVKFDGPCRNVHSITVYMQGYLKASTDLSKYVEGDDWIQFGHVDKLTLTGGGTFDGQGAVSWPFNKCPRKKNCRVLPTSIKFLATADTVVRRVKSLNSKFFHVAVVGCKRFHGSNIRIHAPSNSPNTDGIHIERSSDVTICNSVIATGDDCISIGQGNVHVRISRITCGPGHGISVGSLGRYRDEGDVRGLVVRDSTISGTTNGIRIKTWQNSPGSSSATNMTFKNISMKGVANPIIIDQMYCPYVLCPSQAPSRVKISDIFFRDIRGTSATPVAVTLKCSRGAPCRNVNLHNVHLRYTGGAAATAECWNVKARYSGIQMPAPCH >Ma02_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14155600:14182023:1 gene:Ma02_g02770 transcript:Ma02_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSAVDKSPSESTLDSNGLRDQPMPNQSRSKMKGALAYSIAGETMEKRLQEQTVSVTEGMSVPAEDLHAASAEATEPQLSRAFSQKSRSTMSKPSDPGQSGIAKVSEVSSVLGKAGSVGFGKAVEVLDTLGSTMTNLHLNSSFVSGVSTKGNKISILSFEVANTVVKGFNLMQSLSKENIKYLKQVVLRSEGVQYLISKDMDELLRVAAADKREELKVFSKEVVRFGNRCKDPQWHNLERYFDKLASELTHQKQLKKIAETAMEQLMTLAHYTAELYHELHALDKFEQDYKRKHQEDNSANGVQRGDNLQNPRQDLKSQRKHVKSLKKRSLWSKNLEEVLEKLVDIVHFLHLQIQDAFGTADTDKPSELTMKSQRRLGPAGLALHYANIITQIDTLVSRSSSVPSNTRDSLYQGLPPTMKNAFRCRLQSFQNKEELTVPQIKAEMEKSLRWLVPIANNTTKAHHGFGWVGEWANIGSEVNRKPGGSVDLIRVETLYHADKEKTEAYILNLLLWLHHLISRLKSSGGGIKSPIKSPVCSPSQEGLTITSLSAKPSPPSSVLSQEDEEMLQYVNFRKLIPGISKSQEFDTTKSKSGRHNRLSKSNSHSPASCTRKDFFAGARRPSLLPVIDFNIDRVKALDMIDRVDDIRKP >Ma01_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1674728:1675284:-1 gene:Ma01_g02480 transcript:Ma01_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma07_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30198746:30202817:1 gene:Ma07_g22130 transcript:Ma07_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRRFQPRAPAASPSHGGLARRPSAAPAARVQASDALPLPIRHTNLLFSAFFVGSLVFLMRRWREKVRSSIPLHLLGLSEIFAIVGLIASLIYLLSFFGIAFVQSVVSSHDEEDDFLLSGAAPAAPVSSPPPAAICPLLCTDAAAPAPQKNPNITADDEEIISSVVAGKTPSYALESRLGDCRRAAGIRREALKRITGRAFEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGSQHYVPMATTEGCLVASTNRGCKAIAESGGATSVVLRDGMTRAPAVRFPSARRAADLKAFLEEPNNFETIALVFNRSSRFARLQGIHCALAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLEKDFDDMDIISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEAVVKKVLKTNIPALVELNMIKNLAGSAVAGSLGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEAVNDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLDLLGVKGASLDTPGANARRLASVVAGAVLAGELSLLSALAAGQLVKSHMKYNRSTKDLSKSAS >Ma03_p30370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33023812:33031344:1 gene:Ma03_g30370 transcript:Ma03_t30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLYKQPLPPGVDPLSNASSSAATPNTPAPCYPPPSMYNCEGHSNSSASLFPVRPSSISFPSQNFHHSQPFFYNAPGPASLAQSVPAQTCFSSSLASSEPHAESRSSTISTSNQFSQSLPELTKQSPGAESQVRDVNLAHHGVDAVDNHSVPSSASKTVEQVPLNMYGEGSRNIETAAQQAVLLEQEIVTQQVIQNQRQARGTTEPLEDRKDILSGRYDPNSLKEHLLKMTTVHRAEMANKRGKLVPHDNGNVEIGNGYGVPGGGAYYAAMSFTVQSRKTRDETLSANFTTEDSEPEVARKGLPEYLKKRLKARGILKDDKADDNSTTTENNLEHHHLQAKSISVLPVGWVEAKDPATGSSYFYNEKTGESQWEHPSANGSCKLDSFRPPLPEDWVETTDDSTGQTYYYNRKTCISQWERPISSSHVSSSTSESIATEHESSRTGDLNHLTKCMGCGGWGLGVVQPWGYCNHCTRVYNLPFQQYSLPCLQSQQQGKNEASSKKQSEKADSKKRSSRPPLGKSNRRDHKKRVFSEDDDLDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIAALSKKQGSHNRMTPISKRGDGSDGLGDAD >Ma03_p30370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33023812:33031344:1 gene:Ma03_g30370 transcript:Ma03_t30370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLYKQPLPPGVDPLSNASSSAATPNTPAPCYPPPSMYNCEGHSNSSASLFPVRPSSISFPSQNFHHSQPFFYNAPGPASLAQSVPAQTCFSSSLASSEPHAESRSSTISTSNQFSQSLPELTKQSPGAESQVRDVNLAHHGVDAVDNHSVPSSASKTVEQVPLNMYGEGSRNIETAAQQAVLLEQEIVTQQVIQNQRQARGTTEPLEDRKDILSGRYDPNSLKEHLLKMTTVHRAEMANKRGKLVPHDNGNVEIGNGYGVPGGGAYYAAMSFTVQSRKTRDETLSANFTTEDSEPEVARKGLPEYLKKRLKARGILKDDKADDNSTTTENNLEHHHLQAKSISVLPVGWVEAKDPATGSSYFYNEKTGESQWEHPSANGSCKLDSFRPPLPEDWVETTDDSTGQTYYYNRKTCISQWERPISSSHVSSSTSESIATEHESSRTGDLNHLTKCMGCGGWGLGVVQPWGYCNHCTRVYNLPFQQYSLPCLQSQQQGKNEASSKKQSEKADSKKRSSRPPLGKSNRRDHKKRVFSEDDDLDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIAALSKKQGSHNRMTPISKRGDGSDGLGDAD >Ma03_p30370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33023812:33031344:1 gene:Ma03_g30370 transcript:Ma03_t30370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLYKQPLPPGVDPLSNASSSAATPNTPAPCYPPPSMYNCEGHSNSSASLFPVRPSSISFPSQNFHHSQPFFYNAPGPASLAQSVPAQTCFSSSLASSEPHAESRSSTISTSNQFSQSLPELTKQSPGAESQVRDVNLAHHGVDAVDNHSVPSSASKTVEQVPLNMYGEGSRNIETAAQQAVLLEQEIVTQQVIQNQRQARGTTEPLEDRKDILSGRYDPNSLKEHLLKMTTVHRAEMANKRGKLVPHDNGNVEIGNGYGVPGGGAYYAAMSFTVQSRKTRDETLSANFTTEDSEPEVARKGLPEYLKKRLKARGILKDDKADDNSTTTENNLEHHHLQAKSISVLPVGWVEAKDPATGSSYFYNEKTGESQWEHPSANGSCKLDSFRPPLPEDWVETTDDSTGQTYYYNRKTCISQWERPISSSHVSSSTSESIATEHESSRTGDLNHLTKCMGCGGWGLGVVQPWGYCNHCTRVYNLPFQQYSLPCLQSQQQGKNEASSKKQSEKADSKKRSSRPPLGKSNRRDHKKRVFSEDDDLDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIAALSKKQGSHNRMTPISKRGDGSDGLGDAD >Ma06_p10470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7232636:7269020:1 gene:Ma06_g10470 transcript:Ma06_t10470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MESSAAASFDHLLDKTRLPQPSFQRLAVASLFKKLRSAPPPLGLASGPARDALSRCLSSPSAPVADQAVRELCSLVKDGLLPVPAALLELQSALDGCTPGFASLFVKGIGFLARFAFRADPSWGRRFDPVELHPFIKVLSCRTEVHQELIQQVLLFIVQNKSLGMETVSGFLRPFLLFSVLRTSSSSSFTRDLISSVASFACSFPSQSIGILNLLVGCTRHLPLANEEDFKCLLVSSEYLVDAFIVVLKQLSCGETVFQSVSVDAKACGIELLENLLSVAIGCTKPWGGVEVLLELAKRLLVAQRNCGLPYPAELVTVIVSVSIILTQAEFEHEHLSALKLLIFLNEWKKENEDNSKGIACYHGEDLLCIFPLINLLSSPSQSVKASASHLLSRATRQVLELSDDPRKVQIPTSGTSTLRLGFILLRLLHHLWFQNHDEKIGFIGSQCGVMTSWTSQLKEYLSTVRRQKYNSIIQSPESSSGGLTSLFSSVASILLVHPKLSTSAVDSLAAIGGMDYKLGMPLLLTVLFHIKMLCRDKCDSLKMLPRLLEMLPSLATHSVMVPLILQTVLPMLHKSANPVLYGTAVRLLCKTWVINDTAFGTLQGLLDPQAFSHFMSQREICISLAASLRDICCHNPDRGVDLILSISSSIESRDPLVHALGLESLAHLCEADVIDFYTAWDVISDHMLDYFSDPIVAHGLCILLRWGALDAEVYSETSRNVIKILWEIGTSRFANYEFLWVKARIVAFESLSHYEVANIQEAIPEFKRRNLECLVSEDNMELLNAMEKLEVKILKFEHITRRRMLRQKRVVVHKVEKLLDVFPQAIFTAGKQIGELPGAALLCLVYTPKDLNSQATTKDSGKLHSEYERALLEIAESLHISRNIFMGFLALQSWKHFMHRWLRAVVLLADAKSSSAFDKNNKVANDILKTLCRVGAESIPQISANIAFAIGALCMVLPSSAHMVISAASEFLLKWLLEYEHEQRQWSAALSLGLVSTCFDATDWKQRFEVVNGLLKVLCESKSHLVKGACGMALGFACQNLLNTTEIGNGSGLEGQNTRITEISLLQDIINTLSLMICKLCPAATDSLKNLNVSFSPSQQSMSSNLFLGNLYDLEEDGWGAVGLVLGLGNSVIALYRFGAYDAILKIKDLLVSWISYDLHGPGSLVSNELSEIPLCMGSCLALPTVAAFCQRNELVNIDFDFLFGSYYSLISELLSLKKSGSAYQNLLVASCIGAGSFLSCIMSLGMHMVKFDNVKHLMEVLRTTYTSSFPPPVCFAGMLGVVNAFGAGAGDLIQMYPQATNFQLNCEQESSFVSGPILYSPVCETLSTSMVQEMFLIAKDSKDQQIKNYAAWALSFLRCRWWSSEFQDMISSQNSSLSSNSSAQTFDEESLVWKLCLWLSDVNKNKAGEVMDASTVAAVLRWLSKAPRLPPLDWGVIIRRCMRYDPQLSAEAHKRYCLTSLREECLNFSLVHANHVSPFLQFVDELSDLSRFRTLELNLQTFLVEHLLNICKIFSGRRLEKLFVDLVEYFRSTSYLAYEPEKKSRLRVYFWKGLHHCLTEAPEELSIASNVEKCMACLLSLLPELTSDGFSEEHIDSIGEWQVAVRCLAKAREEWLVDILQVSVIGQLHGESSSFVAKIISIKAKLVGMGRFPVSEMSELKAHVLNARTEGPWWSMLVEVAASLLTAEGRIKRQWLLDAFEISCISEYPSTALRFIGLLSSRWCMYMPLLTIEPTTVLSDLPVTLPSLLSDSSWSIIAGPLVDKLWVCTMRICTWAERLTIAGGSSMLDQIDASEAGLSIFLAHVMHETCLSLKQFLPFEKQLKLATLVVARV >Ma06_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7232636:7269020:1 gene:Ma06_g10470 transcript:Ma06_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MESSAAASFDHLLDKTRLPQPSFQRLAVASLFKKLRSAPPPLGLASGPARDALSRCLSSPSAPVADQAVRELCSLVKDGLLPVPAALLELQSALDGCTPGFASLFVKGIGFLARFAFRADPSWGRRFDPVELHPFIKVLSCRTEVHQELIQQVLLFIVQNKSLGMETVSGFLRPFLLFSVLRTSSSSSFTRDLISSVASFACSFPSQSIGILNLLVGCTRHLPLANEEDFKCLLVSSEYLVDAFIVVLKQLSCGETVFQSVSVDAKACGIELLENLLSVAIGCTKPWGGVEVLLELAKRLLVAQRNCGLPYPAELVTVIVSVSIILTQAEFEHEHLSALKLLIFLNEWKKENEDNSKGIACYHGEDLLCIFPLINLLSSPSQSVKASASHLLSRATRQVLELSDDPRKVQIPTSGTSTLRLGFILLRLLHHLWFQNHDEKIGFIGSQCGVMTSWTSQLKEYLSTVRRQKYNSIIQSPESSSGGLTSLFSSVASILLVHPKLSTSAVDSLAAIGGMDYKLGMPLLLTVLFHIKMLCRDKCDSLKMLPRLLEMLPSLATHSVMVPLILQTVLPMLHKSANPVLYGTAVRLLCKTWVINDTAFGTLQGLLDPQAFSHFMSQREICISLAASLRDICCHNPDRGVDLILSISSSIESRDPLVHALGLESLAHLCEADVIDFYTAWDVISDHMLDYFSDPIVAHGLCILLRWGALDAEVYSETSRNVIKILWEIGTSRFANYEFLWVKARIVAFESLSHYEVANIQEAIPEFKRRNLECLVSEDNMELLNAMEKLEVKILKFEHITRRRMLRQKRVVVHKVEKLLDVFPQAIFTAGKQIGELPGAALLCLVYTPKDLNSQATTKDSGKLHSEYERALLEIAESLHISRNIFMGFLALQSWKHFMHRWLRAVVLLADAKSSSAFDKNNKVANDILKTLCRVGAESIPQISANIAFAIGALCMVLPSSAHMVISAASEFLLKWLLEYEHEQRQWSAALSLGLVSTCFDATDWKQRFEVVNGLLKVLCESKSHLVKGACGMALGFACQNLLNTTEIGNGSGLEGQNTRITEISLLQDIINTLSLMICKLCPAATDSLKNLNVSFSPSQQSMSSNLFLGNLYDLEEDGWGAVGLVLGLGNSVIALYRFGAYDAILKIKDLLVSWISYDLHGPGSLVSNELSEIPLCMGSCLALPTVAAFCQRNELVNIDFDFLFGSYYSLISELLSLKKSGSAYQNLLVASCIGAGSFLSCIMSLGMHMVKFDNVKHLMEVLRTTYTSSFPPPVCFAGMLGVVNAFGAGAGDLIQMYPQATNFQLNCEQESSFVSGPILYSPVCETLSTSMVQEMFLIAKDSKDQQIKNYAAWALSFLRCRWWSSEFQDMISSQNSSLSSNSSAQTFDEESLVWKLCLWLSDVNKNKAGEVMDASTVAAVLRWLSKAPRLPPLDWGVIIRRCMRYDPQLSAEAHKRYCLTSLREECLNFSLVHANHVSPFLQFVDELSDLSRFRTLELNLQTFLVEHLLNICKIFSGRRLEKLFVDLVEYFRSTSYLAYEPEKKSRLRVYFWKGLHHCLTEAPEELSIASNVEKCMACLLSLLPELTSDGFSEEHIDSIGEWQVAVRCLAKAREEWLVDILQLHGESSSFVAKIISIKAKLVGMGRFPVSEMSELKAHVLNARTEGPWWSMLVEVAASLLTAEGRIKRQWLLDAFEISCISEYPSTALRFIGLLSSRWCMYMPLLTIEPTTVLSDLPVTLPSLLSDSSWSIIAGPLVDKLWVCTMRICTWAERLTIAGGSSMLDQIDASEAGLSIFLAHVMHETCLSLKQFLPFEKQLKLATLVVARV >Ma06_p24360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22876369:22880299:1 gene:Ma06_g24360 transcript:Ma06_t24360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLFFLKSALRSRISPQNHPLIRMVQLRRLSTEGEKPQDASEDPFLRSSEEGLVFGKLTGTGRNALKTDVIHFFEGCNLSTTDIKVEYNKAYNPLGMLLQFSSRSSFDMAVRQTVRKGRLYKLEKVDRSHWDFTTSYDGKAVLLQGIPRNALQEDIERFLNGCNFDPSRFQTFVRQGFPDPIRVSLVHFPTRIDAMNAICWKNRSFCLNNPITMRVLQ >Ma06_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22876362:22880254:1 gene:Ma06_g24360 transcript:Ma06_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLFFLKSALRSRISPQNHPLIRMVQLRRLSTEGEKPQDASEDPFLRSSEEGLVFGKLTGTGRNALKTDVIHFFEGCNLSTTDIKVEYNKAYNPLGMLLQFSSRSSFDMAVRQTVRKGRLYKLEKVDRSHWDFTTSYDGKAVLLQGIPRNALQEDIERFLNGCNFDPSRFQTFVRQGFPDPIRVSLVHFPTRIDAMNAICWKNRSFCLNNPITMRVLQ >Ma04_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2395545:2399620:-1 gene:Ma04_g03000 transcript:Ma04_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRKFSYLSPSRSTSAFLSSLSCLCYKLSSTSGDGSQAPITGDFTRILVGSSHNRMKAFRFMTWNITNSPNLFSTMSEPILVQARDPSQVALELEHALEEQRFEDAWKAYEKHVHMDGLPRKSVLSKLLTSFAESYHAYWLNNAYSVVELAFQEKKHDLMEKEPLIYLAFILSRSELPVLASNIMRKLVKMEAFPPLTAWSGIIGHMCQTATGTLLAAELIMEIGYLFKDNRVDPRKKSNRPLLSMKPNAIAFNITLTGCLLSGSTRKAEQLLEMMPRIGVKPDSSLLIVMAQIYEKNGHIDEIKKLKRHVDEAIDLSDLDFQKFYDCLLSCHLKFKDLDSAVDMVLDMLRKAKEAKRSLAAAKSVLEAVQTGKKSISYRDSGHEKLDALDKFKLIKSRAPSYFEFAKDRNFSRLEAEAKESLELLSEKIQAQVQLVKSERGILHPTENLYAKLVNAFLEADKVCELAAFLIKASKEDSPVSVENSAVVQVINACISSGLLEQAHDLLDEMRFSGIRVGSLVYSSLLKAYCKENQHDEILALLRDVRKAGIQLESSCYNSLIQSRIHHNDSSGALHIFREMKESNISRSGHHSLETLVEGCTVDGEAGLMAKLLEEIKGSQNVNSGIHDWNNVIHFFCKNRMMNDAQKAVSKMRALGHTPNAQTFHSLVTAYAAIGGKYVEVTDLWGEMKVIASSHSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMERERMFIDKYKYRSLWLKYHRTLYKGKASKTQTEAQLKRREAALAFKRWIGLT >Ma04_p03000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2395545:2399620:-1 gene:Ma04_g03000 transcript:Ma04_t03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRKFSYLSPSRSTSAFLSSLSCLCYKLSSTSGDGSQAPITGDFTRILVGSSHNRMKAFRFMTWNITNSPNLFSTMSEPILVQARDPSQVALELEHALEEQRFEDAWKAYEKHVHMDGLPRKSVLSKLLTSFAESYHAYWLNNAYSVVELAFQEKKHDLMEKEPLIYLAFILSRSELPVLASNIMRKLVKMEAFPPLTAWSGIIGHMCQTATGTLLAAELIMEIGYLFKDNRVDPRKKSNRPLLSMKPNAIAFNITLTGCLLSGSTRKAEQLLEMMPRIGVKPDSSLLIVMAQIYEKNGHIDEIKKLKRHVDEAIDLSDLDFQKFYDCLLSCHLKFKDLDSAVDMVLDMLRKAKEAKRSLAAAKSVLEAVQTGKKSISYRDSGHEKLDALDKFKLIKSRAPSYFEFAKDRNFSRLEAEAKESLELLSEKIQAQVQLVKSERGILHPTENLYAKLVNAFLEADKVCELAAFLIKASKEDSPVSVENSAVVQVINACISSGLLEQAHDLLDEMRFSGIRVGSLVYSSLLKAYCKENQHDEILALLRDVRKAGIQLESSCYNSLIQSRIHHNDSSGALHIFREMKESNISRSGHHSLETLVEGCTVDGEAGLMAKLLEEIKGSQNVNSGIHDWNNVIHFFCKNRMMNDAQKAVSKMRALGHTPNAQTFHSLVTAYAAIGGKYVEVTDLWGEMKVIASSHSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMERERMFIDKYKYRSLWLKYHRTLYKGKASKTQTEAQLKRREAALAFKRWIGLT >Ma03_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23712317:23725370:-1 gene:Ma03_g18070 transcript:Ma03_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDVLFPSWWEVEVAVSSAILLIAAYLIFWESSTSSSKGSELDWPRCRSEITAANREIVLESEDKEEKCPSNEDLLGTSPYLVKLELLAAKNLIGANLDGTSDSYAIISCGGQTRYSSMIPSSRDPLWGEEFNFFVDKLPIQICITFYDWDVVRKSTVLGSLVVSVEEGYTGASWYTLDSTLAEVCLHISSFKLPAVFSRSFSSFIIADARRRLSSEIHHKPGPLQTIFKLPSDEVICHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVIPFGDIYVIKRSQHACINPAITIILQMGSGGHGVPPLKGLDGRVRYKFASFWNRNHSIRALWHTIKLYRARLDAEKERMQSALRACSSSVYNDTKQIKSIKGNIAKTKRLQAFINEKALFCSVNDIFPCTAKQFFTLLLSDDSKFIEEFLAAKNDTNLSSGKWQIADDYDGLVRELTFRSPCHSPLCPPDTAVTEWQHAVLSSDKATLVYETVQQAHDVPFGSCFEIHTRWTLRTTTQSSCSMDIRIGVNFKKWCILQSRIRSGATDEQKKEVERFMAAARAYLLTSKYSTMEMDEASASSPSSTN >Ma05_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9608523:9612632:-1 gene:Ma05_g13260 transcript:Ma05_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSGVASRIMGGNGVAARSAASALRSRSGMGFPLGKHIVPDKPLPADHDLLWDNGTPFPEPCIDRIAPTVGKYEALAWLCGGLSFFASLGLLAVWNDKASKIPYAPKVYPYDNLRVELGGEP >Ma08_p31680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42512318:42520851:1 gene:Ma08_g31680 transcript:Ma08_t31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRIGGNMSHSRQMEVHYIDTGFPYTVTESFMDLFEGLMYPQTDANSAEVFQDQGNAYHSTVHTSSFKYGFSSAASDSYYSFGHAHEINCFTPQLDAARRMWDDPAPLNIFDSPQLFLHGNLGVETRTPSAEECIQVRENTNDGANGGQAVWQDNIDPDNMTYEELLDLGEAVGTQSHGLSQEQIYSLPVSKYKCGFFSRKKSQGERCIICQMNYKRGDRQITLPCKHVYHANCVTQWLSINKACPVCLVEVSVEEPRRQ >Ma06_p30380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31654337:31665551:-1 gene:Ma06_g30380 transcript:Ma06_t30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAHPPASMATKPFARTHRGIGLGLGLSRTADLSRFALGSTRPKHRALEIRACGADKHSGDAVASVTDSPATKPRNKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTNKVLQQVMEQLNKLVNVLKVEDLSREPQVERELMLIKLNVDPDKRPEVMGLVDVFRAKVVDISEHSLTIEVTGDPGKMVAVQRNLSKFGINEIARTGKIALRREKMGQTAPFWRFSAASYPDLEGTIPSNPLFTSTTNTRFNGNLEQSSGGDVYPVEPYEGFLVNQVLDAHWGILDDEDPSGLCSHTLSILVNDFPGVLNLVTGVFARRGYNIQSLAVGPAEKEGISRITTVVPGTDGSIGKLVQQLYKLIDVHEVQDITHLPFAERELMLIKVAVNTTARRDILDIADIFRAKAVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSLPF >Ma11_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23654583:23676266:-1 gene:Ma11_g18650 transcript:Ma11_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPIGLLAPINHSHKVWEDPAFIKWRKRNAHVPLRSHDTVEGSLRYWYDRSKVDFLNSNLATWDDDAVSGALESAAFWVKGLPFVKSLSGHWKFFLAPSPESVPVSFYDIAFDDSDWQALPVPSNWQVHGFDRPIYTNVVYPFPINPPYVPSDNPTGCYRKNFRIPTEWKGRRILLHFEAVDSAFFVWVNGVLIGYSQDSRLPAEFEITDCCYPCDSSKDNILAVQVLRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQVFITDYFFKSTLDKNLVTADVQVEVKLDILNNFEDATLSRLTMEATLYDNTGWYACGNNEGKVNLSSYDALHLNLRSPSIGIDGFHCYIFEGKLERPKLWSSENPNLYTLVLVLKDASGDILDCESCQIGIRQISKAPKQMLVNGLPVVIRGVNRHEHHPRTGKTNLEACMIKDLVLMKQNNINAVRNSHYPQHPRWYELCDLFGLYMIDEANIETHGFDLSSKFKHPTLEPSWATSMLDRVISMVERDKNHACIIAWSLGNESGYGPNHSAMAGWIREKDPSRLLHYEGGGSMTSSTDIVCPMYMRVWDILKIAKDPSENRPLILCEYSHAMGNSSGNIHKYWEAIDRTFGLQGGFIWDWVDQALLKMDSDGQKHWAYGGDFGDTPNDLNFCLNGLTWPDRTPHPALHEVKYVYQPIKVVLTENKVKITNAQFFDTTQAIEFSWHLLGDGCSLGCGILDLPIILPQESYIIELESSPWHSLWKTCSAREIFLTITGILRHPTRWAKDGHVLASTQLSLSTEDDSIPHVIRSINNMNLISEHVGDTITVRKQNWQIKINTQTGTIESWKVGDCLLINQGILPCLWRAPTDNDKGGGPSSYLCRWKDALLDNLIFLTDACSIKELSNSMVQVKTVYLGVPKDQNNLLKSKSSVHESENPSHILCRVDVDYCIHESGDVIINYNIKPKDDLPPLPRVGVVFHIEKSLDHVMWYGRGPFECYPDRKEGAHVGIYGSNVRDMHVPYIAPVECSGRADVRWVAFQNSNGFGLYASIYGTSPPMQMSASFYGTAELETATHNHYLVERDDIEVHLDHKHMGVGGDDSWSPSVHDEYLVRPVPYSFSIRLCSIYPSTSSQDIYKSQISQ >Ma03_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2023222:2029253:-1 gene:Ma03_g02990 transcript:Ma03_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEDRVPRPLFGGAISSCFPSRFQDVSNIREVPDHQEVFADPDRDESLIFELLDLKHEVGDGGSAVWFLQDIAREQDAEETMVLEHSGTLEAVGLTSLNGPAIVTTAIGRMAIAKGRQGREAQNLVRVYLANLRLKEVSTDVVITAYEPILINPLSESARTVRAGLAIPAAQAGCLPMAEVFKLAITNFKIHDWSLFGSGA >Ma03_p02990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2023222:2029253:-1 gene:Ma03_g02990 transcript:Ma03_t02990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEDRVPRPLFGGAISSCFPSRFQDVSNIREVPDHQEVFADPDRDESLIFELLDLKHEVGDGGSAVWFLQDIAREQDAEETMVLEHSGTLEAVGLTSLNGPAIVTTAIGRMAIAKGRQGREAQNLVRVYLANLRLKEVSTDVVITAYEPILINPLSESARTVRAGLAIPAAQAGCLPMAEVFKLAITNFKIHDWSLFGSGA >Ma03_p02990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2023222:2029099:-1 gene:Ma03_g02990 transcript:Ma03_t02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEDRVPRPLFGGAISSCFPSRFQDVSNIREVPDHQEVFADPDRDESLIFELLDLKHEVGDGGSAVWFLQDIAREQDAEETMVLEHSGTLEAVGLTSLNGPAIVTTAIGRMAIAKGRQGREAQNLVRVYLANLRLKEVSTDVVITAYEPILINPLSESARTVRAGLAIPAAQAGCLPMAEVFKLAITNFKIHDWSLFGSGA >Ma10_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31458697:31461329:1 gene:Ma10_g21870 transcript:Ma10_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEMEMEEVEAVLERIWDLHDKISDAIHAISRAHFLSSVKGLRSGRQPPVAAPAAAKGGGEGGEGKGGFVFVKDFRVEEDGAAMAEARSLNDIRSALENLEDQLEFFHTVQSQQRAERDAAIARLEQSRIILAMRLAEHRGKKYKVIEEALAFVGDVHNMGHFVMPETLYENDMTRSRSGKNLEDHESKGPSMLMQMFISSFAVAKRSFGLVGVQGILGNAAMFAVSMLALVHLNQVAFKGETAPARDQTFYRRRNEDRFSRMDNSSHSGQLKRLDVLSARG >Ma04_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7947200:7968719:1 gene:Ma04_g11320 transcript:Ma04_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MASSDDDEIVPQIVTNYHLVDDDESPISFSVLPVQFSDGENQDAVNRAVFLHGTTDGGLQKVYKQVVAWKLVLEDDQPKIMVLSKDKKWINLLKPRKSYEDTIRTMLITIQLLHFLRRKPQASEKSLWEHLRGVFSAFEVRPSEDDFREHISLMKLFRERDQVLVNSQLLLEFLEGKPRKKFGEVALDSSNLNQPFIADDDEVDEDIKDDADDDSEDESDLFDSVCAICDNGGELLCCEGPCLRSFHATRKAGEESECKSLGYTKAEVESLQNFLCNNCLYKQHQCFGCGKLGTSDKSKGAEVYPCVSATCGHFYHPKCVSELLFPGSEAEASEFQKKIVAGESFTCPVHKCVVCKQGEDKEVRDLQFAMCRRCPKSYHRKCLPRRIAFEDIEDEAIIQRAWDDLLPNRILIYCLKHTVDEDLGTPRRNHIIFPETPEKKIVSDMQKSKIKELAKNKVRELARDRTTMKSVKATSSEGNHSKEKVVRSVTQHGLGIQKKEMSLKDKSRSDMDKAERTVFEDNKTPDKEAKPIASTKPAAKTLSSFPHIDSETEAKVLALFEKASSSLSLENITRKRSMPSTHAYGTRHIDKTITQGKVEGSVEAIRTALQKLENGGSVEDAKAVCEPEMVKQLLKWREKLRVYLAPFLHGMRYTSFGRHFTKVDKLKQIVDKLQWYVQDGDTVVDFCCGANDYSILMKEKLDAAGKRCYFKNYDIIQPKNDFNFERRDWMKVNPKELPTGSKLIMGLNPPFGVKAALANKFIDKALTFKPKLLILIVPKETERLDKKSPPYDLIWEDGQSLSGKSFYLPGSVDVNDKQMEQWNLSPPPLYLWSRADWTTKHKTIASHYGHTFTEQEIPVEESLVKKPSEVLAAEDHMEQEPLKGVATSEGTEARKEDTKSSGRSNKRFSAENRSGGSRKRRRSKKKAKVSEAKEVDKLSDMSISPDHLESRTRSQSHLPSEPIETPSERANNQDVYFSSGMEFGVTTGGNDIFKDIVNDDIDEIARRYTAPAAGEGMFNRNSHVWPTGGIGTHDYGVPSSDSRFSDYPRSNIDSLSRNTYSNDIDGYRRISETDLRAQIRLYGTQGQDEWSQRNGMLLGSSDSVLGQPRLFPPPSYGPSTASMVTSAMDRYAPRLDEANYVRPRNQGPVGPLPGTGSIFDYDIHGMRRDRPPNSIGFAPGPHPSYPHPGTSGGWLDE >Ma06_p25040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25249216:25256920:-1 gene:Ma06_g25040 transcript:Ma06_t25040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRVYRGGTSSRTSSSSSSHPSAPNQPPTETASTSITDTVNGSHHFRISGFSLLKGMGIGKYTASDTFTVGGYDWAIYFYPDGKSLEDGAAYVSLFIALASEGTDVRALFELTLLDQSGKEQHKVHSHFGRTLEGGPYTLKYRGSMWGYKRFFRRSALESSAYLKDDCLLVNCSVGVVRSHTEGPKIYTIAVPSSNITQNFGQLLDSGKGTDVSFEVDGEIFNAHKLVLAARSPVFRAQLFGPMKDRNMHCIKVEDMETPVFKALLHFIYWDSLPALEELAGLNTKCASTLMAQHLLAAADRYALDRLKILCEVKLCEDVAINTVATTLALAEQHHCSQLKSVCLKFVALPENLRAVMQTEGFEYLKVSCPSILIELLALVARIGENSLTSSVYSSDALLDGSDANGRRVKPRI >Ma06_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25249216:25256920:-1 gene:Ma06_g25040 transcript:Ma06_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRVYRGGTSSRTSSSSSSHPSAPNQPPTETASTSITDTVNGSHHFRISGFSLLKGMGIGKYTASDTFTVGGYDWAIYFYPDGKSLEDGAAYVSLFIALASEGTDVRALFELTLLDQSGKEQHKVHSHFGRTLEGGPYTLKYRGSMWGYKRFFRRSALESSAYLKDDCLLVNCSVGVVRSHTEGPKIYTIAVPSSNITQNFGQLLDSGKGTDVSFEVDGEIFNAHKLVLAARSPVFRAQLFGPMKDRNMHCIKVEDMETPVFKALLHFIYWDSLPALEELAGLNTKCASTLMAQHLLAAADRYALDRLKILCEVKLCEDVAINTVATTLALAEQHHCSQLKSVCLKFVALPENLRAVMQTEGFEYLK >Ma03_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27393960:27405825:1 gene:Ma03_g22650 transcript:Ma03_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREDLNSILPFLPLFLRSSTLFWPSKALEALKALALGPAVSRVRSGGVLFDAILDLRDSLGLSSWELTPRTASGYALFFDEMMSGMDSRVWFEEVVPGMARLLLRLPSLLEAHYLKSDEMFGEGKAGLRFMGPQEPGLVFLNQELIAALLSCAMFCLFPTSDRDVRCPPIINFDVLFASLNRNGKHCQEQKVRCLVHFFERICLRMPTGYVSFERKVLPRESSDHGVTYPTSANWSTSTVPLCSFEVFSSGSIEDQPHEAIEVDFANEYLGGGALKWGCVQEEIQFMINPELIVGMLFMACMEDNEAIEIIGIERFSCYMGYGSSFRFVGDYLDNKPYDSIGRRKTRIAAIDALCSPRMWQYEVEGLVRETNKAFCGFLDQSVYKRRLKWYANSSNQEMSTGISENENRSEVEGNSDSESSQVMDSQDNVGIATGNWGCGAFGGDPQIKSIIQWLAASQALRPFIHYYTFGEAALQRLEEVRQWILLHGWTVGDLWNMLVDYSDQRLNRKTHVGFFAWLLPCNKPNDVPHTSEE >Ma03_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24527441:24528671:1 gene:Ma03_g19110 transcript:Ma03_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVMEEGCMQLPPGFRFHPTDEEVITHYLAPKATNNSFSARAIGDVDLNKCEPWDLPSKARMGEREWFFFCQKDRKYQTGTRTNRATEAGYWKATGKDKEIYRGIGVLVGMKKTLVFYRGRAPKGQKTSWVMHEYRLQGSDAPKSVEDEWVVCRVFHKNMGRRSPTPPPPPPPGIQSVKTLDPPRMNFQEHPPIISAMMGTENQQAVDHRTSSNPPHNSYCLLPESANSGYLHHQDAAQRASASSAIMRHCKMDGCWDTDLHVSWAMSKHYGDLHDPSSSAAVTDLDSIRWF >Ma02_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25472570:25473955:-1 gene:Ma02_g19200 transcript:Ma02_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRASHMGLVLVLVAVLCAGASAQSSGCTSALVSLSPCLNYITGNETTPSSSCCSQLASVVGSEPQCLCMVLNGGDSSLGITINQTQALALPTACNVKTPPVSECSSAGAPSGSPTTPSVPSADGGSKTLPTQDTSGGSRTEVPSSIVYSLLFAVASLWVSSITF >Ma08_p29540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41104903:41109419:1 gene:Ma08_g29540 transcript:Ma08_t29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP domain-containing protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31890) UniProtKB/Swiss-Prot;Acc:Q8VZE7] MEACVGALLPRRCLPPLHFPAKDMQTCPAMKTMRQFYHFNRKVGSLSRKLGSCRLGSDLVDKKGVEDQKELASSWELEFLGEVPPSQSDIPKNKKPREKSRLLEETESMDWCVNARKVALRAIEARGLRGSVEKMVTSKKKNKKKKLKASKKENITKRKNMEDLEGDDVDGVSEEIDLDEMNWESGGTSDLKKRINLFADGMFEERRLKAREEFIEKLSQFSGPSDRKKEISLNKAIVDAQTAVEVLEVAAETILAVAKGLKPSPLTPLNIATALHRIAKNMEKVSMTRTQRLAFSRQREMSMLVGIAMVALPECSAQGISNIAWALSKVGGELLYLSEMDRIAEVALTKVEEFNSQNVANIAGAFASMQHSAPDLFCELAKRASEIIHTFREQELAQLLWSFASLNGHADPLLNSLDHSFEDSVSLGCYMNAENLSQLESSHAEVENDENFESTESSILDVSFNGLRFRFNRDQLGNIAWSYAVLGQMNRPFFSHIWTTLSKFEEERISEQHREDIMFASQVHLVNQCLKLQCPHLGLSLRSDLEEKISRAVKTKRFNQKTTSSFQKEVARLLVSTGLDWVKEYMVDGYTLDAVLVEEKLAFEIDGPTHFSRNLGTPLGHTILKRRYIADAGWNLVSLSLQEWEELQGGFEQLEYLRRILGIDTVNELEETTEVLK >Ma10_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24515239:24517902:-1 gene:Ma10_g10740 transcript:Ma10_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSELSRHGGQGGGGAGTGGGGGGGGRGGRVRANSNSSSGTSSSRSTSEHHQPQHHFGHHHHQQQKQQGNSSIPSSLELVPLKNRAGPSSVAPFMGSIVHHGVVDASLAISTAKPDPSLSPGAVGPSSSSAAADTSKKLAGGGAPAKRSSKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAIIATTGTGTIPANFSTLNISLRSSGSSLSAPPSKSAPLSFHALALAHHRPDFEQVTDPGMSHAAAMLGFHQLHPHPHQHQLLSADQIGEGLQGGGSSGGGDSADTYLRKRFREDLFKDEQPEGSGGAGPSSPSSASKSMRAGSGLQLHQRSQQQEAAAAAAAGLTRPQGILPAAAMWAVAPNSGAGGAFWMLPVSTGSAAPAVAAATGPSEPSIWTFPAMAGQYRPGISGGSSIQAPLQFMSRINLSGGAEFQGGRALPLGSMVLQQPTGGMAAQHLGLGTSETNLGMLAALNAYNRGGGLSMNSEHHQPMDHHHQAHSTHQQHQGAASGEDHQTSSQ >Ma07_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30837983:30840204:1 gene:Ma07_g23090 transcript:Ma07_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKARVYADPSHDLDQFDRLPDSIVLLILNKLADVRSLGRCSAVSKRFNSLVFLVHDVYVKIDRVVTVDGDSDDPLSQSSPRHRNLFCNFLKLMLFTLLKPFHNLRSTNCGNKPVFPQLSHHSPAQVLKNFTHVRNLRIELPAGDVGTEEGVLLKWRAEFGSTLQSCVILGGTRIDRKLVSPEHESPVEDSGSIPDSFYTNGGLKLRVFWTISSLIAASTRHYLLRPIIKEHPTLKSLALTDADGQGTLSMGEEQLKEFREKPVAASASTNRTQVPACNMKLKYAPYLDIPGGMALQGATVVTIRPSSEGSSSSNISSETDAFISGAFDGPFKAAVRTLMKRRTYLLEMNGF >Ma07_p23090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30837983:30840204:1 gene:Ma07_g23090 transcript:Ma07_t23090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKARVYADPSHDLDQFDRLPDSIVLLILNKLADVRSLGRCSAVSKRFNSLVFLVHDVYVKIDRVVTVDGDSDDPLSQSSPRHRNLFCNFLKLMLFTLLKPFHNLRSTNCGNKPVFPQLSHHSPAQVLKNFTHVRNLRIELPAGDVGTEEGVLLKWRAEFGSTLQSCVILGGTRIDRKLVSPEHESPVEDSGSIPDSFYTNGGLKLRVFWTISSLIAASTRHYLLRPIIKEHPTLKSLALTDADGQGTLSMGEEQLKEFREKPVAASASTNRTQVPACNMKLKYAPYLDIPGGMALQGATVVTIRPSSEGSSSSNISSETDAFISGAFDGPFKAAVRTLMKRRTYLLEMNGF >Ma08_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5023444:5028354:-1 gene:Ma08_g07310 transcript:Ma08_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLPYDAIFLLSSLSLLLLGTSDDRLTPGEFISLNETLVSDAGEFVFGFFSPTNSTGDFYAGVWYNIPQRTVIWVANREQPINDSSATLRISDDSNLVIMDSEGGIFWSSNLSGFGTPGNDTAAVLLNSGSLVLRANSHNILWQSFDHPTDTFVPGMKIQYNFGKQSARYITSWKDTNDPSPGNFSLGIGSSTAAQLLIWSGTKLYWRSQVWIGKMFTGSRAINTTAVAYLTVLEDDDEIGITLSVSDASLYIRYTLNYLGQIELLIWDNSSKNWTKYSSVPNDKCETYGWCGQFAYCDSTESVPACKCMEGFKPKVQSDWENGNFSAGCTRKKALRCGDGDGFLRVEGMKLPDHVVFLRNRNIGDCRTACLTNCSCTAYAYSDVTTGNETISGCLIWVGELIDTEMVSSGGEDLYLRLMDISLGTSGSKTKTRRIVIIVSLPASIVSLACIFIFWKFSEVFGVFKDRKKGNLLSDLSSSTDFANNISGSNEFIERQPHQGPELPLIGFENILFATNSFSDSNKLGQGGFGIVYKGNLPGGQEIAVKRLLRGSRQGLEEFKNEVILIAKLQHRNLVKLLACCIHGEEKLLVYEYMPNKSLDFFLFDPTQKAKLDWGKRFNIIKGIARALLYLHQDSRLRIIHRDLKASNILLDAEMNPKISDFGMARIFGGNQDEANTNRVVGTYGYMSPEYAMEGLFSVKSDVYSYGVLLLEIVSGFRNSSFHLIMDFPNLLAYAWELWNEGKANDYVDSSIADTCSPTEVLRSIHVGLLCVQDSPNDRPAMSSVVFMLENEEATISAAPKQPIFTIQRNLNPDTGHPPDDTYQVYSYNNVTVTAAEGR >Ma09_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33970632:33981998:-1 gene:Ma09_g21990 transcript:Ma09_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQWIAKVKKGQHLEEHELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEEIDTWAVSPRGAGWLFGSRVTAEFNHINKLDLVCRAHQLVQEGLKYMFDKGLVTVWSAPNYCYRCGNVASILSFNEKMEREVKFFTETEENNQMRGPRTGVPYFL >Ma11_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15577687:15578124:-1 gene:Ma11_g11960 transcript:Ma11_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQKLTGLSRSAADDDSSSLPPAPSQSKTSRKHKDDNRAAASASDDSSSSSENCSSFGGDVHVSCSSLATVGAISPISLEQLPPLNPFLSEMPLFTPNSSDIFCSSRSFYRYPDPSLFSPSVPNVDNAISPSVMDAPNTYHEY >Ma03_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1535883:1536884:1 gene:Ma03_g02250 transcript:Ma03_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALASWRSLASARQFTTSTAPRMRPIAPTADDVLGHHPVLKPKPRGEFVPVYVALGLILLSASFGIHTAQQQLRHSPNVLVSKKKRETVPEVVDPEWAAAEAERFISGSVFRRVAHLQDFDAVWATRGHVIDRPRKVETLKSVGVDPSRLE >Ma11_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5281842:5284491:1 gene:Ma11_g06490 transcript:Ma11_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSTSMEKLKGFLSSQISDEEKWALNSKLLRAAGLFAASIVLMRNFGDLMAI >Ma01_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17449214:17449789:1 gene:Ma01_g20260 transcript:Ma01_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLIPKFQQLTGINMVMFYAPVLFKTIGFGDDASLMAAIITGMVNAFATVVSILTVDRIGRRVLFLEGGVQMIIAQVVVGTLIGIKFGTSGQGDVSKPYAIFVVLFICVFVSGFAWSWGPLGWLVPSEIFHLEINRNKYKYKYKYKYIYIYLFIYLYIYVLPKLS >Ma03_p25760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29730415:29731113:-1 gene:Ma03_g25760 transcript:Ma03_t25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLAWIVNDATRRATLKKRRKGLIKKVRELSILCGVEACVVVYTPHENQPVAWPSLQEAVQMMARFKSMPEIERSRKMVNQEAFLHQRIAKLLEQLRRQQRENREIEMTWLTWEGFHGRSFDDLDVEDASALAWSVETKLKEVSDRREELLKRLAMAPPPPPMPVVPAATVTGMMPLPMERINTVNQGHAAEGSQRLNWLMDIMSPWSEEGQMYVDPISSWPDSLFP >Ma06_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15113070:15114709:1 gene:Ma06_g21010 transcript:Ma06_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSEEARKTYAEFEEKVSRTVFLDNLSTQVTTAVIKQALGQFGNVMNVEFIPNYTIPYPIPQSALVEMENEKQAKALISEMTNYPFMMSGMPRPVRAKPAKIEMFADRPPPPDRKIQVRWVDPSDADFVVAKKLKQLCKKHNAEHLALIKHQLEEEEKLAKHQEEMLKTNYKKYEMIESIVQDGTTSRLARHYGVRLDYD >Ma09_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8531336:8531413:1 gene:Ma09_g12680 transcript:Ma09_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGYYSIPFWWPPEGIKDSAENLR >Ma07_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27813384:27814953:-1 gene:Ma07_g19910 transcript:Ma07_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFLVIGLWHLFNHIKLHSMHPNSYCSPPWFPTSRLRHLELYLIIFGSLASISMELVIGPEKHNPFDDDGTIPSNHLHNFEHASISLALLIYASFAIVFDRIKPRLRDEMTMLLAAAAFGQQLLMFHLHSADHMGMEGQYHWLLQTVIVVSLATALLGVALPRSFLVSFVRSVSIAFQGVWFVVMGFMLWTPSLIPKGCFMNLEEGHMVVRCHTDAALHRAKSLVNLQFSWYMAAMAVFSMLLFLFLSKVYPEEPQYLPLLKEEEEEDLESQKKLMESGSFVHMGKRLRSIELER >Ma06_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10393604:10396428:-1 gene:Ma06_g15300 transcript:Ma06_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSNSEASLESTPSWAVAGVSAVLICVALLIEHALHRLTLLLERRKRKTLNRALGHVKAELRNLGFMSLLLTVAKQPISKICIPAGLGDSFLPCKDAAPPGRFTEEQSCQNKGKISLLSSQGTQQLQILIIVLAMFHILSSLVTLALGEAKMKRWKTWEEETTTLEHQLSNDPRRLKLARQTSFGERHLKSWSNHHLFLWMVCFLRQFTDSVSKADYFSLRRGFIAVHFSQDCKFEFRKFLRRSVDRDFAVVVSISFWIWMFAVFFIFFNAYGFYSHYWLPFIPLVILLVIGTKLEVIITTMCLKSSNQAIVVPGTICVEPDNSFFWFGRPRLLLHLMQFILVQNSFQLAFFAWTWYNFGLRSCFHREVADVVLSFGVGILVQLLCAYVTLPLYALVTQMGSSMKETIFTDEVIESLRDWKRRARKNVAERRSLASDKDEASSSCSHTPRKPEFKYPSGRLELLEVQRVVEEIIQHGANNMSNDGELSFGLWRRPIN >Ma05_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8849764:8859161:1 gene:Ma05_g12200 transcript:Ma05_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIRHKEADRGCRRGLEELLLRGGRGDGCVGEEAEEASAGGGDGADQLARRRRRSDLEGDDLAETSAAARRHSRILSRWAARQAEEMITTIERRNRESELMALARLHAVSMLDASFLRESRRAQSSVERPVAARASSVLRMWRELEDESIAGDRRSPAPSPTTASNNHRSAPETHDPMVRRNSLDVASEINASEFSQWPDRPTALGRRGAVEDSEDWRSSREQSPDIGSGGHGERERVREIVSGWMTEIDMTDTASQILPGSDSPRSEWLDERERERVRLVREWMQTVSQQRDGWASRREERDREGLVANQENRQRQHVQRNLLRLRGRQARLDLIMRNVRERERELQALSEHQPVSHFAHRNRIQAVLRGRFLRNGGPVEDGQRHSVAAGELGQLRQHHTVSGFREGFRSREDGIVTGPASNQSDGIVTGQASNQSVDVDNINVSSNNLFVSSTMEVLDETHDQFRAHDTNVDGHQPEEVYTTFQMESSMQISDMVGRGSAIQEDNWLADDVEHEQRDSQQPIELGSTVQHDGPIEEHNSNWHENEDQEWLHDAPEDEDGRDSHLLEAHEHWHEDNSQVTEANWQDGPSDSFNEQHSFPVIRNTFVSSEDGDYVYNVELRELLSRRSVSNLLRSGFRESLDQLVQSYIQRQGRDPFQWDMERTMPNHVLPGEDQSQQRDLIHGQRDSVTRPLHATPMPPTPPPLPPWHSDLHHSWSRQSIRRPEVEWDMINDLKADMARLQQVMSNMQRMLEACMDMQLELQRAVRQEVSAALNRSVGEHGEESSQDGTKWRNVRKGVCCVCCDSHIDSLLYRCGHMCTCSRCANELVQGGGKCPLCRAPIVEVIRAYSVV >Ma03_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4575425:4577044:-1 gene:Ma03_g06660 transcript:Ma03_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGEQESGKSGRRKPRFLCLHGFRTSGEIMRAQLVAKWPEQVISRLDLVFPDAPFPAGGKSEVDGIFPPPYYEWYQYDKDFMVYTNLDKAFARVEELMIEQGPFDGLMGFSQGAILSAALASLQSKGLALTTVPKLKYLVFMGGAMFKAPEVVERVYSAAKIDCTSLHFIGEKDFLKKNGEQLLGKFVDPYLIRHPRGHTVPRLDDEESLKTMLEFLQKIEGDLCEDAAAVDDACKEECSA >Ma10_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32200456:32204347:1 gene:Ma10_g23100 transcript:Ma10_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNGYLLLPSPSLPFCHLLTLRRYAEPCSPSSFVATMADNGMMDTAEEGGDETCDFGYLILRPDGGGLVDLARFLVSGGNARRFLQSSEEEEEEEEEDGGGLGPIPDDHRCVILVSILVRRIIAFFGKPMAWCGMLVEFLLNLLSLNGGLRGLLLSLLSGKAAVPQRGSATFVSAIGHLDKRVDLLKFDAASLRSEEESEEVAACSQVGRRAVMDLCMMASKLAYENDKVVADVQMHFVEFYNCWNDYQKKKSTQVFILCDKRLDASIVLISFRGTEPFDPDDWNTDIDYSWYKIPKMGRIHMGFLEALGLGNRIQVSTFQFHLQGHSLKRSYSGAQVNYDTSSLFVKRKDESLEMDKENAYYVIRSKLKDLLHEHKKAKFIVTGHSLGGALAVLFPAVLLFHKEEELLKRLFGVYTFGQPRVGDRQFERFMEDHLCHPKSKYFRVVYCNDLVPRLPYDNKTFLYKHFGTCLYYDSLYIEQNVEEEPNRNYFGLRYLLPEHMNAVWELIRSLSMRYAYGTEYKETWFSICLRIYGLLMPGVSAHSPVNYINSIRLGRPVADTGSF >Ma10_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28648397:28651339:-1 gene:Ma10_g17150 transcript:Ma10_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKKFKRPQSDRKICVKPNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKLVVYNVAELELLMMHNRTYCAEIAHNVSTKKRKLIVERAAQLDIVVTNKLARLRSQEDE >Ma08_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4041861:4048529:-1 gene:Ma08_g05960 transcript:Ma08_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILFVIIAFPCTVGAIALAVLHIYRHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLVLNDRSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRSLKPSWYLMTCCFPSIPLDGRFIRRCKQGGLQFVILKPILVVITFILYAKGKYEDGNFSVDQAYLYITIIYTISYSMALYALALFYVACKDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSNLIKNAEEAADLQNFVLCVEMLAAAVGHLYAFPYKEYAGANIGASGGLRGSLFHALKFNDFYHDTVHQFAPTYHDYVLYNNNEGDERARKYRSRTFVPTGQEMDAVRKNKHMYAGKLDDTRLSGVSTSGSSSPVRSTTPQDQADLEVIKSSLLKDSAAASAQPYDFSILAATEFSNYPAKVPAVDDSSKR >Ma03_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27426336:27427706:-1 gene:Ma03_g22670 transcript:Ma03_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGATAELVVSFGEMLIDFVPDVAGVSLAESGGFIKAPGGAPANVAVAITKLGGRSAFVGKFGDDEFGHMLADILKKNGVDAEGVRFDPNARTALAFVTLKSNGEREFMFYRNPSADMLLTESELNLSLIKRAKIFHYGSISLISEPCRTAHLAAMRAAKQAGILLSYDPNVRLPLWPSEEAARTGIKSIWKEADFIKVSDDEVAFLTQGDPCKEETVKTLWYDGLKLLVVTDGEKGCRYFTKDFKGQIPGYAVKTVDTTGAGDAFVGALLVSIAKDNSLFKNEEKLKKALTFANACGAICTTKKGAIPALPTASMAMELVAKAK >Ma03_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15410227:15412429:1 gene:Ma03_g15480 transcript:Ma03_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGRARALRCASIAFSTSSSIESRPSHLSSDPNIDLAHAIANLVNAHHAGHWPPSLDAALSALARSHASDLTPSALLRSLSLLRRPSAAGPLLLWSRHHLPLDPRAAAKALHLLLRSRALRPALDLLLSLPPSSFPDHSFNALVRRLAAAGHLRSAVRLFRLIPFPSVFSYNSLLAPLLRRGRTRAASALFDEMLATAVRPDVCTFNTLIRGFCLNSMVDEAFRLFNEMPRHGCAPDVVTYNTLVDGLCRAGKVRIAHNLLNGMRTKSSDLAPNVVSYTTLIRGYCSKLLPDEAVELFHQMVALGLKPNKITYNTLIQGLCDARRMDLVKGIMEPGDDGGKELTFRPDTCTFNTLIAAHCNLGSISDALNVFERMAEMRVKRDSATYSTMIKGLCEKGEFVRAEELVDELLEKEVFRKRGIPLLAAYNPIFDYLCQNGKTEKARMLLQQLLDKQAKVDVAAFKTVILGHCKEERLREGYELLVSMGKRDLVPDAATFQTLIEGFMQQEKIGFAWEAFRKMLNTWHRPSTGTFHSVLAGLAKKSRYAKEAGELVTLMVERKIRPNIDLSTNVVSSLFGDNLNDTALKIVGLLYHNGYRVKMEELIPSLCENQKFLEAKEMLLFSLDKCENVNDDVYGLVIGGLCLSGRASEAFRVLYEMNERASAHVSSSCLYALKLALEESGRWKEAEFVSRQMNRANKRIGS >Ma04_p32960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32830306:32834210:1 gene:Ma04_g32960 transcript:Ma04_t32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGFWECLLKLLNFILTLAGLAMVGYGVYLLVEWNKVASGSDGEDPASPTSSDSEFLKLGRPILVAVSLSSSFLDYLPKAWFIYLFIGIGVVLFVISCFGCIGAVTRNGCCLSCYAFLVILLVLAELAAAAFIFFDHSWKDLVPDDKTRNFDIIYNFLEDNWKIAKWVALGVVILEALVFMLALIVRAVNRPIEYDSDDDVCIAPRSSIRQPLMNRQGAPATGVPVLGTLDHRPSRNDAWSQRMREKYGLDTSEFTYNPSDPSRYQQATVPPAEERGHCTIL >Ma06_p35570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35353207:35354748:1 gene:Ma06_g35570 transcript:Ma06_t35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSVVLLLLLPLDLCCKIPVSNCEPMMTGSRMEATATATATATSYSSSDWVLGQKRCGNGSWTQEENKHFEDALAKFDGDTPDRWEKVAACIPGKTVADVKRHYRHLLDDVREIEAGRIPCPGYAYSSSSFTLDWEHSHGLDGSRQQPYCISGKRCGLRAPDHERKKGVPWTEEEHRLFLLGLRKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTANLPDVKPLSPSQLSNLSAQSSPFSVIVDSNPPTEADVSRFMQQHQFGVTPYGSARGGVLHDSVVCDNASLFQMRSSQHHPRG >Ma05_p15090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11144411:11171349:-1 gene:Ma05_g15090 transcript:Ma05_t15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPKNGEIPNHSIDETRDSPVEVKGNDVESMSESKESEIESTLETKEVEIQSTLEAEEGEMEPTSDVMKGEIEAEGVEKELSPSVEAEASNGNGIRTLTMRELLDELKEEEKGPTGGKDERSWSADPTRDDGSNAQRSSHAESSSFSQNDVSMDLINKVTGVDEEGRSRQRILLYAAKKYVSAIQRNPEDHDALYNWALVLQESADNVGPDSVSSKDALLEEACKKYEEATRLCPMLYDAYYNWAIAISDRAKMRGRTKEAEELWKQATKKYEKAVQLNWNSPQALNNWGLALQELGAIVPVREKQTIIRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTFRSGRPVSAKEVPPDELYSQSAIYIAAAHALKPNYSVYHSALRLVRSMLPLPYFKVGYLTAQPAHIPIAPHRDWQRSQFILNHEGLQQVSSAEKNLSQSPSGRSQGSPQIDKSLVKVDVCDIVSVSACADLTLPQGAGLCIDTIHGPVYLVADTWDSLYGWQDAICLVYTIFARGKSDVLAGIITG >Ma05_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11144411:11171348:-1 gene:Ma05_g15090 transcript:Ma05_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPKNGEIPNHSIDETRDSPVEVKGNDVESMSESKESEIESTLETKEVEIQSTLEAEEGEMEPTSDVMKGEIEAEGVEKELSPSVEAEASNGNGIRTLTMRELLDELKEEEKGPTGGKDERSWSADPTRDDGSNAQRSSHAESSSFSQNDVSMDLINKVTGVDEEGRSRQRILLYAAKKYVSAIQRNPEDHDALYNWALVLQESADNVGPDSVSSKDALLEEACKKYEEATRLCPMLYDAYYNWAIAISDRAKMRGRTKEAEELWKQATKKYEKAVQLNWNSPQALNNWGLALQELGAIVPVREKQTIIRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTFRSGRPVSAKEVPPDELYSQSAIYIAAAHALKPNYSVYHSALRLVRSMVSSAEKNLSQSPSGRSQGSPQIDKSLVKVDVCDIVSVSACADLTLPQGAGLCIDTIHGPVYLVADTWDSLYGWQDAICLVYTIFARGKSDVLAGIITG >Ma10_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29018083:29018909:1 gene:Ma10_g17780 transcript:Ma10_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAMDGVSDVLMKVVVFAVVQALVYLILTKSSNVFSANQKLRSLSFRPARSVSVRRLLALLSDMPPGGETSPSSSSRSLADDSSWTDRKG >Ma09_p17110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12579120:12596397:1 gene:Ma09_g17110 transcript:Ma09_t17110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLAVVTDGQSGSSESVFALMKFAVLPIAKVFTMCFMGFLMASKYVNILPANGRKLLNGLVFSLLLPCLIFSQLGRAITLQKLLEWWFIPVNIILATISGSLVGLVVASIVRPPRPYFKFTIINIGIGNIGNIPLVLIAALCRDKSNPFGDSDKCSQDGNAYISFGQWVGAIVLYTYVFQMLAPPPGETFDGADEEKLPTKVPVNDSVSDQVPLLTSKEPEITGHESSRQGRLIEHLCYLVEKLKIKQVFQPPIIASVLAIVIGIVPFLKHLILTDDAPFFFFTDSCLILGEAMIPCILLALGGNLVDGPGAGSRRLGLRTTVAIIFGRLVLVPPAGLAIVTVADKLGLFPRDDKMFKFILLLQHTMPTSVLSGAVASLRGCGKEAASVLFWVHIFAVFSMAGWIVLYLRLLF >Ma09_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12579120:12596397:1 gene:Ma09_g17110 transcript:Ma09_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLAVVTDGQSGSSESVFALMKFAVLPIAKVFTMCFMGFLMASKYVNILPANGRKLLNGLVFSLLLPCLIFSQLGRAITLQKLLEWWFIPVNIILATISGSLVGLVVASIVRPPRPYFKFTIINIGIGNIGNIPLVLIAALCRDKSNPFGDSDKCSQDGNAYISFGQWVGAIVLYTYVFQMLAPPPGETFDGADEEKLPTKVPVNDSVSDQVPLLTSKEPEITGHESSRQGRLIEHLCYLVEKLKIKQVFQPPIIASVLAIVIGIVPFLKHLILTDDAPFFFFTDSCLILGEAMIPCILLALGGNLVDGPGAGSRRLGLRTTVAIIFGRLVLVPPAGLAIVTVADKLGLFPRDDKMFKFILLLQHTMPTSVLSGAVASLRGCGKEAASVLFWVHIFAVFSMAGWIVLYLRLLF >Ma08_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4037349:4041278:1 gene:Ma08_g05950 transcript:Ma08_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLMASPRPLYLLLVVVLATALHPAQQVFAVESTPQLRSDSMILQKSIVQEINANPNAGWQAAMNSRFENYTIGQFKHILGVKPTPSNEVMDIPKRTYPKSLKLPKQFDARTAWPQCSTIGTILDQGHCGSCWAFGAVESLSDRFCIHFGINISLSVNDLLSCCGFMCGDGCDGGYPISAWRYFVQNGVVTDECDPYFDDVGCAHPGCEPLYPTPQCEKKCKAKNLLWDQSKHFGVNAYMVNSDPKDIMTEVYTNGPAEVGFTVYEDFAHYKSGVYKHVTGVAIGGHAVKLIGWGTSDEGEDYWLLANQWNRSWGDDGYFKITRGRNECGIEEDVVAGMPSSKNLVRYYVNSDSEADASA >Ma01_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3955494:3958986:-1 gene:Ma01_g05590 transcript:Ma01_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMTKHFLLLALSLSTWSFVAMIACGGTPTSTAARLLAIKSDLIDPGGAMASWSPGLPDTCSWNGVTCSDDRNHVVAFNLSGYGLSGSVSSKFAGLAFLETLDLSSNSLAGPIPPEIGMLKRLRELLLFSNSLSGFIPPEIGLLTDLKVLRLGDNMLSGTIPPHLGNCTGLEVLGLAQCQLNGSTPAQIGHLNRLKTLNLQQNRLTGRTPGELGGCSALQVLSMADNMLQGEIPSSIGRLAFLQVLNLANNQFSGSIPTALGGLSSLSYLNLLGNALNGTIPPQLNRLTLLRILDLSKNNLSDAISISTRQLKNLRYLVLSNNLLQGSISGDLCDESSSLQNLYLAGNHLSGTIQELMDCISLRSLDLSNNSLSGGIPSDIDRLTNLVDLVLHNNSLVGGLPPRLGNLSHLQMLSLFHNGLTGSIPAEIGKLQRLQLLFLYENQMTGIIPHELTNCSSLEELDFFGNSFSGPIPETIGKLKNLVVLQLRQNDLSGPIPPSLGDCNKLQALALADNRLSGTLPPTFRFLTELNLITLYNNSLEGPIPDSLFSSLKHLAIINLSHNRFTGSISPLLGSNALVKLDLTNNAFSGVIPPTLGESTNLARLRLGHNLLQGGIPSELSRLRWLDFLDMSSNNLTGEIPSDLSNCTRLTHLLLGKNRVTGTIRSWLGNLHSLGELDLSWNELSGQIPAEIGNCSGLLKLSLQDNHLSGMIPPELGRLTSLNVLNLQRNNLTGTIPSAVLIHCKKLYELRLSENSLTGSIPSEIGQLIELQVMLDLSRNHLSGDIPSSLGNLIKLERLNLSFNHLDGRIPASLEQLTSLHRLNLSNNPLYGRIPAGLSSFPVSSFTATKLCGAPLTACITRSSSSDMSRLSNAAVAGIIVAIVSISAVVCVVLLCIIIRLWSKWREVSVSNSDGEECKEKQERRGGGGGDRFENYWKVGSTCMVTSSEKQSSTSETSILQQKMEVGGLDDSGS >Ma10_p27060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34474323:34475535:1 gene:Ma10_g27060 transcript:Ma10_t27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYASNLKPLYVERQLELILDAWDFILVYAPRQML >Ma06_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9576634:9581855:1 gene:Ma06_g13990 transcript:Ma06_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGWCCGRSLALFALVILLPIWIARGFQSDELLQDDEEFGLEGGRPAAGPKPSTNPVRSPIRRRPDLDPPSGSADSNSVQFSLEHNLGGSEFSPAGTFTARLKSWSHGGQTLTKLRFSRNALTEGEKRAFERLLKEDGHYTIRVPSNVLNPSGEDYVISSVRARCIPRESLDEHFVIHTDGVNIIAVNYGSAGACRYPRLLRYPAKWSFTSYTVLKNSEQAPRTPTFAEELLAGEDGLGEGVKPPEKSFWAKYWLYLVPLGFIVMNAMTQAMNMPEEQQVAGQSTSQGQVAQRAPTTTTTTTTTVRRR >Ma08_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8421870:8423258:1 gene:Ma08_g11400 transcript:Ma08_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGNSMIAEPSNVIYLTSILNKGGPVPSHKCDKRCQNEHVFGNMYRCKLTGLTHICDKNCDQRILYDNHSSLCRVSGQVFPLSPAEEQVVRGLRRKLEVTKTDGCAFKRRRDAQLHPSPFERAFAAVSPICNQIGDGMDMS >Ma09_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4994017:4995286:-1 gene:Ma09_g07600 transcript:Ma09_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKALPTFCFLLCAASCALAAASYDYMILSLIWPGTRCQPGWFWQPTCCVDPLIENPEPDFLVETMETYDSATGKLVANCEPSCRFLLNPLNDLMNDLNAYWPSLSCPAQNGKQVWKAAWCTYGNCTSLSEVNYFGRALQLRARADVLHALGSKAIIPSETKSYSLEDINDALVPRIGFSFTVVCTRNYILWPLIYNDYLSQIRVCVSSDGRSIISCPNGRESNCSDTVKFPPVSYPLHGREDDAVSSTVIELPSGDDMAL >Ma08_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24248120:24248920:-1 gene:Ma08_g17300 transcript:Ma08_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQALSCTPPRPTSTAVTLHDPPPAEANKSDKETTLQIGTYVVQVPKDQIYRVPPPENAHLAEQYRNRDKNERRSPCLGCLKWILGAVLLVLLLAIVITGVILVTVRPGSPTFTVQRLSVNSSPHTTTKGSHPKPECDFTMRVRNPSKGMGFSYEAGGKTVVLHKGAHIAAGTTPALVQGPKNTTTFRLVLHGSNMLLTKVIDMSLKGSKDVIPLELAAEFTVKPRVGVVELGATSMYVTCDVRVRRLVKEARILSQECKTNLQQ >Ma08_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21078474:21080923:-1 gene:Ma08_g16840 transcript:Ma08_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAAAAAAAAVKAVAVVKEAAVESYQYSWREKLVKYKDELAKGVWGYWELGAWKPLSLSARKRARLRKEVLLAGEDWPYDPPRKEMRNKRKGHKCDRVSAEKRAKTAELMKKMPDMLLDYKKRRWEKKMKEEGGGKN >Ma10_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14933949:14935284:1 gene:Ma10_g04230 transcript:Ma10_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGRPITSWTIDMLFRDFLGRRAGLIKALTTDFIKFYEQCDPEKPYMCLYGYPNETWEVKEAPVVPHEFPEPSLGVNFAKDEMKLKDWIAHIAIHSDIWIYSYAFYIAARAGFDNETRYGKFDLIYESPPCCPSLKICASIYH >Ma06_p26940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28955312:28960648:-1 gene:Ma06_g26940 transcript:Ma06_t26940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQMVLLIPLNILVLCLQALAIGNLTSDMQALLKFAASIPHGRKLNWSSRTSVCSSWVGVTCTPDQTRVRSLRLPGVGLLGQVPTDSLGKLDALEVLSLRSNRLVADLSPDVPSIPSLHSLYLQHNKLSGNIPSSLSSKLTFLDLSYNSFMGEIPLSIQNLTQLTALYLENNSLSGPIPDLQLPKLRHLNLSFNNLSGPIPVSLKKFPAECFFGNPSLCGTPLAQCFAVPPSPISPAPVLPTKPKRSFWKKLGTRIIIAISAGGSSLLFLLVIVILVCISKKKSREGSGTPKGKGSVGGRAEKPEEYSSSAPEAEKNKLVFFEGCTYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDSVTVVVKRLKEVVLGKREFEQQMEIIGRVGQHQNVMPFRSYYYSKDEKLLVYDYAPSGTFSTLLHGGKGAGRTPLDWDTRVKISLGVARGIAHLHSQGGGKFIHGNIKSSNVLLTQELDACVSEFGLAPLMSSAATPSRVVGYRAPEVIEHRKSTQKSDVYSFGVFLLELLTGKSPFQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYPNIEEDMVQMLQVAMQCVVRVAEQRPKMEDVVRMIEDVQRSNSENRPSSEDKSKDDGVQTP >Ma06_p26940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28955312:28960648:-1 gene:Ma06_g26940 transcript:Ma06_t26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQMVLLIPLNILVLCLQALAIGNLTSDMQALLKFAASIPHGRKLNWSSRTSVCSSWVGVTCTPDQTRVRSLRLPGVGLLGQVPTDSLGKLDALEVLSLRSNRLVADLSPDVPSIPSLHSLYLQHNKLSGNIPSSLSSKLTFLDLSYNSFMGEIPLSIQNLTQLTALYLENNSLSGPIPDLQLPKLRHLNLSFNNLSGPIPVSLKKFPAECFFGNPSLCGTPLAQCFAVPPSPISPAPVLPTKPKRSFWKKLGTRIIIAISAGGSSLLFLLVIVILVCISKKKSREGSGTPKGKGSVGGRAEKPEEYSSSAPEAEKNKLVFFEGCTYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDSVTVVVKRLKEVVLGKREFEQQMEIIGRVGQHQNVMPFRSYYYSKDEKLLVYDYAPSGTFSTLLHGGKGAGRTPLDWDTRVKISLGVARGIAHLHSQGGGKFIHGNIKSSNVLLTQELDACVSEFGLAPLMSSAATPSRVVGYRAPEVIEHRKSTQKSDVYSFGVFLLELLTGKSPFQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYPNIEEDMVQMLQVAMQCVVRVAEQRPKMEDVVRMIEDVQRSNSENRPSSEDKSKDDGVQTP >Ma06_p26940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28955312:28960648:-1 gene:Ma06_g26940 transcript:Ma06_t26940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQMVLLIPLNILVLCLQALAIGNLTSDMQALLKFAASIPHGRKLNWSSRTSVCSSWVGVTCTPDQTRVRSLRLPGVGLLGQVPTDSLGKLDALEVLSLRSNRLVADLSPDVPSIPSLHSLYLQHNKLSGNIPSSLSSKLTFLDLSYNSFMGEIPLSIQNLTQLTALYLENNSLSGPIPDLQLPKLRHLNLSFNNLSGPIPVSLKKFPAECFFGNPSLCGTPLAQCFAVPPSPISPAPVLPTKPKRSFWKKLGTRIIIAISAGGSSLLFLLVIVILVCISKKKSREGSGTPKGKGSVGGRAEKPEEYSSSAPEAEKNKLVFFEGCTYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDSVTVVVKRLKEVVLGKREFEQQMEIIGRVGQHQNVMPFRSYYYSKDEKLLVYDYAPSGTFSTLLHGGKGAGRTPLDWDTRVKISLGVARGIAHLHSQGGGKFIHGNIKSSNVLLTQELDACVSEFGLAPLMSSAATPSRVVGYRAPEVIEHRKSTQKSDVYSFGVFLLELLTGKSPFQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYPNIEEDMVQMLQVAMQCVVRVAEQRPKMEDVVRMIEDVQRSNSENRPSSEDKSKDDGVQTP >Ma06_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4563454:4563558:1 gene:Ma06_g06240 transcript:Ma06_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSYIEGLNSSGGLKANNKVSSLGVPACTARS >Ma06_p37060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36235480:36243094:-1 gene:Ma06_g37060 transcript:Ma06_t37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRVLPSNTTLLPASSHARAAPLRYRHVPAGGGAITVPVRRSFAMTIRARDSAPVLDVEPQPLKLLDRRTKLKIAVIGFGNFGQFLARTFAAQGHEILAYSRTDYSDTARSLGVAFFDDQNDLCEQQPDVVLLSTSILSAEAVLRSLPIQRLRRSTLFVDVLSVKEFPKNLFLQLLPPDFDILCTHPMFGPDSGKHGWAGLPFAYDKVRIGDSDDRVERCRAFLEIFDREGCRMVEMSCAEHDETAAEIQFLTHTIGRVLAKLDLKSTPINTKGYETLLNLVQNTCSDSYELYNGLFIYNKNSTELIEKLNGALDTTKKELFERLHGIFRKQLFEGSARKFAGGVRYRHVSAGGAITIPVLRRSAMTIQAREAAPVLDIEPQPLKLLDRRTKLKIAVIGFGNFGQFLARTFAAQGHEILAYSRTDYSDTARSLGAAFFDNQHDLCEQHPDVVLLSTSILSAEAVLRSLPIQRLRRSTLFVDVLSVKEFPRNLFLQLLPPDFDILCTHPMFGPESGKHGWAGLPFVYDKVRIGDSDDRIERCRAVLEIFEREGCRMVEMSCAEHDETAAEIQFLTHTIGRVLAKLDLKSTPINTKGYETLLNLVQNTCSDSYELYNGLFIYNKNSTELIEKLDDALDTMKKELFQRLHGIFRKQLFESSGRRSIDAGK >Ma02_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19724465:19727466:-1 gene:Ma02_g10010 transcript:Ma02_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKTEAPEAAPAEEVVVAEVAAAEKEVVDEPPPPPPAPEPEPAPEVQKPTKNPALEVALAAEAAAEAEEKKAAAQEAEAAAKAATILQAVSFKEESNLASDLDDPEKKALEELKQLVQAALANNEFSPPPPPPPPPPQPAPAVAAPTSAEEPPFKAEEAKAVEEPAATPVEEPEKTVAEEAKAEAEPAATPVEEPQKAVAKEAKAEEEPAATPIEEPQKAMAEESAPPAKEEEAEKPAPASASPTAEKAIVVDDDGAKTVEAIEETVVPVAAPPPAETEAPAAEAPKAEKEEQKSPAPPTEPTPPAPEEVFIWGVPLLGDERSDTVLLKFLRARDFKVKEAMAMLKNAVLWRKEFGIEALLEEDLGVLEMEKVVFMHGVDKEGHPVCYNVYGQFQNKELYAAAFADEEKRKRFLRWRIQYLEKGIRNLLDFKPEGVSTMVQVTDLKNSIGLAKKELRQALDLLQDNYPEFAAKQVFINVPWWYLAFNRMISPFFTQRTKSKFVFAGPSKSAETLFKYIAPEQVPVQFGGLSKENDTDFSTADAVIETTIKPSTKQAIEIPVAESCILVWELRVLGWDVNYGAEFVPSAEDGYTVIVQKSRKMVVTDEPVVKDSFKIGEAGKVVLTVDNTTSKKKKLLYRYKTKSSSDSI >Ma01_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9379506:9381386:1 gene:Ma01_g12820 transcript:Ma01_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDETGCQAREGPILCINNCGFFGSAATMNMCSKCHKEMIQKQERAKMAASSIDSLVNGSSSGSGKEHVVSGNADVAVVSVEPKMISAQTSNASGLTEAGEAKAKEGPNRCGTCRKRVGLTGFSCRCGNLFCAVHRYSDKHDCPFDYRKAAQDAIAKANPVVKAEKLDKI >Ma01_p12820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9379497:9381386:1 gene:Ma01_g12820 transcript:Ma01_t12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDETGCQAREGPILCINNCGFFGSAATMNMCSKCHKEMIQKQERAKMAASSIDSLVNGSSSGSGKEHVVSGNADVAVVSVEPKMISAQTSNASGLTEAGEAKAKEGPNRCGTCRKRVGLTGFSCRCGNLFCAVHRYSDKHDCPFDYRKAAQDAIAKANPVVKAEKLDKI >Ma10_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32039110:32041581:1 gene:Ma10_g22840 transcript:Ma10_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNPHASAEPGKKALETPPPPAAAADKPAPPAACLENPIPSPDASSARLDPAIHGNAAAVGSNSVLTSAMQNGPVTDLQKKLRRAERFGTAVMLSEGEKRNSRAERFGTGSTLSGPKNVGLLEEQKRKARAERFGLKNGTVADEAAKKKARLERFATNSKMDDSSEDEKRKARAIRFSQGSQVNSDLVGLCS >Ma08_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5070315:5071740:1 gene:Ma08_g07370 transcript:Ma08_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENDO1 [Source:Projected from Arabidopsis thaliana (AT1G11190) UniProtKB/TrEMBL;Acc:A0A178WKD4] MGRFLFFQAVMFSIVLYAVPVAQSWSKEGHILTCRIAQELFQPEAAEAVKSLLPNYANGDLSALCSWPDEVRRWPNYQSMNSLHFVNTPDQACTFEYSRDCKKDECVVGAVKKFILQLLHYHERTEYPEYNMTEALLFLSHFMGDLHQPMHVGLTSDKGGNYIQVRWFKHKTSLHQVWDREILLKALDGKDMAAFQEYLHETFKVRYQCLVLFTDDYFDSTMPIVAKRIAQGGVRLAMTLNRVFGEHNQAIPSPF >Ma03_p32760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34416427:34419567:-1 gene:Ma03_g32760 transcript:Ma03_t32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGARPVPPEKGVFPLDHLHECDLAKKEYIACLKSSGYQSEKCRHLSKKYLECRMERNLMAKQDLSELGFLKDRGTATSEESTSGGQPNPSPAKKTITS >Ma09_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25942316:25943764:1 gene:Ma09_g19670 transcript:Ma09_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAGGKEGYWTGLAARRCDSCKVAAALLYCRADAAYLCGGCDARVHEANRLPSGHERVWLCAVCEQAPAVVTCKADAAALCESCDADIHSANPLARRHERVPIVPFLEPLAAHVKPSSAAAAFPFGAAVCAGDGNNEEDENDAGADASWILPNLGHAHSKGLTGAPDLKSADYFFSDVDPYLDLEYATSMDARFHQMDSVVPVNGKAAGVNGGAPPLPSFLPPDAGVEIDFSPSEPSYSTHTTFSMSHSASSSEAGVVPDGSGATTDVTNPHGGVPEKAAAQMDREARVMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRKDIEAEVDRIYSAAAAAAAALMADTGYGVVPSF >Ma06_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12609867:12610320:1 gene:Ma06_g18430 transcript:Ma06_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSYIAALALVCLCLFYHLEITAAAEIPVGDSDGWSFDVGNWPNGKTFKAGDVLVFKYDEGSHNVVVVDAEGYDSCTAKSGSKTYTSGTDKITLAKGTSYFICSYPGHCDGGMKIKVVAN >Ma09_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13250312:13250910:-1 gene:Ma09_g17710 transcript:Ma09_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSQAFHMVRGFNLDLSSIAAPMCRLAEVDMKSSIAAIQLEMKGVIHTTNMTARSWRHHTVHKCWFFGTKKTKLNSTLGFLPSFDLEWLAAQERWGMCSFRFPSHTHQKATKVFGLMIETCESISCNIIMMLFELIGICQSFLACKLHPKFFFVNWCDRCFFHETWR >Ma01_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6829232:6830057:-1 gene:Ma01_g09470 transcript:Ma01_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMFGTASLVVARRELISRVQQLTEDLQRSHGNVQQIPSLMSELEVIDKNIRIAEPHMILRGNCTWIIMSHFK >Ma08_p34390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44411317:44415380:1 gene:Ma08_g34390 transcript:Ma08_t34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCAASRLGGSGEDDDPVALCRERKRLIRAAVERRYDLAAAHAAYIHSLNAVAAAIDIFVARLSAPAPFLITLPAASGDGDPAPASSSCAYLRQMPSEPKTESVAYHPSPPPSSPSNSSSAGYADAADAVEAEEEDHEEEEEEEDMRAGETSCGYFFSAARPMPPSPPPEVFGWDFFSPFDEVRPAEEPVVMIRGLDPNSDEDLRAVREQEGIPELEEAEEEEDDDEAKDGTVVDDKEKMVALGVGETPESGGGRMVVQGSDAGQEQELAVLETPEKGRELLQALRDVEDHFIRAYNAGKEVSRMLEANMVQPSSGPEEIKGVVQAITWHQSPSLSSSYRSQLASSSNSTASWTESKSDFSDVYVGMESGSHSQTLGRLYAWEKKLYEEVKAGDHTWQDYQKKCLQLRTKEAKGAKSRSVDKTRAIARDLYARIWVALRAAESISERIQKLRDEELQPQIIELLQGLTRTWKIMVESHETQKQIMFEVNSFTCPAYGKFCNESHRLATIMLETELRNWRSCFTGYIAAQKAYVEALDGWLSKFLLSDVEYYSRARSLFPSHKAGTPAMVVICHEWLTSLRKLHDQSVSCSMRNFIRTVRGLWITQGEEQQQKRKVDRLAKELDHKVLALQKAENKVLESKLSEDKPEPDMRQRIEYLSGRKELLDMCRRKLEAEKAKHRDRMRSTHEITINGFKIGLASIFESLTQFSKDSVNLYDDLLMRDEKTNVVNEMTPCIEAFEQG >Ma00_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:11437661:11439729:-1 gene:Ma00_g01700 transcript:Ma00_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTNLAEDRHHHLPYPPLDGRRHRHYMGRPISAPSSAASFRGCCCCLFLLLIFVALLAVAVALVVVLVLKPKKPQFDLQQVAVQYLLVAPTTSSASPTVSALGGAAVRGAQPTAAYLSLNITLLFLADNPNKVGIRYDAAALDVMYRGVPLGVATVPGFEQPAQSRRLVQTRVVVDRFNVMQSDALDLVRDAALNDRVDLRLTGDVAAKILVLGLSSPRVQVSVDCAIEISPRKQSLTYKRCGVDGLNF >Ma05_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29232668:29233071:-1 gene:Ma05_g19790 transcript:Ma05_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLQLIPNPGRTIQQVLCVSLASPIVPHRENQTCCICNCPFFIVVICSSFVRGCRSKSIDRTT >Ma09_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21607029:21607896:1 gene:Ma09_g19250 transcript:Ma09_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLASAASSFVLTSNLPTAAAAAATTSQSSRVSFFTFSKPSRKLVVRAEEASAPPPAPAAKGAATEAPKKPPPPPPIGPKRGAKVKILRKESYWYNGIGSVVTVDQDPKTRYPVVVRFNKVNYAGVSTNNYALDEIQEV >Ma07_p02130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1643318:1646069:1 gene:Ma07_g02130 transcript:Ma07_t02130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLWPHRWPSTRNLVGGYYDAGDHVKFGLPMAFTITMLSWSVIEYGDEIAAAGEYHHALEAIKWGTDYFIKAHTHPNVLWVEVGDGDTDHYCWQRPEDMTTSRQAYKIDTENPGSDVAGETAAAMAAASIVFQESNPHYSHLLLHHARQLFEFADKYRGKYDSSVREAKRYYPSWSGYEDELLWAALWLHRATGKGAYLEYAVENGHRFGGTGWEMAEFSWDVKHAGVQILATKLFKGGDLPESQRRAAQQYRAKAQFYVCACLNENNGSNVHRTPGGMLFVRQWNNMQYVSSAAFLLSVYSDHLIKAEQRELRCPDGAVGTQELVALAKSQADYILGANPMRTSYLVGYGRKYPMKVHHRGSSIVSYKRSKGFIGCMQGYYDWYGRRSPNPNVITGALVGGPDSRDKFRDQRGNYMQTEACTYNTAPLVGVFAKLHRLSDQQIQIQEMSTPAASSS >Ma07_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1642785:1646069:1 gene:Ma07_g02130 transcript:Ma07_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGSMKAEGRIERSSRRSGSGIDLTCTFKLVALLWAVLPIAAIEAFDYKDALSKSLLYFEAQRSGHLPYNQRVAWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTITMLSWSVIEYGDEIAAAGEYHHALEAIKWGTDYFIKAHTHPNVLWVEVGDGDTDHYCWQRPEDMTTSRQAYKIDTENPGSDVAGETAAAMAAASIVFQESNPHYSHLLLHHARQLFEFADKYRGKYDSSVREAKRYYPSWSGYEDELLWAALWLHRATGKGAYLEYAVENGHRFGGTGWEMAEFSWDVKHAGVQILATKLFKGGDLPESQRRAAQQYRAKAQFYVCACLNENNGSNVHRTPGGMLFVRQWNNMQYVSSAAFLLSVYSDHLIKAEQRELRCPDGAVGTQELVALAKSQADYILGANPMRTSYLVGYGRKYPMKVHHRGSSIVSYKRSKGFIGCMQGYYDWYGRRSPNPNVITGALVGGPDSRDKFRDQRGNYMQTEACTYNTAPLVGVFAKLHRLSDQQIQIQEMSTPAASSS >Ma09_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35105625:35109483:1 gene:Ma09_g23180 transcript:Ma09_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSQGRHRLPLPLPLAYKPYRPSSPSPQFCRNRVPLSPLKPFKNIWTVHESFSLSQFAIPVLRFRPRISVGDAEECAHRELGDGGGILLGSEDLGDEGQVFKKTLRLVECAMFASVAGLAYFLSNSLAIENYFSCFFSLPIVISSMRWGVAAGRKTMVATTMLLLTLSGPVKASTYMLMHGVVGLAIGTLWRLRFNWVTSIALCTLVRALGAVGYVLLSSFLIRENILALITINIHASLTYILTAMGVNTIPSMNAIYLIFGSLLLLNCGFFVLLLHILYAIFLAKLGLKASLTLPAWLENAI >Ma02_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29152152:29153560:-1 gene:Ma02_g24640 transcript:Ma02_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGEDFKLLKIQTHVLKVNIHCDGCKHKVTKLLHRIEGVFSVSIDIEEQKVTVSGNVDSETLIRKLVRAGKRAELWSQKLTPIQKSIHQKKPPPPPPKQVANPMKEANTKIEGRGKQGLLRGLKAFKHQHNKLPSSSSDEEDYDDDDDDDIDDDEDEDDDDLQILDHKMMQISLTRQANNAPASARPTNGSSGWKSREAEHTVKSSSGSQPKGVNAAANDKKVASGNPEAGKGQRMTQANNVMMGLVGSNGGLPRNAGGAQYQSALLVNKQGYPNHPPSMANNSRGHSMAMHDGRCVQPQMMHLRSPQIASYTGYYNYCPSPRYLSSQVDNGFCATHLFSDENANACIIM >Ma10_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23863630:23864717:1 gene:Ma10_g09770 transcript:Ma10_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVKEGPWGANAGNEFDTGRVDRFTKVKIYHGDVIYGLEITFVVDGKTQPPLLIGSKKRASQKITLDEDERFISISGYFKPMLGNDIFITQLTLTTDENRNVSAGNETGNPFSLALEEGGHIVGFCGLVGQPTVAVGAIAVHCSLADS >Ma01_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7538506:7539274:-1 gene:Ma01_g10490 transcript:Ma01_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGNCTCGSSCNCGGGCKMYPDLEEKKTTMATVILGVAPEKGHFEGFQMATGSTENGGCKCGGGCNCDPCNC >Ma10_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26940373:26941154:-1 gene:Ma10_g14540 transcript:Ma10_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMERSAPRKRGEEMEPEKGDGGVADQAGGCKVKILLTRKELEWLVLRLREKREQRLEDVLVEMGREMEKERGKATGWKPTLESIVEIPETLDEVV >Ma04_p23790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25886652:25891250:-1 gene:Ma04_g23790 transcript:Ma04_t23790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLESDTRHSDLDRLFSKYGRVDRIDMKSGYAFVYFEDERDAEDAIHRLDGIPFGYSRRRLSVEWSKQGGRGPRDRDGSRPAANTRPTRTLFVINFDPIHTRVRDIERHFESYGKILNVRIRRNFAFVQFETQEEATKALECTNLSKILDRMVTVEYAFRDDDDGDHGDVRDSRGGYGKQDDRAYGRSDSPGYRRDRPSPDYGRARSPVYDRYNGPSHDRSPEYGRYRSRSPPGRRSRT >Ma04_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25886652:25891186:-1 gene:Ma04_g23790 transcript:Ma04_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLESDTRHSDLDRLFSKYGRVDRIDMKSGYAFVYFEDERDAEDAIHRLDGIPFGYSRRRLSVEWSKQGGRGPRDRDGSRPAANTRPTRTLFVINFDPIHTRVRDIERHFESYGKILNVRIRRNFAFVQFETQEEATKALECTNLSKILDRMVTVEYAFRDDDDGDHGDVRDSRGGYGKQDDRAYGRSDSPGYRRDRPSPDYGRARSPVYDRYNGPSHDRSPEYGRYRSRSPPGRRSRT >Ma04_p39690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36712721:36723169:1 gene:Ma04_g39690 transcript:Ma04_t39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSDGQNSKGNMWVLDQKLDQPMEEEAGRLRDMHREKRLTSAAILRLAFQSLGVVFGDLGTSPLYVFCNAFPRGVDDPEDVVGALSLIIYSLTLVVLLKYVLVVLRANDNGRGGTFALYSLLCRHAKIKTIPDQHRTDEELTTYSCQTYEDNSLAAKVRWWLEAHAYKKNTILVLVIIGTCMVIGDGILTPAISVLSAASGIKVNHPERSNDAIIIVAVIILIGLFSMQHYGTDRVGWLFAPIVLLWFVMIGVIGAMNIWKYDHSVLRAFSPVCVYWYLRRGHNIWTSLGGIILSITGTEALFADVCLFPVLAVQLAFTLVVFPCLLLAYAGQAAYITKNKHHVADAFYLSIPDVIYWPVFIVAAAAAVIGSQATISATFSIIKQAQALRCFPRVKIIHTSKKNLNQIYIPDINWVLLILCIAVTAGFGNKSQIGNAYGTAVVIDMLVTTLLMIPIMLLVWRSHWTLVTAFASLSLLVELTYLSAVLLKVDQGGWVPLVVAAAFLLTMYVWHYGTKKRYEFEMHSKVSVAWILGLGPSLGLVRVPGIGLIYTELASGVPRIFSHFITNLPAIHSVVVFVCVKYLPVYSVPSEERFLVKRIGPKDYHIFRCVVRYGYKDLHRKDDFEKMLFESLTLFVHMEAVEEYYSDSTNVVTTMNGSTSSSAVDLTHSSSVDSSVPVQSYQSDCQVRSFGRNADLTEHEELEFLNKCRDAGVVHFLGNTIIRAQRDSHFLKKIAVNYIYSFLRRICREKSVIFKVPHESLLNVGQVLYV >Ma06_p32990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33790553:33805276:1 gene:Ma06_g32990 transcript:Ma06_t32990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILVAVRQQSNSGTKAKEDLAAATHAVQELMHKIHEIKTKAEQSETMVQEICRDIKKLDCAKKHITITITVLHRLTMLVSAVEQLQIMASKRQYKEAAAQLEAVNQLCSHFETYRDVPKISELREKFKNIKKILKSHVFSDFSSLGTGKEVEETNLLQQLTDACLVVDALEPSVREELVRNLCNKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRTNEEIWKIFPSAWHVDYLLCIQFCKLTRTQIMDILSNLKEKPDVATLLLALQRTLEFEEEMAEKFSGGTASTHDKELGSDVEETGLGESNKQIVLDIRKKYEKKLAVQHGGDEAEIDKQRDLSVHGAGFNFRGIVSSCFESHLTVYIELEEKTLMEHLEKLVQEETWETEEGSQTNILSSSMQLFLIIRRSLKRCSALTKSQTLFNLFEVFQRILKAYATKLYARLPKGGTGIVAAATGTDGQIKTSDRDERMICYIVNTAEYCHKTSGELAENISKIIDPSFADKVDMSEVQDEFSAVITKALVTLVHGLETKFDAEMTAMTRVPWATLESVGDQSEYVNGINSILSSSIPVLGSLLSTTYFQFFLDKLAASLGPRFFLNIFKCKHISETGAQQMLLDTQAVKTILLEIPTLGKQATVATSYSKFVSREMSKAEALLKVILSPIDSVASTYRALLPEGTPAEFQRILDLKGLKKADQQAILGDFNKHNTVIRHPSVVAPSVAIPSAPPSAPAAPTVTATSTPSINVAPSAAISSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >Ma06_p32990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33792038:33805276:1 gene:Ma06_g32990 transcript:Ma06_t32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRQYKEAAAQLEAVNQLCSHFETYRDVPKISELREKFKNIKKILKSHVFSDFSSLGTGKEVEETNLLQQLTDACLVVDALEPSVREELVRNLCNKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRTNEEIWKIFPSAWHVDYLLCIQFCKLTRTQIMDILSNLKEKPDVATLLLALQRTLEFEEEMAEKFSGGTASTHDKELGSDVEETGLGESNKQIVLDIRKKYEKKLAVQHGGDEAEIDKQRDLSVHGAGFNFRGIVSSCFESHLTVYIELEEKTLMEHLEKLVQEETWETEEGSQTNILSSSMQLFLIIRRSLKRCSALTKSQTLFNLFEVFQRILKAYATKLYARLPKGGTGIVAAATGTDGQIKTSDRDERMICYIVNTAEYCHKTSGELAENISKIIDPSFADKVDMSEVQDEFSAVITKALVTLVHGLETKFDAEMTAMTRVPWATLESVGDQSEYVNGINSILSSSIPVLGSLLSTTYFQFFLDKLAASLGPRFFLNIFKCKHISETGAQQMLLDTQAVKTILLEIPTLGKQATVATSYSKFVSREMSKAEALLKVILSPIDSVASTYRALLPEGTPAEFQRILDLKGLKKADQQAILGDFNKHNTVIRHPSVVAPSVAIPSAPPSAPAAPTVTATSTPSINVAPSAAISSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >Ma08_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33569301:33570063:1 gene:Ma08_g19730 transcript:Ma08_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPASVCMASSAYFLFLLHLFAFANVAPASGHVYAAPKEKMTHLHFYFHEIYGGPNTTTITVVNPPGNNSFNNFGSIGVGDNMLREGPNPSSKLIGRAQELAVQASLESPAYLSAFNFVFTAGEYNGSSISIFGRAVLTETMERGIIGGTGKFRMARGYTISRLIRRTGTTEIVLVVEYDAYIFHY >Ma04_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7441607:7442484:1 gene:Ma04_g10460 transcript:Ma04_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMGEAAGQAQMKKDEVMNKASETCQQGQQQAGGFLQQTGDQVKNMAQGAAEAVKNAVGMGGTNTTSTATTTTTRP >Ma10_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32526956:32527490:-1 gene:Ma10_g23770 transcript:Ma10_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNVFVILFFTLENSISKLSGRLLRPRSLFATRCPLPTPRAIGGWGGSTASLARSAVPSGSAPASTSTRVK >Ma04_p26640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28029497:28035431:-1 gene:Ma04_g26640 transcript:Ma04_t26640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSSLARVEELLSMIQMLNDCTTSNLGDAVRQWSTVAGVLAATDHKECLNQFLELNGLSFLNQWLQEALNLSVDVNGIVVEELICSLLTSFERLPIDHKRKSASGIGITIELLLDHKSIPIKEKARILYAKWELARNNDMSCHDQDQCRASESNHPGTCEDVKTNENCSNLVNSVVDVPPCSDISPLNSTENERLTTPNQVLSMSSKPINSNAEVAGVNSSGSSIISNSCPENLSITVESSVSVAVAVGNPSANTCSQSDQKGDADDQHDVAVLKDVPEVVKGMELDMREGKSCKSNQRETYSNSSSLAFSASLTPLMAATEPIIACKMDSDNDASFASKTMEHQPKAGDFDHRREQSLITAKDSNPAANLTSGFQDLSCTASIISNIGDPQLPCQREEALTGIVKDIDHVTKFKSCKGHFETSTDFFKVVGIKANKEISQKSELGLECLDDALEVARQVAIAVEREVVDYREPFCSSPEFNSGETTGSHSPESEEEKHDRAVTEEVDADSSSAGKDHSGTSSPEKESEITQNMSSDPEISEQDIESTKQQELVDKSIMIRCTFDLNADICSDKPECSIRPIQKMPINVTAPIAVVASSKGAPGLSVTPLHFGGEVGWKGSAATSAFRPASPRRTLEDERISSGSKQKSNFLQIDLNVTEMVDEVADIPASRRRVPASSSLPSGDSCVEVISRTEKKLNLDLNRLSDEDASMNPFSSWKLHFQHGEHSLSSASSSSYRQPSLRDFDLNDNPSLPDIGGSHNFDKSSTKASEYCVGPTPYDPVIKIMGSKIAAERKDNGNQVQHSFLPNGPNIEPTMVARPLLPCTNMPNLAYGYAGLPSAPTMTVPAAYYSPGSFSYMVDPRGATHLPHITGAGGLGGPSARPPFLLGASSVPSNMAGFGSSRTGFDLNGGMTSKEGGRFEQFFLQGHRGRTEDQTKTSAQPSSSGIALKRKEPDSGWEPSLYGFKHTMSRQ >Ma04_p26640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28029497:28035431:-1 gene:Ma04_g26640 transcript:Ma04_t26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSSLARVEELLSMIQMLNDCTTSNLGDAVRQWSTVAGVLAATDHKECLNQFLELNGLSFLNQWLQEALNLSVDVNGIVVEELICSLLTSFERLPIDHKRKSASGIGITIELLLDHKSIPIKEKARILYAKWELARNNDMSCHDQDQCRASESNHPGTCEDVKTNENCSNLVNSVVDVPPCSDISPLNSTENERLTTPNQVLSMSSKPINSNAEVAGVNSSGSSIISNSCPENLSITVESSVSVAVAVGNPSANTCSQSDQKGDADDQHDVAVLKDVPEVVKGMELDMREGKSCKSNQRETYSNSSSLAFSASLTPLMAATEPIIACKMDSDNDASFASKTMEHQPKAGDFDHRREQSLITAKDSNPAANLTSGFQDLSCTASIISNIGDPQLPCQREEALTGIVKDIDHVTKFKSCKGHFETSTDFFKVVGIKANKEISQKSELGLECLDDALEVARQVAIAVEREVVDYREPFCSSPEFNSGETTGSHSPESEEEKHDRAVTEEVDADSSSAGKDHSGTSSPEKESEITQNMSSDPEISEQDIESTKQQELVDKSIMIRCTFDLNADICSDKPECSIRPIQKMPINVTAPIAVVASSKGAPGLSVTPLHFGGEVGWKGSAATSAFRPASPRRTLEDERISSGSKQKSNFLQIDLNVTEMVDEVADIPASRRRVPASSSLPSGDSCVEVISRTEKKLNLDLNRLSDEDASMNPFSSWKLHFQHGEHSLSSASSSSYRQPSLRDFDLNDNPSLPDIGGSHNFDKSSTKASEYCVGPTPYDPVIKIMGSKIAAERKDNGNQVQHSFLPNGPNIEPTMVARPLLPCTNMPNLAYGYAGLPSAPTMTVPAAYYSPGSFSYMVDPRGATHLPHITGAGGLGGPSARPPFLLGASSVPSNMAGFGSSRTGFDLNGGMTSKEGGRFEQFFLQGHRGRTEDQTKTSAQPSSSGIALKRKEPDSGWEPSLYGFKHTMSRQ >Ma04_p26640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28029497:28035431:-1 gene:Ma04_g26640 transcript:Ma04_t26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSSLARVEELLSMIQMLNDCTTSNLGDAVRQWSTVAGVLAATDHKECLNQFLELNGLSFLNQWLQEALNLSVDVNGIVVEELICSLLTSFERLPIDHKRKSASGIGITIELLLDHKSIPIKEKARILYAKWELARNNDMSCHDQDQCRASESNHPGTCEDVKTNENCSNLVNSVVDVPPCSDISPLNSTENERLTTPNQVLSMSSKPINSNAEVAGVNSSGSSIISNSCPENLSITVESSVSVAVAVGNPSANTCSQSDQKGDADDQHDVAVLKDVPEVVKGMELDMREEPIIACKMDSDNDASFASKTMEHQPKAGDFDHRREQSLITAKDSNPAANLTSGFQDLSCTASIISNIGDPQLPCQREEALTGIVKDIDHVTKFKSCKGHFETSTDFFKVVGIKANKEISQKSELGLECLDDALEVARQVAIAVEREVVDYREPFCSSPEFNSGETTGSHSPESEEEKHDRAVTEEVDADSSSAGKDHSGTSSPEKESEITQNMSSDPEISEQDIESTKQQELVDKSIMIRCTFDLNADICSDKPECSIRPIQKMPINVTAPIAVVASSKGAPGLSVTPLHFGGEVGWKGSAATSAFRPASPRRTLEDERISSGSKQKSNFLQIDLNVTEMVDEVADIPASRRRVPASSSLPSGDSCVEVISRTEKKLNLDLNRLSDEDASMNPFSSWKLHFQHGEHSLSSASSSSYRQPSLRDFDLNDNPSLPDIGGSHNFDKSSTKASEYCVGPTPYDPVIKIMGSKIAAERKDNGNQVQHSFLPNGPNIEPTMVARPLLPCTNMPNLAYGYAGLPSAPTMTVPAAYYSPGSFSYMVDPRGATHLPHITGAGGLGGPSARPPFLLGASSVPSNMAGFGSSRTGFDLNGGMTSKEGGRFEQFFLQGHRGRTEDQTKTSAQPSSSGIALKRKEPDSGWEPSLYGFKHTMSRQ >Ma07_p01630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1271873:1278329:1 gene:Ma07_g01630 transcript:Ma07_t01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLIMASRAPVGGFQRLSSALSSAALEWMLMLLLFFDALFVYLVTRFSRLCMLQKPCMFCSRLDHVFGNEKRSFYLDLICETHRSEISLLGCSNGHEKLADVHTVCQGCFRSFDTEGTSNSETYKSLVGELKGHLEDGEDVQDIDGLHLFHGDELANVPFLKKDDELHAIKSLEDKSIRVDVSEVDISLSSSNGHSYLQNKDGARKTREKTLVSPAYQYSKNQEHDHFSHVGYSEVKITSDSDSDLEIQFTDDDEGNSPSHRAETVMYDLVSHVEESEGVTLIKNDLSGSVSGERATEKLIRADQISVSDAKPLEKLTDPAPVISDPFESIPEKQRNADNLKFSDAAYGQTTTIDDAKDCCTTDINLRTSHDAKFSVSDDKALEKLMHSDPVITEPSESISENQTNVGELQDASTFSSSGAAGHFLEDSNCNQIEVKAIPPQSEFVPQDSQEVLLEDSNVKACTGTTSVDDAKDWCTTNIDLGTSHDASDPGQSMSTRMDLNDAYKIAVGDKGSLSSPRFTDVIIGRDSSRVQEDLKLLISQISAAQGLESPWNEMSPSPRVYGQGDEYILQNITKTLSLERNESGLESLDGSIVSEVEGESPVERLKRQVELDRKSISLLFKELEEERSASAIAANQAMAMITRLQEEKAAMHMEALQYQRMMEEQAEYDHEALQKCNKLLTQREKMIQGLEAEVESLRICFVEGLSTDNSVEQSDNFHDKEIASWNKSGEPHVTCQNSRWSEFGDLKDPLSCFEDEEAYILNCLTKLEKKLHLFSNNGVYDDSSSFNLNADDENGLPDKTCGDVDGEFFVERNVVSEGGVGTNGQIFDEVQVSSQEKIYQKDGPPENIQVGENIMMEEKISGKSSSSSEGNRGDSYDIDKQKLLKVGNKNELVALENEVSRLSQRLEALEADRNFLEHAINSLRSGNNGVQIIQEIACDLRELRRIAITR >Ma07_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1271874:1278329:1 gene:Ma07_g01630 transcript:Ma07_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLIMASRAPVGGFQRLSSALSSAALEWMLMLLLFFDALFVYLVTRFSRLCMLQKPCMFCSRLDHVFGNEKRSFYLDLICETHRSEISLLGCSNGHEKLADVHTVCQGCFRSFDTEGTSNSETYKSLVGELKGHLEDGEDVQDIDGLHLFHGDELANVPFLKKDDELHAIKSLEDKSIRVDVSEVDISLSSSNGHSYLQNKDGARKTREKTLVSPAYQYSKNQEHDHFSHVGYSEVKITSDSDSDLEIQFTDDDEGNSPSHRAETVMYDLVSHVEESEGVTLIKNDLSGSVSGERATEKLIRADQISVSDAKPLEKLTDPAPVISDPFESIPEKQRNAGELHDISTVSLSGATTQCLDDSNQEQIDVNAILPQSEYVPQGPQELLVEDSHVKDNLKFSDAAYGQTTTIDDAKDCCTTDINLRTSHDAKFSVSDDKALEKLMHSDPVITEPSESISENQTNVGELQDASTFSSSGAAGHFLEDSNCNQIEVKAIPPQSEFVPQDSQEVLLEDSNVKACTGTTSVDDAKDWCTTNIDLGTSHDASDPGQSMSTRMDLNDAYKIAVGDKGSLSSPRFTDVIIGRDSSRVQEDLKLLISQISAAQGLESPWNEMSPSPRVYGQGDEYILQNITKTLSLERNESGLESLDGSIVSEVEGESPVERLKRQVELDRKSISLLFKELEEERSASAIAANQAMAMITRLQEEKAAMHMEALQYQRMMEEQAEYDHEALQKCNKLLTQREKMIQGLEAEVESLRICFVEGLSTDNSVEQSDNFHDKEIASWNKSGEPHVTCQNSRWSEFGDLKDPLSCFEDEEAYILNCLTKLEKKLHLFSNNGVYDDSSSFNLNADDENGLPDKTCGDVDGEFFVERNVVSEGGVGTNGQIFDEVQVSSQEKIYQKDGPPENIQVGENIMMEEKISGKSSSSSEGNRGDSYDIDKQKLLKVGNKNELVALENEVSRLSQRLEALEADRNFLEHAINSLRSGNNGVQIIQEIACDLRELRRIAITR >Ma10_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1779265:1781023:-1 gene:Ma10_g00540 transcript:Ma10_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVETLILKFLTWMILGFPVDEEKMPASRLNLIMYQGNKLYCLWFKLLRLVM >Ma01_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19913287:19914312:1 gene:Ma01_g21050 transcript:Ma01_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAVEETPSPSPSSSPPPPYQVGSTTKGKRSKRPRPSPSSSPEVPDEDADRLSRRRLNTEEEFYALCLVMLSRDVGGGAAFEHEHVPPPPLPPPPPKAQSYGCSVCGKAFPSYQALGGHKTSHRKPAATTAAARGDDAASVSNGGGAPVVVAGSLGKLHECSVCHKSFPTGQALGGHMRCHYDGVIGGRATAGTAITATAASSSGAASSGRDRGFDLNRPPQPQLRETGLVGRLSAARKEEGEEVLSPLLLTPKKARPLAAAVKADMEPPLPTLISFI >Ma07_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27296112:27299901:-1 gene:Ma07_g19260 transcript:Ma07_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAFLKVVFKNFDVLAGPVVTLVYPLYASVKAIETKSPVDDQQWLTYWVLYSFITLFELTFAKVLEWIPFWSYAKLMLSCWLVLPYFNGAAYVYQHFVRPLFVNHQTVNVWYVPGKKDTFDKPDDLLSAAERYIEENGPEAFEKLISKSERASKSRSKRTILEEAQTVGVSHAERESKSWSENYPIFDQDYRY >Ma09_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5348626:5351373:1 gene:Ma09_g08130 transcript:Ma09_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGETIDEEQGLIGPRPRPGGGGEGVSGRRTWPTVDGPHGLSEEESAAYARSYFYWGFALLPLLWAVNCCYFWPVLRNSHPSPHVRRYVMPSAIGFTIFTVLLGSWSLTFLIGGEQLFGPAWNDLVMYNLADKLGLTGWT >Ma05_p29740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40327758:40330713:-1 gene:Ma05_g29740 transcript:Ma05_t29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFIVFLGEFSMNWRRMERLQKWRRMVRQQKGKLYIMRICITMLICRLSNEGAILVLLTLMKKTRWRWEVGVWLLLLLRLISLRIYFRMLRLVDRDSGTMSSM >Ma10_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29191081:29193541:-1 gene:Ma10_g18100 transcript:Ma10_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALREEVARGLSPARSRSRSESPRRGASPVADLLLPTRWKRQQPRGFPAELLVPASGSLAPLAEGPEGEGSGPGKGGWGQWMKGRLSRAPSVSGAAASCHRSDLRLLLGVMGAPLAPVHVSPTYALPHLSIKDTPIETSSAQYILQQYTAASGGLKLLSSIRNAYAMGKVRMVASEFETATRVIKNHSVTKDAEPGGFVLWQMAPGMWYVELAVGGSKVRAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFSDAQCIGEKKVNGEDCFILKLCADPQTLKARSEGPAEIIRHVLFGYFSQKTGLLTYMEDSHLTRIQSSVGGDAVYWETSINSSIEDYRPVEGVMIAHSGRSAVNLFRFGEVAMSHTKNRMEEVWTIEEVAFNVAGLSVDCFIPPADVKRGTVSEACELPQSERGRSSMAGSHRAKVAALDKPDGNIRWRAEK >Ma09_p31180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41002779:41004472:-1 gene:Ma09_g31180 transcript:Ma09_t31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLASIPMVVATMLFLIIFIKKEFSKSEAQYPRPPPGPWRLPIIGCMHHFAGQIHFRAFRHLSLTYGPLMLVRIGQVNFAVASSREAAQEILKNQDPNFAARPELVVGQILCYGCSDVAFSPYGPYWKQLRNIFLAELLGTKHIQSSASIREEETLNLIRDISTATQPINLSEKLRRMSNAIISRAAIGSRSKHQETLILVAREITDVLGRVYAVDMFPSLKLLHVLSCAKFKLHRLRRRFDKIFDDIVKEHEVKAKMNKGRQVAEVKEDIIDALLRLKDESELQVPMTMDGIKAVILDMLVGGTENSSMVIEWAMSELMKNPKIMEKAQTEVMEELKGKNRIRETDVVELNYLKSIVKETLRLHPLTTLIPRMCRKTCEVLGYEIEAGTRVLVNAWAINRDPQYWEEAESFRPERFESKSIDFKGGNFEYLPFGAGTRRCPGMEFGLATVHLSLAQLLLYFDWKLPDGRKPRELNMSETDGFTVAWKTELKLLATPRIPIPSTVQGL >Ma06_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13500665:13502347:1 gene:Ma06_g19580 transcript:Ma06_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTFGSLLALLLISYLSITMATAAYNVVDFGAKPDGRTDAAKAFLAAWAAACGSNQPATIIVPSGGFLVSQALFSGPCSNTGMRMFIKGTLMAPAGYSDSTQWITIKYVEGLSIYGGRLDGRGQAFWACKNARRSCPYGATSLTIGQSKNLLLSGIRLVNSELFHMSIFASSGITLQGATITAPDDSPNTDGIHIQMSSFVTITGSTMRTGDDCISMGPGSTDVWIENIKCGPGHGISVGSLGGEAQEAGVQNITVRAVEFTGTQNGLRIKTWAKPSNGFVKGVTFEHAVMKNVQNPIVVDQNYCPGTVNCPGPSSGIKISQVKFNDVHGTSATQVAVKLDCSPSNPCTGIELQDIKLTYQNERSLSYCKNVKGTASGVMIPSSCL >Ma08_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35901599:35908973:-1 gene:Ma08_g22270 transcript:Ma08_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MDEPEGVEPICCIIKDLQSSNLKGDLQVKSKMFEKMNRDCDESNNNLCVSKIQDALASLTVQLKELSIRRRQALNDFLVLKGNIRVFCRVRPFLPDEICGYARRVLTSDTTKLFLRIADDKNKQYSFDKVFHPQSTQEEVFSEIEPVIKSALDGYNVCIFAYGQTGTGKTYSMEGVPSNLGLVPRGIRAIFEQALESNYTFQFTFSMLEIYMGTLRDLLVPQSTKQRVHKACLSIQMNSLGGIEIENLVSIKVSNFEQVKRLYNLGSRSRSTASTKSNLKSSRSHCLTCISLTCSAAPERQKETNKIWMVDLGGSERLLKTQATGRRLEEGKAINLSLSALGDVISALQHKKNHVPYRNSKLTQVLRDSLGSDSKTLMFVHVSPKEEDLCETICSLGFATRVRSIHLESEKSPELQAKKEVEMAKLQQTITELESEQKDVQRETGRLNERLTLLTGFDQSNDVHAKGSLTVTGDLHFNGEVDLHNAKGFKRTSPSLPRFMKPTICSKQKTGSVHLSSTGTRKKSAIPSNMKRSASVYAESITSQAKDTACLSDYGSDFSMSTGYAIQGHGADDDTECSQGTSEYEIKQVIFPEQEKSPRSSMTSLHDGCFNNGSLKVKEINKNKHFTEDWLHLQTTGQTRTHNVGGKRIFAIPVDKRNIMCKQQNRVIYCNQAEIQNFRKRNSGTTKKTNLNGVDSLKSIVLDEARRFIVCSLTDMEDTQLDQNQDHDQVAAERTGGQVQEDLTVVTVLTETPGFRENPISVDSYSEDCSRPEQQENKEESCMLFRTPRRSLFATHSLQPDQHTDLKESMIHITSCHDEEHGTNGLFLKTIQRLWASVLLGLGIQSLGLGCDFFHGLLH >Ma08_p22270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35901599:35908841:-1 gene:Ma08_g22270 transcript:Ma08_t22270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MFEKMNRDCDESNNNLCVSKIQDALASLTVQLKELSIRRRQALNDFLVLKGNIRVFCRVRPFLPDEICGYARRVLTSDTTKLFLRIADDKNKQYSFDKVFHPQSTQEEVFSEIEPVIKSALDGYNVCIFAYGQTGTGKTYSMEGVPSNLGLVPRGIRAIFEQALESNYTFQFTFSMLEIYMGTLRDLLVPQSTKQRVHKACLSIQMNSLGGIEIENLVSIKVSNFEQVKRLYNLGSRSRSTASTKSNLKSSRSHCLTCISLTCSAAPERQKETNKIWMVDLGGSERLLKTQATGRRLEEGKAINLSLSALGDVISALQHKKNHVPYRNSKLTQVLRDSLGSDSKTLMFVHVSPKEEDLCETICSLGFATRVRSIHLESEKSPELQAKKEVEMAKLQQTITELESEQKDVQRETGRLNERLTLLTGFDQSNDVHAKGSLTVTGDLHFNGEVDLHNAKGFKRTSPSLPRFMKPTICSKQKTGSVHLSSTGTRKKSAIPSNMKRSASVYAESITSQAKDTACLSDYGSDFSMSTGYAIQGHGADDDTECSQGTSEYEIKQVIFPEQEKSPRSSMTSLHDGCFNNGSLKVKEINKNKHFTEDWLHLQTTGQTRTHNVGGKRIFAIPVDKRNIMCKQQNRVIYCNQAEIQNFRKRNSGTTKKTNLNGVDSLKSIVLDEARRFIVCSLTDMEDTQLDQNQDHDQVAAERTGGQVQEDLTVVTVLTETPGFRENPISVDSYSEDCSRPEQQENKEESCMLFRTPRRSLFATHSLQPDQHTDLKESMIHITSCHDEEHGTNGLFLKTIQRLWASVLLGLGIQSLGLGCDFFHGLLH >Ma07_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5341964:5344158:-1 gene:Ma07_g07300 transcript:Ma07_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQIEIPYHMVHGCGGGEEHFFPGGDGSVVSAAINPTLPWCLPSIHSIGETHHQFSHSNPGLDQQLICPDLPPFAPPLYADMYNSRRTLSGLQFPSDSPGLMAGATVGLHRFLRAEGSASSSLFGTIHEELEKLTAQEIMEAKAFAASKSHSEAERRRRERINGHLAKLRSMLPNTTKTDKASLLAEVIQHVKELKRQTLEIVEESPLPTEDDELTVDSICDDDGKFIVRASLCCDDRPDLLPDLTSALKTLKLRILKAEITTVGGRVKNVLVITEEHNASGYDDEQELVAAIEDALKGVVEQTAEHDLSSSGGTKRQRTTSLLSAVEHSSI >Ma05_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5930054:5930738:-1 gene:Ma05_g08000 transcript:Ma05_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLFLVVPNLAQSQILFQGFNWESWRHQGGWYNFLKDKVSDIANAGVTHVWLPPPSHSVGVQGYMPGRLYDLGASKYGNQDELKALIGVFHHKGVKCVADIVINHHCADKQDGRGIWCIFEGGTDDARLDWGPHMICRDDTQYSDGIGNLNTGEGFAAAPDIDHLNTQV >Ma10_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17692284:17697355:1 gene:Ma10_g06170 transcript:Ma10_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGPLLPKCSWEARRSLPWAHWKYLAEDASCLLENSTRVVGLDIDRHSWGPSNQSISLRSRTTSLLIERERENSGAPLIACSLPDKLSTSLEYDGSAVREILGSTYRPETSTTTCLINLPRTGIT >Ma03_p31290.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33555522:33560125:1 gene:Ma03_g31290 transcript:Ma03_t31290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNQNFGGVKSKNSPEESLQRWRKLVGVVKNPKRRFRFTANLSKRSEAAAMMRSNQEKLRVAVLVSKAALQFIHGNLSVSFVSIVHQQMCSGIALQSEYTVPNEVKEAGFQICADELSSIVEGHDVKKLKIHGGIDGVADKLSTSITNGLTTADESLRHRQNVYGVNKFTESEVRSFWVFVWEALQDMTLMILAVCAVVSLVVGIATEGWPKGAHDGLGIVSSILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTREGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNADNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLAFAVVTFAVLAEGQLRRKFQEGSHWTWSADDALELLEYFAIGVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKACICGSIKEVGNHEEVKIMCSQVPDVVVKVLMQSIFNNTGGEVVITQYGKLEILGTPTETALLEFGLSLGGDFQAVRQETKLVKVEPFNSMKKRMGVVIELPGGGCRAHCKGASEIILAACSKVLDPAGNAVPLDEATVGHLNGVIESFANEALRTLCLAYMEVENGFAADDHIPVDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTNDGQAIEGPEFRNKSIEEMRDLIPKLQVMARSSPLDKHTLVKHLRTVFCEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGHAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNAMWRNIVGQSFYQFIVIWYLQREGKVLFRLEGPESDLGLNTLIFNCFVLCQVFNEISCREMEKINVFHDISENYVFVAVISCTIIFQFIIVQFLGDFASTTPLTLSQWLVCVFIGFLGMPIAAVIKMVPV >Ma03_p31290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33555522:33560125:1 gene:Ma03_g31290 transcript:Ma03_t31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNQNFGGVKSKNSPEESLQRWRKLVGVVKNPKRRFRFTANLSKRSEAAAMMRSNQEKLRVAVLVSKAALQFIHGIALQSEYTVPNEVKEAGFQICADELSSIVEGHDVKKLKIHGGIDGVADKLSTSITNGLTTADESLRHRQNVYGVNKFTESEVRSFWVFVWEALQDMTLMILAVCAVVSLVVGIATEGWPKGAHDGLGIVSSILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTREGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNADNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLAFAVVTFAVLAEGQLRRKFQEGSHWTWSADDALELLEYFAIGVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKACICGSIKEVGNHEEVKIMCSQVPDVVVKVLMQSIFNNTGGEVVITQYGKLEILGTPTETALLEFGLSLGGDFQAVRQETKLVKVEPFNSMKKRMGVVIELPGGGCRAHCKGASEIILAACSKVLDPAGNAVPLDEATVGHLNGVIESFANEALRTLCLAYMEGPEFRNKSIEEMRDLIPKLQVMARSSPLDKHTLVKHLRTVFCEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGHAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNAMWRNIVGQSFYQFIVIWYLQREGKVLFRLEGPESDLGLNTLIFNCFVLCQVFNEISCREMEKINVFHDISENYVFVAVISCTIIFQFIIVQFLGDFASTTPLTLSQWLVCVFIGFLGMPIAAVIKMVPV >Ma03_p31290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33555522:33560125:1 gene:Ma03_g31290 transcript:Ma03_t31290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNQNFGGVKSKNSPEESLQRWRKLVGVVKNPKRRFRFTANLSKRSEAAAMMRSNQLRVAVLVSKAALQFIHGNLEYTVPNEVKEAGFQICADELSSIVEGHDVKKLKIHGGIDGVADKLSTSITNGLTTADESLRHRQNVYGVNKFTESEVRSFWVFVWEALQDMTLMILAVCAVVSLVVGIATEGWPKGAHDGLGIVSSILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTREGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNADNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLAFAVVTFAVLAEGQLRRKFQEGSHWTWSADDALELLEYFAIGVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKACICGSIKEVGNHEEVKIMCSQVPDVVVKVLMQSIFNNTGGEVVITQYGKLEILGTPTETALLEFGLSLGGDFQAVRQETKLVKVEPFNSMKKRMGVVIELPGGGCRAHCKGASEIILAACSKVLDPAGNAVPLDEATVGHLNGVIESFANEALRTLCLAYMEVENGFAADDHIPVDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTNDGQAIEGPEFRNKSIEEMRDLIPKLQVMARSSPLDKHTLVKHLRTVFCEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGHAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNAMWRNIVGQSFYQFIVIWYLQREGKVLFRLEGPESDLGLNTLIFNCFVLCQVFNEISCREMEKINVFHDISENYVFVAVISCTIIFQFIIVQFLGDFASTTPLTLSQWLVCVFIGFLGMPIAAVIKMVPV >Ma03_p31290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33555522:33560125:1 gene:Ma03_g31290 transcript:Ma03_t31290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNQNFGGVKSKNSPEESLQRWRKLVGVVKNPKRRFRFTANLSKRSEAAAMMRSNQEKLRVAVLVSKAALQFIHGNLSSEYTVPNEVKEAGFQICADELSSIVEGHDVKKLKIHGGIDGVADKLSTSITNGLTTADESLRHRQNVYGVNKFTESEVRSFWVFVWEALQDMTLMILAVCAVVSLVVGIATEGWPKGAHDGLGIVSSILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTREGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNADNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLAFAVVTFAVLAEGQLRRKFQEGSHWTWSADDALELLEYFAIGVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKACICGSIKEVGNHEEVKIMCSQVPDVVVKVLMQSIFNNTGGEVVITQYGKLEILGTPTETALLEFGLSLGGDFQAVRQETKLVKVEPFNSMKKRMGVVIELPGGGCRAHCKGASEIILAACSKVLDPAGNAVPLDEATVGHLNGVIESFANEALRTLCLAYMEVENGFAADDHIPVDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTNDGQAIEGPEFRNKSIEEMRDLIPKLQVMARSSPLDKHTLVKHLRTVFCEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGHAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNAMWRNIVGQSFYQFIVIWYLQREGKVLFRLEGPESDLGLNTLIFNCFVLCQVFNEISCREMEKINVFHDISENYVFVAVISCTIIFQFIIVQFLGDFASTTPLTLSQWLVCVFIGFLGMPIAAVIKMVPV >Ma03_p31290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33555522:33560125:1 gene:Ma03_g31290 transcript:Ma03_t31290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNQNFGGVKSKNSPEESLQRWRKLVGVVKNPKRRFRFTANLSKRSEAAAMMRSNQEKLRVAVLVSKAALQFIHGIALQSEYTVPNEVKEAGFQICADELSSIVEGHDVKKLKIHGGIDGVADKLSTSITNGLTTADESLRHRQNVYGVNKFTESEVRSFWVFVWEALQDMTLMILAVCAVVSLVVGIATEGWPKGAHDGLGIVSSILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTREGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNADNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLAFAVVTFAVLAEGQLRRKFQEGSHWTWSADDALELLEYFAIGVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSSTSICSDKTGTLTTNHMTVVKACICGSIKEVGNHEEVKIMCSQVPDVVVKVLMQSIFNNTGGEVVITQYGKLEILGTPTETALLEFGLSLGGDFQAVRQETKLVKVEPFNSMKKRMGVVIELPGGGCRAHCKGASEIILAACSKVLDPAGNAVPLDEATVGHLNGVIESFANEALRTLCLAYMEVENGFAADDHIPVDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTNDGQAIEGPEFRNKSIEEMRDLIPKLQVMARSSPLDKHTLVKHLRTVFCEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGHAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNAMWRNIVGQSFYQFIVIWYLQREGKVLFRLEGPESDLGLNTLIFNCFVLCQVFNEISCREMEKINVFHDISENYVFVAVISCTIIFQFIIVQFLGDFASTTPLTLSQWLVCVFIGFLGMPIAAVIKMVPV >Ma05_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6012044:6014096:-1 gene:Ma05_g08100 transcript:Ma05_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLRLLLLLVILDSLMSPSMAEIKNLEISDDSRQVILFENFGFNHRGTVTIALSGVSISSSAVAPAVDPSLLGFFLVSDESLIQAAYDSQQNPNPSSYPDCVLRSPYVHLLFTFENLSPPPPGGAFNNSFAVSHPDEYSLYFANCGPGAAAVTMSVRTETYNTRPDGSRDYLSVGKSPVPSLYTFFAVTYAVFLGAWIYLTLFQNRISSHGIHYLMAGLLLSKALYLVFAAEDQHYIRQTGTPHGWDIPFYLFQFLKGVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQIIANIASVVIGETGPFIRDWVTWNQVFLLIDIICCCTVLFPIIWSIRSLRETSKTDGKAARNLMKLTLFRQFYIIVIGYLYFTRIVVYASGTITSYKYRWVSVAAEETVSLLFYMFMFYMFRPVERNQYFMLDEEEEEAAELALREEEFEL >Ma10_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24563751:24564705:-1 gene:Ma10_g10810 transcript:Ma10_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRGFSFTTVFFFFFAVVFAIASAAEEPHGFLQSEKLVKKPVREKLSHLRFYWHDVVSGPDPTAVPVARAAASSTNASASGFGTVVVIDDPMTVGPEMSSRLVGRAQGFYALAAKEETALLMAMNLAFVEGKYNGSTITVLGRNAVFSDVREMPVVGGSGLFRLARGYAQARTYSVDLGTRDAVVEDNVFVMHY >Ma09_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2455410:2457966:-1 gene:Ma09_g03710 transcript:Ma09_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSWKALLLMVFFLLPLLAQGMTRHYKFDVVEKSFTRLCSTKSIVTVNGQFPGPTLYAREDDTVVVKVVNRVKVNVTIHWHGVRQLRTGWADGPAYITQCPIQPGQSYVYNFTLAGQRGTLLWHAHISWQRATVHGAIVILPKRGVAYPFFPTPHKEVVLVLAEWWRSDVEAVIDEAMNSGRAPNVSDAHTINGHAGPVSGCASSAQDGLTVRVDKGKTYLFRIINAALNEDLFFKVAGHQLTVVEVDATYTKPFTTDTLLITPGQTTNVLLTADQGAGRYLVAASPFADSPLVAVDNRTATATVQYASSVSASAVVTTTKPPPQNATPVASSFVDTLRSLNSKQYPAKVPLMVDRSLLFTVGLGVNPCATCTNGSRVVADINNVTFVMPTTALLQAHYFNTSGVFTDDFPGQPPIAFNYTGSGPSNMQTMNGTRLYRLSFNASVQLVLQDTGVIGPESHPIHLHGYNFFVVGRGVGNYDPKTSPSKFNLVDPVERNTIGVPTGGWTAIRFRADNPGVWFLHCHFEVHTSWGLKMAFVVDDGKGTNESLLPPPSDFPAC >Ma06_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21321017:21321636:1 gene:Ma06_g23380 transcript:Ma06_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDSEVWARPLSGYRTVVVLLNRSLEFRIITAQWDDIGLPPNTVVEVKDLWKHATLEKRFVNELRADVHHHSCKMFLLTPLTLSEEDEPKV >Ma01_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20701767:20702568:-1 gene:Ma01_g21190 transcript:Ma01_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFNKLKKLLNFLLLILYEDIGIRLPKGVIPYGEPRIGIIFLLWAVANSTSATFLHVFGSELIHKYLGDGPKLLYRHPQQSPVASSFTTTDVVCYHQLKY >Ma11_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6706121:6710836:1 gene:Ma11_g08420 transcript:Ma11_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIRHLRWRLRPLAALSGPSFSTLTASASAAAVETERTIRDGPRNDWSRDEIKSIYDSPILDLLFHGAQVHRHTHKFREVQQCTLLSIKTGGCTEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRDTFGRKTNFNQILEYVSEIRAMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDERLATLQHVREAGISVCSGGIIGLGEGEEDRVGLLHTLATLPMHPESVPINALVPVKGTPLQDQKPVEIWEMIRMIATARIVMPRAMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTPNNDFDADQMMFKILGLTCKAPSFPEAESTLGPQTCEEAISNVG >Ma04_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11750210:11750320:1 gene:Ma04_g15490 transcript:Ma04_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICQPRSIATSGLLPRHLPMHCEPTICYISLYRLDF >Ma04_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9818958:9821890:1 gene:Ma04_g12970 transcript:Ma04_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEDRRTISKKHVAGFAIPWVPLILILLGFPPASRAASDYRDALSKSLLYFEAQRSGRLPHDQRVAWRGNSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTITMLSWSMVEYGDGVAEAGELKHALEAIKWGTDYFIKAHTQPNVFWAQVGDGDTDHYCWQRPEDMTTSRQAYKIDAEHPGSEVAGETAAAMAAASMVFQVINPHYSHVLLHHAQELFAFADEHRGKYDDSIGAAVKSFYPSVSGYADELLWAALWLHRATGREEYLDYVIRNADELNGTTWAISEFSWDIKYAGLQILASKLLMEKGKDLQAEQKTVLEEYRSKAEHYLCSCLNMNGEDGSNVHRTPAGLLFVRHWNNMQYVAGAVFLLTVYSDYLAASNQRLHCPRGSLGSRDLLAFAKSQVDYILGANPAGVSYLVGHGARYPTRVHHRAASSVSYKEDKSFIGCSQGYDEWYGSRSENPNVLVGALVGGPDDTDEFSDARGNHMQTEACTYNTALMVGVFAKLSELEGRSSSSSSRKLHHTNSILSKDM >Ma08_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7081215:7089620:-1 gene:Ma08_g09760 transcript:Ma08_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGLALFKVLDEGKLDKVEDLWKEFATSESARQIVKLKAFNKFENTSDALSAATLLIDSKPSKGLRKFLRAHCDGETLAVADSKLGNAIKEKLKIDCLHNNAVMELMRGLRNQLTELISGLAVQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELSKIVQDNIQYAKVVKLMGNRTNAVNLDFSEVLSEDTEAELKEAAVISMGTEVSDLDLANIKELCDQVLALSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIFHASLIGQAAPKIKGKISRSLAAKTALAIRYDALGDGQDNTMGLENRAKLEARLRVLEGRELGRSAGSTKGKPKIEFYDKDRKKGAGALITPAKTYNPSADLVLGQTTDPAPKKPDSEHEVARPKRKHGEAELAPTGEAAEGSLKEEGDGEGEKRKKKKRKVESVDTVNQIPIDEAEEPMKKKKKKKKDEAHASELANRDDSAAPESKEELSKEEKKKKKKKQAEEVQEAGDSASKKKNKKKKKKDMGQ >Ma03_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13488573:13491904:-1 gene:Ma03_g14540 transcript:Ma03_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVIRRRSDDCMICLVVYLTRTTQIGCLQSSFISSFSGPSSPPRTPPTDWTSASKRELSRFLLPPPPQKKTLPPFAPAAGGSTPIDISMKREGEVVRVGKYEVGRTLGEGNFAKVKLARHVDSGRCFAVKVLERKRILDLHTHDQIKREIGTLKLLKHPNVVRLYEVLASKTKIYMVLEYVDGGELFDRIESKGRLSEPEGRKLFQQLIDAVSYCHEKGVFHRDLKPENVLLDTQGNAKISDFGLSALPQQFGHDGLLHTTCGSPNYVAPEVIANKGYDGAMSDIWSCGVILYVILTGCLPFDDTNLAVLYQKILKGDVQIPKWLSPGARNLITRILDPNPHTRMNMAQIKEDKWFKQDYDPAKPEEDEEDISSDDEAFSIKEVAEAERHGDAYTHINAFELIGMSSCLDLSGFFEEEDASGRKIRFTSNCQPEELLKKIEDIVSGMGFQVQRGHGKLKVVQLCDSKSSSRSFSAVAEVFELGPSLYVVELRKTQGDSSLYRQLWAKLSDNLGVYKSQEALTKQPLLTELTGLGGSPVIAA >Ma06_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8340495:8343907:-1 gene:Ma06_g11970 transcript:Ma06_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSDSSCVHKVGFPPRRSLATDFTRGLKETFFADDPLRPYKDQPRSKQLALGLRFLFPALEWGRDYNLSKLKGDIIAGLTIASLCIPQDIGYAKLANMDPQYGLYSSFVPPLVYAVMGSSRDIAIGPVAVVSLLLGALLQNEFDPVTQKEEFRRLAFTATFFAGVTQAALGFLRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKNTDIVSVMRSVWGSVEHGWNWQTMLIGSAFLAFLLAARYIGKKKKQQLFWVPAIAPLISVILATLLVYVTRADKHGVQIVKKIEKGINPSSVDQIRFAGSFAAKGFRIGVVAGMVALTEAVAIGRTFAAMKDYQLDGNKEMVALGTMNMLGSMTSCYVTTGSFSRSAVNYMAGCRTTVSNVVMSLVVMLTLLVLTPLFEYTPNAILSSIIISAVLGLIDYEAAYLIWKVDRFDFMACMGAFFGVVFTSVEIGLLVAVSISLAKILLPVTRPRTALLGNLPGTLIYRNVEQYPEAIRVPGVLIVRVDSAIYFSNSNYVKERILRWLREEEEQQNANDLPRVDFLIIDMSPVTDVDTSGIHALKELHRGLQKRDVQLGIANPGAVVVEKLRLAEFIELIGQEKLFLTVGEAVIGCAPKVSEDV >Ma11_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14841327:14846329:1 gene:Ma11_g11780 transcript:Ma11_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAWVWKAVVGSPLAVSTLSSSASSFSIPDANRGVKRTNRLARCSLNGESAPRLPHRVHSSDRAEFASAADEPVRVEVNKGQKWLAMFSFVGDERFAPLSRRPRFRLRAKPVRRRPLWARIFFASKKVRSIILLNVLTVIYASDIPVLKEVEAIMEPASFNMVRFVVSAIPFLPFILQERRDSHTRSTGIELGFWVSCGYLSQALGLLTSEAGHASFISAFTVIVVPLVDGMLGAAVPALIWSGAIVSLIGVGFLECGGSPPCAGDILNILSAVFFGIHMLRTEHISRTTEKEKIMALLGYEVFTVALSSVIWFLLKDIFGNVHYLNLGTWTWSMMWDWINSFPWIPALYTGVFSTGLCLWAEMNAMNNVSATETAIIYGLEPVWGAAFAWFLLGERWGKNEWIGATLVLCSSLAVQILGSISDKSKDEIRKNYFHLNAPGKQNDLSFSTVVVNQRKNVSDLIRKQDEL >Ma08_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36573170:36575198:-1 gene:Ma08_g23170 transcript:Ma08_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pectinesterase/pectinesterase inhibitor 22 [Source:Projected from Arabidopsis thaliana (AT3G05620) UniProtKB/Swiss-Prot;Acc:Q9M9W7] MALTSDVLLLLLLFLPGIYAQWSQSSSLEEAKAFEESLLHQACLNTTDHEACTSRISIECHRQGRTGPISILHGAVRGTIDEALRAVGTLSGLAAVSSDLREEMAIHDCVELLGYSIDELGWSLEEISRLVLENRNIHHEANLRAWLSAALSNQDTCLEGFDGTDGRIRHYIHSRVAEVTQLVSNLLVMYRKMRSIIPHAPPRNGTKSDGNRDSPPWVVVDQELLHADPKALRADAVVASDGSGRYRSINEAVNRAPIHSSRRYVIYVKKGVYEENVELKKKKTNIMIVGDGMGITVISGSRNFMQGWTTFRTATFAVSGQGFIARDITFRNTAGPQNHQAVALRVDSDRSAFFRCSIEGYQDTLYAHSLRQFYRECNIYGTIDFIFGNGLTVLQRCNIYTRRPLPEQKVTITAQGRKDPNQNTGISIHDSFVHATYPTYLGRPWKPYSRTVFMQSYLSSAVQPAGWLEWAGDYGLGTLWYGEYRNYGPGARLGGRVRWPGYHVIRDAAVASLFTVRRFIDGSSWLPATGIEFTADLINK >Ma05_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2302528:2303898:-1 gene:Ma05_g03230 transcript:Ma05_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLSTATSSSAKIEHGQFSGAAESDDSPFTPDQGAAQGGAAGRRPRGRPPGSKNKPKPPVIITQESANTLRAHILEVGAGCDVFDCIATYARRRQRGVCVLSGSGIVTNVTLHQPSASGGVVTLQGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVAGTLLAAGPVLVITASFTNVAYERLPLEEEESPPLQMQPPAPQGSGGDGGSGGAGGSPFPDPSSILPLFNLPLNMTNSQLPADGHGWAGEATATRRLPF >Ma05_p03230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2302528:2303896:-1 gene:Ma05_g03230 transcript:Ma05_t03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLSTATRYVHHHHLHLAHHLNPSSEDDNNNSSSAKIEHGQFSGAAESDDSPFTPDQGAAQGGAAGRRPRGRPPGSKNKPKPPVIITQESANTLRAHILEVGAGCDVFDCIATYARRRQRGVCVLSGSGIVTNVTLHQPSASGGVVTLQGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVAGTLLAAGPVLVITASFTNVAYERLPLEEEESPPLQMQPPAPQGSGGDGGSGGAGGSPFPDPSSILPLFNLPLNMTNSQLPADGHGWAGEATATRRLPF >Ma01_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24307405:24314488:-1 gene:Ma01_g22310 transcript:Ma01_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPNSYEELRRQRVKQNLKHLEELGISRISKSLLEVAKCEHKLLKPRASPKMKKIFGKMEIRRSSRARNLVPSYRDQVDDIELRSHRRRYCKSEQRGRVYTGRIASYEEQHRAVEKAEELQSNLDPIYPSFIKSMVRSHVSSCFWLGLPTRFCKEHLPPYELKMVLEDENGNEFDAIYIGNRTGLSGGWRGFAMEHNLEDGDVLVFELREPARFKIYIIKAIDSEAHEDKITNRHTEGTESQGTEESPEQLLASQSRKTRSGSRRKPAKTKKSAYS >Ma06_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4583888:4585699:1 gene:Ma06_g06280 transcript:Ma06_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSPCAACKFLRRKCTPGCVFAPYFPPDQPTKFAYVHRVFGASNVSKILSDLNPAQREDAANSLAYEAEARLRDPVYGCVGYISFLQHKLKQLQHDLYNAKKELSSYIGPAALGPFLPHHHHQRQHHLLPGPSPSSTAYGIPGMGVEMGLGLAAPGTSQQSQILMREEQQPPMAEAQQMAMAHAAAAREQEMMMRRYEQQQELARFSTTGFPDGGRGYNQIGSGTMVAMATEPHPGMDLVPSPLSFEGPFPAQHFTGQHHHHPPQMQPEHHRTGSDEGRSGIGPSS >Ma07_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26822827:26825533:-1 gene:Ma07_g18880 transcript:Ma07_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLADILRSENFQDVEAITNARVPIVKMRDPQTGISCDICINNLLVVANTELLRDYARIDDRLHQLAFIVKHWARLREVNKTYGGTLSSYAYVLMCIHFLQLRKPAILPCLQEIEATYVLTVEDTECAYFDQVERLQGFGAQNEESIAQLVWGFFQYWAYYHNYKSDVISIRTATIIRYFDSKQMKDWTRPVGNDRHLLCIEDPFETYHDLGHVVDRRSIKILREEFERAARILRYDPNLCVTLFEPYEPSLKQN >Ma07_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6771908:6775971:1 gene:Ma07_g09030 transcript:Ma07_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLSLLNCSWVELMRSKIFALTETEDVKTITRSASCKQNELHGNTELSASLRESLSFKNWHHEQVKLETNLSSKNQYLEDDKKQPNLAQHTKHDTVLVLNPAICPLLSPCPTKDLNAAAVKLQKVYKSYRTRRNLADCAVVAEELWWKALDFASLKHSSVSFFIGGKPETAASRWARALTRAAKVGKGLSKNNNAQKLALRHWLEAIDPRHRYGHNLHMYYDIWTKSESKQPFFYWLDIGDGKEVNIAKCPRNKLQQECVKYLGPKERLAYEVIVEDGKLVYKKNRTLVDTTEGSKWIFVLSTIRALYVGQKKKGSFQHSSFLAGGATIAAGRLIVKEGTLKAVWPHSGHYLPTEENFREFISFLEENNVDLTDVKRNPVGYDDDYPSFKMTTSDSMTDSDKGVEVEGESTTHHAGDLSDCEAEERPAYSLGAECHEGNEIETTVESVNHHLRKWTTGVGPRIRCVRNYPTDLQFKALEQVNLSPRSIPSPVGNNGPIPSPRPNSRIMLSPSLAGIHLPSPAISLTLSKHRRC >Ma04_p27400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28580574:28582120:1 gene:Ma04_g27400 transcript:Ma04_t27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEAAAGHGEYAVKDYTDPPPAPLFDWEELTKWSLYRAAIAEFIATMLFLYVTVATVIGYKHQSDPNVNPTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRALLYMIGQCLGAICGVGLVKGFQKAFFVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWIFWVGPLIGAAIAAAYHQYILRAGAVKALGSFRSNA >Ma04_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5999828:6003551:-1 gene:Ma04_g08370 transcript:Ma04_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGNGGGFWWWQFSPRDRKHRPRRRPNSSSSSSESIDLGERGWFLADFPLKQASIAASLTLTGDTVAQLRDRFLVHARRPSDSDDKEIVSALFSNHDWLRALRMASYGFLLYGPGSYAWYQFLDHCMPKPTFVNLSMKVILNQIVLGPCVIAVIFAWNNLWLGKLAELPSKYQKDALPTLLYGFRFWIPVTIVNFTAIPLSARVAFMSTCSIFWNFYLSTTMSK >Ma05_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1338137:1339191:-1 gene:Ma05_g02100 transcript:Ma05_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVFVVVGRGDKSREYRKGNWTLDETMVLIQAKKMDCERREQRLKELPRGSSGRPQEMRWKWVEDRCWGRGCYRSQNQCNDRWDNLMRDYNKVRAYETSSSVGGNPDLSYWNLEKNDRKERNLPSNVLPEVYEALTEVVQRKRSGAASAPPGRPMEEKRRRGPGAGSPAPLHARPVTTTDSEDSQHPISPQRKRKRGEGSSSNTLELSSAIMKCASIMAEALQAGEKQEEVRHKDLISIERRKAKLEESKSVMGLQSMDGLAAAINMLASSILGLVTGRVQKLQNK >Ma08_p32290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43002102:43002859:-1 gene:Ma08_g32290 transcript:Ma08_t32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVFKMVVIGDSAVGKSQLLSRFSKNEFSADIRATVGVEFQTKTVRIDDKLVKTQIWDTAGQERYRAVTGAYYRGALGALIVYDVTKHPSFDHIPRWLDELRHRADPNVVIMLVGNKCDLEGLRAVPTEAGKKLAEREGLLFLETSALDATNVEKAFHMVLERIYQRVSRKSLGGADGERGFNSSLGGTVLDVKVGQQNTTYRCCSQQ >Ma10_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27666428:27667748:1 gene:Ma10_g15620 transcript:Ma10_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRTATSLAPMLRFGLRTVLRATVMRSFIRVPFVLLLVVAAFSWRSVFRRGVTQAPLVDEDASLLDAEDASLFDAEALNATLYNLTDAEAGGVELQQEVLDLMGGRLESVYAAGGQSHIISIWRSMRGAGSRPGLLARLRFPARPEHPDRGRLFQEFRWRLSDWFLDSRGLQPEVMSELIERIKRPIDRHYGYPHTGRPYATCAVVGNSGVLLKSAHGRLIDGHDLVIRLNNARTAGYQQHVGSKTTISFINSSILHSCAMRLGCYCNPYGHFVPIVVYICQPAHFLDYLICNSTHKSPLLVTDGGFDTLCIRIVKYYSLKRFVEDTGKHPMSWGKFHDEKLFHYSSGMQAVMLGLGICKRVNVFGFGKSVDANHNYHTNQTAELNVLDYAAEYDFYRDLVERPQAIPYLRITKIKVPPVVFYH >Ma01_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4127299:4131782:1 gene:Ma01_g05840 transcript:Ma01_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLENEPPSAADHGFKGSTRPETFLLVLNAPQPPSLWQELSGSVRGAIFPRGNQPSSLTKWAISVLHGLFPVLHWGRNYNLKSFRSDLMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSFVPPLIYAVMGTSRDIAIGPVAVISLLLSSMAQKVVDPSVDPTAYRKLVITSTFFAGVFQASFGFFRLGFIVDFLSHATIVGFMGGAAIVIGLQQLKGLLGISHFTNNTDVVSVVKAVWVAVHHSWHPDNFLIGCSFLIFILIVRFIGMRKRKLFWLSAITPLLSVIISTLLVFLTRADKHGVKIIQKVKEGLNPSSVKDIQLTGSHVAESAKIGLICAIIALTEAIAVGRSFAAVKGYQLDGNKEMVAMGVMNLAGSMSSCYVATGSFSRTAVNVSAGCKTTVSNIVMAITVFISLQLLMKLLYYTPVTILASIILSALPGLIDIKEAYNIWKIDKMDFLACFGAFLGVLFGSVEIGLLTAVLISFAKIIISALRPSVEMLGRIQGTDTFCSMRQYPSAAETPNLMILRIDSPFLCFMNANFIRERIVNWVTEGRNAMKEETKSVIIDMTNVINIDTSGISALEEIYKKLASASVQLAVVNPGWQVIHKMKLARLVEMIGGAWIFLTVGEAVEACLGGAKKEDNCNV >Ma06_p29630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30953747:30984449:-1 gene:Ma06_g29630 transcript:Ma06_t29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGLVVVLRSALSHTCEERKAAEESLNQLQYTPQHLVRVLQIIIDGSCDMAVRQVASIHFKNVVAKHWSPHEPGMNEEFQSDKRMVRDNIIEFIAQVPSLLRAQLGESIKIMVQDDYPEHWPSLLHWIKCNLQLQDQQVFAALYVLKVLSGKYEFKSNNEQTPFYLIVEETFPLLLNIFNKLVRIVNPSIDVAVLIKFICKIFWSSINLDIPHQLLNPKLFSPWMALFLNLLERPVPLEGQPSDPHLRQSWDWWKVKKWTIHILNRLSTKFGELKLQKSERKMSAQWFQKSYAVKILGRYLHLLNGIRTGSYLPDRVINLIFQFLYFSISEYNTYHLLQRQLDIVLFELIFPLMCFNENDQKLWIEDPHEFVRKGYDYIEDLYSPRIAAVNFLIELVSEDEKTNLQKFIRFIAEIFRRYNEAPIEYKQYGQKDGALLAIGALCNKLKQSEPYKSEIELMLVQHVFPEFASPAGHLRAKAAWVVGQYADINFSNQINFRRAFHCVVSGMRDPELPVRVDSVLALHAFVEACKDLNEIRPILPQLLHDIFRIMNEVENEDLVITVESIVGKFAEEMVPYAFSLCQNLAAAFWKCFNISERNDEADNSGALVAVSCLRGINIILESICSLPNLFVQIEPILLPIMQRMLTIDGQDVFGEVLEIVSYITMFSPTISLGMWSLWPLILEALGNWAIHFFQNMLVPMDNYISRSTAHFLACKDPDYQQSLWKVLSRIMLDRNMEDSEIEPAPMLIGAVFRNCKGQVDQWVEPYLRITINRLHQAKKPYMKCLLMQVIADALYYNTSLTLGMLYKLGIVSDIFNLWFQMLQEVGKNGISVNFRGLHDKKVCCLGLISLLGLPTDHLSGEALEHVFKATLYLLISYKNQVADEEEEESECKEMEDDAENGDEVASLKLQNLATENQKRRVQSNDETDDDNSHDNDLQSPIDKVDPFSFFIETIQAVQASNPTRFQNLIHNLDFHYQTLANGIAQYAEWRRANIKKNKLRQGSNSVKINIPPLHSVSLIPKWRKNVGS >Ma09_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4252421:4253440:1 gene:Ma09_g06640 transcript:Ma09_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSFAGKAVKLAPSASGVLGQGRVTMRKAGGKPAASSGSPWYGPDRVKYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Ma05_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:338597:342418:1 gene:Ma05_g00610 transcript:Ma05_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLWQLSQCLARRLARSKPGAMPRAFATAPAAETVELKKTALHDFHVEHGGKMVPFAGWSMPLQYKDSIMDSTLHCRAAAGLFDVSHMCGLSLRGHDCAPFLETLVIADVAGLRPGTGTLTVFTNERGGAIDDSVVTKVGDDHIYLVVNAGCRDKDLAHIGAHLEAFKAKGGDVEWHIHDERSLLALQGPMAAPVLQLLMKDDLSKIYFGEFRTLDINGAHCFLTRTGYTGEDGFEISVPSEHAVDLTKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHTTPVEAGLSWAIGKRRRAEGGFLGAEVILKQLQEGPPLRRVGFFSNGPPPRSHSEILSSSSGEKIGEVTSGGFSPCLKKNIAMGYVESGFHKPGTEVKIVIRGKANDGIVTKMPFVPTKYYKPS >Ma05_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4422351:4425679:-1 gene:Ma05_g05840 transcript:Ma05_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MWCGGKKERKALASLMTSRCRLRLSFLPFLTALFACPLPCSSRELELGIESSKHDPLLQQDSFALSIHFDPSKVIQLTWHPRVFFYERFLTDEDCDHLITLAQGKLEKLVVDASKGNSTASSLDISSGMFLPRGQDEVVSKIEERISTWTFLKKENGENMLIMHSLANESYEPHYDYYHDESKLAVGGHRVATVLMYLSNVSRGGETIFPQSKLKDTQTKDDTWSPCAATGYAVKPLRGSAILYFNLHPDATPDEASLHGSCMVLDGERWTATKWIHVRDFNPSKHSIVSEGECTDEDANCPQWAAIGECKRNPVYMLGTPDYYGSCRKSCGAC >Ma06_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14188077:14188428:1 gene:Ma06_g20130 transcript:Ma06_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQLIHQGLITEALPNGMFQVCFENEDVILGYISGRIWCSFIRILPGDRIKINSIYRITSILRVSCWFRTISTISPDL >Ma06_p32610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33510524:33513495:1 gene:Ma06_g32610 transcript:Ma06_t32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMETEYNLYGKIKGFIDANELESWGLEEVMSGGYCESSFPEAATSLAATRNISMERSRRRKLNEKLYALRSVVPNITKLDKASIIKDAIDYIQQLQEQERTVLAELSQLESLREKKASLGELEFDDLHFLHRKKKRTALGSPISSPIEVVELRVREMDEKSMVVSITCTKKRHTMIKVCELFESLDLKFITANITSVSGSILHTLLVETQEMNGAQLKEKITTAISELHGSRNPISSIE >Ma01_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12588416:12589921:-1 gene:Ma01_g17200 transcript:Ma01_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCALELRAAGLARELLQVREKQGGASSCHLAPWGRRTMGAKASLRAAQAAGHSATKGISSSSACLERSFPKEQRREPVTPEQVDQWMTESIGEIVRNVGEEPFLMRIFSSGGAPGVRLESEAALPESWPRIKKRWDRESRTPDAVILVEVLEQEEGESGAEADEATANCGVAGGARRTWGLVAQGRGMDSAACYILDTTRVKSSLGFCTHFCLARAKCFGEAVHVQLRNTWLQRTG >Ma09_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1987144:1990412:1 gene:Ma09_g02890 transcript:Ma09_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPLRPISLCASPFRGRQTKPPSVIPSIRASSSSDVPVPDFLSSDWLESRRKRPFGPRLEFSAEEAVKWQLDALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERRILSSLWVEEHAKTIYSALLFHGTHAPQKNRFKQRVWIQGSRPEEEEIFQFTMVQRIGGSWDGYWLTESLLHDGDGFSGGVAY >Ma01_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8970438:8975678:1 gene:Ma01_g12380 transcript:Ma01_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSSIGMCLTETLDEMVSTGTLSPELAYQVLVQFDKSMTEALETQVKSKVSVKGHLHTYRFCDNVWTFILQDAVFKSEDRLEQVKRVKIVACDSKLLTQ >Ma03_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7530346:7535099:1 gene:Ma03_g10080 transcript:Ma03_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID REDOX INSENSITIVE 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10522) UniProtKB/Swiss-Prot;Acc:Q9XIK0] MAAAASAVIALVSSPLLIPPPKSNAPPPPFPSLFHRPVPCPSLPLSPSRLSSPRFHRSIPLKRAPHRGNRFTCRAAEYKFPDPIPDFAAAETDRFRTHMLERLTKKKEHFGDSVGEVVDVCTEILSNFLHTEYGGPGTLLVIPFIDMADTLREKGLPGAPQAARAAIVWAQKHVDEDWNEWTVGEDSDLD >Ma02_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26886736:26890791:-1 gene:Ma02_g21180 transcript:Ma02_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRDRENYVYIAKLAEQAERYDEMVDAMKKVASLDVDLTVEERNLLSVGYKNVVGARRASWRILSSIEQKEKARGNEHHVKQIKEYRQKAESELSGICSDIMTLIDEHLIPSSTAGESSIFFYKMKGDYYRYLAEFKTGNERKEATDKSLEAYQAATSTAEADLPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDTAKSGAGEDAQ >Ma03_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25427665:25434531:-1 gene:Ma03_g20270 transcript:Ma03_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTLDQFLARSPFLGSSAVGGNIGEDGAVVAVTEQATKSMYLAEKPRNVDFYDTGGLPPQQQQQQQHPQQQMHLAESSGDDHEVKAPKKRAETWAQEETRSLISFRREIDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQSKGSGLGKMSYYKELDELLKERNKKATFKSSGAPKIDTFLHFSDKGLEDANTPFGSVEASGRSPLNLDRPMEHDRHPLAITAVDAVAANSVPPWNWRDASVNDNNASYGGRVILVKWEDYSRRIGIDGTAEAIKEAIKSAFGLRTKRAFWLEDEDNVVRSLDRDMPLGSYTLHLDEGITIKACTYDDTDRMPVRTEEKTLYTEDDLRDFLSRRGWVGLRELSGFKNVDTLDDLRSGAMYQGVRSLID >Ma01_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8313774:8317223:-1 gene:Ma01_g11470 transcript:Ma01_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQTSLQRLHNVEKRIVRVLELAGSVMDELASSTGPRADVLAVHCREFMQSIKEIQTTLREEIKSACEYRPFEKCDYSARISNEICSKKLEYIIEQLDDIKESIN >Ma01_p11470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8313525:8317223:-1 gene:Ma01_g11470 transcript:Ma01_t11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQTSLQRLHNVEKRIVRVLELAGSVMDELASSTGPRADVLAVHCREFMQSIKEIQTTLREEIKSACEYRPFEKCDYSARISNEICSKKLEYIIEQLDDIKESIN >Ma01_p11470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8313792:8317223:-1 gene:Ma01_g11470 transcript:Ma01_t11470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQTSLQRLHNVEKRIVRVLELAGSVMDELASSTGPRADVLAVHCREFMQSIKEIQTTLREEIKSACEYRPFEKCDYSARISNEICSKKLEYIIEQLDDIKESIN >Ma01_p11470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8313789:8317223:-1 gene:Ma01_g11470 transcript:Ma01_t11470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQTSLQRLHNVEKRIVRVLELAGSVMDELASSTGPRADVLAVHCREFMQSIKEIQTTLREEIKSACEYRPFEKCDYSARISNEICSKKLEYIIEQLDDIKESIN >Ma09_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34017944:34021076:1 gene:Ma09_g22050 transcript:Ma09_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEEANSAAVVSCHRVLNLLSETEDQTLAFKVLKAETGNAISMFNKVVSMLSNGVGHARLRRLTNPQQLHFNHTIFLDSPAVSRAEPFPLLVQFLPRNLLEKPVNELGSTAKIPPQIPPRMLLENPAAATDAASRGTVQAAYSPNPTHLHFLQQQHNSQVFQIQQHMKFQNEMYGSSNSGKNLMFDNSSCTATASSSRSFLSSLSIDGSMGSMDGKAFNLFGGPQLSDSMNWHLNDRRRCSRGGNDGSGKCAKTSRCHCSKKRKLRVKRTIKVPAISNKLGDIPADDYSWRKYGQKPIKGSPYPRGYYKCSSMKGCPAKKHVERCVEDPTMLIVTYEGEHNHAKLLTQSAHILMSVKEPLATS >Ma08_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32162302:32165842:1 gene:Ma08_g18630 transcript:Ma08_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 1 [Source:Projected from Arabidopsis thaliana (AT5G20850) UniProtKB/Swiss-Prot;Acc:P94102] MAAAAQRHQKMAEDQDEAEDIQHGPFPIEHLQTSGIAAIDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQITSGARELDKILEGGIETGSITEIYGEFRCGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIAERFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVEARFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQISPEGVTDVKD >Ma03_p25710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29674161:29677630:1 gene:Ma03_g25710 transcript:Ma03_t25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFEPFHGIKNDLRGRLMCYKQDWSSGFNAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVLMYTFMFNFVKDRADLGRNMFLAWTGWVCVWTAFLLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFRIPKREDPRDVALMSSWRFANGMFALVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYMPAGGVPRGIPRRLLSPNPWSPGAYQNWTVIKDMLNVPFLYILGAFIPATMIAVLYYFDHSVASQLAQQQEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARQSMSQNSSLSQLYGSMQDAYRQMQTPLIYQGQSARGLKELKDSTVQLASSMGSMDAPVDDSVFDIDKEIDDLLPVEVKEQRLSNLLQAMMVAGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVESVPFKAITAFTIFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAITFNLPTEVDMGRGYSFSETREVLDEIVTRSRGEIKHMNSPKVTSSGGTPATDVRGLSSPRLSEKAYSPRVGELRPERSPRVGGRRAFSPRMGEIKPSKLGEGGLNSPMK >Ma10_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26034760:26038177:-1 gene:Ma10_g13090 transcript:Ma10_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVSIGQVLLSVRCKDNNSNNAQEALRRAKFKFPGRQKIIISGKWGFTKFSRADYLKWKSENRIAPDGVNAKLLGCHGPLAARRPGKAFLPAAVAETS >Ma03_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28479476:28481392:-1 gene:Ma03_g24130 transcript:Ma03_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPTLSDSVASPNLQIWNNAAFDDGSASKATSSHPPPVRSVSTNPCGSSLDLDPSKENRSPDSAKPLAAHKKPAARVAFREDDKSIDEEIEKIEGEIAGLQSRLEALRVKKAENSSTAAEAVGRMRGRIVPAKFMDLKQGTPPMPASKRMEPSPVASAGLRRRGLSLGPLEILATPAKSLDPKRTAAKNLWPSALKKKTQESPAPSAKVDRRGLSLGPLEIHESFTLDQPHKASGTPLRSVQSCKKPSSQKLQDSKEVKGATKERGKSLTPKPRPPATAAKKTASDAKKAAGTPATVRLRQRSVSLGPAEIASNARSCRPNNPHQDGEKTTKTDKLPRHGSSMSPKSRQPSTTAAKKPAKEDQTLGGVRPKALFQENSSTSSCKRPSKTAKVRVVPSRYSLASTRTVGDEPGCKRRKWSLPELGKEKTRGPRRRSSGPALDCKRSQGSDLSEDQVMMDSKIPDNLDAEVSCPSLVQESPPSVMKIAETLPKIKTLRCNTESPRDSGCVKRAVELVGRKSYFAVAEGEELSSPCEALNFHEHM >Ma02_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17703759:17704643:1 gene:Ma02_g06770 transcript:Ma02_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVTRHHVKDSVLDGSEPVMAAYGMSSFEYQGTDPRFNKVFNEAMQSHSTIMISRLLRTYGGFDDVEVLVDVGGGVGTTLGMITAKHPRIKGINFDLSHVISEAQPLPGVQHVSGDMFEAVPRGDAIFLKLILHDWSDENCVKLLKNCWKALPEKGKVIVVECVLPAVPKPTPRDQGIFQLDLCMATYNIGGKERTEEEFQGLARDGGFTGFKALHLFADTWVMEFTK >Ma09_p27700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38633542:38638385:1 gene:Ma09_g27700 transcript:Ma09_t27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFYFSDAEAGDAVMEMKQMGMQQQQQTPPLAGRRETKDEGSGGAHLVAGLGVVRPLVVLALFTLVLLLTLPSVAHRRHSLDLLLLHRPAASQGVSNSSCSASSSTIEHPKDKLLGGLLSPVFDEASCLSRYQSALYWKVSNHTSSAYLVEKLRRYEARHKKCGPDTELYKKAVEQLKSNRSTGPMECNYVVWMASDGLGNRILSISSAFLYALLNNKVLLLDLPGDMKGLFCEPFPNTTWVLPSDFPINNLKWVWRFEKDPYRYGDMLKKKVLSNDMNPANASFPLPAYLYLHLVHSNDDFDKMFYCQESQLLLQKFPWLLLRSNQYFVPALFLIPEFKKELSLLFPERTTVFHHLGRYLFHPSNSVWGYVTRYYEAYLANAKESLGMQIRTFAKVDLDSHFSSIMGCALSEKLLPDVDPKDPALPTIIGVKPKAVLVTSLNSGYFEKLRDMYYEHATTTKEVIGIYQPSHEEQQHTEKLSHNMKALAEMYLLSLSDSLMTSPYSTFGYVAQGLGGLSPWILIRPDNKNLCLHSMTMEPCFHFPPSYDCNANKKDDIGSVVPYLRHCEDFRKGIKLFY >Ma10_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18933242:18936736:1 gene:Ma10_g06370 transcript:Ma10_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKATNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDTEEGKVEDVDEKEEKEKKKKKIKEVSHEWALVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEEIIPEYLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCMELFFEIAENKDDYNKFYEAFSKNLKLGIHEDSQNRSKLAELLRFHSTRSGDEMTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLLSATKEGLKLDESEDEKKRTEVLKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLTLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDEKAEDTDMPPLEEADAEESKMEEVD >Ma04_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1996780:1999428:1 gene:Ma04_g02300 transcript:Ma04_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGWKVEKLVAVRRSLRTSLDRSRALGAALARAGPRLDEIRQRLPPMEAAVRPIRADRDALADAGGHIDRSVVPAAAVLKVFDAVHGLERSLLSDPRADLPGYLAVLKHLEEALRFLSDNCGLAVQWLDDIVDYLDDQTLADQRFIMGLKSFLVSLKSSPSPLDGGLLAATLDKLESEFRLLLAEHTTPLPMPPDTSDASTISTSPIPVLVINKLRAILERMTANNLLDRCVSIFVDVRGSNIRASLRALNLDYLEITPNEFNDVQSIEGYIEKWGRHLQFAVKHLFETELKVCVEVFEQTGPPDVAVSCFADIAARAGILGFLRFGTIVTETRKDPIKLLKLLDVFATLNKLRLDFNRLFRGKDCVEIQNQTRDLIKRVIDGACDIFWELLHQVELQRQMPPPSDGAVPRLVSFITEYCNKLLSDEYRPVLTQVLIIHRSWKQEKFQEEMLTDAILEIFKALEANFDIWSKSYGDTSLSFLFAMNTHWHFYKNLKGTRLGELLGEAKLKEHEQYKDYYAASFLRESWGKLPPLLSREGLILFSGGRVKARDLVKQRLKGFNESFNEMYHKQLSWVISDKDLREKMCQLVVQTIVPSYRSYMQNYGPLVEQDSSASKYAKYTAQSLEKMLGSLFQHKPGRTMSLSIGHSNGKLTSVMNNLSRSASTVS >Ma08_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15825784:15828377:-1 gene:Ma08_g15640 transcript:Ma08_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWSDDNASVMEAFMASTDLQGFSWAPPPTPPPPSTAASSFDPVRAVRGPAPPAASPQTPTTPAYLSQETLQQRLQTLIEGARESWTYGIFWQSSVDAATGASYLGWGDGYYKGREEDKRKQRPTAAASPEEQEYRKRVLRELHSLISCGGSSGMDEAVEDEVTDTEWFFLVSMTQSFVNGVGLAGQALYAGAPSWVAGDSRLAAAPCQRAHQAQLLGIQTMVCVPVGSGVLELGSTDVIFENPEIMGKIRVLFNFDSPDAPTSSSAAAAAPSSLALQSAVGTPAADRGETDPSVLSLTDPSAVEIKDSVSFLSTTADMSVTKPPIQFGNKATSSNLIENPSSSFQTQKSQNHQQQHRSGGSKPRNQSFFSKDLNSSEFASNDSVGPHSFERESGNILNFAGGKRNSSPAPAAGSLFSHPAAAAATVDDKKNTRSTGATSRASNNNDEGMLSFSSTPVRPLPNGQRNSSGGGRGGGGGILDAADSDQSDLVASVREVESSQVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLADAVSYIEELRSKLQAVEADREELQAQVEALEKQRDSGRARPSPTAQHEQMVNGGSRCHGVEMEVKILGMEAMVRLQCERRNHPAARLMTALQELGVEVHYASVSVVKDLMIQQATVKMSPSRVYTQEQLNAALYSRLAAEAPVRR >Ma11_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24103716:24108442:1 gene:Ma11_g19160 transcript:Ma11_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYIRMTKADYDKECKICKRPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCILDLEYGLPVQVRDTALAINSNDAIPKSDVNREYFAEEHDRKARAGIDYESSYGKVHPNDTILKLQRTTPYYKRNRAHVCSFYMRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLEPPEDESIKTLYVGGLDARVSEQDLRDNFYAHGEIESIRMVLQRACAFVTYTTREAAEKAAEELANKLVIKGLRLKLMWGRPQAPKPEGETQDDDAARQGLVAHGGMLPRAVISQQQSNQQPLPPGTETHQQQQPNYFNIPAPPQAARTFYPSMDPQRMGAVIQSQGGSDDKTGSEKQQGQQAPNVQGHAYPMVPPHLQGQYPHYYPPYGYMAPPPPPYQQQYPPYQSMMSRPPPPAPPAMQQYPQGPPGPS >Ma08_p06390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4322165:4324432:-1 gene:Ma08_g06390 transcript:Ma08_t06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSSSSSDSSHSSASSVSDSSSSTSRRRDRRRRHRRSGDRGDLKVRKDHRTRGKRRRKSRHGSPNHSASSYSGDYSSDESYSDSEGRRKKHKHEKSKKSKDKERSKKHRHKHQKPKHKEKQQTERCSSPVQLSKFLGRDKEDGVRRSAISGKKILLKLDKSKEDKMAENNRNELLKFLNASYD >Ma08_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4322165:4324438:-1 gene:Ma08_g06390 transcript:Ma08_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSSSSSDSSHSSASSVSDSSSSTSRRRDRRRRHRRSGDRGDLKVRKDHRTRGKRRRKSRHGSPNHSASSYSGDYSDESYSDSEGRRKKHKHEKSKKSKDKERSKKHRHKHQKPKHKEKQQTERCSSPVQLSKFLGRDKEDGVRRSAISGKKILLKLDKSKEDKMAENNRNELLKFLNASYD >Ma03_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27154204:27159157:-1 gene:Ma03_g22410 transcript:Ma03_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP23 [Source:Projected from Arabidopsis thaliana (AT1G26940) UniProtKB/Swiss-Prot;Acc:Q8LDR3] MGIRCFASLLLLLSLSFSDFGRAVSSLDTDLGTARVVFQTAYGDIEFGFFPHVAPKTVKHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRTSRMNEEQRLEAEKTVVGEFSSVKHVRGILSMGRYSDPDSASSSFSILLGDAPHLDGQYAIFGRVTKGDDTLRKLEELPTRREGIFVMPTERITIFSTYYYDTRVENCEWEKENLKRRLSESLIEVERQRMKCLP >Ma00_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33376368:33376938:-1 gene:Ma00_g03980 transcript:Ma00_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIFFSLLENDLEELNKNHKLGSNSDESWKPMREVACPICTVHLQVQVPASGSQTIECGVCQHPFLVSAD >Ma11_p11450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12927571:12932732:1 gene:Ma11_g11450 transcript:Ma11_t11450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTIGRQASPRSPPASATSLGLASAVVSSRPTTRESGCENCPFLEMEKEHDNVVNCTTPNFTGIISVMDPSRSWAARWLRIGRYIPGCYTLAVSEELPEEYQAICADNNVQYVPPKRA >Ma11_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12927563:12932732:1 gene:Ma11_g11450 transcript:Ma11_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKRSDYFRGDEEDDRAPSFAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFLEMEKEHDNVVNCTTPNFTGIISVMDPSRSWAARWLRIGRYIPGCYTLAVSEELPEEYQAICADNNVQYVPPKRA >Ma10_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28826847:28838094:-1 gene:Ma10_g17430 transcript:Ma10_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADQMVADSETADQLAAAGTGAAAGSGCLQKFRLYETRSMFYIVGSNKSRAQWRVLKIDRLEPSELNIREDPTTYSTSECYDLLKRVHEGNISTGGLRFVTKCYGIAGFIKFLGPYYMLLITRRRKIGTILGHTIYAVSKSEMIALPNSAVQSNLVYSKDENRYKRLLCTVDLTKDFFFSYSYNIMRSLQKNLCDGKTGQVLYETMFVWNEFLTRGVHNHLKNTMWTVALVHGFFKQAKLSIFGRDFMLTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQIVFEDTPEEIPSQITSVVQNRGSIPLFWSQETSKLNIRPDIILHKKDKNYEATRLHFENLVKRYGNPIIILNLIKSREKKPRESLLRAEFANAIDFINKDIPEERRLKFLHWDIQKHSRRKVANVLELLGKVAAYALDLTGFFYCQVTPGLQIQDLPSRGSTGEAIGSYSNSLKDESTYFGTEQSHGNFINEVQNGDNLALSPRFQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGFLDIPEVDLDSPLGDDLMVFYERMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQELFRTIQRYYSNAYMDAEKQDAINIFLGHFQPQQGKAALWELDSDQHCNIGRRHTFADENARSFIKRSLSDGNILRESQTPVSGCDAGPNKVASSALPSRVQHESNVKGLCDSTPEISTCENDISYSRCTPMISRSQLFSDCGHICLSEHRFNDSNCSNFLDFDWLSSSGNSCDDEGCERSPLINSPPRNVSTENVTDGVIAEETSILSEEGPNTKEAEATDVAQSSNHGEFSDSFAHWVNHGGALCY >Ma10_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7924423:7927141:-1 gene:Ma10_g02430 transcript:Ma10_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFISVYPTRSGPKRFSSRFGFLSERSALELRQIDEEFDILEVKHVVALCAAPGSWSWCVSRLIFTALHLIISVKCGDLPLTVAIGLHSMDLIDGVNQVQGDITNVRTAEVVKTILL >Ma08_p20710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34796443:34799874:-1 gene:Ma08_g20710 transcript:Ma08_t20710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKCDSAGNAEVKNAKEEFHLKLKTKEKGTMIEKEEKEEIKVEVNTKAVKKEKGKFKHEDDDDKCRSESEEKTQKEVNDRDVEAGEVNTKDKGKAKKKDKGSKDKEEKMKKGKSNDKKEEDGELVEKDKSTVKKKDEDCDQKNKKDGETKKVEKKEKEKAKKDEDSERGEKRKHKEKDGEPKKDKKKDEEVDEISELKKDEEKEKKDEKKKDEAKKSKEDKKKEKKKDKHDESKVDKSDAKEVSQKELKNGDKPCEHKDTEEKNKHGDSEGEEMECKQELKEKKKLKEKNSEEKEKTKKKTKDSEHELKVEKEDDTENKKSKKEKKGIVTVADQMTHEDLQNKLEKDLEHKTESAHAEAKCKVGGDSNEDEHKVREAEAMKEEADEDGKKKKDKKDKKKKEKSEGEATEKEDKGEKKKEKLDGREKKNVGKLKQKLEKIDAKMEDLQAKKADILKLIQEAEGGGREVEIPKEE >Ma08_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34796443:34799874:-1 gene:Ma08_g20710 transcript:Ma08_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKCDSAGNAEVKNAKEEFHLKLKTKEKGTMIEKEEKEEIKVEVNTKAVKKEKGKFKHEDDDDKCRSESEEKTQKEVNDRDVEAGEVNTKDKGKAKKKDKGSKDKEEKMKKGKSNDKKEEDGELVEKDKSTVKKKDEDCDQKNKKDGETKKVEKKEKEKAKKDEDSERGEKRKHKEKDGEPKKDKKKDEEVDEISESKKDKKKEKDEKKDRKKDEEVDEISELKKDEEKEKKDEKKKDEAKKSKEDKKKEKKKDKHDESKVDKSDAKEVSQKELKNGDKPCEHKDTEEKNKHGDSEGEEMECKQELKEKKKLKEKNSEEKEKTKKKTKDSEHELKVEKEDDTENKKSKKEKKGIVTVADQMTHEDLQNKLEKDLEHKTESAHAEAKCKVGGDSNEDEHKVREAEAMKEEADEDGKKKKDKKDKKKKEKSEGEATEKEDKGEKKKEKLDGREKKNVGKLKQKLEKIDAKMEDLQAKKADILKLIQEAEGGGREVEIPKEE >Ma08_p20710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34796443:34799874:-1 gene:Ma08_g20710 transcript:Ma08_t20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKCDSAGNAEVKNAKEEFHLKLKTKEKGTMIEKEEKEEIKVEVNTKAVKKEKGKFKHEDDDDKCRSESEEKTQKEVNDRDVEAGEVNTKDKGKAKKKDKGSKDKEEKMKKGKSNDKKEEDGELVEKDKSTVKKKDEDCDQKNKKDGETKKVEKKEKEKAKKDEDSERGEKRKHKEKDGEPKKDKKKDEEVDEISESKKDKKKEKDEKKDKKKDEEVDEISESKKDKKKEKDEKKDRKKDEEVDEISELKKDEEKEKKDEKKKDEAKKSKEDKKKEKKKDKHDESKVDKSDAKEVSQKELKNGDKPCEHKDTEEKNKHGDSEGEEMECKQELKEKKKLKEKNSEEKEKTKKKTKDSEHELKVEKEDDTENKKSKKEKKGIVTVADQMTHEDLQNKLEKDLEHKTESAHAEAKCKVGGDSNEDEHKVREAEAMKEEADEDGKKKKDKKDKKKKEKSEGEATEKEDKGEKKKEKLDGREKKNVGKLKQKLEKIDAKMEDLQAKKADILKLIQEAEGGGREVEIPKEE >Ma03_p28030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31219884:31221162:1 gene:Ma03_g28030 transcript:Ma03_t28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLLVIFTLASSLGAFAEQCGRQAGGALCPGGLCCSQYGWCGNTDPYCGQGCQSQCTGSTPSPSTPSGGGSVGSIISSSLFEQMLKHRNDAACPGKGFYMYNAFIAAANSFSGFGTTGDDATKKREIAAFLAQTSHETTGGWATAPDGPYAWGYCFVQEQNPPSDYCVASSQWPCAAGKKYYGRGPIQISFNYNYGPAGRAIGSDLLNNPDLVATDATISFKTALWFWMTPQSPKPSCHDVITGSWTPSNADRAAGRLPGYGVTTNIINGGLECGKGSDARVADRIGFYKRYCDLLGVSYGDNLDCYSQSPFT >Ma05_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11034622:11038962:1 gene:Ma05_g15000 transcript:Ma05_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGRPAPRAAPRPAPVRNPPQPVHQAPPPAPAQGGGSLLGGIGSTIAQGMAFGTGSAVAHRAVDAVLGPRTIQHETAVSEAPAAAAAPVSNAVGTDACGIHSKAFQDCINNYGTDISKCQFYLDMLNECRRGSGAGLGA >Ma09_p31560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41250849:41257313:-1 gene:Ma09_g31560 transcript:Ma09_t31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRECEEWVAVVRRRSGKCLSSGNLRGLSTLEALPPEFPVSTSSPGCSICNLLALQLISSSLWDQLGNAATLDVGASDISWDTLYSLHHTKHTSSNEYSEDELNKALEVTVNSGGVVFFALFNTWNDDDLSPKHTAAVIKIAPSRMATQSERLGYEFAKLLGVRTPQARVIHNSSTEWQMIKDAAENARDMAVASGDEVGEVTCSELLEALELSRCLFLMNYVHGSPLAENPNAFDSREAAENTAEALGRVFVLDLILRNEDRLPCPQLGWRGNQANLLFSNKMTSSNMDALDEAYDSAITRYKPRIVKSFRKEEKGRRAISVNGRLDSYGPQLTAEGSDECGKSSDFCIVAIDSGVPRRPPAGKRARDQESYPKVVELIINNLEFSSNLLYEVSFGKLGIPGPEHTDAPGDSCSCLSESDMVTIVHAFRAGFRSALRDLQGFHIFLLTLYQKLEAILRMFLSIISKSFSESDKDDSGASESPLQYETHADSSDSESRRCLHKSLGSRESLDISPGSRENWNCRYFKGSGETPRLRLTMKLRDFNKLTKVDAELSKELEQWNEMLRTDVVKLCEENNFITGFFEGNDNNIAVDAYELKVRLEHILERISLISDAASTERPSPITDYLYIGGALAAKSMYTLQHLGITHILCLCANEIGQSDSQNPYLFEYQNFSISDSDDEDISNLFDEASDFIDYVEHSGGKILVHCFEGKSRSATVVLAYLMLRKGLTLSEAWSMLRKVHRRAQPNDGFAKTLLDLDMQLHGKASMEWQQRKPMMKVCPICGKDAGLSSSSLKLHLQKSHRRLSSGSVDSAMSLEIQKALEVLKVSRCSSISSTHQQSQSLIDELSL >Ma08_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12747332:12748220:1 gene:Ma08_g14420 transcript:Ma08_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPETASKAYIDAVTALADRNLEEINVAELVSAMAGGWKAQLIVEAWARDAGAATGVGLRAAAKHGRGRHVCVVPGEQSAAEYVDAMRRAGAAVEAESVVVGEAEEVMRELEGVDLMVVDCRRRDAGRVLREARPGPRGMVVVCKGAGRRRGGAAVALGAGTRVVRSTYLPVGCGVEVLHVGVGNGPSLGGRGGRWIRHVDRDTKEEHVFRRR >Ma10_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25412842:25414342:1 gene:Ma10_g12220 transcript:Ma10_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTENSFLPQQVGDVTNASSCFLHCYSSDDNPQLPGADARNLDSSDSVDIAVLPPPSVVDQISHTPMVAEPNDEKAPKQQVSGEKKRKIAKDQTSFIAGRPKEGNISKHRRPHGGLRESNDKNLKADDDMKTPKPREEIPAGCIHVRARRGEATDSHSLAERVRREKISERMKVLQSLVPGCEKVTGKALMLDEIINYVQSLQNQVEFLSMKLASLSPMLYFDANSDDYMNEAERVMRSTPLQASYVGQTNHLRSVALEDGTSNYHMMGHSAHLFLQGQGTTSFPENGSSFMGEQRRGFLSQAEDVNTCSSFQ >Ma11_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9447795:9451477:-1 gene:Ma11_g10160 transcript:Ma11_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKPTSKPVLQKPPGYRDPAAAAPAAPRPPPRRQPLPPAFRQPGKPLPRQRYRRSRRCSCCRICCWASAVALVAAAILAVVAGLAYLWFQPRLPSFRLESLNATQLRVAVRPDGTFLDAVTKVGILVSNPNGRIVVEYGDGKARMSVADDDGDVAVGEAAIAGFEQARRNRTVVRFAAAARGVAVDEVAGERIRAGFRSKEVRFVLEVRTKVGIRVGGMSTGKVPIRVGCGPVSLKQGVSGATPPKCRFYLLRWINLH >Ma07_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31236718:31237736:1 gene:Ma07_g23670 transcript:Ma07_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRERIEEVGKKIKREADVVTDRTGRRLSLVGTINTITPCAACKLLRRRCAQDCPFAPYFSPHEPQRFASVHKVFGASNISKMLLEVPESQRADAANSLVYEANLRLRDPIYGCMGAISALQQQVQALELELQAVRAEILKHKFRQASAGVIRTSHAAFLAPSEGVSMAAPPPRSVTSPPPALGSSSSFIYTSLDSSAITMNHNTLYFG >Ma05_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7875304:7886395:-1 gene:Ma05_g10790 transcript:Ma05_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWDGNLDSGSRSDESYRDSLHVEPIYDAFLCPLTKEVMRNPVTIENGQTFEREAIEKWFRECRDSGKRPTCPLTQKELKSTDLNPSIALRNTIEEWTKRNDAVQLDKACRILSPGSPEVDVLQALNHIAHICQKSRSNKHVVRNAELIPMIAEMLKHGSTKVRIKALETLRIVAEGDDDNKDVIAAGDTIRTIVKFLSHEHSQEREEAVSLLYELSKSELLCEKIGGVGGAILILVGMASSKSENISTVEKADKTLQNLEKCEKNVRQMAENGRLQPLLTLLLEGSADMQLSMASYLGELVLSNDVKVFVAQTAGSALVDVMKSGSKQAREATLKALNQISSYEASAKILIQAGILPPLVKDLFTVGINQLPMRLKEVSATVLANIVSSGADFESIPLDQDHRTLVSEDIVHNLLHLISNTGPAIECKLLQILVGLTSSSTTFMNIVAAIKSSGATISLIQFVEAPQRDVRMASIKLLHNISPDMGQELADAFRGTAGQLSSLINVIAENNGISEEQADAVGLLANLPERDSDLTRRLLDEGAFKIVVSKVTSIRQGITRGGGRFVTPFLEGLVKVLSRLTYILEGQPEIIALAREYNLGALFTDLLQMNGLDNVQTVSAQALQNLSKQSRHLTRIPVVPEPGFCCTIFPCLGNPPVITGLCRVHHGFCSVKDSFCLLEGRAVEKLVACLDHANVKVIEAALSALCTLLDDGVDIEQGVSVLDDADGIKPILEILRENCTETLKQQAVWAVERILRKEEIAYEISGDQNVGTALVDAFRHGDYRTRQIAERALKHVDKLPNFSGVFPKMG >Ma04_p34060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33394305:33423306:-1 gene:Ma04_g34060 transcript:Ma04_t34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MAQHSSRLHRLLTLLDTGSTQATRFAAARQIGDIAKSHPQDLNSLLKKVSQYLRSRNWDTRVAAAHAIGSIAENVRHTSLKELLKSLEGELMEAGYSDVCKDVGASVSDMCPNPTAGLSFKSFDINKVLEFGSPLLASGGQEFDVASDSSKSPAERLAHQKQNLRRRLGLDFCEQFMDVSDVIKDEDLLAHKGSSSGIGSNNGYWVSRSGQNIQQLVATMVPSHRPKRLSARELNLLKRKAKVYAKDQIKCSSEDDELGTKHPQNSLNSKGTWSDTSFSNKDLADTILDEDSSENDQNGRWPFHHYVEQLVHDIFDPIWEVRHGAMMALREILTHHGSCAGVYFPDLSLEDSFVVASDEKIPIDSTKRVRDIDLNMQYSLSESEPELKKPKVENELCHSHDGIGCSDKQMEDGTYTSVDGCPSETNSTAVNNKVDISHVKVKLDPCTDGFSSELKREDDAPPKFVFENCNSVSKMGFLANLPESSKVVKLIKLARHSWTKNWELLQDYAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMQPLLVLDTLKILLQMQCRQEWEVRHGSLLGIKYLVAVRPEMIVDLLDYVLPACKAGLEDPDDDVRAVAAEALIPTAAAITSLDDQILHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPAMVPKMVDKLNMIGKQEIDLNEVSLEEQGDSTKSRENPYMLSTLTPRLWPFMRHSITSVRHSAIRTLERLLEVGFTRSSESMATRFWPTSVLGDALRIVFQNMLLESNDDILCSSERVWQLLLQCPEQDLEVAGKLYYLSWIQVATTPYGSALDASKLFWPVALPRKSQFRAAKMKAIMLEGAVKENITQDKSFDVSISVPKIIIGADSEKSVIHTRVITATSLGIFASKMPEASLQVVIDSLWNDLISSSGVQRQVASMVFVAWFKELKSRNTTEGVFVGLLDNVKQWLLDLLSCSDPSFPTKGSREPYAELSRTYTKMRNEASHLFHLVESIGIFKDYISSIKFNLKSLTVDEAINFASNLSLPIESTVVENVEKHIVDDIESSKQQLLSTSAYLKCVQNNLHVTVTALVAAAVVWMSELPSKLNPIILPLMAAVKREQEEILQQKAAEALAELIFHCIGRKPSPNDKLIKNLCSLTCADTSETPQAATMNSLDVIDDKNLFSFGKAANVEKSKLHMLSSGEDKSIVEGFLSRRGSEMALKHLCEKFGASLFEKLPKIWDCITEVLKPASPGGGLISTDDQRMANISKDNDPQTLINNIQLIRSIAPVLNDLLRPQLLTLLPSILWCVCHHHVAVRLAASRCITSMAKSMESSVMGAVIENVIPMLSDSSSVHARQGAGMLVHLLVQGLGVVLVPYAPLLVVPLLRCMGDCDHAVRQTVTHSFAALVPLLPLARGLPSPVGLSESLSRNAEDAQFLEQLLDNSHIDDYKLPIDLSVSLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIVERRASIDCKDLQSLIICPSTLVGHWAYEIEKYIDNSIMITLQYVGSTQARMLLRGQFDRCNVIITSYDIVRKDIDILGKLAWNYCILDEGHIIKNSKSKITNAVKQLKAEHRLILSGTPIQNNVLELWSLFDFLMPGFLGTERQFQTTYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLHDLPEKIVQDRYCDLSPVQLKLYEHFSFSNAKKEISCLVKEHESAETTASKATSHVFQAMQYLLKLCSHPLLAIGEKPHDFFVSLLSEVIPGCTDFRRELHELHHSPKLVALQEILEECGIGLDASSCDDALTVGQHRVLIFAQHKSFLDIIEKDLFRSHMKSVTYLRLDGSVEPEKRYEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFVEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQKFKVTVANAVINAENASLKTMNTDQLLDLFASSPTIQMGTSASNSSAGNTDKDPKLAVTGRGLKAILNGLGELWDQSQYENEYNLNQFLEKLNG >Ma06_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8258587:8259019:-1 gene:Ma06_g11850 transcript:Ma06_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGDVPRVNGQLAVSRAFGDKSLKSHLSSDPDIRFEDVTADCDLLILASDGLWKVMGNEDAVDIARKAKDPQAAARLLTAEAVNKASKDDISCIVVQLRAQRCDGYGRP >Ma08_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37277665:37286073:1 gene:Ma08_g23920 transcript:Ma08_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEEVRVEVPDRVLANSAAGGGGGRGGDAGIRRAVSLRCLVVLALGSCVLLSAIFWFPPFRSRRSGFVTDDPDSLHAEIQASLVLQKPISLLGTNAARLENDILEEIGIPNTKVSVISMHSLDPENSTYVVFGFLPDPKNASISLPALSILRSTLINLVLQQINISLTSSIFGHPSSFELLKFPGGITVIPLQSDSIWDIAQILFDFTLNNNIEEILENIDRLKDELKFGLNLRSYENVYVKLTNINGSTVTPPVTVEASVLSDMGSGSLLPDRMKQLAQVITRPNANNLGLNNSVFGKVKQVQLSSYLEHSLSMAPGPSPSGSPSVGNLYPEPPASLNPTLSPAPVPSDNDHRPPPCFCCHTFPIKNPMAYAPAPENGIQPDSHSASALGPSRRNVDPFTNCFPCPSLAPNTGSSVHPYPSTLSGHLRHLTSPPSLKAMGPTPKVLSKHSPSSPAASFASRPVQVSESGSRVSPATLLSYASSSLFSKAATYAFWEMNLTGLLGLMIFQLVCGPR >Ma03_p26120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29970678:29972535:1 gene:Ma03_g26120 transcript:Ma03_t26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARAKHGLFLLLHSRRFFSLDYPLCRSPNTLSPSYLTAAARGFCSDGISDLRDRILRLSENPASVSTASADKEAVRSAVSALADELLALPDGQDPAVSLGSGSFDALLRLPPAGFASVELLSRLKSRPLLALQVFNWRKRQADAEIPMLPEEYYKAITLASRTKNVDLAAELFSQAIADGIREVCLYNALMSTYMNNGLTKKAIWVFEVLKQDAECKPTIVSYNILLSVFGRSMLVEHIETTLQAINDSELSYTITTYNTAIAAYLTAWMWDKMEGMYQSMLEGPVKPDAGTLLLMLRGYAYSNNLEKMEKTYDQVKETVNNRQWPLIYAMICAYTKSSHPDRVKRVEALMKLIPDDDYKPWVTVLLIKMYAQESMIEAMEGLISKAFQRHIIVTTAGIMRSIISNYFRNNAVDRLAGFIRQAEYAGWRLFRSLYHCKMVMYGQQNRLEEMLGVLDEMENFRFSRTRKTFLIMYKAYYNTGRRPEAATVIGMMWKHGYGNDEDAFVL >Ma07_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5252864:5253517:-1 gene:Ma07_g07200 transcript:Ma07_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAERPQGSSQAPRVQQPKSAGAKPATTKKVAQKPQEPKKVTGTAKPTPPAK >Ma01_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5215426:5230581:1 gene:Ma01_g07240 transcript:Ma01_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKVAEDLFEVVDRRAKLVASELADEQSGLQASASNAEEVYSRRPKAKEKGHAKSSSIENVVSTRPEHDQKHKEKSVPDAKSDNPKNYRSSHSVDFGVSDKTVGKEHLSDNNMETVGLDMENGNGGQSNNMVEVQLKENNIEASNPSVDEDAANPDMNNQLETSSSPLLVDKQIEDVNNDHSADADSNANLLNEDSFLTTGQEKLESEKVVKEVKENINNAAENERQTPETSPSVNKQQEQLSDSHVKVQEQLDEAQGLLKSAVKTGQSKEARLARVCAGLSSRLQEYKAENKQLEELLVAERELTSSYEASIKQLQQDLSGSKMEVARVESNLSDALAAKNSEIDALVNSLDALKKEAATSEEKLASLQMDMDTIRRSRELTETRMIQALREELTSAERRAEEERTAHNATKMAAVEREVELEHRAVESSNALARIQRAADESTSRAAELEHKLALLEVEYASLNQELQDLEARNRRGQKKSSEDSNQILQMQAWQEEVERARQGQREAENKLCYLEAELQKMRVEMAGMKRDAEHYSRQEHMELEKRYRELTDLLYHKQTQLETMTSEKAALEFQLVKELNRLQEAQLEAERSRVTSRASSSWEEDTDIKALEPLPLYHRHMVGATKQLQRAAKLLDSGAVRATRFLWRYPTARVILLFYLVFVHLFLMYLLHHLQEQADKFASKEVAASMGLSKSSLG >Ma08_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8222607:8226003:-1 gene:Ma08_g11140 transcript:Ma08_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIICLRQVLFNCISSGKSMFLFKLLFILEYANAASGMAVSDECKLKFLELKAKRNFRFIVFKIDERIQQVMVDKLGQPDESYDDFTASLPADECRYAVFDFDFVTDENCQKSKIFFIAWSPDAARVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSIDIVKGRAL >Ma04_p39880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36872590:36873296:-1 gene:Ma04_g39880 transcript:Ma04_t39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWRNITASTLSACSRMPQRCRPSSFNKDGDQESCRARKDAERYLFMLGSPNRGRRINLSFPAIVIQVDYVFGDVNGLGSLRKWNPVANVDGWQGAASSNSALPPSGRCDFTITSQATEASYMGRGVGWPTSWGSSALMLR >Ma09_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:921433:924195:-1 gene:Ma09_g01260 transcript:Ma09_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKDDGKCVICDSYVRPCTLVRICDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Ma04_p33060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32880843:32883672:-1 gene:Ma04_g33060 transcript:Ma04_t33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGFKVKLHVYDLSQGLARQLSATFLGKAIEAVWHTGLVVYGKEYYFSGGIQHDPAGKTPYGNPVRIVDLGVTHVPEEVFEEYLQEISPRYTGATYSLLSHNCNNFSNEVAQFLVDTTIPDYILRLPNEVMSSPMGALILPMIQQLETTLKSGTVPQAPQFAPAAAVAAMPAAPSAVTSSPTTTDASVQATGKDVNSGISPAKVPDNGNVVPPAVQPASARGEENSLVAGDPLGEARNIVQEEIAREFTAIMATGTLRASEAAALAARRVMERHGLVNAPMQRG >Ma09_p20560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29584616:29600029:-1 gene:Ma09_g20560 transcript:Ma09_t20560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKPPDWLPDGWIMEVRRGKKGFTYRYYTCPISEYTFCSKEEVIHYLNIVAAASHASEDTECFGDEDQQLVHKVKDSLKWLPRGWIMEIRTRKIGAEAEERYKVLYRIEYSPDGLPDGWIKEIKFRRNKDKKSPTKQDAYYTDPESGYVFRTLKDCICYIEHGVLSKHAFKPNINSVCKILAFEQDFLDLDSDEKLNSTEDICKETTFCSPKFEVTSANKN >Ma09_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29584616:29600047:-1 gene:Ma09_g20560 transcript:Ma09_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKPPDWLPDGWIMEVRRGKKGFTYRYYTCPISEYTFCSKEEVIHYLNIVAAASHASEDTECFGDEDQQLVHKVKDSLKWLPRGWIMEIRTRKIGAEAEERYKCYLHQSTGTVFYSKEEVLQFIEAGNACGPPTSSRKRRCRNKSGDLVLYRIEYSPDGLPDGWIKEIKFRRNKDKKSPTKQDAYYTDPESGYVFRTLKDCICYIEHGVLSKHAFKPNINSVCKILAFEQDFLDLDSDEKLNSTEDICKETTFCSPKFEVTSANKN >Ma01_p02450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1649861:1659974:-1 gene:Ma01_g02450 transcript:Ma01_t02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNHRIELPDAGDSSIFHETSGGSGRVFRQHLPERATASQQRVRGSGRDWGPAIPLHSQQGCGFGSYYRRRGSGQPHGASVPQQPCGPSPGYDGLGLPLRRRGMPPQQQYGHRGGASLSSGHVADPSAAGPLRLPAPDLHQASSQASSSWHSEDSSTEKFQQCFVEDGVTTQAIQTVIPVAPSSKSLRFPLRPGKGSCGVKCVVKANHFVAELPDKDLHQYDVSITPEVISRGVNRAVMEQLVRQHRKSCLGGRLPVYDGRKSLYTAGPLPFTFREFQIILVDEDDGSGKERKQRPFKVVIKFAARVDLHHLEMFLSGRQADAPQEALQVLDIVLRERPTTRYFPVGRSFYSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSSSAFIEPLPVIDFVTQLINRDVRARQLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKFVVEYFQETYGFTIKHTNLPCLQVGSQQRSNYLPLEVCKIVEGQRYSKRLNEKQITALLKVTCQRPHDRVLDILQTVHHNAYHEDPYAREFGIKISDRLASVEARVLPAPWLKYHDNGRERDCLPRIGQWNMMNKKVVNGGIVNNWTCINFARNVQESVARDFCHELALMCQTSGMVFSLEPVLHPLSARPDQVVRALKALCHDARSILQPQGKELDLLIVILPDNNGSLYGDLKRICETELGLISQCCLTKHVSRMNKQQYLANVALKINVKVGGRNTVLVDALSGRIPLVSDRPTIIFGADVTHPHPGEDTSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFASNHKDQRSFDKNENILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADTLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDNGSMASGAVGRAQRGGRLLGGGAPVRPLPALKENIKKVMFYC >Ma01_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1649861:1659974:-1 gene:Ma01_g02450 transcript:Ma01_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNHRIELPDAGDSSIFHETSGGSGRVFRQHLPERATASQQRVRGSGRDWGPAIPLHSQQGCGFGSYYRRRGSGQPHGASVPQQPCGPSPGYDGLGLPLRRRGMPPQQQYGHRGGASLSSGHVADPSAAGPLRLPAPDLHQASSQASSSWHSEDSSTEKFQQCFVEDGVTTQAIQTVIPVAPSSKSLRFPLRPGKGSCGVKCVVKANHFVAELPDKDLHQYDVSITPEVISRGVNRAVMEQLVRQHRKSCLGGRLPVYDGRKSLYTAGPLPFTFREFQIILVDEDDGSGKERLGTERSIRKQRPFKVVIKFAARVDLHHLEMFLSGRQADAPQEALQVLDIVLRERPTTRYFPVGRSFYSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSSSAFIEPLPVIDFVTQLINRDVRARQLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKFVVEYFQETYGFTIKHTNLPCLQVGSQQRSNYLPLEVCKIVEGQRYSKRLNEKQITALLKVTCQRPHDRVLDILQTVHHNAYHEDPYAREFGIKISDRLASVEARVLPAPWLKYHDNGRERDCLPRIGQWNMMNKKVVNGGIVNNWTCINFARNVQESVARDFCHELALMCQTSGMVFSLEPVLHPLSARPDQVVRALKALCHDARSILQPQGKELDLLIVILPDNNGSLYGDLKRICETELGLISQCCLTKHVSRMNKQQYLANVALKINVKVGGRNTVLVDALSGRIPLVSDRPTIIFGADVTHPHPGEDTSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFASNHKDQRSFDKNENILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADTLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDNGSMASGAVGRAQRGGRLLGGGAPVRPLPALKENIKKVMFYC >Ma10_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24512721:24513111:1 gene:Ma10_g10730 transcript:Ma10_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPIRLALLCRLQSTSRGSSIYQKSLSLLGKDGFTACLCSPTCITGLQEMMKTTRGRLCFCRARKGAPSPPFQKSCSCSTVSFVLPIGYMICK >Ma01_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22407468:22407969:-1 gene:Ma01_g21990 transcript:Ma01_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIEHHRKGAEVYHGDDVCREKTMQLLRELGLPSGLLPLEDIEEVGYNHDDGFVWLTQKKKINHMFEEIKQLVSYAAEVTAFVEERKLKKITGVKARELLIWFTVVEVCVNDHSSGKKITFRTSTGLSETFPESAFEIDLHD >Ma06_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11978459:11984804:-1 gene:Ma06_g17680 transcript:Ma06_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRVCGGGTFARPFSSSSSSPHLSAPPGPPTETASTSVTDTVNGSHHFKIDGYSLLKGMGIGKYTASDTFTVGGYDWAIYFYPDGKSLEDGATYVSLFIALASEGTDVRALFELTLLDQSGKEQHKVHSHFGRTLEGGPYTLKYRGSMWGYKRFFRRTALETSEYLKDDCLLVNCSVGVVRSRTEGPKVYTIAVPPPNIAQHFGQLLESGRGTDISFEVDGEIFNAHKLVLAARSPVFRAQLFGPMKDSNTHCIKIEDMEAPVFKALLHFIYWDSLPDMEEFTGLNAKCASTLMSQHLLAAADCYALDRLKLLCEVKLCEDVAINTVATTLALAEQHHCIQLKSVCLKFVALPENLRAVMQTEGFEYLKVSCPSILTELLQYVARIGEISSVSNVCANDALDGCDITGRRVKPRI >Ma04_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23152883:23154977:1 gene:Ma04_g20440 transcript:Ma04_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAPLKVALGTLAFVVFWILAVFPAVPCLPIGRTAGSLLGALLMVIFRVISPEEAYSAIDLPILGLLFGTMVVSVFLEKADMFKYLGHLLSWKSRGGKDLLLRICLVSAIASALFTNDTCCVVLTEFVLNVARKNNLPPKPFLLALASSSNIGSAATPIGNPQNLVIALQSGMSFVEFLSGIVVAMLVGVVVNAAILLCYFWRLLSADKDEDVDSAAAVAAEVDVNLHRFSPATMSHLNIKNSQASASDASAQSSISGAANLRCRTSSADGGVTDSAMAPDPSRDGICVSGDDGASTTWRTQLWKTCVYLVTVGMLISLLLGLNMSWTAITAALALVVLDFKDALPCLEKISYSLLIFFSGMFITVNGFNKTGIPSALWEFVEPYAQIDTVGGVALLSLVILLLSNVASNVPTVLLLGARVAASAAIVSLAEEMRAWLILAWVSTVAGNLSLLGSAANLIVCEQARKAKSFGYNLSFLGHLVFGIPSTLIVTAIGLVFVRS >Ma04_p20440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23152840:23154977:1 gene:Ma04_g20440 transcript:Ma04_t20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAPLKVALGTLAFVVFWILAVFPAVPCLPIGRTAGSLLGALLMVIFRVISPEEAYSAIDLPILGLLFGTMVVSVFLEKADMFKYLGHLLSWKSRGGKDLLLRICLVSAIASALFTNDTCCVVLTEFVLNVARKNNLPPKPFLLALASSSNIGSAATPIGNPQNLVIALQSGMSFVEFLSGIVVAMLVGVVVNAAILLCYFWRLLSADKDEDVDSAAAVAAEVDVNLHRFSPATMSHLNIKNSQASASDASAQSSISGAANLRCRTSSADGGVTDSAMAPDPSRDGICVSGDDGASTTWRTQLWKTCVYLVTVGMLISLLLGLNMSWTAITAALALVVLDFKDALPCLEKISYSLLIFFSGMFITVNGFNKTGIPSALWEFVEPYAQIDTVGGVALLSLVILLLSNVASNVPTVLLLGARVAASAAIVSLAEEMRAWLILAWVSTVAGNLSLLGSAANLIVCEQARKAKSFGYNLSFLGHLVFGIPSTLIVTAIGLVFVRS >Ma10_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11681538:11778255:-1 gene:Ma10_g03170 transcript:Ma10_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSPTRLRDMIRAIRACKTAAEERAVVRKECAAIRSAISENDQFYRHRNLAKLMFIHMLGYPTHFGQLECLKLIAAVDFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMAMDLAPEVERLLLSRDQTIKKKAALCSIRIIRKVSDLAENLMSPAASLLKEKHHGVLIAGVQLCTDLCKVSASVLEYLRKTCIERLIRILKDISNSSYSLEYDIAGTVDPFLHIRVLKLMRILGQGDADSSEYMIDILAQVASKTESKKNAGNAVLYECVETIMGIEATSGLRVLAVNILGRFLSNHDNNIRYVALNMLMKAVTIESQAVQRHRTTILERVKDSDASIQKRALDLVFLLVNETNVKPLTKELIDYLEVSDQDFKGDLTAKICSIVDKFSQEKLWYTDQMFKVLCLAGNYVKDDVWHALIVAISNAPYLQGYCVRSLYKAFQTYSDQESLVRVAVWCIGEYGEMLVNNVKVLEMEEPMTVTESDAVDVLEASLKNCSPDITTQSLSLIALLKLSSRFPPTSERIREIIMYHKGSVVLELQQRAIEFNSIIQRHQNIKPSLLERMPVLDEMTYLLKKASSSQANVSADKTSKSNSRASLKLPNGVIHAPATPDLDLLDLSSDDNPANTSSSNDYLHDLLGIGLTNTGSTDQGIAPSEGTDILMDLLSIGAPTVQNDILVSDAVSSNQGIAPATKPSSTTQVMDLLDGTPTNTSISDYQNPVHPSIIAFQSNTLMITFTFTKQADDPKVTQIQATFTNLSSDTYTDFVFQAAVPKFIQLQLDPASSNFLPANSNEEITQSLTVTNTQHGQKALAMRMRIAYKVNNQDVLEQGQVNNFPPGL >Ma04_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10710045:10711305:1 gene:Ma04_g14140 transcript:Ma04_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRILRRGCSDSCLLRPCLQWIDSAEAQAHATVFVAKFFGRAGLMSFISAVPSSQRPGLFQSLLYEACGRTINPVNGAVGLLWTGNWHLCQAAVETVLRGGALRPLPNLAAEAHGGGAMPEADGLCPPPRMGFSSFSSAKRRRAPAPSKADYAAACDLDLCLTPRSPRSEREDKRRRPATPSMNSEGSVTTSAESDGGDRSAVEPTLLNLFT >Ma04_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7491919:7497912:1 gene:Ma04_g10530 transcript:Ma04_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRISAVILDLDGTLLDTERATGGILEEFLARHGKALDAAMEEKRLGKMHKESAAAIVQDYGLPMTPEEFSEAIMPLFQERWPQAKALPGVNRLIKHLHNHGIPLALASNSIREHIGIKISYQQGWKESFSVILGGDDVSHGKPSPDIFLEAAKRLGVDISKCLVIEDSLVGVRGAKDAGAEVVAVPSLQGQDENYSIANCLLHTLLEFQPELWGLPAFEDGLQSALPIEPLFIGGLGGEVVSYDGFSKIDITADTGSYEFIPHQVWGVFIGWANIEMHGIYKVVICIGWDTSSGAAKRVILPYLIDHTESHKNERLQLFIVGYVRKLQNEGTMSEALRVFEEDVNIARAALDIPVFSLPTSSSLFVEAAFD >Ma02_p22920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28160865:28167703:-1 gene:Ma02_g22920 transcript:Ma02_t22920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSPLLRQILRGAASSSSSSVGRRSVTYMPRPGDGAPRPVTLIPGDGIGPLVTGAVRRVMEAMHAPVYFETYEVHGDMTTVPPEVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVHCFNLPGLPTRHENVNIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNEKLVEQKKANPVALLLSSAMMLRHLQFPSFADRLVTAVKRVIAEGKYKTKDLGGSGTTQEVVDAVIANLD >Ma02_p22920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28160865:28167695:-1 gene:Ma02_g22920 transcript:Ma02_t22920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSPLLRQILRGAASSSSSSVGRRSVTYMPRPGDGAPRPVTLIPGDGIGPLVTGAVRRVMEAMHAPVYFETYEVHGDMTTVPPEVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVHCFNLPGLPTRHENVNIVVIRENTEGEYSGLEHEVVPGVVESLKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNEKLVEQKKANPVALLLSSAMMLRHLQFPSFADRLVTAVKRVIAEGKYKTKDLGGSGTTQEVVDAVIANLD >Ma02_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28145623:28167691:-1 gene:Ma02_g22920 transcript:Ma02_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSPLLRQILRGAASSSSSSVGRRSVTYMPRPGDGAPRPVTLIPGDGIGPLVTGAVRRVMEAMHAPVYFETYEVHGDMTTVPPEVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVHCFNLPGLPTRHENVNIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNEKLVEQKKANPVALLLSSAMMLRHLQFPSFADRLVTAVKRVIAEGKYKTKDLGGSGTTQEVVDAVIANLD >Ma05_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33929334:33933063:-1 gene:Ma05_g22190 transcript:Ma05_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEERRSSAGQVMEDMEANMPSGNGIVVGGLSPLSETLWRDKTCTEFMGDVSARLTWKDLTVTVTLGKGETHKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALAGRLATNAFLSGAILINGRKTKLSFGAAAYVTQDDNLIGTLTVRETIWYSARLRLPDKMPREEKRALVESTIMEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDSLYLLSGGRTVYFGQASEACQFFAQAGFPCPSLRNPSDHFLRCVNSDFDKVKATLKGSVKLRFERSDDPLERTTTAEAMRRLIEFYSRSQYSYAARQKVDEISRIKGTVLDSGGSQASFLMQALTLTRRSFVNMSRDFGYYWLRLLIYIVVTICIGTIYLNVGTGYSAILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTLSAMPFLIMISFASGTICYFMVKLHPGFMHYLFFVLCLYASVTVVESLMMAIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPFWRYPMTYISFDYWSLQGQYQNDLKGLLFDNQTPDLPKIPGEYVLENVFQIDVSRSKWWDLSVLYSMVIIYRIIFFIMIKINEDVTPWIRGYIARRRMQQKTSFEWQHSSADLTNRTPSLRGYVVEADSGSSSNN >Ma10_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23433639:23440089:1 gene:Ma10_g09250 transcript:Ma10_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLVRSRLHDLLARQRSGRRALGVSATPVKESVVSSDTILNDQTPPPSSGSRSRSLLKFGAIAAFTAALGTTAYASYAYTLDEVEEKANKLRAMTKGLVGDDTSSFQKYNNLVYSTAMKVPLKAVELYLDLRRTIEDQVRGFTEPLSEKLLPDLHPQEQHVFTLVLDLNETLVYSDWKRDRGWRTFKRPGVDAFLEHLAKFYEIVVYSDQLSMYVDPVLERLDQKGCIRFRLSRAATKYVNGKHFRDLSKLNRDPSRILYISGHALESSLQPENCVPIKPWKLENDDTALLDLIPFLEYVALHSPTDIRSVLGSYQGHDIASEFIERSKEHQSRMQEQKQHRGFWRR >Ma10_p09250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23433639:23440089:1 gene:Ma10_g09250 transcript:Ma10_t09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLVRSRLHDLLARQRSGRRALGVSATPVKESVVSSDTILNDQTPPPSSGSRSRSLLKFGAIAAFTAALGTTAYASYDEVEEKANKLRAMTKGLVGDDTSSFQKYNNLVYSTAMKVPLKAVELYLDLRRTIEDQVRGFTEPLSEKLLPDLHPQEQHVFTLVLDLNETLVYSDWKRDRGWRTFKRPGVDAFLEHLAKFYEIVVYSDQLSMYVDPVLERLDQKGCIRFRLSRAATKYVNGKHFRDLSKLNRDPSRILYISGHALESSLQPENCVPIKPWKLENDDTALLDLIPFLEYVALHSPTDIRSVLGSYQGHDIASEFIERSKEHQSRMQEQKQHRGFWRR >Ma09_p26750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37948740:37952559:1 gene:Ma09_g26750 transcript:Ma09_t26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGGGGGGSEFHLPDEILAVMPTDPYEQLDLARKITSLAIASRVSKLELEAGRLRQSVAEKDRTIADLQEKLAQFDRVFQQTDSRLRAALEENVKLAKERDSLAQISKKLTRDLTKLEAFKKHLLQSLNEDNTPKQQDPVDIRACDSSTAQASSWKDEVSFSRSASSDSYPTSTSTDMGNMTLDVTRPYGQKISKTPPLPPRLTPTATPKVTSTAGSPKRSSVALLPKYASGASSPTKNHFEGLTLSPWFPSSKQSSAANSPPRGRSIPGRMPRVDGKEFFRQARSRLSYEQFGAFLANIKELNAHRQSREETLRKADEIFGTENKDLFLSFQGLLNRNQQP >Ma10_p11860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25226690:25234314:-1 gene:Ma10_g11860 transcript:Ma10_t11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQCKSYLPGYHPARNLIKDRNFSWNPFCEDKALNDQLCNNFKSRGVSSWSDYDKETLKQTMIEHEAIFQKQVYELHRLYRIQKELMHKLRNKQPDRSSGPAETSKLSMFLSQMPPEFGEKMWHLPHPSLANTSHTTANVRDNDDDRVSNFLKEGATQCSSTKSGGNLKDDGPHTKFKTIPKRMFDLDLPADAYIENEDIERTEGENVSEPCIMTAETLKRISGIKADNNVKLTLGNVEIHVSGKDISKSDLHPRNGLSIHRLADLNEPFKDSFEEGAISLGSYKSSGLNSDIEELQQPWNLTRSKSNSPQRNLFMDKHINEVSLNYLDTNKVERGRQWLLSHGDSGQSKIVVNSIDSGLFSEKYPMSSESIKLKLDKARETQLPDQNQAEMWFGDKTTHSTEIFGRQDYVTSNYPGCTSSQVSSALSVVSPFPCATSASALTPWRMPSNSVNQIPIAVQAFPCFSRSSTLNGQGKNYNAANQSNRPVCDKIDFNGDLQPHKRLDYKSSSPVNGRHHGIQMCSTSTGIHRLPCNLEKPNLDARCNTSPYKNSKIHEPLKCSRDLQFIDINSGKDLNLNQGILGGIQYGFTNRQSFARKHDESSNDVIWLREKPSSKGSAECDMFVKDYTYPSKFLSEKAKDKGSSVCALRHSLSSFDINESITYRAITTDILNVEGNFSPPDNSHRIFDCSNSVSCDGQLFVNDLKRHGKGKAIRNPGLRNDINLNSDLMPADGVRLFGMSAEDERQTPSSLSLARVADNLTSKIDLEAPTDEMQEANNFSWVEIVDMNCPVTQVAMKQEKTSFGDACARLAADTIVSISVDVRDHMQPLCSFAPSSFESLYLLAEAVKSNAEIEASDDDGGLDTFESLTLKLEELKPDEYSCKPCQQEKLKDDEKSMASLLLPRPRRGQARKRRQRRDFQRDILPALVSLSRHEVTEDLQALGGMIRAGKSRQTSSARRSTSQNMSSSRSRGRGRPRSLAITIAEVCDDSPPRLQPTHTDLENDGKNIMAWGRTTRRCHRQRIPLGHAFAPQE >Ma10_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25226690:25234314:-1 gene:Ma10_g11860 transcript:Ma10_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQCKSYLPGYHPARNLIKDRNFSWNPFCEDKALNDQLCNNFKSRGVSSWSDYDKETLKQTMIEHEAIFQKQVYELHRLYRIQKELMHKLRNKQPDRSSGPAETSKLSMFLSQMPPEFGEKMWHLPHPSLANTSHTTANVRDNDDDRVSNFLKEGATQCSSTKSGGNLKDDGPHTKFKTIPKRMFDLDLPADAYIENEDIERTEGENVSEPCIMTAETLKRISGIKADNNVKLTLGNVEIHVSGKDISKSDLHPRNGLSIHRLADLNEPFKDSFEEGAISLGSYKSSGLNSDIEELQQPWNLTRSKSNSPQRNLFMDKHINEVSLNYLDTNKVERGRQWLLSHGDSGQSKIVVNSIDSGLFSEKYPMSSESIKLKLDKARETQLPDQNQAEMWFGDKTTHSTEIFGRQDYVTSNYPGCTSSQVSSALSVVSPFPCATSASALTPWRMPSNSVNQIPIAVQAFPCFSRSSTLNGQGKNYNAANQSNRPVCDKIDFNGDLQPHKRLDYKSSSPVNGRHHGIQMCSTSTGIHRLPCNLEKPNLDARCNTSPYKNSKIHEPLKCSRDLQFIDINSGKDLNLNQGILGGIQYGFTNRQSFARKHDESSNDVIWLREKPSSKGSAECDMFVKDYTYPSKFLSEKAKDKGSSVCALRHSLSSFDINESITYRAITTDILNVEGNFSPPDNSHRIFDCSNSVSCDGQLFVNDLKRHGKGKAIRNPGLRNDINLNSDLMPADGVRLFGMSAEDERQTPSSLSLARVADNLTSKIDLEAPTDEMQEANNFSWVEIVDMNCPVTQVAMKQEKTSFGDACARLAADTIVSISVDVRDHMQPLCSFAPSSFESLYLLAEAVKSNAEIEASDDDGGLDTFESLTLKLEELKPDEYSCKPCQQEKLKDDEKSMASLLLPRPRRGQARKRRQRRDFQRDILPALVSLSRHEVTEDLQALGGMIRAGKSRQTSSARRSTSQNMSSSRSRGRGRPRSLAITIAEVCDDSPPRLQPTHTDLENDGKNIMAWGRTTRRCHRQRIPLGHAFAPQE >Ma06_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3920085:3950158:1 gene:Ma06_g05300 transcript:Ma06_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRKVLPACGNLCFFCPSLRARSRQPVKRYKKLLADIFPHSQDEEPNDRKIGKLCEYTSRNPLRIPKITNYLEQRCYRELRNEHFGYVKVVMRIYWKLLIACREQMPLFASSLLSIIHTLFDQSRHDEMQIIGCHTLFDFVNSQVDGTYQFNLEGLIPRLCSLAQEMGEDENACYLRAAGLQALSSLVWFMGEFSHISAEFDSIVSAVLDNYGVPKKKSENGQQSEEGTQSRWVQEVLKTEGHVSPSPFVMARVPSWKSIVNDRGELNLTTDETRNPYFWSRVCVHNMAKLAKEATTVRRILESLFRYFDNNSSWSRQNGLARYILLDMQLLMEKAGQNTHLLISILVKHLEHKAVLKQPDIQLSIVEVTACLAEQSKAQASVAIIGAISDMVKHLRKSLHCALGSENLGDDIIKWNNNFRAAVDECIIQLSKKIGDAGPVLDMMAVVLENISTNVSMARSTMSAVYRMAQIIASVPNLSYQNKAFPETLFHQLLLAMVHPDHETRVGAHRVFSVVLVPSSVCPQPCSVTPELLKNFDLQRTLSRKVSAFSSSAALFEKLRWEKCSSTEKTYQQNMNRVPYSYDAQDNSGNEAKLFKLQSSQSCTCSMKGSPLVIAENVILNKSYKDSVPLRLSRRQIMLLLSSIWAQAMSPENMPDNYEAIAHSYSLALLFSRAKTSMPDSLTRSFQLAFSLRSTSIAAGPLPPSRRRSLYTLATAMLIFSSKAFNIGPLIPILKSPLNEKTVDPYLQLVEDSKLQAVNAAPEHCSRVYGSQEDDNNALKSLQVVELTESQSREFIVSQIMNSLSDLSDSEISMVRNQLLSDFWPDDICPLGAQFMETSRQLPFESKKENTQEVTPATILVDDVFPEAFETVPDSLKLTSNSSNLLSVDQLLEMVPDTTLQVGRFSVSTTSDVPFKEMAGHCKALVMGKHQKMSVLTGAQQKHDILLGGSSTDQNGDKMSSCFNVDQPGKSDNPFLDEKLNLDVQNQFGGNNMILYQSQCLRLPASSPYDHFLKAAGC >Ma00_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15246555:15247648:-1 gene:Ma00_g02040 transcript:Ma00_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVGCEKCRLWENLQTQEIVWNMPNTTLAMMWSILKHKNIC >Ma03_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3785359:3786268:1 gene:Ma03_g05600 transcript:Ma03_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRERQVMAFEWEWNSIWQLFATLVFLRTAYRDFLPPELHHSVGFLLRGLMTRFDTDLKIIVDEYDGSCSNELYSAAQAYLGSHCLDDARVVRIPLQWSSVVERASASSSPIRSSSLSSDHRYLELSFHSRHREAVRSQYIPHVLPEAERIRLRARERRLYTNRSVVFGDDHRNPWSPAPFSHPSTFDTLAIDPVLRDDLLRFVSRRNYYSRVGRAWKRGYFLYGPPGTGKTSLVAAIANLLEFDVYDLDLTALRRLLVSTNPKSVVVIVCTASSTY >Ma04_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22178139:22179828:1 gene:Ma04_g19580 transcript:Ma04_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGTVEEEGGRWPPWLRPLLSTNFFVQCKYHADSHRNECNMYCLDCMSGALCSFCLDRHSGHHPIQIRRSSYHDVIRVSEIQKVLDLTGVQTYIINSARVVFLNERPQPRPRKGVTNSCEVCGRSLLDSFRFCSLGCKMAGTAPDRKRKNKILHEAIKIKSTTESDTDESYTSTSRGSEKSNVTHSFTPSTPPPTAASLSSAKRRKGIPHRAPFGSLILEF >Ma03_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16542273:16547921:-1 gene:Ma03_g15620 transcript:Ma03_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASGVAEARRCNSTWEDKSSQTPPRKPRFEQQRSSFSPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLLDQVEKLSVPYMRSCGSKRSRTMEEASNGESDEPRRDLRSRGLCLVPLSCTSYVTTEQGVWSPVPSSCTGSG >Ma01_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10873650:10877360:-1 gene:Ma01_g14940 transcript:Ma01_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKFKVESPNVRYAEDEIEAVYHYETTELVHESRDGAYHWIVKPKTVRYNFKTHTRVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISNMNLADAMARAMVLDIDLQKQLRPYMESMVPLPGIFDPDFVAANQSGRANSVIKGTKKEQVQQIIKDIREFKEKTKTDKVVVLWTANTERYSDVIVGLNDTMENLMASLEKNETEISPSTLYGIACVLENVPFINGSPQNTFVPGLIEMAIQRNTLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYETGEHPDHVIVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSSRIQLKFDGEDKFHSFHPVSAILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACIGLAPENNMNLEYK >Ma07_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32239250:32241965:-1 gene:Ma07_g25130 transcript:Ma07_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRRARIRRRMGILGRHDEQLCLLSPLQESGPTTTSRSSTPSIRSEAPRLLV >Ma07_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27694277:27694846:-1 gene:Ma07_g19750 transcript:Ma07_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDAADSKPLGVCERLFNALSFNAAFRPLRRLTFHKQPATADHSDYGMNGLKTDHSPATATKPKPAKLPEPDAIALPPSAKPLRAATAKEQLQKVDPPPPTTDKPIPLPVPPPPLATTYKPGSTTVPRAPEKAVPKPAPPAAVAEPQPAPPTAAVTRTKTINNKAAEFIQSSRRKIRSGSFATTPTVK >Ma07_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1968475:1973718:-1 gene:Ma07_g02470 transcript:Ma07_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MTSKDQMEQINSQPSEEDSCGESTNKGNEDLKKGPWTSAEDEILVNYVDRFGEGNWNAVQKHTKLRRCGKSCRLRWINHLRPNLKKGAFTEDEEQLIIALHSKMGNRWAQMAAFLPGRTDNEIKNYWNTRKKRCQRAGLPLYPPNACHNVSEENVQCSRLSKYSYSYDQPLELLQGSFPDSPDIISDNFQTSYGASSYAMPFADAAGFGSQNYSFANPTANCVEQSTDSEKFLLALHGSVADVYPTTKQFLFQPSGSTPRNCFGSLHGADPSSQSLAPLLGAIPGSYALLNDTFSTYCPTRGTAKLELPSDQCVETDASSRLSCPATPVSVYNVQSPSATVSVQSNFVSPWKSGPMLRQAHVLSDAEKEPSEMSSITSTIKPNDVFECSGLSINDMELYSTAVADSYMESPSALVSLQSKCFPPWKSSPVLQEAHVLSSAEKEPPLKSSSVTPIVKSSDVSECSINGTELYSSPVAFDRYVKSPSATIPFQSSCVTPWKNVPLRSVLQEGHAPGNSEAKSSEKRSVTSIMKPNNVICSSGLSINDREFNSKPVVADTYVGSPPATFSLQSKYLTSWKSDPVLVEADAFDSAEEEPSKKFC >Ma04_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1197727:1202705:1 gene:Ma04_g01300 transcript:Ma04_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGEASRRIASISAHLHPPSPPMQGSTSLRRADCRAKGGAPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNSPGVTADVSHMDTGAVVRGFLGAPQLENALVGMDLVIIPAGVPRKPGMTRDDLFKINAGIVRTLCEGVAKCCPHAIVNLISNPVNSTVPIAAEVFKKAGIYDPKRLLGVTTLDVVRANTFVAEVLGIDPREVNVPVVGGHSGVTILPLLSQVKPPCSFTSEEIYHLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFADACLRGLRGDAGIVECAFVASQVTELPFFASKVRLGRGGAEEIFPLGPLSEYERAGLDKAKEELAGSIEKGVSFIRK >Ma11_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22374112:22375195:-1 gene:Ma11_g16960 transcript:Ma11_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >Ma09_p30330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40499159:40499470:1 gene:Ma09_g30330 transcript:Ma09_t30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSADLRERSLPCPSPLTRSFRSFFFHDRVISTAFG >Ma06_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24209308:24209418:-1 gene:Ma06_g24850 transcript:Ma06_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPGLELRTSRME >Ma09_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1910303:1911567:-1 gene:Ma09_g02760 transcript:Ma09_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAATSPFLGIRLRQHGVVARAVGRFQAQFGFGKKKSLRKKVKAPTSDRPLWYPGAKAPEWLDGSLVGDYGFDPFGLGKPAEYLQYDLDSLDQNLAKNPAGDVIGTRTESADVKSTPFQPYSEVFGLQRFRECELIHGRWAMLAILGALSVEWLTGVTWQDAGKVELVDGSSYLGLSLPFDITALIWIEVLVIGYIEFQRNAELDPEKRLYPGGKYFDPLGLADDPEKKASLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSS >Ma07_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1724809:1734509:1 gene:Ma07_g02210 transcript:Ma07_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVKVSDCVLMRPAESEKPPYVARVEKIEADHRNNVRVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDMQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLEHFLCSDCDSENDAKRSMNGFPASPINEPKAEPKRRKR >Ma10_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21750433:21750738:-1 gene:Ma10_g07340 transcript:Ma10_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKKKDDGVSLELGLKPSMPAEPARVFACTYCRRRFVSSQALGGHQNAHKLERSLAKRGSLAAWHRHVLGMSSSFQCHEGPKRREIDDVVDEIDLSLKL >Ma03_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6441667:6445208:1 gene:Ma03_g08750 transcript:Ma03_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMATTATPVDGSGGGRQLRSHRNPLPRSPRFRSQTSHETVVLALPTSLRSSKKTKQQKKRPKTAPSEKEQTPTCGRNRRLPSASPDAAPQSRRRSPRFAASAAKCESDMGSSGGGGKEIVPASRNVRPSKPVGAPQIPRRSPRLASIAAVHAKQDGVLDLGGGGNPVEGAEKEGGGNKRTKVEVRAEEGKEVAVRALEHCCGPEEWTEEQEMALRKAYLSARPSPHFWKKVSKMVPGKSAQECFNRIHTEIATPPQHQPRSRAIKSNWSPIGNVTFSDKSVDNMKLKVKRARSGKQKSLVAQKTVRHLLRQHCLADQTKEADYFSVLETSPSALALDLPEITSPGTPDRMFTNAGFLLKCSENSTSAAHKRLLSRFKTSNADPSPEVLKQIKNVALHEKYIDHLHCREARRRAHPRTANSVAAGMYNKAGNDPEPGVLKAARAALIAEAKEAITHYQFVQSNFVDHEDDGATSDNLDGNSDNDVV >Ma04_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4143626:4155328:-1 gene:Ma04_g05570 transcript:Ma04_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAWSRRRPRPASRVAFLHVALALAAILGHLPLGRGQDYDDYADGSSGGQGASSLFAGVIYDRLMNLTGTFAKDIGQHLDFCVQNTDKDWNEAFNFSSDLSFLTNCMKETNGDLSRRMCTAAEIKFYFSSFYDNGGQKNYLRPNKNCNLTSWVSGCEPGWSCSVSENEKVNLKDSKVVPIRDVNCRPCCEGFFCPHGITCMIPCPLGAYCPLGKLNKTTGVCDPYNYQLPPGQPNHTCGSADMWADVGTSSEIFCPAGYYCPSTIQKISCSSGYYCRKGSTSQMRCFQKSSCPPNSSNQDITIFGALLMVALSLLLLIIYNFSGQILTSRERKQAKSREAAARHARETAQARERWKTAKDVAKKHAVGLQTQLSRTFSRKKSSRPPEPPKKKEPSNLTKMMQSLEENPDTYEGFNVEIGDKNLKKNIPKGKQMHTRSQIFKYAYGQIEKEKAMQQQNKNLTFSGVISMATDTEIRTRPMIEVAFKDLTLTLKGSKKKLLRSITGKLMPGRVAAVMGPSGAGKTTFLSALAGKATGCAVSGHVLINGKAEPIRAYKKIIGFVPQDDIVHGNLTVEENIWFSARCRLSAEMSKADKVLVVERVIESLGLQAVRESLVGTVEKRGISGGQRKRVNVGLEMVIEPSLLILDEPTSGLDSSSSQLLLRALCREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFSGLGINVPERVNPPDYFIDILEGIVKPSTSAGVNYKELPLRWMLHNGYEVPRDMLQNAGDLDASVRGTGGNPAGTASETQSIAGEVWDNVRDIVGQRRDEYDYNFSKSMDLSNRRTPGVLRQYKYFLGRVGKQRLREARIQGVDFLILCLAGVCLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLERLHYWRERASGMSSLAYFLSRDTIDHFTTIIKPIVYLSMFYFFNNPRSSILDNYIILVALVYCVTGIGYAFAIFFQPGSAQLWSVLLPVVLTLLATQQKTSKFLANLCYTKWALEAFVIANAERYSGVWLVTRCGSLIKNGYNIGDWGLCIIVLAVYGIVFRCIAFFCMVTFQKH >Ma07_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:237551:241490:-1 gene:Ma07_g00250 transcript:Ma07_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKRGSGGGGELWARGKVPKKWTFLLCLGSFCAGLLFANSWKMPEAKDIIRTSGTEDKLNLVANDCNPRIINEKPEPKDILNGVSNAQEVIQTLDKTISDLEMELAAARATQESILNGAPLSETLKAAEATGRRKYLMVVGINTAFSSRRRRDSVRATWMPQGEKRKKLEEERGIIIRFVIGHSATSGGILDKAIEAEHRKHGDLMRLDHIEGYLELSAKTKSYFATAVSMWDADFYIKVDDDVHVNIATLGTTLAKHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEDGNKYFRHATGQLYAISNDLAAYISMNQHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICNSAERINEVHRRCGEGEKMVWDAVF >Ma01_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2769526:2771911:1 gene:Ma01_g04220 transcript:Ma01_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTDSSSGMKNQQELQHPQLPPGFRFHPTDEELVVHYLKKKAAAAPLPVAIITEVDLYKFDPWELPGKANFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGSQVKVGVKKALVFYRGRPPRGTKTNWIMHEYRLADGSSPENSSSSSCRPPYGGHMANKKKGGSLRLDDWVLCRIYKKNNSVSDSLRPTHRDREGATDDMLGSAPSVAHQTGMQPQRAPTNYNSLLEHDETFLEGLLANEVGLPTNSITHLAAAAARAKLNLSPVPPSTTGTFPTRHPLSSAYWTEPTDIAAPPAQRFHAGHGSGSNNAADKNNTSSCSNGSATNDDGGHTNQMLLNQIPYGTTYHDQMILGSLRDGGAIFQQPYQLPGVNWTS >Ma09_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3111004:3113789:-1 gene:Ma09_g04840 transcript:Ma09_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEFSSKLADHPRLPKRKTVAVVILDGWGEANPDQYNCIHVADTMSLPATICWCSREMEIAEGSWYSGRVGLPTDDDMGNSEVGHNALGAGRIYAQGAKLVDLALAFGEIYEGEGFKYIKECFDKGTLHLIGLLSDGGVRSRLDQLLLLLEGAGEHGAKRIRVHILSDGRDDLDGSSVRFVEILENELSKLREKGIDAQIASGGGRMYVRMNRHENDWGVVKRGWDAQVLGEAPYKFQSALEAIKKLREDPKANDQYLPPFVIVDESGKAVGPIVDGDAVVTFNFRADRMVLIAKALEYENFDKFDRVRFPKYDMLECFNMMGN >Ma02_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4872415:4875669:-1 gene:Ma02_g00400 transcript:Ma02_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDLSWILVRLCCVCGYYLYGAHTDPMMQFVQDALTWILNVSLMWLVMLWCLCGYYLCGAHTDPKVQFVKDALLSHPLVFRNALNNGCICFVLV >Ma11_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27377432:27378529:-1 gene:Ma11_g24400 transcript:Ma11_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSPPPPPPPVPPENQASGFRICVSFCRRDETTGTTVSNWLDSYNPADNTLGHVGAIPGLPRNHILKGFAMVCLGGFVYVIGGRLCLNVLDAEGSVERDVAVRSDVLRCNVATGEWAPCSPLALQRFDFACTPCNGRIYVAGGQFTVSGARGTSAAEVYDPERDQWAPLPGMSALRYKCVGMAWEGRFHVVGGFAEREGCSRTVPSVVERSSAEVFDEERGEWDLVPGMWQLDVPPNQIVAVDGRLYSSGDCLNNWKGHIETYDGKLNIWSVIERSQLHDMSSLVAGPRERSLERLYLTMAPVGGHLYFLVGYREAGDELRSMTVAHAFDTEPGAAEAWASFEPLMADGNKELCSHCCVVQLS >Ma04_p30420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31120237:31124731:1 gene:Ma04_g30420 transcript:Ma04_t30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEASRELIMGMLMGVLISLMLALVAFICIKCRCRYLLLLEDNPRRASTIPIRTNGVDASVILSDSTVGQESPVVPQANCTSVWLDGTCKKNPASLLPGIPKYTYRDLQKATCEFTSVIGQGAFGPVFKATVSTGETVAVKVLDTNSKQGEQEFQTEVLLLGRLHHRNLVNLLGYCADKGQLMLVYMYMTNGSLASHLYCEEHDPLNWTLRVDIALDVARGLEYLHYGAVPPVVHRDIKPSNVLLDQSMRARVADFGLSREAIVNHQKSNVKGTFGYLDPEYISSKIFTKKSDVYSFGVLLLEMIAGRSPQQGLMDYVELAAMDVEGTAGWDEIVDPRLSGAYNAEELHNIGAVAHKCINPDSGPRPSMSFVVQALSQVVKARDGTRHREKTLLPVATAGEEAN >Ma09_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4562186:4563042:-1 gene:Ma09_g07080 transcript:Ma09_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETATTGLLGFKPQLVVPGMKAEVAVQFYKAAFGAKELRRVAHPKRKAEQELPLILSAELKIGSSFLLVNDRFDDAGEDGSTVASGGGILLRLEAEDLDAVMKKAVTAGAEIVGEVTEYEGGVCGKVRDPFGVVWAIAAVGKKCPEAEA >Ma11_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20302:28544:-1 gene:Ma11_g00060 transcript:Ma11_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPRNTTISGRQLQLDQQLLPVPDRREQGAGSREQGIVITALIRATTST >Ma04_p29410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30378439:30389319:1 gene:Ma04_g29410 transcript:Ma04_t29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRRPPRTVSDPKVRQVGFVTPSGAPPTRSLSDAPTTVAAVASAASASSPPSGEISLPGSSLSPVMIPPPRHASSDGRPSAPVAVPNPASSLRRESLRIRVGSYNASEVVLGTPPSASPSSRTDNAASEFSEETMSPWHGRSGTAKVASSFPGSTSEMIVMKTGVGGGGGAGSTPKSPLTTASVVKTLPGISEKEREVLMGTQNDGAGVSKTLKEKTTKAERRAVQEAQRAAKAAAKEAGAGVKPSAASGSAVVANTKQGKIVKPPSQKKDGPQIAPPSGSSEKKVVDRPPEKDRKKDVPAPRMQFDDKHRVEKAKRRAVVNQFEAKNRVELFRHLPQYIHGTQLPDLEGKFFQLDPMHPSVYKVGLQYLSGQISGGNARCIAMLLAFREAIRDYSTPPEKALVRDLTAKISSYVSFLIECRPLSISMGNTIKFLKNRIAKLPDTMSESEAKYSLQSDIDRFINEKIVIADKVIIRHAVTKIRDGDVLLTYGLSSVVEMILVYAHEVGKQFRVVIVDSRPKLEGQVLLHRLVAKGLNCTYTHINAISYIMHEVTRVFLGAASVLSNGTVYSRVGTACVAMVANAFRVPVLICCEAYKFHERVQLDSICSNELGDPDVISKVSGRQDLNHLDNWADNENLQLLNLSYDATPSDYVSMIITDYGMLPPSSVPVIVREYRRENLWI >Ma10_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28652242:28655246:-1 gene:Ma10_g17160 transcript:Ma10_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFLNGVVGTAAMAKPGVSAAAAGELKNELQRLVREIAEEDDGQIGTYEEAARVLAALKQVTFAGSGGSNGTPRSPLANQWRTDERMDSASVPEHFRCPISSELMKDPVVLASGQTYDRPFIQEWLNSGNRTCPQSHQILPNTILTPNHLVHRMISQWCIEHDVSLPPLDNEQDEDKGLITVTEKNVLDGLLQKICSPSSVMEQKRALSELRLLTKCKRSFRALIGENDDAISQLLAVPSIPELSADPKVQEDAVTTILNISINETNKKIVGDNPQAIPFLIDALKAGRIETCSNSAAALFSLSALDSNKLKIGELGAMKPLIELLEQGSSSARKDAGSAVFSLCLAHENRARAVSGGVLGVVLKAITDRSLVNESLAILALLSSNQEAIEEIAETGGVPCLLSIIRESSCARNKENAVAVLYSICMYDRKRLREVGEEEDSNGIISQLVQNGTSRARRKAAGILDKWKRTLRLHYSC >Ma07_p22600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30481370:30484457:1 gene:Ma07_g22600 transcript:Ma07_t22600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVSQLYQVLLLSWAALPELPLGELWRQFRAWVAVPLLRLAVFICLVMSMMVLVEKVSMGLITLYAKVFRRRPARIYKCDPLTEDEEMGSLAFPMVLVQIPMYNEKEVYQLSIGAACNMVWPSDRIIIQVLDDSTDPLIKELVKKECEMWSERGKNIRYERRQNRNGYKAGALKVGMRYSYVESCEYIAMFDADFQPAPDFLQRTVPFLVHNPKIALVQSRWKFVNASECLMTRMQEMSMNFHFKVEQESGSTARAFFGYNGTAGVWRIRAIHEAGGWQDRTTVEDMDLAVRAGLAGWKFVYLGDVKVILLTVLFSSVGACSQLLLVFPAVQVNSELPSTFKAYRYQQHRWSCGPANLFRKMFLKVLMAEGVAMSMKFYVIYNFFVARRIVSHFVTFSFYCVVIPLSVFFPEVIIPKWGLMYVPTAITLINAVATPSSVHLVIFWVLFENVMSLHRCKAVLIGLLETGRVNEWIVTEKLGDTLKTKSNTSATKRFRFKIKERFNLLEIMVGIYLLVCACYDYVYRDDLYCIFIFPQSLAFMIMGFGYIGTIIPGDK >Ma07_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30481370:30484454:1 gene:Ma07_g22600 transcript:Ma07_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVSQLYQVLLLSWAALPELPLGELWRQFRAWVAVPLLRLAVFICLVMSMMVLVEKVSMGLITLYAKVFRRRPARIYKCDPLTEDEEMGSLAFPMVLVQIPMYNEKEVYQLSIGAACNMVWPSDRIIIQVLDDSTDPLIKELVKKECEMWSERGKNIRYERRQNRNGYKAGALKVGMRYSYVESCEYIAMFDADFQPAPDFLQRTVPFLVHNPKIALVQSRWKFVNASECLMTRMQEMSMNFHFKVEQESGSTARAFFGYNGTAGVWRIRAIHEAGGWQDRTTVEDMDLAVRAGLAGWKFVYLGDVKVNSELPSTFKAYRYQQHRWSCGPANLFRKMFLKVLMAEGVAMSMKFYVIYNFFVARRIVSHFVTFSFYCVVIPLSVFFPEVIIPKWGLMYVPTAITLINAVATPSSVHLVIFWVLFENVMSLHRCKAVLIGLLETGRVNEWIVTEKLGDTLKTKSNTSATKRFRFKIKERFNLLEIMVGIYLLVCACYDYVYRDDLYCIFIFPQSLAFMIMGFGYIGTIIPGDK >Ma05_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5622326:5623334:1 gene:Ma05_g07710 transcript:Ma05_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWKVASFITICSKPTLFLTEDLVLSKLD >Ma04_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8504143:8507943:1 gene:Ma04_g11910 transcript:Ma04_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRNRGSMILLGLLVAGSLFAFSVAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNLAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGEVKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRRECERAKRALSNQHQVRVEIESLYEGLDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKHQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTIVSIQVFEGERSLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDRKVKEKIDARNQLETYVYNMKNTVNEKDKLADKIENEEKEKIEAALKDALEWLDDNQSAEKEDYEEKLKEVEAVCSPIISAVYQRSGGAPGGSDGGDEDDSHDEL >Ma08_p34480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44450824:44465196:1 gene:Ma08_g34480 transcript:Ma08_t34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDATESCGSWAASDSSQAHHRQQQRHKLDVFNEVLRRLREAGRPEVQSPSFEDGLWNHFNRLPARYALDVNVERAEDVLTHKELLELAQEPANRPVFAVRLVQVSPVPDGNQAGPSDSNTPGTRDAQSTSTYFRQSVHPPPAFGSSPNLEALALEASRQQAQDGDSVANAPHLPRPMHEITFSTHDKPKLLSLLTSLLAEVGLNIQEAHAFSTNDGYSLDVFVVDGWPYEMPSLSFILKKFMFLNIAECFSLTCCCIHCCSWLCRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDFLHKQKGVFKLPALLRVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAESGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTAKLPYEYLTPLQAAVGVVQKGLRPTIPKNTHSKLAELLEKCWQQDPANRPDFSEILEILQLIAKEVGDESNDRRKEKSSGGFLSVLRRGH >Ma10_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30410392:30420422:-1 gene:Ma10_g20130 transcript:Ma10_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLLEDVPKLLHPYDRTSKILFSAIECGWLPGDMLDYIPCKYYNGTLFCEVWDFRTSLSKLGLGGCDSSHDEFPKVQKVCLRMGNESVVKDLQSISDDSWTYDDLLQVESGIIKSLQPLLYLCPKPSLDRLCRTPSSKKLDLSIMGRRLTRKQHDEPEIGLKSVPMEMASSRIIDNLKTQTSGSLEHGGLCYARNTILRSMPFIQQATDWNHSILHTLQMASQPFNISDAGCSLPVPNNAAAIPSFLLPPDHNSKNSLLHTEYCATLGKRSQFQEEVTQNTAVKRPKQGTPVSDISQAEKNVLPGIQEQRRVKLSKKQQEVQISQLVVTCDRHSCPLISETSMKIIPEVEVQMTSQLYKEAAKDMVKEEPTEAKVLCRSDLVKGKKDNLVDARSKILKQQLSVQRSLPFRLQCDKDGPSFVKHPKNGDFSRKRKSTQGYQVSAESSDQFPVSQLSENQILSLGTSRTCQEVAATKLQKEDTAVDPVASVGTASMTSVSNSTLVQVSKMILKKKPKALTSAFAEGTMGINFDTSVNATRVQDISNGSLAEIIPAPAPSEANDDTTILEKFSKIQIITQRYGLGCKKNKVDSYIMTKASSCSLLPPVGILMPEDSEEIDGSRNVCKTRILTYRRVLFFFGGSSLPLSASESWRKLVLTEFDEPCGHKVGAEIVYITDEKRFHIALLPTLHLADLFAAQFTSLMEHDGYELMNDRLEHSSSTTSDSSFSSSQHNGFPGVPLLPYGTSNMDHPLRRVTTLDKSICTTHLHHFSHKKILLPTRLTSSFAVARQQQLEALTNLGFMHQHIPNGWRSTTLYEDTSGTVNSAAFLSLNGLQQSPEQPQRILQSKVAGGGTEDDVMCGKELGQISCSKNPCQRFLNRGTSQITQAVDLAKTRLAVGPGMNVGLGMGRTSNGSGMTLNLDHALGRSNVYPFQNRDTYLLHFNRPLMDLCNNTCDPQYQLHVDLQQSLLQNLQHQQLQRLGPLEQHNLPVIPAAVSPRQLPRVPGKQVISGIVNAGIPQLSSQSVNCGGRFTKEDNHGKDTV >Ma08_p30680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41860926:41863211:-1 gene:Ma08_g30680 transcript:Ma08_t30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLEEGQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSAKHIDFSLTSPFGGGRPGRVKRRNQKAAAKKAAGGDGDEDDEE >Ma03_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29160457:29162337:1 gene:Ma03_g25000 transcript:Ma03_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLEAEVAVLLCAVFFVPASGILHPVDYLVLQSLRQSLADLPGSAFFASWDFTAEPCAFAGVLCSRDRVVALSLGDPRAGSPGLTGHLPSSLIRLSALAELSLVPGRVAGPLPASLPSSLRFLALSGNLLSGSLPPSLSALRRLRTLDLSSNRLSGSVPPAIFRLPELRTLILAHNRLSGPVPAVASAPLLRLDLRSNALTGSVPFLPPSLVYLSLASNRLSGWVDRVLPRLTRLQFLDLCMNHLSGPLPGVLFTFPVSTLRLQRNQFSGPLRPASPLPVEGATVDLSYNRLTGNVPAELAPAGRLYLDFNRFTGQLPAALVDRVVAGRMRVLYLQHNYLTGFGIGGAAASVPAGMSLCLQDNCMVPPVNAACPRNAGPQRMRPPEQCAAIRNRG >Ma01_p02110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1406402:1411493:1 gene:Ma01_g02110 transcript:Ma01_t02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKKQGVLRANQAIYQGATLREENTGVKQVDVSSILRMQHLQRLATWASKEARVAPLAAFLGERLASKAEASGIPLDPSTLLCERCETILQPGNSCSVRIEKVTNKRRRRVNKSRFPRQNNVIYTCHFCSHRNLKPGTAKGHVHALLDAHPGHTSSRSNSYGPMSEIKGDSGVATKVLQHDAAAGSVEPELNSGLNSSGPDTNAAAGSVATKSPVTPLMKLINKSNKKRKKANDSTTNNSLATADSGRAIGGSSKRRRKGWSSLKEIVESSESKNDRSISNLAIPFLLA >Ma01_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1407275:1411493:1 gene:Ma01_g02110 transcript:Ma01_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGRTKKQGVLRANQAIYQGATLREENTGVKQVDVSSILRMQHLQRLATWASKEARVAPLAAFLGERLASKAEASGIPLDPSTLLCERCETILQPGNSCSVRIEKVTNKRRRRVNKSRFPRQNNVIYTCHFCSHRNLKPGTAKGHVHALLDAHPGHTSSRSNSYGPMSEIKGDSGVATKVLQHDAAAGSVEPELNSGLNSSGPDTNAAAGSVATKSPVTPLMKLINKSNKKRKKANDSTTNNSLATADSGRAIGGSSKRRRKGWSSLKEIVESSESKNDRSISNLAIPFLLA >Ma03_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9198745:9200070:-1 gene:Ma03_g11860 transcript:Ma03_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEIYRKSSIGACLIDALDEMVLSGTLSPEVAYKVLVQFDKSMKDALETRVKRMVSMKGHLHTYRFCDHVWTFNLQDAVFKIGKTEEQIGRVKIVACDSKLLP >Ma04_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5921803:5925624:-1 gene:Ma04_g08220 transcript:Ma04_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDGAAPRMRHLSIRTARQSDPADGSAGEEVVEGGRGGAVKEGRRLEGERRGEEEPVSPGGRLFHQRGFDCYIVAIMGYAKPIDVGVVKSGLEKTLVRHPRFCSIPVVLDETGGTKSTWVPAKVVVDDHIVIPDLADPRRITTSPDQLVEDYIASLTCIPMDHSRPLWELHVLNFPTSDAAAVAVLRLHHSLGDGVSLMSLLLACTRKSSHPDSLPTVPSHYSRRPPAPKRLHARVFTLLLWLWAFLILTWNTLVDVVCFTATSIFLKDTPTPLMGSQGVEQRPKRIVHRSVSLDDIKDVKNAMHCTINDVLVGVTSAGLSRYLSRRQEQNSNDDDKNKNKKKKELPSNLRLRSTLLVNIRPAPGIHALAELMEGRDDGTKWGNLIGYIILPFEIFNHKDPLDYVRRGKAIADRKKNSLEAIFTYKSAELVVKCLGIKAAAKLCHRMLTHTTLSFSNMVGPVEEIEFFGHPLVYLAPSAYGHPQALTIHFQSYMNTMKIVLAVDDKVISDPHQLLVDFAESLKIIREAIPARS >Ma06_p27700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29602979:29611934:-1 gene:Ma06_g27700 transcript:Ma06_t27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVESTTNLFIREAMVTNILVGKNDTVEGVSTFFGMNFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTESLQQLGFETDRLKTGTPARVDYRSVNFFGLEPQHGDEEVNWFSFDPDYHVEREQMCCYLTRTTKDTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKDSHQIFLEPEGRTVPDLYVQGFSTGLPERLQLTLLRTLPGLENCLMLRPAYAVEYDYLPAHQCSRSLMTKKIEGLFFSGQINGTTGYEEAAAQGLISGINAARHSDGKSIIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGWDIGLIDERRWNLYQLKQARILEEKERLKSVRISGGDFAAEVSHLSGQPVKDSSSLESILKKPHVEYKVLDKHGYGNELLSRIEKECVEIDIKYAGFIARQRSQLQQIVNQQHKRLPEDLDYYSMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADMTALLICLEAKRRMASEMKRHGLVRSAADDLDETESCLATARRAAGA >Ma04_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:829663:840465:-1 gene:Ma04_g00900 transcript:Ma04_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQSRGSGPRPLSRISSIMLSMFATMATFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDETLKLVACRHQQKKLAALEMELAAARHEGFVGQYSSETNGTYSRKRLLVVIGIVTEFGRKKHRDAVRKSWLSTGAAMKKLESEKGVVARFVIGRSANQGDGLDREIDDENSQTKDFIVLDNHVEASEEGPKKTKLFLVYAADTWDAEFYAKVNDDVYVNIDALGTMLASHLDKPRVYIGCMKSGEVFSELSHKWFEPEWWKFGDGKSYFRHASGEMFVVSRAVAQFISINKSILRTFAHDDVSVGSWMIGLDVKHVNEGKLCCSSWSSGAVCAAA >Ma05_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36895639:36896501:-1 gene:Ma05_g24720 transcript:Ma05_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGLGTMVDAGEGFWIRTVAFPDAVVRSRSRREQSLVFTTDDKENIPPSLVVTARRRVGRRKSPLPSWYPRTPLRDVTVVVNALERRRMRATAARVKRRNRNREMKEPDQTSLGEALSSDVSDAWYVSPIGHSLQSPSSGLVSVSTDPSTEDMRPTEFEERLQSTIAEMERLVLRNLKRSPEPHAKMKKPTRTLLSMR >Ma01_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28696149:28696238:1 gene:Ma01_g23620 transcript:Ma01_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFTIKRYWILFHIGPERRRKARMPTDIYL >Ma04_p28210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29296310:29299720:1 gene:Ma04_g28210 transcript:Ma04_t28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLFRVCRDDSHSSSSEKLKQTLKILEEKEVVLQKKISQEVDRAKGFTRANNKQAAIECLKRKKYYEGKMDQLGTFQLHIRNQEKKLQQDCHPTLRGNSRLQAI >Ma04_p28210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29297899:29299720:1 gene:Ma04_g28210 transcript:Ma04_t28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDRKSALVEMQQEGTCWNLFEALLLVSAKDIIIKTLKILEEKEVVLQKKISQEVDRAKGFTRANNKQAAIECLKRKKYYEGKMDQLGTFQLHIRNQEKKLQQDCHPTLRGNSRLQAI >Ma05_p25740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37588337:37590121:-1 gene:Ma05_g25740 transcript:Ma05_t25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPHAFTPCRRRLSFEEISTQGPLSISYIALPPSLPHHFEAGRKMSSRRSRISEEEINELVSKLQSLLPEARRRGSGRASASKLLKETCNYIRKLNREVDDLSNRLSALMATMDSDSAEADIIRSLLRS >Ma06_p13170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9026757:9034200:1 gene:Ma06_g13170 transcript:Ma06_t13170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLKQQQALMQQALLLQQQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEPLLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRRSAALAIVTLNGRQLFGQPIKVNWAYASGQREDTSGQYNIFVGDLSPEVTDATLFACFSVYQSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWATKGANSNEDKQNSDSKSVVELTNGSADDGQENTNDDSPENNPQYTTVYVGNLAHEVTQVDLHRYFHALGAGVIEDVRIQHDKGFGFVRYSDHSEAALAIQMGNGRIFCGKPIKCSWGSKPTPLGTASTPLPPPTAAPFPELSATDLLGHDGSLVLSKMGGNQALMHAQGQVALKQAALGMGAGASQAIYDGGFQNVSAAQQFMYY >Ma06_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9026752:9034200:1 gene:Ma06_g13170 transcript:Ma06_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLKQQQALMQQALLLQQQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEPLLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRRSAALAIVTLNGRQLFGQPIKVNWAYASGQREDTSGQYNIFVGDLSPEVTDATLFACFSVYQSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWATKGANSNEDKQNSDSKSVVELTNGSADDGQENTNDDSPENNPQYTTVYVGNLAHEVTQVDLHRYFHALGAGVIEDVRIQHDKGFGFVRYSDHSEAALAIQMGNGRIFCGKPIKCSWGSKPTPLGTASTPLPPPTAAPFPELSATDLLGHDGSLVLSKMGGNQALMHAQGQVALKQAALGMGAGASQAIYDGGFQNVSAAQQFMYY >Ma06_p13170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9026757:9034200:1 gene:Ma06_g13170 transcript:Ma06_t13170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLKQQQALMQQALLLQQQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEPLLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRRSAALAIVTLNGRQLFGQPIKVNWAYASGQREDTSGQYNIFVGDLSPEVTDATLFACFSVYQSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWATKGANSNEDKQNSDSKSVVELTNGSADDGQENTNDDSPENNPQYTTVYVGNLAHEVTQVDLHRYFHALGAGVIEDVRIQHDKGFGFVRYSDHSEAALAIQMGNGRIFCGKPIKCSWGSKPTPLGTASTPLPPPTAAPFPELSATDLLGHDGSLVLSKMGGNQALMHAQGQVALKQAALGMGAGASQAIYDGGFQNVSAAQQFMYY >Ma10_p25770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33737553:33741193:1 gene:Ma10_g25770 transcript:Ma10_t25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVKFHPLDHQQERRKRQRRATRSGSASWGAGDRPQARQCLRARPLVAVGSRSLDNARRFIALGSYEAVLDDPCVAVYVPLPTNVHVRWVVGAAERGKHLLLEKPTALCASDLDRTHAIVAHSDNGLHFVSHITWKIFPEELHGRRLMITQIILIRRISSLFDSTICSLAIFQRLACVLQVCGYAGDSDFLENDICVMPELDALGPLGDVGWYCSCSILWVADYELPKKAVAIHGAVKTEAGVILSCGSSLLWEDSKVATFRCSFLTHLTMRVSLQLSDFVIPLEEEKVPFSFASGSAFNELVTGWQSLPTKHIVPTDLSQESLMVQELSRSAGSIRDSAGKPDDQWPAITRNRQLVLNAVKASIDQGCVRVEIV >Ma01_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8943045:8943498:1 gene:Ma01_g12340 transcript:Ma01_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASSSSPSSGLQPWRSPLPYLFGGVAAMMCLIAVALLVLACTRHKSSEEDSTPPCTAEKPVVVPLEMEPRVVVVMAGDDVPTFIAKPLPPVACDEQRA >Ma09_p26270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37580493:37582133:-1 gene:Ma09_g26270 transcript:Ma09_t26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKLMAFVVSEKFKALVNTSFLLPLCFFFTSHIRSPTTSVHVTSTQALPGCAGLHKLKYNHSECLYIGGCSPCLSQGYVNYLHLYYCLFGSHPPLACALLALWVLVLLYLLGNRASQYLCSSMEDLSRVLNLSPVVAGATLLSLGNGSPDVLASIVSLSFGSGELGLRSVLGGAFFVSCVVIGVINLCSPSSSRSVLIDKSSFVRDVCFFIVVLSSLLMILLVGRITIWGAMAFASLYLVYASVVSVTYFYRQKYDDLATSILEKEEEETDGQDSSTYCWLQAMASPCLKLLLYLIDKPLDLPWMLTIPDVSEQRWSGMYAVSSATLAPIFVTALCSSKRRGIGSHDGFTICLYESPVSSVSGLLALHSTMRESPPRKGLYPWLAGGFLMSVLCTYIVAEELVGLLVSLDYIHAVNPAILGLTVLAWGNSIGDLMSNVAMAMSGGRDGAQVAISGYYAGPIFNTVAGLGLSLVMSAGALHPDSIVIPVGTAVLEILRFTNGGLLWALATLARKDMKLERVMGVGLLLICLCCLSLRLSQRLGLVV >Ma09_p30220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40453107:40455980:1 gene:Ma09_g30220 transcript:Ma09_t30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIGKASLKPGDHIYSWRTAYIYAHHGIYVGDDKVIHFTRGRGEEVGTGTVLDVFLSSSGPHRAPCSTCTNQALESRGVMSSCLNCFLAGGVLYRFEYGVSPALFIAKARGGTCTLAVSDPSEMVVHRAKYLLNNGFRCYSVFKTNCEDFAIYCKTGILVAERGVVGQSGQAISIIGGPLAAVLSTPFRLITTNVYGMAVTAVGVYCASRYIADIGNRIDVVRIPVEELTAGLASGRVRIAEGANHLTLPQ >Ma04_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4997259:4999268:1 gene:Ma04_g06860 transcript:Ma04_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICGSRSPFDCLLLDLDDTLYSPTIGIGQACKRNIEEFLAGKCGVSAERACTLRVEHFRNHGSSLAGLLDLGHDVHPDEYHSFVHGRLPYELIQPEAELRELLGSITQPKILFTNSDRQHAKRALQRLGIEEECFHRIICFETMNPHLFGDEREVSKTPEVVLKPSAKAMETAVRLAGFPPHRTLFVDDSERNIAAGKAIGLRTALVGKREKTKEADYLLDNICALRQLIPEIWGEQEKVEAGGEHGVTTTTTTRPELDSIRATTIIGA >Ma05_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3294183:3296038:-1 gene:Ma05_g04330 transcript:Ma05_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPPSRRDNKVVDGRWSLLGTTALVTGGTKGIGHAIVEELARFGAAVHTCARNEAELETCLKKWEGMKLNVTGSACDVSLPAEREKLKERVASFFHGKLHILESTMWEQQFGRHPQITPLRTTDMS >Ma10_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14470044:14470226:-1 gene:Ma10_g03860 transcript:Ma10_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVLHSLSPSPHNMELVVRFNISFSDVLPCDDINLCIFFQVERSFDLSRVCKCIFFST >Ma06_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11644077:11648798:1 gene:Ma06_g17150 transcript:Ma06_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKTLGVDRNASDDDLKKAYRKLAMRWHPDKNPDNKKDAEAKFKQISEAYDVLSDPQKRAIYDQHGEEGLKGQVPPPGAGPSEFFGGAAGTKFRFNPRSADEIFSEFFGGSSPFGGGSSGAKFADVFAAFGSGRSGEASASALRKAPAIERVLVCSLEDLYKGATKKMKISRDVIDASGKPTTIEEILTINIRPGWKKGTKITFPEKGNEHRNLIPSDLIFIIDERPHGVFKRDGNDLFVSQKISLVEALTGYTVQLTTLDGRNLTIPINSIISPTHEEVVQGEGMPITREAPKKGNLRIRFQIKFPTRLTPEQKAGIKQLLAPS >Ma10_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25068879:25076308:1 gene:Ma10_g11610 transcript:Ma10_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSKGQNIRFVQSELEIDIIVKVGDVIFHLHKFPLLSKSSRMQKLVTTNNDDNLGEIHIPDIPGGPAAFEICVKFCYGMTVILNAYNVVSARCAAEYLEMHETVEKGNLIYKIEVLLSSSIFHAWKDSIIALRTMKSLLPWSEDLKLVSNCIDSIASKASVNPSKVEWSYTYNQKKVPSENSLDPLWNGIVKEQSVPMDWWVEDLCELEIDFYTKIIVAIKAKRRVSSEVIGEALKAYTFRWLSNLGAASMNSAIDAARYQSILQTIIWLLPAEKGSVSCRFLFKLLGAIILIDGGERSMKELIKQIGHHLEYASVSDLLIPVMPGQNTIYDIDTVMSIVKEFLMQHSIASQPSPNDTEETETMNLALVSDGSKMAVAKLIDGYLAEVAKDPNLLCSKFTDLAALISSKSRTVHDGLYHAIDIYLKEHPSLSKSEKKKLCGLLDCKKLSAEICIHAVQNERLPLRLVVQILFFEQMRASSACSGRAESGGSYGSSRSGITTNAEDEWDGMPTAEVLGSFKSTKLTHGNAGSQRNSGSSDTTKSDGHDKSGNAKAKGIKMPRKMLGELLSSKRQTGENSSSSNTSVSEETHN >Ma10_p11610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25069242:25076308:1 gene:Ma10_g11610 transcript:Ma10_t11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSKGQNIRFVQSELEIDIIVKVGDVIFHLHKFPLLSKSSRMQKLVTTNNDDNLGEIHIPDIPGGPAAFEICVKFCYGMTVILNAYNVVSARCAAEYLEMHETVEKGNLIYKIEVLLSSSIFHAWKDSIIALRTMKSLLPWSEDLKLVSNCIDSIASKASVNPSKVEWSYTYNQKKVPSENSLDPLWNGIVKEQSVPMDWWVEDLCELEIDFYTKIIVAIKAKRRVSSEVIGEALKAYTFRWLSNLGAASMNSAIDAARYQSILQTIIWLLPAEKGSVSCRFLFKLLGAIILIDGGERSMKELIKQIGHHLEYASVSDLLIPVMPGQNTIYDIDTVMSIVKEFLMQHSIASQPSPNDTEETETMNLALVSDGSKMAVAKLIDGYLAEVAKDPNLLCSKFTDLAALISSKSRTVHDGLYHAIDIYLKEHPSLSKSEKKKLCGLLDCKKLSAEICIHAVQNERLPLRLVVQILFFEQMRASSACSGRAESGGSYGSSRSGITTNAEDEWDGMPTAEVLGSFKSTKLTHGNAGSQRNSGSSDTTKSDGHDKSGNAKAKGIKMPRKMLGELLSSKRQTGENSSSSNTSVSEETHN >Ma10_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30143165:30143366:-1 gene:Ma10_g19640 transcript:Ma10_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSTSRIVFIQAYVERAISEHGMYLSMGKEKRETAGLYWTRG >Ma09_p29530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39957169:39958294:1 gene:Ma09_g29530 transcript:Ma09_t29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAFGQFDDSFSVGTLKAYLAEFISTLLFVFAGVGSAIAYNKLTSSAALDPAGLVAIAVCHGLALFVAVSVGANISGGHVNPAVTFGLALGGQITILTGIFYWVAQLLGAVVGAFLVKFATGLDTPTHGLGDGVGAGEAVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGNFSDLWIYWVGPLIGGGIAGLVYTYAYMCSDHQPLPQ >Ma04_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12020654:12025847:-1 gene:Ma04_g15690 transcript:Ma04_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWLLVVPALVAVLLAVLKGGAFVVAEGEATCSGIVPMKSREKMVSIADFGGVGDGKTLNTAAFESAISHIVQQNAPGGTHLHIPAGVWLTGAFNLTSHMTLFLAKDAVIKATQDTSNWPLIDPLPSYGRGRELPGGRYMSLIHGNGLRDVIITGENGTIDGQGDMWWNMWRQKTLRFTRPNLLELMHSTDVIISNVVFQDSPFWNIHPVYCSNVVVKNVTILAPYDSPNTDGVDPDSSMNVCIEDCYISTGDDLVAVKSGWDEYGIAYARPSSGITVRRVTGSNQFAGIAIGSETSGGIENVFAENLDIFDSGIGIHIKTNSGRGGFIRNITISDVNLNNVRKALKISGKAGDHPDDGYDPNALPVVDGLTIKNVWGVGVRQPGSIQGIKDSPFTHICLSNVTLKVNSSREVPWTCADVSGGALEVQPSPCAELTSVNGVRFCTNAL >Ma00_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1769924:1770474:-1 gene:Ma00_g00610 transcript:Ma00_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQRIHPMDVEAPLPSAPPATPSLAALEKSDSTGERPTIQVAPSRPLKKKRRSFCCCCCTLLAIVLLVLVVSATAGVLYVVFDPKIPKYSVDRLRVSGFSVRSDMTQQQQTGKIPLTVRGDVPVSVRVGKMKLWKMTFQIRCDLVVNSLSTSDDISVRSNSCQFKLKR >Ma03_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25383639:25391785:-1 gene:Ma03_g20220 transcript:Ma03_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated protein VIPP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65260) UniProtKB/Swiss-Prot;Acc:O80796] MAMKASLSFAPPSSSSCAFGAPSRRALVLSRTLGTSFFNGGVGALKATGIHTLRIKRLKCSRHGGGALGAQMNLFDRLARVVKSYANALLSTMEDPEKILEQAVLEMTDDLTKMRQATAQVLASQKRLENKYKAAQLASDEWYRKAQLALAKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVDNLVSNTKLLESKIQEARSKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALNQLTTDDLEGKFALLESSSVDDDLAKLKRELSASSPKGELPAGRTAVSSSNASSAFRDMDIEKELNELRRKAKEY >Ma04_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4784881:4785359:-1 gene:Ma04_g06500 transcript:Ma04_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVCVQCGTRTNPCRCKVVGPTLGFLAFAAAAAVEWPVGAVVYLFRHMKGRRIMAHPAAVVYPSVANAIPI >Ma03_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5152292:5153890:-1 gene:Ma03_g07350 transcript:Ma03_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEPLPQFLSSIKLKYVKLGYQYLANHMLTFLLIPVMVGAFLEAAKIGPDELVSLWRSLGINAIHALCTVLLVVVVATAYFMSRPRPVYLVDYACFQPARNCRVPFATFFEHARLMPFFDEKSVRFQVRILERSGLGEETCLPPANHYIPPCPSMEASRAEAHLVIFSAIDDLIAKTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIMSFNLSGMGCSAGLISVDLARDLLQAHPNSYALVVSTEIITPNYYAGNERSMLLPNCLFRMGAAAVLLSNRRRERARAKYRLLHVVRTHKGADDRAYRCVYEEEDGDGNSGIKLSKDLMAIAGEALRSNITDVGPLVLPVTEQLRFLASLVARRLLGSRGWKPYIPDFKRAFEHFCIHAGGRAVIDELQLNLRLSAAHVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAVWRSLRTVATPVDGPWSDSIHRYPVHIPEVVKLL >Ma09_p25370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36859158:36862134:1 gene:Ma09_g25370 transcript:Ma09_t25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSLFLLLLLFVFVVSATSRHLGHSALHSYFRTHRTGLPPRSTYGPIKTYGRNYSYVCDSRRFADLGLRVTDFAYCNKNLSYEQRVKSLVGSLSLEEKVGQISDQARGVQRIGLPPYSWWSEALHGVSFVGHATYFGDIVPAATSFPTVIVSAASFNESLWKSIGQASDLTRAMHNLGHAGLTFWSPNINVVRDPRWGRILETPGEDPFLVGKYAANFVRGLQDVEGHEVAANPDSRPLKVSSCCKHYAAYDVDNWFGIDRYHFDARVAAQDMVETFLLPFEMCVKEGDVSSVMCSYNRVNGIPACADPKLLSQTLRDDWKLHGYIVSDCDSLEVMHHGHKWLGDTPEAAVSQTLRAGLDLDCGDYYSNFTESAVAQGIVRESYIDTALKNLYTVLMRLGFFDGMPKYESLAEDDICTKDNIELAADAARQGIVLLKNDHNILPLRKDKYKKLALVGPHTNATEAMIGNYEGTPCRYVSPLDAFSAEGKVEYDLGCTVWCWEKESHQRAKEIAARADATIIFAGISLEVEAESRDRDDLFIPYSQSNFITEVTEASKGPVILVIFSAGGLDISSIARDNAKVSAILWAGYPGAEGGRAIADVVYGRYNPGGRLPITWFESEYTKLLPMTSMPLRPIDELGYPGRTYKFFDGQTVYPFGYGLSYTQFKYTLKSVPSSVVVKLDPLQLCLPLTYMPNASLEEEHAGAACQSVNVADTACNHEINFEVEVANTGKFDGNHVVIVYSKPPAGVAGAPIKQVAAFRRVFVPAGASSSVKFSIDACKSLSIVEKTAYKVLPRGQHTIVVGDDEPTVSFPVKVDFN >Ma01_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8247051:8251787:1 gene:Ma01_g11360 transcript:Ma01_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPRHESLVGRNFSDGAADLDLLDQLLSRDGWLEFPDCPDVLQAGTPCSMSPLSSLSFSPLFEVNNSSSNPDRLESCSQDDIGRSVVSACPPGDETLAENFDRTQSPNLNSTGQLIFSIHSGVVRTADLSSKPGTRRSIQPSDSNFYVKERFMQALYHIKDARRDSEVLVQLWVPVKRGDQLILTTYSQPFSLNPNCEKLMNYREVSTNYHFSAQANSGKVLGLPGRVFLGRLPEWTPDVRFFSSYEYLRVDYAQRLDIRGSIAIPVFDQGSRSCLGVVEVVMTTQKLNYSVELEKICNALQAVDLRSSAVASVPRFEVGSGSYQAALPEILEVLKAVCRMHMLPLAQTWIPCIQQGKRGIRHSDENYRHCVSTSDAAYYVNDPSMIEFHEACSEHHLLRGQGAAGRAFTTNQPCFVSDVTASSKTEYPLSHHAKMFGLRGAVAICMQSILSGNVDFVLEFFLPTNCILIEEQKQMLDSLSGTIQQVCRTLSVAKSKELADANMLQKNEMIPHILLEKSFSEAQPAQKYDPVTSLDARTKKLHRNLPPWFTSTMKNSVNKRGHTFKFKKSEAEGFSITTDRGYTEEVLPAAEIFLKLGHHRKGLSKDVTDIENSSNFNSTCSEAAKTTAKRRRKSEKTVSLEVLRTHFAGSLKDAAKNIGVCPTTLKRICRQHGITRWPSRMIKKVDHSLQKLRVVIDSVHGADKSIQLSSLYKDFTTVSVSDTNSPGDFEVSKSNQNDHPNADHQYLDAELNHPYLSSSHSSTSRSQTSTSNISSSSGKKKCTQPCEPRMIREVNLEEKVVHIPQGANSQIGLHLSAQSTQLCPGRFESQKSPGEHCSPPSLSLSDNYKVSSIRVKVMYGAEKVRIRLHPTWGFKDLRREILKRFNIGNKNSVNLRYIDDELEWILLTCDADLQECLCIYRSSGARTIKITLQSIDNPPIIVSSRSTGLSF >Ma01_p11360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8246956:8251787:1 gene:Ma01_g11360 transcript:Ma01_t11360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLPGPHQPASISHVCSRFQAETRLGFVSRKMVCVIGIEEISLMEAVPRHESLVGRNFSDGAADLDLLDQLLSRDGWLEFPDCPDVLQAGTPCSMSPLSSLSFSPLFEVNNSSSNPDRLESCSQDDIGRSVVSACPPGDETLAENFDRTQSPNLNSTGQLIFSIHSGVVRTADLSSKPGTRRSIQPSDSNFYVKERFMQALYHIKDARRDSEVLVQLWVPVKRGDQLILTTYSQPFSLNPNCEKLMNYREVSTNYHFSAQANSGKVLGLPGRVFLGRLPEWTPDVRFFSSYEYLRVDYAQRLDIRGSIAIPVFDQGSRSCLGVVEVVMTTQKLNYSVELEKICNALQAVDLRSSAVASVPRFEVGSGSYQAALPEILEVLKAVCRMHMLPLAQTWIPCIQQGKRGIRHSDENYRHCVSTSDAAYYVNDPSMIEFHEACSEHHLLRGQGAAGRAFTTNQPCFVSDVTASSKTEYPLSHHAKMFGLRGAVAICMQSILSGNVDFVLEFFLPTNCILIEEQKQMLDSLSGTIQQVCRTLSVAKSKELADANMLQKNEMIPHILLEKSFSEAQPAQKYDPVTSLDARTKKLHRNLPPWFTSTMKNSVNKRGHTFKFKKSEAEGFSITTDRGYTEEVLPAAEIFLKLGHHRKGLSKDVTDIENSSNFNSTCSEAAKTTAKRRRKSEKTVSLEVLRTHFAGSLKDAAKNIGVCPTTLKRICRQHGITRWPSRMIKKVDHSLQKLRVVIDSVHGADKSIQLSSLYKDFTTVSVSDTNSPGDFEVSKSNQNDHPNADHQYLDAELNHPYLSSSHSSTSRSQTSTSNISSSSGKKKCTQPCEPRMIREVNLEEKVVHIPQGANSQIGLHLSAQSTQLCPGRFESQKSPGEHCSPPSLSLSDNYKVSSIRVKVMYGAEKVRIRLHPTWGFKDLRREILKRFNIGNKNSVNLRYIDDELEWILLTCDADLQECLCIYRSSGARTIKITLQSIDNPPIIVSSRSTGLSF >Ma06_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1298080:1298373:-1 gene:Ma06_g01600 transcript:Ma06_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRTCAMLLVIVVSIMAVVMTGAGGGVDAARPAPDCFDGESYLAHPSVYEKARAAVAAWMARLPSGPSPGGPGH >Ma08_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7573231:7574017:-1 gene:Ma08_g10360 transcript:Ma08_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLLTIASVQHRRMRPAKPAASAAGEESGKPFPPTSPPGSTSSQSYQQLLWTSMKVHALTILNNPRS >Ma04_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4230201:4230788:1 gene:Ma04_g05660 transcript:Ma04_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGWGIGDHSSNPPTPKMDFSYSACFSVPSTGSPRLLAPAGPPDPYGESPYCFKYVAPRIVSMVLCFVVIGALFTLAFSPPPSQDEEDEEDEEPGWVRGKKAARGALDPAVLSSFLVAPYAAVAGSGRVGRDCPVCLAEFGGGDAVRVLGKCGHGFHSGCIDPWLAGHATCPVCRSDLGAAAPVVVVVVAPGDR >Ma06_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1092581:1093111:-1 gene:Ma06_g01360 transcript:Ma06_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFVAVVLFVLLSPGLLFQIPGKGRVVEFGSLQTSGVAIFIHSIIFLGLAAVFMLVIGIHVYLG >Ma04_p28720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29716639:29720629:1 gene:Ma04_g28720 transcript:Ma04_t28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTEMTLKAIKKIDKIRVTREAQHHKMRMKGNKAIEQEAAIKELENSINLVKAPAALQQGSEITLPKIKVKVSQSQDVGERMEE >Ma04_p28720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29716623:29720629:1 gene:Ma04_g28720 transcript:Ma04_t28720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTEMTLKAIKKIDKIRVTREAQHHKMRMKGNKAIEQEAAIKELENSINLVKAPAALQQGSEITLPKIKVKVSQSQDVGERMEE >Ma04_p28720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29716623:29720632:1 gene:Ma04_g28720 transcript:Ma04_t28720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTEMTLKAIKKIDKIRVTREAQHHKMRMKGNKAIEQEAAIKELENSINLVKAPAALQQGSEITLPKIKVKVSQSQDVGERMEE >Ma11_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:353909:354923:1 gene:Ma11_g00530 transcript:Ma11_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRQQQATKLAAHRLLAPPAGVAAAGCDADELDESDVWGCPAEPGQAEFAKPVPSSARSRGGHPRVGDRPAAASSLPVNIPDWSKILGNCYGGSNSSSRDWWEEDDDEDGGVGGSVAGPVIPPHELLCRSRAASFSVHEGVGCTLKGRDLNRVRNAIWEKTGFQD >Ma04_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15181324:15210215:-1 gene:Ma04_g16300 transcript:Ma04_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLVEELLENSVGVHFSGLHVDDIELRNSEQQLLATAETENGCREPFVIGVAGGAAAGKTAVCDMIIEKLHDQRVVLVNQESFYYDLAEEELNHVHEYNFDHPDAFDTENLLCCMEKLRHGLAVDVPNYDFKSNKRKLPARKVNPSDVIILEGILVFHDPRVREMMNMKIFVDTDADVRLARRIRRDTEEKGRDLKTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVEHIRTKLGQHNLCKIYPNLSVIQSTFQIRGMHTLIRDAKTTTHDFIFYSDRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFCKRLCGISIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYHNLPKDIEDRNVLLLDPILGTGNSAVQAISLLLQNGVQESNIIFLNLISAPQGVHVVCKRFPRIKIVTSEIEFGLNEDFRVVPGMGEFGDRYFGTDDD >Ma02_p02230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13345822:13349546:1 gene:Ma02_g02230 transcript:Ma02_t02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQGNLQSFFHNRWLVFVAAMWMQSWAGIGYLFGSISPVIKSSMGYNQRQIASLGVAKDLGDSVGFLAGTLCEILPLWVALLIGALQNLIGYGLVWLVVTRQVPVLPLWVMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQLYAMIHIPNHAALIFMVAVGPTMVVMALMFIVRPVGGHRQVRPSDDYSFSFIYSVCLILAAYLLGVMLLEDLIDLSRTVITTFTLILILILLVPVAIPVLLTSSSSEDPAATRESLLPENTKGGGGGVGSQEQAEVILSEVEDEKPKEVDSLPELERQKRIAQLQARLFQAAAEGAVRVRRKRGPRRGEDFTLLQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDTHIFVSMISIWNFLGRIGGGYVSEIIVRNRAYPRPVALAVAQVLMALGHFCFAMAWPRAMYIGTLLIGLGYGAHWSIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGLIVSGMYDHEAEKQARNRHSSSAFLFGRMLDAASFSEEKTLQCEGAICFFLSSLLMSGLCVVAVILSMILVHRTKVVYASLYGNGT >Ma02_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13345822:13349546:1 gene:Ma02_g02230 transcript:Ma02_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQGNLQSFFHNRWLVFVAAMWMQSWAGIGYLFGSISPVIKSSMGYNQRQIASLGVAKDLGDSVGFLAGTLCEILPLWVALLIGALQNLIGYGLVWLVVTRQVPVLPLWVMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQLYAMIHIPNHAALIFMVAVGPTMVVMALMFIVRPVGGHRQVRPSDDYSFSFIYSVCLILAAYLLGVMLLEDLIDLSRTVITTFTLILILILLVPVAIPVLLTSSSSEDPAATRESLLPENTKGGGGGVGSQEQAEVILSEVEDEKPKEVDSLPELERQKRIAQLQARLFQAAAEGAVRVRRKRGPRRGEDFTLLQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDTHIFVSMISIWNFLGRIGGGYVSEIIVRNRAYPRPVALAVAQVLMALGHFCFAMAWPRAMYIGTLLIGLGYGAHWSIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGLIVSGMYDHEAEKQARNRHSSSAFLFGRMLDAASFSEEKTLQCEGAICFFLSSLLMSGLCVVAVILSMILVHRTKVVYASLYGNGT >Ma09_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1810402:1811113:1 gene:Ma09_g02560 transcript:Ma09_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDNRRSQTLDLKLNLSLMPARGDASRRMGVADDASPASSCLTTASSSSEAELGMMTPVSTEVATPMVLAGCQRCLMYVMLSADDLKCPKCWSTALNFFHNTTTKNKKNSSKKSRMC >Ma02_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23702494:23704355:1 gene:Ma02_g16250 transcript:Ma02_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRHDSESVRNKCAACFRQYNKVEHLVEHMRVSFHSVHEPKCGVCQKHCRFFESLREHLIGPLPKIECARVFRTRGCNLCLNIFESPNALRTHRASCQLSRAASGLTSRMSRMSLQGSSDYGTRNQGSQVVALACKMVGGGSDGSLDLCARVCVIGEDENVIFQTYIKPQIPVTNYRYETTGIRPEYLRDAMALKQAQRRIQDFLSNGEPIWKIRSRGGKARILVGHGLDHDLECLGVEYPEFLIRDTAIYPPLMRTSKLSNSLKYLTQAYLGYDIQTGTHDPYEDCVAAMRIYIRMRSQNHPRDFASGCGESRNNYPAWRQRELEKMTPDALLELSASDYYCWCLDS >Ma03_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22565987:22568701:1 gene:Ma03_g17310 transcript:Ma03_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAERERELPSSVIDRFPRDLLRRLDGNSCFGEQLEVPGRESGEVELNLGLSLGGCFGTDSKAKKLVRSSSIAAFSSPQREHEFPVMTTALVRTNSLPAETEEERRKRKELQSLKRFEAKRKRLEKRNCIKPDASRSDEDADGRKSLITPNMINGRLKPLKGKEFRGVFGAATPSELLAWAAGSKSTAASPPVDVTGCLPPIPHGSVRASGSSYGVFEFKRKTPAQGFDLSLFIRDIDDTKNASSAHSDSIRNATSSTSTLEVRKPITVVGEEDSLKNFATDGVNRGRNMVGEMPCVSTRGDGPNGRKIEGFLYKYRKGEEVRIVCVCHGTFLTPAEFVKHAGGGDVSHPLRHIVVNPSPSATSS >Ma07_p28170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34314383:34314786:-1 gene:Ma07_g28170 transcript:Ma07_t28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLNVRLFLVLALLLSALPHKGASNTGTPSNETFVPVSPVAGLITISQDELKMCSECRCCSDKDPNNCQQMKCCYQIKCREGKPSGQCSYKPIACDCNNCK >Ma06_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14766099:14769123:-1 gene:Ma06_g20630 transcript:Ma06_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRITRTFCFAFSNVGEEYCVKKKDLVEVLTMHSFNFFPYFFCIYYFIISCLKDLESVKWSATMISLFVGFTAASLLSFSIIPFVLKLSGATLFNLSLLTSDIWAVVIRIFFYRQQVDRLSYLAFGLVDIGLIIYTVK >Ma02_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18211558:18215380:-1 gene:Ma02_g07580 transcript:Ma02_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) UniProtKB/Swiss-Prot;Acc:Q9SZL9] MASAPLNPSKKSIRSPGGGGSQNNRNASGQTVKFARRTSSGRYVSLSREDMDMSGELSGDYMNYTVHIPPTPDNQPMDGPAEAASVAVKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVMHPQMAGAKGSSCAMQACDGKVMRDERGEDIDPCECRFKICRDCYLDAQKDGGTCPGCKEPYKIGEYEDDVPDFRTGALSLPAPANAKTTADNRMSVMKSNKSLLMRSQTGEFDHNRWLFESKGTYGYGNAYWPKDGMYDDDLEEGMADGIPENMDKPWKPLTRKIPMPAGIISPYRLLIVVRLVALGFFLVWRVKHPNEEAMWLWGMSIVCEIWFAFSWILDVIPKLHPINRATDLSVLKEKFDMPSPSNPSGRSDLPGMDVFVSTADPEKEPPLVTANTILSILAANYPVEKLACYISDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPDSYFSLKGDPTKNKRRSDFVKDRRKVKREYDEFKVRINGLPDSIRRRSDAFNAREEMKAIKHIRESGGDPTEPIKVTKATWMADGTHWPGSWATSAPEHARGDHASILQVMLKPPSADPLYGLPDEDQTMDFTDVDIRLPMLVYMSREKRRGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYINNADAMREGMCFMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGVQGPVYVGTGCLFRRFALYGFDPPRATEYTGLFTKKEKKSTYSKETDSDTQSLRAEDFDTDLDPALLPKRFGNSAALSESIPVAEFQGRPLADHPGIKHGRPPGALRVPRPPLDPATVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRLKLLQRIAYLNVGIYPFTSIFLLVYCFLPALSLFSGYFIVQTLNVTFLVYLLTITLTLIGLAILEVKWSGVGLEEWWRNEQFWLISGTSAHLYAVVQGLLKVVAGIEISFTLTTKSTAEDEEDIYADLYLVKWTSLMIPPITIMMVNIIAIAFGFAKTIYSEVPKWSKLMGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLIAITISLLWIAISPPKEGEGSTATSTFQFP >Ma05_p28590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39545174:39546897:1 gene:Ma05_g28590 transcript:Ma05_t28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVGALWSLFSFLLLFFAGSLVLLAALLKFLRSMSWWCSCSVCEAYVTGSWTAHFGNLCDWYAHLLRESPTRTIQIHVLGNTVTANPDNVEHMLRARFDNYPKGKPFSVILRDLLGHGIFNVDGDLWRFQRKIASAELGSAAVRLFASCIVADEIRGRLLPLLDFACAGDKILDLQDVFRRFAFDNICKISFGLDPRCLELSLPLSEFAAAFDKASRLSARRATTTTPIVWKAKRLLNWGSERELREAIGMVNLLAMEIIRQRRKLGSCSNHDLLSRFMASVDDDNYLRDIIISFLLAGRDTIASALTELWGNDCHDFRPHRWLTNGVFTPESPYKYPVFQGGLRGCLGKEMALLDMKTVIAAVVRRFDIDVVGDNSSRTPKFAPGLTASLEGGLPVRVRRREES >Ma01_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10601508:10605342:-1 gene:Ma01_g14470 transcript:Ma01_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDLHGPSLRDLLCNACAGASAGIIAATFVCPLDVVKTRLQVHGLPNLPQSSRRGSLIISSLEQIIRKEGFKGMYHGLSPTILALLPNWAVYFTVYDKLKGLLHSHDATKVDNQLSVGANVLAASGAGAATAVVTNPLWVVKTRLQTQRIRPGVVPYKSVMSALRRISYEEGIRGFYSGLLPALAGISHVAIQFPVYENIKLHLANKDNTTVDKLSAGSVAIASSLSKVLASTMTYPHEVVRSKLQEQGHARNSLTQYAGVVDCVKKVFRKDGISGFYRGCGTNLLRTTPAAVITFTSYEMIQRFLHQFVPSEESHPEIIPDAGSQKMKRLV >Ma01_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11250439:11252836:1 gene:Ma01_g15520 transcript:Ma01_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMQQVVLSSGRRMPVLGMGTATYPVPPDEIMTSAVIDAIELGYRHFDTASIYGSERAVGQAIATALERGLIRSRDELFVTTKLWCTDMHADRVVPALQESLRTLGLEYIDLYLIHFPVRLKGDKQIIFTSEDMIPLDMPTVWEAMEKCQSLGLAKSIGVSNFTCRKLADLLSHAKIPPAVNQVEINPIWQQRKLRDFCSEKGIHVTAYSLLGAIGVFWGSNDVLECEEVKRIAQSTGKSRAQVCLRWGVEQGGSIVVKSFNKERLKENMEIFDWHLKEEDKERLSLVPQKRLILVHPFISPNGLYKSHAEFWDGDV >Ma01_p15520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11250439:11252836:1 gene:Ma01_g15520 transcript:Ma01_t15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMQQVVLSSGRRMPVLGMGTATYPVPPDEIMTSAVIDAIELGYRHFDTASIYGSERAVGQAIATALERGLIRSRDELFVTTKLWCTDMHADRVVPALQESLRLKGDKQIIFTSEDMIPLDMPTVWEAMEKCQSLGLAKSIGVSNFTCRKLADLLSHAKIPPAVNQVEINPIWQQRKLRDFCSEKGIHVTAYSLLGAIGVFWGSNDVLECEEVKRIAQSTGKSRAQVCLRWGVEQGGSIVVKSFNKERLKENMEIFDWHLKEEDKERLSLVPQKRLILVHPFISPNGLYKSHAEFWDGDV >Ma10_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31015985:31016632:-1 gene:Ma10_g21000 transcript:Ma10_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRDDRERRQEQEHGERRGGGEEEEDDEEESGVLGPSFPMGRVKKIVKLDREISKVTSEALLLISLSADLFLASLTAGARIEALKKKRRIIKLDHVRSAARAHRPTSQFLLDCLPKPPPPASKPASGASKARSADEKPLPPGARRIDDFLRSPSAVPR >Ma03_p31150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33475349:33480096:-1 gene:Ma03_g31150 transcript:Ma03_t31150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVSRNHNVNHPRRRSLRLKHVRVIYEENGVEIIGLSASSEKHKKECDPAVGKQVKVMLLESEDDGFTCKSSDHVDALSDSASDEQSAIGITLKDLRKRCKARKRKAPKCNFSSEVDSGCHSTSGESKMQNHEHVKLEQEESDLEEPLIKLKRLKGSARDKKRPKRAHLCSDDLVSKKVDTLSPCRFCDPVQDLSPVVKANASTKGRVSEDDATEVDVKNTAVTHYMEVSNSLVSSNASVNGDLLSTAIPEFVHHVKGEIVDTCSLGYQIEDDHAVKGTQSANLFRKDTEDSEASSLSISKLKNNLFVDSPRYNIVKTEKSVDDALMHKHTCASGNSTASFIAKPVCPEEPSSGEDGEFAPASKDPVCCVCEISINYREPENYPGVPEISEEQIGGTKDLSAIPNWDVIPSVLEESKHVRVPLNGLKHVRVPLSGFSPNSISAPADNYHVNNRRNKKRSILPEEITDKSTSKQINCSAKCHSYEPMEAKLICAVDMVNEDTQDLANGTPLQEMPVYGQANDVIDEHYLLCKEVFGLEEIFLSGKEDHSCDDLIHDVMAGSVLCSLPLSKTCFSVAKELQHAGEETDPFCKLENASNGQAEKAIDFSGKISNFSNSDGSTELDPHSRKASPLCIISSDRLQCTDDLLKDTEDLSCVVEEKLDATSDQPTITAISHSVVISKEQSECDQELLADHPPEKLLSYRKTMSPTSQEKLCQALRDIDLQDVSQPTEKSLAKRKRLSCEKWIKARISSSLLGPKEAKQCLGPEQTNKKPRNQSNGPPPPVKKVIVKSPETSGRMPCSCMKTSSIHMNMEKAIEFSQRQMHDIERLAMQLLKGLNSMKNIMEETLSSEAPSCLLSEFTAEEMRAAAENASELEKTTKKWLSIMTKDCNRFCKIMRSADNKSTASVNGVRKGRKITFADEVGGTLCHVETFERQPSPDSTPEREQSG >Ma03_p31150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33475349:33480096:-1 gene:Ma03_g31150 transcript:Ma03_t31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVSRNHNVNHPRRRSLRLKHVRVIYEENGVEIIGLSASSEKHKKECDPAVGKQVKVMLLESEDDGFTCKSSDHVDALSDSASDEQSAIGITLKDLRKRCKARKRKAPKCNFSSEVDSGCHSTSGESKMQNHEHVKLEQEESDLEEPLIKLKRLKGSARDKKRPKRAHLCSDDLVSKKVDTLSPCRFCDPVQDLSPVVKANASTKGRVSEDDATEVDVKNTAVTHYMEVSNSLVSSNASVNGDLLSTAIPEFVHHVKGEIVDTCSLGYQIEDDHAVKGTQSANLFRKDTEDSEASSLSISKLKNNLFVDSPRYNIVKTEKSVDDALMHKHTCASGNSTASFIAKPVCPEEPSSGEDGEFAPASKDPVCCVCEISINYREPENYPGVPEISEEQIGGTKDLSAIPNWDVIPSVLEESKHVRVPLNGLKHVRVPLSGFSPNSISAPADNYHVNNRRNKKRSILPEEITDKSTSKQINCSAKCHSYEPMEAKLICAVDMVNEDTQDLANGTPLQEMPVYGQANDVIDEHYLLCKEVFGLEEIFLSGKEDHSCDDLIHDVMAGSVLCSLPLSKTCFSVAKELQHAGEETDPFCKLENASNGQAEKAIDFSGKISNFSNSDGSTELDPHSRKASPLCIISSDRLQCTDDLLKDTEDLSCVVEEKLDATSDQPTITAISHSVVISKEQSECDQELLADHPPEKLLSYRKTMSPTSQEKLCQALRDIDLQDVSQPTEKSLAKRKRLSCEKWIKARISSSLLGPKEAKQCLGPEQTNKKPRNQSNGPPPPVKKVIVKSPETSGRMPCSCMKTSSIHMNMEKAIEFSQRQMHDIERLAMQLLKGLNSMKNIMEETLSSEAPSCLLSEFTAEEMRAAAENASELEKTTKKWLSIMTKDCNRFCKIMRSADNKSTASVNGVRKGRKITFADEVGGTLCHVETFERQPSPDSTPEREQSG >Ma10_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31335724:31337113:1 gene:Ma10_g21600 transcript:Ma10_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASFFLLILLIWSPRGDCLREQAKDAVATGGELWCVAKNNAEDSALQSALDWACGPGGADCRPIQQGGACYQPEDIQSHASFAFNDYFLRNGLAASACDFSGTAALTSLNPGTYAVKRNMFPFRPSSLDY >Ma11_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6224000:6224092:1 gene:Ma11_g07790 transcript:Ma11_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVDEKPDKETLKIGEVPAIEEFVFLGL >Ma01_p07650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5543980:5546671:-1 gene:Ma01_g07650 transcript:Ma01_t07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKIQDIMLRKRTRSVSNKQGLMSDTASLLSPTAASFFTSPRPFSGFSQKGTADPEAGASPTSILETRPFSAIRNPSFFDGNPKRAILSSSVTPTIPNVSRPLPQENGDPRAIGLGLLDVLNNEDSVKSTSKPEKRMVVFGSQLKIQIPPPPTAPTQFSSISTTGSTESLHSPIEFGIKTRNSKLALYSPQKSPLQTGYVGSDMLNSSPRVFTGCLPQSEMELSEDYTRVILHGPNPRTTHIYDNCIIESCGNGWNEKGASHDQPSYTADGFLSFCYGCKKKIGPGDDIYMYRGEKAFCSHECRDQEMLSNEGKEDH >Ma01_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5543981:5546671:-1 gene:Ma01_g07650 transcript:Ma01_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKIQDIMLRKRTRSVSNKQGLMSDTASLLSPTAASFFTSPRPFSGFSQKGTADPEAGASPTSILETRPFSAIRNPSFFDGNPKRAILSSSVTPTIPNVSRPLPQENGDPRAIGLGLLDVLNNEDSVKSTSKPEKRMVVFGSQLKIQIPPPPTAPTQFSSISTTGSTESLHSPIEFGIKTRNSKLALYSPQKSPLQTGYVGSDMLNSSPRVFTGCLPQSEMELSEDYTRVILHGPNPRTTHIYDNCIIESCGNGWNEKGASHDQPSYTADGFLSFCYGCKKKIGPGDDIYMYRGEKAFCSHECRDQEMLSNEGKEDH >Ma06_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10514482:10521637:-1 gene:Ma06_g15490 transcript:Ma06_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32360) UniProtKB/Swiss-Prot;Acc:Q8W3L1] MKGGLLFRGWKTLTSRGFSSLNDKSLRVCVIGSGPAGFYTAEKMLKAHERAEVDILDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVASNERCSFFGNVSLGSDVSLSELRDMYDVVVVAYGAESDRSLGVPGENLKEIYSAREFVWWYNGHPDCRYMAPDLKCTDTAVVLGQGNVALDVARILLRPMTELQTTDIAEHALVSLQESTIRKVYLVGRRGPAQAACTAKELREILGMKNLQVHIREADLVISPADEEELKASRIQRRVYDLLCKSATSHQRHDFAGQRELHFIFFRKPDKFVPSEDESRVGGVRLEKTCLKANGLSGKQVAIGTGQFEGLNCGLVLKSIGYKSVPVDGLPFDKYRAGVVPNVKGRVLSSDQPENVNIEQGLYVVGWLKRGPTGIVATNLYCAEETVASILEDIDNGLIASPFGSPKPGRQGLLQALEDKNVRFVPFGGWEKIDSKEKLEGQLRNKPREKLTTWDELLKAALG >Ma06_p15490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10514482:10521637:-1 gene:Ma06_g15490 transcript:Ma06_t15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32360) UniProtKB/Swiss-Prot;Acc:Q8W3L1] MKGGLLFRGWKTLTSRGFSSLNDKSLRVCVIGSGPAGFYTAEKMLKAHERAEVDILDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVASNERCSFFGNVSLGSDVSLSELRDMYDVVVVAYGAESDRSLGVPGENLKEIYSAREFVWWYNGHPDCRYMAPDLKCTDTAVVLGQGNVALDVARILLRPMTELQTTDIAEHALVSLQESTIRKVYLVGRRGPAQAACTAKELREILGMKNLQVHIREADLVISPADEEELKASRIQRRVYDLLCKSATSHQRHDFAGQRELHFIFFRKPDKFVPSEDESRVGGVRLEKTCLKANGLSGKQVAIGTGQFEGLNCGLVLKSIGYKSVPVDGLPFDKYRGVVPNVKGRVLSSDQPENVNIEQGLYVVGWLKRGPTGIVATNLYCAEETVASILEDIDNGLIASPFGSPKPGRQGLLQALEDKNVRFVPFGGWEKIDSKEKLEGQLRNKPREKLTTWDELLKAALG >Ma07_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26261949:26264658:1 gene:Ma07_g18550 transcript:Ma07_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSLQSLVPDDELMVRKSVSYRPRLGADYFIQHGQSEECDTRIKERAAELMEQIRSMSKDPTDILQTMNLVDSIQLLGLDYRFEKEISEVLNHIHDAHIDDHVLCDTALRFRLQRQQGYLLYAFNKFKDEQGSFMSSMKSDVNVLLSLYKEAYLRIHGYVGNSGIFSFPLCVLKWISLQNIHLLSKVNINQNNINNRTINQTK >Ma04_p37760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35510293:35511157:-1 gene:Ma04_g37760 transcript:Ma04_t37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSVRDRHGDEEGHQSIARPSHKLPSSPSYGAASPTTACLGAPDGGSSRVSAMGASVTSSATIATTTTLPKGYSIVHAVPLSLSVLLALVDSKPVSSFSMQGNGKRVPHAMAKAAPCFPPPLLMSVTILHRSLAEHSSSQRHIDAHPSKESIDADIGDVLKKPWLSFPLGLKPPSMDSVLVELHK >Ma03_p29990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32787981:32789647:1 gene:Ma03_g29990 transcript:Ma03_t29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLSQGASLSILWLEDNNFRGIISSSMGQLSQLYSLDLSSNSLEGNIIEAHFSNLRNLHDFKIASNFLNSLSIRSWVHSFEEVTRLTLLSLSHNHINSDFPPFFCNMKSLEVLDLSNNHLIGDVPDCENKLFGKILTWIGRNHSSLKVLLLRSNLLYGVIPENIVKLTSLQVLDLSSNNLLGSLPSSLGNFSAMLLGLRFLNLSNNHLTGRIPEKMGDMKLLESLDLSVNSLTEEIPSSFSSMNFLTSLNLSYNNLSRKIPTSGQLSTFDSWTYVGNKDLCGAPLPTCPVCRTPPDARVKDDEQLERLLEYTSIVLGFVIGFWLFIGTLIMKQAIRCAFFRWIDKANN >Ma04_p00860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:789533:796819:1 gene:Ma04_g00860 transcript:Ma04_t00860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINFGGGLSISSVFFTYGLHYLQTPRSSKLSTVHIAILRNAPHALMAFRSNPKDLHGGTLQLMFDGSPSGISSSFLDLGFVTEQCEHKNITQNEIQFHEFDGEQSEKTDGACKSAQEVSDREIRRRQKIGAANRGKIPWNKGRKHSDETRERIKKRTIEALSDPKVRKKMSESPRSHSDQSKSKISASLTKIWEERLKQKRLQEKCYLFWARTIAEAAKIGSLDQEELEWDSYEKMKADMVSEQIKLKEEKARAKEIAKLRAESVAKDKAEKVAKLAEQRKLQKEKAKARKLEALSRKKSVDERKKTELSKGLKLKAILTKFHHRKRQLETLQTEIVAKPGPDLTLDIELVKNERMQRRISLADQIKAVKNKKAEFAVQRVRANALLDSAYEQRAGDH >Ma04_p00860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:789533:795711:1 gene:Ma04_g00860 transcript:Ma04_t00860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINFGGGLSISSVFFTYGLHYLQTPRSSKLSTVHIAILRNAPHALMAFRSNPKDLHGGTLQLMFDGSPSGISSSFLDLGFVTEQCEHKNITQNEIQFHEFDGEQSEKTDGACKSAQEVSDREIRRRQKIGAANRGKIPWNKGRKHSDETRERIKKRTIEALSDPKVRKKMSESPRSHSDQSKSKISASLTKIWEERLKQKRLQEKCYLFWARTIAEAAKIGSLDQEELEWDSYEKMKADMVSEQIKLKEEKARAKEIAKLRAESVAKDKAEKVAKLAEQRKLQKEKAKARKLEALSRKKSVDERKKTELSKGLKLKAILTKFHHRKRQLETLQTEIVAKPGPDLTLDIELVKNERMQRRISLADQIKAVKNKKAEFAVQRVRANALLDSAYEQRAGDH >Ma04_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:789533:795711:1 gene:Ma04_g00860 transcript:Ma04_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINFGGGLSISSVFFTYGLHYLQTPRSSKLSTVHIAILRNAPHALMAFRSNPKDLHGGTLQLMFDGSPSGISSSFLDLGFVTEQCEHKNITQNEIQFHEFDGEQSEKTDGACKSAQEVSDREIRRRQKIGAANRGKIPWNKGRKHSDETRERIKKRTIEALSDPKVRKKMSESPRSHSDQSKSKISASLTKIWEERLKQKRLQEKCYLFWARTIAEAAKIGSLDQEELEWDSYEKMKADMVSEQIKLKEEKARAKEIAKLRAESVAKDKAEKVAKLAEQRKLQKEKAKARKLEALSRKKSVDERKKTELSKGLKLKAILTKFHHRKRQLETLQTEIVAKPGPDLTLDIELVKNERMQRRISLADQIKAVKNKKAEFAVQRVRANALLDSAYEQRAGDH >Ma04_p00860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:789533:795192:1 gene:Ma04_g00860 transcript:Ma04_t00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINFGGGLSISSVFFTYGLHYLQTPRSSKLSTVHIAILRNAPHALMAFRSNPKDLHGGTLQLMFDGSPSGISSSFLDLGFVTEQCEHKNITQNEIQFHEFDGEQSEKTDGACKSAQEVSDREIRRRQKIGAANRGKIPWNKGRKHSDETRERIKKRTIEALSDPKVRKKMSESPRSHSDQSKSKISASLTKIWEERLKQKRLQEKCYLFWARTIAEAAKIGSLDQEELEWDSYEKMKADMVSEQIKLKEEKARAKEIAKLRAESVAKDKAEKVAKLAEQRKLQKEKAKARKLEALSRKKSVDERKKTELSKGLKLKAILTKFHHRKRQLETLQTEIVAKPGPDLTLDIELVKNERMQRRISLADQIKAVKNKKAEFAVQRVRANALLDSAYEQRAGDH >Ma05_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9093353:9093775:-1 gene:Ma05_g12580 transcript:Ma05_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPFHSYVLVLPKPVMLFFRFLAYVDFAVSLVFSYLGLCGPPEPLTPTWGENALYFPATETPSSLIKRQLHVVEFSSFARTRRVEELTCVICLSEVARGHEVRELGNCAHGFHVECIDRWVDVGRETCPLCRAHLLPSA >Ma08_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3730351:3731218:1 gene:Ma08_g05470 transcript:Ma08_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSVPGTLIRESTESESKAGLDRFYYTLFSEREEIARKKKKSCHFDLIVGAPHPPSLLMGDASNKLYLREYASFPASWLRASILIHLVSISRKILESDHNVVWFIH >Ma09_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17935673:17936056:-1 gene:Ma09_g18460 transcript:Ma09_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGGLNFAVLPPPVCISVRPRSVVALAAAEGTPETAERRARSLYEVLRVRETATAGEIKAAYRSMAKRFHPDVTAGRGGDDFVEIRRAYEALSDPAARARYDLSIGRWRQGACGLSGRWETDQCW >Ma03_p32110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34068800:34069990:-1 gene:Ma03_g32110 transcript:Ma03_t32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQPTAYLEGEASEEHDPSGTGTVGQSLEMPGDGYAWRKYGQKFILKIRKNRSYFKCREEGCKAKKRVEWPPSDPSNVKVTYDGVHHHPSPQLLSASYREERAGMANRYNLVIQVLGPPGDSS >Ma07_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12779878:12801807:-1 gene:Ma07_g16180 transcript:Ma07_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHDNLLPPAAPTTALIQPGDPSSSSSSSSSRPETLDGDADLDRKWSRRPRFPVSTRGTCAVLKRERKAGKKVADGKASLEDHVRAWKEKRMAAGVSERECFLPFLTNAPRMVDCRICNRCIYPGEEVQCSVLGCQEAYHLTCAKQLIGSFTAKIFKCPQHGCFVCKQKAYWRCVRCEVAAHAKCAPWPADVIYLKNRPGRAVCWRHSSDWHLEKEHADTTWDVEEAFLRLPLPYADEEFKMGCILKDVMENKTEPSPYVHIRRNVYLIKKKRDGTETGGGCTNCNANSTCKENCECRGLSISCSKDCHCSDMCRNRPFRKEKKIKVVKTEYCGWGVVALEVMEKGDFVIEYIGEVIDDALCEQRLWDMKHRGDQKFYMCEIHKDFIIDATFKGNTSRFLNHSCDPNCKLEKWQVDGETRVGVFASRSIDIGEPLTYDYRFVHFGPMVKCYCGASNCQEYLGSKKKINQMLSCWGCKRKRSFMAHHAKQISFFQSHMHYFCKKTRLNIHTQRLTTFCEF >Ma08_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5457025:5471032:1 gene:Ma08_g07870 transcript:Ma08_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRSDSPTCRRIVLAFLDFLQSVEMAPGVDSEAIDVVRDCLEDVFKLDSSSCEKICPGLLLDLFTSEEIGQHQLRSYLDSAAAGDTSHATLHSPEAAEDSEMLEASKGEGSAGGLHGLGAVSRDELFGRFYAGLDKINFFTSSPGGPEDPNRIAKATQLFDEAWMEIGSSQRQVMNLSNLAEIFKSKGNDCIRMKLYSEAIELYTCAIAICEKAVYYSNRAAAYTNIKKYSEAIEDCLKSIEIDPNYSKAYSRLGSAYFAQGNYRDALEKGYLRALELDPNNNTIRENIQVTEKRLMEQQAETDQNRRSHHGQGSNTRSAGSTNNNSFPFASFPIGAPTPEFVANIFRSMAPGHGQDSSSQASTPANSSPLFTSFTMNSSVPTDFANIHVNMDSATQENSGNMGESSEPEVRIDANASLNFGGGLEQVSDALRSVVGMLSSQFAPHADTPGDQTHGSHQ >Ma09_p27460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38448011:38457824:-1 gene:Ma09_g27460 transcript:Ma09_t27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAAFLPRALLFPRPRPPSPPPLPPPLSTVVARRQFHHFPFPRLRLTKPRPLVIVASSSSGASFDELDSVGRSDNLSSKESILLNMVQEIEPLDVSLIQKDVPAKTVDAMKRTISGMLGLLPSDQFHVLVEALWEPLFKLLISSMKTGYTLRSAEYRLYLERNLDIHDEHSEKGKKDPVEDDSPEMLFGSSPTISSAPGRNDAYCNHEKNDETSHENAGIDILGELSPEVQEYICSLQSRLNSAEKELCDIKRRNTALQMQNFVGEEKNDLLDYLRSLQPEKVAELSEPTCPGVEETIHSVVHGLLATLSPKVHSKPPHHMENPTGGTLGVGKDDCAELVENTSIQFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELMELLTISSEVETVPREGDSLA >Ma04_p36840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35045845:35046620:1 gene:Ma04_g36840 transcript:Ma04_t36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFFIHMAYFHQKPWLPKSFFAFGSSKSASLFLTLNLLFPAFTSACGTTSTSTTPSPKPRGSGKCLVDTLKLATYANVWNGLITVAIGKFPKQPCECCTLINGVIDLNTTVCLCAAPKANVLGIHLDLPINLSLLFNYCGKKVPSEFQRL >Ma11_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1936950:1940013:-1 gene:Ma11_g02650 transcript:Ma11_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLLSTVTYHETLPENYVRPESQRPRLTQVISDANIPIIDLGSPDKSRIISQIGKACQSYGFFQVVNHGIDTELMVKMMAISLEFFRLPPEEKAKLYSDDPAKKMRLSTSFNVRKEAVHNWRDYLRLHCYPLEEYVPGWPSNPSSFKEVVSTYCKEVRRLGFRLLGALSLSLGLEEEYIERVLGQQEQHMAINYYPRCPEPELTYGLPAHTDPNALTILLQQPNVAGLQVLKDGKWIAVEPRPNAFVINIGDQLQALSNARFKSVWHRAVVNSDTERMSVASFLCPCNTAIISPPEKLLAEGSPAVYRSYTYDEYYNKFWSRNLDDEHCLELFKGEKSQSGGLSGPCKSST >Ma04_p38030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35728346:35730414:-1 gene:Ma04_g38030 transcript:Ma04_t38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSCMNSHPPISNPHQLRTLLVAKPIKLQSKQAFPTTPRIRCSVSSNSLLDLATSTGPACRPAPYREEAATATFTKPASKTGPNWNLLQRFAVAALDTIEEAFISNVLERPHPLPKTADPAVQISGNFAPVGEQAPCRNLTVQGRIPPSIDGVYVRNGANPLFEPVAGHHLFDGDGMVHAVHLRNGTAAYACRYTETERFRQERAIGKPVFPKAIGELHGHSGIARLLLFYARSLCGLVDGSRGVGVANAGLIYFNDRLLAMSEDDIPYHVRITPSGDLETVERYDFHGELRSSMIAHPKLDPVSRELFALSYDVIKKPYLKYFYFSPEGNKSADVEIPLDQPTMMHDFAITDNYVVVPDQQVVFKLQEMIRGGSPVIFDRAKTARFGVLPKYAADASEMRWVDVPDCFCFHLWNAWEEPATGEVVVIGSCMTPPDSVFNECEESLKSVLTETRLDLKSGKSTHHPILSPADQLNLEAGMVNRKMVGRKTRYAYLAIAEPWPKVSGFAKVDLSTGEIKKFIYGDSRFGGEPYFLPKETDSSGEDDGYVLSLVHNEKTTKSELLIVNGADMQLEAAVKMPSRVPYGFHGTFVSSRDLVTQA >Ma08_p33880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44052670:44053845:1 gene:Ma08_g33880 transcript:Ma08_t33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRLNLGLLSLLLYVTCCFCDRCEARGSEQLDMASSHSDPSSLPSYCVYPPFTPSPPSTTPIRSPPAPPPSSIYNPPPVVVPGPPFYVPSPPFYVPGPPLFLPPVVYPPPRAPPPPGAGGTLPGLWCVAKPTVPDPIIQEAMDYACNSGADCDSIQPDGLCFQPDTLLAHASYAFNSYWQRTKVAGGTCDFGGTAMLITVDPSYDGCHFNLM >Ma03_p05230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3402473:3412604:1 gene:Ma03_g05230 transcript:Ma03_t05230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MSAISWRPSARAVARGLAPRPVLSPRLAPRSLALIPDLAARPISPLVARRRAHGGGLGNPGDAEDGEEEGENEISSDDVVRTLLIDNYDSYTYNIYQELSVVNGVPPVVVHNDEWTWEYIYHCLYKEKTFDNIVISPGPGTPTCPKDIGICHQILLECKDIPILGVCLGHQVLGFVHGADIVHAPEPIHGRLSEIEHTGCDLFKDIPSGINSGFKVVRYHSLVINADSLPKELIPIAWICSGHTLSFLEAQESDMIPDAFGNQLNQCQAIGHHIDDTLSSISNANDFGSRKLLMAVRHSTRPHYGVQFHPESVATYHGRQMFKNFKKMTVDYGMRRSLLHERQVHNCEELPKGELLWERSAIRQFVDVYGISMPLLKGVDVKYLSLQWKKFDSLLSEVGGSENIFRELLGDYNVDNTFWLDSSSTDKGRARFSFMGGKGGPLWKQLTFRLSEKTPSCQVGHKKSKTTIEAGGYLTIEDIYGSLKTIFVRDGLFDFLKKELESFHYDRRDYEGLPFDFCGGYIGYLGYELKVECGASFNGHKSKAPDACFFFADNLVVIDHWYGDVYILSLHDNHNSDTWMGQHANLKGKSWVAETEKRLLSLKSLSTKKFKNKTSCATPSFPNEGSFIVQKSRSQYIKDVEKCLQLIKDGESYELCLTTQMMKKVDNLNAFNLYLGLRNQNPAPYAAWLNFTREDLCICCSSPERFLRLDGNGMLEAKPIKGTIARGRTPDEDEHLRLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVCVPCLMEVESYATVHTLVSTIQGKRKSNASPIDCVRAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGSIGFFSYNQTFDLNIVIRTVIIHKGEASVGAGGAVTALSIPEDEYAEMMLKAKAPTKAVEECSKDLDTCGSSMKMVS >Ma03_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3402473:3412604:1 gene:Ma03_g05230 transcript:Ma03_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MSAISWRPSARAVARGLAPRPVLSPRLAPRSLALIPDLAARPISPLVARRRAHGGGLGNPGDAEDGEEEGENEISSDDVVRTLLIDNYDSYTYNIYQELSVVNGVPPVVVHNDEWTWEYIYHCLYKEKTFDNIVISPGPGTPTCPKDIGICHQILLECKDIPILGVCLGHQVLGFVHGADIVHAPEPIHGRLSEIEHTGCDLFKDIPSGINSGFKVVRYHSLVINADSLPKELIPIAWICSGHTLSFLEAQESDMIPDAFGNQLNQCQAIGHHIDDTLSSISNANDFGSRKLLMAVRHSTRPHYGVQFHPESVATYHGRQMFKNFKKMTVDYGMRRSLLHERQVSRACQSLEELPKGELLWERSAIRQFVDVYGISMPLLKGVDVKYLSLQWKKFDSLLSEVGGSENIFRELLGDYNVDNTFWLDSSSTDKGRARFSFMGGKGGPLWKQLTFRLSEKTPSCQVGHKKSKTTIEAGGYLTIEDIYGSLKTIFVRDGLFDFLKKELESFHYDRRDYEGLPFDFCGGYIGYLGYELKVECGASFNGHKSKAPDACFFFADNLVVIDHWYGDVYILSLHDNHNSDTWMGQHANLKGKSWVAETEKRLLSLKSLSTKKFKNKTSCATPSFPNEGSFIVQKSRSQYIKDVEKCLQLIKDGESYELCLTTQMMKKVDNLNAFNLYLGLRNQNPAPYAAWLNFTREDLCICCSSPERFLRLDGNGMLEAKPIKGTIARGRTPDEDEHLRLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVCVPCLMEVESYATVHTLVSTIQGKRKSNASPIDCVRAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGSIGFFSYNQTFDLNIVIRTVIIHKGEASVGAGGAVTALSIPEDEYAEMMLKAKAPTKAVEECSKDLDTCGSSMKMVS >Ma03_p05230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3402473:3412604:1 gene:Ma03_g05230 transcript:Ma03_t05230.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MSAISWRPSARAVARGLAPRPVLSPRLAPRSLALIPDLAARPISPLVARRRAHGGGLGNPGDAEDGEEEGENEISSDDVVRTLLIDNYDSYTYNIYQELSVVNGVPPVVVHNDEWTWEYIYHCLYKEKTFDNIVISPGPGTPTCPKDIGICHQILLECKDIPILGVCLGHQVLGFVHGADIVHAPEPIHGRLSEIEHTGCDLFKDIPSGINSGFKVVRYHSLVINADSLPKELIPIAWICSGHTLSFLEAQESDMIPDAFGNQLNQCQAIGHHIDDTLSSISNANDFGSRKLLMAVRHSTRPHYGVQVSRACQSLEELPKGELLWERSAIRQFVDVYGISMPLLKGVDVKYLSLQWKKFDSLLSEVGGSENIFRELLGDYNVDNTFWLDSSSTDKGRARFSFMGGKGGPLWKQLTFRLSEKTPSCQVGHKKSKTTIEAGGYLTIEDIYGSLKTIFVRDGLFDFLKKELESFHYDRRDYEGLPFDFCGGYIGYLGYELKVECGASFNGHKSKAPDACFFFADNLVVIDHWYGDVYILSLHDNHNSDTWMGQHANLKGKSWVAETEKRLLSLKSLSTKKFKNKTSCATPSFPNEGSFIVQKSRSQYIKDVEKCLQLIKDGESYELCLTTQMMKKVDNLNAFNLYLGLRNQNPAPYAAWLNFTREDLCICCSSPERFLRLDGNGMLEAKPIKGTIARGRTPDEDEHLRLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVCVPCLMEVESYATVHTLVSTIQGKRKSNASPIDCVRAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGSIGFFSYNQTFDLNIVIRTVIIHKGEASVGAGGAVTALSIPEDEYAEMMLKAKAPTKAVEECSKDLDTCGSSMKMVS >Ma03_p05230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3402473:3412604:1 gene:Ma03_g05230 transcript:Ma03_t05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MSAISWRPSARAVARGLAPRPVLSPRLAPRSLALIPDLAARPISPLVARRRAHGGGLGNPGDAEDGEEEGENEISSDDVVRTLLIDNYDSYTYNIYQELSVVNGVPPVVVHNDEWTWEYIYHCLYKEKTFDNIVISPGPGTPTCPKDIGICHQILLECKDIPILGVCLGHQVLGFVHGADIVHAPEPIHGRLSEIEHTGCDLFKDIPSGINSGFKVVRYHSLVINADSLPKELIPIAWICSGHTLSFLEAQESDMIPDAFGNQLNQCQAIGHHIDDTLSSISNANDFGSRKLLMAVRHSTRPHYGVQGRARFSFMGGKGGPLWKQLTFRLSEKTPSCQVGHKKSKTTIEAGGYLTIEDIYGSLKTIFVRDGLFDFLKKELESFHYDRRDYEGLPFDFCGGYIGYLGYELKVECGASFNGHKSKAPDACFFFADNLVVIDHWYGDVYILSLHDNHNSDTWMGQHANLKGKSWVAETEKRLLSLKSLSTKKFKNKTSCATPSFPNEGSFIVQKSRSQYIKDVEKCLQLIKDGESYELCLTTQMMKKVDNLNAFNLYLGLRNQNPAPYAAWLNFTREDLCICCSSPERFLRLDGNGMLEAKPIKGTIARGRTPDEDEHLRLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVCVPCLMEVESYATVHTLVSTIQGKRKSNASPIDCVRAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGSIGFFSYNQTFDLNIVIRTVIIHKGEASVGAGGAVTALSIPEDEYAEMMLKAKAPTKAVEECSKDLDTCGSSMKMVS >Ma11_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6467810:6470008:-1 gene:Ma11_g08110 transcript:Ma11_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPEKEGKKEPPPPPPAAAAEEEKEKKDGGGGDGGEGEKKEGEKKESEKAAENPPPPPPPPPEEVVMKVYMHCEGCARKVRRCLRGFQGVEEVVADSRTHRVVVKGRKAAEDPMKVVERVQKKSGRKVELLSPIPPAKPEKEEKKEEKPKAEEKKEPQVIIVVLRVYMHCEACAQEIKKRILRMKGVQTAEPDLKSLEVTVKGAFDPASLVAYVHKRTGKHAAVVKQEPVEKKPEEPEKAKAEEPPPAEAAGKDEKKSSGGEKNEKEKKGGENKEEEKKKEEGGGEDSKKDGTVAAEQKNGDKKKEEQEEKKEANGGGGGVGQGATEVPAAVVAVAATAIDGGGAGKPKRNEFYYYYPRYPVEYAYPPQIFSDENPNACAVM >Ma09_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34493964:34497360:1 gene:Ma09_g22590 transcript:Ma09_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLHQIIRSSQALSSDHYQYFLFQLLHGLKNIYSVNIHYRDLKPENLLVNANCELKICNFGLTCTSSGKVQFMTEYIVACWYRSPELLLCCDNYDTSIDV >Ma06_p29900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31274227:31276002:-1 gene:Ma06_g29900 transcript:Ma06_t29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRYSKRQSRNESLAIKKCSLFYFAFNERRLALAFMFQSMASSLSLLLHLSFHLVISHRAAARFLMDRNITSHLEVAANNSAASSSDSDDKFRFQPLWVAVGFLMAAVPMLLCWLAIKLRRRIGMFEDQSSADGLTVFSPVITKAELLSLLEKLDDGCQIIGRGGCGVVYKAGLAAVDGRRVAAAIKKIIQPAVDAAQLCEGETKLLNYTIRQIRSEIQTVGRIRHPNLLPLLAHISRPSCHYLVYEFMRNGTLQDAIRGAATGRRKLEWPARYNIALGIAAGLEYLHVVHRPRVIHRDLKPANILLDDDLNARISDFGLAKVVPDTASGPMRSGLKGTIGYIAPEYHQTLLFTDKCDVYSFGVILAVLVTGKFPTDGFYWTTEEMRMVDWVSNAMRSAEPEAAIDRTLVGNGFEEQMLLVLKIACFCTYDNPKARPNSREVRLMLAQIKH >Ma10_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30156187:30157853:-1 gene:Ma10_g19680 transcript:Ma10_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGRAQRCQDCIRALEEERKKIKAFQRELPLCLHLVTRAIESVRHVMGDDEKVNHGPVTEELIPPMSEQRSEAKKSAAVGSEMKLRSVQLRNQEPDTVPRMEPPKKPIVAISKKIGSAFQPFQRQKYVVPPPASSAATAIPATTDGDGGRDYGSKEGNREKKEEQLQPHRKARRSWSPELHRCFLHALHQLGGSDVATPKQIRELMKVDGLTIDEVKSHLQKYRLHGRRRSPAVQCSSNGSLAVSPQVVLVGGIVVPSPDYNMADAAVAAAAQPANGARAPSNGMYAPVASHPSDSRYRQKQPQRSITLRWR >Ma11_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26743795:26744152:-1 gene:Ma11_g23370 transcript:Ma11_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSFFLDEAHIFSIAVTLSGRLETQRRVDGWMQTFDSISQRAEGFRGADHRCLDIMWGLRSWSLLIPRNVCGSSI >Ma07_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29634334:29635086:-1 gene:Ma07_g21560 transcript:Ma07_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGEIASIRYLSPSCMPSCQSQYHMAENSIPSSFHLSDVFGCCSPNQAQSSPLMHEVGLPNNGIPSFHLSDLFGCCSPNQAQCSPLMHEVGLPNNSVVEAEEQRLCWAEERRKRRMISNRESARRSRMRKLKQLSELRSQVAHLRSANGRLLDDLNRAMRERDQVLRENAQLRDKETELQKKLEKLPPEHSCVPQNPEELGSDYS >Ma06_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2182874:2186907:-1 gene:Ma06_g02900 transcript:Ma06_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVSRRWVASAVRSAGAGYRRILAPVCSSTSSPPSSPSFVLRPPANKLDAHGELIITHNGIFKPWIDGNKYFLRCMTTVGNPEVSSGQESSVASPETDQPHRIKFKRLDKTAKHIMNILDKEAVDKMRDERDIPDIRPGYIIQLKVEVPENKRRISSMKGIVIARRNAGLHTTFRLRRLVSGVGVESVFPLYSPNIKEIKVVDKKKVRRAKLYYLRDRMNPLKK >Ma09_p26190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37525533:37527323:-1 gene:Ma09_g26190 transcript:Ma09_t26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDQQTHLGEPAATGPRSVRTPEDHPSREGLRDKRPAMTSERYWRLFKDPGLSPPDAPVGPPLVSPEAFHDLAHQVRALAGVVQTIIPLVSQPTPPHATRPLQQREPAPRMHALLPEPPLSPRNQTAPLGDREAEGTSSRPEPERPSIDPMSTLRAQVCLFNQCLNEVQQEIRRSNGEPGADGYQGSLFAPEIQDQAIPSHFRLPSLDAYDGATNPADHVATFRAQMTLYGTSDALMCRAFPTTFRGPARAWYDGLKTGTITSFDHLVRDFELNFLAYACPKPSVALLLGLNQREDESLSHFLNRFTTQIRGLSDAHLSLLMQAFMIGLRPSRFFWSLVERPPTTVPKMLQRASQFVTAEAWMAGRPGGHKGTKPEPPRQQQPAASRRRLDRSDPPTPRPPLPALNSSQTEIFLHIREKGLLKEPYPMRSLRALADQSKYCRFHRQRGHDTEQCQELKRQIEELIRRGHLGQYLCPDKEPSPRPEGPVERHIDVIIGGPASGGDSMTRRKAYARAASAEAPEHAPGPNITFPARTSEQAEHDDALVVSARIANAQVRRIMVDTGSSADILYYDAFQKLGLSRHEADILGAHRIHR >Ma00_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1030899:1054012:-1 gene:Ma00_g00400 transcript:Ma00_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGRGGGNADVVLQNYKLGKTLGIGSFGKVKIAEHLLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIHLYEVIETQSDIYVVMEYVKSGELFDYIVEKGRLQEDEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCDVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLIVDPMKRITIREIREHPWFQTRLPRYLAVPPPDTIMQAKKIEEDILQEVIKMGFDKNQLVESLHNRIQNEATVSYYLLLDNRFRAMSGYLGGDFQETMDYGFSRMGASEAPAIAHHLPGYMDPLGIGLRPSVPVEKKWALGLQSRAHPREIMTEVLKALRELNVCWKKIGHYNMKCRYFFGISDHAESMFNDSLHANHSVSDESAIVESDNVSGKEFSTIKFEIQLYKTREEKYLLDLQRVSGPQLLFLDLCASFLAQLRVL >Ma11_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26449611:26454075:-1 gene:Ma11_g22860 transcript:Ma11_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPQTDKGGERDLESGLARGSPSHRSSSPIRALSLSLSGKRMDREAAASPSASAPATPALVLSNSGKRMDQAASPSLVLSNSGKRMDSLGKKKYVKQVTGRHNDTELHLAAQRGDLAAVRQILGEIDAQMTGTAMGADFDADLAEIRAAVVNDVNEVEETPLFTAAEKGFLDVVVELLKYSDRESLTRKNRSGFDVLHVAARQGHQAIVQILLDHDPTLIKTFGQSNATPLITAATRGHTEVVNLLLEQDASLIELSKNNGKNALHFAARQGYVEIVKALLGKDPQLARRTDKKGQTALHMAVKGTSSEVVKALVDADPAIVMLPDRAGNTALHVATRKKRAEIVNLLLLLPDTNVNALTRDHKTAFDIVEGLPLSEESADIKECLSRCGACRANELNQPRDELRKTVTEIKQDVHIQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGTQDTGVAVVVQKGSFKVFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASVCTTVAFIASSYIVVGRHFQWAAILVTLIGGVIMAGVLGTMTFYVVKSKRTRSIRKREKSMRRSASSSWHHNSELSDSEIDRIYAI >Ma07_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7799947:7802442:1 gene:Ma07_g10490 transcript:Ma07_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASRESCPASFHRTELAGLRAIPEDTSGCREGSTLVSLDAVLPDDLLEKVFSFLPIVSIIRSGCVCKRWHEAVHAGRRSWIAMSPQRPWYFMFTCSDDVVGGYAYEPSLRKWYGFRFPCIENSNWSTSSSCGLVCLMDGENRSRVFVCNPITKDWKRLQDAPGGEFPDYTALAMSVDRRSHGYVVAVAKCKQVPQDYYQWDFSIHIYESETGTWVTAIREVLVGWRGGDECVICDGVLYSLIYPTGVLGNAESRHCLVMYDLSTRSSRTPLMRMAIPVPCSLTCGRLMNLRERLVMVGGIGKHDRPGIIKGIGIWELHKEAWREVARMPHKFFQGFGELDDVFASSGTDDLIYIQSFGSPALLTFDMSLKQWRWSAKSPVTKRFPLQLFTGFCFEPRLEITC >Ma04_p32850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32743108:32747820:1 gene:Ma04_g32850 transcript:Ma04_t32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLNHGVHTLQKKNNGGRKDHLLECIPTLNGCLLFSIKPSIPPLMMCIIINKCTWHTCFFLGKNLFINVCLSTFYLGSELYM >Ma06_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2487289:2489233:-1 gene:Ma06_g03390 transcript:Ma06_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVNKLAPELVAPAEPTPSGRLPLSFMDRNVTVRLIIDLILVFEQGVQPAKAIRAALSRVLVPYYPVAGRIVEPIPGEAEVDCTGDGVWFVEASVDCSLEDVNNLERPLLLPRKDLIPYAPPDVIEGDVALLVQVTEFRCGGFAVGIRFNHAVFDGVGAAQFLKAVADVARGHARPVVEPVWCREAIPSPPKMSQRHAASSPAAFHFQTSVFEISSDRINAAKNQFLRETGQKCSTFDVVTAMLWQCRTRAISLDPHADLDLGFAANTRHLLRGLLPEEGFYGNCVYPMGIKANAGTIAASSLVEVILLIRDAKDSLSAKFSECMMGGSAENPYAVPPGYGTMAVSDWSRVGFSEVNYGWGEPIHVVPLTDDNNLVASCIYLSPPKPKQGVRLMTRCVEMEHLPAFSEELMKFAADLA >Ma04_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15596347:15601469:1 gene:Ma04_g16410 transcript:Ma04_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREASRYRCRVRRKGGGGGGGAVEPSPTNDTDRKGALSPELLLQWGNRKRLRCVKFQRRDDDAATGLHSTTADIATAPAAAAAARVDRALRGATSAAYKRVLRNNSEATGVATRAKREVRDRVGGGSAYTEGTHENKSGGGGGGGRRGGSPSSGSDGAATIWSKFSLALTNKEKEEDFLAFKGSKLPPRPKKRAKLLQRTINLVSPGAWLCDLTLDRYEVQEKKISKKRPRGLKAMGNMESDSE >Ma08_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34978719:34987088:1 gene:Ma08_g20940 transcript:Ma08_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPGSGFDPSTAANDAITLEQDEPRVRPRLLRLVFRFRRRERRLRFEFIGKK >Ma02_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20058154:20058854:1 gene:Ma02_g10530 transcript:Ma02_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGNGSESKLSQYIKAPYRALRHARDLYESSMAGIAGKSQRGPIIALPRSRGFGQFSRTSSSEEEINELIRAACKSKMRAIPVKEDGTLVPRSHSVATMRIDEDKPCDFEDDLKGVLGPRSRSYDVATKRKVGFS >Ma06_p36710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36009819:36011227:-1 gene:Ma06_g36710 transcript:Ma06_t36710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESFMDVLRTGEEEDYLLSRYSSLSPTGMLCFGEEEDAVSALRRAPRKANDSSPPPTTIDISKSPIKKVGSSCGGRGRRRRSGVTASATPATITARKEKVGERIMALQRLVSPFGKSDTASVLHEALEYIRFLHEQVQVLSSPYLRSLPSSADLHDGRGSADLRSRGLCLVPVACTEDVTRSNGADLWSPATGSGSRGSSSSSSKH >Ma06_p36710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36009819:36011227:-1 gene:Ma06_g36710 transcript:Ma06_t36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESFMDVLRTGEEEDYLLSRYSSLSPTGMLCFGEEEDAVSALRRAPRKANDSSPPPTTIDISKSPIKVGSSCGGRGRRRRSGVTASATPATITARKEKVGERIMALQRLVSPFGKSDTASVLHEALEYIRFLHEQVQVLSSPYLRSLPSSADLHDGRGSADLRSRGLCLVPVACTEDVTRSNGADLWSPATGSGSRGSSSSSSKH >Ma06_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7319796:7323061:1 gene:Ma06_g10600 transcript:Ma06_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAASFPLAKWRSDFSRAFQHYLDKSTPHMTGRWLGTVGVAAIYFLRVYFVQGFYIITYGLGIYLLNLLIGFLSPLVDPEIEVSDGPALPTKGSDEFKPFVRRLPEFKFWYSITKAFCVAFAMTFFSVFDVPVFWPILVCYWIVLFVLTMKRQIVHMIKYKYVPFNIGKQKYGGKKGPGSSSLSKD >Ma11_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24373298:24376523:-1 gene:Ma11_g19550 transcript:Ma11_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEAWEVAKRAIEAYTGLSPATFFTLLAVAVALYHTVAGFLAPPPPPPPRSREVEPLAPPVQLGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPVDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKTDETAPTTDGTDDGTTSQVTEKSTEITDGTDRQAEHSTEIKEDDPVETHANRDHDEESKQDGRFGHGDEAEPKENDSSELKEAGAGAGESTKE >Ma11_p19550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24373298:24376525:-1 gene:Ma11_g19550 transcript:Ma11_t19550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEAWEVAKRAIEAYTGLSPATFFTLLAVAVALYHTVAGFLAPPPPPPPRSREVEPLAPPVQLGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPVDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKKTDETAPTTDGTDDGTTSQVTEKSTEITDGTDRQAEHSTEIKEDDPVETHANRDHDEESKQDGRFGHGDEAEPKENDSSELKEAGAGAGESTKE >Ma03_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4600310:4613018:1 gene:Ma03_g06690 transcript:Ma03_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLAPGDVRWVREGLSSATSAQHRIDPLRGLRNGGRGLGIPPPEKFRSGHLPLGAIPMSRTLPTRDGSESGSDMDESSDTEVETYGRHSADSSPQDEISGQRFPGGAFPAAGIGNRYAGLGSVQHYYSSDGYSDISSSVDTIRKQAPQMRKPVHSNGYMKEEDEEDGLSDSGGSSDFSSQIERQNDSVNASLRGESSSRNVPLRGPANDNAETIGVPSKVGYSAHSYTHEASSREGLNVVPNKFDVASRGVYHAKSYSSHAPFGDDTTDAGGLSDVPSAPPIHCYDQDTADAPDQMAAARPCAAPHPSAGNGSTVMKESTRSGNARFNMPEQNTSLPQRSTSNPEAAKSSSLLPARIPTFHASVQGPWYSVIAYDACVRLCLHSWARGCIEAPIFLDNECTLLRDAFSLRQILLQSEEELLAKRSPELVSEGAGPKPKKIIGKMKVQVRKVRMALDMPSGCSFSPLSLPMVKLESLQYRMSNLQSTLSLGWESLRKVRVMPRLPANSSFSRHSLAYMHASAQYIKQVSGLLKTGVTSLRSSSSSYEVMQETYSCQLKLKSSPEGECIRMQPGSGETHVFFPDSIGDDLIVDVYDSKGKSCGRVLVQVACIADDPSDKLRWWSLYREPEHELVGRIQLHINYMTSPDENSALKCGSVAETVAYDIVLEVAMKAQQFQQRNLMLHGPWKWLLTEFASYYGVSDAYTKLRYLSYVMDVATPTADCLTLVHDLLLPVILRSRSKNSLSHQENRILGETQEQVEQVLAMVFENYKSLDESLPSGMSEVFRPATGSPAPALIPAMKLFTLLHDILSPEAQLKLCSYFQTAAKKRSRRHLLETDEYIASNNEASRMDVVGFSTAYQKMRTLCFNIRNEIFTDIEIHNQHVLPSFLDLPNLSASIYDVELHSRLRAFLVACPPSGPSPPVADLVIATADFQKDLCSWNICPVKGGVDAKELFHLYIMLWIKDKRLALLESCKLDKVKWSGVCTQHMTTPFVDDMYDRLKETLNEFEVIICRWPEYTSYLEVAIADIEKAVIEALEKQYADVLAPLKDSMMPKKFGLKYVQKLAKRNSVYPYTVPDDLGILLNTMKRLLDVLRPKIEMQFKSWGSCIPHGGNAVAGECLSEVTVTLRAKFRNYLQAVVEKLMENTRAQNTTKLKKIIQDSKDLVESVIRSRMQPLKDQLIQTINSLHKVFEVHVFVATCRGLWDRMGQDVLKFLENRKENRAWYKGSRVAIAVLDDTFASQMQQLLGNMLQDQDLEPPRSILEVRSVLCKDAPVQNNSNFYY >Ma08_p29220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40903833:40910517:-1 gene:Ma08_g29220 transcript:Ma08_t29220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVAVVASRGAFATAAMPSFRRLKPIVRCSSSSPSTAVSTTIPTSTSFDHISFIKDIAATRPPEHLNYLLNMLQSRGGAVISPAAKEGLVPLVIPLSESSAGTLTCLLRWPTSPPGMEMPVVEVHKHGVWLLAKSVDQYIHRILVEEDAKAQDSNKLWNASSEAGEKLYKRGDFLESQIADLDVYLLKKVGLFPDVIERKVSRHLERGDQVSAMITGEFYTKNHFPGFGRPFAFNAQLLLKVGRKLEAKDAARGSLKSPWWTLGFKYQEVAEIAEWEDEQIEFIKEKVTEEGRQEDLKKGKAPEQVALDEAAFLLDLASIDGTWDEVVDRVAECYMEAGLCDIAKFILYRE >Ma08_p29220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40903833:40910517:-1 gene:Ma08_g29220 transcript:Ma08_t29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVAVVASRGAFATAAMPSFRRLKPIVRCSSSSPSTAVSTTIPTSTSFDHISFIKDIAATRPPEHLNYLLNMLQSRGGAVISPAAKEGLVPLVIPLSESSAGTLTCLLRWPTSPPGMEMPVVEVHKHGVWLLAKSVDQYIHRILVEEDAKAQDSNKLWNASSEAGEKLYKRGDFLESQIADLDVYLLKKVGLFPDVIERKVSRHLERGDQVSAMITGEFYTKNHFPGFGRPFAFNAQLLLKVGRKLEAKDAARGSLKSPWWTLGFKYQEVAEIAEWEDEQIEFIKEKVTEEGRQEDLKKGKAPEQVALDEAAFLLDLASIDGTWDEVVDRVAECYMEAGLCDIAKFILYRE >Ma10_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25435087:25438498:1 gene:Ma10_g12250 transcript:Ma10_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGINGGVWTEGGGDEDDAASWTRANASNASSGVMGGRRDELGLPSFKSMLDDDDWYLGSAAASNPVPPAASHHSFQAFQTHQELTDVAFPSNVSPHEALMLPPVVNLDQNPPFFTAKSALSSLFVSVSSNPFDTGFGVGCDAPGFLQASQVSNFPVMMNRGCGGGGVLGFAGMGAGEQLGCPDLSSGAEFSGDHLLPSSGHCSGSSSGAAFGPMGFDGFESSPFLNRPKVLRPLEIFPPVGAQPTLFQKRAAAALRQNSSVSGEKGGLLGLWEWEGVVPGNRGKTELEEESNKRRKGNEEDEMDDGSIDASGLNYDTDDAAAENVIGEENANGGGGCGGSNSYANSTVTGGGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLRRINDLHNELESTPSSSSVPVTSATSFHPLTPTLPTLSCRVKEELCPSSVPSPNGQPARVEVRVREGRAVNIHMFCARRPGLLLSTMRALDGLGIDIQQAVISCFNGFAMDVFRAEQSKEGPGVLPEDIKAVLLNSAGFDNTVS >Ma10_p27520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34768932:34770212:-1 gene:Ma10_g27520 transcript:Ma10_t27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQAHNLFFSSSSSSSSISSHRKLRAGLHVPSANLRTKGVSLPKITQMISLQREELSLREDNAATGCTQPQPMAPSNDEHLVSKVYAILDAIADRAEMHAIIGAQRNDWNHLLTGSINAITLTSSLMAGISSIPAGEAAAPHFLAFKLSSVILFAAATGMMLITSKIQPSQLAEEQRNATRLWKQLGRSIETTLALRPPTELDIEEAMQKVIALEKAYPLPLLPGMLEKFPENLEPTQWWPKQQSRQQQAVNGMRRNGWSQELEEEMRGILRVLRMKDEEQYVRLGKLVLNINKSLATAGPLLAGLAAIGSGLIGSPALGPAPALVGVVGGVLAAAVNTLEHGGQVGMVFELFRNCAGFYRRLQEEIECNIGEKDEQRRENGELFELKMALQLGRSLSELKRLASYASPSCKDEEIEEFAGKLF >Ma11_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9268085:9270951:-1 gene:Ma11_g10020 transcript:Ma11_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILGVDKSAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGSGGFDGGDESTTFRFNPRSADDIFSEFFGFSSPFGGMGGGGSRGGSRFPSRMGGMFGEEMFGSTFRGGEGASMNFQQPRKAAPIENRLPCSLEDLYKGTTKKMKISREIVDASGKTKTVEEILTINIKAGWKKGTKITFPDKGNESPNIIPADIVFIIDEKPHDVFTREGNDLIAIQKISLVEALTGYTVHLTTLDGRSLTIPINSVIHPGYEEVVVREGMPIPKDPSRKGNLRIKFDIRFPPRLTSEQKAGIKRLLVL >Ma08_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29337664:29338985:-1 gene:Ma08_g18220 transcript:Ma08_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPPPSPLFTSQLAGKTKDTNQFLSCICTFLSVCVRVISIRFLIGEREEMEKPARSTVGKFVIEAEEAQAMAKESGVAAVRELLPLLVPAAQRLARPPISNYRVGAVGLGASGRIFLGVNLEFPGLPLHHSVHAEQFLMANANAHGEPSIGCVAVSSVPCGHCRQFLQEIRGAAEIQILVTSDEDPAFRPLASLLPHPFGPPDLLHKDAPLLLEPHDNDFGALDATGVAVGGGGLCNGIGGGGLERRLREAAEGAARSSHAPYSGCAAGFAVADGGGRVYSGSYVESAAYNPSLGPAQAAMVACLAAGGGGEGEGGGWGIVAAALVEKETAAVSHEGTARIFLEAVAPGAHLKVYRFRPSTAV >Ma08_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2906216:2911136:1 gene:Ma08_g04140 transcript:Ma08_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGGNSLPSTSGADAVKRRVSYFYDPDVGNYYYGLGHPMKPHRIRMTHALLEKYGLLDRMKVIHPQLARDRDLCAFHTDEYVAFLQSANPETLTNPCVARRFNITDDCPVFYRLFEFCQTYTGASVDAAKELNRSNADIVINWSGGLHHAKRSEASGFCYVNDIVLAILKLLENHDRVLYVDIDIHHGDGVEEAFFTTDRVMTVSFHKFGEYFPGTGHLLDNGYGKGKYYAVNVPLNDGIDDESYHYLFKPIIAKVMEVFNPDAVVLQCGADSLSGDRLGCFNLSVKGHAECVRYLRSFNVPLMLLGGGGYTLRNVARCWCYETGVALHVEVNDKMPDHEYLGYYGPDYNIHVATSNMENKNSRKSLDDIKAKILDNLSKLQHAPSVQFQEWPTDTELDEEDENQEETNEDLNSDTDKLL >Ma09_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4246354:4250743:1 gene:Ma09_g06630 transcript:Ma09_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDSLNAELSEKTPVLGLRLWVVIGISVGVLIVFILCILSIWVTSRRKTSRTFDKLPVSQIPNVSKEITVDRVGTHSLAQSLQEHEGPFFSSYDKFSEKDSGKKLAHLTMSKSSDADNMSQCSSVHHNDRVGSSYSGDEGSSGHARKPYSPYSRVSASPLIGLPEFSHLGWGHWFTLRDLENATNRFSKENILGEGGYGVVYRGRLVNGTEVAVKRLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLHGALHQHGVLSWENRMKVILGTSKALAYLHEAIEPKVVHRDIKSSNILIDEEYNGKVSDFGLAKLLGSGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLETVTGRDPVDHGRPSNEVNLVEWLKLMVGNRRTEEVVDPNLDLKPPTRALKRALLVALKCLDPDSDKRPNMGQVVRMLEADEDRKSRRNRAASMEIESLKESNGSSDLENKVAGSDNWSCERFHA >Ma04_p35140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34048221:34054291:1 gene:Ma04_g35140 transcript:Ma04_t35140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRPGGCVGGRRRSPGETRRRRRTTIRRRASTRRTMERIDEADPVIQAMASAGSIEEAWFDTFSVVESDEEDFQSVQDVITSPSSSKDDNLGVVNPNASSISSFDQKQNGRKLWDQASVNLENTVIASVSHEDVSVTSADENAGQGDDGILDSCGILQNNCLPFLVVTTSTVEKRKALSSSPPNSAKKASLKLSFKRKSGEAHATSTLFSAKAFLEKPLAGSQVPFCLLEKKMLDSWSHIEPSIFRVRGGHYFRDKKKDFAPNYPAYCPFGVDVYLCQQKINHIARFVELPVLNPSSKFPPILVVNIQVPLYPATIFQSENDGEGMCFVLYFRLSEGYSKELPSHFLENIRRLIDDEVERVRGFPMDTLVPFRERLKILGHVANMEDLPLSSAERKLMHAYNEKPVLSRPQHDFYLGKNYFEIDLDMHRFSYISRKGFETFLDRLKLCVLDFGLTIQGNKPEELPEHILCCVRLNGIDYSNYLQLSVH >Ma04_p35140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34048221:34054291:1 gene:Ma04_g35140 transcript:Ma04_t35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRPGGCVGGRRRSPGETRRRRRTTIRRRASTRRTMERIDEADPVIQAMASAGSIEEAWFDTFSVVESDEEDFQSVQDGNLAVITSPSSSKDDNLGVVNPNASSISSFDQKQNGRKLWDQASVNLENTVIASVSHEDVSVTSADENAGQGDDGILDSCGILQNNCLPFLVVTTSTVEKRKALSSSPPNSAKKASLKLSFKRKSGEAHATSTLFSAKAFLEKPLAGSQVPFCLLEKKMLDSWSHIEPSIFRVRGGHYFRDKKKDFAPNYPAYCPFGVDVYLCQQKINHIARFVELPVLNPSSKFPPILVVNIQVPLYPATIFQSENDGEGMCFVLYFRLSEGYSKELPSHFLENIRRLIDDEVERVRGFPMDTLVPFRERLKILGHVANMEDLPLSSAERKLMHAYNEKPVLSRPQHDFYLGKNYFEIDLDMHRFSYISRKGFETFLDRLKLCVLDFGLTIQGNKPEELPEHILCCVRLNGIDYSNYLQLSVH >Ma08_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36811891:36815961:1 gene:Ma08_g23400 transcript:Ma08_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLGSSLLRTTTPLLRSSSSSLFPGDRPSDLLFSHASFPSRQRIGLARRVVRSPVAAVVLTERFVRTEAEEKPVWFKVQAAVTVRRRKQEDLKESIANQVDAFLDKMGKNVVMELVSTEIDPKTRKPKTSNRAALRGWFEKKNTKAERVVYTAEFAVDSSFGEPGAITVLNRHQSEFFLESIVVEGFACGPVHFACNSWVQPTSVHPNNRVFFGNKPYLPSQTPAGLRELRQQELKALKGDGNGERKLTDRIYDYDTYNDLGNPDKGIEFARTTLGGEKMPFPRRLRTGRAPTATDSHAESRVEDPLPMYVPRDERFEEGKQEMLKAGALKAVLHNLVPLLVAFLSPQSHDFKAFHELDNLFKEGLRLKRSLQDQLFHKIPFVTKIEESSEGLLRYDTPDIITKDKFAWLRDDEFARQTLAGINPVNIERLQVFPPVSRLDPSVYGPPESAITEEHITSHLNGMSVQQALEEKKLFILDFHDVYLPFIDRINAQDGRKAYGTRTVFFLTELGTLKPIAIELSLPPVRPGDARTKRVLTPPTDATGNWLWQLAKAHVCSNDAGVHQLVNHWLRTHASVEPFTLAAHRQLSAMHPIFKLLKPHMRYTLEVNALARQILINGGGVIESGFTPGPVCMEISAAAYRDHWRFDQEALPADLIRRGMAVEDKTQPHGLRLVIEDYPYATDGLLLWSAIQSWVETYVAAYYSDDEAVQSDSELQSWYSEAVNVGHADKRHAPWWPRLSSPAELSSVLTTLIWLSSAQHASLNFGQYPLGGYIPNRPPMMRRLVPMEGDPEYEHFRADPVKFFLSALPSLTQATTFMTVIDTLSTHSVDEEYLGERPDPYTWTGDGEMVEAFHEFAAEVRRAETEIARRNADPARRNRCGAGVLPYELMAPSSGPGITCRGVPNSVSI >Ma06_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6562357:6567690:-1 gene:Ma06_g09350 transcript:Ma06_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQQTRLGDSSTARTHPIGSPGDHPPREEPQGGRLAATSEQYWRLFNDPGLSPPDVTVVPPLVSPEAFHDLAHQVRALAGVVQAIVPLIPQPVPPQTDQPLHQREPAPREHAPPPGPPPSPRNQATRLGDRETVGTSSRPEPEWPPANSTHTLQAQLHLFNQRLNEVQQEVRRSKGEPGTEGYQGSPFTPEIQDQAIPPHFRLPSLDVYNGATDPADHVAAFRAQMALYGTSDALMCRAFPTTLRGPARAWYGSIKAGTISSFDQLARDFELNFLAYARPKPSAALLLGLNQGEDESLSHFLDHFTTQIRGLSDAHPSLLIQAFMIGLRPSRFFWSLVERPPTTVPEMLQRASQFVAAETWMAGRPRGHRGTKSEPPRQQKPPTSRRRSDRSDPTAPRPPLPALNSSQTDIFLHIRGKGLLKEPYSMSDPRALADQSKYCRFHRQRGHDTEQCRELKRQIEELIRRGHLGQYLHPNKESSPRPEGPVERRIDVISGGPASGGDSMARKKAYARATSAEAPRHAPGPSLTFPARAYELVEHDDALVISARIANAQVQRIMVDTGSSADILYLDAYWRLGLPRDSMKPVSSALTGFTGDSVSPLGAVTLPLTLGVPPKSKTTMTTFLVIDLPAAYNAILGRPTLNKVRAVVSTYYQTVKFPTLAGTGETAGSPRESRRYYLTAVSLPKKPRVEPPLTDPREIQRSAPHVEPKGTTVAVSLQEGRPERAIRVGSELPKHEREQLVGLLQENADVFAWSPSDMTGVDPEIALHRLSISSDARPVKQKLRRQAPERQMAIREEVTRLLKAGFIKEVGYPQWLSNVVLVKKANGSWRMCVDYTSLNKACPKDCYPLPRVDQLVDATASYARLSFMDAFSGYNQIGKAPEDQEHTTFITDQGVYFYKVMPFGLKNAGATYQRAANKIFARQIGRNMEVYVDDMIVKSQEVGTHLTDLAEDFATLRQVGMRLNPAKCAFGVTSGKFLGFIVHERGINADPEKLQAIINIQSPRTTKDLQRLNGKLVAMSWFLARSGDRCFPFFKALQNPKGFQWTTECEEALQQVKQHLANLPRLTSVSPGEKLSIYLAASPRAVSSVLVKESSNGQLPVYYTSHVLNGPEERYPSIEKLELALVLSARKLRPYFQAHPVEVVTDQPLRLVLSKFDVAGRLLKWAVELGEHDIQYVPRTAIKAQSVADFIAKLTQDVSGSLGRPPEAWVLHVDGSANSKGAGAGLVLRAPDGRSFERSLRFGFRATNNEAEYEALMAGLRLALEMQVDSLHVHTDSQLVAEQLSGGYEARDPTMARYLAQVRNLTMKFHHFTLSNVPRAENERADALAKLASKPAPEAGPEVEELPDRAFEIATATTGSTSSWVQELLRYKRDGILPPDKATARRLLRTHAWYTEAGGRLYKRSFSYPLLRCLDPDEAQAVLTEIHEGACGEHIGGRTLAHKILRQGYYWPTMRRDARTHVQRCISCQEHARTPRLPAVPLAPIDCAWPFAQWGLDILGPFPLAAGQRRFLIVGVDYFTKWVEAEPLAKITAQQVEKFVWKNLITRFGLPKTIITDNGPQFSSQRFREICASQGVQLKYSSVAHPQTNGLTEVTNRSILDGLKRRVSAARTTWTDELPSVLWALCTTPKTATGESPYSLAFGTEAVLPPEIAIATLRTKGYNEETSDEGLRAALDLLEERRADAHVRALSYKRAVARVYNRKVRPRPIRLGDLVLRRAEVSDPTRQRGKLAPSCEGPYRVTEVIRTGAFRLATTEGQPLPRTWNAQNLKKFFP >Ma11_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7481614:7483204:1 gene:Ma11_g09290 transcript:Ma11_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCLACNLDPFAHRNSHSGRAPADGALALEPREALRLLSRSASTTLGHVKLTHARILRSGLSHDPALLPSLLRLYSSHCRLDLAARLLAIFPDPPTIAWNLMIRAHAGAGAPLDAVLVYNRMLSRGLRPDKFTFPFVVKACSMLLEVSKGKEVHAFAIKSGFWPDTFLQNALIHLYFCCGDPVSGRKVFDEMPVKSVVSWTALLSGLVACGEVEAARTVFDNMPIRNVVTWTAMIDGYARNGRPDEAFRLFRRMQDDDVMPNEFTVVALLIACAELGSLSVGRWVHEFAQKNGGLDKGVYVGTALIDMYSKCGSLEDAVRVFDHMPVKSVATWNSMITSFGVHGRGKEAVALFMEMEKAKVLPDGITFVGVLSACVRECMVEEACRFFGSMVERYGIGPCREHYDCLVELLNRADDSDEATKIVDNSLQKLGVAARQMLKKLCQTNADMRLQKVVHPHGFENLQKP >Ma01_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10386772:10387762:1 gene:Ma01_g14220 transcript:Ma01_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQIALFEDYNLYGVVPVNSIKPELSLATEPGGLDEYYAVLHSSELKPLLQNESRQFFLYLGGTSQNDAKPFTPDYLSSSAVYSTNPTSAPTHYNVSLVATRNSTILNSVEVFSAMQNTIVIDAMVAIKGVYAVKRNWMGDPCMPKAYAWDGLNCTVDVAGVSRITAVNLSHRALTGEISTFFCQPQ >Ma03_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5347627:5349174:-1 gene:Ma03_g07600 transcript:Ma03_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENHFPFPYQPSLDWDPQFMPLALEPPMSSQTSTGYLQDAVAEWTDQCKRRRVASSPTHDSTATTDELQDLLQAYFNYICMGFWGSNCYGDPTHDLNCMLQDNTIVPDDDDPLNVMLKAKTQMTETQLTREPLASSSASSHEELLSSTEPHGKDLHQPRDAKPSLPTPKDKPLAIRECGMRQFKKNKAKVIVVHPFAVVKPGGVEGEVTLEDVNARVLMRPRRPVRHPVGEYAHGPCVSPDGPGLSGKAVVSLTRIQTQGRGTITIVRTKG >Ma06_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12765040:12765674:1 gene:Ma06_g18680 transcript:Ma06_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAGGVEEEEPWNLMGMEACAGGTEECLERRMMSEAHLDYIYTQRHPQP >Ma03_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10253140:10256855:1 gene:Ma03_g13230 transcript:Ma03_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNNMIGVLNIITFVLSIPILSAGIWLGRRATTDCEKFLQGPVIALGVFLLLVSLAGVVGGCCRNSCLLWLYLFVMFILIVLLFCFTVFAFVVTNKGAGEAVSGRGFKEYRLGDYSNWLQKRVENNKNWKRFKSCLQDGKVCQSLQQNNQTWEQFINDNLSPIQSGCCKPPTACNFIYVNETFWTKPVGYNSSDIPDPDCNTWQNDQSMLCYDCQSCKAGVLANVKNDWKKVAVVNIIFLIFLIVVYSVGCCAFRNNRQDNAYPRYKPYP >Ma04_p35990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34516230:34517231:1 gene:Ma04_g35990 transcript:Ma04_t35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGVFAASVAAASSAALVAGDHATNFPFPSSSSNEGSGSGTSKKKDTAAGSGSRRGGDDKFAPRFDGLRFIETLVTAHR >Ma00_p03440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29307733:29320735:1 gene:Ma00_g03440 transcript:Ma00_t03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVKEARSPLILHNAFLVTMDPAARVFRDGALVVVGDRIQALGPSAQILRDFSHLAAAESIVDLGSRFLLPGFVNTHVHSSQQLARGIADDVDLKTWLHERIWPYESRMTEEDSYLSTLLCGIELIHSGVTCFAEAGGQHVSGMARAVKELGLRACLTQSTMDTGDGLPENWSASSTDDCIQSQKELYKKHHNTADGRIRVWFGLRQIMNATDQLLLKTRDVAEELKTGIHMHVAEIPYENQLVMNTRKINHGTVTYLEKIGLLRSNLLAAHSVWINEEEIEFFSKAGVKVSHCPAAAMRMLGFAPVKEMLEAGICVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYMNGQTNPTALPAVAILEMVTINGAKSVLWDNEIGSLEVGKKADMIVVNPFSWTMVPLHDRISSLVYCMRTENIDSVMCNGQWIMKDHKILNVNEEEVTSLAMQASDDLLRRAGIYLPKRMNYL >Ma00_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29307733:29320735:1 gene:Ma00_g03440 transcript:Ma00_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVKEARSPLILHNAFLVTMDPAARVFRDGALVVVGDRIQALGPSAQILRDFSHLAAAESIVDLGSRFLLPGFVNTHVHSSQQLARGIADDVDLKTWLHERIWPYESRMTEEDSYLSTLLCGIELIHSGVTCFAEAGGQHVSGMARAVKELGLRACLTQSTMDTGDGLPENWSASSTDDCIQSQKELYKKHHNTADGRIRVWFGLRQIMNATDQLLLKTRDVAEELKTGIHMHVAEIPYENQLVMNTRKINHGTVTYLEKIGLLRSNLLAAHSVWINEEEFLLQIEFFSKAGVKVSHCPAAAMRMLGFAPVKEMLEAGICVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYMNGQTNPTALPAVAILEMVTINGAKSVLWDNEIGSLEVGKKADMIVVNPFSWTMVPLHDRISSLVYCMRTENIDSVMCNGQWIMKDHKILNVNEEEVTSLAMQASDDLLRRAGIYLPKRMNYL >Ma09_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7114373:7115289:1 gene:Ma09_g10450 transcript:Ma09_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAIKVGAWGGNGGSAFDMGPAHRIISVKIFSGDVVDAVDVTFTSYGKTETRHFGGSGGTPHEIVLQEGEYLVGMTGEFGNYHGVVVLGKLGFRTNKKSYGPFGNTGGTPFSLPIAAGKISGFFGRGGDFLDAIGVYLEP >Ma02_p24830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29274859:29277142:-1 gene:Ma02_g24830 transcript:Ma02_t24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSLVRELKEMPDGIGGGGEGEGEGGGGAITMGHGREGAPEGRWVNLPPELLFDVIRRVEASEVSWPARRHVLACAAVCRWWRGITKEVVKSPEQCGRITFPLSLKQPGPRDHPIQCFMRRERTTSTFRVYLGLTPSLQSQKDKLLLAARKTRRATSTDFVISLASDNFSRASNAYIGRVRSNFLGTKFMVYDSQCPHDAPVSSSSIPSNPRAPSKQVSPRLPAGNYDVATVSYEFNVLRTRGPRRIQCTMHSIPASSLREGRGGVAAPTSFLQSVDERQQPLSSLPAAKDEESARGFPSDGPTGSVPLILKNKSPRWHEQLQCWCLDFKGRVTVASVKNFQLVAAVDPSFGVSLAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTTFDTKPACE >Ma05_p21580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33270549:33272703:1 gene:Ma05_g21580 transcript:Ma05_t21580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMAEEGPEASVASSVTAAGHWWEIHASPFSSWNTMRRWPLPQADAHASSSSYDDADRSSISNTTSFTNASTHSALSMDSSSAELVGAPVENHIWSQLLLNVGTNGKARSSSHEDGESSLEVLSSSWELSPSSLNCLEKHLSSCDGLPNNLSDLVTNWSISPPNPQLHQYIAPSTCAVSIDRRPPVAHHSASDASRAKHEGGNSTSYPPSYDHSMKGTDTPFFRRPIGLDESDMPWSNRRNLSDQLSFAGCLNMKPSVNGSDSCDGNRCQSSTITGNGKVSRATEGKKKRSEDSSETHLKKPKPDGSMVSSQKLQEPKVKIAEKVSALQQLVSPFGKTDQASVLMETITCITVLQKQVQLLSAPYLKSSASKNSWGELERKEKAEAKYDLRSRGLCLVPVASIPQVHRESNRPDYWMPTYRSCLYR >Ma05_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33270551:33272703:1 gene:Ma05_g21580 transcript:Ma05_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMAEEGPEASVASSVTAAGHWWEIHASPFSSWNTMRRWPLPQADAHASSSSYDDADRSSISNTTSFTNASTHSALSMDSSSAELVGAPVENHIWSQLLLNVGTNGKARSSSHEDGESSLEVLSSSWELSPSSLNCLEKHLSSCDGLPNNLSDLVTNWSISPPNPQLHQYIAPSTCAVSIDRRPPVAHHSASDASRAKHEGGNSTSYPPSYDHSMKGTDTPFFRRPIGLDESDMPWSNRRNLSDQLSFAGCLNMKPSVNGSDSCDGNRCQSSTITGNGKVSRATEGKKKRSEDSSETHLKKPKPDGSMVSSQKLQEPKVKIAEKVSALQQLVSPFGKTDQASVLMETITCITVLQKQVQLLSAPYLKSSASKEQNSWGELERKEKAEAKYDLRSRGLCLVPVASIPQVHRESNRPDYWMPTYRSCLYR >Ma05_p21580.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33270549:33272703:1 gene:Ma05_g21580 transcript:Ma05_t21580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMAEEGPEASVASSVTAAGHWWEIHASPFSSWNTMRRWPLPQADAHASSSSYDDADRSSISNTTSFTNASTHSALSMDSSSAELVGAPVENHIWSQLLLNVGTNGKARSSSHEDGESSLEVLSSSWELSPSSLNCLEKHLSSCDGLPNNLSDLVTNWSISPPNPQLHQYIAPSTCAVSIDRRPPVAHHSASDASRAKHEGGNSTSYPPSYDHSMKGTDTPFFRRPIGLDESDMPWSNRRNLSDQLSFAGCLNMKPSVNGSDSCDGNRCQSSTITGNGKVSRATEGKKKRSEDSSETHLKKPKPDGSMVSSQKEPKVKIAEKVSALQQLVSPFGKTDQASVLMETITCITVLQKQVQLLSAPYLKSSASKEQNSWGELERKEKAEAKYDLRSRGLCLVPVASIPQVHRESNRPDYWMPTYRSCLYR >Ma05_p21580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33270549:33272554:1 gene:Ma05_g21580 transcript:Ma05_t21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMAEEGPEASVASSVTAAGHWWEIHASPFSSWNTMRRWPLPQADAHASSSSYDDADRSSISNTTSFTNASTHSALSMDSSSAELVGAPVENHIWSQLLLNVGTNGKARSSSHEDGESSLEVLSSSWELSPSSLNCLEKHLSSCDGLPNNLSDLVTNWSISPPNPQLHQYIAPSTCAVSIDRRPPVAHHSASDASRAKHEGGNSTSYPPSYDHSMKGTDTPFFRRPIGLDESDMPWSNRRNLSDQLSFAGCLNMKPSVNGSDSCDGNRCQSSTITGNGKVSRATEGKKKRSEDSSETHLKKPKPDGSMVSSQKLQEPKVKIAEKVSALQQLVSPFGKTDQASVLMETITCITVLQKQVQLLSAPYLKSSASKWSISGAEFMGRTREEGEGRSEVRSEE >Ma11_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2163529:2167191:-1 gene:Ma11_g02940 transcript:Ma11_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLKKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQSEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVHDKNKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPQQEEGWVVCRAFKKRATSPTRILDDVWSCSYGYDYVGRMNSMIDPMIRLQKQPVTLHCKQETESDELRYLNSNQFVKLPQLESPSLTPATRPSSKMTVLEEEDEEQARTPNGMEIVTDWRALDKFVASQLGQEGSSSVSAQVVSDFVGDNDSEMALLLLQSETKEVGKFNAFRSSGGPNCETGICIFEQ >Ma08_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22562:23004:-1 gene:Ma08_g00020 transcript:Ma08_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVCVYCVCCVCVYYVLCVCVCVYYVLCVYVYCVCCLLCVLCVWVLYVLRDCCDLFVIDYRYVVQLFFYVMRCFTKGFRCVSTKEEERATTVATP >Ma07_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10353247:10354156:1 gene:Ma07_g13770 transcript:Ma07_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIEMCVHMDCSGCESKIRKALLKLEGVHDVEIDMERQKVTVTGWVDQKKALKAVRKTGRRAVLWPYPMNAEDATYSQAYYHLQHPAPAHHLIFSAAPKSKYNYRKHGYDDSSMHGYYQRPPHSHIIDEKARMMFSDDNPNACSVM >Ma08_p22110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35793933:35800084:-1 gene:Ma08_g22110 transcript:Ma08_t22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAGFQLGTVGALSLSVVSSVSIVICNKALMSSLGFIFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDRKAVLGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVFLETVFLAKKFSRSIQFSLSILLLGVGIATVTDLQLNLLGSVLSLLAIVTTCVAQIMTNTIQKKFKVSSTQLLYQSAPYQALTLFVSGPFLDGLLTNQNVFAFNYTPQVLVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNIFGISVAIVGMVLYSYICTIEGQQKAREASSQMLQVKDNDSDPLMRAESGAGIDADGAAPTATVWSSNKDLRA >Ma08_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35793933:35800084:-1 gene:Ma08_g22110 transcript:Ma08_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAGFQLGTVGALSLSVVSSVSIVICNKALMSSLGFIFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDRKAVLGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVFLETVFLAKKFSRSIQFSLSILLLGVGIATVTDLQLNLLGSVLSLLAIVTTCVAQIMTNTIQKKFKVSSTQLLYQSAPYQALTLFVSGPFLDGLLTNQNVFAFNYTPQVLVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNIFGISVAIVGMVLYSYICTIEGQQKAREASSQMLQVKDNDSDPLMRAESGAGIDADGAAPTATVWSSNKDLRA >Ma10_p30780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37050583:37051542:1 gene:Ma10_g30780 transcript:Ma10_t30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSKAMAASSDPLGVLQILWESLHLPIKSGQLFFPILLLSLLSSSLLFFCYFSIAPIPLDLVSKISILLEETNHRPPDLLLDIERDLRDFAGLATSITLFFFFSSLFLVLATLYTFAMAYSNRNITPKDLLLRIARRWYQTMITRLYVVLLTAGLGLLSSLGVGTAKLVSDGSSAAFSFGLSLAGLLLLLYLYLLTRWSMSLVIAAVEETWGIGALSWSVELYIGNKKRGIVLTLMLLAIKVAIYGAFAAVMMASGPPQPTEAPMAIVYIVAAADALWDLYSMAVYTVFYYECRKSHGLEYTGLHAALVRVNAVVVH >Ma05_p30720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40993150:40994479:1 gene:Ma05_g30720 transcript:Ma05_t30720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNEETRKGPWMEQEDLQLVRFVRLFGERRWDYLAKVSGLSGGGDTGQQRLRWVNYLHPGLRHGRMTPEEEHLVLELHAKWGNRWSRIAQCLPGRTDNEIKNYWRTRMRKKAQERRMSTSASPSPSSSSSNISSSANEPPPDLVMKKRDGMESVAVGSSLTLGFGTNEGVMAYSMDQIWDEIAATDRVSGLSTEEHKHGARSVASPLWEHCSASPWKVDDEEFEMPTPADGLVISSL >Ma05_p30720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40993150:40994479:1 gene:Ma05_g30720 transcript:Ma05_t30720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNEETRKGPWMEQEDLQLVRFVRLFGERRWDYLAKVSGLNRSGKSCRLRWVNYLHPGLRHGRMTPEEEHLVLELHAKWGNRWSRIAQCLPGRTDNEIKNYWRTRMRKKAQERRMSTSASPSPSSSSSNISSSANEPPPDLVMKKRDGMESVAVGSSLTLGFGTNEGVMAYSMDQIWDEIAATDRVSGLSTEEHKHGARSVASPLWEHCSASPWKVDDEEFEMPTPADGLVISSL >Ma05_p30720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40993150:40994479:1 gene:Ma05_g30720 transcript:Ma05_t30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGPPTGLNRSGKSCRLRWVNYLHPGLRHGRMTPEEEHLVLELHAKWGNRWSRIAQCLPGRTDNEIKNYWRTRMRKKAQERRMSTSASPSPSSSSSNISSSANEPPPDLVMKKRDGMESVAVGSSLTLGFGTNEGVMAYSMDQIWDEIAATDRVSGLSTEEHKHGARSVASPLWEHCSASPWKVDDEEFEMPTPADGLVISSL >Ma04_p36190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34650609:34653493:1 gene:Ma04_g36190 transcript:Ma04_t36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLPWCHPKAFASPLILLLHVLPRSPLRSRAYGSAGALGEKAYMAITQELSDAMAACGATGSVSGARRLHACLVSAGLEASRFLQNNLLNTYLGCGSLDDARQVFDGISFPNVFSYNMMINGLSKFGFLREAVELFDEMPARDSTSWNTLMAGYFRNRQPLETVKTFALMVQDPNCKPNMFTFACAMKSCGALGFHRLGLQLHGFVEKLDFGRDSQVGASLIDMYVKCGAVDLASQVFDLLESPDLCCWNSMILGYGSSYATERAIEVFNKMPDRDVVSWNTMISILSHNGREQEALSMVIEMSTLGCELNSTTYTCALSVCASILDLRWGRHLHAHIIRSQKSIDVFFGSALVDMYAKCGHLQSAQRTFDALCDRNTVSWTALIAGYAHSGLVEEAMKIFNQMRSVPMSLDQFILATVISACCTKMDLCLGTQLHSISFRVGSCSSVPVSNALVTMYAKCGNVETAECVFRSMPVRDVISWTSMITAYSQMGNISKAREYFDAMEGRNVVTWNAMLGAYIQHGSEEEGLKTFIMLQREGAVKPDWVTFATLFRACAEVAAVRCGNQVIAHAFKLGLNSDTSVANCIITMYSRCGKIVEAREVFESILDKDLVSWNAMITAYAQHGQGKETIEILQRMLCDGIKPDYISYVAVLSGCSHSGLVEEGRFYFDSMTRCHNINPGLEHFACMVDLLSRSGLLEEAKKVIDSMPIKPSAEIWGALLGACKIYGNKELAECAVKHLFELDTKDSGSYVLLAKIYADAGNSDDSARVRKLMRERGIRKNPGCSWIEVKNTVHVFTADDSSHPQIDIILRKLDELMKMIEAVGYDQSATSLSQGHHSEKLAVAYGLVSLPEWMPIHIMKNLRICCDCHTVIKLISLVTTRELIVRDANRFHHFREGSCSCQDYW >Ma06_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3394590:3399191:1 gene:Ma06_g04570 transcript:Ma06_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPDPVNRSLSYKPTSETKVDGKRKRRSHTPLLATLEQAVSMGSEELDDVETPLLHSASDSHLEDHFLRIRRSAPVTTSQLAIIGSSLCPIESLDYELIENDFFKQDWRSRGEAHIVRYVILKWTLCFLVGAVAGAVGFFNNLAIENIAGVKFVVTSNMMLAGKYKWAFLVFASTNFVLLMFASVITTYISPAAAGSGIPEVMAYLNGVDAPDIFSLKTFFVKVVGCIAAVSSSLHVGKAGPMIHTSACIASILGQGGSRKYRLTCKWLRYFKNDRDRRDLVTCGAGAGVAAAFRAPVGGVLFALECVSSWWRSALLWRAFFTTAVVVVVLRALIDVCNSGKCGLFGKGGLIMFDVTSADVTYHLDDLPPVIVLGIIGGILGSSYNFLLDKVLRIYNLINEKGHVCKLFLTASVSIFTSCCLFGMPWLASCKPCPAESSEACPSIGRSGNFKNFQCPPDQYNDLASLFFNTNDDTIRNLYSAGTDNVFQKTSIILFLITSYFLGIISYGLAVPSGLFVPVILTGATYGRLVGMLMGSHSTLNHGLFAVLGSASLLGGSMRMTVSVCVVMLELTNNLLLLPLVMLVLLISKSVADAFNANVYDLLVQLKGLPYLKAHAEPYMRQLTVADVVRGPLQIFNGVEKVSNIVHVLKTTGHHGFPVVDEPPFSNSPVLFGLILRANLLVLLKKKEFLRTCTLASLDASRHFSADDFAKCGSGKHENVEGIELTAEEMDMYIDLHPFTNTSPYTVVETMSLAKAGILFREVGLRHLLIVPKSSSTTPVVGILTRHDFMPELILGLHPVLLQSRWKKTRVGKSNLIQLFYDLCRFQK >Ma06_p04570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3394725:3399191:1 gene:Ma06_g04570 transcript:Ma06_t04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEELDDVETPLLHSASDSHLEDHFLRIRRSAPVTTSQLAIIGSSLCPIESLDYELIENDFFKQDWRSRGEAHIVRYVILKWTLCFLVGAVAGAVGFFNNLAIENIAGVKFVVTSNMMLAGKYKWAFLVFASTNFVLLMFASVITTYISPAAAGSGIPEVMAYLNGVDAPDIFSLKTFFVKVVGCIAAVSSSLHVGKAGPMIHTSACIASILGQGGSRKYRLTCKWLRYFKNDRDRRDLVTCGAGAGVAAAFRAPVGGVLFALECVSSWWRSALLWRAFFTTAVVVVVLRALIDVCNSGKCGLFGKGGLIMFDVTSADVTYHLDDLPPVIVLGIIGGILGSSYNFLLDKVLRIYNLINEKGHVCKLFLTASVSIFTSCCLFGMPWLASCKPCPAESSEACPSIGRSGNFKNFQCPPDQYNDLASLFFNTNDDTIRNLYSAGTDNVFQKTSIILFLITSYFLGIISYGLAVPSGLFVPVILTGATYGRLVGMLMGSHSTLNHGLFAVLGSASLLGGSMRMTVSVCVVMLELTNNLLLLPLVMLVLLISKSVADAFNANVYDLLVQLKGLPYLKAHAEPYMRQLTVADVVRGPLQIFNGVEKVSNIVHVLKTTGHHGFPVVDEPPFSNSPVLFGLILRANLLVLLKKKEFLRTCTLASLDASRHFSADDFAKCGSGKHENVEGIELTAEEMDMYIDLHPFTNTSPYTVVETMSLAKADNTCGGHIDKA >Ma05_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:869583:877169:1 gene:Ma05_g01440 transcript:Ma05_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAALLPRASFFSRPRPPPPPISIQRRRLHVPLQIATFGPSRRGLLAIVACSAAPFDEFDSKVGFKKQSSKKSTLLNLIQEIEPLDMSLIQKDVPANTVDAMKRTISGMLGLLPSNQFHVQVEALWESLFKLFVSSMKTGYTLHNAEYRLCLEKNLDISEEHTEKGETSLENDTHEILIDNFSTMSNSFGEDIVGNNDMRKDNPLHEDVGCGGEMTPEAKEYIQNLQSRLNSVEKELNDIKRKSSALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTFPGVEETIHSVVHGLLATLSPKMHSKPPPHSNNVTGGTLNVGMDDCAGLIENTSIQFQPLISVPRDYLARLLFWCMLLGHYLRGLEYRLDLVQLLEDSAKAQQTISQDGDIIV >Ma03_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2793004:2797305:1 gene:Ma03_g04250 transcript:Ma03_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRELHGGLTFSVALLLLLAQPLVVFSSPPIACDPASPSTRNLGFCQTTLPIDKRASDLISRLTLEEKIQQLDDQAPEIPRLGVPKYNWWSEALHGLSNGGHGMHFIGRTIPNATSFPQVILTAASFNPDLWYRIGQAIAVEARALYNAGQADGLTFWSPNVNIFRDPRWGRGQETPGEDPTTASKYAVSFVRGLQGDSPTGERSGQLMASACCKHLTAYDLDSWKGTVRYTFDARVTAQDMEDTFQPPFRSCVEQGRATCVMCSYNRVNGVPTCADYNLLTKQAKNLWGLDGYIASDCAAVDFMYGASHYAKTLEEAVSYALKAGVDINCGKVMNQHVGAAIKNGNLSESDIDRALFNAFSLRMKLGLFNGDPQKLPSGDIPPSQVCSTEHKNLALQAAQDGIVLLKNTGNTLPLARSNVTSLGVIGPNANFPPSLMGNYNGPPCVVITPLEALQSSIKNTRFEAGCNIVACNVTKIPEAVQLASSVDYVIMFMGLDQDQEQEGLDRTDLLLPGMQQTLISKVAEAAKKPIILVLLSGGPLDITFAKNDPRIGAILWAGYPGEAGGSAISSIIFGDHNPGGKLPVTWYPQEFTKVPMTDMRMRADPATGYPGRTYRFYNGKPVYQFGYGLSYSSHSYEFEAGAATSIYLNNSLSPQAQPNDPNTLSYDIASLGFNTCGELKISATVSVKNHGPMAGRHPVLLFSRWPSTEHGRPAKQLVGFQSVHLEAGESTKVEFSLSACEHLSRVMDDGRRVLDKGSHFLIVGDEEHEISIIS >Ma06_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9953734:9954591:1 gene:Ma06_g14530 transcript:Ma06_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAHLPHHNTGKRRARVVVEIPPLTLLPRRSTRRQSLLLKRTRMSRRSNHGKSHKVDLKLNLAPPMREDASRRAATVVDSPRGSSSSSSCLSSETEQGLPCQTSPEATSMVLAACPRCLMYVMLPEEDPKCCPKCKNAVLLDFLCSNRKT >Ma05_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31701456:31702879:1 gene:Ma05_g20140 transcript:Ma05_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALCSPHTVTPHRLAQLTGSSMDTKRSGRPIDGLPILALLYLLPLLLLTPRCARAQPSPTFGGSNDNNYGNLNPTLAIVIVVIISTFFVLVFFSLYVRNCTGQDDFGGSIWRRAAEARSVRPQQRGLSPEVLETFPTLMYADVKGLKVGKGSLECAVCLSEFDDDEELRLLPRCSHVFHTDCIGAWLASHVTCPVCRANLAEPTAVDGLEPTPATAEASSTQPDTAPPPDHVAILVDRMAAAAAEEEEEQREKAILARIGSRTREARSRSGRRPPKFPRSHSTGHSVVRPEEDLDRYTLRLPEHIQKEIFAARKLDRSASCVTFPTSGGECSRHGSGGCGAEGSSRGGWSAQLEKLDRWSSVLLRTLSVKVPTWAGRRRGEGEGSVRKEEGEGSTTGKLAAGIPSVVPNV >Ma03_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3226808:3227555:1 gene:Ma03_g04850 transcript:Ma03_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTLLLLFLRFSTSPSYASSPLLAPPINQTIYNITKTLCWGCWAEAVQFLYAHNVVRATHWELPLAWDPTLESYARWWATQRKADCRLQHSFPDGGFELGENIFWGSGSGWGPLDAVRNWAGEERDYSYAANACAPGRICGHYTQMVWKDTRRLGCSRVVCDGGGVFMTCNYYPPGNYIGERPY >Ma05_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9212013:9212827:1 gene:Ma05_g12770 transcript:Ma05_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFSRPFRKKGYIPLTTYLRTYRIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIKKRIHVRVEHVQPSRCQEDFRSRVKKNDQLKAEAKARGEIISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Ma02_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20270483:20273192:1 gene:Ma02_g10790 transcript:Ma02_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSSAAAAASNSTSISEEASVSSETRFHVGFSSSLAPSSPHHQPQQPPPKTRKKRSQPGNPDPDAEVVALSPNTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVARKRVYVCPEPSCVHHHPSRALGDLTGIKKHFSRKHGEKRWKCDKCSKRYAVHSDWKAHVKTCGTKEYRCDCGTLFSRKDSFITHRAFCDALAEESARLMSAAVTTSPPLHQPLFLPFSSWHPTTSSNPNLILNPSHDPDIGALQIKPAENDLPSLVHHLPTGTSTSAHLSATALLQRASTMGAMSFTNTVSGQGYDGMMVGDVAAFPMWQNGHRLTRDFLGVAAGEAGDEDDDLLLDLVAASSCAPMGDPTKQLSGFPPVTGPWD >Ma01_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3573102:3585036:-1 gene:Ma01_g05070 transcript:Ma01_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MTVGSQKGKRRRRPPPSSDLPASDTMAPTAASDFGRCKRSRGYCCRREPSLPVALVALCTLLFVYITWKRMTVAVSVSAPPRFYSFNIVNEFPHDPDAFTQGLVYGGNETLFESTGLYQRSSVRQVLLQTGKVQVSHQMDGSYFGEGLTLLGERLFQVTWLTRTGFTYDRYNFSKQRRFTHQMRDGWGLATDGKVIFGTDGSSTLYHLDPQTLKVIRRVTVRYNDHEVPYLNELEYVNGEVWANVWQTDCIARISHKDGMVLSWILLHELRQGLLKSGHTAIDVLNGIAWDEETNRLFVTGKLWPKLYQIHLHPVTGQLNGRLEDLCLIKNEIS >Ma09_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9400791:9406526:-1 gene:Ma09_g13860 transcript:Ma09_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVPSAPPLSAPPVVVVAPRPKSPPKYPDLCGRRRLQLELQMLNREIGFIEEELQSLEGVQPVSRCCKEYASLFGSFAAETAAIARKHLAFATEHAPAAPKFPAAVVEHAAAARRFLAVAPEHATGAPKLLAVAVKHAAAAQKFLALAAQHASAAQKLLALAAQHASAAQKFLAAVPEHAAAAQNILAVALENAAAARKLLAVALENAAAARKLLAAALENAAAAPKILAVVVEHAGVAQRLVAAVAPMCLAANRNAIASTLPPAGLTAVAGGLPVSGHGGVAGGRHAPVHAVINHVAVAGRHHAPVHVVLDHSAATGGRPAPVPVVPKDGAVGGTPPAGDHSATAHGAAAGNLHAVPEDGAVARSLRAPEAAAPAPSQDSPALSILVAVSGPAPSAQMHASYLNAPKNPAASLDVYVKIQTINLYSFILA >Ma07_p28050.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34191442:34196046:1 gene:Ma07_g28050 transcript:Ma07_t28050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYVISVNPGIVEPKGGTRRYSTGGMIVRGSVSPSNGDEKSLPHYLRAPKSSCHDYCKYGRTHDFEAKTKEPVLHKLGKKSHHEDSYQMNYSNSEGRRKSPAIKMKSSSQVKPKVTKQKALPQLKESRLVEEPVSLSLQCPSASHKSNTAVDQVTLSRSLENEQIKNSVINKKSEPKISSHTKDLCHKPKFADQHPSPVYENEAHPIVAKILKKTPTRSKTKPVMHQRFKQRPLVPSKVVDTSLKPAKPLKTNKTPAARLESPLTASLGLNDSRNEGCKVSNSQGKMKMVEKKILKPQVPSLSAKPADDGVLSLKSRTYRHRKKQTIMKNQETGMAKPDKENDEEKAIYVIEAKAESVGSDGSQLILPNVENVNFVTTTQESWKPENDNLDSVQHNSPKSKCVDLYFPEQKSPKAETVDLNCLHQILKDDRSSQSVSSDKEDTEESESSCTESTGSESETEEIKSSSDSKSLMSEMRPKQPPSFDPEDNHSLPYKLRFRRGKVIESQPESNGPRRLTFRRGRVVAVNGNGGHVRRKSFRRIVIDDEALKDPDHEAPTIVLRHQDMQEKKDAQGLFNNVIKETASKLVESRKSKVKALVGAFETVISLQDKKPASAI >Ma07_p28050.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34191453:34196046:1 gene:Ma07_g28050 transcript:Ma07_t28050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYVISVNPGIVEPKGGTRRYSTGGMIVRGSVSPSNGDEKSLPHYLRAPKSSCHDYCKYGRTHDFEAKTKEPVLHKLGKKSHHEDSYQMNYSNSEGRRKSPAIKMKSSSQVKPKVTKQKALPQLKESRLVEEPVSLSLQCPSASHKSNTAVDQVTLSRSLENEQIKNSVINKKSEPKISSHTKDLCHKPKFADQHPSPVYENEAHPIVAKILKKTPTRSKTKPVMHQRFKQRPLVPSKVVDTSLKPAKPLKTNKTPAARLESPLTASLGLNDSRNEGCKVSNSQGKMKMVEKKILKPQVPSLSAKPADDGVLSLKSRTYRHRKKQTIMKNQETGMAKPDKENDEEKAIYVIEAKAESVGSDGSQLILPNVENVNFVTTTQESWKPENDNLDSVQHNSPKSKCVDLYFPEQKSPKAETVDLNCLHQILKDDRSSQSVSSDKEDTEESESSCTESTGSESETEEIKSSSDSKSLMSEMRPKQPPSFDPEDNHSLPYKLRFRRGKVIESQPESNGPRRLTFRRGRVVAVNGNGGHVRRKSFRRIVIDDEALKDPDHEAPTIVLRHQDMQEKKDAQGLFNNVIKETASKLVESRKSKVKALVGAFETVISLQDKKPASAI >Ma07_p28050.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34191442:34196046:1 gene:Ma07_g28050 transcript:Ma07_t28050.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYVISVNPGIVEPKGGTRRYSTGGMIVRGSVSPSNGDEKSLPHYLRAPKSSCHDYCKYGRTHDFEAKTKEPVLHKLGKKSHHEDSYQMNYSNSEGRRKSPAIKMKSSSQVKPKVTKQKALPQLKESRLVEEPVSLSLQCPSASHKSNTAVDQVTLSRSLENEQIKNSVINKKSEPKISSHTKDLCHKPKFADQHPSPVYENEAHPIVAKILKKTPTRSKTKPVMHQRFKQRPLVPSKVVDTSLKPAKPLKTNKTPAARLESPLTASLGLNDSRNEGCKVSNSQGKMKMVEKKILKPQVPSLSAKPADDGVLSLKSRTYRHRKKQTIMKNQETGMAKPDKENDEEKAIYVIEAKAESVGSDGSQLILPNVENVNFVTTTQESWKPENDNLDSVQHNSPKSKCVDLYFPEQKSPKAETVDLNCLHQILKDDRSSQSVSSDKEDTEESESSCTESTGSESETEEIKSSSDSKSLMSEMRPKQPPSFDPEDNHSLPYKLRFRRGKVIESQPESNGPRRLTFRRGRVVAVNGNGGHVRRKSFRRIVIDDEALKDPDHEAPTIVLRHQDMQEKKDAQGLFNNVIKETASKLVESRKSKVKALVGAFETVISLQDKKPASAI >Ma07_p28050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34192002:34196046:1 gene:Ma07_g28050 transcript:Ma07_t28050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYVISVNPGIVEPKGGTRRYSTGGMIVRGSVSPSNGDEKSLPHYLRAPKSSCHDYCKYGRTHDFEAKTKEPVLHKLGKKSHHEDSYQMNYSNSEGRRKSPAIKMKSSSQVKPKVTKQKALPQLKESRLVEEPVSLSLQCPSASHKSNTAVDQVTLSRSLENEQIKNSVINKKSEPKISSHTKDLCHKPKFADQHPSPVYENEAHPIVAKILKKTPTRSKTKPVMHQRFKQRPLVPSKVVDTSLKPAKPLKTNKTPAARLESPLTASLGLNDSRNEGCKVSNSQGKMKMVEKKILKPQVPSLSAKPADDGVLSLKSRTYRHRKKQTIMKNQETGMAKPDKENDEEKAIYVIEAKAESVGSDGSQLILPNVENVNFVTTTQESWKPENDNLDSVQHNSPKSKCVDLYFPEQKSPKAETVDLNCLHQILKDDRSSQSVSSDKEDTEESESSCTESTGSESETEEIKSSSDSKSLMSEMRPKQPPSFDPEDNHSLPYKLRFRRGKVIESQPESNGPRRLTFRRGRVVAVNGNGGHVRRKSFRRIVIDDEALKDPDHEAPTIVLRHQDMQEKKDAQGLFNNVIKETASKLVESRKSKVKALVGAFETVISLQDKKPASAI >Ma07_p28050.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34191321:34196046:1 gene:Ma07_g28050 transcript:Ma07_t28050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYVISVNPGIVEPKGGTRRYSTGGMIVRGSVSPSNGDEKSLPHYLRAPKSSCHDYCKYGRTHDFEAKTKEPVLHKLGKKSHHEDSYQMNYSNSEGRRKSPAIKMKSSSQVKPKVTKQKALPQLKESRLVEEPVSLSLQCPSASHKSNTAVDQVTLSRSLENEQIKNSVINKKSEPKISSHTKDLCHKPKFADQHPSPVYENEAHPIVAKILKKTPTRSKTKPVMHQRFKQRPLVPSKVVDTSLKPAKPLKTNKTPAARLESPLTASLGLNDSRNEGCKVSNSQGKMKMVEKKILKPQVPSLSAKPADDGVLSLKSRTYRHRKKQTIMKNQETGMAKPDKENDEEKAIYVIEAKAESVGSDGSQLILPNVENVNFVTTTQESWKPENDNLDSVQHNSPKSKCVDLYFPEQKSPKAETVDLNCLHQILKDDRSSQSVSSDKEDTEESESSCTESTGSESETEEIKSSSDSKSLMSEMRPKQPPSFDPEDNHSLPYKLRFRRGKVIESQPESNGPRRLTFRRGRVVAVNGNGGHVRRKSFRRIVIDDEALKDPDHEAPTIVLRHQDMQEKKDAQGLFNNVIKETASKLVESRKSKVKALVGAFETVISLQDKKPASAI >Ma07_p28050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34191442:34196046:1 gene:Ma07_g28050 transcript:Ma07_t28050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYVISVNPGIVEPKGGTRRYSTGGMIVRGSVSPSNGDEKSLPHYLRAPKSSCHDYCKYGRTHDFEAKTKEPVLHKLGKKSHHEDSYQMNYSNSEGRRKSPAIKMKSSSQVKPKVTKQKALPQLKESRLVEEPVSLSLQCPSASHKSNTAVDQVTLSRSLENEQIKNSVINKKSEPKISSHTKDLCHKPKFADQHPSPVYENEAHPIVAKILKKTPTRSKTKPVMHQRFKQRPLVPSKVVDTSLKPAKPLKTNKTPAARLESPLTASLGLNDSRNEGCKVSNSQGKMKMVEKKILKPQVPSLSAKPADDGVLSLKSRTYRHRKKQTIMKNQETGMAKPDKENDEEKAIYVIEAKAESVGSDGSQLILPNVENVNFVTTTQESWKPENDNLDSVQHNSPKSKCVDLYFPEQKSPKAETVDLNCLHQILKDDRSSQSVSSDKEDTEESESSCTESTGSESETEEIKSSSDSKSLMSEMRPKQPPSFDPEDNHSLPYKLRFRRGKVIESQPESNGPRRLTFRRGRVVAVNGNGGHVRRKSFRRIVIDDEALKDPDHEAPTIVLRHQDMQEKKDAQGLFNNVIKETASKLVESRKSKVKALVGAFETVISLQDKKPASAI >Ma07_p28050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34191962:34196046:1 gene:Ma07_g28050 transcript:Ma07_t28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYVISVNPGIVEPKGGTRRYSTGGMIVRGSVSPSNGDEKSLPHYLRAPKSSCHDYCKYGRTHDFEAKTKEPVLHKLGKKSHHEDSYQMNYSNSEGRRKSPAIKMKSSSQVKPKVTKQKALPQLKESRLVEEPVSLSLQCPSASHKSNTAVDQVTLSRSLENEQIKNSVINKKSEPKISSHTKDLCHKPKFADQHPSPVYENEAHPIVAKILKKTPTRSKTKPVMHQRFKQRPLVPSKVVDTSLKPAKPLKTNKTPAARLESPLTASLGLNDSRNEGCKVSNSQGKMKMVEKKILKPQVPSLSAKPADDGVLSLKSRTYRHRKKQTIMKNQETGMAKPDKENDEEKAIYVIEAKAESVGSDGSQLILPNVENVNFVTTTQESWKPENDNLDSVQHNSPKSKCVDLYFPEQKSPKAETVDLNCLHQILKDDRSSQSVSSDKEDTEESESSCTESTGSESETEEIKSSSDSKSLMSEMRPKQPPSFDPEDNHSLPYKLRFRRGKVIESQPESNGPRRLTFRRGRVVAVNGNGGHVRRKSFRRIVIDDEALKDPDHEAPTIVLRHQDMQEKKDAQGLFNNVIKETASKLVESRKSKVKALVGAFETVISLQDKKPASAI >Ma08_p04030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2840188:2843145:1 gene:Ma08_g04030 transcript:Ma08_t04030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEETAVGLEHQQQLGFRSSSTGMEQEGQKRETGKTDLQSSNIPVLCANNCGFFGSPATNNLCSKCYKDYFLSKSKASMETLVIPPALESKRVDEKPGGDDGIAKSVNSSEEGATSEGGGPLPKKNPNRCSFCNKRVGLMGFKCRCGEVFCSIHRYSDKHNCAYDYRAAAQDAIAKANPVVKTDKVEKI >Ma08_p04030.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2840188:2841232:1 gene:Ma08_g04030 transcript:Ma08_t04030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGQKRETGKTDLQSSNIPVLCANNCGFFGSPATNNLCSKCYKDYFLSKSKASMETLVIPPALESKRVDEKPGGDDGIAKSVNSSEEGATSEGGGPLPKKNPNRCSFCNKRVGLMGFKCRCGEVFCSIHRYSDKHNCAYDYRAAAQDAIAKANPVVKTDKVEKI >Ma08_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2840188:2841232:1 gene:Ma08_g04030 transcript:Ma08_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGQKRETGKTDLQSSNIPVLCANNCGFFGSPATNNLCSKCYKDYFLSKSKASMETLVIPPALESKRVDEKPGGDDGIAKSVNSSEEGATSEGGGPLPKKNPNRCSFCNKRVGLMGFKCRCGEVFCSIHRYSDKHNCAYDYRAAAQDAIAKANPVVKTDKVEKI >Ma08_p04030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2840188:2841232:1 gene:Ma08_g04030 transcript:Ma08_t04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGQKRETGKTDLQSSNIPVLCANNCGFFGSPATNNLCSKCYKDYFLSKSKASMETLVIPPALESKRVDEKPGGDDGIAKSVNSSEEGATSEGGGPLPKKNPNRCSFCNKRVGLMGFKCRCGEVFCSIHRYSDKHNCAYDYRAAAQDAIAKANPVVKTDKVEKI >Ma08_p04030.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2840154:2841232:1 gene:Ma08_g04030 transcript:Ma08_t04030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGQKRETGKTDLQSSNIPVLCANNCGFFGSPATNNLCSKCYKDYFLSKSKASMETLVIPPALESKRVDEKPGGDDGIAKSVNSSEEGATSEGGGPLPKKNPNRCSFCNKRVGLMGFKCRCGEVFCSIHRYSDKHNCAYDYRAAAQDAIAKANPVVKTDKVEKI >Ma08_p04030.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2840188:2841232:1 gene:Ma08_g04030 transcript:Ma08_t04030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEETAVGLEHQQQLGFRSSSTGMEQEGQKRETGKTDLQSSNIPVLCANNCGFFGSPATNNLCSKCYKDYFLSKSKASMETLVIPPALESKRVDEKPGGDDGIAKSVNSSEEGATSEGGGPLPKKNPNRCSFCNKRVGLMGFKCRCGEVFCSIHRYSDKHNCAYDYRAAAQDAIAKANPVVKTDKVEKI >Ma10_p30420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36721834:36722440:-1 gene:Ma10_g30420 transcript:Ma10_t30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYICGFLCGGHQYANRKQLQTVELKVRMDCEGCELKVRNAISSVTGVQSVDINRKQNKVTVTGYVEQHKVLKKVRSTGKRVEIWPYVPYNLVTHPHGAQIYDKKAPPGYVRNVEVVTVSSQPLRQDDLLTNLFSDDNPNACSIM >Ma05_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15683010:15688370:-1 gene:Ma05_g16210 transcript:Ma05_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLSRTRKLAKGLAKPTPVWFKPMEEAPPVTFPRTDGKIRKIEMPEDVYVKRFFKKHPDSLDHDAVKISGFDPPPARVFAWRVLELKGQGVSEEEAMAVADKMKAEKVAEMQEGQREFGNGRGGGGQRGGWKGGQHQ >Ma11_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:912339:916609:-1 gene:Ma11_g01290 transcript:Ma11_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFNPRRREVSRRIEDSGGSRSHSRFVVDASESGKKASSVGGERSKSARKFTFRDLATATQNFKEANLIGEGGFGRVFKGRLDSGQVLAIKQLKQDGLQGSKEFLVEVLMLTVLRHPNLVSLIGYCAEGDERLLVYEFMPKGSLEDHLFDLSPQKPPLEWNTRIRIALGAAKGLTYLHDVASPPVIYRDMKAANILLDNNFNPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPDYVMSGKLTLKSDIYSFGVLLLELITGRRAFDYSRTRAEQNLVTWSRPFLNDRRKFLQLADPSLRGHYPPRAFHQLVVITSMCLQEQAHVRPIIADVVVALDHVASQPYTAEPNSKVMNSPPSLPSGNVAGTASSRGCSVGKGFGIM >Ma06_p28010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29815468:29815760:-1 gene:Ma06_g28010 transcript:Ma06_t28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAALLVYAFRFVPPDEFKLALLTLELDFVKEKTNRTEQLDAVLIAPQLQKRFIDQMTHPYHFFELT >Ma11_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5661482:5662526:1 gene:Ma11_g07060 transcript:Ma11_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRPSRFFWSLIERPPTVLHEMLQRANQFVAVEAWMAGKQEERARVRPELAPGQQPVATRRRLDRSDPPAPRPPLPPLGASRTEIFLQIKERGLLRAPVPMKNPRELADQSRSLSARGHLSQYIRRNREPSPHPEGPAEHRIDVITGGPVAGGTSMSRRKAYAHSARTDAPQRGPDPKVAFPLEDVKRPEHDDALVIMTQIANAQVRRIMIDTGSSTDVLYLDAFLKLGLTKESLKPIYSALTGFTGDSVSPLGTVTLPLTLGESPRTKTVMSTFLVVDLPTAYNAILGRPTLNKIRAVVSTYHQTVKFPTHAETGEVWGSP >Ma03_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5512058:5513167:-1 gene:Ma03_g07780 transcript:Ma03_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWERAPNRRSRSKNPSFSSSLLDAIYRSIDEEHSDNNGHLRAPDRSSNHKKREGQPMPAPCIDRCHCRSRTMSPASERAANRSSVTDYRRHGSIARGSFTLTSCSSSSSSTTTTAAASRTSSSTGFSSSSDAESIRSDCIPRPHHPTPEKKTKKAKCGSIRSGLRGLRKSRTPDAASMAAPSPASPGARLASFLNALFASAGSPKKPKTPTLAVATTAAAGCGDSEDSACSSSASSCRRSCLSKAPAMADRRRASGAEAGKRSVRFYPVSVIVDEDSRPCGHKRLQDDAEGEAAPVAARVEELLRAAGADAEAEEEGEDGGSESSSDLFELENLTVVMRGGGYRDELPVYGTTDVSTNRAISQGLIH >Ma11_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26593246:26607020:1 gene:Ma11_g23100 transcript:Ma11_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSASKNSDSSHGRRDGQLYVSLKMENFNIRGDLIPHVYGSAPVTGSWDSAKALSMARESASTWELSFVVPPNHETLDFKFLLKPKYSNVPCVVEEGPSRLLTGGMLQEEDARSALFKVGGYEEVLEYKLFIKVDIVSPFDLAASWRAYQENLEPSRVRGIPDVSINVAPDNMGSENGPASTLELDLEHYVVPAPSSSVGVVYAANLTETPRSLVNTGISSKNDASSGSSYDSSKAGVFSLDHSNSQKDMDSMVLDPTKLLPAPGMVESKSVGTFSPLLKEDGQKGLLVDRGVGSPRLVKSASAGAVTFDPKLGSETKKAMPAAAGAVAAAAVADQMLGPKEDSRLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKLGTNQSADFFRGDNPEGLEARNEVAALAMDDMIAWMQEGGQVGIFDATNSTRNRRNMLMKMAEGKCKIIFLETICNDKHIIERNIRLKIQQSPDYAEELDFESGMRDFKERLANYEKVYEPVEEGSYVKMIDMVSGQGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDAVLSEAGELYAKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALEEINVGVCDGMTCEEIKKNMPDEYVSRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPDIEVPLHTIIEIQMGVTGVQEKRYKLMD >Ma10_p25270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33503038:33503559:-1 gene:Ma10_g25270 transcript:Ma10_t25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSRSPLRKADGSLPPSPLNSIPDHSTSPPSSAVFGRNDLSVVMAMAESWSGQREPEPAGSRVVSGILHRDRREEAVRRTAVVARLAAAALCLVAFSVLAADRDKGWALDSYNRYKQFRYGSAQDFSFDCHSRIGSLSVVERARHIGASKVPNNDI >Ma10_p05160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15874893:15885041:1 gene:Ma10_g05160 transcript:Ma10_t05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSIRSSSLVPPRPASRLGTSSVPAKPRLVGLKPSIARSRLVFCRAAGSDDKDLGNGFPRVTSKVFVEEAIGAEYGEGFETFRMDGPLKVDVDYLNDKLQECFLQRVRHAMKPDEAFGLIFSWDNVVADTRSLKLDAWRQLASEEGNEFSSDRHINKLILHTAADHVLRKVLCWEEEESELERLKSRLSQLYYENLIKLDAPVEGLKEWLDAVHTAGIPCAIVSCLDRRYMHESLQKMGLKKYFQAIVTEEDGMESIAHRFLSAAVKMDRKPSKCIVFEDDPRGITAAHNCTMMAVALIGAHPAYELVQADLAVASFSELSVINLRRLFAHKGSSFMDLQKQIIEKSPPKRKLMTDTIY >Ma10_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15874892:15884563:1 gene:Ma10_g05160 transcript:Ma10_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSIRSSSLVPPRPASRLGTSSVPAKPRLVGLKPSIARSRLVFCRAAGSDDKDLGNGFPRVTSKVFVEEAIGAEYGEGFETFRMDGPLKVDVDYLNDKLQECFLQRVRHAMKPDEAFGLIFSWDNVVADTRSLKLDAWRQLASEEGNEFSSDRHINKLILHTAADHVLRKVLCWEEEESELERLKSRLSQLYYENLIKLDAPVEGLKEWLDAVHTAGIPCAIVSCLDRRYMHESLQKMGLKKYFQAIVTEEDGMESIAHRFLSAAVKMDRKPSKCIVFEDDPRGITAAHNCTMMAVALIGAHPAYELVQADLAVASFSELSVINLRRLFAHKGSSFMDLQKQIIEKSPPKRKLMTDTIY >Ma08_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26921510:26922701:1 gene:Ma08_g17710 transcript:Ma08_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCVEEASSIDSEKRLQAPLHAAVALQRLGSGVSLVIDPAPEGGIEAESRKLASYRYKGVVPQPNGRWGAQIYERHQRVWLGTFGDEADAARAYDVAAQRFRGRDAVTNFNPLSESDDEEAGELSFLETHSKAEIVDMLRKHTYRDELQQSKRSYEAGGFAGKRTTPGYLRSSRVILFDKSVTPSDVSKLNRLVIPKQHAEKHFPLKSSSGMACKGVLLNVEDAGGKVWRFRYSYWSSSQSYVLTKGWSRFVKEKNLKARDVVSFWRSTGPEKQLYIDWRTEAVASNRTMTPAIRPLPVVKLFGVNISELPSVSGGDGKRGREMESSPSQ >Ma03_p25520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29528366:29530941:-1 gene:Ma03_g25520 transcript:Ma03_t25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTRFLTAVAFLAVGVIFAPDVLGSGPESPAAVVTAAKLCHLLAFATSWGTALWVTFIGGIIMFKNLPRHQFGNLQGKMFPAYFMVLSVCAAVSVAAFAYLHPWSLASPIERYQLGFLLSALGFDLSNLIVFTPMTIEMMKKRHKIERDLGIGEEVGGSKNLEAAKTNPQLAAMNKKFGMIHGLSSLANIMAFGSLAIHSWYLAGKLHF >Ma07_p27740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33993414:33994027:-1 gene:Ma07_g27740 transcript:Ma07_t27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHTIESHRHGAEVFTGDALCRKKSIQLLQELELPRGLFPLEDIQEFGYNRAAGFIWLIQKKKNDHTFKKIKRAVSYAPEVTAFVEKRKMHRMTGVKTKELLLWLSVVEMRVEDPSTGKITFKTGTGLSDSFPVSAFELEE >Ma11_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21777529:21793365:1 gene:Ma11_g16220 transcript:Ma11_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPENSEAPSSSPSPRVAKPNGNATTEISSVHDGEPSDRKEVDALTQALSTRSEEYRLLFRLPPDEVLLQDFNCALQENIFLQGHMYLFTHHICFYSNIFGFETKKIILFHEITCVRKAKTVAIFPNAIEIIAGGKKYFFGSFLSRDDAYRLIIDGWVQYGGGTKAFQDSQDSRLEASSQNYSFIFEKLNGCKASADDSSFLNRTKDTIVLQESKSLPNGKVDSDISIKLLEVQENKDEGNANQPSSQNPFTWTIEDIDAPKVPEHFVMLAESNFPLLVEDFFGLFVCDRAADFLKDFHTRCGDKDFHCTSWHRHEQFGHTRNVSFLHPIKVYLGAKFGHCQEVQKFRVYRNSHLVIQTSQNIQDVPYGDYFEVEGFWDVVQDNNEENSCTVKVYSNVAFLKKTIFKGKIEQSTMEECREVYATWMNIAREILKEKNMERLKGISEPNTCIVQDNDIKFENPSKLEGSIANSTLKSTDIIRNIHETINCNSGIDDHMKDKSERFPLLTSIFKESWATFISCIKMQNQLALVLAVAFIVFILMQLSIILLLTRVPEVNLVTHGNYVRDVGIDHVENIEWLEKRFIYLKEEMITVESRLERMRQEYTLLKSHLQSLEQLKAKS >Ma08_p33920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44073525:44078052:1 gene:Ma08_g33920 transcript:Ma08_t33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLESRYRFLIIQSPDIDEKPPMSLLEAPEKSKRFLMAYEGIQSQEELELTEKSLWICTVDLIELLLSNKIKSWKGWRKLFQRIYLLMLRGLQTKLSGLCKATQAGVSTRNASSQTSLFWRFLGRFHGTIISNWPTCFGRS >Ma07_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30462242:30465109:-1 gene:Ma07_g22570 transcript:Ma07_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSVLRALQEVFPQIDLRILRAVAVEYSEDVDAAVEFILSDVLPIITEPAEASNPYISLDAEQSLNVGAYSREDANRANLLPCHNVIVEQKESLLPSEPEAESDMNLFADHAHSEPQSSVVMLAGNCSNVLGKNETLETKLEEEVSVPQTVAAKCDVMDADVQELGKTKLDGTLAATSDSCSTLSFQTVQKNVDLMECGTQIEKALSSCISEHEEQLLGAFKDVAKLQDKMGL >Ma04_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14936201:14953359:1 gene:Ma04_g16210 transcript:Ma04_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRAKFLVWAFASDQAWSLLPRRPSPTPSPSSRSRTLVWRLRRTERRSSTEMGGGFTWGILLAHAGYATSHYRAMLKIVEEFASPPMNALVEILLGLALSIHPDSEENSFFSR >Ma08_p29890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41338213:41343597:1 gene:Ma08_g29890 transcript:Ma08_t29890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVVGAAGGGHVEARHRSVGSPVYGNKRRSHKSSDFKQADRPFNNLFTERSSAWKIYSLKLVLIIIVCGTFLTLLHPPAAYHNEHSLHAGSRFMDVGRIWDKSKPDSRYLSNSNVDWAQISKCAEAMDSSKGNMKIGLLNFNISEINHWHQLLPNAGFSVIQLDYADSNITWDVLYPEWIDEEEESEVPSCPKLPEPKFSKGFRFDLIAVKLPCSSSASWSRDVARLHLQLTAAKVAAVSSGDQYPVHVLFVTSCFPIPNLFTCKNLVMREGDMWLYKPDPQLLKEKLQLPVGSCELALPLEAKERPFSYTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRSGLEAAGWKIKTITRIRNPKAEHDAYNEWNYSKFRLWQLTEYDKVIFIDADLLVLRNIDFLFTMPEITATGNNVTFFNSGVMVIEPSNCTFQLLMDHINEIESYNGGDQGYLNEVFTWWHRIPRRMNFLKHYWIGDEKEIKEMKTHLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHTTWWKVHDVMPKHLQSFCLLRTKQKAALEWDRRQAKKANYSDGHWRRKITDPRLKKCFEDFCYWQSMLWHWGDPNWSDENLTSNSLAKLPSL >Ma08_p29890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41337907:41343597:1 gene:Ma08_g29890 transcript:Ma08_t29890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVVGAAGGGHVEARHRSVGSPVYGNKRRSHKSSDFKQADRPFNNLFTERSSAWKIYSLKLVLIIIVCGTFLTLLHPPAAYHNEHSLHAGSRFMDVGRIWDKSKPDSRYLSNSNVDWAQISKCAEAMDSSKGNMKIGLLNFNISEINHWHQLLPNAGFSVIQLDYADSNITWDVLYPEWIDEEEESEVPSCPKLPEPKFSKGFRFDLIAVKLPCSSSASWSRDVARLHLQLTAAKVAAVSSGDQYPVHVLFVTSCFPIPNLFTCKNLVMREGDMWLYKPDPQLLKEKLQLPVGSCELALPLEAKERPFSYTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRSGLEAAGWKIKTITRIRNPKAEHDAYNEWNYSKFRLWQLTEYDKVIFIDADLLVLRNIDFLFTMPEITATGNNVTFFNSGVMVIEPSNCTFQLLMDHINEIESYNGGDQGYLNEVFTWWHRIPRRMNFLKHYWIGDEKEIKEMKTHLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHTTWWKVHDVMPKHLQSFCLLRTKQKAALEWDRRQAKKANYSDGHWRRKITDPRLKKCFEDFCYWQSMLWHWGDPNWSDENLTSNSLAKLPSL >Ma08_p29890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41338115:41343597:1 gene:Ma08_g29890 transcript:Ma08_t29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVVGAAGGGHVEARHRSVGSPVYGNKRRSHKSSDFKQADRPFNNLFTERSSAWKIYSLKLVLIIIVCGTFLTLLHPPAAYHNEHSLHAGSRFMDVGRIWDKSKPDSRYLSNSNVDWAQISKCAEAMDSSKGNMKIGLLNFNISEINHWHQLLPNAGFSVIQLDYADSNITWDVLYPEWIDEEEESEVPSCPKLPEPKFSKGFRFDLIAVKLPCSSSASWSRDVARLHLQLTAAKVAAVSSGDQYPVHVLFVTSCFPIPNLFTCKNLVMREGDMWLYKPDPQLLKEKLQLPVGSCELALPLEAKERPFSYTPHREAYATILHSANVYVCGAIAAAQSIRLAGSKRDLVILVDETVSDHHRSGLEAAGWKIKTITRIRNPKAEHDAYNEWNYSKFRLWQLTEYDKVIFIDADLLVLRNIDFLFTMPEITATGNNVTFFNSGVMVIEPSNCTFQLLMDHINEIESYNGGDQGYLNEVFTWWHRIPRRMNFLKHYWIGDEKEIKEMKTHLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNVDIMQEFASDVAHTTWWKVHDVMPKHLQSFCLLRTKQKAALEWDRRQAKKANYSDGHWRRKITDPRLKKCFEDFCYWQSMLWHWGDPNWSDENLTSNSLAKLPSL >Ma06_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11504239:11507169:-1 gene:Ma06_g16970 transcript:Ma06_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLLSKTCINQKIIVCCMGQPTQGEVFQLSQNLIQKVISWTRMISTNQGLVTMAISRSGDCNDSPHGQCTPFSPLPEVTNPFFNSKALLAQHSGLVFIFCTQCLGFHRQLTGGVRAALTCSPQRSSLAEWKGEERGKSMSSGCKSSVSCVDARRPARPTYVNLYRWPESDAEFVKSMTGGRDERVGDDNLVDGRRRWSPSPRVVDSYSCRQMYLRSYTFSKEETATEKARQCLGKAKRRAVVLFPFLQQKTEGRSCVPAHADTKMSRRSSRRKEKKRKVCGTDKKPREISYSALFSIFFRFLFCTSSVEVVDRR >Ma06_p16970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11502333:11507169:-1 gene:Ma06_g16970 transcript:Ma06_t16970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLLSKTCINQKIIVCCMGQPTQGEVFQLSQNLIQKVISWTRMISTNQGLVTMAISRSGDCNDSPHGQCTPFSPLPEVTNPFFNSKALLAQHSGLVFIFCTQCLGFHRQLTGGVRAALTCSPQRSSLAEWKGEERGKSMSSGCKSSVSCVDARRPARPTYVNLYRWPESDAEFVKSMTGGRDERVGDDNLVDGRRRWSPSPRVVDSYSCRQMYLRSYTFSKEETATEKARQCLGKAKRRAVVLFPFLQQKTEGRSCVPAHADTKMSRRSSRRKEKKRKVCGTDKKPREISYSALFSIFFRFLFCTSSVEVVDRR >Ma06_p16970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11504239:11507160:-1 gene:Ma06_g16970 transcript:Ma06_t16970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHMHSGLVFIFCTQCLGFHRQLTGGVRAALTCSPQRSSLAEWKGEERGKSMSSGCKSSVSCVDARRPARPTYVNLYRWPESDAEFVKSMTGGRDERVGDDNLVDGRRRWSPSPRVVDSYSCRQMYLRSYTFSKEETATEKARQCLGKAKRRAVVLFPFLQQKTEGRSCVPAHADTKMSRRSSRRKEKKRKVCGTDKKPREISYSALFSIFFRFLFCTSSVEVVDRR >Ma06_p16970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11503433:11507170:-1 gene:Ma06_g16970 transcript:Ma06_t16970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLLSKTCINQKIIVCCMGQPTQGEVFQLSQNLIQKVISWTRMISTNQGLVTMAISRSGDCNDSPHGQCTPFSPLPEVTNPFFNSKALLAQHSGLVFIFCTQCLGFHRQLTGGVRAALTCSPQRSSLAEWKGEERGKSMSSGCKSSVSCVDARRPARPTYVNLYRWPESDAEFVKSMTGGRDERVGDDNLVDGRRRWSPSPRVVDSYSCRQMYLRSYTFSKEETATEKARQCLGKAKRRAVVLFPFLQQKTEGRSCVPAHADTKMSRRSSRRKEKKRKVCGTDKKPREISYSALFSIFFRFLFCTSSVEVVDRR >Ma01_p02510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1691787:1695831:1 gene:Ma01_g02510 transcript:Ma01_t02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17270) UniProtKB/Swiss-Prot;Acc:Q7DNC3] MGAGEAGKGNGWWKGMRVFSPEYYAVCAVGGMLSAGTTHLAITPLDVLKVNMQVNPLKYNSIFSGLHILVKEQGPSSLWRGWAGKLFGYGAQGGCKFGLYEYFKKMYSDVLTGYNRSTIFFASSASAQVIADVALCPFEAVKVRVQTQPNFAKGLIDGFPKLYASEGLSGFYKGLLPLWGRNLPFSILMFSTFEHTVDILYHNVVQKKKEDCSRAQQLGVTCIAGYASGAIGTVVSNPADNIVSSLYNKKANNILQAAKNIGFPNLFTRSLPVRFTLVGPVITLQWFFYDTIKVLTGLPTSGGIARDFDEVNSLT >Ma01_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1691787:1695831:1 gene:Ma01_g02510 transcript:Ma01_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17270) UniProtKB/Swiss-Prot;Acc:Q7DNC3] MGAGEAGKGNGWWKGMRVFSPEYYAVCAVGGMLSAGTTHLAITPLDVLKVNMQVNPLKYNSIFSGLHILVKEQGPSSLWRGWAGKLFGYGAQGGCKFGLYEYFKKMYSDVLTGYNRSTIFFASSASAQVIADVALCPFEAVKVRVQTQPNFAKGLIDGFPKLYASEGLSGFYKGLLPLWGRNLPFSILMFSTFEHTVDILYHNVVQKKKEDCSRAQQLGVTCIAGYASGAIGCEEHRISQFVYKKPSCSIYTCWTCYNLAVVLL >Ma08_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3059299:3083581:-1 gene:Ma08_g04400 transcript:Ma08_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSSRKTMKWSSLLKDLREKIGLSASQPQLQSVACPSPSLSAAAEYGGAGASESAPSSAYGSPVASPARGKHELELDFKKFWEQFRSSSSEKEKETALNLAVDVFCRLVKQQSNVAQLITKFVEVHIFSFVVGRAFVTDCEKLRIYSKGKSLNVANIISFFSEVKEGIGRGSNLLYAVEFLVTGATDKQPLLDSGILCCLIHILSALLTPDKAKKGQLETLEESTKSKKAMDDKDALRVRRLEIEGSIVHIMKALASHPSAAPSLIEDDSLQLLFHMVANGSSHVFAQFGDGLVPLHTIQLHRHAMQILGLLLVNDNGSTAKYIHKHHLIRVLLMAVKDFNPQKGDAAYTMGIVDLLLECVELSYRPEAGTTNLREDIHNAHGYHFLVQFALTLSSLQKDQVVQSVSSKLPHKESSQLDGQDAANSSIQLESQSDASSSHLSPALIRLLDALVNLAQTGPTEHTVGKGSKSIHSKGTSHRSRTHSFDRLGDDEKSNTKVKDLEAIQMLQDIFLKAKNVELQAEVLNRMFKIFSSHLDNYQLCQQLRTLPLFILNMAGFPASLQEIVLKILEYAVTVVNCIPEQELLSLCCLLQQPITASLKHTILAFFVKLLSFDQKYKKVLREVGVLEVLLDDLKQHKYFSGVEQQNRISSGLEKSNPGSFRKHIDNKDGILSSPKLMVSGLGKYPVFEDDSTTAIAWDCLFSLLRRAEANQQSFRSSNGVSVILPLLISDRHRSGVLRLLSCLIIEDALQAHPEELGMLIEILKSGMVTSVSGSQYKLQTDAKCEILSSLWRIFGANNSAQRVFGDATGFSLLLTTLHGFQGSELPDVQSSINVFNFLMRAITAGVFNNPVNRLRLQATMSSQTFYDLLCESGLLCVECEKQVVQLLFELALENVLPPSANIQGESSSSDTSEDEPNSFLAISLGISRLDNERIYNASAVGVLIRSLLFFTPKMQLDILKFIEKLAHAGPFNQENLTSVGCIALLLETIRPLLEGSSLLLIHAFRIVEVLGAFRLSSSELRVLVRYVLLLKLKNSGQLLVDMMEKIVQMEDIRSEGVSLAPFVEMDMSKVGHASIQVSLGERTWPPAAGYSFVCWFQYHNLLKSQVKESEQASRIGSSKSNASGGQVLHIFSVGAMNDGNTLYAELYLQENGVLTLATSNSCSLSFPGIEMEEGRWHHLAVVHSKPNALAGLFQASVAYLYVNGKLIHTGKLGYSLSPVGKLLQVTLGTPVSHAKITDLSWRLRCCYLFEEVLTSGSVFFMYILGRGYRGLFQDADLLRFVPNQACGGGSMAILDSLEAELPMASNSQRPDSSIKQGTTKSDRSGIVWDLERLTNLSLQLSGKKLIFAFDGTSSESFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYICNQLMISDSIRAVGGIPVVLALVEAAETRDMLHMALELLACSLHQSPQNVRDMQMLRGYHLLALFLHRKMSLFDMHSLDIFFRIVACEASFSEPQKYQASGAMSLPARTSPEASVEDLSFPKFSDEINSVGSHGDLDDFSAQKDSFSHLSDLENTDLSDVNSNCIVLSNADMVEHVLLDWTLWVTASVSIQIALIGFLERMVSTHWYRNHNLTILRHMNLVQHLLVTLQRGDMEVLVLEKLVVLLGVILEDGFLPSELELVVKFVIMTFDPPHLTQGNQIIRETMGKHVIVRNMLLEMLIDLQVTINAEELLEQWHKIVSSKLIAFFLDEAVHPTSMRWIMTLLGVCLASSPTFALMFRSSGGYQGLSRVLPSFHDSPEIYYILFCLIFGKAVYPRVPEVRMLDFLALLPNDGNYGELKFVDLLETVIAMAKATFDRLSMQSMIAHQDGNLSLTNGSLVAELVEATTDMAGDLQGEALLHKTYAARLMGGEAGAPIAATSILRFMVDLAKTCPSFSALCRRADFLESCVDLYFSCVRADCALRLAKNLPTVAPEEKNDIDDDEDSENTFTSLPPENEQSVKTSISTGSFPQEQKSTSSTDIQGTPNYPLIDATVKRDDARNVDPKKSLSGEGDQSLWSPNEQNFSDMSFTYNDPDIRAQILSQPSDTLSSASMSVPYSPAQSENSNMKTSASPVLALTSWIGSTGSNSDAKAKLTATPSMRSFSLNESDSSPDLKTNSHESSAASMFLPINPKLLLEIDDSGYGGGPCSAGAAAVLDFTAEVLADIVSEQLKATQFVENILESVPLDVDVESALVFQGLCLGRLMNFLERRLLRDDEDEKKLDKNRWTVNLDSLCWMIVDRVYMGSFSEPIGVFRTLEFLLSMLQLANKDGHVEEAAPGKGLLSIARGSKQLEAYIHAILKNTNRIIMYCFLPLFLKSICEDDLLFTMGFQSERSTNLSLNEMQDESTVNICTILQLLIANKRLVLCPSNLDTDLICCLCINLIALLRDNRSMAQNQAVDLIKYLLLHRRPALEDFLVTKPNQGPALNVLRGGFDKLLTGNLSAFFDWFEGSEQAINKVLEQCSSIMWAQYVSGSAKFPGVRIKGMEVRRKREMSRKARECAKLDVKHWEQIYERRFALESGQDLMSTELRAIRQDKYGWVLHAESEWQNQLQQLVHERGIFPIRRASSKLEWQLCALEGPYRMRKKLERCKLKIDTIHSVLVRGVELEKPKMFKQKHENGAGTSGSESDSYFNILSDDAPDKSYDGSDHKESSIKEVGSRVETLPSAQIGWNDDHYSSMHEPSVHSATEGGNKSSSFSVQMTEEKKSELGTPKQSPSFKSYDTRAPELKQEKELLDNGEYLIRPFLEPLEKIRFRYNCERVVGLDKHDGIFLIGDLCLYVIENFYIDDSGCICEKVNEDDLSVIDQALGVKKDVSGSSDFQLKSPSTRSMAVKTLAGGRAWAYNGGAWGKEKVCSSSNLPHPWHMWKLDSIYELLKRDYQLRPVAIELFSMDGCNDLLVFHKKEREEVFKNLITMNLPRNSMLDTTISGSSKQESNEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESETLDLKNSRTFRKLDKPMGCQTAEGKEEFRKRYETWDDPDVPKFHYGSHYSSAGIVLFYLVRLPPFSTENQKLQGGQFDHADRLFNSVRDTWLSAAGRSNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSPREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDATNVFYHYTYEGNVDIDSVEDPTMKASILAQINHFGQTPKQLFLKPHVKRRTDRKVPLHPLRYSASLVPHQVRRSSSFISQIVTLNEKILLARANSLLKPVTYNKYISWGFPDRSLRIMSYDQDKLLSTHENLHGGNQIQCVGISHDGQILVTGADDGVVAVWKSDKDNHLSLGRALCAHTGKITCLHVSQTYSLIVTGSDDCSVILWDLTNLVFVKQLPLFPAPVSAVHVNELTGTVLTAAGILLAVWSVNGDCLTMMNTSQLPSDVILTITSAAYSDWQDTNWYMTGHQSGAVKVWNMVHCSTDEANRSKSPTNGSGLLNLGGRLPEYNLVLHKVLKSHKHPVTALHLTSDMKQLLSGDSSGHLLSWTLSDNSLRAS >Ma08_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12565388:12566175:-1 gene:Ma08_g14380 transcript:Ma08_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQISSSSSTPSRSSSVGLHRMDELEKVFARFDADGDGRISASELAAVLRALGSDPSPDEIRDMIAEMDADRDGFVDLQEFAAFHRCGVGRGGAEAELKDAFRMYDLDSDGLISVDELLRVMRSLGEKCTLEDCARMIRSVDSDGDGSVSFEEFKTMMTGGCCGLGSSGRKPAAA >Ma04_p37410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35337167:35346883:1 gene:Ma04_g37410 transcript:Ma04_t37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGAEDLSPGLSQPLEWKFSQVFGERAAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERIDVRSHGSRKDLEKQDYPTIRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKYWKVQEKKVKKISEMNMDASKAVQNGSIASSSMTPRRCLPNGGCYEGHDSHSSNDLSFPPGGFPSLHLPVVTCQETSLTARCRKIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDKHSKMFEEREAPGSRSFFTEIIASISDIRFAKDGRHILSRDYMTLKLWDINMESAPVATFQIHEYLRPKLCDLYENDSIFDKFDCCLSGDGLRVATGSYGNLFRVFGCIPGSNEAATLEASKNPTRRQVQTPSRPARSLSSFARVVRRGAESPGVDANGNSFDFTTKLLHLAWHPTENSIACAAMNSLYMYYA >Ma04_p37410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35337167:35346883:1 gene:Ma04_g37410 transcript:Ma04_t37410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGAEDLSPGLSQPLEWKFSQVFGERAAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERIDVRSHGSRKDLEKQDYPTIRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKYWKVQEKKVKKISEMNMDASKAVQNGSIASSSMTPRRCLPNGGCYEGHDSHSSNDLSFPPGGFPSLHLPVVVTCQETSLTARCRKIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDKHSKMFEEREAPGSRSFFTEIIASISDIRFAKDGRHILSRDYMTLKLWDINMESAPVATFQIHEYLRPKLCDLYENDSIFDKFDCCLSGDGLRVATGSYGNLFRVFGCIPGSNEAATLEASKNPTRRQVQTPSRPARSLSSFARVVRRGAESPGVDANGNSFDFTTKLLHLAWHPTENSIACAAMNSLYMYYA >Ma10_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23157846:23163854:1 gene:Ma10_g08920 transcript:Ma10_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSKYAHSPAHLAVSRRDHAGLRRIVAALPRLPKTGDVTTEQESLAGELTADAVSSVIDRRDVPHRETPLHLAVRLRDPTSAEILMSAGADWSLQNEHGWSALQEAVCNREDAIAMIIARHYQPLAWAKWCRRLPRIVSSITRIQDFYMEITFHFESSVIPFISRIAPSDTYRIWKRGPNLRADMTLAGFDGFRIQRSDQTFLFLGQGAQGDNGHPPLPPGSLIVLSHKEKEITNALEGAGAKPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERAEMVGNWKTKVYDLLNVMVSVKSRRVPGAMTDEELFTIDNDERVANGGDVDGGLDDVLTPEERMQLDSALRMSNSQGIDEYEGNGAAFEGVENSESNGVSKERKSWFGWSGKRTSKNSGGEDTDDTKNKHLKACPENGHHKSEIAKETGDTKKGKEKSTKKRSGNESNKHESEFKKGVRPVLWLTPDFPLKTDELLPLLDVLANKVKAVRRLRELLTTKLPQGTFPVKLAIPIVPTIRVLITFTKFEELQQSDEFSTPLSSPTYFQESKAKETEASGSWYSWMRGSRGGQSSDSSEGRSCKDEIDPFRIPSDYTWIDSNEKKRRMKAKKGKSKRGTNRKQSSRSSEDKQLLDGFE >Ma04_p39550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36652211:36653509:1 gene:Ma04_g39550 transcript:Ma04_t39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALQQSAFAGQTALKQQNELVRRVGSSRGRFFMRRTTASTPKSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHGRWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDAIYPGGAFDPLGLADDPDAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLYDHLADPVANNAWAYATNFVPGK >Ma01_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12319059:12323260:-1 gene:Ma01_g16840 transcript:Ma01_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPESAIDENEIRITSQGLIRNYVSYATSLLEEKRVREIVIKAMGQAISKAVTIAEIIKKRIPGLYQDSAISSVSITDVWEPIEEGLVPLEMTRLVSMISISLSTKELNENSPGYQVPSRIEQPKHQQRYQQSQQYQQQPQLQPRQAQGQFYEDSYVRGRGRGRSRGRGRGWGRGYGGYAGYDNNQGGYGTYQGGYGDYGYNQGGYGGYEHNQENGGWNSNWGRGGGRSRGGWNYRGGGYGGGRGNGRVGGRNYGGGRGRMGGRGRANQV >Ma07_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:164588:165576:-1 gene:Ma07_g00130 transcript:Ma07_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREMEMEMEMEVVMEKKVERLLNRVSLVFAAIATLALLHLFSHSSLSCAPAPLPTAHRAHGRSQPQNPKPAHSSPRSSCDAASREVLTPDKRFAKLRSSRSWRRRADAYAALFSSLRSPTLLSNASHVLCVSAGAGHEVAALQESGVSDVTGVDLVDFPPLVRRADPHNLPFFDDVFDIAFSAGLAGALFPTRFVAELERTVRRGGAVVLAVDRPSSAQEAEGIKALFRRSTLLEARNTTMLGSEMTLFIMKNNGKKRST >Ma06_p32790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33647263:33651073:1 gene:Ma06_g32790 transcript:Ma06_t32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWRPWQPLLTRKFQVRLVVRRIEGVSVDGAAAVAAEVTWKGAKAALSSLRRTVRRNRTRNEAVGEGGVVDWNEEFEAACTLTAHRENAFHPWEIAFRVLNGLNQGPKNKESVLGTALLNLAEFVVPAAEDKIEINLPLILSGVASNHHRPSIHVALSLLELRSSQNSSATMPQPILPALEPPSSGYFLPSGKDEPSALKAGLRKVKILTDLMSTLKSKRTSQDDDSSEGRFSARSDNAENAYPFDTDSLDDNIDEEDVEDSNEDSNVRKSFSYGTLASANYVGASFYSGMRNDNLIYYSHRRSDVGCSHLEDAMSTDAQQSMPHSLKRKILPWKKRKLSFRSPKLKGEPLLKKAYAEEGGDDIDYDRRMLSSSDESLFAGRYKEDDDSARNCSSSMSEFGDDNFEIGKWELKELLSRDGHMKLATEVFFASIDQRSERAAGESACTALVAVLADWFQKNHHMMPIKSQFDSLIREGSLEWRNLCENQTFREHFPDKHFDLETVLQAKIRPLCVVPRKSFIGFFHPEDIDGNSDFEFLHGAMSFDSVWDEISQARSDRSSIESPQIYIVSWNDHFFVLMVEPDAYYIIDTLGERLYEGCHQAYILKFDESTTIHKIPAEGETASSEATMSTTGEKEKETDPTEGNLLCRGKESCMEYIKNFLAAIPIRELQGDIRKGRMSSTPLHHRLQIEFHYTESSTDANFAAVWPATEAISDYSWPAEPAVDFNLSTAVVVV >Ma08_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15950970:15952536:1 gene:Ma08_g15750 transcript:Ma08_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYFWQRMESDQGDLADIVRSGGFAGASGAAEFPVSDQKLPSEATVLPSPGDRINNLGDPFGCHRDPLLHSGGGQIEVAIESSDGGGGGMIVSRKLRMSEEIIKACDINHRAFRVSSGGAKGSPLPPNAIVPTAVLIGEMTKASDGAAVGCSVDDGGVRISSTRTLGIKRRKNQVKKVVCIPAPTAASNRHNGEVVPYDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCTARKQVERSPINPNMLVITYTSEHNHPWPTQRNALAGSTRSQASKNAASASKTSGHDLKEDEPKETATGSDALFVKGEVAEKGVHHPAEGDEYDGAVEQSCKPAVIPASSHPDDFFADLAELVTDPMSLIFSNETKPAAGGVVALDPSHMFDSAGRWNGRGSD >Ma07_p24250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31666399:31668966:1 gene:Ma07_g24250 transcript:Ma07_t24250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKGFSWMVVSSIAVLSLLLLAYGISTPSSGVNIDDDRFNSYRKQVPSPRAMESSNDSMQGGDRIGGRWELLKEEFTFTMNSAPFNNCHASTIVEIEKDHFLVGYFGGSIEGAPDVKIWLQRYKDGHWSPPSVVDEEPDIPMWNPVLFKLPSDELLLFYRIGPDVQNWSGFMKRSLDGGITWQEREQLPPGILGPIKNKPILLDGGRLVCGSSVESWNSWGAWIEVTEDSGRSWNKYGPIFVEDESVSVIQPFPYPTKNRNLRVLMRSALGRIYLAESFDSGRSWSSAKPTQLPNPNSGIDGVKMNDGRLLVVYNTDSRGTLKVASSADDGDSWEEVMTLEEGSMEFSYPAVIQAMDELIHVTYTYNRTQIKHVVIRPEVF >Ma07_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31666399:31668966:1 gene:Ma07_g24250 transcript:Ma07_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKGFSWMVVSSIAVLSLLLLAYGISTPSSGVNIDDDRFNSYRKQVPSPRAMESSNDSMQGGDRIGGRWELLKEEFTFTMNSAPFNNCHASTIVEIEKDHFLVGYFGGSIEGAPDVKIWLQRYKDGHWSPPSVVDEEPDIPMWNPVLFKLPSDELLLFYRIGPDVQNWSGFMKRSLDGGITWQEREQLPPGILGPIKNKPILLDGGRLVCGSSVESWNSWGAWIEVTEDSGRSWNKYGPIFVEDESVSVIQPFPYPTKNRNLRVLMRSALGRIYLAESFDSGRSWSSAKPTQLPNPNSGIDGVKMNDGRLLVVYNTDSRGTLKVASSADDGDSWEEVMTLEEGSMEFSYPAVIQAMDELIHVTYTYNRTQIKHVVIRPEVF >Ma02_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25587905:25594450:1 gene:Ma02_g19410 transcript:Ma02_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIPLLLLAGAVTALHLAGAGAGFPAKLILERAVPVRGAPLEHLRARDGARHGRLLLGSSSLPAAGVVDFPVDGSANPAIAGLYFSRVKLGNPAKEFYVQIDTGSDILWVTCSSCTGCPTSSGLNIQLEFFDPDKSSTSTTITCSDDRCSYALQSGQALCSSSDFSSSLCGYSFQYGDGSGTSGYYVSDMMYFDTVLENEQFLNSSATIVFGCSNSQSGDLTKSDRAVDGIFGFGQNDLSVISQLSSAGNFPKVFSHCLKGSDNGGGILVLGEIVEPGIVYTPLVQSQPHYNLNLESLAVNGRELAIDSSLFATSNTQGTIVDSGTTLAYLAEKAYIPFVNAILASLPSSVHSFALRDNVCFVTSDSVDESFPSVTLKFMGGASMLIKPEDYLLQQGSIDNSIIWCIGWQNNKGSGITILGDLVLKDKIFVYDLANQRIGWMNYDCSQSVNVSTASGKNEYLTAGQLDVSGSSDSVFSKLLSSNNLLILIYIFIIASL >Ma10_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24193046:24204144:1 gene:Ma10_g10090 transcript:Ma10_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MRGDEAKRICPNIQLIQVPVARGKADLNLYRNAGSEVVSILATKGRCERASIDEVYLDLTDAAEMMLSETPPEVLEEIDEEVLKSHVLGLHVEGNEREENVREWLRRSDADHQDKLLACGAIIVAQLRMKALYETKFTCSAGIAHNKMLAKLASAMHKPAQQTVVPSSSVKDLLASLPVKKMKQLGGKLGSSLQTDLGVNNVGDLLQFSQEKLQEHYGVNTGTWLWNISRGISGDAVVDRLLPKSHGCGKTFPGPQALKTIASVENWVNQLCDELSERIQSDLDQNRRIAHTLTLHARAFKENDMEPQRKFPSKSCPLRYGTTKIQEDAVKLFDSGLRDFLGSQHVGWGITSLSIAASKISDLPQGTCSILKFLQGKIPSSTSLSDAGEFHKESLPLSSTDDVQSKGCTVMDEEVKHKELASEAASNDIDTIFQAKPLKRIGSSVGKTKDVRKSSILKFFQSSNSSFHTMNKACERSSLDQASSSSFPECEEHSDLEQIDEQVGFSEDRIDSDGHHNQTRNGYTWGINMENIDPSVLDELPMEIQREIREWFHPPKRANTSRKGSSIVHYFSPVKKG >Ma10_p10090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24191572:24204143:1 gene:Ma10_g10090 transcript:Ma10_t10090.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MPIARPEPQNARVIAHVDMDCFYVQVEQRKNPDLRGLPTAVVQYNSWKGGGLIAVGYEARKFGVKRSMRGDEAKRICPNIQLIQVPVARGKADLNLYRNAGSEVVSILATKGRCERASIDEVYLDLTDAAEMMLSETPPEVLEEIDEEVLKSHVLGLHVEGNEREENVREWLRRSDADHQDKLLACGAIIVAQLRMKALYETKFTCSAGIAHNKMLAKLASAMHKPAQQTVVPSSSVKDLLASLPVKKMKQLGGKLGSSLQTDLGVNNVGDLLQFSQEKLQEHYGVNTGTWLWNISRGISGDAVVDRLLPKSHGCGKTFPGPQALKTIASVENWVNQLCDELSERIQSDLDQNRRIAHTLTLHARAFKENDMEPQRKFPSKSCPLRYGTTKIQEDAVKLFDSGLRDFLGSQHVGWGITSLSIAASKISDLPQGTCSILKFLQGKIPSSTSLSDAGEFHKESLPLSSTDDVQSKGCTVMDEEVKHKELASEAASNDIDTIFQAKPLKRIGSSVGKTKDVRKSSILKFFQSSNSSFHTMNKACERSSLDQASSSSFPECEEHSDLEQIDEQVGFSEDRIDSDGHHNQTRNGYTWGINMENIDPSVLDELPMEIQREIREWFHPPKRANTSRKGSSIVHYFSPVKKG >Ma10_p10090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24191572:24204144:1 gene:Ma10_g10090 transcript:Ma10_t10090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MPIARPEPQNARVIAHVDMDCFYVQVEQRKNPDLRGLPTAVVQYNSWKGGGLIAVGYEARKFGVKRSMRGDEAKRICPNIQLIQVPVARGKADLNLYRNAGSEVVSILATKGRCERASIDEVYLDLTDAAEMMLSETPPEVLEEIDEEEGNEREENVREWLRRSDADHQDKLLACGAIIVAQLRMKALYETKFTCSAGIAHNKMLAKLASAMHKPAQQTVVPSSSVKDLLASLPVKKMKQLGGKLGSSLQTDLGVNNVGDLLQFSQEKLQEHYGVNTGTWLWNISRGISGDAVVDRLLPKSHGCGKTFPGPQALKTIASVENWVNQLCDELSERIQSDLDQNRRIAHTLTLHARAFKENDMEPQRKFPSKSCPLRYGTTKIQEDAVKLFDSGLRDFLGSQHVGWGITSLSIAASKISDLPQGTCSILKFLQGKIPSSTSLSDAGEFHKESLPLSSTDDVQSKGCTVMDEEVKHKELASEAASNDIDTIFQAKPLKRIGSSVGKTKDVRKSSILKFFQSSNSSFHTMNKACERSSLDQASSSSFPECEEHSDLEQIDEQVGFSEDRIDSDGHHNQTRNGYTWGINMENIDPSVLDELPMEIQREIREWFHPPKRANTSRKGSSIVHYFSPVKKG >Ma10_p10090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24193219:24204145:1 gene:Ma10_g10090 transcript:Ma10_t10090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MLALRFVQRVVSILATKGRCERASIDEVYLDLTDAAEMMLSETPPEVLEEIDEEVLKSHVLGLHVEGNEREENVREWLRRSDADHQDKLLACGAIIVAQLRMKALYETKFTCSAGIAHNKMLAKLASAMHKPAQQTVVPSSSVKDLLASLPVKKMKQLGGKLGSSLQTDLGVNNVGDLLQFSQEKLQEHYGVNTGTWLWNISRGISGDAVVDRLLPKSHGCGKTFPGPQALKTIASVENWVNQLCDELSERIQSDLDQNRRIAHTLTLHARAFKENDMEPQRKFPSKSCPLRYGTTKIQEDAVKLFDSGLRDFLGSQHVGWGITSLSIAASKISDLPQGTCSILKFLQGKIPSSTSLSDAGEFHKESLPLSSTDDVQSKGCTVMDEEVKHKELASEAASNDIDTIFQAKPLKRIGSSVGKTKDVRKSSILKFFQSSNSSFHTMNKACERSSLDQASSSSFPECEEHSDLEQIDEQVGFSEDRIDSDGHHNQTRNGYTWGINMENIDPSVLDELPMEIQREIREWFHPPKRANTSRKGSSIVHYFSPVKKG >Ma01_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3305563:3312161:1 gene:Ma01_g04820 transcript:Ma01_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrxC5 [Source:Projected from Arabidopsis thaliana (AT4G28730) UniProtKB/TrEMBL;Acc:A0A178UXL4] MAAETPFAMARASSATSLRPATLNNVPFRCHLPPPPFRPRPSSLVTVIPNKGAALRCGRSLAARSMSSSSPSPSSSSSSFGSRLEESVKKTIADHPIVIYSKTWCSYSMEVKSLFKRIGVQPLVIELDELGPQGPQVQKALERLTGQHTVPNVFIGGKHIGGCTDTVKLHQKGELTTLLSKLNIGTEN >Ma10_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29338925:29340646:-1 gene:Ma10_g18410 transcript:Ma10_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNTLVELDFFGIEKEMAASFHALDRTSPARGKQLSRVNPQLIRSAIAPGHSWPAATPQPLAETAMFFPSPSSSPLPVLNPSFRPIWEMSKGTAPLTIFYNGAVAVFDLPKERVEVILKLAEDGNAGNGRRERSLGELNRDSLPMARRKSLRRFLEKRKRRMTASGPFVRETEVAGSSEKTTSDPLSGF >Ma06_p34750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34870630:34875898:1 gene:Ma06_g34750 transcript:Ma06_t34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAPSSSSSPSLNVTGIAVPATAKDDVYVPVEIVSEEEMAFLEAALASTRSVLSSSPSPFFSSACSSASRFALLSPLPSGLSHSCRPQSLATTPNIEDFVPPRSSLLRRFRSRRGLSVTDIIGTEWCEKQMEFALTHGKPKRTVAMKAGSDRHSQLEKEVVEKVEIRTESVEEYWVIQLMNFIVGAHQLLFEGLTRELPVVGIIEDTWMVGVIDEIRLSRDEDTVCTFLVDTKTRYKATVPSEAQRRNARLQLMCYKYLWDNLVTTNFPMEEFFDHFKLNRLYILSGDVKEHIASLGFDVKMLEDVFTYFQDTSCMLSLSHEQLLLRYELQSDHSLLGEDSFLYDAGWFQKQIHKCLEFWMGDREASFVSDDEKWKCNFCKFTSICPLSATTSRKSAK >Ma06_p34750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34870630:34876737:1 gene:Ma06_g34750 transcript:Ma06_t34750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAPSSSSSPSLNVTGIAVPATAKDDVYVPVEIVSEEEMAFLEAALASTRSVLSSSPSPFFSSACSSASRFALLSPLPSGLSHSCRPQSLATTPNIEDFVPPRSSLLRRFRSRRGLSVTDIIGTEWCEKQMEFALTHGKPKRTVAMKAGSDRHSQLEKEVVEKVEIRTESVEEYWVIQLMNFIVGAHQLLFEGLTRELPVVGIIEDTWMVGVIDEIRLSRDEDTVCTFLVDTKTRYKATVPSEAQRRNARLQLMCYKYLWDNLVTTNFPMEEFFDHFKLNRLYILSGDVKEHIASLGFDVKMLEDVFTYFQDTSCMLSLSHEQLLLRYELQSDHSLLGEDSFLYDAGWFQKQIHKCLEFWMGDREASFVSDDEKWKCNFCKFTSICPLSATTSRKSAK >Ma06_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23770986:23772701:1 gene:Ma06_g24730 transcript:Ma06_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTDQQLTIVEFLREKGFDDESIKRMVRRCNPLEITGRDRASENWNYLESIGVQKRKLPYVVFKCPKVLTLGLNQKLVPTVQCLATLGSRPGEVASAITKFPNILSHSVEDKLCPLLAFFQVLGISEKQLGKMLLLNPRLISYSIETKLTRITDFLASIGLNKEGLIGKTLVKNPFLVGYSVEKRLRPTTEFLKSIGLDEQNLQRVICNFPEVICRDVNRVLKPNLAFLKRCGFDSKQIATLVAGYPPVLIKSVNKSLEPKIRFLVEDMGREIGEIADCPEFFRHGMKKSLELRHKLLKQKNIHCSLSDMLHCNQKRFIAKYGLVAGFS >Ma06_p24730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23771019:23772701:1 gene:Ma06_g24730 transcript:Ma06_t24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTDQQLTIVEFLREKGFDDESIKRMVRRCNPLEITGRDRASENWNYLESIGVQKRKLPYVVFKCPKVLTLGLNQKLVPTVQCLATLGSRPGEVASAITKFPNILSHSVEDKLCPLLAFFQVLGISEKQLGKMLLLNPRLISYSIETKLTRITDFLASIGLNKEGLIGKTLVKNPFLVGYSVEKRLRPTTEFLKSIGLDEQNLQRVICNFPEVICRDVNRVLKPNLAFLKRCGFDSKQIATLVAGYPPVLIKSVNKSLEPKIRFLVEDMGREIGEIADCPEFFRHGMKKSLELRHKLLKQKNIHCSLSDMLHCNQKRFIAKYGLVAGFS >Ma10_p27640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34833995:34834912:-1 gene:Ma10_g27640 transcript:Ma10_t27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEPESSANSMSSNSSPSSSSPSRALHHRQAMGTSSSSAKKAAKGGGADQRRYPVYRGVRKRSWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGAAAILNFPELAASLPRPATLAPHDIQAAAAKAAAMEPAALTQSPSSLPAAAAELGEIGELPRLDGWFLESVDPGADFLYHHDPLDSFLYPESDLYDSTSDQMWWSDALVPTSFDAGLWDY >Ma09_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8675562:8675768:-1 gene:Ma09_g12860 transcript:Ma09_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFHHERKKKDALVTFDEEESTGIMKSYICLDFIMRRRRRMST >Ma09_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2226156:2234440:-1 gene:Ma09_g03290 transcript:Ma09_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHAYNRLGSGGAGGGGTPSPPASPRRSPRTQRRGGKAGGAKGAAMGAPRAIAQRMAWMLLSLFLRRQAIFLFTPLLYVAAMLFYMGTVSLESVPHIISRSAPGSVYRSPKLYERLRNDMDADNSSDGLATVWKHSFKGGGWRPCLDTSTDVLPDSNGYIFVEANGGLNQQRTSICNAVAVAGYLNATLVIPKFHYHSIWKDPSKFSEIYEEDHFISTLKNEVHVVDKIPEFIMERFGHNMSNVFNFKIKAWSSIQYYKDAVLPKLAEEKLIRISPFANRLSFDAPSAVQRLRCLANFKALRFSNPIATLAENLVSRMKERSVDNNGKYIAVHLRFEEDMVAFSCCIFNGGDEEKQDMNAARERGWRGKFTKRGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFNNNTAIYLASGKIYKAEKNMVPLLEMFPLLQTKETLASAEELAPFKNYSSRMASIDYSVCLHSEVFVTTQGGNFPHFLIGHRRYLYDGHSKTIKPDKRKLALLFDNPTIGWKSLKRQLLIMRAQSDAKGIEMKRPNESIYTYPCPHCMCRSNRTEVSRSLSAR >Ma07_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10005185:10008593:1 gene:Ma07_g13260 transcript:Ma07_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVEKPDVEEERQVGCFHDDHGDQIREDSTLIPSEKWAMECTSARPSSSNPKDSTSLTQEHRLESTKAEMVEVREENERLKMILTQITEDYRSLSDVVRREQAKKPIKNTPADDEEVEETISLRLGTSSSGQRKEDKMKIVTGKDSERFGGCLTLGLNMKFEGSDDSLKEPVLNLSSDNSSEELKEEDTGAEPWPPSKAAKSARNGDDEVSQQPLVKKARVSVRARCDGTTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPACPVRKQVQRCQEDMSILITTYEGTHNHPLPISASAMASTTAAAASMLLSGSSASQPAVGFPGSFSTAMCNLATSINGNLHGLNLSVSDNLRSQQFHLPNPLISSITSHPTITLDLTVPPSCSFQANQFSSFSSNFTTTTPRYSSTGFNISSSDTNSLPTYEISKYLNNGAKPYDKSSVSLSLGRQPHDYLYRSYLQKTTKPSTPPNQHPLTDTLAKAITSDPKFHSALAATITSYVEARGGKEAVGHGLEWGEQHLNSLALPFPAAPQGNGCATSSYFNRLPGLNLNTQQGSLLLQSSLGFPSTKGASASPRDHNTKNM >Ma01_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2336773:2343694:-1 gene:Ma01_g03540 transcript:Ma01_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRGGRDDFFGFGDPFAGFGGFGRPGSLVSSFFGGRDPFDDPFFTQPFGSMMGPSMLGPSMFAGRGSLFGETSNAGFLEQAPPVNKSKGPIIQELSDDDDGGEGEKADKEQKENPRKHSRTSKEPFVQDSDEVEEKKSRYMQYRNNYNQSNPMQPQGRSFSFQSSTVTYGGPNGAYYTSSTARRMGGDGVIMEESKEADTTTGRASHRVSRGIREKGHSVTRKLNSDGRVDTVQMLHNLNEDEMPVFEETWKGKAKQHLPGWNPGLDLPGNRQEETRGWALPSTQQPHESGRMRSQPRTNPFKAGGSMK >Ma05_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:962063:970125:-1 gene:Ma05_g01580 transcript:Ma05_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAPDRRFLVTILLAIVLVALPAPPARSPSAAPPPGSGDRIADLKGKIETREVDNGTMSKARVYADVNVLRPKDYWDYESLAVQWGDQDDYEVVRKVGRGKYSEVFEGINVSNNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYRLELDPQLEALVGRHSRKPWARFINADNQHLVSPEAIDFLDRLLRYDHQDRLTAREAMAHPYFLQVRAAENSRTRTQ >Ma07_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9705326:9707663:-1 gene:Ma07_g12870 transcript:Ma07_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHIIEESSSRANSFSSSEGSSDLESMTFPIIEDGSHHHGESEKDDDALFLVDVPNAPPIIDQKGLVVPEKKPGAELEDANFDSPKLQRILTPHSFDESKELGTPKNEKQDDVNRRLVDTAAPFESVRAAVTKFRGIADGKTEDSKTVERQKSVQLELKKVQDEVLRYQKQYEDAKTLEGRALKERDRVIGLVEELKLQLETAEAEEAQAKQDCELANLRLKEMEQRIADNASAAAMEQMELAKERHASETAELKSVKQELESMQRRYVALVLERDIAIGRAADSISASKQIEKTIQDLTLDLITTKELLESAHSARLVAEERRIGAALSLELENLLWEMLMKQAEGELRQASEQVLSTNDVKSKLDRASTLLASLKAEVASHIQVASSTTGDELEEVRTNIEKTNDSIKCLRDAISSLTSELEREKEALTTERQKEALTSAVVSSLEAELESINNELQLVLRREKEAREKVEIPKALEQANAEAEQAKLAAASAREELRKAEEEAEVAKAGASRVEMRLDAALKEIEAAEASEKLASSEVEASKESEQARMECEDPSNRVTLPLEVYRRLRKNVDESKELANKRLISATEQIDAAKESESRRLQELEEANKTIEERKKALKAALEVVEKANEVKLDAEQEMQTWRGEHGQPRKPTGTRSLSDFSDLGGAIGESESSESDLDAAIEESFTPRSCMGRSKTTNAVPEPRRRKRLFFARIVMFLARKKVQSLK >Ma04_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21953125:21956170:-1 gene:Ma04_g19340 transcript:Ma04_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRRKSPLGPPPESSVTVAKLAASYVRKGGNLPIFVVVVTISVFALVMYSEDIKAIAGYSLSRYKTQDDAPDAFSGVNLRHQTQHGSSNRSTPGQQPQTKNEKPGKGPGPPAGAEMAAVSVPDTCDLSRGEWVFDDVNYPLYREDQCQFLSEQMSCLRNGRREVMYQKWRWQPKGCSLPKFDPRLLLERLRGKRMMFVGDSMNRNQWESLVCLLQTVTPPEKRSRRVDGSRSIFTVEDYDASIEFYWAPFLVESNSDDPNIHTIDVRIIKAESIEKHAVQWKGADVLVFNTYIWWMNTRKIKVLRPGAKNWTHTDDVERWDAYETVLRTLSKWLDRNMDPNRSSVFFMSMSPLHIKSSDWGNPNGIKCAKETLPIKNMTGVSVGTDMNLFALAKKVTGSTARVPVTFIDITAMSEYRKDAHTSVYTVRQGALLTPEQQAKPAEFADCIHWCLPGLPDTWNQVLFTRLLSARPRH >Ma04_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7366820:7370775:-1 gene:Ma04_g10350 transcript:Ma04_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Ma10_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25789156:25789305:-1 gene:Ma10_g12760 transcript:Ma10_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRTVVLLFLVLMGFLGWKSCAVDAKPRRLLLDTDMDTDDFFALLYP >Ma08_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1757415:1757534:1 gene:Ma08_g02170 transcript:Ma08_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKVTPQDLELLARNCKSLVSLKISECDISDLVRFSQ >Ma04_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6102259:6105158:-1 gene:Ma04_g08530 transcript:Ma04_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGGSRAGGDRESEFVGVGGWWWSDQMSGGDGCRLMEAEYVRRHHRHEPRDNQCSSTLVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVVQGDLEVGSVREVNVKSGLPATTSTERLELLDDNEHVLSVKIVGGDHRLRNYSSIITVHPEVIDARPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQNAQPISNTDFDEASAAMRAATI >Ma08_p32610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43272382:43281210:-1 gene:Ma08_g32610 transcript:Ma08_t32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPSPAYFQYSPSGVHSSPSPHHSIRSPASSDRERYLAELLAERQKLGPFMQVLPFCNRLLSQEIMRASGLAPNQAFVDPERIEHSSPLRLAGHPSNGGPMDLEGWSGMQAEENGYFHRMGAIQTSTAGWNGIPGVSTSPIVKKVIRLDVPVDKYPNFNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFTADIIDARLNQAVAILEDLLKPVDESVDYYKKQQLRELAILNGTLREESPQLSPSLSPFNSTGMKRAKTGR >Ma06_p29260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30702723:30703110:-1 gene:Ma06_g29260 transcript:Ma06_t29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDWGPVIAAVVLFILLSPGLLFQLPARTRVIEFGNMYTSGISILVHGILFFAILTILVIAIGVHLHVG >Ma06_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18976152:18987962:-1 gene:Ma06_g22600 transcript:Ma06_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVNYRTKDSMGTADAEGNAGKKQSDVIRWLNSLFLDFNAPEDASDEELRARLLDGTVLCRILGRINPFFSESPRGTYDPSEKRLITIKKFISVVDELCLPSFRIVDLEQGSISAVVECLLSVRDHLNLDSMEDGHPDLDKSAIQLRKRWKLPEESSAALLHHVGHNFHEVFQLRQGRYSDLSAAKISEMLKSNCLDNAPTRSLLSIINGILDESIERRNGEIPHRVACLLKRVVQEIERRISTQADHIRNQNNLIKVREEKYLSRIRVLEELAKGTHEENKIVMHQLQLTKAEKLKIEERKNIGEKEVFKLMKEKEDMESIIVELKQELAMTHKMYENRCQELEEKARESKAHLLEKTKEVEFLLADSKKKIKELEENSKLKFKNWENKENNFRNFIHSQLQSMQDLRKASISIKQEIVHSQKRCREEMTNLGLKLKVLADAADNYHKVLAENQRLYNEVQELKGNIRVYCRIRPFLPGQNQKSTTIDYIGESGELLIVNPSKQGKDGHRMFKFNKVFDQAASQAEIFSDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPISASVEDWGVNYRALSDLFEISENRRNSYFYEVGVQMVEIYNEQVRDLLVDDGPQKRLGVWSSTQPNGLAVPDASVHPVKSTSDVLQLMHIGQTNRAVGSTALNERSSRSHSILTVHVRGVDLKTGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNTHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDIESYSETISTLKFAERVSGVELGAARSNKDGRDIKDLLEQVGFLKDTVARKDEEIEQLQMVIDLRTQSPMLKNERNAMLIHSSSPGIPCLGGTTRLGPQLSSARLVISTGKAPSDLDNSFQFSENHCMANGGDKDQKLQADAYLVGFRDVDLEDKLSEISDSVVSVGTETDGSVSSSTEFGVFPESIKSVEMSKGKIPRVQSRISRPPTKTGQVTSTKLKLKEPSKSASTRKATNCQSSSSLKAPKRWQ >Ma01_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12418985:12420676:-1 gene:Ma01_g16980 transcript:Ma01_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMDLPVSFLIIFSSLVSVAQCSGSGYLYPQFYQHSCPSAQAIVRSVVAKAVAREARMAASLLRLHFHDCFVKGCDASLLLDSSGTITSEKRSNPNRNSARGFEVVDEIKAALEKECPRTVSCADILALAARDSTVLTGGPSWEVPLGRRDSIGASIQGSNNNIPAPNNTLRTIITKFNLKGLDPVDLVALSGSHTIGDSRCTSFRQRLYNQTGDGRPDSTLDTTYAALLRRRCPRSGGDQNLFTLDALSPGRFDNQYFKNLVAGKGLLASDEVLFSGGSATTMRLVKWYAESEEAFFAQFAKSMVKMGNITPLTGNKGEIRKNCRRINH >Ma11_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24356922:24358529:1 gene:Ma11_g19510 transcript:Ma11_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGGGAEDSGEGVMATDFFWSYTDEPHASRRKEILARYPQIRELFGPDPWAFLKITAAVVLQLWTATYLHDASWLRILTVAYFFGSFLNHNLFLAIHELSHNLAFSSPSYNRWLGIFANLPIGVPMSITFQKYHLEHHRYQGVDGIDMDIPSHVEALAVTNAVTKSIWVLFQLFFYALRPVFLKPKPPGLWEFTNLMIQLALDVSLVHFWGWKSFAYLILATFVGGGMHPMAGHFISEHYVFRPEQETYSYYGPLNMMTWSVGYHNEHHDFPRIPGSRLYKVKAIAPEYYESLKSYRSWSQVIYMYMMDCTVGPFSRMKRRASKDAATTKKEE >Ma03_p23750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28236974:28238492:-1 gene:Ma03_g23750 transcript:Ma03_t23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAPKPKPRSRPAQHSSSLLTPDFPAVRLYGPESCPISSCLRLALLYKSVPFQFVPRSPLLGLPFLQCGADTVVGAADSLLRELDSRFPRPPTTATALADMTSAAEEVALVTALQHRSVERHVEELARWATEMASGGGGRKGEAARMERSYGQLAEVVLEHAQMEERLLFPAFESAADRGVCKVANEEHARDLPIINGIKEDIKSMVVMEAGTPFHQEALLNLSLRLKTLEEHFKGHFQEEEKNMLPLLEMAMRSQREEGEDESSSRSWMEQVLVLMEATHSPRLFPFFMAGLLPEEAMQYVGLVCRQTADQQRLASMLRSLITVLETKQSIVGILRQPT >Ma11_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5968441:5976534:1 gene:Ma11_g07510 transcript:Ma11_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKTTNLHSPEDDPDHADKPDHETNGEAGDQDQVPAFKEYGLAELRAATKGFSPDLIVSESGEKAPNVVYRGKLDGGRLVAVKRFSKQSWPDAQQFVAEAAGVGKVRHKRLVNLIGCCAEDDERLLVAEFMPNDTLSKHLFHWDKQPLPWAMRVRVAYYIAQALDHYNTENRRIYHDLNSYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNMLMIMDSSLEGQYANDDATNFVELASKCLQFEARDRPNSKFLLSALAPLQTQKEIPSHALMGITKTEQVLPVMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKSAIDYYSKLVMMMSVPSATVFARRSLSYLMNGQPELALRDAMQAQVCMPEWPTAFYLQALALSKLGMEKDAQDMLNDGAALEVKKQSSWRG >Ma06_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16941899:16945559:1 gene:Ma06_g22010 transcript:Ma06_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLFTIGFSTNRSFVPHVKQFPMLNGKIPWSISTYHSFSKKVKSWSNRGIPRIMWRKSQMNCCRLEFRRQAVFNSTASNIVVASRSSLCTYKVEEETESSTLLLSTIVNKWNQLYVTTITGLLACTLLFVPSAEAVDSLKTCSCLLKECRVELAKCIANPSCAANVACLQTCNDRPDETECQIKCGDLFENSVVDEFNDCAVSRKKCVPRKSNVGEFPVPDPSVVVRTFNISDFSGKWYITSGLNPTFDTFDCQLHEFHVESDRLVGNLSWRIRTPDSGFFTRSAVQRFVQDPSQPGVLYNHDNEYLHYQDDWYLSRFI >Ma06_p25000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25199565:25204858:1 gene:Ma06_g25000 transcript:Ma06_t25000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLFVTMFGAYTCYICLNQISVERSKSMLFGTIVTRNQCEIHAIPPEEIPYVHFPQPKTYSRDECSCTPVRFFVILSMQRSGSGWFETLLNSHPNISSNGEIFSAARRRDNISTIINTLDKLYSLDWFSSAAKNECVAAVGFKWMLNQGVMDHHKEILDYFKLKHVSIIFLFRRNILRRLISLLANDYDRYAKQLNGIHKSHVHSTEEAEVLARFKPTINVAVLMANFSYVERTIADCLHFFSSMRHIVLYYEEIIGNQNALSHVQEFLSVPERKLVSRQVKIHTRPLPEQVTNWEDVSKMLNGTRYEHFLGHSDYGG >Ma06_p25000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25199626:25204858:1 gene:Ma06_g25000 transcript:Ma06_t25000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMNAYSIKTSTCLHFPLRMALVLFVTMFGAYTCYICLNQISVERSKSMLFGTIVTRNQCEIHAIPPEEIPYVHFPQPKTYSRDECSCTPVRFFVILSMQRSGSGWFETLLNSHPNISSNGEIFSAARRRDNISTIINTLDKLYSLDWFSSAAKNECVAAVGFKWMLNQGVMDHHKEILDYFKLKHVSIIFLFRRNILRRLISLLANDYDRYAKQLNGIHKSHVHSTEEAEVLARFKPTINVAVLMANFSYVERTIADCLHFFSSMRHIVLYYEEIIGNQNALSHVQEFLSVPERKLVSRQVKIHTRPLPEQVTNWEDVSKMLNGTRYEHFLGHSDYGG >Ma06_p25000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25199565:25204858:1 gene:Ma06_g25000 transcript:Ma06_t25000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMNAYSIKTSTCLHFPLRMALVLFVTMFGAYTCYICLNQISVERSKSMLFGTIVTRNQCEIHAIPPEEIPYVHFPQPKTYSRDECSCTPVRFFVILSMQRSGSGWFETLLNSHPNISSNGEIFSAARRRDNISTIINTLDKLYSLDWFSSAAKNECVAAVGFKWMLNQGVMDHHKEILDYFKLKHVSIIFLFRRNILRRLISLLANDYDRYAKQLNGIHKSHVHSTEEAEVLARFKPTINVAVLMANFSYVERTIADCLHFFSSMRHIVLYYEEIIGNQNALSHVQEFLSVPERKLVSRQVKIHTRPLPEQVTNWEDVSKMLNGTRYEHFLGHSDYGG >Ma06_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25199626:25204858:1 gene:Ma06_g25000 transcript:Ma06_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLFVTMFGAYTCYICLNQISVERSKSMLFGTIVTRNQCEIHAIPPEEIPYVHFPQPKTYSRDECSCTPVRFFVILSMQRSGSGWFETLLNSHPNISSNGEIFSAARRRDNISTIINTLDKLYSLDWFSSAAKNECVAAVGFKWMLNQGVMDHHKEILDYFKLKHVSIIFLFRRNILRRLISLLANDYDRYAKQLNGIHKSHVHSTEEAEVLARFKPTINVAVLMANFSYVERTIADCLHFFSSMRHIVLYYEEIIGNQNALSHVQEFLSVPERKLVSRQVKIHTRPLPEQVTNWEDVSKMLNGTRYEHFLGHSDYGG >Ma04_p32620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32560507:32565862:1 gene:Ma04_g32620 transcript:Ma04_t32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPITYEYFDRQYYFGGNYDLIRFLKEVHNAGLYAILRIGPYVCAEWNYGGLPVWLRQIPDIELRTDNQPWKDEMQNFTTLIVDMVKQAGLFATQGGPIILAQIENEFGNVETSYGDAGPRYVNLCSQMADSLSIDVPWIMCQQADAPQPMINTCNGFSGCDAFTPNDENSPKIWTENWTGWCVLILHFSNWGSPDPHRPAEELAFQVARFFQTKGTLQNYYMYHGGTNFGRTSGGPYIVTSYDYDAPLDEYGMSAQPKWGHLKELHASIKLMEKALTYGEVEEHDVMMLWSFQITKYSGDGVNPACFLSNQNSKLNATIDYEGRTYFLPAWSVSILPDCKSEVYNTAKVKTQTSLMVKKRNTAMEASEVLYWSWRPERLGTSAKGFGGTFTVNNLLEQKSVTLDESDYLWYTTRQKEEFTLSVNTTGHILHAFVNGRLVGSSQYGLAGQLNFTFERKVWFNPGRNVISLLSATVGLQNYGAYYDLAPTGIVGGPVKLIGENTTLDLSNYTWSYKVGIHHNTLLLDWMVR >Ma02_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23154194:23158907:-1 gene:Ma02_g15440 transcript:Ma02_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLEEETDQNVGPRGPSKLKLPLCPSFSRSLQTIRVHHTTVPKGVVGRREGRLRCHLSLPYERKKTEIHVHELRLPSSNEGEAKGAKECVGLKKVHGGAVSQSLFARGLFFVVFCRLCPYPTLHEQKSEIEEFQNQDHAPIKCKGFTLTIMMAHDRDIREASMRGSDAYGCDNTHKTKLIRPQYTMW >Ma07_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7396444:7398065:-1 gene:Ma07_g09940 transcript:Ma07_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENKHIIIKHAIDGLPTESDFELRSTPLVLSVAQGSKEVVVKNLFLSIDPYQLNRMKTCSSSQKTFAAAARIQPGQRIDAFGVGKVVVSGNEEFERDDVVVGLLAWEEYTVVRPGTTLTKVDPHEFPLSYHVSILGPSGLTAYAGFYDICKPKKGEKVFVSTASGSVGSLVGQYAKLSGCYVVGCAGSKTKVDLLKEKLGFDDAFNYKEEPDLKSALRRYFPEGIDIYFDNVGSAMLDAAVANMNLFGRVALCGAISEYTDAGKRAALDMVDVIYKRITLRGFLALDHLNLYAGFISSTSDHLRHGRMRAVEDISTGLESVPSAFAGLFRGDNVGKKLVQLTSL >Ma07_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2082383:2083376:1 gene:Ma07_g02620 transcript:Ma07_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSHVTAKKLWGYLRVAFFMMRKGFISKRKLLMDVNLLMKRGKLLGKSLGSLMFQFHHHHHSRPEIPTFAPCEYEFSCSNSPNPVFYHAKRRHSYFPCLHAVVEEADDTPRRAAVDLPRIGQRTSLSSPFSVRVSDYSSEEEDGLSQEEVDDEAEEFIKRFYEQLRAQSRVALLQYQEEEYQEMLARGA >Ma03_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2861049:2873006:-1 gene:Ma03_g04370 transcript:Ma03_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGPSLDDCLKLLRGQRDEQKLAGLLLAAKFCQGGDTASVLKVYEAVGARFLQRLLMTGMGKGASGVKSGEEQEAFLRLSITLLAALCRVPEIASSEEMNSQIPHVAEITSTSSDPFIVEECYEFLLLVAAASENGLVKFYESGVMDILAPHISTLTDGSRSLEFAMQLQQLVVNRLSDDLLNDENLRGMLAMVTSVARQFAVLQNIHKFDALHMLTTLLSSNYVPLHEALRSISTDIWASQIRIGISEILQNRVVSTEKLQALLLADLMMSILGVNWLLEHTSLHHNQEPMPVDKFVLLVLESSRVEVAVLLNELAYLKYEASRSSSDAAGTIFQKQRSLAILFSLMEKIIKLMSTVSGAKDPSVKESTLTKMILGLNETIDLVLDFLQDSKDHGQIKGDDLLAAVRIIGSYLAEAPTACKEKTRDLLGYLLSIEGEEESSPFYSVCFLLPMLCQLTMDIDGCKALASVGGHKMIVECLVNMVGRNGKMVNISDRVLLACDTILNLLLNRKELEVWIEGSQFVNLLHALIFWTENCKDPSVVMMASSICSLVFDLTSEESLLNQWNFDQSSFEKLSHLIVRSLNQVQL >Ma04_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10240763:10241161:1 gene:Ma04_g13540 transcript:Ma04_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPRLAASGLAVPVEIIPFGWALTLRRLQSLFDGVPGFNLKLRTASINAKATTFDEKGSDSEPFVTDNKNYIADLFFENGIHGDLRVISDAILRITGVVEHGLFLGLASSVVVAHKDGVVVVKDKEATSNGM >Ma04_p27070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28359030:28359881:-1 gene:Ma04_g27070 transcript:Ma04_t27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSSLVCIALLLVSITSSEARDFLVGGNVNAWRVPPTTTDSLNQWAEKNRFQVGDSLVWRYDAGKDSVLQVTREAYLSCKKTSPIAEHKNGATVVELHRSGAYYFISGAEGACEEGEKLIVVVMSERHSLRGLAPAPSPAEYSEGPAIAPTSGASRMEKVLKSSVAGALLVLGIVL >Ma06_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7033668:7039363:1 gene:Ma06_g10140 transcript:Ma06_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPFSPPSSSPFSAMLLQRLLGSVARRQLPSAFPLPKSVSTVISRPAGRSRLGFAPSQSFELPLMASSAPTEDARRSSFVPLPPSTADKATLYKGLEATLGSTFSSAPSFPPPNPLILVVSGPSGVGKDAVIKRLLEVREGIHFVVTATSRPQRPGEVDGKDYLFVTKEEFLSMIERDELLEHALVYGDYKGIPKQQIRDYMDKGFDVVLRVDIQGAATLRSILGNSAVFIFLVAESEETLVKRLVARKTETAEMLLVRIATAREEVRHLKEFDYVVTNAEGKLDDTVKLVESIIDAEKAKVHRRNVQI >Ma06_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19989078:19990202:1 gene:Ma06_g22930 transcript:Ma06_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:Projected from Arabidopsis thaliana (ATCG00350) UniProtKB/Swiss-Prot;Acc:P56766] LAIDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWAWRPQDMFSDTAIQLQPIFAQWVQNTHALAPGITAPGATASTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQPRALSIVQGRAVGVTHYLLGGIATTWAFFLARIIAVG >Ma03_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5144097:5146187:1 gene:Ma03_g07340 transcript:Ma03_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILLITGLVLLIFILRAAWVTFSWYYWIPRRIRRVMAKQGVHGPKPKFLVGNLKDSAALVASSTSSDMESISHDIVGRLMPHYVLWSKLYGRTFIYWYGSEPRLCLTDPDIIRELLSSKYVQVSGKSWTQRQGSKHFIGQGLLMANGSNWNHQRHVVAPAFMADKLKTRVGYMVDCTNRLVTSLRDAVGSGNDEVEIGAYLTRLTGDIIAGTEFGSSYENGRQIFHLLEQLQNLTARTSRYIWIPGNRFFPSKFSREIKELNRKVGKLLMDSIQSRRDVAEIGRSSSYGNGLLATLLAETQKRTNGFSYSLQLVIDECKTFFFAGHETSALLLTWAMMLLATNPAWQEKARAEVAQVCGDDPPSWEHLPKLTVLNMIINETLRLYPPASLLPRMAFEDIKLGDLHIPKGLSLWIPVLAIHHDEEIWGRDAHEFKPERFAGKSFSINRYFLPFASGPRNCVGQTYAMMEAKIVLAMLLSSFSFTISKNYRHAPINVLTLRPKHGVPIHLTPLKP >Ma03_p29740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32595589:32596292:1 gene:Ma03_g29740 transcript:Ma03_t29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTAQLTTLLLLAALAVASAKPRSARRSLNEAAAACEFVTVPQLCTSLAAKSGATTVPALTVAAVNEAAATAQEAKVTVERITAAPITDAKLKANLDVCWKSYVDSLDTLQKAGSNLQTGAPHNELVSHISAAITYVGHCNDAFSQNPGLVSPVADVTSILKKLISNSLALAVSLQFHH >Ma09_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12643520:12658562:-1 gene:Ma09_g17140 transcript:Ma09_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETSGASSDPYRSQAGGGGGSSRRFRIRFSPSNLIRAPLSTLLEYSGILRTRAGHSEGEIMVGESMRDHGPGRIGESSLPGVGGSGSGEVAIRIIGVGDHEGSRVGPSPVQPLAVGPCREGNPGGAGVSSELLGTLPERHGRDGGSDIGVGEIGPSSSSLPASILGGGGQSADGEVNNAGGNGRDSAYQRYDIQQVARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISLLVGITLVFMIHVFGVYWWYRNEGLLYPLVMLPPKEVPPFWHAIFIIMVNDTLVRQAAMVVKCVLLMYYKNSRGRKYRKQGQMLTLIEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFVAALKALSRKEVLYGSYATMEQVIAAGDLCAICQEKMHAPILLSCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >Ma04_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9544965:9545334:1 gene:Ma04_g12640 transcript:Ma04_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTPPKLLCSLSMQETHYFSWTIMNQHWTATDSPLPQNGKKDRGKGLKDAWTWKKAGKILCVLYLKLSHLLVYMCLGIQQLVSSHTMPATTISCLSL >Ma06_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4904057:4907445:1 gene:Ma06_g06840 transcript:Ma06_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVNCAGNDDIGPGGPLFSNLMDSSLLLSLTYNFDAYCPPSKRYRITSLICKAGEKTADEKQQPRSIDTLPDECLFEILRRLPGDKERSNSACVSKRWLMLLCSIRSSELADRKKHRNESVKKPLPDLNKDVSEDEQESENNGFLTRRLDAEEATDIRLASIALGTCSRGGLGKLLIRGSNSTRVTDVGLSAIAHGCPSLRALSMWKVPLITDAGLSEIADGCPLLEKLDLCQCPQISDRGLIAVAQKCPKLTSLTIESCSSVGNEGLQAIGRCCPKLKSVTIKNCLHVGDQGITSLVSSASSSLERIKLQTLSISDVALAVIGHCGKNIIDLSLSGLQNVYEKGFWVMGSTLGLRKLRSISITCCNGLTDIALQAIAKGSPFLKHLFVRKSCYLSDSGLSAFAESAKELENLHLEDCNQITLIGVLGALSKCSPQLKSLSLVRCLGIKDTAFAPVQLPSCISLRSLTIRDCPGVSSASLQVVGKICPQLQNIDLSGQVGVTDTFLLPLIKSSDVGFVQVNLNGCVNVTDDLVTTLVKAHGSTLKMLNLRGCKKITDRSLLAIADGCSVIEDLDLSSCSVSDYGVAVLASARQLQLQILSLASCSKVTVKSLPFLGNLGQSMVGLNLQHCSLISTRGMKLLEEKLWWCDIIS >Ma11_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17069478:17073334:1 gene:Ma11_g13000 transcript:Ma11_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGTADGRPVGGTEYSWCRAVEGGTGITVLALLLSRPVSRPLLDSALHQLQSSHPLLRAHLVTVASRHPFFAIATPRSEVLRLLSSSDLLLPPPASASAVSVSPFHALLEHEFNQNPWSDGGPTHQVLFATLYEMPEPGRSTLALRLHTAVCDRTSAVAVLKELLALMDASGERIHGEADGFNRGIDDLIPKQDAWKPFWARGKDLVGYSLNALRTSTLRFEDAASERRSQVARLVLGTDDTQKLLTACRKRGIKLCGALSAAAMVATRASKQWDNDRYETYSVITLIDCRKYLDPPLHDHNIGFYHSALINTHSIHGGEGLWEVAERCQCSYSTAMTNKKHLKDIGEVNYLMGRAIDHPHLTNSSALRTALVSVFEEPVVYESSAALQHQLGLDDYVGCASAHGVGPSIAVFDTIRDGQLDCACVYPSPLHSRKQMQELVEQMKRILRGDDCEKSTK >Ma02_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21545341:21546931:1 gene:Ma02_g12980 transcript:Ma02_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTTTFLLLNLFLVALSTLTTAAVSPAVFVFGDSLVDVGNNNFLPSDAPKVNFPPWGIDYPGRTPTGRFTNGFNYADYVAKAVGLAMSPPPFLSLSNGNQMLRGVNFASGGTGILDTTGGDVIAMATQIEDFEQVAANLTERLGKKSAAVFLEKSLVHLIVGSNDIYALYSLLSPGNSTQKDEVVVLLLDKFKHQIERLYDLGARKFAVLGVPPIGCVPMVRVAISSYGCNEDLNDLCLRFKTATKALLKDLSMSFKGFQYSFGDLYEMFTQIFSDPQQYGFTELKAACCGGGRLNAESACLPNSTYCSNRDQYAFWDLSHPSQALHKTMAQLALYGPPLIANPINIHQLVKS >Ma07_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26093012:26098773:-1 gene:Ma07_g18520 transcript:Ma07_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSPLAAFWKSQPHHHTATLCINLRRLPPCPLAAMSRPCLRSSVSSPPPPLPPPSPLLPPCFFQCRTAVSIFALVSNSSPYLSSRRGFVRHAATAAAATADVQQDPVSTSQIISRGQRIYHETYGCQMNVNDMEIVLSIMKNAGYEEVVKEPENAEIIFINTCAIRDNAEQKVWQRLNYFWFLKREWKSNVAVGRSQSTHPPKIAVLGCMAERLKEKILDADKMVDVVCGPDAYRDLPRLLDEVDYGHKGINTLLSLEETYADVSPVRISTNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKEGVKEVMFLGQNVNSYNDVSELSDLEPGANWRLSEGFSSMCKVKNMGLRFSDLLDRLSTEFPEMRFRFTSPHPKDFPDDLLFLMKDKYNICKNIHLPAQTGSSTVLERMRRGYTREAYLDLVQKIRGIIPDVGLSSDFICGFCGETEEEHADTLSLVKSVGYDMAYMFAYSMRERTHAHRNYMDDVPDNVKQRRLRELIDTFRECTVQRYESQVGTVQLVLVEGPNKRAPESELVGRSDRGHKVSFLNVPLPHSFETDGKRNPEIGDYVEVGILRSTKASLFGEALARTSLSRFHQKNSDSRT >Ma06_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6490290:6491025:-1 gene:Ma06_g09200 transcript:Ma06_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLVLDGNPIRPATICLIGAGTVGAHHPWDFQIHFHRLFIKHVSIWFSFPFSRSCFGYPALPPCPALPFDLFLVNYSLSRRVVMYCLDSDKRLIRFTTCEVYGTETGSLLSKDHPLRKVPVSLLRSKLK >Ma07_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8065695:8069027:1 gene:Ma07_g10820 transcript:Ma07_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVESLRGSNGDDRDGTLGNFPVSDDFGDEALLEDIDFGDLFMGIDDGDVLPDLELDPAEIFAAFSVGEEGSGKATAVEEPEGAFGGDGSTQDVVVFEAEIGEEEKDVKRQREEVVGATTTEDSMVMPSGLRSDSPDGNKGRKPSSPSATRGSHGKRKSKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWTRRRQMYPKKDVNPWLAPTIGFPPPPPPPAVRPFRPLHVWGHPTAEAPLVHMWLRRPVPQPPSLPWAPQPPLPPPPDPSYWHHLYQRGGREGWVPHAMTQGTPCFPQPLPAARFPAPPPVPGIVPQPMYRPIPPPVTDHSSSQLQLDAHPSTESIDAAIGDVLAKPWLPLPLGLKSPSVDGVLVELQKQGVPKVPPACG >Ma09_p10910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7388872:7397791:1 gene:Ma09_g10910 transcript:Ma09_t10910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNFVQPLHESFELDCESNSSSSGMNQQVLWNNMLFNPVEIQSMTDCAVSSDGVNIPCLNMANQDGTQLGNWSLGGSSSSQHSQIQGGHEENKLEHEWTPPATVASRGGPRLQENHFEASHALSLENVNISHSTTQTDGIQTFPQNYSCFNNRHQNVEHVAVQVGIGNELSEPRLSHHPYLLGFLDPETVPSSIGLSNPGESSSEGVGFLREDDERAESSFDGRRLSCKRKNSDGFPGQSSASGNASSSHQSENSLLHSRSYNPMTGLNISSSSGYPSVGHSIEEHTAGFGTFVGGMAFDCYPSASAAGNVESLRRNYRLRINRAQPHDVSLRNSWSASSVGQSDIWSPNQPPSRSISLNHFLEPASLLTTSSSASQTHIPVVPALPQIVNSFPWNRASSSRIGSSSGPFSSEDRSITAREGNDLRNMPVTSNLDLVPATDVRNMTQGQANWSLSSGSISMVPSSQAVTNSGLHPTFGSSWVPHQNLPTRYPQPLAEAIHPGFFPPGSSDSGGQGTNFALQHSAHPLSSQEVAQQIRTRLHGPHPTSHIRSTTHLLRRRNDGLFSAPLPMRSLTAAGEERSRMLSEIRHALESLRHGDGLRFEDVFILDQTLILAGSDLHDRHRDMRLDVDNMSYEELLALEERIGNVCTGLSEETILKSLKQQKHSSAAIRASMEHKPCCICQEEYVEGDDLGTLDCGHDFHSVCIKQWLMHKNLCPICKNTALIT >Ma09_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7388872:7397791:1 gene:Ma09_g10910 transcript:Ma09_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNFVQPLHESFELDCESNSSSSGMNQQVLWNNMLFNPVEIQSMTDCAVSSDGVNIPCLNMANQDGTQLGNWSLGGSSSSQHSQIQGGHEENKLEHEWTPPATVASRGGPRLQENHFEASHALSLENVNISHSTTQTDGIQTFPQNYSCFNNRHQNVEHVAVQVGIGNELSEPRLSHHPYLLGFLDPETVPSSIGLSNPGESSSEGVGFLREDDERAESSFDGRRLSCKRKNSDGFPGQSSASGNASSSHQSENSLLHSRSYNPMTGLNISSSSGYPSVGHSIEEHTAGFGTFVGGMAFDCYPSASAAGNVESLRRNYRLRINRAQPHDVSLRNSWSASSVGQSDIWSPNQPPSRSISLNHFLEPASLLTTSSSASQTHIPVVPALPQIVNSFPWNRASSSRIGSSSGPFSSEDRSITAREGNDLRNMPVTSNLDLVPATDVRNMTQGQANWSLSSGSISMVPSSQAVTNSGLHPTFGSSWVPHQNLPTRYPQPLAEAIHPGFFPPGSSDSGGQGTNFALQHSAHPLSSQEVAQQIRTRLHGPHPTSHIRSTTHLLRRRNDGLFSAPLPMRSLTAAGEERSRMLSEIRHALESLRHGDGLRFETLILAGSDLHDRHRDMRLDVDNMSYEELLALEERIGNVCTGLSEETILKSLKQQKHSSAAIRASMEHKPCCICQEEYVEGDDLGTLDCGHDFHSVCIKQWLMHKNLCPICKNTALIT >Ma09_p10910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7388872:7397792:1 gene:Ma09_g10910 transcript:Ma09_t10910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNFVQPLHESFELDCESNSSSSGMNQQVLWNNMLFNPVEIQSMTDCAVSSDGVNIPCLNMANQDGTQLGNWSLGGSSSSQHSQIQGGHEENKLEHEWTPPATVASRGGPRLQENHFEASHALSLENVNISHSTTQTDGIQTFPQNYSCFNNRHQNVEHVAVQVGIGNELSEPRLSHHPYLLGFLDPETVPSSIGLSNPGESSSEGVGFLREDDERAESSFDGRRLSCKRKNSDGFPGQSSASGNASSSHQSENSLLHSRSYNPMTGLNISSSSGYPSVGHSIEEHTAGFGTFVGGMAFDCYPSASAAGNVESLRRNYRLRINRAQPHDVSLRNSWSASSVGQSDIWSPNQPPSRSISLNHFLEPASLLTTSSSASQTHIPVVPALPQIVNSFPWNRASSSRIGSSSGPFSSEDRSITAREGNDLRNMPVTSNLDLVPATDVRNMTQGQANWSLSSGSISMVPSSQAVTNSGLHPTFGSSWVPHQNLPTRYPQPLAEAIHPGFFPPGSSDSGGQGTNFALQHSAHPLSSQEVAQQIRTRLHGPHPTSHIRSTTHLLRRRNDGLFSAPLPMRSLTAAGEERSRMLSEIRHALESLRHGDGLRFEDVFILDQTLILAGSDLHDRHRDMRLDVDNMSYEELLALEERIGNVCTGLSEETILKSLKQQKHSSAAIRASMEHKPCCICQEEYVEGDDLGTLDCGHDFHSVCIKQWLMHKNLCPICKNTALIT >Ma08_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34878577:34880373:1 gene:Ma08_g20790 transcript:Ma08_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSAIYLALVLVFTLLTGLYRGRRRHKLNLPPGPRPWPVIGNLNLIGPLPYRSLAALSQKHGPLMHLRFGSFPVVVGSSVDMAKFFLKTHDLSFVSRPKTVAGKYTFYNHSNISWSPYGPYWRQARRILIMELLTPKRLDSYQYIRVEEVRGLLRDLFRSTETPVLLNDYIFTVNLNIISRIVLGRKYTQEQSVSSGAPAAIVPQEEFKEMIEELMLLNGVINVGDLIPWLNFLDLQGYVKRMKMVGKRFDRFLEHVLDEHNERRRREGKAFVPRDLVDVLLELADDHSLEVKLERHCLKAFILDMFAGGTDTSTVTIEWAVSEILKRPETFDKATEELDRVIGRGRWVEEEDVHRLPYIEAIVKETMRIHPVGPLLVPRLSREHTTVDGYDIPAGTGVLVNVWAIGRDPAVWDAPEEFRPERFVGSPIDVKGHHFELLPFGAGRRMCPGNSLGLKMVRLSLANLLHGFKWRLPPGMTAEELKMDEIFGLTTPRKVRLQAVVEPKLPAHLYGA >Ma03_p20910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25978843:25996433:-1 gene:Ma03_g20910 transcript:Ma03_t20910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIITKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTFVETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGIGKMAFELIFAFDEAISLGHKENLNVAQVKQYCEMESHEERLHKLVLQSKINETKDVMKRKASEIDKSKIEKNKGDKGGFMALSGPRRIESSFSDMSISNTGSGFGSGSGIGLSTDIESLTSKPKGRPSASATAPPKGLGMKLGKTQRTNQFLESLKAEGEVILEDVQPIAAQSKPSLPPTDPITLTIEERLNVVIRRDGGLNNFDIQGTLSLQILNQEDGFVQFQIENQDVHGLSFKTHPNINKELFNSKHIIGLKDPNRPFPTGQNDVGLMKWRIQGMDEASLPLTVNCWPSVSSGETFVNIEYEASEMFDLKNVVISIPLPALREPPNVSQIDGEWKYDSRNSTLEWSILLIDHSNRSGSMEFVVPPADSSLFFPIAISFTAASTYSDVKVVNLMPLRGNAPPKYSQRIQLITDTYQVI >Ma03_p20910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25978843:25996509:-1 gene:Ma03_g20910 transcript:Ma03_t20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIITKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTFVETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLIEKNKGDKGGFMALSGPRRIESSFSDMSISNTGSGFGSGSGIGLSTDIESLTSKPKGRPSASATAPPKGLGMKLGKTQRTNQFLESLKAEGEVILEDVQPIAAQSKPSLPPTDPITLTIEERLNVVIRRDGGLNNFDIQGTLSLQILNQEDGFVQFQIENQDVHGLSFKTHPNINKELFNSKHIIGLKDPNRPFPTGQNDVGLMKWRIQGMDEASLPLTVNCWPSVSSGETFVNIEYEASEMFDLKNVVISIPLPALREPPNVSQIDGEWKYDSRNSTLEWSILLIDHSNRSGSMEFVVPPADSSLFFPIAISFTAASTYSDVKVVNLMPLRGNAPPKYSQRIQLITDTYQVI >Ma03_p20910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25978843:25996479:-1 gene:Ma03_g20910 transcript:Ma03_t20910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIITKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTFVETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGIGKMAFELIFAFDEAISLGHKENLNVAQVKQYCEMESHEERLHKLVLQSKINETKDVMKRKASEIDKSKIEKNKGDKGGFMALSGPRRIESSFSDMSISNTGSGFGSGSGIGLSTDIESLTSKPKGRPSASATAPPKGLGMKLGKTQRTNQFLESLKAEGEVILEDVQPIAAQSKPSLPPTDPITLTIEERLNVVIRRDGGLNNFDIQGTLSLQILNQEDGFVQFQIENQDVHGLSFKTHPNINKELFNSKHIIGLKDPNRPFPTGQNDVGLMKWRIQGMDEASLPLTVNCWPSVSSGETFVNIEYEASEMFDLKNVVISIPLPALREPPNVSQIDGEWKYDSRNSTLEWSILLIDHSNRSGSMEFVVPPADSSLFFPIAISFTAASTYSDVKVVNLMPLRGNAPPKYSQRIQLITDTYQVI >Ma03_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25978843:25996509:-1 gene:Ma03_g20910 transcript:Ma03_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIITKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTFVETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGIGKMAFELIFAFDEAISLGHKENLNVAQVKQYCEMESHEERLHKLVLQSKINETKDVMKRKASEIDKSKIEKNKGDKGGFMALSGPRRIESSFSDMSISNTGSGFGSGSGIGLSTDIESLTSKPKGRPSASATAPPKGLGMKLGKTQRTNQFLESLKAEGEVILEDVQPIAAQSKPSLPPTDPITLTIEERLNVVIRRDGGLNNFDIQGTLSLQILNQEDGFVQFQIENQDVHGLSFKTHPNINKELFNSKHIIGLKDPNRPFPTGQNDVGLMKWRIQGMDEASLPLTVNCWPSVSSGETFVNIEYEASEMFDLKNVVISIPLPALREPPNVSQIDGEWKYDSRNSTLEWSILLIDHSNRSGSMEFVVPPADSSLFFPIAISFTAASTYSDVKVVNLMPLRGNAPPKYSQRIQLITDTYQVI >Ma04_p26500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27924399:27927441:-1 gene:Ma04_g26500 transcript:Ma04_t26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAIFKSNGSRACLIDTLALVRRLEKGVPSKQAEAITLTITAVLNESLESVAQSFVSKPEMQRSEMIQDSNLWKFKLKMKGSQDHHFALLQRETEKLRVDIDKMRSELRYEIDKVTAGQRLDLNLERGRIRDELAKQSAETTKLTTKLDREIHALRAQLEAAKYDVIKYCIGTLVSKSAVGLAVVRILM >Ma04_p32330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32352440:32357375:1 gene:Ma04_g32330 transcript:Ma04_t32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAPREALLPLSYPPARRDESVVDDYHGVLIADPYRWLEDPESEEVKEFVERQARLTDSVLEKCEERERLRRRITALHDHPRYDTPFKRGGKYFYFHNTGLQAQSVLYVQKDLDGEAEVLLDPNKLSDDGTVALTLSSVSKDGKYLAYGLSSSGSDWVTVKVMRIEDKQPELDTLSWVKFSSISWTKDGKGFFYCRYPAPREGHELDAGTENNINLNHEVYYHFLGMDQSEDILCWRDPEHPKYIYGTDVTDDGKYALLYIEEGCNPTNKLYYCKLSLLPHGLEGFKGRNEMLPFIQLVDNFEARYLFVTNDDTKFTFMTNKGAPRYKLVRVDFIEPESWTDVLPEDDKDVLETASAVNNNQLLVSYLRDVKYGLQLRDLETGALLHEIPVDIGTVYGISGKREDSDVFIGFTSFLSPGIIYKCNLAAGVPEMQIFREAFVPGFHRENFEVKQVFVSSKDDTRIPMFIVSKKNIQLDGSHPCLLYGYGGFNISLTPSFNVTRLVLTRNLGFVFCIANIRGGGEYGEEWHKGGSLSKKQNCFDDFIASAEFLVSNGYTNPRRLCIEGRSNGGLLVATCMNQRPDLFGCVLAHVGVMDMLRFHKFTIGHAWTSDFGCSDNKEEFHWLIKYSPLHNVKRPWEKGDSHRLQYPSTMLLTADHDDRVVPLHSLKMLATMQYVLCTSLGSSPQSNPIIARIERKGGHGAGRPTQKMIDEAADRYSFAAKVMELSWTD >Ma05_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6605652:6607530:1 gene:Ma05_g08980 transcript:Ma05_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCEIEGHHLTAAAIIGHDGSVWAQSASFPKFKPEEFTNIMNDFNEPGTLAPVGLFIGSTKYMVIQGEPGAVIRGKKGSGGITIKKTGQALIVGLYDEPMTPGQCNMVVERLGDYLLDQGM >Ma04_p26870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28176714:28184349:-1 gene:Ma04_g26870 transcript:Ma04_t26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITVGQQLLLVVFVLGFLLLLLFPLHIVSPVAATADLSYPEATEFGVREDLVVMRREEYDEGRILDITHAYHEDMPAGGTEEGLGRFLWLAKSMRNGSLANSSVMKFSVHSGTHVDAPGHLFQHYFDAGFDVDSLDLGVLNGPALLVDVPRDTNITADVMESLHIPRGVRRVLFRTLNTDRKLMWKKEFDASFVGFMKDGAQWLVDNTDIKLVGIDYVSIAAFDDLIPSHVVFLKTREIIIVESLKLDNIKPGIYSLHCLPLRLRGAEGSPVRCILIK >Ma05_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16725706:16728593:-1 gene:Ma05_g16480 transcript:Ma05_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAGHELAPTTGATLVVGGTGYIGRFVAEAGLALGHALYVLVRTFGPFVSPSRAATAAALREKGAIILEGSVDDKDFMEKTLRENNIHVVISAVGGESILDQLCLLDAIQAAGTVKRFLPSEFGHDIDKANPVEPALSLYNRKRRVRRAVEAAGVPYTYICCNSIAGWPYHDNKHPSKAAPPLDRFVIYGDGSVRAFFVAGSDIGKFTMRSAFDSRTVNRAVHFRPTCNCVSLNEMASLWERKIGRTLPRVRFTEEDLLAIAKENEIPASVVAALTHDIFILGCQSNYSVDGVKDVEVSCLYPDMAFRTLDDCFSDYISSLLPHQARETRPSAAAATVDQPAVPPATA >Ma01_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2603429:2603968:1 gene:Ma01_g03960 transcript:Ma01_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSRGRGHHIHLRSRCRKRWPRGVDVRAPPPIDEQRYLIVFDDVWNIDEWYEGLMSSGLPDEGEWAGHLRLDRVLPKDCGGSVIVTSRLEEVAVKMVGKENMCRIEPDKDGECCWNIFMDSVTEGGLAGDHPTLRSMKTEIVDRCGGLPLAAKTVGEILRGSLSPAENSEPENGLHP >Ma11_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21110856:21115332:1 gene:Ma11_g15380 transcript:Ma11_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQSHPTYQLLLLLNRDEFHDRPTKPVGWWRDGDGQTILGGRDELGGGTWMGCTRDGKVAFLTNFREPDPWSGSRSRGELPKRFLESTKCPSEFAEEIVKEADQYNGFNLIVADLCTKSMFYISNRPKGKPVSLLEVLPGIHVLSNANLDSPWPKAERLRKGFSEALASCRDEELCMKDIVKKLMCDKTKAERNMLPMTGCSPEWELEVSSIFIETHREQGLYGTRNMGALSVKTSGEVSFYERYIENSNWKEHVFQYHVEQL >Ma03_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7935782:7936882:1 gene:Ma03_g10530 transcript:Ma03_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAKNTETTDLPSDLFMEILSWIPARALLKLRCACKCWYSITTDLAFVRLHHQQQQLLPRVTSVLAFHRHFTDDVSLLSRLDVVDATWVARDVAVWHSRPLLVVSPPCHGLFCLYHVDMDFDVCLFNPTTRKSFSLPHNFTSVNIILSDFCLGYHPVSRQYKVIHTFCTRSTSLVMEVLTVGGSTWRKVEVSSALGMLTMLNRGRPSATGTMYWLGQRNLLEDAILSLDLENERITEVPFPDIEPQHESGNNSLIEMEGTIHFAVHWFTMVDWMDIWMLQESGAHRVWIHKFHLRLCALPRGVGQVERVLRPPTPLLINQGKILISDCRRLVSYDLAGKGFQHEVVFQAYDDFFPFVIVESLDSF >Ma08_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18020701:18026827:1 gene:Ma08_g16360 transcript:Ma08_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDGQSSSSSPSSPSSPAVGAKRPRRGQRKKPGPRSHSKKEEHLHRIPGRMFLNGSSGVASLFSQQGRKGPNQDAMIVWENFGSRNDTVFCGVFDGHGPHGHMIAKRVRDVLPLKLNSNWEGEDCRETTVNNSGSINSDTSSTVSLKEENHTSIDFEENDEHSHILRTLKDPFLKAFRIMDKELRQHSDIDCFYSGTTAVTLVKQGQELVIGNVGDSRAVLGTRDENNSLIAVQLTVDLKPNLPKRIRQCKGRVFALRDEPDVARVWLPNSDTPGLAMARALGDFCLKDYGLISVPEISYWHITERDEFIIMATDGVWDVLSNEEVVDIVASAPARSSAAHLLVESAVKAWRFKFPTSRVDDCAVVCLFLDLDAPNISSDLTSNEVDSPNAAGVGSDEQAPSGPTSLDRLGTIRSSTAFPCDAIEESPGQQFSGTHTQEGSSIDNITSMQGNGWSVQQGVSRVDTLLSLPRFVSGSKQPTNTKAQKRA >Ma08_p16360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18020702:18026827:1 gene:Ma08_g16360 transcript:Ma08_t16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDGQSSSSSPSSPSSPAVGAKRPRRGQRKKPGPRSHSKKEEHLHRIPGRMFLNGSSGVASLFSQQGRKGPNQDAMIVWENFGSRNDTVFCGVFDGHGPHGHMIAKRVRDVLPLKLNSNWEGEDCRETTVNNSGSINSDTSSTVSLKEENHTSIDFEENDEHSHILRTLKDPFLKAFRIMDKELRQHSDIDCFYSGTTAVTLVKQGQELVIGNVGDSRAVLGTRDENNSLIAVQLTVDLKPNLPREAERIRQCKGRVFALRDEPDVARVWLPNSDTPGLAMARALGDFCLKDYGLISVPEISYWHITERDEFIIMATDGVWDVLSNEEVVDIVASAPARSSAAHLLVESAVKAWRFKFPTSRVDDCAVVCLFLDLDAPNISSDLTSNEVDSPNAAGVGSDEQAPSGPTSLDRLGTIRSSTAFPCDAIEESPGQQFSGTHTQEGSSIDNITSMQGNGWSVQQGVSRVDTLLSLPRFVSGSKQPTNTKAQKRA >Ma01_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2086333:2087307:1 gene:Ma01_g03210 transcript:Ma01_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPCTSPLPFITTARCHHVAAASPSMKGNDAELAMEITVISAQGLKNPSSPLLPGRRLRPYAALFYSPDCDRLPSSLHRTRVDEHGAHNPDWGDTVRLPLDSSFSSVETSAAAGCGGEDGAAVYIVVLSKQTLGGPARLGWCRIPPADILDGFRPPSDLRRLSYALRSPRHGGRGHGVIHVTVRLLGRGVDRLPSPPQPGKPMEEPGWCRVAIGIPVAVPAVAFPPPRWTYSGGPDCVQGDRFAESERRRGGPVPTALTVSRVAASPKDAWVLVSALTSSGKA >Ma05_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9963128:9963994:-1 gene:Ma05_g13730 transcript:Ma05_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVVKVDVQGDKEKQKAMNAVSALQGIDSIAMDMKERKMTVIGAVDPINVVEKLRKCWPTAIVSVGPKEEPKKEEPKKVEAKKDEGDKKKECDKMIKELVDAYKAYNPCMTTRYCVQSVEENPNACVIL >Ma05_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37100550:37105571:1 gene:Ma05_g25050 transcript:Ma05_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGALVGVFFPKLWFSSMVQVGPVAEIFQELKSKIGSGVAMGNGSVYSSSGVLCSSSEMGNCLSKSSISASVDSSSKAMKRLPEVDFESVGGFLVHQNKNKDLARVHDFRTSHAVESAEGIKESVMGLKLGKRTYFEDPCAGSNIKSPSSSASFTPSTTSVKKSRVYQQSLLSSYCQVEGCNIDLSTAKDYHRKHRVCESHSKSPKVVVAGQDRRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQLETISFNSSKLSTSYYDKQQAGLVFGRPPFSQMTTMASSIWDNPSSFKLTQTEGCWIKSSKTGGINGQLHFPNYGNLNTVSTVGHNMDSLLPFKSVTADVLNQDVTAAFNLDGAPDLRCACSLLSTESRVPPNARPAPSVQFVYTYNSDAAHPAMHTFNTTQDQQLLEQVLPFSSGGQFQESLLFKSSLGMGFLDPSSQLG >Ma05_p25050.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37100552:37105571:1 gene:Ma05_g25050 transcript:Ma05_t25050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTSKMPFHFDWETLAPFNGEETEISEPAQELKSKIGSGVAMGNGSVYSSSGVLCSSSEMGNCLSKSSISASVDSSSKAMKRLPEVDFESVGGFLVHQNKNKDLARVHDFRTSHAVESAEGIKESVMGLKLGKRTYFEDPCAGSNIKSPSSSASFTPSTTSVKKSRVYQQSLLSSYCQVEGCNIDLSTAKDYHRKHRVCESHSKSPKVVVAGQDRRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQLETISFNSSKLSTSYYDKQQAGLVFGRPPFSQMTTMASSIWDNPSSFKLTQTEGCWIKSSKTGGINGQLHFPNYGNLNTVSTVGHNMDSLLPFKSVTADVLNQDVTAAFNLDGAPDLRCACSLLSTESRVPPNARPAPSVQFVYTYNSDAAHPAMHTFNTTQDQQLLEQVLPFSSGGQFQESLLFKSSLGMGFLDPSSQLG >Ma05_p25050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37100551:37105571:1 gene:Ma05_g25050 transcript:Ma05_t25050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGVFVALVGVFFPKLWFSSMVQVGPVAEIFQELKSKIGSGVAMGNGSVYSSSGVLCSSSEMGNCLSKSSISASVDSSSKAMKRLPEVDFESVGGFLVHQNKNKDLARVHDFRTSHAVESAEGIKESVMGLKLGKRTYFEDPCAGSNIKSPSSSASFTPSTTSVKKSRVYQQSLLSSYCQVEGCNIDLSTAKDYHRKHRVCESHSKSPKVVVAGQDRRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQLETISFNSSKLSTSYYDKQQAGLVFGRPPFSQMTTMASSIWDNPSSFKLTQTEGCWIKSSKTGGINGQLHFPNYGNLNTVSTVGHNMDSLLPFKSVTADVLNQDVTAAFNLDGAPDLRCACSLLSTESRVPPNARPAPSVQFVYTYNSDAAHPAMHTFNTTQDQQLLEQVLPFSSGGQFQESLLFKSSLGMGFLDPSSQLG >Ma05_p25050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37101522:37105571:1 gene:Ma05_g25050 transcript:Ma05_t25050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTSKMPFHFDWETLAPFNGEETEISEPAQELKSKIGSGVAMGNGSVYSSSGVLCSSSEMGNCLSKSSISASVDSSSKAMKRLPEVDFESVGGFLVHQNKNKDLARVHDFRTSHAVESAEGIKESVMGLKLGKRTYFEDPCAGSNIKSPSSSASFTPSTTSVKKSRVYQQSLLSSYCQVEGCNIDLSTAKDYHRKHRVCESHSKSPKVVVAGQDRRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQLETISFNSSKLSTSYYDKQQAGLVFGRPPFSQMTTMASSIWDNPSSFKLTQTEGCWIKSSKTGGINGQLHFPNYGNLNTVSTVGHNMDSLLPFKSVTADVLNQDVTAAFNLDGAPDLRCACSLLSTESRVPPNARPAPSVQFVYTYNSDAAHPAMHTFNTTQDQQLLEQVLPFSSGGQFQESLLFKSSLGMGFLDPSSQLG >Ma06_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5313602:5316522:-1 gene:Ma06_g07490 transcript:Ma06_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEPLRGSNGDEREGAMGSLAVVDDFVDGSLFEDISFSDLFVGMDDGDVLPDLELDPAEILVEFSGAAEGLFRVDGATQDVVEEAEERNASHGVAVASGMAEEDPILVTATEVWSASPEGDRGRKSSAAAVSMKGSQGKRKAKVEWTPELHRRFVQAVEQLGIDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKSLLTRQAEAASWSQRRQMYAAGTGAKRGANPWLAPTVVLPPPPAQAFRPLHVWGHPAAAEPPLVHMWPFPPPPDPPYWHHHFQRGSREGWVAMTQGTPCLPQPMAAAGFAAPHLAGIVPHPMYRPVPPPPPMSKQQESTSQLQLDAAHPTKECIDAAIGDVLAKPWLPLPLRLKPPSLDSVLAELQKQGVSKVPPASG >Ma03_p27900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31131229:31137571:1 gene:Ma03_g27900 transcript:Ma03_t27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-1-like protein, Male meiosis and anther dehiscenc [Source: Projected from Oryza sativa (Os08g0117000)] MVNVTVCVRFRPLSLSEKRLHGDSICIQALNTQSFTFKDEKEEDITFCFDRVFYQDSEQVDVYDFLAMPIIEGAVNAINGTIIAYGQTGAGKTYSMEGLGVLDGDRNKKGLLPRIVDGIFEFLQSLVEMTKWTVKLSMVEIYMDKIRDLFDLSKDNIQIKENKCQGIFLLGATEIPITNSTEALQCLCHGIANRAVGETQMNLASSRSHCVYIFSVQQESRTNGRLKTGKIVLVDLAGSEKADRTGAGGIVLEEAKSINKSLSALGNVINALTAGKVNHIPYRDSKLTRILQDALGGNSRTALLCCCSPSPSNVSESLSTLRFGARAKLLKSSPRANMSDAKDNMEQIIDCESLDYKSERLLEKLRQNLNEEDVDMLDELLTLEGIFFDPQSTEEIESAIEDVTIRTISALHQAVEDLKDRNDMLMMENHTLKADLTAARLALNNAKTVPNASLFGKARELLISYLPSFNFPLRR >Ma03_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:569194:570777:1 gene:Ma03_g00700 transcript:Ma03_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWNKKVVFPVKRALVAVAARVKARKHGDGISKLHDDVQTCGYQDVQVMWEMLRRSETELPKQRKRRFWRPSASSRRTTSCDSMERASSSPR >Ma02_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15562195:15568865:1 gene:Ma02_g04100 transcript:Ma02_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSLHSLYLLAIRALGFVCSLDRRRQFWTPMAAAAAATAAALTVLLCLVLCMREGEVEEGEEGVAGGASDGIVLERHRSGRRWRKRQQSPTEPPVTWMGKLVLVAGTIRFTFSETLGRWPLVDLLFGIRHHMRRQGNREVASVYAGSNCIELKGCEIMVDLIHMLRLLNLCFLFSKKPYAVLLESTGYTEEDVLLKEPKAGLLKPAFTILRDRNSKSFLLVIRGALSIKDKLTAATGELVPFHHLVSHEGSISNLTTGYAHCGMVAAAHWIANCATPCLLKAVTEYPDYNIKIIGHSMGAGIAAILSYILREHEEFLSSTCVAFGPAACMTWELAESGKHFVTTIVNATDLVPTFSAVSVDNLRSEIKTSSWLTNRIQHTRILKGLYHFMTTLRSCVPSISATRTRVTTTGKFLRPASESTEVVIRHAQHVAHAVVTCRSSVSCWSDMTLNRQAFGTRTGPDEEEAPGSLGTHTRPVNCLQASGCEEILEDQKLLQVNHLCKAASVEEMTDGEFWLEIEKKLHHHHEDMQGLEKEKEDSTLLIEAMEKELATTPNAQKEYQLYPPGKLMHMVAVPALDHSDTVNDALNNRSIGIYETPRELYGKIRLSQTMIDDHYMPWYKRMMELLIDKLKRDNDYYTAAI >Ma07_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5618340:5619904:1 gene:Ma07_g07550 transcript:Ma07_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFYPERTANKVESLKNSPSIAQLWHRNGRCPENTVPVRRTKRDDLLRASSVKRYGRKKHKSIPMPLTVDSDLPNESGHQHAIAYVEGDRYYGAKATINVWKPKIQQTNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCAGFIQISNEIAMGASIFPLSDYGGSQYDISILVWKDPQEGHWWIQFGNDYVLGYWPSFLFSYLTESASMIQWGGEVVNSELEGEHTSTGMGSGHFPEEGFGKASYFRNIQIVDGSNNLRAPKEVGTFTEQSSCYDVQNGNNDDWGHYIYYGGPGRNTGCP >Ma10_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28741553:28742947:1 gene:Ma10_g17280 transcript:Ma10_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVADDMGEGMQCVDHPYRSNPGGVCGFCLQEKLGKLVSSSKSSPFSPLQPPPSSSSSPTSFRSDGGVSGGGLGLGLGLGLTSRPSRTGAASGGRRTRFPFLAASHSYKKKKSSGGSGGYGNGARNVMASVSTAAGITDSGSAANDSGIVLKRSKSTAPRTAGTLAQGRGGGGIGDPAVAESPRKKSFWSFLYLSSAFSASSSSSAVHSSNINRRKSTSSSSGEGCDKDVNMKLHLQRQVNASDKLVAKEEAATAAPEQGENGVKEAESPNARQAAASSFGRKVARSRSVGCGSRSFSGDFLERISTGFGDCTLRRVESQREAKPNIGLHLNHDNDGEQRQPRMKVRFKCGGLFGGFGTMYAYWLSAAAAEDDLDDSSSSRTSAATPAPSATAAARGRTRSWSLAFASPMRAFRPYSSSKPLYAIHNAASAAPATNIISSINGSNGGKGHKLHSNPSFLAVES >Ma01_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10915376:10916076:1 gene:Ma01_g15020 transcript:Ma01_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGSRITDEEINELVSKLQSLLPESRRRNTGRVSASKLLKETCSYIKSLHREVDGLSDRLSGLMVTMDSNSPQAEIIRSLLRS >Ma07_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30365049:30366801:1 gene:Ma07_g22400 transcript:Ma07_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQEASASFGNRSHCDEVRWVLHVKRTLETMNEEDYSRGPVSIFGVPKSFLSVKPEAYIPQIVALGPYHHWDRQLYQMEHYKLAATKRIQNQLQLHGFTFQQIVDYCVLKEHAIRSCYHRHIDLHVDTLAWMMAIDASFLLEFLRNFSCEKGPSMSQMTDLMGIKMACNSILRDVVMLENQIPLFLIRKMLCFQRSSSQAAEDELSMMLVRFLKAVSPFTATQSLARIVQVKRYAHLLQLLYCIIVADAKDMCSSSNNNNEIECVIDAAESNNEQNKVDSQYSTQLFDSVWSSASALHIMNLLIVKPIEFLLKVPWPVVTAVFRGVSRSYNPIPGEPLLAEEIEIPSVTELIKSGVKFAATEGDLRTIEFDTKTATFYLPTMLFDANSEVVLRNLVAYETVAEPGPLVFTRYTELVNGIIDTKEDVRLLRRSGVVQHWMKNDEEVAKLWNGMSRSARPTKVDFLDKVISDVNGYYNSRWSVRARRFIKNYVTGSWQVLTFLAAILLLLLTCVDAFCSVFLCSSLWSSLV >Ma05_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3760912:3762885:1 gene:Ma05_g04900 transcript:Ma05_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVDALLLLKGSFTNSARLSSWTQGSSSGPCDPKAPWDGVVCLHGVINGLHLADMGLSGSINVDALSHFTGLRSVSFIDNNFSGPVPALGRLHALKAIYLSRNQFSGPIPGDFFDSMTRLKKLWLNGNAFTGPIPASLAKATALLELHLEDNDFTGSIPALDLPSLTSFNVSNNKLEGPIPDIFTKFNASSFLVNKDLCGEQLGGSPCRKVAHNGSGRVAAMCVMAVLLVCLAMYTIKTRDKSGEREIVTLGKARKEVEKGEASPETGQSSRKGESTHRHRRTGSSMKPGGTGGKTGGSGDDSGGGGGGGGAGDLVMVNEGKGVFGLSDLMKAEAEVMGSGGLGSAYKAVMANGMALVVKRVRDMTRVGKESFDAEMARLGRLTHPNVLPPLAYHYRKDEKLLVYEHIPKGSLLYVLHGDRGLDHASLDWPTRLKIVRGIAQGLAHIHAVLPFIEAPHGNLKSANVLLSLNFEPLLVDYGFLPLVNPAQAATVMQALRSPEVLAGRPISPRCDVYCLGVLILELLTGKFPSQYLTNVKGGTDVVNWATTAIGEGREAEVLDPAIMSGGKSSVPEMKRLVHVGVECTEADPDRRLVLKDAVDRIEEVVAAEAQRATAARSHAAYVRDGAGERSVRRVGSIGERSARRSDDSCSFAIS >Ma08_p30950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42026102:42033852:-1 gene:Ma08_g30950 transcript:Ma08_t30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSEEITTYSALSKLDTANHDDRERADFLVDHHSGSLSSPRGSRQDYLCQPSSKPDFPDNNSTQEPCFISQNGANHKGNLKNVLSGLSAMVTSRNLVDGAIQSQLNSSLDISFLSSELNGDSFLCPSVCMPSAPPLLGVESVNYSAYKDILAAEPPEWLPDSYSKVCMQCNSPFTAITRGRHHCRFCGGIFCRDCTKGRCLLPVKFQERNPQRVCDTCYDKLDSLQSILINSNSNAVQSAKHDVTDWTCMRGWLNLPVGLSMEYEIYKATNTLRSYCQVARLNPEKSIPWSVLRGAKGLAILTVVKVGALLTYKVGSGLVVSRRVDGSWSAPSAILSVGLGWGAQIGGELMDFIIVLHGSKVVKAFSSHMHISFGAGISAAAGPVGRVFEADLRAGAKGSGICYTYSCSKGAFVGVSMEGNVVATRMDANLRFYGDPYLTTGDILFGTVERPKAAAPLYLALDELYSKVS >Ma02_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24294716:24296873:1 gene:Ma02_g17380 transcript:Ma02_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLHNSSNQFYRAQKWRAVALASLTLIAVTCILINREKPNPSSDLLNHKNAGFQSSVRFRPSLELMNGTDVIWQIPDSPKAALFIAHGCGVRASNFWDKYPGCPNCTGLPEERIFVLRALERKFAVLTISSLGRCWSFEETEAIKWIIKWWVEKNKLGKLPIMAMGASSGGYFVSALAAEMRFRSLSIMIAEGVFASAGVPKDYPPTLFVHMPKDRNTMKKIQKNMKTLRQNGVLVKEIRCMQFPLSPDLFSNRIPGINQTLSVKMYHLFSEKGFIDENGFMNNDGRQTQWKEALIQRNIIPENYELLNHIGEELNLAFALHEMTSFETDGILDWFESNMS >Ma07_p27000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33532254:33546170:-1 gene:Ma07_g27000 transcript:Ma07_t27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGGNRGSVAAPIPTGSRKLVQSLKEIVNCPEAEIYSMLRECNMDPNEAVHRLLSQDTFHEVKSKRDKKKEIREPPESRSRTVNNSSGRGARGGLDRGVRSTSSQSSSIDYGAGKSKSLHKKENGASAVPNSSVVESSVLSSSPTQRPTTLSKSTPMGNTIQATTVADGISMPMQPPSGFQNSWLGKPGHVSMADIVKMGRSQGKPIGMPSGASEGSDMAQNVVMLNMSHHNGKQSPTTVPLESDKRSDSFQESIHVSEISHDFGISEDQRNSDDGWFLINKQPMNSVSTTSEVSDACAAYGNPLESASSNLVVDGTNLHIDPHLEEIQDLEKSHNVKNPPAESRSTSVSDRQIQVDTSKDASHLIEDLLKSTNSYQSQMLELDHQEGSFPAEDVLELSSATADLRQLSLHEETSTKSIEGSSAVIIPNHLRVTNADCAHLSFGSFGSGAFSGSFPSKQLKSNLEVPPVADDASRIDDSDTRNHEYDNNGQLEPTLTENVVSRSGSGSENLDVPLVSQPEVVRNDPLDTAHVLQYNFPSASDYALSSAAQPNAAAFSFPQGNTQQPNTLQNSILASSIPHLRDFDLPLSPLLTTQAMPTRYSTTVSSISGPTISTPEALNPGVFSNPQSTPQLPSTTMLTSPALPQHLPVHHYSQPALPLGHFANMISYPFLPHSYTYLPSIQQAFAANSPFHQSPAAVPSVGMKYSQPQFKSSLSATSLPQASAIASAYGGLGSSANIPGAFILNHTTASASTTIGFDEALSLQYKEGSHYLPLQQSENPAMWIHGAGSRTMSALPASTFYNYPGQNQHSGIRPSQQTSQLGALGYPNLYHSQAGPSREHQQNPGEGNLNGSQTPSQPANQIWQHGY >Ma07_p27000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33532254:33546170:-1 gene:Ma07_g27000 transcript:Ma07_t27000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGGNRGSVAAPIPTGSRKLVQSLKEIVNCPEAEIYSMLRECNMDPNEAVHRLLSQDTFHEVKSKRDKKKEIREPPESRSRTVNNSSGRGARGGLDRGVRSTSSQSSSIDYGAGKSKSLHKKENGASAVPNSSVVESSVLSSSPTQSKSTPMGNTIQATTVADGISMPMQPPSGFQNSWLGKPGHVSMADIVKMGRSQGKPIGMPSGASEGSDMAQNVVMLNMSHHNGKQSPTTVPLESDKRSDSFQESIHVSEISHDFGISEDQRNSDDGWFLINKQPMNSVSTTSEVSDACAAYGNPLESASSNLVVDGTNLHIDPHLEEIQDLEKSHNVKNPPAESRSTSVSDRQIQVDTSKDASHLIEDLLKSTNSYQSQMLELDHQEGSFPAEDVLELSSATADLRQLSLHEETSTKSIEGSSAVIIPNHLRVTNADCAHLSFGSFGSGAFSGSFPSKQLKSNLEVPPVADDASRIDDSDTRNHEYDNNGQLEPTLTENVVSRSGSGSENLDVPLVSQPEVVRNDPLDTAHVLQYNFPSASDYALSSAAQPNAAAFSFPQGNTQVQTLSPFSSLMQPNTLQNSILASSIPHLRDFDLPLSPLLTTQAMPTRYSTTVSSISGPTISTPEALNPGVFSNPQSTPQLPSTTMLTSPALPQHLPVHHYSQPALPLGHFANMISYPFLPHSYTYLPSIQQAFAANSPFHQSPAAVPSVGMKYSQPQFKSSLSATSLPQASAIASAYGGLGSSANIPGAFILNHTTASASTTIGFDEALSLQYKEGSHYLPLQQSENPAMWIHGAGSRTMSALPASTFYNYPGQNQHSGIRPSQQTSQLGALGYPNLYHSQAGPSREHQQNPGEGNLNGSQTPSQPANQIWQHGY >Ma07_p27000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33532253:33546170:-1 gene:Ma07_g27000 transcript:Ma07_t27000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGGNRGSVAAPIPTGSRKLVQSLKEIVNCPEAEIYSMLRECNMDPNEAVHRLLSQDTFHEVKSKRDKKKEIREPPESRSRTVNNSSGRGARGGLDRGVRSTSSQSSSIDYGAGKSKSLHKKENGASAVPNSSVVESSVLSSSPTQRPTTLSKSTPMGNTIQATTVADGISMPMQPPSGFQNSWLGKPGHVSMADIVKMGRSQGKPIGMPSGASEGSDMAQNVVMLNMSHHNGKQSPTTVPLESDKRSDSFQESIHVSEISHDFGISEDQRNSDDGWFLINKQPMNSVSTTSEVSDACAAYGNPLESASSNLVVDGTNLHIDPHLEEIQDLEKSHNVKNPPAESRSTSVSDRQIQVDTSKDASHLIEDLLKSTNSYQSQMLELDHQEGSFPAEDVLELSSATADLRQLSLHEETSTKSIEGSSAVIIPNHLRVTNADCAHLSFGSFGSGAFSGSFPSKQLKSNLEVPPVADDASRIDDSDTRNHEYDNNGQLEPTLTENVVSRSGSGSENLDVPLVSQPEVVRNDPLDTAHVLQYNFPSASDYALSSAAQPNAAAFSFPQGNTQVQTLSPFSSLMALNPGVFSNPQSTPQLPSTTMLTSPALPQHLPVHHYSQPALPLGHFANMISYPFLPHSYTYLPSIQQAFAANSPFHQSPAAVPSVGMKYSQPQFKSSLSATSLPQASAIASAYGGLGSSANIPGAFILNHTTASASTTIGFDEALSLQYKEGSHYLPLQQSENPAMWIHGAGSRTMSALPASTFYNYPGQNQHSGIRPSQQTSQLGALGYPNLYHSQAGPSREHQQNPGEGNLNGSQTPSQPANQIWQHGY >Ma07_p27000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33532254:33546169:-1 gene:Ma07_g27000 transcript:Ma07_t27000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGGNRGSVAAPIPTGSRKLVQSLKEIVNCPEAEIYSMLRECNMDPNEAVHRLLSQDTFHEVKSKRDKKKEIREPPESRSRTVNNSSGRGARGGLDRGVRSTSSQSSSIDYGAGKSKSLHKKENGASAVPNSSVVESSVLSSSPTQRPTTLSKSTPMGNTIQATTVADGISMPMQPPSGFQNSWLGKPGHVSMADIVKMGRSQGKPIGMPSGASEGSDMAQNVVMLNMSHHNGKQSPTTVPLESDKRSDSFQESIHVSEISHDFGISEDQRNSDDGWFLINKQPMNSVSTTSEVSDACAAYGNPLESASSNLVVDGTNLHIDPHLEEIQDLEKSHNVKNPPAESRSTSVSDRQIQVDTSKDASHLIEDLLKSTNSYQSQMLELDHQEGSFPAEDVLELSSATADLRQLSLHEETSTKSIEGSSAVIIPNHLRVTNADCAHLSFGSFGSGAFSGSFPSKQLKSNLEVPPVADDASRIDDSDTRNHEYDNNGQLEPTLTENVVSRSGSGSENLDVPLVSQPEVVRNDPLDTAHVLQYNFPSASDYALSSAAQPNAAAFSFPQGNTQVQTLSPFSSLMQPNTLQNSILASSIPHLRDFDLPLSPLLTTQAMPTRYSTTVSSISGPTISTPEALNPGVFSNPQSTPQLPSTTMLTSPALPQHLPVHHYSQPALPLGHFANMISYPFLPHSYTYLPSIQQAFAANSPFHQSPAAVPSVGMKYSQPQFKSSLSATSLPQASAIASAYGGLGSSANIPGAFILNHTTASASTTIGFDEALSLQYKEGSHYLPLQQSENPAMWIHGAGSRTMSALPASTFYNYPGQNQHSGIRPSQQTSQLGALGYPNLYHSQAGPSREHQQNPGEGNLNGSQTPSQPANQIWQHGY >Ma08_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10772715:10777140:1 gene:Ma08_g13640 transcript:Ma08_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRMLFETEECSIRRYMGTITGTSDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLNRPWHLGGPFSQDTKEDELNAPIWLRNDAGEQGSHPFNSPSLDMGSWMHERLEPLLSGNEVSQYQTMSAAALEAIRGVDILRPHLLQYQQPFQFLQHLQHQDFQQVISSPSLRLLENQPTSVPQQQLQQLLSEQHKQQVQQTHAYADTFEIPNNHPQQQSSLSSQFYEKPIIPDSSFNIFPITTSSSVQGIFGSTYPEGNTDLLNCSQLGQSQQPMKPKHNESQVTPFGAGALLPSFAEKDGSGGNQNCPSFQNHVSSLLSIAAPNLTMNATLGDASATPYVASCIQNSLYGYLDESSNLLQNSGESNPQSQTFVKVYKSGSVGRSLDISRFSNYDELRVELGHMFGVGGLLEDPRRSGWQLVFVDRENDVILLGDDPWESFVNNVWYIKILSPEDLHKMGKQGID >Ma07_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8784216:8784506:-1 gene:Ma07_g11820 transcript:Ma07_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKRKNQESSISGITWKSKLVESQFKRFLPTMQQSALLIDQLVWIIFTRLCEREKERERERERERGHCHKPFIITYDLWKSHAIKFKVHPSTILG >Ma08_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9489742:9538696:1 gene:Ma08_g12550 transcript:Ma08_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MRRVVLTALMASSPRLFLVGLLRPSVIHRFNKSPLPRLLVRKYVRSSKVFKGIPMASKRLSKQKDFYVEEVHSHIMWWKEKIQLCKKHSTVLLVKRLTYSNLLGLDVNLKNGSLKEGTLNMELLQFKSRFPREVLLCRVGDFYEAVGFDACVLVEYAGLNPFGGLRTDSIPRAGCPVMNLRQTLDDLTRNGFSVCIVEEIQGPTQARTRKGRFISGHAHPGNPYVFGLAGVDHDVEFPDPMPVVGISRSAKGYCMISVLETMKTFSAEDGLTEEAIVTKLRTCHYHHLYLHTSLRHNSSGTCRWGEFGEGGLLWGECNGKTFEWFCGNPIEELLCKVRGIYGLDQETTFRNVTVSSNKRPQPLYLGTATQIGVLPTEGIPSLLKVLLPSNCVGLPALFIRDLLLNPPCYEIASSIQEACRLMSSVTCSIPEFTCVSAAKLVKLLESKEANHIELCRIKNVVDEIIHMSRNPELSAILHILLQPTWVATGLKVEYDVLVNECSCISQKIGDILFMRGESGQETSSLEFIPDEFFENMESSWKGRVKRCHAEEAFAEVDSAAMALSIAVMEDFSPIVLRVKSLMNPFGGPKGEICYAREHGAVWFKGRRFVPAVWANTTGEEQIKQLRPATDSKGRKVGEEWFTTSKVEEALNRYHEASDNARAKVLNLLRGLSSDLQTKMNILVFSSILLVIANALFSHVSEGRRREWVFPEIYDFFDSKENFLAEVNSKMELSGLSPYWFDVAHGNATKNTVNLNSLFLLTGPNGGGKSSLLRSICAAALLGICGLMVPAESALIPNFDSIMLHMKAYDSPADGKSSFQIEMSEIRSIVNGSTARSLVLVDEICRGTETAKGTCIAGSIVETLDHIGCLGIVSTHLHGIFDLPLATRSTVYKAMGTEVVDGCTKPTWKLIDGICRESLAFETAEKEGIPEMIIKRAQELYLSVNATDAQAAVCAVMEFSNPKGYLNSPAEVCISKTTFECTSSVSDQQLVEEVKSAVTIVCQRKLLDLFKKKSMPELAEVRCFSVGAREQPPPSTVGTSSIYVLIRPDRTLYIGQTDDLVGRLRAHRSKEDMQNAPIIYVIVPGKSVANQLETLLINQLPISGFRLVNKADGRHRNFGVAGLIMEALTVRQQD >Ma07_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27066241:27067963:1 gene:Ma07_g19030 transcript:Ma07_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLGSSLFFLLPLLLFSFLCPALLFFSKRPFSSPANGCSSLKGYFLMGHLPHLIKNRRRLLEWSAELILASPTGTVTVAPVVFTGNPSNVEHMAKANFGNYPKHGAFISPVRDFLGCGILNVNGEEWRLQRKAASYAFNSTSLRAFVFDKVDREIVGRLFPLMREASQSDEVLDLQDVLERFAFDTICSLVLGEDPGCLGGGHGSEEKEGERFFRAFGDAVHLSVERALQPLPLVWKAKKWLDIGSERRLRESMAIVHGFVDRCMRSRRLRTSGDGGTDFLSRFDQRELNSNELIRDILINFVLAGRDTTPAALTWFFWVLASQPQVANKIREEIELIRSRRAEEDGSRASFTMEELREMNYLQAATSESLRLYPPVPLVPRSCSEEEELPDGARMRKGWVLMYNAYAMGRRDEIWGEDCREFKPERWLEEEEGVFRAKSPFVYPVFHGGPRICMGKDVAYVQMKAIAASILERFEMEVVEASGRHQLLMTMRMEGGLLVKVKERSSGKCAWSASSI >Ma04_p25480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27232659:27234402:1 gene:Ma04_g25480 transcript:Ma04_t25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGESRTEKLPELCIVHAISLTSPRVASRLSAVSTTFRAAATSDTVWDRFLPSDWQSLVSRAVHPVDFSSFSSKRDIFFRLCDPILIDDGKMSFSLDRSSGAKCYVLSARKLSITWADAPWYWKWRCLPESRFADVAELLDVYWLEIRGKIESRMLSQKTAYAAYLIYRTSDEAYGLTNPSQEASVKVGVYTSTKLVCLLPIDILSESDAQRASPSYKYQICCCCEDSEEAEEEDGDSEEAEEVDGDSEEAEEEDGDSEEAEEVDGDSEEAEEVDGDSEEAEEVDGAPKARNDDWMELELGEFYTDEGDDGEVNISLLEVKGNYLKSGLIIEGIEIRPKQ >Ma07_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10207571:10213451:1 gene:Ma07_g13580 transcript:Ma07_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFSSRSSKFLRRAAAAGVQCGGRRRGVVARGGREKGKAVMDNSREVEAYMDPKATVGGGVEDAYGEDRATEEQLVTPWTFSVASGYNLLRDPHHNKGLAFTEKERDAHYLRGLLPPIVVSQELQERKLMSSLRQYKVPLQRYVAMVDLQERNERLFFKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPVWSVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGLRPSACLPITIDVGTNNEQLLNDEFYIGLRQRRARGQEYDDLLHEFMCAVKQQYGEKVLVQFEDFANHNAFDLLTRYSKTHLVFNDDIQGTASVVLAGLVAVLKLVGGTLADHTYLFLGAGEAGTGIAELIALEMSRQTGTPIEQNREKIWLVDSKGLIVSSRLESLQHFKKPWAHDHEPVNNLLDAVQVIKPSVLIGTSGVGKTFTQDVVETMASFNEKPVILALSNPTSQSECTAEEAYTWTKGRAIFASGSPFDPVEYEGKTFVPGQANNAYIFPGLGLGLVISGAIRVHDEMLLAASEALAQQVTQENFDKGLIYPPFKSIRKISAHIAASVAAKVYELGLATRLPRPENLVKYAESCMYTPAYRCYR >Ma08_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27038827:27041264:-1 gene:Ma08_g17770 transcript:Ma08_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVQLGSHTVQSHGIKVARTHKFDWLILIFLVVLVMVLKIVHPFYRFVGKDMMNDLRYPFKTSTIPSWALPIIAIVLPASIFIAVYFRRREVYDLHHSILGLLFAVLTTAIITNAIKVTVGRPRPDFFWRCFPDGKELFDIVTGNVICHGEENLINDGHKSFPSGHTSWSFAGLGYLALYLSGKIKAFDRNGHVAKLCIVFLPLLVASLVGISRVDDYRHHWDDVFAGGILGVTVATFCYLQFFPAPYHMDGWGPYAYFQMLGEQGSSNPTSAANQQTEGSNMIRLSRIYTNRSPANDLEYGRV >Ma01_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21957028:21957309:-1 gene:Ma01_g21820 transcript:Ma01_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPAAGAQIFQGDEGKEEDQDQQPITASVRGLRGGGKGTWKHAASHVATTIATPAAYAPLPFAVASLGWPL >Ma11_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7630769:7632585:-1 gene:Ma11_g09380 transcript:Ma11_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIPDEQYEDLVNSCEGKYFGNSNHDCLRNLENFQWHIKDINKDHILCLPCHFTMGNNMVAHKYDSFRTYESIHGETQYSPYCHEYEMAPKRLLDLETSRQILHAMPFFRLKFLDLR >Ma01_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6361629:6364757:1 gene:Ma01_g08860 transcript:Ma01_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLKDYGQAFVIMGVSGTGKSTVAEMLAKALDCSFLEADDFHSQANKDKMRKGVPLTDEDRFPWLEALRDVVGKIMSSSKNVTLTCSALQKKYREILRSADADYKPGQYTICRVKFICLEAPVEVIADRIRRRSKDGKHFMPVSLLQSQLDLLQIDEAEGVIRVDATMSREAILESILTSHF >Ma05_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6398878:6409277:1 gene:Ma05_g08670 transcript:Ma05_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G03740) UniProtKB/Swiss-Prot;Acc:Q9SRV1] MPETAAVNPEKELALSPTSSRSVTETVNGSHKFVIQGYSLAKGMGVGKHIASDTFTVGGFQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRAALETSDYLKDDCLKINCTVGVVVSVMDSPRLHSIQVPDSDIGMHFGALLDNQEGSDVIVHVSGEKFHAHKLVLAARSPVFRAQFFDDSDGQKSDIVVADMEPRVFEAMLHFIYRDTFIEDDVLTTSSSPESFASDTLAAKLLAASDKYGLERLRLLCEAHLCKDISVDSVACILSLADCYHATELKAACLKFSAENLGAVMRSSGFRHLKENCPSLQSELLKIIAGAGDESSDGGKDRSVWAQLSDGGDSSGRRVRPRL >Ma09_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2952233:2960087:-1 gene:Ma09_g04530 transcript:Ma09_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASTALIPLAPLHRFSAVNGARRSFLCHRNPWRSRVFMSVSVGSRATVGNDALFQDYKPSCAFLFPGQGAQTVGMGVEAQNVNAAAELFKKANDILGYDLLDVCANGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGQDIINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDAADAAKSAMVSVIGLDSEKVQLLCDAANEEVDDQNKVQIANFLCPGNYAVSGGVKGVEAVEAKAKSFKARMTVRLAVAGAFHTNFMEPAVSRLEAALATTEIRMPRIPVISNVDAQPHSDPDTIKKILAQQVTTPVQWELTLKTLLNKGLKKSYELGPGKVIAGILKRMDKSADLENIGA >Ma09_p29470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39903336:39905379:-1 gene:Ma09_g29470 transcript:Ma09_t29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLLLPVKRTEHVHVTPKPLAPRRPEHAHAHKPRTVRIYCEDLDATDSSGDDEEEPECRTLRRVRRYVQEIRFEVRPSPVCTSSKASKGAAATGRKRKAAAAADVADADDGDRSVKRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDSAAIKLRGPGAATNFSQPAVDISPAGPPPNKHLPDDNLASVSGTYDSIDEPRNLSSPISVLCGFSSSASSPSPSSPTPTEKAAEAPASASERSRPDQLVDILPFEEVPLFDEILGLSHSRPSYFDDSAPIGFLAEEMSDALFGAGLDLDLGLSTWQADDYFEDIGDLFPIEALPAL >Ma02_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7661954:7726857:-1 gene:Ma02_g01030 transcript:Ma02_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPVIHMHGGVGKDGRRKLIGWFSKGSTYHLLSMGKKQFGRKFLSDTFDENTPPVDDPKYISSLGSAIYKGMQSGDDDAIWLMQVS >Ma07_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9917391:9921203:-1 gene:Ma07_g13200 transcript:Ma07_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTSKGVMNQGLVENPEIMATSHMGFNLQASHLPPLTFSPYSLSKTCTVGGEDAVSRLRGNLPSLPPDHGDDGSGRLGQSPTQRSSSDLWSRTGDRAASDKGSSVAAGEYYSNNKNGPAANNIIIDGLSVGAMRMKKVKVRRKVREPRFCFKTMSEVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQENCRVKKRIERMAEDPRMVITTYEGRHVHSPSHAEEESQPSSEVTLFW >Ma11_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19533741:19547670:-1 gene:Ma11_g14260 transcript:Ma11_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAVVSDEEDEVEEDEEERAMEQDADDVGDGGNDDEEEEEEEGQDEYEQDGFIVDDVEEEEAEEEEEEEKQESDEERHRKRKKKRRDSEKNYVLDEDDYELLQDNNITGFRRPQPSSKFKRLKKAGRDNEVERSGFSDDEEFDRDSRGGRTAEEKLKRSLFGDDEVVPLEDIAEEEEQPEEEEEADIIGEEDEMADFIVDEEDVDETGAVVRKKKPKKKMSRQAPGVSSSALQEAHEIFGDVDELLMLRKQGLAAVSADGSWSEKRLEDEFEPFILSEKYMTPKDDIIRETDVPERIQVSEDKTGPPPTDDKSIEDESTWIYSQLSSGGISPLVGYDQIVKEINKEEIGNVLTMMHVQKLDIPFISMYRKELCHSLLKDPDANMADIEETPKMKWHKVLWAVQTLDRKWLLLQKRKSALHSYYNKRFEEEARRIDNEMRLALNQQLFKSITEALKDARSEREVDDIDAKFNLHFPPGEVDTEDVQFKRPKRKSLYSSFHKAGLWEVANKFGANSEQFGLLLSLEKISDEFEDAKETPEEIAANFTCALFETPQDVLKGARHMAAVEIGCEPNVRRHVRSIFMEKAVVSTSPTPEGNMAIDSYHQLAGVKWLRNKPLSEFVDAQWLLIQKGEEEKLLKVTIKLPEDIQKKLLSDASEYYLSERVSRSAQLWNEQRKMILDDSFLTLILPSMAKEAQSLLTARAKSWLLMEYGRQLWNKVSVAPFKRKDADNDPEDESESRVMACCWGPGKPATTIVMLDSAGEMVDVLYAGSVSVRSQAVADQQRKKNDHQRLLKFMTDHQPHAVCVGAANMACRQLKDDIYEVIFKIVEDHPKDVSQDLEYFSIVFGDESLPRLYENSRISSDQLPGQPGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLCPLEHFLTPDEKYEVVEQVMIDATNQVGVDINLAASHEWLFAPLQFISGLGPRKASALQKAFVRAGSIFNRKEIPMGKILRKKVFINAVGFLRVCRSGAASASSHIMDLLDDTRIHPESYDLAKNLAKDVYAEDVPNEPNDMDDDVQEMAIEHVRERPHMLKVLDINEYAKSIFNQYGTNKRETLYDIKMELLHGFQDWRTPFKEPGAEEEFAMLSGETDDTISEGRIVQVTVRHVQENRIICAFDSGLKGMIFSDDFSDDGYDPEKVHEGDILTCKIKQINKNRLVVYLTTKASDLRRRPYNIRNRDPYYHEDEISLQSEMEKARKDKERAKKHFKPRMIVHPRFQNLTADETMEYLSDKEPGESIIRPSSKGPSFLTLTLKVFDGVYAHKEIVEGGKDHKNITSLLRLGKTLTIDKDTFEDLDEVMDRYVDPLVAHLKIMLSYRKFRKGTKMEVDDLLRAEKAVNPMRIVYCFGISHEHPGTFILSYIRSSNPHHEYIGLYPKGFRFRKKDFDDIDRLVAYFQKNIDKPPPDAGPSLRTLAAMVPIKSPAWVSSSGGSVGSASAGSNDGWRGHANSDRERSSTPGSRTGDRFDSRSIGSRDVHPSGLPRPGRGHGRGHGRGNNLVSGGHDSGYGATKWGSNENNGLSTFPGAKVQNSPGRDPWGWGSGGSGGGQGGSNTGGSTGGGDWGSGYADRGSDKWGGGGSKSGWGEGGSGGSSWGTGGNVGGREVRAGLGGVGNGGTGPGWGGNSGGGGSSTGGWGGGTSFGGLTEELANPNGSGSSNTGFGASAPGWGDSRRSVASQPDTGDGTSGGNGVGW >Ma11_p14260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19533741:19547653:-1 gene:Ma11_g14260 transcript:Ma11_t14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAVVSDEEDEVEEDEEERAMEQDADDVGDGGNDDEEEEEEGQDEYEQDGFIVDDVEEEEAEEEEEEEKQESDEERHRKRKKKRRDSEKNYVLDEDDYELLQDNNITGFRRPQPSSKFKRLKKAGRDNEVERSGFSDDEEFDRDSRGGRTAEEKLKRSLFGDDEVVPLEDIAEEEEQPEEEEEADIIGEEDEMADFIVDEEDVDETGAVVRKKKPKKKMSRQAPGVSSSALQEAHEIFGDVDELLMLRKQGLAAVSADGSWSEKRLEDEFEPFILSEKYMTPKDDIIRETDVPERIQVSEDKTGPPPTDDKSIEDESTWIYSQLSSGGISPLVGYDQIVKEINKEEIGNVLTMMHVQKLDIPFISMYRKELCHSLLKDPDANMADIEETPKMKWHKVLWAVQTLDRKWLLLQKRKSALHSYYNKRFEEEARRIDNEMRLALNQQLFKSITEALKDARSEREVDDIDAKFNLHFPPGEVDTEDVQFKRPKRKSLYSSFHKAGLWEVANKFGANSEQFGLLLSLEKISDEFEDAKETPEEIAANFTCALFETPQDVLKGARHMAAVEIGCEPNVRRHVRSIFMEKAVVSTSPTPEGNMAIDSYHQLAGVKWLRNKPLSEFVDAQWLLIQKGEEEKLLKVTIKLPEDIQKKLLSDASEYYLSERVSRSAQLWNEQRKMILDDSFLTLILPSMAKEAQSLLTARAKSWLLMEYGRQLWNKVSVAPFKRKDADNDPEDESESRVMACCWGPGKPATTIVMLDSAGEMVDVLYAGSVSVRSQAVADQQRKKNDHQRLLKFMTDHQPHAVCVGAANMACRQLKDDIYEVIFKIVEDHPKDVSQDLEYFSIVFGDESLPRLYENSRISSDQLPGQPGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLCPLEHFLTPDEKYEVVEQVMIDATNQVGVDINLAASHEWLFAPLQFISGLGPRKASALQKAFVRAGSIFNRKEIPMGKILRKKVFINAVGFLRVCRSGAASASSHIMDLLDDTRIHPESYDLAKNLAKDVYAEDVPNEPNDMDDDVQEMAIEHVRERPHMLKVLDINEYAKSIFNQYGTNKRETLYDIKMELLHGFQDWRTPFKEPGAEEEFAMLSGETDDTISEGRIVQVTVRHVQENRIICAFDSGLKGMIFSDDFSDDGYDPEKVHEGDILTCKIKQINKNRLVVYLTTKASDLRRRPYNIRNRDPYYHEDEISLQSEMEKARKDKERAKKHFKPRMIVHPRFQNLTADETMEYLSDKEPGESIIRPSSKGPSFLTLTLKVFDGVYAHKEIVEGGKDHKNITSLLRLGKTLTIDKDTFEDLDEVMDRYVDPLVAHLKIMLSYRKFRKGTKMEVDDLLRAEKAVNPMRIVYCFGISHEHPGTFILSYIRSSNPHHEYIGLYPKGFRFRKKDFDDIDRLVAYFQKNIDKPPPDAGPSLRTLAAMVPIKSPAWVSSSGGSVGSASAGSNDGWRGHANSDRERSSTPGSRTGDRFDSRSIGSRDVHPSGLPRPGRGHGRGHGRGNNLVSGGHDSGYGATKWGSNENNGLSTFPGAKVQNSPGRDPWGWGSGGSGGGQGGSNTGGSTGGGDWGSGYADRGSDKWGGGGSKSGWGEGGSGGSSWGTGGNVGGREVRAGLGGVGNGGTGPGWGGNSGGGGSSTGGWGGGTSFGGLTEELANPNGSGSSNTGFGASAPGWGDSRRSVASQPDTGDGTSGGNGVGW >Ma10_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13647435:13651553:1 gene:Ma10_g03420 transcript:Ma10_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLSSSHARLLRCSPTSPFPMLPMARARTLSFTPLRSKFSSFPRIYAFSSNDIKVGSNIEVDGAPWRVLEFLHVKPGKGAAFVRTKVRNYITGNTVEKTFRAGSTIQEANIAKETKQFTYKDGSQFVFMDLATYEETRLTEAEVGEKTKWLKEGMDCNVVFWNGRVIDFELPIIVKLTVVEVDPGVRGDTAQGGSKPATLDTGAVINVPLFVNTGEEILVDTRTGQYTNRA >Ma08_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32172869:32183882:1 gene:Ma08_g18650 transcript:Ma08_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFRLSKSGKRFRPKPILVQEETGPSGEESKESSGVLVGAGSKREVDDTEAANDTGDVFPEHEVSFTLNLYPKGYSIGKPTEIENCQTLLQDVKPLHPYDKASETLFSAIESGWLPGDILDDIPTKYFDGAIVCQVRDYRDHISEQGTATTSFVMPVLRKVRLRMSLENVIKDMPFIADDSWTYSDLMEVEARILKVLQPRLCLDPTPMLDRLCKDPSATKLNLSFGRKRKLQQIAEVTVTANNQNLGKSICTDRKPGNPNCGQGGAGTSVCNASLQQVYENISMQHGSSGVPSFRRNNVGHEATRQTLPLHSEFMLQPAINSSAIAEDRAAGLPSNFSGVNAKISLAQNMISSYADTAGSNSASSLKRENPDAQLTPLAAMKRPKQTPVRLDDIQQQQQTGPPLVGLTGTDMQWKNQLPHSHPDIKGIQHSSTWGGQRHTLSVMNNVPNNEPGAPFFNQQGLRYGANEEHLDRQETERPKEALPTLDSDNSVLDLQQLRAQHLRQHSSMRNHPPTAVEWQNGRPITEDIVKDDVHQRRISVPSPRVPSGPMVQSPMSSKLGEISSGSLAGQFSGVRTVSVLGVQKDKLTAINNASVSNMNVPLAANSPSTAAAPMGDQVILERFVKIGVVAQRHQLNVKKNKVDHYSARETVQYSTQQLAFYLSDSFNCEDYTDHTKPMSRSLIGGSTTTCKARSMSFMRNEEMYQVPTRLLMTEKPFDGTVSMQYGFMDDSVIHDYQLTLPTNHHADLLAAQFGLLMERDGYQKTDDQIRPIPIRMVAPNKLAPVSGMLLDNTASQMKQPELATGQPLQVAAPAMANGMVPMNVSQNPSNHARMLTSVNNSQALGISQGYIPGTAIPTRMQQVDQYLFQQQQQQPPWQQQQLQPTTQSRLQQQQKLPFTHVHTSSPPLTTNPLSQIMNQTSNLPMSTNQMVKPSPLQLQMLQQQAGQQQQPQISRKVMLGINQNISMGNVGNNVMSLGLSNVIGIGGPHGVSSPTGSISGSGNISPHEMNLASASNFSPGLRPSSLSHAQAATAAVAIKLRMAQQNRAGPYGQSGIAGIPGNTNQMLPISTGLPVLGALNRANLSSLQLNAMLPMGPPKLSGANFYLNPQQQLQHQQLQQQQQHQMQQVSSPLQQPQVGSPPMVGSPSVMVMQHQHISPQQLSQQTAMSPQQLSSGAFQPTNNSGNPVAGPASPQLSSQTHGSVGSITSSPHGSASRCK >Ma07_p28240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34365156:34368583:-1 gene:Ma07_g28240 transcript:Ma07_t28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLSSSWDSDDEYEKFIRRMNPPRVVVDNDSCANATVIRVDSANKYGILLEVVQVLMDLNLIITKAYISSDGGWFMDVFNVRDRDGNKIEKEKDLDKIKNFIRKSLGEDSSFVPSRRKSVGFTPSSDHTSIELTGTDRPGLLSEVSAVLTDLRCNVVSAEVWTHNSRAAAVMQVTDEETGSAITDPEKLSRIKELLCNVLKGNNRSREAKTTVSVGVTQTERRLHQLMFDDRDYERSDEDSRNEHHRPKVTVVNWFDKDYSVVTVRCKDRPKLLFDTVCTLTDMQYVVFHGNVDAEGPEAYQEYCIRHVDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELCTSDRMGLLTDVTRIFRENGLSVTRAEVTTRSGKAINTFYVRDAAGNPVDPKTLDDIRAEIGQTVLQVKGHADHLKSPQGSPTRFLFGGLFKSRSLYNLGLIRPYT >Ma09_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:437501:440912:1 gene:Ma09_g00620 transcript:Ma09_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSFRPPSLSPSPLPQPKRCPFLIPPIAPLSVASEPRHRRILRPGAAAPEIGALGEDQRSTVPLPKEESGVAASVKVLKAAAKTRKVSAPEVLAALAVIKKEKANPTSFLETLGGTESPGRTWMLIFTAQGRLEKGRYFPVTAVQRFDAAAKRIENGVYLGPIGCLTFEGKFSWKNRMLAFIFENIRIKVGILGPVQINLGQAEREPTTKDPFFIWLYIDEEIAVAQGRGGGIAYWCRCHRVT >Ma05_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10932233:10932607:-1 gene:Ma05_g14900 transcript:Ma05_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFAGTRVVRLQSIHNKYLVAEDDERRVSQHQDRSSSGARWTVEVVTDILHQPCLHLESCHGRYLAAPLRNCAFLRLAGTKAAQTFPRWTAKPSDASSQTTPAMWTKETAWAAWWLLEAASPH >Ma05_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35750607:35753888:1 gene:Ma05_g23600 transcript:Ma05_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCNEGNVASQGEEVKVENKYGSIVPKKQLISKDHERAYFDSADWVLGMAGTSSKTKTATESLKPKLKRTPHHQLPPRKPTCTSS >Ma05_p23600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35750598:35753915:1 gene:Ma05_g23600 transcript:Ma05_t23600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCNEGNVASQGEEVRHTNQIMTNYWVERNLWTTKIFHLYHMELPMKTINITSVKVENKYGSIVPKKQLISKDHERAYFDSADWVLGMAGTSSKTKTATESLKPKLKRTPHHQLPPRKPTCTSS >Ma04_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22147421:22152520:-1 gene:Ma04_g19520 transcript:Ma04_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKDSDQESSAVVKSLFSDDNPFRRKPQPESPLPTPELGLGLAGKPSNLVGGGVDDAQEARKRKRSKGSGIPNPSLTPASIPNKRNKYENGEDSGSPKENRKKRKRREIEEEYEKRIYDAAENVLEGDGVEGRIVAVGEKRKAADVVSSDMEVSKEAFDDESKLMRTVFVGNLPLKTKRKSLQKEFLKFGEVESVRIRSVPIVYSKTPRAIVQGKINDAVDSVHAYIVFKDEQSAKAALSHNMAQFGGNHIRVDMACPPRKKLRGDGPLYDKKRTVFVGNLPFDVKDEELYQLFCGVSEIESNVEAIRVVRDPQTSLGKGIAYVLFKTRDAATSVAKRRDLKVRDRMLRVCHAKSADATPSKSSSVGPKRQFPGKTHGVANGGTSSAGENDKSKVKAASLSYQGVRSSKSGVMKKSNLRPQVSNQGNNKRGGGSDQMAPKAKRPAVAARKAKQLLKKRKLEAGTPLNTHVNKKARKD >Ma01_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10410654:10420732:-1 gene:Ma01_g14270 transcript:Ma01_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPLVLLPRRLHLGLRTSPSYSARSSGRSAMTPPPILSLVLPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGHVLNGQQLCDLIEGLSENHLLYYTHLLTGYIGSVSFLEMVLQVVERLRVVNPGLLYVCDPVMGDEGKLYVAPDLVSVYREKVVPVASMLTPNHFEIELLTGLRITSESDGLKACNILHAAGPSKVVITSLSIKEKVFLIGSHQKVKGQSPQQFKIVIPKIPAYFTGSGDLMTALLLGWSNIYPDNLEKASELAVSSLQAVLQRTLADYTTAGFDPQSSSLEIRLVQSQDDIRNPEIKLKAESYT >Ma01_p14270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10410654:10420732:-1 gene:Ma01_g14270 transcript:Ma01_t14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPLVLLPRRLHLGLRTSPSYSARSSGRSAMTPPPILSLVLPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGHVLNGQQLCDLIEGLSENHLLYYTHLLTGYIGSVSFLEMVLQVVERLRVVNPGLLYVCDPVMGDEGKLYVAPDLVSVYREKVVPVASMLTPNHFEIELLTGLRITSESDGLKACNILHAAGPSKVVITSLSIKEKVFLIGSHQKVKGQSPQQFKIVIPKIPAYFTGSGDLMTALLLGWSNITLRKHQSLQCQVYRLCCSEHWQITRPQVLIHNRAV >Ma07_p27030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33568456:33575178:-1 gene:Ma07_g27030 transcript:Ma07_t27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAVRSYGNNEDLNVELSHTNGSVTHIQYHSQWMAHWKRASSISAHQCHKHCTLSDASNRKNCVKQEGYSQVENTKSGKVQLVSIGTREGTTPESRNVCSSELNELGLTLHVHRSAEFVGAEKGRCEMDNTLVVPKDVHTYDGVVVSDKLHLHNFSDSSINWENYNTCHSLNSDEVHFPKYDISWKIDNTLNPKRGPGHGQVANRFVKLHLPLKGTMLGSHVTESKATEISTSSDQEIEPCDTVNGLQNFQDGISASTSLPAMVRSKAERPNMKSPVCIKDRRMSSESDHTLDEHRVLRDLWPGKSPLSYLIRENDTEDVLHQPLNYMSNCFIHNVASLDIHNYLHPVNNIDGDAENPFNAIHYQLTTKNMPMELSREKQLIEDSTETAKAKVTPLFEMLTVPATARNQGMWQGDSLPLINSNSMENGVDVNGIEIHMMGKRERPSAKTDAIHIEACLEKHSPKGTISDRLQKDLPRTNLIKSHSPSPSAIQETLSRYVNSSDKTGSVSTGGLSTSRTESMNVDQVFSHVQRSKISNTSSIIENLAQKEQCKRWLKRLRHKSSDAFGLGSKRTKIGNHPTNAEVCSFSSKAHNYDTSSSAMTKCPKEQQIPDTTKDIPSTSECSYGVSARDVQYWIKRWCYKTPQTVKAHVSVVTPGLWEPENRKVLPDNIEGKQFPSIRAIALMGRAMNKFQTGQFQRKGSSVVWNIEDF >Ma05_p30540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40891513:40894568:-1 gene:Ma05_g30540 transcript:Ma05_t30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPGRRTVVLSSRTPAMKRPLLSSARKHGRNPVSVFLFFVLILVVLMYSEDFNSIADYSLRNSRAKSQELAHQELAIAKPRHEGLILDSTKVAEEEAEEEERKEARPVLQREGSDAIAREQPPTDEEGRQEPEREGARASVTVNVTQVARLPSARNQGHEQQSVISDQHKSEAKPQRVVLNVPETCDLFEGRWVYDDVSYPLYKEHECQFLTEQVTCMRNGRRDDNYQKWRWQPRDCSLPRFDARVLLERLRGKRLMFVGDSLNRNQWESMVCLVQSGIPWGKKTLTKNGSLNVFRAEDYNATVEFYWAPFLVESNADDPRIHSVPNRVITNSIAKHGKHWKGVDYLIFNTYIWWMNTPKMTVLRGSTKYSRIERAAAYRRVLRIWARWVHRNVNPKKTMVFFMSLSPNHMRSEDWDNPEGIKCALETMPVTDMSRPLEVGTDWRLFAVEESVIQSMRLPVSFIKITALSEFRKDAHTSVHTLRQGKLLTAEQQADPATFADCIHWCLPGLPDTWNEFLYARIASRPWPNQHTHTHRSHTNLSVSHLVH >Ma01_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7661284:7668459:-1 gene:Ma01_g10670 transcript:Ma01_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKSSVSSVDSSSFFSTGSSRSSLREIEEDPQTPETAPSKKEGENGEDDKIHVAVEKDFKLSKANLVWVLKNTPRTKKIVIVHVHVPAQMIPMLGAWFPADQLKSQEVSAYRQIEKAKMEKAVNEYVKRCSIIKEVQAEKLVIEADDVCQGLVQLIAQHKITNLVMGAAADKKFSKKMKEPMSKKALLVQEQADPSCNLWFVCKGNLICTREASPTGTGKMQTTRVIPRAVTAQSERSNSKTPPSFLDLSHGGSGESSSGSREGIVIDLWDGISRSSQSSAYSMHSVNDEVLSSTSSMQVVRDEINGGTLVLPSSHDSEDRHQSSTLNHNMEDAGLDDELYKDLQHAVKEANNLTREAYEEALRRRKAERDLHDAAQKVKTAEDLYTTEKKQRKEIEERLSKEKREMEELRKQQNEMFEALQKADKQKATLEQQVMDSNRIIRDLENKLSDAHYLLISLQSEYVELRRERDDAVKKAEELHQKLEEMATSIQGEESFFEFSYSELEKATNSFDDSLKIGEGGYGSVYKGTLGQKTVAIKKLNSEGMQGQKEFHKEINVLSKLRHPNLVNLIGTCVEAWALVYAFFPNGSLEDRLTCKDNTPPLTWQIRIRVAAEICSALNFLHSNKHLSVVHGDLKPANILLDANFVSKLGDFGICRLVQSNNNTTLYRCTHAMGTFVYMDPEFLASGEITPLSDIYSFGIILLRLLTGRSPFGINRAVQQALNKGCLSDILDASAGNWPYAEAKQLACLGLRCCEITRRSRPDAGEAWNLLEPLVKSVSLPELSLKSSP >Ma04_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2251068:2254224:1 gene:Ma04_g02700 transcript:Ma04_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDILNAGLSMTDHNHVSMDTIASLFFSGSTSQPGIKDQNFHEQIMSGNTLFPSLQEESHNNVSPAYYGGFLTPVGYATIDDCVGKNELEEQTEVPLLSSMPLQYNVADTNGLEEDFSTVNLSSSASFPLQEMKISMPRNNFNVLAMQNVTEVLASKTGGSLNKQFDYVRYSDETLKHQVPSSTTSIVHPSCYIKEFSKPELCSDILTPKFLSYYTPSNELSLSLGSSRPSMSKMLDISDQFSEINCSGIDQVPPKNSRYCSDELGEYSLVVNSLHDVQLRTGTELGNDQHCPGIQEFSTCSGPVNLYGILTSRYFSVTQQILSEVSSYIQLDDSLSGISFSSSCSSEREFVITRSMDFQSSFGDIDSQDHVEKLQALETTREKSQLLTMLQLVDLKYKQCLEEVNGVISLYDDATKSAVPQFSSGFALHTISMLYKNLRERITRSILLVNQQSERKEGRVRAIESSFLQRHWALQQVRRNDWRPKRGLPEKSVSVLRAWMFQNFTHPYPSDGEKNLLALRSGLTRSQVSNWFINARVRLWKPMIEEMYLELGKRNGGEDGAEEECRSHETTKVRESS >Ma06_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6189943:6192386:-1 gene:Ma06_g08810 transcript:Ma06_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKPYVAAVLLQLTSTGYFVISKAAFDKGLSTFVFIFYRHAAASLLLAPLAVIFERRRSPPLTVMTALKLFMHAMLGITFSLNLYNVGVKYTSASVASATTNSVPVFTFFFAVLFRMESIKMKSFSGVGKALGVALCLAGVVMTALYRGPRIHPLNLHFPSGHHRMSHLDEEPAVSKTTWIKGTLFVITATMTWSLWLVLQGILMREYTSKLLFTTLECLFATLQSIFVAMAFERDTSKWKLQLDVGLLAILYCGFVVTGVAFYIQTWCIEKKGPVFLAVFTPLSLVFTIICSSIFLGEMISLGSILGGLLMVGGLYCVLWGKNKESVTCEASVEDGNSQSQKEAT >Ma08_p34650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44639352:44642936:-1 gene:Ma08_g34650 transcript:Ma08_t34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCWSSRVKAESPFNSASASEVNCRSVSRDRNGSSGSSSRSSASVPLTPRTEVDILQSSNLKSFSFSELRAATRNFRPDSVVGEGGFGSVFKGWIDERTLTASKPGSGIVVAVKRLNQEGLQGHKEWLAEINYLGQLRHPNLVKLIGYCLEDDHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKAALGAAKGLAYLHSDEAKVIYRDFKASNILLDTNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPHGEHNLVEWARPYLTHKRKIFRIIDNRLEGQYSLDGAQKVASLAQNCLSMDAKFRPSMDQMVSTLQQLQDTKQTGTPPSAEEKVRARSVGSGGDTRVSLKDFRGDGSLKVTYPRPSASPLYL >Ma04_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25623966:25628163:1 gene:Ma04_g23450 transcript:Ma04_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQHHQQALGYTNFSPTADPVLMHSFQPSQELYSLQAGMEMLGVPSKPQQNTDSWRAFFPVAGSSSNAASSFPQTLNENLMVTPERVGVSQWHPRNRMLVDESSARFLFPSCQGNGQSSSGLSLSLNHAETSNSGYDQPPFDHHGLTSSRDTQLLQKPADHHLQDGRFFQSSTSQSALINPYQQSQQPLKSTKYLIPARELLNEFCSLETAVIGSSKDKASKTKPWEEGGTSSSSISFNQSLYSLDIHELQGRKAKLLSMLEEVDKRYRRYREQMRAVVLSFEAVAGEGAATVYSALAAKVMSRHFRCLRDGIVGQIDAIKKAMGEKDAVAPGTSRGETPRLKLIDQCIRQQRAFQQAGMMESHPWRPQRGLPERSVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQENQTSQEENYNSSDNVNRNLIYNSCQVDNVEDRKPAPGQLLTEPDSLSWVISNSDGRERAGSKSSQNPNASDNFGVVDLDFTSYNQCSRPSFGSGVSLTLGLQQHNGGGMGLSFSPPAPQPSLLFSREQMEECHPSQLPIVDADAQNSAYRNLVGAQLLRHFAG >Ma06_p25170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25439956:25445219:1 gene:Ma06_g25170 transcript:Ma06_t25170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLLELRFRLYDGSDIGPIRYSASSTIAMLKERIVSEWPRDKQIIPKIANDVKLISAGKILENSRTISQCKPTFGELPGGIITMHVVVQPSSAKSKTEKKVDELPKKAACYCSIL >Ma06_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25439958:25445217:1 gene:Ma06_g25170 transcript:Ma06_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLLELRFRLYDGSDIGPIRYSASSTIAMLKERIVSEWPRDKQIIPKIANDVKLISAGKILENSRTISQCKPTFGELPGGIITMHVVVQPSSAKSKTEKKVDELPKKAACYCSIL >Ma06_p25170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25439956:25445219:1 gene:Ma06_g25170 transcript:Ma06_t25170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLLELRFRLYDGSDIGPIRYSASSTIAMLKERIVSEWPRDKQIIPKIANDVKLISAGKILENSRTISQCKPTFGELPGGIITMHVVVQPSSAKSKTEKKVDELPKKAACYCSIL >Ma08_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1645890:1651269:1 gene:Ma08_g02020 transcript:Ma08_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKTSRSSTKLGSIERRPQWAAATTAAAIGRTDAALRTLALFMHGGPIKAVDGSRLMSAVACEAFDWARSSPSRTDDEKEETEEVSSSRDGVSKDPSTPGEELHVKFSEAVLRFSRSGRASVREVGSRPARFRAASYNKLMKAVAEVGSADDVLRLFGEMKRSECQPNVLCYSTVINALLTAGRHEEAETTFEEMISSGIKPNLFSYNILVKLHACCTKKFDLAYEVIAMMKKCGLCPDSTTYSTLITGLCSAGRIEEAWGVLDWMLEENCPPTTHSYTPIVQSYCFEGKIEPAKSLMATMVDVGCTPSTATYNILIGALCRAGNFDEVEKILTESVHRGWKPNEITYNTYMDGLCKSGRTKEAFDQLEVMLGIGLYPTAFTLNILLNCLCCDSKEVLVAKCLIERSSELHWYVSVVDYNVVMSGLRKAGHWVGVIKLFTDMVKKGITPNIRTFNIVIHSLCHGGKLHMAVCMMNSGEIIANVVTYNTLLHWFYLDGRINEAQHLFSFMSANNISPDGITYNTMIDSLCRVGRFLEATDCFIRSLEYRFSTDLLLRLIHRLVRNRRLKELLKLFKGIEKHSISLDVLVFDSLIKAACKEGFCGSTEIYVVCLILDKMLARR >Ma11_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6014287:6015836:-1 gene:Ma11_g07530 transcript:Ma11_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPNRDETGGVKKGPWTPEEDRKLVEYVEKHGRGSWQRIPKIAGLNRCGKSCRLRWTNYLRPDIRRGKFTEEEEQLIIHLHSMLGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLIRMGIDPVTHRPATNLNLLTGLSNLLSAGGLGGTASHLDNALKLQADVAHLLKLQLVQSLIQVLTSSYGTPNMDLMNLFRSPPLGNHQPSDLLQLSRQIEGGLGGSLSLQNSIPMIPNLSILSHYLNNIGLQPTPERSFPSDAAAVTASDASRTEEPSAEISNVSSTSSIPASSSTHPLVSASSETKNIDLVQSSDTLYTNTLASESFETCWDNLMKSDNLDSHFGWKDIIDQMSWPDAP >Ma05_p10640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7757777:7761419:-1 gene:Ma05_g10640 transcript:Ma05_t10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVRSIRMTCLFSAPISYKFDLFFDPNPSYGLHTKDSPIDPSLSTNLLAHQLLDLFSKPPKHRDAEELQRLGRSLVPATAEAVIKGLRSWRTAHEFFRWASWQHGFRHTCYTYNAMAYVFSRARRAAQLKGLAAEVLKERCPMTPGGLGFLIRCLGDQGLVEDAILVFDRAVDLHCIPNSYTYNCLLEVLAKAGLVEAAESRFKEMVNSRGLEPDKYTLTSMLQSYCNVGKLADVWDIFERIKSEGWVDEHVLTVLIVTFCKWGKVNQVCELVDHMEGLRMMPTEKTFSVLVHGLVRQGRLDKALEMFDKMKRLGYSGDLALYSVIIEGLFEGKEFGMAHDMYMEMKKIGISPDVLLLKKMIMAFCRERDLFSASQLLDEGVGLNLGSLISLYNVVLDGLIEHGEVYRAYKLLCEMMKSKGLQVLKLDIDDHGRCENETDVEEFFRMKKPVCPNADSFNIVVCGLCEAKKLDAALVLLNNMIGVGYKGKLLMYNNLIHELCNVDRLEESYELLRKMEEYGFMPTGFTYNSIFYCTCRRGDLSAALDLLKEMRKHGHLPWIKHCTLMVQQLCGNGKVAEAAAFLDDMVALGFLPDMVAYSAAIDGLCKSGDVDKALKLFRDISSRWYLPDVVAHNILINGFCKTGRLPEALEIFEEMLKKELVPSPVTYNLLVDGWCKAKNIDNALACFKKMVDSDRPPTVVTYTSIIDGLCDAGRSDDALMVYNEMREKGCAPNQITYTALIHGLCKCGRADVALVYFDKMKQKEFELDTFVYLLLINSLIMKGNSVKALELLKGVLQRDSFHYNSKNSILMKKAVGKLFADESTSSDVRLLIESGHISSIQSLHDMGQM >Ma05_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7757776:7761419:-1 gene:Ma05_g10640 transcript:Ma05_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVRSIRMTCLFSAPISYKFDLFFDPNPSYGLHTKDSPIDPSLSTNLLAHQLLDLFSKPPKHRDAEELQRLGRSLVPATAEAVIKGLRSWRTAHEFFRWASWQHGFRHTCYTYNAMAYVFSRARRAAQLKGLAAEVLKERCPMTPGGLGFLIRCLGDQGLVEDAILVFDRAVDLHCIPNSYTYNCLLEVLAKAGLVEAAESRFKEMVNSRGLEPDKYTLTSMLQSYCNVGKLADVWDIFERIKSEGWVDEHVLTVLIVTFCKWGKVNQVCELVDHMEGLRMMPTEKTFSVLVHGLVRQGRLDKALEMFDKMKRLGYSGDLALYSVIIEGLFEGKEFGMAHDMYMEMKKIGISPDVLLLKKMIMAFCRERDLFSASQLLDEGVGLNLGSLISLYNVVLDGLIEHGEVYRAYKLLCEMMKSKGLQVLKLDIDDHGRCENETDVEEFFRMKKPVCPNADSFNIVVCGLCEAKKLDAALVLLNNMIGVGYKGKLLMYNNLIHELCNVDRLEESYELLRKMEEYGFMPTGFTYNSIFYCTCRRGDLSAALDLLKEMRKHGHLPWIKHCTLMVQQLCGNGKVAEAAAFLDDMVALGFLPDMVAYSAAIDGLCKSGDVDKALKLFRDISSRWYLPDVVAHNILINGFCKTGRLPEALEIFEEMLKKELVPSPVTYNLLVDGWCKAKNIDNALACFKKMVDSDRPPTVVTYTSIIDGLCDAGRSDDALMVYNEMREKGCAPNQITYTALIHGLCKCGRADVALVYFDKMKQKEFELDTFVYLLLINSLIMKGNSVKALELLKGVLQRDSFHYNSKNSILMKKAVGKLFADESTSSDVRLLIESGHISSIQSLHDMGQM >Ma01_p22010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22594473:22598157:-1 gene:Ma01_g22010 transcript:Ma01_t22010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTNYKVLSKQHQSYNQNLGRDPESRFMPQNYLTSHCDSSADGFQQGNSHHQTIHDQFYTLESSSEAANFTTHNSPSSPSYSPISRSPASHQDSQSDNNYDSPISYSCITEDSNDLKHKLREIEAAMLGPDSDSIDSFENAYSSYISLEQEKWQQVMGTPRGDLKQILIACARAVENNDILVVEWLIPKLRQMVSVSGEPIQRLGAYLLEGLVAKLASSGSSIYKALKCKEPTSSDLLSYMHILYDVCPYFKFGYMSANGAIAEALKGENMVHIIDFQIAQGSQWVTLIQALAARPGGPPRVRITGIDDSVSAYARGGGLHIVGQRLSRLAKSCNVPFEFHGAALSGCDLELEHLDIRPGEALAVNFAFQLHHMPDESVSTRNYRDRLLQMIKSLSPTVVTLVEQESNTNTAPFFPRFLETVDYYAAIFESIDVTLPRENKERINVEQHCLARDIVNIIACEGDERVERHELFGKWRSRFMMAGFRPYPLSPLVNATIKMLLENYCENYRLEERDGVLYLGWKNRALVVSCAWK >Ma01_p22010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22594473:22598410:-1 gene:Ma01_g22010 transcript:Ma01_t22010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTNYKVLSKQHQSYNQNLGRDPESRFMPQNYLTSHCDSSADGFQQGNSHHQTIHDQFYTLESSSEAANFTTHNSPSSPSYSPISRSPASHQDSQSDNNYDSPISYSCITEDSNDLKHKLREIEAAMLGPDSDSIDSFENAYSSYISLEQEKWQQVMGTPRGDLKQILIACARAVENNDILVVEWLIPKLRQMVSVSGEPIQRLGAYLLEGLVAKLASSGSSIYKALKCKEPTSSDLLSYMHILYDVCPYFKFGYMSANGAIAEALKGENMVHIIDFQIAQGSQWVTLIQALAARPGGPPRVRITGIDDSVSAYARGGGLHIVGQRLSRLAKSCNVPFEFHGAALSGCDLELEHLDIRPGEALAVNFAFQLHHMPDESVSTRNYRDRLLQMIKSLSPTVVTLVEQESNTNTAPFFPRFLETVDYYAAIFESIDVTLPRENKERINVEQHCLARDIVNIIACEGDERVERHELFGKWRSRFMMAGFRPYPLSPLVNATIKMLLENYCENYRLEERDGVLYLGWKNRALVVSCAWK >Ma01_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22594473:22598337:-1 gene:Ma01_g22010 transcript:Ma01_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTNYKVLSKQHQSYNQNLGRDPESRFMPQNYLTSHCDSSADGFQQGNSHHQTIHDQFYTLESSSEAANFTTHNSPSSPSYSPISRSPASHQDSQSDNNYDSPISYSCITEDSNDLKHKLREIEAAMLGPDSDSIDSFENAYSSYISLEQEKWQQVMGTPRGDLKQILIACARAVENNDILVVEWLIPKLRQMVSVSGEPIQRLGAYLLEGLVAKLASSGSSIYKALKCKEPTSSDLLSYMHILYDVCPYFKFGYMSANGAIAEALKGENMVHIIDFQIAQGSQWVTLIQALAARPGGPPRVRITGIDDSVSAYARGGGLHIVGQRLSRLAKSCNVPFEFHGAALSGCDLELEHLDIRPGEALAVNFAFQLHHMPDESVSTRNYRDRLLQMIKSLSPTVVTLVEQESNTNTAPFFPRFLETVDYYAAIFESIDVTLPRENKERINVEQHCLARDIVNIIACEGDERVERHELFGKWRSRFMMAGFRPYPLSPLVNATIKMLLENYCENYRLEERDGVLYLGWKNRALVVSCAWK >Ma04_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7147369:7149394:1 gene:Ma04_g09980 transcript:Ma04_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRKSCLITRALSSSREQESKWVYMTYHLLEITTTKRAPSVEPLELEETAKVKRSKSTELQEPPSSLDESCCPSDDDNHFSDTNSLIGQIGRDMSIKCLVQCSRSDYGTLASLNRAFNSLIRSGDLYKLRRHDGIIEHWIYFSCNINEWEAYDPYCGRWINLPRMPPNDFFMRSDKESLAVGTELLVFGRDYTSRISHIILRYSILTNSWSQGVEMNSPRCLFGSASFGERAIVAGGIDARGTILSSAELYNSETQNWVSLPSMNQPRKMCSGVFMDNKFYVIGGMSSPTDLLTCGEEYDMKKGTWRVIPSMSLGLNGPSGAPPLVAVVNNELYAADYAEKEVRKYDKQSNSWITLGRLPERPDSVNGWGLAFRACGERLIVIGGPRVLGGGMIELNSWTPRDGPPEWNMIASKHCGSFVYNCAVMGC >Ma08_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36376391:36394918:-1 gene:Ma08_g22900 transcript:Ma08_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKYVDPAFQGVGQKVGTEIWRIENFQPVPLPKTDYGKFYSGDSYIILQTTSGKGGAYLHDIHFWIGRESTQDEAGTAAIKTVELDAVLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEVFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTEMKIYQFNGANSNIQERAKALEVVQYLKDKYHEGTCDVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKVVNEDDVVLEMAPAKFYSINDGQLKLEENTLSKATLENNKCYLLDCGAEIFIWVGRVTQIEERKAASKAAEDFIISQNRPKTTHVTQVIQGYETHAFKSNFESWPASTVTGNSGGEEGRGKVAALIKQKGVDFKGITKGSPQNEEVPPLLEGSGKLEVWRIDDGAKNQVPKEEIGKFYSGDCYIVLYTYHSGERKEDYFLTSWMGKDSIQDDQIMATQLATTMWTSLKGRPVQGRIFQGKEPPQFVALFQPLVLLKGGISSGYKTFIAEKNQNDETYTSDGIALIQVSGTSVHNNKAVQVDAVATSLCSTDCFILQSGNSLFIWNGSSSTHEHQHWAAKIAEFLKPGVTLKYVKEGTESSAFWFALGGKQSFTSKKITQDVVRDPHLYTFSLKKGKIEVFEVFNYSQDDMLTEDMLLLDSHAEVFVWIGHSVDPNEKQKAFDIGQKYIELAVLLEGLSPNVPLYRITEGNEPCFFTTYFSWDSAKAVVQGNSFQKKLSYLFGAAMLASESKDKSVSADHDGPTQRASALAALSSAFNPSSNAKATAPRPSRSGQGSQRAAAVAALSSVLTAEQKRGESETSTTRFSRSPSPGPRVTVNDSTKTESASSESEQPLELLAEKEAIEGDGSASESNDEDSEVTEEPKIDENGAESTYSYECLKVKSSNPVTGIDYKRREAYLSDAEFQTVLGMTRETFYQQPKWKQDMQKRKVDLF >Ma08_p22900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36376391:36392046:-1 gene:Ma08_g22900 transcript:Ma08_t22900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKYVDPAFQGVGQKVGTEIWRIENFQPVPLPKTDYGKFYSGDSYIILQTTSGKGGAYLHDIHFWIGRESTQDEAGTAAIKTVELDAVLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEVFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTEMKIYQFNGANSNIQERAKALEVVQYLKDKYHEGTCDVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKVVNEDDVVLEMAPAKFYSINDGQLKLEENTLSKATLENNKCYLLDCGAEIFIWVGRVTQIEERKAASKAAEDFIISQNRPKTTHVTQVIQGYETHAFKSNFESWPASTVTGNSGGEEGRGKVAALIKQKGVDFKGITKGSPQNEEVPPLLEGSGKLEVWRIDDGAKNQVPKEEIGKFYSGDCYIVLYTYHSGERKEDYFLTSWMGKDSIQDDQIMATQLATTMWTSLKGRPVQGRIFQGKEPPQFVALFQPLVLLKGGISSGYKTFIAEKNQNDETYTSDGIALIQVSGTSVHNNKAVQVDAVATSLCSTDCFILQSGNSLFIWNGSSSTHEHQHWAAKIAEFLKPGVTLKYVKEGTESSAFWFALGGKQSFTSKKITQDVVRDPHLYTFSLKKGKIEVFEVFNYSQDDMLTEDMLLLDSHAEVFVWIGHSVDPNEKQKAFDIGQKYIELAVLLEGLSPNVPLYRITEGNEPCFFTTYFSWDSAKAVVQGNSFQKKLSYLFGAAMLASESKDKSVSADHDGPTQRASALAALSSAFNPSSNAKATAPRPSRSGQGSQRAAAVAALSSVLTAEQKRGESETSTTRFSRSPSPGPRVTVNDSTKTESASSESEQPLELLAEKEAIEGDGSASESNDEDSEVTEEPKIDENGAESTYSYECLKVKSSNPVTGIDYKRREAYLSDAEFQTVLGMTRETFYQQPKWKQDMQKRKVDLF >Ma08_p22900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36376391:36394918:-1 gene:Ma08_g22900 transcript:Ma08_t22900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKYVDPAFQGVGQKVGTEIWRIENFQPVPLPKTDYGKFYSGDSYIILQTTSGKGGAYLHDIHFWIGRESTQDEAGTAAIKTVELDAVLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEVFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTEMKIYQFNGANSNIQERAKALEVVQYLKDKYHEGTCDVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKVVNEDDVVLEMAPAKFYSINDGQLKLEENTLSKATLENNKCYLLDCGAEIFIWVGRVTQIEERKAASKAAEDFIISQNRPKTTHVTQVIQGYETHAFKSNFESWPASTVTGNSGGEEGRGKVAALIKQKGVDFKGITKGSPQNEEVPPLLEGSGKLEVWRIDDGAKNQVPKEEIGKFYSGDCYIVLYTYHSGERKEDYFLTSWMGKDSIQDDQIMATQLATTMWTSLKGRPVQGRIFQGKEPPQFVALFQPLVLLKGGISSGYKTFIAEKNQNDETYTSDGIALIQVSGTSVHNNKAVQVDAVATSLCSTDCFILQSGNSLFIWNGSSSTHEHQHWAAKIAEFLKPGVTLKYVKEGTESSAFWFALGGKQSFTSKKITQDVVRDPHLYTFSLKKGKIEVFEVFNYSQDDMLTEDMLLLDSHAEVFVWIGHSVDPNEKQKAFDIGQKYIELAVLLEGLSPNVPLYRITEGNEPCFFTTYFSWDSAKAVVQGNSFQKKLSYLFGAAMLASESKDKSVSADHDGPTQRASALAALSSAFNPSSNAKATAPRPSRSGQGSQRAAAVAALSSVLTAEQKRGESETSTTRFSRSPSPGPRVTVNDSTKTESASSESEQPLELLAEKEAIEGDGSASESNDEDSEVTEEPKIDENGAESTYSYECLKVKSSNPVTGIDYKRREAYLSDAEFQTVLGMTRETFYQQPKWKQDMQKRKVDLF >Ma08_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11210291:11211579:1 gene:Ma08_g14090 transcript:Ma08_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDSP32 [Source:Projected from Arabidopsis thaliana (AT1G76080) UniProtKB/TrEMBL;Acc:A0A178WHK1] MAAMATFLFGSPPTSSSSVSSCLSRLGQPAPLSSHSPLSHFFSTRIRHRPSSLGKPASFCPRASAAASGTKSRSTASDERVQKVHNVDEFEAALRAAKNKLVVVEYAASHSPNSRRIYPFMVELSWTCSDVEFLLVMGDESEQTRELCRREGIDRVPHFTFYKGMEKVHEEEGIGPDQLVGDVLYYGDNHSAVVQLHSRADVESLIDQHRGADGKLVVLDVGLKHCGPCVKVYPTVIKLSRSMADAVVFARMNGDENDSCMEYLKDMDVVEVPTFLFIKDGQICGRYVGSGKGELIGEILRYQGVRVT >Ma11_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23475714:23477644:1 gene:Ma11_g18410 transcript:Ma11_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWSRMEENRVAELVKRCTTIGQLKQVHAHVVAGGQCQNNFVITKLVRSFAEFGDLYHARATADVLHTPNVFVWTALIRGYSQSFVTGGCGEALLLYTRMCRDPEIKPLTFTISSVLKACGRLLAFQEGKQIHAHAIKQGFQLDSRVQTTLIDFYSKCKQLTEARTAFDGIVRAGAKDVQAWNTMIAGYGEAGDMDTARALFEIMPERNTVTWISMIGGYASVNQMDSAHQLLQLRPANGEEDAVVHTAMITGYAKCGDIVAARSIFDEMQERDVASWNAMISAYSQANLNDEALDLFKLMLSTTGRCKVEPNFATIATIVSVCASYGSPSLAIWIQDYIDHCGRRLLNSHTVAALIDLHSKCGDLDKAWELFRGWKHKDLICYSSMIGGLGIHGRAKDAMELFEELREVGVKPDSICFVSVLTACSHAGLVDEGRRYLRLMRDEHCIAPTADHYMCIVDLLGRAGCIDEAYRLMTVDVPPGVPLRAGVWGALLSACRTHSNVTVGEAAARRLMELEPENAGNYVLLSNIYARAGRWEGVAQVRALMRSRGTRKPPGWSLVDVEGGSAKFLTGEVYDRKLDIVLDLLDWELKDQGYLMVIGETE >Ma10_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28713813:28717537:1 gene:Ma10_g17260 transcript:Ma10_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVADDAAMDAVQRRLMFEDECILVDEQDNVVGHESKYNCHLMENIESQNVLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELVEENFLGVRNAAQRKLFDELGIPTEDLPVDRFTPVGRMLYKAPSDGKWGEHELDYLVFVVADVKLLPNPDEVADVKYVNREQLKELLEKADASEEGVKLSPWFRLVVDNFLMKWWDCVESGTLPEVADMKTIHKLV >Ma11_p01040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:763592:768081:-1 gene:Ma11_g01040 transcript:Ma11_t01040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVEMKEVQALSNSVSSAAVPSTLQHLKEIASLIETGAQAKEVRRIVRAVRLTMMLRRKLRASVISAFLGHVLTPGSEVLAKLSSYLPKPDEHEMDVDTAASAVQGPAKHSIPELEIYCYLLVLIFLIDQKRYDEAKVCSSASIARLRNVNRRAVDVIAARLYFYYSFTYELTNNLAEIRGTLLALHRMATLRRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRIGDLELFRIVADKFSGTFNSDRTHNLIVRLRHNVIRTGLRNISISYSRISLADVARKLRLDSENPVADAESIVAKAIRDGAVDAIIDHANGWMVSKETGNVYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSNKEKESEEKRRERQQQEQELAKHIAEEDDGDF >Ma11_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:763592:768081:-1 gene:Ma11_g01040 transcript:Ma11_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVEMKEVQALSNSVSSAAVPSTLQHLKEIASLIETGAQAKEVRRIVRAVRLTMMLRRKLRASVISAFLGHVLTPGSEVLAKLSSYLPKPDEHEMDVDTAASAVQGPAKHSIPELEIYCYLLVLIFLIDQKRYDEAKVCSSASIARLRNVNRRAVDVIAARLYFYYSFTYELTNNLAEIRGTLLALHRMATLRRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRIGDLELFRIVADKFSGTFNSDRTHNLIVRLRHNVIRTGLRNISISYSRISLADVARKLRLDSENPVADAESIVAKAIRDGAVDAIIDHANGWMVSKETGNVYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSNKEKESEEKRRERQQQEQELAKHIAEEDDGDF >Ma11_p01040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:764083:768081:-1 gene:Ma11_g01040 transcript:Ma11_t01040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVEMKEVQALSNSVSSAAVPSTLQHLKEIASLIETGAQAKEVRRIVRAVRLTMMLRRKLRASVISAFLGHVLTPGSEVLAKLSSYLPKPDEHEMDVDTAASAVQGPAKHSIPELEIYCYLLVLIFLIDQKRYDEAKVCSSASIARLRNVNRRAVDVIAARLYFYYSFTYELTNNLAEIRGTLLALHRMATLRRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGCADWRFGAI >Ma06_p26320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28159572:28163249:-1 gene:Ma06_g26320 transcript:Ma06_t26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPTEQLCYVRCTYCNTVLAVGVPCKRMMDTITVKCGHCNHLSFLGPRPIVQSLTPTNHHLIGLQGPCTDCLRGQPSPPSSSTSIEQMIQSPQFVMKPPEKKHRMPSAYNRFMKEEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTIVSPSDTNELAPVPDSERSSCSRMESSSVCKQLEKKD >Ma09_p27120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38175009:38177994:1 gene:Ma09_g27120 transcript:Ma09_t27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHTIYHSGYLAQNFAAAAGIRCRFLHQSAGRPLGALFAGHFSDRDSHYRPQSRTRSCSQAPSDAASSSSPSSDPAAKDRKACLVVGLLSAISPGSGSLGGVGALGVASSMSMGFKPSSLIPFFQATKWFPCCDFLPGSGGGSPMDQGARTTSRPTGDETKGGTVPSVHASEHTESSISSPTVPMMKRFESNCCSRSSGDRNCWFSRWMSSCSEETKTFLTALTVPLLYGSRLAEPRSIPTRSMYPTFDVGDRILAEKVSYCFREPEITDIVIFTAPLILQQFGYSSGDVFIKRVVAKAGDYVEVRDGKLLVNGIIQDEEFILEPLEYEMEPVFIPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRTVLRYWPPSKISDTIHEPNATQSVLGFS >Ma09_p27120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38175009:38178748:1 gene:Ma09_g27120 transcript:Ma09_t27120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHTIYHSGYLAQNFAAAAGIRCRFLHQSAGRPLGALFAGHFSDRDSHYRPQSRTRSCSQAPSDAASSSSPSSDPAAKDRKACLVVGLLSAISPGSGSLGGVGALGVASSMSMGFKPSSLIPFFQATKWFPCCDFLPGSGGGSPMDQGARTTSRPTGDETKGGTVPSVHASEHTESSISSPTVPMMKRFESNCCSRSSGDRNCWFSRWMSSCSEETKTFLTALTVPLLYGSRLAEPRSIPTRSMYPTFDVGDRILAEKVSYCFREPEITDIVIFTAPLILQQFGYSSGDVFIKRVVAKAGDYVEVRDGKLLVNGIIQDEEFILEPLEYEMEPVFIPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRTVLRYWPPSKISDTIHEPNATQSVLGFS >Ma03_p30160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32905623:32915370:-1 gene:Ma03_g30160 transcript:Ma03_t30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAPSSSSSLALAALPVARSRLRLGLQLILCVSVLACTLTLIRICSRSPSPVDLVEVPLLAPPKIAFLFLARSNLPLDFVWHTFFQKAEEEKYSIYIHSEPGFVFDRTTTRSPFFFGRQLQNGIRVVWGEATMIEAERLLLAVALKDPANQRFALISDSCVPLYNFSYIYSYMMSSSKSFVDRKDVRYNAKMFPTIPKDRWRKGSQWITLVRKHAETLVADTIVFPVFSKFCKRQTGLALGAKQNNKEAAQKEHDCIPDEHYVQTLLSMSHLEDELERRTLTYTSWNQSIDTKDKRSWHPKTFEFPDASLEHIMEIRNINHVYYETEYRTEWCQCNGTFVPCFLFARKFSRSAAMRILNEGLLGPFDAGAFLFTNS >Ma03_p30160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32905623:32915370:-1 gene:Ma03_g30160 transcript:Ma03_t30160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAPSSSSSLALAALPVARSRLRLGLQLILCVSVLACTLTLIRICSRSPSPVDLVEVPLLAPPKIAFLFLARSNLPLDFVWHTFFQKAEEEKYSIYIHSEPGFVFDRTTTRSPFFFGRQLQNGIRVVWGEATMIEAERLLLAVALKDPANQRFALISDSCVPLYNFSYIYSYMMSSSKSFVDSFLDRKDVRYNAKMFPTIPKDRWRKGSQWITLVRKHAETLVADTIVFPVFSKFCKRQTGLALGAKQNNKEAAQKEHDCIPDEHYVQTLLSMSHLEDELERRTLTYTSWNQSIDTKDKRSWHPKTFEFPDASLEHIMEIRNINHVYYETEYRTEWCQCNGTFVPCFLFARKFSRSAAMRILNEGLLGPFDAGAFLFTNS >Ma09_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6178622:6179891:-1 gene:Ma09_g09360 transcript:Ma09_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLETLCGQAVGAGQLHILGIYMQRSWIITLAFQNLSASVKLSIASAIMNAMLGAPVLHCSDDSSGLLEKPRYGDRCHFYLVCCSSLSPKIAEEAAFLGRLRGLPFEKGGTRKQSVTAMVGVASYVIREFI >Ma09_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6030353:6031279:-1 gene:Ma09_g09080 transcript:Ma09_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALLLVLVCVSYHLSCAAAFNRFSVGDEKGWIPGVNYTIWEKKHRPFHVGDWLVFYYQPRTADVVRVDEDAYDKCDASNPISNYSKGRNYAFELNHTGRYYFICSYGYCYDGMKLSILVEPLTSPSAPLSAKKKSSASAAAAPPRALTSTAALAATLLAAALLRVL >Ma08_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10814943:10815654:1 gene:Ma08_g13690 transcript:Ma08_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGGKAKEILSPVPAPPTPAVTTRFCRSRQFLLDILSTNAYSEGKGEGGERGRLPSWNRSSLLDLNLDFGLTNEQVAI >Ma09_p28020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38866519:38866651:-1 gene:Ma09_g28020 transcript:Ma09_t28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCAREGLRTGAWTAQEDHILVSYIKVQGKGKWRSLPKRA >Ma03_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6317779:6318198:1 gene:Ma03_g08590 transcript:Ma03_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKLRMDHTEGRRGFSCQFCDRIFPSKQALGGHQNSHRKEREAVKRAKTDVPLFPALSGINVHKLFPFSSSSNKRKYYCLGAFPENEPSKHDPTMRFEEEDRLQTTMGGSSSLMHNPSDVKNGGDQHEDEIDLTLHL >Ma05_p26040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37823238:37823546:-1 gene:Ma05_g26040 transcript:Ma05_t26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHARLYSSQPHVLHYIYISVYIYVHHFILILFCFSCMHHHLVSGFSVFDCCSKNYVLNLMKMSVLIAVLKISLMIVYQTRLLSVRKLIFNIILKKINNSS >Ma07_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2674326:2677064:1 gene:Ma07_g03470 transcript:Ma07_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMKGLLKGIKYISHIFVHKEQEMEIGYPTDVKHVAHVGFDNLYGSSPSWMNDYKTSPDFSSGSLSNFGSREPSWASQDFDQQRELQPPPEIFTDHPCPDLPKAPKKRKPKKAKAKSPSPARSSRSSASRDSYSTAFEDFGETRREFRLV >Ma08_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14891953:14893632:-1 gene:Ma08_g14960 transcript:Ma08_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIESTLPPGFRFFPSDEELVCHYLHKKVSNERTCEGTMVEVDLHTREPWELPDVAKLTANEWYFFSFRDRKYATGSRANRATRSGYWKATGKDRTISDPTTHATVGMRKTLVFYRGRAPSGAKTDWVMHEFRLETPHSPPKEDWVLCRVFHKKKGETENENTASSSPALRSSPSSLVDQPMPDFRHERLSSSSFSILPQQEPESSSDPFLNLALMQGSFLGFSPEMGSTAMMGTSLMCEDELGCPLDLGFENGFGEGEMVRYELPKWQA >Ma02_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20972695:20979305:1 gene:Ma02_g12140 transcript:Ma02_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLEVLDLNTNQVNHSGLPLLTSLKALDLSGSVFQDGFMRLAPISNLCKLQILNLHYVPINDVLANLKMVFSGCLRNSMEELYLGGTQLSGFFPNWLGNIKNLKSLDLSFNSIYGSVPASIGNISLLQYLYLDSNDLNGTISKGIGQLKSLVDLDLYNNSLSISEDDLVNLSSLKYLDISYNFIELNKSDDWIPPFQLQSLSMDFCQIGPTPQFPKWLRTQTILRRLQLSNAGIKDMFFDRLPSSHEYLDLSYNSLNGSLPASLGNLSMLRYLILRSNYLTGMFPEGIKRLKGLVYLDLYNNSLSLSEDGLANLSSLKILDISYNSIHLNKSDEWIPPFQLNRLYMQFCQILPTPHFPKWFRTQTTFGELDLSNTDLSSNKLVGGVPDSLCNLQTLESLHLSHNNLSGPIPHCLKSCTELATLDLGHNNFIGNIPTWIGESLLYLKTLSLRSNAFTGNIPQLSSLPYIRILDLSNNNLSGTIPQSFGDFSALKGAPAYHCCYFNNNTLSVEYMWLFVKGSEIKYTTTRQLSIDTLIDLSNNNLSGNIPEKLGNLHGLRSLNLSGNYLTGQIPRSIDEMKQLEVLDLSRNNLSGVIPSGLASLNFLNQLNLSYNNLSGRIPTGYQLQTFTDPSIYAGNPNLCGPPLPKNCTMNIKKADEEEQNDDSFESRIKTIWLYTSITLGFIIGFWAICGSLLLLQTWRIAYFHAIDNMFDKLYVVMVVTVAKYKRKLQ >Ma09_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35715344:35720953:1 gene:Ma09_g24050 transcript:Ma09_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAALVFSAFATKRRPSSRNLSRLLHGSPSLPHSPRPISSQRCPSRALQTVPDAAVPLPSHRRRRPNDVADLEETFEAASTTDDILSGFRALEASLDPGDKRLGLACLKVGQHLDSIGSEDHEKVLTFGLRALRILDVDGGSSISVVMALHLVGSASYNLKRFNDSLGFLNRGNRILNTLESEGIDGEFDVRPVSHAVQLQLANTKTAMGRREEALVNLRRCLDLKVSILEPDSRELGTAHRDLAEAYAAVLNFKEALPLCLKALDIHKEQLGQNSVEVAHDRRLLGVIYTGLEEHDKALEQNQLSQKILKSWGVGGSDLLNAKIDAANIHIALGKYDEAINALKGVVEQTDSESEMRALVFVSMAKALCNQNKFPDAKRCLEISCGILEKKESVSPEKVAEAYMEISSLYESMNDFETAICLLKRSLAMVEKIPQEQHVEGNVLAKIGWLLLLTGKVAQGIPYLESAVERMKESFGPKHFGVGYIYNNLGAAYMEMDRPQSAAQMFALAKDIMDVSLGPHHADSIETYQSLANAYKTMGSYTLALEFQQRVVDAWRSHGSNAKDELEEAAKHLEELKKKAFGSLTEEVIQEALSLASRNDSVPSKDVQH >Ma04_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2707155:2708343:-1 gene:Ma04_g03520 transcript:Ma04_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPGVLLRLLQDIDRSDNSPRRPLHHAPLAVLQVTGIVPAVAGSDLSPDRGFYVKVSDSSHCAYVSLPADLNDLILADRLQLGQLIHVRRLEPASPVPVLREFRLLEGRQPCLHDTVDLTRGPSRPAAPSATPPRPPPEKNKRQLHRRSNSFAGDRSGAAGMSSPSFTAVKRERRSSDVLNELKKFSVACMDEDIYDSDDSRISYPSLARHVSLTPQDDASGALSACPTNTQRSVKAPVGSAKRKLPDSDKACTESDKMGVISALQPPSLMKHAKPAPA >Ma10_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31377600:31381697:-1 gene:Ma10_g21720 transcript:Ma10_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVTESPPPSRFFQEDLDNFATPPPPLPPPLLLLDPKLGPDHIRPPLLIVALSAPSLAVLHRIPHKILIGTLILPETPLAGNSLEPCPRDRSCYIYAVDHRPASAVLVAVQFPVAAERARAVAKSLLGAIQAERVLIFGSIGSQNYRGRLAVDETLAFKLETVEERSGGQPLVQGVDYLPSGSVMDGLGAAVIAECQMRRAKGTLVATWPANGRSTVVPIIRSLLQGLSIDVGASDADDEFDAGWSFSSRYVSDLYT >Ma05_p28380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39407627:39416885:1 gene:Ma05_g28380 transcript:Ma05_t28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSAVDKSPSETTFDSNGLRDNPLPTQSRSKKQGDSVRSMATEAKEKRLQQQPFSSSEGVRTPGGNIPATSAEATEPQFLRSLSQKSRSTKSKPSTSGKAGATKVSEVSSVLGKAGSLGLGKAVEVLDTLGSSMTSLHLSGAFVSGGSTKGNKISILSFEVANTIVKGFNLMQSLSKENIKHLKEVVLPSEGVQHLISKDIDELLRIAAADKREELKVFSKEVVRFGNRCKDPQWHNLERYFDKLASELPPQNQLKEVAETVMEQLMSLAQNTAELYHELHTLDRFEQDYRRKHQEEDSATGFQRGDNLQILRQELKSQRKHVKSLKKRSLWSKNLEEALEKLVDIVHFLHLEIYYIFGSTDTDKTEEETMKSQRRLGPAGLALHYANIITQIDTLVSRSSSVPQNTRDSLYQGLPPTIKNAIRSRLQSFQIKEELTIPQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANIGSEVNQKPAGQVELIRLETLYHADKEKTEAYILELLVWLHHLVCHSKSNTGGIRSPMKSPVHSPTQNSLIVTSTPIKPNAPSPVLTQEDEEMLRYVIFRKLTPGISKSQEFDTAKRKTSKRYRLSKSNSHSPTSSSTKDLFAVRRQSMLPVIDFDIDKIKALDVIDRVDNIRKL >Ma05_p28380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39407627:39416885:1 gene:Ma05_g28380 transcript:Ma05_t28380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSAVDKSPSETTFDSNGLRDNPLPTQSRSKKQGDSVRSMATEAKEKRLQQQPFSSSEGVRTPGGNIPATSAEATEPQFLRSLSQKSRSTKSKPSTSGKAGATKVSEVSSVLGKAGSLGLGKAVEVLDTLGSSMTSLHLSGAFVSGGSTKGNKISILSFEVANTIVKGFNLMQSLSKENIKHLKEVVLPSEGVQHLISKDIDELLRIAAADKREELKVFSKEVVRFGNRCKDPQWHNLERYFDKLASELPPQNQLKEVAETVMEQLMSLAQNTAELYHELHTLDRFEQDYRRKHQEEDSATGFQRGDNLQILRQELKSQRKHVKSLKKRSLWSKNLEEALEKLVDIVHFLHLEIYYIFGSTDTDKTEEETMKSQRRLGPAGLALHYANIITQIDTLVSRSSSVPQNTRDSLYQGLPPTIKNAIRSRLQSFQIKEELTIPQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANIGSEVNQKPAGQVELIRLETLYHADKEKTEAYILELLVWLHHLVCHSKSNTGGIRSPMKSPVHSPTQNSLIVTSTPIKPNAPSPVLTQEDEEMLRYVIFRKLTPGISKSQEFDTAKRKTSKRYRLSKSNSHSPTSSSTKDLFAVRRQSMLPVIDFDIDKIKALDVIDRVDNIRKL >Ma06_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1548462:1552081:-1 gene:Ma06_g01880 transcript:Ma06_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLPLISPTRSPSATPSARLAPARSSTSTHTGSFGGLCWNPARTCPRTPGTSPSPGSSRGKTPSRPPTTPPTRISASNGARTPLSTAASRYKSMTEICTDNCSIYSQPDWCLNKSQISATVNLAELQKVPKLIAESTWNYDM >Ma10_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31811522:31813335:-1 gene:Ma10_g22410 transcript:Ma10_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITPNSSAFAAAAAAAVTIVSKCTVCPDSDSSLGGLPLSVSDLPMLSCHYIQKGLFFPSPQMPIASLVSLLTSSLSGALSLFPPLAGRLSTLPDGRILVSCNDAGVEFAYATAYSLTLPDLLPPSSDVPAAVKSLFPLDGAISFHGHFRPLASFQLTELADGAVFLGAAVNHAVVDGTSFWNFFNAWAELCRRGSPSPPDFRRNYFGDSKAVLRFPGGRGPEVTFPVDAPLRERIFRLSREAIFELKSRANDGFANVAAVGDPNAEIYGKQVHDPKAVMAYKEEQISSFQSLCAHVWRSVTRARKQLPPEAMTTFRMAVNCRHRVEPQVAPDYFGNAIQSIPTTAAVREVVGRDLRWAAGLLHRNVASHGNETIRRGVAVWEAAPRCFPLGNPDGAGLTMGSSHRFPMYEGNDFGWGLPAAVRSGRANKFDGKMSAFPGREGGGSVELEVCLAPETMEALLRDEEFMSYVTE >Ma11_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24640102:24641932:-1 gene:Ma11_g20020 transcript:Ma11_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVSSVMGRETGLGDLPENCVAAVLVHLDPLEICRAARLCRTFRGAASADLVWETKLPRNYRSLLALVSDENAVEQRRRLCKKEIYARLCRPNPFDGGTKEFWLEKSCGGLCMSIPSRALLIMGIDDHRYWNYIPTEESRYHSVAYLQQTWWFEVDGEIKFCFPAGAYSLFFRLHLGCAAKRLGRRICISKHVHGWDRKPVRFQLSTSDGQHVGSKCYLGKPGSWILYHAGDFVVENSDESTTLKFSMRQVDCTHTKGGLCVDSVLIYPKGFRQGKVFTTDRIT >Ma09_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:206225:207801:1 gene:Ma09_g00220 transcript:Ma09_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEAKNLPTQHNIGKTNMLPTHPYSHAMPLLLSLDLLFLLVSVPAAFVAAQPSDANTWSQPDVTISFRPGVAVVIGIFAIMFSVTFLLLVYAKFCRSAASEFFGTASAGHGEFLLPQHRFSGIDKAAVESLPLFRFSMLRGARDGLECAVCLSRFGDADVLRLLPRCKHAFHVDCVDRWFEAHSTCPLCRCKVDAEDAELFKCSTSSRFLFSSDRHEDGLPDLELFMERQRFDDGDHRCSSRFSIGSSFRKISRSTKEKEDLRTLEEGTNDAQPLHRFKHKIIVSDVMFKRRWSDVNASDLLSLSADMLDMVSSKRFSDPDANMEPFGDAIAGESAEGKIATNEKILKIKKEMEKKRLLENKARRINSSNSVAMPSNTCANSRDVLSSGERSMSEITNLPRFRMIRDAGSSGCAGAKDEKLRRIWLPIARRTVQWFAGRERRSQTQYSRTKEVANV >Ma07_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24380843:24381223:1 gene:Ma07_g18100 transcript:Ma07_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAGLRMCEEDADLGDEIGALGGREIQSPVTRRKKKVRFVLLESPHMDANAREELQELMDARDAGMAFILGHADVRAKSGSGLIERFVINVEYNFLRRNCRNPAYAVSIPRASTLEVGMVYDV >Ma06_p31760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32808492:32810565:-1 gene:Ma06_g31760 transcript:Ma06_t31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMVEAKLPPGFRFQPRDDELICDYLAAKVGGRSFHGRPAMVDVDLNKCEPWDLPDTASVGGKEWYFFSLRDRKYATGQRTNRATMSGYWKATGKDRSVTRKGLLVGTRKTLVFYQGRAPKGRKTDWVMHEYRMEGSAATPTFPFKEDWVLCRVCCKSRGISTDASMETSLDDSSPQSLPVVMGNHISSGQTPVNLEGSEQVTCFSNTTQSHTSQCPNLDPWLPAMERGIPLTRCLPSLNPVLYQRTKLEGDPEGEVVPSLAEGSLDGCLSQSGLPSTRNPFLD >Ma05_p28210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39294905:39295468:-1 gene:Ma05_g28210 transcript:Ma05_t28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSPRRRLWKLPPILPPILPPVPIPKLPPVNLPPIPKLPPVNLPPIPKLPPVNLPPIPKLPPLPDLPKPHIPKLSFGLPFLNLHFIGTVLVSIVVLFLLAILAGVACCCCTSWRRKKMAGQREAVDVEDRVHVHKTVVPGSHSQQPAAPSVHEVIEKGAGINEASRSEPYTSEKGMSEQRTVAPS >Ma10_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11271150:11273564:-1 gene:Ma10_g03100 transcript:Ma10_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDPEVAEHHLNIPPDARPVKQKPRRQAPDRQRTIQEEVDRLLAVGFIEEAKYPRWLSNVVLVKKHNGSWRMCVDYTSLNSACPKDCYPLPKIDQLVDATVGHARLSFMDAYSGYNQIRMAPEDREHTAFLTDQGVYFYKVMPFGLKNAGATYQRTVNKMFAHQIGRNMEVYVDDMIMKSQEAETHLADLAEAFVTLRKFGMRLNPTKCAFGVTSGKFLGFIVHERGIDANPEKVQAIINMQSPRTIKDLQQLNGRLVALSRFLARSGDRCLPFFKALKNPKNFQWTSECEEAFKQMKRHLASLPRLASVSPGEKLGLYLAASLRAVSSVLIKESSGQQLPIYYISHVLNGPEERYPPIEKLALALVLSARKLRPYFQAHPVEVITSQPLRQVLTKFVVAGRLLKWAVELGEHDIRYVPRTAIKAQAVADFIAELTQMEDGDPKQTPEAWTLHVDGSANSRGAGAGLVLLAPNGRSFERSLRFGFKATNNEAEYEALLAGLGLALEMQVAAIHVLTDSQLVAEQLSGGYEARDPTMARYLAWVRDLTAKFQYFTLSNIPREENERADALAKLALKPTSEARPEVEELPARAIEVATMASGGTPTTWVQELLCFKRDGTLPLDEAVARRLRRMHAWYTEESGRLYKWSFTYPLLRCLEPDEAQTVLAETHEGVCGEHVGGRTLAHKILRQGYYWPNMCRDAKAYVRRCRSCQQHARAPRQPAVPLSPIDCAWPFAQWGLDLLGPFPPASGQRRYIIVGVDYFTKWVEAEPLATITEHQVEKFVWKNLVTRFGLPKAIVTDNGP >Ma07_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7393645:7395011:-1 gene:Ma07_g09930 transcript:Ma07_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENKHIIIKHAIDGLPTESDFELRSAPLVLSVAQGSKEVVVKNLFLSIDPYQLNRMKTYSSTQKTSAAATRIQPWQRIDAFGVGKVVVSGNEEFERDDVVVGLLAWEEYTVVRPGTTLTKVDPHEFPLSYHASILGTSGLTAYAGFYDICKPKKGEKVFVSAASGSVGSLVGQYAKLSGCYVVGCAGSKTKVDLLKEKLGFDDAFNYKEEPDLKSALRRYFPEGIDIYFDNVGSAMLEAAVANMNLFGRVAVCGAISEYADAGKRLALDTVDVIYKRITLRGFLAFDHLHVYAGFISSTSDHLRHGRMRAVEDISTGLESVPSAFAGLFRGDNVGKKLVHLTSL >Ma07_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31114936:31117423:1 gene:Ma07_g23490 transcript:Ma07_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDGGLGGIIFVLLLSVSCWSHRTTMARLVRCPKCHKLLVEYPNVPVYQCGGCGIALRAKNQDDTAENAAPGSPQVDHPESQSDHGFSDSGSASSVVRATTPATMHDLEDDRRGKGIEGGDSDAGGASSSRVEDENEQVENTPKPDLASEVERSSRSVGHSSASEEEDMAGTARDEGIYDALKSPATMSSPAYDGSVSSSDDGRSARHLAMSRRTFKAASAEANLESRYLAPNPSNERNSSRTMRSFDAIGDGSSSRCGSDEVGGMSSFDSDEFQSTHNWMAPERTHLHDKVELLRKIDEVRDHLAKFCVADAAQARNATFPRSHFSEQQAYCSCSRCLQGRRPHAASVHDLHSRSSRSGSLHKHDGHEAERSGPKDKPKRHCRPVSGASPFVICDKCTKLLQLPADFLVSRRRAHKLQCGDCHEVLSLPFPAMARAHPEVERHNEAELGRDANSIAAPRLHRLMGYQSASDLLCEQHEDTSTVRHSFRASEAGRAGSEFTGRGSSSPYHEEGESSRRTRRAAGLPRPGMRREDTGNQI >Ma09_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:829010:840224:1 gene:Ma09_g01130 transcript:Ma09_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTGPRDHIERIRRERYYIGREEKNPLAEDIHQAVSYLSEELYSKDVHFLMELIQNAEDNKYKEGVTPSLEFVITSKDITMTGASSTLLLFNNEIGFSPANIDSICRIGKSTKKGRRHLGYIGEKGIGFKSVFLISSKPHIFSNGYQIRFNEEPSPDCNLGYIVPEWVESNPNLSDIQNIYGSSKSLPSTTIILPLKSEKELTVKKQLSSLHPEVLLFLSKVRQLSVREDNDDPKLNTVSQISISSEANYQMRKNLNAESYTLHLAAHEVDKNDEEACSYYMWKQKFPVKPESIVKKRMEVEEWVITLAFPSGRRLNRGMKLSGIFSFLPTEMVTGFPFIIQADFLLVSSRESILLDSPWNQGILSCVPSAFINAFVTLVKGADDAPSFSVPYLFNFIPVKSSSIPQLDSVRLSSKEKVAAEHIIPCEPYTSQRIFCKPSEVSRLIPAFWNVLIKAQKFGVDIQSLHSHGRYIVNSYFDNKEYDQVLGFLGVEYVEKAWYGKFIECSNLAKEVPDDIYVVLLHFIAHNWNNCFIDLPLLKSLDASGCVSLLSVRKATNGCQRLCIAQDDDSISWLIKWNQELITASNLCFMPESTQKALRVSRGVLDWLQESVNLQLVSVEDYGSKVVKALTDRRLVIAFTHFLYHSLINDYASNWCIGQLCSSLPIVDDYGHVTVQRTQVLMPAKVSKWAGLLGSNPWRAERYVVLCTEYLSPWAFAGTYTSEGQILRFLQSHLKATDVPYVYPPDAAFTTVYSPLTKENAFLLLEWIRNIRSKGINKLQNFLNCIRTGSWLKTSIGYKPPSESFLPSSEWGNLLQISSVLVDIPLINQEFYGKNIWDYTEELKEIGVRFEFQQASKYIGKHLMDLAAHSILTRGNVYSLLRLIRYLREKQLSPESLIQSVKDSRWLQTSHGYKTPSESILLDSEWTIASQVSSLPLIDTNLYGKEIVHYKTELDLLGVLVGFNRNYQLVVDNFKMPTSFTSSHATIFILKCIRHARAPDKLIEKTRQMKWLKTHLGYKTPSESFLVASEVCLLNVVNGVPIIDEGFYGSGITSYKEELKKIGVGVDIDDLSKVIATQLKQLIASSSVTSKNVLALLACYRKMGSKFPTDLLAFTHHEKWLHTRLGFRSPKDSILLDTEWESISSIASLPLIDGNSSFYGHSNEIYNYKNELKDFGVVVDFKRGAEFVIKGIRIPKNPSVITRANVLSLLKCIHNLKGKMEVLPNEFMKSISKSWLKTTTGYKSPGECLLFDPKWGLQREDGPFIDNEFYGSEITSYKNQLKEIGVIVDATGGCLLIARHIKFHSDITAVSRVYMHLSEFKWEPENEAADWIWIPSGSGGGQWVSSSSCILYDKNHMFGSQLYVLDKYYETKLLGFFTTILGVPHGPNIQDYCKLWCSWEASLHHPTVLQCSAFWEFIAKHWNAKTEKLLLGCISKLPVQKNNEIMLSNKQDVFIPDDLLLKDLFDKCSDEAIFIWYPSTSTPALSRANLNKIYISIGVRTISEAVENDESFTAEGANVREVDPGSLVSKDGLLRIVLAFLCDTSLATSSAERHRIVNNLCNLQVLELDEPITVSYKLSLATGKNLIAKASKMFRWEKDNAKLFVQSIDGSKRKRGSIEFATFFADVISQGLLFEMSDQIAALSELIRLGCLLDFEEDAVEFLLKTKNLQLFAEDEELLSSISTSSKV >Ma00_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22465120:22465887:1 gene:Ma00_g02840 transcript:Ma00_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKGQPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTGGNSGASSTPPRNIGGLRPDDTYHYPRKSRKWFCCG >Ma10_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25236371:25239922:-1 gene:Ma10_g11870 transcript:Ma10_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPALVALCFLVESILLKLFVSLTGQATSGATDKEVLLELKSHLEAKNPIRQGPYARWNQSDPSPCDWLGITCDGADRVVGVDLAESNISGELFPHFYLLTELAHLDLSSNTISGPIPADLNRCSGLKYLNLSHNLLDGQLNLTGLINLETLDLTLNRFNGGIRSSFPAICLNLVTLNLSANSFSGDITGCFDECPKLQYFDLSSNQFTGPIWRGFPKLREFSVSENNLTGDFPSSTFTSGCDLEILDLSANKLSGTFPSSIANCSKLTSLNLWGNAFIGAVPSGIGSLSELNALNLGKNSFDRIIPEELLNCSKLVFLDFSKSNFGGDVQEIFGRFTTLDYLILQGNQYTGGIESSGILKLPNLVRLDLSKNSFSGDIPVEITRMRKLKILIFAYNDLSGVIPPEFGSMTGLQLLDLSHNKLTGSIPVEIGNLTSLLWLMLADNNLTGEIPPEMGNCRSLMWLNLANNRLSGRIPPEIATIGIDPFPTFEANRREILGGIVGSGECLAMQRWIPANYPPFNFIYMLMTRKTCRVTWERLLKGYGIFPICSNSSSRVRTLAISGYLQLSGNRLSGEIPSEIGRMRNLSLITVDNNRLSGHLPPDIGGQPLAVLNVSDNWLSGAIPEEIGSLQCLWSLDLSRNNLSGELPASLNSLYELNKFNVSYNPLLSGVVPRSGQISTFDGDSFLGDPLISFASSSADGASPPAGSGRSMRWRGRWKVVAFWVFLALCSVFVACGTLFFTLIRLGGARAAVNPYADQEPDPEGLLLGGVKRRSDAVGSSTPTMTTTTSLAEAASGCSSADGAGVRVFRLDRGVERTAFTYGDIVVATGNFDDGMVVGRGGHGVVYRGVLPDGRLVAVKKLQRRGRESGEEEDEGEREFRAEMEVMAGRCHPNLVALHGWCLARSARLLVYEYMEGGSLEEVIEDWGRFGWERRLAAATGVARALAFLHHECMPAVVHRDVKASNVMLDARGRARVTDFGLARAMGPGTSHVSTVVAGTVGYVAPEYARTWRATTRGDVYSYGVLAMELATGRRAVDDGEECLVERVRRVATGEGGQMLAEAAGEEEGAAEMLGLLMVGLRCTAEAPGARPDMREVLAMLLKIADRSGDGARETGSEGSQSSASPPHWSLQSHNTSSSSSSSVQSYWEGFFRQRTERDV >Ma03_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9108962:9109858:1 gene:Ma03_g11740 transcript:Ma03_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAATAHRDPAEEPTPQCSVISIYRAMVGGVPRNVTVVWTKNLMNHSLSVSIDKSNGESPLTCKVDLKPWPFWSKLKGSKSFDDDSERLDVFWNLRSAKFSDGPEPLENYYVALVCGEEVVMLLGDLKKKAYRKTRSRPSLEDVTLLSKKENVFGKKCFSSRVKFDDRKKEHDIIVVNSISGHKDPEMWISIDGIVLIHVSNLQWKFRGNETVWVEQVPVQVFWDVHGWLFRGPGSGHALFIFKPGLPASAAAGNRGSSGKPTSSRRNNSESSLVSSCGGGGSQEFCFFLYAWRMD >Ma05_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34166584:34167597:1 gene:Ma05_g22430 transcript:Ma05_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTLGPLAVGRVIGDVLDSFVPEMKLEVAYGHNKQVYNGHELMPSAVCGRPRVEIGGDDMRSFYTLVLTDPDAPSPSDPHSREHLHWIVTDIPGTTNASFGREIVSYEAPKPSIGIHRYVFVLFKQKGRRTVCVPASRDHFNTRSFSEESGLGLPVAAVYFNAQRETAARRR >Ma06_p26550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28404995:28406393:1 gene:Ma06_g26550 transcript:Ma06_t26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSECSSGCQSGWTMYLAQSSDEQSSLRHKDASSFHGQEEEEEEEEEEEEDLSMISDASSGPPQLHEVDDERGHYYRHSTPRWESNGCLHFASAPAAAMGRDGGKKRRAEAAQQRDHSSVLDDTASSQLLSSSQACSSSLCSSSSSLSQALRESDYISFLSQHSINDCSNHMKQSMDFSYGFSTTHFKARSAPQEQMGYSRSSSCVKPTPSRPVVWTLLTPPFLVSRINISICSRRYALVVSTGTKERGKKEDVVTGLALLPVPKKMPKDSSSTYLKEIFLWGFSGVYFSSLLFPFAVSIGSKMEIVGSIQLFCPHSRSWNELPGFVSSFPTYPQSLTVMGPAFKWMRLHGESTAVQPLQLMHVCVSKLNKVVKLLLKFMSAVTDRSPASHVVEMNTTP >Ma05_p28220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39297682:39298383:-1 gene:Ma05_g28220 transcript:Ma05_t28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPPRVPPRPFAPPPPKVSPTIPPPPNRRSPPPPSPSKQAPPPPPRRRPPPPPPPKKAPPPPTRRSPPPPPPPKQAPPPPPRKMVPPPPKLPPLQPPPAPVRPPPLAPPPPSPNHTVIIVVFASLGGLLLLAFLAAALFCCIKKRKKKMAAKSEAVDVEDYAHVHETVVPGPHGQQLATLSIEEDIKVHEVFKKGTVTGEASLSEPASGKQRSSSRTGGAGPSVTSRHHLL >Ma03_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24537909:24538091:1 gene:Ma03_g19140 transcript:Ma03_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDAAGKTTILYKLKLGEIVTTIPTIGESYLSPYYLPLLGPRQYYLTRWCINHDFWKL >Ma06_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6147497:6153503:-1 gene:Ma06_g08750 transcript:Ma06_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRAAGIRAPQPTGQIRQPPKRHLPFPSTRPPFLAPDEYHRFPGPDGRGIAGDETADALVIKTPLKRKREHEDNEAGESSEWMASPGYAEGLNNLLLTPVSGKGGKTYGRSKIAKYNKSGPHTPMSNAGSPSGNTLTPVGTCRYDSSLGLLTKKFINLLKHAHDGILDLNNAAETLGVQKRRIYDITNVLEGIGLIEKKLKNKIRWKGQDNTRPGEVDDDLSVLQAEVEKLALQEHSLDDRISEMREKLGVLSEDVNNQKWLYMTEDDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFEEKFEEVSGVVTPPKLHSGKSMANPETLGNPTLAVATEESKEKELQVNNQDSQRGFPDVNSLQDFGSGMMKIVPSDVDTDADYWLLSDSGFSITDMWKTAPEVQWDGIDVFNTDDFVTGSASTYQPQTPSSVTDVPSNANSTPR >Ma08_p25830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38557648:38559857:-1 gene:Ma08_g25830 transcript:Ma08_t25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEVLGSIKAMMVFRDEIRVNKRQCRLLVDAFDLAFEAVAVEMRNHLRFEEKLIKWKALEQPLRELHRVFREGEQYLRQCLEPRDWWGKAIALGQNTDCVDFHLHDLLWCIPVVMEAIENVGEITGTDPEDIYRKKLVFSKKYEKEWMEPKLFQHKLGSLYLASQGLSSRMDTSSGEDRWVLSEMIAEKRSQGSKPLSKQENRLADLLLCPKGKLFPCSVLVGSSDYQVRRRFGSGNNYKEVQWMGESFAVKHVIGEMEPLMPEISLLSSLSHTNVVHYMYSFVDEEKKECMLVMDLMSKDLSSYIKEICSTRRKVPFPLVVAVDTMLQIARGMEYLHSKNIYHGDLNPSNILVKTRSASPDGHLHAKVTGFGLSPVKHSKPTATQAAATQSCIWYAPEVLLEQESSSAKCTEKADVYSFGMICFELLTGKLPFEDNHLQGDKMSKSIRGGERPSFPGQYPKYLINLAKRCWHGDPSQRPGFNSICRALRYMKRFMVMNPDHGQPDAPMPPVDYFELDMSLCKRFTNWGRKDVPRVSEIPFQMYAYRVVERERTSANVKDKCSDSGSEGASVCGDENAFSITVPDDAVSASVASVRSLYPMVSESNNRTPTKKASSGKTNNPLGKLQKSRTMIPPHVSPAGRNFRSNSESRLQLQLQLQPVMMSPRRRRPSGHASDSELT >Ma09_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24085256:24086164:-1 gene:Ma09_g19480 transcript:Ma09_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQKIETGHQDVVHDVAMDYYGKCLATASSDMTIKIIGVNGSSHQHLATLSGHQGPVWQVAWAHPKFGSVISSCSYDGQVIIWKEGNKPDEWIQAHVFTEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARTDGGWDTTRIDQAHPVGVTSVTWAPALAPGTLVGSGLLDPVQKLASGGCDNTVKVWKLYNGIWKMDCFPALQMHSDWVRDVAWAPYLGLPKSTIASSSQDGTVVIWTVAKEGDQWEGKILHNFHTPVWRVSWSLTGNILAVADGDNNVTLWKEAVDGEWQQVTTVEP >Ma09_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7738975:7742508:-1 gene:Ma09_g11450 transcript:Ma09_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVHIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSSFLVQAGIIKKEHIKIHGF >Ma02_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19521379:19522511:-1 gene:Ma02_g09620 transcript:Ma02_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQKEELNDWPQALLSIGTLGNSEMKEEPRRDEHSRTLDSPSEDLSNFTIDEVSNLQKELKKLLSLKSKTKSAGSEFGEEDRAKLPLNRFLNCPSSLEVDRIASAKLEYLDNDNNGDLSPNTKIVLSKVKEVLLGNRNAIKKKSISFLLKRMFVCGGGFAPAPGFRDPMPESRMEKILRAILTKKMHQQSSTPSSSQKYLENKPVGRSQEGEAEEEEEKRKAQCKWVKTDSDYCSRDLETPIDEDDHGEFAVNALEEHMQACNGGLQST >Ma05_p32130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41822707:41828591:-1 gene:Ma05_g32130 transcript:Ma05_t32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPKKEPDDLEGIADAAPDALPKVKANKKEKKRKRKDKDSSVEGFSVFKSSESLSSILERNALEVTSAEMKKDVEKEIEKASILRKKYGIHISRHVVPPPLESFGELSS >Ma11_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24852965:24853491:-1 gene:Ma11_g20340 transcript:Ma11_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRVAHSNFGGEFCLLVDSAMRSKCRSIQGRKNHARCSNFSPHLRMQGQRYCRYRSF >Ma03_p26820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30405934:30411610:-1 gene:Ma03_g26820 transcript:Ma03_t26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) UniProtKB/TrEMBL;Acc:F4I456] MALWMDAGSDPISESEKADLEAIAAIKEAAAVELKEQGNQFVKMGKKHYNDAIDCYTRAINQKALSDSDHSVLFANRAHVNLLLGNYRRALSDSEEAIKFCSTNIKAYYRAAKAAFSLNLLAEAASLCQRGLEQVPSNDELKKLLMQIDLRRKEDEHQKAQVLQAVASAKELSSAMENRGLKLGKGLYQELTGIRKPVLDKSGILHWPVLLLYAEVMSSDFIEDFCETDMFSSHLDIMFSEDSQPLPWDEYHAYTREAVELYYQAGTGILLSNKEVLKYLLDGTVKSVPEGFFDEEKDSGKDLDSSVSHLSTNYGKWIHINEKKTLLDILRRSDYIIPAIPVFFVVSKKSEFYKEFRAGKWSPP >Ma03_p31110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33461357:33461605:-1 gene:Ma03_g31110 transcript:Ma03_t31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPKTCMEVSIWRRIKGSLFYMLSSGNLNPVADPMKKKRFSRRHERSRSP >Ma09_p18970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19435670:19462504:1 gene:Ma09_g18970 transcript:Ma09_t18970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDIDLNNSGSVVVKADKKILTLLFPDGRDGRTFTLKAETSEDLYEWKAALENAVAQAPSAALALGQNGIFHNEITESIEASHDQWKDTQPATSTVIGRPILLALEDIDGSPSFLEKALRFIEQHGIKVEGILRQSADVEEVKCRVREYEQGKKEFSSDEDAHVVGDCIKYVLRELPSSPVPASCCTALVEAYRTDRGIRVDSMRTAIYKTFPEPNRRLLQRILKMMQTVAEKKSQNRMSLSALAACMAPLLLRPLLAGDCEFEDDFNMGGDGSLQLLRAAAAANHAQAIVIILLEQYDSVFDEDLLRESSISSGLYSDSGDGDVEDDDSTDNDIPDDDEYHDEHNSDDDLTDTDTPGDDGYSDENNGLEEDIDDDSECSSTKAISESNSNVGSDPHDNKVLDNQKRDGASLQDSDVLDAPKILADSVHDTSLQEVCQKKLSSVSEIPYLEDSSAQKCETLLHDESNAIGSHVSASHESIGDNSTSTSPMPKSVSHILSSSARKAIDKPNEPVVCARRPTIWGRTSAKKNLSMESIDLLSEDEIAIQKLENTKNDLQNKIAKEVKGNAVLQESLERRKEALDERRLALERDIERLREQLQKERDLRASLESGLMNMRPGLVSFSSAMDSKTREDLEEVALAEADIVTLKQKVADLRGQLNHELKQSYASLCESCGKCFHSRDHSAEKDQSEDVSSINLVDQHENFLKQDDALSGVVCETMPAKEQELPSSREAEPPQYQNREPTSQTAISLGTRSASSTKDSIAKLSSEDEDTKKTEAQGLLSSPRAETSSGQLQANQRGIKSPVSSCISNIEEPVTTTCNSISKNSTSRGENSPGYENMEGQCQESMSSSDGQPPQKQRMNIVKQSSKLPQGPNKVLPSTEESVKVGQNTASKKFSLWGQNVKKEAQDPSLSNKQSNNPRPSDTESNSLKSQTSASVASSVELALAGLSGTIKKHSSRPEEQVSSSASALAKLTNRLNFLKERRAQLVNELQFVQNSHTLGQDGPPPRTKSR >Ma09_p18970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19434953:19462504:1 gene:Ma09_g18970 transcript:Ma09_t18970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSADNVMQCKTCRCGEGDTNFWIARGSDNPDKQSNNCPNCSVFKSGPLFISSKGIGWTSWKKRWFVLTRTSLVFFRTDPAALPQKGSEANVMLGDIDLNNSGSVVVKADKKILTLLFPDGRDGRTFTLKAETSEDLYEWKAALENAVAQAPSAALALGQNGIFHNEITESIEASHDQWKDTQPATSTVIGRPILLALEDIDGSPSFLEKALRFIEQHGIKVEGILRQSADVEEVKCRVREYEQGKKEFSSDEDAHVVGDCIKYVLRELPSSPVPASCCTALVEAYRTDRGIRVDSMRTAIYKTFPEPNRRLLQRILKMMQTVAEKKSQNRMSLSALAACMAPLLLRPLLAGDCEFEDDFNMGGDGSLQLLRAAAAANHAQAIVIILLEQYDSVFDEDLLRESSISSGLYSDSGDGDVEDDDSTDNDIPDDDEYHDEHNSDDDLTDTDTPGDDGYSDENNGLEEDIDDDSECSSTKAISESNSNVGSDPHDNKVLDNQKRDGASLQDSDVLDAPKILADSVHDTSLQEVCQKKLSSVSEIPYLEDSSAQKCETLLHDESNAIGSHVSASHESIGDNSTSTSPMPKSVSHILSSSARKAIDKPNEPVVCARRPTIWGRTSAKKNLSMESIDLLSEDEIAIQKLENTKNDLQNKIAKEVKGNAVLQESLERRKEALDERRLALERDIERLREQLQKERDLRASLESGLMNMRPGLVSFSSAMDSKTREDLEEVALAEADIVTLKQKVADLRGQLNHELKQSYASLCESCGKCFHSRDHSAEKDQSEDVSSINLVDQHENFLKQDDALSGVVCETMPAKEQELPSSREAEPPQYQNREPTSQTAISLGTRSASSTKDSIAKLSSEDEDTKKTEAQGLLSSPRAETSSGQLQANQRGIKSPVSSCISNIEEPVTTTCNSISKNSTSRGENSPGYENMEGQCQESMSSSDGQPPQKQRMNIVKQSSKLPQGPNKVLPSTEESVKVGQNTASKKFSLWGQNVKKEAQDPSLSNKQSNNPRPSDTESNSLKSQTSASVASSVELALAGLSGTIKKHSSRPEEQVSSSASALAKLTNRLNFLKERRAQLVNELQFVQNSHTLGQDGPPPRTKSR >Ma09_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19434953:19461618:1 gene:Ma09_g18970 transcript:Ma09_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSADNVMQCKTCRCGEGDTNFWIARGSDNPDKQSNNCPNCSVFKSGPLFISSKGIGWTSWKKRWFVLTRTSLVFFRTDPAALPQKGSEANVMLGDIDLNNSGSVVVKADKKILTLLFPDGRDGRTFTLKAETSEDLYEWKAALENAVAQAPSAALALGQNGIFHNEITESIEASHDQWKDTQPATSTVIGRPILLALEDIDGSPSFLEKALRFIEQHGIKVEGILRQSADVEEVKCRVREYEQGKKEFSSDEDAHVVGDCIKYVLRELPSSPVPASCCTALVEAYRTDRGIRVDSMRTAIYKTFPEPNRRLLQRILKMMQTVAEKKSQNRMSLSALAACMAPLLLRPLLAGDCEFEDDFNMGGDGSLQLLRAAAAANHAQAIVIILLEQYDSVFDEDLLRESSISSGLYSDSGDGDVEDDDSTDNDIPDDDEYHDEHNSDDDLTDTDTPGDDGYSDENNGLEEDIDDDSECSSTKAISESNSNVGSDPHDNKVLDNQKRDGASLQDSDVLDAPKILADSVHDTSLQEVCQKKLSSVSEIPYLEDSSAQKCETLLHDESNAIGSHVSASHESIGDNSTSTSPMPKSVSHILSSSARKAIDKPNEPVVCARRPTIWGRTSAKKNLSMESIDLLSEDEIAIQKLENTKNDLQNKIAKEVKGNAVLQESLERRKEALDERRLALERDIERLREQLQKERDLRASLESGLMNMRPGLVSFSSAMDSKTREDLEEVALAEADIVTLKQKVADLRGQLNHELKQSYASLCESCGKCFHSRDHSAEKDQSEDVSSINLVDQHENFLKQDDALSGVVCETMPAKEQELPSSREAEPPQYQNREPTSQTAISLGTRSASSTKDSIAKLSSEDEDTKKTEAQGLLSSPRAETSSGQLQANQRGIKSPVSSCISNIEEPVTTTCNSISKNSTSRELSRL >Ma09_p18970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19434953:19462504:1 gene:Ma09_g18970 transcript:Ma09_t18970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSADNVMQCKTCRCGEGDTNFWIARGSDNPDKQSNNCPNCSVFKSGPLFISSKGIGWTSWKKRWFVLTRTSLVFFRTDPAALPQKGSEANVMLGDIDLNNSGSVVVKADKKILTLLFPDGRDGRTFTLKAETSEDLYEWKAALENAVAQAPSAALALGQNGIFHNEITESIEASHDQWKDTQPATSTVIGRPILLALEDIDGSPSFLEKALRFIEQHGIKVEGILRQSADVEEVKCRVREYEQGKKEFSSDEDAHVVGDCIKYVLRELPSSPVPASCCTALVEAYRTDRGIRVDSMRTAIYKTFPEPNRRLLQRILKMMQTVAEKKSQNRMSLSALAACMAPLLLRPLLAGDCEFEDDFNMGGDGSLQLLRAAAAANHAQAIVIILLEQYDSVFDEDLLRESSISSGLYSDSGDGDVEDDDSTDNDIPDDDEYHDEHNSDDDLTDTDTPGDDGYSDENNGLEEDIDDDSECSSTKAISESNSNVGSDPHDNKVLDNQKRDGASLQDSDVLDAPKILADSVHDTSLQEVCQKKLSSVSEIPYLEDSSAQKCETLLHDESNAIGSHVSASHESIGDNSTSTSPMPKSVSHILSSSARKAIDKPNEPVVCARRPTIWGRTSAKKNLSMESIDLLSEDEIAIQKLENTKNDLQNKIAKEVKGNAVLQESLERRKEALDERRLALERDIERLREQLQKERDLRASLESGLMNMRPGLVSFSSAMDSKKVADLRGQLNHELKQSYASLCESCGKCFHSRDHSAEKDQSEDVSSINLVDQHENFLKQDDALSGVVCETMPAKEQELPSSREAEPPQYQNREPTSQTAISLGTRSASSTKDSIAKLSSEDEDTKKTEAQGLLSSPRAETSSGQLQANQRGIKSPVSSCISNIEEPVTTTCNSISKNSTSRGENSPGYENMEGQCQESMSSSDGQPPQKQRMNIVKQSSKLPQGPNKVLPSTEESVKVGQNTASKKFSLWGQNVKKEAQDPSLSNKQSNNPRPSDTESNSLKSQTSASVASSVELALAGLSGTIKKHSSRPEEQVSSSASALAKLTNRLNFLKERRAQLVNELQFVQNSHTLGQDGPPPRTKSR >Ma05_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10279333:10279553:1 gene:Ma05_g14140 transcript:Ma05_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISADGFGAKLQSIHRSETVSAVFGAGSTLHSMSYLSLPTDLL >Ma03_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28494659:28495351:1 gene:Ma03_g24170 transcript:Ma03_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKGFVEAGECDQGLELPLMKSEDILFATNSFSDSNKLGKGGLGIDYKGKLPGVQEIAVERLVSGRSRQGVVMEFKTGRSDRQATAQELGCCIHGEEKLLVYEYMPNKSLDFFLFGLFLKMAHSLDHS >Ma04_p30760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31327486:31329430:-1 gene:Ma04_g30760 transcript:Ma04_t30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNAWKSAKPYLAMVFLQVGYSGMYVVSVASLKRGMSHYVLVVYRNAVAAAVVAPFALWFERKTRPKMTLPIFLKIMAMGLLEPVLDQNFYYMGAKNTSASFSSAIYNVLPAMTFVNAIILRMEKIDIKKRRSQAKVVGTLVTVIGALLMILYKGPAIEFIWTKGRSHHADDGSQNQSHWLVGTFMLLFSCFCWSAFFILQSHTLKSYPAELSLSTLICLTGAGQSGALALVMERSAQPWLIGFDTRLFTAVYSGIMCSGIAYYVQGMVMKERGPVFVTAFNPLCMIITAIMGSIILAEDITLGRLLGAVIIVIGLYFLIWGKGKDHLAQPSETIAKDAALVLPKVADDANKTTSIDHVTVIDVQPSKHP >Ma06_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9822493:9828416:1 gene:Ma06_g14340 transcript:Ma06_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPELAPRGSWVASAYRGMSADNIKGLVLALSSSAFIGASFIIKKKGLKKAAASGIRAGVGGYSYLYEPLWWIGMITMIVGEAANFAAYAFAPAILVTPLGALSIIISAALAHIILRERLNILGIVGCVLCVVGSTTIVLHAPQEREIESVKEVWNLATEPAFLCYATIVGAVILVLIFCYIPRYGQTNIMFYVGVCSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQTWAFTIVVLICVVTQMNYLNKALDTFKTAVVSPIYYVMFTSLTILASTIMFKEWDGQNPTQIVTEMCGFVTILSGTFLLHKTKDMADGGLATSPSRFHKHADENGYTYEGIPLKCHEPSRAP >Ma09_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3863088:3866394:1 gene:Ma09_g05970 transcript:Ma09_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELPAMSQGGFLQEVAVGSYNIHFSHLPLPYDDDDFLPGLHVDAEDASLGIMFDEQVKSEYDMGGGGDLFKAPEPFLVEAELELDPMATAVPIIYGGGDVITEIIKVASMESIQNDHLDGLFYECKKGLLEESEIENSISELLDVKIPAVQIDEVPPSKDLSQADGSMQKSISSGCLNSVEWVPRSTMRPEFFDFQELDFEAAFGLKRSYSEGDIRNLGTKNTRIGSTTAVCSSFEQLLTISDLKSEQRQLKLSRYREKKSKRNFGKKIKYACRKALADSQPRVRGRFAKTEECKHVDASIEADKL >Ma03_p28710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31818871:31823656:-1 gene:Ma03_g28710 transcript:Ma03_t28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRSGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKLKAGDSAPEELATATQVQGQYMPIVREKPSIELVKVTDEMRSFKAYAKLRVERMNRCQVGARMKKAAEAEKEEKK >Ma09_p30360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40515697:40516335:1 gene:Ma09_g30360 transcript:Ma09_t30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNQRLIFAGKQLEEGRTNARRLQHPKRVDAASCAEAEGRNPRAFSYGSCREVQPGRDELQKVRLHFPLLWIPLLDCGYELFFD >Ma04_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19920627:19921981:-1 gene:Ma04_g18210 transcript:Ma04_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPRSRSPLRQDKDAERASLPWVIQLHGEGTLRPSLCMERGQNRREGIKPLVLKLAIPLALPLAGFIFSTITRKCRTRNRAPSSASSREGSLTMDSSESVSLYESEDEESSRGQEEAQRELVEHCDPSEVVRVQCPENLEGEIESLKCLVSAMDDRASEAESQFLDYRDAKEKESLFQKLQIMCLGFQLECLETRNQRLEATIADQQTALEKLEEMRAEHKWLRREGKKLAKADGLHLHEARRQARILGAREAELSQIKEELRYVKDLADHLQEEKKALDQKMDSLAAKYQSASEIEEDGNMRMASNKEFLDQLEQFRHQWYLEMEELIYIGWVGACLRHEPLMDQEEQLQEIMELPADDEAEARKVELHDSSAIAETGHGACLDAAARKNQSGSKKPRLLHKLKGWAKGGKGVSKQLRDS >Ma03_p31890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33942566:33947978:-1 gene:Ma03_g31890 transcript:Ma03_t31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSFASTLMADRHDGETDVTQRAESASSPSPREGEDGDPSRGVKEDLSEITEALSRRFRGVASFLAPPPSSAASGGDADPVEDSDALAFAGFRSDLAEIGGRFRSGISRLSSSKAVSEFSKIASTFLPLGPGEGEDWEPKDEEESESKYRSNAIGVTEEVMAFVRNISMHPETWLDFPLLPDDEESDDFDMSDAQQEHALAVERLAPRLAALRIELCPSHMSEGCFWKIYFVLLHPRLSKHDAELLSTPQVAEARALLFQKLQNQDIAEAEWPEVDVSNRKIDDTSVQSEVKVTELKDLYETSPSQAKEVISVPITDPVTEKHPIQTTEVEVIDKSVIEEEPPRQLQKDHSDASKVSIERFDEDGDDWLEDETEEMGISGSTSIPIGNDEDVSFSDLEEEDDRSAPKALKK >Ma04_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21984262:21994018:1 gene:Ma04_g19380 transcript:Ma04_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEHKANSSDT >Ma10_p25230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33481861:33483439:-1 gene:Ma10_g25230 transcript:Ma10_t25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAVRRTAVGARLAAAALCLVAFSVLAADRDKGWALDSYNRYKQFRYCVSVNVIGFVYSGFQVYAQAHYMMTEKHIIRRPMGDYLDFAMDQILAYLLISSSSSATARTGDWVSNWGRDPFPDMATGSVAVSFLAFLAFALSALISAYYLFRPSL >Ma09_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31973942:31975777:-1 gene:Ma09_g21200 transcript:Ma09_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIIQELARMDFILIQSLHKQELQEMTSWWRNTGLSQDLRFARDQSLKWYVWSMTSLPNPKFSQHRLALTKVIAFVYLIDDIFDLKGSLDELRLFTDAINKWEVSAIDLLPCYMRICYDALYKTTNEIAEMIFEEHGWNPINILKKSWIELSNAFMREAMWFARGHVPPADDYLRNGVISCGVPLVLTHLNFLLGGGAMDSAESYPNLISSPATILRLWDDLGSAKDEKQEGFDGSYLECFMKENPQCSVESAREHVMQMICKAWEELNKESFSSSSFSQDFVTACLNTARMVKLMYSYNEEHKLPMLEEYVTLLLFKAPNLKA >Ma07_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32043271:32043508:1 gene:Ma07_g24850 transcript:Ma07_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADPLRSSRSILICAGRQDGRFRSRVSAHRKWWAALREDQPSAVWQGVKNM >Ma07_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4297093:4298240:1 gene:Ma07_g05940 transcript:Ma07_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVGPRVYSCSHCGNHVCLHDDIISKAFQGRNGHAFLFSHAMNIVMGPKEDRQLMTGLHTVADIYCRDCGEVLGWKYERAYEETQKYKEGKFVFEKLRIIKENW >Ma07_p05940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4297136:4298240:1 gene:Ma07_g05940 transcript:Ma07_t05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVGPRVYSCSHCGNHVCLHDDIISKAFQGRNGHAFLFSHAMNIVMGPKEDRQLMTGLHTVADIYCRDCGEVLGWKYERAYEETQKYKEGKFVFEKLRIIKENW >Ma00_p02400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17464086:17468700:1 gene:Ma00_g02400 transcript:Ma00_t02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQECLQEKASLLQTQQQHQKTGSTAKLIRKLKAAAKKKSEITRRAFLKLGNQLVDRSIPLQMLPSKILPKTQLSKLRKNLVVPADDIVTNKGYEKVVRQKDPVAVKSSTDSTVDEEISHTTSDVSGLSNDTEDPDHVIDALDGDSELAIVEYVDDIYNFYKLGEKCGRPRKYMDFQFEIKEEMRSTLADRLIDEFHCYELIPETLYLTFYIVDQYLSREEVMETDLMLVGVGAMLIASKYEDPLPLGIEDCIDITHGAFSKEQILSKEKAILETLEWNITVPTQYVFLAYFLKAAMSDKEMEDMVLFFSELGLMPYSLITYLPSLVAASAVYAARCTLKKTPRWTEMLVEYTGYSEQQLLECARELVSLHSSAAEGKLMAVYETYSDAEFGAVALYPPATELLQSKKSASS >Ma00_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17464086:17468409:1 gene:Ma00_g02400 transcript:Ma00_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQECLQEKASLLQTQQQHQKTGSTAKLIRKLKAAAKKKSEITRRAFLKLGNQLVDRSIPLQMLPSKILPKTQLSKLRKNLVVPADDIVTNKGYEKVVRQKDPVAVKSSTDSTVDEEISHTTSDVSGLSNDTEDPDHVIDALDGDSELAIVEYVDDIYNFYKLGEKCGRPRKYMDFQFEIKEEMRSTLADRLIDEFHCYELIPETLYLTFYIVDQYLSREEVMETDLMLVGVGAMLIASKYEDPLPLGIEDCIDITHGAFSKEQILSKEKAILETLEWNITVPTQYVFLAYFLKAAMSDKEQGAPLRRPHVGQKCSWSIQVTQSNSYSNVHASWLAFTRQQQRVS >Ma01_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3666234:3669551:1 gene:Ma01_g05200 transcript:Ma01_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCEIEGHHLTSAAIMGQDGSVWAQSASFPQFKPEEITNIINDFNEPGTLAPIGLFLASTKYMVIQGEPGAVIRGKKGSGGITVKKTNQALIFGIYDEPMTPGQCNMVVERLGDYLIDQGL >Ma08_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:572792:577901:1 gene:Ma08_g00600 transcript:Ma08_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIFGKFTSGVGLFPVVAPHITAASTSRPPAASASAAGHRHPIRAPPPLEPEPPRRPFFIPNSAADPPAWPTWLSDAAGDVLAGFSPRRANSFAMLEKIGSGTYSNVYKARDPVGGRLVAMKKVRVEDVKAVDSVLFMVREIALFRRLDHPNIIRLEDLAVSRIPTTPSLYLVFDYMEHDLAGLTALPGVRFTVPQVKCYMKQLLSGLEHCHSQGVLHRDIKCSNLLLNKEGILKIADFGLATFFDPNNTKPMTSKVVTLWYRPPELLLGATRYGVGVDLWSAGCILAELLTGKPILPGQTEVEQLHRIFKLCGSPSEEYFEKLKLQKTTSFGSYKCSISETFSDLPPSSVSLIEKLLALDPLERGSATSALNSEFFNIEPYACDPSELPQYPPTKEIDRKLRENRDRRKQRTNAKENGEATNRIRLRNRSYRGPAAPSTSSKVQAELDRRRLMTSASVARAERFPPPHLDAAIGFSLDSSHADREFTTVDDLFMSSNAVPQRAADVTREDGGRRDHNTVHAGVIGAIRPYLMGNLTDLGRNSRAHQSRRESAVAAVAN >Ma10_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4940990:4941576:-1 gene:Ma10_g01610 transcript:Ma10_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNAQVNLNYNLTWILPVDAGFYYLVRLHFCEIQYPIVKKNQRVFDIYLNNQTATEEADVIGWSGGIGIPVFKDYVARTMGRGQMDLWVALHPDTLSKPEYYDAILNGLEVFKLQNSNNSLAGLNPGARSQLYDDPRDLRKGSAKHKSDVGGVVGGFAVLLFCSLVFA >Ma07_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10152854:10154323:1 gene:Ma07_g13500 transcript:Ma07_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIGRGIGHHNPTVQVPPWSPSDDPTGGNATSSHLPVSGVATGDGLLDEATLAALQLYLGCEEEAAAEEAPAVVDAYASDEFRMYEFKVRRCARGRTHDWTECPLAHPGEKARRRDPRKHRYSGTPCADFRKTGGCKRGDACELAHGVFECWLHPERYRTQPCKDSTACRRRVCFFAHTPDQLRVLPSQHQQTTTSASATAVESYDGSPLRQQSSMQSYLSKNFVCSTTATLISPPKTPTTASPPISPNGSNLRRESWQPSSSVNEMVASLRRLQLCRAQSVPSSWGLHIGNGGFASPRGALAGFNAGFCSMPSTPTAASAVWSDEEGPVERVESGRALRAKMFERLSKESILDRAEATPDVGWVSELLK >Ma11_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2600567:2608726:1 gene:Ma11_g03510 transcript:Ma11_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ribonuclease P/MRP protein subunit POP5 [Source:Projected from Arabidopsis thaliana (AT1G04635) UniProtKB/Swiss-Prot;Acc:Q6AWV1] MVQFKNRYMVMEIFIDGEKELVGGDPKKILSSNNVSRAIKDSIQLNFGECGLALSLGSFQVKYLNALTKICIIRTSHVDHRKVWSAITMIRSIGNCPAMFNLLDLSGSIRTCKTAALKCEEMKFEQYIVAAGDHCPQDITDIMKESIDKINALES >Ma09_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9388403:9389061:1 gene:Ma09_g13850 transcript:Ma09_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHITFYWGKKATILFDSWRTDSWPGYLLSLLALFAAAFFYQYMEDRRVRFKILAGSKPSLPPPPSAVEAPFLLPPSASAFFRPSARLASALLFGVNSAVGYLLMLAVMSYNVGVFVAVVAGLTVGCFFFISGGEADFVASQNSCGCP >Ma02_p13960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22127169:22132021:-1 gene:Ma02_g13960 transcript:Ma02_t13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAKKRPKQRAPGAAPPLQFLGREPSATLFPSKNEVLKLFAVIGIAASVAAACNYAVCFFNRQAKSFCDSSKVAYDTDTDLCEPCPSHGWCSNGKLECFHGYKKQGRKCVEDGTINQTAKKLSELLQQHVCNAYGQVLCNEPGKIWVCYHDQPHGFHATCFQEADVREIIDEHMPQKNIVSENDTSTFVKRKVMDIVESILETRVARNGSKEFKCPDLLAELCKPLQCRVNQWIYKHVGIMVAILGLLVGLTKITWSIHQKKNLTTRAEQLYEQVCEILQDNAMRIRCGNREGEPWLVASWLRDQLLRPRERKHAMLWKKVEEMILEDSRIDQYPKMIKGESKVVLEWQVDGPLTSMLKNKESASKTKAFAKVDGSFGNEEKKPVIAGGGFTKNPSYVEVSRAGVCLLQDLTKAYSTSMDQMPNA >Ma02_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22127172:22132021:-1 gene:Ma02_g13960 transcript:Ma02_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAKKRPKQRAPGAAPPLQFLGREPSATLFPSKNEVLKLFAVIGIAASVAAACNYAVCFFNRQAKSFCDSSKVAYDTDTDLCEPCPSHGWCSNGKLECFHGYKKQGRKCVEDGTINQTAKKLSELLQQHVCNAYGQVLCNEPGKIWFQEADVREIIDEHMPQKNIVSENDTSTFVKRKVMDIVESILETRVARNGSKEFKCPDLLAELCKPLQCRVNQWIYKHVGIMVAILGLLVGLTKITWSIHQKKNLTTRAEQLYEQVCEILQDNAMRIRCGNREGEPWLVASWLRDQLLRPRERKHAMLWKKVEEMILEDSRIDQYPKMIKGESKVVLEWQVDGPLTSMLKNKESASKTKAFAKVDGSFGNEEKKPVIAGGGFTKNPSYVEVSRAGVCLLQDLTKAYSTSMDQMPNA >Ma11_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2271949:2272735:-1 gene:Ma11_g03080 transcript:Ma11_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFCSDSTNSPLARRSAASDEDMSYATVSSAPPKRRAGRTKFRETRHPVYKGVRRRNGDKWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAAMALRGRSACLNFADSPLRLPVPESSSPADIRRAAARAAESFLPHPDPDAPEPMQDQNTTSCETAGTSAAACDPFLVEDGLNFGMQGYLDMAEGLLIDPPPPLPTNGDDDESDGNVSLWSYSI >Ma10_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33247251:33251210:-1 gene:Ma10_g24810 transcript:Ma10_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGILSSMIKWTVEKLTIFATTPASSPAEPRNDVEEELRKLQRTMLRIQAKLDDSEEEDVRDESVRLWLSELKDVAYDAQDVVEESEYQVLRAEAESRSRGGGSHKGKHVEVCDYSISAPSRISFPDDLASKVKKIRERFDEITTEWKALRLGKKHGKRRRDESGNCRQTSSCFDESIVFGRDDEKEKLIGLLLSEIDDIGGRGGTMSLIPIIGMGGVGKTTLAQLVYNDPRVSNYFDTWGWVCVSEDFDVVGLTRKILVSFSKTTVYYTELNELQQELQKKLQGKKFLLVLDDVWNEKPSLWELVKVPLLKAGVGKVIVTTRNECVARIMQTMEPLNLNILPFDKCWMLFEKLALLEGLDSSSRHNDLVDIGRQIVEKCRGLPLAVKVIARALSYEDDRDKWMDILESELWESVDANFEIFPALKVSYDCLPVELKRCFQYLSLFPKDTVLSERYIVHLWMSQGLLRPPRSKRAEDIGSDYVSNLVERSILQIKRISIGHALDPEEEKELVMHDLVHDLAQSVAQGECLSIAANKLASIFQGDGDKFRKVRHLYLVFDDKMTSRDAEVLPELKRLRTLIIHAPHRERHNATKFLNESLRNFKYLRALELNYTNIEELPDSIGDLKLLRYLSIEGANIDSLPESICSHYNLQILNVTATFDLKELPSGIVNLPNIRHLMLNESGVAIPRGLGKLTNLQTLDCITLSPTRWRYEVEDLKGLVNLRGKLTVHNLRYVNEYVSQTDTPLKTKDRIESLGLNWSDSTNATRDMDDDTAKQVLECFRPHPNLKQLIICDYGGVRFATWLGDSSFSKLVNIEIVVCLKCNLLPPLGQLPSLRVLAIKHFSDLQRIGREFCGIGSLTKGFPSLETLTFSDMKNWKEWDGVVAGDFPRLRQLEIDNCPKLRFFPQHPISSMTKLKLSHIDASLQPDPFMYVSSSPPPSGPQYLSFRGFRGFSIDMDLPSLKELEISLCPKLTSLAGLTNLTSLHSLIIYYCPDLRFPPTERLPSTLQPPRILDTPWIKQWYERQTQDDPMKELQLFPHPSQSSFLGDQIENDQPRSPDNNRTIEPLPQAPDEPEPPASLCSLSLELSLSNLQAQAGSSSGVDKICQKQFVPRDETCGYWSFFSNLSYQSIAI >Ma06_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:79825:92443:-1 gene:Ma06_g00120 transcript:Ma06_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] METTSGERRREEGSSRSLPTQRNDDDTEKRGGLIKIPSYQEVLGTANSSSPKPHNPYPSFSQVFFIKSSEFYTPPLPSLPPPTSSAVDPPLSSTPPFSSSPAAASSSYSSGSASGQNRNAILVSHRQKGNPLLKHLRNTRWTFADVVCDYLLGQSSCALYISLRYHLLHPDYLYYRIRELQRNFKLRVVLCHVDVEDVAKPLLEVTRTTMLHDCTLLCGWSFEECGRYLETLKVYENKPSDSIREQMHSDYLSRLTHALTSIRHVNKTDVVTLGSTFGSLSHIMDASMEDLARCPGIGERKVKRLYDTFHEPFRHVSGQPTTIVPETPIRDKNDEPTTSTHNAVEPEGQGPTASKPKKDTNLSVRSALTAAFAKYPRRVGKQDMKSTLLEQGKYSSTEASKDGEN >Ma03_p29330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32268058:32269747:-1 gene:Ma03_g29330 transcript:Ma03_t29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVTALIFEEAVFEPTFCPVYAQLCSNLNEKLPVLPPEEEGGREITFKRILLNNCQEAFEGAEKLRDEVRKLNGPDHEMEHRNKERIVKLRTLGNLRLIGELLKQKMVPEKIVHHIVQELLGHDGKSCAAEENVEAICQLLKTTGKQLDESPKSQRFNDVYFNWLKELSIDPQLPPPLRFMVHDMLDLRANNWVPHHEVVYVSA >Ma05_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6546415:6563700:1 gene:Ma05_g08900 transcript:Ma05_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGKVSLGGFPDLAGAVSKLSESVKNIEKNFDSALGLDEKHDSGDEGSAKWTSASDGKGIFEPVMAFMGNKGEEGPSKASVKEESLEHPPSAEESEKIPSAETTALSDKGIEDSTSKVDDTDSNNASIIANEPGELDQISAVVGSSHSQDETETSLSGSKEEADLPPVFQHKVDADGDASNNSQPGDSQLQIAESVEPNVNSVFHAPEGLQHASDSQGSHVKNETEAEQLVDKGSPKHSNVVVSVQESLEKEASVAIIPVGIMKHDHPNEFSDNNVPKPIGNEQDQNETSESVSHDDDASLKSAKLSSEAMLVEAENDNAVSNNVPNSVNSFAEVEKVKQEMKMMEAALQGAARQAQVKADEISKLMNENEQLKSIIEDLKRKSSEAEIDALREEYHQKVSSLERKVYALTRERDTLRREQSKKNDAAALLKEKDEIISQVMAEGEELSKKQAAQEATIRKLRAQIRELEEEKQRLNSKLQVEETKVESIKRDKAATEKLLQETIERNQSELAAQKEFYTNALNAAKEAEALAEARANNEARVELESRLKEAGEREAMLVQTLEELRQSLTRTEQQAVFREEMLRRDIDDLQKRYQASELRYTELITQVPESTRPLLRQIEAMQETSGRREEGWLVVERALNSRLQEAEAKAAAAEEKERSLNERLSQSLSRITVLETQISCIRAEQTQLSRSLEKERQRASESRQEYLAAMEEAATQEGRAKQLEDEIKEIRSKHKKELQDEMIHRELLEKELERVRTAKAELEKTLARETPPIADQDQTKNLPTRKLSSAGSLSSIEESIFLQASLDSSDNFYLERRASGEATVSPYFLKSMTQSAYEAALRQKDGELASYMSRLASLESIRDSLAEELVKMTEQCDKLQAEAAVLPGLRAELEALRRRHSSALELMGERDEELEELRADIIDLKEMYREQVDLLVNRIQVMTSSAGAT >Ma06_p38180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37054738:37055898:1 gene:Ma06_g38180 transcript:Ma06_t38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRDDVIVARIPSDVVDYKILPRLPYKSLSRFKCICKKWHHLISHDIVFAHEQSRHGSPISFGYVYQHKQSIDFIPIDIPGELNVCITNSSFFSLPIGAECIRITAVVDGLLLLFLQRKSDEQNDSMCSINYPDAIGKFHYVWNLVTKEGHVIPKTDRHCWFVGLAFDPWITPACYKLVNLVQRRKGLREEFSFDVYSSRTRKWTMSDHKFVIPKGTRTLWNIFCAGRIIYWASNPYVLWFDVEKDVAGYTLLPQLEALGGSRHVLGATNDGILTSTHMLQHSAITVWMMSEDGDWVKKVSLENVPTVSSEFKLFIPLPFTGGDRIYMEMLSYCMSKRILVCYNINTREMTMIGEMKDVWPPSKCLYIDYNRIARLGSLGVTEC >Ma06_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7117650:7118302:1 gene:Ma06_g10280 transcript:Ma06_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRCSELSDDDLLFKQDDKFHSRLLSRENSSANPSLRVYYGVAAGAVPFLWESEPGTPKNIISDGTLPPLSPPPSFFSSPRNREPKKSTKPTLIRAVLPKLILRKLQRPSSSSMTLISPSESSISTSSPKASIAGDASPTSFFCFMARQGATCGLKDATR >Ma06_p32670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33563390:33571503:-1 gene:Ma06_g32670 transcript:Ma06_t32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLKAEALGHEMYSSHGVILPVNLGRSIQKVMQKVGKSRGEESRSRRSDPSSCSSGDGSAGCSGLDDDRNNDGPREERRRKMCCYRIQLEKEVQKLQQQLQEEIDLHVALANAVAHNAGPLLNSPSKLPDKAQELLDNIATLEITISKLEEELASLQLRLCQERDERRLAENHLESLLSLSPELPSTSSSCMWEEHISSLRISKFGLNQIPSSLQQDLLSSRDSELPVANKSLDECPEEQFIDHCDRVEEGNKIDACLQESNAVELQKDLLETNLWNHPNQLSEEMVKCMRNIFLCLSESSDISSKASSSEYLPSQSSPVDQLSFSFTSFSDSSLIPQTFCNPSTGTYKFDEIMDQVDTFDPYGVNGKVNWRNIGSYSLAAEVTWMSVGKAQLEYAAEALKGYRYLVSQLAKVNPACMSGNERLAFWINVYNALIMHAYLAYGVPKSDIKLFSLMQKASYIIGGQSFSAAEIEFVVLKMKPPGHRPQLALALSLHKLRISEDHRKYSIDGPEPLLLFALSSGMYSSPAVKIFTSDNVQDELQNSMRDYVRASIGISEKGKLLVPKLLHSFAKAIVEDSLLVDWICRYLSPDQVTVVRDSTSQLKQRLLGARSFSVIPFDSRFRYLFLPDDKTFQKSLHHQLA >Ma08_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33329160:33331699:1 gene:Ma08_g19560 transcript:Ma08_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERPMTAAKSCNSITTTTTITNNNNGSSTITNTNNNDMVHVNSTTPGAARVMDKPSAQDHPQAALRCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRAKKPAAAPMVPPGNPHPRPLFPPDPIACLSAQRSSSSQLDAAAIYALQAAASSSDMSLTLPIIANSIHFPSCASAFDLQPHLGALGLGLSSDPLLENEYHLGELQPLAPMSSAAISLLNDYPIFGSSLSSASLLVSGIKRPKQVEDHQVLLPLDELQTSGGMSESINGMMKEVKLEGQTNHMVNDNINSCIDWQIPPENSLDDYGPAAAVYWNAAISGGAGWPDGTNCGWVVGHASDLVRMDN >Ma08_p19560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33329160:33331697:1 gene:Ma08_g19560 transcript:Ma08_t19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNGEKLGISSGKERPQMVGERPMTAAKSCNSITTTTTITNNNNGSSTITNTNNNDMVHVNSTTPGAARVMDKPSAQDHPQAALRCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRAKKPAAAPMVPPGNPHPRPLFPPDPIACLSAQRSSSSQLDAAAIYALQAAASSSDMSLTLPIIANSIHFPSCASAFDLQPHLGALGLGLSSDPLLENEYHLGELQPLAPMSSAAISLLNDYPIFGSSLSSASLLVSGIKRPKQVEDHQVLLPLDELQTSGGMSESINGMMKEVKLEGQTNHMVNDNINSCIDWQIPPENSLDDYGPAAAVYWNAAISGGAGWPDGTNCGWVVGHASDLVRMDN >Ma02_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22006527:22009874:1 gene:Ma02_g13760 transcript:Ma02_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGGSRYGVPPCEMTPFSPEPPASRAHLLGIPGPEPLQDPPLAEAPSPLSSRPPAANFDELAPGAAGGNFPEDDGEGGERGGSGATGNRWPRQETLALLQIRSDMDSAFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKDGRAGRQDGKSYRFFSQLEALHGGSSGGGGGATGMAGPPASRAQPISAVAPSTLTVPTRAVVPEPTPPLGPHGISSSAAVGISFSSNSSSSASSESDDEETEEAGESQEGRKRKRGGGDSGSSRKMMAFFDRLMKQVMERQEAMQQRFLDAIEKREQDRMIRDEAWRRQEMTRLNREQELLAQERAMAASRDTAIISYLQKLSGQTIPMPTMPATPVSHAPPPQSAAPPRKPQPPPPPPTTQQQQQRPPASVQSPSKQHVVQSDHHITEMARHQSSSGTELVLNTEPQDAEDGVNLEPMSSSSRWPKTEVHALINLRSGLDSKYHEAGPKGPLWEEISAGMQRLGYNRSAKRCKEKWENINKYFKKVKDSNKHRPDDSKTCPYFHQLDALYRNRLLGSGSNVGTQRQEGQEVNPASNQQQSGAPMNLSSTPPLHQPPAEAESKNEKNCSNNSGCDGNSEGGGGSNAIQAQTGNGGLPSSFFDEGLKK >Ma02_p13760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22006535:22010484:1 gene:Ma02_g13760 transcript:Ma02_t13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGGSRYGVPPCEMTPFSPEPPASRAHLLGIPGPEPLQDPPLAEAPSPLSSRPPAANFDELAPGAAGGNFPEDDGEGGERGGSGATGNRWPRQETLALLQIRSDMDSAFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKDGRAGRQDGKSYRFFSQLEALHGGSSGGGGGATGMAGPPASRAQPISAVAPSTLTVPTRAVVPEPTPPLGPHGISSSAAVGISFSSNSSSSASSESDDEETEEAGESQEGRKRKRGGGDSGSSRKMMAFFDRLMKQVMERQEAMQQRFLDAIEKREQDRMIRDEAWRRQEMTRLNREQELLAQERAMAASRDTAIISYLQKLSGQTIPMPTMPATPVSHAPPPQSAAPPRKPQPPPPPPTTQQQQQRPPASVQSPSKQHVVQSDHHITEMARHQSSSGTELVLNTEPQDAEDGVNLEPMSSSSRWPKTEVHALINLRSGLDSKYHEAGPKGPLWEEISAGMQRLGYNRSAKRCKEKWENINKYFKKVKDSNKHRPDDSKTCPYFHQLDALYRNRLLGSGSNVGTQRQEGQEVNPASNQQQSGAPMNLSSTPPLHQPPAEAESKNEKNCSNNSGCDGNSEGGGGSNAIQAQTGNGGLPSSFFDEGLKKTVVIAKEPMGQQQAAFNDYDKLNEADSDNMDKDEEDDDDDDEDGKMQYEIQFQRQNVSAGSGGNTSTATAGSYLAIAQ >Ma03_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24458856:24460745:1 gene:Ma03_g18980 transcript:Ma03_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCAKRVVVDARHHMLGRLASILAKELLNGQRVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKMKRMVIPDALKVLRLQPGHRYCLLGRLSSEVGWNYYDTVKVLEEKRKERAKVAYERRKQLTKLKLKAEKAVEEKLGSQLDILAPLKY >Ma00_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:879537:881024:-1 gene:Ma00_g00310 transcript:Ma00_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFHEEETDDGFVSAVRHESPQQIPAAPQCTQESLQLDDGGGDESEDDDDFEFAFVIKDPEGGQDITADEIFSDGQIRPVYPVFGRALLLADDDGLRRRGRAAAELEEERATSAVRGTLRHLLIAEREENLGASSSSSSLAADELAGVPPGTYCVWAPRSAALTPTRCKKSRSTGSSSLRWRLRDLVVGRSHSDGKEKFVFLAAGEDNDKEKESRCRVTKTEETGKGKEEKAKGKRGEATEVDIATAHRIYYGSRGGARRSFLPYKQDLLGFFANVNGI >Ma08_p31330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42285289:42285396:-1 gene:Ma08_g31330 transcript:Ma08_t31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKSLLCICFFLAKSLDSLVIVEVVCHFYPNEVP >Ma02_p16830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24013702:24020803:-1 gene:Ma02_g16830 transcript:Ma02_t16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSDNGLSDKEGTKLNLWNKVTTLNPNALEFIPSSLKYAKSTKSLDTKKFDGLGSSGNSVFNQSGSTISNNSEGEANPYWYHQLPDDITPDFKVMAEELQGPGNLRIADLSLHDAVGPSRLSGSMANQLLGVRKDVPCFTSENHRLSEKICAEEKSPTAFMASAASSWGKPFINGEKHSRIGRMGCRNDGDFCAGPINDLTSNNMLLENAAVDPIKYLSSCFPGCDAQRLANVYYKNGCDLNSTIELISHYMHEVDSGFDQNLNVMSLASSRVSMLDSTALSFAETHAQSGSSKYNREDIRHNPIMHRSTSSISKGDMKFASTIRQLGLQDFHRWRSDRNGCADGHVGSGRTIQLLASSLNGHGKTLYKNKSAANSSSGWLETEDAVESRREDHNVATLRNKCFEMENQTYYIGDKVLAKELGFKEQLYNRQTGSAHGKAEAICQTRNPLFPEHQSYSQLKDQVIDLYGLSVTEAMQSLNNRLSFLRRKARSSGQRLHAIICVGVGQQHSKGTGSPAWLPLAVEQYLTTEGLQFTQPHPGLLRVVIY >Ma02_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24013702:24020804:-1 gene:Ma02_g16830 transcript:Ma02_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSDNGLSDKEGTKLNLWNKVTTLNPNALEFIPSSLKYAKSTKSLDTKKFDGLGSSGNSVFNQSGSTISNNSEGEANPYWYHQLPDDITPDFKVMAEELQGPGNLRIADLSLHDAVGPSRLSGSMANQLLGVRKDVPCFTSENHRLSEKICAEEKSPTAFMASAASSWGKPFINGEKHSRIGRMGCRNDGDFCAGPINDLTSNNMLLENAAVDPIKYLSSCFPGCDAQRLANVYYKNGCDLNSTIELISHYMHEVDSGFDQNLNVMSLASSRVSMLDSTALSFAETHAQSGSSKYNREDIRHNPIMHRSTSSISKGDMKFASTIRQLGLQDFHRWRSDRNGCADGHVGSANSSSGWLETEDAVESRREDHNVATLRNKCFEMENQTYYIGDKVLAKELGFKEQLYNRQTGSAHGKAEAICQTRNPLFPEHQSYSQLKDQVIDLYGLSVTEAMQSLNNRLSFLRRKARSSGQRLHAIICVGVGQQHSKGTGSPAWLPLAVEQYLTTEGLQFTQPHPGLLRVVIY >Ma09_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:392370:393258:-1 gene:Ma09_g00520 transcript:Ma09_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLTTFRFPGAPKSSSKLVLFQDQLEPPQSPFHLSMEKAPTLAANRFLDDSNKEPETLEDKLMRRLSSFSTVIREAAMTKSLQNLFGATAVHGGMVQEEVE >Ma02_p16520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23843257:23846939:-1 gene:Ma02_g16520 transcript:Ma02_t16520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSLSPAVSISSTPPSPRPSSGAPQHRLRAPLVGCLWVLSLGAFSALAFDMGCAQSYPRIPFLGVKPVDNRSASSLCSTGMDATSTTVPSIVVYVTVPNKEAGTKLAESIIQEKLAACVNQVPGIKSVYWWDGKVQTDSEELLIIKTRESLLSALTEHVQSNHEYDVPEVIALPINGGNLKYLEWIKNSTREN >Ma02_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23843263:23846918:-1 gene:Ma02_g16520 transcript:Ma02_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSLSPAVSISSTPPSPRPSSGAPQHRLRAPLVGCLWVLSLGAFSALAFDMGCAQSYPRIPFLGVKPVDNRSASSLCSTGMDATSTTVPSIVVYVTVPNKEAGIKSVYWWDGKVQTDSEELLIIKTRESLLSALTEHVQSNHEYDVPEVIALPINGGNLKYLEWIKNSTREN >Ma02_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19780661:19792129:-1 gene:Ma02_g10100 transcript:Ma02_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASGNGTIDAAATAEQRETARAAPIRSATNPMVTPLLTDLYQFTMAYAYWKAGKHLERAVFDLYFRKNPFGGEYTVFAGLEECIRLIANFHFKEEDISFMRSVMPTCEDGFFEYLRELDCSDVEVYAIPEGSVVFPKVPLIRVEGPVAVVQLLETPFVNLVNYASLVTTNAARHRFVAGNTKNLLEFGLRRAQGPDGGISASKYCYIGGFDATSNVAAGNLFGIPLRGTHSHAFVSSYMSPDEIVDKSLHSYDGSSTCMDFLSLVQTWLSKLQFSESLHGVFGETNQSELAAFTSYALAFPNNFLALVDTYDVMRSGIPNFCAVALALNDLGYKASGIRLDSGDLAYLSIEARKFFQAIEKEFNVPGFGKMSITASNDLNEETLDALNKQGHEIDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKQCFRLYGREGYALVDIMTGENETPPKVGERILCRHPFNESKRAYVVPQRVEELLKCYWPGSSNKPREDLPLLKNIRDRCMQQLEQMRSDHMRRLNPTPYKVSVSGKLYDFIHFLWLSEAPVGELQ >Ma08_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22976916:23002097:1 gene:Ma08_g17100 transcript:Ma08_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGVARGGRLVGDYMIGQQIGAGAFSTVWRARHRVRGTEVAVKEIAMDRLSNKLQENLLSEVFILRRINHPNIIALYDFIQSSGRIFLILEYCRGGDLSVYIQNHGRVPEATAKHFMKQLASGLQVLRDNNVIHRDLKPQNLLLSSYGENAVLKIADFGFARSLPPRGLAETLCGSPLYMAPEVMQFQKYDAKADLWSIGVILYQLVTGKTPFTGNSQIQLLQNIVKTNELSFPLGYNLSCDCMDLCQKLLRRNAVERLTFEEFFNHQFLSEQPSLHNARMKPKKRDGIPLVEFSQIRSLGANSHDDCLPFPLDEDSGGQEGNLSHPMSKGLTKTISDLSFDIGHRNQACSPLKDIATSSKYNGCWHDSSGSPGRNTKDMKSFMEQKPSSSKDSAVVDSLEFVDQDYVLVPGPPLEMSSSSVSPSRPSNSPFKSESSPITSPNFSASSAPMPITGAAVGNADALRNLESCGSPASGTSHGSMDMADVSEQSPAHFMMRIRSLQQFASVIKDVVKEKIEDGGQLEAFSVQLVVLAIWKQALHICHAQAASAIEGSQREVRTWKNCISNTVEYVSCTDPQASGAVCSEIERDFLLGVEYAEELAMDIRQMTVATELPDAIEIIFQSALTLGRQGGVDEMMGNAERASSRYSKAVCLLHFLLGEAPSLALNPPFSPTNSDRYRLRVYIDVLSNRHGQSRFQRLGIKCEDQWSS >Ma08_p17100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22976914:23002097:1 gene:Ma08_g17100 transcript:Ma08_t17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGVARGGRLVGDYMIGQQIGAGAFSTVWRARHRVRGTEVAVKEIAMDRLSNKLQENLLSEVFILRRINHPNIIALYDFIQSSGRIFLILEYCRGGDLSVYIQNHGRVPEATAKHFMKQLASGLQVLRDNNVIHRDLKPQNLLLSSYGENAVLKIADFGFARSLPPRGLAETLCGSPLYMAPEVMQFQKYDAKADLWSIGVILYQLVTGKTPFTGNSQIQLLQNIVKTNELSFPLGYNLSCDCMDLCQKLLRRNAVERLTFEEFFNHQFLSEQPSLHNARMKPKKRDGIPLVEFSQIRSLGANSHDDCLPFPLDEDSGGQEGNLSHPMSKGLTKTISDLSFDIGHRNQACSPLKDIATSSKYNGCWHDSSGSPGRNTKDMKSFMEQKPSSSKDSAVVDSLEFVDQDYVLVPGPPLEMSSSSVSPSRPSNSPFKSESSPITSPNFSASSAPMPITGAAVGNADALRNLESCGSPASGTSHGSMDMADVSEQSPAHFMMRIRSLQQFASVIKDVVKEKIEDGGQLEAFSVQLVVLAIWKQALHICHAQAASAIEGSQREVRTWKNCISNTVEYVSCTDPQASGAVCSEIERDFLLGVEYAEELAMDIRQMTATELPDAIEIIFQSALTLGRQGGVDEMMGNAERASSRYSKAVCLLHFLLGEAPSLALNPPFSPTNSDRYRLRVYIDVLSNRHGQSRFQRLGIKCEDQWSS >Ma04_p32360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32362260:32362539:1 gene:Ma04_g32360 transcript:Ma04_t32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSIKQLQIMEVQVNSSCCLFEVRMVLLIICSASTSVVY >Ma03_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28055420:28061710:1 gene:Ma03_g23510 transcript:Ma03_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQMEEIQRKLELISCPRPNAPAQSLLFAGVERYALLEWLFFRLLGDRSPFTQQNWQGNSMDRDEENTRIQHLAEIASFLGITSSVDTEAIQGKGSYEDRVEMLHLIVHLVEASQYADNPEWSVDEQLAKDVQLVDSIAEKQAQIFSEELKLFPADVQIQSVYPLPDISELELKLSEHSKKLSNLQQMVQDLASKYDYNPNEDYTEVELKLRSHLESFLETVKSFTLIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSVRDSYAAMSLGSLPTTEEPSSIMKIILDCESALTFLNRSLSVLSTSVAREQEKAL >Ma08_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16034463:16037767:-1 gene:Ma08_g15820 transcript:Ma08_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKMAERGKKKGVTESVVNRGAWTAEEDQKLVEYVRAHGDKNWRTLPAKAGINRYGKSCRLRWLNYLRPGIKRGNISKEEDDLIIRLHNLLGNRWSLIAGRLPGRTDNEIKNHWNTHLCKRSLTIDDLNLELNQNVDSAPPFASSHHDFTTGSDAMEELHLAIMTSPPGGTTHDEPLRLLSPELHLLDLGSVPTLNGGGGNTSNEFGAEAYETGGGDGNGSLDGVMGGISGTKSDAQAVFDELDRWLIGCEDLALYFLN >Ma09_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2739048:2742437:1 gene:Ma09_g04140 transcript:Ma09_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein ITN1 [Source:Projected from Arabidopsis thaliana (AT3G12360) UniProtKB/Swiss-Prot;Acc:Q9C7A2] MTIQIEMGGARDPEWGLAGVNQSPRLPPVRVLVLSGSGKRIDQEVVFSPSSAPATPALVLSNSGKRMDPSGKKKYVKQVTGRHNDTELHLAAQRGDLAAVRMILGEIDAQMTGTAVGADFDAQVAEIRAAVVNDVNEVDETALFTAAEKGFLDVVVELLKYSDQESLVRRNKSGFDALHIAAREGHQAIVQVLIGHNPALVKTFGQSNATPLITAATRGHTEVVNLLLEQDPSLIELSKNNGKNALHFAARQGHVEIVKALLGKDSQLARRTDKKGQTALHMAVKGTCCGVVKALVDADPALVMLPDRAGNTTLHVAARKKRVEIVNLLLLLPETNVNTLTRDHKTALDIVDGLPLSEESADIKDCLSRCGACRADELNQPRDELRQTVTEIKNDVHIQLEQTRKTNKNVHGIAKELKKLHSEGINNATNSVTVVAVLFATVAFAAIFTVPGGTDDNGAAVVAQKGSFKVFFIFNAIALFTSLAVVVVQITLVRGEMKAERRVVEVINKLMWLASVCTSVSFIASSYIVVGRHFEWAAVLVTLIGGVIMAGVLGTMTFYVVKTKRTRSMRKREKSMRSGSNSWHHPSEFSDSEIDSIYAI >Ma08_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35420508:35420738:1 gene:Ma08_g21580 transcript:Ma08_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVLLCQALTDFLEYLLLQLYRLRDKFPNTSSRLQTIASILEEIVDHGVQVQSAVKQIISDRIQVAAMEITSRTP >Ma06_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6130854:6131900:1 gene:Ma06_g08720 transcript:Ma06_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGLSSLLFKLRDTPKPSCYPSSSPSSSSWSWPSCKQPKTSSFRDVDGDAIYKTVNSVYFDSNESCFSRSSEEHESFSTVSEDSGGGSLETVVRGVRSDRLFFDPGGASSILEEARASEPPFEGSVALAVESDDPHRDFRRSMEEMVTAHGLRDWERLEELLGWYLRVNGKTTHGFIVGAFVDLLVGLASSSSSSPPPPPPPPPSSASSSNSFKIEELKEEDASGSS >Ma06_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6492506:6493130:-1 gene:Ma06_g09210 transcript:Ma06_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTRGHQVPAFGYWDHRDELPITRCFELAVQAEWIRGHCRSEDGDLFKVAAPAETPACCEHHHRKVKKGGCIGREKEELQKKQGRATAPKAVDEDLYKIPPELLHHQKPKRARMFKNLWSGCMGLDSVA >Ma04_p36210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34656268:34657048:1 gene:Ma04_g36210 transcript:Ma04_t36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSAPATVLFVAVVALLASSTAARPGAPFHPRNILFITYSISTTGSSSTAADVSAAPHRFCRFVSIYRTITPFSSSSSAYALNNGRPFLIRRPHLVRRAVAEPAGLGFGSLQERAKDIIVVVAGLLFGVGCGALTAATMYLVWSLVSNHYDACVSDGEEEEDYMADSPKKVGYVQIPAADHAPAKEGYEAN >Ma02_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19381178:19381567:-1 gene:Ma02_g09300 transcript:Ma02_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSAITDEEINELVSKLQSLLPESRRRRSAGRASASKLLKETCSYIKSLHREVEDLSDRLSDLMATMDSNSPQAEIIRSLLRP >Ma04_p28960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29945802:29949011:1 gene:Ma04_g28960 transcript:Ma04_t28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGAVIGCHSVDEWKQHLLQANESKKLVVIDFTASWCGPCRAIAPIFADLAKRYPNVIFLKVDVDELKPVAEDWAIEAMPTFIFLKEGTIVDKIVGAHKDELPRRIELHMPK >Ma00_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26396209:26400427:1 gene:Ma00_g03160 transcript:Ma00_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKMLILFAVSSAIITACTMGSIFRYSDKSVVFAYFFLFGLSAVMLSFFISAFFSRAKTAVAVGTLSFLGAYVPYYTVNDPAVPLVWKMMASLLSPTAFALGTVNFADYERAHVGLRWTNVWQASSGVNFLVCLLMMVLDMFLYCSLGLYFDKILSREDGVWHTWNFLVTTILWIRDKTFANNTGRLDDKQHNEVPGIEKRWTGQVVCEPAIEAISLDMKQQELDGRCIQVRNLHKVYMTREGKHCAVNSLEVTLYENQILALLGHNGAGKSTTISMLAGLLPPTSGDAVVFGKNIITNMYTTITFLYPSCLLEDSLYVVCCVSTKLEHAVTSVGN >Ma04_p28690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29697314:29702324:1 gene:Ma04_g28690 transcript:Ma04_t28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPGITISDGNLVVYGKTILTDVPDNIVLTSGTGAGLMAGAFIGAAASDSKSLHVFPMGTLRGLRFMCCFRFKLWWMTQRMGTCGRDVPLETQFLLVEGKEAEASTVYTVFLPLLDGPFRAVLQGNDKDEIEICLESGDEAVETKQGMHMVYMHAGANPFEVISQAVKAVEKHLQTFHHREKKKLPSFVDWFGWCTWDAFYTDVTAEGVDQGLRSLSAGGAPPRFLIVDDGWQQIGSEVRDQTAAVVQEGAQFASRLTGIKENAKFQRKQGEGERRSGLKQVVEEVKKRRDVKFVYVWHAMAGYWGGVKPAVQGMEHYESALAYPVQSPGVMGNQPDIVMDSLSIHGLGLVHPKKVYSFYNELHAYLAACGIDGVKVDVQNIIETLGAGHGGRVSLTRAYHQALEASVTRNFPDNGCISCMCHNTDGLYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMLPDWDMFHSLHPAAEYHAAARAIGGCPIYVSDKPGHHNFELLRKLVLPDGSILRAQLPGRPTRDCLFCDPARDGTSLLKIWNVNKCSGVVGVFNCQGAGWCRHTKKTRVHDAALGTLTGTVIATDVDAIAQLAGPDWGGQAVIYAFKSGELIRLPKGATLPVTLKVLEYEVFHVCPVKNITPSISFAPIGLLDMFNTGGAVEQFDVSVTSNHAAAGQDDGHVPSDPTATLSTATVALRVSGRGRFGAYSSQRPLRCTLDSSDVEFSYDEGTGLVTINFPVPEKEMYKWSLQIQV >Ma11_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20030367:20031296:1 gene:Ma11_g14480 transcript:Ma11_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLRLCIDYRQLNQRGEREGQQLQLGLQHLCFPQAGNRGEDVCGVGEKKRRGEEEGKEREEGDEREVAAARAAAPLFPAGGNRGELWGIRRGEEEEEEEKKKRL >Ma11_p09540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8628078:8634632:-1 gene:Ma11_g09540 transcript:Ma11_t09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLWSSPSRRKRHRRLLLAAVVSTALFLLFISTRPVEPSDGIVLGSRVGDRPNREEDIGTQQEEEAEEEEVVEGGTDRASCATVEKMGEAFADSSEMESLRVREVIKRHFDLHGAARVRELPAHQFCRQGFVIGKASEAGFGNEIYKILTAAALSVMLNRSLIIGQTRHINTPFSYVLLCKWLCECLTLEGYKSFLNNSLNIQQLYPFGDYISFTNISFTLKEVKHLWRKHDCAVKYGRRLIMRIDNFEHPAETNVLCSDWKSWKHPIIWFQGTTDAVAIQFFLKNVHPQMKNAALVLFGREDSLESRPNVFGELMHVILSPTQTIEEAVDWVLQGADPDVVLHMRMMTNRSIRAIQAAVQCIKRALSSFQQQIAKPRVVLVSDTPSFITEITPYLAEFAEVLHFNYKFFQGNSSVGNMGTLKQSDFRVKDWGPAPRWVAFVDFFLASRAKHAVVSGAHRRVGTTYAQLIAALAAANRHGKDPSGTNFKFFSSFQSNLLVDGLRNQIGWGHIWNRFAGPLSCRHQPHQCALTPLLPPAWWDGEWQSPIPRDIQRLKSYGVTLTENGDVVESHLQAFCRSREDRVKTLKIISQ >Ma11_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8628078:8634632:-1 gene:Ma11_g09540 transcript:Ma11_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLWSSPSRRKRHRRLLLAAVVSTALFLLFISTRPVEPSDGIVLGSRVGDRPNREEDIGTQQEEEAEEEEVVEGGTDRASCATVEKMGEAFADSSEMESLRVREVIKRHFDLHGAARVRELPAHQFCRQGFVIGKASEAGFGNEIYKILTAAALSVMLNRSLIIGQTRQLYPFGDYISFTNISFTLKEVKHLWRKHDCAVKYGRRLIMRIDNFEHPAETNVLCSDWKSWKHPIIWFQGTTDAVAIQFFLKNVHPQMKNAALVLFGREDSLESRPNVFGELMHVILSPTQTIEEAVDWVLQGADPDVVLHMRMMTNRSIRAIQAAVQCIKRALSSFQQQIAKPRVVLVSDTPSFITEITPYLAEFAEVLHFNYKFFQGNSSVGNMGTLKQSDFRVKDWGPAPRWVAFVDFFLASRAKHAVVSGAHRRVGTTYAQLIAALAAANRHGKDPSGTNFKFFSSFQSNLLVDGLRNQIGWGHIWNRFAGPLSCRHQPHQCALTPLLPPAWWDGEWQSPIPRDIQRLKSYGVTLTENGDVVESHLQAFCRSREDRVKTLKIISQ >Ma02_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19539055:19543651:1 gene:Ma02_g09640 transcript:Ma02_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSPKEDKETPPAVAEGDAEEKESPIFGAVVESERSPDSFFVMSATVISVADSVAAVTAEVEFAACDCCGLTEECTPAYIARVRERHGGRWICGLCAEAVKDEICRSGLLLSPEEAVGRHASFCRSFRTSASSEPSVDPAERLIAAVRQLFRRSLDSPRAVRSTPSSPRSNGEAGSRAALGRTGSGFPTLLG >Ma02_p09640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19539055:19543651:1 gene:Ma02_g09640 transcript:Ma02_t09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSPKEDKETPPAVAEGDAEEKESPIFGAVVESERSPDSFFVMSATVISVADSVAAVTAEVEFAACDCCGLTEECTPAYIARVRERHGGRWICGLCAEAVKDEICRSGLLLSPEEAVGRHASFCRSFRTSASSEPSVDPAERLIAAVRQLFRRSLDSPRAVRSTPSSPRSNGEAGSRAALGRTGSGFPTLLG >Ma05_p31860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41590858:41591588:1 gene:Ma05_g31860 transcript:Ma05_t31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACILPCGALDVIRIVHLDGQVEEYSSRQVSAGEILAANPDHILSKPCSQGVTTKILIVSPDSDLKRGHIYFLIPESALPGKERKKRHQKSGSTAVVQELGHDSCLRQVLSEKKVRHRRRRSGQVGVWRPRLESISED >Ma10_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26359496:26367107:1 gene:Ma10_g13620 transcript:Ma10_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDSVTDPNPHHPDPSLDAEPATVEQMGSLTLGEAGDAAGAERPVGNGFLPADFYAAAGEIEVVEEGSPVSGDLAGPSSLGGSGACRERSGRGDALPSPSSSGYAGERGSSGGSSEIEEADDRETMLDDWSRGKRHPDEDDGSVSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDRLKFIRAGKHQVVFLVKVPIYLVCISCTEEPYEALKRQLELIYGQMLLILTKSVNRCFEKNPKYDMTPLLRGTDAVFSSLIHAFSWNPATFLNAYTCLPLSYSTRQAASAILQDVADSGVLFALLMCKNKVVSLVGTQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPMVFLYAYVHFLDVDTYLTLLTTSSDSFYHLKDCRIRVETVLVKSNVLSEVQRSMLDGGLRVEDLPLDSSLRSRSLTTPELSSSQTGIGGPSGLWHFMYRSIYLDQYVSSEFSSPISSSSQQKRLFRAYQKLYASMHDNGTGTHKTQFRRDEDFVLLCWITQDFELYAAFDPLADKALAIKTCNRVCQWVRDVENEIFLFGASPFSW >Ma04_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2698368:2701432:1 gene:Ma04_g03500 transcript:Ma04_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFHPFLPSDRDFLHPISVALTAATLPPTTGGRELSGPPSDGISNLRFSNHSDLLLVSSWDKSLRLYDAGANVLEGEFVHAGPVLDCCFHDDSAGFSACADHTVRSYGTFATGGCDGYVNLWDGNNKKRLYQYSKYPTSIAALSFSRDGHLLAVASSYTFEEADTLHEPDAIFVRNVNEVEVKPKPKA >Ma11_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6875272:6876170:1 gene:Ma11_g08660 transcript:Ma11_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKDCGNHGEHKRHKLFRQLFAAFLLLVIVALLVVLIVWLVLRPTKPKFYLQDTRVVQFNLTTGVGLLTSVFQITLSSRNPNGRIGVYYDRNAAYVLYKGQQITAATALPPGYLGANDVIVWSPYLFGAAVPLAPYLAEALYQDKNAGYILLYLHVDGRLRWKVGTWISDHYHLQVNCPVFLTVDSSNHYGDGSVPYFHFRQMTSCTVDV >Ma06_p24330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22827411:22831886:1 gene:Ma06_g24330 transcript:Ma06_t24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDLGSSLLGRRAASRRRIWWYAASFGALIALGIFLVVVVVGPGGHDRAEKIGRERRGMTGSPEEVESEVGVVAADDWRCSEVGAAALRAGGHAVDAAVAAALCLGVVHPVSSGIGGGAFMVVRSADSGEAVAIDSRETAPIAASKNMYENDPSSKSKGALSMGIPGELAGLHTAWLKYGRLPWKDLLRPSITLARDGFLVVPYLADAIRTKEEDVLADPGLRAVLAPNGRLLQTNDTCYNPALANALEVISTEGPQAFYDGSIGERFIEDVRNAGGVATMEDLKEYRVKVTKAMVANAMGYTILGMPPPSSGTVGMSLVLNILGSYNSSSDGVEGLLGLHRLIEALKHMFGVRMNLGDPDFVDVEEYVSDMLSSSFAQTLQQKIDDSTTFDPSYYLARWSQLRDHGTSHLCVVDSDRNAVSLTTTVNWYFGARVMSPSTGIVLNNEMDDFSTPTEATPDRLPPAPANFIEPKKRPLSSMTPIIILKDDQLAGVVGASGGVNIIPAVVQVFLNHFILRMEPLKAVQHPRVFHTLIPNEVLYENFTAIDGEHIEFANEAKLFLEQRGHVLRSLSLGAVSQLVVHNLHERVPNMQRKTDRKAKNGGGVFHGRLIAVSDPRKDGSPAAL >Ma01_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11205282:11209179:-1 gene:Ma01_g15490 transcript:Ma01_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLRIRRAVALSSAVLRHGRFFSAIHASPSPPSVPFLAVVSPVPFGDPSLQRPYSLIPSLSFRSSAPLCSSRSSGGADDKFGPDEILFEGCDYNHWLITMDFPKDPAPSREEMIETYIQTLATVVGSVEEAKKRMYALSTTTYHGFQAVMTEEMSEKFRGLPGVVFILPDSYIDPVNKEYGGDKYENGVITPRPPPIQYGRQGRERNQNRYDRPNYNRPPPQGNPNYNRPPPQGNPNYNRPPPQGNPPNEQWGSMQGGGPNYAPQQGNSQPGQYGRGYDAPGGRNSVPSGERRDFGQGEQGNYAQSAVRDGYQGERRDPMPSNQRNFNQGYHGNFAPQEQRNITRGPGEQNMYGSSTGADNRPGSGPSYSQNHRQETMPGNGGEHIQNGNFGYGGESSRDVSSGFGGQGSGSAYEQNYSGPTKGQTGSWQGKW >Ma07_p27790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34023592:34028699:-1 gene:Ma07_g27790 transcript:Ma07_t27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAATTVAAGSRKSPNPHKNASAPPHSDGLRNPHPRMLIPSEKDNAATGAGRARTKKVNSRFLPSYSCPSSSTATSCSTTTTFSSSSSRRFPSPFVTPRPSTLPALPQCTAQKRSHSVGRARPSTTVAHPCPTPAEPSAATRAFCMTRSLSVSFQGESFFYRISRPKPASPTSERRRHGATCAPLAKAGDHLENSRPSDSHHLWPASRAPPSNPLTRSLNCSSEKKEPILATVRLLEQSMMFDDTTRRASFDQGDLSASSDTDSVSSGSNSGTPEFIVLPRAKVTPRGISVPARFWEETNNRLYRHPEPCSPSSSPDARPVVQPKLGTVKKLSVDNPLSCSRPASLHHGTMAPSSLSKPITSPTRGMASPLRTRSNVLLNSSPFGQPGNAPSIISFSTEVRRAKKGENRIEEAHLLRLLDNRHLQWRCVNARAYSALLMQKLAVEKKLYDAWITTSKLRDSITIKRIKLQLLTQNLKLTSILKGQMASLDEWSVMEKDHSSSLCGSIEALNASTLRLPVVSGAKADILEVKDAVGSTVDMMQAMGSSIFSLLSKVEGMSTLVSNITKVAAQERALLDRSRDLLSTVAAMHVKQCSLQGHLIQLKRGAHLI >Ma08_p25340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38229677:38229959:-1 gene:Ma08_g25340 transcript:Ma08_t25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDSFYLGSIGLLGEYCPTSRKKYICSLERASCLHLEPFRETTLIGSCSWEQLFFIVTDYWGIEQKRFLVPASIV >Ma03_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8448506:8448956:1 gene:Ma03_g11200 transcript:Ma03_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYHLNLPSISIPDLKKTPLTVQRTVTNVGDTDSIYKAMGESPPGVNMVVEPSLLQFNASTMTHTFAVTFTPLQMVQGDFNFGSLTWIDDGKHAVRIPIAVRVIMHDFFSDTS >Ma05_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3301229:3303208:-1 gene:Ma05_g04340 transcript:Ma05_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGKGKGIGIDLGTTYSCVGVWMNDRVEIIPNDQGNRTTPSYVAFTDTERLVGDAAKNQVAMNPNNTVFDAKRLIGRRYSDPSVQSDMKLWPFRVVPGPGDKPLIVVTYKGEEKRFTAEEVSSMVLSKMREVAEAFLGQTIKDAVVTVPAYFNDSQRQATKDAGSIAGLNVIRMINEPTAAAIAYGLDKKAARTSEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVSEFRRKHKKDISGNARALRRLRTACERAKRTLSSTSQTTIEVDSLNEGVDFYVTITRARFEELCMDLFRKCMDPVEKVLRDAKIDKGQVDEVVLVGGSTRIPKIQQMLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGDEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKDEIERMVKDAEKYKAEDEEVKKKVETKNSLENYAYNMRNTVRDDKIKNKLSEGDREKIGRAVEEAISWLEGNQLAEVEELEHKLRELEDTCNHIIAKMYQGDAGGAAAGSAPGGEDGYGWSGAGGGGAGAGAGPKIEEVD >Ma10_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32021462:32021630:1 gene:Ma10_g22810 transcript:Ma10_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPLPKKAMSNDISSSFSRYDCTM >Ma11_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5156706:5157518:-1 gene:Ma11_g06400 transcript:Ma11_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGKGGDTINQRIQQPEAEMGIREMEISGHKLIIHERDDSSDPSTGRALTGSWLWDAAIHLAEWMAADGGPHLAGATVLELGAGTGLPGLLAAAMGAARVVLTDVAPLLPGLRASAEANGLGSRVEVRELRWGSGEQAVAEADVVLMSDVFYDPEEMGGLASAMRAAWGESTTGWAASEVRPGVGECLEALRREGFEVVEVEERVRPLLRAEGETSVFAVYRIRRA >Ma10_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19088679:19089496:-1 gene:Ma10_g06430 transcript:Ma10_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQDAESSPSRYDYFHRHQKQGQRQEQQHLSGTQILFGAAASLALGGPLLGTMGCDLLASTILLLVAAPLLLLLSPVLLTAAFVVAASVVGFGLSAAMALLGLSAFRWALRCVRQGGPYDVGKIVDMLTLSGHRVKEKSPNFEEHHGQYVSYVIPSEKGINGGM >Ma06_p26480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28304946:28312116:1 gene:Ma06_g26480 transcript:Ma06_t26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRAGRTKVELPFLLLCCSLSFMVGFFGSGLFLQGLPGDGWARRRSMEEAGADEVELPAMPHGLTGESNPALIAFQVLSWKPRAFYFPKFATVDQCQTIIKTAKSRLEPSTVALRKEETVPINNGIRTSSGAFLSASEDSTGTLEQIEKKIARVTMLPIENGEAFNVLRYETGQRYASHYDAFSPDVYGPQESHRVATFILYLTDVEEGGETVFPFENGSNMDIKYDYEKCIGLKVKPRKGDGLLFYSMFTNHTIDPTSLHGSCPVIKGQKWVATKWIRDQIQD >Ma05_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7048721:7049411:-1 gene:Ma05_g09780 transcript:Ma05_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKVISSLLLFLLFFDRLRLSVSDFSSPECPYPCLPPPTSVINYPPPPPSEPQWGYPPPPGTPSDGYYTPPSGYPPYYSPPYYTLPAPPPPDPILPYFPFYYKRPPPPPRSSAASAIARRGAICVPLFTVLLPFLIW >Ma04_p31600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31851608:31857087:1 gene:Ma04_g31600 transcript:Ma04_t31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKMPEISNSEGASVLVVLQFVALLASVVIHISEGVNIEGQYLLDLKSTMRDDLHHLDSWNPNDRTPCGWTGVNCTSDLNAVVVGLNLSSMNLSGSIPLSIGGLVHLTYLDLSFNELSGTIPREIGNCSKLEFLYLNSNNFEGEIPHELGTLSSLLKCNLCNNKLSSSLPESIGGLSSLVELVAYTNNITGPLPRSIGRLKNLVILRMGQNSISGSIPVEIGDCQNLKRLGLAQNLLGGEIPKELGKLTNLTELILWDNQLSGIIPKELGNCSSLVTLALYQNNLVGSIPAEIGNLKNLEKLYLYRNSLNGTIPKMIGNLTRATEIDFSENTLTGKIPSELSNNKGLHLLFLFQNQLTGNIPPELSELRNLTKLDLSINSLTGPIPLGLQYLPNLTQLQLFNNMLSGLIPKSLGVYSPLWVLDFSENNLTGIIPSHLCRRSNLILLNLWSNGLTGNIPSGITNCKSLVQLRLGKNSLTGSFPSDLCKLVNLTAIELDENRFSGPIPSEIGQCKALQRLILPNNFFTHKLPREIGNLSQLVIFNISSNEIGGSIPPEIFNCKMLQRLDLSKNQFLGALPDEVGSLLQLELLILSDNKFSGTIPSIIGKLSHLTELQMGGNEFFGTVPKELGELSSLQIAMNLSYNNLSGNIPPELGNLSLLEYVWLNNNHLTGEIPSTFAHLSSLLGLNVSYNNLTGPIPPIPLFQNMALSSFIGNRDLCGKPLGQCGLSPSSTSPSARTSAYLGKTIAIIAAAIGGISLVLIAVIVYIMIRPVETVAPVNDKQPGNTDSDTYIFPKEKITFQDLVAATNNFDESYVIGRGACGTVYRAVLQSGQTVAVKKLASNRDSSNAENSFHAEISTLGKIRHRNIVKLYGFFYHQGSNLLLYEYMSRGSLAESLHGGCSSSLDWDTRFMIALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVTWVRTHIRTSSLTSGILDSQLNLEDRVVVGHMIMVLKIALQCTSMSPMNRPAMHEVVFMLVESKQKAGSLASSPVSNLSSEEDNL >Ma02_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16730642:16734623:1 gene:Ma02_g05410 transcript:Ma02_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKSTPKLQDSRSVRKIVSLDTHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYSGVPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEIAVMTKEHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPSRDT >Ma05_p23410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35449534:35456733:-1 gene:Ma05_g23410 transcript:Ma05_t23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEARKILGFSPDSCPTRSEIKAAYRRKAFESHPDLFPAHEKSQAESNFKLIAEAYSSLGSGARSRSPYGATTVRVVRTGVPMGYGKGNRALITIPFLLLIAGTVSFAGLNAARAYKRQEKMCPSYNPFLP >Ma05_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35449534:35456725:-1 gene:Ma05_g23410 transcript:Ma05_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEARKILGFSPDSCPTRSEIAEAYSSLGSGARSRSPYGATTVRVVRTGVPMGYGKGNRALITIPFLLLIAGTVSFAGLNAARAYKRQEKMCPSYNPFLP >Ma11_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3102067:3108188:1 gene:Ma11_g04020 transcript:Ma11_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGEDKEQKQDPRIEGIAASIRVVPDFPKKGIMFQDITTLLLDPKTFKNTVDLFVERYLGKDISVVAGIEARGFIFGPPIALAIGAKFIPLRKPRKLPGEVISEKYVLEYGTDCLEMHVGAVQPGDHALVVDDLIATGGTLCAAMNLLERAGAEVVECACVIELPELKGREKLNGKPLYILVESR >Ma07_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2016853:2023987:1 gene:Ma07_g02530 transcript:Ma07_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSKLHVLLAAQLPLPAAVRVARRSGARLTVLSASSSYAPRLLSSSLRSRLSFTVRGAARTHGQAAGAGPGYIAATTSSPSSIAAHPWPEWSRLVDFLLAGGYYDRRVPAAADDGDDDSLLAGEALTEEFVKAAEACLFFARDRPDLLSSLERKDIEIIVEHGSPFLFKNGSNSTRRLRSFLSGVGNNGLEIESAKTFDVMRYLLSYVCNSSACKDEMYLRAKQHMETSIRSLLAAVVSVSTAGEEVRLSESTPKQSLLRQQSTRPASQNTEMKRGDWICPKCSFTNFARNMRCLECNDTRPKRVLTGGEWECPQCDFYNYGRNMSCLRCDCRHPGEAPQNIATTGVGLQYNRSSTIQRNADQPSGLGRMDSSKASESSVSQRLDRILGRSSTLSGSNHQAAPAELNDEMGNSSEYRDGIPSERRDYDSVSSEHNANNDVQQFPLMEDSASSIRTHWPSESTDERFNSTRESKGGDSSDTAEKWSKKVAELDIASGPASTVSDDDFPEIMPMRKGENRFVISKKKDRSLTSPHYKRRIALEQANSSNFVPFVPFPPDYFAKKDKHPETSPTKDSASEGLATHEKSQTISEKLEENKTGISNFVSSEKTAQPPDVQSTTLSNVSKTSNASPFGENLNEHKAGNVYDGSAVISAGIPGNSWSDGNNNRNWEPPNSTHGGDDDATAKESSQNVNEGLSPASSRKSLEGSLVKEPDPLDMSEEAKAARWFRRVAQIKDISELSNIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPVVNSDPEKNASEEAGEV >Ma11_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:552400:562494:1 gene:Ma11_g00770 transcript:Ma11_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDARLDSAVFQLTPTRTRCDLVIIANGKTEKIATGLLNPFLAHLKAAQDQIDKGGYSIVLKPDLESDAAWFTKGTMERFVRFVSTPEVLERVSTIESEILQIENAIAIQGNDNLGLSTVEGHQMKSSGSTEGMKTSVDPNTEKAIILYKPGSQSNPPDSNGSSTQEANSRVQLLRVLETRKMVLQKEQGMAFARAAAAGFDVSNMEHLIPFAESFGASRLKKACFQFMELWKKKHETGQWLEVEAAVAMSTRSEFSALNASGVIFAADYMIQKDHVDAQSISGGDMITENDGKPDKQIPSDQKVPLGHLHEYFQGQFQHPTYPQCPMHSPPGHIFQPYSTQGMPYYQNHQGSVPCFYAPYPPTDDPRFNSSHRKVPKRQSMDNKDVQSETGSQDDTDQDTSDLEKEGSHGHKSHRRIGQAGKKKSGVVVIRNINYILSKKLGAGEFESESQSASEPEAVEQSKEVHSDMRENKHKHKHSSRTSKEEEGRTKSEENSDPYDNDNAVYREEQDSGNWQAFQNFLLRAEEKSRTADEDMLMGGKGPSSKRKQGKGEADPIVSTEKDYGVFHDRRTVGFHSANGKANRMKQAASDDRFLISGYRRDSIDNQFRKIESAGGAYRQMSSDEFMIYGQEKQFSNESSSHPLIDHVGERAGNAVKSSSRNITDESFVLPYRSISWDLGSDSVAAIDMVSEFPSTIQKTQGSYDKVKSQLSYEPDNLSMVPVHGLESASVGYNLAMDYDSKIPVGNAAKLETSNQEEPSVSTKKESKKSDKKLRATNDSVEKRRKDALVKKGVSSRLNPLTEAQKRAEKLRSYKADLQKMKKEREEEEIKRLEALKRERQKRIAARRSSFNVTQSPLTPQQTKARLPAKTSPSPYKGSKFSDTQPVSSSPLQKLPYRTSSVGSNNLQKVIKSRRLNGSNHGLTRSASSLPEKKESSRLMQEAKADSLRMKRLSDPKSSYTRHSPSVKTLAADQAPKRSIADESRKKITAVRQLDKSKSATLPGLRIRTIKSSSERVGKGTASKNPMQKGSGNKASRASDSIKGKLGNDKPPGNSDENPVIEKTVVLLESHVVSAPVVRQYKETIGTKGISHGDGLGTGFSAIHAPPSPIVTGQLEDAGEGKSCEQPSSGEGVVPYPSNKPQKFSNSTTAEKPYQAPFARATSLKDPVITKSGPDGDLPASESEIVAMPAESKMKHVSGFENPSLGNQTHETHEKPRSKETKGFRKLLKFGRKSHSSASGEGNLQSGASSVGGQTVAAASSNDVSRAFSLLSPFRSKNSRKKQAA >Ma09_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36713614:36715284:-1 gene:Ma09_g25160 transcript:Ma09_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQSRRERRNKREKEVEEEKMPTFWFALKRSLHCNSEPSEVHDPKARGHLETILTRTAGRSGCSRSMANLKDVIHGSKRHLPKPPSCSPRSTGSSKLLNPITHEVVLSNPSCELRRITGSGACHDSTYVGTVWSGTPWPGVHHPLQHNPSCTPRRCPSFSGVSSLSPALPGNGVAAVHHAVPAPRFSHETDVQVSSAAVPCHKCGKMFVKWQILEAHHLSKHAVSELAEGDTSRKIVEMICRTSWLNTGSSCRQIERVLKIHNMQRNLAQFEEYRETIKLKASKLPKKHPRCLADGNEHLRFHGTAIACSLGSNGSSSLCTSEKCSVCQIIRHGFSSKKESKGRIGVFTTSTCGRALESIETCEDDPSVKKALLVCRVIAGRVHKPLDTYQELVGRSAFDSMAGKIGIYGSIEELYLLDPSALLPCFVVICKP >Ma09_p02940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2012788:2016736:-1 gene:Ma09_g02940 transcript:Ma09_t02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTETGNSSTTESAVSGLDYEETQLTLAPPGGSRSELERKRGFSEGLGLSLETKDFLSGSSDEPPISGAGEPSAAKAQVVGWPPVRSFRRNVLKSCTYVKVAVDGTPYLRKVDLEAYAGYQQLLTALEEMFTCFTARNYPNERRLVDPVNGTEYVPTYEDKDGDWMLIGDVPWKMFVASCKRLRLMKSSEAVDLAPRTPTGCITAR >Ma09_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2012788:2016736:-1 gene:Ma09_g02940 transcript:Ma09_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTETGNSSTTESAVSGLDYEETQLTLAPPGGSRSELERKRGFSEGLGLSLETKDFLSGSSDEPPISGAGEPSAAKAQVVGWPPVRSFRRNVLKSCTYVKVAVDGTPYLRKVDLEAYAGYQQLLTALEEMFTCFTARNYPNERRLVDPVNGTEYVPTYEDKDGDWMLIGDVPWKMFVASCKRLRLMKSSEAVDLGCITAR >Ma01_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16203416:16224312:-1 gene:Ma01_g20000 transcript:Ma01_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIADHPFTSSSSSSVDGQATVAGPYSSVAAPPPPPLAPPSPPATGSSVVGRFYDDDDEEGDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHTFSFSPVYAENAPTRLPFEEFVVGMTMKACHVLQFFLRLAFVLSVWLLMIPFITFWIWRLTFVRSLSEAQRLFFSHMSAPVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGHDAERDDGQERNGARAVRRLPGPVNRVPVGDGNAEDAGGAQGIAGAGQIIRRNAENVAARLEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLGVVILLPFSLGRIVLYYVTWFFASVSNPMMEKVMPLSESALSLANSTLKIALSAAKNLSSESNNEGLLGNMMEAVTGSQKINVTGLHEFSNSVDGSVSADLVKGTVIVSSHLSDVTTLAVGYMFIFCFIFFYFGLLALIRYIRGERMIFGRLYNLLTIAEAVPSLLRQLLAGMRHLMTMFKVAFLLVIELGVFPLMCGWWLDVCTLRMLGATIAQRVEFFSVSPVFSSSIHWLVGIIYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLIFLPVKLAMRLAPSIFPLDITIFDPFTEIPTDVLLFQICIPFAIEHFKLRTTIKSLLRHWFKAVGWALGLTDYLLPPSEGNGNQDAGNMEAVRRDRLHVARQGGAGENDQPLVPRIAAEDHLGTVDIVGNADAAEESDVDDPADSEYGFVLRIVLLLVLAWMTLLLFNSAMIVLPVSLGRALFNAIPRLPITHGFKCNDLFAFSIGCYIIWIVVAGTRYSVDYIKTHRVHVLVLQIWKWCAIILKSSALLSIWIFIIPVLIGLLFELLVIVPLRVPVDESPVFLLYQDWALGLIFLKIWTRLVILDQMAPLVDENWRRKFERLREDGFSRLRGLWVLREIMIPIISKLLTALCIPYVFAKGIFPVFGYSLIVNSAVYRFAWLGCCLFSLLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEEITNEPETWSHVQDLNSSDNNLIHHEQEAEIGLRFRHANQRPYRQ >Ma10_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30195446:30201198:1 gene:Ma10_g19760 transcript:Ma10_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIVDKKPQQHRRPGGFVAIFFRLLNWNRRLAKKNLSSRKPLPPVRAAKGSAKRFGTDDKMPLAKLLLVDDDNGGCFPSNKNPETEVELGKSMRTPGLVARLMGLESMPVVAQERPRKATDSCCLNSESGSGQVPHRVDQDLCLEDGGSAKLEIRPQKLQKIGGFLERQPLDGGRAKPGVLGKKVLSAPSKNKFHKMASPVKSPRLPSGGHHRSRLIKAATKILEPGLQSKNRAKPAISYKDSSPVDAEGTGVDTTLKNSNEPFRDPVSGTSYGNLGGGTLRSKQREEEPLRQKIVSSAFGMSRASCSRTVLVESSSTSLDMQGEQNRNRKTSMQSNTKDLAESRNRGTNKIKPDGSATIFRRNQFRQNQSAMTRDKVPFGSKVSSRKQGRRDGNVSHGMKGSVFTDSNMGSYSCVKSGYEKEGRRRALCDNTLENNMSRKRTINNFTVENVDVFHSVCAKLNVGSRLSNQKGIRRTSNTSLDKKLIKSESKNYNGDDFVFRANDIVSFTFNSPMKHVSGSSTHVKMHENNTKNEHISNGGWNDIIALDAHSKKLTYDRSTTLSGNELSNLLEEKIRELTSVDRSELVARDAWSASYILEELGAACTPEQNDHDHAGASLKKGIDLSDFSIPQSKEDRKFSRVAAVMAVDNNQLSPISILEASFSNESCSFVSLNGNSGSKLQFGLTESCNTTRSSDLDTDLLDSASSVDIRRSIIAKIRRLTYMSLNDFAVCSDGVGLSKTKLCEVRHAISSAVLLFETFTLDRSDGSVDMSLESFLLDMLQAIVDALRMGPKSDPGYTGINQTDQLRELLFDCMIECLDSNYSCLCKSGYMTYTKLTFLLTREKLMREVHQDIRGWMDLAGKFLDDMVKNEMKTSAGKWADCMMEAFEAGMEIESNILQTLVDETVIDFC >Ma11_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1060329:1065172:-1 gene:Ma11_g01480 transcript:Ma11_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 4 [Source:Projected from Arabidopsis thaliana (AT5G52810) UniProtKB/Swiss-Prot;Acc:Q9FLY0] MTSSPPPAPGGYAFLDAATLRRVLPLSALIPHFRSSLPALSAAVHSPPRSAFPLPSSPSDSLLLMPSWSSDPSLPYIGVKIVTSFPGNSALHRLPGVHASYSLFHAATGVPLVALDGLRLTLLRTASVSALAASILARRDARVLVMAGAGSLAPFLIAAHRLVRPGIDRVIVWNRTPDKARILARSLQEEEGGADEAVTFEYAENLEEVVGLADVVTCATSSESPIIRGAKLKPGAHLDLVGSFSPRMRECDDEALARGRVFVDFEVAMEEAGELVGAFDRGVISPADVAGTLVELVGGAKSGRRSDDQLTVFKSVGTAVVDLLAAQLAYENFLQESS >Ma05_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10187539:10192949:-1 gene:Ma05_g14000 transcript:Ma05_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDESPPDFDPNNPYGDPVAMLEYREYLVREKFIQIETAKIIRERLRWCYRIEGVNHLQKCRHLVQQYLEATRGVGWGKENRPHELHGPKKDSD >Ma04_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22996361:23000238:1 gene:Ma04_g20280 transcript:Ma04_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIMSDLQAPLRPKRKKVLVDYLVQFRWIVVIFVVLPVSCFIYFKLFLGDVKSAMKSEKRRQKEHEENVKKVVNRLKQRDPQKDGLVCTARKPYIAVGMRNVDYKRARHFEVDLSAFRNILEVDKERMVAKVEPLVNMGQITRYTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAMEVVLANGQVVRCTKDNEYSDLFYGIPWSQGTLGLLVSAEIKLIPIKEYMRLTYTPYRGTLKELAQAYADSFAPRDGDPSKVPDFVEGMIYNPTEAVHMTGRYASKEEAKKKGNVINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRWFLGWLMPPKVSLLKATQGESVRNYYHDMHVIQDLLVPLYKVGDALEYCHNEMEVYPIWLCPHRLFKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDIGVYYAPGPVLRGEEFNGAEAVRNLEEWLIQNHGFQPQYAVSELTEKNFWRMFDGSQYEHCRKKYGAIGTFMSVYYKSKKGKKTEKEVQEAEAEILEPAYAEEV >Ma04_p20280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22996146:23000238:1 gene:Ma04_g20280 transcript:Ma04_t20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLQAPLRPKRKKVLVDYLVQFRWIVVIFVVLPVSCFIYFKLFLGDVKSAMKSEKRRQKEHEENVKKVVNRLKQRDPQKDGLVCTARKPYIAVGMRNVDYKRARHFEVDLSAFRNILEVDKERMVAKVEPLVNMGQITRYTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAMEVVLANGQVVRCTKDNEYSDLFYGIPWSQGTLGLLVSAEIKLIPIKEYMRLTYTPYRGTLKELAQAYADSFAPRDGDPSKVPDFVEGMIYNPTEAVHMTGRYASKEEAKKKGNVINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRWFLGWLMPPKVSLLKATQGESVRNYYHDMHVIQDLLVPLYKVGDALEYCHNEMEVYPIWLCPHRLFKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDIGVYYAPGPVLRGEEFNGAEAVRNLEEWLIQNHGFQPQYAVSELTEKNFWRMFDGSQYEHCRKKYGAIGTFMSVYYKSKKGKKTEKEVQEAEAEILEPAYAEEV >Ma10_p29300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35938285:35941538:-1 gene:Ma10_g29300 transcript:Ma10_t29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMSLCFSNRPPWMMQMAVKNHGIKPVIQHRSLSRSIIHRRSRHHVGRRTGLSSHRFAVFATTEGSAKSNKSDEQIPSWARSDSDEPPPWARDEGDKSTSQSSVKIPFFVYLLASAITAIAAIGSVFEYVNQKPVFGVLQSDSVFYAPLLGFFVFTGIPTSAFLWFKSVEAANKESEEQDRRDGYL >Ma10_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26014485:26017868:-1 gene:Ma10_g13060 transcript:Ma10_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVDGSERINEKIHEYRGSSSDSDDEKPLFHNSRKKWLFGRKESVHALLGGGKSADIILWRNMQLSGSIFAGVTVIWLLFVWMGYHLLTFICHFLILLLAISFLWINGASFVNRSPPKFPEVFLPEDLFLSIAQSVRYEINEALATFYYVACGKDLKKFLMVIAGLWVLSVIGSLFSFLTLIYI >Ma08_p32700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43329487:43334562:1 gene:Ma08_g32700 transcript:Ma08_t32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRWTRGLATSLSPHGDALLFLLAFLSVLSLLTHELSRHSVLASAADFEGFDPDGDDLDVDLDTTAETLVSATPAPPATSLSGASSQESHHGPPAAPSPPSDLWDEDEFEGIPPAVQPPDHDPDSAADDDSILPSSKPVELSSPPSPPLSLRSYFLEIVCVTFLICFAFNYFHGKRRNEAIALAWAAKFAVKDSIFDKNFSLLGTGDGNDTPLLLKEGQDVFKFYATGRRYCQGMLATMELLSRHDLISRALHLVFSKKDTITFEVVMNEDAMDHVVLALARKKTVKMMHKEERDLQRFASPVVAPPAGRKWVADELMVVAESKEVAGDLITDVVLDQVFGDKAFEKFGKWFVSLHFSDQSPGSHKKILMFKFVLPDAKNISDMSRLVTLVPYYIDLIGRYKLSSHARSKTEAVRAKAAQEAYKELQNARQEALQKKKAEKKKIMEEIEAKLSAEALRKKEDKERARQLKKAGPRLKMLRR >Ma04_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:112027:112258:1 gene:Ma04_g00070 transcript:Ma04_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLDENDRENKALRHNPSSKNVILSKNHNTC >Ma10_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:6826593:6827218:1 gene:Ma10_g02050 transcript:Ma10_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISVDRCCCYCDCCCCDGTGVPFILLKVKLHFSQRRWSLAEGKSEASLFSTTGSDTMIEIIEDKNNN >Ma03_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17299228:17318894:-1 gene:Ma03_g15820 transcript:Ma03_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSSRKTMKWSSLPKDLREKVGLSGSQPQPASYLFPSLSLAAENGGAAGAPESATALGYGSPVSSPARLVEVHKLSFVVGRAFVTDVEKLRIYSKGNSLNVTDKIKLFIEVKDGIGHGSNLLHAVEVLVTGAIDNQPLLDSGILCCLIHILSVLLNPDKSKGEQMDTLEESNESENRMDDKALQVRQLEELEI >Ma03_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8563285:8571695:-1 gene:Ma03_g11330 transcript:Ma03_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYVYYERKPQVRNCKIFSTSMLEVPKELISQAVLEELRVQRRPSFVPMSKEGNATKLFDKETGITQCCLSNGIPVNYKITENEARCGVMRLIVRGGRATETSVSKGAVVVGVRTLSEGGCVGNFSREQVELFCVNHLINCSLESTEEFIAMEFRFTLRDNGMRAAFQLLHMVLEHSVWLEDAFDRARQLYLSYYRSIPKSLERSTAFKLMLAMLNGDERFVEPMPESLQNLTLQSVKDAVMKQFVADNMEVSIVGDFTEEDIEACILDYLGTVSTAKSPNIEQSFEPIMFRPFPSDIHFQQVFLKDTDERACAYIAGPAASRWGFTAEGRDLFYLINASNMDDEMSNSDKIIHLEEKNVEKNGKKGIRSHPLFFSITLGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDWLNLGWYVISVTSTPSKVYKAVDACKNVLRGLHSNKIAQRELDRAKRTLLMRHEAETKSNAYWLGLMAHLQSSSIPRKDISCIKDLTSLYEAAMIEDIYVAYEHLKVDDTSLFCCIGVAGAQAGEDISDLGDEELDVGHHGMASSGRGLSTMTRPTT >Ma09_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1955760:1970413:-1 gene:Ma09_g02860 transcript:Ma09_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFDEEEDVNPFADPGVRGKAAGSNYGGGSFYMTNPGNVPPVSKPRLSPLPPEPADFHNDRGATVDIPLDTEKDLKKKEKELQAKEAELNRREKEIKRREEAAAPGIIIEDKNWPPFFPIIHHDIVNEIPVHLQRLQYFAFASLIGLTACLFWNIVATTAAWIKGEGVKIWFLAIIYFISGVPGAYVLWYRPLYRAMRTDSALNYGWFFLFYLLHIGFVVYSAVAPPLIFHGKSLTGILPAVDLISEDVLVGIFYLVGFGLFCIESLLSIWVMQQIYMYFRGSGKAAELKHEAARGALRAAM >Ma09_p02860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1955760:1970413:-1 gene:Ma09_g02860 transcript:Ma09_t02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFDEEEDVNPFADPGVRGKAAGSNYGGGSFYMTNPGNVPPVSKPRLSPLPPEPADFHNDRGATVDIPLDTEKDLKKKEKELQAKEAELNRREKEIKRREEAAARAGIIIEDKNWPPFFPIIHHDIVNEIPVHLQRLQYFAFASLIGLTACLFWNIVATTAAWIKGEGVKIWFLAIIYFISGVPGAYVLWYRPLYRAMRTDSALNYGWFFLFYLLHIGFVVYSAVAPPLIFHGKSLTGILPAVDLISEDVLVGIFYLVGFGLFCIESLLSIWVMQQIYMYFRGSGKAAELKHEAARGALRAAM >Ma05_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7591860:7596394:1 gene:Ma05_g10550 transcript:Ma05_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMVRTCLQSVLKLVNSVAAFVGVGLILYSLWMINVWFRQTHAFPTAGAWFIYTSFGLGVSLCLITCFGHIAAETANGHGLACYMALVFFLVMLEAAVAADVILNRDWEEDFPEDQTGRFNEFKNFIRSNDELCRWIGSVIVATQAMSLFLGMILRALGPDSRNGCDSDDEYIPARLPLLRDRVQHAPYVGDPSAPYQKDSWNVR >Ma03_p17910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23516324:23523180:-1 gene:Ma03_g17910 transcript:Ma03_t17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSLRRSPGIELRKGSSHTRGQSFESGLLLKAKDDDLVLFHEMQKHETDNFLLHMSDDFDDSITKFGYTSDLKLGITIPAEGEASGLLDIDGDKNDYDWLLTPPDTPLFPSLDDESQPLNTSRGRIRSQPILISRPYTNEKTQRTSRSSASPKRLSLSPQSSYGVVQPSARPSSPPHYTSPVIRQTTPSQRSSTPTKPSTLTPRSSTPTLQRMSSGLSGQTSTSGRRGTSPVNMNRGHSASPKLRGWQSNSFPIIDAPPNYRTSLPDRLSSRVRGSSPASGNSMVSSSKVGRRSMSPSASTKARSSHNHESHQFTSCNKASLASSGDDGVDSVLSVGLSGNAAVRKYGASANSKAITNYRKPSSFPSSGSGPRRSLDSAVRQIDHQKIPQNMFRPLMSSVPATTFYVGKTTHGTTFSRSLSQFTNHNASTINDLNYAADVEDSDHAQSDLAGESEKIKDSKTQEVSMSNFDEISEDNCYHALSGKLRGGTKGFDQGMNKEDDVQELESSAGETALQSSDIARCSGIGSYVACLICGKHFLIIDVDGYKDICQECAATDKLLDSRGQRGDPDKATDVTGNDSLDSPDSKVHLQMGMAERPDKNQSEFLLGKHQCNCKQDVNLFPDNCPPQIAIDVGLEHLPEQVMNSLINIGDQPLREQLMNSLDGESPKEATGRYIPQLSNPTAYSSLTFDIAEGTGISALVMQRSSSIKWPAVQRRAYSATSIICSDPSYSRDNFSGMKCNTGRESSSASSSIDLGSSAQTVASRKTDICVLRQSSRRMDEVDTLKINYSMNAESNDSQSEASVSVTAASANLHRKYEKILCRIMKSAYNEDIQEASLDTANHVNTYEDTNLSHAENASIEKAFIGQDTSCAPILDPEFCFQLHDATIQDNLNDDNSTLSRKTNEVVFQNDRVGILDLELEVPITTPDCSSIEDNHMLNDIGCQDDILDAASNSSSNLLLEQQIEHCFQDAQNEQAHGEAPTYMDGLQEPHAKVEAPRRSMQRTFTLEEATDTVLFCSSIVHDLAYKAAAIGMEKEMAASDASRPTVTRLAKSISYQMDFQNTSNKCVKNSRKVKRKRLEIDTKKPPMDLGNDGNYPELVSSSAEDLQMINSMKPPKLESKCNCTVM >Ma03_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23516324:23523180:-1 gene:Ma03_g17910 transcript:Ma03_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSLRRSPGIELRKGSSHTRGQSFESGLLLKAKDDDLVLFHEMQKHETDNFLLHMSDDFDDSITKFGYTSDLKLGITIPAEGEASGLLDIDGDKNDYDWLLTPPDTPLFPSLDDESQPLNTSRGRIRSQPILISRPYTNEKTQRTSRSSASPKRLSLSPQSSYGVVQPSARPSSPPHYTSPVIRQTTPSQRSSTPTKPSTLTPRSSTPTLQRMSSGLSGQTSTSGRRGTSPVNMNRGHSASPKLRGWQSNSFPIIDAPPNYRTSLPDRLSSRVRGSSPASGNSMVSSSKVGRRSMSPSASTKARSSHNHESHQFTSCNKASLASSGDDGVDSVLSVGLSGNAAVRKYGASANSKAITNYRKPSSFPSSGSGPRRSLDSAVRQIDHQKIPQNMFRPLMSSVPATTFYVGKTTHGTTFSRSLSQFTNHNASTINDLNYAADVEDSDHAQSDLAGESEKIKDSKTQEVSMSNFDEISEDNCYHALSGKLRGGTKGFDQGMNKEDDVQELESSAGETALQSSDIARCSGIGSYVACLICGKHFLIIDVDGYKDICQECAATDKLLDSRGQRGDPDKATDVTGNDSLDSPDSKVHLQMGMAERPDKNQSEFLLGKHQCNCKQDVNLFPDNCPPQIAIDVGLEHLPEQVMNSLINIGDQPLREQLMNSLDGESPKEATGRYIPQLSNPTAYSSLTFDIAEGTGISALVMQRSSSIKWPAVQRRAYSATSIICSDPSYSRDNFSGMKCNTGRESSSASSSIDLGSSAQTVASRKTDICVLRQSSRRMDEVDTLKINYSMNAESNDSQSEASVSVTAASANLHRKYEKILCRIMKSAYNEDIQEASLDTANHVNTYEDTNLSHAENASIEKAFIGQDTSCAPILDPEFCFQLHDATIQDNLNDDNSTLSRKTNEVVFQNDRVGILDLELEVPITTPDCSSIEDNHMLNDIGCQDDILDAASNSSSNLLLEQQIEHCFQDAQNEQAHGEAPTYMDGLQEPHAKVEAPRRSMQRTFTLEEATDTVLFCSSIVHDLAYKAAAIGMEKEMAASDASRPTVTRLAKSISYQMDFQNTSNKCVKNSRKVKRKRLEIDTKKPPMDLGNDGNYPELVSSSAEDLQMINSMKPPKLESKCNCTVM >Ma04_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9321010:9334567:1 gene:Ma04_g12370 transcript:Ma04_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVVYEGWMVRYGRRKIGKSFIHMRYFVLETRLLAYYKKQPTDNMVPLQSLVIDGNCRVEDRGLKTQQGHMVYVLCVYNKKEKNQRMMMAAYNIQDALNWKEKIEFVIDQQHGLATDNGNSTFVSRDLGMDIERNVSSSSHGRNPEDEEENNPNLPWRTTTGNGPPDSVLDWTRDQLSPRKHWRLVRCQNGLRIFEELPRADHLPRGYSKAMKAVGVVDATCAAIFELIMSMDGTRFEWDCSFQHGDLVEEVDGHTAVLYQRLQLEWCSRIVWPRDLCYVRYWRHNDDGSYVVLFQSREHSNCGPQPGFVRAHMESGGFKISPLKPRNRGPRTQVQHLMQIDLRGWFVGYFPSFQQHCLLHMLSSVAGIRELFSQTDEVHTAPRIPIMVSMTSDSVSSEKEQKTQEISIQPGVSLDQVFTDNTHPLILDEDSDDEEDTHILDAEEEMELGSYCHVFQKNIEEMDVDTSDSFDTSCFSGNLRWDDDENSRDCWRLPDGNNFKVRSENFFKDKSKIPAGKYVMELVAVDWLKNATRMDNVARRSGCAAQVASEKGLFSFVINLQIPGSTHYSLVFYYVKKQLEPGSLLQRFVDGDDEFRNSRFKLIPSVTKGSWIVRQSVGSTACLLGKAVDCTYVRGEKYLEIDVDIGSSAVANGVLGLVFGVLTSLVVDMAFLIQAVSPDELPERLIGAVRIAHAELSSAVVADLGDDPLEE >Ma06_p35460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35279067:35283640:-1 gene:Ma06_g35460 transcript:Ma06_t35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCDHQRIMLSPLYISPQVSFHSAEIVKSCTPDETNGIPTRMEFKRKFMKQVSSGNLFGTGSVAECSPLRPHSLSVNISGGKIMVDEDDMDDNIDHSGILASSKRDTRMTDPGKSTTLNSQQLQKIPSARINSSVQFPKSNKTPSQQTDIACMKSKNSNRIHIGKIPKETSTIEEPKETSTIGEPKEAKEKLSHTTGERSNSPKDSLARDDTISLHLTEKSTNGDTVLCHGNGDQSGTQRLNDIAVINDGEAMKTRRQLCYKALPGKGHRATDISGNCHKEDSAKKNGLLEFRDLERKDDASEPLIVGTVSGLVISPDDIVGVIGSKHFWKARRAIINQQKDFAIQVFELHRVIKVQKLISASPHLLLEGNPYLSKCSVKPPNKTLPRCNTNPRPEEFRPKDGLQNPKKNKEQPADNIAGVSALPACEDGSKGGPHGQVPKAGSNSGIPLPVPMAPDDKSRLLCFPPLGNQWLVPVMLPSEGLVYKPYTGPCPPTGGFMAPLYGRGTPLGASQVAGDFINPAFGVSASHQPPNLGILPGPSAIAPLYYPTPYGHQAWNPIISTSAVEQVSNLAGSQPNGQTGQHSRRSCNMSPIPRKEAFPGHVGKFQVSKNSEFQGSTVNSPSEKAQLEERDQFLLFPTAPGASSLNCSLQSNGTDSQTRVIKVVPHNSRSATESAARIFRSIQEGRQQHDS >Ma10_p25210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33471528:33473572:-1 gene:Ma10_g25210 transcript:Ma10_t25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAESAQHVRGVVLLNSAGQFENPSEQPKNNEEETVLQKFVFNTLKEFIQRIILGFLFWQAKQPARVEKVLKSVYINASNVDNYLVESITKPAEDPNAGEVYYRLMTRFMSNQSKYTLNSVLSKLSCPLLLIWGDLDPWVGPAKAARIKEFYPNTTVVNLQAGHCPHDEVPELVNEALLNWLSSL >Ma03_p11840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9190780:9194111:-1 gene:Ma03_g11840 transcript:Ma03_t11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKENNQFGLEESHGDHISSRSPGAAGFVTPLTSSPILSASMVEAFFTPGLWNHHTSSCTMSFGESNIQTATSGIDCVPIGKPVAMSNRGMLPSPPPGEFPHSLPHFPVDSGLIERAARLSCFGGGGSFRGISLLGPSQSMTPPSGASKDAIGARVQKAELNVVSLPVEHGTIKGITMNNKRDRSISQVGTSNNKSREGNFCEEGQERHTGSADAAGNSSSSDLGANKRRKATEETEKEKDQVLRGLQSSTETTKDNTETKFKSYKHSGKNAKDNSEAAKEGYVHVRAQRGQATNSHSLAERVRREKISERMKYLQELVPGCSKVCSSDTCVVNHEDLTSTVLKYDKKSCNLQVTGKAVMLDEIINYVQSLQRQVEFLSMKLAAVNPQLDFSIEGLLAKNLLHSHGGSSSAAGFSQEMIYPQVYSSQQGLAHAGISSMLNPSDAFRRTLITEIPMASGYKETSLQMHNSWNEQLVMQMAYGANPPLNSQAINRKPDGFTI >Ma03_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9190780:9194111:-1 gene:Ma03_g11840 transcript:Ma03_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKENNQFGLEESHGDHISSRSPGAAGFVTPLTSSPILSASMVEAFFTPGLWNHHTSSCTMSFGESNIQTATSGIDCVPIGKPVAMSNRGMLPSPPPGEFPHSLPHFPVDSGLIERAARLSCFGGGGSFRGISLLGPSQSMTPPSGASKDAIGARVQKAELNVVSLPVEHGTIKGITMNNKRDRSISQVGTSNNKSREGNFCEEGQERHTGSADAAGNSSSSDLGANKRRKATEETEKEKDQVLRGLQSSTETTKDNTETKFKSYKHSGKNAKDNSEAAKEGYVHVRAQRGQATNSHSLAERVRREKISERMKYLQELVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLAAVNPQLDFSIEGLLAKNLLHSHGGSSSAAGFSQEMIYPQVYSSQQGLAHAGISSMLNPSDAFRRTLITEIPMASGYKETSLQMHNSWNEQLVMQMAYGANPPLNSQAINRKPDGFTI >Ma05_p27990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39081285:39082056:1 gene:Ma05_g27990 transcript:Ma05_t27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAFRRIPLHLARSHPPLSLRPLARSCSSSSPAPSSGSRKVADRIVKLFAVDPEGSKHEVIGLAGQTLLRALINAGLIDPASHRLEDIEACSAECEVHISQEWLDKLPPTSYDESYILTRASRNRILNKHARLGCQVVLQPELQGMVVAVPEPKPWDTP >Ma06_p07760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5495327:5505904:-1 gene:Ma06_g07760 transcript:Ma06_t07760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRMFRGLKLGRQEAKEEKQQHRPPMNMDDLIQATQDMQDMRSCCDSILSASATTANNAHEFSEALEELGTCLLKKTALNDDEDSGRALMMMGKAQFALQKIFDVYRIHILRTMDKPSRSLLRELEVVEELKRLCDNKREIYKNMLAAHRVKGRSKCSKSESISSKELQEAQANYEEKAALFVFRLKTLKKNQFQSLLKQATSHHAAQLSFFRKGLKTLEMVESYVGAVAERHHIDYQFSDLEYLGLDYDDDGDDGGDNYCGYDGSDNRELSFDYRKNFQEKNVIYTSRNSIEESPEKSQLDMHWRPGYCCQSAPIFAVKKPDSTEKIGKPSLSTRKFCSYVLPTPVDDRPPESSPSTAPYLETKGSWSTPLWHSSPLEAKNTVKDFKESELPNPTKSLKNSILRESNIYSGPISMPSYFSEKLSVPQYNRQTAFGMNNLKRQAFSGPLRSKSFSSKPLFSSPDYRPVEFPSVVSSAPQHMLKPQSYVSRKVSPKTSSPPVSSIKISELHELPRPPVDSEKTTGLSNLVGYSGPLVSKSQVLTARRNMPSDFSYKASPLPPPLEPMDRSFSIPSNSQRIPIPTVARLLVSPCNPVTIEEITSPPLTPLSLVTRLPVSTIS >Ma06_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5495327:5505838:-1 gene:Ma06_g07760 transcript:Ma06_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRMFRGLKLGRQEAKEEKQQHRPPMNMDDLIQATQDMQDMRSCCDSILSASATTANNAHEFSEALEELGTCLLKKTALNDDEDSGRALMMMGKAQFALQKIFDVYRIHILRTMDKPSRSLLRELEVVEELKRLCDNKREIYKNMLAAHRVKGRSKCSKSESISSKELQEAQANYEEKAALFVFRLKTLKKNQFQSLLKQATSHHAAQLSFFRKGLKTLEMVESYVGAVAERHHIDYQFSDLEYLGLDYDDDGDDGGDNYCGYDGSDNRELSFDYRKNFQEKNVIYTSRNSIEESPEKSQLDMHWRPGYCCQSAPIFAVKKPDSTEKIGKPSLSTRKFCSYVLPTPVDDRPPESSPSTAPYLETKGSWSTPLWHSSPLEAKNTVKDFKESELPNPTKSLKNSILRESNIYSGPISMPSYFSEKLSVPQYNRQTAFGMNNLKRQAFSGPLRSKSFSSKPLFSSPDYRPVEFPSVVSSAPQHMLKPQSYVSRKVSPKTSSPPVSSIKISELHELPRPPVDSEKTTGLSNLVGYSGPLVSKSQVLTARRNMPSDFSYKASPLPPPLEPMDRSFSIPSNSQRIPIPTVARLLVSPCNPVTIEEITSPPLTPLSLVTRLPVSTIS >Ma03_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22130924:22131577:-1 gene:Ma03_g16930 transcript:Ma03_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSFHVHVSRSTEARPLLIAKENRYVQVLQSLGITCRCCDGVGGECRRSWAYPCAKLECHPCKFS >Ma09_p28320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39122602:39126921:1 gene:Ma09_g28320 transcript:Ma09_t28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSSSLGRQQQQQQHISFAMIHPSPSSSSSIHASFIRNKESGSYDLGELDQALFMFLDGQDRSTSAQEQKHTLNIFPSRPMHVDPRTKGGISSASPASSGGSKPSEQAMDLGNAESYLPALPEHGEDTKAAILKKEGNRKGISGSDHRRLKTPDPKTSRRLAQNREAARKSRLRKKAYIQQLESSRIKLSQLEQELQKARAQGILFGGGAALVDAGVGGFNSDEATFDMEYARWVEEHHRLMCELRRAVQEQSPETELRMLVDICLAHYDQMMHLKGAVIKSDVFHLISGMWMTPAERCFMWMGGFRPSELIKMLLRHIEPLTEQQILGVCGMQQSTQETEEALSQGLEALNKSLSDTIASDELSYTSNMANYMGQMTVAMNKLTTLESFVREADHLRQQALHRLYQILTTRQMARCLLAIAEYFHRLRSLSSLWLSRPRLQ >Ma09_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3327545:3329859:-1 gene:Ma09_g05160 transcript:Ma09_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKARTLRIFWTDPDATDSSGDEECGVSGRRVGRLVREIGLEPCHSFGDKQKRPRKKASAERGKMAPAQTGSTKFRGVRRRPWGKYAAEIRDPWRGVRLWLGTFDTAEEAAMVYDCAARQLRGPGAATNFSTPSSSAISTAPARPQVEAMPTVSGGYDSRDESHSLSSPTSVLRGFSSCCAKEGPAGEETKEGSDFNAEFWSPECRVEEFVPFDEAPLYHDPWGFSSWEPRVHEARTTRVGFSASAADTSNEVMLASSSASGTGFGTVTWQDDDYFHDIGDLFPLEPLPAIF >Ma02_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28729657:28732245:-1 gene:Ma02_g23930 transcript:Ma02_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLRSRFHLLPFVNFSLK >Ma01_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8460400:8470830:1 gene:Ma01_g11670 transcript:Ma01_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGENITESLDAELAEIGRSMRSSFRTATASLRRSSAVSSMRYDDDENELIWAAVERLPTFERVRTSVFDHWDGDNGSGEQNKRKVIDVTKLGALERHLLIENLIKNIESDNLRLLQKQRERIDRVNVKLPTIEVRFKDLSVEAECEVVQGKPLPTLWNTTKSILSDLIKVPGVKTHKAKIHILKDISGIIKPSRKVCIVYRMTLVLGLPGCGKTTFLKALSGKLDKSLKVTGEISYNGFGLEEFVPEKTSAYISQYDLHIPEMTVRETMDFSACFQGAGNRAEIMKEVSQREKQAGIIPDPEIDTYMKAISLEGLDQSLQTDYILKIMGLDLCADTMVGDAMRRGISGGEKKRLTTGEMIVGPTTTLFMDEISSGLDSSTTFQIATCLQQFAHITESTVVVSLLQPAPETYELFDDIILMAEGKIVYQGPCNHILNFFEECGFKCPERKGVADFLQEVLSRKDQGQYWFNSNKIHSYVSVDQFSKSFKVYQIGQSLSKELSKPYDMSQSHKNALSFNKFSLSNWELLKACVVRELLLMKRNSFVYILKITQIGIAAIITATVFIRTHMEVDMIHSNYYLGSLFFALISLMVNGFPEMAMTVSRLPVFYRQRDCYFYPAWAYAIPATILKIPISLVESLVWTSITYYAIGYSPESVRFFRQFLLLFCVHQMSLSLFRFVASYFQTAVAATISGTMCLIVILLFGGFVIPKPYLPGWLSWAFWISPLSYTQIGLAVNEFHAPRWQKPQVSSANMTIGQQVLTSRGLNYQSYFYWVSIATLILSIFLLNVAFTLSLTFRRPVGVSRAIISLKKLSQIQGTENGEDTSHPNSESPAGSPVRSTLPKRTGKMANLSEKMVLPFVPLAMTFQNVNYYVDTPLEMREQVHAEKRLQLLHNITGAFQPGILSALMGVSGAGKTTLLDVLAGRKTGGTIEGDIRIGGYPKRQETFARISGYCEQFDIHSPQITVEESVMYSAWLRLPPQIDGKTRSKFVHEVLETIELDSIKDSLVGIQGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFEAFDELILMRRGGELIYTGPTGKHSSKVIEYFEGISGVPKIRDNYNPATWMLEVTSMSMEKKLGVDFAKIYTESSLDKDSKELVKRLSTPPLDSNDLSFSTRYPQNFWVQFKACIWKQSLSYWRSPSYNMVRIFFILVASIIFGIVFWQRGKTLNNQQDLFNTLAIMYLATIFTGINNCSPVLPFVSIERTVLYRENFAGMYSPWAYSLAQVAIEIPYVLIEVVLFMIIAYPAIGYYWTASKLFWFFYTMLCTLLYYVYLGMLLVSLTPNVQVASIMASVCYTLFNLFSGFIVPGPQIPKWWIWLYYLNPMSWTLNGLFSSQYGDVQKEMMVFGETKSIASFLKDYFGFHHNLFGVVAGVLLAFPFIYATLFAYFIGKLNFQTR >Ma09_p18630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18271110:18281536:-1 gene:Ma09_g18630 transcript:Ma09_t18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERAINGERIKIRKREALARRILMANEGSFSKEQPQQISFAMIHPSSSSSSMHGSLMRNKEAGAYDLGELDQALFFSLDEQDHSISGQEQRQTLNIFPSQPMHVQTSTKGGKSLASPATSGSKKSSDQTMELGNSPNDLPELPEKSSKDTKVVVKTLRRLAQNREAARKSRLRKKAYIQQLESSRNKLSQLEQEIQRARGQGLLYGGGALLEDQGLPTFAGGLSSDAAVFDMEYTRWLEEHHRLMCELRAAVEEQQPENKLQMFVDSCLAHYDHMAYLKSIVTKSDVFHLISGVWMTPAERCFMWMGGFRPSELIKMLSRHIEPLTEQQILGVCGLQQSTQETEEALSQGLEALNLSLSDTITSDALSCLSHMDNYMGQMAVAVNKLATLEGFIRQADNLRQHTLHRLYQILTTRQMARCLLAIAEYFHRLRALSSLWLARPRHE >Ma09_p18630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18271110:18281536:-1 gene:Ma09_g18630 transcript:Ma09_t18630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERAINGERIKIRKREALARRILMANEGSFSKEQPQQISFAMIHPSSSSSSMHGSLMRNKEAGAYDLGELDQALFFSLDEQDHSISGQEQRQTLNIFPSQPMHVQTSTKGGKSLASPATSGSKKSSDQTMELGNSPNDLPELPEKSSKDTKVVVKKDGSRKSTCVAESEGPKTTDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRNKLSQLEQEIQRARGQGLLYGGGALLEDQGLPTFAGGLSSDAAVFDMEYTRWLEEHHRLMCELRAAVEEQQPENKLQMFVDSCLAHYDHMAYLKSIVTKSDVFHLISGVWMTPAERCFMWMGGFRPSELIKMLSRHIEPLTEQQILGVCGLQQSTQETEEALSQGLEALNLSLSDTITSDALSCLSHMDNYMGQMAVAVNKLATLEGFIRQADNLRQHTLHRLYQILTTRQMARCLLAIAEYFHRLRALSSLWLARPRHE >Ma09_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18271110:18281280:-1 gene:Ma09_g18630 transcript:Ma09_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKEAGAYDLGELDQALFFSLDEQDHSISGQEQRQTLNIFPSQPMHVQTSTKGGKSLASPATSGSKKSSDQTMELGNSPNDLPELPEKSSKDTKVVVKKDGSRKSTCVAESEGPKTTDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRNKLSQLEQEIQRARGQGLLYGGGALLEDQGLPTFAGGLSSDAAVFDMEYTRWLEEHHRLMCELRAAVEEQQPENKLQMFVDSCLAHYDHMAYLKSIVTKSDVFHLISGVWMTPAERCFMWMGGFRPSELIKMLSRHIEPLTEQQILGVCGLQQSTQETEEALSQGLEALNLSLSDTITSDALSCLSHMDNYMGQMAVAVNKLATLEGFIRQADNLRQHTLHRLYQILTTRQMARCLLAIAEYFHRLRALSSLWLARPRHE >Ma04_p26420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27879858:27881248:-1 gene:Ma04_g26420 transcript:Ma04_t26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR6 [Source:Projected from Arabidopsis thaliana (AT5G24330) UniProtKB/Swiss-Prot;Acc:Q9FNE9] MAPKTPFRRRTNAPSSSSLSSAVLDAIRCEECGSGESADKLLLCDRCDRGFHLFCLRPILACVPKGLWFCPPCSAEKRPKRFPLIQTRIIDFFRIQRSSGLEKLECRKRKKRSGGLVVAKKKRKLLPFNPIEDPDRRLEQMASLATALTATGAVFSNELTYRPGMAPRSANRAANENGGMQVLSKEDVETLNLCKRMMERGEWPPLMVVHDPLEGFTVEADRFIRDLTIVTEYVGDVDYLKNREHDDGDSMMTLLSAANAARSLVICPDQRSNIARFINGINNHTREGKKKQNLKCVRFSVDGECRVLLVANRDISRGERLYYDYNGSEQEYPTEHFV >Ma06_p36500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35886502:35888070:1 gene:Ma06_g36500 transcript:Ma06_t36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRMYWLLKTEPEEWSWEDQRSNGGVSTWDGVRNRQAVNHMKAMRAGDRCFFYHSGAAARRVVGVVEVVKPWYTIAAGGEDGAVDVRSLGEMRKPVELREIKAEAEAKAMKGFALLKQPRLSVVPVPEGIWERICEMGGGYGDAAAEEEEEEAQPSSPEEEEQDE >Ma01_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5317882:5318280:1 gene:Ma01_g07360 transcript:Ma01_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCYCPLLPRRPLRSCDMPQRDFAFSSSTTEAGEHFLDSNHQIQFGNGLRRGYRILISQCRFGQTMLRLKRGEMEAANWWRKKRRSEKKRGAKESAKRPQLYLHSTIKNESKSEKNPISCIMQHFFISNCS >Ma05_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34633844:34637812:1 gene:Ma05_g22870 transcript:Ma05_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIHAPTPLLNLLFLLFSFSPSNTAAETETQALLAWKSSLLQPDSLASWSLANSTTPCEWFGVRCDSGGSVVVQLSLPYSNLVGTLVKLDFSSLPNLTTLDLSYNNLAGAVPSNISALSKLTSLDLSSNNFSGSIPREIGQLSELLELRLDNNSLGGGIPYQLSGLQKVQLLDLGSNYLETPDYSNFTGMPSLTYLDLFLNSLTEEFPPFILKCTNLTYLDLSENRFTGPIPESLAINLVSLEYLNLSFNSFEGVIPASLTKLPRLRDLRLGGNNLVGGVPATLGSISSLRVLELYSNSLGGPIPPSLGQLQKLERLDIKLAGLNSTIPPELGNCTNLNYIELSTNRLEGEFPGSFVKLTKMREFGFSSNLLSGKIPSDFFGSWPQLISFQVQNNSITGRIPSEIGLATNLTYLFLYTNNLSGPIPVEIGNLVNLFQLDLSENSLTGTIPSTIGNLTNLSVLNLFYNNLTGSIPEEIGNMTALASIDLNTNNLEGELPGTIAELPNLASLSVFTNNLAGSIPRDLGQNGLLQNVSFSNNSFSGELPRGLCTGFALHHLLVNSNNFSGYLPSCLRNCSKLLRVRLDSNHFSGNLEEAFGVHPDLVYLDLTGNQLTGTLSPDWAEFKSLTYLHVDGNSISGDIPAALGNMTNLQDLSLASNYLAGGIPPEIGKLEFLFKLNLSSNMLTGSIPSELGELDPLTHLDLSGNELTGRVTAELANLNDLLLLDLSMNKLTGEIPYQLGNLNSLQILLDLSSNSLSGTIPSNLEKLTRLQKLNISHNNLSGEIPDSLSEMVSLESVDFSYNNFTGPIPKGGAFRNASFKAYVGNLGLCGDVKGLLSCFSTSGEVSHKHHKRLVIAIVVPVVGVLVLAATVITIMLLCRDDPREKLEMEKAARESSESSIWERECKFTFMDIANATGNFDEACCIGRGRFGSVYKAELPTGQVVAVKRFHVAHSEEMVDMYQKSFDNEIAALTEVRHRNIVKLHGFCSKSGYMYLVYEYVSRGSLGEVLHGEEGGTKLDWAMRLKVVHGLVHALAYLHHDCSLPIVHRDVSVNNILLESDFEPRLSDFGTAKLLNPDSSNWTAVAGSYGYMAPELAYTMRVTEKCDVYSFGVVALEVMMGKHPGELISSLPSLPEGKELFLKETLDQRLPAPASQLAEEVVFIVKVALACISSNPSSRPSMRFVAQEISAQTQACIPQPFETITIGMLNGFQE >Ma08_p33840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44043172:44045142:-1 gene:Ma08_g33840 transcript:Ma08_t33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATGLPGWLGRLVEESFFVGCGTHESRKKNEKNIFCLDCCTSICTHCAPAHSSHPLLQVRRYVYNDVVRLDDLEKLIDCSYVQPYTINSAKVVFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCSLSCKVDHVLLQGEDLSSILFRFNESDFAFSTFENLRMDSSDLIDDDGQVTTPSSILEDPVQYHGCSASSNSGKQGGGSEAPKKKKKGGGFFPQIVLSLSNRRKGAPHRSPLS >Ma04_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14886853:14887038:1 gene:Ma04_g16150 transcript:Ma04_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLIIDFLISLDDRYLYFLNWFHGDVRQYNIEDPLKPVLTGQVWVGGLRLCGKGWGGVTI >Ma06_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14547035:14547460:1 gene:Ma06_g20460 transcript:Ma06_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYMSRVVVQGRARAAQGIRDHASRSVKDGAAASSSSSNRVRLLSRAVDDSSVLKACCAAEVDKRKRAEESLRTVMYLSCWGPN >Ma06_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24687148:24693842:1 gene:Ma06_g24900 transcript:Ma06_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKSCRESGEEEELNKSTHSPSKDGKINASRTLTMDRPTHPNLPGSEQGGGGGDDEEAVELVLFHANECYVYLIPPRKSAASYRADEWNVNKWAWEGVLKVVSKGKECIIRLEDKTSGELYARAFLREGEPHPVEPVIDSSRYFVLRVEENIGGRKRHAFIGIGFRERTEAYDFQAALHDHMKYLDKKKVAEEMEQHYQTTSTVDYSLKEGETLVLQLKNKGGQKVKSACLEQSLNNLSLDGKTKTQEGSICLKPPPPPPAPLSPVKPAERSSFTSEPSTTEDTELHSKVELSPKETSKNIESSAQNAIDDDFGDFQAAG >Ma06_p24900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24687148:24693842:1 gene:Ma06_g24900 transcript:Ma06_t24900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKSCRESGEEEELNKSTHSPSKDGKINASRTLTMDRPTHPNLPGSEQGGGGGDDEEAVELVLFHANECYVYLIPPRKSAASYRADEWNVNKWAWEGVLKVVSKGKECIIRLEDKTSGELYARAFLREGEPHPVEPVIDSSRYFVLRVEENIGGRKRHAFIGIGFRERTEAYDFQAALHDHMKYLDKKKVAEEMEQHYQTTSTVDYSLKEGETLVLQLKNKGGQKVKSACLEQSLNNLSLDEPSTTEDTELHSKVELSPKETSKNIESSAQNAIDDDFGDFQAAG >Ma01_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8794334:8795288:1 gene:Ma01_g12090 transcript:Ma01_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIDIFIPILRFLLCFVVTIPAILSWRVVPVAVPRHLYAAFSEADRGHGLRRRAPHPPLRRRHHLLHWLRLSHRMIRAPSINRPLPSPLRSSCNRYHISHFHGSMS >Ma04_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23767852:23771796:1 gene:Ma04_g21210 transcript:Ma04_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVNMVSRSSLTKSVNNGGKDGSGRTHLYLNVYDLTPVNKYLYWFGLGVFHSGIEVHGMEYGFGAHEYPTSGVFEVEPKSCPGFIFRRSVWLGTTDMSRSEFRLFIEDLAGKYHGDTYHLIIKNCNHFTDEVCMRLTGKPIPGWVNRLARLGSFCNCILPGNIRVSTVGQLPTHPACSDDESDLFAWSSADESDEEDADCHPLKKPNIDFVHSIDEPLRLARDVM >Ma06_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5914656:5921470:1 gene:Ma06_g08350 transcript:Ma06_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEVE ELEMENT OCCLUSION C [Source:Projected from Arabidopsis thaliana (AT1G67790) UniProtKB/Swiss-Prot;Acc:Q9FXE2] MTVHHMSCEMLQHSAADQPSDATTNAVLELLEKHRWSTKLAIVLAALASSYGKYWLIAQLCLTNPLAFSLAAIKGMSDSTKFTIMLNHRSKALRYLLEKMVSVTKCVMEFEILPLQYVTLDYDAMAMMKTQIHIASYWVIRSSVACASQITSMIASRFERAKAMPAAWELWSLVQKITYIYAGLSTKFDAFNQQIESKVYLRLLNLFEEVHVDNHDVLYTLFALKDDFPLRDSFSQKKVGVDVLKNKVVIIFISRMDEYPEKLLLIIQQSRNKSLTTSEEPYEIVWLPINFSAEVGERTHNQIADMIPWYSISEPSKVSPSVMKFIQQVWHFKGDPMMVVLDSYGKVLSLDAFDMIAIWGPKAYPFTISRERELWEEQSWTMNLLLDDIDPLLSYWMEDGKIICLFGSNDLGWVRELTKRMKDISEAGIRVELIYVGSKNFEQTRNILTRVMDEELSNYLSHININIFWLRLDSMQSSRLRLGYAIESDSITREINSLLTYDSVSTGWLLVSEGTSTEIFKLVGNEVLEYLSHFQLWGERVRKQGFLNALRKSLDPSSTTEPCDYSIITPFSESSDGVAVCKKCKSLMERHVMYQCGAC >Ma03_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22363912:22364817:-1 gene:Ma03_g17070 transcript:Ma03_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWKPEEDRGGDRELKPTPPLASSSSSCSKRSQLPVQKRVVSVTVGSKPLHAGEAFPPSDSWSWRKYGQKPIKGSPYPRSYYRCSSCKGCPARKQVECSRLDPTKLIVTYSFDHNHLCPLPRNGSHHQNRLPPPAPPPIEEPAPPAQSGFRPLESTPEQEAKYPVVIGKNEPLQLVDGGRLPWFSDVASASTTSAGSDELLYGSLHFGSDAATGSMAGEREAGLGEESLFAGLGELPEYSVVLRWGLTSGHTG >Ma02_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21797183:21798916:-1 gene:Ma02_g13360 transcript:Ma02_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGTQDKCKACDKTVHFIDLLTADGVPFHKTCFKCSRCKGTLSMCNYSSLDGILYCKPHFEQLFKETGSFTKKFPIGAKSGERNELARPASRVSSMFSGTQDKCATCHKTAYPLEKLTVEGESYHKTCFKCSHGGCKLTPSSYAALEGIIYCKHHFAQLFKEKGSYNHLLKVAAMKQSSGSEEAAEPKQEQAQSQMNR >Ma03_p29210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32192787:32193875:-1 gene:Ma03_g29210 transcript:Ma03_t29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCLWTIYAAVFERTRLSSPLTLTRLLAHFMQGLENKIPSLW >Ma03_p29630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32467500:32467738:-1 gene:Ma03_g29630 transcript:Ma03_t29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISEEGGSEEGNWTWVDPQRMRISENGIPSCC >Ma09_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2073382:2087181:-1 gene:Ma09_g03020 transcript:Ma09_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEICIWCWHHIIEMAEKEDTEARCPACRTPYDKDRVLKVAAANSERIIAEMYSEKKQKSQRAKTKISAEAMKHLSSVRVMQKNLVYITGLPTSLCDESILERKEYFGQYGKVLKVSISRPAGTSFQKTSNSAFGVYITYAKDEEAVRCIQAVHNFVLEGKSLRACYGTTKYCRAWLRNMTCSNPDCLYLHDIGSQEDSFTKDEIISAYTRSRVPQIASSNSQRRSGNVLPSPMDDLNNNGTVLDKHPVKSACNSASSQVKGLPLNTGAGKSNALPAAASWGLRGSNCRLLASSVQYSQTHAKQKVETINNSSLHSLLSRSPKHSSAQHDGLLMTSKIPESKEAVGAISGPLEPLQLGTLADLQLTLSPETIVNDDSSLRPSSNDDAIVTSKPGEEIKMAELDEWSRRLEYVRPDAGRQHQISSSEWSKQVVSEISDTSHVSSGSLSSSPAVALEEKERDIALNCIDMKPRNTIISKNHVRQFSNSGPDRVIHDSTVVNGEVQSMGSGFSSVNIDGHDMENQLDIDQHQTLSSDLCSSERSRSRDLDSAPVALSTNELTDWNSKPSKQQLISFMDGKEDSVKVHNNQSVPCLSPQDTNTNHASSTSCSDGLGSKQHQFIDSSLDMDRKQVTMCSLGDKESAVHNGHREDDISSNSFSRSDLSCPGFNCMEENMRTGGDNNSSVDKMGESNIISNILSLDFNPWDDSLSSSDSLVKLLGEKEDQDGSFKLSNSWKSLTSNQSRFSFARQESQASLLEPHVGEIDNAQRLHSLSQDSYKDSFHNRLQFNDSEGSYVITSGDTLSDRTTSVSKAKITAPPGFSAPSRAPPPGFSIPDRFNQAYEGYTEKHMLGCSSGGNNYQSDLIGNSCDVEFIDPAILAIGKGRIHPGPNNSAFGLKSSFPEQICTSSSDPRLHLMMQQSVSSNQNMRIPDQMGERFLPLNDAYIASQFLAKSHSSVSPFSQLSYQQLRNSPFPNNQWDACNSYNGIRTGNDIGMSEIFRNERFGLNDYYTSTHENKFHIPSTGDLYNRAFGM >Ma06_p36970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36180464:36186591:-1 gene:Ma06_g36970 transcript:Ma06_t36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTYLPKHKGLQTSSELLRSRGRSFLFGNTCFVLSEPFSALGSGFHLLSRPSSSDCDRRATQSGIGTLLRSVNNQLSQPAQRFPFRWSIMSASSAFLRGSDSFARHLAKDNARSGNGLNGVMRVDIGYSYKGLDHCRRASGSLKNREPWGTNMIYKCFWSNAYGLNWRSKSLVDPGSQDYRTSCTAPYSAGVAPDVSFDAAMHEEQLQTPDLNSEQKILGDKFLKLLSGSCYLPHPKKEETGGEDAHFICVNEQVIGVADGVGGWADLGIDAGQYARELMSNSVNAIQEEPKGSVDPARVLDKAYSITKARGSSTACIIALTDQGIHAINLGDSGFIVVRDGCTIIRSPVQQHDFNFTYQLESGNGSDLPSAAQVFTFLVASGDVIIAGTDGLFDNLYNNEITAVVVHATRAGLGPQVTAQKIAALARQRALDKNRQTPFSTAAQDAGYRYYGGKLDDITVVVSHVTASDA >Ma05_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2404252:2405715:1 gene:Ma05_g03340 transcript:Ma05_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNKGSWTQEEDLRLIAYIQKHGHGNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGNFTKEEEDTIINLHKLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRLASEERRSMSVDKPDDSQSSSSSSTYLSCYAHVEKDDPSLDLADLSIEMFELPMDIWGSPQQEDGTGDESSKVSPCSSSSDAMKDFLVTPDAVIQLESLSPWQEEAAAMAELTQRNSVEAAEGEDKSLEWLEYLEKELGLCGASEEMNQGSFVRDAAEQTEMEEDPVSSYFQKELTSTYPLDLRLS >Ma04_p33800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33268542:33274554:-1 gene:Ma04_g33800 transcript:Ma04_t33800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREPPRVSSSQPPSVVVGSGSFGAGATNSPMVAPNSAGMMQEGMRLSFNPMPSAVTKTVDMTASLYQGDGFSGMRPGGIFNVGEPVKKKRGRPRKYGPDGSMALALTPPSSASGYSNNPADPAAKRRGRPPGSGKKQQLDALGAPGIGFTPHVITVKVGEDIASKIMAFSQQGPRTVCILSANGAVSDVTLRQPAISGGTVTYEGRFEIISLSGSFLLTEDGSTRSRSGGLSVALAGSDGRILGGGVAGMLVAATPVQVVVGSFIAEGKKPKPEPLRWEPSSAPPQMPGFGAAVTASPPSEGTSSESSEDPGSPTNQSVGTCNNSGQHVQPAYPPVSWSHSANLNGMNLT >Ma04_p33800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33268542:33274554:-1 gene:Ma04_g33800 transcript:Ma04_t33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREPPRVSSSQPPSVVVGSGSFGAGATNSPMVAPNSAGMMQEGMRLSFNPMPSAVTKTVDMTASLYQGDGFSGMRPGGIFNVGEPVKKKRGRPRKYGPDGSMALALTPPSSASGYSNNPADPAAKRRGRPPGSGKKQQLDALGAPGIGFTPHVITVKVGEDIASKIMAFSQQGPRTVCILSANGAVSDVTLRQPAISGGTVTYEGRFEIISLSGSFLLTEDGSTRSRSGGLSVALAGSDGRILGGGVAGMLVAATPVQVVVGSFIAEGKKPKPEPLRWEPSSAPPQMPGFGAAVTASPPSEGTSSESSEDPGSPTNQSVGTCNNSGQHVQPAYPPVSWSHSANLNGMNLT >Ma01_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8266177:8271425:-1 gene:Ma01_g11400 transcript:Ma01_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKLEEAAAVAHCRERSQLLADAIHYRYALADAHAAYARSLRSVGDAIQGFIYPGLPLASPVLPLPAQRKGDPLPPPAAAASVPSAAVRGHSRSDSGSHIQFHPTDSDDDSLLHSDGASPIHHLHADDAPVGPTYVNLNYARNRPAESSVSYEQPPQSSEPIRLGSVDEQPHVAYPYYGYPYPPQNSNLDPYPSYPYMGYGDGMQRGFLGSSSRPPNIRPPAVADGASSTSREPPPPPAPQASTWDFLNPFDSWDNYYPPYTPSRSSRELREEEGIPDLEDEDHEVVKEAYGDPKFLASTSPAVIGEDTVKALNGSKEGVVGSVGEDPHRQSRSIEAGRSSEHEVLVVEKSVVTQPAERRGAVGFPVSRSYQDVFEVVQEIKTQFDRASESANQVSKMLEVGKVLYHQKNSPYKVSARMICGLPLLATYDNEDLLVFEEDKAMGCGNLSSTLQKLYNWERKLLEEVMAEEKMRTLYEQNRERLRHLSERGAEAEKVEAVETSNMKLSTKIRIAIQVVGTISSKISQLRDEELWPQVRELIDGFMGMWKVMWECHRIQCQAISEAKDLDSIVSGVKHGDPRMDAVKQLEFKMVDWITNFSVWVTVQRSYVKSLNGWLVKGMHYVPEVTDDGVVPFSPGRLGAPPVFVICNYWSQSVDRISKRDVVDAVHAFADNVFNIWQQQKVEQQQTLSANRDMDSKLRSMEKEEQQMLKQKRKLLLISSENGVSISEHVVQQGSTVNSLQLSLKQVFEAMENFTADSMKTYEVLHKRSEEEKQRCTRENEIVS >Ma05_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4068667:4070804:1 gene:Ma05_g05340 transcript:Ma05_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPLRFACITLLLVSCSVSLLHVNEANQAEQLIRLTESKRSTWSDQPDPWLDLDAADSASSAVYVGPQNGLRDADKITALPGQPGGVDFDQYAGHVTPLVLWLNGGPGCSSLAYGAMEELGPFRVKSDGKTLERNPYAWNEVANVLFLESPAGVGFSYSNTTTDYDQSGDKRTAEDTFTFLLNWLERFPHYKNRKFFIAGESYAGHCVPQLASLMLHRNELANRTLINLSAIAIGNAYVDEVTNSEARYEFLWSHALISDETFARTRRFCNFSAIINEECNKATAAVAAETGNIDPYNVYAPVCVGSNSSSESGVAMADIDPCSDTYVEAYLNDPEVQKGSSCELSCSDLPWNDSPKSTVPVMKQLVNSGLRVWLFSGDTDMVCPYKGTLKAIKIMKLPIKNPWRAWYSDKQVGGYVVGYGGLTLVTVKGAGHMVPTYQPERALLMFSSFLRGKLPPAS >Ma03_p04030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2627260:2637424:-1 gene:Ma03_g04030 transcript:Ma03_t04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGNPNLFRPPNANPITGPSPFPQPSSYPPPPPSYPSPPPHGAFSYPPTTSPFHRHPFLLYPPDTLHRPAIAHAAAGTHPPNPNSVPSTSPNPISNNNPGARLMALLNPPTSQFESAVSMPAPSTMPLELSPPANAVALRSAPFTLAVVQPVPARLPSSKQPRGRLLGGGHTCAYDVDSRLLGESQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYICYGLKLGAIRVLNINTALRSLLKGHSQRVTDMTFFAEDVHLLASASIDGRVFVWKIDEVPDEENKPQITEKKIIAVQIVGNGESYHPRICWHSHKQEFLFVGIGNRVLKIDLIRIGRGKEFSAEEPLKCPAEKLIDGIQLVGKHDGDVTDLSISQWMITRLVSASKDGTVKIWEDRKAVPLATLRPHDGEPVNSVAFMASPHRPDHINLVTAGPLNREVKLWASTSEEGWLLPGDSESWQCTQTLDLRSSLEPHLEDAFFNQIVVLPQANLIVIANAKKNAIYAVHVDYGPCPASTHMDYIADFTVTMPILSLTGTNDFLADGEQVVQIYCVQTQAIQQYAMELNQCLPPPTANARLAENPLYHAFKTPSSETLSELEAFHGPPVNTPSAINASPREQLSVSSTRGASSAPYSIDSVSSEVMKVPELSTSKPEAKTDVPPLAEKDIDVQYVSSSVPVNLDLAGRLAGLSGPRKAEHGSPLVNNVVDHPVFDYSVDRRVDSLVATAPDMPPTNDNLRKDDPISGPNDPSKVLNPLLLLKLNGNTTHLITPSEILLGVISSSDISHVIQVPLGQKVQVLDTIINNNIKSQEVEVKVAGKGRSGQKEDFDTHKVPQSVTIEDKERPFQTLEATLGVDHESSMVLETCTMRESCLVDDTAETMDQPPSTLKVDVEYKKRDMPEKESDVTAIPQSLSVAKGKKQKGKEHHMTDLSSPSLSPFDSNDSLNEPERSSVVPSTDAVIPQILALQETLNQLMNMQKEMQKQMGVMLAAPIVNEGKRLETALGGCMEKAIKENADVLWAHFQEENWKHERVAKDQMQQLTNLITNVMNRDLPVMLERTLKKEISAVGPTVARAITPVISSVITELFQKGVGDKAVNQLEKSITAKLEATMSRQIQTQFQTSGKQVLQDALRSCVESSVVPAFEKSCKTMFEQVDSAFQKGMNEHTAAAQQQLEAAYTPLALTLRDAINSTSSITQNLTTELIDGQRKLVALVAAGNTKAANPISMQQTGAPMPGLPEMVEAPLDPRKELSRLISECKYEEAFAIALQRSDVSIVSWLCTQVDLRAICYTVPLPLSQGVLLALLQQLACDIGTEASRKVSWMTDVALVINPTDPMITSYIQPILEQVYNILAHQRSLPTTSASDVTNMRLVMHVINSVLMSCK >Ma03_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2627260:2637424:-1 gene:Ma03_g04030 transcript:Ma03_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGNPNLFRPPNANPITGPSPFPQPSSYPPPPPSYPSPPPHGAFSYPPTTSPFHRHPFLLYPPDTLHRPAIAHAAAGTHPPNPNSVPSTSPNPISNNNPGARLMALLNPPTSQFESAVSMPAPSTMPLELSPPANAVALRSAPFTLAVVQPVPARLPSSKQPRGRLLGGGHTCAYDVDSRLLGESQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYICYGLKLGAIRVLNINTALRSLLKGHSQRVTDMTFFAEDVHLLASASIDGRVFVWKIDEVPDEENKPQITEKKIIAVQIVGNGESYHPRICWHSHKQEFLFVGIGNRVLKIDLIRIGRGKEFSAEEPLKCPAEKLIDGIQLVGKHDGDVTDLSISQWMITRLVSASKDGTVKIWEDRKAVPLATLRPHDGEPVNSVAFMASPHRPDHINLVTAGPLNREVKLWASTSEEGWLLPGDSESWQCTQTLDLRSSLEPHLEDAFFNQIVVLPQANLIVIANAKKNAIYAVHVDYGPCPASTHMDYIADFTVTMPILSLTGTNDFLADGEQVVQIYCVQTQAIQQYAMELNQCLPPPTANARLAENPLYHAFKTPSSETLSELEAFHGPPVNTPSAINASPREQLSVSSTRGASSAPYSIDSVSSEVMKVPELSTSKPEAKTDVPPLAEKDIDVQYVSSSVPVNLDLAGRLAGLSGPRKAEHGSPLVNNVVDHPVFDYSVDRRVDSLVATAPDMPPTNDNLRKDDPISGPNDPSKVLNPLLLLKLNGNTTHLITPSEILLGVISSSDISHVIQVPLGQKVQVLDTIINNNIKSQEVEVKVAGKGRSGQKEDFDTHKVPQSVTIEDKERPFQTLEATLGVDHESSMVLETCTMRESCLVDDTAETMDQPPSTLKVDVEYKKRDMPEKESDVTAIPQSLSVAKGKKQKGKEHHMTDLSSPSLSPFDSNDSLNEPERSSVVPSTDAVIPQILALQETLNQLMNMQKEMQKQMGVMLAAPIVNEGKRLETALGGCMEKAIKENADVLWAHFQEENWKHERVAKDQMQQLTNLITNVMNRDLPVMLERTLKKEISAVGPTVARAITPVISSVITELFQKGVGDKAVNQLEKSITAKLEATMSRQIQTQFQTSGKQVLQDALRSCVESSVVPAFEKSCKTMFEQVDSAFQKGMNEHTAAAQQQLEAAYTPLALTLRDAINSTSSITQNLTTELIDGQRKLVALVAAGNTKAANPISMQQTGAPMPGLPEMQVEAPLDPRKELSRLISECKYEEAFAIALQRSDVSIVSWLCTQVDLRAICYTVPLPLSQGVLLALLQQLACDIGTEASRKVSWMTDVALVINPTDPMITSYIQPILEQVYNILAHQRSLPTTSASDVTNMRLVMHVINSVLMSCK >Ma11_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27243181:27243558:1 gene:Ma11_g24140 transcript:Ma11_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSYRVVTSAVDDEDRGLVEPESVQRCTQGKARRALENLKEKANCRKEDGELSSRVVKIKITRKQLQELLRRADTQGLLAPRHALLADVVSRGVVGHARRSRKWRPRLQRIPEVAEHDEIDT >Ma07_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30533603:30548325:-1 gene:Ma07_g22690 transcript:Ma07_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MDCSSALSTKPVPPAPPNPSSANLPESPTPQRKIWIKPTAPASKKQQQQQRRKKGSGWDEKRVVGFVDYDEGERRVSTEISGARKDEIPARYRLRVDGSRWQKDWKLSEVVDQVMRLRHWEDIDGVLNRWAGRFARKNFPLLIREITSTGSLDHAVHVFRWMKNQRHYSPRSDIYNMLISLHAKHNQTDQARGLFFEMQQWRCKPDTETYNSLINAHGRVGQWRWAVNIMEDMLQASIPPSRTTYNNLISACGSGGHWKEALEICKKMTENGVGPDLVTHNIILSAFKRGAQYSKALAYFDLMKETDICPDTITFNIVIHCLVKLGQFGKAVDVFNSMRERGAKCQPDIVTFTCIIHAYSTCGQIENCKVAFDMILAEGLKPNIVAYNALIGAYASNGMHTEALATFNLLKHAGLRPDVVSYTSLLNAYGRSGQPEKAKGVFDMIKQNSLRPNIVSYNALIDAYGTSGLLAEAIGVLHAMEEDGVQADIVSISTLLAACGRCSQIVNIDSVLSAARSRRIKLNTVAYNSAIGSYMSVEEYDKAVSLYRSMREDNIKPDSITFNILISGSCKVKKYAESLQFLDEMMDLNIPFSKEVYSSLIHSYSKQGQLTEAESIFNMMKGTDCSPDVITYTSMIHAYSIAGNWMKAWELFEDMERNNIQPDPILCSSLIEALNIGCQPEKVLWLAELMREKSIPLNTSASFGVIYACSMVRDWRAASKTIECMETSFSSISLGLLNQILHFLGKSGKIETMMKVYYKILSSHSNVSLVTHTILLKKLLASGRWRMCIEVLQWMEDAGIQPTLNMYRSVLSYARKDNSVEHANLIQERISNLRGKID >Ma06_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2810912:2815634:-1 gene:Ma06_g03880 transcript:Ma06_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVEHAGKAVSDGERSPEAAPHTNLRAEDPAPPPPPRPPLPNPDVHQPHQQPTESQTPHLARESPPAASATPPVSNTYAVPPPAANSSSSRSTMETVKNVLKIWGSKVGETAKKAEDLTRNAWQHLNTGPSFVEATMGRIAQGTKVIAEGGYDKIFQQTFDTLSEEQLRKYHACYLSTSAGPVMGVLYLSTTKLAFCSDNPLPYKIGDQIEWSYYKVVVPLNQLRAVNPSVNRMKSAEKYIQVVSTDNHEFWFMGFLNYDSAVKILQEALRDVEVARP >Ma01_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19801059:19802377:-1 gene:Ma01_g20950 transcript:Ma01_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGDRRPGGKGVSFLKRFSLISDTYSEMHWCCCYNKRQSTILLMDCETVRSHCISLYLQGLMYVVFLDYRI >Ma10_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23795029:23806386:-1 gene:Ma10_g09700 transcript:Ma10_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPAKSSLRMRAQGLGISETFSDLRRQGRVAFIPYITAGDPDLSTTAKALKLLDSCGADIIELGLPYNNPILDGPVIQASNKRALAGKTNMEAVISMLKEVVPQISCPIVMFSYYNLILARRSEWFLSALEDAGVKGLIVPDLPFEESADLKREAAKKNVDMVLLTTPTATKKKMKAISDASEGFIYLASSAGVTGVRDTVNRQVQFLLKEIKEKTAKPVAVGFGISKPEHVKQLSLWGADGVIVGSAIVKLLGEANSTEDGLKHVESFVASLTAALPHGDHGLGEEHASSFILQQRR >Ma10_p09700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23795029:23798653:-1 gene:Ma10_g09700 transcript:Ma10_t09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTVAAAPNSCCFHVSSAKPRFHSILMNHPPKSSLRMRAQGLGISETFSDLRRQGRVAFIPYITAGDPDLSTTAKALKLLDSCGADIIELGLPYNNPILDGPVIQASNKRALAGKTNMEAVISMLKEVVPQISCPIVMFSYYNLILARRSEWFLSALEDAGVKGLIVPDLPFEESADLKREAAKKNVDMVLLTTPTATKKKMKAISDASEGFIYLASSAGVTGVRDTVNRQVQFLLKEIKEKTAKPVAVGFGISKPEHVKQLSLWGADGVIVGSAIVKLLGEANSTEDGLKHVESFVASLTAALPHGDHGLGEEHASSFILQQRR >Ma05_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12108437:12111946:-1 gene:Ma05_g15720 transcript:Ma05_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNTKAEAAHARRSAVEAERKDRAAKDKEEQYWREAEGSKSRAAKKREDEAEKRAEAAARRAENRKLAEQEQRELEVAGRKPDRKAARVSVPVPKVTEAELQRRREEERQRILQTADAAKKRQSRTADEEEYDRMVMVENTNRDDSVIEAHSVEDAIARMALPEPALPPDRHPERRLKVSFKAFEESELLKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQ >Ma09_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6135959:6138162:-1 gene:Ma09_g09260 transcript:Ma09_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGLELRLCASRTVGGFVKQAAAVVETTDRGVAKLEESVRSLEEERRKIDAFKRELPLCMLLLTDVIEGLKKELERCRGQKLANAFEEFIPIRRKCEEEAGVKLEADYEDKKNWMSSAQLWGVNSSENNDEDDKSITDERNGRPDCDAGKESLNLESKNRSAGGAFVQFKGISALAMKPKEEVLQQAPRKARRCWSPELHRRFVLALHQLGGVRVATPKQIRELMKVDGLTNDEVKSHMQKYRLHSRKLPNASASFSRPPMVLGGLWVPPENHTVSPQQSDSQSGSRQSSLRLAGCDGTISAAAGDSCEEEGKSESCNGR >Ma09_p09260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6135959:6138164:-1 gene:Ma09_g09260 transcript:Ma09_t09260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGLELRLCASRTVGGFVKQAAAVVETTDRGVAKLEESVRSLEEERRKIDAFKRELPLCMLLLTDVIEGLKKELERCRGQKLANAFEEFIPIRRKCEEEAGVKLEADYEDKKNWMSSAQLWGVNSSENNDEDDKSITDERNGRPDCDAGKESLNLESKNRSAGGAFVQFKGISALAMKPKEEVKPLPDLSLRSPAVKSNSCPVSAVTEHQAGGGSGSKGVVRAPPAMIGAHLSLQVLQQAPRKARRCWSPELHRRFVLALHQLGGVRVATPKQIRELMKVDGLTNDEVKSHMQKYRLHSRKLPNASASFSRPPMVLGGLWVPPENHTVSPQQSDSQSGSRQSSLRLAGCDGTISAAAGDSCEEEGKSESCNGR >Ma07_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26494284:26495265:1 gene:Ma07_g18680 transcript:Ma07_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATKEAFEWVASFPKIVKASALIARIANDFVSHELEQTREHVASTVQCYMKEFGTNVHVACEKLQVLIEDAWKDVNKECLNPTIISMHLLERTLNPLCLFNDIYKDIDGYTNSSTYTRDNISLLLEHPIEI >Ma04_p26440.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27891095:27892493:-1 gene:Ma04_g26440 transcript:Ma04_t26440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRVYSCSNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAYEETQKYKEGKFIFEKPKIVKENW >Ma04_p26440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27891095:27892487:-1 gene:Ma04_g26440 transcript:Ma04_t26440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRVYSCSNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAYEETQKYKEGKFIFEKPKIVKENW >Ma04_p26440.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27891095:27892486:-1 gene:Ma04_g26440 transcript:Ma04_t26440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRVYSCSNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAYEETQKYKEGKFIFEKPKIVKENW >Ma04_p26440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27891095:27892493:-1 gene:Ma04_g26440 transcript:Ma04_t26440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRVYSCSNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAYEETQKYKEGKFIFEKPKIVKENW >Ma04_p26440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27891095:27892483:-1 gene:Ma04_g26440 transcript:Ma04_t26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRVYSCSNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAYEETQKYKEGKFIFEKPKIVKENW >Ma04_p26440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27891095:27892493:-1 gene:Ma04_g26440 transcript:Ma04_t26440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRVYSCSNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAYEETQKYKEGKFIFEKPKIVKENW >Ma08_p28680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40501455:40505384:-1 gene:Ma08_g28680 transcript:Ma08_t28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVGVIIFSSRGRLFEFCSSSSLLKTIERYHRCSYNASEAMVSSNETQNTYQDYLKLKARVEYLQHSQKNLLGEDLDDLNTKELDQLEEQIEMSLRHIRSTKTQVIIDQLSDLKHKEHMLLESNKTLRRKVQEVLPSKSAFESIF >Ma06_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11492121:11493432:-1 gene:Ma06_g16950 transcript:Ma06_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLKTSLLSLLPLLVVTVSAGVFLSIDCGSSESFTDERNIEWVGDNLYIGQGRSVVFGNRGLLSRVMTTLRVFESMTKSCYRIDVSGGRRVLVRASFNYGNYDGKSFPPSFGLQFGANDWDTVVTSLDQPVSHEAIYVVGGDATSVCVALTLTNQFPFVSTIEVRSLEWSMYAHVDPSRALFLRRREAFGAQQIVRYKDDVYDRIWTPSIKSNGWTALASDTSFNVPALEDKPPALVLQTAVAPTQLSNLSIPLTNLSTSTVTIYMNMYFSEMSELESTQMRSFEIYVDGVNVSAPVSPPYQDFIELSFANITANSNTAIELRPTADSTLPPIISAMEVFLVSAALSNGTNADDGTSLIETSSNVDSRCSIKRIRMLKTPDFNMIVNALGTIVVVAGVLFVLHHRKKN >Ma02_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23199320:23201081:-1 gene:Ma02_g15540 transcript:Ma02_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKRSRAARVALPLRRVLPLVLVVLASVLFVVFMLKNRAVAGPSSFSFANLENPATILADPSFKLKLPKQTLLSVSLDQRNRLPPRNTDLFPTLAKDHTKIVLYVHNRPRYLRAVVRSLAGVEGIGETLLIVSHDGYFPEMDGIVRGIRFCQVKQIFAPYSPHLFPDSFPGVSLGDCHDKDDPAAKKCNGTADQYGNHRSPRIVSLKHHWWWMMNTVWDGMEETRGFDGHILFIEEDHYIYPNAYRNLQLLVGVKPTKCPECYATNLAPSDVNFKGEATDMLIAEKIGNMGYAFNRTVWRKIHAKAKEFCSFDEYNWDITMWATVYPSFGAPVYTLRGPRTSAAHFGKCGLHQGQGKSGACIDNGKASFQLDEIDKILNIKPDWQVHIIKKQSGYQAGFKGWGGWGDWRDRELCLSFAYMYHVV >Ma03_p30910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33355344:33356358:-1 gene:Ma03_g30910 transcript:Ma03_t30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSIRELLVEEKMGLLSCCSCKEVVGRIVQQVRAETEQWSEMQQMLEQVRVEMEELRSSRDHWHRRAIASEINFHSQHTQKLEWKQRARSSERKVIELQKLSKELQPRRTKLLNAPSSSSPLQSDLQLPADPRRAVRSHDSYNEKEKHVLVCHLKSQHNSSRRSPLQVIDNISPLLRPRR >Ma01_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6696295:6701198:-1 gene:Ma01_g09260 transcript:Ma01_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGAGSLATAIPHLLRRRRPSISSPALSLNPLLFGSRVTAAPPLSSQRRRGHWLPLSASRQRRGRQSGAEDEDDASVEGAEEEEEVEDGDEAAMPFQEMRQWLRNKPAGFGEGRAYDTRLEDELWEEMERSRKVLLASANKLKNEPAKSDGTAKAKQEPLLKADEVPCGFRVRVRNLPRKKNIHRDLQRAFQGFPDLVSISPAVIGNQKTREPICKGFAFVDFASEEAASRFVQVYSRRSVCFGKVEKQIACDVISPHGTSNSSEQCDDDALGFTQPNSREAGDMITSDVQERAFDDVAVGTKVIDESEAATSPKRKKESLLTHFEEREHLNIPVTDNVDNESKTMIKTSGLNNSVPPMQKQQKKTSSKKKAVKTYLVKASKPSLPGSVARLKIKEKTILTGVFSKYGRKVASGS >Ma01_p09260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6696295:6701198:-1 gene:Ma01_g09260 transcript:Ma01_t09260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGAGSLATAIPHLLRRRRPSISSPALSLNPLLFGSRVTAAPPLSSQRRRGHWLPLSASRQRRGRQSGAEDEDDASVEGAEEEEEVEDGDEAAMPFQEMRQWLRNKPAGFGEGRAYDTRLEDELWEEMERSRKVLLASANKLKNEPAKSDGTAKAKQEPLLKAADEVPCGFRVRVRNLPRKKNIHRDLQRAFQGFPDLVSISPAVIGNQKTREPICKGFAFVDFASEEAASRFVQVYSRRSVCFGKVEKQIACDVISPHGTSNSSEQCDDDALGFTQPNSREAGDMITSDVQERAFDDVAVGTKVIDESEAATSPKRKKESLLTHFEEREHLNIPVTDNVDNESKTMIKTSGLNNSVPPMQKQQKKTSSKKKAVKTYLVKASKPSLPGSVARLKIKEKTILTGVFSKYGRKVASGS >Ma03_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23352367:23354640:1 gene:Ma03_g17770 transcript:Ma03_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSNAKAPNEFLPSTDASASPTTASPAVMCPETITTISSLLKSCARPSHLKQAHARILTLGLHRRIPTLLSAATLGYLSLGLLDSALPLFRSVPSPSPFLFNMAIRACAAGGRFHVALSLYSTLLSSGLRPDKFTFPFALRSCAALSDLWVGKLLHQHSLCFGCASDLFVAAALVDMYSKCGDVFCARQTFDKMANRDLVCWTSMISGYAHNGLTMETLEFFRLMQLSSVKPNRVSLLSALLACGRLGALRGGECFHCLAIKTRFEHDVLVATAVVDMYVKCGNLELARLMFDRIDSKDVVCWSTMVAGFGYHGLAGEAISTFDNMVDDGVMPNATTFTSLLSACSHSGLSEEGRRFFDSMNLKYGIEPKMNHYACMVDILGRAGKLQEAEEFIKQMPMEPDTSIWVSLLGACRIHGDLDLGEKIADKIMNRDHNHAGYFVLLSNVYAAKSRWTDVERVRKLMVGRRVNKDQGISLIEFNNQVHKFGVDDKSHPHSREIYAYLDQLSVRMKQLGYVPLVDFALHDVDDESKEIALSYHSERLAIVFGLINMNPGTVIRVTKNLRVCGDCHNAIKFISKIESRVIIVRDANRFHHFEGGICSCHDHW >Ma06_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1552157:1552432:-1 gene:Ma06_g01890 transcript:Ma06_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLKGRYEVNESASAVATFAIDAGDVRLQASISDATFVLSLEKPGAFILDCHLPTKMFGSSS >Ma11_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19082830:19085866:-1 gene:Ma11_g13950 transcript:Ma11_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDAGCCFEDELDDGYLEVAAEEIGCESGVGSGSDSARAIEDAVRVLLQGLGEDHEREGLRRTPHRVAKAFREGTRGYKQKVKDIVQGALFPEAGLDPVIGHAGGAGGLVVVRDINLFSYCESCLLPFSIQCHVGYVPLGQRVVGLSKLSRVADVFARRLQDPQRLANEICSALQSSIKPAGVAVALQCWHIQFPEALECTSNLQHLSKLDRQGWVKVSASSTSGVFTEEESSVWDDFLALLKLRGVDIEAGDMNRSHVPSWCPVQSLETPLCNGHCTKSSKVGKNSSKTHAAMVAAVASILHSLGEDPLRKELVGTPYRYVQWLMNFKSSNLDLRLNGIILREVSSSSVTDGNVTQLNEILSVLNIPFCSQCEHHLLPFHGVVHIGYLDKQEGKCIEGSILRSMVHSFACKLQVQERLTRTIAEAVYSVFNSGAMVVVEARHICMISRGIEKVGSNTATIAVLGQFSTDPIVKHLFLQTIANST >Ma01_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9568662:9571310:-1 gene:Ma01_g13070 transcript:Ma01_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGERPKDVDAGATAAGPKAIYCYHAMLATSAGVWLGDNPFRFSLPLLFYQIIIIFVVSNLTHAVLRRLGQPLVISQIVAGLLLGPNFLGRNLWFSRVLFAHRSFQQLQIISIVSTMIFVFVVGVKADLGMIPRVRKKALSIAVLGTLLPYVSVYGMAAALRPQMPPRFANSSIFIILARTWCISSYTVVSCLLRELNLVASRLGRLAMSAILMADFIHVFADACVGTYLMPDTQGAPLNGFSAICSFLGLVVIVMFIMRPLVLWFIRQTPEGALLSGVNFVAVLLMALASGLMTNIFGFHYYMGPFFFGLVLPGGAPLGTTLVDRLETLVTGVLLPVTMAIAGMHTDLTLMADPGQWGWLGGFLVLAVVTKFVGVILPCVYSSMPHRETVTLGLMMISKGIYELGTAVGWRETEIVDSKLYTVLVVSILVLGGGTAPLIKYLYRPEDHYVAHKRRTLQHAMPGDELRVLACIHEQDNVNPVLALLEASGPFHDAPICVYLLHLMQLVGRSDAVLHPYKLKNSSSGSSATALSESDHIVNAFRLFQKQYPDGISVLPYVCISPYSTMHDGVCCLAHDKKATLVIVPFHKHIIADGSISSASSAVQAVNLNILRYAPCSVGILIDHGFSDGGLLVHRVAVYFVGGPDDREALAYGVRMADHAAAELTVVRFLLPKEWRAEGREERIDDRVLMHFQRERVDGKRVVYREEVVKDGEGTVAVIRKTSHEFSLLIVGRRQGEESPVTAGMSMWNEYPELGVMGDMLASTDFGGQASTLVVQQQKRVIRTQSTDDPETTRPRTRTPTPTPTPTPTPTPTGKRVVPREDDDY >Ma04_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14955492:14955734:1 gene:Ma04_g16230 transcript:Ma04_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTTFLSFVQHWFSMIKARAMCLAREVCRRTSAAPPPTPTIDRGSVRKMEADQWSTNSLSSACIKHARFSVEAFLWWCI >Ma05_p26330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37987442:37988154:1 gene:Ma05_g26330 transcript:Ma05_t26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAPEAVVIQHPGGREEQLYWPMSAADVMKSNPGYHVALVTLCVSEERGDGGGVVRLTRVRLLKPKDVLLLGQVYRLVTSQEVTMALRARKQEKLNKCQQHRTNDQVAKQERDRQRSGMQMAARARQWRPSLQSIAEAAS >Ma11_p24210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27287796:27290983:1 gene:Ma11_g24210 transcript:Ma11_t24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVLRTAAKAAALIGYRSVGHPAASRASRPPSAVVSAVAPATEAGPSISVASSHNGLLEAAPEIQGLAWEFDDWEVADWEEEEKDVTLDSLHPAPRLVFGPVASLEEAKEATSDLKDALDMVYSTPDTNESTVQSPQESTHSEASSIVPSAPRHVVQAFSLLRGSPEVQDVVASLASDENVWNAVMKNEKVMEFYVTHQSIALNSESDVADDCESSTAETTPNSVFSDFVHNVKVKVVAMVSNITSFFQEILQTLGRSSSSTSTNTDKPFVDFTIGSSFMALAIATILVVLLRRG >Ma04_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17406575:17407438:-1 gene:Ma04_g17190 transcript:Ma04_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSALHPVALVVATTVVLLAAAPRGGEAITCSQVYGDLISCVGYLQGGPIKQQCCSGIKSLIAAARTTKDRRTACGCIKTAAAGLSGIDYGRVSQLPGQCGISVSYKISPNVDCSKIN >Ma09_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34447049:34448570:1 gene:Ma09_g22520 transcript:Ma09_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPKKRKAARRKKEMALRPANPAAPPQDSEQHDDLVPVEDSKESDGGSPASSSSSLSRENHRFRSAEALLGSEAVEFVSRAEDAESGKGATLAEEDDEGSVVAGNDLAFTNAAESIAGSEDSALEFAESEKEDEKPAEETALYVEKIVAMTEERGPIDEVAAKCFEETSGEAAAKVVPLRDSDEALEQLGEENHEALSIDGKTETLPTAEVLGDRFSKRDFACLFLQLYMDVQLMFAFSNLIFGKALKMKSSYNDFGESLSDPD >Ma05_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9361513:9362506:1 gene:Ma05_g12940 transcript:Ma05_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLHKTLLCATSLLLLLLLPRALLVKASLGSQGRALLHWKATLRSPQSLRSWNLNSSPCNWTGVTCNYPVAGRGRSVVTEISLPRMGLAGPLDALDFSALGSLLRLNLSYNQLGGAIPPTISALSRLVSLDFTSNQFRSKIPVGIGSMKELRFLSLSQNQMVGAIPPSLSNLTVLVSLHLEDNKLVGVIPKELGRLHELMYLDIGVNRLSGSIPSNLGNLTKLYHLDLYQNQLIGVIPRELKNLVNLVYLSIADNNLTG >Ma10_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28860811:28861371:-1 gene:Ma10_g17490 transcript:Ma10_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSSSKGVAPKYKGVRRRKWGKWVAEARYPNSRERLWLGSFATPEMAARAYDAAVYCLRGPGEALNFPDQPPNIPSADKLSKYEIRAAAVRHAHEGAKRPQEGEARQGGGEEAVDPGESSSGLGMSGEPVEESLPDLFDSGMVGGVWPLEDNDFWIGGDGGGGHDDDDDDDDDGGNECVPLWNF >Ma09_p24880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36510983:36514301:1 gene:Ma09_g24880 transcript:Ma09_t24880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium-protoporphyrin IX methyltransferase [Source:Projected from Arabidopsis thaliana (AT4G25080) TAIR;Acc:AT4G25080] MAFTGVLFPATATGAPHRLRHLHQLCPKPRRLSSTTRTLALPSSADLPVLDAPVALAAASSVAALAAALSLSDPERRRRQQAEDVGGGDKEVVRDYFNNTGFERWKKIYGEATEGVNRVQLDIRLGHAQTVENALSMLRDGGPLAGLSVCDAGCGTGSLSIPLASEGALVSASDISAAMVTEAERQAREALADRPDLQMPKFEVKDLESLDGKFDIVVCLDVLIHYPQGKAEAMIAHLASLAESRLVLSFAPKTLYYDLLKRVGELFPGPSKATRAYLHAERDVERALEKVGWRVRKRGFIRTQFYFAKLVEAVPVGLA >Ma09_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36511003:36512695:1 gene:Ma09_g24880 transcript:Ma09_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium-protoporphyrin IX methyltransferase [Source:Projected from Arabidopsis thaliana (AT4G25080) TAIR;Acc:AT4G25080] MAFTGVLFPATATGAPHRLRHLHQLCPKPRRLSSTTRTLALPSSADLPVLDAPVALAAASSVAALAAALSLSDPERRRRQQAEDVGGGDKEVVRDYFNNTGFERWKKIYGEATEGVNRVQLDIRLGHAQTVENALSMLRDGGPLAGLSVCDAGCGTGSLSIPLASEGALVSASDISAAMVTEAERQAREALADRPDLQMPKFEVKDLESLDGKFDIVVCLDVLIHYPQGKAEAMIAHLASLAESRLVLSFAPKTLYYDLLKRVGELFPGPSKATRAYLHAERDVERALEKVGWRVRKRGFIRTQFYFAKLVEAVPVGLA >Ma08_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8705897:8711435:-1 gene:Ma08_g11730 transcript:Ma08_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCWLLVIVSIGWIFGAIDASEGDADPLYRECIEQCEKKGSVGASSIQHCQFLSDGVLVDSPWYMQEPLYVQWKQCNCRSDCQYFCMMQQEKEREALGLKPVKYHGKWPFKRSSVLQEPVSAILSALTLVVQFNGWLSFFLLAYYKLPLRPHSGKTYYEFTCLWHIYGLLSMNAWFWGAIFHTRGFDLTEKLDYSSSVALLGFSLILAVLRTFNVKNEASRVMVAAPLLAFLTTHILYLNFYELDYGLNVKVCITMGIAQVLFWAVWAGITHHPSRFKIWAVVVGGAMAILLELYDFPPYKGYVDSHALWHATNIPLAYLWWSFVYEDAKFRTSTITKKSR >Ma08_p11730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8705897:8711437:-1 gene:Ma08_g11730 transcript:Ma08_t11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCWLLVIVSIGWIFGAIDASEGDADPLYRECIEQCEKKGSVGASSIQHCQFLSDGVLVDSPWYMQEPLYVQWKQCNCRSDCQYFCMMQQEKEREALGLKPVKYHGKWPFKRSSVLQEPVSAILSALTLVVQFNGWLSFFLLAYYKLPLRPHSGKTYYEFTCLWHIYGLLSMNAWFWGAIFHTRGFDLTEKLDYSSSVALLGFSLILAVLRTFNVKNEASRVMVAAPLLAFLTTHILYLNFYELDYGLNVKVCITMGIAQVLFWAVWAGITHHPSRFKIWAVVVGGAMAILLELYDFPPYKGYVDSHALWHATNIPLAYLWWSFVYEDAKFRTSTITKKSSFHSSFGLMSKKFL >Ma03_p29070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32109705:32110872:-1 gene:Ma03_g29070 transcript:Ma03_t29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLMLYYAFKQWRMSLRDCSLLLSSTICVLRTEFSACTAKNRCVVRVKDVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDVIVGLHGILGNRWSKIASQLPGRTDNEIKNFWNSCLKKKLRLRGIDPTTHRPLNEVKTQEEAIRMYYSNSGANFEQLPENPFPLIEIQTCLDSIESNANFHYQFHQPFEPLSQNERLVKPEFCDYGGVMNVPENFGYGESSSNSGDWNCNVAPEIKHVFGSEALNWVSVSKAETLVEPHEHKHSSWRESQHVTSSEDFSTEPVGSLPRDLSDICFNVPREASAGEFNVEFI >Ma03_p26660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30319257:30320273:-1 gene:Ma03_g26660 transcript:Ma03_t26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKAKVLLSALVFLSISLLSAASVVSYDDIDPRRRLEQCKQECRQSRQGEQQRRWCEHQCEEQYREQQKKGGRDGWANRDPEEELRQCRQQCRSQQRDPQRLRECEQRCERRYEKEEQGGSRREDVLEMNRDPEEQLRECRQRCQQQQHRDPRQLQQCQTQCEQRYREEQGQGSERNGDNRDPQERLRQCQQQCQQQYRDPRRMQECQSRCEDEYRQEQQEEERRHGGGQSDEDNREPVQEYQQCQRRCKEQYRDPRQRQECHSRCEEQYREETEQRRGSGGNPEQPEEELQWCRERCRQQQRDPRQQQECSKQCEERYREQQKGRRGIDLVIEVK >Ma07_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5737460:5741440:1 gene:Ma07_g07690 transcript:Ma07_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENPKKSKLSWPKTLVKRWFNIKSKSQESHSNDANGKGRSGQWRANLSEKVACTVKKGRTDVSSKKDIEQVQRARFDLHSARITDVQDYKIFAATWNVGGRPPPKNLNLLDWIHASSPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWLWLIRKTLNSPDTCGSDSYHTPSPVPDPILELNADFERSTTRQKNSAFLHRRSFHSLSRSLRIDGDTMVPQPMLDRRFSVCDRVSFGSRPSDFDSNFRFEGSSDDENIGEESPTTIFFSPFTYGYGAPTYVEERDRLSANSRYCLAASKQMVGIFLTIWVRSDIRDDVRNLKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVQKGGNEKSPETILDHDRIIWLGDLNYRIALSYRSVKTLVEMRNWRALLEKDQLRIEQRCGRVLVGWKEGRIYFPPTYKYSNNSDRYAGDDMNPKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVYSIFTAEVEMINHSLFRKNMGYFSSRVEVEELLPHSHGYTGLNYY >Ma06_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9224472:9228919:1 gene:Ma06_g13440 transcript:Ma06_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTVEREDHTIGNILRMQLHRDPSVLFAGYKLPHPLQYKIIVRIQTTSQSSPTQAYNQAIDDLDKELDYLKKGFEDEKNRYDEKLRQGY >Ma09_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34771526:34784068:1 gene:Ma09_g22900 transcript:Ma09_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVMTPDDRFVTLDVDPDESVENVKALLEVETNVSLHQQQLHFNGKEMRNSDRLSAIGVGDGDMVMMVPQAARASSAELTLNTDGSAVNPASFRHHVRRDSQLITQLLQNDPPMAQAILGDDLNELQSILRERHRLRNELQRKQEEELALMYADPFDVEAQKKIEASIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYKGIAVGVGQSEIIGRIHVAPIKIGHVFYHCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSHIRDEERYSKQASLGPGSVPESSGAQEKTLDISTKNQSSGTPTNDRTQDADFEAKVNKLVELGFDRASATQALRLFNGNEDKAAAFLFGG >Ma09_p22900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34771520:34784068:1 gene:Ma09_g22900 transcript:Ma09_t22900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVMTPDDRFVTLDVDPDESVENVKALLEVETNVSLHQQQLHFNGKEMRNSDRLSAIGVGDGDMVMMVPQAARASSAELTLNTDGSAVNPASFRHHVRRDSQLITQLLQNDPPMAQAILGDDLNELQSILRERHRLRNELQRKQEEELALMYADPFDVEAQKKIEASIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYKGIAVGVGQSEIIGRIHVAPIKIGHVFYHCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSHIRDEERYSKQASLGPGSVPESSGAQEKTLDISTKNQSSGTPTNDRTQDADFEAKVNKLVELGFDRASATQALRLFNGNEDKAAAFLFGG >Ma03_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7633982:7634405:1 gene:Ma03_g10200 transcript:Ma03_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVPVLAIKISCSVCRNTGKMVVMGHQLEKLVGSLKAKLKSLKGKKPYDKMGKTDSMRVEIRSKRAQKFIAKNLKTADSMGKKSYAF >Ma09_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35744586:35748964:-1 gene:Ma09_g24070 transcript:Ma09_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL9 [Source:Projected from Arabidopsis thaliana (AT3G44890) UniProtKB/TrEMBL;Acc:A0A178VBQ7] MASTLSWGSACCPRPHRVGGGARNEGPRNPIEAGRVMPILAQKKAKKIRKIILKEDVTELGKKGDLLDVKAGYYRNFLLPTGRAQLVTPLLLKEMRIEEERIEAEKQRVKEEAQQLALIFQTVGAFKVKRKGGKGKQIFGTVTAQDLVDIIKAQLNRDVDKRIVTLPEIRETGEYIAELKLHPEVSAVVRLNVYAN >Ma06_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6025807:6027221:1 gene:Ma06_g08520 transcript:Ma06_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAQSASLLSREARPQRRTRVSASSVAAAPAVVTRHTTPAVAVKHSAVSAVCRPSLASVWREIQGADDWEDLVEPLDPLLRDEIVRYGELVVACYKAFDLEPASKRYLNCKYGKKSMLREVGMADAGYEVTKYVYATPDISIPTQTGTCCSRWIGYVAVSSDEAVRRLGRRDILVSFRGTVTNTEWIANLMSSLTAARLDPHDPRPDVKVESGFLSLYTSDDSSSKFSSGSCREQLLSEVSRLINKYKDEELSITLAGHSMGSSLALLLGYDLAELGLNRDGSRREVPITVYSFGGPRVGNSEFKERCEELGVKVLRVVNVNDPVTKLPGVFLNENFKVLAERYELPWSSSCYAHVGVELALDFFKMQNPVCVHDLDAYIGLLKCPKVAEVKKNGADLVSKAMKFLSHQSFDAWRWQDAAMQVGNLVQSLGI >Ma10_p30770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37048030:37050211:1 gene:Ma10_g30770 transcript:Ma10_t30770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVETMGSRQQMDLLSHAWCNSAIQVFQPSVDDCPNAFKERQIVAFENDKMLQKSDMSLIVDEGDLRSTSQLKFDDLKSWIWLQKAIHPELDYDLCMRKKWFSKNIIPWKEISIRKWLKEMKQKRKEEERLQRAEVHAAISVAGVAAALAAIAAENLEANQHKSLRDTAVASAAALVAAQCAQVAEAAGAKREQISSAIDAAATATDAANIFTLTAAAATSMKGAATLRGRQGQRQKTKGSSPALLCDDFGFDLGRCRASLAKGDEILVATEDGKCRLRSVSAILNRDAKVVLRIKKTNALMVFSTAKEKPKKEADGSYCIGMQTSQGKIELKTDDYVQCKKWLTTINHMLMLSSTLSRKELHLIRTSRCSQNAGTR >Ma10_p30770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37048030:37050211:1 gene:Ma10_g30770 transcript:Ma10_t30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVETMGSRQQMDLLSHAWCNSAIQVFQPSVDDCPNAFKERQIVAFENDKMLQKSDMSLIVDEGDLRSTSQLKFDDLKSWIWLQKAIHPELDYDLCMRKKWFSKNIIPWKEISIRKWLKEMKQKRKEEERLQRAEVHAAISVAGVAAALAAIAAENLEANQHKSLRDTAVASAAALVAAQCAQVAEAAGAKREQISSAIDAAATATDAANIFTLTAAAATSMKGAATLRGRQGQRQKTKGSSPALLCDDFGFDLGRCRASLAKGDEILVATEDGKCRLRSVSAILNRDAKVVLRIKKTNALMVFSTAKESVVYELDTNPLEEPKKEADGSYCIGMQTSQGKIELKTDDYVQCKKWLTTINHMLMLSSTLSRKELHLIRTSRCSQNAGTR >Ma10_p30770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37047900:37050211:1 gene:Ma10_g30770 transcript:Ma10_t30770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVETMGSRQQMDLLSHAWCNSAIQVFQPSVDDCPNAFKERQIVAFENDKMLQKSDMSLIVDEGDLRSTSQLKFDDLKSWIWLQKAIHPELDYDLCMRKKWFSKNIIPWKEISIRKWLKEMKQKRKEEERLQRAEVHAAISVAGVAAALAAIAAENLEANQHKSLRDTAVASAAALVAAQCAQVAEAAGAKREQISSAIDAAATATDAANIFTLTAAAATSMKGAATLRGRQGQRQKTKGSSPALLCDDFGFDLGRCRASLAKGDEILVATEDGKCRLRSVSAILNRDAKVVLRIKKTNALMVFSTAKESVVYELDTNPLEEPKKEADGSYCIGMQTSQGKIELKTDDYVQCKKWLTTINHMLMLSSTLSRKELHLIRTSRCSQNAGTR >Ma02_p17790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24564640:24572041:-1 gene:Ma02_g17790 transcript:Ma02_t17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGEEAGVNPPDGTRPDIYELFCHYNALYFRDALGACALSWASSSPYPSYAAYCHYYDGGGCEIHLSKPLLKTCTASDLKNVLLHEMIHAFLLIEYNNKDHSDHGPSFQDMMNSINSNSVIDPQRPIGGYNITIDHGFQKDHNDDGVHQWICELCGEVILSTTNRGPSADDCIENVGPDAFCDNPLCHWHSHNKQCSGRYSICGPPECYGDKTSSRGKQECHENRTEKLPHLAGQTKQRLHKTGQKKDKHNGQKNNLAKYLLPSGDATQSSANTSSSRDDSCKEINFEQLKRDPEMQCAVAAGGRKRRKKCVSKKHVSTRPKRRKLTRCDNKCTVVIEWLGLFEDEEAEDDSEPLVNKRSERRKKQKLLSGIKD >Ma02_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24564640:24572041:-1 gene:Ma02_g17790 transcript:Ma02_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGEEAGVNPPDGTRPDIYELFCHYNALYFRDALGACALSWASSSPYPSYAAYCHYYDGGGCEIHLSKPLLKTCTASDLKNVLLHEMIHAFLLIEYNNKDHSDHGPSFQDMMNSINSNSVIDPQRPIGGYNITIDHGFQKDHNDDGVHQWICELCGEVILSTTNRGPSADDCIENVGPDAFCDNPLCHWHSHNKQCSGRYSICGPPECYGDKTSSRGKQECHENRTEKLPHLAGQTKQRLHKTGQKKDKHNGQKNNLAKYLLPSEMQCAVAAGGRKRRKKCVSKKHVSTRPKRRKLTRCDNKCTVVIEWLGLFEDEEAEDDSEPLVNKRSERRKKQKLLSGIKD >Ma10_p02930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9972488:9980642:-1 gene:Ma10_g02930 transcript:Ma10_t02930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVPKTPFPWYWETLELFSGKESEISKAAQVPDLKIDGGAFICNRSVCSSGRGASSVLELGNRSSKSSISASVDSLSGAGKRKSQLNFDSAGRAPHNLDNNIIARVEDSGTSTVPVVADHPKEPLTGLKLGPTYFEDVASVNNIKNLSSSATMTSSAALAKKSRVSQQNLQSPYCQVEGCNIDLTTAKDYHRKHRVCESHSKSPKVIVAGKERRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQPTAISFNSSRLSSSYYDDRHQMNLVFGRAPLGHVTTTVSFPRNNLGSFKLLQAKESWTKSNKAGCTDGQLQFSSICQPNNASTLNHDLDRLLSFKGTAAEVLNQDLEASAFASNTNITPDLRRALSLLSNDSWLAGPSSMKFVNTQNASTTQPAVNMADSTAGILQDEQPLEHLMMLPVHLQIGEFQEFQLLKAPFQTSFFDSTRIH >Ma10_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9972488:9980642:-1 gene:Ma10_g02930 transcript:Ma10_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVPKTPFPWYWETLELFSGKESEISKAAQVPDLKIDGGAFICNRSVCSSGRGASSVLELGNRSSKSSISASVDSLSGAGKRKSQLNFDSAGRAPHNLDNNIIARVEDSGTSTVPVVADHPKEPLTGLKLGPTYFEDVASVNNIKNLSSSATMTSSAALAKKSRVSQQNLQSPYCQVEGCNIDLTTAKDYHRKHRVCESHSKSPKVIVAGKERRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQPTAISFNSSRLSSSYYDDRHQMNLVFGRAPLGHVTTTVSFPRNNLGSFKLLQAKESWTKSNKAGCTDGQLQFSSICQPNNASTLNHDLDRLLSFKGTAAEVLNQDLEASAFASNTNITPDLRRALSLLSNDSWLAGPSSMKFVNTQNASTTQPAVNMADSTAGILQDEQPLEHLMMLPVHLQIGEFQEFQLLKAPFQTSFFDSTRIH >Ma10_p02930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9972488:9980642:-1 gene:Ma10_g02930 transcript:Ma10_t02930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVPKTPFPWYWETLELFSGKESEISKAAQVPDLKIDGGAFICNRSVCSSGRGASSVLELGNRSSKSSISASVDSLSGAGKRKSQLNFDSAGRAPHNLDNNIIARVEDSGTSTVPVVADHPKEPLTGLKLGPTYFEDVASVNNIKNLSSSATMTSSAALAKKSRVSQQNLQSPYCQVEGCNIDLTTAKDYHRKHRVCESHSKSPKVIVAGKERRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQPTAISFNSSRLSSSYYDDRHQMNLVFGRAPLGHVTTTVSFPRNNLGSFKLLQAKESWTKSNKAGCTDGQLQFSSICQPNNASTLNHDLDRLLSFKGTAAEVLNQDLEASAFASNTNITPDLRRALSLLSNDSWLAGPSSMKFVNTQNASTTQPAVNMADSTAGILQDEQPLEHLMMLPVHLQIGEFQEFQLLKAPFQTSFFDSTRIH >Ma10_p02930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9972488:9980642:-1 gene:Ma10_g02930 transcript:Ma10_t02930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVPKTPFPWYWETLELFSGKESEISKAAQVPDLKIDGGAFICNRSVCSSGRGASSVLELGNRSSKSSISASVDSLSGAGKRKSQLNFDSAGRAPHNLDNNIIARVEDSGTSTVPVVADHPKEPLTGLKLGPTYFEDVASVNNIKNLSSSATMTSSAALAKKSRVSQQNLQSPYCQVEGCNIDLTTAKDYHRKHRVCESHSKSPKVIVAGKERRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQPTAISFNSSRLSSSYYDDRHQMNLVFGRAPLGHVTTTVSFPRNNLGSFKLLQAKESWTKSNKAGCTDGQLQFSSICQPNNASTLNHDLDRLLSFKGTAAEVLNQDLEASAFASNTNITPDLRRALSLLSNDSWLAGPSSMKFVNTQNASTTQPAVNMADSTAGILQDEQPLEHLMMLPVHLQIGEFQEFQLLKAPFQTSFFDSTRIH >Ma04_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7800255:7805158:1 gene:Ma04_g11090 transcript:Ma04_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAEEAETKGSASPPPSATAASAAVEAEGDMEERERYSREIKSGLHPLKHKFVFWYTRRTPGVRSQTSYEDNIKKIVEFSTVEAFWVCYCHLARSSSLPSPTDLHLFKEGIRPLWEDAANCNGGKWILRFKKVVSGRFWEDLVLALVGDQLDYGDDVCGAVLSIRFNEDILSVWNRNASDHKAVMALRDSIKRHLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >Ma10_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1814769:1817522:1 gene:Ma10_g00570 transcript:Ma10_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLRFLSSLLLCLLALLLQRATVTSGCFSMEREALLDFKAGIHDTQNRLSSWVGQDCCAWAGVICRATTGHVVKLDLRNTNTLDGALRGERMNSSLLALSHLRHLDLSFNDFSGIRIPEFIGSFKKLRYLNLSSTYFMGGIPARLGNLSSLYVLDLSDALYVDDYGNGYPVDNLDWLSHLTSLKHLDLSELNLTVVPDWFSSVNMLPSLQVLNMSYGGLNSIPVSVVHVNFTSSLTVLDLSFNSFSSTLPKWLGNVSGLTSLGLSSCEFLGTIPDAIGDLSSLTFLNLGNNFLLEGIVPRSMANLHRLKELDLSVNQLSGTLTGWLEQLTNLIVLNLSYNLFNGSVPASVGKFSNLTGLYLGGNSLGGVISEAHFESLTKLRVLDLFDNSITVSIGQSWVPPFQLRVIYLSNCHLGPQFPEWLRFQTQTQELTMHDCKIAATIPNWFWNFSSSTVTLVDLSDNRIGGRLPSSLSFARLEELYLDSNIFEGPLPAMLPSALDTLYLSNNSFTGQLPVWPHVSLALLSNNMLDGDISSSICRWTYLRYLVLSGNNLFGEIPYCLGESLQDLSILSLANNHFSGEIPNTIGFLTELKLLQLKNNSFSGELPLSLQNCTNLQFLDLAQNNFVGSIPFWMGENLSQLAVLRLRSNMFSGDIPWQLAQLGRLQILDLANNTFSGSIPHNFGNFSTMASTSDNGTFIYDLFDISTKGQDLFYIKDLDLLKSLDLSNNRLIGDIPEEIGDLAGLKNFNLSRNHLKGKIPSQIGGMKLLESLDLSINDLSGSIPESLSALYFLSYLNLSYNNLSGRIPTGHQLQTLNDPSIYMGNANLCGPPTSKSCHNNKTAQNNIQEYEKEISEWLWFYISMVLGFVMGFWTFCGILFLKDAWRYAYFRMIDDMYDRIWVQWQLILRRLFER >Ma08_p11170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8234879:8239265:-1 gene:Ma08_g11170 transcript:Ma08_t11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMSGSAYYIAHRAIPGPGAGSQSGFNVAAQPGVRSMPNPGAILTAPSSGVGSMPFQVESPPAVSSHGGGGGLNEGVSQTEPVKRKRGRPRKYGPDGNVALALSPISSSAPPSGTGTVSGSASGSGAPTQKRGRGRPPGTGRKQLLASLGEWVVGSAGMGFTPHVITIPVGEDIAAKIMSFSQQGPRAVCILSANGAVSTVTLRQSATSGSTVTYEGRFEILCLSGSYTLMDNGGSRSRAGGLSISLSSPDGRVIGGGVAGSLIAANPVQDQRRRAR >Ma08_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8234879:8239265:-1 gene:Ma08_g11170 transcript:Ma08_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMSGSAYYIAHRAIPGPGAGSQSGFNVAAQPGVRSMPNPGAILTAPSSGVGSMPFQVESPPAVSSHGGGGGLNEGVSQTEPVKRKRGRPRKYGPDGNVALALSPISSSAPPSGTGTVSGSASGSGAPTQKRGRGRPPGTGRKQLLASLGEWVVGSAGMGFTPHVITIPVGEDIAAKIMSFSQQGPRAVCILSANGAVSTVTLRQSATSGSTVTYEGRFEILCLSGSYTLMDNGGSRSRAGGLSISLSSPDGRVIGGGVAGSLIAANPVQVIVGSFIYAGSKAKSKVKASNETGTEPELEVGDERHMQYAEFPSENVTPPVMAGWPASRQLDMRNAHMDIDLTRG >Ma06_p32190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33138474:33144493:1 gene:Ma06_g32190 transcript:Ma06_t32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQIGMQQTPSGTKPPETKTAGSGGRGLIVVPALCTLVLLATVLSGAHRSLSVDLLLKNHAAAAASQEVSNSSCSVSSSTIEPPKDKLLGGLLSTAFDEASCLSRYQSALFRKSSNHTPSSYLVEKLRSYEVLHKKCGPNTKLYNKTIEQLKSNHSMGPMECNYVVWIPSDGLGNRIISITSAFLYALLNNKVLLLYLPEDMSDLFCEPFPETTWVLPSDFPIRNIKWVYSFDKDPNRYGDLLKRKVLRNDMNSRNISGPLPAYIYLHLIHANDDFDKMFYCKEDQLLLQKFPWLLLRSNQYFAPALFLIPQFEKELSLLFPERTTVFHHLGRYLFHPSNTVWGYITRYYEAYLADAKERLGMQIRTFGQVDLDSHSSYIINCALTERLLPNIDLKDPVLPTISGVKPKAVLVTSLSSRYFERLRDMYYEHATTTGEVISVYQPSHEEQQHTEKLSHNMKAFAEIYLLSLSDALITSKFSTFGYVAQGLGGLRPWILVRPKNKNVCLHSMTMEPCFHFPPSHDCNTRRKVAIGSVASYLRHCEDFPNGIKLFY >Ma06_p32190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33138454:33144493:1 gene:Ma06_g32190 transcript:Ma06_t32190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQIGMQQTPSGTKPPETKTAGSGGRGLIVVPALCTLVLLATVLSGAHRSLSVDLLLKNHAAAAASQEVSNSSCSVSSSTIEPPKDKLLGGLLSTAFDEASCLSRYQSALFRKSSNHTPSSYLVEKLRSYEVLHKKCGPNTKLYNKTIEQLKSNHSMGPMECNYVVWIPSDGLGNRIISITSAFLYALLNNKVLLLYLPEDMSDLFCEPFPETTWVLPSDFPIRNIKWVYSFDKDPNRYGDLLKRKVLRNDMNSRNISGPLPAYIYLHLIHANDDFDKMFYCKEDQLLLQKFPWLLLRSNQYFAPALFLIPQFEKELSLLFPERTTVFHHLGRYLFHPSNTVWGYITRYYEAYLADAKERLGMQIRTFGQVDLDSHSSYIINCALTERLLPNIDLKDPVLPTISGVKPKAVLVTSLSSRYFERLRDMYYEHATTTGEVISVYQPSHEEQQHTEKLSHNMKAFAEIYLLSLSDALITSKFSTFGYVAQGLGGLRPWILVRPKNKNVCLHSMTMEPCFHFPPSHDCNTRRKVAIGSVASYLRHCEDFPNGIKLFY >Ma09_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7616548:7622938:-1 gene:Ma09_g11270 transcript:Ma09_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSISRPSSKLSKSPSRSHNPSNSSSSSKSQSSSSLSSHLAMVELKSRVLSALSKLSDRDTHQIAVDDLEKIIRTLPADGVPMLLHALIHDPSMPSPSPQDPPGSKNPSFLVGRRESLRLLALLCASHTDAASAHLPRIMAHIVRRLKDPASDSSVRDACRDAAGSLAALYLRPSLAAAAAHVDGAGSGGPSPVVALFVKPLFEAMGEQNKAVQGGAAMCLAKVVESAGGGGVGGGGQREEGRVMTTGVVFQKLCPRICKLLGGQSFLAKGALLSVISSLAQVGAISPQSMQQVLQTIRECLENSDWATRKAAADTLCVLASHSSHVLGDGATATITALEACRFDKVKPVRDSMMEALQLWKKIRGDGTLADTKDSRSSDLTDNEEKEDHKRFNPSKKLESLKISSAGFSSGESDSVSKENGTNMLEKATVLLMKKAPSLTDKELNPEFFQKLEKRSLDDFPVEVVLPRRCLQSSHSQCEEGSEVTCNDSTGTSNCDGAALQESDDTHGYNTANYRNEDKRPGPYKKVQDLDNFARDKWTEQRGSKAKESKAKVLNVEDTTEVCQKDPSPGRTNVPRSDANTDGPFMSNRANWTAIQRQLAQLERQQASLMNMLQDFIGGSHDSMVTLENRVRGLERVVEEMAHDLAMSSGRRVGNMMLGFDKSPGRSSSKYNGLHDYSSSKFGRVGERFHLSDGLVTGVRGRDSPWRSESEAWDSYGYVASRNGVMNTRRGFGAVPVDGRLHKTEHDTDQVSGRRAWNKGPGPFRLGEGPSARSVWQASKDEATLEAIRVAGEDNGTSRNAARVAVPELDAEALTDDNPGPDKGPLWASWTRAMDSLHVGDIDSAYEEILSTGDDLLLVKLMDKSGPVFDQLSGEIASEVLHAVGQFILEQSLFDIALNWLQQLSDLVVENGADFLRVPLEWKREILLNLHEASALELPEDWEGAAPDQLMMHLASAWGLNLQQLVK >Ma06_p04090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2962315:2965847:1 gene:Ma06_g04090 transcript:Ma06_t04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADSSREDNVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIKEYRGKIETELSKICDGILKLLDSHLIPSSAAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVSYKSAQDIALAELAPTHPIRLGLALNFSVFYYEIVNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDAGEEIKEAPKRESEEGQ >Ma06_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2962152:2965840:1 gene:Ma06_g04090 transcript:Ma06_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADSSREDNVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIKEYRGKIETELSKICDGILKLLDSHLIPSSAAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVSYKSAQDIALAELAPTHPIRLGLALNFSVFYYEIVNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDAGEEIKEAPKRESEEGQ >Ma06_p30170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31521537:31528304:-1 gene:Ma06_g30170 transcript:Ma06_t30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:Projected from Arabidopsis thaliana (AT1G67560) UniProtKB/TrEMBL;Acc:A0A178W1Z8] MLSAKSTSFFPAIAPQLDAGRSSRTRLVNLGSCRRSIASRTVRAVISSDDKSVGTLPSQPAAVGVALRAAAPPGDSIDVRVVLTVRNRIKEKLVSKIGDQLEYFVNGIGQGITVQLVSEEIDPDSESGKRSAEVAVRGFLPRSSNHPSLVEYAANFTVPSGFGRPGAICITNLHRKEFYLVEIVVHGLNDGPFFFPANTWIHTRNDNPQSRIIFSNQAYLPSQTPDGLKNIRQDILLGLRGNGKGERKKFEMIYDYALYNDLGNPDKDPDVARPVLGGSKRPYPRRCRTGRPPTKSDLSAESRVEKPHSVYVPRDETFEEIKQNTFSAGALKALFHNLIPALMAALSSSDSQFECFSDIDRLYKDGLLIKSEEQKLTQKLLLPTVLGNLLSMGEKLMKYDIPSIISRDRFSWLRDNEFARQTLAGVNPVDIQRLREFPIRSKLDPETYGSPESAITKECLEHELNGMSLQEAMDNDRLFIIDYHDILLPYVKKINSLKERKMYASRTVLFYTRSGILRPIAIELSLPSTPSASRKRVYTHGHDATTNWIWKLAKAHACANDAGVHQLVNHWLRTHAAMEPYIIATHRQLSSMHPIFMLLHPHMRYTLEINALARQSLINGGGIIENCFSPGKYSMELSSAAYKSLWRFDMEALPADLIRRGMAIEDPSMPCGVKLVIEDYPYAADGLLVWSAIEDWVKDYVTHYYSDDSSVTSDVELQAWWDEIKNKGHPDKRNEPWWPNLNTKEDLIHILTIIVWTASGQHAAINFGQYPFGGYMPNRPTLMKKLIPQEDEPEYEKFLLNPQYMFLSALPSQLQATQIMAVQDTLSTHSPDEEYLGQVIESHAHWTNNRHIASCFEKFSARLEEIEEIINRRNKNFYLKNRSGAGVPPYELLLPLSGPGVTGRGIPNSISI >Ma04_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1888117:1897601:1 gene:Ma04_g02150 transcript:Ma04_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRGLVPGDDLQPEPKRQKVPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALAKLGPARVGGRASPKRIEGPDGRNLQLQFRSMLSLPLFTGGKVEGEQGSEIHVVLLDANTGFVVTSGPESSAKLDVVVLEGDFNNEDDDNWTEEEFESHVVKEREGKRPLLTGDLQVSLKEGVGTLGELTFTDNSSWIRSRKFRLGLKIASGFCEGIRIREAKTEAFTVKDHRGELYKKHYPPSWKDDVWRLEKIGKDGSFHKKLNKSGIFTVEDFLRTYIRDPQRLRSILGGGMSNRMWESLIEHAKTCPLSGKYYVYYSDDTRNVGAIFNHICEFSGLISGDQFCSAESLTDSQKVFADTLVKKAYDNWACVIEYDGKALLSLTSNKKTITTRSESRALANFSDSYDCPPSQQQLSVPALTVQSLTDTGMVSEGDSGYGDNQMTRCSSHPQLVISNAQLHYEDTSLTPQNQFCESSGLAQVSRNDSFGLALGPSQHSSSEFQLVGQPMLSSNLNSYYDWSNQRQSHGVDDYLTEEEIRMRSHEILENEEMQQLLRHFMVGSSSNVPGNGFESPSFVSPPSPPFNFDVDQNRSSGKAVVGWLKIKAAMRWGIFIRKKVAQRRRAQLVELED >Ma04_p02150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1888117:1897601:1 gene:Ma04_g02150 transcript:Ma04_t02150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRGLVPGDDLQPEPKRQKVPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALAKLGPARVGGRASPKRIEGPDGRNLQLQFRSMLSLPLFTGGKVEGEQGSEIHVVLLDANTGFVVTSGPESSAKLDVVVLEGDFNNEDDDNWTEEEFESHVVKEREGKRPLLTGDLQVSLKEGVGTLGELTFTDNSSWIRSRKFRLGLKIASGFCEGIRIREAKTEAFTVKDHRGELYKKHYPPSWKDDVWRLEKIGKDGSFHKKLNKSGIFTVEDFLRTYIRDPQRLRSILGGGMSNRMWESLIEHAKTCPLSGKYYVYYSDDTRNVGAIFNHICEFSGLISGDQFCSAESLTDSQKVFADTLVKKAYDNWACVIEYDGKALLSLTSNKKTITTRSESRALANFSDSYDCPPSQQQLSVPALTVQSLTDTGMVSEGDSGYGDNQMTRCSSHPQLVISNAQLHYEDTSLTPQNQFCESSGLAQVSRNDSFGLALGPSQHSSSEFQLVGQPMLSSNLNSYYDWSNQRQSHGVDDYLTEEEIRMRSHEILENEEMQQLLRHFMVGSSSNVPGNGFESPSFVSPPSPPFNFDVDQNRSSGKAVVGWLKIKAAMRWGIFIRKKVAQRRRAQLVELED >Ma04_p02150.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1888115:1897601:1 gene:Ma04_g02150 transcript:Ma04_t02150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRGLVPGDDLQPEPKRQKVPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALAKLGPARVGGRASPKRIEGPDGRNLQLQFRSMLSLPLFTGGKVEGEQGSEIHVVLLDANTGFVVTSGPESSAKLDVVVLEGDFNNEDDDNWTEEEFESHVVKEREGKRPLLTGDLQVSLKEGVGTLGELTFTDNSSWIRSRKFRLGLKIASGFCEGIRIREAKTEAFTVKDHRGELYKKHYPPSWKDDVWRLEKIGKDGSFHKKLNKSGIFTVEDFLRTYIRDPQRLRSILGGGMSNRMWESLIEHAKTCPLSGKYYVYYSDDTRNVGAIFNHICEFSGLISGDQFCSAESLTDSQKVFADTLVKKAYDNWACVIEYDGKALLSLTSNKKTITTRSESRALANFSDSYDCPPSQQQLSVPALTVQSLTDTGMVSEGDSGYGDNQMTRCSSHPQLVISNAQLHYEDTSLTPQNQFCESSGLAQVSRNDSFGLALGPSQHSSSEFQLVGQPMLSSNLNSYYDWSNQRQSHGVDDYLTEEEIRMRSHEILENEEMQQLLRHFMVGSSSNVPGNGFESPSFVSPPSPPFNFDVDQNRSSGKAVVGWLKIKAAMRWGIFIRKKVAQRRRAQLVELED >Ma04_p02150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1888106:1897601:1 gene:Ma04_g02150 transcript:Ma04_t02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRGLVPGDDLQPEPKRQKVPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALAKLGPARVGGRASPKRIEGPDGRNLQLQFRSMLSLPLFTGGKVEGEQGSEIHVVLLDANTGFVVTSGPESSAKLDVVVLEGDFNNEDDDNWTEEEFESHVVKEREGKRPLLTGDLQVSLKEGVGTLGELTFTDNSSWIRSRKFRLGLKIASGFCEGIRIREAKTEAFTVKDHRGELYKKHYPPSWKDDVWRLEKIGKDGSFHKKLNKSGIFTVEDFLRTYIRDPQRLRSILGGGMSNRMWESLIEHAKTCPLSGKYYVYYSDDTRNVGAIFNHICEFSGLISGDQFCSAESLTDSQKVFADTLVKKAYDNWACVIEYDGKALLSLTSNKKTITTRSESRALANFSDSYDCPPSQQQLSVPALTVQSLTDTGMVSEGDSGYGDNQMTRCSSHPQLVISNAQLHYEDTSLTPQNQFCESSGLAQVSRNDSFGLALGPSQHSSSEFQLVGQPMLSSNLNSYYDWSNQRQSHGVDDYLTEEEIRMRSHEILENEEMQQLLRHFMVGSSSNVPGNGFESPSFVSPPSPPFNFDVDQNRSSGKAVVGWLKIKAAMRWGIFIRKKVAQRRRAQLVELED >Ma08_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2707553:2708211:-1 gene:Ma08_g03760 transcript:Ma08_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADAVKRAMCAKPREGTWPSGSSPHLKKTSVCCHIRGEIYSRAYRSSVAHLSWLRLKIHLGSSALVFTERRRRRRKWKEAAPHDLEGWTGTALNPLAGIGKLVVAASLISFPRLESSCFGSHNSLGRSLWWAHSAQNPVFVHPRSTARVPILEWEGGVSEFRR >Ma10_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26451625:26456251:1 gene:Ma10_g13780 transcript:Ma10_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKTKAAKKSVASSPSGRKGRVLSSYYSSSSSSHGPPSLPSSAHESPVFPSAAEGAPASSSAGIQRSPTAANRRATRSSQGTHASPSATHGSPTFPSAAQGAPGSYSAASSPRTPVRRGVTRSSTTANRSVAPSAAQESLASPPALNESLDSPSAAQESPASSFATRSAPVTRSMTRSATIANMRATRSASKAGGIRLLDGLTNEQLEKRKATPAKRHSSDRRKGKDNTTDDNQEKDKPSAGGAASVDPYTIFVEASNLDGKFLKAAKNVTSALNSAFPSISTKVVVEPRKGCFKVHDEEGEIFLQYLDLEEPYSELESIDIPKAVKDVGRKIG >Ma06_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8058820:8059470:1 gene:Ma06_g11570 transcript:Ma06_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTGAFGTEVAAIQVILRTWLQLMQSVLDRLVNVPREPVHEAEHRAYVAEAMDHHVSYFFAKSILALRDPAVALCPPRLSLMAKALLWLGGWQPTAALRLVPAGSLSAEQSSSLEVIRAVTRAAVAAVENEMRRVQNDGLVRLMMAGRAAVSAAAVAAAEKAAIGRMVARQWTVAVVADSLRMEVLRRVVAVLSPLQAVDFLAAVVRMEISMHQM >Ma01_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4426344:4430014:1 gene:Ma01_g06180 transcript:Ma01_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFHNLNGASGLQKLNDYLLTRSYITGYQASKDDIAVYGALNAALSSDYINVARWYNHIDALLKLCGISEEGNGVKIESFEEAPCSRVAGGKASAAEDDDDDMDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMQKLEEAVRSVGMEGLLWGASKLAPVGYGIKKLQIMLTVVDDLVSVDNLIEDYLLVEPANEYIQSCDIVAFNKI >Ma04_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5130705:5131917:-1 gene:Ma04_g07060 transcript:Ma04_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNTCRIVRRGCNESRVLRPCLQWSNPPRPRPTSSLPSSRTRCPHALRLRRLPLPTPSFIPIFAPVRMVRVKHQPSERRRGPAADRNWYLYQATLKSVFYGGTTLLLDRKRPSLW >Ma06_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6919768:6920932:1 gene:Ma06_g09950 transcript:Ma06_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAQQSTDKMINSSSSKAPRDAAPTIGDRAFKGAGDLIKLLPSGTVFLFQFLSPLLTNTGHCSTVNKYLTGVLLFVCGFSCCFSSFTDSYVEDDGRIYYGVVTKNGLWLFSDPKAGTRDLSKYKLRMADFVHASLALVVFAVVALLDDNTVSCFYPSLVSKEKTLVMVLPPLVGGVASFIFMLFPNRRHGIGYPPSGTTTKDS >Ma02_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22977418:22979210:1 gene:Ma02_g15160 transcript:Ma02_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSEKPVMAMPSSSELPVRKIPGDYGLPFVGPIQDRLAYFYFEGRDAFFKSRMRRYNSTVFRANMPPGPFLASDPRVIVLLDAASFPLLFDTSLVEKRDLFTGTFMPSTQLTGGFRVLSYLDPSEPNHAPLKRLLFFLLSNRRQAVIPEFRHTYGALFETMEAEIAAKGKADFGAANDRAAFDFLARSFFGRNPKDSELGLDGPGLITKWMLFQVGPLLTLGLPTYLEDLLLHSFRLPPALVRSDYDRLAAFFRESAGPVLDEAERLGISREEALHNILFATCFNSFGGMKILFPNLIKWIGRAGAPLHGRLAEEVRTAVQEIGGGEVTMRAIEAMPLTASAAYEALRIEPPVPLQYGRAKRDMVVASHDAAFQVRAGEMLFGYQPFATRDPRVFERAEEFVADRFVGEGGAPLLRHVVWSNGPETEAPTAENKQCAGKDFVVMVARLLLVELFLRYDSFEIEVGTSALGSSVKFTSLKKATF >Ma06_p31490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32611849:32617576:-1 gene:Ma06_g31490 transcript:Ma06_t31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGAASKRALLAEDAPWRASPTGRKPVPRIHLNPVLRVQQNPRTNYAVAVMKHPDPVGGGFAMEARLEAAGPDCIVPGLATPVKLLGIKVWPIDINIKFMEPVGRELQVLGKFMDSAVNLMNASFQDR >Ma05_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5146946:5151213:-1 gene:Ma05_g07030 transcript:Ma05_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNVASAGESTCVGEKPILEGAGGGHIVSSETSESCVHNAEASTNAADENSFSTRQVGAPAMEFGILMSSASAEGENDVDEGIEVDRNDGVQEPGLITRQLFPPEPVVMNGLRPFVEASFLPSSSSPQWKDLSFYQANVPTKVTVLHQQQWQQQQPKPQQQQVKRSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTSYAAARAYDRAAIKFRGVDADINFNLSDYEEDLEQMRNLSKEEFVHILRRQSTGFTRGSSKYRGVTRHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARTYDKAAIKCHGKEAVTNFDPRTYVEDLLAGAGSEGHNVDLDLRISQPIVDSPKNVKNPTDIISSYGPFGATAAEKANNPSWHPTSVPQHNNLRLQNFHAWTARNPAFHSNTEERVGEKWLMVGMQALPNWEWQMHGPTLSPLFSPAASSGFSTASVTAAITALNLPASATPPFPPTR >Ma02_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21507498:21514539:-1 gene:Ma02_g12910 transcript:Ma02_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGFFGSRHHHPTHPKPSSNRRSCSSTSSSSDDDDTPKPAPPAASVTAVAVVMRRGVDPAGAGASCHVLGHPTPNIRDLYALGRKLGQGQFGTTYLCTELATGAEYACKSITKRKLISKEDVEDVRREIQILHHLSGHKNIVTIKGAYEDSLCVHIVMELCGGGELFDRIIERGHYSERKAAELIRIIVGVVEACHSLGVMHRDLKPENFLLVNKEDDSSLKLIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKQYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPLISDSAKDLIRKMLCSPPSARLTAHQVLSHPWIREQGVASDRALNPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMEAADVDNSGTIDYGEFIAATIHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMTDVILEDIIKEADQDNDGRIDYGEFVAMMRRGTMGLGRWTMRNTLNISMRDGGF >Ma08_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:463682:468383:1 gene:Ma08_g00490 transcript:Ma08_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVNGGGGGDGGSASRPHRLPRWTRREIMVLVEAKRAAEGRGRGARGPRSAAGETKWEWVSAYCRRKGVDRGMLQCRKRWSNLACDFKKVRAWEGGEGAAAGESFWAMRNDCRRDRRLPGFFDKEVFDILNRTVLNELEAEEEEEEAEVKVRDGGEGENEKEEDEVEVEEEEAVFDSGRTAADNGLFSDCEYENGMGGQERLPPPIAVTPISERKFEPFQQEFSDPGIINDRQPANDHETQSSQGLKRRRISPEEGSSNFDNQLIKIIERNNKMIVSHFNAQNANLQLERDQRREQANSLLDVLNKLVDAVGRIADKL >Ma09_p30480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40583234:40588601:-1 gene:Ma09_g30480 transcript:Ma09_t30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVIDHAVPALSVLHRRPAQQGKVLHKGLARVVTAKKVVGGVKSDSELVGTLKSKILRLRHNLDLPHPNASLTLHEVLLQFYKVLKLAGDLWVEKCRESDKITFEEADVEDLKFEQLGVVVLNRLDRINIHIKEMFDLMKKDSASTLKSEEDLIKPDHCDKPQSPTSVLPEPSEVNPNLKGSQNIAYLPPLLHPLRLQAVGKLKPLGLKRLSFHLFPLVLDHRSKAVTQTENITDELELDSLDATMVLKPISYAEIGSDGHADGSETYEDATLVSTPPASSSLPSDMFESSTPGTLRPTCTGQVSHAVNINRDEDVSSKTSDSTILVPPTLPILRLRATHLSPTPTPPPSPMVLTGIPWFFGSPRHSGMEMEPVAYAMAIDDSDHVCTCEPSETSLFVSPQSSSAASAPKIQTSFSPPLSPVQLKKEPAPSPQLSSSSSLLSKSAPVPPPPSPPRGCVLSASPSPPCASSNQLPSLPSPKGSAPPPPPPPSVAKAINAKKTSTKLKRSTQMGSLYRLLKGKVEGCSLDGKPSNGRKSQTGNCSDGKKSQGMADALAEMTKRSAYFRQIEEDVEKHSASIMELRSSINSFETKDMKDLLKFHQHVEQQLENLTDETQVLARFGGFPSKKLETIRMAAALYMKLDSVVTTLKGWSLAAPIAQQLPKVECYFNKIKEAMEVIERNKEEDSTRFKNHKIEFDFTVLVRIKEGMVDLSSDCIEMALEETREMGGETKSKPNGLSTMLWRAFQLAFRVYNFAGGQDDRADRLAKDLAREIETCPRF >Ma03_p30330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33005413:33009907:1 gene:Ma03_g30330 transcript:Ma03_t30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLSSLLRSSARRSPAARSPAAASSAPRAPMRPSPAGFVLSRAVEYATSAAAPQSTPPPSKAPAGPSGKITDEFTGAGAIGKVCQVIGAVVDVRFNEGLPPILTALEVLDNQIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQGESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAA >Ma09_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29865464:29866715:1 gene:Ma09_g20690 transcript:Ma09_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPHPHHHQASASCLLELDDPDATEKWAAELLRECARAISEKDSGKIHRLLWMLNELASPYGDCEQKLASYFLQALFCKATESGERCYKTSLSVAEMSHSFESTRKVILQFQEVSPWTTFGHAASNGAILEALEGEAKLHIIDISNTYCTQWPTLLEALATRNDDSPHLRLTVVATAGVGGFVMDEIGQRMGRFARLMGVPFEFHVIRDLSRLDELREEELGLREGEAVAVNCIGALRRVGVEQRQAFIRMLRTLRPRVVTVVEEEADFTSCSGEFAVCFDECLRFYTTYLETLEESFPPTSNERLALERECSRSILRVLACDGDRVGDCERREKGSQWCRRLIEAFSSNSFSDDVVDDLKALLKRYRAGWSLMPAEGDASGLYLTWKQQPVVWACAWKP >Ma10_p18760.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29560270:29565091:1 gene:Ma10_g18760 transcript:Ma10_t18760.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVQLDRLIKPETVDATRENGHPESNPSAPSFSQVISGFDRDKEDHKVADEGEPLIQTVECRICQEEDQIKNLETPCACSGSLKYAHRTCVQRWCNEKGDIICEICHESYKPGYTVPPRVNPEETTIDISGGWTITGTPLDLHDPRLLAMAAAQRHLLEAEYDEYAATNASGAAFFRSAALILMALLLLRHALAITNGDDEDDASTIFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFILQTGQGRGLHFTIAPESPTTPQQEPQE >Ma10_p18760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29560270:29565091:1 gene:Ma10_g18760 transcript:Ma10_t18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVQLDRLIKPETVDATRENGHPESNPSAPSFSQVISGFDRDKEDHKVADEGEPLIQTVECRICQEEDQIKNLETPCACSGSLKYAHRTCVQRWCNEKGDIICEICHESYKPGYTVPPRVNPEETTIDISGGWTITGTPLDLHDPRLLAMAAAQRHLLEAEYDEYAATNASGAAFFRSAALILMALLLLRHALAITNGDDEDDASTIFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFILQTGQGRGLHFTIAPESPTTPQQEPQE >Ma10_p18760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29560270:29565091:1 gene:Ma10_g18760 transcript:Ma10_t18760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVQLDRLIKPETVDATRENGHPESNPSAPSFSQVISGFDRDKEDHKVADEGEPLIQTVECRICQEEDQIKNLETPCACSGSLKYAHRTCVQRWCNEKGDIICEICHESYKPGYTVPPRVNPEETTIDISGGWTITGTPLDLHDPRLLAMAAAQRHLLEAEYDEYAATNASGAAFFRSAALILMALLLLRHALAITNGDDEDDASTIFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFILQTGQGRGLHFTIAPESPTTPQQEPQE >Ma10_p18760.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29560270:29565091:1 gene:Ma10_g18760 transcript:Ma10_t18760.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVQLDRLIKPETVDATRENGHPESNPSAPSFSQVISGFDRDKEDHKVADEGEPLIQTVECRICQEEDQIKNLETPCACSGSLKYAHRTCVQRWCNEKGDIICEICHESYKPGYTVPPRVNPEETTIDISGGWTITGTPLDLHDPRLLAMAAAQRHLLEAEYDEYAATNASGAAFFRSAALILMALLLLRHALAITNGDDEDDASTIFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFILQTGQGRGLHFTIAPESPTTPQQEPQE >Ma10_p18760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29560270:29565091:1 gene:Ma10_g18760 transcript:Ma10_t18760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVQLDRLIKPETVDATRENGHPESNPSAPSFSQVISGFDRDKEDHKVADEGEPLIQTVECRICQEEDQIKNLETPCACSGSLKYAHRTCVQRWCNEKGDIICEICHESYKPGYTVPPRVNPEETTIDISGGWTITGTPLDLHDPRLLAMAAAQRHLLEAEYDEYAATNASGAAFFRSAALILMALLLLRHALAITNGDDEDDASTIFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFILQTGQGRGLHFTIAPESPTTPQQEPQE >Ma10_p18760.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29560270:29565091:1 gene:Ma10_g18760 transcript:Ma10_t18760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVQLDRLIKPETVDATRENGHPESNPSAPSFSQVISGFDRDKEDHKVADEGEPLIQTVECRICQEEDQIKNLETPCACSGSLKYAHRTCVQRWCNEKGDIICEICHESYKPGYTVPPRVNPEETTIDISGGWTITGTPLDLHDPRLLAMAAAQRHLLEAEYDEYAATNASGAAFFRSAALILMALLLLRHALAITNGDDEDDASTIFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFILQTGQGRGLHFTIAPESPTTPQQEPQE >Ma10_p18760.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29560270:29565091:1 gene:Ma10_g18760 transcript:Ma10_t18760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVQLDRLIKPETVDATRENGHPESNPSAPSFSQVISGFDRDKEDHKVADEGEPLIQTVECRICQEEDQIKNLETPCACSGSLKYAHRTCVQRWCNEKGDIICEICHESYKPGYTVPPRVNPEETTIDISGGWTITGTPLDLHDPRLLAMAAAQRHLLEAEYDEYAATNASGAAFFRSAALILMALLLLRHALAITNGDDEDDASTIFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFILQTGQGRGLHFTIAPESPTTPQQEPQE >Ma10_p18760.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29560270:29565091:1 gene:Ma10_g18760 transcript:Ma10_t18760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVQLDRLIKPETVDATRENGHPESNPSAPSFSQVISGFDRDKEDHKVADEGEPLIQTVECRICQEEDQIKNLETPCACSGSLKYAHRTCVQRWCNEKGDIICEICHESYKPGYTVPPRVNPEETTIDISGGWTITGTPLDLHDPRLLAMAAAQRHLLEAEYDEYAATNASGAAFFRSAALILMALLLLRHALAITNGDDEDDASTIFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFILQTGQGRGLHFTIAPESPTTPQQEPQE >Ma10_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29560270:29565091:1 gene:Ma10_g18760 transcript:Ma10_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVQLDRLIKPETVDATRENGHPESNPSAPSFSQVISGFDRDKEDHKVADEGEPLIQTVECRICQEEDQIKNLETPCACSGSLKYAHRTCVQRWCNEKGDIICEICHESYKPGYTVPPRVNPEETTIDISGGWTITGTPLDLHDPRLLAMAAAQRHLLEAEYDEYAATNASGAAFFRSAALILMALLLLRHALAITNGDDEDDASTIFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFILQTGQGRGLHFTIAPESPTTPQQEPQE >Ma05_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2275854:2279406:-1 gene:Ma05_g03190 transcript:Ma05_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWSTGGVGGFVDTELSKKTSIFGLRLWVLLGVCVGAAIVLVLFLFSLCLSSRRRSSPALPSSASAPAAAAGRIKKPLRLHLRDSTPPVSKDIPEIPFHSLAAPLAPAIDVRVDLGKENRRAALADHFRPPPAPPSASTTGTASGETAGTPSSTGGGGPPEVSPLGWGRWYTLRELEEATGGLAEENVIGEGGYGIVYLGKLADNTLVAIKNMLNSRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYLDNGNLDQWLHGDIGEVSPLSWDIRMKIILGTAKGLAYLHEGLEPRIVHRDVKSSNILLDRQWNPKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIISGRSPVDYSRPSGEVTLVEWLKTMVGDKKSEQVVDPRMPEKPCPKALKRMILVSLHCVDPDAQKRPKMGHVIHMLEMDDLLARDDRKLGRRASPALLSDRRNTRGEENSSNHLHRSR >Ma05_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6330313:6345449:1 gene:Ma05_g08590 transcript:Ma05_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGQTQQNARLQRGVIAGSARFDVVRLLLAFYLLPFYYSKNRLLGMSQTHSREHPSFNNMDLWQQQLMYNKMLELQRHQQCQQLDQEARQQSLLSHLSVSAKSAAHLPRVMNEVSANDTSNNMWPSNSVRGMSMMPNNSQMLFSGNMNCTQASVSLAMCDLSNGHILPDNHSQALRSMGFMPQQLDQSLHGMPASGNRPFANQDPFVNQCSQLFGMSNDGTNLMPKAACFQTEKVSDPSNNFQTDHCFPEQDYSQDTISVTTNNFQGEGVLGNDTMQIFSSDVTSGNYQQVNHMQDSLQIQEFPGGQVQNVWSGNLQEKPPVHVGTSTGVSSLDPTEEKILFGTNDDDNWGASNGGSFNSFIGGYPHGHPSQSEYLGAFPSIQSGSWSALMQEAVQASSSDQVVHEEWSGLNFQKAEQPMLMHSNVFDGTVRQLAAWNESSLQSASFSTSRLPSQTYDAGGDPNLNTVPNFQHTFKSSHGNNNGVPAKVPIVSFQLSTEDKIQFLQNQEQKQYLESGLQLQMPVTNRIWTEHTYDPTENNSADAQFKSQNIAGVWNQQENIYFSTANRQHSYGPNGSSTSSLVAPDGDGTSLIHGTDDNVWKLGASHVNPNCEIQPLKSNLANSHVQTEGSLNDNSRFATTSNVLNLTQEMNQQGIDRYQVVSEKKIIHDICGNSQGNENMGSSQNQPSRKLQTWETSINSTNKRLANTYDRKNEHLDFFSGKGYSSSQLNHGQDTNYIVAAEENPALIDCQRSFIGSQKHSTLSGEKSERCFIPPSHPPKFQGLADVVFQGVKSAATKYVGNSQFENHDVLNKPMDVVKRIANGAKELQPRDSIHASNVSFDVIAAQSFENKRISQFSQNMFELLHKVDQSRDDNEIITPVVPAQAAAVVTASRPHFSQPSTLHGFGLHFSTPSQSVPLPNFEVSPQTINYRQPLGKEAGDQDQLLSTPTVGSLPHESYQVENWINTSNVSGQEHKGTSGLDQKKMLPVIPSDFPYVGDQLQGKQEQCPPGIKYLLEQQQDKLVMNSGGHEALDETISNYLGNQANASILVRNSMLLREPPVAHNGDAADQSVQISLPILAGTVPPSLAVPTSDTHESAYPQEMTHTKATGAVSSLVKSSGQHSPVVETRSGSLSNISGMSQQAGFSKMLHHVWANLSAQQRLAGLQPHRVASNILQSIINHGRDASSWGLQLADSRGNQEENAPTEVGSSFINSQDRDHQTIGNSFKPKHAENADGALSATTPQGLEPISSFFCRDSNVSIPSLVQHHQDIDKEKSGESSGFHPQVVHSPVTNTAPCRGDVCFPAHISVPSDSQQQNYSLLHQMQAIKDADSDSGMTLGKRLKGAGISSNASNMEQSAGQIFVHRQNELFRVPADGKQSSFPSDVKTLSFALKDNKRHTQISSVAEHHDLHDHMHSPDTSSSASLISGNEHYRSSPQMTLSLFEKYMTYKNDMAIAKHDRDHFCGSMESSPIVEQITDSSKFGSMKQSTLASAMAANESSAFSLPSTVMDHSVGLRSKKRKSATSKLLPWHKEISQGLRRLQSTSITELAWAQAVNRLIEKVADDAESIEDGPSNFQPRRRLILTTQMIQQLLPAVPPAIINGEATLAYERSTYYVAKSTLADACNQISSFERDACADVNLAINKVKSFELRDHIFSKIVEEFNGRSKKLESEFSRLYKKGSTLDVRLECQELERFSIVNRLVKFHGRSQTNGVGGSSASEAAPRRTLPQRYVTAHSKPENFPDAALCISL >Ma04_p38430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35973868:35979680:-1 gene:Ma04_g38430 transcript:Ma04_t38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MRILCPSCQLSSLISAFGSKLPSAFCNPISRLAVDSIDVGDVAQREGVSSSPSPSHIDEDLVGRCVAILGTCHDPLDLRKGRQVHAQIVVRGVRDSLLGGRILGMYVLCRSFMDAKDVFFSLEKGSRLPWNWMIRGFTMMGCYELALLFYFKMWFRGAYPDKYTFTYAIKSAGCLSAVGLGRLIHGTIRLMGLETDVFTGSSLIKMYAENDDIEEAREVFDQMPDRDCVLWNVMIDGYVRNHDSRNAILLFNSMRMTDAKPNYVSFACVLSVCASEGMLDYGMQLHGLAIKFGFDLEASVANTLLALYSKCRCLVDVQKLFGLMPQTDLVTWNGMISGYVQSGLVGEALELFYQMQVAGFKPDSITLASFLPAFSGSASLKQGKEIHAYIIRNNVSMDAFLKSALIDIYFKCKDALMAKKVFGATGTMDVVICSAMISGYVLNGMSGDALDMFHQLLKAQLKPNPITLASVLPACSCLAALSLGKELHGYILKNAYEGMCYVASALIDMYTKCGRLDLGHQIFTRMPIRDAVAWNSMIASFAQNGQPEEAMSLLHQMGMEGMKYDCVTISSALSACANLPALHYGKAIHGFMMKRDIRSDLFAESALIDMYAKCGNLDLARRVFDSMTEKNEVSWNSVIAAYGAHGLIKDAMDLFRQMQEAGFVPDHITFLALISACGHAGQVDEGFQLFHSMHEQYGIAARMEHYACMVDLYGRSGQLNKALKLIKSMPFKPDAGIWGTVLGACRIHGNVEIAELASKQLFDLDPENSGYYVLLSNIHAVAGRWKGVLKARSLMKERRVQKVPGYSWIVVNNITHMFVAADKSHPNSECIYFILKSLLLVLREEGYVPKPDIIFPLQMDASEQATVVSPDASDQ >Ma11_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25215752:25219094:1 gene:Ma11_g20810 transcript:Ma11_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNANAEEAVMPRSVAVTVAHELLTAGHRYLDVRTVKEFSGGHAVEGCLSGKRSLMAASELSNAGFTGITDVAGGFSAWVENGLPTEQKEPVRQSTCFL >Ma02_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24143640:24146984:-1 gene:Ma02_g17080 transcript:Ma02_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTKKTPIKFARRTSSGRVVSLSRDDDIDLGVSGEFTSASSGGPNDYMNYTVLMPPTPDNQPYAAPSGSSGSRPDDLPPPAYGTTTRRVGRRGGGQGEEGGGGGGAGEDAAGKLDRRMSVMKSNKSMLMRSQTGDFDHNRWLFETKGTYGIGNAFWPKDEVEYDDDGVAMSMSDFLEKPWKPLTRKVKISPGILSPYRLLVLIRLVFLSLFLTWRVRNPNQDAMWLWGLSIVCEIWFAFSWLLDQMPKLNPINRAVDLVALREKFESPTPDNPLGRSDLPGLDVLISTADPEKEPPLVTANTILSILATEYPVEKLFVYISDDGAAVLTFEAMSEAAAFARVWVPFCRKHNIEPRNPDSYFAIKGDPTRNKKCPDFVKDRRWIKREYDEFKVRINGLPDAIRRRSAALNAREEMRERKLARERSGGDSNASKASEAKPAVKATWMADATHWPGTWAVPSPDHSKGDHAGIIQVMIKNPHHEPLYGAPGDHPYLDFTGIDTRLPMFVYVSREKRPGYDHNKKAGAMNAMVRASAILSNGPFILNFDCDHYIYNSLAIREGMCYMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFNPPRANEYLGLYGQHKRPASTRGPPPNVGGMDEADPTASPEAMHPDLCTPVKFGNSKMFTDSIAVAEFQGRPLADHPGIKNGRPPGALLTPRPPLDAPTVAEAVSVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLACSRLKFLQRIAYLNWSKLLGGLFFSFWVLAHMYPFAKGLMGRRGRTPTIVYVWAGLLAIVISLLWISISPPDSNSTTSGGIEV >Ma04_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25294064:25298278:-1 gene:Ma04_g23120 transcript:Ma04_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVLPRPVTFVTGNTKKLEEVRAILGHSIPFRSLKLDLPELQGEPEEISKEKARLAAASVNGPVLVEDTCLCFNALNGLPGPYIKWFLLKIGHEGLNNILNAYEDKSAYAMCIFSLSLGPNLEPVTFLGKTQGKIVPPRGPTDFGWDPIFQPDGYEQTYAEMPKEEKNKISHRSKALALVKSHFAAADYIFQTDGSH >Ma01_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7383702:7384927:-1 gene:Ma01_g10240 transcript:Ma01_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSVLLASTGGRSLSSTMEPLLQAQIHRLRPTRFSDLILPRTPRRHLPATSSSSFFPTLALFKAKTKAPPAKVETKKPKVEDGIFGTSGGIGFTKQNELFVGRVAMLGFAASLLGEGITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGQFVDDSPTGLGKAVIPPGKGFRAALGLEEGGPLFGFTKANELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPVSELEPLVLFNVAFFFFAALNPGTGKFVTDEVEE >Ma08_p17720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26925240:26938517:1 gene:Ma08_g17720 transcript:Ma08_t17720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRNAAAADRRAAEESKTTAEASSSSATSPRKRTKSPGVRVIGGRIYDSENGKTCHQCRQKTMDFAASCKQLRGDKPCPIKFCHKCLLNRYGENAEEAAVLENWSCPKCRGVCNCSFCMKKKGQQPTGILIHAAKATGFSSVHELLDNKGSDVLSAANGLRSLSACVPPTCTKVTPKRSRDKEKDHDEQKDVRCSSIDDEKDEVPAQKQKKRRLKKLRSLNESDGGNVIELCNGNARLKNAKARTKGAKKVSAISSKIGMQLNNDEHVPNNCGDNEHEDLQHVVMLFKQLHDDMNNCINKDEIKVSDKGKKNNVHNKTLCKGQRFQKHGIKNSNSDEAEVLVDMPNKNVKAKLPPKKHKSRKPGAKVPFEHDNISIVVPQGLPLIKVSGYDWAAEDVGAALQFLEFCNAFSEVLDIKKGEPECVLRELARGRVGRRGVYSSILQFHIKLLSFIQKDLGDGSISYSTSGEKWLQSLVDCLNESDCALEIPSKCLNKGPLTHNSLDLSEKLRLLNLLCDRTLGTEEVRNWIDEENKKYIERNKELKETIIAAKRKGKDLKKKLKDDVAKAMLFLREGPPLSVAEHENLVSQISAETEKAHAEMLEIMELLPKNNMRCDAVRTQPVFLEGKGYVYWKLAGCCNNPKIILQDIGSWDSVILEDKWFAYDEKEEKAVDRHISSVRNLSRRIHGRFVKQESHFGHGVGCDSGEELTSSNCSA >Ma08_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26925240:26938517:1 gene:Ma08_g17720 transcript:Ma08_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRNAAAADRRAAEESKTTAEASSSSATSPRKRTKSPGVRVIGGRIYDSENGKTCHQCRQKTMDFAASCKQLRGDKPCPIKFCHKCLLNRYGENAEEAAVLENWSCPKCRGVCNCSFCMKKKGQQPTGILIHAAKATGFSSVHELLDNKGSDVLSAANGLRSLSACVPPTCTKVTPKRSRDKEKDHDEQKDVRCSSIDDEKDEVPAQKQKKRRLKKLRSLNESDGGNVIELCNGNARLKNAKARTKGAKKVSAISSKIGMQLNNDEHVPNNCGDNEHEDLQHVVMLFKQLHDDMNNCINKDEIKVSDKGKKNNVHNKTLCKGQRFQKHGIKNSNSDEAEVLVDMPNKNVKAKLPPKKHKSRKPGAKVPFEHDNISIVVPQGLPLIKVSGYDWAAEDVGAALQFLEFCNAFSEVLDIKKGEPECVLRELARGRVGRRGVYSSILQFHIKLLSFIQKDLGDGSISYSTSGEKWLQSLVDCLNESDCALEIPSKCLNKGPLTHNSLDLSEKLRLLNLLCDRTLGTEEVRNWIDEENKKYIERNKELKETIIAAKRKGKDLKKKLKDDVAKAMLFLREGPPLSVAEHENLVSQISAETEKAHAEMLEIMELLPKNSDNMRCDAVRTQPVFLEGKGYVYWKLAGCCNNPKIILQDIGSWDSVILEDKWFAYDEKEEKAVDRHISSVRNLSRRIHGRFVKQESHFGHGVGCDSGEELTSSNCSA >Ma03_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23815496:23818745:-1 gene:Ma03_g18250 transcript:Ma03_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g08490 [Source:Projected from Arabidopsis thaliana (AT5G08490) UniProtKB/Swiss-Prot;Acc:Q9FNN9] MVPIWTRKVAIRPSSKPVVTFFSSDPNPSARPLPTSIVVRSLSAEPLASCHGFGMPRERSPVKTARYLLDEMPVPDFRTCNQRIRAHTSGRDYQASLSVFVRMLGARLRPDGFALAAVVKSAAALRVAGHARAVHGFAVKAGFAGIVAVQKAMIDMYAGFGALRDACQVFEEVGHRDSVTWNVLLTGYARTGLSEDAMRLFHSMHGCGVEGVKPTAVTIAVILPVIAKLNVLKTGQGVHAYVIKIGLDTDTLVGNALVSMYAKCGSIIDDAHKVFSLISSKDTVSWNSLIGGYSQCGLFAEAFRLFSRMVSMNFLPNDTTLVTVLPICAFTEGGWHRGNEFHCYILRHGLDVQLSICNSLLTHYSKVGDMKRAEYIFGRLDSWDLVTWNTMIAGYAINGWTSKALDLLQQLLIGSTKPDSVTFLSILPVCAQLYDVEGGRKIHGYVIRQNLLCQETSLGNAIVDFYGRCGDIVDALQTFRGINKKDIISWNTMLSACINNEQLEKFADLLTQMICEGIQPDSVTILSVLRASTTYGIRKVREAHAYSFRAGFVSHITVGNAILDAYAKCGSTEGAHRTFLNLTGRNVITGNTMISGYLKHGRSEDAEMVFGQMCEKDLTTWNLMVQAYAQNDCSDLAFTLFHQLQSEGMRPDALSIMSILPACARLASPCLVRQCHGYVIRTSLYDIHLEGALLDSYSKCGSLDDAYKLFQASPKKDLVTFTAMLGGYAMHGLAEEAIRVFSDMLEAHVKPDHVIMTAVLSACSHAGLIDVGWRLFKSTIEIHGIRPTMEHYACMVDLLARRGQLIEAYEFILDMPCEANANVWGTLLGACKIHKEVEIGRMVADHLFDAEAENIGNYVVMSNIYAADGRWEGVEQVRRLMKTRDLKKPAGCSWLEVSMKRHVFVAGDLSHPQRTFIYSTLRTLDQLMKEPMERICIRAN >Ma03_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28239695:28242921:1 gene:Ma03_g23760 transcript:Ma03_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMRSALRVIPCLARSSVAVAKEVAAPRSSRFFSDGKGRVLSEEERAAETIYIQKMEKERLEKLKKQQEKEKAEAEKVQWCSF >Ma03_p23760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28239695:28242974:1 gene:Ma03_g23760 transcript:Ma03_t23760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMRSALRVIPCLARSSVAVAKEVAAPRSSRFFSDGKGRVLSEEERAAETIYIQKMEKERLEKLKKQQEKEKAEAEKKPDNKS >Ma05_p06660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4911501:4915113:1 gene:Ma05_g06660 transcript:Ma05_t06660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVNNASDAAFATAVAAAAYAITSLEEEDPLNQKRPPAKIKSKREDSMNKPTDNILSRWLTGYDEKQSVKKPVGNKEKMLDESGFDRKLSGKEKETNLITKRTPTISDKYPDDTGSIRSENGQNQKRRQASSTIKPTASLSGKGNGVEKTTGYDTVETKANAWEREKMDEIKKRYERMMNEIREWENQKKVKAKHRLDRKERYVERRKEKALQEYRYKIERIDRVSVDARNLAEEKRKNDETKTQEKARMMRSKGNGPHTCLCF >Ma05_p06660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4911501:4915113:1 gene:Ma05_g06660 transcript:Ma05_t06660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVNNASDAAFATAVAAAAYAITSLEEEDPLNQKRPPAKIKSKREDSMNKPTDNILSRWLTGYDEKQSDHSPVKKPVGNKEKMLDESGFDRKLSGKEKETNLITKRTPTISDKYPDDTGSIRSENGQNQKRRQASSTIKPTASLSGKGNGVEKTTGYDTVETKANAWEREKMDEIKKRYERMMNEIREWENQKKVKAKHRLDRKERYVERRKEKALQEYRYKIERIDRVSVDARNLAEEKRKNDETKTQEKARMMRSKGNGPHTCLCF >Ma05_p06660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4911502:4915113:1 gene:Ma05_g06660 transcript:Ma05_t06660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVNNASDAAFATAVAAAAYAITSLEEEDPLNQKRPPAKIKSKREDSMNKPTDNILSRWLTGYDEKQSGSIRSENGQNQKRRQASSTIKPTASLSGKGNGVEKTTGYDTVETKANAWEREKMDEIKKRYERMMNEIREWENQKKVKAKHRLDRKERYVERRKEKALQEYRYKIERIDRVSVDARNLAEEKRKNDETKTQEKARMMRSKGNGPHTCLCF >Ma05_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4911502:4915113:1 gene:Ma05_g06660 transcript:Ma05_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVNNASDAAFATAVAAAAYAITSLEEEDPLNQKRPPAKIKSKREDSMNKPTDNILSRWLTGYDEKQSDDTGSIRSENGQNQKRRQASSTIKPTASLSGKGNGVEKTTGYDTVETKANAWEREKMDEIKKRYERMMNEIREWENQKKVKAKHRLDRKERYVERRKEKALQEYRYKIERIDRVSVDARNLAEEKRKNDETKTQEKARMMRSKGNGPHTCLCF >Ma02_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7655556:7660390:-1 gene:Ma02_g00980 transcript:Ma02_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDLFAEVKPIWVKSKQFYGVPYIWKVLCFSKCWCMLHNFAGNIEMYGILDAIALGPIEACTSENSTMVGVGMSMEGIEQNPVVYDLMSEMAFHHKPVDVKIWVDLYATRRYGRSVPTLQDAWQILYHTLYNCTDGAYKTNHSECSLQKMIPFFVNYGGYNLGLQKESSNTNNSSSIILSIANRSHIDTITPNKSKDEDIVKACHHRNQRDFTIDEERRRGDGEEEEEEEENGHSYEKQLGQRWCLKEVLRAKRPKRENFMIKGIRNLKFACDWIAQLDHSPN >Ma11_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5133609:5133791:1 gene:Ma11_g06350 transcript:Ma11_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAWVITLALITQIQNAAVVHYNGNMKPWLEMAMTKYRTYWTKYIMYDHPYITGCKLSE >Ma11_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22518203:22520969:1 gene:Ma11_g17130 transcript:Ma11_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSFSCAAASSPVHSVKGKACRWRRHISACHLTANSHPFKSLHGCFASPSSLQDHQVHSEADYSAGKIEELKIRVGFTLRTDIHSTRSMVLVDTIQRLGISHQFEDELELILDRNPSAAQGDEDDLFSTTLRFRLLRQRGYNVDTDVFHEFMDRKGHFKESLSKDLAGLLSLHEASYLGVRDEEVLSQAMGFSEEHLRRSMLNLRPVRAREVNLALEFPRQRRMVRSEARSYIGKYAQESGRISDVLQLATLDFNLVQSQLRIEIAVLRSWWKELGLAEKLSFARDRPLECFLWTVGLFPEPRFSQCRIEIAKTIAILLVIDDVYDIQGSLDELILFTDAVRRWGVEAMEDLPEYMKICYMALYNTTNEIGYRVLKEHGRCIIRELRKTWVDLCEGFLVEARWFSGGVVPEMEEYVGNGVSTAGTYMAFVHAFYLIGSGVNKQSSDVVNSCPKLFTSAGRILRLWDDLGTAKVEQERGDVASSIDCYMKEGDGASEAESRLHVRSLIHSSWLDLNGEALAATSLPRSTVDAALNLARAAQAMYQHGDDGRLPSVDQHIHWLLMEPIPEDNHISEEARVGRTSEFTVHE >Ma10_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29255463:29257144:-1 gene:Ma10_g18240 transcript:Ma10_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKLQFVGSCQNKQDEERLQKLKERCRELNLDNFVEFRRDAMYRDLVQLLGSAVAGLHSMTDEHFGISVVEYMASGVIPIAHNSAGPKMDIGLNEGGRQTGFLASNKEEYAEAILMVIKMPEAEILAIAAAARKHAQRCSEQKFFEDFQGCSSAYPMILYSYPMITFSMHAEHGKENYYLENNTDF >Ma10_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8028634:8029803:-1 gene:Ma10_g02590 transcript:Ma10_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCRLPLLSRPQLMATLPWTPATAAVHQYLTAALSHRGAAALPYTEEARWTIHQHLAALSHAFPTLRPTAGHFTHNDGRGATLLRAEGTIPLATSSSACLSISIWLLEAYPNLPPAVFLSFPPGTAVKPRHPLVDPSGSVSVPYLRSWIFPYYNLVDLVRSLGQLFSQDPPFAISPSAIHDAKARETAKVVEAVQRHLAAAGKSHTAEMEALLDTQTQLKRRKAEIARGLTRLEEEKELLEQQLQMLIMNCDVMESWVAKNHRRPTASLDGVFEPSNAHSRPAMECVAADMAAEDVMYALDEALREGCVPLDVYLKSVRTTSREQFFHRAISAKLAPPQFELEN >Ma06_p31480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32605913:32609874:1 gene:Ma06_g31480 transcript:Ma06_t31480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRIIKRGGPKLPKTDANETPAVVVGHVSRPAAGCDTSSVPSIEALPLFRDVPAGERQSLFIRKLRLCAVVFDFSDMITLRCAREREVKRRYLAELIDFVQSSSDRLAEPVQEELVRTIATNIFRCLPPAAHENTGSEAAAAAAAADPEEENIFLDPAWPHLQLVYELLLRYVVSSDTDAKVAKRFIDHAFVLRVLDLFDSGDPREREYLKTILHRIYGKFMVHRPFIRKAISNIFYRFIFETEQHSGIGELLDILGSIINGFALPMKEEHKLFLVRVLIPLHKTKPAGVYHHQLAYCTTQFVEKDCKLAYTVIRGLLKYWPVTNCQKELLFLGELEEVLETTQPTEFQQCMVPLFKQISRCLNSSHFQVAERALYLWNNDHIVSLISQNCSIILPVIFEALEKNMQSHWNQAIHGLTANVRKMFQDMDGDLFEDCRQQYIERVASAKTLEEQRELAWRQLEAVAAAKAAGEAIILVN >Ma06_p31480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32605913:32609666:1 gene:Ma06_g31480 transcript:Ma06_t31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRIIKRGGPKLPKTDANETPAVVVGHVSRPAAGCDTSSVPSIEALPLFRDVPAGERQSLFIRKLRLCAVVFDFSDMITLRCAREREVKRRYLAELIDFVQSSSDRLAEPVQEELVRTIATNIFRCLPPAAHENTGSEAAAAAAAADPEEENIFLDPAWPHLQLVYELLLRYVVSSDTDAKVAKRFIDHAFVLRVLDLFDSGDPREREYLKTILHRIYGKFMVHRPFIRKAISNIFYRFIFETEQHSGIGELLDILGSIINGFALPMKEEHKLFLVRVLIPLHKTKPAGVYHHQLAYCTTQFVEKDCKLAYTVIRGLLKYWPVTNCQKELLFLGELEEVLETTQPTEFQQCMVPLFKQISRCLNSSHFQILKLRMANRLLNGLSIFGTTITSSA >Ma11_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26031632:26033322:1 gene:Ma11_g22170 transcript:Ma11_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPKGWPEQVVRVQALSDSGLMTIPDLYVKPPAERPSVGNGGDVTSDIPVIELGGLAEGAAECRATIRAVGDACSEWGFFQVVNHGVSPDLVARVREVWRAFFHLPMEEKQAYANDPKTYEGYGSRVGVEKGAILDWGDYFFLHLLPESIKNQNKWPALPSSCRETVQEYADELAKLCGTLMKALSISLGLDVEQLQTAFGGDAVGACLRVNYYPRCPQPELTLGLSAHSDPGGLTILLADDCVNGLQVRRGDDWVTVQPIPGAFIVNVGDQIQVLSNAVYRSVEHRVVVNAAQERLSLAFFYNPRSDVAIAPVSKLVTPERPQLYRPMTFDEYRLYIRKKGPKGKSQVESLKAQSVN >Ma03_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25544667:25554815:-1 gene:Ma03_g20380 transcript:Ma03_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTRCSPSLALLLVHGLSVSVLLASADPPGFLSLSCGGSTSFVDSGNNISWVPDGPYVTAGNATAANFVQGGSTSQLPLRFFADSGPGSRACFRLPVGNLPLVLVRPRFVYGNYDGMHRPPVFAVSLGRAIAGFVNLSQADPWVEEFVWRREKGAALPFCLHSVAGGGSPVISSLEVRPLPEGAYGTRLQEFGDNLLRKRFRINCGYVDDEPLRYPLDYHDRLWDADEGFSPSHLAAAFHIPVSFDLTGIKENPPASVLQTARVLARKTALTYNFPLDKLGDYYVALYFAGIMPVSSSFDVLINGDVVHSDYTVEHGKVGSIFFMGKKIESLNITFRNNSFYPQVNGIEIYEVLEVPVECSTTTVSALQVIRQSTGFDFGWEDDPCSPKPWMHVGCDGNLVTSLKLSDMDLGAITPTFGDLLDLKILDLHNTSIAGEITNLGTLQKLEILNLSFNKLTSFGSDFNTMVSLQILDLHNNSLEGAVPDGLGSLNYLHLLNLENNRLHGVLPQSLKKERLEVRTSGNLCLSFSSMCNNFSNHPSIPTPQVTVFDMERPLHSNRKTVIIAIATIGSVCFAILLILLAMIVQRRRRKEDDVISRTSREMQRWSAARIFSYKEIKAATNNFRDVIGSGGFGSVYLGKLSDGKLVAVKVRLDKTQLGADSFFNEVHLLSQVRHQNLVSLEGFCHESKQQILVYEYLPGGSLADNLYGSNSKRATLNWARRLKIAVDAAKGLDYLHNGSNPRIIHRDVKCSNILLDAEINAKVSDFGLSKQVLQVDATHVTTAVKGTAGYLDPEYYATQQLTEKSDVYSFGVVLLEMICGREPLSHVGPPDTYNLVLWAKPYLQADSFEIVDENIKGAFNPESMKKAASVAIRCVERDASHRPTIAEVLAELKEAYSIQLTSMSSEAT >Ma03_p20380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25546806:25554815:-1 gene:Ma03_g20380 transcript:Ma03_t20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTRCSPSLALLLVHGLSVSVLLASADPPGFLSLSCGGSTSFVDSGNNISWVPDGPYVTAGNATAANFVQGGSTSQLPLRFFADSGPGSRACFRLPVGNLPLVLVRPRFVYGNYDGMHRPPVFAVSLGRAIAGFVNLSQADPWVEEFVWRREKGAALPFCLHSVAGGGSPVISSLEVRPLPEGAYGTRLQEFGDNLLRKRFRINCGYVDDEPLRYPLDYHDRLWDADEGFSPSHLAAAFHIPVSFDLTGIKENPPASVLQTARVLARKTALTYNFPLDKLGDYYVALYFAGIMPVSSSFDVLINGDVVHSDYTVEHGKVGSIFFMGKKIESLNITFRNNSFYPQVNGIEIYEVLEVPVECSTTTVSALQVIRQSTGFDFGWEDDPCSPKPWMHVGCDGNLVTSLKLSDMDLGAITPTFGDLLDLKILDLHNTSIAGEITNLGTLQKLEILNLSFNKLTSFGSDFNTMVSLQILDLHNNSLEGAVPDGLGSLNYLHLLNLENNRLHGVLPQSLKKERLEVRTSGNLCLSFSSMCNNFSNHPSIPTPQVTVFDMERPLHSNRKTVIIAIATIGSVCFAILLILLAMIVQRRRRKEDDVISRTSREMQRWSAARIFSYKEIKAATNNFRDVIGSGGFGSVYLGKLSDGKLVAVKVRLDKTQLGADSFFNEVHLLSQVRHQNLVSLEGFCHESKQQILVYEYLPGGSLADNLYGSNSKRATLNWARRLKIAVDAAKGLDYLHNGSNPRIIHRDVKCSNILLDAEINAKVSDFGLSKQVLQVDATHVTTAVKGTAGYLDPDN >Ma05_p29370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40069524:40076921:1 gene:Ma05_g29370 transcript:Ma05_t29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIHCTIGNITLVPRIVFSPRKEIHLTRCDTSEKDTRLKSSQRFLLPHSSLRLIQLHTSRFCQGIRTVADVGTDLTVEEPNPTVSGNVADGSSEAPSSSDESSEPGPPNPTTTSSSKTKRTRPVRKSEMPPVKDEEIVTGASFIGKVRSIQPFGCFVDFGAYTDGLVHVSRMSDSYVKDVAAVVSIGQEVKVRIVEANKETRRISLTMRDTDDTAKIQQKKESTYESSEKPRPVRKNTSRSNQKREEKQKSSKFVKGQILDGTVKNLTRSGAFVSLPDGEEGFLPVAEESEGFGGILGSSSLQVGQEVNVRVLRINRGQVTLTMKKEEDVEGLNMKLNKGVLHVATNPFELAFRKNKEIASFLDERERTQKSLETMEQTVGEVDEILESSNTSVVDNSASSDDTQLIDSSDSTTEADNEKSVAEVLHEETLPVDPVSNNLENTTEELSQIADIVAQEDEKSSKILNQSSQDSIPVVIPAKDNIEESSNSVEEENITSEIVSEGGESSANNSLNPAVDEASLTNAGKEVTSNIQASKEIDGVLTANSSVEAEASVIGVKETDVTTETLEQDKQSLETPSSEEKEDLVDSVQVEDSPGELETKNDAGILNDQTLSTEAVDSVVISSIQLDSTVATEDVAQKSTILAENETVAAKLHDAKAVAGGNLSEQTGPSDIGSSIKATISPALVKQLREETGAGMMDCKKALAETEGDIVKAQEFLRKKGLASADKKASRATAEGRIGSYIHDSRIGVLMEVNCETDFVSRGDIFKDLVDDLSMQVAACPQVRYLVTEDVPEEIVKKEREIEMQKEDLLTKPENIRSKIVDGRIKKRLEEFALLEQPYIKNDKMVVKDLVKQTIATLGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKSSPAVPKDQPAETKEAIEKPKTVAISAALVKQLREETGAGMMDCKKALAESGGDLEKAQEYLRKKGLSSADKKSSRLAAEGRISSYIHDSRIGTLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVEFVSIEDIPESIVTKEKDIEMQREDLKSKPDQIKEKIVEGRITKRLGELALLEQPFIKDDSIKVKDLVKQTVAALGENIKVRRFVRFTLGES >Ma05_p29370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40069643:40076921:1 gene:Ma05_g29370 transcript:Ma05_t29370.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIHCTIGNITLVPRIVFSPRKEIHLTRCDTSEKDTRLKSSQRFLLPHSSLRLIQLHTSRFCQGIRTVADVGTDLTVEEPNPTVSGNVADGSSEAPSSSDESSEPGPPNPTTTSSSKTKRTRPVRKSEMPPVKDEEIVTGASFIGKVRSIQPFGCFVDFGAYTDGLVHVSRMSDSYVKDVAAVVSIGQEVKVRIVEANKETRRISLTMRDTDDTAKIQQKKESTYESSEKPRPVRKNTSRSNQKREEKQKSSKFVKGQILDGTVKNLTRSGAFVSLPDGEEGFLPVAEESEGFGGILGSSSLQVGQEVNVRVLRINRGQVTLTMKKEEDVEGLNMKLNKGVLHVATNPFELAFRKNKEIASFLDERERTQKSLETMEQTVGEVDEILESSNTSVVDNSASSDDTQLIDSSDSTTEADNEKSVAEVLHEETLPVDPVSNNLENTTEELSQIADIVAQEDEKSSKILNQSSQDSIPVVIPAKDNIEESSNSVEEENITSEIVSEGGESSANNSLNPAVDEASLTNAGKEVTSNIQASKEIDGVLTANSSVEAEASVIGVKETDVTTETLEQDKQSLETPSSEEKEDLVDSVQVEDSPGELETKNDAGILNDQTLSTEAVDSVVISSIQLDSTVATEDVAQKSTILAENETVAAKLHDAKAVAGGNLSEQTGPSDIGSSIKATISPALVKQLREETGAGMMDCKKALAETEGDIVKAQEFLRKKGLASADKKASRATAEGRIGSYIHDSRIGVLMEVNCETDFVSRGDIFKDLVDDLSMQVAACPQVRYLVTEDVPEEIVKKEREIEMQKEDLLTKPENIRSKIVDGRIKKRLEEFALLEQPYIKNDKMVVKDLVKQTIATLGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKSSPAVPKDQPAETKEAIEKPKTVAISAALVKQLREETGAGMMDCKKALAESGGDLEKAQEYLRKKGLSSADKKSSRLAAEGRISSYIHDSRIGTLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVEFVSIEDIPESIVTKEKDIEMQREDLKSKPDQIKEKIVEGRITKRLGELALLEQPFIKDDSIKVKDLVKQTVAALGENIKVRRFVRFTLGES >Ma05_p29370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40069445:40076921:1 gene:Ma05_g29370 transcript:Ma05_t29370.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTPVIHCTIGNITLVPRIVFSPRKEIHLTRCDTSEKDTRLKSSQRFLLPHSSLRLIQLHTSRFCQGIRTVADVGTDLTVEEPNPTVSGNVADGSSEAPSSSDESSEPGPPNPTTTSSSKTKRTRPVRKSEMPPVKDEEIVTGASFIGKVRSIQPFGCFVDFGAYTDGLVHVSRMSDSYVKDVAAVVSIGQEVKVRIVEANKETRRISLTMRDTDDTAKIQQKKESTYESSEKPRPVRKNTSRSNQKREEKQKSSKFVKGQILDGTVKNLTRSGAFVSLPDGEEGFLPVAEESEGFGGILGSSSLQVGQEVNVRVLRINRGQVTLTMKKEEDVEGLNMKLNKGVLHVATNPFELAFRKNKEIASFLDERERTQKSLETMEQTVGEVDEILESSNTSVVDNSASSDDTQLIDSSDSTTEADNEKSVAEVLHEETLPVDPVSNNLENTTEELSQIADIVAQEDEKSSKILNQSSQDSIPVVIPAKDNIEESSNSVEEENITSEIVSEGGESSANNSLNPAVDEASLTNAGKEVTSNIQASKEIDGVLTANSSVEAEASVIGVKETDVTTETLEQDKQSLETPSSEEKEDLVDSVQVEDSPGELETKNDAGILNDQTLSTEAVDSVVISSIQLDSTVATEDVAQKSTILAENETVAAKLHDAKAVAGGNLSEQTGPSDIGSSIKATISPALVKQLREETGAGMMDCKKALAETEGDIVKAQEFLRKKGLASADKKASRATAEGRIGSYIHDSRIGVLMEVNCETDFVSRGDIFKDLVDDLSMQVAACPQVRYLVTEDVPEEIVKKEREIEMQKEDLLTKPENIRSKIVDGRIKKRLEEFALLEQPYIKNDKMVVKDLVKQTIATLGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKSSPAVPKDQPAETKEAIEKPKTVAISAALVKQLREETGAGMMDCKKALAESGGDLEKAQEYLRKKGLSSADKKSSRLAAEGRISSYIHDSRIGTLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVEFVSIEDIPESIVTKEKDIEMQREDLKSKPDQIKEKIVEGRITKRLGELALLEQPFIKDDSIKVKDLVKQTVAALGENIKVRRFVRFTLGES >Ma08_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35412989:35413391:-1 gene:Ma08_g21560 transcript:Ma08_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDESKWDALLRWSLSQADGTLPARVLRWFYFVFLDEEDRKWFMEAMQAKTMDVV >Ma03_p25630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29598629:29604767:1 gene:Ma03_g25630 transcript:Ma03_t25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MAASETPTEGGDRAGVLLYYKYAPVPDLPSLVRFYDSNCRSLALLGRVRIAPDGVNVTVGGRMPSLEKHIAAVKSMILFEGTDFKLASCDHPSDDRIARECGFTSLSIRVVKELVTFRSDPLLDSPKVCNAGRHLSAVEFHSVLHDAGNNLESQAQNQFVLLDARNLYETRIGKFQATNVETLDPKIRQYSDLPSWIDEHSEKLHGKHILMYCTGGIRCETASAYIRSKGAGFENVFQLFGGIQRYLEQFPDGGYFKGKNFVFDHRISVGNQDDVISSCLLCGSSFDDYSSRCRCNYCRMLVLVCYDCQAIAGRKYVCELCQRNGKGSEPMMLQENELHEELSSDSLEATEITNATFPGVTTPTQTHKTYANNHLRKLRILCLHGFRQNASSFKGRTSSLAKKLKDMVEFVFVDAPHQLPLIYQLRPSEPDLLSEQTTDAPSSFQQSPPPTMNCKKRFAWLIDPNSNSLEEQGWRMADVPFNPLQYQQQTYGFEASYYYLKDVILRMGPFDGILGFSQGAAMTALFCQQQQKRCSVMDFRFAILCSGFSAISRDRSKESIRCPSLHCFGNSQGQDRQIANQASRELADMFEEECSIVIEHDMGHIIPTRSPYLDQMKEFLRRFI >Ma03_p25630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29599046:29604767:1 gene:Ma03_g25630 transcript:Ma03_t25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MIESLENELVTFRSDPLLDSPKVCNAGRHLSAVEFHSVLHDAGNNLESQAQNQFVLLDARNLYETRIGKFQATNVETLDPKIRQYSDLPSWIDEHSEKLHGKHILMYCTGGIRCETASAYIRSKGAGFENVFQLFGGIQRYLEQFPDGGYFKGKNFVFDHRISVGNQDDVISSCLLCGSSFDDYSSRCRCNYCRMLVLVCYDCQAIAGRKYVCELCQRNGKGSEPMMLQENELHEELSSDSLEATEITNATFPGVTTPTQTHKTYANNHLRKLRILCLHGFRQNASSFKGRTSSLAKKLKDMVEFVFVDAPHQLPLIYQLRPSEPDLLSEQTTDAPSSFQQSPPPTMNCKKRFAWLIDPNSNSLEEQGWRMADVPFNPLQYQQQTYGFEASYYYLKDVILRMGPFDGILGFSQGAAMTALFCQQQQKRCSVMDFRFAILCSGFSAISRDRSKESIRCPSLHCFGNSQGQDRQIANQASRELADMFEEECSIVIEHDMGHIIPTRSPYLDQMKEFLRRFI >Ma03_p25630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29598675:29603839:1 gene:Ma03_g25630 transcript:Ma03_t25630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MAASETPTEGGDRAGVLLYYKYAPVPDLPSLVRFYDSNCRSLALLGRVRIAPDGVNVTVGGRMPSLEKHIAAVKSMILFEGTDFKLASCDHPSDDRIARECGFTSLSIRVVKELVTFRSDPLLDSPKVCNAGRHLSAVEFHSVLHDAGNNLESQAQNQFVLLDARNLYETRIGKFQATNVETLDPKIRQYSDLPSWIDEHSEKLHGKHILMYCTGGIRCETASAYIRSKGAGFENVFQLFGGIQRYLEQFPDGGYFKGKNFVFDHRISVGNQDDVISSCLLCGSSFDDYSSRCRCNYCRMLVLVCYDCQAIAGRKYVCELCQRNGKGSEPMMLQENELHEELSSDSLEATEITNATFPGVTTPTQTHKTYGKLTTI >Ma03_p25630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29598685:29604767:1 gene:Ma03_g25630 transcript:Ma03_t25630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MPSLEKHIAAVKSMILFEGTDFKLASCDHPSDDRIARECGFTSLSIRVVKELVTFRSDPLLDSPKVCNAGRHLSAVEFHSVLHDAGNNLESQAQNQFVLLDARNLYETRIGKFQATNVETLDPKIRQYSDLPSWIDEHSEKLHGKHILMYCTGGIRCETASAYIRSKGAGFENVFQLFGGIQRYLEQFPDGGYFKGKNFVFDHRISVGNQDDVISSCLLCGSSFDDYSSRCRCNYCRMLVLVCYDCQAIAGRKYVCELCQRNGKGSEPMMLQENELHEELSSDSLEATEITNATFPGVTTPTQTHKTYANNHLRKLRILCLHGFRQNASSFKGRTSSLAKKLKDMVEFVFVDAPHQLPLIYQLRPSEPDLLSEQTTDAPSSFQQSPPPTMNCKKRFAWLIDPNSNSLEEQGWRMADVPFNPLQYQQQTYGFEASYYYLKDVILRMGPFDGILGFSQGAAMTALFCQQQQKRCSVMDFRFAILCSGFSAISRDRSKESIRCPSLHCFGNSQGQDRQIANQASRELADMFEEECSIVIEHDMGHIIPTRSPYLDQMKEFLRRFI >Ma07_p27390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33790271:33790441:-1 gene:Ma07_g27390 transcript:Ma07_t27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQMSTIISLIENCRCFTQLNQIKSQDNINY >Ma11_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24884886:24890368:-1 gene:Ma11_g20390 transcript:Ma11_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFNEFGVDGGPAAEALRPKYNVFMKHVSSHLGIVVPHIEMKHVVASTIYLKGFGSILFIFSSSFGAYLLLLYLALITPVMYDFYNYDVEKPEFAQLFSKFAQNLALFGALLFFLGIKNSILRRQPKKKSSKSKTN >Ma05_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5039095:5042897:1 gene:Ma05_g06880 transcript:Ma05_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTFSGSITAPEVYVTVDMGNPFLNRTVDGFLRIGTVAASRVAAEEAYQCVRKGSISKRKFEDALKRMCKEGVYWGTVTSVYVGVEHNIERISGTRTWKNAMLGGAITGFLISAASNNGTDKIIKDTITAGAVATAIEFINLLT >Ma10_p03640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14248055:14249686:1 gene:Ma10_g03640 transcript:Ma10_t03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAWLNAPSLDLGLHVGDGKLSVEEDDGKEVRALEAELDRVTQENKRLKEKLAAMAASYGTLRSQMADLISKASSEGASVSPGTKRNRHTLDSFSPAPAPVPAAAASLGTNCIRNEAESTSSEDSFKRVKEENKPKVSKLHVRTDPSDSSLIVKDGYQWRKYGQKITRDNPCPRAYFRCSFAPACPVKKKVTEPPIEQQLLHPRNPRTDPASCYLLSRRSNEAQRTRRCWLPPTRASTTMAGLLILELNKRRAAAARSPANLPHRRRGDRRRPSRRICMRDWWSKWLRR >Ma10_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14248189:14249681:1 gene:Ma10_g03640 transcript:Ma10_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAWLNAPSLDLGLHVGDGKLSVEEDDGKEVRALEAELDRVTQENKRLKEKLAAMAASYGTLRSQMADLISKASSEGASVSPGTKRNRHTLDSFSPAPAPVPAAAASLGTNCIRNEAESTSSEDSFKRVKEENKPKVSKLHVRTDPSDSSLIVKDGYQWRKYGQKITRDNPCPRAYFRCSFAPACPVKKKVQRSAEDPSLLVATYEGEHNHGRAPHPRAQQASGRSSSVTSQSPAPSTRRPQEAESPDLHAGLVEQMAATLTNDPVFKATLAAAISGRMLRSSPPK >Ma01_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26410734:26412294:1 gene:Ma01_g23030 transcript:Ma01_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGSAKLPQEVPKFHRALGLPQSDKREPTTASSAAESCDLSPSTTSGGRELKAVATAAAAEKDEQRRQLAPKRSSNKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIQWLLQQAEPAIIAATGTGTIPASALAAAGSVPNPGPTVLAGVHQKPDEAGQGAIAALRPNWAMVGAAGLPRSHLGLWPPPVGGLNSGFLLPAVAASSSSNFGAGGGGDVSAGSFIHRMALHGMELPSANLGAMSFASMFGGHGQQLPGLELGLSQDAHIGVLNSQALSQFYQQMTPGRLGAGADGSGRLQQPQQQSHLAEDDSQESQD >Ma03_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10045491:10049283:1 gene:Ma03_g13030 transcript:Ma03_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEERSAVKDEFPVGMRVLAVDDDPTCLKVLENLLVRCQYNVTTTTRATTALKLLRENRDKYDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGERQAVMKGITHGACDYLLKPVRMQELRNIWQHVIRRRKSAGVQNNNVNNEEDSQKDQVTDSESSQWVKDHNERPKKRHKDQNEENDSEENMQENETSSSQKKPRVVWSIELHRKFVAAVNQLGIDKAVPKKILDLMNVENLTRENVASHLQKYRLYLKRLSAVTGQHASVASALRFRNLSCVNMESLDVFRNYHALGRSRQLPSLTSLQPNGMLDRVNGPSVPAGRVPYQAVEDGHMYHGTSNPLNDLGKHQDIRLTRNSQANLLHGVPTSFQSGQLHQQKVVQEANSSSIAGLSSSGLSASLCGSSIANVASNFPLLQANKQHLEHGGLGDYSSVTMPLSSADPFDDLQDLSQLPHIGIPNVTWQDAVLSTEHSANALPGCAPFIHESLSLCQSGGDNSLQSPLAGNKNHGKYFSNVAVTPLTATQHQVNLFGGNMMIMPAGSDDNPNIKSLGNYRQEWHHTFDPNATLGSSLCPSLPHLGVNEKILQHQTSESSIHNKMMGAIETGQAFGVPSLQYKCMLDKSTVEIQLNYKDDYGSENNKSCSRVTSPGCSYGNIADVMTKPDDSNDATSEYGSTKM >Ma03_p32350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34179752:34182038:-1 gene:Ma03_g32350 transcript:Ma03_t32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSRRGRSLELSGSAGVVDEMDFFAKAEKERARPASATDHGVPHLGLKKEDPAAVNIGFQLFPETLERDHPTVQQQSPPDEDNDAKRVVEAMQAELARTNEENRRLKNMLSDATNNYNALHVHLIRLMQQRNLSDGNTQFHEVTSEADERREEGDEEGGAVVPLQFIDLRPASVVDEESHSITDEGTRRRRSSSPADQPPSKSSEQEHEASTRKARVSVRARSSAPMIGDGCHWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRSVLITNYEGTHNHPLPPAAMAMASTTSAAAAMLLSGSTSSSEGVTNPSNPLARAIMPSSSGVVTVSASAPFPTVTLDLTRTSGPLPASSSTRVFGQPPHNQSKPQSVADTVSVATAAMVADPNFTAALAAAISSMMGRKGDGGTHQTSDSK >Ma04_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26552968:26554863:-1 gene:Ma04_g24560 transcript:Ma04_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLKSQILCCVCYTCGRKCFDGGQSVGVPQRQSPLDLKTTGRQRAPPCRPEVFPRAALQVRKKGTMVADKKTRLSTAREELNEEKPQRENKSMDAFEVVSREEEKMTAIETRSDDEDDAAVAAEEEEKESKAVAELPVSPEVDHLKVKLLEKEKEAEIIVVENAILKTNAEAEAKKMAGAARAKEAELMAKLESTEEELKASRAKADRLAEQLEAAEGAKAALEAEMRRLRAQTEQWRKAAEAGAAALDAEGRSCGSMDKRLEAWHVGWGSPLMAGDMDDDGAGGAACGGMMKGGGVWTVVDIWKKKKKGQQQ >Ma01_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7612399:7616950:1 gene:Ma01_g10590 transcript:Ma01_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVFGSPGTWSGLLLRTGQWVFAGASIGVMLSAFGFSNYTAFCYLIASMGLQALWSLGLACLDIYALKVKRDLHNPLLVSLFVVGDWVTAALSLAAACSSAGVTVLFVRDTDFCKAYPQFSCGRYQISTTMAFITWLLVATSSLVMFWILTSA >Ma11_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17841999:17845506:1 gene:Ma11_g13450 transcript:Ma11_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDSTNGGPPPAAGSLHLFADNLNGFLLAVAFIGAGFIVKKQGLRRAGASGSRAGVGGYGYLLKPLWRIGMVTMIFGEIANFMAYIFAPAVLVTPLGALCLIVWWDVRNLKACIGHRFKSLHCSGPIEDWYVDHPLLDDTITCPCIRQYGVSIHIIVYLGICSTIGSLTVMSIKAIGIAIKLILEGINHAGYFQTWVFAMVAISCIIVQLNYLNLALDTFSTAVVSPVYYAMFRILTIFTGAIMFKDWSGQSASDIASEICGLITVISGTTLLHSTSEADPPTSDLYAQLSPKMFWHIQGNGEMGKLEDNDMSSGEFVAVLRQSEDYVTLVYRLTRRMMDPEFSPNHAMQVYVGLNFMIDG >Ma11_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1232064:1250146:-1 gene:Ma11_g01750 transcript:Ma11_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] METSRLIPTPSFPSHRLLFSPSAPSSSPFRLLPRLPPRHFRRHGRCRLSLPRCSVSADAAAASAPEASPAVDPSVFGGPKELSGPQALVCALPPPARMASSAVLAAAAMAAGFGLGLRVGGSKVAGIGGAAVLGVAGGAAVYALNSKVPEVAAISLHNLVAGYDDPTELRKDEVAAIVEKYGVSKQDDAFKAELCDLYSRFVSSVLPPGSENLKGYEVEMIIRFKEALGIDDPDAASVHVEIGRHIYRQRLETGDREADIEQRRAFQKLIYVSTLVFGEASKFLLPWKRLFNVTDSQIEIAIRDNAQKLYASKLKLIGRDIEVKQLIELRESQLLYRLSDQIAGEMFREHTRELVEENISSALSILKSRGKTSMGTLQVIEELEKVLAFNNLLTSLSKHSDSGQFAQGVGPVSLIGGEFDGDRKIEDLKLLYRTYAEESFSSGCLQEEKLTALSQLRNIFGLGKREAENIMLDVTSRVYRRRLSRAFSGGDLDAAPSKAAFLQNLCEELHFDPNIASKIHEEIYRQKLRQFVEDGELSEEEVASLQRYRVLLCIHHETIDAAHADICGRLFEKVVKDAIASGVEGYDAEVRNSVRKASKGLRLTTDAAMAIANKAVRRVFMTYIQRSKGAGNRTEAARELKKMIAFNALVVTELISDIKGEPAAPAEPIDVDSKQIDEEDEWESLQTLRKTHPNKELEAKLTKPAQTEITLKDDLPERDRAEIYRTYLLFCITGEVTTVPFGAQIATKKDNSEFLLLNQLGRILGMTGKEIVEVHRNFAEQAFMKQAEVILADGQLTKARIEQLNEVQKQVGLPAEYAQKVIKNITTTKMAAAIETAVSQGRIGIQQVRELKEANIDLDSMISERLRESLFRKTVEEIFSSGTGVFNEAEVYEKIPSDLSIDADKAKGVVKELAKTRLSNSLVQAVALLRQRNRDGVISSLNDMLACDMAVPAEPLSWSSPEELADLYCIYLKSIPKPEKLTRLQHLLGISDSTAAILRDTAERGALPVGDEEEEFVF >Ma10_p28650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35471261:35478211:1 gene:Ma10_g28650 transcript:Ma10_t28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSELKDRHVAATATVNTLRDRLNQKRQQLLDTDVAGYAKSQGRTAVNFSSTDLVCCRTLQGHTGKVYSLDWTPEKNRIVSASQDGRLIIWNALTSQKTHAIKLPCAWVMTCAFAPNGHSVACGGLDSECSIFNLNSQVDRDGNIPVSRVLTGHKGYVSSCEFVRDQDTRLITGSGDQTCVLWDVTTGQRISVFGGEFPSGHTSDVLSISINSSNSNMFVSGSCDATARLWDTRIASRAIRTYHGHHGDVNSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSQQQANNDQDIPIVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVVNLGKLQNSHEGRISCLGLSADGSALCTGSWDKNLKIWAFGGHRKVI >Ma04_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10619438:10620752:1 gene:Ma04_g14040 transcript:Ma04_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSTSLIPTYLSPHFDSFEAPPVSPHPFLGTSTWRLSLLIVAANNLIRGFLRRRISQVRRRVEIPSCFFG >Ma05_p22380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34128037:34136101:-1 gene:Ma05_g22380 transcript:Ma05_t22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIHMVAAADSPEFALLCWALRSSPVVGLDAEWKPNRTIRLRHQDTVGGGDSAPAADLPARSFPTVSLLQIACRVRRRPSDPVVGDSPVFLVDLLSVPLVALWDPLRDMFESSSVIKLGFKFKQDLVYLSSTFSANGCDPGFDRVEPFMDITSIYHHLKNPTMGKKHPKDTKSLAAICKEVLNVSLSKELQCSDWSCRPLSEQQILYAAADAYYLLEIFDVFQQKIITKAKSQPSPPGQNFIATVIEKEIIPESGSNKLFTECCESSDMLDDCLSNNVRRHGEKIMLKESDKIPRTSRRKEKKQSSGNAKNKEKLTCDEDWQGPPPWDVSFGGDGSPKFLCDVMIEGLAKHLRCVGIDAAIPFLRKPDPRQLLNQAYKEKRVLLTRDAKLLRYQYLVRNQVYKVKSSLKNDQLLEVIETFQLKISEEQLMSRCTKCNGNFIQKPLTIEEAIAASRGFQVIPDCLFDRNLEFWQCTDCKQLYWEGTQYHNAVQKFVAVCKLND >Ma05_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34128037:34136101:-1 gene:Ma05_g22380 transcript:Ma05_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIHMVAAADSPEFALLCWALRSSPVVGLDAEWKPNRTIRLRHQDTVGGGDSAPAADLPARSFPTVSLLQIACRVRRRPSDPVVGDSPVFLVDLLSVPLVALWDPLRDMFESSSVIKLGFKFKQDLVYLSSTFSANGCDPGFDRVEPFMDITSIYHHLKNPTMGKKHPKDTKSLAAICKEVLNVSLSKELQCSDWSCRPLSEQQILYAAADAYYLLEIFDVFQQKIITKAAAKSQPSPPGQNFIATVIEKEIIPESGSNKLFTECCESSDMLDDCLSNNVRRHGEKIMLKESDKIPRTSRRKEKKQSSGNAKNKEKLTCDEDWQGPPPWDVSFGGDGSPKFLCDVMIEGLAKHLRCVGIDAAIPFLRKPDPRQLLNQAYKEKRVLLTRDAKLLRYQYLVRNQVYKVKSSLKNDQLLEVIETFQLKISEEQLMSRCTKCNGNFIQKPLTIEEAIAASRGFQVIPDCLFDRNLEFWQCTDCKQLYWEGTQYHNAVQKFVAVCKLND >Ma04_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7303202:7307907:1 gene:Ma04_g10220 transcript:Ma04_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNIQQYGHVCRKRFLFSLSFAIILVLPYLFFWDYAGKPVVNHVLPKEKIFSVIENRGIPARDHDAEPSSFREPSFLDQFGATDEEPERCHPSKALLKVFMYDLPPEFHFGLLGWDGDGKSVWPDIQTKIPKYPGGLNLQHSLEYWLTLDLLSSRFPNRSGPCSAARVEDSREADVVFVPFFSSLSYNRHSKVKPPQTVSINKLLQQKLVQFLTAQQEWKRSGGRDHIIMAHHPNSMLDARSKLWPGMFVLADFGRYAPRVANVEKDVIAPYRHLIKTFVNDSSGFDERPTLLYFQGAIYRKDGGSIRQELFYLLRDEKDVHFSFGSVVANGINEASRGMHSAKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSKFCIFVRTSDAIREGFLIKLIRGVSREDWTRMWQRLKEVEGFFEFQYPSKKNDAIQMIWQAVARKVPAIRLKVHRSRRFSQFNTQR >Ma04_p10220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7303202:7307907:1 gene:Ma04_g10220 transcript:Ma04_t10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNIQQYGHVCRKRFLFSLSFAIILVLPYLFFWDYAGKPVVNHVLPKEKIFSVIENRGIPARDHDAEPSSFREPSFLDQFGATDEEPERCHPSKALLKVFMYDLPPEFHFGLLGWDGDGKSVWPDIQTKIPKYPGGLNLQHSLEYWLTLDLLSSRFPNRSGPCSAARVEDSREADVVFVPFFSSLSYNRHSKVKPPQTVSINKLLQQKLVQFLTAQQEWKRSGGRDHIIMAHHPNSMLDARSKLWPGMFVLADFGRYAPRVANVEKDVIAPYRHLIKTFVNDSSGFDERPTLLYFQGAIYRKDGGSIRQELFYLLRDEKDVHFSFGSVVANGINEASRGMHSAKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSKFCIFVRTSDAIREGFLIKLIRGVSREDWTRMWQRLKEVEGFFEFQYPSKKNDAIQMIWQAVARKVPAIRLKVHRSRRFSQFNTQR >Ma04_p39120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36327257:36330016:-1 gene:Ma04_g39120 transcript:Ma04_t39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALREEVARGLTPARARGRSRSRSESPRRGPSPVVELLLLPRRRWRRRGMVGGPAVPRSGSLAPLMEGPDSGEGEGDEARKERGWGQWVKGQLSRAPSVSTSATASCRPSDLRLLLGVMAAPLAPIHVCSTDPLPHLSIKDTPIETSSAQYILQQYTAASGGLKLLSSVRNAYAMGKVRMVATELEMATKIVRKRNASRDAESGSFVLWQMAPDMWYVELAAGGSKVRAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFANARCIGEKKVNGEDCFILKLCADPQTLRARSDGPAEVIRHVLFGYFSQRTGLLIHIEDSHLTRIQASAGGDAAYWETSINSFIDDYRPVDGMMIAHSGHSVVTLFRFGEVAMSHTKTRMEEAWTIEEVAFNVPGLSMDCFIPPADIKRGSISEACELPQGERGENTVAGNHRAKVAALEKSHDGADGNIVWRVEV >Ma04_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3882421:3886230:-1 gene:Ma04_g05180 transcript:Ma04_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGQESGAGSEHATDGGNPNPNPITTSSPTAGDAPPPAEAGPPPPSPPPARTPFTSLSQVDADLALARALQEQERAYAMLRMNGVDDSDYESSDAGSYDYDGEEDEDGVGDEPERVVEEDDGSIEGSDYDEDAFDANDSDADPAEFEDDEAFARALQDAEEREVAVRLMALTGLNEWASDDHGDHGSNSQDTWQEVDPDEYSYEELVALGEVVGTESRGLSADTIAALPSVSYKAENAQDGSAEQCVICRLEYEEGDSLVLLSCKHKYHSECVNKWLQINKVCPVCSAEVSTSER >Ma06_p32830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33675133:33680686:-1 gene:Ma06_g32830 transcript:Ma06_t32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHARNAKIDFNVDLTQKLCGALLFPHIRQSSNPFSQVIARLSIKHPNLFGRNEKLDVLWDKGIDDFNILIAFRRPRPDWLSQQSFTIQHSVAPEIAVHGLPVDHFTRSGSGGVNLSRSSVGVDFSEPSTSHWSSKTSIKFEHVRPLNNDGHTINRDMDGFPITSSGRSYDNMIVLKQESQYAIANDDRFTKFNFQMEQGLPLLSKWLIFNRFKIVASKGFKLGPTFLVTSLTGGSIVGDMAPYQAFALGGIGSVRGYGEGAVGSGRTCLVANGEFTIPVTKELEGAIFMDCGTDLGSARYVPGNPALRQGKPGFGVGLGYGVRFNSHLGQIRVDYAINAFQRKTLYFGINGVGS >Ma10_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27697646:27698469:1 gene:Ma10_g15660 transcript:Ma10_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPADPPKPDRTQDAGPRRELVVSSDDPEMKNSEPNVGSSTNEHVTAEITKECTNSGVVEESGRERLKRHRTEMAGRVWIPEIWGQESLLKDWIDSSVFDRPLVPKGLVSAREALVEECRRTSSGSRRIKNPC >Ma01_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:91347:94692:1 gene:Ma01_g00090 transcript:Ma01_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAARLGRSSTRYGPAAVFSGPVRRWNKKWVPLSSPDHHRRRNNGANPHLLLYRWTPVSSAADGGATTATPSKDSATPPPEEPPRRKFRYIPISVVEEKKKEASPKSNEETKKPNETDKFPRIGQINPSGKIPDMKDVLAEEVQVPYKDQVPSEEGSGTDLDLSLGLRAPEGDHEKELRTSKQGEGHGKSERAAIKAEARNKLKRKVVTPDLEMRV >Ma04_p38330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35904996:35905552:-1 gene:Ma04_g38330 transcript:Ma04_t38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSFIPPYKNLFIALRHHQRLATSTFLMDPKLYYCIIAFHLLLLCCNSDVFARGLHETPNRPPDVFARRLSEAPPKRTPDVYHGTFSAEASLYSSAAKPEPPETSWSYGETRATPLHRPSSHYRP >Ma04_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26421337:26421626:-1 gene:Ma04_g24430 transcript:Ma04_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVASPPRSICCLCSSGNLISGKKKQRKPWCTATGMASLVLQRCSTQHKPPPWRVSTSPFPSIL >Ma10_p15040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27275970:27281655:1 gene:Ma10_g15040 transcript:Ma10_t15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNSTVYKRMPSRDLADDLDRGDDASAALVSVVDQNSGNPSWKLSLPHVCVATISSFLFGYHLGVVNEPLESISMDLGFAGNTLAEGFVVSMCLGGALIGCLFSGWISDGIGRRRAFQLTALPMILGAFLSASSRSLEGMLFGRFLVGTGMGLGPTVASLYVTEVSPPMVRGTYGSFIQIATCLGLIAALFIGIPVKEVVGWWRVCFWVSAVPAALLALCMEFCAESPHWLYKRGRIGEAEVEFERLLGGSHVKSAMAELSRSDRGDDSESIKYSELFYGRHFRVVFIGTMLFALQQLSGINAVFYFSSAVFRSAGVPSEIANVCVGFANLSGSIIAMLLMDKLGRKILLLGSFLGMAASMGLQVVATTLHHQDSWHVYLSVGGMLLFVLAFALGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWSN >Ma10_p15040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27275970:27282575:1 gene:Ma10_g15040 transcript:Ma10_t15040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNSTVYKRMPSRDLADDLDRGDDASAALVSVVDQNSGNPSWKLSLPHVCVATISSFLFGYHLGVVNEPLESISMDLGFAGNTLAEGFVVSMCLGGALIGCLFSGWISDGIGRRRAFQLTALPMILGAFLSASSRSLEGMLFGRFLVGTGMGLGPTVASLYVTEVSPPMVRGTYGSFIQIATCLGLIAALFIGIPVKEVVGWWRVCFWVSAVPAALLALCMEFCAESPHWLYKRGRIGEAEVEFERLLGGSHVKSAMAELSRSDRGDDSESIKYSELFYGRHFRVVFIGTMLFALQQLSGINAVFYFSSAVFRSAGVPSEIANVCVGFANLSGSIIAMLLMDKLGRKILLLGSFLGMAASMGLQVVATTLHHQDSWHVYLSVGGMLLFVLAFALGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWVVNFFVGLLFLRLLEQLGAKMLYSMFASFCLTAAIFVGKNVIETKGKSLQEIEISLLSAV >Ma10_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27275970:27282285:1 gene:Ma10_g15040 transcript:Ma10_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNSTVYKRMPSRDLADDLDRGDDASAALVSVVDQNSGNPSWKLSLPHVCVATISSFLFGYHLGVVNEPLESISMDLGFAGNTLAEGFVVSMCLGGALIGCLFSGWISDGIGRRRAFQLTALPMILGAFLSASSRSLEGMLFGRFLVGTGMGLGPTVASLYVTEVSPPMVRGTYGSFIQIATCLGLIAALFIGIPVKEVVGWWRVCFWVSAVPAALLALCMEFCAESPHWLYKRGRIGEAEVEFERLLGGSHVKSAMAELSRSDRGDDSESIKYSELFYGRHFRVVFIGTMLFALQQLSGINAVFYFSSAVFRSAGVPSEIANVCVGFANLSGSIIAMLLMDKLGRKILLLGSFLGMAASMGLQVVATTLHHQDSWHVYLSVGGMLLFVLAFALGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWVVNFFVGLLFLRLLEQLGAKMLYSMFASFCLTAAIFVGKNVIETKGKSLQEIEISLLSAV >Ma06_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2082865:2083008:-1 gene:Ma06_g02750 transcript:Ma06_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSLSFSTLILLDYASSSFIFMYVKTARFRPANIKSQRIRIGAMV >Ma11_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23113565:23114310:1 gene:Ma11_g17930 transcript:Ma11_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISRNTSRPWDPEMSMGSQFLSNYIPLELPFHAHIHPPSFPRRGMEGDHEAEEEEALGAVKEMMYRIAAMQPVDIDPSTIKKPRRRNVRISDDPQSVAARLRRERISERIRVLQRLVPGGTKMDTTSMLDEAIRYVKFLKRQVQELQASPQSHAVHVGAVVDGNPVAGAEWSHASSPSSSSSAMGAPPPPVLEFGFDEQGAHHPVH >Ma06_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10811838:10815311:-1 gene:Ma06_g15920 transcript:Ma06_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAVKELQRDLENQANALSKIQKDIAKNHQVRKQYTIQLGENELVLKELELLNENSNVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDGTLQDLEDKQNSKKESVLKLQQRIHSLQAGKSKS >Ma08_p27030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39427400:39431549:1 gene:Ma08_g27030 transcript:Ma08_t27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISSVARGGGEEGQGGSCHSQGEAEDLMGQGPPRSPSGAARLPLLFTPQIPVPPLQGREEMHSPSDSRMPNSITYEDVLDDQGIPTMITWIHGGMEVFVEGSWDNWKTKKSLQRSGKDFTVMMVLPSGFYRYRFIVDGEWRYASDVPWMNDDMGNGHNILDLKAFVPEDLRGVAGLEMPRSPESSYNNWPLGSEDYSKEPPLVPPQLHLTLLNSPATVDCTASLTKPPHVALNHLYIQKEKSGQPVVALGKTHRFNSKFVTVILYRSLAR >Ma04_p30960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31436165:31437067:-1 gene:Ma04_g30960 transcript:Ma04_t30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSRSGGGANSKVERKTTEKYRRMHMKNLCFKLSSIIPEEHRTISKDVLTQQDNFDQATSYIKKLRERIERLKQRKLMQTSTVRRDTTMRFASPIIEVRHQDLNLEILVISDLNKRFMFHEVINVIEEEGAEVVTANFSIVGDKIYHTIHSQAVSSRIGLEASSVYERLKDLIN >Ma07_p23810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31326649:31329342:-1 gene:Ma07_g23810 transcript:Ma07_t23810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNISTNVSLESVDTSAVLSETTKAVAKIIGKPESYVMVVLKGSIPISFGGTQQAAAYGELVSIGGLNPDVNKKLSAEISTILESKLSVPKSRFFLKFYDSKASHCCFFSAAPYESLVVLGFSLIAVFLFVRPIKHKNMLSVCMLCISNRVQTSGGMVQLSKA >Ma07_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31326649:31329342:-1 gene:Ma07_g23810 transcript:Ma07_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNISTNVSLESVDTSAVLSETTKAVAKIIGKPESYVMVVLKGSIPISFGGTQQAAAYGELVSIGGLNPDVNKKLSAEISTILESKLSVPKSRFFLKFYDSKANQAQEHAQCLHALHQQ >Ma07_p23810.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31326617:31329342:-1 gene:Ma07_g23810 transcript:Ma07_t23810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNISTNVSLESVDTSAVLSETTKAVAKIIGKPESYVMVVLKGSIPISFGGTQQAAAYGELVSIGGLNPDVNKKLSAEISTILESKLSVPKSRFFLKFYDSKANQAQEHAQCLHALHQQ >Ma07_p23810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31326698:31329342:-1 gene:Ma07_g23810 transcript:Ma07_t23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNISTNVSLESVDTSAVLSETTKAVAKIIGKPESYVMVVLKGSIPISFGGTQQAAAYGELVSIGGLNPDVNKKLSAEISTILESKLSVPKSRFFLKFYDSKGSDFGWNGSTF >Ma07_p23810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31326649:31329342:-1 gene:Ma07_g23810 transcript:Ma07_t23810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNISTNVSLESVDTSAVLSETTKAVAKIIGKPESYVMVVLKGSIPISFGGTQQAAAYGELVSIGGLNPDVNKKLSAEISTILESKLSVPKSRFFLKFYDSKASHCCFFSAAPYESLVVLGFSLIAVFLFVRPIKHKNMLSVCMLCISNRHGSDFGWNGSTF >Ma11_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15725710:15726237:1 gene:Ma11_g12030 transcript:Ma11_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATHRDRSKYFKFHQDYGHDTEDYHDLQNQIEVHIQRGHLGCYLKSREATPHPRGPIERQIDVISGGPAAGDSSSTTRKAYAHSMVEKRPRPELEPDITFMAGEVEHSHHDDALVISIHIANARVKRVMVDTGSPADILYFDAFKRLGLTEGDLTPMTSALTGFIGDSISPLGTT >Ma09_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35008110:35009438:1 gene:Ma09_g23080 transcript:Ma09_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRTALRLLWGVPFLRASHKEEEILRTSSRSGSSLNREHK >Ma08_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15968606:15973196:-1 gene:Ma08_g15770 transcript:Ma08_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPNPDLDSQGGQQKKDPTTAGTEERSRSRLLHPSNPIPEMILAVLFTNTDGNILVERFHGVPAEERLHWRSFLVKLGADNLKGTKNEELLVASHKSVFVVYTILGDVCIYVVGKDEYDELALAELIFVITSSVKDVCGKPPTERLFLDHYGKICLCLDEIIWKGMLENTDKDRIRRLIRLKAPTDA >Ma09_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3707433:3707700:-1 gene:Ma09_g05770 transcript:Ma09_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRDLSYNNLTGPVPDILAELPFLEVLNLSSNQLIGSIPSAFLVKSQNGLTVRFILF >Ma06_p29760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31159607:31168975:1 gene:Ma06_g29760 transcript:Ma06_t29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MACLCGGAAAWALPGIRCSLPVDLLSSPRAPKFPGRWHAVPLSSRFFSFSSYPVISSNASSALFCGGAQAAQAPPSAAPTLREICRGKVPEHILQRAEEIGYVLPTDVQRQSLPLLLAGRDCILHAQTGSGKTLAYMLSVFSAVDFRRSAVQALIIVPSRELGIQVTKVARMLAAKPTGFEKMQACTIMALLDGGMLKRHKSWLKTEPPEIVVATIGSLCQMLEKHVLKLEAMQILVIDEVDFMFHSSKQVHSLRKLLTSYSTIDNRQTVLASASIPQHNRFLYDCIQQKWTKNDVVHIHVNPVEPMPSHLCHRFVICKKKEKLCTLLNLLEQDSPRSGIIFVGEQSEKSKKAGQPPSTALVLDFLKASYRGGLEVLLLDDDMNFNARAGSFTEVRQRDCLLVSTDLASRGLDLPQTTHIYNFDLPRTAVDYLHRAGRTGRVPFSNDPCSVTSLLAQEERFVLQRFENELMFQCEELFLQSIMTT >Ma06_p29760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31160193:31168975:1 gene:Ma06_g29760 transcript:Ma06_t29760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MLAAKPTGFEKMQACTIMALLDGGMLKRHKSWLKTEPPEIVVATIGSLCQMLEKHVLKLEAMQILVIDEVDFMFHSSKQVHSLRKLLTSYSTIDNRQTVLASASIPQHNRFLYDCIQQKWTKNDVVHIHVNPVEPMPSHLCHRFVICKKKEKLCTLLNLLEQDSPRSGIIFVGEQSEKSKKAGQPPSTALVLDFLKASYRGGLEVLLLDDDMNFNARAGSFTEVRQRDCLLVSTDLASRGLDLPQTTHIYNFDLPRTAVDYLHRAGRTGRVPFSNDPCSVTSLLAQEERFVLQRFENELMFQCEELFLQSIMTT >Ma05_p28570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39531792:39536163:1 gene:Ma05_g28570 transcript:Ma05_t28570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSTVSRTGETRNPQPSSQEKRTMTGSTTAKDGKVLDDKALRRLAQNREAARKSRLRKKAYVQQLESSKVRLQQIEHDLDRARSQGLFLAAAGSTGVISPGAALFDMEYARWLAERCKLMSVLRNALQAHPPEGNLGVLVDECIRHYDELFQLKATVAKADVFHLLNGTWKTPAERCFLWMGGFKPSELLNILIPKLDPLEQQLVWICNLKHSSEQAEEALSLGLGHLHLSLTQIIAGRSLCDGIGDGEYMNLMAAALGKLVDFEEFVSQADNLRRQTLHNLRRHLTIRLAARCFLAIGEYYTRLRALSSILTSRSPRESLIADDTVVPTTTDLQIVHRPLQHHFYNF >Ma05_p28570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39531792:39536163:1 gene:Ma05_g28570 transcript:Ma05_t28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSTVSRTGETRNPQPSSQEKRTMTGSTTAKDGKVLDDKALRRLAQNREAARKSRLRKKAYVQQLESSKVRLQQIEHDLDRARSQGLFLAAAGSTGVISPGAALFDMEYARWLAERCKLMSVLRNALQAHPPEGNLGVLVDECIRHYDELFQLKATVAKADVFHLLNGTWKTPAERCFLWMGGFKPSELLNILIPKLDPLEQQLVWICNLKHSSEQAEEALSLGLGHLHLSLTQIIAGRSLCDGIGDGEYMNLMAAALGKLVDFEEFVSQADNLRRQTLHNLRRHLTIRLAARCFLAIGEYYTRLRALSSILTSRSPRESLIADDTVVPTTTDLQIVHRPLQHHFYNF >Ma11_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25519838:25527952:-1 gene:Ma11_g21330 transcript:Ma11_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 3 [Source:Projected from Arabidopsis thaliana (AT4G17510) UniProtKB/Swiss-Prot;Acc:Q8GWE1] MASASEKKRWLPLEANPDVMNQFIWGLGVPEDVAEFNDVYGLDEELLEMVPKPVLAVLFLFPYSKEAEAERISNQEKASGNEKKKPSEKVYFLKQTVGNACGTIGVLHALGNASSHIKFIEESFLDRFYKSTASLDPFERAAFLEKDREMEDAHSVAATAGDTEASSEVDEHYICFTCIDGELYELDGMKSQPISHGPSSPDSLLQDAAKVIKALILKNPDSMNFNVMALSKNSG >Ma11_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19519703:19522918:-1 gene:Ma11_g14230 transcript:Ma11_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKKAKKSTESVNNRLALVMKSGKYTLGHKTVLRSLRGSKAKLILIANNCPPLRKSEIEYYAMLSKVGVHHFNGSNVDLGTACGKYFRVCCLSIMDPGDSDIIKSMPGEQ >Ma04_p28040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29191745:29198595:-1 gene:Ma04_g28040 transcript:Ma04_t28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEGGGVRSGFRCLTRRKQVDSNRVRAEEGHHQLAKALSVPQLVAIGVGATIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNFALFFGGPDSLPSFLARVSIPGLDIVVDPCAAILVFVVTGLLCLGIKESTFVQSIVTTANVCVLLFVIIAGGYIGFQTGWVGYTVAGGYFPYGVNGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIATSLSVCCLLYMMVSVVVVGLVPYFAMDPDTPISSAFARNDMQWAVYIITSGAVLALCSALMGSLLPQPRILMAMARDGLLPSFFSDVNVRTQVPVKSTILTGIFAATLAFFMDVSQLAGMVSVGTLLAFTIVAISILILRYVPPDEIPLPSSFQESIDSVSNRYSVQNNGDKADSLGNTGSSENQYDGPSQEINKESVNCPLIGKEINPEHFNEQTRRQKAAWSIASVCLGVLILTTSASYTFLPDFLRYLACSVGGLLLLGGLFVLVWIDQDDARHNFGHTGGFICPFVPFLPVCCILINAYLLVNLGAGTWFRVSMWLLIGVLVYLFYGRTHSSLTDVVYVPAAHAEEIYRTSPDYVA >Ma08_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1095345:1097369:1 gene:Ma08_g01170 transcript:Ma08_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKKPLLKPFFLLLLFLSLLLFFHLYLQSKKTPISSAIVPSSTAALIRIRPGFRSYDDYIKLQLNKTLNPRLRHLWATRDWDRKVRVFSRFFADLAAEGLLSNASAALCVGARLGQEVAALRGLGVGGALGMDLVPAPPLVVAGDFHAQPFPDESFDFEFSNVFDHALYPERFAAEVERTLRPGGVAVLHLAVHRRGDKYSANDLLGGVDGLVALFNHSEVVRVRKVDGFGLDTEVVLRKTNTKSNKPTTKSK >Ma01_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9263197:9264397:1 gene:Ma01_g12650 transcript:Ma01_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGQTAPPMIGVATGVPYAAAAAASAGGPYQAYQHLYQQQQQQQQQQLQMFWADQYREIEQTTDFKNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAVSRTDVFDFLIDIVPREEGKEDVARPLGAPPTDPMSYYYVQQ >Ma06_p07810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5540646:5554926:-1 gene:Ma06_g07810 transcript:Ma06_t07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTCFLNLDMHFTRALRWLGAPDKSHMGYYNQFSGLSDRMGRGFSVIRLVLDQPHPDPNPFCLQADRRWYSLFSNPFGTPLLDGQNQQLRSVATKNLLGACSGICPSPSFSYKPLKSFAAPVKPYRSAFGTPLWNRQNQLLRSMARKNLFGPCFAVHSSSSSFNYTPVRSFAAPVQAKLKSKPALDSNVGPRLNAAITAPYVRLVTDEGHGIVSRREALDRATKLELDLVEVQRNANPPVCKIMDFHKEKFKQEVKEKERAKSKSSIAMRGGDHKEVRFKGKIDLRDLKIKADLVIRLMERGYKIKCTAMPSSKEEEDLGGLISQLLPLIEDVSVIESGPHVDTRQAYVIVKHAKFGTKKSGKKVSKVVETAAKGFQGAVSHTPEALTSTSQDGKVLQSEEWDPVDCSSEAEDEAQENFKEEADWEVFNDTNDSGDLFNFDSEGSSTHSGISGNADNASSRARLMDKNISDTSHHKVTPPVSGFTVPGPGLGSLRSVVETSEEPSVVDDNRYRKRTVVKGRFHQPYLSERNNAAPYFRAATNQGRTQQEPMRTEGQGRRVDAKQPQFYAATPPSPSSPGISKVARTPDGRSGDGTTTKADDTDSPSKGYGIFSLKSPATGNGKSQASLNIGKPGDIGSHAPNYGIFSSSKVPNSEMRNSGGETTAKNKNPSSPTPGYGNFSTKKPAVSSDQHSGDSPPGKSGSSTPPTRGYGIFSATKSASSGN >Ma06_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5540451:5554926:-1 gene:Ma06_g07810 transcript:Ma06_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTCFLNLDMHFTRALRWLGAPDKSHMGYYNQFSGLSDRMGRGFSVIRLVLDQPHPDPNPFCLQADRRWYSLFSNPFGTPLLDGQNQQLRSVATKNLLGACSGICPSPSFSYKPLKSFAAPVKPYRSAFGTPLWNRQNQLLRSMARKNLFGPCFAVHSSSSSFNYTPVRSFAAPVQAKLKSKPALDSNVGPRLNAAITAPYVRLVTDEGHGIVSRREALDRATKLELDLVEVQRNANPPVCKIMDFHKEKFKQEVKEKERAKSKSSIAMRGGDHKEVRFKGKIDLRDLKIKADLVIRLMERGYKIKCTAMPSSKEEEDLGGLISQLLPLIEDVSVIESGPHVDTRQAYVIVKHAKFGTKKSGKKVSKVVETAAKGFQGAVSHTPEALTSTSQDGKVLQSEEWDPVDCSSEAEDEAQENFKEEADWEVFNDTNDSGDLFNFDSEGSSTHSGISGNADNASSRARLMDKNISDTSHHKVTPPVSGFTVPGPGLGSLRSVVETSEEPSVVDDNRYRKRTVVKGRFHQPYLSERNNAAPYFRAATNQGRTQQEPMRTEGQGRRVDAKQPQFYAATPPSPSSPGISKVARTPDGRSGDGTTTKADDTDSPSKGYGIFSLKSPATGNGKSQASLNIGKPGDIGSHAPNYGIFSSSKVPNSEMRNSGGETTAKNKNPSSPTPGKSGSSTPPTRGYGIFSATKSASSGN >Ma06_p07810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5540646:5554920:-1 gene:Ma06_g07810 transcript:Ma06_t07810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPATPMHFTRALRWLGAPDKSHMGYYNQFSGLSDRMGRGFSVIRLVLDQPHPDPNPFCLQADRRWYSLFSNPFGTPLLDGQNQQLRSVATKNLLGACSGICPSPSFSYKPLKSFAAPVKPYRSAFGTPLWNRQNQLLRSMARKNLFGPCFAVHSSSSSFNYTPVRSFAAPVQAKLKSKPALDSNVGPRLNAAITAPYVRLVTDEGHGIVSRREALDRATKLELDLVEVQRNANPPVCKIMDFHKEKFKQEVKEKERAKSKSSIAMRGGDHKEVRFKGKIDLRDLKIKADLVIRLMERGYKIKCTAMPSSKEEEDLGGLISQLLPLIEDVSVIESGPHVDTRQAYVIVKHAKFGTKKSGKKVSKVVETAAKGFQGAVSHTPEALTSTSQDGKVLQSEEWDPVDCSSEAEDEAQENFKEEADWEVFNDTNDSGDLFNFDSEGSSTHSGISGNADNASSRARLMDKNISDTSHHKVTPPVSGFTVPGPGLGSLRSVVETSEEPSVVDDNRYRKRTVVKGRFHQPYLSERNNAAPYFRAATNQGRTQQEPMRTEGQGRRVDAKQPQFYAATPPSPSSPGISKVARTPDGRSGDGTTTKADDTDSPSKGYGIFSLKSPATGNGKSQASLNIGKPGDIGSHAPNYGIFSSSKVPNSEMRNSGGETTAKNKNPSSPTPGYGNFSTKKPAVSSDQHSGDSPPGKSGSSTPPTRGYGIFSATKSASSGN >Ma07_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6930854:6933807:-1 gene:Ma07_g09230 transcript:Ma07_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVSRRRRCKSRVGIQHRVTKEDSMKWFQVKYEGVILNKAQGTTS >Ma11_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6332236:6337990:1 gene:Ma11_g07970 transcript:Ma11_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPVAGDRRGILSAVPAALNGGDPLLHPSPAPDSPPFPSAPGALDPDAIFSLFDPSENGGDDGDDGGDAPVSPRGSDAPSRSARSSASEYSRITVSDPQKEQETTSSLVPGSGFFVTYLITTRFVSGSGGGLAEIRVRRRFKDVVALADRLSEAYRGYFVPQRPDKSVVEGQVMQKHEFVEQRRSAIEKYLNRLAAHPVIVKSDELRVFLRMPVKSPSPSTEVALRMSDGVGALSKQVSGETRGRNVEVATQGVVQPAKGRRDFMRMFRELKQAVTNDWGGVKSLVVEEDKEFLEKKGKLQDLEQQLTTASKQAEALVKAKQDIGDTMGELGLTFVKLAKFEMENATYHSQRSRAAEIKHFATAALRTSRFYRESNTQTVKHLDTLHEYLGLMLAVHSAFSDRSTALSTVQTLTSDLSSLLAREEKLEASSMRFGGDKSKIHRIEELRETIRNTEDAKICAIKDYECIKENNKNELERLDRERHEDFLVMLKGFVSNQVIYAEKIANVWANVAEETKGYTSQSK >Ma02_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15091139:15091473:1 gene:Ma02_g03630 transcript:Ma02_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNEHIFSKRERESHSCTSQIPYSADSSFNCSYQPGGKLGEVGQLVRIFMQS >Ma05_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27847874:27856845:-1 gene:Ma05_g19410 transcript:Ma05_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWSVAVEAGKEGLMGREGGQTDEFPVGMRVLAVDDDLTCLKVLEALLLRCRYHVRESSMDVVVMRMKLHRWFQDSGNCEPGVGPVRLLLSVNSEIKTVMKGITHGACDYLLKPVRIEELRNIWQHVFRRKKLHHRDYSKFDNWEESHRHQNELSNHSRAVWSIDRHQKFVAADNQLGIDKAVPQEDTRAHQCREAYKRKCCESFTGMLLNYLQS >Ma01_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13993019:13997288:1 gene:Ma01_g18690 transcript:Ma01_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATDGSALPVVAVAVDKDKSSQGALKWALDNVVGADQSLLLVHVNTKASSGPQQDAASAAKEVLLPFRCFCRRKDVNCKDIVLENTDVPKAIVDFVSHAAIEKLVVGASRSGFVRSFRSTDISTNISKNVPDFCTVYIITKGKVSSVRNAVRPAPAISPLLVKIQSQATHASGPLDHRNRGDAPSETYNLYKDTESMKSPFNRGMQFLTARYSSETMSGSDVSFVSSGRPSFDRAFPTRSSYMSSDRSFESVQSPRRSVGAYSSGTGFSSLSHDSFSSEASESIEAEMNRLRLELKHTMDMYSTACKEALSAKQKAMELHRWRMEEQQKLEEARLAGEAALALAAQEKAKCKAAVEAAEVAKQIAEFEAQKRMDAEMRVLKDSEERKKAMECLPQADVKYRKYTIDEIEVATEYFAESRKIGEGGYGPVYKCYLDHTQAAVKVLRPDAAQGKLQFQQEVEILSFIRHPNMVILLGACPEYGCLVYEYMANGSLEDRLLRRGNTPPIPWQHRFRIAAEIGTALLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLVPASVADHVTQYRMTATAGTFCYIDPEYQQTGMLGTKSDIYSFGILLLQLITGRSPMGLRHHVDRSIGKGTFAEMLDPSVQDWPVEEALCLANLALKCAELRRKDRPDLGTTVLPELNRLRDIGEENMQQVVFGNSFQTVSEVSLQDMGSGTLQVPSGYENSRSQYSGSNIVGR >Ma08_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5606628:5610316:1 gene:Ma08_g08110 transcript:Ma08_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEESSEDGRVRTGTVWTATAHVITAIVGSGVLTLPWSVAQLGWILGPVVLVFFAFITYFTATLLSDCYRLPDPMKGRRNHTYMDAIRACLGRKDVLICGITQYSILWGTMVGYTITSVMSMMAIDLSMCFRNKGPNASCEVSGTLYVVIFGAMEVLLSQFSSLEKVTVLSYVAAAMSFAYSSIGLCLCLLEFSSHPKSKGTLTGVQVGIRNVSSTTKIWDSFQALGNVAFAYTFSMLLIEIQDTLKSPPSENGTMKKASIYGIGSTTLFYISLGCLGYATFGNDTPGNILTGFRKPIWLVDIANLAVLIHLFGAYQLFAQPIFAFYEQWSAKKWLNAGFFQRVYTLNLPFSKSRSIKFTLCKLILRTLFVLITTVVAMMLPFFNAVVGLLGALGFWPLTVYYPMSMYMNQAKIKRRQFKWVMLQVLSLVCLFVSLLAVIGSVADIIEHLKHAELFKIKL >Ma07_p27370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33788378:33788578:1 gene:Ma07_g27370 transcript:Ma07_t27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACHSINDLVQCLNQWGFPELCTQQQKINASPFVTVRSLGRYSYTFKRKQYTSLVVDTEAGCQFI >Ma05_p26030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37813656:37819875:1 gene:Ma05_g26030 transcript:Ma05_t26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLIVSYCDWGGSSRGIRGFMETHLPAFKEKNPQLEVVMELVRGQHPHLKGFYKNHNQRVVCVKNLMPEDVLLHATKLRNALGRKVVKLRTRHVTKHPSVQGTWTTALKF >Ma10_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22093218:22094951:1 gene:Ma10_g07750 transcript:Ma10_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSKAAVLAVPPRHRSNFKSSSAASPSGGVSNGSNNRRRRLPPTSTSSGNSSATKPSSSSDASASASSSALSLVSLRGALHEAPAIYPFPELCAVTKNFLAKRLPGASSSAWRCTLRGRDAVVIQRPLRRRSRDLPSRLADLGRSHHGSLARLLGASTSGDNVYLVYEFVPGASLANCLRNPRNSGFTPLASWVSRVQVAADVAQGLEYIHCHSSAVAGVHNRVKSSAIIVTQPDLRAKICHFGAADLAGELPDPSADEADITSISSPPTRKGSGERQIQIEGTRGYMAPELLADGAVSRSSDVFALGVLLLELVSGEEPLKYLHDKERKVFEVVSLVDTAREAVGGEGDGKEEEEERRGRVRQWVDRRLRDSFPVEAADKLITVALRCVDAEAAARPDMTWVAGKVSKLYLESTVWAERVSVPTDLSVSIAPR >Ma03_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14783332:14784069:-1 gene:Ma03_g14980 transcript:Ma03_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAGSIRASFSKISRFSFEVVRELSKETCGLGVFNQLKSFKHSPVLQSVIVAVQPQKVKFQLRSGQSEPISDAIKIVQNSSSWPIILYRACKRTVEGNMSHLITKYRFFEVLSCSFTYDLHRRAMSFMLLCTVRQTLILLR >Ma05_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32105103:32105378:-1 gene:Ma05_g20430 transcript:Ma05_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPCRKTKTVCTIGPSCNTLDLIWKLAEAGMNVASLNMSHGDRESHQRIIDLVKEYNAQSKDNVIAIMLDTKVCLLLEDFTRSLELISPGAL >Ma02_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25106829:25110036:-1 gene:Ma02_g18690 transcript:Ma02_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVTKASEGYVRPAEPTPPGSLTLDWIGRYPTHRGLVDSLHIFKHGKEPAKVIREGLAKALVPYYPLAGRIVQPEGCEPRVECTGEGVWFVEASVDCSLADVNHLERPLMLAHEDLVPYTELETSPADTIMMIQVTEFTCGGFVVGLRFNHASADGMGSAQFIYAVGELARGLPEPTVKPVWDRDSYPNPKVKPAPLPDLPKLALDYTELNFPAHYINQLKNQYLEHANGRWCSTFDIVIAKVWQCRTRAVYSDPDVNIRMCFFASTRHILKVNKGYYGNSIFPVKVSTTSGEIMSSSVVEIVDLIKKAKDQMAVDVLKWANDEFESDPFSMTFNYETIYVSDWTKLGFSEVDYGWGIPMYCGPFTNNDYIASCILLKAPAPFEGTRLIARCVSSEHTDAFNEQLNRFD >Ma06_p27300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29341800:29343459:-1 gene:Ma06_g27300 transcript:Ma06_t27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRHRALARFLPSASLLLLLFLFVTSYLDFSSLSSTSSSSAMAVARPVRDPALQRRAEPRTDLAAAFARWDEEVGCDRFRDKHRNWTADPSAIQDAEAGDCSTLGASHISVLVKGWTWIPDETDNLYSCRCGMTCLWTKSAALADKPDAVFFEWKTPPKTRRKGEPLRVYMDLEASRQPTGSEDIFVGFHAKDDVQCTYAGSMFHKFRSYYVSSKKRNDVLVYWSSSKCYQHRTELAEKFFAHISHHSLGSCLNNVGGRDAAISLYPECRMELTAEPHWWDHLHCAMSQYKFVLAIENTMAESYVTEKLYYALDAGSVPIYFGAPDVQSFVPPHSIIDGSKFRSMEELATYVKAVADDPVAYSEYHAWRRCGVMGYYGRNRAASLDTLPCRLCEYVSRKGGRGAE >Ma11_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26668479:26670831:-1 gene:Ma11_g23280 transcript:Ma11_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKVLGAPVKFSEKVTTTRKTVPAATSGRRERLVLIHCTDADATDSSSSDDEGPSGTACRRVKRHVLKIGIAADPCRAPLKRRPPTRAVDPSCRKRFRGVRQRPWGRWAAEIRDPTRRKRVWLGTFDTAEEAAAVYDDAAVRLKGAKAVTNFPVVKDTSAGVDGNESTSSARDDASDNPFPSPTSVLRNGADQTPFDFLGYGDVDAFGFSIDPPQYIGEFYLPRRPCGEAAGDEFAEFDADFFLFEAAASNVLNDNTTLQQFAEFDADFFLFEAAASNVSNDNTTLQQGSFPFLIQLKPEY >Ma11_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22537934:22539770:1 gene:Ma11_g17150 transcript:Ma11_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQQLRPGSSGSWWSAASLATPVPEVADLGGSFRWTTAADMDVFEAQLISNPQVSAPATVGFGLSSPSLEWSQPFFSSSSNGGREDTSWGFHGLLQEEVISRPCVQRHSGIEDSTWSPLKTMNPSFMHDHRHHHVLSSNASCELPSSTLLPGLLEPDSRLQRSFHDHREQLQRSASARSPQFSNETCFWNPSAGDGSNLIVKTTAGVIRSSSSTMEKRNGSEPALKKARTETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLQEAIEYIKFLHDQVRVLSAPYLKNGHQMQQVKSLDSSKDSGEQNQDLRSRGLCLVPMSSTFAVANEIPADLWTPPFIGTFR >Ma06_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2429556:2430412:-1 gene:Ma06_g03290 transcript:Ma06_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVRPCSAPNAVPTLARKEAAAGHGGRSSSYVTENRPTRLDKSSLSASSVINKTRMVESNPSSSSFRFPSRATGSRRLHLQGRRPSHRKLRGGRDRSPNCREASDHGRQLEDHLCCRRCQNSIGT >Ma06_p14220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9755629:9760931:-1 gene:Ma06_g14220 transcript:Ma06_t14220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPKIQNSGWAAFGRKHQGKEGTLPTGTVDPFPSISDSSSSFATKKLMTNNFPPLKSFSSVVHPPLDVPPLGTSCMIRTVNNNPCRKHVDCQATTETNRGSVIKLLKDVHTWADQQLIEGVLSAVDYDVDQASVLLKAMVSPDTKIKEASLANTSSSITMDWCGENNKTVQQGTSLENKISGSTHKALISTKLLSVPAEPEWEEDDVYLSHRKDAIKKIRAASRHSRAASNAFFRGDHFSAHQLSVKAQDEWMAAEKLNNKAADEILHIRNSNNDLWKIDLHGLHAPEAVRALMNHLHMIESGILMNRVASSDGLAKPEAGMVSSPSSESVKDFQADSMTRKALPRQRQTVLHVITGMGNHSRGQASLPSAVKSFLIEKGYRFDEVRPGVVAVRLKFRHK >Ma06_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9755629:9760914:-1 gene:Ma06_g14220 transcript:Ma06_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPKIQNSGWAAFGRKHQGKEGTLPTGTVDPFPSISDSSSSFATKKLMTNNFPPLKSFSSVVHPPLDVPPLGTSCMIRTVNNNPCRKHVDCQATTETNRGSVIKLLKDVHTWADQQLIEGVLSAVDYDVDQASVLLKAMVSPDTKIKEASLANTSSSITMDWCGENNKTVQQGTSLENKISGSTHKALISTKLLSVPAEPEWEEDDVYLSHRKDAIKKIRAASRHSRAASNAFFRGDHFSAHQLSVKAQDEWMAAEKLNNKAADEILHIRNSNNDLWKIDLHGLHAPEAVRALMNHLHMIESGILMNRVASSDGLAKPEAGMVSSPSSESVKDFQADSMTRKALPRQRQTVLHVITGMGNHSRGQASLPSAVKSFLIEKGYRFDEVRPGVVAVRLKFRHK >Ma10_p26420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34109837:34112017:1 gene:Ma10_g26420 transcript:Ma10_t26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLLVPITRTEHVDVTQKPVSPPQAKRGPLPAPPRTVRIFCDDFDATDSSGDDDLPCRSRRRVRRYVQEIRLEARPSYSGRSSKAPQGAPARKRKPAVTDAGDGNGDGNVKRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFNTAEEAAMVYDSAAIQLRGPGAITNFSRTPAQPLKKNLSDNNLSSSICGGYDSGDESRNLSSPTSVLGGFPSSSSSSTSSSSFSPSPSASGKEPPPGPQPASERSLPEELLGDSMPFEGVPLLFDDFLRGLEPGYFDYSAPIGFLAVEPSDGVIGARRDIDLSQSTWQSDDCFEDIGDLFPIDPLPAL >Ma03_p31100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33454266:33459988:-1 gene:Ma03_g31100 transcript:Ma03_t31100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MYTNSQRIFCSHVDKKSPEMAMLCFPPKSSPLFFSASSSPLLPSPSAGFRRSGVGFAVFSSHSNPRILKSTRRSRTGRLISPYDDEDNDKDVDEEEDEDESEEGEDDLSDVEDQFSDRKLSKIYSTEPNRKPGQHQVLRKGRQNRLLESAQSFRLSNGSLKVDGEIISKKIDHQYNLGRSYEMEHVDLENAKQKNSNFAKSKFQKLAEEIDFDEKWFPLIEYLSTFGLKDSHLISIYERHMPCLQINLSSAQERLEFLLSVGVKHKDIKRILMRQPQILEYTVENNLKSHVAFLVSIGVPHSRIGQIITAAPSLFSYSIEHSLKPTVRYLVEEVGIKTSDISKVVQLSPQVLVQRIDNSWTSRFSFLSKELGAPKDSIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMCNSDILKVLTSLAQVLSLSLERNLKPKYLYLVNELRNEVKSLTKYPMYLSLSLEQRIRPRHRFLVSLKKAPKGPFPLSSLVPSDECFCQQWAGTSLEKYLAFRQSLQLTDFAKKYQRKV >Ma03_p31100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33454266:33459952:-1 gene:Ma03_g31100 transcript:Ma03_t31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAMLCFPPKSSPLFFSASSSPLLPSPSAGFRRSGVGFAVFSSHSNPRILKSTRRSRTGRLISPYDDEDNDKDVDEEEDEDESEEGEDDLSDVEDQFSDRKLSKIYSTEPNRKPGQHQVLRKGRQNRLLESAQSFRLSNGSLKVDGEIISKKIDHQYNLGRSYEMEHVDLENAKKNSNFAKSKFQKLAEEIDFDEKWFPLIEYLSTFGLKDSHLISIYERHMPCLQINLSSAQERLEFLLSVGVKHKDIKRILMRQPQILEYTVENNLKSHVAFLVSIGVPHSRIGQIITAAPSLFSYSIEHSLKPTVRYLVEEVGIKTSDISKVVQLSPQVLVQRIDNSWTSRFSFLSKELGAPKDSIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMCNSDILKVLTSLAQVLSLSLERNLKPKYLYLVNELRNEVKSLTKYPMYLSLSLEQRIRPRHRFLVSLKKAPKGPFPLSSLVPSDECFCQQWAGTSLEKYLAFRQSLQLTDFAKKYQRKV >Ma03_p29090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32130401:32130514:-1 gene:Ma03_g29090 transcript:Ma03_t29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVIVSVFLTIGFFFFFVSFSWKSHFAGHAGLCFRC >Ma03_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9205071:9205500:1 gene:Ma03_g11870 transcript:Ma03_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILICLLLPLLFESDSRKLSWVPDMPGNASATATHGEGMEKEECKGVDTERCTAGRGMEDHTDYIYTQDSEP >Ma08_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36636526:36637547:1 gene:Ma08_g23260 transcript:Ma08_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVMASAPSFTSTSQQFLSRVAPPCPIRLPTVSVIARAAHENGGKPRLPSRLLKRLALSSRHAAIAAAAAAAMVAASPLPALAEQMEKAALFDFNLTLPAIAIEFLLLMVALDKIYFTPLGKFMDERDAAIRAKLSDVKDTSGEVKELDDQAAAVMKAARAEISAALNQMKKESSAELEQKLAEGRKRVEAELAEALQNLERQKEETIKALDSQIAALSDEIVKKVLPTV >Ma08_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2170780:2171460:-1 gene:Ma08_g02900 transcript:Ma08_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKGKPNSSRSSRLPHKAKKLSISVLVISLPLLYLSLLHIPPSNLLEDTTFWFLMSNSIIIIVAADSCIFSSSNEAGDLYDEFVKHGRERTAFLAKPSVETGDDDPKHKEQESEAADDRSLVLHGRSVNQKLTPADTSVSVEEEMPRRSEEETVVLRQRSLPPVGKVEESMPLRRSVTEGEREEYCCGAEDDEYSHMSNEELNKRVEEFIRRFNREMRLQRRNE >Ma00_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40290274:40293876:1 gene:Ma00_g04670 transcript:Ma00_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIRDYREQWVGKGRWASESWWRVADSPRTRATSGGGRPRMAAGDDGIAREATNPRTRIASEGKKSCAVDSLTRVVGDDETTRPDE >Ma03_p32030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34023181:34030938:1 gene:Ma03_g32030 transcript:Ma03_t32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAKTSVPIRRPAAVFVLLLAALVFAAIVVAIQSSSFFIGSRKSLIDSEEIRILSDFQSRVQQCVASRGLGLTADIIDHCKLVLKFPEGTNSTWYNAQFKIFEPLEYKYDVCEAILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRSICEEHLNLILPAKPPFHPQQFRTCAVVGNSGDLLKTEFGLEIDGHDAVFRDNEAPVNEKYAKHVGLKRDFRLVVRGAARNMVAILDGSSDEVLIIKSVTHRDFNAKIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRARRKQDWSDVPGKEIITSAHMAALRLKREKTGQEGDLGPFGNCKVWGTVDRDGPVSGSPDMADARSKSNYSKWELLPHESLRKEAQKHYAQMGRVSLYKMDGNKLDDLVCVRHSF >Ma10_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28363123:28364504:1 gene:Ma10_g16780 transcript:Ma10_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSCQVRVLPLLLLLLLCYLGPSAAVLFSSLPKTIIVTASPSPGQVLYAGVDQMNVTWAINQSLPGATVSAYKKVKVSFCYAPASQADRGWRKTDDNLKKDKTCQFKITTQPYATAGSVAYTVERSIPTATFFVRAYVLDSEDAEVAYGQSTNAQKTTNLFDVVGITGRHSSLGIAAACFSAFSVVALAFFFVVEKRKAKK >Ma01_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3612074:3615978:-1 gene:Ma01_g05110 transcript:Ma01_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREVAPSRPPNPAMPYREDCWSEGETSALVDAWGDRYIELNRGNLRQKDWQEVADSVNSRRGAGRRPPRTDVQCKNRIDTLKKKYKVEKARITSGGAESQWPFFSRLDALIGSASAPAVKKAPASPPLALPLPFHRRGTPLPAAAAVRSAEPREKRQVASEFPVDNPFFRRAAAAAAAAAEDDEDDEEEEVEGSDSLSRSSSKLGRGLKRGREGEGNGIRELAKAITRFAEIYERVEESKQKQMMELEKQRMEFAKALEFQKMQIFVDSQLQLAKIKRVKRSDTDSYM >Ma00_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16383198:16383449:-1 gene:Ma00_g02190 transcript:Ma00_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERASPMVRYELSRTSANIFIALGRRKEVSVFRRHEIQCSKVMVWTYVNGLALLKGAGQESIEGKLERSNSYPHAEAATYVI >Ma06_p29950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31296706:31305842:1 gene:Ma06_g29950 transcript:Ma06_t29950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKIFKGSSHRISEGQYHEKYRDDGVWNEPSNSLDTLSEYENDDIDHAIALSLSEEEQKRAKAIENASTLEEDELLARALQESLNADSPPRENGHAYQPVPFLFSSGFRICAGCNTEIGHGRFLSCMDAYWHPECFRCHACNQPISDYEFSMSGNYPYHKSCYKELYHPKCDVCKQFIPTNMNGLIEYRAHPFWMQKYCPSHEMDGTPRCCSCERMEPRDIKYVTLDDGRKLCLECLDSAIMDTNECQPLYLDIQEFYEGLNMKIEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVSTILRRPRIGAGNKIMNMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRSLSQEVEEGICQVLAHMWLDSEIISGSGSNVASTSSSSSASTSSKKGSRSQFERKLGDFFKHQIESDTSPAYGDGFRAGNQAVLRYGLRPTLDHIKLTGTFPC >Ma06_p29950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31296697:31305842:1 gene:Ma06_g29950 transcript:Ma06_t29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKIFKGSSHRISEGQYHEKYRDDGVWNEPSNSLDTLSEYENDDIDHAIALSLSEEEQKRAKAIENASTLEEDELLARALQESLNADSPPRENGHAYQPVPFLFSSGFRICAGCNTEIGHGRFLSCMDAYWHPECFRCHACNQPISDYEFSMSGNYPYHKSCYKELYHPKCDVCKQFIPTNMNGLIEYRAHPFWMQKYCPSHEMDGTPRCCSCERMEPRDIKYVTLDDGRKLCLECLDSAIMDTNECQPLYLDIQEFYEGLNMKIEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVSTILRRPRIGAGNKIMNMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRSLSQEVEEGICQVLAHMWLDSEIISGSGSNVASTSSSSSASTSSKKGSRSQFERKLGDFFKHQIESDTSPAYGDGFRAGNQAVLRYGLRPTLDHIKLTGTFPC >Ma06_p29950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31296706:31305842:1 gene:Ma06_g29950 transcript:Ma06_t29950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSKIFKGSSHRISEGQYHEKYRDDGVWNEPSNSLDTLSEYENDDIDHAIALSLSEEEQKRAKAIENASTLEEDELLARALQESLNADSPPRENGHAYQPVPFLFSSGFRICAGCNTEIGHGRFLSCMDAYWHPECFRCHACNQPISDYEFSMSGNYPYHKSCYKELYHPKCDVCKQFIPTNMNGLIEYRAHPFWMQKYCPSHEMDGTPRCCSCERMEPRDIKYVTLDDGRKLCLECLDSAIMDTNECQPLYLDIQEFYEGLNMKIEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVSTILRRPRIGAGNKIMNMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRSLSQEVEEGICQVLAHMWLDSEIISGSGSNVASTSSSSSASTSSKKGSRSQFERKLGDFFKHQIESDTSPAYGDGFRAGNQAVLRYGLRPTLDHIKLTGTFPC >Ma02_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26022672:26027213:1 gene:Ma02_g19910 transcript:Ma02_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGSYLALPTDNCDDENRPPTVFDVDYFCTVKSLIQQEKYEDLLPLAMKKDPPLNLMEDPVLNVVIEYKKTNLAKRLIQNMPAEEHGSLWYANYHGDTALHVAATVGDLDVAEALLRKNSELVSARNNKNETPLHKAALYGHETMFWDLMKMVTHDPYERREDGATVLHCAIMGSAPALALKIAETYPLLITSRNDDAVTPMQLTVTIPGTTLIHASVDAARWVHLLLFRILKQLFPMIERLEKQKKTHKETLELIEFLAYHPDHMEFYSKGRRKGKEKSPLAGDNTTSGTEQSPPSTDAGEGQGVNGGGNRDKAIVRLAEKLFMYMSHKDANHEAAAAKDLQKSMKEAMEELSGESTIRRWDEPPLILGAQMGLPEFVRSILLVRPQAAAYLDTKGRSVLQVAVMYRREEIVKIIMDMRTILPSWLFSEIEPKTGNTILHLASDGSPDVAKKKQDEPDSMELHYDLVWFETVENMVPMELTYSRNAQAKTAKEMFTESHQVMLRSCKRQLMETGRMCSGLVAAIVFASSFSVPGDKDPATGNPVCFGRATFKVFSRAYAIGLSCAATSLVLFLSLATSAYKEQKFRRIIPTKYFFARSSFGFAMLSFLVAFTCNIYQQLYGCQKTKSKDLIPFVMELTVFPVICFLVFFSIPGEKHPATGNPVYFDKLPFRIFSHAFVIGLSIAATSLVLFLSFLVAPYKEQQFRRAIPVKYFFACLSFGIALPAFLVAFTCNIYLQIYGGQRSESKDLIILLLELIVFPFVCTYFFPSFGSFWR >Ma02_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15545533:15549907:-1 gene:Ma02_g04090 transcript:Ma02_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSWSRLTSDSNRRQHTFQSLVDECLGFDEFDGASEDDDSRAEFACPFCSEEFDIIGLCCHIDDEHPDDSKDGVCPVCAARVEMDMVDHIAMQHGSFFKMPWRSRFHKDSSDFQSISSLLRKDLCDSNLHAFLGGSTYTDSPSDSAPDPLLLSFIVNYPMADPLEDVRLEPVEKTSMVDKLSVEKVVESVEPSLSHKDQGRARSSEFVRELVLSTIFEGSS >Ma10_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29650080:29651870:1 gene:Ma10_g18880 transcript:Ma10_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAPRPTTHPFEAAKKSPMGDSKESDGGSGGWMSVPAFGEWDMKNGVPDYSMDFTKIREMRKQNKNTSRASLGNDDELRSGTTNKEEQEAPRHSLPEPDLRRPIHHHHGSPTGRKKFMGYFQCCIGA >Ma08_p28520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40400994:40402051:1 gene:Ma08_g28520 transcript:Ma08_t28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAFANRHRQFTMASRNLSSLLLVALLVFAFSAGSHAGSIAVYWGQNGNEGGLADTCNSGIYSYVMLAFLTTFGNGQTPVLNLAGHCDPPSGTCTGLSSDIRACQSQGIKVLLSLGGDSSSYSLSSSDDAASVATYLWDNYLGGSSSSRPLGDAVLDGIDFDIEHGGPDHYDELAKQLSDLGSQAGTKVYLSAAPQCPFPDQSLGNALQTGLFDYVWVQFYNNPSCDYSSGVSGLSSAWGTWTSSLSSSIVFLGLPASPDAANSGYIPPDDLTSQVLPAINTASNYGGIMLWSRYYDRNSGYGEKVKSSV >Ma05_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34393861:34400486:1 gene:Ma05_g22650 transcript:Ma05_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MDCCRSANPFRLCSALRVLGSFMVFLVLAIVTLSYYAVVVVAWGPRLSHGFPVSVLASVIIVVFHLLLALLIWSYFMVVFHDPGAVPANWRPLLDEESLERTASATLSDYIAPETRASTSSPPEGIERRPNTGYCARCQNGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLIYTFLETILDTFVLLPNFVKFFGDARKHSSSPGNLAVTFLAFVLNLAFALSLLCFVGMHTSLVLSNTTTIEVYEKKKAVIWKYDLGKRKNFEQVFGTKKLLWFLPLFSAEDLEKIPALKGLDFPTRSDVEL >Ma07_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28541842:28548951:1 gene:Ma07_g20620 transcript:Ma07_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSTSSFATSRRMGIYEPNHQIGMWEDSFKADSSQNTCASTIVETDTKLDYMAELEDIPRKELGQPKKYGQETSHSSDKVLRRLAQNREAAKKSRLRKKAYVQQLESSRLKLAQLEQELEQARQQAVYIGGHLRETNIGLSGSVNSGIAAFEMEYGHWVEEQNRQTSDLRTALQAHASDVELEMLVESGIRHYDNLFRIKAVTAKSDVFYLISGMWRTPTERFFLWIGGFRPSELLKVVSSQLDPMTEQQKSAVSGLRQSSQQAEDALSQGLERLQETLSETLTCDPSGTPGVTNYMEQMANAMGKLEALVSFVNQADLLRQQALRQMYSILTTHQAARGLLALGDYFQRLRALSSLWAARPHDPT >Ma07_p20620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28539605:28548951:1 gene:Ma07_g20620 transcript:Ma07_t20620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGKDQLQQDMMELYVGYLENSIPHLEHRSSTSSFATSRRMGIYEPNHQIGMWEDSFKADSSQNTCASTIVETDTKLDYMAELEDIPRKELGQPKKYGQETSHSSDKVLRRLAQNREAAKKSRLRKKAYVQQLESSRLKLAQLEQELEQARQQAVYIGGHLRETNIGLSGSVNSGIAAFEMEYGHWVEEQNRQTSDLRTALQAHASDVELEMLVESGIRHYDNLFRIKAVTAKSDVFYLISGMWRTPTERFFLWIGGFRPSELLKVVSSQLDPMTEQQKSAVSGLRQSSQQAEDALSQGLERLQETLSETLTCDPSGTPGVTNYMEQMANAMGKLEALVSFVNQADLLRQQALRQMYSILTTHQAARGLLALGDYFQRLRALSSLWAARPHDPT >Ma07_p20620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28539605:28548951:1 gene:Ma07_g20620 transcript:Ma07_t20620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGKDQLQQDMMELYVGYLENSIPHLEHSRSSTSSFATSRRMGIYEPNHQIGMWEDSFKADSSQNTCASTIVETDTKLDYMAELEDIPRKELGQPKKYGQETSHSSDKVLRRLAQNREAAKKSRLRKKAYVQQLESSRLKLAQLEQELEQARQQAVYIGGHLRETNIGLSGSVNSGIAAFEMEYGHWVEEQNRQTSDLRTALQAHASDVELEMLVESGIRHYDNLFRIKAVTAKSDVFYLISGMWRTPTERFFLWIGGFRPSELLKVVSSQLDPMTEQQKSAVSGLRQSSQQAEDALSQGLERLQETLSETLTCDPSGTPGVTNYMEQMANAMGKLEALVSFVNQADLLRQQALRQMYSILTTHQAARGLLALGDYFQRLRALSSLWAARPHDPT >Ma09_p25670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37053774:37056466:1 gene:Ma09_g25670 transcript:Ma09_t25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEARPKPSVSTRKRPRSSLTTDQLWTPEKPAQHPRRARNRSVAFSLTEVRRVALGLQRPAGSSHLDPLPVDDSGPCSTPKPSRAKTLPKLPEKYEILCEFFNCMESSIRLLRLKGSMSTFPNISTSIQSLMDRRFTYVHLAQLKHIMPEAIMIKKVLLHDESTCCMKPELQVTLQVDAVAKNIKGRSESGYSILRAVFRERLVEFFKNHPQGDEVPEEQLPHPFNPTKLSVHKSVTINADPSGTKSSSSAPIQQQFLVPSHMSQSFKRHFSRKIPVLNPEKTPPMCSSEACPKDDHSTFVDSSAISKKSLLSSPISTTLPVVEGGDERDAAGSPRADNYPHEESNVEKGTPAKLVCTPLRLMTDTPEIPTSKRLRTTPSNKSVRRSARTKLFMTPEKSANECDDDGSLSASDDVLNFLPKTLLQSINVKEQKAVQEKQAGFADAIRRQKLIASLPNIFDMILLTFQSWKRSVMTKHELTNKLISSHSKIVDQGEVEEQLKLLLELVPDWISEKIACNGDTLCW >Ma03_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28352533:28360845:-1 gene:Ma03_g23970 transcript:Ma03_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRPPQRGARPGPWPPAAEAGTAAGAPAPAPAPLSWAKRTGFKGRVSGESNASNSGQIALPKPKEPGSDLDLEAGRRGHAASALPSPPVPAAAAAANGEPDNMAGGGVGGGGAAPLPADQTARSRRDSDGGNKNAGLGPNGQAVRSDQPLRQRREQETATLPQLEEEEGGFSLRRVHIKYELRDSPGLVPIFFYGIQQYLSIMGSLILIPLVIVPAMGGTHEDISAVVSTVFFISGVTTLLHTFFGTRLPLIQGPSFVYLAPALAIINSPDFQGLNENNFKHIMKELQGALIISSAFQAIMGYTGMMSLLLRLINPVVVSPTIAAVGLSFFSYGFTQVGNCLEIGMVQILLVVVFSLYLRKIRIFGHRIFLIYAVPLGLGITWAIAFLLTASGVYSYGGCDIHIPASNTLSEYCRKHVPRMKHCRVDTSHALRSSPWFRLPYPLQWGTPVFNWKMAIVMCVISIIATVDSVGTYHASSLLVASRPPTAGVLSRGIGMEGISSIFAGLWGTGVGSTTLTENVHTIAVTKMGSRRAVELGAVMLILLSFVGKVGGFIASIPDVMVAGLLCFMWAMLAALGLSNLRYSETGSSRNNIIVGLSLFFSLSVPAYFQQYGLVPNANSSVPSYFQPYIVASHGPFHTGYKGINFVLNTLFSFNMVIAFLVAVVLDNTVPGSRQERGVYVWSELEAARREPAVTRDYELPFKVGKIFRWVKWVGL >Ma09_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:92219:108520:-1 gene:Ma09_g00110 transcript:Ma09_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] PPDSEDLEFSREDLEVIKVIGKGSGGIVQLVRHKWHGTFYALKGIQMCIQETVRKQIVQELKINKASQCSHVVVCYHSFYHNGVISLVLEYMDRGSLADIIKQVKTILEPYLAVVCMQVLKGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGHFPYTSTEQEESLSFYELLEAIVDQPPPFAPPDQFSPEFCSFISASIQKDPKQRLSSLELLSHPFIKKFEDKDIDLAILVGSLDPPVNLSE >Ma04_p37420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35346923:35347162:1 gene:Ma04_g37420 transcript:Ma04_t37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNACIVGLCTMKLEACEGSYHVYCSHLCCPLGWLSFLIDHSVPLFIRSGCQISWFDACNYGVIVFRSGDVLIVKMLYTI >Ma03_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28157338:28161276:1 gene:Ma03_g23620 transcript:Ma03_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEESAVKEPLDLIRLSLDERIYVKFRSDRELRGKLHAYDQHLNMILGDVEEIITNVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >Ma04_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4479981:4483273:1 gene:Ma04_g06000 transcript:Ma04_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPTSFMVHVLFILFSLLPHPTLHLRTSNITDAMALLAFREGIRDPDNVLSNWNESTDVCDWIGITCNDTSGRVKQLVLPQRSLHGTISPFLSNLSQLQVLDLSYNFLRGSIPGEIGALSDLGVISLRNNELQHTLPDNLGMLANLWYLDLPSNKLEGKLPPSIFHNCTNLKYVDLSNNSFEGSIPLQIGTSLNHLRSLFLARNSLRGSIPASLSNATNLTGIALHMNSLSGGLPSEIVVHMPALKSLSLSYNKLSSDEEGEELNHFFRAISNLTQLEELEIAGNDLRGTLPSMAGLLHVNLSIIFLQDNRIRGAIPSDVSDLRNLTSLDLSRNLLEGTIPLQLFLLPKIERIWISDNLLHGELPSLPDISTKLGSLDLSRNKLSGRIPSSVSKLRSMRYLLLGGNLLAGSIPSSLGSMKLEKLNLSHNQLTGAIPAEVASLSTMAFFFDLSHNSLQGMLPKELSKMEMVQEIDLSSNNLSGSIPPEVGVLCRNVHLLNLSHNSLQGPIPETFGNLRNLESLDLSSNSLSGGVPESLRNCINLKRLNLSYNRLSGALPCGGVFDNLTQESLEGNEPCGVERLRSSHSTHTLKFLVSLVSIIFMVLFFLTITCVAAAKARRGTAFLRNGVDGSWHSANLSSRHRRVTYRELWEATGGFDQSRLIGSGGFGHVYKAMLRDGSSLAVKVLQLQDHNSARTFNRECEVLKTIRHRNLMGITTACSLPEFKALVFPFMSEGSLEDHLHPKGDRMSPRLSLTERVSICSDVAEGMAYLHHHAPVQVIHCDLKPSNILLADDMTAMVSDFGIAMLVSPGGEGNASSDRMTNSTTNLLRGSVGYVAPEYGHGRPASTKGDVYSFGIVVLEMVTGKRPTEDMFDEDLSLINWVKRHYASRLVNIVDSSLVKNIREQSHEVKRVWEVAIMEMIELGLLCTQEAPASRPTMISVADDLNKLKDYLGGDTTATLASSQGMTSSIADIGDDW >Ma03_p31570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33750344:33752144:1 gene:Ma03_g31570 transcript:Ma03_t31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TT7 [Source:Projected from Arabidopsis thaliana (AT5G07990) UniProtKB/TrEMBL;Acc:A0A178UNZ9] MDLILLGLFTLGLSSLILFFLHLKQSRRKPLPPGPRGWPILGNLPQLGPKPHRTLHALAKVHGPLFRLRFGSVDVVVAASAAVASQLLRAHDAIFCDRPPNSGAEHVAYNYQDLVFAPYGPRWRMLRKLCSVHLFSAKALDDLRWVRQGEVGLLVHALRACGDAPVNLGYAVNVCATNALARATMGRRVFEEDGSREGAGEFKEMVVELMRLAGEFNVGDFVPWLNWLDPQGVVARMKRLHRRYDEFLDGIIAEHRRRAEAAEGEDDPSGRGRDLLSVLIALTERPDGEGDGGKLTDTNIKALLLNLFTAGTDTSSSTVEWALAELIRHPDVLKQAQRELDSVVGRSRLVTESDLPNLRFLQAVIKETFRLHPSTPLSLPRVASEACEVGGYQIPRGATLLVNIWAITHDPASWPNPLEFNPARFLPGGGHESVDLRGQDFELIPFGAGRRICAGMSLGIRMVQFMTATLVHAFDWSLPEGQKPEKLDMEEAYGLTLQRAVPLMVHPRPRLTSAAYEAGC >Ma05_p28010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39108336:39115561:-1 gene:Ma05_g28010 transcript:Ma05_t28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLIKLLQHMNTDVKVAGEHRSSLLQVASIVPALAGSDLFTNKGFYLKVSDSSHATYVYLSDEHNDLILSDKIQLGQFIHVDRLEAGSPVPILKGVRPLPGRHQCVGNPEDLVATSSLNFLNAEKPKPSNDSKYNSSTSSESEKSKLGISKSNIKAPEVEKKKSSLTKSSSVLSNQLVKGKSEKKFAVTARSKSMISRTIPSSPTSVYSLPGSFEKFSNEVKKHARAKGLEKPATSRMGLEKAASVLKVTTAGRKSSTGNFLGNLVPSIELGPKALRKSWEGHMESKGRDSSTLKAAKLERRSESRSTSAPRQKSSTNEKLLPKDDSKIQTPVKKGIVSAAAEDSDKTVKHRPPVLRKSSETTNGLNLVNLVKVVPSNRKWTDGSVSWQTLPSSLAKLGKELLKYRDAAQLAAIEAIQEAGAAESLIRCLSMYAELSATAKEDNPQPAVEQFLAFHSSLSRAAMVTDSLSKTMSQTPAVASPDAPPGVDAIPEDALKVSTGNRRRAASWVSAALATDLSHFSLYNHKSSTASSASPAVVVLEGPSKTAAAAASPAKASPQSKPRPSPALASATKGKARGTAPPSPPPLEWDRGAGLEEGAELARALREDARAWFLEFVERFLDADAAAPVPSDREQVAAMLSQLKKVNDWLEAIGSQRREGETEPEAEAGETEGGEGPSSGVPVETIQRLRKKIYEYLLTHVESAAVALGGGVHAAAPHPPAAPGGRPGRK >Ma05_p31900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41613983:41615233:1 gene:Ma05_g31900 transcript:Ma05_t31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSTPTPATFDGPVVVVGPQFCAPHVVDLTVTKKALSLTDSDFAVTDVNGNVVLKVKGVFFSLRDRCVLLDAAGNPLLTLQQKILSAHRRWQVFRGESTDSKDLLFSVKKSRLLQFKTELHVIMASNTNEEACDFKIKGSYFERSCTVYLGESNSIVAQMSRKYTVKNVLLGKDTFGVTVYPNVDYAFVASLIVILDEINKDRSGQD >Ma01_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10486652:10487666:1 gene:Ma01_g14340 transcript:Ma01_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSREIHGSRPAPLKIHKDSHLIHKASSSSSSSSTTTNASSQQQQRHRPVIIYTHSPKVIHTQAHDFMALVQKLTGLSRSTNDDISSSLPPAPPAANASRLHKDSNRAAVSASDDSSSSSENSSLGGDVHVTCSSLTSVGAISPIAFEPLPPPNPFFSEIPMFTPTSEDFICSSMPFYRYPDSSMLSPSIHNMGSAISPPYTDAMKTYHEY >Ma09_p06810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4346761:4352204:-1 gene:Ma09_g06810 transcript:Ma09_t06810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEAPKSTGETAAPAQKSPSPLPVDNKKQIPAGIQGNLANNYHRADGQNCGNFITDRPSTKVQAAPGGGSSLGYLFGGGGN >Ma09_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4346828:4350222:-1 gene:Ma09_g06810 transcript:Ma09_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEAPKSTGETAAPAQKSPSPLPVDNKKQIPAGIQGNLANNYHRADGQNCGNFITDRPSTKVQAAPGGGSSLGYLFGGGGN >Ma09_p06810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4346828:4352169:-1 gene:Ma09_g06810 transcript:Ma09_t06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEAPKSTGETAAPAQKSPSPLPVDNKKQIPAGIQGNLANNYHRADGQNCGNFITDRPSTKVQAAPGGGSSLGYLFGGGGN >Ma10_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29574512:29584272:1 gene:Ma10_g18780 transcript:Ma10_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKSKVEEEASDPIDGELVLSIEKLQEIQDEIEKVNEEASDKVLEVEQKYNEIRRPIYKRRNEIIQSIPDFWLTAFLSHPVLGDLLSEEDQKIFKYLVSLDVEDSKDVKSGYSVTFNFSPNPYFEDASLTKTYSFYDEGTTNITGTTIKWKEGMNVANGVAHEKEGGKRPLAEESFFSWFSETQQKNLTEGFSDEVAEIIKEDLWPNPLKYFNNEADEEDFDGDEDDDEKGTDFDDEDESGGDEEGDGDDN >Ma10_p18780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29574512:29584272:1 gene:Ma10_g18780 transcript:Ma10_t18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKSKVEEEASDPIDGELVLSIEKLQEIQDEIEKVNEEASDKVLEVEQKYNEIRRPIYKRRNEIIQSIPDFWLTAFLSHPVLGDLLSEEDQKIFKYLVSLDVEDSKDVKSGYSVTFNFSPNPYFEDASLTKTYSFYDEGTTNITGTTIKWKEGMNVANGVAHEKEGGKRPLAEESSFFSWFSETQQKNLTEGFSDEVAEIIKEDLWPNPLKYFNNEADEEDFDGDEDDDEKGTDFDDEDESGGDEEGDGDDN >Ma10_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33022452:33023771:1 gene:Ma10_g24420 transcript:Ma10_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANGFLCRSHIDAVCVPGDPRSMIRPRRPDRTLVEHSRLVDLKYSRLFDSRRFHSGDRSRAVTLPMVTKKQRDPPRPAKTSTSSVPSLPPPSDHVFQVVVMRVSIHCQGCAGKVRKHISKMEGVTSFSIDLESKRVIVMGHVSPVGVLESLSKVKKAEFWPC >Ma03_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2751092:2762982:1 gene:Ma03_g04210 transcript:Ma03_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFGKLRNLDAYPKINEDFYSRTLSGGIITIVSSIVMFLLFISELRLYLHAVTETKLVVDTSRGESLRINFDVTFPALSCSMLSLDAMDISGEEHLDVRHDIIKKRLDSHGNVIETRQDGIGSPKIEKPLQRHGGRLEHNETYCGSCYGAEASDEDCCNSCEEVREAYRKKGWGLSNPDLVDQCKREGFLEKIKNEEGEGCNVYGFLEVKKVGGNFHFAPGKSFQQSNMHVHDLLPFQKESFNISHKINKLAFGEYFPGVVNPLDGVQWVQHTPNGMYQYFIKVVPTVYTDINGRTIQSNQFSVTEHFKSDDTGRLQSVPGVFFFYDLSPIKVTFMEGHVSFLHFLTNVCAIVGGIFTVSGILDSFIYHGQRAIKKKMEIGKFS >Ma11_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10934529:10936530:-1 gene:Ma11_g10940 transcript:Ma11_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPFKLDADELLDEFTEGNYTTLADMKRVWMAKKFSYIYEAKPTSNEAFFMQSLYSYSIRALLRRLGGLYCLYCLYETQPYKPAFKIYYLFISVPWVFEATDTMDVEDAKHIIEDNKLVGDMVDDIVKDWDAQKETFYKQTSIIIHNELGGDR >Ma10_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21486298:21490087:-1 gene:Ma10_g07150 transcript:Ma10_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSAQAPLAAVAATSGESVRRRAGDAVRGRSSANGDAASSMGSLYEFRNGRGEIEMNGSGSVKQSPPPLLQQSGTPKLLTLPTVLTIGRVAAVPLLVSTFYVDGWWATTATTGIFLLAALTDWLDGYIARKMRLGTAFGAFLDPVADKLMVSATLVLLCTKPLKCAVFWDVPWLLAAPSITIIGREITMSSVREWAASQNGRALEAVAVNSFGKWKTATQMIALTVLLASRDPRLAAMTGLVAAGVVLLYISAGLAVWSLVVYMKKIWRLLLK >Ma03_p27600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30920456:30920793:1 gene:Ma03_g27600 transcript:Ma03_t27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKLYGAQMLCRLVQKTKTVTLSDSNGGTMEAQQQSDHLGDQGGLATCCSPTLPQCGSPHLFYGTGESPDEVDVRDLWQ >Ma04_p34530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33676923:33677985:-1 gene:Ma04_g34530 transcript:Ma04_t34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHLNAYTSREQTTYYAKVLDKDVPKALEILADILQNSCFDEKRIERERDVILREMEEHNHLLLRTSFCSCCVDLLLLPCNPICFY >Ma01_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4860391:4863933:1 gene:Ma01_g06770 transcript:Ma01_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCTAAAAPVATDLRTRSICLCPLELSAVATPRFSPFRRQSMLGRGLTAVPQRRLLRRIEAKKQTYSSLEKCDKPLLVGFYATWCGPCQFMVSVLEEVSEKLKDRIQVIKIDTEKYTNIANCYQIEALPTFIIYRDGKPCVRFEGAMPAHQLIQRIEADLKVKQ >Ma07_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3455377:3463119:-1 gene:Ma07_g04710 transcript:Ma07_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDNAAGMKEAAVVSYSDRAPVMALPGCAAAPSSEDVGKKTRKPYTITKSRESWTEQDHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTNEHVPPPRPKRKAAHPYPQKAAKNVTQIPEVKTLLQTSCLLEPGYASTGETSSILRDSTTITGSSWVQSSVQPVGTCHPIKDDKVNVGVMMANNCCSSSSGSPTTWPACETTDQENQFTSLHVTPDFAQVYGFLGSVFDPNTSGHLQKLKAMDPINIETVLILMRNLHLNLTSPEFEAHRRLLTAYGGGTEEAKSASTGNMYHSTTALNSPLVVQGE >Ma11_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6262373:6267787:1 gene:Ma11_g07860 transcript:Ma11_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKWEIVHVPGEPESSLEVSTVDVLASKIEPKLANKIVRQLNQVCPLENLRHVKRVRKRTVEGNAELSVILCLSDEYEKDAEAIPRGIHQLISDYNLCPYNAKVAKYAARSKEEWEEQCKLWPTSYHPSTNFDGVAGLSEGELQLIFNYMKVVIQLTKLSYTGGKVLNAAIIVDPISRQVIASANDQTCPWPTTYETSARYNCNDRCGVTIASHDSNLNGLQNSLEISVQKFQLDICSDVCAGVSCLNPWGWTAQKQSSQSSSMKSESKHTWHPLRHAALVAIENAAERDRQLFPGPGSSESQSTPNGNPLCADNCPAKRQKIQQHVCQLDLFRQEHSMVKEVPDNREPTEIMRPYLCTGFDIYLVWEPCTMCAMALVHQRIRRIFFALPNPNAGALGSVYRLQGEKSLNHHYSVFRILIPEQDLNRVELNVSDNFLLHG >Ma11_p07860.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6262373:6267787:1 gene:Ma11_g07860 transcript:Ma11_t07860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKWEIVHVPGEPESSLEVSTVDVLASKIEPKLANKIVRQLNQVCPLENLRHVKRVRKRTVEGNAELSVILCLSDEYEKDAEAIPRGIHQLISDYNLCPYNAKVAKYAARSKEEWEEQCKLWPTSYHPSTNFDGVAGLSEGELQLIFNYMKVVIQLTKLSYTGALQVLNAAIIVDPISRQVIASANDQTCPWPTTYETSARYNCNDRCGVTIASHDSNLNGLQNSLEISVQKFQLDICSDVCAGVSCLNPWGWTAQKQSSQSSSMKSESKHTWHPLRHAALVAIENAAERDRQLFPGPGSSESQSTPNGNPLCADNCPAKRQKIQQHVCQLDLFRQEHSMVKEVPDNREPTEIMRPYLCTGFDIYLVWEPCTMCAMALVHQRIRRIFFALPNPNAGALGSVYRLQGEKSLNHHYSVFRILIPEQDLNRVELNVSDNFLLHG >Ma11_p07860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6262373:6267787:1 gene:Ma11_g07860 transcript:Ma11_t07860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKWEIVHVPGEPESSLEVSTVDVLASKIEPKLANKIVRQLNQVCPLENLRHVKRVRKRTVEGNAELSVILCLSDEYEKDAEAIPRGIHQLISDYNLCPYNAKVAKYAARSKEEWEEQCKLWPTSYHPSTNFDGVAGLSEGELQLIFNYMKVVIQLTKLSYTGALQVLNAAIIVDPISRQVIASANDQTCPWPTTYETSARYNCNDRCGVTIASHDSNLNGLQNSLEISVQKFQLDICSDVCAGVSCLNPWGWTAQKQSSQSSSMKSESKHTWHPLRHAALVAIENAAERDRQLFPGPGSSESQSTPNGNPLCADNCPAKRQKIQLDLFRQEHSMVKEVPDNREPTEIMRPYLCTGFDIYLVWEPCTMCAMALVHQRIRRIFFALPNPNAGALGSVYRLQGEKSLNHHYSVFRILIPEQDLNRVELNVSDNFLLHG >Ma11_p07860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6262373:6267787:1 gene:Ma11_g07860 transcript:Ma11_t07860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKWEIVHVPGEPESSLEVSTVDVLASKIEPKLANKIVRQLNQVCPLENLRHVKRVRKRTVEGNAELSVILCLSDEYEKDAEAIPRGIHQLISDYNLCPYNAKVAKYAARSKEEWEEQCKLWPTSYHPSTNFDGVAGLSEGELQLIFNYMKVVIQLTKLSYTGALQVLNAAIIVDPISRQVIASANDQTCPWPTTYETSARYNCNDRCGVTIASHDSNLNGLQNSLEISVQKFQLDICSDVCAGVSCLNPWGWTAQKQSSQSSSMKSESKHTWHPLRHAALVAIENAAERDRQLFPGPGSSESQSTPNGNPLCADNCPAKRQKIQEHSMVKEVPDNREPTEIMRPYLCTGFDIYLVWEPCTMCAMALVHQRIRRIFFALPNPNAGALGSVYRLQGEKSLNHHYSVFRILIPEQDLNRVELNVSDNFLLHG >Ma11_p07860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6262373:6267787:1 gene:Ma11_g07860 transcript:Ma11_t07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTMKWEIVHVPGEPESSLEVSTVDVLASKIEPKLANKIVRQLNQVCPLENLRHVKRVRKRTVEGNAELSVILCLSDEYEKDAEAIPRGIHQLISDYNLCPYNAKVAKYAARSKEEWEEQCKLWPTSYHPSTNFDGVAGLSEGELQLIFNYMKVVIQLTKLSYTGALQVLNAAIIVDPISRQVIASANDQTCPWPTTYETSARYNCNDSDVCAGVSCLNPWGWTAQKQSSQSSSMKSESKHTWHPLRHAALVAIENAAERDRQLFPGPGSSESQSTPNGNPLCADNCPAKRQKIQQHVCQLDLFRQEHSMVKEVPDNREPTEIMRPYLCTGFDIYLVWEPCTMCAMALVHQRIRRIFFALPNPNAGALGSVYRLQGEKSLNHHYSVFRILIPEQDLNRVELNVSDNFLLHG >Ma02_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16303639:16304253:1 gene:Ma02_g04910 transcript:Ma02_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCSSSPVASFAAHDELAMPDTDRFYCWLLQVFVLSGLVVFFIWLSLRISDPSYTIVEVTILQPNNGTADSTVFFGIEIANRNRGCGVYYSDMNVSLYVMDASVGSTTIQPFYQGHGKTAQVRGGASCGRWVSDAISSGAAQLRFELMAAVKYKMWFWRSRLHRMSMQGSVHVGKDGKLSRTSKMVRMRPSQKKRRSRLKPRK >Ma01_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14927302:14929044:1 gene:Ma01_g19370 transcript:Ma01_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDFARCALTMPSRPQRDGSIESPSSAAYQKLLVQCILKNRSRIFAFKSAPESPADKVCQFDEDNRPHKKQQRRIPKDPDRVLAAYDIIDDDRLNLLDWGSNNVLAIGLNDTVYIWNAANKSGTKFSRALENNSPVTSISWSPDGKVLAVAFGNSDLDLVDAATGRLLVGIQGDSHSFVCSLAWRSNAILTTGKSDGSVVDYDIRKDDRAICDYKGHRLEVCSLKWSELFGRYLASGGKDKLVHIWDARMAVANHHPCQHQLLHKINNHTSTVRALDWCPTRSNLLASGGGRNDHCIKFWNAANGVCLNSIDTGSEVCALLWDKNKSELLTSHGFPNNQLTLWNYTSMTRKAELFGHSSRVLYLAGSPLGGVVASAAEDETLKFWNVFETPKPPKPKANTMPFAQFSVIR >Ma06_p35420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35254044:35257843:-1 gene:Ma06_g35420 transcript:Ma06_t35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVDPAPSTASPSSPVVIVDGQAAGSASAAAGEGSSGDGVVRDGPPSGGGAAATEDRVKGPWSPEEDAILSRLVSKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPYVKRRPFTDEEDRIIIAAHAIHGNKWASIARLLEGRTDNAIKNHWNSTLRRRCNEEAEWCKTTASDALKDATIEKTKGSLDESPSFSYSNSFKSMEAREASLRENAFDDSREVVIIRDEEAPKPEIKDPPYLYRPVARVSAFSPYNRMPGRSAGPQVSRGDKLGHPLYEIFKPGGGICKLLNSTCCDPQVPHQCGHGCCSTPAKSHSGSSLLGQEFIEFVEPPPISSDDLAAIVSDISSIAWFRSGLNSSNTGMYACPPGQVDSQGVHI >Ma07_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21763114:21778555:1 gene:Ma07_g17730 transcript:Ma07_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDLGVSAFINIVGAFAFLILFAVLRIQPINDRVYFPKWYITGGRNSPRVRGRGVGKFVNLNLRTYFTFLNWMPGALRMSESEIIQHAGLDSAVYLRIYILGLKIFVPITLLALLILIPVNVSGGTLLNLNKKIMYSDIDKLSISNVNAGSQRFFIHLLMAYLFTLWTCYILYKEYDNVAFMRLHFLASQNRRVDQFTVVVRNVPHVSGHSISESVEQFFHRNHPDHYLGHQAVYNANKFAKLVRQKERLQNWLDYNQLKFERQPEKRPTTKRGFLGLCGERVDAINYYRERISELDEKIAYERQRILKDPKAVMQVAFVTFDSRWGAAVCAQTQQSKNPTKWLTEWAPEPRDVYWENLPIPFVSLSIRRLIISIAVFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIEIKVIKSFLQGFLPGLALKVFLYILPTVLMIMSKVEGYLSLSSLERKAAAKYYYFMLVNVFLGSIITGTAFEQLYSFIHQPPTQIPITIGVSIPMKATFFMTYIMVDGWAGIASEILRLKPLVIYHLKNMFIVKTERDREKAMDPGSIDLPENLPSLQLYFLLGLVYAVVTPVLLPFILVFFAFAFLVYRHQIINVYNQEYESAGAFWPHVHGRIIASLLIAQLLLLGLLSTKKAANSTPLLIILPILTIWFHKYCKSRFEPAFRKYPLEEAMEKDILEKASEPNLNLKAYLADAYLHPIFHSFVDDDDEEEKIEVRVDKGRSHIPSPTRSEISSESPPRYVYHYEFEP >Ma09_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11838954:11841352:1 gene:Ma09_g16470 transcript:Ma09_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEACCTLLRPPILPYRQALAKKELYVGASDLVSVSGKTASRTAMRLFNINHLIGMKKAISWGNHPNRSLPKRKISVSVMSFDTVNPRTCTTISGYWVGPDAEDGWGYVEAVVDRSV >Ma09_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19092435:19092820:1 gene:Ma09_g18770 transcript:Ma09_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRVVVNVPSGATCRTERLTWGGVLRQIRGVTSSQFFTPIIYDFKKFGPSTERRKRKHHMFIVISMDSEGLLQNLVKNRLHKWLRCLAWYRCSRT >Ma03_p28080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31245043:31251687:1 gene:Ma03_g28080 transcript:Ma03_t28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVGDEQFLLAKKVALRELPNESKNITNKPLLTSLPKDCGKGLTHESGKVVGTKRQQPDGPPSPSSNLPQVKVSPLANLVYTRRKLETEQGKMGACVYIDHAESPELRKLSSNCTKRLNMQKELIQEPKVVSSLSYVSDAITSPATSSMGLSFPHSHGKSISRLAGPEPQDSAIAAECPFLADPPHKVHKEGWKDRFLRLQMFLKTCDQSYQEDYIQMLRSLSAIGRSRHAVELEKRAIHLLLEEGKELQRMKALNVLGKSQPNDHASILSQTPLASRAPER >Ma03_p28080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31246438:31251687:1 gene:Ma03_g28080 transcript:Ma03_t28080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVGDEQFLLAKKVALRELPNESKNITNKPLLTSLPKDCGKGLTHESGKVVGTKRQQPDGPPSPSSNLPQVKVSPLANLVYTRRKLETEQGKMGACVYIDHAESPELRKLSSNCTKRLNMQKELIQEPKVVSSLSYVSDAITSPATSSMGLSFPHSHGKSISRLAGPEPQDSAIAAECPFLADPPHKVHKEGWKDRFLRLQMFLKTCDQSYQEDYIQMLRSLSAIGRSRHAVELEKRAIHLLLEEGKELQRMKALNVLGKSQPNDHASILSQTPLASRAPER >Ma06_p38010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36911338:36914542:1 gene:Ma06_g38010 transcript:Ma06_t38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADAEIEELLDRKKPVKNPLVPVGALITAGVLTAGLISFRQGNSQLGQKLMRARVVVQGATVALMVGSAYYYGEHFRGSKNEK >Ma09_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9045002:9049429:1 gene:Ma09_g13420 transcript:Ma09_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQEIFLSDPFPVLDVGKFVLGSCCLV >Ma01_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3778666:3784873:1 gene:Ma01_g05370 transcript:Ma01_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALKCLRIGKDALESGDRARALKFLSKARRLDPALPIDDLISAVAAADGESGGADDSAASADSSQPDGPSGGASSRAAAAAASSSRSKARVSSDGSVSSRDYTEEQVTVVRQIKKEKDYYQILGLEKGCTVEEVRKAYRKLSLKVHPDKNNAPGAEEAFKAVSKAFQCLSDEESRKRYDLVGSDEITLTRPAARHRNRGFNGFYDEDIDADEIFRNFFFGGGPPVATPFGTFRFRAGGMGGPSAQEMRGSGNPNLRMLIQLLPVIILLLLNFLPSSEPLYSFSRSYPYEHKLETSRGVAYFVKSVKFEEEYPYQSPKRIALEDRVERDYVGILSQNCRVELQRRQWGLSFQTPYCDKLQKFQATT >Ma01_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:352129:355816:1 gene:Ma01_g00500 transcript:Ma01_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVISKPFVAVVVLLLLGLAASAAAAALDTSIVSYEARRRVGGLRRSEEDVRRLYEEWMVKHRRSYDAVGEKEKRFEVFKDNLRFVDAHNAAAEAGGRGFHLGLNRFADLTNEEYRAAYLATRPPATAGRNRVASHRYVHHAGDELPASVDWRAEGAVAAVKDQGRCASGWAFATIAAVEGINKIVTGDLIRLSEQELVDCDTAYNLGCNGGIVDYAFAFIISNGGIDTEDDYPYKGHEDKCNWLRKNAKAVSIDGFEDVPTNDEKALLKAVANQPVSVAIEAGSREFQLYRSGVFTGRCGTDLDHGAVIVGYGTDHGKDYWIVRNSWGEDWGEAGYIRMERSVNTSAGKCGIAMIPSYPTKKDPKHGPCHPSSVNPPTSCDSQYACLSGTTCCCVYENGRYCYAWGCCPSEAATCCEDHYSCCPQDYPFCNVQAGTCQMSKDNPLGVKALASSPATPYWWNSGIDARKSSNE >Ma04_p19720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22339310:22343949:1 gene:Ma04_g19720 transcript:Ma04_t19720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSMAKSKVPETNKENPGAREVEMNVGEAKSEYTMDGSVDFGGNPAAKAKSGGWVAGAFVLVNQGLATLAFFGMNVNLVLFLTRVLQRNNADAANDVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAVFQVIFVLGLVLLSLSSHLFLIKPSGCGDSHTPCGTHSSFEVKMFYLAIYMIALGNGGYQPNIATFGPDQFDEEDPREAHSKVSFFSYFYLALNLGSLFSNTFLSYLEDHGMWTLGFWASSASACVALVLFLSGTPRYRHFKPCGNPLSRICQAVVAASRKWRVKMQPGGVDLYDTDEEEFQETNGSKKILHTEGFKFLDRAAFVTAGDFTLQDQSLRRNPWRLCTITQVEEVKCVLRLIPIWLCTILYSVVFTQMASLFVVQGAAMRTTVGAFSIPPSSMSAFDILGVVIFIFLRDRLLHPLVCRIKKSRVGLTELQRMGVGLVIAVMAMIAAGTAEHFRLKQARAPCSGCSGAASSLHILWQVPQYALIGASEVFMYVGQLEFYNGQAPYGLKSFGSALYLTSMSFGNFFSDLIVTAVMKLTARGGRAGWIPENLNHGHLDRFYFLLAALTSADFVVFVACAKWYKSTKLEG >Ma04_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22339311:22343949:1 gene:Ma04_g19720 transcript:Ma04_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNLVLFLTRVLQRNNADAANDVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAVFQVIFVLGLVLLSLSSHLFLIKPSGCGDSHTPCGTHSSFEVKMFYLAIYMIALGNGGYQPNIATFGPDQFDEEDPREAHSKVSFFSYFYLALNLGSLFSNTFLSYLEDHGMWTLGFWASSASACVALVLFLSGTPRYRHFKPCGNPLSRICQAVVAASRKWRVKMQPGGVDLYDTDEEEFQETNGSKKILHTEGFKFLDRAAFVTAGDFTLQDQSLRRNPWRLCTITQVEEVKCVLRLIPIWLCTILYSVVFTQMASLFVVQGAAMRTTVGAFSIPPSSMSAFDILGVVIFIFLRDRLLHPLVCRIKKSRVGLTELQRMGVGLVIAVMAMIAAGTAEHFRLKQARAPCSGCSGAASSLHILWQVPQYALIGASEVFMYVGQLEFYNGQAPYGLKSFGSALYLTSMSFGNFFSDLIVTAVMKLTARGGRAGWIPENLNHGHLDRFYFLLAALTSADFVVFVACAKWYKSTKLEG >Ma11_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22666553:22667403:1 gene:Ma11_g17340 transcript:Ma11_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYVPRPVAPTCPRCVSSNTKFCYYNNYSLSQPRYFCKACRRYWTEGGSLRNVPVGGGCRKSRRGKPARSPSAVAAVAGRDVIRPDLMLNDIVSNSGVAPAPADGSAIDLEVLYAKYLDPSPAVRPESSQPAVESGSCNQIPAPFPDHQLFLEWESILTQPVEEDLQNKVDSSSPRAYPTQPVDNYVWWGDSSSDADLIHEEQSLVHDNWIGSLDDSSWEAFYRC >Ma10_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16920803:16927055:1 gene:Ma10_g05580 transcript:Ma10_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] MQRRTEESIHGRMAEQRLACIREIEIHRQRADLAASSFRHSLLSVRSLAGHNLADREKLGRLKDSLKELEADLVEALSVKTGKESKSTFLAEALSSTASRTEQLKKTILDLRRKRDKHSAVISEQLLALEALEAKSSQYILERQKTEEAIEWYNRILGFRAECGEGVKFIFDKIDRKNPNEEYSFSIRLDNDAYNLLDCNTILEGIPELIMDLNKTNGLFKFARIMREKFQVAASNGMLPTSMSVYPDSSSVTVSSAPPASVDSKSETSVMQNYLRVKVNDHQYDPHKKVNTGQPAIQSPRSVSALRRSPRFLGRRIN >Ma10_p05580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16920803:16926116:1 gene:Ma10_g05580 transcript:Ma10_t05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] MQRRTEESIHGRMAEQRLACIREIEIHRQRADLAASSFRHSLLSVRSLAGHNLADREKLGRLKDSLKELEADLVEALSVKTGKESKSTFLAEALSSTASRTEQLKKTILDLRRKRDKHSAVISEQLLALEALEAKSSQYILERQKTEEAIEWYNRILGFRAECGEGVKFIFDKIDRKNPNEEYSFSIRLDNDAYNLLDCNTILEGIPELIMDLNKTNGLFKFARIMREKFQVAASNGMLPTSMSVYPDSSSVTVSSAPPASVDSKSETSVMQNYLRVKVNDHQYDPHKKVNTGQPAIQSPRSVSALRRSPRFLVMCCKLVSQSA >Ma03_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23491243:23494540:1 gene:Ma03_g17870 transcript:Ma03_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTALAFILSLATLLLYLRRVRTPRRSSLPPSPPALPIIGHLHLLSSMPHHALARLSSHLGPILHLRLGRVPTLVVSSPRLAREVLKTHDAALASRPRLLSGVYLSFDCSDVTFSPLGPYWRQARRICVSELLSPRRVASFSRLRRTELRRLLGSLKPPPTSSSSPPPPVDLSARFFALANDVLCHAAFGRRFSDAGGGRLVEVLTEAQALFAGFTLGDFFPGLGWVTSVTGLTRRLERNRAELSAVCDEIIGEHEARLDEADREEDFVDVLLRVRKSPDLEVPITDDNLKALVLDMFVAGTDTTSATLEWVMTELARHPRVMKIAQEEVRSIVGGKTEVADGDVDQLHYTKAVIKETFRLHPPVPLLVPRESVDPCVIDGYHIPAKTRILVNTYAIGRDPQVWENPLEFYPERFENSDVDVKGQSFELLPFGGGRRGCPGYPFALATLQLTLSSLLYHFDWELPPGVGADEVNMDEIFGLATRKREPLVLVARERAGCEFEEDESTDT >Ma08_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5839174:5846836:1 gene:Ma08_g08390 transcript:Ma08_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRRCPRYGRLSSIALFLLILLASNSSLALAQESETDNGENASAVLPKSLDRRTKMLLRPFRKDEDASWEGVVSLDQTGLGLFDAFFASFSMIIVSEIGDETFIIAALMAMRHPKSIVLSGALAALFVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKTSQKKEMEEVEEKLESGQGKSTLRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAIGVAVGATLGHTICTTLAVVGGSMLASKISQKTVATIGGLLFLGFSLSSYFYPPL >Ma06_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21037640:21045812:-1 gene:Ma06_g23280 transcript:Ma06_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLVTGGAGYIGSHTVLQLLLEGFSVVVVDNLDNSSVVAVQRVAQLAGEFGKNLAFHRFDIRDKEALEKVFATSKFDAVIHFAGLKAVGESVQKPLIYYENNIIGTITLLEVMAAHGCKKLVFSSSATVYGWPKDLPCTEESPLCAMNPYGRTKLMIEEICHDIHHADGDWKIMLLRYFNPVGAHPSGQIGEDPCGIPNNLMPLVQQVAVGRRPTLAVFGNDYSTKDGTGVRDYIHVVDLADGHIAALQKFFEDPNIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEILYACTVKAEKELSWKAKYGIEEMCRDQWNWATKNPWGYRSPDPPN >Ma10_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27149305:27150932:-1 gene:Ma10_g14830 transcript:Ma10_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNWDLSAVVRSCRPSGTAAAAATTTTTSDTFSLLPPHSRQLLAAEGGKGGAFVLSPDVNQRRTSTLGAEELYNSSFLKGWPPRSTTTTSPLAAVGGSLQPPPCRRADRPLSRTHRSRRRKSQQKKVVCHVPADGLSSDTWAWRKYGQKPIKGSPYPRGYYKCSSSKACLARKQVERSRTDPGIYIITYTGEHNHPMPTHRSALAGSTRHKFPSPPCAAAAPGDGGERPSTADPEASPLSATTAAGLSPATPLTASMDDEPFRSRPEKGEDGVDEEEEVEDEDDEGLLLVEDMEMMGEDDLLFVRSEESGPASATTAEMGALFDGDAGFEDHLLPLPWLSNCNSNSNSSSSSSCHGCYR >Ma02_p21760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27303537:27318151:-1 gene:Ma02_g21760 transcript:Ma02_t21760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGKNSVKGEASSSNAAHEGIGQEIQKQRSLNGRTTGPTRRSTKGQWTAEEDAILYKAVERFKGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIEMVKKFGPKKWSTIAQALPGRIGKQCRERWHNHLNPSINKEPWTQEEEIALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLNSYLASGLLSQFKGLPNVESPTQVGNMQKNNDHGLKDRLEVEDSSECSQASTANVGWYQSDGELPNTVSVDDDIKLDGDSNRKEIQDSQLSMCTNEYYASMEEFARAVPEVQCEASTSANLLPEEMSEKVSDRMILDELPNDSSLEVSQKSFELTEASEHYSLCTRNNENASVLWSKFLDLKVPTFILNNDSRYEKQNNLLACETDCCNNNLYGLDVHQGTIQDYPIEFDTSNIVSLDYFSGINCQNSFGSEAGGSLGSCSNPIYPVSSSAVPGISYCNNLMAVVPPSYLCPSDEKLQRNSTHETREIFVGAHDSEVITCSYDGFAYSSCSSLCPNDSSIPNVCLLEDKGQEIGTPKHTHTEMMVSGTPDANHNITLSDGNPTQPAELQDSGALFYEPPRFPSLEIPFVSCDLIPSVDLQQAYSPLGIRQLMMSSVSCSKPYSLWDSPSHDESPDALLKSAAKSFMCTPSIMKKRQRELSSPMVEQRIDKKPGIEMPCGSLHSSPLSNTENSSMVNLNGAVVLNEISSGYAEGGFTSSDNQQKEPVLLDEDKENLCQSSGCATNGNINKEVKSSTDLSDKMTSSTVTISVAAKSDAGSSRRRQASRILVERNANGQGLFSPHGKGHSMNASPGTGAKLLKVHSQRSSEKASNNGQIENSAESLPDVPAFFSPIASENKNLHSVSTKSVKSASLIHPSPFMFEKCSSTIDADIGHLNIFDDTPGIKRGIESPSAWKSPWFMNSLLPGNRISTDMFEDIGYFLSPGDRSYDAIGLMKQLSEHTAAVVSEAQEILRSGNPIKMPHEPKFDKKKFSEENVEPDKELDNQCVPSKIMAEARILDFSGCGTPVKRSENVKAGSTETSLSCRILAS >Ma02_p21760.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27303352:27318151:-1 gene:Ma02_g21760 transcript:Ma02_t21760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGKNSVKGEASSSNAAHEGIGQEIQKQRSLNGRTTGPTRRSTKGQWTAEEDAILYKAVERFKGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIEMVKKFGPKKWSTIAQALPGRIGKQCRERWHNHLNPSINKEPWTQEEEIALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLNSYLASGLLSQFKGLPNVESPTQVGNMQKNNDHGLKDRLEVEDSSECSQASTANVGWYQSDGELPNTVSVDDDIKLDGDSNRKEIQDSQLSMCTNEYYASMEEFARAVPEVQCEASTSANLLPEEMSEKVSDRMILDELPNDSSLEVSQKSFELTEASEHYSLCTRNNENASVLWSKFLDLKVPTFILNNDSRYEKQNNLLACETDCCNNNLYGLDVHQGTIQDYPIEFDTSNIVSLDYFSGINCQNSFGSEAGGSLGSCSNPIYPVSSSAVPGISYCNNLMAVVPPSYLCPSDEKLQRNSTHETREIFVGAHDSEVITCSYDGFAYSSCSSLCPNDSSIPNVCLLEDKGQEIGTPKHTHTEMMVSGTPDANHNITLSDGNPTQPAELQDSGALFYEPPRFPSLEIPFVSCDLIPSVDLQQAYSPLGIRQLMMSSVSCSKPYSLWDSPSHDESPDALLKSAAKSFMCTPSIMKKRQRELSSPMVEQRIDKKPGIEMPCGSLHSSPLSNTENSSMVNLNGAVVLNEISSGYAEGGFTSSDNQQKEPVLLDEDKENLCQSSGCATNGNINKEVKSSTDLSDKMTSSTVTISVAAKSDAGSSRRRQASRILVERNANGQGLFSPHGKGHSMNASPGTGAKLLKVHSQRSSEKASNNGQIENSAESLPDVPAFFSPIASENKNLHSVSTKSVKSASLIHPSPFMFEKCSSTIDADIGHLNIFDDTPGIKRGIESPSAWKSPWFMNSLLPGNRISTDMFEDIGYFLSPGDRSYDAIGLMKQLSEHTAAVVSEAQEILRSGNPIKMPHEPKFDKKKFSEENVEPDKELDNQCVPSKIMAEARILDFSGCGTPVKRSENVKAGSTETSDISFMMSLSEFCILISLFCNGQFDSHSSAALSLSMLHYKNGFVKFQA >Ma02_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27303942:27318151:-1 gene:Ma02_g21760 transcript:Ma02_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGKNSVKGEASSSNAAHEGIGQEIQKQRSLNGRTTGPTRRSTKGQWTAEEDAILYKAVERFKGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIEMVKKFGPKKWSTIAQALPGRIGKQCRERWHNHLNPSINKEPWTQEEEIALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLNSYLASGLLSQFKGLPNVESPTQVGNMQKNNDHGLKDRLEVEDSSECSQASTANVGWYQSDGELPNTVSVDDDIKLDGDSNRKEIQDSQLSMCTNEYYASMEEFARAVPEVQCEASTSANLLPEEMSEKVSDRMILDELPNDSSLEVSQKSFELTEASEHYSLCTRNNENASVLWSKFLDLKVPTFILNNDSRYEKQNNLLACETDCCNNNLYGLDVHQGTIQDYPIEFDTSNIVSLDYFSGINCQNSFGSEAGGSLGSCSNPIYPVSSSAVPGISYCNNLMAVVPPSYLCPSDEKLQRNSTHETREIFVGAHDSEVITCSYDGFAYSSCSSLCPNDSSIPNVCLLEDKGQEIGTPKHTHTEMMVSGTPDANHNITLSDGNPTQPAELQDSGALFYEPPRFPSLEIPFVSCDLIPSVDLQQAYSPLGIRQLMMSSVSCSKPYSLWDSPSHDESPDALLKSAAKSFMCTPSIMKKRQRELSSPMVEQRIDKKPGIEMPCGSLHSSPLSNTENSSMVNLNGAVVLNEISSGYAEGGFTSSDNQQKEPVLLDEDKENLCQSSGCATNGNINKEVKSSTDLSDKMTSSTVTISVAAKSDAGSSRRRQASRILVERNANGQGLFSPHGKGHSMNASPGTGAKLLKVHSQRSSEKASNNGQIENSAESLPDVPAFFSPIASENKNLHSVSTKSVKSASLIHPSPFMFEKCSSTIDADIGHLNIFDDTPGIKRGIESPSAWKSPWFMNSLLPGNRISTDMFEDIGYFLSPGDRSYDAIGLMKQLSEHTAAVVSEAQEILRSGNPIKMPHEPKFDKKKFSEENVEPDKELDNQCVPSKIMAEARILDFSGCGTPVKRSENVKAGSTETSVSFSSPSSYLMKVCR >Ma02_p21760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27303352:27318151:-1 gene:Ma02_g21760 transcript:Ma02_t21760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGKNSVKGEASSSNAAHEGIGQEIQKQRSLNGRTTGPTRRSTKGQWTAEEDAILYKAVERFKGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIEMVKKFGPKKWSTIAQALPGRIGKQCRERWHNHLNPSINKEPWTQEEEIALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLNSYLASGLLSQFKGLPNVESPTQVGNMQKNNDHGLKDRLEVEDSSECSQASTANVGWYQSDGELPNTVSVDDDIKLDGDSNRKEIQDSQLSMCTNEYYASMEEFARAVPEVQCEASTSANLLPEEMSEKVSDRMILDELPNDSSLEVSQKSFELTEASEHYSLCTRNNENASVLWSKFLDLKVPTFILNNDSRYEKQNNLLACETDCCNNNLYGLDVHQGTIQDYPIEFDTSNIVSLDYFSGINCQNSFGSEAGGSLGSCSNPIYPVSSSAVPGISYCNNLMAVVPPSYLCPSDEKLQRNSTHETREIFVGAHDSEVITCSYDGFAYSSCSSLCPNDSSIPNVCLLEDKGQEIGTPKHTHTEMMVSGTPDANHNITLSDGNPTQPAELQDSGALFYEPPRFPSLEIPFVSCDLIPSVDLQQAYSPLGIRQLMMSSVSCSKPYSLWDSPSHDESPDALLKSAAKSFMCTPSIMKKRQRELSSPMVEQRIDKKPGIEMPCGSLHSSPLSNTENSSMVNLNGAVVLNEISSGYAEGGFTSSDNQQKEPVLLDEDKENLCQSSGCATNGNINKEVKSSTDLSDKMTSSTVTISVAAKSDAGSSRRRASRILVERNANGQGLFSPHGKGHSMNASPGTGAKLLKVHSQRSSEKASNNGQIENSAESLPDVPAFFSPIASENKNLHSVSTKSVKSASLIHPSPFMFEKCSSTIDADIGHLNIFDDTPGIKRGIESPSAWKSPWFMNSLLPGNRISTDMFEDIGYFLSPGDRSYDAIGLMKQLSEHTAAVVSEAQEILRSGNPIKMPHEPKFDKKKFSEENVEPDKELDNQCVPSKIMAEARILDFSGCGTPVKRSENVKAGSTETSDISFMMSLSEFCILISLFCNGQFDSHSSAALSLSMLHYKNGFVKFQA >Ma02_p21760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27303352:27317994:-1 gene:Ma02_g21760 transcript:Ma02_t21760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGKNSVKGEASSSNAAHEGIGQEIQKQRSLNGRTTGPTRRSTKGQWTAEEDAILYKAVERFKGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIEMVKKFGPKKWSTIAQALPGRIGKQCRERWHNHLNPSINKEPWTQEEEIALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLNSYLASGLLSQFKGLPNVESPTQVGNMQKNNDHGLKDRLEVEDSSECSQASTANVGWYQSDGELPNTVSVDDDIKLDGDSNRKEIQDSQLSMCTNEYYASMEEFARAVPEVQCEASTSANLLPEEMSEKVSDRMILDELPNDSSLEVSQKSFELTEASEHYSLCTRNNENASVLWSKFLDLKVPTFILNNDSRYEKQNNLLACETDCCNNNLYGLDVHQGTIQDYPIEFDTSNIVSLDYFSGINCQNSFGSEAGGSLGSCSNPIYPVSSSAVPGISYCNNLMAVVPPSYLCPSDEKLQRNSTHETREIFVGAHDSEVITCSYDGFAYSSCSSLCPNDSSIPNVCLLEDKGQEIGTPKHTHTEMMVSGTPDANHNITLSDGNPTQPAELQDSGALFYEPPRFPSLEIPFVSCDLIPSVDLQQAYSPLGIRQLMMSSVSCSKPYSLWDSPSHDESPDALLKSAAKSFMCTPSIMKKRQRELSSPMVEQRIDKKPGIEMPCGSLHSSPLSNTENSSMVNLNGAVVLNEISSGYAEGGFTSSDNQQKEPVLLDEDKENLCQSSGCATNGNINKEVKSSTDLSDKMTSSTVTISVAAKSDAGSSRRRQASRILVERNANGQGLFSPHGKGHSMNASPGTGAKLLKVHSQRSSEKASNNGQIENSAESLPDVPAFFSPIASENKNLHSVSTKSVKSASLIHPSPFMFEKCSSTIDADIGHLNIFDDTPGIKRGIESPSAWKSPWFMNSLLPGNRISTDMFEDIGYFLSPGDRSYDAIGLMKQLSEHTAAVVSEAQEILRSGNPIKMPHEPKFDKKKFSEENVEPDKELDNQCVPSKIMAEARILDFSGCGTPVKRSENVKAGSTETSDISFMMSLSEFCILISLFCNGQFDSHSSAALSLSMLHYKNGFVKFQA >Ma01_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13530689:13532104:1 gene:Ma01_g18250 transcript:Ma01_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSDHRDSFKRRCKWVNGPLIVGAGPSGLAVGACLKEHGVPFVILERSNSIASLWRNRTYDRLKLHLPKQFCQLPKLPFPVDFPEYPTKDQFIDYLESYAARFELNPQFNETAQSAKFDDTCGMWRVRTAASSNAEVEYICQWLVVATGENAECVIPEMGGLKEFGGQVIHASDYRSGEAYRGKQVLVVGYGNSGMEVCVDLCHHNSFPVMVVRDSVHVLPREICKKSTFELAVLLMKWFPVKLVDRILLALSWMILGNMEEYGLKRPSLGPLELKHKQGKTPVLDLGALGKIKSGEIKVVPGIKRLLHGGVELVDGRVIDVDSVVLATGYCSNVPSWLQDTDCFNKDGFPKQPFPNGWKGKTGLYAVGFTKRGLAGASHDAVKVAEDIGRVWRKETKQAKHIIACHRRCISQI >Ma06_p28890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30452594:30455660:1 gene:Ma06_g28890 transcript:Ma06_t28890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVNSLNAELWEKTPVFGLRVWVVIGISVGVLIVFILSILSVWVTSRQKTSRSFDELPVSQIPSVSKEITVDRVGPQSVAQTPHEHRTHCFSSYDSDKTMMSSDADNMSQCSSIHHNDRDASPYSGDEGSSGHARRPHSPYSLVSASPLIGLPEFSHLGWGHWFTLRDLENATDRFSKENVTGEGGYGVVYRGRLVNGTEVAVKRLLNNLGQAEKEFRAEAEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVTNGNLEQWLHGALQQHGVLSWENRMKVVVGTAKGLAYLHEAVEPKVVHRDIKSSNILIDAEYDAKISDFGLAKLLGSGKSHLTTRVMGTFGCIDVFFFSVPYLDPKNNPYLKFSSHFRYVAPEYANTGLVNEKSDVYSFGVLLLETVSGREPIDHGRPANEVNLVEWLKQMVGNRRTEEVVDPNLEAKPSTRALKRALLVALRCVDPDSSKRPNMGQVVRMLEADEVSLNLSSSFCLGSMAE >Ma06_p28890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30452594:30455792:1 gene:Ma06_g28890 transcript:Ma06_t28890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVNSLNAELWEKTPVFGLRVWVVIGISVGVLIVFILSILSVWVTSRQKTSRSFDELPVSQIPSVSKEITVDRVGPQSVAQTPHEHRTHCFSSYDSDKTMMSSDADNMSQCSSIHHNDRDASPYSGDEGSSGHARRPHSPYSLVSASPLIGLPEFSHLGWGHWFTLRDLENATDRFSKENVTGEGGYGVVYRGRLVNGTEVAVKRLLNNLGQAEKEFRAEAEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVTNGNLEQWLHGALQQHGVLSWENRMKVVVGTAKGLAYLHEAVEPKVVHRDIKSSNILIDAEYDAKISDFGLAKLLGSGKSHLTTRVMGTFGYVAPEYANTGLVNEKSDVYSFGVLLLETVSGREPIDHGRPANEVNLVEWLKQMVGNRRTEEVVDPNLEAKPSTRALKRALLVALRCVDPDSSKRPNMGQVVRMLEADEDRQSRRSHSRNMEIESLKKSNSLSD >Ma06_p28890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30452594:30455682:1 gene:Ma06_g28890 transcript:Ma06_t28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVNSLNAELWEKTPVFGLRVWVVIGISVGVLIVFILSILSVWVTSRQKTSRSFDELPVSQIPSVSKEITVDRVGPQSVAQTPHEHRTHCFSSYDSDKTMMSSDADNMSQCSSIHHNDRDASPYSGDEGSSGHARRPHSPYSLVSASPLIGLPEFSHLGWGHWFTLRDLENATDRFSKENVTGEGGYGVVYRGRLVNGTEVAVKRLLNNLGQAEKEFRAEAEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVTNGNLEQWLHGALQQHGVLSWENRMKVVVGTAKGLAYLHEAVEPKVVHRDIKSSNILIDAEYDAKISDFGLAKLLGSGKSHLTTRVMGTFGCIDVFFFSVPYLDPKNNPYLKFSSHFRYVAPEYANTGLVNEKSDVYSFGVLLLETVSGREPIDHGRPANEVNLVEWLKQMVGNRRTEEVVDPNLEAKPSTRALKRALLVALRCVDPDSSKRPNMGQVVRMLEADEDRQSRRSHSRNMEIESLKKSNSLSD >Ma07_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10711723:10715573:-1 gene:Ma07_g14290 transcript:Ma07_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLALAPMPAVGLLAPPRVSAWRPPAVVAAAFDLYPVGRRSFPSRLKVALFAARRRPFCCRMKGSGSDITSDLELAKFDGKRRPNHNVNGVFWILLLNLGIYVADHILRLQEIKSLYLYHICPVWYQFVTATFCHANWNHLSSNLFFLYIFGKLVEEEQGNFALWISYILAGAGANLVSWLLLPKSAVSVGASGAVFGLFVISVLVKMSWDWRKIIEVLILGQFVIEKVMEAAQASTSLTGTYGRGLMAVNHIAHISGALIGAALVLLVSRIPSQPSGQDDKNKRS >Ma09_p06260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4015625:4019979:1 gene:Ma09_g06260 transcript:Ma09_t06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKERENFVYIAKLAEQAERYDEMVDAMKKVAKLDVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEESRGNEHHVKNIKEYRQKVELELSNICTDIVTLIDEHLIPSSSAGESSVFYYKMKADYYRYLAEFKAGNERKEVAEQSLTAYQAATSTAEADLAPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGAATEDPIKESNGKPGAVEDAE >Ma09_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4015625:4019979:1 gene:Ma09_g06260 transcript:Ma09_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKERENFVYIAKLAEQAERYDEMVDAMKKVAKLDVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEESRGNEHHVKNIKEYRQKVELELSNICTDIVTLIDEHLIPSSSAGESSVFYYKMKADYYRYLAEFKAGNERKEVAEQSLTAYQAATSTAEADLAPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGATEDPIKESNGKPGAVEDAE >Ma08_p32160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42861704:42864124:-1 gene:Ma08_g32160 transcript:Ma08_t32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGSEEMSFHVVHKIPAGEGPYVRAKHLQLVEKDLEGSILWLWKAINERDRVDSALKDMAVVMKQQDRTEEAVEAIKSFRHLCSNHSQDSLDNLLIDLYKKCGRVEEQIVMLKQKLRMIYMGKAFNGKTTKTARSHGKKFQVSIKQETARLLGNLGWAYMQQANYMAAEAVYRKAQMIEPNANNGCNLGVCLINQGRYDEARSIVTTVLDLGFSSATAAAVDRKALQRAKKLLAEIDHSISVSEMTRRLGEEMLQSLDIMEEGWTPSKSKRLPVFEEISPFWDQMAF >Ma08_p28800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40617395:40638661:1 gene:Ma08_g28800 transcript:Ma08_t28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MDALRVWRASSHFIGFASRATCAAATSRANVSVRSRCCSSSSAASAAAAAELPSTTVAPANPGRRGRNSSSTSDRESIRAIRLKKVEELRSKGHEPYAYKWTRSHTACQLQDIYSQLANGEECKEDLVSIAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKEILEDQFEQLKTFVDIGDIVGANGSIKKTEKGELSVCVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANHEVADVFRIRAKIVSEIRKTMESLGFIEVETPVLQGAAGGAEARPFVTYHNSLGRNLYLRIATELHLKRMLVGGLEKVFEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMNMAEEIVTQCALVVHGKLDIDYQGVQISLQRPWRRETMHNLVKEATGIDFDEFKNNLEAAKRVARGILGSRSENNDSHLVETCPSVGHVLNEIFETIVEPTLVQPTFVLDYPIEISPLAKPHRRQKGLTERFELYICGREIANAFSELTDPVDQRSRFEEQIKQHNDKRATLVSNSKYVEGEKEFDDYSYEVSLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQH >Ma06_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8441150:8444992:-1 gene:Ma06_g12150 transcript:Ma06_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKAKKTKVEDEAPDHIDGELVLSIEKLQEIQDEIETVNEEASEKVLEVEQKYNEIRRPIYKRRTETIQSIPDFWLTAFLSHPVLGDLLNEEDQKIFKYLVSLDVEDSKDVKSGYSITFNFSPNPYFEDTCLTKTYSFFDEGTTNITGTTIKWKEGMNVANGVVHKKEGGKRPLLEESFFAWFSEAQQKNLSEGLSDEMAEIIKEDFWPNPLKYFNNEADEEDFGGDEYDDEGTDLDGEDESGGDEDGEGDDS >Ma06_p12150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8441150:8445010:-1 gene:Ma06_g12150 transcript:Ma06_t12150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKAKKTKVEDEAPDHIDGELVLSIEKLQEIQDEIETVNEEASEKVLEVEQKYNEIRRPIYKRRTETIQSIPDFWLTAFLSHPVLGDLLNEEDQKIFKYLVSLDVEDSKDVKSGYSITFNFSPNPYFEDTCLTKTYSFFDEGTTNITGTTIKWKEGMNVANGVVHKKEGGKRPLLEESFFAWFSEAQQKNLSEGLSDEMAEIIKEDFWPNPLKYFNNEADEEDFGGDEYDDEKGTDLDGEDESGGDEDGEGDDS >Ma02_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4385974:4405557:1 gene:Ma02_g00310 transcript:Ma02_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDIRPRDPVLPPTMVPLATLIGRELRGGKSEKPAIRYGHAAFAKRGEDYFLVRPDCLRVPGDASSSFSVFAIFDGHNGVSAAVFAKEHLLDHVMSAIPQGIGREEWLETLQRALVAGFVKTDIDFQRKGETSGTTATLVVVDGWTVTVASVGDSRCILDSQDGVVSLLTVDHRLEENIEERERVTASGGEVGRLNLCGGKEIGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSAGGRLIIASDGIWDALSSELAAKACRGLPAELSAKLVVKEALRTSGLKDDTTCLVVDIIPTDHTHLPLSPRKQQNKFKSLLFRKKSQNFSGKPNKLSSVGSVEELFEEGSAMLEERLGKIHLKNSGHLRCAICQMDQAPNNDLSVTSVDGFCPASNPWEGPYLCADCWRKKDAMEGKRPRESTMSR >Ma02_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27643053:27644685:1 gene:Ma02_g22240 transcript:Ma02_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVKPFFCLGIASLLLVSACAHDFIQFGYGGANGPDKWGSLSSEYQLCSQGLHQSPINIVKNATAYNQNLGPLTRDYVSTKASLVNNGFGIELRYQESAGKAVVDGKSYSLTQMIWHSPSEHLIDGERFPVELQLIHKSDDGNIAVVAIMYDYGHPDAFLLQIKDEMEKLAMEQCSADQEAQIPLGVVQTRALKRRTRKYFRYVGSLTTPPCTENVTWNILGKVREMTKEQANSLQAPLSQKYRYNARPVQQLNGRCVQLFDETQRNKKPS >Ma04_p11220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7898588:7906299:-1 gene:Ma04_g11220 transcript:Ma04_t11220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIEMAVLKGATICCPDIHANYSGIPTPLISNNITKAICLQSGIWGYKPRCKSIVQVGGLYVQPCSKRHWRVHCSFSSSSDDNGSMAGNFSANDEEYVNSSVMEAVEVRSGSDGFIIKMRDGKHLRCVHNNPQGGNLPDYAPHPAIVLKMEDGSDLLLPIIVLEMPSVLLMAAIRNVQIARPTVYQVIKEMIEKMGYVVQLVRVTKRVNEAYFAQLYLAKVGNEKETISFDLRPSDAINMAVRCKVPIQVNRNLAYSDGMRVVEPSKLTVQVPRSDGLLFTELDRPDGQPCLETKEFSLILNMLTAVVEERYTDAAQWRDQLHQLRSKKQNWA >Ma04_p11220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7898568:7906299:-1 gene:Ma04_g11220 transcript:Ma04_t11220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKGATICCPDIHANYSGIPTPLISNNITKAICLQSGIWGYKPRCKSIVQVGGLYVQPCSKRHWRVHCSFSSSSDDNGSMAGNFSANDEEYVNSSVMEAVEVRSGSDGFIIKMRDGKHLRCVHNNPQGGNLPDYAPHPAIVLKMEDGSDLLLPIIVLEMPSVLLMAAIRNVQIARPTVYQVIKEMIEKMGYVVQLVRVTKRVNEAYFAQLYLAKVGNEKETISFDLRPSDAINMAVRCKVPIQVNRNLAYSDGMRVVEPSKLTVQVPRSDGLLFTELDRPDGQPCLETKEFSLILNMLTAVVEERYTDAAQWRDQLHQLRSKKQNWA >Ma04_p11220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7898568:7906299:-1 gene:Ma04_g11220 transcript:Ma04_t11220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKGATICCPDIHANYSGIPTPLISNNITKAICLQSGIWGYKPRCKSIVQVGGLYVQPCSKRHWRVHCSFSSSSDDNGSMAGNFSANDEEYVNSSVMEAVEVRSGSDGFIIKMRDGKHLRCVHNNPQGGNLPDYAPHPAIVLKMEDGSDLLLPIIVLEMPSVLLMAAIRNVQIARPTVYQVIKEMIEKMGYVVQLVRVTKRVNEAYFAQLYLAKVGNEKETISFDLRPSDAINMAVRCKVPIQVNRNLAYSDGMRVVEPSKLTVQVPRSDGLLFTELDRPDGQPCLETKEFSLILNMLTAVVEERYTDAAQWRDQLHQLRSKKQNWA >Ma04_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7898568:7906299:-1 gene:Ma04_g11220 transcript:Ma04_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIEMAVLKGATICCPDIHANYSGIPTPLISNNITKAICLQSGIWGYKPRCKSIVQVGGLYVQPCSKRHWRVHCSFSSSSDDNGSMAGNFSANDEEYVNSSVMEAVEVRSGSDGFIIKMRDGKHLRCVHNNPQGGNLPDYAPHPAIVLKMEDGSDLLLPIIVLEMPSVLLMAAIRNVQIARPTVYQVIKEMIEKMGYVVQLVRVTKRVNEAYFAQLYLAKVGNEKETISFDLRPSDAINMAVRCKVPIQVNRNLAYSDGMRVVEPSKLTVQVPRSDGLLFTELDRPDGQPCLETKEFSLILNMLTAVVEERYTDAAQWRDQLHQLRSKKQNWA >Ma04_p11220.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7898568:7906046:-1 gene:Ma04_g11220 transcript:Ma04_t11220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKGATICCPDIHANYSGIPTPLISNNITKAICLQSGIWGYKPRCKSIVQVGGLYVQPCSKRHWRVHCSFSSSSDDNGSMAGNFSANDEEYVNSSVMEAVEVRSGSDGFIIKMRDGKHLRCVHNNPQGGNLPDYAPHPAIVLKMEDGSDLLLPIIVLEMPSVLLMAAIRNVQIARPTVYQVIKEMIEKMGYVVQLVRVTKRVNEAYFAQLYLAKVGNEKETISFDLRPSDAINMAVRCKVPIQVNRNLAYSDGMRVVEPSKLTVQVPRSDGLLFTELDRPDGQPCLETKEFSLILNMLTAVVEERYTDAAQWRDQLHQLRSKKQNWA >Ma06_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5927727:5930260:1 gene:Ma06_g08370 transcript:Ma06_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSHYQQDYVILLRIDNLLQPKPLRIRERERERERERDRNGIAIYSLSWE >Ma01_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9966212:9967570:1 gene:Ma01_g13620 transcript:Ma01_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEVILLCLWLCTGLVAGDWNILSYITSKREDDRNGNVEFSLRNYCESWRMNAELNNIRGFEVVPGECVGYIGAYMTSTQYEVDVQLAAEESLLFLTDSFQPSGDGKDAWIFDLDDVLLSTVPYFKMHDFGGTKTNRGSLEAWMREGNAPAIEHMLTLFYLIRGKGLKVFILSSRAEYLREATVENLIAVGYHGWTDLILRSEEDKYTCAEEYKAKQRSKLVHEGYRLWGIVGSQWSSLGGYTTARRIFKLPNPMYYEY >Ma11_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3845960:3848784:1 gene:Ma11_g04970 transcript:Ma11_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCNENVASQGEEVKLEKYGGIVPKKQLISKDHERAYFDSADWVLSKVGGTSSNTKTATENLKPKLKPTPHHQLPPRKPTCTSDREYDNLPFDYIVLLCSSNLNLIIGF >Ma04_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7658867:7661115:1 gene:Ma04_g10810 transcript:Ma04_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPNIEVAVVDISVTRIAAWNSDQLPIYEPGLEDVVKQCRGRNLFFSTDVEKHVCEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGISYQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAVQALKEVYANWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICACNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAVDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPIHLQPMSPTAVKEVTVTWDAYEAATGAHAVCILTEWDEFKRLDYSKIYENMQKPAFIFDGRNVVDPEKLREMGFIVYSIGKPLDPWLKDMPAVA >Ma07_p27820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34043442:34045722:-1 gene:Ma07_g27820 transcript:Ma07_t27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGEWIYSPEVPSDIDVKVGGSIFPLHKYLLVSKCGYIRKLASEANQPNTSAIEIPDVPGGAEAFELVTKYCYGVNFEITAENIAMLRCVAEYLEMTEDYTVGNLVSRAEAYLEEVALLSLSAAVTVLHKSEELLPVSEKVKMVSRCIDAVAYMACNDSQLCSSSRTDNFQERFSSSSQPNAIVDWWAEELTVLRIDTFQRVLMAMKGRGFKQYALGPVIMLYAQKSLRGLDIFGRGRKKMDPKDEHEKRIILETVVSLLPRERNAMSVSFLSMLLRAAIYLETTVACRLDLEKRMGLQLGQAVIDDLLIPSFTFDGDTMFDVDTVQRILMNYLEHEVNGSRIGYSTDDDYISPPHGDMERVGRLMESYLAEIASDPNLTIARFISLGELVSEQARVNEDGMYRAIDIYLKAHPSSTDEERKKVCSLMDCQKLSREACAHAAQNERLPVQTVVQVLFCEQQRFRDATSGSFTGGESPALSLRSTPCSTKHRGGTDELSRLQRENDDLRMQLLRMRMLLKEVEKPSGQIPSAQKPPLPKKSFINSLSKKLGRLYPFTHADGVKPFSSKGRTKPPKDRRHSIS >Ma11_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26911141:26911689:-1 gene:Ma11_g23650 transcript:Ma11_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFLSRIPVRCPNGVWSVSIRAHSLSPISTPLLLFVFYVVFLVACSLMMTQNPAAAERRQKRKLSNRESARRSRMRKQQRLEYLTSTVVQMRNEKTRMVALVAGVTQQRRLLESENSVLRAQAVEFAERLQSLSSVLRLVDDVRGAAMEDVSEIPPPVPGLWQSPLPGVPILASADVFECC >Ma01_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6213756:6222542:1 gene:Ma01_g08660 transcript:Ma01_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVSKLWPCCWRSQFKGTVLEAPDAESEEKGEAYDLPSFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEARAVGQLRNHRLANLLGCCYEGEERLLVAEYMPNETLAKHLFHWESQPMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDEDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEDGTELVRLASRCLQYEQRERPNVKSIVLALTPLQRETEVPSYVLMDIPRGGASSIESLSLSPLGEACSRMDLTAIHEILETVGYKDDEGTTNELSFQMWTNQMQETLNSKKKGDTAFRHKDFNTAIECYTQFIDVGTMVSPTIFARRCLSYLMNDLPQQALNDAVQALVISPTWPTALYLQAAGLLALGMENEAREALKDGSLLEAKKNEGH >Ma07_p25490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32535968:32538956:1 gene:Ma07_g25490 transcript:Ma07_t25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFLSLLPAHKLPSNSTPVLTRMTANKKQKSKSICPISASSSSSFRNGSPSETDCPVPLDQQPVNEYQSLSTSLPFSWATADLRLYSSRLALTGASFALIVGLPVSAFGTGSLSDPRCALGVVSAGLLAVTLAVLRMYLGWAYIGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLSRVKLTLIGLAISLVACAFLFINIENPRDTSKDSGERAVAGAYSDESARSFEPDAFCGEPDLS >Ma06_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5954025:5962767:-1 gene:Ma06_g08410 transcript:Ma06_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEYGRPFIIIKEQGQKARLRGLDAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVVITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVLAGALLEQAEKLLERGIHPIRISEGYEMASKLSVEHLESISQKFEFSVTNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLELIKVEGKVGGKLEDTELIYGILVDKDMSHPQMPKRIEDANIAILTCPFEPPKPKTKHKIDIDTVEKFQTLRQQEQNYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTTEKLGKAGLVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADRYPGVEQYAIRSFAEALDSIPMALAENSGLPPIDTLTAVKSQQIKEGNPYCGIDCNDVGTNNMREQNVFETLIGKQQQILLATQVVKMILKIDDVITPAEY >Ma04_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4570952:4576737:-1 gene:Ma04_g06200 transcript:Ma04_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGCAAATERPKASEEGNGNAEPAVPHEKKMNGISAVIPGWFSELSPMWPGEAHSLKVEKILFQGKSDYQNVLVFQSSTYGKVLVLDGVIQVAERDECAYQEMITHLPLCSISNPKKVLVIGGGDGGVLREVSRYTSVEQIDICEIDKMVVDVSKQFFPHLAVGYEDPRVTLHIGDGVAFLKDAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVAKALRPGGVVCTQAESIWLHMHIIEGIVSACCQIFKGSVNYAWTTVPTYPSGAIGFMLCSTEGPAVDFQHPVYQIDEDEASNKSKGPLKFYNSQIHSAAFCLPSFAKRVIDSKTS >Ma04_p35200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34081898:34091020:1 gene:Ma04_g35200 transcript:Ma04_t35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTSSGLFPLQRCKTIHLVRHAQGIHNKGHEKDHRAYSSPEFFDAHLTPLGWDQVDNLRKHIKSCGLSKRIELVIASPLLRTMQTAVGVFGGDGYDDGVNNTPPLMVENTGDSGRPAISSLNCPPFVVVEDCRERLGVNPCDKRRSISEYQKLFPAIDFSLIENDEDILWKADIRETDEEVAARGVKFISWLCTLKEKEIAVVTHSAFLFQTLQTFGGDCHPIIKEEISKQFGNCELRSMLLVDRS >Ma08_p30220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41575618:41576747:-1 gene:Ma08_g30220 transcript:Ma08_t30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCALELRAAGLAGQFPAVRKQRKGSSLCLLLAGTKSRRRDSFLEISRGTRATVRAAQAVGHDRTTGLPFSAVPTGQLALSAPAAVSMNKMEEGGKVVTPEMLDRWMRESIGEIVRNIGKAPFLMHIFSDGGRGGAAPALRLEKEAASPQIWRQIKKRWDKEGRTPDAVILVEGLEEGEMEDGTRVEEAAVGVVCRSVPRTWGLVVQGRGMDCVACYILNITRVMSSMGFCTHFCLVRAKCFGEPADVQLRNVWLQGR >Ma03_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1215210:1216107:1 gene:Ma03_g01740 transcript:Ma03_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEADAESVLARFEELLRRAGGDERREIGFFPVVFAVVGAPAAEGAAPGLERVVMFNPLTRGVVILQGDPALLSELISPEPAAGGGGPPPASKASIEALRTVEPGEEDAGEECPVCLDGLGGGRGAASDEGAVPPSVREMPCRHRFHGGCIEKWLGMHGSCPVCRYQMPAEEGEPKTIGDAGRERTRELIITVAFGRRDEGINEQ >Ma02_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25015138:25017875:-1 gene:Ma02_g18560 transcript:Ma02_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTDNAAADGGRRCGEQMELVVRGKRDVKPMFVRVGIAIALSLAGFVVSQLRPHPSPSLRPPSRRPSPSAAAESKSGGQDSGGCLQQEVGTLKTEEDLMEIINGTAITATGEEAGFLLPGFDDLVIQEFEVTRKDLETTPITTMPEKPVMQERAMMEQEITNLKELVLSLHERERCLEQQSLDYHGLKGQEAVVRELVNRLKISSMEAKLYMLKIESLQADNQRLQAQLEDYEKAISELDVAREHIKLLDEKLESDRDKEKEIMVSLHQRISSLQQREQKDVENDAEVKSKLKKLEELEHESMDLRMINSRLAEENSDLARKLKSTQNTASAVREDAKAEALEEANRFRKVNEKLIEEIEQLQIDRCTDVEELVYLRWVNACLRYELKNHQPPSEETLARDLSKSLSVKSKMKAKQLILEYANLGADEKSSNYFEVNSNYSSSSQASAGEPEDTSIDNSSLVKHGSSNKAKFLNKLKKLVLGKGKHSKKVSAVDTSSGSSERRASFSTCSFDDVIGMDSYDSSSSCMIEKVAAVNSLAGTEAQTVEGQCNKDVFSQDNSRLSLDIQRLQELDLEEEMEEKGLLRRRDCATSCDYMKISFLEHSLISCERNPLDQEKAYIPEKVELKKYADALRSSRGIPKLNRRSASSRY >Ma09_p18510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17958320:17966509:-1 gene:Ma09_g18510 transcript:Ma09_t18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLFRLLLPLLFLSVSSGSSSTLRLQSDGAYITAVVSEKGLAFAKDLLVERAVETLTSLRIRDIEKSVGIPIVGVVRMVASNITLEAIHVSSSTVQPGESGIVIVASGTTANLSMDWFYSYTTWFVPFEISDKGGAFIQVEGMEVGLTMTMENKNGTLELSVTQCGCYMKNFVITLNGGASWFYQGFLNAFADQIRSAVEDAIVKKINEGVLKLDSLLKTVPNKIDVDKVSALNVTFVNDPTFTNFSVEFDINGLFISLDKTSARGYFQKTSQLSHSCDGTQKMLWMSLDESVFNSASDVYFQAGVMHWVVEKIPDQSFLNTARWKYLIPQLYKKYPNDDMLLNMSLTSPPGIWITPQKIGATISLDMTIDVLDGSKTIPVACISVVVSVSGVVEISGNNLAGQVELDDFTLTLKWSDVGNFHMYLIQGVMRVFLNTVFVPYVNTHLRKGFPLPIVHGFTLQHADILTANSMMIVCSDVAFNKTTGVITSL >Ma09_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17958320:17966383:-1 gene:Ma09_g18510 transcript:Ma09_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLTMTMENKNGTLELSVTQCGCYMKNFVITLNGGASWFYQGFLNAFADQIRSAVEDAIVKKINEGVLKLDSLLKTVPNKIDVDKVSALNVTFVNDPTFTNFSVEFDINGLFISLDKTSARGYFQKTSQLSHSCDGTQKMLWMSLDESVFNSASDVYFQAGVMHWVVEKIPDQSFLNTARWKYLIPQLYKKYPNDDMLLNMSLTSPPGIWITPQKIGATISLDMTIDVLDGSKTIPVACISVVVSVSGVVEISGNNLAGQVELDDFTLTLKWSDVGNFHMYLIQGVMRVFLNTVFVPYVNTHLRKGFPLPIVHGFTLQHADILTANSMMIVCSDVAFNKTTGVITSL >Ma04_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6078445:6084095:-1 gene:Ma04_g08510 transcript:Ma04_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRLAATALLAIFLTVLKGGAFVVAEEEQTCSGIVPMKNRGEVVSITDFGGVGDGRTLNTAAFKSAVFHIEQRKVPEGSLLYIPAGVWLTEAFNLTSHMTLFLAEGAVIKATQDTVNWPLIHPLPSYGRGRELPGGRYMSLIHGNGLQDVTITGENGTIDGQGDVWWNMWRQKTLPFTRPNLLELMHSTDIIISNVVFRDSPFWNIHPVYCSNVVVKNVTILAPYDSPNTDGVDPDSSLNVCIEDCYISTGDDLVAVKSGWDEYGIAYARPSSGIIVRRLTGSSPFAGFAVGSETSGGIENILAENLNIFKTGVGIHIKTNSGRGGFIKNITISDVNLSNVRKGLRIAGNVGDHPDDRYDPNALPVVDGLTIKNVWGVRIQQPGSIQGIKNSPFTQIHLSNVKLDVASPRGVAWACADVSGGALDVQPSPCAELTITNGMSFRSGAL >Ma10_p27830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34906058:34912123:-1 gene:Ma10_g27830 transcript:Ma10_t27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEKAVGADDQFVRRQAPAADAEYRSDVLKLVDLLSKLNPAAEEFVPSSCTAAAGGGRKSDCRLSADAPVFVASNGFYSNGGIGNGGGSKDSSSDGSSNNRPNSGDGWKSNVRLSADAPVFVASSGLYSDGLISNGGSIKDSSSDGSSNNQPNRRGTILSLCQRRNGYNQGRRRMNERVRRADREDSIRRTVYVSDIDQLVTEEKLAEIFSTCGQVVDCRICGDPHSVLRFAFIEFSDEDGARTALNLGGTVLGFYPVKVLPSKTAIVPVNPKFLPKSEDERDMVIRTVYCTNIDKKVTQTDVKALFEHFCGEVSRLRLLGDNIHSTRIAFVEFVQAESAIAALGCSGMILGALPIRVSPSKTPVRPRISRSISN >Ma10_p27830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34906058:34912123:-1 gene:Ma10_g27830 transcript:Ma10_t27830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEKAVGADDQFVRRQAPAADAEYRSDVLKLVDLLSKLNPAAEEFVPSSCTAAAGGGRKSDCRLSADAPVFVASNGFYSNGGIGNGGGSKDSSSDGSSNNRPNSGDGWKSNVRLSADAPVFVASSGLYSDGLISNGGSIKDSSSDGSSNNQPNRRRRNGYNQGRRRMNERVRRADREDSIRRTVYVSDIDQLVTEEKLAEIFSTCGQVVDCRICGDPHSVLRFAFIEFSDEDGARTALNLGGTVLGFYPVKVLPSKTAIVPVNPKFLPKSEDERDMVIRTVYCTNIDKKVTQTDVKALFEHFCGEVSRLRLLGDNIHSTRIAFVEFVQAESAIAALGCSGMILGALPIRVSPSKTPVRPRISRSISN >Ma07_p11970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8877958:8882772:1 gene:Ma07_g11970 transcript:Ma07_t11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKGSSEDKQMAFVLMIPGEGAAAAAAVALASSGEAVRDPKVEPSEEFSSDANAGERKSPSRTGSVSPEIAESSSLPKKPRRPPQTQSLVRRSSISKPKSRFVDQCLPPAAISVGDGCSSAYDRVLGSPHHGSPNSKAFGLLTTPSHAEDEEEEEDDFKKQQFSDGGAARRKWKIRVLIEWAILILAMACLATSLTISRLQEFVIWGLEIWKWCLMVIVICCGRLVTYWLVTIVVFLIERNFLLRKKVLYFVYGLKDCVRICIWFGLILVAWSVIFCQGIPGSPKTMKALNYVSRALSSLLIGSVLWLVKILLVKTLASSFHMNTFFDRIQESIFHQYVLQMLSGPPLMELAEKVGDARVTSHLSFRSTRKVKGKGKEGEGIGVIDVRKLQTMGHKKVSAWTMKGLINVIRGTGLSTISNSIESFGEEESKQKDKEITSEWEAKAAAFQIFENVARPGYKYIDEEDLLRFLSKEEVTYVLPLFEGAVETRKIKKSALRNWVMIVEEMNILTTVFLRYDNGKTYYPNYVLLRKPITNFFRSPDMNDSIEFSIDVSTSLETLEAIKSKIKVYIDSRPNHWHPNHSIVVKDIVNINKMDMTLNVCHTMNYQNIVEKNNRRSDLVLELKRIFEDLSVQYHLLPQEVQLSYTGSTPLPLANGII >Ma07_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8877958:8882772:1 gene:Ma07_g11970 transcript:Ma07_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEKGSSEDKQMAFVLMIPGEGAAAAAAVALASSGEAVRDPKVEPSEEFSSDANAGERKSPSRTGSVSPEIAESSSLPKKPRRPPQTQSLVRRSSISKPKSRFVDQCLPPAAISVGDGCSSAYDRVLGSPHHGSPNSKAFGLLTTPSHAEDEEEEEDDFKKQQFSDGGAARRKWKIRVLIEWAILILAMACLATSLTISRLQEFVIWGLEIWKWCLMVIVICCGRLVTYWLVTIVVFLIERNFLLRKKVLYFVYGLKDCVRICIWFGLILVAWSVIFCQGIPGSPKTMKALNYVSRALSSLLIGSVLWLVKILLVKTLASSFHMNTFFDRIQESIFHQYVLQMLSGPPLMELAEKVGDARVTSHLSFRSTRKVKGKGKEGEGIGVIDVRKLQTMGHKKVSAWTMKGLINVIRGTGLSTISNSIESFGEEESKQKDKEITSEWEAKAAAFQIFENVARPGYKYIDEEDLLRFLSKEEVTYVLPLFEGAVETRKIKKSALRNWVVKAYLERKSLAYSLNDTRTAVKQLHKIANVIVTILIIIVILILMGFATMQVLVFISSQLLLVAFVFGNTCKTIFEAIIFVFIMHPFDVGDRCVVDGVQMIVEEMNILTTVFLRYDNGKTYYPNYVLLRKPITNFFRSPDMNDSIEFSIDVSTSLETLEAIKSKIKVYIDSRPNHWHPNHSIVVKDIVNINKMDMTLNVCHTMNYQNIVEKNNRRSDLVLELKRIFEDLSVQYHLLPQEVQLSYTGSTPLPLANGII >Ma03_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28211495:28212541:-1 gene:Ma03_g23720 transcript:Ma03_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAAPSATAITIDDSDARGKAPAAAPAPAAPPPAAPAAPKDRRFPFLLRKTKAAGGGCKRGVACFDFLLRLCAIAATLVAAIMMGTTDETLPFFTQFFQFHANFADLPALTFFVVGNAIAAGYLVLSLPFSLAAMIRPQAIGPRLLLFVLDTVMMELTIAAASSATAIVYLAHNGSSKANWVAICLRFDGFCQSISGAVVASFIAVVFFMALVVMSALVMRKQ >Ma04_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:364196:368236:-1 gene:Ma04_g00380 transcript:Ma04_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR2 [Source:Projected from Arabidopsis thaliana (AT2G19640) UniProtKB/Swiss-Prot;Acc:Q9ZUM9] MSSTSVEPLVKLAELPDRGRALVATRPIKPGEILLSDAPLILYPASASAAAAACSRCFRSLSSPSSAPIRCPSHCSASPAASSFCSARCLASHSPNLCLALSRLPASLASELLSPALFLLGAYDLAVASPSDFLRLLSVHGTAAADVDAPALHSLLSSVLPSPPSGFSLELTATLLAKDKANAFGLMEPFDGGDRRVRAYGIYPNASFFNHDCLPNACRFDYVDHGGERNSDIVVRAIHDIPEGREVCLSYFPVNWGYAERQRRLLEDYGFRCECDRCVVEKDWSDDDDVNDERGEEEEEGMEEDEGDEAMENMEEADDEDGKFPHAYFFVRYVCDRDNCGGTLAPLPPSPEGVLSNLMECNVCGWLKTEDNTDQDGGEGSSGLMLD >Ma10_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26933271:26935011:1 gene:Ma10_g14520 transcript:Ma10_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSNGQLSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDIIRDVDVNKLEPWDLKEKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKTIHLSNSKRIGMRKTLVFYTGRAPHGQKTEWIMHEYRLDDESSDIQEDGWVVCRVFKKKNHQSGLPPEAILEEDQFNSLKARRPVPVDQKMHFQNPCDLSFNRSFHLPQLLSSEPTIPPFVPPLPINSLDLECSQNLMKLTSSGGGILPQERFTGDWSILDKLLASHKNLDQLFHSKCNPPSQLMDMGSSIRRNPLLFLGSEADLLRFSN >Ma04_p08500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6074087:6077934:1 gene:Ma04_g08500 transcript:Ma04_t08500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLESVIEEFEALTNDAGRLQTESLRKILEQNGEAEYLRNLGLGGRTDPESFRACVPLVTHRDLEPYIQRIADGDTSVLTGKPITSISLSSGTTQGKPKFLPFNDELVQSTMQIYRTSFAFRNREYPIGDGKALQFIYSSRQVKTKGGLIATTATTNVYRSEQFKRTMKDIQSQCTSPDEVIFGPDFRQSLYCHLLCGLIYSNEVQIISSTFAHSIVHAFRTLEQVWEELCMDIREGVLSSRITVPSIRSAVSKLLIPNPSLADSIYNKCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYTGSLPLMSADYGSSEGWIGANVNPSLIPELATFAVLPNIGYFEFIPLEKPEEQELENIASTIHYIESEPVGLTEVEVGKEYEIIVTNFAGLYRYRLGDVVRVAGFHNSTPELQFVCRRSLVLSINIDKNTEKDLQLAVEEAAKLLAAEKLEVVDFTSHVDTSTEPGHYVIFWELSSDTTEEVLRSCCNCLDLAFVDAGYIGSRKVGTIGPLELRVVRKKTFERILEHFLGLGAAMNQFKTPRFVSLSNSKVLQILCRNVTRCYFSTAYDI >Ma04_p08500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6073632:6077934:1 gene:Ma04_g08500 transcript:Ma04_t08500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLESVIEEFEALTNDAGRLQTESLRKILEQNGEAEYLRNLGLGGRTDPESFRACVPLVTHRDLEPYIQRIADGDTSVLTGKPITSISLSSGTTQGKPKFLPFNDELVQSTMQIYRTSFAFRNREYPIGDGKALQFIYSSRQVKTKGGLIATTATTNVYRSEQFKRTMKDIQSQCTSPDEVIFGPDFRQSLYCHLLCGLIYSNEVQIISSTFAHSIVHAFRTLEQVWEELCMDIREGVLSSRITVPSIRSAVSKLLIPNPSLADSIYNKCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYTGSLPLMSADYGSSEGWIGANVNPSLIPELATFAVLPNIGYFEFIPLEKPEEQELENIASTIHYIESEPVGLTEVEVGKEYEIIVTNFAGLYRYRLGDVVRVAGFHNSTPELQFVCRRSLVLSINIDKNTEKDLQLAVEEAAKLLAAEKLEVVDFTSHVDTSTEPGHYVIFWELSSDTTEEVLRSCCNCLDLAFVDAGYIGSRKVGTIGPLELRVVRKKTFERILEHFLGLGAAMNQFKTPRFVSLSNSKVLQILCRNVTRCYFSTAYDI >Ma04_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6073976:6077934:1 gene:Ma04_g08500 transcript:Ma04_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLDSKLVWRFPSVSSEKMRVFSLESVIEEFEALTNDAGRLQTESLRKILEQNGEAEYLRNLGLGGRTDPESFRACVPLVTHRDLEPYIQRIADGDTSVLTGKPITSISLSSGTTQGKPKFLPFNDELVQSTMQIYRTSFAFRNREYPIGDGKALQFIYSSRQVKTKGGLIATTATTNVYRSEQFKRTMKDIQSQCTSPDEVIFGPDFRQSLYCHLLCGLIYSNEVQIISSTFAHSIVHAFRTLEQVWEELCMDIREGVLSSRITVPSIRSAVSKLLIPNPSLADSIYNKCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYTGSLPLMSADYGSSEGWIGANVNPSLIPELATFAVLPNIGYFEFIPLEKPEEQELENIASTIHYIESEPVGLTEVEVGKEYEIIVTNFAGLYRYRLGDVVRVAGFHNSTPELQFVCRRSLVLSINIDKNTEKDLQLAVEEAAKLLAAEKLEVVDFTSHVDTSTEPGHYVIFWELSSDTTEEVLRSCCNCLDLAFVDAGYIGSRKVGTIGPLELRVVRKKTFERILEHFLGLGAAMNQFKTPRFVSLSNSKVLQILCRNVTRCYFSTAYDI >Ma04_p08500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6073885:6077934:1 gene:Ma04_g08500 transcript:Ma04_t08500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLESVIEEFEALTNDAGRLQTESLRKILEQNGEAEYLRNLGLGGRTDPESFRACVPLVTHRDLEPYIQRIADGDTSVLTGKPITSISLSSGTTQGKPKFLPFNDELVQSTMQIYRTSFAFRNREYPIGDGKALQFIYSSRQVKTKGGLIATTATTNVYRSEQFKRTMKDIQSQCTSPDEVIFGPDFRQSLYCHLLCGLIYSNEVQIISSTFAHSIVHAFRTLEQVWEELCMDIREGVLSSRITVPSIRSAVSKLLIPNPSLADSIYNKCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYTGSLPLMSADYGSSEGWIGANVNPSLIPELATFAVLPNIGYFEFIPLEKPEEQELENIASTIHYIESEPVGLTEVEVGKEYEIIVTNFAGLYRYRLGDVVRVAGFHNSTPELQFVCRRSLVLSINIDKNTEKDLQLAVEEAAKLLAAEKLEVVDFTSHVDTSTEPGHYVIFWELSSDTTEEVLRSCCNCLDLAFVDAGYIGSRKVGTIGPLELRVVRKKTFERILEHFLGLGAAMNQFKTPRFVSLSNSKVLQILCRNVTRCYFSTAYDI >Ma02_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29303155:29303409:1 gene:Ma02_g24890 transcript:Ma02_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLPRSSTSSWTPQQNKLFERALAVYDKDTPDRWLNVARAVGGGKTAEEVKKHYELLLEDLHRIESGRVPYPNYKSSGTRR >Ma05_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7058479:7061126:-1 gene:Ma05_g09830 transcript:Ma05_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKHPPGRGGNGSADSKVRRTQSRKPTMLNVPQEEEATLLVDSELVPILRVANEIETLNPRVAHLCRFHVFEKAHKLDPVSSKRGTRRFKTYLLDRKRYILD >Ma05_p26170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37877174:37889938:1 gene:Ma05_g26170 transcript:Ma05_t26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIVGAFKPPCTISVIFADGKTRKQASIKKDNGRTTMVPIFESKENIVGEVIIEPTQGKKVEHNGIKIELLGQIELYFDKGNFYDFTSLVRELDIPGDLYERKTYPFEFSTVEMPYESYSGINVRLRYILKVTISRNYIGNIVEYQEFCVRNFTPLPTINNSIKMEVGIEDCLHIEFEYSKTKYHQKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKYELMDGAPVRGESIPVRLFLSPYELTPTYRNINNKFSVKYYLNLVLMDEEDRRYFKQQEITIYRLLETS >Ma05_p26170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37877174:37889938:1 gene:Ma05_g26170 transcript:Ma05_t26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIVGAFKPPCTISVIFADGKTRKQASIKKDNGRTTMVPIFESKENIVGEVIIEPTQGKKVEHNGIKIELLGQIELYFDKGNFYDFTSLVRELDIPGDLYERKTYPFEFSTVEMPYESYSGINVRLRYILKVTISRNYIGNIVEYQEFCVRNFTPLPTINNSIKMEVGIEDCLHIEFEYSKTKYHQKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKYELMDGAPVRGESIPVRLFLSPYELTPTYRNINNKFSVKYYLNLVLMDEEDRRYFKQQEITIYRLLETS >Ma03_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:465179:465809:1 gene:Ma03_g00540 transcript:Ma03_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGRLQELMKKYGKVAFGVHFSVSAAAITGFYVAIKNNVDVESIFEKVGLSSRVPDNDRASGVVILDGHDSLSPKPPREPQQQMKMTRTAELAASSGGTLALAIHCNKGLFPVRVPITIAFTPPMARLLARRNLLKHHV >Ma05_p30380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40810404:40810658:-1 gene:Ma05_g30380 transcript:Ma05_t30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFGLAGGIPESWVRPIRDADDSRESKAAFKLATGLCCQVPRLALRPREYLSPSFVGRSCFFLGSAVTCCRVCHGLLISRCS >Ma08_p29610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41147636:41152187:-1 gene:Ma08_g29610 transcript:Ma08_t29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAKLTLYSDWWSSCSQRVLIVLNIKGLEYEYKAVNLLKGEHFDPEFEKLNPMNYVPALVDGDTVIGDSFAIILSLEDKYPQHPLLPQDPKKKALNLQAASIVSSSIQPLQNLAVLQFIENKFNADEKLTWAQNHINKGFAALEKLLKEHAGKYATGDEVQLADVFLAPQIYAGLARFQIDMSLYPTLARLNDAYNELPAFQAALPQRQPDAPSPS >Ma04_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6428999:6429527:1 gene:Ma04_g09010 transcript:Ma04_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAFVSCSVNFSSCPQCLYTKEIDSLLEHIYTKYVSEVLPHQVFGMRNVDLLLYYYNLTDSAA >Ma04_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4536119:4539624:1 gene:Ma04_g06130 transcript:Ma04_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVWDLNDAASEEEDGSSPMASSAAAGDSGWDGKGKAAGAASPEAENDDSGSSVVVVEASEEADGCAGRIFGFSISGCRGESSSAESETAVVTHQFFPFDDVEGARAGGCSLAPSPRTHSAEVRFCNSSEKVVAGTVAEAPPPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYAAARAYDRAAIKFRGLDADINFSLDDYEDDMKQMGNVTKEEFVQVLRRQSSGYPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFETEIEAARAYDKAAIKFNGKDAITNFEPKIYDNELDTQRERLRTLSRPVICELIPLIGRVKSLSANPIEHNLDLSLGRSGSKRSSTETIDDEGSNIVDQQQQMASDSDWHSSMMLKFDDKLKLPEAKGSRRYHHHHSNAFIQSPNLAQTNETLSYMPLQASINMSSVVQIVPQQINPVNSYRVQHHGGWEGERFSRWLSLSNGGEQQGQGMEISYDSRCHRRNCLPPLGLQHHQDSHHR >Ma10_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23399561:23399908:-1 gene:Ma10_g09200 transcript:Ma10_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSFSPAMLWALILAVIFLSPASRSSLSQATSDEAFPAYHCDRHHHRFLHHGHHRRLDQYYRDRAVHGLPSWCRRRRPGQLQPPPQPPVRNEIDPRYGVEKRLVPTGPNPLHN >Ma11_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24704856:24705865:-1 gene:Ma11_g20130 transcript:Ma11_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFPILIPDSLRTSFQVVAMVLLLSLLQQNGCWCYQYKVGDLDCWGLPPPSDPLLYSSWSKNHHFSLGDSLLFLYPPSQDSVIQVTERAFNSCGLEDPIMTLRDGNSLFNLSAPGYYYFISGVAGHCEKNQKLAIAIPSANGTFFPPAADFATAPTGSQSYPIVFGPASAQGPSTAPAAVVRAPAAMGSVVVVAAALLLGFSLL >Ma04_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26935807:26937038:-1 gene:Ma04_g25120 transcript:Ma04_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRSRSLSFERRSRASPFPSTSSSRIQTDSVESAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDNGCRPFMCDTSYRHSNCLDQYRKAFSGSKSLQDNGDAQQPAKLSCPLCRGLVTSWTVIEPARKYMNAKTRSCSMESCAFSGVYGELRKHARKEHPSVRPSEADPDRQQDWRRMERQRDLGDLLSMFRSSVTREEDGFYINEDDEEANGSVFIFPSVTMLLVVHVRQAGGSDTARSSLPQSSRPRTSLQDSSRGQRGSRVILWGETLSNSTSVGRTSHSNGAIGDGGSDETDAASQQNQERQ >Ma01_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4936399:4947164:-1 gene:Ma01_g06840 transcript:Ma01_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCYRRILNTSISPPSDLSFRRPHLRPVSVRSASSDGITRALRSTSVSWTPEPAVDRSLGGRGTHRGKGSPAVMCLSAMGEMIGEVEVEGRRSRAAEVLAAAAVTVVLGTGNRVLQKLALVPLKEYPFFLAQFTTFGYLVVYFSILCIRYHTGIVTDEMLSLPKTPFLAVGLLEALAAASGMAAGAVLSGASIPVLSQTFLVWQLLFSVIFLKRRYRFNQILGCLLVMVGVVITVTSGSVAGMSLKNSGIFWSLLMITSFLFQAADTILKEMIFLDAAKKLKGGSVDLFVVNSFGSAFQALFICLLMPFLSKLWGIHFSQLPSYIRDGTACFLNIGSLSKGCEGAPILPVLFVIVNMAFNISLLHLLKISSAVVSCLASTFAVPLAIYAFTLPLPYIGAASSLPTGFIAGAAVLVSGLLLYCWAPSRSPSHTKLT >Ma03_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9680116:9680677:-1 gene:Ma03_g12560 transcript:Ma03_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKRGCCCFRMPWPGTRARKAVERREYGGGPVTGRWWWRRGMKVVMKVREWTEIVAGPRWKTFVRRFRRRPRHGGFGYDPLSYALNFDEGLGSDSDGDSVRRGFSARYATPPASATSSMDLGGPNDAPPFVGDAHR >Ma04_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4899025:4914732:1 gene:Ma04_g06740 transcript:Ma04_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMGDPASRRAMDVERTSLCNCVVNFLLQENYLLTAFELLHELVEDGHHDQAIRLRNFFADPALFPSDQISRFNALRVADAQNVLEEKVTVEEKLAITEYELRLAQEDLSRLREELQKQKQYFPDDLNGSSSDISVTNGPTTLHNTRKISYVSLGPLKDTERKDLNCAVKEYLLFAGYRLTAMTFLEEVTDQNLDVWLKSSACVSDALRRYYYQYLSSTSEAAEEKISLLRENEFFIKENQRLNDEKESMRRNKELADSQIVVLTSNLEGVKKDLKDKDTLVQNLKQSMDLQRKQLNDCRAEITALKMHIEGARASRSWTAGESESTKAPYTDKSKEEKKTSYGELEDLKGVDSTTRNPEPIIALSEDVQSEKKVVEINEVAVVSKSVDLVSTNSDENHDYQASEDVRSRPHNIVSDAANVSCNDSVEYQENAHKLISELKSEDKGLNQNSESPKRGKTQKMALETIQILSDALPKIVPYVLINHREELLPLIMCAIERHPDSAVRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHKYEERRLLVAQSCGELAEFVRPEIRDSLILSIIQQLIEDSATIVREAAAHNLALLLPLFPNLDKYFKVEELMFQLVCDPSGAVVDTTIKELVPAVVNWGAKLDHVLRVILSHILGSAQRCPPLSGVEGSVDSHLRVLGEREHWNIDVLLRMLTGLLPFVHRKAIETCPFSSAMESLTTSEQQNSFFSTSLLQLYAGGRTIWPMFDWMHVDCLPDLIQLSCLLSHKEDNLRTRITRYLLDVSEFFGEQYLVHIMLPVFLIAVGNGDGADLTFFPLSMKSRIKGLQPKTSVAENLSVMCILPLLLSGILGAPTIHEKLSDYLRKLLVQNNTQEGSWLVHHTAEVIDAVRFLCIFNEHHGVIFNILWEMVVSANVNMQTNAAVLLKVIVPYIDVKAASTHVLPALVTLGSDPDLNVKYASIEAFGAVAQHFKNDMIVDKIRIQMDAFLEDGSHEATITVVRALLVAVPHTTDRLREYLLSKIFQLTCMPSHGNDITHRREKANVFCEAVRALDATDLPATSIRDFLVPTIQNLLKDLDALDPAHKEALEIILKERSGGAFENISKAMGGHIGLASSVSSFFGETGRLGKKEGGDTHEYAASPQPSPPAQQDDTRFRKMLRGSFSDILRGKGKGSEEPPRQSG >Ma06_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8227032:8233575:1 gene:Ma06_g11800 transcript:Ma06_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAASTAAATPGPEAAAATGASVGSGGGAPPYQPQPPVYNIDKNNFRDIVQKLTGSPAHHQTRPPPAELPPPRPPLAVPPVATSVAAAPTSRLHRIRPPPLAHLAPRLPALAPPPPLPAVEPWTRPPLSPLPPLPTVSAAAESPISAYMRRLRGGGGLPCLAPSPAVAPALPPPSSPLGFGCLLSPRTAYQMMMAAPGLGLPTSPGVQLPSPRLGDP >Ma03_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27615640:27616992:-1 gene:Ma03_g22920 transcript:Ma03_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPRHISAFLSILLILLMLLCPSLAEDPAFELGNETTTHLHFFFHDIVTGPNPTAVRIAQASVTNNSPAAFGALVMMDDLLTEGPDSGSKLLGRAQGVYGLASRDEPGHLLVAMTLAFVDGEYNGSTLAVLGRNSVLSAVREMPVVGGSGRFRLARGYALAKTHELDVATGNAVVEYDVYVMHHYHVSQ >Ma10_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32619647:32622041:-1 gene:Ma10_g23840 transcript:Ma10_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLRSVLQRRNGLLPLFETCRLRDLLFFSSSVDPAAAVGGTISPDPHFMVEYLVNSCGFSPSEAAKFSKPLAHLRSTEKPDAVLNFMRSQGLGGAAIRRVISSEPNYLCYNVETNIAPKFQFLRDLGLSESDIVDVILKNDVILRLDVHRSLVPKLEMWESLLGSRELVLKHLKKTRWFFFSSAEKKLHPNLKFLRDECGIPEERLSVVLRSHPQLISQKPESLRALVARADELGMPRQSRMFMCILDALLMVSKERFEAKVELMRSFGWSESEFSSAVRKNPTFLGISLDMLRRKVDFFINVVGYTTSFIASHPTILLYSLQKRVIPRFRVTEMLKLKGLWTGKYKFTSILVFSDAKFMEKFVLPHKENVPELLDILRVAGTWKGNDTLHLASEDEEGLS >Ma11_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21320380:21327659:1 gene:Ma11_g15660 transcript:Ma11_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIERQRILLHHLRPSPSADPPSISTAVCSASGSAKDRSSCFGDDVVIVAACRTALCKARKGGFKDTYPDDLLAPVLKALLDKTRLNPSEVGDIIVGTVLAPGSQRAIECRMGAFYAGFPDTVPLRTVNRQCSSGLQAVADIAAAIKAGFYDFGIGAGVESMTVDPVRWDWPANPNAQLFAQARDCLLPMGITSENVAERYGITRQEQDQAAVESHRKAAAAVAAGKFKEEIIPVVTKVVDPKTGEHKQVTVSADDGIRPDTSISVLSKLKPAFKKDGTTTAGNSSQVTDGAAAVLLMRRDAAMKKGLPVLGVFRSFVAVGVEPNIMGIGPVAAIPAAVKAAGLELDDIDLFEINEAFASQYVYCCKKLKLDPAKVNVNGGAMALGHPLGCTGARCVSTLLYEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDGVDQLSNARQVISNN >Ma10_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27708866:27717249:-1 gene:Ma10_g15700 transcript:Ma10_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSISPSSSSSFTSRSRCLCSKPRPSKPFLLLLASPYRTALSSSFPSSVRVGIALRCPSLRVPPSSSIRASVQVPEGIRPGSGVETDKLPSDVRDRAVEAVDYYGGRVTIGDVASKTGLKLNEAERALQALAADTGGFLEVSDEGDVLYAFPKDYRSNLAAKSFRMKVEPFINKMKAAAAYLIRVSFGTALIASIVLVYTTIIVLISSSRSDTEDNRGRRGGRSYGSDFAVIFHPTDLFWYWDINYYRRRQMKKDGGMNFVESVFSFVFGDGDPNQGLEEERWKLIGQYISSNGGVVAAEELAPYLDVPPIEETQDDESFVLPVLLRFQGYPEVDDQGNILYRFPSLQRTASSQRAGRKEYVGKRWTEWVNGVEKYFEEKKWLFSKTGASEKAMVIGLGALNFFGIIVLGSMLKEISVAPGGLVSFVSQLFPLLQIYASSFFAIPLFRWFLLLKTNKDIEKRNGARKQRAQILDLPDPSLRRKLLNARDMARRTVISSDRIVYTTEKDFLDQDYETRDWDERFRELEK >Ma01_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:618778:627417:-1 gene:Ma01_g00900 transcript:Ma01_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESHAKQILEQQVLTVARAVEDKIDGEIAALDRLDLDDFEALRERRLQQLKKMAEKRSRWLSLGHGEYTEIPEKEFFVAVKASDRVVCHFYRENWPCKVMDKHLSILAKQHIETRFLKIHAEKSPFLTEKLRIIVLPTLALVKNAKVEDYVVGFDELGGTDDFSTEQLEERLAKSQVISFEGEASSYPTKSNKTKRSVHQSETADSSDSD >Ma04_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3187031:3187417:1 gene:Ma04_g04140 transcript:Ma04_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAWIILAALTCMLLTRPAAAQSGSVAATTAEAAASHPCDPTTGRCRPGDPRAPENQEEEAPNNLPPGTEKAEMGGPADMEDFQGFAQELVVLGH >Ma02_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14718348:14722269:1 gene:Ma02_g03350 transcript:Ma02_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDGTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLANPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGVKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYEEEFEEEELQD >Ma09_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34275279:34275824:-1 gene:Ma09_g22320 transcript:Ma09_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSVIEHEVVANHDALRFGLHAVKGDVVGSHPLQSLRESTGRIWEEKKRMGLDLTYGTAFNLRRDLDAQILSRYVLFSQQNHSHDVQCRNSH >Ma04_p34250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33546330:33554887:1 gene:Ma04_g34250 transcript:Ma04_t34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRIFFILAYMVLFLVLVPKGSMGRQHQFVSLTDSRFPNQVQKMLLDCDLHLKDIIEIKKNLDLSVLDSMVKDSRQINVKKGSHTEDNGDKVAASLSPQLEHLFLECLSKQNHPAHDPGEVNNLRNWYHYLEQLLGWYPHSRRYLADQPAHAPAPAPVISSAHASSPSPNLSSGSPAYGPAPSSIPDPPPIHAPPGRFFPPLYDYMSPDTTPTSPPKNKEDRNKTIIVAVVLSTVGTCFIAAILFILYNKCCRDKVYSGNSIRDDRPLLNLSSSDFSGSSQNPFGMTNLSEKKQLGAFSLKAQPIHAGNTSSMNVGSAEIPPSKLHSGLSNSSIELSSAPTIGSAEEPTSPPPPPQPSLPPRPPPPPPPSLPPQPPPPPRPPVMTPAVPKAAPSAPAPPPPRPKKIPGAPPPPALKATFPPRPPQSNPSSSSVPQPSFLGPKHPVSSDDAPKTKLKPFFWDKVLADPDRSMVWNQIKSGSFQFDEEMIESLFGYTSTNKPKNDGKESSSKSPAQHVHILDAKKSQNLAISLKALNVKIEEVCDALMEGNELPVELLQTLLKMAPTSDEEMRLRLYTGDISELGSAEQFLKALLDIPFAFQRLDVLLFMASLPEEATSAKESFSTLEVACGELKNSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGVRAVRMAREQSGSISSVNSDDLTDDSLHESEDYYRKLGLKVVSGLGDELLNVKKAACLDADALTTLVANLGQRLVKTKEFLNTSMKSHEESGFRHSLKHFVEQAETQITFLLEEEKRIRSLVKKTTNFFHGNAGKDEGLRLFVIVRDFLVMLDKACKEVREAPRKVTQTRKNREISSSSTVPDPQQLLFPAIRDRRVDSSSSDDDS >Ma04_p34250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33546330:33554887:1 gene:Ma04_g34250 transcript:Ma04_t34250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRIFFILAYMVLFLVLVPKGSMGRQHQFVSLTDSRFPNQQLQVQKMLLDCDLHLKDIIEIKKNLDLSVLDSMVKDSRQINVKKGSHTEDNGDKVAASLSPQLEHLFLECLSKQNHPAHDPGEVNNLRNWYHYLEQLLGWYPHSRRYLADQPAHAPAPAPVISSAHASSPSPNLSSGSPAYGPAPSSIPDPPPIHAPPGRFFPPLYDYMSPDTTPTSPPKNKEDRNKTIIVAVVLSTVGTCFIAAILFILYNKCCRDKVYSGNSIRDDRPLLNLSSSDFSGSSQNPFGMTNLSEKKQLGAFSLKAQPIHAGNTSSMNVGSAEIPPSKLHSGLSNSSIELSSAPTIGSAEEPTSPPPPPQPSLPPRPPPPPPPSLPPQPPPPPRPPVMTPAVPKAAPSAPAPPPPRPKKIPGAPPPPALKATFPPRPPQSNPSSSSVPQPSFLGPKHPVSSDDAPKTKLKPFFWDKVLADPDRSMVWNQIKSGSFQFDEEMIESLFGYTSTNKPKNDGKESSSKSPAQHVHILDAKKSQNLAISLKALNVKIEEVCDALMEGNELPVELLQTLLKMAPTSDEEMRLRLYTGDISELGSAEQFLKALLDIPFAFQRLDVLLFMASLPEEATSAKESFSTLEVACGELKNSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGVRAVRMAREQSGSISSVNSDDLTDDSLHESEDYYRKLGLKVVSGLGDELLNVKKAACLDADALTTLVANLGQRLVKTKEFLNTSMKSHEESGFRHSLKHFVEQAETQITFLLEEEKRIRSLVKKTTNFFHGNAGKDEGLRLFVIVRDFLVMLDKACKEVREAPRKVTQTRKNREISSSSTVPDPQQLLFPAIRDRRVDSSSSDDDS >Ma04_p34250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33546330:33554887:1 gene:Ma04_g34250 transcript:Ma04_t34250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRIFFILAYMVLFLVLVPKGSMGRQHQFVSLTDSRFPNQVQKMLLDCDLHLKDIIEIKKNLDLSVLDSMVKDSRQINVKKGSHTEDNGDKVAASLSPQLEHLFLECLSKQNHPAHDPGEVNNLRNWYHYLEQLLGWYPHSRRYLADQPAHAPAPAPVISSAHASSPSPNLSSGSPAYGPAPSSIPDPPPIHAPPGRFFPPLYDYMSPDTTPTSPPKNKEDRNKTIIVAVVLSTVGTCFIAAILFILYNKCCRDKVYSGNSIRDDRPLLNLSSSDFSGSSQNPFGMTNLSEKKQLGAFSLKAQPIHAGNTSSMNVGSAEIPPSKLHSGLSNSSIELSSAPTIGSAEEPTSPPPPPQPSLPPRPPPPPPPSLPPQPPPPPRPPVMTPAVPKAAPSAPAPPPPRPKKIPGAPPPPALKATFPPRPPQSNPSSSSVPQPSFLGPKHPVSSDDAPKTKLKPFFWDKVLADPDRSMVWNQIKSGSFQFDEEMIESLFGYTSTNKPKNDGKESSSKSPAQHVHILDAKKSQNLAISLKALNVKIEEVCDALMEGNELPVELLQTLLKMAPTSDEEMRLRLYTGDISELGSAEQFLKALLDIPFAFQRLDVLLFMASLPEEATSAKESFSTLEVACGELKNSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGVRAVRMAREQSGSISSVNSDDLTDDSLHESEDYYRKLGLKVVSGLGDELLNVKKAACLDADALTTLVANLGQRLVKTKEFLNTSMKSHEESGFRHSLKHFVEQAETQITFLLEEEKRIRSLVKKTTNFFHGNAGKDEGLRLFVIVRDFLVMLDKACKEVREAPRKVTQTRKNREISSSSTVPDPQQLLFPAIRDRRVDSSSSDDDS >Ma07_p25320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32398379:32403219:1 gene:Ma07_g25320 transcript:Ma07_t25320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGCVQVDQSTVAVRETFGKFDEILEPGCHFLPWCIGRQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALAGKASDAFYRLSNTREQIQSYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIATQIRDGLLQANTLQ >Ma07_p25320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32399312:32403219:1 gene:Ma07_g25320 transcript:Ma07_t25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGCVQVDQSTVAVRETFGKFDEILEPGCHFLPWCIGRQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALAGKASDAFYRLSNTREQIQSYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIATQIRDGLLQANTLQ >Ma08_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2174214:2176026:1 gene:Ma08_g02910 transcript:Ma08_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSASWRQDVESGGGRGSELQMAAGGANLDSFFEDVETLKNDLREVERLHRSLHEANEEGKSLHEASAVRALRARMDADVALALKKAKFIKLRLESLDRANAANRAVPGCGPGTTTDRTRTSVVAGLRKKLRDSMDVFAELRSKVASDYRETVERRYYTVTGDVPDEATVDELVATGEGERFLQRAIEEQGRGRVLDVVAEIREWHGAVAELERSLLELQQVFMDMAVLVEAQGQQLDDIESNVGRAQSFVRHGTEQLGAARQHQKSTRKWTCIAIIIILIIILIIVLSIVLTHTNKSSSSSASPPS >Ma05_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11139918:11140847:1 gene:Ma05_g15080 transcript:Ma05_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRWEDMQVDCLVNIFRRLGLDDLTVSVPFVCTCWWRASLDPVCWRVLNLRSLDFRTWSQFSRSFGSRYRLKTLSFSAFLRLVVHRSHGSASELIFPLSSGASVHDLAYVSINCPRLRTLALPDKLMLEDDLRIPELIGRWKDLEQLELETKPTSFLETVAAIGHNCTRFARLKVRGLIGKEDASVIAMCLPELKQLELSGSYLTKDELAVIVSGCRKLERLTATDCLGFQADEELLRLASGIHSFEHEGSKLLSDNGYETDESEQQSGFFYW >Ma11_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16457978:16465221:1 gene:Ma11_g12540 transcript:Ma11_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLMRLLTSLWRPFGGRGGDAAGGGGGGDGATGREGRDGLLWYRDLGRCAGGEFSMAVVQANQVLEDQSQIESGPFGTFIGVYDGHGGPDAARYVCDHLFSRFREISSGPQGVTSDGIRRAFLATEESFITLVSQLWNTQPDIATTGCCCLVGVICQRTLYVANLGDSRAVLGKKVGNTGEIAAISLSSDHNANVEAVRQELKAHHPNDPEIVVLKHGVWRIKGIIQVSRSIGDAYMKYAQYNREPINSKFRIPEPMNMPILTANPSITTHHLQPSDSFLIFASDGLWEHLSDQKAVEIVHSHPRAGSAKRLIKAALHEAARKREMRYSDLKRIDKKVRRHFHDDITVIVIFLNHDLMVKGSTQGPQLSVRSALDH >Ma00_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17469070:17470730:-1 gene:Ma00_g02410 transcript:Ma00_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKCWHHSEVFLSRFYTWKLPMIEHSK >Ma07_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:716672:721807:-1 gene:Ma07_g00910 transcript:Ma07_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSHSNASSGMGVADDCKDIFLELQRKKTHRYVIFKINEKQKEVIVEKIGGATESYDDFVASLPENDCRYAVYDFDFVTEENCQKSKIFFIAWSPSISRIRAKMLYAASKDRFRRELDGVHYEIQATDPSELDLDNLRERAH >Ma05_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4850983:4852258:-1 gene:Ma05_g06550 transcript:Ma05_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLTEVFGHAKLTQAELVGATSPEDLFSILETFEDAINVELRSVGSQGLAGPFEADEDDEEAGQARKKQKVSDAAVARDGQQKTSHITVERNRRKQMNDHLSVLRSLMPCFYVKRGDQASIIGGVVEYIKELQQVLQSLEAKKQRKAYGELLSPRPASSPRSSSTLSPRPPPLSPRMALPISPRTPQPRSPYGPGMQRSYLSPTLVSSHESSSPLDSSSSELVANSKSPVADVEVKFSGPNVILRTVSHRIPGQALKIVAALEALALEILHVRITTADDTMLNSFTIKIGIECELSAEELAQKIQQTFC >Ma04_p34990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33946237:33950408:-1 gene:Ma04_g34990 transcript:Ma04_t34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPAPLADQTTDLMRKLSLDSKNMSSDASEVTKKPSGVQYGSVNGREAPMVSIPTSERSLTPLLQEHLDTSMFYYPNGHASSFYYGGYDGSATEWEAYPRYVSPDGSEVPPLGVYGDMYHHGYGYASYGPWLGHNSQLYGPQHYQFPPTYYQPPTPTPTCVSYTTSQTPSSKGEVSTSAAADLPSIPVDTKADSNVMAQTITNVNDVSATLKPNQQNSLLNQSGSFGKGGLLGGLPSGYQDPRFGFDGMWSPVPWFDGPIFSDGQHKPATTNNISSMTTQIGNTMSTRNQNTRLQPHHMGMHASGPAAPGVANKLYPSNRMYGHQNANGFGNHQSYSSSMYNSRMNGRWGMSTDNNYKSRGRGNGFCGYGNENLDGLSELNKGPRAGCFGNQKGFGPNVTIAVRVQSLSANVNVQESVAIPGSGQYNKADFPETYSNAKFFIIKSYSEDDIHKSIKYNIWSSTPHGNKKLDAAYQESKEQTSGCPIFLFFSVNTSGQFVGVAEMIGRVNFNRTFGYWQQDKWIGCFPVKWQIVKDVPNNILKHIILEDNENKPVTNSRDTQEVKLDQGLQLLRLFKDHVSKTSILDDFSFYEDRQKMMQEKRSKLQLLQKKIIDESPVHFDEKDMDGVSRNPGLQKPLEVKNESGQGELALGESAQAERRVALL >Ma05_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5087113:5087842:-1 gene:Ma05_g06960 transcript:Ma05_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKAEKAPAEKKPKAGKRLPSKDGGAVSTGEKKKKKAKKGSETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Ma08_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15677858:15679765:-1 gene:Ma08_g15500 transcript:Ma08_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAQHPSNVLLLNRSEPEKKEMEFPGTAPGLLDQSLVYFANANGANGNPMKRAREVTGVSVASPPQQGHLINLFALEPLPASAPLPPPTLLSLAELQSLPRPFTPGGLRLALEGQNRYQSQKQSDPLLSSSSSVSSSLLSALPAEEFAARINRHKDEIEQYLHAQGEQLRRSLAEKHQKHYRALLAAAEESAARRLREKELEVQRAQRRSTELEDRLACLRTESMAWQAKAMADQATAASLHAQLQHAASAAAAPPSGMGGGCNETPPAEEAGSAYVDPDRVEPERSCRACRRRTASVVLLPCRHLCLCDACDAATAAESCPVCRSVRTGSIQVCFS >Ma08_p15500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15677858:15679273:-1 gene:Ma08_g15500 transcript:Ma08_t15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAREVTGVSVASPPQQGHLINLFALEPLPASAPLPPPTLLSLAELQSLPRPFTPGGLRLALEGQNRYQSQKQSDPLLSSSSSVSSSLLSALPAEEFAARINRHKDEIEQYLHAQGEQLRRSLAEKHQKHYRALLAAAEESAARRLREKELEVQRAQRRSTELEDRLACLRTESMAWQAKAMADQATAASLHAQLQHAASAAAAPPSGMGGGCNETPPAEEAGSAYVDPDRVEPERSCRACRRRTASVVLLPCRHLCLCDACDAATAAESCPVCRSVRTGSIQVCFS >Ma08_p15500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15677858:15679401:-1 gene:Ma08_g15500 transcript:Ma08_t15500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAREVTGVSVASPPQQGHLINLFALEPLPASAPLPPPTLLSLAELQSLPRPFTPGGLRLALEGQNRYQSQKQSDPLLSSSSSVSSSLLSALPAEEFAARINRHKDEIEQYLHAQGEQLRRSLAEKHQKHYRALLAAAEESAARRLREKELEVQRAQRRSTELEDRLACLRTESMAWQAKAMADQATAASLHAQLQHAASAAAAPPSGMGGGCNETPPAEEAGSAYVDPDRVEPERSCRACRRRTASVVLLPCRHLCLCDACDAATAAESCPVCRSVRTGSIQVCFS >Ma08_p15500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15677858:15679765:-1 gene:Ma08_g15500 transcript:Ma08_t15500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAQHPSNVLLLNSEPEKKEMEFPGTAPGLLDQSLVYFANANGANGNPMKRAREVTGVSVASPPQQGHLINLFALEPLPASAPLPPPTLLSLAELQSLPRPFTPGGLRLALEGQNRYQSQKQSDPLLSSSSSVSSSLLSALPAEEFAARINRHKDEIEQYLHAQGEQLRRSLAEKHQKHYRALLAAAEESAARRLREKELEVQRAQRRSTELEDRLACLRTESMAWQAKAMADQATAASLHAQLQHAASAAAAPPSGMGGGCNETPPAEEAGSAYVDPDRVEPERSCRACRRRTASVVLLPCRHLCLCDACDAATAAESCPVCRSVRTGSIQVCFS >Ma10_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29239702:29241798:-1 gene:Ma10_g18210 transcript:Ma10_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSSLPLLSSLLIPLFLLFASLSWRWTKSVCKNLPPSPPSFPIIGHLHLIAKLLPHRALAAIAAARGPVVLLRLGSRPVLLVSSAPAAEECFTAHDLAFANRPRLLAAQILGYDCTTIAWSPYGSHWRNLRRISAVHLLSTGALRSSSDSRTGAVRSLAKALFIEGGDSGPNGPRRVEMKSRFFNLAYDVMMGVVATAVVGESADERQRIREVVEETSAASGAVNVADFFPALRRLGWRGPERKLARIQRSRDALIGELIERHRVRWRRSGSNGDAAAAEGNGDKGRATVIDVMLSLQESDPGTYTDVTIKGLIVELLGGGIGTTAVTMEWAMCLLLNHPEVLHAARAELDAKIGQGRMAEEEDIPDLPYLNCIINETLRLYPAGPLLLPHESSQDCTVGGYDVPCGTMLLTNAWAIHRDPNTWDEPEEFKPERFQCEGGKEETGLRMLPFGSGRRKCPGEGLAMRVIGLALATLIHCFEWEKLPGEEVDMTEGSGLTIPKAKPLEVMCMPRQTMLDALSQL >Ma03_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:379529:380701:-1 gene:Ma03_g00430 transcript:Ma03_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFHGSAWLLRLLRSVPSRDSGRDPNRVLQRVPTDQRHVFDALVRFDGPAANRHTSNGGCNRRREHDASPSDATVLHFLLNPPTSPPLLQQQHREPDAPMTVTANPEPPVHPGGLAAAGGSAGDDDGDDPSGHKRLKRNNNIDANPVFPEDDDDEETGDEEAGKKPPAPLRRPPRRPEAAGPRQCDICNKIFSSINALHGHMRSHPFRKLHGSATPSSGKLDAEQEVADSLLLLSGQGGATRKRRFICSRCNREFATRQALGGHRASHKSQKGCFEKARELREYGPRSGRRRRKRGINNEGPSGTKITEAAAVTFPVTAPPAAPRAATRAVERTTTTTTTTTTTVRRGRKRKLDLNLLPASSDDTSGGNGNGNGNGNGNGSPTSGAKC >Ma03_p06490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4477967:4481173:1 gene:Ma03_g06490 transcript:Ma03_t06490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSEFRAWEELIPDALGLIFRNLPLQEILTVVPRVCKSWYRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLQMLITRSGGSFRRLSVSGLLTESMFTFISENAGSLQRLELPRSEISDSIVERFAPRFSNITFLDVSYCRKISAHALETFGKNCKFLVGLRRRMHPQEVADKVCQDEEAYAIANTMPKLHRLELAYLLLTTRGVLEILSRCRDLEYLDLRGCWDVKLDEKYIKEWHPGLKVLGPHVVDCYERSFWEDCSDYSDSSVYSWDSMDDGVDIYDGGSDDDGIWDDDQGLEGLEVRFYGGGFNDAFAAFEWPPSP >Ma03_p06490.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4477930:4481173:1 gene:Ma03_g06490 transcript:Ma03_t06490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSEFRAWEELIPDALGLIFRNLPLQEILTVVPRVCKSWYRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLQMLITRSGGSFRRLSVSGLLTESMFTFISENAGSLQRLELPRSEISDSIVERFAPRFSNITFLDVSYCRKISAHALETFGKNCKFLVGLRRRMHPQEVADKVCQDEEAYAIANTMPKLHRLELAYLLLTTRGVLEILSRCRDLEYLDLRGCWDVKLDEKYIKEWHPGLKVLGPHVVDCYERSFWEDCSDYSDSSVYSWDSMDDGVDIYDGGSDDDGIWDDDQGLEGLEVRFYGGGFNDAFAAFEWPPSP >Ma03_p06490.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4478131:4481173:1 gene:Ma03_g06490 transcript:Ma03_t06490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSEFRAWEELIPDALGLIFRNLPLQEILTVVPRVCKSWYRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLQMLITRSGGSFRRLSVSGLLTESMFTFISENAGSLQRLELPRSEISDSIVERFAPRFSNITFLDVSYCRKISAHALETFGKNCKFLVGLRRRMHPQEVADKVCQDEEAYAIANTMPKLHRLELAYLLLTTRGVLEILSRCRDLEYLDLRGCWDVKLDEKYIKEWHPGLKVLGPHVVDCYERSFWEDCSDYSDSSVYSWDSMDDGVDIYDGGSDDDGIWDDDQGLEGLEVRFYGGGFNDAFAAFEWPPSP >Ma03_p06490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4478131:4481173:1 gene:Ma03_g06490 transcript:Ma03_t06490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSEFRAWEELIPDALGLIFRNLPLQEILTVVPRVCKSWYRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLQMLITRSGGSFRRLSVSGLLTESMFTFISENAGSLQRLELPRSEISDSIVERFAPRFSNITFLDVSYCRKISAHALETFGKNCKFLVGLRRRMHPQEVADKVCQDEEAYAIANTMPKLHRLELAYLLLTTRGVLEILSRCRDLEYLDLRGCWDVKLDEKYIKEWHPGLKVLGPHVVDCYERSFWEDCSDYSDSSVYSWDSMDDGVDIYDGGSDDDGIWDDDQGLEGLEVRFYGGGFNDAFAAFEWPPSP >Ma03_p06490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4477967:4481173:1 gene:Ma03_g06490 transcript:Ma03_t06490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSEFRAWEELIPDALGLIFRNLPLQEILTVVPRVCKSWYRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLQMLITRSGGSFRRLSVSGLLTESMFTFISENAGSLQRLELPRSEISDSIVERFAPRFSNITFLDVSYCRKISAHALETFGKNCKFLVGLRRRMHPQEVADKVCQDEEAYAIANTMPKLHRLELAYLLLTTRGVLEILSRCRDLEYLDLRGCWDVKLDEKYIKEWHPGLKVLGPHVVDCYERSFWEDCSDYSDSSVYSWDSMDDGVDIYDGGSDDDGIWDDDQGLEGLEVRFYGGGFNDAFAAFEWPPSP >Ma03_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4477719:4481173:1 gene:Ma03_g06490 transcript:Ma03_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSEFRAWEELIPDALGLIFRNLPLQEILTVVPRVCKSWYRAVSGPYCWQEIDIEEWSQRCKPEQLDQMLQMLITRSGGSFRRLSVSGLLTESMFTFISENAGSLQRLELPRSEISDSIVERFAPRFSNITFLDVSYCRKISAHALETFGKNCKFLVGLRRRMHPQEVADKVCQDEEAYAIANTMPKLHRLELAYLLLTTRGVLEILSRCRDLEYLDLRGCWDVKLDEKYIKEWHPGLKVLGPHVVDCYERSFWEDCSDYSDSSVYSWDSMDDGVDIYDGGSDDDGIWDDDQGLEGLEVRFYGGGFNDAFAAFEWPPSP >Ma05_p30220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40711516:40713412:1 gene:Ma05_g30220 transcript:Ma05_t30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAVVRGNRGAAALDAPLKFSEHVASSRKTAPAAASSRRRRVVRIHCTDVDATDSSSSDDEEDAGPTCRRVKRHVQEIGIEAAPRRAPPERPPAAATDPCGWKRFRGVRRRPWGRWAAEIRDPTLRKRVWLGTFDTAEEAAAVYDAAAMKLKGAKAVTNFPVMKTVTVDSSESSCARDDVSDNPFPSPTSVLRNNADQTPFDFLGYGEVDAFGLRVDPPLYITDFYLPHRPSVEAAGDGFSEFDADDFMLEVVTLESFSSPAKTSAVL >Ma04_p36630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34907186:34912388:1 gene:Ma04_g36630 transcript:Ma04_t36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGTSKTLERYQRCCYAPQDPNVANGEAQSWYQEMSKLKVKFESLQRSQRHLLGEDLGPLSVKELQQLERQLESALSQARQRKTQLMMDKMEELRKKERHLGEINKQLKDQLEAEGAALRALQGSWCSDNAAVSSNPFHLQPSHFHGTDDGPTLHIGYQQFAPPEGGENNLMLNWVP >Ma11_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4220621:4222331:-1 gene:Ma11_g05490 transcript:Ma11_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLCSSTSSEMRIGEVEDIQELRRARPTAVPERYVRDTNERPALSTILPSSLSVPVIDLSKLVCGTKRQSQEEMAKLTAACEEWGFFQVINHGIEKELLETMERVAKEFFMLPLEEKEKYPMAPGTIQGYGHAFVFSEDQKLDWCNMLALGVEPAFIRKPHLWPTNPANLSYTLEKYSKSIRRLCQILLMFISRSLGLSPNYFDEMFGVAVQAVRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQDTASVGLQILKDNAWVPVHPIAEALVINIGDTIEVLTNGRYRSVEHRAVTNKETDRLSVVTFYAPSYDVELGPVPELVNDQQPCRYRRFNHGEYSRHYVTNKLQGKKTLEFAKIQTSY >Ma02_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29197105:29201295:-1 gene:Ma02_g24700 transcript:Ma02_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPYLFVFFYQNGDDEWYETSSSTAKIGEPARRSFSSKIGERREKRVLQLTREQESE >Ma05_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21869468:21887592:1 gene:Ma05_g17920 transcript:Ma05_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MEPLRAKDRLVLEAFAEFDPAKSAGLTSAAPVAIRSLCVFAASDSKTLVYIGTGGGNIILASLDPPSTRGAPSYGTSVGSAKGAAEFLRSATIGVRQIESIHVLSEIGRVLVLSDGSVFLLDLHLLQPARKLSFLKDVTAIARRIPCSEAMSLGPLGDGVSKAEILSPSQKFFQKLGGSIRANGIGPRITESQRGGNSCFIAAAGARKLVLMELLVPGSIDVDSDSRGVSVHLKEIQDIDGVSAMAWLGNSIVLGTSDGYTLFSTTNGISTPLFMLPESSGPPRLKSLWGSKEVLLLVDNVGVVVNASGQPVGGSLIFQYAPDSITEMPSYVIVAKHGRMDLFRRKSGNCVQSVSYAKGGIGQCIVASDDQGKGEVIVVATPYKAICFHRLPAEEQIKHLLRKKKLKEAVCLLEEFESEEEMTKELLSFVHAQVGFLLLFDLHFEEAINHFLLSETMQPPEIFPFIMRDPNRWSHLVPRNRYWGLHPPPVPLEQVIDDGLMAIQRAMFLKKAGVDTAADEVFLLNPPSKADLLELAIKNIIRYLCVSRDWDLNPPVKEGVDTLLMYLYRALNLVDDMEKLASSQNYCIVEELETLLDDSRHLRTLAFLYASKGMCSKAFTIWRMLAKNYSTGLWKNPASSDECGSLNSCTDLSSGQQSAANEASKLLQESSDQDLVLEHLEWIADVDQNLAIQVLTSEKRTNQLSPEKVLSSVDPRKVEIHQRYLQWLIEDQDCDDTQFHTLYALSLARTAIETIETGLNYENYDARNQEESNISNTELGKNYGYSVRDRLQLFLQASDLYDPEEVLGVIEDSELWLEKAILYRKMGQETMVLQILAIKLENSEAAEQYCAEIGRNDAYMELLDMYLNPEDGKEPMFKAAVRLLHNRGVLLDPLQVLEKLSPEMPLQLASDTILRMLRAREHHHCQGQIVHNLSRAINLDARMARFEERSRHVQINDESICDSCRSRLGTKLFAMYPDDSVVCYKCYRRLGESTSARGRNFKQDVIFKSGWLVSR >Ma10_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29013214:29015111:-1 gene:Ma10_g17770 transcript:Ma10_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEFVARLPEKVKRGVDPERPFDIDVSLTKDLIEGEKEYYEKQFVTLRSFEEIESLNVPSVVDEALELEEQEQSEFAMKISNYANLALLGLKIYATVQSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNINVYQVPDWQIARTTSWYRHICCCHGYSRISSFHSSPRTVD >Ma08_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:13761938:13763137:1 gene:Ma08_g14610 transcript:Ma08_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFAEGFDCLVPYLRFMEMDPNLELTRQLAELNDSVTEKPSLGLTDYSSDYYLLDHPVFSVPFIDDLSSLLPVECQVPIPEPQPVTGSFAEQTHGDRKRKTMTSPHARYGNYSDAFLEAGRAQAKTKKQKNGAESGDRGQSNSREVEKPKEVVHVRARSGQATDSHSLAERERRKKINERMKRLQNQVPGCHKMMGMARMLDQTIHYVRSLQKQVEFLSMELSVASYFYDCSLGVEAPAATEVTLSKREPEI >Ma07_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11661201:11664010:1 gene:Ma07_g15470 transcript:Ma07_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRRKPSVLASETFNVKHSAWFSNGRKRGKYSVFVMVFSVFLVFTFIYNDDVKSIAEYRPSGGDKSPGITHEEFPIAEPSRKDDREVNGFHQTKEAKRSMETSVQEQRSAPEREDIVRVVESQVIREEVHEETREAPEPKPKRRRVVLDVPESCDLFTGRWVYDDVSYPVYKEPECQFLTEQVTCMRNGRRDDGYQKWRWQPRDCSLPRFDARVMLERLRGKRLMFVGDSLNRNQWESMVCLVQSVVPWDKKTLTKNGSLNVFRIEEYNATVEFYWAPFLVESNSDNPKMHSILNRIIMPKSIAKHGKHWKNVDYLIFNTYIWWLNTPTMKVLRGSFDQGSTEYDEVDRPLAYRRVLTTWSKWVQKNVDLNRTMVFFMSMSPNHIRSTDWDDPKGIKCALETQPVANLSHPLDVGTDWRLFVVAENVIKKMKVPVTFVRITGMSEYRKDAHTSVHTLRQGKLLTAEQQADPATYADCIHWCLPGLPDTWNEFLYARIASRPWRDH >Ma02_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20378629:20379404:1 gene:Ma02_g11000 transcript:Ma02_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCKSPGNAEKNKDNGTPNEFPIGQVNAKLDHADRHSVFHTVAQDGYNITANILLKQEATIEEDKDNRWTQKGLVEKHENTGKFEFSSSNGSTRRHTGEHEIEFVEMKASGYENDFENHGSREWKPRFGYPNINELTIASCSQSGSHNFENNIMRLTGKRVTIHMHSQKGNPARQLTAKMINLPGTMEELLRIGSKYYLD >Ma05_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20580022:20582131:1 gene:Ma05_g17570 transcript:Ma05_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLASPATFLCLALLLSLFFFHEGDSTTFTFVNKCGETVWPGILSNAGSSQMQPTGFELSAAASRSFQSPAGWSGRFWARTGCFVSSTGGGAWTCATGDCGSGQVECKGSGAAPPATLAEFTVASSSAGRDFYDVSLVDGYNLPVLVEASRGCAAAGCVVDISQRCPAELRVGEACRSACDAFRKPEYCCSGAYASPATCRPTAYSEVFKAACPKSYSYAFDDPTSTFTCSGGADYTITFCPESAPSLKSASDSSSTSTPKPTSSSTPSSTSSSTSASTSTSEPAGGMMLADDAWLASLATGDATPTRRKALFLSFSSIAATTAYILLLLP >Ma07_p17440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17576696:17584619:1 gene:Ma07_g17440 transcript:Ma07_t17440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALHLLIVAQLPWGLVSASVPTLSKACGYDQVDYLRSRGEYYLSINGIRMDDAFLICKALESYFKNGCFTCDANVESWTTIGENYCDQDFTAFVHVKCSTISVDLNSSLELSSVSEKIPVTPRVPPSPSRFSSSPQLSRIGSVHLSINQIIRATHNFSNSVKLGEGGFGTVYKAVLPDGQVVAIKRAKKEQISSLRDEFSNEVELLAKIEHNNLVRLLGYTDKGNERIIITEYVPNGTLREHLDGLCGKILDFSQRVEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGLSDTDQTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLIEIISGRRPVDLKRNADERITVRWAFKKHSEGNVQDILDPLLEENVDDEIIGMMLDLAFDCAAPTRSSRPAMKEVGEQLWEIRKRYGKTLRGMSGSLHQ >Ma07_p17440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17576696:17584619:1 gene:Ma07_g17440 transcript:Ma07_t17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALHLLIVAQLPWGLVSASVPTLSKACGYDQVDYLRSRGEYYLSINGIRMDDAFLICKALESYFKNGCFTCDANVESWTTIGENYCDQDFTAFVHVKCSTISVDSLKQEGRKLLLQSSENVTILSQGIKLDLSDEEKKEYTSSEPQNIPLAIPGMLLLCCGVLCPCFHAKRKEKSEHSVLDRELKSMDLNSSLELSSVSEKIPVTPRVPPSPSRFSSSPQLSRIGSVHLSINQIIRATHNFSNSVKLGEGGFGTVYKAVLPDGQVVAIKRAKKEQISSLRDEFSNEVELLAKIEHNNLVRLLGYTDKGNERIIITEYVPNGTLREHLDGLCGKILDFSQRVEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGLSDTDQTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLIEIISGRRPVDLKRNADERITVRWAFKKHSEGNVQDILDPLLEENVDDEIIGMMLDLAFDCAAPTRSSRPAMKEVGEQLWEIRKRYGKTLRGMSGSLHQ >Ma07_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17576696:17584619:1 gene:Ma07_g17440 transcript:Ma07_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALHLLIVAQLPWGLVSASVPTLSKACGYDQVDYLRSRGEYYLSINGIRMDDAFLICKALESYFKNGCFTCDANVESWTTIGENYCDQDFTAFVHVKCSTISVDSLKQEGRKLLLQSSENVTILSQGIKLDLSDEEKKEYTSSEPQNIPLAIPGMLLLCCGVLCPCFHAKRKEKSEHSVLDRELKSMDLNSSLELSSVSEKIPVTPRVPPSPSRFSSSPQLSRIGSVHLSINQIIRATHNFSNSVKLGEGGFGTVYKAVLPDGQVVAIKRAKKEQISSLRDEFSNEVELLAKIEHNNLVRLLGYTDKGNERIIITEYVPNGTLREHLDGLCGKILDFSQRVEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGLSDTDQTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLIEIISGRRPVDLKRNADERITVRWAFKKHSEGNVQDILDPLLEENVDDEIIGMMLDLAFDCAAPTRSSRPAMKEVGEQLWEIRKRYGKTLRGMSGSLHQ >Ma03_p28890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31926966:31929418:1 gene:Ma03_g28890 transcript:Ma03_t28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIWDDLLRNPLQGGAAGSRVLVTTRNAGIARQMKAAHVHEMKLLPPEDGWSLLCKKATMNAVEERDAQDLKDTGMKIVEKCGGLPLAIKTIGGVLCTRGLNRNAWEEVLRSAAWSRTGLPEGVHGALYLSYQDLPSHLKQCFLYCALFKEDYMFHGPEIVRLWIAEGFVEARGDVSLEETGEQYYIELHHRCLLQSLQPFSLDYHNYSKMHDLLRSLSHFLSRDDSLCISDVQNEWRSGAASMKLRRLSIVATETTDIQHIVSLTKQHESVRTLLVEIARGHVKDIDDYLKNFVRLRVLHLTYTKIENLPHYIGSLIHLRYLNVSCSDITELPESICNLTNLQFLILRGCDQLRHIPQGMARLFNLRVLDCTYTRLESLPCGIGRLKHLNELGGFVMNMANGTCPLEELGSLDELRYLSIYNLERACMEAEPGRDTSVLKGKQKLKNLHLHCSSTPTSDGHTEEQIEIIEKVLDVALHPPSSVVSLSLHNFFGLRYPSWMASASISSLLPNIRRLELIDCDHWPQLPPLGKLPSLEFLKIGGARAVTTIGPEFFGCEAAAASGHERERNSKHPSSSSSSSPPLMLFPRLRQLRLADMINMQVWDWVAEGFAMGRLNKLVLKNCPKLKSLPEGLIRQATCLNTLYLTDVCALKSIKGFPSVKELKLSGESDLEIVADLPALEVLYLGLFGSRNNRLPEWLAQQSFTTFQRLDVSGTTQQLVRCLQNGADWPIVKHFPIFSIEDLRGNYINYNKHSCTFDTNLVDDDAVFAAVAAAEEEEKRRRHQ >Ma03_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24615777:24615947:-1 gene:Ma03_g19270 transcript:Ma03_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIWKEPSILPICIFRSRNHIPIDQCIDSRFAVQYDSNIYWNNHPPLQWCYGSRQ >Ma03_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9492002:9494145:1 gene:Ma03_g12270 transcript:Ma03_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDANVRFLYMDSYSCGRPATDTASRQRPPVAARLIFLRRETFSRRIGRGRWRCQGCPPSKRRLSRRSSSSVMIQDVNLSKFILEMKSSQHLLDS >Ma04_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17391154:17392433:1 gene:Ma04_g17170 transcript:Ma04_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTNAAGTAVRLSEHVVVIRKTIPASEAAGWRWRDRKRRVVRIRFDDADATDSSSGEDAEVRGRRRVRRHVHEVGIEVAPGRQAAPRKRQVKGAVGGERARRFRGVRRRPWGRWAAEIRDPGQGKRVWLGTFDTAEEAAAVYDTAAVRLRGPKAVTNFSPTYAARAETDGDDGWGKGDGADTCGESRFSPRSVLCYPEERSAPFGCLCGGEADALEAPPFCLAEFYSPRRQLWEVEFGELDADEFS >Ma11_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19302902:19303581:1 gene:Ma11_g14060 transcript:Ma11_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFSSRIRMRLFLCCFAGNKQGDSPKKTIDKEDKKPAADVKLPAKKKKTVRFDLPPTVMGEAENNSEMPIYDDGF >Ma02_p08720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18985286:18990960:-1 gene:Ma02_g08720 transcript:Ma02_t08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSAGETGLSESGPSSRPMTHGVNGITPSATNFFDQEGAAYFGGLEEALMHGVAGIRSDEERKSLFASRPPTLEIFPSWPMRFQQIPKPEESADSGSVQNTISQLDSESPVSRKASSEQSEEQQQGTMAGDGAPNQQLRTQEKRNVVGGSSSEKDGKVLDAKTLRRLAQNREAARKSRLRKKAYIQQLESSRIKLTQLEQDLQRARAQGLFLGGGSAGDTISSVFDMEYSRWLEENYKKMMELRGGLQAHRQDGDLRVTVDECLALYDELFQLKATAARSDVFHVLTGLWTTPAERCFLWMGGFRPSELLKIVLPQLDPLTEQQVAGICSLQQSCQQAEEALSQGLEQFLRSLADTVTGDSLVESSSTGNYMSQMAIALGKLANLEGFLRQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHSRLRALSSLWASRPRENSINESAMPLTADLQIVHQPLQSHFPAF >Ma02_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18985286:18990960:-1 gene:Ma02_g08720 transcript:Ma02_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSAGETGLSESGPSSRPMTHGVNGITPSATNFFDQEGAAYFGGLEEALMHGVAGIRSDEERKSLFASRPPTLEIFPSWPMRFQQIPKPEESADSGSVQNTISQLDSESPVSRKASSEQSEEQQQGTMAGDGAPNQQLRTQEKTLRRLAQNREAARKSRLRKKAYIQQLESSRIKLTQLEQDLQRARAQGLFLGGGSAGDTISSVFDMEYSRWLEENYKKMMELRGGLQAHRQDGDLRVTVDECLALYDELFQLKATAARSDVFHVLTGLWTTPAERCFLWMGGFRPSELLKIVLPQLDPLTEQQVAGICSLQQSCQQAEEALSQGLEQFLRSLADTVTGDSLVESSSTGNYMSQMAIALGKLANLEGFLRQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHSRLRALSSLWASRPRENSINESAMPLTADLQIVHQPLQSHFPAF >Ma11_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24562748:24564645:-1 gene:Ma11_g19880 transcript:Ma11_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGISAAATTLISCCFPREPSLPLKAAGDCFLLFGSSPGRASALRLWRRRATAVCFVLKEVGKDAEKNSRYTSTEVDPQGEETERSDALRASSERRTAERTARKRSERRAYLIAAVLSSLGITSTAVAAVYYRFSWQMEGGEVPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWNMHESHHRPRDGPFELNDVFAIINAVPAISLMAYGFLNRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKFDGVPYGLFLGPKELEEVGGLEELEKEISRRAKLSDNSTNLSS >Ma10_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32412131:32414779:-1 gene:Ma10_g23520 transcript:Ma10_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFTGNLGEILVRCNNVLYLRGVPEDEEIEDAE >Ma10_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26256031:26260006:-1 gene:Ma10_g13460 transcript:Ma10_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPFTRTPSFKPRFGAEGGRISNGNKQPTRVAVRPRPIALAMASDHGRGGAAADGGINNAAGLGLRASLEETTADVACKDSQSLPRPLSISDVSGSVNGSRFRVAYQGLPGAYSEAAAAKAYPNCEAVPCEQFDTAFDAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHNLHIVGEVKYGVRHCLLANHGVKKEDLKRVLSHPQALAQCETTLAKLGVVRESVDDTAGAANFIATHKLQDAGAVASSLAAEIYGLDILARDIQDDSDNITRFLMLAREPIIPVIDRPFKTSIVFSLEEGPGVLFKALAVFALRDINLTKIESRPRKNKPLRITDDGSNGPLKYFDYLFYVDFEASMASHNAQNALRHLKEFATFLKVLGSYPRDMSEA >Ma04_p34160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33474984:33476276:-1 gene:Ma04_g34160 transcript:Ma04_t34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIALALFLAAVAAVAAADGFRVDLIHRDSPRSPLHDPSSTAYDRVRAAAKRSALRPSRVARAGAGLTASGGSSIEAPVVPDSAEYLMEVELGTPKFKVIAIVDTGSDLIWANCKPCTECYEQTPPLFDPKDSSTYRDLACDSQQCDELPVSGCSSDSKCQYQYSYGDQSQVVGNLASETFTFATTGSETISTRNITFGCSHQSSGTFSNRTGGLVGLAAGQLSLVSQLGSSIYAKFSYCLVPFSQTSATSKLVFGDGPALSGRNVLSTPLTVQESFYYLTLNGISVGNTNISATSPTASGSPNIIIDSGTTLNILSPDVVGELAKAVKDVVDLPVPNDPDLSSFAACFDVSGSRDYKFPDITYNFEGAPLKLSPMNTFIEVAPDVVCLAVYSSADVQIFGNVAQQNLHVEYNLGTDQLSFAHVDCTDF >Ma11_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27495659:27496207:1 gene:Ma11_g24590 transcript:Ma11_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPGFFSLFSATRYTSHASSPPTPLPWPWPSCSHPRTRSFREKDGVVVDCVGTKSSESSCGDAVEAVIRGLRSDRLFFEPGATSSIVEAADVGAVPFEGSIAMAVESEDPYRDFKQSMEEMLTAHGVIDWAWLEEMLVWYLTANGKKTHELIIGAFVDLLVSLASSSSSSSSPPSSSDSS >Ma06_p34490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34704458:34711047:1 gene:Ma06_g34490 transcript:Ma06_t34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAVVVCVLVLLVNVYLLVNYQHPDDVNQAYFPKLVVVLGLSVAAISILMLPADVANRQACQHAIYNGACTLTLPMKALWLVVYIADAVLVFLVIPFAMFYYEGDQDKSIGKRLKSALLWVLTSAVVCGLVLGILYGLVGKVDFTVKHLSSSASSFPSSWSTFSSSQPCIGSSNRLCDAYGAPASSETTWTMRTSFPEYLVALATIVGSVLFTIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAIEALHQEERSGSKGRKWRKNVKSVEKELFLLEDDMKALEEMYPQGEQAETLWALTVLGYMGKLVLGVIGLIVSVAWVAHIVIYLLITPPISPFLNEVFIKLDSVWGLLGTAAFAFFCFYLLLAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYSQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVFLAIITLFCYAAFGWKKRKPSGRFQLSS >Ma04_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22968417:22969379:1 gene:Ma04_g20230 transcript:Ma04_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRTCNVCFRRFSDGYALGRHMRSHVTSTAPRSRSCRPGDSHSSVSSGHAASERDVEEEKAVEVGLSCGLRVNLRKNFRLVDSVFSSSSSSSFAADEPAGPCVVVPDSESETESPRARRLRTKKRRLGATALSPDQPDAEPASSVSYATPDEDVALCLMMLSRDSWAAARSRPPLRRGRSRHQCDACKKVFRSYQALGGHRASHKRINGCVPAVERRVASSADGVDTNADARVYECPFCFRVFSSGQALGGHKRSHFMSSVTNKSPSSTSPVPAVTNYVRSFGLIDLNLPAPAVDDLELSAISDTEVPFPTSTCGKHAI >Ma03_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10212318:10216947:-1 gene:Ma03_g13180 transcript:Ma03_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVEFAENSYSSSPVDVPDPMGFSRSSSDPDDANASRQKKEAEAAWKAQKAWEVAQAPVKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDPRVDTLAPKLLFIALNLAGLVLGIWKLNTLGLLPTHASDWVSSLPPASEVEYAGGGLPVH >Ma05_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4742486:4750567:-1 gene:Ma05_g06360 transcript:Ma05_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYATSSVKESIERYKKACSDTTNTGFPSEGNAQYYQQEASKLHQQINNLQSTNRNLMGESLNSMSLRDLKQLETRLEKGINKIRTKKNELLFAETEYMQKREMELQNDNMYLRNKVAENERVQQQMDMLPSTATTTEYEVMPSYDSRNFLQVNIMQPNQHYTHQQRTALQLDEIQ >Ma07_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8031177:8032180:1 gene:Ma07_g10740 transcript:Ma07_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILPLAPSQFLLFPRRSSLRPPHPSLAKPPHESTLRCFSSSSSFTQAAFPIVTEDEASKEEESSAAAVPPPEEGIRPPDGESLVAGTEDPQFRGCKTCGREEVEKGCNGEGRIQGGIATVPGFGWWPIKAFRPCPGFVATGGRYRRQGQSMDEVAFGRGSREVPTKSMNKPSSSDKGKAAKSLKG >Ma04_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5759758:5764428:-1 gene:Ma04_g08000 transcript:Ma04_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRAKRAKATETATKPRKDASKKPKAKAKRKPSSSAKNDHRDKPKKPPTAFFYYLEDFRKTFQQENPNVKSMRDIGKACGEKWKTMSFEEKVAYYDIATEKRAEFEKAMAAYIKRMESGEFSEGSDDYE >Ma02_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21480209:21483214:1 gene:Ma02_g12850 transcript:Ma02_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNSEEQDVDDTTKKVAVKVVPSHMELFKQPLIANKALVVSSTSTNTPVSDAGDGKPRKFLRVTIINPRRILLLFASLSSMGTMILIYFTLALHQRQSLD >Ma11_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4933679:4952057:-1 gene:Ma11_g06160 transcript:Ma11_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDASKVVVVEDGSRDEPPPSSSSWNPLRKAFRPYVSPSQSSTASSTQSSSGSGSSSSTTLRVIVKRPLVTRLTKGLVETYQICNPTFKYSEAVNPKRFLTNPSIGVLNDGYDNANSDLILHVNFELVNLERKRRYTVKDMLGQGTFGQVAKCWDWETNNYVAVKIIKNQPAYYRQAVVEVSILHMLNQKFDPDDKHHIVRILDYFVFQRHLCISFEMLGSNLYELIKMNHFKGLSLNIVQMFSKQILRALVVMKDAGIIHCDMKPENILISTSVKPTEIKVIDFGSACMEGRTVYSYIQSRYYRSPEVLLGYPYTSSIDMWSFGCIVAELFLGLPLFPGASEYDLLKRMIQILGGQPPDNLLRDAKNTSKFFKHVGSIYRLEHEETSEEVTSAYRVLTEEEFEARESKRPSIGKNYFNHVKLEDIIANYPYRKNLPEEEIIRESLTRLALVDFLRGLVEFDPGKRWSPLQASGHPFVTGEPFRCPYKPPPESPRIPVIHTVTVDHNPGGGHWLAAGLSPQVSSVNKYLPLNSPHFHKVPMSYGSSYGSLGSHGSYNDNTGLGSSYGSYGDINSVHAYNSPVGPCGFNMHVQVGGPFLGSSPDARHRSQLSHGTGFGVSPYGGLGPMSLGASPSQFTPPSSQMQISSASPGKYGPTSPVRGSVRGISLGKAAAVGQYNKRTWGYPTICMQPFGSADHGPGFCGDGMSCSQPDAQFRGHGGSPHSAISSSSHSNWWQQMGGGNGLSSSLNSANQKSYPAPQAQNSFVVSSHSLEVPCDKPEGSSSLPDPADWDPNYSDESLLQEDNAEVSSLNSEFANCVRLTDPSDEAVLTSGIGRYAHNQAYPSTKFLSSNQRTDGLRQTYSFAENYPSTSHEIRGGNGRPPQFLQNFPSRFGQQSVHRYNYMNSTMHGERSHQYGQPAYSNYNRADSHSSANAMFSNSMPWANPYEDGVYMKRAIFVSSVKPMDCHPLNCTRRKGWTFHGDNPTDIPFEKGLWKDLRLSTISVCPELKISTWKFQFQLPCLACVACDSP >Ma11_p06160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4933684:4952056:-1 gene:Ma11_g06160 transcript:Ma11_t06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDASKVVVVEDGSRDEPPPSSSSWNPLRKAFRPYVSPSQSSTASSTQSSSGSGSSSSTTLRVIVKRPLVTRLTKGLVETYQICNPTFKYSEAVNPKRFLTNPSIGVLNDGYDNANSDLILHVNFELVNLERKRRYTVKDMLGQGTFGQVAKCWDWETNNYVAVKIIKNQPAYYRQAVVEVSILHMLNQKFDPDDKHHIVRILDYFVFQRHLCISFEMLGSNLYELIKMNHFKGLSLNIVQMFSKQILRALVVMKDAGIIHCDMKPENILISTSVKPTEIKVIDFGSACMEGRTVYSYIQSRYYRSPEVLLGYPYTSSIDMWSFGCIVAELFLGLPLFPGASEYDLLKRMIQILGGQPPDNLLRDAKNTSKFFKHVGSIYRLEHEETSEEVTSAYRVLTEEEFEARESKRPSIGKNYFNHVKLEDIIANYPYRKNLPEEEIIRESLTRLALVDFLRGLVEFDPGKRWSPLQASGHPFVTGEPFRCPYKPPPESPRIPVIHTVTVDHNPGGGHWLAAGLSPQVSSVNKYLPLNSPHFHKVPMSYGSSYGSLGSHGSYNDNTGLGSSYGSYGDINSVHAYNSPVGPCGFNMHVQVGGPFLGSSPDARHRSQLSHGTGFGVSPYGGLGPMSLGASPSQFTPPSSQMQISSASPGKYGPTSPVRGSVRGISLGKAAAVGQYNKRTWGYPTICMQPFGSADHGPGFCGDGMSCSQPDAQFRGHGGSPHSAISSSSHSNWWQQMGGGNGLSSSLNSANQKSYPAPQAQNSFVVSSHSLEVPCDKPEGSSSLPDPADWDPNYSDESLLQEDNAEVSSLNSEFANCVRLTDPSDEAVLTSGIGRYAHNQAYPSTKFLSSNQRTDGLRQTYSFAENYPSTSHEIRGGNGRPPQFLQNFPSRFGQQSVHRYNYMNSTMHGERSHQYGQPAYSNYNRADSHSSANAMFSNSMPWGGRVGHSMATTLPTSHSKKDYGKI >Ma11_p06160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4933679:4952057:-1 gene:Ma11_g06160 transcript:Ma11_t06160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDASKVVVVEDGSRDEPPPSSSSWNPLRKAFRPYVSPSQSSTASSTQSSSGSGSSSSTTLRLVTRLTKGLVETYQICNPTFKYSEAVNPKRFLTNPSIGVLNDGYDNANSDLILHVNFELVNLERKRRYTVKDMLGQGTFGQVAKCWDWETNNYVAVKIIKNQPAYYRQAVVEVSILHMLNQKFDPDDKHHIVRILDYFVFQRHLCISFEMLGSNLYELIKMNHFKGLSLNIVQMFSKQILRALVVMKDAGIIHCDMKPENILISTSVKPTEIKVIDFGSACMEGRTVYSYIQSRYYRSPEVLLGYPYTSSIDMWSFGCIVAELFLGLPLFPGASEYDLLKRMIQILGGQPPDNLLRDAKNTSKFFKHVGSIYRLEHEETSEEVTSAYRVLTEEEFEARESKRPSIGKNYFNHVKLEDIIANYPYRKNLPEEEIIRESLTRLALVDFLRGLVEFDPGKRWSPLQASGHPFVTGEPFRCPYKPPPESPRIPVIHTVTVDHNPGGGHWLAAGLSPQVSSVNKYLPLNSPHFHKVPMSYGSSYGSLGSHGSYNDNTGLGSSYGSYGDINSVHAYNSPVGPCGFNMHVQVGGPFLGSSPDARHRSQLSHGTGFGVSPYGGLGPMSLGASPSQFTPPSSQMQISSASPGKYGPTSPVRGSVRGISLGKAAAVGQYNKRTWGYPTICMQPFGSADHGPGFCGDGMSCSQPDAQFRGHGGSPHSAISSSSHSNWWQQMGGGNGLSSSLNSANQKSYPAPQAQNSFVVSSHSLEVPCDKPEGSSSLPDPADWDPNYSDESLLQEDNAEVSSLNSEFANCVRLTDPSDEAVLTSGIGRYAHNQAYPSTKFLSSNQRTDGLRQTYSFAENYPSTSHEIRGGNGRPPQFLQNFPSRFGQQSVHRYNYMNSTMHGERSHQYGQPAYSNYNRADSHSSANAMFSNSMPWANPYEDGVYMKRAIFVSSVKPMDCHPLNCTRRKGWTFHGDNPTDIPFEKGLWKDLRLSTISVCPELKISTWKFQFQLPCLACVACDSP >Ma09_p27670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38614255:38614848:-1 gene:Ma09_g27670 transcript:Ma09_t27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMILCLNLRSTLSKKPNPRLEKMISTRERQVSDLGLMRRYEPDEDEMKQVFDKMSNNKAKIEFEDMKALLERLKVHDAAHEAKQILQTVGSNKDGSVDFGDFMAVHRKGGVWTSDIVSAFKMFDQDGDGQISAKEIKDMMGRLGEDCSLEECRRMVKEVDKNGDGLVGMDDFMAMMTRTMKLSRLPSSSTIALP >Ma08_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32971638:32972135:1 gene:Ma08_g19170 transcript:Ma08_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAEEMRADAAVHYRGVRKRKWGKWVSEIREPGKKSRIWLGSFESAEMAAVAHDVAALRLKGHDAQLNFPESAEQLPRPRSSDPKDIRSAALEAAARLRCKTTTARAGMSSALERLGNDELGLDSPKMWLDLAEALLLTPPAWNSEVSELEDWEHHGSLWDPFP >Ma03_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2182096:2182821:1 gene:Ma03_g03240 transcript:Ma03_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTEQATPLAVGTKRPDNDEELPAASMTRRRSRLQGRCLICGGSWAAILIIIAIVIVVLALTVFKVKEPVMTMNSVTIEKLAVNFGAPSSSSSQLFAINMTVVADVSVKNPNAASVRFGASTTAIYYRAREMGVAYGPPGTARARRTFRINVTVDVMADRILGDANLFDDLAAGSIAVTTATKVGGRVRVLGVFKHHVDVMMNCSITMAVANQSIVGQNCNQKVRL >Ma10_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26348412:26353511:1 gene:Ma10_g13600 transcript:Ma10_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKQSSLNQTQLIPTYAAKPAKPQARPSSAASSPLRAAAGYLLREQRLLFVLAGAVIASTFFLLHPYYHSLSRPHPYDHLSHRLLPTFRHPSSPSFSASDGGGSVGVGGGGRRIPVGLKKPSKRIVVTGGAGFVGSHLVDKLLGRGDSVIVIDNFFTGRKDNVVHHFGNPRFELIRHDVVEPILLEVDEIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQYVSDLVDGLVVLMEGEHIGPFNLGNPGEFTMLELAEVVKEVIDSSATIEFRPNTADDPHMRKPDISKAKELLNWEPKVTLRQGLPLMVTDFQKRLLSADN >Ma06_p32640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33533923:33538428:-1 gene:Ma06_g32640 transcript:Ma06_t32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAEAHKKKRELLVLGLADAYGDEMAVPKELEVDKDAPAPAAVALRLRVAMKNFFRSREAGEFVSGALAGAMTKAVLAPLETIRTRMVVGVGSKHISGSFLEIIEENGWQGLWAGNTVNMLRIIPTQAIELGTFECVKRTMTSVQENWKENGSPKIQIGHINLDLSFLCISPVAVGGAAAGIMSTLVCHPLEVLKDRLTVNRETYPSITLALSRIYKKDGIGGLYAGLSPTLIGMLPYSTCYYFMYDTMKNSYCQTKQKKSLNRPEMLIIGALAGLTASTISFPLEVARKRLMVGSLHGKCPPHMAAALSEVVREEGLMGLYRGWGASCLKVMPSSGITWMFYEAWKEILIVDRPHL >Ma06_p30640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31860762:31862418:-1 gene:Ma06_g30640 transcript:Ma06_t30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSRSPPPLNAESWSCRKRMNHHPMATSILTSSLPRPTAITFAVAGLQPIYIMQLLAKKLGQYRIQPRFMACSWIMGDYFGKLVLLVLALSEVTRAWYVAPQVPCFFIFGDSLVDNGNNNNILTLARANYRPYGIDFVEGSSGRFTNGRTLVDVLAQLLGFRGFIPPYAVAERAGIFRGLNYASGAAGIRDETGNNLGDHYPLAQQINHFRDTVRVMTRMFRGNSTRAGDYLGKCIYYMGMGSNDYLNNYFMPTMYPTSREYSPRTYAALLLEDYSRQLTELYDLGARKVAIIGIGQIGCIPYELARYSNRNTRKGSSSGCNEDINTAISIFNTGLVRMVKRFNAQLPDAKFVYVNTYESSKELVANAASYGFDVIDKGCCGVGRNNGQITCLPLQTPCADRTSYLFWDAFHPTEVANVIYAKKAYNSSSASDVYPMNLRQLAMA >Ma09_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7613202:7614536:1 gene:Ma09_g11250 transcript:Ma09_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLTALGWLGEHFIGSLVEKLADFTVQFGAEEGLQDDLIKLKTSLHQIQFTIIQAENIWIQDQELRGRFNELLIQLKDEAYDADHLLDEFHFRVLQQQAEQRGDKASHQSSSSSSLPPKKKRRLSVREIKGRLDRLADAFRIVMASLDADGRRIKQLETSETRRTTSVPFATQMFGRDKELKELVELLLQSPHGSPASNHSVSVLAIVGIGGVGKTTLSQLACIHESMEKYFRHMIWVCVSDDFSVERITKEIVESATQRKCDSMNFDTLQKNLKRLPSDRFLLVLDDVRNAEKHKWESLCAPLRWGVAGSKILVTTRSTKIADIVGGEQPIHLKCLDEESCWEFFKKCAFGSQNPGDHPQLEAIAKKIVRKLGGLPLAARTLGALLSVRMDEQHWRSIMECEIRGYIPQALKRLVIRGDT >Ma08_p26710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39203199:39207379:-1 gene:Ma08_g26710 transcript:Ma08_t26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRSLLLLLLLALSAVASAAPFHSLLRAVPEDPAPGRSTDGEGVADRLFCDSWRLSVETNDAGYWRTIPQKCLKFVEDYVNGDRYASDFDVISSESLSFAETVPIAGDGKDVWIFDIDETLLSNVPYYAINGYGSEVFNETSFDEWVDLARAPALPASLRLYEELIGLGFQMVLLTGRSENQRNSTENNLLYAGYHSWITLILRQTSDLGKPALVYKSERRAALEAQGFRIHGSSGDQWSDLLGLPLAKRSFKLPNPMYYVD >Ma09_p28200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39040221:39042011:-1 gene:Ma09_g28200 transcript:Ma09_t28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPILGGPLLPGSAAGFDSSVNQLHPHFRSIAAAAPSTAGLDPDHQIGSLFAPSSGTTATSDDEDHFPAPAGDENDHESHSLPASEAGGSKKASPWQRMKWTDEIVRLLISVVAYVGDHDDGALETFDGSSAAKRKHGATLQKKGKWKTVSRLMLEKGCYVSPQQCEDKFNDLNKRYKRLNEILGRGTTCQVVENPLLLDSMHHISPKAKDDVRKILSSKHLFYREMCAYHNGQKMLNCHDVNLQVCPVPKLAPPSSLMEDEEEDEDEGNDIGDHDEWSYELGKKGYESFRVEIDGVLRDTTKSPWEQWEWFKRRALQLEEERVDVEAEALELEKRHFKWQRFRSKKDWELERLRLENDRLRLENECMILQVRQKELELDIRWSRDPLDSSGRRMEGEQVRDPMELVML >Ma09_p28200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39040221:39042011:-1 gene:Ma09_g28200 transcript:Ma09_t28200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPILGGPLLPGSAAGFDSSVNQLHPHFRSIAAAAPSTAGLDPDHQIGSLFAPSSGTTATSDDEDHFPAPAGDENDHESHSLPASEAGGSKKASPWQRMKWTDEIVRLLISVVAYVGDHDDGALETFDGSSAAKRKHGATLQKKGKWKTVSRLMLEKGCYVSPQQCEDKFNDLNKRYKRLNEILGRGTTCQVVENPLLLDSMHHISPKAKDDVCPVPKLAPPSSLMEDEEEDEDEGNDIGDHDEWSYELGKKGYESFRVEIDGVLRDTTKSPWEQWEWFKRRALQLEEERVDVEAEALELEKRHFKWQRFRSKKDWELERLRLENDRLRLENECMILQVRQKELELDIRWSRDPLDSSGRRMEGEQVRDPMELVML >Ma05_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8107746:8110746:1 gene:Ma05_g11190 transcript:Ma05_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPCLLLILIVTLMAATEAVETETTASGPAGKKTYIVRVDHRAKPSVFPTHAHWYGSASFSGGGADPLPLLHVYDTVFHGFSASVTQDRAAALATHANVLAVFEDRVRRPDTTRSPQFLGLRNQVGLWSDSDYGSDVIVGVLDTGVWPERRSFSDRNLGPVPSRWRGTCETGPGFPASLCNRKLVGARFFSKGHDAAFAAGGGGINETVESRSPRDADGHGTHTASTAAGRHVFQASMSGYAEGIAKGVAPKARVATYKVCWKGSGCLDSDILAGFDCAVADGVDVISVSIGGGDGMASPYYLDPIAIGSYGAVSRGVFVASSAGNDGPTSMSVTNLSPWLTTVGAGTIDRNFPADVLLGDGRRLSGVSLYSGKPLAGTMYPLDYPGRSSGLSASLCMDNSLDPKLVAGKIVICDRGSSPRVAKGLVVKDAGGVGMILANGASNGEGLVGDAHVLPACAVGSAEGETIKAYATSAASPTVTIQFKGTILGVRPAPVVASFSGRGPNGLTPAVLKPDLIAPGVNILAAWTGSSGPTGLESDGRRTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAVRSAMMTTASLDDNLRRSVTDESTGRPATPFDFGAGHLNLDRAMDPGLVYDLSDQDYVAFLCAIGYDAKTVQVITHAPAACPARRPAMEDLNYPSISVAFNGAESNQSRRVRRTATNVGAGAGAVYQARVEMAAGQGLSIAIKPRKLVFTAGARRQSFAVTVTAAAEAAIGGAGARYAYLVWSDGEHEVRSAIVVSWMQPL >Ma07_p05400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3913265:3913926:1 gene:Ma07_g05400 transcript:Ma07_t05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASHLLSFVLTLLLQLCCCWCSADQRTLGGNDEAWRLPQLLKAQPLQGLNVTVKERGENKEHLDEGTSISHSGKGSGAGKGGGKGAGGGSQTLRQPRDQRNSSASSWRWPRSSLTLLVGGLLLLFLHSCG >Ma07_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3913116:3913926:1 gene:Ma07_g05400 transcript:Ma07_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNIWQRAADQRTLGGNDEAWRLPQLLKAQPLQGLNVTVKERGENKEHLDEGTSISHSGKGSGAGKGGGKGAGGGSQTLRQPRDQRNSSASSWRWPRSSLTLLVGGLLLLFLHSCG >Ma07_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1602926:1604857:-1 gene:Ma07_g02080 transcript:Ma07_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKSLSAVSNVGAWAMNVISSVGIIMANKHLLSANDYAFTFATTLTGFHFAVTALAGLVSNATGFSASKHVPLWELLWFSLVANLSITGMNLSLMLNSVGFYQWILHGKQYSKEVKMAVVVVVVGVGVCTVTDVKVNAKGFFCACAAVVSSSFQQISIGSLQKKYAIGSFELLSKTAPMQALSLVSLGPIIDYCLNGKSILKYEFSPGAIIFILLSCLLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLILGWLLFDSELTLNNIMGMAIAVIGMVVYSWAVESEKQAKAKFLSKTSLTEEDIRLLKDAVENASVKDVELGETKA >Ma07_p02080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1602926:1604854:-1 gene:Ma07_g02080 transcript:Ma07_t02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKSLSAVSNVGAWAMNVISSVGIIMANKHLLSANDYAFTFATTLTGFHFAVTALAGLVSNATGFSASKHVPLWELLWFSLVANLSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHGKQYSKEVKMAVVVVVVGVGVCTVTDVKVNAKGFFCACAAVVSSSFQQISIGSLQKKYAIGSFELLSKTAPMQALSLVSLGPIIDYCLNGKSILKYEFSPGAIIFILLSCLLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLILGWLLFDSELTLNNIMGMAIAVIGMVVYSWAVESEKQAKAKFLSKTSLTEEDIRLLKDAVENASVKDVELGETKA >Ma08_p27190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39513982:39516904:1 gene:Ma08_g27190 transcript:Ma08_t27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASPCYSDMGMDQSFLNQWELTNLDQFGTQQLELALGQDLERSPSSESYTSYSSFHPPASTERAKKLIKTSSWSSCTTDKNSAALSDASCPRILSFGNPESPLCHSNLSHAVTMKKKEETDVSIPSKRNYGAMSGDGTKRANAGARPASHNQEHIMAERKRREKLSQRFIELSAVVPDLKKMDKASVLGDAIKYLKQLQEKVTSLQDQVTQRNVESAVLVKKSQLCANDDSSSSDENFDESLPEIEARVCDKTILIKIHCENRKGILVKALSEIEKLHLSVTNTSVMPFTSSSLDITVMTQTEEEFSMTAKDLVKKLNSVFREFM >Ma01_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4992842:4993219:-1 gene:Ma01_g06900 transcript:Ma01_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFGSLAPKTKNLIVAGGLSGFVLGVYYYTMRAVGGTDELQVAIDKFEQAKNKKEAETTSSAGS >Ma01_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:117135:122695:1 gene:Ma01_g00130 transcript:Ma01_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGIAKLPPLNNSVHPAHRKSPTPFLASSDPRLSSSFHVSGGNDNILLLRKAAIASGRRTASGARTPVLVSPKAVSDSRSSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNILKIYVLTQFNSASLNRHLSRAYASNMGGYQNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEYLILAGDHLYRMDYEKFIQAHRETNADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMKVDTTILGLDNERAKEMPFIASMGIYVISKDIMLQLLRDKFAGANDFGSEVIPGATNVGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRTSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVIGLRSCISEGAVLEDTLLMGADYYETDADRRLLAAKGSVPMGIGRNSHVKRAIIDKNARIGENVKIINCDNVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >Ma10_p25350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33534366:33534576:1 gene:Ma10_g25350 transcript:Ma10_t25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVDSDLIILVGLHLGHESCIDRSTFFFG >Ma10_p25360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33535134:33536713:1 gene:Ma10_g25360 transcript:Ma10_t25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEFEVLKFKTHILKVNIHCDGCKLRVKKILHRIEGVFSVDVDVENKKVTVQGNVDSETLINKLTKSGKHAQLWPQKKQKQAAPPAKNGNKNNKDQGKEGLKASNNRQKQLPSYSSDDEDYDDSSDDDDEFADDVRFLDDVKQFNRLMPPNNATASAKKNGNVNAGGSATRGGGNKGGGNPYLNHMQHPNKSTQNGTNAAAQQKLVNTTRNAGEGRRMADINGMIGLGFNGLGGYNGEGFRGSGFHGYTGPPSHGGEQHQHPMLVNMQGYQARPSSMMSSNLGGNNMMMMHDNRYMQPQMMYLRSPQISPYTAYYNCYPSHHDQSNQSCNVTHLFSDENTKGCVVM >Ma03_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2740328:2742027:-1 gene:Ma03_g04190 transcript:Ma03_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSSSLQSSSGGDDDFDCRVDSLSAFFHSSPPAAAAALPPSRSPPPLSSSYDGHHFFDDSSLPYLDSSAPLLPIGSSTTAPWPRHLIPSSSNCITAATAAAASLGAHPVATSLLSSSSMQPQLEQPIGAAAAAAAPRSSKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFSVASTSPFARSRFDLYYPADAPPPHFLLRPLPKKLQAPPSFTANPISSLPPPRPLSTTNTAAASANTKIPTDDSNYRSPSHDLGLAGGQRQPLVSHQSPILNFQNLLQPSQLQAKYTLPAIAASYNAKLHMTPSDAYKAHELGGLPPGLIGSEALHSSWTDGGADLAHLRPAAIDDFLDSQLRVGSGWKPNYSASGPPSEFTGDKVSGSVVATRGEGMVESWIHYSD >Ma09_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5451761:5453265:-1 gene:Ma09_g08260 transcript:Ma09_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQKLITYIAAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLGRGIDPQTHRPITGAAATFTSLHQHQDFLIPLPQETAAAAAKSTADSTDDGRMSSDASRHHEDQDQDLDLDLDLDLDLDLDLSISLPHHHPKQSPPNESPPTSTAAPTPSTGSCTEAICLCCHLGFQSCEACSSRTIPGPYVFRYIRPLEEGKHT >Ma06_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4352833:4353617:1 gene:Ma06_g05890 transcript:Ma06_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYTAGAEAIMLLFLTLPGLDGLRRGLVTVIRSALKPLLSVVPFCLFLLADIYWKYETRPTCEQESCSPSEHVRHQKSIMKSQRNALLIASALLLYWLLFSVTSLVVRIDQLNQRIEKLKRSE >Ma03_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2061020:2065138:1 gene:Ma03_g03050 transcript:Ma03_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGGGVAAGEWRFQPNESLMAASSLSVRGVLTEIVANIDNESEDTRPRIPLGHGDPSVFPCFRTAPEAVDAVVSALRSGQYNCYPPCVGLAPARRAIADYLSGDLPYELSPDDVYLTNGCAQAIEIVVSVLARPGANILLPRPGYQFYAARAAYNGIEVRYFNLLPESKWEVDLDGLEALADENTVAMVIVNPGNPCGNTFTYQHLAKVANTAKKLGIMIIADEVYEHLTFGSNPFVPMGMFGEVVPVLTLGSISKRWVVPGWRLGWIVTNDPNGVLSQTKIVECLKNYLDISCDPATFVQGAIPQIIKNTNDDFLRHTISVLRQTADICYDKLKEIDCISCPSKPEGTMFVMVKLDLSRLEGIHDDMDFCSKLAKEELVIVLPGCAVGFKNWLRITFAVDPSSLEDGLERLKSFCLKHSKRSSSPAIIRS >Ma05_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11238899:11239117:1 gene:Ma05_g15160 transcript:Ma05_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQSNNRLDSKSVFPSSHLRSFIFILL >Ma05_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25508324:25508552:1 gene:Ma05_g18880 transcript:Ma05_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIINKQLGWNPKTSLWDLLDSTLTYQRRTYAEAIRRAMAKPVASS >Ma07_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1958142:1963603:-1 gene:Ma07_g02450 transcript:Ma07_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTSGSSRDIGGSGGGLAAGEMEVEAYRRLFPLPFYERHLLDSVRPDARPLHRARDTSIALGPVASADGSALVKIGDTTMLAAIKLEVMTPSADAPDEGSLAIEFHMPPICSPIVRPGRPAEVAPVVSKQLSDVIMSSGMINLKELSLITGKAAWMAYLDIYCLNADGSLFDAALLSAIAAFSHLQIPLVSLSDDGRVVAVSGDLLEDESTPELVNKERKLLLHSIPFSLTCILHKKYILADPTAEEESIMETSITVVLDSSERLVSIYKPGGPVLAHTSTVKDCIVLTKHRMKELQNILEESLSAMEVV >Ma03_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19428588:19430637:-1 gene:Ma03_g16740 transcript:Ma03_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLKLAARWQFTCYKVAKKLRSHESSHRNTSRLHQVHSLYPGVAKCSLEGREGQTLVCDFQGTLLRTPSSSLFPYFMLVAFEGGSLLRAFLLLLLSPLFWVLGKQSEAGMRVMVFVTFCGLRTRDLDLVSRVVLPKFYLENLHLQAYQLLAATGKRVVLTAMPRLMVEGFLKEYLGASEVVGAELQVVRGRYFTGLLSGSTPSAKQKALKDRFGEVKADVAIVSPSNLHDHLFITYSKEIYLVNKEEAAAGIGTEMPRSKYPKPLIFHDGRLALLPTPTATLALFMWMPLAVPLSITRILMGVTFPYKVVIFIAAATGIRFRAGVVEDDNRRRGAGAECSRKGGVMYVCTHRTLLDPVMLCTGLQRPVTTVTYSLSRMSELLAPMKTVRLTRDRERDAAMMRRLLREGDLAVCPEGTTCREPYLLRFSPLFAELAEDIVPVALDARVGMFYGTTASGHKWLDPVVFMMDPLPVYQVEVQGRVPRHLTCAGGWSAAEVANRIQKQLADALGFERTALTRRDKYMMLAGNEGVSRDQRDGKKLPK >Ma03_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5915608:5931036:-1 gene:Ma03_g08240 transcript:Ma03_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIPATIDDQLFLNAIREESPWENLPKRLQLTLTSKEEWHKRIIDYCIRKRLQWNQCFARKVCKEGEYYEEMMRYLRKNLALFPYHLAEYVCRVLRVSPFRYYCDMIFEVLKNEQPYDSIPNFSAADALRLTGIGRNEYIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPVEPWWGVCLVNFTLEEFKKLTEEEMATIDKVCKEEANSFVLFDPDIVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENATVAELAATLQADLSQLQAAASFACRLGWAVKLLDPESILRDSVMPGYPSNILSDDEEASTASINSEKSGQHSHDLVIEKDRMISGTAHVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGDSSIAELCRDLSTLEGKKYEGELQEFANHAYSLRCVLECLRSGGVAYNEKFDIASNPTDTHSFIDDITSVAAEISISEESGDSNVNEANHDDSLEHGHTEVPQPDLSPDKSDSVNGSTPVCSGTSAENNTCKPDYDLQNDQRVTYSGGSENERTVLKRKRKYRVDILRCESLATLAPATLERLLLRDYDIIASMVPLPASSVLPGPSGPIHIGPPSYSSTTPWMKLVLYRLMVSGPLSVILMKGQCLRFLPAPLAGCEKALIWSWDGSIVGGLGGKFEGNLVNGNVLLHCLNSMLKHSAVLVQPLSRYDLDSSGKIVTVDIALPLKNFDGLVQPVGLDMKLDQERIANLNSLLNDLSSKIELYTVGYVRLIRLWKGIQSDLLTPDHEQYEWVPLSLEFGIPLFSPKLCGRICERIVSSHLLQTDSLSEHNVAMQSLRRRLHELCSEYQATGPAAKSFHHMEHVKKSPRQLTSYASGRWNPLLEPSTAISGTSSEHQRLKLANRQRCQTEVLSFDGNILRAYALSPVYEAITQPDEGSTPASGVKHESDELDSREVVLPGVNLLFDGSQLHPFDIGACLQARQPISLIAEASATSASLQATRIQK >Ma03_p08240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5915608:5930855:-1 gene:Ma03_g08240 transcript:Ma03_t08240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIPATIDDQLFLNAIREESPWENLPKRLQLTLTSKEEWHKRIIDYCIRKRLQWNQCFARKVCKEGEYYEEMMRYLRKNLALFPYHLAEYVCRVLRVSPFRYYCDMIFEVLKNEQPYDSIPNFSAADALRLTGIGRNEYIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPVEPWWGVCLVNFTLEEFKKLTEEEMATIDKVCKEEANSFVLFDPDIVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENATVAELAATLQADLSQLQAAASFACRLGWAVKLLDPESILRDSVMPGYPSNILSDDEEASTASINSEKSGQHSHDLVIEKDRMISGTAHVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGDSSIAELCRDLSTLEGKKYEGELQEFANHAYSLRCVLECLRSGGVAYNEKFDIASNPTDTHSFIDDITSVAAEISISEESGDSNVNEANHDDSLEHGHTEVPQPDLSPDKSDSVNGSTPVCSGTSAENNTCKPDYDLQNDQRVTYSGGSENERTVLKRKRKYRVDILRCESLATLAPATLERLLLRDYDIIASMVPLPASSVLPGPSGPIHIGPPSYSSTTPWMKLVLYRLMVSGPLSVILMKGQCLRFLPAPLAGCEKALIWSWDGSIVGGLGGKFEGNLVNGNVLLHCLNSMLKHSAVLVQPLSRYDLDSSGKIVTVDIALPLKNFDGLVQPVGLDMKLDQERIANLNSLLNDLSSKIELYTVGYVRLIRLWKGIQSDLLTPDHEQYEWVPLSLEFGIPLFSPKLCGRICERIVSSHLLQTDSLSEHNVAMQSLRRRLHELCSEYQATGPAAKSFHHMEHVKKSPRQLTSYASGRWNPLLEPSTAISGTSSEHQRLKLANRQRCQTEVLSFDGNILRAYALSPVYEAITQPDEGSTPASGVKHESDELDSREVVLPGVNLLFDGSQLHPFDIGACLQARQPISLIAEASATSASLQATRIQK >Ma03_p08240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5915608:5929798:-1 gene:Ma03_g08240 transcript:Ma03_t08240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEVLKNEQPYDSIPNFSAADALRLTGIGRNEYIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPVEPWWGVCLVNFTLEEFKKLTEEEMATIDKVCKEEANSFVLFDPDIVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENATVAELAATLQADLSQLQAAASFACRLGWAVKLLDPESILRDSVMPGYPSNILSDDEEASTASINSEKSGQHSHDLVIEKDRMISGTAHVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGDSSIAELCRDLSTLEGKKYEGELQEFANHAYSLRCVLECLRSGGVAYNEKFDIASNPTDTHSFIDDITSVAAEISISEESGDSNVNEANHDDSLEHGHTEVPQPDLSPDKSDSVNGSTPVCSGTSAENNTCKPDYDLQNDQRVTYSGGSENERTVLKRKRKYRVDILRCESLATLAPATLERLLLRDYDIIASMVPLPASSVLPGPSGPIHIGPPSYSSTTPWMKLVLYRLMVSGPLSVILMKGQCLRFLPAPLAGCEKALIWSWDGSIVGGLGGKFEGNLVNGNVLLHCLNSMLKHSAVLVQPLSRYDLDSSGKIVTVDIALPLKNFDGLVQPVGLDMKLDQERIANLNSLLNDLSSKIELYTVGYVRLIRLWKGIQSDLLTPDHEQYEWVPLSLEFGIPLFSPKLCGRICERIVSSHLLQTDSLSEHNVAMQSLRRRLHELCSEYQATGPAAKSFHHMEHVKKSPRQLTSYASGRWNPLLEPSTAISGTSSEHQRLKLANRQRCQTEVLSFDGNILRAYALSPVYEAITQPDEGSTPASGVKHESDELDSREVVLPGVNLLFDGSQLHPFDIGACLQARQPISLIAEASATSASLQATRIQK >Ma03_p08240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5915608:5931036:-1 gene:Ma03_g08240 transcript:Ma03_t08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIPATIDDQLFLNAIREESPWENLPKRLQLTLTSKEEWHKRIIDYCIRKRLQWNQCFARKVCKEGEYYEEMMRYLRKNLALFPYHLAEYVCRVLRVSPFRYYCDMIFEVLKNEQPYDSIPNFSAADALRLTGIGRNEYIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPVEPWWGVCLVNFTLEEFKKLTEEEMATIDKVCKEEANSFVLFDPDIVKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENATVAELAATLQADLSQLQAAASFACRLGWAVKLLDPESILRDSVMPGYPSNILSDDEEASTASINSEKSGQHSHDLVIEKDRMISGTAHVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGDSSIAELCRDLSTLEGKKYEGELQEFANHAYSLRCVLECLRSGGVAYNEKFDIASNPTDTHSFIDDITSVAAEISISEESGDSNVNEANHDDSLEHGHTEVPQPDLSPDKSDSVNGSTPVCSGTSAENNTCKPDYDLQNDQRVTYSGGSENERTVLKRKRKYRVDILRCESLATLAPATLERLLLRDYDIIASMVPLPASSVLPGPSGPIHIGPPSYSSTTPWMKLVLYRLMVSGPLSVILMKGQCLRFLPAPLAGCEKALIWSWDGSIVGGLGGKFEGNLVNGNVLLHCLNSMLKHSAVLVQPLSRYDLDSSGKIVTVDIALPLKNFDGLVQPVGLDMKLDQERIANLNSLLNDLSSKIELYTVGYVRLIRLWKGIQSDLLTPDHEQYEWVPLSLEFGIPLFSPKLCGRICERIVSSHLLQTDSLSEHNVAMQSLRRRLHELCSEYQATGPAAKSFHHMEHVKKSPRQLTSYASGRWNPLLEPSTAISGTSSEHQRLKLANRQRCQTEVLSFDGNILRAYALSPVYEAITQPDEGSTPASGVKHESDELDSREVVLPGVNLLFDGSQLHPFDIGACLQARQPISLIAEASATSASLQATRIQK >Ma07_p28310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34406966:34408298:1 gene:Ma07_g28310 transcript:Ma07_t28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPAMVFDESEWILADAVLDDDTLIASSKGIDADWVVTDGNEGAVSGVFFHPSAPARCRLRLCYAPFGGLPPALPDPSLSFLPPAAAARSSSFGLLLLRGPWSTYYVCNPATAECRTIPRPPKPHPYDPAVALVASPVDPARFHLVCALDAPGGGYRFEIFSSVSGAWRQASAVAASADPIVPGSGVSAAGAAYWRTSTPAVLAYDPEADEARVILPPPRCGDASELWQLGKAGDDGRLCCACVTGSAVVVYCLGPADEWTVLGSLPLAVVGGSEAVEWDNGDPIACKEPPRPLRFESTNLEVVLWVDGRVLAVDLDSRRVREVRFNGPAPGHDEDYVSYISTVAPVAPVASG >Ma10_p28960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35682804:35685210:1 gene:Ma10_g28960 transcript:Ma10_t28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTIAGPATLTGFSNSPRLLRRPIAILPLPSPLPFSLTGNASFPTLVFPSSPQPSPPEDATSAFVRFLRASSRSVKSLLDAGLLHSVAVKAGLGQDDRVRTGLLSLYCRCGCVDLAGKLFDEIRERDVLAWTLLISGRARAGQYREGLEMFAAMLAEGPLPNRFTLSCVLKCCVGCGDPGAGKSVHGWVLRNGTEFDVVLLNSILDFYAKCGAFACTKNLFRTMNEKDAISWNIMIGAYLRQGDIDGSMELFRTSPYQDVSSWNTIISGQMEHGLHAIALQILHTMVDMGPRFNQFTFSTALVIASRLTMLDLGKQLHCQILRTGHVGDVFVRSSLIDMYSKCGDMHASSFVFSGSSEHTDGTVTESISFSAMVAGYVQNGMGEGALELLRDMFQQGVKVDQFTLTSAAAACSDAGILEQGKQVHCCVEKLGHVYDVFLSSAITDMYAKCGSLEDARKAFDNSRVTNVVLWTSIIGSYASHGRAAEALHLFEQMSEEKIVPNEISFVHVLSACAHAGLVGKGHAYFKSMQEDHGIAPSVEHYTCMVDLLGRAGLLNRAKDFIHEKGISNYPVVWTALLSACRVHNDVEMASWISEQLVQLEPCDSGHYILLSNMHASRRKWEEASKLRRMMHEKGVRKRPGQSWIQIRNKVHTFIVGDKSHPEAAEIYSYLESLIGRLKEMGYASRTDLVLHDVEEEQRESILNFHSEKLAVAYGIMSTPKGIPIRVMKNLRVCADCHEAIKYISQATCREIILRDAYRFHHFSNGKCSCGDYW >Ma05_p27160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38566967:38571187:1 gene:Ma05_g27160 transcript:Ma05_t27160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMATSAFFPVPSSSSVASAKAPKPISEGPERLGIRGIVAKPASQSGAMEVKAQAQAIPKVNGTKVGLKAEAQTVEDDAPSAPRTFYNQLPDWSFLFAAITTIFLAAEKQFTLIDWKPRRPDMLADAFGLGKMMQDGLDFRQNFSIRSYEIGADRTASIETLMNHLQETALNHARSAGLLVDGFGSTPEMTKKNLIWVVTKMQVLVERYPSWEDVVEVDTWVASSGKNGMRRDWHVHDHRTGQTVLRATSVWVMMNKLTRRLSKIPEEVRAEIGPYFVDRDPIIDEDSRKVQKLEDNTADFIKRGLNPRWGDLDINQHVNNVKYIGWILESAPISILESHELAGMTLEYRRECGRDSVLQSLTAVSNHGSDGSPEAGIECQHLLRLESGAEIMRGRTKWRPKPAQDLQNMGPLPAGNT >Ma05_p27160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38567727:38571219:1 gene:Ma05_g27160 transcript:Ma05_t27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMATSAFFPVPSSSSVASAKAPKPISEGPERLGIRGIVAKPASQSGAMEVKAQAQAIPKVNGTKVGLKAEAQTVEDDAPSAPRTFYNQLPDWSFLFAAITTIFLAAEKQFTLIDWKPRRPDMLADAFGLGKMMQDGLDFRQNFSIRSYEIGADRTASIETLMNHLQETALNHARSAGLLVDGFGSTPEMTKKNLIWVVTKMQVLVERYPSWEDVVEVDTWVASSGKNGMRRDWHVHDHRTGQTVLRATSVWVMMNKLTRRLSKIPEEVRAEIGPYFVDRDPIIDEDSRKVQKLEDNTADFIKRGLNPRWGDLDINQHVNNVKYIGWILESAPISILESHELAGMTLEYRRECGRDSVLQSLTAVSNHGSDGSPEAGIECQHLLRLESGAEIMRGRTKWRPKPAQDLQNMGPLPAGNT >Ma05_p27160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38566967:38571187:1 gene:Ma05_g27160 transcript:Ma05_t27160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMATSAFFPVPSSSSVASAKAPKPISEGPERLGIRGIVAKPASQSGAMEVKAQAQAIPKVNGTKVGLKAEAQTVEDDAPSAPRTFYNQLPDWSFLFAAITTIFLAAEKQFTLIDWKPRRPDMLADAFGLGKMMQDGLDFRQNFSIRSYEIGADRTASIETLMNHLQETALNHARSAGLLVDGFGSTPEMTKKNLIWVVTKMQVLVERYPSWEDVVEVDTWVASSGKNGMRRDWHVHDHRTGQTVLRATSVWVMMNKLTRRLSKIPEEVRAEIGPYFVDRDPIIDEDSRKVQKLEDNTADFIKRGLNPRWGDLDINQHVNNVKYIGWILESAPISILESHELAGMTLEYRRECGRDSVLQSLTAVSNHGSDGSPEAGIECQHLLRLESGAEIMRGRTKWRPKPAQDLQNMGPLPAGNT >Ma08_p01200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1127630:1133401:1 gene:Ma08_g01200 transcript:Ma08_t01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSSDSREPMNEQIILNTYGNMRSEINQIYSKITELEMEVSEHSLVIGAIQPLDPSRRCYRMVSGVLVERTIKDILPAVQRNKEGLEEVIARLNEALERKKKEISEFELKYKIKIKKADSETKEDTNQKEGSAQGVLVGPAS >Ma08_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1127632:1133401:1 gene:Ma08_g01200 transcript:Ma08_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTSSDSREPMNEQIILNTYGNMRSEINQIYSKITELEMEVSEHSLVIGAIQPLDPSRRCYRMVSGVLVERTIKDILPAVQRNKEGLEEVIARLNEALERKKKEISEFELKYKIKIKKADSETKEDTNQKEGSAQGVLVGPAS >Ma03_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26155190:26156972:-1 gene:Ma03_g21100 transcript:Ma03_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRERIMHIDLALETADDEVRALEEAEDGGDREEEEEEKEEGEVAISNEDKEANKHQEENQTEEKEQSYEKTTAEDVIKDELCVLQAEMERMKEENRMLEEAIDRTMKDYYDLQIKFADIQQEDQPKEHQVFLSLGRQSSGEIKQAKVADRGSGLADDEELCLSLSLHTHVDPHQRDDAREEKGKGLKSWAAGELTTITSQSINPATRKTRVSVRARCQGPPMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTAASANSLSSSPLSYLNPYLANPSPQLSTMRSFTSSAGYSGIFGGRQQLDILGPHHQATSSAAGGGSWISTGHGVWNGEDEKSLAEQVGVIASTQR >Ma04_p30080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30826902:30829290:1 gene:Ma04_g30080 transcript:Ma04_t30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPPQLVHHRAGLLASPLNAAFSFNIVGAPGPARRRAHRRLFTAISAMGGEETRFEVDPSKAREALQRLDQQLESLAQQEALPKKKRPSPPPLEPILDRDLITGKRTDDMPEVSGSYLAYTAVALVLLTVLNNILFNVFIKPSVDGNEQVSKIERVPLSEPTEQLVPKLVD >Ma07_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4806420:4840075:-1 gene:Ma07_g06720 transcript:Ma07_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLETGPAMAPSSSGVRCWRTAFLTLRDETLASPPPPALLALLRDLILSHPSDAMVAAATDLPPHEVTSDLVLLAELASAASVCKDVDDVLLWTCHLIHAVSCKVFLGISSSSWTVILNILKRVVEHLLGNTDNSSVCMDDTSRMKALTEVLDILRLAVKAYRRNNSLSEIMELVRLLVCLVSCLHAELFSLHHPHGTHSPFNDSGHRNPLCNILWDIQTHALSMMCDALTGIGTSIPANLWQSTIEVLRKVIDYLVSKNLLLENSVMSRLLLNLLNCLHLVLLEPKGSLSGHVPGLVATLQLFLVYGLPSRSSLRPMISDLKEKAFISSGIKSGLGESIKSVPGPYKPPHLRKKDGPNNDTLDAQCSSDHVPSKYGFTSSDSDHSDSDGSSKHIDRFRSSKVRLAALTCIQDLCHADPKSLTSLWMLLLPENDVLQSRKFEANLMTCLLFDPIIKVRIESTSVLASMLDGHSLTLSQVAEYKESSKCGSFTTLSSSLGQKLMQLHTGLLYLLQHETHNGLISSLLKVILVLISASPYGRMPGDLLPTAITSLHSKTKEILASKNENIGLLVNNLSCLGASFSRSPPLLLVLKLLEEDILHGFSHDQLEPSIFSTLFHLSEKRRHPSVVFEALQVLRAVAHNYPSMVTRFWRQVSDSVHELLHARNHESSCEAVAGFCKEEFSKAVGVTTEKCIMAAIKVLDECLRAVSGFKGADDLQDFRLLDIQRISDCTRSKKISSAPSYELDGPVALNGDCASCGLEQWNEVIVKHLPESLSHASPIVRAASVTCFAGMTSGVFSSLTKDKQEFVISSAVTAAFGDGVPSVRSAACRAIGVLTCFSEIVSRSTVIDKFIRAVDYNSHGPIASVRITASWALANICDALRHRATELDLDRSEDAGEIRLSDSIYLLVESALRLTKDGDKIKSNAVRALGNLSRFIRLTNHSAESLPSGSKSAFHGNAHWLERMVQAFVSCVTTGNVKVQWNVCHALSNLFMNETIKLHDMSWAPTVYSILLLLLRDSTNFKIRIHAAVALAVPTSRLDYGSSFSDVVQSLEHVRESLVSDQSSTPSSFKYKDNLAKQMTLTMLHVLGFVSPNDDQALKDFLVKKAHVLEEWFKLLTSTLAEASDQPSATECMSNQNQEDVLTLFVPDKTMLSRALKSVLGVYECGNHQNIAQRFKKLASILL >Ma07_p06720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4806420:4839747:-1 gene:Ma07_g06720 transcript:Ma07_t06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTSRMKALTEVLDILRLAVKAYRRNNSLSEIMELVRLLVCLVSCLHAELFSLHHPHGTHSPFNDSGHRNPLCNILWDIQTHALSMMCDALTGIGTSIPANLWQSTIEVLRKVIDYLVSKNLLLENSVMSRLLLNLLNCLHLVLLEPKGSLSGHVPGLVATLQLFLVYGLPSRSSLRPMISDLKEKAFISSGIKSGLGESIKSVPGPYKPPHLRKKDGPNNDTLDAQCSSDHVPSKYGFTSSDSDHSDSDGSSKHIDRFRSSKVRLAALTCIQDLCHADPKSLTSLWMLLLPENDVLQSRKFEANLMTCLLFDPIIKVRIESTSVLASMLDGHSLTLSQVAEYKESSKCGSFTTLSSSLGQKLMQLHTGLLYLLQHETHNGLISSLLKVILVLISASPYGRMPGDLLPTAITSLHSKTKEILASKNENIGLLVNNLSCLGASFSRSPPLLLVLKLLEEDILHGFSHDQLEPSIFSTLFHLSEKRRHPSVVFEALQVLRAVAHNYPSMVTRFWRQVSDSVHELLHARNHESSCEAVAGFCKEEFSKAVGVTTEKCIMAAIKVLDECLRAVSGFKGADDLQDFRLLDIQRISDCTRSKKISSAPSYELDGPVALNGDCASCGLEQWNEVIVKHLPESLSHASPIVRAASVTCFAGMTSGVFSSLTKDKQEFVISSAVTAAFGDGVPSVRSAACRAIGVLTCFSEIVSRSTVIDKFIRAVDYNSHGPIASVRITASWALANICDALRHRATELDLDRSEDAGEIRLSDSIYLLVESALRLTKDGDKIKSNAVRALGNLSRFIRLTNHSAESLPSGSKSAFHGNAHWLERMVQAFVSCVTTGNVKVQWNVCHALSNLFMNETIKLHDMSWAPTVYSILLLLLRDSTNFKIRIHAAVALAVPTSRLDYGSSFSDVVQSLEHVRESLVSDQSSTPSSFKYKDNLAKQMTLTMLHVLGFVSPNDDQALKDFLVKKAHVLEEWFKLLTSTLAEASDQPSATECMSNQNQEDVLTLFVPDKTMLSRALKSVLGVYECGNHQNIAQRFKKLASILL >Ma07_p06720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4806420:4840075:-1 gene:Ma07_g06720 transcript:Ma07_t06720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLETGPAMAPSSSGVRCWRTAFLTLRDETLASPPPPALLALLRDLILSHPSDAMVAAATDLPPHEVTSDLVLLAELASAASVCKDVDDVLLWTCHLIHAVSCKVFLGISSSSWTVILNILKRVVEHLLGNTDNSSVCMDDTSRMKALTEVLDILRLAVKAYRRNNSLSEIMELVRLLVCLVSCLHAELFSLHHPHGTHSPFNDSGHRNPLCNILWDIQTHALSMMCDALTGIGTSIPANLWQSTIEVLRKVIDYLVSKNLLLENSVMSRLLLNLLNCLHLVLLEPKGSLSGHVPGLVATLQLFLVYGLPSRSSLRPMISDLKEKAFISSGIKSGLGESIKSVPGPYKPPHLRKKDGPNNDTLDAQCSSDHVPSKYGFTSSDSDHSDSDGSSKHIDRFRSSKVRLAALTCIQDLCHADPKSLTSLWMLLLPENDVLQSRKFEANLMTCLLFDPIIKVRIESTSVLASMLDGHSLTLSQVAEYKESSKCGSFTTLSSSLGQKLMQLHTGLLYLLQHETHNGLISSLLKVILVLISASPYGRMPGDLLPTAITSLHSKTKEILASKNENIGLLVNNLSCLGASFSRSPPLLLVLKLLEEDILHGFSHDQLEPSIFSTLFHLSEKRRHPSVVFEALQVLRAVAHNYPSMVTRFWRQVSDSVHELLHARNHESSCEAVAGFCKEEFSKAVGVTTEKCIMAAIKVLDECLRAVSGFKGADDLQDFRLLDIQRISDCTRSKKISSAPSYELDGPVALNGDCASCGLEQWNEVIVKHLPESLSHASPIVRAASVTCFAGMTSGVFSSLTKDKQEFVISSAVTAAFGDGVPSVRSAACRAIGVLTCFSEIVSRSTVIDKFIRAVDYNSHGPIASVRITASWALANICDALRHRATELDLDRSEGEIRLSDSIYLLVESALRLTKDGDKIKSNAVRALGNLSRFIRLTNHSAESLPSGSKSAFHGNAHWLERMVQAFVSCVTTGNVKVQWNVCHALSNLFMNETIKLHDMSWAPTVYSILLLLLRDSTNFKIRIHAAVALAVPTSRLDYGSSFSDVVQSLEHVRESLVSDQSSTPSSFKYKDNLAKQMTLTMLHVLGFVSPNDDQALKDFLVKKAHVLEEWFKLLTSTLAEASDQPSATECMSNQNQEDVLTLFVPDKTMLSRALKSVLGVYECGNHQNIAQRFKKLASILL >Ma09_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8908572:8910010:-1 gene:Ma09_g13170 transcript:Ma09_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDTILKAYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFSEEEDFIICSLFISIGSRWSIIAAQLPGRTDNDIKNHWNTKLKKKLLGKKREPLQHRRVHATQQPSNDKPPPAVTSSALERIQLHMHLQSLHNPFSFYHNPAQWPDYHALGESNFQSHNMDDMAKAQALGDMAAYQAKLDMRNTAIQEEMDCSTLGFRSPPDSIGAPSTDRSSASSNPLEPATGCKLYGSCDYNQTNVEAEIMDSWDCNGFSEDTSGLWDLTAVIHADSMLQEYMKGRQ >Ma09_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5167490:5173617:1 gene:Ma09_g07870 transcript:Ma09_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLVPGVLLKLLRHMNADAKVGVEHCSSVLQVVSIVPALAGGELYPNQGFYLRVSDSSHATFVSLPDEQVDLILSDEIQLGQFIHVDRLEAASPVPILRGVMPLPGRHPFVGNPQDLVATCSSGLLGSEKSKVSHGCSGNANDDLLSAKEKNKSGKLEDVSKVVVVEKKKSSFSRSSSSLSKQLTSSDVEKKEVHHVRSRSLNLRSVPSSPSDCFPSPVSEKLHSEVTQQAKVNVPEKTSPSRFGLLGRAASVLKATTAGRKSSAGTLIGNLVPAFKSGSKVLRKSWEENMELKDRDNSTPRATKKEIKPETRSISAPRKNTLTTERLSHKEDSKVQTTGKKGKVDADLEDHDKSIKQQPAVKKTSVSSCNLTPESSSTFVPSNVSWASLPSSLAKLGKEVLEYRDAAQRAAIEALQEASAAETLIQCMSMYAELRSSAEDDNPQQAVEQFFALFGTLTRAGLVADSLFKLAVTSPTDPLGGDPTMEEALRVSGVHRKLAAAWIHTAIATDLSAFSLYGPGASPSKHRGTTVSVVLEAPRKPASPLKPTSSSTSSAPTAVSQIKQRSSASIQKPRAPPPLPPPREWVSGQGVAEVAAVGRALRREVRGWFLGFVERFLDADAAAADGPRDRDLVAGTLSQLKRVNDWLDGVGERGGDGMAEDGGVPPETIERLRRKIFDYLIAHVESAAVALGGGRHGVPASSSSRTSSVHESRSANRY >Ma01_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9370338:9371055:-1 gene:Ma01_g12770 transcript:Ma01_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSLKPVVLAGKLQDSFFASFALGWVITNGARLASYPIGTVCGRMTMTLWQAEVQELTGCLPPDLEELGCEIPVDKLQLIVLGKKYGFGGA >Ma07_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:779301:780689:1 gene:Ma07_g01000 transcript:Ma07_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPPLVKRKFHHKHVLGLLLLLLPAFVVAVFCHGQKISYVLRPIWDTPPRPFTRIRHYHAQGLPMDHLCRLHGWSLRSSPRRVFDAILFSNELDILEIRYRELLPYVHKFVILESNVTFTGIAKPLYFVDNLDRFEFASSKIVRGAFSGNSGGTLGRDPFELEAKQRVALSALLRRSGIAPGDVVIMADADEIPSAETVKLLRWCDGVPPVMHLELRHYMYSFEFPVDYSSWRATAHLYNQGTGYRHSRQADLMLADAGWHCSFCFRTIEEFVFKMTAYSHADRVRRSSFLDRRRIQHIICRGEDLFDMLPEEYSFRELIKKMGSIPKSASAVHLPSFLLENADRFTFLLPGGCSRLK >Ma04_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26373626:26374375:1 gene:Ma04_g24350 transcript:Ma04_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIGALSTMNRQFPSSAAASLVLPRPVTKSAYRNDIGRRGLLTLLISTATVPEVTDPKKALLQEYLKRSKENKAKNDKERLDDYYKRNYKDYFEFIEGSLKDKNEELLSESEKDIIKWLQKNRK >Ma06_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4486762:4493760:1 gene:Ma06_g06090 transcript:Ma06_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGGGGRRGGRGDYPREQHPSVAPAYPTGGGGRGGRGGPGGNGRGRGAGRGGGGGGGGGAPSAPPAMMSQRAPPPTTSYYSPPADVPSSSAAAAAGTSSTSLSQELEQRLTIRDPEPAAAEPEATLTQSTPASSKALRPPARPGFGKAGVTCIVRANHFLVEVADKSICHYDVAISPECTSRITNRRIITELVRMHKNGVLGNRLPVYDGRKSLYTAGPLPFTDKAFVIKLADEEKTDKTREKEFKVTIKLAGQADLDHLRNFLQGRQMDAPQETIQALDIVLRESPSANYVTSSRSFFSPMFGHKCDIGEGLECWRGYFQSLRPTQMGLSLNTDISATSFYKPVTVVEFVAEYLNIYDIMRPLSDPDRIKIKKALRGIKVEAMHNRNCRRRYKITGITSMPMSEIMFPVDESGTKLSVVQYFRDRYQCSLKHVSWPCLQAGSDARPTYLPMEVCRIIEGQRFTKKLNDRQVTSILKATCQRPRDRERSILEMVRLNKFNDDKFVKEFGIRIKNEFTPVEARVLPPPRLKYHESGREKLCSPNVGQWNMINKRMVNGGNVEHWTCLSFSRLRGDEIDRFCGGLVNMCNNIGMVFNPRPFVDIWAVHPDSMETALRKVHSQSLNCLGKSGRHIQLLIIVLPEKSGHYGRIKRICETDLGIVSQCCLPKHVAKCNNQYLENVALKINVKVGGRNTVLEDALHYRIPFVTDKPTIIFGADVTHPAPGEDASSIAAVVASIDWPEVTKYKGLVSAQQNREEMIQDLFKVTQDPQKGTIYGGMIRELLLSFFRATKQKPHRIIFYRDGVSEGQFSQVLLHEMTAIRKACASLEEGYLPPTTFVVVQKRHHTRLFPEVHGSRDLTDRSGNILPGTVVDKMICHPTEFDFFLCSHAGIQGTSRPTHYHVLFDENHFSADDLQRLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYMEGELSDGGSTSAGGRSRSKNTSTEVRQLPLIKHNVQEVMFYC >Ma10_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14367622:14369298:1 gene:Ma10_g03770 transcript:Ma10_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSQLARPPSLRGSLKALEADINHANTLADAIQRAYGGACLQMRLSCSPLAPFFLFLMQWLDCTCSYSLPSYLGLFQILVYKVYVDGMTTVSTFERRASIREFYAIIYPSLQQIKGNIVEREQSKEKGRDKYLVGRKRMEEPKKLFDEDFDRDDECGICLEVCTKMVLPSCSHAMCIKCYRDWNVRSQSCPFCRGSIKRVRSRDLWVLTNKSEVVDTMTLERDNLRRFYRYIDSLPLIIPDSLFLVYYDYVV >Ma07_p07760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5763158:5768537:1 gene:Ma07_g07760 transcript:Ma07_t07760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRSEGDELIEDYVECLMSLDANARPCENDNSSLATHAEDPTSIPVGIIVQPIRELAPVGTEDNKEPTLGMEFESDEAAKSFYNDYARRLGFPFRVGRSRRSKGVEEVLIMKRFVCSKEGIYRKKPSSEGTRKRERISMREGCKAMMEVIRDSDRWVVSKLEKAHNHHLGTCSRVGYLRARGFIDTSDKASIVASDAMTLLRQNAFGEGGDAQGLLDYFKRMQADNPAFFYSIQVDNNSCVTNAFWADSKARTAYGYFGDAVVFDTTYKKNKYMMPFVTFSGVNHHLQPIIFGCALLIDETEFSFIWLFETWLAAMGGRPPVSLITDQNRAMAAAIAKVFPNTCHRFCKWLILSRSKQKLAHVYSAHPTLRGELEECVIKSETIEAFEARWASVIDKYDLRKNTWLQALFNIRQKWIPLYSKDTFFAEISSMQKLETMSDLYKKYFNTKTSLKVFLTQFELAMADRFEDEAQADFETLCTKPNLKTASPIEKQASAIYTRAVFNKFQEEFVESLGYNVYKIKDGASSKFSVTRDEDSLETFIVSYNAGKKTATCSCKNFEFSGILCRHVLGVFLMIDVRVLPKEYFLQRWTRSAKVGAIMNELSAEPQNTCQESITSRYNDLCRDAIRCAEKGATSVKIYKAAKDVLKKAYDNIIAFEKSTSKGAQRDVININEEITIDDAINDQSLQDPERKVTNLLGQLLGSSWSP >Ma07_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5763158:5768537:1 gene:Ma07_g07760 transcript:Ma07_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRSEGDELIEDYVECLMSLDANARPCENDNSSLATHAEDPTSIPVGIIVQPIRELAPVGTEDNKEPTLGMEFESDEAAKSFYNDYARRLGFPFRVGRSRRSKGVEEVLIMKRFVCSKEGIYRKKPSSEGTRKRERISMREGCKAMMEVIRDSDRWVVSKLEKAHNHHLGTCSRVGYLRARGFIDTSDKASIVASDAMTLLRQNAFGEGGDAQGLLDYFKRMQADNPAFFYSIQVDNNSCVTNAFWADSKARTAYGYFGDAVVFDTTYKKNKYMMPFVTFSGVNHHLQPIIFGCALLIDETEFSFIWLFETWLAAMGGRPPVSLITDQNRAMAAAIAKVFPNTCHRFCKWLILSRSKQKLAHVYSAHPTLRGELEECVIKSETIEAFEARWASVIDKYDLRKNTWLQALFNIRQKWIPLYSKDTFFAEISSMQKLETMSDLYKKYFNTKTSLKVFLTQFELAMADRFEDEAQADFETLCTKPNLKTASPIEKQASAIYTRAVFNKFQEEFVESLGYNVYKIKDGASSKFSVTRDEDSLETFIVSYNAGKKTATCSCKNFEFSGILCRHVLGVFLMIDVRVLPKEYFLQRWTRSAKVGAIMNELSAEPQNTCQESITSRYNDLCRDAIRCAEKGATSVKIYKAAKDVLKKAYDNIIAFEKSTSKGAQRDVININEEITIDDAINDQSLQDPERKVTNLLGQLLGSSWSP >Ma09_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1031136:1031718:1 gene:Ma09_g01440 transcript:Ma09_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVLVSQLATGLGVLAGAAIVKSVVENRPMAGGWPRCPSCNGTGRVACLCSRWSDGDIGCRSCTGSGMMLCRSCGGSGSGRPLPIQVPMRSGRSP >Ma09_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11843738:11849093:-1 gene:Ma09_g16480 transcript:Ma09_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARCSISVNGSPSNRTAARSRTSRLPPPPWLPWPRNRSNIPHHHRSRSWRSKCRDGKSDDGGGLDEDWVSSPSASPYQVLGLDPTCCSPAQLKAAFRARVKEFHPDVCKDMKDADALIKRVIWAYEILSKDQPDEPFRRPGVDPFDEPECEAYDLFINEFQCVGKGCPYSCVKRAPHAFSFSPENATARVISQGHSDDYLVQLAVGQCPRNCIHYVTPSQREVLEDLLQSALAAPYDIAEAALLDSLIAKAKFENNRYQKPKRKPKVSTEYVDWV >Ma11_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8671333:8674860:1 gene:Ma11_g09590 transcript:Ma11_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGKVKGGGGEGTVLAQNPTSNEEAEHSNGLSRKKKSKAKDGGGKGNGEKEKVEKEGAFLLGEPTFLDLGNGRLRCVETGHELLAKDREAYGRTKACRLALIDAAVARKKPPLNMFQPHPTSKSQLVCKLTGDAVNKSEEHIWKHVSGKKFQNMLEQKEMESHANAEAVEKNAKQSKKQFKSKKDQKKNAHESDSLTKKTKANSDDIIEPDFWVPPVGSRWDFDDGEDRWDSHENSDDDADGGTGLDGTSEKDDPETRKLAKRSKRTSKSVGLSSFVSRKKKIKKATTSPSEQQL >Ma01_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4631807:4641750:-1 gene:Ma01_g06460 transcript:Ma01_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRWPPEDSDLQPPRVPAATAAAAAAAAAEMISDDDRSVAADSWSIKSEYGSTLDDEQRHVDAAEVLLGCNFPAAASDYSSDKDGTDSNEVEASVLGLLSYWDASYAEDLANFHEHGHAGEIWFGNEVMETVAAWTKNLCANVYQSQKEDDNNNCTSDSGRTSDLSGWSVLDIGTGNGLLLQELAKQGFSNLTGTDYSEGAIVLAQNLATRNGYPNINFLVDDVLETKLDRKFQLVMDKGTLDAIGLHPDGPLKRIMYWESVSNLVAPGGLLVITSCNNTKDELLQEVENLNQKKASIQELDSESGSTPAVLQYIDHVRTYPTIMFGGVEGSRVCSVAFRRS >Ma09_p27590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38544463:38545402:1 gene:Ma09_g27590 transcript:Ma09_t27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYSWLSESSLDPGLVSEYALLFSNNELVEDDIAHFNHEFLLSMGVSIGKHRLEILKLAKKGMYRSPRSVARLLAAISMTRSCIAKYVRSLVRRNSSAIVVVPRPSYNGGAAPRGNMLKRNKKLVKSKQRELLLTDGGVKVPSPVRVSRSASPMIDRYKEGAEEIRWDSMFQDLKPT >Ma10_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21312883:21316356:1 gene:Ma10_g06940 transcript:Ma10_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDFDLPGSEEAADDGLMDPPDEDPSFEIGEEKEIGKQGLKKKLVKKGDGWDVPEAGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGEHGSPPTIPPNATLQFDVELLSWCSVKDICKDGGIFKKILKEGEKWENPKDLDEVLVKYEARLEDGTVISKSEGVEFTVTDGLFCPALAKAVKTMKRAEKVLLTVKPQYAFGEKGRPASGDEGAVPPNANLYVELELVSWKTVTQIGDDKKIIKMILKEGEGYDHPNEGAVVTVKLIGKLQDGTIFVKKGHDEQESFEFKTAEEKVIEGLDQAVMTMNKGEIALVTIPPEYAFLSTESKQDLAVVPANSTVIYEVELVSFVKEKESWDMNTAEKIEAAAKKKEEGNALFKMGKYMRASKRYEKGSKFIEHDSSFSEEEKKQSKALKAICSLNNAACKLKLKDYKEAEKLCTKVLETESRNVKALYRRAQAYIQLADFDLAELDIKKALEIDPDNRDVKLEYKTLKEKMKEYNKKDAKFYSNIFAKMSKLEPTEASKAGN >Ma09_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8992182:8993420:1 gene:Ma09_g13330 transcript:Ma09_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFLLLVSSMVAIASAGFPQNFDITWGEGHAKFLDNGQLLTLSLDKASGAGFQSKDEYLFGKIDMQIKLVPGNSAGTVTAYYLSSEGPAHDEIDFEFLGNLSGDPYTLHTNVFAQGKGNREMQFKLWFDPTKQFHTYSILWNPRHIIFMVDGTPIRDFKNLESRGVAFPKDQPMRIYSSLWNADDWATRGGLVKTDWTKAPFVASYRNFNADACVPASGRSRCDSANSGWWNQELDSASHARMRWVQKNYMIYNYCNDVKRFPQGLPPECSIA >Ma07_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15948821:15951080:-1 gene:Ma07_g16930 transcript:Ma07_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPKTVDDDEHRDGQDLASLKEDQQLNKDDAPVVEDVKEGEDSDDEDDDEGERGGSEVTKKSKQSKSEKKSHKAMLKLGMQPVIGVSRVTIKRTNNILFVISTPDVYKSQNSETYVVFGEAKIVDLNSQVQSQTAQQFGMPDLSRVTLKSHESYSETVVDEDVDDTGVDPRDIDIVMAQAGVSRAKAVVALKAYNGDIIEAIMDLTA >Ma05_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26071437:26089094:1 gene:Ma05_g19080 transcript:Ma05_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAHADDPDVAAASPKSCGDLPSATATPADVLSVDGAEAVYHAERLLANGDFYTGQWCGNVPHGNGKYLWTDGCMYEGEWRHGKTMGKGKFSWPSGATYEGEFKSGFMDGYGTYTSSLGDTYRGAWSMNLKHGHGVKSYANGDYYDGEWRSDVQDGHGRYVWKNGNEYVGQWRAGIIDGRGTLVWANGNRYDGGWEDGLPKGNGNFRWADGGLYVGYWGKENGSLQQKGAYYPSPTASSPTARDPSGVLLADLGDCKVCQGDNVSILPSQKTLNWSGVEADFVQKQAAWRSSKSADAQPRRRASAEVVSAGFTPRGHNGHASTISGIGSKSGFWDPDELINSDAESGGAFETGGATKGVDDFLGELQLNDVESRGIGRIQQPLRWPPREIKKQGETISKRHKNYDLMLNLQLGIRHAVGKLPEPKTLDLKASAFDPKEKIWTRFPPEGTKQTPPHQSCDFKWKDYCPLVFRTLRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKVLLRMLPAYYNHVRAFENTLVTKFFGLHCVKLTGATQRKVRFVIMGNLFCSEYPIHRRFDLKGSSHGRTTDKPEAEIDENTTLKDLDLNFIFRLQKSWFQEFHRQVDRDCEFLEQERIMDYSLLVGVHFREASASQETDVPCDVNNTNEPTPHLSRADMDQFLCDPTRWASIKLGANMPARVELIVRRTDGESLLIMEPTGEFTDVILYFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPKQYSKRFRDFIYKVFTEEI >Ma09_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34345736:34371280:1 gene:Ma09_g22410 transcript:Ma09_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISDIGLSAAINFLSALAFLVAFAVLRLQPINDRVYFSKWYLKRIRSSPTRSGTFVQKFVNLNLRSYLKFLEWMPAALKMPEPELIDHAGLDSAVFLRIYLIGLKIFIPITILAFSVLVPVNWTNGTLNDSNNLQYSDIDKLSISNVPERSQRFWAHLVMAYVFTFWTCYVLRKEYGTIASMRLHFLATVKRRPDQFTVIVRNVPPDPDESVSELVEHFFLVNHRDNYLTHQVVYNANTLAKLVEEKKQMENWLDYYQLKFDRNPSKRPTRKTGFLGLCGDKVDAIDFYTSKVDKLSEEEAAEREKIIKNPKFIMSAAFVSFRTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWQNLAIPFVSITIRRLIVAVAFFFLTFFFMIPITFVQSLANIEGIEKAVPFLKPLIEVPVIKSFIQGFLPGIALKIFLILLPTILMIMSKFEGFISLSALQRRSASRYYLFLLVNVFLGSIIAGTAFEQLNTFIHQSANEIPEKIGVSIPMKATFFVTYIMVDGWAGIAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIEFATSEPQIQLYFLLGLVYATVTPFLLPFILIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIISALVISQLLLLGLLSTKKAADSTPFLIALPILTIWFHRFCKNRYESAFVKYPIQEAMMKDTLERAREPNLDLKAYLSNAYVHPVFKDGEDEDSVATDEEKEVENVLVPTKRASRRNTPVPSKFGCSSPPSLPDDVQELL >Ma08_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4865402:4867097:1 gene:Ma08_g07110 transcript:Ma08_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDVLYVVGAAVVAAVWWRRCSTTPEGLPPGPPGWPVVGNLFQVILERRPFMYVVRDLRKRYGPIFTMRMGQRTLIIVTSADLIHEALVQRGPLFASRPADSPTRLLFSAGKCTVNSAAYGPLWRALRRNFVSEIVTPARVKQFGWIRDWAMANHLARVRAEFKATGAVQMMSNCRLTVCSILVCICFGARVPEDHVRVIEEVLKEVMMMTTPKLPDFLPIFTPFFRGQLTEARKLRKRQMDCLVPLVRARRAFVESGGKMDPSSAWEMVSPVGEAYIDSLYGMEPSGKGRLGEDELVTLCSEVMSAGTDTSATMLEWAMLHLVLDQSAQERLYEEIVGKVGRDKGRKITESDVEGMGYLQAVVKETMRRHPPSHFVLSHAATRETELGGYRIPADASVEFYTAWVTENPSTWKDPGEWRPERFEEGGEGWETDVTGTRGIRMMPFGAGRRICPAATLGMLHIQLMLARMVREYRWVAVPGERPDPTETFAFTVVMKEPLRAAILERE >Ma06_p31730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32779309:32789805:1 gene:Ma06_g31730 transcript:Ma06_t31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKLGSKADVFHRRGQAWFCTTGLPSDIIIEVGEMAFHLHKFPLLSKSALLEKLIEEISDEQEGCVVKLDDLPGGSKAFELVARFCYGVKFELTASNVVCLHYAAEHLQMTEEIAEGNLITQTKIFINQVVIRSWKDSIKALVMCNNLLPHAENLQIIKRCLDSLAVKACTDPNLFGWPMVEHGTMHSPGGSVLWNGISTGARPRNCSSDWWYEDVSSLSLPLYKKLISVMESRGIRQEVIAGSMTFYAKRYLPGISRHHCMASVALTAAPSEEEQRQLLEEIASMLPLQKGVISTKVLFGLLRTGMILQVKPSCISDLEKRIGLQLDQATLEDLLLPNFSYSMETLYNVDCVQQILEHFLAMVQAADCASPNMVDDEQITGSPSLEPVTTVSKLIDGYLAEIAPDVNLKLPKFQNLAGAVPDYARPLDDGLYRAIDIYLKAHPWLTEADREQLCRLMDCQKLSLEACTHAAQNERLPLRLVVQVLFFEQLQLRTSIAGCLLVSDNLDGSRPLRGGLVGSGDAGGWASTVRQNHVLKEGMDNMRMRVSELEKECMSMRQEIEKLGRGNSRWSSVPKRFGFTIKSQMCSAQEESVGDHCRNRMNIKTEKFPEKLMKHKKQSSAGS >Ma06_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12051043:12054484:-1 gene:Ma06_g17750 transcript:Ma06_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNVIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNLENLKRLAEHLQKHAPGTGAAAKQDNDNDDDDDVPELVPGETFEAAADENQAS >Ma06_p17750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12051043:12054018:-1 gene:Ma06_g17750 transcript:Ma06_t17750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAPLMTILSCVWACYGKASFEFIAMNVEKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNVIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNLENLKRLAEHLQKHAPGTGAAAKQDNDNDDDDDVPELVPGETFEAAADENQAS >Ma02_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19234372:19238757:-1 gene:Ma02_g09070 transcript:Ma02_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFAYRLNTLLTFSLLLLAVLCGAASFLDAFNSPLSASSSHVQASAQVLKVNRFRRQLNGADEVSLTFNVSLDVQPLFTWNTKQVFVFLAAEYETPKNALNQISLWDHIILDKDQAKFQTKVTTKYPLIDQGSNLRGRKVDLILHWHVMPKTGRMIRDKLHLSDFHLPEAYT >Ma01_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1874361:1874549:1 gene:Ma01_g02870 transcript:Ma01_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLTNRVAREPNQLPGVLEFGGGLLLEPRTTVPVPRTATAASCPCGWPRGSARPGRGRHR >Ma08_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25917982:25918407:1 gene:Ma08_g17520 transcript:Ma08_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSFTTKLQTIQCLPSNFQSGLIFFFPLIYIFQCKLINVHVRPFSILYFSMYCII >Ma01_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13902169:13904710:-1 gene:Ma01_g18610 transcript:Ma01_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGPFRLQTTRSRCGGFGQTVKSLCKSCKGQKVVRGTKSVKLDVMAVYRSGGADPDTRQPGDLFVTIKVREDPVFRRDKANIHVDAVLSVTQAILGGTIQVPTLTGDVVLKVKPGTQPGQQVVLQGERNKGEEQFLLWRPICSF >Ma05_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27974365:27974952:1 gene:Ma05_g19520 transcript:Ma05_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSKPPLVTISPNPLNCVKQRVLVASPPSSPSSSSFSDDEEEVEVVEDDDGDACWATYGRRSRRFPPPLPLLARTGKLTSHMPWILERIHEDDGRRLVIREVRVNRHEYFRARRGGGRLTLHLVELHDHQSPEKPENDMIAVARDHQSASLEEEKWVHGRRQWKHNNKSEHGRPEKKPEVDDVVKDDDPIFSSA >Ma04_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5377768:5382228:1 gene:Ma04_g07430 transcript:Ma04_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATQPSQLPFSYLSPTKVLTRPQPGPNSPTTSMSQGFHQGIFSFSEGFDRSANQEQQHHHIAQQSRRDKLRVQGFDAAGPPLVPIEEQGGEPSIYESAAVGAGNMLSDMFSFPAHGPTPIDLHANQISGSYHLPPRQTAVTGFSGDWYGPNRQGNQQQHQVTGLNTDPAAAMQLFLMNPPPQPPSQQQHPRSPSPPPPVAAPTLHQQHHPHQAFQSFGETPFGGRLVEGQGLSLSLSSSLQHLEMAKADDLRVREGGLYFSNQQQHPTLHLQGHVHGHGQQFHMGYTGTGMVSVLRNSKYAKAAQELLEEFCSVMSGKSKGSRVGRHRVGSSNINRNPSSGGGGGGASSTAAASTSSSKDVPPLSPADRFEHQRKKTKLISMLDEVDRRYSHYCDQMQMVVNSFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIVAQLKQTCELLGDREGASSSGITKGDTPRLRLLDQSLRQQQSFSQMGMMEQEAWRPQRGLPERSVSILRGWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYLQESKEEEGTEKEANQQRAPSPMQQQQQSQRLGTNAASESDASPSTSSISHRNHRFASSSDNPPPGLGAAHQPSSGVDDGVLVGVGQIGDVYRYYGAAATSELGPAARMRLGAAGDVSLTLGLRHAGGGTSEKSRFSVRDLGGC >Ma04_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23432370:23433217:1 gene:Ma04_g20660 transcript:Ma04_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKQGAMESDARSPAITVERNPPESRLQQLGIKSWPKWACPPGKFPLKFDAQETCYLLKGKVKAYVKGSSEFVEFGAGDLVIFPEGLSCTWDVSAAVDKHYKFDSSS >Ma11_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1845444:1845952:-1 gene:Ma11_g02540 transcript:Ma11_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKSSSREHADAMGLGQEGGDGTRIVVLAGENRGAVTRVNSQEMVDTGGALRADENALAACANSNYQAVNNSIVLGGSCTAEDPGVHIAISDREDDDDDDESGEGSIYNS >Ma06_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10953046:10955504:-1 gene:Ma06_g16180 transcript:Ma06_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERAGYRVARRRRCKSRVGIQHRVTKDDAMKWFQVKYEGVILNKSQTTTG >Ma06_p13110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8994972:9002064:1 gene:Ma06_g13110 transcript:Ma06_t13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNKGLYGSKDLKLGSLNMANSLNPNAAEFVPSALKYAYGTAKSSGAAKLDLPGSSEKVVLDRSESDISNNSDDEVHKFWQHQLPDDITPDFEIVGQEELHEPGHLTLAGLSIHDGVEQSKFSTSMTDQILDMRQDLSSLSTDNINLSGKMRSPGSIYSREQSLVASMTSAADIWGKPMINGEQQGEGHQWDRDYNAGPVDNLIDDNVFLENSITDPIEFLSSQFPGFAAQSLADVYYGNGCDLDLTIEILTQLEVDAGFGQKLNSQSLATPNFSPLDFPALPVADTLNGLSKYSGEEAHNGSNMYRYPSGISRGDIDFASTVRKLASQNSGHWRYERNGSADGAAGSSKNSQLLSNSYNGNNKMVFGDRWHGSRVSRSSPVWLETGEAVANIYSESREEARDFARLRNTCFEQARLAYLIGNKALAKELSMKGQLYSIQMKAAHEKAKETIYRKRNPQSREMQGYSRGQDHLIDLHGLHVTEAKHVLDHELRLLRSTARATGQRLQVMVCVGTGHHTKGTRTPARLPAAVEQYLLDEGLDYTHHQPGLFRVVIY >Ma06_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8994973:9002064:1 gene:Ma06_g13110 transcript:Ma06_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNKGLYGSKDLKLGSLNMANSLNPNAAEFVPSALKYAYGTAKSSGAAKLDLPGSSEKVVLDRSESDISNNSDDEVHKFWQHQLPDDITPDFEIVGQEELHEPGHLTLAGLSIHDGVEQSKFSTSMTDQILDMRQDLSSLSTDNINLSGKMRSPGSIYSREQSLVASMTSAADIWGKPMINGEQQGEGHQWDRDYNAGPVDNLIDDNVFLENSITDPIEFLSSQFPGFAAQSLADVYYGNGCDLDLTIEILTQLELQVDAGFGQKLNSQSLATPNFSPLDFPALPVADTLNGLSKYSGEEAHNGSNMYRYPSGISRGDIDFASTVRKLASQNSGHWRYERNGSADGAAGSSKNSQLLSNSYNGNNKMVFGDRWHGSRVSRSSPVWLETGEAVANIYSESREEARDFARLRNTCFEQARLAYLIGNKALAKELSMKGQLYSIQMKAAHEKAKETIYRKRNPQSREMQGYSRGQDHLIDLHGLHVTEAKHVLDHELRLLRSTARATGQRLQVMVCVGTGHHTKGTRTPARLPAAVEQYLLDEGLDYTHHQPGLFRVVIY >Ma06_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4282947:4284084:-1 gene:Ma06_g05790 transcript:Ma06_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAEVVLHAPPFVRIFKSGRVERLLGNEVLPAGLDPATGVVSKDVLIDPATNLVARLYLPDLAGSPPDRKLPVLVYYHGGGFVIETAFSPTYHNYLNSLVAAAGVVAVSVDYRRAPEHPLPAAYDDSWAALQWVASRPPAEEWLAERGDLGRVFLAGDSAGANIVHQVALRVAAEGLGGAATIKGLLLIHPYFWGAEPLGSESRDPETRAGPEKIWTLVCPGTVGPDDPRLNPLAEGATSMAGLPCRRVLVMVAEEDILRERGRAYYEALERSGWEGEARLMEAEGEQHVFHFRDPKSAKAVSMMQTVVSFLTSD >Ma04_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4137320:4137891:1 gene:Ma04_g05550 transcript:Ma04_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRLPLPTSVRFMGDGGDQKEGGETKYRGVRRRPWGKYAAEIRDPGRHGARVWLGTFGTAEEAARAYDEAAYQMRGDLAVLNFPEEVRSRRRRGAVSPSTSRARGSAAAASGEQVIELECLDDKVLEELLRSSEASEEEEAKASDGK >Ma03_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26363006:26366525:1 gene:Ma03_g21410 transcript:Ma03_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVEGGGFFSSSASGYSNGLALLLLGRRNEDKPIKISSWNHYRLVEQEVEASSQLASNNDHASCGCASFSCFGCSSARLDEPYSRKVSLVHQSKIPSDSSPSSDRGKLTINDAVKWDERKTCLKSNMKKPSKGYSMVCEADDARELLEEADNKMSCCTVGRKVQWTDKCGKELAEIREFEASDDGLSDDDFEGESFRRCECVIQ >Ma03_p21410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26363091:26366525:1 gene:Ma03_g21410 transcript:Ma03_t21410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVEGGGFFSSSASGYSNGLALLLLGRRNEDKPIKISSWNHYRLVEQEVEASSQLASNNDHASCGCASFSCFGCSSARLDEPYSRKVSLVHQSKIPSDSSPSSDRGKLTINDAVKWDERKTCLKSNMKKPSKGYSMVCEADDARELLEEADNKMSCCTVGRKVQWTDKCGKELAEIREFEASDDGLSDDDFEGESFRRCECVIQ >Ma03_p21410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26363087:26366525:1 gene:Ma03_g21410 transcript:Ma03_t21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVEGGGFFSSSASGYSNGLALLLLGRRNEDKPIKISSWNHYRLVEQEVEASSQLASNNDHASCGCASFSCFGCSSARLDEPYSRKVSLVHQSKIPSDSSPSSDRGKLTINDAVKWDERKTCLKSNMKKPSKGYSMVCEADDARELLEEADNKMSCCTVGRKVQWTDKCGKELAEIREFEASDDGLSDDDFEGESFRRCECVIQ >Ma07_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5239134:5249175:1 gene:Ma07_g07190 transcript:Ma07_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MSAVWGLQPHLLPFFPTISSCNSLSLVLPVASEGKPYTFPSVFYKNACRLHLRLSSPLRGRHQQHPLWSAHPSYLPPPLRSKSASSRLLPCAYPSTDNNTFDGGDNNNNGNNNNNGNRWWHEKNPSFGPDGWWVLLPLPLLLLGGGPDDGDSIFSSIRVAAIVLLSLLPQLLFPFLTLGLTATAEDAPGFVWEVTGGRRTKLVHDPRGDCLAVDRGTNAQDGDGRPPFYPSLGSSWRICKDLVFRLLLPEGYPHSVSSDYLEYSLWRGVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGIGYLSKILLSNFGRHFDVNPKGWRLFADLLENMAYGLEIITPAFPQFFVLIGATAGASRSAASLIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFVGIMLGIAMGTYIGSSTPLALASFVAVTGLHMYCNFKSYQSIQLRTLNPYRACLVFSEYLLSGQVPLIKEVNDGEPFFPGIPFVNKITRRGQSQILSVEAKDAAAEICCRLQLGSKLSEVINSKEDAFVLFDLYRDEGYLLTENQGRYCIILKEGCSPDDMLKSLFHVNYLYWLERNVDMESGSAADECKPGGRLHISFDYVQREYGHIKYDGLQSGWITDGLVARPLPTRIRQVS >Ma10_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31830886:31834404:1 gene:Ma10_g22450 transcript:Ma10_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDRKSSGDIEEGTHHSHLNESDDDSLCFSDAEAHSCHSPYGSSGNGRRVSAQEIDGFQEPCGKSGVSESSLGDDLENGASEVKVNVDRAEQDCRICHLSLEKAAPESGVPIVLGCSCKDDLAAAHKQCAETWFKIKGNKICEICGSTARNVVDLVESEPTEQWSEANSSTAPPAAPPSETRSFWQGHRFLKFLLACLVLAFVISWLFHFNVPG >Ma10_p22450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31830886:31834404:1 gene:Ma10_g22450 transcript:Ma10_t22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDRKSSGDIEEGTHHSHLNESDDDSLCFSDAEAHSCHSPYGSSGNGRRVSAQEIDGFQEPCGKSGVSESSLGDDLENGASEVKVNVDRAEQDCRICHLSLEKAAPESGVPIVLGCSCKDDLAAAHKQCAETWFKIKGNKICEICGSTARNVVDLVESEPTEQWSEANSSTAPPAAPPSETRSFWQGHRFLKFLLACLVLAFVISWLFHFNVPG >Ma05_p27070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38505742:38508706:-1 gene:Ma05_g27070 transcript:Ma05_t27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLRSFLLLFLILLSSPVFFLISCESVPVDPRPRAFPSFKIQEIKEEIGWGCSYTVKIKTSCSSRRFTTDRISLAFGDAYHNEVYAPRLDDPSSGAFERCSTDTFKIQGPCGYGICYL >Ma03_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3649902:3655291:-1 gene:Ma03_g05570 transcript:Ma03_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLKAEALGDEMYSSSGAIPPVNLGRSIRRLMHKVGRGGGKSPHRSDPSASSSGMEDEKSSCGGREERRRKVCCYRSQLEQEVQILQKQLQEEINLHEVLANALAKNAAPLLNSTDNIPDEIQELLHSIATLETTVSNLEEELVTLHLQICNERAERHVAEAHLGSPPLTPGPPSPSSDCKPEHIFSSSISKSQLTQTPISMQKYVLSGCEDSQSITDIRSMERCPEAEILRSRNASDEGTDMGASVQLSVEVELKNNFPIEDISNNPNKVSEEMVRCMRNIFLCLSGSSDIPPEMSSSEGFQSSPVEHLSSSSFMSFSDSSTMTSLFQSPEGIYQTDEVDKQMSSFDPYGVSYKMNWGNVGSYILAAEVSWMSVGKAQLQYAAESEKHFRFFVEQLTEVNPACMSLNQKLAFWINVYNALMMHAYLAFGVPRSDIKLFSQMQKASYTIGGQSFSAAEIEFVILKMKPPMHRPQLAQSLALHKFKISKEHREYSVDSAEPLVVFALSCGMYSSPAVRIFTADTVQDELQNSMKDYIRASIGLNDKGKLLVPEMLHSFAKGVVEDSLLVDWICRYLSSDQITIVHYSKPQWKQRLLGVRSFSIIPFDSRFRYLFLPDKTS >Ma00_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:25571699:25572957:1 gene:Ma00_g03140 transcript:Ma00_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSDNESGGQNHAAGRGELCSPREQDRFLPIANVGRIMKKALPANAKISKDAKETVQECVSEFISFITGEASEKCQREKRKTVNGDDLIWAMTTLGFEDYVQPLKVYLQKFRELEGEKLVSSGFHKDSGGSGGSTGGIGSIDRGFSAGGSSSVGAYGSAGGMMMAMRQPLHGPSYGHQVGKASSGGRGSSSSSAGIGRHG >Ma11_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9123141:9125103:1 gene:Ma11_g09880 transcript:Ma11_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSAAWCVYCLHVEFVLLIQSKYHLRLQARMLPFAFMRTKYQRCDNKILLAEHVTPFFMA >Ma10_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15280360:15283707:1 gene:Ma10_g04640 transcript:Ma10_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEIKPEETVKVDPGEDKYLHLSQASLGETKKGNENILVYVKFNNQKLVLGTLSAEKCAQIQYDLVFEKEFELSHSSNNASVYLCGYKTAALEADEFPDFDESDSDADEDIQLDQKTNGKSIVKVEQTKSTEGKPKVPQANAPASKAKPKIEELKKADKQKPNKDDDEDVDGEESDEDESDDDEDMVEAEDDSEDEDEDEVESSDEDEAPVKMAEPPNKRPAGSALKTPVSEKKAKLISPGKGESQKKGGAAKKDGHSATPIPAKQSGKTPAKNDKSKQQTPKSAGSVNCKSCGKNFNSDNALQSHTKAKHSAGK >Ma04_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2420065:2420571:1 gene:Ma04_g03030 transcript:Ma04_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTRKNIYEIMIIERPIVRNLLTNGLGMTPLMGWNTLNHFYCDINESIIRESADALVSTGLAKLGYQYVNIGMPLTTLLTISFSLDLERVNLMNCLWHGHADVEHDCDSTVCK >Ma05_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8243861:8324821:-1 gene:Ma05_g11430 transcript:Ma05_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPEENWERLVRAALRGERLGVGAFGQPVSGVAGNVPSCLANNTHIDDILRAADEIEDEDRNISRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGGIDRSQDIAYLQEFYKRYREKHKVDELREDEMKLRESGVFSGNLGELEKKTVKRKKVFATLRVLGTVLEDLTREIAPDDAAKIISEEMKRVMEKDAAMTEDIIAYNIIPLDAPSIANVIVNFPEVKAAISSLKCCTNLSKLPSDFPVPAARDADVLDLLQYVFGFQKDNVSNQREHVVHLLANEQSRFGSILGSEPKIDETAVNSVFKKSLENYTKWCNYLPLQPVWNNIDNISREKKLLFVSLYFLIWGEAANIRFLPECICYIFHHMARELEGIMREPIAQPANSCTTPDGVSFLGRVISPLYEVIVAEAANNDNGRAAHSAWRNYDDFNEFFWSLRCFKLSWPWNLSSPFFLKPNKKTMGLLSVGGGKHYGKTSFVEHRTFLHLYHSFHRLWIFLFMMFQGLTIIAFNGGKLNWKTIKLVLSLGPTYVVMKFIESVMDVLMMYGAYSTSRRSAVARIFYRVLWFSVASFVVCYLYIKALQDGSNSAAFRIYVFIVGIYAAFKLFIGFLVRIPFCHHLTDLCYRWSVLRLVKWLHQEQFYVGRGMYERTTDYIKYVLFWLVVLGGKFSFAYFLQIKPLVTPTKTIVNFKDLQYSWHDLVSRNNHNALTILSLWAPVFAIYLLDIHIFYTLMSAAYGFLLGARDRLGEIRSVEAVHRLFEKFPGAFMTNLHVVLPKRRQLSSSGQGVELNKFDAARFAPFWNKIVENLREEDYINNSERDLLILPKNSKILLMVQWPLFLLASKIFLARDIAAESKDLQADLWFKISRDDYMRYAVEECYHSVKVILMSVFENEGRLWVEKIYDNIENSIKEDRLQLDFRLSNLQFVMSRISALTGILKEEESPNLLQGAVKAAQDLYEVVHHEILISNMREDIDDWNNIINARADGHLFSNLKWPKDPEMKALIKRLHALLTFKESAANVPRNLEAGRRLQYFTNSLFMQMPAARPVSEMLSFSVFTPYYSEVVLYSLDELYKKNEDGISTLFYLQKIYPDEWKNFLSRIGRKEDTEDSELLHSPADVLELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERITSEDGTIAGTENVTDIAEGFNLSPEARAQADLKFTYVVTCQIYGKQKEEQKPEAADIAMLMQRNEALRVAYIDTVETVKDGKPHTEYYSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAVIFTRGNALQTIDMNQDNYFEEALKMRNLLEEFHCDHGKHKPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRIINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYVTTVGFYFCTMLTVLTVYIFLYGKTYLALSGIGEAIQIRADILQNTALDAALNTQFLFQIGVFTAVPMILGFILEYGFLMAVVSFTTMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGLEVVLLLVIFLAYGYNSGGAISYILLSVSSWIMALSWLFAPYLFNPSGFEWQKTVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHTLRGRILETIVSCRFFIFQYGVVYKLQASGTDTSLTVYGWSWIVLAALFVLFEVFTFSNKAWVNFQLPLRLIQSITLLMALAGLAVAIAVTDLSVPDIFACILAFVPTGWGILSIAVAWKPFVKKMRLWKSVRSLARLFDAGMGMFIFVPVAMFSWFPFVSTFQTRLLFNQAFSRGLEISLILAGNNPNSEV >Ma10_p27420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34723864:34725739:-1 gene:Ma10_g27420 transcript:Ma10_t27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCCRVRKKLEMLLMPCCWRFSTTLMLIDSIRLSVHSQNILISSSFFSVGQFINCLVEARNELRHKSEIVQRSFKIKKALLYKVDRSSFDCLCQQLYKKFLVDHKQLVFFFCTSNATVHNLLQDQLNLSPAYVNIRTHPSSVTMEQKAEPDESAELPDISFEELLADEKKDLFRLGRVY >Ma11_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2656788:2672247:-1 gene:Ma11_g03570 transcript:Ma11_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDSSAAAESALSCSRCGKPAYLQCPKCAELKLPREGAAFCTQDCFKASWSSHKSVHLKSKLANVQSEQTQDGWLYCLRKGQTRTSELPYFDWTGALRPYPISQRRLVPEGLEKPDWAVDGIPKIEPNSDLQNIVEIKTPEQIERMRETCRIAREVLDAAARVIRPGITTDEIDEVVHQATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLVDGDIVNVDVTVYYKGVHGDLNETFFVGEVDEASQQLVRCTYECLEKAISIVKPGVRFREVGEVINRHATMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGIMKAGQTFTIEPMINAGVWRDRLWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPKVFPWLNF >Ma06_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11546810:11550450:-1 gene:Ma06_g17040 transcript:Ma06_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCTGEPKTDDKDPPDLPTDKSKSNLSLDVKKETLMNDKKELSSSGSGCIAAQTFTFRELAAATKNFRADCLLGEGGFGRVYKGRLESSNQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVSLIGYCADGDQRLLVYEYMPLGSLEDHLHDLSPDKKRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLDEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLELITGRRAIDNSRAAGEHNLVAWARPLFKDRRKFSQVADPVLQGQYPPRGLYQALAVAAMCVQEQPAMRPLIADVVTALTYLASQTFNPDNQLSQSTSRLATPGTPPRTRRDIERKSGY >Ma06_p17040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11546810:11550455:-1 gene:Ma06_g17040 transcript:Ma06_t17040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCTGEPKTDDKDPPDLPTADKSKSNLSLDVKKETLMNDKKELSSSGSGCIAAQTFTFRELAAATKNFRADCLLGEGGFGRVYKGRLESSNQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVSLIGYCADGDQRLLVYEYMPLGSLEDHLHDLSPDKKRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLDEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDIYSFGVVLLELITGRRAIDNSRAAGEHNLVAWARPLFKDRRKFSQVADPVLQGQYPPRGLYQALAVAAMCVQEQPAMRPLIADVVTALTYLASQTFNPDNQLSQSTSRLATPGTPPRTRRDIERKSGY >Ma05_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35816411:35817779:-1 gene:Ma05_g23650 transcript:Ma05_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENHRNGEALKTTQYPLLSFDTTMDSCSSSPAPFGSDPSSPGRAAGYYFSCPASPVHYVLSSPPYSFSSSPTADPVAADEAPASGNFEFDGADPGGTMTSADELFLNGLIRPIKVHSHVLRPRPLPPLMDFGDEDDEEGEEKEWQEKEEGRGRNLTFRSRSVHRRTRSMSPLRSPRFQWQEDEDEKQEAVKEHEVFDPDPKEASPTPPESASSSRSSSSSSGGRNSKRWIFLKDLLLYRSKSEGSGRGNGKEKEKFWHSISFSPSSKPKAPLPPSPATAGTSASSPAQEQNKTKPSQRPANGIGRRRGAAAAAAAAAASPSAHERHYTANRAQSEEMRRRTFLPYRQGLLGCLGFSSRSYTTINGLAKALNPVSSG >Ma04_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20971215:20972468:-1 gene:Ma04_g18790 transcript:Ma04_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKINRTASVHLPAKSKEMWSLTPPHRLGVVIVSISVLISVVIFVLVVYLCVVLCRRQRIGISEQEEAETSNSDLFSIWNYDGRAVFCDIIDATEDFDDAYCVGIGGCGSVYEAELPTGQVVAVKKFHLADNGGLLNEKGFGNEIRALTEIRHRNVVKLYGFCNHSRWMFLVYEYMERGSLACMLEEDERAAELNWERRVTCVRDVADALSYMHHDCNPCVVHRDVSSKNILFDSEFKACVSDFDTAKLMQLDSSNWSTLAGTMGYVAPELAYTMKVTEKCDVYSFGVVALEVMMGRHPGELILSLSSPCGQLTLLKDVLDRRLPCPTDRVMEEITTATMIALTCVRTDPRSRPDMRWISNELSKEKPDAAYHQPMHTIRLCQLMSLEL >Ma03_p20120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25298514:25303809:1 gene:Ma03_g20120 transcript:Ma03_t20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEDCMCLVKERQPEKEVDENGGLGPAHDGDEGERVDGSNHLFLREAPILTEESGISKDYNCQDKKVGSREELVLRKDMCKQGTKLSRRDRVELGCRFQRAVSSCDWELAWNLLVLAKAQALNDVLCVALDSVWFLTTHEELDGITGLIKKIIGDGANDFTRAILRTSFLASCVSACQSKMMNLTDAVGVTTQRLHERLQECQGDEVLKVEASTKVQKFTEWALKCIRFHSHYQENRGRRRRNQSTIVEVQLQLSAFKTFLELAGDHLTGKDFTEAFDAACFPVALFSSSFDPGWASGISAIAVQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDIDVDLALVFASHYCKIRTMECLVEEGNAANLLGPLVRASERGCMQVVQWFVNQGCGDMDLCLALIAATSSSHLGVSAYLLSQIPHHVLAAVSIEILKTVSERSRGSLDGVAFLLCNDFLGDPTATYAVADSIASSNDEVVAPELRAFLKEHWSEDAFAEGLSSGQDHYVNFMRILQRGGSPICLMDLPPPLVTAIVYKPLYRECTEAGGKLLPQKLRGKLVEAASRLGGRQVDNDSPAKELLAILEHHLPRHFLPL >Ma03_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25298839:25303809:1 gene:Ma03_g20120 transcript:Ma03_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEDCMCLVKERQPEKEVDENGGLGPAHDGDEGERVDGSNHLFLREAPILTEESGISKDYNCQDKKVGSREELVLRKDMCKQGTKLSRRDRVELGCRFQRAVSSCDWELAWNLLVLAKAQALNDVLCVALDSVWFLTTHEELDGITGLIKKIIGDGANDFTRAILRTSFLASCVSACQSKMMNLTDAVGVTTQRLHERLQECQGDEVLKVEASTKVQKFTEWALKCIRFHSHYQENRGRRRRNQSTIVEVQLQLSAFKTFLELAGDHLTGKDFTEAFDAACFPVALFSSSFDPGWASGISAIAVQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDIDVDLALVFASHYCKIRTMECLVEEGNAANLLGPLVRASERGCMQVVQWFVNQGCGDMDLCLALIAATSSSHLGVSAYLLSQIPHHVLAAVSIEILKTVSERSRGSLDGVAFLLCNDFLGDPTATYAVADSIASSNDEVVAPELRAFLKEHWSEDAFAEGLSSGQDHYVNFMRILQRGGSPICLMDLPPPLVTAIVYKPLYRECTEAGGKLLPQKLRGKLVEAASRLGGRQVDNDSPAKELLAILEHHLPRHFLPL >Ma10_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29931240:29938045:1 gene:Ma10_g19360 transcript:Ma10_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) UniProtKB/Swiss-Prot;Acc:Q38899] MDLRVGEEVPMEEDDEFTLSRNYFLAKEGSTSRKKSARKLSDIDLVDEQVLRMAVSAIKPKHEKEIESLLKSYKDLYSKWLFELRCGFGLLMYGFGSKKILLEDFASTTLTDYGVVVINGYLPSVNIKQVVITLAEVLSDQLKHKRKNSTGSKSRTQQPFSSQSIEDLLSFLNLQLPDDNDCFICVVIHNIDGPSLRDSESQQYLARIASCSLVRMVASVDHVNAPLLWDKKMVHTQFNWCWYHVPTFAPYKFEGSFFPLVLANCGNSQTTKTALVVLQSLTPNAQSVFKILAEYQLANEKEGMPINALYTKCRERFLVSNQVTLNSHLTEFKDHELVKVGRHADGQDCLHIPLPCEALGQLLQELG >Ma03_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3242777:3243936:-1 gene:Ma03_g04900 transcript:Ma03_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSLHSSPSTIPFILLSAALVALIFFVVYPNEFRLQSIVTSRCGSRPPSSVATFIETVTPKPDFRLLMGILSLPDSYERRHLMRNVYALQSNITNAQIDVRFVFCNLTKEEQRVLVAMEIVLYDDIIILDCGENMDHGKTYAYFSSLPKMLEGSNGGDRPYDYVMKVDDDTYFRLHNLAESLRKMPREDVYYGLINPCWIPQHKTNYMTGMGYLLSWDLVEWIATSELPRKNQVGPEDFQTGLWMREGGRGKKFNMEPAMYDYMEEPRTCHRHEFIPDTIAVHKLKSHLRWARTLNYFNVTAGLKPSKLYHIP >Ma09_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3761241:3763544:1 gene:Ma09_g05830 transcript:Ma09_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIIESLVLKLPAISKPAGPGVHPSTTPCFCSLHLQDCASSPHTTPLPVAADPNSTTNLMEAPVPAGPPASVVISLDPPTLQRLSGKRASLVVSVYMGRTGSTCGFSSGRLLGRVRVAMDLEVAPTRPTVAQNGWVSVGAGRSAARLHLVVRSEPDPRFVFHFGGEPECSPVVYQIQGNCGGNRSRCIRQPVFSCRFTVDRRRNSRSMSSSLASDGSRSNSIRSWFSSLGGERDHQRREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGAWLILRPIGGSTSAATNWKPWGRLEAWRERGPVDALGYRFELVPDTGHIGGVPIAESSLSVRKGGQFCIDPSVIGDMVAGQWPFDGGFVMGSTVEGEGKASKPSVQVGVQHVSCMADVALFIALSAAIDLSMDACQLFSQKLRKELCQDQQDYSL >Ma07_p28440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34474769:34479371:-1 gene:Ma07_g28440 transcript:Ma07_t28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAYLMRRGSPCIGLRWVQTWTMLLLNAVALAALLGVAAGQGLSSPSDLAGLYSLRGSLGLRARDWPHRADPCSAWAGVRCSAGRVVSLHLSGLRRTRLGRLDPRFAVDGLRNLTRLRAFNASEFALPGPIPEWFGRLLPPSLAVLDLRYAAVVGPIPYSLGGTSGLVFLSLAGNAITGNVPPTLGKLGNLSVLDLSCNALTGSIPESIVAIANLSYLDLSSNFLSGTVPLALGALPALKSLNLFNNSLTGPIPAQLGDLSSLIALDLSFNSLTGALPDDFRNLRNLQVLNLHNNSLTGGLTDSLFSGLSRLRFVRLSHNNFSGALPGSLWSLSELQVLDFSYNNLTGMLPDLTPSIANVNASSVILNLSNNIYHGSIPSGFQILFSRSRSVDISGNYFQGPFLMATRNKNVSFGLNCISDALNQRIPDDCERFYTKGELLKGRAMAPINPPAPSSTSGEKKGHWKLMYVVIVASGGALGLGILVTLVCCLRSCRREKSEQKEINEAASPSKQPSGISLNLSAVGEVFSYEQLAQATSDFSEFNLIKHGRSGDLYHGTLEGGVPVVVKRIDMRKFRKQDLAAELDLFARGLHERLVPFLGHCLDNANEKLLVYKCVPNNDLSAALHMKPGQEHLGLHPLDWIKRLKIAIGVAEALYYLHHECSPPIVHRDLQASSILLDDKYEVRLGSLSEVCAQEGEGHKNIITRLLRLSQAPEQDVSGPPATCSYDIYCFGKLLLELVTGKLGISGWNDAATVEWIEQTLLYVNVHEKELVAKIVDPHLVVDEDHLEEIWAITIVAKSCLNPKPSKRPHMGYILKALENPLKVVREDDNSGSGRLRATSSRGSWNAAFMGSWRNSSSDVVSVPGQSRENQMLRRSATTLSQGSGGDRSFSHKKPYKEIFPEPSATHDISDR >Ma07_p12410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9315468:9319862:1 gene:Ma07_g12410 transcript:Ma07_t12410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKKFLERLFKPFVDGRKKEGREEKELEAIAAKEQKAFRYEALAAATRNFDPKQKLGEGGFGSVFKGRLEDGREVAVKRLGWGSRQGAREFMNEALLLSRVQHKNLVNLHGYCAHANEKLLVYEYVPNESLDKLLFLEEEGNWKRMQLDWRRRFQVIAGVARGLLYLHEDAHTTIIHRDIKASNILLDGGWVPKIADFGLARLFPEDQSNVKTRVVGTNGYMAPEYVMRGSLSTKVDVFSFGVVVLELISGLKNSAFARISDPEASSLLEWAWKLYNEGRSLELLDPALKSTADAEQVALCVQLGLLCVQSDPKQRPDMKRVVIVLSKKPRTLEEPTRPGTPAFSYPRFDGTRGSVYSSGESSSTVNSASTSATATTAATTSTTITVNRSSHREQRSARR >Ma07_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9315468:9319862:1 gene:Ma07_g12410 transcript:Ma07_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKKFLERLFKPFVDGRKKEGREEKELEAIAAKEQKAFRYEALAAATRNFDPKQKLGEGGFGSVFKGRLEDGREVAVKRLGWGSRQGAREFMNEALLLSRVQHKNLVNLHGYCAHANEKLLVYEYVPNESLDKLLFLEEEGNWKRMQLDWRRRFQVIAGVARGLLYLHEDAHTTIIHRDIKASNILLDGGWVPKIADFGLARLFPEDQSNVKTRVVGTNGYMAPEYVMRGSLSTKVDVFSFGVVVLELISGLKNSAFARISDPEASSLLEWAWKLYNEGRSLELLDPALKSTADAEQVALCVQLGLLCVQSDPKQRPDMKRVVIVLSKKPRTLEEPTRPGTPAFSYPRFDGTRGSVYSSGESSSTVNSASTSATATTAATTSTTITVNRSSHREQRSARR >Ma03_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1489553:1496566:-1 gene:Ma03_g02190 transcript:Ma03_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRILDLEMAVAGFGGVLKSPFPFRRPLVLCGRRRSTAAAAPAPSPVAIVETLANPDRLVGSGGDQAGPASAPPSSSSPKPNGRSSEGFQGSEWKMLSSKELGIRSSMIPKPTRTVLNELRKKGYEVYLVGGCVRDLVMKKTPKDFDIITSADLREVKKNFSHCDIVGKRFPICHVHVNDYVVEVSSFNTHGRKQNHDLTNFSRSGCDEHDYVRWRNCLGRDFTINGLMFNPYSKLVYDYLGGMEDIKNSKVRTVIPANMSFSEDCARILRAIRIAARLGFRFSKETAYSVKDLASSVLRLDKGRILMEMNYMLAYGSAENSLRLLWKFGLLELLLPIQAAYFVSQGFRRRDKRSNMLLAMFANLDKLLAPNRPCHNSLWVGILAFHQALVRQPRDPLVVAIFTLALHNGGNLSEAVDIAKKITHSHDGSYSELLEPQKWDAEGDLLSDVIDLASSVGSALSSMTDEHLVSQAMAQYPQAPYSDLVFIPLQLYLRVCRLFECVRYGQRERDLVTKKGCKINYFTLAQGGLAEIRHVFARVVFDTVFPLNLENEDRANPA >Ma04_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10967670:10968860:-1 gene:Ma04_g14470 transcript:Ma04_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQEGLPTDQEFHHDLVSSLPLEEGMSPVRLRKYQGVWMSEYFLVGTMNAQRYFKSRPTDILLASYPKSGTTWLKALAFSTMTRTRHSFGCHPLHHLNPHECVPLLDEIAGSLESLSEIDAASDPRLISTHLPFSLLPESIKSCGCRLIYVCREPKDTLVSRWHYHGKIVTNMGKSEVIPFEKMFDMFCDDIMPSGSIWEHALGYWNEKTQTPGRVLFLKYEEMLEDPTGTLTRLAEFMGCPFTEEELRVGVPAEIVSLCSFGNLRDLAVQQNRRISPGGIMTTESYYRKGAAGDWRNHLSPEMAEKLDRITAKKLQGTGLTLGRPVSETTAMDA >Ma04_p39610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36658664:36661777:1 gene:Ma04_g39610 transcript:Ma04_t39610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLGKLFIGGISWDTNEDSLRKYFSAFGEVVEAVIMKDRTTGRARGFGFVVFADPAVTERVVTEKHTIDGRMVEAKKAVPRDDHQFVNKNSSSSIHGSPVPGHTKKIFVGGLSSTITEGDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKVLLNAFHELNGKMVEVKRAVPKEPSPGPIMRSSIGGYNYGLNRVNSFVNGYTQGYNASSVSGYGMRRLDDSRLGSLAGGRNGFASLSPGLGMGMDYEPPLSSTFVGNPGYDNNLGYGRALNPYHNANSSRYTSLIPYNDVNGNTSSLFSLTSRNVWENVALNHATKSAISNASMVSRSGSLGSLGTGNLNRGSGSSYTSGNLGFGGGGYIGLGRNSFDRRIAPASPNTNLIASSSGYEGSYAKLYGATSVYGDPTWGSSSSEFDATGSFSIKLNNSNSDVTGNGFADYMAGYNVSNNRES >Ma04_p39610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36658559:36661776:1 gene:Ma04_g39610 transcript:Ma04_t39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSSSSFDASSSQILESNPHLAASGNLQIGRRMEVDLGKLFIGGISWDTNEDSLRKYFSAFGEVVEAVIMKDRTTGRARGFGFVVFADPAVTERVVTEKHTIDGRMVEAKKAVPRDDHQFVNKNSSSSIHGSPVPGHTKKIFVGGLSSTITEGDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKVLLNAFHELNGKMVEVKRAVPKEPSPGPIMRSSIGGYNYGLNRVNSFVNGYTQGYNASSVSGYGMRRLDDSRLGSLAGGRNGFASLSPGLGMGMDYEPPLSSTFVGNPGYDNNLGYGRALNPYHNANSSRYTSLIPYNDVNGNTSSLFSLTSRNVWENVALNHATKSAISNASMVSRSGSLGSLGTGNLNRGSGSSYTSGNLGFGGGGYIGLGRNSFDRRIAPASPNTNLIASSSGYEGSYAKLYGATSVYGDPTWGSSSSEFDATGSFSIKLNNSNSDVTGNGFADYMAGYNVSNNRES >Ma08_p19860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33738641:33741997:-1 gene:Ma08_g19860 transcript:Ma08_t19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLLQNQISRRGSGGGYRPLDAGGGAAQTDGILRSPSALFWIVLHGLCCIISLVLGFRCSRLVFFLLFSSPSTFTTSSTATVFTSAPILHTTTTTMTTTTTTTTTTTTRTETLALSLPTPPPPPPLAEASLAVANKTRSRVVVGRHGIHIRRWPHPDPEEVVRAHWIIERVQREQRLQHGVRTPPRPLIVVTPTYVRTFQALHLTGLLHTLLLVPYPLTWLVVEAAPTGVPSNDTAAFLARSGLPYLHIRFPELVPDNWPDRRLTEARMRLRALRVVRERRMDGIVVFADDSNVHSVDLFDEAQKVKWMGAVSIGILAHSGRTEAVGDPELSEEEDKEGNSPVPIQGPACNSSGDLVGWHTYNALPHTGAAFVGAGKTMRAAKMEWAGFVLNSRLLWREAEGKPDWVRDLDAVGEDGEEIENPLALLKDASFVEPLGNCGKKALLWWLRVEARYDSKFPPGWAIDSALEITVAAKQTPWIDSPPELPSEMMAIDQDMFGMKFSRKSMPSWTSHISRDKEKHDSSSVDADQN >Ma08_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33738727:33741997:-1 gene:Ma08_g19860 transcript:Ma08_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLLQNQISRRGSGGGYRPLDAGGGAAQTDGILRSPSALFWIVLHGLCCIISLVLGFRCSRLVFFLLFSSPSTFTTSSTATVFTSAPILHTTTTTMTTTTTTTTTTTTRTETLALSLPTPPPPPPLAEASLAVANKTRSRVVVGRHGIHIRRWPHPDPEEVVRAHWIIERVQREQRLQHGVRTPPRPLIVVTPTYVRTFQALHLTGLLHTLLLVPYPLTWLVVEAAPTGVPSNDTAAFLARSGLPYLHIRFPELVPDNWPDRRLTEARMRLRALRVVRERRMDGIVVFADDSNVHSVDLFDEAQKVKWMGAVSIGILAHSGRTEAVGDPELSEEEDKEGNSPVPIQGPACNSSGDLVGWHTYNALPHTGAAFVGAGKTMRAAKMEWAGFVLNSRLLWREAEGKPDWVRDLDAVGEDGEEIENPLALLKDASFVEPLGNCGKKALLWWLRVEARYDSKFPPGWAIDSALEITVAAKQTPWIDSPPELPSEMMAIDQDMFGMKFSRKSMPSWTSHISRDKEKHDSSSVDADQN >Ma01_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13235266:13240679:-1 gene:Ma01_g17940 transcript:Ma01_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDARLRQEKVKKFEDFVDRRLKPDLVKAIAQRDKVFQQQKVFSDLKTNIESLEKNGVTRLRSMVNLGSEVYVQADVPDTRRIFVDIGLGFHVEFTWSEALEFISVREARLARQIDEYTHLIANIKAQIKMVCEGIRELLQIPAE >Ma04_p31170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31564178:31566526:1 gene:Ma04_g31170 transcript:Ma04_t31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT5G51460) UniProtKB/TrEMBL;Acc:A0A178UCG0] MDLKATHSSTILTDRTHACNSRLCLPPIVSPISPTASAYPSSTLYPTFQRRKASSGMLNESHDGACLDAMKSSSPPHIKLNKDFISDLAICKNDAAYDAWMMKCPSALSSFKQITNHAKYKKIVLFLDYDGTLSHIVDNPEHAFMSNAMRTAVKNVAKYFSTAIVSGRSCHKVYKFVKLGELYYAGSHGMDIMGPVIKNESISDLPGCTISIEEQGNEVILFQPAKEFLLMINEVQSFLKEVTKDIEGVIVESNKFCVSVHYRLVDEKDWPKVVHCVHHVLKDYPHLQVTHGRRVLEVRPIIDWNKGKAVEFLLEYLGLRTSDDVLPIYVGDDRTDEDAFKILREGKCGYGILVTSVPKQTNAVFSLRDPTEVKKFLKLLVKWKKLETS >Ma07_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3061556:3070959:-1 gene:Ma07_g04070 transcript:Ma07_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCS6 MEEGGATARPRRATGRLCSTCNQRKAALKRPKTLEQICRECFYSVFEDEIHNVIMDNNLFKPGERVAVAASGGKDSTVLAYVMSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIEYGLPLKVVSYKDLYGWTMDEIVKTIGLKNNCTFCGVFRRQALDRGAALLKADKLVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIRSGENFRTATSTKMPEQGTCERCGYISSQKLCKACLLLEGLNRGLPKLGIGRARGTNGLNKRVEQRNLRSERTGSNIESKQCGTLDF >Ma07_p04070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3061556:3070847:-1 gene:Ma07_g04070 transcript:Ma07_t04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCS6 MDNNLFKPGERVAVAASGGKDSTVLAYVMSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIEYGLPLKVVSYKDLYGWTMDEIVKTIGLKNNCTFCGVFRRQALDRGAALLKADKLVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIRSGENFRTATSTKMPEQGTCERCGYISSQKLCKACLLLEGLNRGLPKLGIGRARGTNGLNKRVEQRNLRSERTGSNIESKQCGTLDF >Ma06_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:41417:45492:1 gene:Ma06_g00050 transcript:Ma06_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGSITFIVSLSTTFAIVAMRSRIHIRLYDTSAQRRPVISVDFRESPIKAACEDLDGYTVYVGNGSGDLASFDMRTGKRHIDFLVSRKLIGCFIGKCSGSIRSIARHPELPMIASCGLDSYLRVWDAKTRQLLSAVFLKQHLTNVVIDSHFSGITYLTIWI >Ma07_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3543530:3550187:1 gene:Ma07_g04830 transcript:Ma07_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPNPFDEEDVNPFASGSAPGSNSRLSTLASKAFGFGNKNDATVDIPLDTVNDAKKSETELAAWEENLKRREMDIKRREDSLTRAGVTVEDKNWPSFFPIIHHDIAKEIPVHAQRLQYLAFASWLGIVLCLSWNVIAVIVCWIRGGGVKIFFLAIIYALLGCPLSYVLWYRPLYRAMRTNSAFKFGWFFLFYLIHIGFCILAAIAPPIVFHGKSLTGILAAIDTFSDHALVGIFYLVGFGLFCLEILISLWVLQKVYMYFRGQQ >Ma05_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3316807:3320076:-1 gene:Ma05_g04360 transcript:Ma05_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCAVVLAKLLLLLLLLLSSVHPFYQSDTIQIEQVALLEFKKGIVVDPENVLGNWSESTYVCWWSGIVCGMKPERVIRLDLRGKSLGGTISPFLSNLSGLAWLDLSLNSFHGRIPTELGSLSLLSLLGMQGNTLQGRIPKSFAMLAKLRYIDLSSNQLHGRLPVKFLYNCSQLSYMDLSTNRFTGFIPPQLGNHLPLLQNLLLYSNQLTGSFPASVSNSTLMEEIDVEDNSLSGRLPSETLMQLSFLKILHLSNNNFSSDDQNSNLVPFFNAISKLTHLEELELAGNNLGGKLPASLGNLSVNLSEIDLRGNHIQGMIPSEISKLSMLNWLDLSNNLLSGTIPLEVVLLPNLQRLWLSNNSLSGEIPSPPHALNNIGLLDLSKNKLSGPIPTALANLTQLRRLILNENLLSGSIPSSLGSTKLELLDLSYNRLTGTVPAEVASLSSMAIYFNLSHNLLHGELPMELSMLNKVKEIDLSSNNFRGRIPASLGSCEVVELVNLSRNHLQGQIPQSLGSLLSIESLDLSFNLLSGEVPASLQHCASLRLLDLAFNNFSGPVPQGGVFDILTSEWIQGNNFCGSLPGIPSCHRKKRSAIHSRKALILLVSAVTVSAFLVTIICATGYMIIRKKMIKREDGDPDKLSLRLSSSHPRITYRELVEATEGFEQSRLIGSGSFGRVYSGVLNDGTLVAVKVLQLQSGNSTRSFNRECHVLKNIRHRNLMRIITACSLPDFKALVLPFMANGSLESHLYPAAEKADCSQLSLVERVNICSDVAEGLAYLHHHSPVKVIHCDLKPSNILLNDDMTALVSDFGIARLVMTVEEANAAGEAASNSTANVLCGSIGYVAPEYGYGRAASIKGDVYSFGILVLETVTRKRPTDGMFGEGLSLQRWVKQQYRSRLESIVDSRLMREACDQNLEVRNMYEVAIVELLELGLVCSHESPSGRPTMLDAADDLDRLKHYLGGETTATFTSSIGVSSSSITTDPW >Ma03_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15026787:15033156:-1 gene:Ma03_g15210 transcript:Ma03_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMVRKLQQKYKKAREDMEKWDELQSRLLSLFKSATSIINRLQVLAEAKNYGVLRSISGIREALLGKQMETLEMIFRSMRETMKEFRGIVLSLEKIARDAWQLVKGGSAQTSKQMKVQIGLWPNITYCLGGLRSIYEMHQSEYILKLSVVSSLTWKCSPTDAAAFGQLLVDQPNIPKDEVQAIYDIIFADEIC >Ma03_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24114122:24116880:1 gene:Ma03_g18510 transcript:Ma03_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNTKLYEKYKKLKKRKFFEEEGWNDTKDADLRSYQSAVEDLIEELKNTNERLRAKLYSIQEQSAECEKLLLEESKKSKELSDEVGRLQNRLAEQNDINDNSLLTSPCPIPGISFTEMPKSSSTQKTPYLCEENGTQNQEVVFLTDDSYKVENKVPDCCRKHLAGSSDASEDFSNCVFQTLTNFLVGMDFSVDNQAESLCLLVVHKRSGYSFSLTWIQHEGGEGELMYHVSSLGTLERVAVDWMKDDMMFSTAMCHVFFERVSRVVGRC >Ma06_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8507638:8509624:-1 gene:Ma06_g12230 transcript:Ma06_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15300 [Source:Projected from Arabidopsis thaliana (AT5G15300) UniProtKB/Swiss-Prot;Acc:Q9LXF2] MIRKSGNKRHQPAVWRRCRTIRSLRQMHALMVVTGFLSDASALRELLFSSAVSVAGAVPYALQLFDRIPRPDLFMWNTVIRGAAHTSTPSDAISLFASMERAGTRPDKLTFPFLLRACTKLSEPALGSQFHAKITKFGLESDSFVRNALINMHANCGDLAVADSLFDGSARRDVVAWSALIAGYARRGELTIARQLFDDMPSKDLISWNVMITACAKRGEMAMARELFDRVPEKDVVSWNAMIAGYVQCGEHDQAMEVFEQMHVAGEQADEVTMLSLLSACADAGVLDIGKRIHVSLMEMCSRNGLSTCLGNALIDMYAKCGSIQGAMEVFRGLREKDLSTWNSIVGGLAIHGHFEDSLYLFEDMLKKKLRPDEITFVGVLVACSHGGMVEQGKRYFCLMQNEYGIEPNIKHYGCMVDMLGRAGLLKEAFEFIDSMKIEPNPIVWRALLGACRIHGDVKLAERANEQLLRMRNDASGDFVLLSNIYASRGEWGGVEKVRKLMDDRGVSKEAGCTLFDTDSKELMQFLSQGKPGLRMKEAA >Ma06_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5025332:5025763:-1 gene:Ma06_g07040 transcript:Ma06_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEEVEKVASAPARANVRDRRAMASTPADVKEVPGALVFEIDMPGAKSGKMKVQVEDDNTLVISGRRRRARSKEAKYQRMERRMGKFVRKFPLPEDADLDAITAALQDGVLAVRVGKKPPPEPKTIEVKVGGPSWLKTPHG >Ma10_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25385904:25386160:1 gene:Ma10_g12170 transcript:Ma10_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWWSLWESSEYTDNQPPQSAIVGMHSIVSCPMVVDGNIVPRSVMYITLSYDHRLIDGR >Ma03_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8437537:8440259:1 gene:Ma03_g11170 transcript:Ma03_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQHLLSSMLLLLILSSFIQMAALGRTPSSTKLLYIVYLGERQHEDPDLVTASHHHMLSSVLGSKEEAVSSIVYSYKHGFSGFAAMLTESQADKIAEMPEVISVNPSRSVPLLTTRSWDYLDLGYEQPQPTGLLVRGNFGDDIIIGVVDTGIWPESRSFDDHGYGPVPSRWKGTCEVGQNFTVNHCNRKIIGARWYAGGVDPSLIEGGYQSPRDSEGHGTHTASTAAGSLVTDASFHGLGAGTARGGAPRARLAIYKACWAKAGCPDAAVLKAIDDAIHDGVDILSLSLGGVLHPYFASIHAVAKGITVIFAGGNDGPVTQTIANDMPWVITVAASTIDRSFPTLLTLGDNQTVVGQSLLYESMDEGFTKLAYGGSCSRDALNSSDVVGKIVLCYELAIASSTPPKRHFPLAAINVQEAGGKGIIFAQYSASILHYIDEICNGTVCVFVDYEMATLMSIYAESTRSPLGKVSPTQDMVGSGVMSPRVAAFSSRGPSILFPDLVKPDITAP >Ma03_p25110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29238263:29244289:1 gene:Ma03_g25110 transcript:Ma03_t25110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFRWAAMFPDEVWEHVFSFLPADADRNAAALVCRAWYRIERRSRWRVFVGNCYAVEPAAAVRRFPEVRAAAIKGKPHFADFNLVPSDWGGGAEAWVEAMVEGWPHLEELRLKRMVVSDDCLELIARCFKNFKVLSLVSCEGFSTAGLAAIAANCRNLRELDLHENEVEDNCLHWMSHFPESFASLVTLNIACLEERLVGLLHRVPQLVDLGTGKFAAQHHPELFSKLESAIAGCKNLKSLSGFWEAGPTYLPAIYSVCEGLTSLNLSYATIQGPELIKLISWCKNLQRLWVMDLIEDDGLIAVAASCKLLQELRVFPSDPYGAAQPISLTEHGLVAISAGCPMLHSVLYFCRQMTNAALLTVAKNCPNFTCFRLCIMEPHTPDYITRQPLDAGFSAIVESCKHLRRLSLSGLLTDLVFKSIGASANCLEMLSVAFAGDGDAGLHYILSGCKNLRKLEIRDCPFGDKPLLDNAAKLETMRSLWMSSCSVTLGACRQLARKMPLLNVEVINERKRGLPLELQPDNCPVEKLYIYRTVAGPRSDTPSCVWILR >Ma03_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29238257:29244289:1 gene:Ma03_g25110 transcript:Ma03_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFRWAAMFPDEVWEHVFSFLPADADRNAAALVCRAWYRIERRSRWRVFVGNCYAVEPAAAVRRFPEVRAAAIKGKPHFADFNLVPSDWGGGAEAWVEAMVEGWPHLEELRLKRMVVSDDCLELIARCFKNFKVLSLVSCEGFSTAGLAAIAANCRNLRELDLHENEVEDNCLHWMSHFPESFASLVTLNIACLEGEVNVSVLERLIGRCPNLKTLRLNHSVPLERLVGLLHRVPQLVDLGTGKFAAQHHPELFSKLESAIAGCKNLKSLSGFWEAGPTYLPAIYSVCEGLTSLNLSYATIQGPELIKLISWCKNLQRLWVMDLIEDDGLIAVAASCKLLQELRVFPSDPYGAAQPISLTEHGLVAISAGCPMLHSVLYFCRQMTNAALLTVAKNCPNFTCFRLCIMEPHTPDYITRQPLDAGFSAIVESCKHLRRLSLSGLLTDLVFKSIGASANCLEMLSVAFAGDGDAGLHYILSGCKNLRKLEIRDCPFGDKPLLDNAAKLETMRSLWMSSCSVTLGACRQLARKMPLLNVEVINERKRGLPLELQPDNCPVEKLYIYRTVAGPRSDTPSCVWILR >Ma05_p27520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38790666:38796025:-1 gene:Ma05_g27520 transcript:Ma05_t27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGGSPGFGLSSIVVLLLIFLGSFSSLVLAQELEVDNDETASSFSAKSLDRRTKMLLHPFRKDENASDGRVVSLDQTGLGLFDAFFASLSMIIVSEIGDETFIIAALMAMRHPKSIVLSGALMALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKTSQKKEMEEVEEKLESGQGKSTLRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIAAMRERRMGKLVVLPFSASCVSRSSVAVSQSQPKRVQGRELEKQSTAKTKRAHKIQKLLIKSLKSLSQMFAVYEEEDEEREMEIGFPTDVQHVAHIGRDGFDTTAAAMNNMDVKSWVKGPELLPLDPVSLQQFEAAMATLASVPPPRRP >Ma10_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4934057:4934437:-1 gene:Ma10_g01600 transcript:Ma10_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQGVHQFQTEIEMLSMLRHHHLVSLICYREENCEMILVYDSMAQGTLREHLCKTQKPPLPWKQRLEICIGAALGLHYLHTGAKHTIIPRDVKATNILLDDKRVAKVSDFGLSKIGMLPFRYLLR >Ma10_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33375553:33378290:1 gene:Ma10_g25060 transcript:Ma10_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKQMRRQPLPCEAPPIQPENEGGSAGLFRRAVGYGVTRPVLVVALFTLVLLLVVLSGAHRSSSLGVLLSSRSPSKQVANGSCTAPSPAAEPPKDKFLGGGLLSAAFDEASCLSRSQSSLYRKPSNDTPSPYLIDRLRRYETLHKRCGPNTELYKKAVEQLKSNRSTGPSECNYVVWLPADGLGNRIISITSAFLYALLNDKVLLLDLPHDMGDLFCEPFPDTSWVLPADFPIDNRNWIFEKDPHSYGNMLKSEVLSNDMDIADASSLPAYLYLHLLHANDEYDKMFYCEEGQRLLRKFPWLLLRSNEYFVPAFFFVPEFEEELGLLFPEKATVFRHLGRYLFNPSNSVWGYITRYYDAYLASAKAKLGIQIRIFAFANVEFDVMASQIINCSMTQKLLPEVDLKDSAPPVIAGAQPKAVLVTSLLDGYFEKLRNMYYEHATTTGEVIGVYQPSHEEHQHTEKLSHNMKAFAEIYLLSLSDELITSPFSTFGYVAHGLGGLRPWILVRSDNRNPSCVRSMSAEPCFHFPPSYDCKTRRKVDKGSVVPFVRHCEDFFLGIKISD >Ma08_p29530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41090137:41104459:-1 gene:Ma08_g29530 transcript:Ma08_t29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVALFNQLKAAEPFFVLAGPNVIESEEHILKMAKHIKAITSRLGLPLVFKSSFDKANRTSLKSFRGPGLEQGLKILEKVKVAYDLPIVTDVHESSQCELVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADVTHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVVVGVDGIFMEVHDDPLSAPVDGPTQWPLRNLEELLEELIAIARVTKGKKAFKIDLTPFRD >Ma11_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4524311:4524757:-1 gene:Ma11_g05810 transcript:Ma11_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVLMASNGDEFVVDVEEITNQSEMIRNLISDMGGADGLQVPLLNVTAPVLAKLLELLNVNAKNDAEEVTQIIKELIETDMNTMIDVLGAANYIEATSLFDFSCKVLADKFKAMSVEEIREFLDIECDFTDEELQRIRAESAWAFD >Ma06_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21615379:21616356:1 gene:Ma06_g23560 transcript:Ma06_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSIKIVSYQSQHPSRSATAPSPSPSAPSSSSLAHPVGLHRPLHFPSPWTRSLPTSASPFRSRLLVLCPTSEPWWGIFPADRLRRTSGAKERSRSDLFSGSRQDLIMMKLSEIVPKNLRGALGSVDQLSVTIDLFLAYLMGMLVRWRLLKVKGNSYELS >Ma07_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28233015:28235146:1 gene:Ma07_g20330 transcript:Ma07_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGKSLFQRTHYEVLSVEEHASYDEIRTSYKTAILNSHPDKLHKKSDASTDHQRDFLDVQKAWEVLSDSMSRANYDKELQSMRQELEVPANEIELGDMSVESVGDFEELFYECRCGDYFSITWSELKEMGIILDKESVEVHSSTVSLPASVLIPCGSCSLKVRLTIDCSS >Ma07_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28340146:28348290:1 gene:Ma07_g20460 transcript:Ma07_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAASSAPPATQNPLKTLRNASFPRPCRLRIVSPARPLPISALASSPSTAAASPLSADARHRDDVLRAARAALSNCLSETYLDRTVPGLSSKARGKVRDIYDAEDHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNKTQHITPNAVISAPDSNVTIAKRCSVFPVEFVVRGFVTGSTDTSLWTVYNKGVRNYCGNVLPEGMVKNQRLPANILTPTTKAVDHDVPVSPDEIIQLGLMTQNELDEVSSKALALFAYGQQVALENGLILVDTKYEFGKGADGTIMLIDEVHTPDSSRYWIANSYEKQFNAGCEPENVDKEFLRLWFKEHCNPYEDEVLPEAPEDLVCELAWRYIFLFETITNSNFQLPATEEPIHDRISRNVSRALSSI >Ma07_p20460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28340146:28347592:1 gene:Ma07_g20460 transcript:Ma07_t20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MAASSAPPATQNPLKTLRNASFPRPCRLRIVSPARPLPISALASSPSTAAASPLSADARHRDDVLRAARAALSNCLSETYLDRTVPGLSSKARGKVRDIYDAEDHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNKTQHITPNAVISAPDSNVTIAKRCSVFPVEFVVRGFVTGSTDTSLWTVYNKGVRNYCGNVLPEGMVKNQRLPANILTPTTKAVDHDVPVSPDEIIQLGLMTQNELDEVSSKALALFAYGQQVALENGLILVDTKYEFGKGADGTIMLIDEVHTPDSSRYWIANSYEKQFNAGCEPENVDKEFLRLWFKEHCNPYEDEVLPEAPEDLVCELAWRYIFLFETITNSNFQLPATEEPIHDRISRNVSRALSSI >Ma06_p32690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33580129:33587337:1 gene:Ma06_g32690 transcript:Ma06_t32690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANALGLVSSSLHSFRWRNRAPLWLSRSDKRAPFICCAAGDDGVEGHVLGAASSRSHRPRVIGMGSKLVGCGSSVPKLLISNDDLAQIVETSDEWISVRTGIRNRRVLSGNETLSGFAVDAAKGALQMAQLEAEEVDLVIMCTSTPDDLFGCGTQVQRDLGCKNAWAFDITAACSGFIVGLISATRFIKGGGFQNILVIGADALSRYVDWTDRGTCILFGDAAGAVLVQACHSDEDGLLGFDLHSDGHGHRQLNALAQGDDDKLISNSNGAPLFPPRKSSFSCIQMNGKEVFRFAVRSVPQSIEAALEEAGLSSSSIDWLLLHQANQRIIDAVSNRLEIPSDKVISNLANYGNTSAASIPLALDEAIRGGKIQAGNTIATAGFGAGLTWGSAIIRWR >Ma06_p32690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33580164:33587337:1 gene:Ma06_g32690 transcript:Ma06_t32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALGLVSSSLHSFRWRNRAPLWLSRSDKRAPFICCAAGDDGVEGHVLGAASSRSHRPRVIGMGSKLVGCGSSVPKLLISNDDLAQIVETSDEWISVRTGIRNRRVLSGNETLSGFAVDAAKGALQMAQLEAEEVDLVIMCTSTPDDLFGCGTQVQRDLGCKNAWAFDITAACSGFIVGLISATRFIKATGGGFQNILVIGADALSRYVDWTDRGTCILFGDAAGAVLVQACHSDEDGLLGFDLHSDGHGHRQLNALAQGDDDKLISNSNGAPLFPPRKSSFSCIQMNGKEVFRFAVRSVPQSIEAALEEAGLSSSSIDWLLLHQANQRIIDAVSNRLEIPSDKVISNLANYGNTSAASIPLALDEAIRGGKIQAGNTIATAGFGAGLTWGSAIIRWR >Ma04_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21402224:21408166:1 gene:Ma04_g18940 transcript:Ma04_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNRTPLYKKYRDALRSVRVPMASFSPSHAGPSSSVSSSSSAGRGGPVIEMVSTSLLHPNRSYAPVSTEDPGNSSRGHIMVGLPPAWVDLSEEISANMQRARTKISELVKVHEKALMPSFGDGKEDQLAIEVLTHEITDLLKRSEKRLMKLSPSGPSEESNVRRNVQRSLATDLQNLSMQLRKKQSIYLKRLREQKEGQDGLDFDMNINGTMSNMEDDVFVDVGFSEHQMSQLKRNEAFTREREREIAQVVESVNELAQIMKDLSVLVIDQGTIIDRIEYNIQNVASTVEEGYKQLQKAERTQRKGGMVMCATILVIMCFVMLVLLVLKEIFF >Ma03_p31940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33972206:33972790:-1 gene:Ma03_g31940 transcript:Ma03_t31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTTTFLLFPSDPRHYKQEPAMEICSSCDAAAVVAAASSPTAKLVLQDGKLQQFLRPVKASHVLRKDPACFVCNADVMEFDDFVSPVHADDDRQPGQLYFLLPVSMLRRPLHAEEMVAPAAKASAALMGSGGFHFPDASAAKSGSRLVVEPGQRRRRPSSMRGGGEGRDFKPGLSGIPE >Ma10_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30528308:30529799:1 gene:Ma10_g20310 transcript:Ma10_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLSQDAVRNVIGLIGNVISFGLFLSPVPTFVQIIKKKNAYQLKVLKILAGELAFMAVVVVAVLLAAHTHEKRSLIVGILCIIFGTCMYASPLAVMKLVIQTRSVEYMPFTLSLASFLNGVCWTTYSCLPFDINLFIPNGLGALFGFLQLILYACYFKATPKKDAKAEVELHSARDV >Ma03_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9954352:9954998:-1 gene:Ma03_g12940 transcript:Ma03_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFKLNEIVSSVGIMKLCTLYSFFFFFFI >Ma03_p27740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31017765:31026542:1 gene:Ma03_g27740 transcript:Ma03_t27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVANSYCAPPSPCHPCYHHRLSLRHRANQPWAVTPAFARLRLGLVRPIARLFPVVCATGDGAAAESNPSSSSASPPDIERSSSSRSSSDGYVGLFVRMLGLDNDPLDREQAISTLWKYSEGGKECIDGIMQFPGCINLVVSLLKSESSCTCEAAAGLLRTVSAVSIYRNVVAESGAIEEISSLLCRPSLTSEVKEQSLCTLWNLSTDENLRVRIAKNYLLPMLVKFLGDEEIKVKEAAGGILANLALSPCIHSLLVEAGVIPKLADLLKNNSEDYKVIRKEAKTALLELSKDEYYRILIIEEGLVRVPVIGASAYKAFRSPTHSWPSLPDGMEIQRSSAPSRYGASELLLGLNIHEQSFNLEEAKINAIVGRSQQQFLARIGAIEVASVRKSQLESSQNQQYTLLSWIDGVARLVLILGLEDVSAITKSAHAIADASISEHMRISFKEAGALRRLVQLLQHNNEVIQEAVAHALERLSLSHIVLKAIEEEGALKHFKNILQEPNTSDVLLEKVVNTLSRIFEARNNIKMEFYDKVNDGSDHTNSDVAVDGSTEVPDPSSRSEVVEREMATDSSFISCLTEILRTSSPSLQVKVASILEYLVTRETNVAAVTAAGIELGLEAVLKKGCISGTPNDTDYQLEQNTVEIEEKGLAAAAASRLLAKLLDFDQFYEIIDTRHFTFLLRNILKSSVPLHTKDWVAACLVKLESKADKTSELEYPIKMEVTLHETIPRLVEEMSSSFSYQAREAAVKELNKIISRGVMECSKAVAAAGGIFPLVNLIEEARGEALEASLAILYNLSMDVENHSAIVAAGAVPALKRIVLIKGPQWTQALHILRTLPT >Ma06_p28290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29992352:29995104:1 gene:Ma06_g28290 transcript:Ma06_t28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLHQLRSKAAQASEFVAKNGCAYYKELLEKNKQYIVQPPSVEKCQELSKQLFYTRLASIPGRYESFWKEIDGLRHIWRNKKDLKVEEASIAALFGLELYAWSCVGEIVGRGFTFTGYYV >Ma07_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7376679:7380461:-1 gene:Ma07_g09890 transcript:Ma07_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGNLMASDPTHHFESVPSSRQELTRACLETGTELVQAIANAVFALPSTDDPDGPIVRLPPPTTTLPREKHLPKPKPPTKWELFAKMKGINKHKKDKRVFDEQTGTWKRRHGYDRVNDDKDIPIIEAKLSDEPGEDPFSKRKAEKKQRVEKQEKNQLQNLKQAAKAGALPSHVQLAATALPITGTNGEAPKKASKEELQNVAGMAATATASGGKFDKKLPGEKPAKHAGKYRKFLPVVEGKGMSSQEKQQTAKILDQLMNKSSHGMLDVNKAVTMFNVKKEKQRKKEKEHKTSSAASKLKPKKKSLKKSSKKKH >Ma06_p30530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31778048:31786000:-1 gene:Ma06_g30530 transcript:Ma06_t30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGSCLHGVGLGCLVCLLILALGTLFTSADTLPNEASALVGVASNWQNIPNTWAGEDPCSSHWDGVKCSNSHIISITLSNLGISGILSEEIEGLPELVHLELSYNADLNGPIPHSIGNLVKLQNLILVGCGFSGSIPAELGRLSSLLVLSLNSNHLHGPIPGALGNLSSVHWLDITDNMISGPIPVSDGTNLGLDMLTNCQHFHFGKNNLSGPIPPSLFHSEMKLLHVILDNNHLSGSIPTTIGLMRTLEDVRLDGNELSGNVPPNLNNLTRLVDLRLSNNQLTGPLPNLTGIDGLTYLDMSNNSFDESEVPSWFSTSQSLTTIILEYLSISGQIPTSLFVSQLQTVRLRNNRFNGTLDLSGQISSRLSLVDLQHNNIQKINTGNYLQELILVDNPYCEEGESGSKYCTIPQQSSDTMYSTSTPNCRNSLCPLDQDMDSHCSCSCPYRGTIYFLLQYFSDINNSSHYFSLGTSLYHGCLEYQVPVSSVSALNPYMNNDHYLQIDLKFFPDRKVYFDESEVFLISSLFNNRNFTVPPEFGPYYFGGQQYIFQGTVPGSKSKKGPPVIGATVGVIVLLSAIICLLILHKKRKAKEAASRFQFSGLWNLSTSSSSIPQLTGPRIFSLEEIRKCTKNFSEENCIGSGAYGKVYRGVLADGQVVAVKRAQQGSSQGNQEFKTEIEMLSRVHHKNLVSLVGLCIDHNEKIVVYEYVPNGTLRESLSGKSGIRMDWKRRLRVAHGAASGLAYLHELANPPIIHRDIKSNNILLDHRLNAKVSDFGLSRTLFDDAKHHITTQVKGTVGYLDPEYYMTQQLTEKSDVYSFGVLLLELVTARKPIEEGQYVVRQVKDAIDKQKNLLNLDELLDPTIATVSALRGLENFIDLAMKCVEDESRDRPSMSEVVKEIENIMQVADVNSTAESGSTSPPFAGKSGGGLAGTGEDFEYSSDPFSPRTESK >Ma06_p30530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31778048:31785724:-1 gene:Ma06_g30530 transcript:Ma06_t30530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECGSCLHGVGLGCLVCLLILALGTLFTSADTLPNEASALVGVASNWQNIPNTWAGEDPCSSHWDGVKCSNSHIISITLSNLGISGILSEEIEGLPELVHLELSYNADLNGPIPHSIGNLVKLQNLILVGCGFSGSIPAELGRLSSLLVLSLNSNHLHGPIPGALGNLSSVHWLDITDNMISGPIPVSDGTNLGLDMLTNCQHFFSHFGKNNLSGPIPPSLFHSEMKLLHVILDNNHLSGSIPTTIGLMRTLEDVRLDGNELSGNVPPNLNNLTRLVDLRLSNNQLTGPLPNLTGIDGLTYLDMSNNSFDESEVPSWFSTSQSLTTIILEYLSISGQIPTSLFVSQLQTVRLRNNRFNGTLDLSGQISSRLSLVDLQHNNIQKINTGNYLQELILVDNPYCEEGESGSKYCTIPQQSSDTMYSTSTPNCRNSLCPLDQDMDSHCSCSCPYRGTIYFLLQYFSDINNSSHYFSLGTSLYHGCLEYQVPVSSVSALNPYMNNDHYLQIDLKFFPDRKVYFDESEVFLISSLFNNRNFTVPPEFGPYYFGGQQYIFQGTVPGSKSKKGPPVIGATVGVIVLLSAIICLLILHKKRKAKEAASRFQFSGLWNLSTSSSSIPQLTGPRIFSLEEIRKCTKNFSEENCIGSGAYGKVYRGVLADGQVVAVKRAQQGSSQGNQEFKTEIEMLSRVHHKNLVSLVGLCIDHNEKIVVYEYVPNGTLRESLSGKSGIRMDWKRRLRVAHGAASGLAYLHELANPPIIHRDIKSNNILLDHRLNAKVSDFGLSRTLFDDAKHHITTQVKGTVGYLDPEYYMTQQLTEKSDVYSFGVLLLELVTARKPIEEGQYVVRQVKDAIDKQKNLLNLDELLDPTIATVSALRGLENFIDLAMKCVEDESRDRPSMSEVVKEIENIMQVADVNSTAESGSTSPPFAGKSGGGLAGTGEDFEYSSDPFSPRTESK >Ma10_p29700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36190114:36192201:-1 gene:Ma10_g29700 transcript:Ma10_t29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPSISDPEAGTHAVSVVGDEGGPGVTVPLLRPYGHEIQYRKQRQESPQAGATFVRTCFNGLNALSGVGIVSIPYALSSGGWLSLVVLFMLAAICCYTGLLLQRCMQRSPDIKTYPDIGEFAFGHKGRVAVSVFMYLELYLVAIGFLILQGDNLENLFPGASIRIAMLNIAAKQLFVILAALVVLPTTWMRSMGLLAYVSAGGVLVSVIMVCSVLSAATVEVGFHERGRLVNLSGLPTALGLYAFCYCGHAVFPTLCTSMKDSTKFSKVLIVCFVLCTINYGSMAIIGYLMYGDNLKSQVTLNLPIGNISSKIAIYTTVVNPLTKYALMVTPIANAIEERLAEYHKSLEPCLTRTLLMLSMVTVALAIPFFGYLMAFIGSLLGVVVSILLPCLCYLKIFKPHCIHVVELVIIFCILMMGCVMAVTGTYTSLREIYHKL >Ma04_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24193085:24196264:1 gene:Ma04_g21690 transcript:Ma04_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSLEQLRSFKEQSDLEVNLLQDSLTKIRTAAARLENAAAALHDLSLRPRGKKLLVPLTASLYVPGKLDDAEKVLVDVGTGYFIEKTMVEGKDYCERKLSLLKSNHDELTEMTTKKKHMADEAGLLLQAKLRQASTST >Ma11_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19115040:19120775:-1 gene:Ma11_g13980 transcript:Ma11_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADLVAAGRKRSIKDRLNGGSAEDPRPTTSPITKRQRQTEGILRYDTFKDDQTASSNKVDAGDLRWKLQRKGLQQGTESASCLREKLSGTVHQQPVKSHKFSGTMHQQPAISDLPKTKAVKEMGRPVQRGGPSSKETVPVTKKFPGPVASNKSSQTKSDMSVDSLLQSLGLEKYLITFKAEEVDMTALIHMTDEDLKAIGLPMGPRKKILLALKSRS >Ma06_p27240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29263343:29283864:-1 gene:Ma06_g27240 transcript:Ma06_t27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEIEARDVIKIVLQFCKENSLHQTFQTLQSECQVSLNTVDSLETFVADINSGRWDAILPQVAQLKLPRKKLEDLYEQIVLEMIELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQALAAEVSVVPPSRLMALIGQALKWQQHQGLLPQGTQFDLFRGTAAMKQDEDDMYPTTLAHPIKFGKKSHPECARFSPDGQFLVSCSVDGFIEVWDYISGKLKKDLQYQADDAFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDSSQLLSTSFDTTARIHGLKSGKLLKEFRGHTSYVNDAIFSNDGSRVITASSDCTVKVWDVKSTDCLHTFKPPPPLRGGDASVNSVHLFPKNPEHIIVCNKTSSVYIMTLQGQVVKSFSSGKREGGDFVAACVSPKGEWIYCVGEDMNMYCFSHQSGKLEHLMKVHDKDVIGVTHHPHRNLVVTYGEDCTLKIWKP >Ma02_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13832826:13841058:-1 gene:Ma02_g02600 transcript:Ma02_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASPQLNRVVSRQLSGGSGRRSGRFTFQRNRSFDHRLSNVIRFSFGRQSSLDPNRRSSSPAREELTVPENLDSTMQLLFLASRGDFKGMEELLRCGVDVNSIDLDGRTALHIAACEGHVNVVKLLLSWRVNVDARDRWGSTAAADAKCYGNVEVYNILRARGAKAPKTWRTPMAVSDSQEVPEYELNPGEIQIRRGEEALKGTYQVAKWNGTKVSVKILDKESHSDPDSVNSFKHELNLLQKVRHPNVVQFVGAVTQNVPMMIVSEYQSNGDLGSYLQKKGRLQPHKVLRFALEIARGMNYLHQCKPEPIIHCDLKPKNILLDCGGQLKVAGFGLMKLSKISSDKSKLADSKTKINSLSLYVAPEIYKDEIFDMSVDAFSFGLILYEMIEGAPAFHPKSPEEASRLICLKGMRPPLKNKSKSYPPEVKELIEECWDPEPIARPTFSEIIVRLDRIYAACSKQQGSWKETFKLPWK >Ma09_p27840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38733255:38735041:1 gene:Ma09_g27840 transcript:Ma09_t27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVSRQGRQLQRYTSCGKRLIVGCIPYKVKKIDRICEHNINQVVEVLVVTSQKTREEIMFPKGGWEVDETITQAARREAFEEAGVQGLVEDSLGKWRYKSKSHDKIHEAVMFPLNVTEELYYWPEKSVRTRKWINVAEAMAECNQSWMKEALDRLVKRLSTSSLEEMTST >Ma02_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21019473:21021227:1 gene:Ma02_g12190 transcript:Ma02_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEGIKRLKGLVQLDLHNNSLSLSEDDLANLSSLKDLDISYNSVHLNKSDDWIPPFHLNTLRLRFCQILPWPHFPKWLRTQTTLDELDLSNTGIKETIPNWLPSGLEYLDLSNNMIGGDVPYYFSNLTILDLSNNSLSGYLPPKLSNMMPNLEYLSLSDNNIMGEMPQFFPKISNTMSSLQWFDLSTNNLSGGIPFSFCRIKSLEVLRLSKNNLSGELPNCWKNSSNLVILDLSSNKLQGGLPDSLSNLQTLQSLHLSYNNFMGQIPLSFRNFTGLVTLDLAHNKFVGNIPNWIGESLPYLRTLNLRSNAFTGNIPQLSHLTSLQIVDLSNNHLSGIIPSSFGNFSALKGSPSKGLYFHNFGFEDYMWLFTKGSELEYNSMLLSIDTVIDLSNNGLSGCIPRELGNLHGLRSLNLSGNYLTGEIPSNIDGMQQLEILDLSRNNLSGIIPSTLADLNFLNDLNLSYNNLSGKIPTGSQLQTLNDPSVYAGNPNLCGPPLPKNCTMNIAKTDGEKQNEDSSESRMETLWLYTSITLGFITGFWAICGSLLLRRTWRITYFRAIDNMFDKLYVMMVVTVAKYKRRL >Ma11_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23030665:23033341:1 gene:Ma11_g17830 transcript:Ma11_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCAAMLQAQTHVPNPWKKALLHLPLLAQSPPPAPSEPEALEASPPIHHHQSLISSIKALSAQGHLSDAFTAFSLLRLRYPASSLLVLHSLSSLISCSSSQKAARQGLQLHALTLSCGLHDHPSLLPRLTSLYITFGLLPDAHALVFSSHSLEVLHWNLLISAYMKDDRPSDALLAYRQLVQIGIQPDRFTYPSVLRACGVVLDLELGKEVHRSINDSFMEWNIFVQNALIAMYAKCGALGFARKLFDEMPERDVVSWNTMVSGYASRGMWEKAFQLFEQMRAENSEVNSVTWNTIVGGHLQRGNPREALRLISEVTMHGSEIDFVTLVVGLSACSHVGSLKLGKEIHGFATRCCGEGIESVRNALITMYSRCKDMEHACLLFQKAKMRSLVTWNTMIAGFGLSDQAEEASFVIRDMVQSGVQPNYVTIVTYLALCTRVANLQHGQELHCYITKHDFKGYLLLWNSLIDMYSKSGRILAARRVFDLLTNRDQVSYTSIIAGYGIQGEGTAALKLFNQMIDSGIKPDHINMVAVLSACSHSGLVSQGHKLFKMMTDSYGIAPQMEHYSCMVDLLARAGLVKKAEELLHKAPLQPTAAMWAALVGACQVYENTEIGERAAKKLLEMGTDNPGHYVLIANMYAAAGCWDELAKVRTLMRDSGVRKSPGLAWADLGNGFHPFLVGDRSNPLAPEIYEVLDTLTGQMSDPGSIENLDLEFVVDIVV >Ma02_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23126420:23133757:-1 gene:Ma02_g15390 transcript:Ma02_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISFSPSMRSITISSSNGFLDLMKVKVAARHISYRTLFHTILILAFLLPFVFILTAVVTLEGVNKCSSFDCLGRRLGPRFLGRGGDDSMKLVKELYEILDQVNSEEIPAGLKLTESFSEFLSHMKNNQYDAKTFAVRLKATMENMEREVRRSRLAEQLHKHFAATAIPKGIHCLSLRLTDEYSSNAQARKQLPPPELLPLLSDNSYHHFILASDNILAASVVVTSVVRSSLKPERVVFHVITDKKTYPGMHSWFALNPLSPAIIEVKGVHQFDWLTRENVPVLEAIENHHGVRHHYHGNHIMGTNVSDNPRIFASKLQARSPKYISLLNHLRIYLPELFPNLNKVMFLDDDVVVQRDLSPLWEIDLSGKVNGAVETCKGEDTWVMGKHFRTYFNFSHPLIASKLDPDECAWAYGMNIFDLNAWRKTSIRETYHYWVKENLKSNLTLWKLGTLPPALIAFRGYVRPIDPSWHMLGLGYQEKTDLDSVTKAAVIHYNGQCKPWLEIGYKHLQKFWTKHVNYSNDFIRNCHIFEPQ >Ma06_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3402812:3407188:-1 gene:Ma06_g04580 transcript:Ma06_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEGAEKEAVKAIELEAEIERLPVDLLAFIFSLISSFKDLAQASGVCRKWRRGVEESLARKERLSFAGWKVDDESTARVVRAAYNLKELDISRSCWGCQITDGGLYKISLTKCVGNLLSISLWGMAGITDKGVIQLVTRANSLQHLNVGGTFITDDSLYAIASSCPQIKTIILWSCRHVTQSGLIMLVNKCRKLESINVWGMRVPVDCFVSLLAISPALKIKPSTQHLSVGMSWVVS >Ma06_p04580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3402045:3407182:-1 gene:Ma06_g04580 transcript:Ma06_t04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEGAEKEAVKAIELEAEIERLPVDLLAFIFSLISSFKDLAQASGVCRKWRRGVEESLARKERLSFAGWKVDDESTARVVRAAYNLKELDISRSCWGCQITDGGLYKISLTKCVGNLLSISLWGMAGITDKGVIQLVTRANSLQHLNVGGTFITDDSLYAIASSCPQIKRQRSEQGNPAAKECLPKQRDGILAANLLPWPRYKSITLKSHSKTHVC >Ma07_p24440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31814504:31823086:1 gene:Ma07_g24440 transcript:Ma07_t24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGGGGSLRKSISGSSVSGRKKAPENGSAEVGRRTSITRPPTTSGERTVKRLRLSKALTIPESTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGTSISGPNTFIETLRERMFRPSLSTIIQENSKVVTISPTDSVLTATKKMLELKISSAVITVEKKPQGILTSRDILMRLVTQNLSPESTPVEKVMTANPECGTIDTPILDALHTMHDGKFLHLPVVDRDGNIVTVVDVIHIAHAAIATAGSSSGAGNETASAMMQKFWDSALSLGPLDDDDDSRSEGSMKVASEATDTVRSAFYPPSGLSTTFGFKLEDKQGRMHRFNCETQSLTDLITCILQRVGDDIDRNHLPQILYEDEDHDKVILASDSDLAAAVDHARQAGWKSLRLHLDYSGSGYRKKGRGSGSAGLEHAHKDAWAAAYSTVAAGAALIAGIGVMAYLKRSAS >Ma07_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31814504:31823086:1 gene:Ma07_g24440 transcript:Ma07_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGGGGSLRKSISGSSVSGRKKAPENGSAEVGRRTSITRPPTTSGERTVKRLRLSKALTIPESTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGPNTFIETLRERMFRPSLSTIIQENSKVVTISPTDSVLTATKKMLELKISSAVITVEKKPQGILTSRDILMRLVTQNLSPESTPVEKVMTANPECGTIDTPILDALHTMHDGKFLHLPVVDRDGNIVTVVDVIHIAHAAIATAGSSSGAGNETASAMMQKFWDSALSLGPLDDDDDSRSEGSMKVASEATDTVRSAFYPPSGLSTTFGFKLEDKQGRMHRFNCETQSLTDLITCILQRVGDDIDRNHLPQILYEDEDHDKVILASDSDLAAAVDHARQAGWKSLRLHLDYSGSGYRKKGRGSGSAGLEHAHKDAWAAAYSTVAAGAALIAGIGVMAYLKRSAS >Ma07_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8832837:8840687:1 gene:Ma07_g11920 transcript:Ma07_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPIITRSYLTAAVVTTVGCSLEIISPHNLYLNPKLIVQQYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFFMLLFGATVLTGIVLIGGMIPYFSETFANIVFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHAYFFLEDVYPRMTGRRPLKTPSFITALFAHENVVVAEPRNARFAPPAQDVHQD >Ma10_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31252191:31259743:-1 gene:Ma10_g21440 transcript:Ma10_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMESFSIREYAARMRNVDYERCWPFAEERAERSLPPMPVRKFRWWVEELRAVPSGGKKDGEADDVVEPEEKAAVDVATGVPVVESTGVGRAGGGNVPAEQRQAKNLPSKPKPRTPKKRSILELFAVAPPVRGGVQEQDLRDGAGGKLQPQGEEAAGAKTNLGVEDGGVLVEMRKRKKRVKDGEKMPREKIGANKKWKTKAKMTNKKKKLNVEIRAAKKENCKLKMSSLVDMSKILKSKRYEKKFGKMHNRLVCNQAKPATIRTLLKKHIFRFVQTSKLISRNQEAARAPPVHSIFKKQKRGTSTKKRKAIENKKGSDLVEHCCESAKQLSFSGKNGKLVHGRSCLPLKLPHLQTLCKMVSDVLAASSTMDNLNKCPSVTEGAHWNLNDTEGQLNLNDNGVVASNGETSETSSEEQLDGTFDHPTPSNSSVTKRSPLAELVDLNHPVKDHVDLNGVGLDGSTMTPTPMYSGDLKVPGPMNKCALASDPGTSQIHSFSPIFNHTNHLHNPGNAVSVSDTKSALSLTRKQDQHHWVSCLDQSYLDSIYMQTRMMDPRNNTCIGFPEYRSMYHIPKDMLISNHSSVGSKAIVEPSPGLGPVWRVNDTEEGFIGLPLNSQGELIELNPGTRYGFCEVDEMPNSALNSLQILPSSTHVQPQSSHVRMKGKYPFVSSYHDDEQNWFLKQHYPARKLVISESGSVALQGVEKVRCQSHDGRPQLYHCDARQVELFCSGCKDHLVTENCFDRMRLYSEKDMELGSRPAIQPTMRLMGKNVTVGSCSKEHQGCNDKDWTDKEMVTTSNPTIREHDRPILKRWHEEECIRQEEYEASRNIPFSSLDVPSDNCHASADKLTSNHMHHGFGPNWMLNYGNPSSRGEHGFHIDFSQSPVPCQSFQNRASHSAVHSTTQAQSVDMGRSKTLRASHPRNFCPHMLINSTHCKHSQNVSYGIPSTTHPYINHVPVQTSSGHSLRRFPHWLLNATNQHPLVPYHPSAACQSCMIPANRGFPHSSPYTKSVIAFPSGNSNSSQTYGSYTPMSVVYPSSTATLTNNFSSASSTYGDNSKTTDGMRFNFAHVKSQDHSKRFRKRSAAKDDKIVERVKRPNLKLQEDLNAPTSLRREGLNGDQKDNIREPEVNVCVSRTVDVCLPVIDDGRDSVAISDGSLPLKSSHLRPGPVKLSAGAKHILRPNGSIDQENFRPIHSTVPFTQEASAAKDGSQEKAAKVYRF >Ma04_p38260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35868120:35873901:1 gene:Ma04_g38260 transcript:Ma04_t38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNANAGGDSGEGSTESVDDRRFLRCVNCGSGMRSLFVQYSPGNIRLMKCEHCKAVADPYIECEFMIILIDMILHKRKAYRHLLFNMLNLGAGDSKGILWKSSLLYFLLDACRFSLLKNSKACLDSSRSLLLSFLTCGKVMLDVLLGNLIFISVVLLGIRFLLNLSFDVSRYRQILLAILVSSYFKLFLVAMMVWEFPSSVLLVIDILVISSNALALGVVTELQTAGCLGVCFGAQAAKFFSDRWLLHLLSG >Ma08_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7870432:7872513:1 gene:Ma08_g10730 transcript:Ma08_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQRRGARGPPQRTLKPPPDGVEFRRKRSLTGLPIFSPSFEESAVTSAFLFSHPGLMQSFSYIFVLVRSLHSTPQFSHLNFLTQVFFSPRFDTIVLLYFCPGASLKKAQSRRPSYFLTQATLYLASNTMDRVSFSWFSDHAESTVIFLPR >Ma08_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23849293:23850523:1 gene:Ma08_g17250 transcript:Ma08_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYGLSRDPMETPKYDELVICTIVALLGVVAFSCCVAAEFEKVKVKDMRLDGSLCSLPRSSAFGLGIAALVCLSIAQVIGTTMGGSRICSIKAAASSSRIASIALLCLAWIIYALASIILAIASSMDGGQSYGKGWMNGDCYIVHDGVYAGAAVMVVSIVLVILAFNFKTRKTMRHRTRLDEEGTIPIGNSQQSCTIVGRVKADEWW >Ma05_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2358524:2359736:1 gene:Ma05_g03320 transcript:Ma05_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAILTRSCSFLGEPGRYGDSAAGISFLRRNRSGLLLPPRSRCAAALHYEAEVGREGRLLRRVRSEGDLIPSNRPGQVELQANRFDIGVAVPAIPPVEQVACSGGGIGKGRKVGGGRGGGGGGDQNHNRRIAEHYQRMLRSDPSSALLLRNYGRFLHEVEGDAKGAEEYYGRAILASPGDGEVLSLYGKLVWETHRDGERAEAYFERAVEASPDDCFVLGSYAHFLWDAEEEEEKGTIQVSSPLAEAF >Ma04_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:856839:857424:-1 gene:Ma04_g00940 transcript:Ma04_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASLRHHRHHSDRPRHAFLDVIARQSAPLPPPMPARFLAGTYARSPRRPPPPWTWGMVGTRPPAAASRSAVIAREKTEA >Ma05_p26470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38083196:38083582:1 gene:Ma05_g26470 transcript:Ma05_t26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSIRNPKIPAALFPLRTKKNAGRDSFRQSLLVDEPTNTAVPKGCMAVYVGDGMRRFVIPTSYLRLPAFRQLMEKVEEEFGFDQAGGLRIPCEEEDFQELLDALADSTPAKPKKKKKKTLKSLVKMA >Ma09_p18850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19213171:19217372:1 gene:Ma09_g18850 transcript:Ma09_t18850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MSGRSRMPQHGMKGPPPSLHDVPPTPFGGRGRGPIHHAALLKEMRDGPPFGLRGGHAPHPAVIEERLAAQHQEIQGLLADNQRLAATHVALKQELDAAQHEIRRMSHAVASMQADKDLQLRETYEKSMKLESELRAAEAMRGELIQIRGDIQKLNAVRQELTGQVQAVTQDLTRASADLQQAPAIKAEIEAIKQETQRVRAAIEYEKKGYAENYEQGQVMEKNLISMAREVEKLRAEVANAEKRARAAAAAGNQGAVYSGNYGNPDPNYGANPYPSGYGVNPVPGVTDAGPQYGSGSGHGSWGAYDMQRAHGRR >Ma09_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19213146:19217372:1 gene:Ma09_g18850 transcript:Ma09_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MSGRSRMPQHGMKGPPPSLHDVPPTPFGGRGRGPIHHAALLKEMRDGPPFGLRGGHAPHPAVIEERLAAQHQEIQGLLADNQRLAATHVALKQELDAAQHEIRRMSHAVASMQADKDLQLRETYEKSMKLESELRAAEAMRGELIQIRGDIQKLNAVRQELTGQVQAVTQDLTRASADLQQAPAIKAEIEAIKQETQRVRAAIEYEKKGYAENYEQGQVMEKNLISMAREVEKLRAEVANAEKRARAAAAAGNQGAVYSGNYGNPDPNYGANPYPSGYGVNPVPGVTDAGPQYGSGSGHGSWGAYDMQRAHGRR >Ma10_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2016911:2017750:-1 gene:Ma10_g00720 transcript:Ma10_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEDHDEADEEMGLLAISGYDNPMPNSARGGAGGGGDKMGGSAGGAEEAAVSGSGKRKVGGDGGGWGVRYRECLKNHAVAIGGHAVDGCSEFLAAGEEDTLDALRCAACSCHRNFHRREEEGGGGAAVLETVGCYHRFSPFYRTPAGYLHHRHHMVVAASAQQQHRPQLLALPSTSGGGGQSRDDQDSDSNPMMGAGGLVGVGGATGTSGSGGHRKRSRTKFTQEQKEKMLAFAERVGWRIQKHDEAAVQQFCGETCVDRHVLKVWMHNNKQTLGKKP >Ma04_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11009706:11020320:1 gene:Ma04_g14550 transcript:Ma04_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGELYTDVFRPIADSPPAPSPGTRPDPVVPAADDDIEGGDGDEILFGASRSSPAAELPSVPHHALAPPAAAAATAAEDYGQRDWMLGHAPPVVEAPENWDDENDGVVSRPTEVVAPMADKCEPRVLEDDEEEARVSEIPNGDGKIGDPERLRAPNGDGYPLFGGGVVENLGDPDEAPVIPGLSAEPAPSGPLIGMNIEERKPSQSEDWDSDSEDDLQIVLNDSDHGRLGVDRNDRIGIDDDDREDDLVIVTDEDQHRHVPATDEQNCGEEAMQSTGDGERKEMVDVAKIASATGSALEARIGYNTHGSHPQHHSMYKYIRPGAAPLPGGPAAGTACPPGAARPPLISGPLSGGGRGDWRPAGGRGIPNGPKGYTGFGFPAWANSSSRAFGSGLDFTLPAHKSVFDVDIESFEEKPWRHPGVDVSDFFNFGFDEDKWKDYCKQLDQLRLESTMQSKIHVYESGRSEQEYDPDLPPELATAAGHHNIFANNGHKKADDGEVDFSNQGRGSSVMRAPLPTGRAIQVEGGYGERLPSIDTRPPRIRDADAVIEIVLQDSFDDPKMCNCAPEKHKSVERDDDKCFHEVKNDDRNTVSGNMRHFSHASGNRNKEMTRRALSAKEKNEVLLFPSESSAEYHQDSRTRSPVSPAKALGIHQSCRLPQGSSHRRSSNGREQSIDGIPSPSVHSNRLGDEEETQDDSTGVDRSSGKSSSVADDTVKELSVDEQCCGHDEKLTPVSIENEGEDMVSDVHIPNETSDNDKLVQTGKKQKLSSLVEQPAGHDSGHEDELQTSNSDNSRQKSGSSKDYPKQTENGDKVIQEEHSIQVGHLKRPHKEECYLRPKDDYGLDARQVMKKDYIVSKGKDDTSDSYKHPLRSRSYERKKESESSISSWQRREDNVHSRRVKDEDRRWENSDEMVSKHRSKLRVTDRNPKEEDHSKKRVEDRDWRGHNRDDVLWQRSRDDLVSRHESTDEPLIKKKRDEEYLRGKADKLSTLHGYRDEENSGRKKRARDDAIDHRRREVDTRMRDKADDHLSSNHKDDNWRYREREDRQRLKPHESAPMHREREEGRGSVRSGRAMEDKASGGSARNRDESKTIVHDSRVHQEKERRQLNDHSKRDHGREDDVQNKGRRHLSVREKYSNNDRRNSRHERMNTYKDCPPSADGKQMYRERHKENTRKSKDSEAHEQHSQGLGKRKHEDRHTAQTDKVYIRSLNEQESNNISSTDLSKDPHQIYEEPEAPQQKKQEEADPASDEENQGSRKGRSKLERWTSHKERDYDATGNTHTLSASSGVKKIEGDNVDVVQADEGAKTEFNNAGELDGKDVDGGQIVDKTVDEPDHHLDTMAKLKRRSERFKLPMPIVKETTLSKKLENEVQSSNNEAALDSEVKPERPARKRRWTGSS >Ma06_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2352403:2372078:-1 gene:Ma06_g03180 transcript:Ma06_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 3-alpha [Source:Projected from Arabidopsis thaliana (AT5G63920) UniProtKB/Swiss-Prot;Acc:Q9LVP1] MSRRSGGGRMIRVLNVAEKPSVAKAVSEILSRGSGGMRSRLGRSRYNRVFEFEYTIGSQACHMLVTSVIGHLMELEFEDRFRKWHSCDPAELYHAPVRKHVPQDKLDIKITLEEEARRCQWLVLWLDCDREGENIAFEVIEVCTSVNDHLNIWRAHFSALIDREIHHSVQNLARPNKLFSDAVDARQEIDLRIGASFTRFQTMLLKDLFVLDFASDNRSLVLSYGPCQFPTLGFIVERYWEIQSHEAEEFWTINCSHTSEEGTANFIWMRGHLFDYTSAVVIYEMCVEEPAATVKNVKHQEKLKYPPYPLSTVELQKRASRFFRMSSEHTMKIAEELYQAGFISYPRTETDGFSVNTDLHAIVREQVTHPIWGSYAQQLLDVEARLWRNPSNGGHDDKAHPPIHPTKFSAGETGWSQDHNRLYELVVRHFLACVSQPAVGAETTVEIDIAGEQFSASGRVIIAKNYLDVYRFESWGGNIVPTYTIGQQFIPTSLTLDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRCYATKDSNTRFSPTNLGEALVMGYDEMGYELWKPYLRAMMECDMKAVSIGTKRKSEVLESCLQQMKACFLDARRNKVKLLEAMGLFFERSAGDAHNVGETVRPCSLCSEADMVLKRRLNGDYMVGCLRFPNCRNVVWLPGSISEAAVTNHVCSSCAPGPVYKVQFKFRRLEIPPNYDVDHLGCIGGCDVILRELIEICGTGSHNHTGMSARGQRGTEPMSSASRGNSRQEPCLHCGQTGHSSNHCPSQDSSCPRAAQPARVRNARIISGEVQCNSCGATCALRTANTESNRGRKFYTCQSQECGFFVWADSLEDGGTRGHGGSRGGNGRSSSTARRGGRARGRRDAPTNGVAFVSATGEPVSGSCFVCGDPSHFANACPNRGR >Ma08_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:489951:492162:-1 gene:Ma08_g00510 transcript:Ma08_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTKQSLRSVENLSLPSVQVVVMNANMGCSHCRQRVSKVVSKMNGLLEYMVDLSKKEVTVRGFVDTRKSKSPQGLTTTLQTNKKLHSLGLFRLKCPDAF >Ma06_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4699626:4699821:1 gene:Ma06_g06500 transcript:Ma06_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVSVLGIKAKMVTITLVTTSVSKLCTIKRRIRRCSICWL >Ma08_p16620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19676743:19692635:1 gene:Ma08_g16620 transcript:Ma08_t16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQKKGWPGWSPSPRVGDGVDNGMTTPVVNTRSGSVLAFLKGKGKGKGNNTAEALPLPLPLQASLGENGDTVVVGGGDAEVWRNFREAGLLDESALQNKDREALVQRILALEKELHEYQYNMGLLLIEKKDWALKYEEIRQALMDVEETLKREKLAHLASISEFEKREENLQKALGVEQQCVSDLEKALREMHSELAEVKFTSDKKLDDAHALEAGLEEKYLEVEQKLHSADAKLAEASRKSSVANRKLEDVEAREHKLQKEYLSLSSEWKLHEKGITEQREHLCYWEKKLQDSQKRLVESQRFLNQREYQANEADRFHKKKEAELEESRKMIEATKKSLKSKEEDITIKLRSIAAKEKEIDVKIESLGKKEKDLFSREETLNARERVEIQKLLDDHNALLISKREEFELNLEKRRKSFDADLEGKVHEVEEKKREIDCMEDQVKKREQALEINLQKLMDKEKELDSKSKASKKWEESVKNDERKLEKDRQHLASECEELLKCNSELESLKAAIESSKKQIINEEENLRLTKVEREDHLLLQSNLKQEILDCRLMKELLLRDTEDLQLQRKKFEEEWEVLDEKRLALEAEIKKFNDEREKVEKWQCHEKERLNSEALIAKANFERELEELSQKEEALEKAMEHERLEAFELLKREHADMDRELELRKHELQMDMQKMQGMEKKLLDKENEFQRTRDLELSQMISLSSLNDSKSKRLKMEEDRLEREKEDILSHRKRLEVEQLEIEKDIDALCMLSRNLKEQREEFMKEKEHFLDQAEQKTCKNCGHPLGDMGTYCILDAGNVLLPNLVFEERSNNMNAKSSPNAMVSVPAASGGRMSWLQKCSRLFSPGKKVVDSSELPVDKSTVGARLDQEAFDAETSCKPVSFHGVADFSYRQENKEPKRLGEAGEEPEPSLEVADNSIDIMRTWMDNGAREVVDDYVMPSFAQNERENFAPAESDTLPESLKQRRSQPRRRGRPKAVKRTGTTKAVVTDVKAILGKSSNEKNHGSQDLVLANSTTSAGQKRCVAQISGMTTSDLNLGDSEAHSESISLGGRHKKRQILAPAAQIPGEKRYNFRHSAISNNIRAN >Ma08_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19676743:19693574:1 gene:Ma08_g16620 transcript:Ma08_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQKKGWPGWSPSPRVGDGVDNGMTTPVVNTRSGSVLAFLKGKGKGKGNNTAEALPLPLPLQASLGENGDTVVVGGGDAEVWRNFREAGLLDESALQNKDREALVQRILALEKELHEYQYNMGLLLIEKKDWALKYEEIRQALMDVEETLKREKLAHLASISEFEKREENLQKALGVEQQCVSDLEKALREMHSELAEVKFTSDKKLDDAHALEAGLEEKYLEVEQKLHSADAKLAEASRKSSVANRKLEDVEAREHKLQKEYLSLSSEWKLHEKGITEQREHLCYWEKKLQDSQKRLVESQRFLNQREYQANEADRFHKKKEAELEESRKMIEATKKSLKSKEEDITIKLRSIAAKEKEIDVKIESLGKKEKDLFSREETLNARERVEIQKLLDDHNALLISKREEFELNLEKRRKSFDADLEGKVHEVEEKKREIDCMEDQVKKREQALEINLQKLMDKEKELDSKSKASKKWEESVKNDERKLEKDRQHLASECEELLKCNSELESLKAAIESSKKQIINEEENLRLTKVEREDHLLLQSNLKQEILDCRLMKELLLRDTEDLQLQRKKFEEEWEVLDEKRLALEAEIKKFNDEREKVEKWQCHEKERLNSEALIAKANFERELEELSQKEEALEKAMEHERLEAFELLKREHADMDRELELRKHELQMDMQKMQGMEKKLLDKENEFQRTRDLELSQMISLSSLNDSKSKRLKMEEDRLEREKEDILSHRKRLEVEQLEIEKDIDALCMLSRNLKEQREEFMKEKEHFLDQAEQKTCKNCGHPLGDMGTYCILDAGNVLLPNLVFEERSNNMNAKSSPNAMVSVPAASGGRMSWLQKCSRLFSPGKKVVDSSELPVDKSTVGARLDQEAFDAETSCKPVSFHGVADFSYRQENKEPKRLGEAGEEPEPSLEVADNSIDIMRTWMDNGAREVVDDYVMPSFAQNERENFAPAESDTLPESLKQRRSQPRRRGRPKAVKRTGTTKAVVTDVKAILGKSSNEKNHGSQDLVLANSTTSAGQKRCVAQISGMTTSDLNLGDSEAHSESISLGGRHKKRQILAPAAQIPGEKRYNFRHSAIAAVTTAAQTIFERTKGPKAGGHEDSTGNEIPMQSGGEEGSARPVVEPVSDVDSKKASNMLQKTAVESTTEVHEIFPNKIVQAESNDDVKSVEHSDQSEDGFVVDDAATGTDPATPSNGGCSEDDEDEEEYDQLNASIGKKLWTFFTR >Ma06_p13590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9314265:9315888:-1 gene:Ma06_g13590 transcript:Ma06_t13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASPANADGFRRWHEAGNDDHIPPADVRIVTSDGQSIAAHSSLLGSASPVLERLLYRPRKTWNSDRIIHILGVPQDAVLAFVRFLHSSSRTVLTSREAEEAMGRHGVALLALSHAYRVPWLKRGCEAAAAARVSAENVMDVLKLARMCDALWLRQRCMRQVAKDFAAVQQTEGWRFVQKHDPALELEILQFLEEADQRKKRWRRERANQEMYQILGEAMDCLQHTFAGGCADEASSGKKSPCKSPLTCQRLQHLLRHYATCDNKLVPKCCPHCTRMRRLCRLHSSLCDQTASCKVPLCKQFKLKAERDQGEEKTWGLLVKKVATARTMASLANRERSELVQRSRTRYRGAR >Ma06_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9314263:9316395:-1 gene:Ma06_g13590 transcript:Ma06_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHESSTLLMWKRSYLKKEKTRLHWVDATRSCASSLLTRQPYPPEMIASPANADGFRRWHEAGNDDHIPPADVRIVTSDGQSIAAHSSLLGSASPVLERLLYRPRKTWNSDRIIHILGVPQDAVLAFVRFLHSSSRTVLTSREAEEAMGRHGVALLALSHAYRVPWLKRGCEAAAAARVSAENVMDVLKLARMCDALWLRQRCMRQVAKDFAAVQQTEGWRFVQKHDPALELEILQFLEEADQRKKRWRRERANQEMYQILGEAMDCLQHTFAGGCADEASSGKKSPCKSPLTCQRLQHLLRHYATCDNKLVPKCCPHCTRMRRLCRLHSSLCDQTASCKVPLCKQFKLKAERDQGEEKTWGLLVKKVATARTMASLANRERSELVQRSRTRYRGAR >Ma06_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4312520:4314404:1 gene:Ma06_g05850 transcript:Ma06_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEALKINDSSPKISKLSESIGKYDIDRGHIDTAAPFESVKDAISKFGGIVDGEAQTILTMERQKHIQLELEKIREEITKYRQQCEAAEVTKEHVLKELDHAKRLMVELNVRLEKAESQEAQANQEDELDEINRERNTTVVAGVDSEQLELESMEREHVAARKDQDLASASEEIEKTVEDLTLELIGTKELLEDSAALALEQDKLNWERELKQAEDELQQLNEQLMLTNDLKSKFEQASASLCSLRVERASHMEAKLSQVVKDCTYTKELEEVRTNIERATSEIDHLRAAVSSLKSEVEMERTSLTTMKQREGIASSSASSLAAELNIMEKKVEEKTVELKTLEQAAKEAKQAKLEAQMARKELRKAKEIAEQDKAEATKMEERLNATVSEIKAAKAVEKLAYSTAKAMEESEQASDRVTLSIEEYFKLRMKADEAEELDDGRRTVEERLRVAAEKVSAWRGKQEQERGANAVTRSFSELPNLDGIGEQETFTSETEEVLHRSPVTVPKLHKMSRSKTMDSKTDSRRKKRSFFPRVASFLSREKVQPLKE >Ma06_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4310405:4311611:-1 gene:Ma06_g05840 transcript:Ma06_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRHMAALTPAHAAGLRRLSSRAAAAPSSSSRRRGLHSHRPLAEDVLSHLRASSVPLGPGLSEPELARLEAELSLSFPPDLRALLALALPSAPGFPDWRAPRPGRLLRLPLAAAAFQVAHGALWPRSWGPRPAEPVRAIRCARAALRRAPLLLPLFRRCYLPCYPCLAGNPIFYVDDTRVFSCALDLADFFQRHFAALQPPAPSFPRSLHATAGFSPRRIDFWSEAAFDSHCRNSYSSSSSSSLCTSSSSAPETPSSSSSSPPLDRERCVEIPTPRLTWFTSYLDRLGSVLRQGGWGESDISEMVYMSPTGAFNGCQDAAVDAGAILDALLVKVDVCSDSLLRAGWGSDEFPDALGLDHGPRRGTERHPPVKVPPAIALKIEKLAEAW >Ma02_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:771698:772417:1 gene:Ma02_g00060 transcript:Ma02_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNQGSPNGSPTTHPPYWLSHGHGRVLYPLFAILNVVLILFLYCYISRKLCKKSQQLNETNTTAASSASTSPSSSLRHARLKPDVFPSLPVFVYSMANEGKLECSVCLTEFKEGDKGRLLPRCSHRFHADCVDMWFQSHSTCPICRSAIEPKAPGSDEAV >Ma04_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23074619:23079316:-1 gene:Ma04_g20360 transcript:Ma04_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRALDDIIRRLLEVRGSRPGKQVQLSEAEIRQLCVVSKDIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPQANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLHDLDQIRNLACPTDVPDTGLLCDLLWSDPSNEIQGWGMNDRGVSYTFGPDRVTEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNSGAMMSVDETLMCSFQILKPAEKKAKFGFGGMPATKTGTPPSGTKSSLGAMHNL >Ma06_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3042554:3044225:1 gene:Ma06_g04180 transcript:Ma06_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPPFSSSHSLPSLPHPSDPPCQVHPPSARRETKESIAGEVLHPVGDLLIDPHELGQNPKRRPAPGPPLSDPVANGDSRSRERVQVLKVKEGRSVDSNQRWNAKADSSHSHRVRGSSGAVALGAAAQTKTMEGNDGGNGDGGLETKLVEKEKKAKVTARASAGPAAAYDNDCTSVGDRDKEGCDGGAAVVRAGNGSNVDGRRRRSPAVPVEGSRCSRVNGRGWRCCQKTLVGYSLCEHHLGKGRLRSMRSVRGQLGIRKPQWSTGGGNSVAPSTSAQKQPEEEEHATQSLHLLVAADNEVGKIEEDEEEEEEEKTVRKKRKKIGMVKARSISSLLDGTNRPVLSPSLLSQVPEVGNEAKSRVS >Ma10_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1076244:1079259:-1 gene:Ma10_g00090 transcript:Ma10_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCLRTSVFFPSSERESRSGEDSVDLAMHPPSMQLVPYSNANPNPNVPWSEMFRSASLRRPPDSLPPSRPPSPPPPRDRPHPASEEPPPPPSSAPPVTAAASEPHAAARLALYIAMAHAGLALSLLLIYGLYRLFHDFVRPLQWALLCSIPLRELQRAVVAFWSPPLRLGLVPALLAVPAALLRASADTLSDLRAALLRRKLRPSSKDGFSILTRWLLSFWVFVISYEQLGPLATVALFAFGLLLASPTATSAVKNAIFVAGWSKSSSSSDRGSGGFFTTGILKHLNTIVAVGLIVSMIVGVLAGGMFFSYKIGVEGKDAVISLKSHVQNSNYTERIGFNKWMEDNDIPGLVDQYSAKLYDTVWQQVDSLAVRYNLTDFSDGLRHFLISRSGNPSAGTSTALIASPPHPYIVKLQSLSVHVKNREWAEIFKDLDLFSRELLITRADLVVKAKGLAFQGIEISKRVLSSSTSVLGGSASLMVSVAIKVASGAAGVVNFVSQLMVFLWVLYYLITLESGGVTEQVVDMLPMSKHARAHCVEVINRAISSVLLATVKIAIFQGCLTWLLFRFCAVHFLYTSTLLVFISSLVPILPMWLSSIPAAVQLSMEGRYVWAVVLTMMHLMLMDYGTSVIQEDIPGHNAYLTGLSIIGGMTLFPNALEGAIIGPLLMTVVIALKNLYAEFVLADKEENGEPLVTDKGECIS >Ma04_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3445516:3448174:-1 gene:Ma04_g04510 transcript:Ma04_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEYSQFLLGINPLIQGLEHNHELLGIQSGVEMLGVPSKRQGSHFMKNFVHRSLPESSNGNFGMGSAVSTWPVDDSSSISLFNCQEGEQLDRKLSLSHFSVKSPDGIGLPDSLQQQQQQLFVHARREHYLKKSKYLKPTQELLSEFCNIQEGLNSKEGPKQGSRREEGDPSSWQQSLYSMNHLELHKLKAKLFSMLEEVDRRYRKYCEQMKAVVSSFETMAGEGAADIYSTLASKAMSRHFRRLKDGIVDQINAVKKAMGETDPSAPGGTRAETPRLKLLDQCIRQQKALQHGMVQQLPWRPQRGLPERSVSILRAWLFEHFLHPYPSDVDKVILARKAGLSRSQVSNWFINARVRIWKPMVEEMYSEEMKELDAKSNQNPNPNSALSLVHKPFLNDSESLSSIINSSHHGVHQPQQQRVSGADHFGVVDYDVFSSYSNFASDDLRRSVSLTLGLQQHNGGGMSLSFSAASQQPLHHGRQGELSMLDGEAQGVPRRTLMGTQLLHDLAG >Ma10_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17589662:17590394:-1 gene:Ma10_g06120 transcript:Ma10_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFKDTKRYRLGGGGVGGGGEQIDSVNMANVLMLLSQGGGGSGGGAEKRDHSGRVFECKTCNRQFPSFQALGGHRASHKKPRLGGDGHGQAQGGAAKPRVHECSICGLEFAIGQALGGHMRRHRTTAEGFGHGLTEKPPGDRRGTLLDLNLPPLETDLKLGLGLEIVDKIPMVGCGH >Ma05_p26340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37998976:37999455:1 gene:Ma05_g26340 transcript:Ma05_t26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVISFLAANNYPLLLNAYPYYAYDPKYMKLDYVLLNSTTVIVQDGNLGYTNMLHAMVDTVYTAMEKVGGQNVEVVLSETGWPSGGGPAVATVEYAKIHNNNAVRLAATSNGTPKRPGRGLETFLFAMFNENLKQEGTEQHYGLYYPDMNEVYHVDFP >Ma03_p25830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29792444:29793450:1 gene:Ma03_g25830 transcript:Ma03_t25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGPYRLVDRETGEKFIVWEGSDDNNDADTPIPSAEVLSWKPPVADDRQESEQSLSSPPLVTFAQNLKSLIKETSKNYMEYSQIGSATIAGDSSSSDANRIDSFGSGRAQGDSPQDSYLKDNSAVNEEIEATNSFRLNSREDDYASFLDRSVPRNASFMGWGGAA >Ma05_p23050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35060166:35065385:1 gene:Ma05_g23050 transcript:Ma05_t23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDAMAISGPSSYYMAHRGIPGPGAGSQPGLHGATQPGVRSMLNPGSSLAVPSSGVSSAAFQVESPPAVSSHGGGGGGGGGGGGQGEGGSQVEPVKRKRGRPRKYGPDGSVALALSPISSSALPDTVIGSGSGAPAQKRGRGRPPGTGRKQQLASLGEWVAGSAGMGFTPHVITIAEGEDIAAKIMSFSQQGPRAVCILSANGAVSAVTLRQSATSGGTVTYENFELDQGRFEILCLSGSYMLTDNGGSRSRTGGLSISLSSPDGRVIGGGVAGLLIAATPVQVIVGSFIYAGSKAKNKAKVSNETGAESELHQVGDKQSTPYSALTSQNLTPSLVMAGWPGSRQLDIRNAHIDIDLTRG >Ma05_p23050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35060166:35065385:1 gene:Ma05_g23050 transcript:Ma05_t23050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDAMAISGPSSYYMAHRGIPGPGAGSQPGLHGATQPGVRSMLNPGSSLAVPSSGVSSAAFQVESPPAVSSHGGGGGGGGGGGGQGEGGSQVEPVKRKRGRPRKYGPDGSVALALSPISSSALPDTVIGSGSGAPAQKRGRGRPPGTGRKQQLASLGEWVAGSAGMGFTPHVITIAEGEDIAAKIMSFSQQGPRAVCILSANGAVSAVTLRQSATSGGTVTYEGRFEILCLSGSYMLTDNGGSRSRTGGLSISLSSPDGRVIGGGVAGLLIAATPVQVIVGSFIYAGSKAKNKAKVSNETGAESELHQVGDKQSTPYSALTSQNLTPSLVMAGWPGSRQLDIRNAHIDIDLTRG >Ma05_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35059715:35065385:1 gene:Ma05_g23050 transcript:Ma05_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDAMAISGPSSYYMAHRGIPGPGAGSQPGLHGATQPGVRSMLNPGSSLAVPSSGVSSAAFQVESPPAVSSHGGGGGGGGGGGGQGEGGSQVEPVKRKRGRPRKYGPDGSVALALSPISSSALPDTVIGSGSGAPAQKRGRGRPPGTGRKQQLASLGEWVAGSAGMGFTPHVITIAEGEDIAAKIMSFSQQGPRAVCILSANGAVSAVTLRQSATSGGTVTYENFELDQGRFEILCLSGSYMLTDNGGSRSRTGGLSISLSSPDGRVIGGGVAGLLIAATPVQVIVGSFIYAGSKAKNKAKVSNETGAESELHQVGDKQSTPYSALTSQNLTPSLVMAGWPGSRQLDIRNAHIDIDLTRG >Ma01_p15230.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11026734:11034100:-1 gene:Ma01_g15230 transcript:Ma01_t15230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLIKLLKNLNTDIKICGEYRSVLLQVISIVPALTGSELWPDHGFFIKVSDSSHSTYVSLSKDDNDLILMNKLQLGQFIYIDKVEAGTPVPVLVGVRPVPGRNPCIGNPKDLMNMLVFSDASDTADHLKNTSRSYELFEGEKESPKHRVDIKEEKNVVASRYMQGVLRSNVKSSGSESYSINDKIEENSSVIEPEKKTIPSRVKHETKRQVLQPKPTIPCIQNKTVNEKQENYVATLKDDAKPLKNMSVKSNPIIKKMSSSNTMSSSLSSNKRRVADAIPWDSLPASLINPGKGMVKRKEIAFLVAAEAQREAATAAALVKGLSIFADLRKSSMEEKLHVSLAKFFSLHQLLNQPNIAIEKDNLTGIPKQLAQEGEKLIMKTALPNSRRVLNAPNPAEASCGNEKITWSRGDGLKEIQELRANLRQESRSWFLSFLENALNSGFYAESRTKKGVKDRSGGHSKESDELIAVTLSQLKDASTWLDQLRKDAGTEADGLLGTIDRLKQKIYVCLLEHVESAASALEVRKKS >Ma01_p15230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11026734:11034099:-1 gene:Ma01_g15230 transcript:Ma01_t15230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLIKLLKNLNTDIKICGEYRSVLLQVISIVPALTGSELWPDHGFFIKVSDSSHSTYVSLSKDDNDLILMNKLQLGQFIYIDKVEAGTPVPVLVGVRPVPGRNPCIGNPKDLMNMLVFSDASDTADHLKNTSRSYELFEGEKESPKHRVDIKEEKNVVASRYMQGVLRSNVKSSGSESYSINDKIEENSSVIEPEKKTIPSRVKHETKRQVLQPKPTIPCIQNKTVNEKQENYVATLKDDAKPLKNMSVKSNPIIKKMSSSNTMSSSLSSNKRRVADAIPWDSLPASLINPGKGMVKRKEIAFLVAAEAQREAATAAALVKGLSIFADLRKSSMEEKLHVSLAKFFSLHQLLNQPNIAIEKDNLTGIPKQLAQEGEKLIMKTALPNSRRVLNAPNPAEASCGNEKITWSRGDGLKEIQELRANLRQESRSWFLSFLENALNSGFYAESRTKKGVKDRSGGHSKESDELIAVTLSQLKDASTWLDQLRKDAGTEADGLLGTIDRLKQKIYVCLLEHVESAASALEVRKKS >Ma01_p15230.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11026734:11034099:-1 gene:Ma01_g15230 transcript:Ma01_t15230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLIKLLKNLNTDIKICGEYRSVLLQVISIVPALTGSELWPDHGFFIKVSDSSHSTYVSLSKDDNDLILMNKLQLGQFIYIDKVEAGTPVPVLVGVRPVPGRNPCIGNPKDLMNMLVFSDASDTADHLKNTSRSYELFEGEKESPKHRVDIKEEKNVVASRYMQGVLRSNVKSSGSESYSINDKIEENSSVIEPEKKTIPSRVKHETKRQVLQPKPTIPCIQNKTVNEKQENYVATLKDDAKPLKNMSVKSNPIIKKMSSSNTMSSSLSSNKRRVADAIPWDSLPASLINPGKGMVKRKEIAFLVAAEAQREAATAAALVKGLSIFADLRKSSMEEKLHVSLAKFFSLHQLLNQPNIAIEKDNLTGIPKQLAQEGEKLIMKTALPNSRRVLNAPNPAEASCGNEKITWSRGDGLKEIQELRANLRQESRSWFLSFLENALNSGFYAESRTKKGVKDRSGGHSKESDELIAVTLSQLKDASTWLDQLRKDAGTEADGLLGTIDRLKQKIYVCLLEHVESAASALEVRKKS >Ma01_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11026734:11031858:-1 gene:Ma01_g15230 transcript:Ma01_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLIKLLKNLNTDIKICGEYRSVLLQVISIVPALTGSELWPDHGFFIKVSDSSHSTYVSLSKDDNDLILMNKLQLGQFIYIDKVEAGTPVPVLVGVRPVPGRNPCIGNPKDLMNMLVFSDASDTADHLKNTSRSYELFEGEKESPKHRVDIKEEKNVVASRYMQGVLRSNVKSSGSESYSINDKIEENSSVIEPEKKTIPSRVKHETKRQVLQPKPTIPCIQNKTVNEKQENYVATLKDDAKPLKNMSVKSNPIIKKMSSSNTMSSSLSSNKRRVADAIPWDSLPASLINPGKGMVKRKEIAFLVAAEAQREAATAAALVKGLSIFADLRKSSMEEKLHVSLAKFFSLHQLLNQPNIAIEKDNLTGIPKQLAQEGEKLIMKTALPNSRRVLNAPNPAEASCGNEKITWSRGDGLKEIQELRANLRQESRSWFLSFLENALNSGFYAESRTKKGVKDRSGGHSKESDELIAVTLSQLKDASTWLDQLRKDAGTEADGLLGTIDRLKQKIYVCLLEHVESAASALEVRKKS >Ma01_p15230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11026734:11031797:-1 gene:Ma01_g15230 transcript:Ma01_t15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLIKLLKNLNTDIKICGEYRSVLLQVISIVPALTGSELWPDHGFFIKVSDSSHSTYVSLSKDDNDLILMNKLQLGQFIYIDKVEAGTPVPVLVGVRPVPGRNPCIGNPKDLMNMLVFSDASDTADHLKNTSRSYELFEGEKESPKHRVDIKEEKNVVASRYMQGVLRSNVKSSGSESYSINDKIEENSSVIEPEKKTIPSRVKHETKRQVLQPKPTIPCIQNKTVNEKQENYVATLKDDAKPLKNMSVKSNPIIKKMSSSNTMSSSLSSNKRRVADAIPWDSLPASLINPGKGMVKRKEIAFLVAAEAQREAATAAALVKGLSIFADLRKSSMEEKLHVSLAKFFSLHQLLNQPNIAIEKDNLTGIPKQLAQEGEKLIMKTALPNSRRVLNAPNPAEASCGNEKITWSRGDGLKEIQELRANLRQESRSWFLSFLENALNSGFYAESRTKKGVKDRSGGHSKESDELIAVTLSQLKDASTWLDQLRKDAGTEADGLLGTIDRLKQKIYVCLLEHVESAASALEVRKKS >Ma01_p15230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11026734:11034100:-1 gene:Ma01_g15230 transcript:Ma01_t15230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLIKLLKNLNTDIKICGEYRSVLLQVISIVPALTGSELWPDHGFFIKVSDSSHSTYVSLSKDDNDLILMNKLQLGQFIYIDKVEAGTPVPVLVGVRPVPGRNPCIGNPKDLMNMLVFSDASDTADHLKNTSRSYELFEGEKESPKHRVDIKEEKNVVASRYMQGVLRSNVKSSGSESYSINDKIEENSSVIEPEKKTIPSRVKHETKRQVLQPKPTIPCIQNKTVNEKQENYVATLKDDAKPLKNMSVKSNPIIKKMSSSNTMSSSLSSNKRRVADAIPWDSLPASLINPGKGMVKRKEIAFLVAAEAQREAATAAALVKGLSIFADLRKSSMEEKLHVSLAKFFSLHQLLNQPNIAIEKDNLTGIPKQLAQEGEKLIMKTALPNSRRVLNAPNPAEASCGNEKITWSRGDGLKEIQELRANLRQESRSWFLSFLENALNSGFYAESRTKKGVKDRSGGHSKESDELIAVTLSQLKDASTWLDQLRKDAGTEADGLLGTIDRLKQKIYVCLLEHVESAASALEVRKKS >Ma00_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29916008:29916789:-1 gene:Ma00_g03590 transcript:Ma00_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGLISFIFKLRVAPKPCYSSWPWLSCKDPKTESFRDIDGDATYKPVNSAYFDSTESCSTRSSEEQESFCTALADSGGDSTETVVRGLRSDRLFFEPGGTSSIVDEAKDRELPFRGSVALAMESEDPYRDFRLSMEEMVVAHGMKDWRRLEELLLWYLRVNRRKTHGAIVGAFVDLLLTFASPSPPPSSASSSSSIQIEEMEEALGSVSS >Ma01_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21498995:21500413:-1 gene:Ma01_g21600 transcript:Ma01_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIIPLVSPPTSSHSILPPPRQRPAAQNPAPFPESPVSPSDQSTQPGSRGAEEPVAHPTPVAPLSDSAEGLWAQLRLVGRRLDEVQREVHRTRGDPGAEQHQGSPFTPEIQEQAIPPHFRLPSLDAYDGATDPADHVAAFRAQMTLYGTSDALMCRAFPTTLRGLARAWYSNLKTATIASFDQLARDFELNFLAQAKPKPSVAILLGLNQREDEPLSHFVNHFTTQIRGLSDAHPSLMVQAFMMGLRPTRFFWSLVERPPTSVPEMLQRANQYIAAEAWMVGKRDERKRVKPEQSQQQQPATSRRRAGGLNDAAPRSPPPGLNSSRTEIFLHIKEKGLLKDPYPMRSPRELADRSKYYRFHQQPGHDIEECRELKRQIEELIRRGHLGSYLRPDKELSPRPEGPIERHIDVITGGPASGGSSMAGGRAYARASRAEASKHEKGPEVTFPTGEPEPAEHDDALVISARIANAQ >Ma03_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16968896:16973138:-1 gene:Ma03_g15730 transcript:Ma03_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSSKGWTSAKVSRIASRVYFVLIIFQIPLFRIPCRSGMCTTPIQVTSSQLIANEIFPPSVVKALLYPGAVVQGLITNMALPSWSGLLDMYNLTEAKNAAPNVDLQRLEVLAGSYFSVAGALVGLINPGRMTLFGTLLIVWGLVKEGVLGKVANADLYVYPTILVALVCAFSSINYKANKAVMRGQAAAAIAKPLKSSAKSKLK >Ma02_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24784191:24785160:-1 gene:Ma02_g18210 transcript:Ma02_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKYWMWSRDLSEKPSIGSQIREAVPAVTSSYVHHATWEERAFAEDSAGHIGGYIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQSLSPTGDDSDHDRPHHSSQRPYMPSASPLSPRVSAASTPEVSTYALLSPSYSSSIVQENEPDSCLDPDLKLGSETLNLRDLSKDDEEHLTVKKRRTDLKCSSISMRSSMAVQQKLPCPVEELDLELRLGQKRKPVMVE >Ma05_p08140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6024576:6036613:1 gene:Ma05_g08140 transcript:Ma05_t08140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRHSTSQTQMSELDDERIWNHPQQDGLLNLANNVASNGVNPVTYFNPTLRANGIQSTSFSSEVPRYATTVTGTSHDPCMHLPSGGSTSQPTHHSVHHGSSYNQHTLREGSSIGNPLMDHERATYKRKNPGSSMPPDRGNRNGYYSAGSSSQCWHPYHVSFVHSHRNDNASSSQEGSQRNVRSRRGNAIHLEDISPWISSSSNGSHHNNSNANTLGAHMVGHWSHTPVSMQPNARVSSSEIGSFNHGINQSYVTSHATNNNLETDGLYRSNLTPFPTPGPSARGLAFGPSGYGQRTAYRANPSYPSMGLAPTPEDVGLPRMEPAVPPRYSTPVSIARQSNERSGRRNRYNRFQSFPNEENARVRQMEGVAMMGHPTLYDPMHMFDQHHGMRLDIDNMSYEELLALEERIGDVSTGLSEDAIRTSLSETIYCMSDRFQDGQDEDRCAICLEAYDDRDPLGQLSCKHTFHSSCITKWLSIKNVCPICKASALEDTPKGE >Ma05_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6024577:6036613:1 gene:Ma05_g08140 transcript:Ma05_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRHSTSQTQMSELDDERIWNHPQQDGLLNLGNGSFVFPANNVASNGVNPVTYFNPTLRANGIQSTSFSSEVPRYATTVTGTSHDPCMHLPSGGSTSQPTHHSVHHGSSYNQHTLREGSSIGNPLMDHERATYKRKNPGSSMPPDRGNRNGYYSAGSSSQCWHPYHVSFVHSHRNDNASSSQEGSQRNVRSRRGNAIHLEDISPWISSSSNGSHHNNSNANTLGAHMVGHWSHTPVSMQPNARVSSSEIGSFNHGINQSYVTSHATNNNLETDGLYRSNLTPFPTPGPSARGLAFGPSGYGQRTAYRANPSYPSMGLAPTPEDVGLPRMEPAVPPRYSTPVSIARQSNERSGRRNRYNRFQSFPNEENARVRQMEGVAMMGHPTLYDPMHMFDQHHGMRLDIDNMSYEELLALEERIGDVSTGLSEDAIRTSLSETIYCMSDRFQDGQDEDRCAICLEAYDDRDPLGQLSCKHTFHSSCITKWLSIKNVCPICKASALEDTPKGE >Ma11_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:278939:285856:1 gene:Ma11_g00400 transcript:Ma11_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGEFDAETCAIPLASLKSEAEPASQHRLCVTGDAMVGNRSWFGGVLYRIGNKRQSSNEKTIDFTLTPLQEARLQKLKERLNIPFDEARPDHQEALRALWHASFPRTELTGLVSEQWKDMGWQGPNPSTDFRGCGFVSLENLLFFVRTYPASFRRLLFKQEGNRATWEYPFAVAGVNISFMLTQMLELHSARPKSVPAINFLKVLSEDEEAFDVLYCIAFQMMDAQWLAMGASYMQFKQVLEATRIQLERELSLDDIHRIQDLPAYNLLYK >Ma03_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28162051:28166447:1 gene:Ma03_g23630 transcript:Ma03_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGENEAASADNHPAPQLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPIDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNDLNELSPHRLAEIRRFFEDYKKNENKEVAVNEFLPASTAREAIQHSMDLYAQYILQSLRR >Ma11_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24201909:24203039:-1 gene:Ma11_g19280 transcript:Ma11_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDTVADLELETTQGKIRIHDYVGDGYCIVFSHPADFTPVCTTELGMMAKYAPEFEKRGVKLLGLSCDDVESHKEWIKDVEAYTPGSLVTYPIAADPKREVIKQLNMVDPDEEDASGNQLPSRALHVVGPDKKVKLSMLYPASTGRNMDEVLRVVDSLQKAAKHKVATPVNWKPGDPVVISPSVSNEEAKQMFPQGFETTDLPSKKEYLRFTQA >Ma04_p09170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6532512:6537284:1 gene:Ma04_g09170 transcript:Ma04_t09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFHEQILADKLSKLNSTQQCIETLSHWCIFHRKHAEQVIQTWDKQFHGSQKEQKVPFLYLANDILQNSKRTGTEFVSEFWKVLPAALKDVLENGDEHGKNVVSRLVDIWEQRRVFGSRARGLKELMLGSEPPPTLELNKKRSRSSVKIIRRDSRSVKIKLSVGGTAEKIVTALHNVLSEHSSEELDLNKCKAAVRHVGKLEKDIESACNHEGDPRRESLANELQVEEATLNECVEKLKLIEANRAALVTHLKEALSEQESDLENVRTQLQLAQAMVDEAANMQRRLNNEPVLNSPPDKDPKKTAAAIAAEVADKLAASTHSQQIMTSVLSTFAAEEAKNAGLAASTTTTSNSFSAAPPDRRIKAEKPLPISDTTATAFIPVQPIVVPTPHQAQAVLLQQNPVQSQASAPQTQYSMYPVSTQQYLQHPGGVMIGLPYTYSALPPPPPPQPQMINMGRPSPSAQQQQPMALIQQPPPPPPLTMNQPMQITQQPPKFALQQPAPPSYRPLQQPGITFYHAQTQ >Ma04_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6532512:6537284:1 gene:Ma04_g09170 transcript:Ma04_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFHEQILADKLSKLNSTQQCIETLSHWCIFHRKHAEQVIQTWDKQFHGSQKEQKVPFLYLANDILQNSKRTGTEFVSEFWKVLPAALKDVLENGDEHGKNVVSRLVDIWEQRRVFGSRARGLKELMLGSEPPPTLELNKKRSRSSVKIIRRDSRSVKIKLSVGGTAEKIVTALHNVLSEHSSEELDLNKCKAAVRHVGKLEKDIESACNHEGDPRRESLANELQVEEATLNECVEKLKLIEANRAALVTHLKEALSEQESDLENVRTQLQLAQAMVDEAANMQRRLNNEPVVMTTKPPSTTEPRKPVLNSPPDKDPKKTAAAIAAEVADKLAASTHSQQIMTSVLSTFAAEEAKNAGLAASTTTTSNSFSAAPPDRRIKAEKPLPISDTTATAFIPVQPIVVPTPHQAQAVLLQQNPVQSQASAPQTQYSMYPVSTQQYLQHPGGVMIGLPYTYSALPPPPPPQPQMINMGRPSPSAQQQQPMALIQQPPPPPPLTMNQPMQITQQPPKFALQQPAPPSYRPLQQPGITFYHAQTQ >Ma07_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8728284:8728928:1 gene:Ma07_g11720 transcript:Ma07_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKSTSKKSSSSSGLKLFGFHVSEEEETGLRSDSSSSSTTTTVTATSPGGGDCADGRKYECQYCYREFANSQALGGHQNAHKKERQQLKRAQMMLQQQHDHLGGCFWPPTFVLPLRRNPGSAGWEYFSRAAPTFHASRACAFPFSSALRSQPVHPSAFLYSAAGSGPRISEESANSFGRFPVTAPVAADADSAKSGDSFGLDLQLRLAPTGS >Ma05_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3277824:3282657:1 gene:Ma05_g04300 transcript:Ma05_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWSGPSLSLFLISLLPLLAAADRQALDRISALPGQPRVSFAQFAGYVTVNQERGRALFYWLTEAVTDAAKKPLVLWLNGGPGCSSVAYGASEEIGPFRIDRTGSSLYLNKFSWNREANLLFLESPAGVGFSYANVSSDLQSVGDERTAQDALAFLIRWMSRFPEYKHREFYISGESYAGHYVPQLARKIVEYNKASSRPFINLRGILVGNAVTDNYYDSLGTVFYWWTHSMISDSTYQSILSSCDFRSPRSSRKCDHVVNYAVNHEFGDIDQYSIYTPSCAAPNATDVQRLRFKNSLIHRRPAGYDPCVEKRAEKYYNRPDVQKAMHANTSGIPYRWTACSDILIKTWADADISMLPTYKELIKAGLRVWMFSGDTDSVVPVTATRFAISHLGLKTKIPWYPWYSRRQVAGWTEVYEGMTFASVRGAGHEVPLLQPSRALQLFQSFLAGKPLPKS >Ma07_p28820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34730684:34740836:-1 gene:Ma07_g28820 transcript:Ma07_t28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPL4 [Source:Projected from Arabidopsis thaliana (AT5G58003) UniProtKB/TrEMBL;Acc:A0A178UQH1] MSLAAESPLQSSSSSEDFAALLDAELELASSDTSPNEEEAENDDENDLQEPRTKRRKVEDFESLEDLETPTTVETNQEHIGTSAVGKNDICPPHPGFFKGLCMRCGQLEEDDGSGVAFGYIHKDLKLGTREIERLRGADHKKLLREKKLVLILDLDHTLLNSTRLADISSEEEYLLRQVDSMKDDPDRSLFKLDSMHMLTKLRPFVHNFLKEASSFFEMYVYTMAERSYAMEIVKLLDPGKVYFDSKVITQADCTQRHQKGLDVVLGAESIVVILDDTEAVWHRHKENLIQMERYHFFASSCRQFGFGAKSLSELMKDERESDGALATVLNVLKRAHQMFFDPVVGPDTSRDVRQVLKGIRHEILQGCKIVFSRVFPSKSPAQDQPIWKMAERLGATCCAEVDPSVTHVVSMDTGTQKSRWALQNEKFLVSPYWIEATNFLWQRQKEDDFPISNLRSRNA >Ma05_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6482096:6482991:-1 gene:Ma05_g08810 transcript:Ma05_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRIEFGSVASLLLMGVFFFCIWPPSPAFAYGGNSTAENAKDSAKIAAEKAEVKASKAAQDIRQTSESCADWAGGKIAEGLGTMQENAKVASEKTKDKAGDIAMKAKDTMYGAASEAAEHTSHEARQAKEAVKNKAGEAKDNIADMAGDQATAADDAKRAYEGAKQKVAESYATAKDTMAGGAREKYETAKERASQVSGEVGAKMREMAREL >Ma03_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:371237:372452:1 gene:Ma03_g00410 transcript:Ma03_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLCGRTLAGASHPVAAPLGLRGLCSRSERPQLIDVELQAEDFPPEMEVLGMRRLEDVIHAIVVRRSAPYWLPFLPGSSYWVPPRNRHRGVAELVSRLANPMTAEEIMSFTTDRGWPSSAYFVEG >Ma05_p25420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37369898:37370322:-1 gene:Ma05_g25420 transcript:Ma05_t25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSHLLPQREMTAGEFRAWLRQFDADRDGRISREELQRALQSLHTWFAWWKARAAMKEADVNRNGVIEEEEMGRLIAYANEHLRMKIYEYDSS >Ma07_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29842325:29842705:1 gene:Ma07_g21780 transcript:Ma07_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNDDAFSTLATLPNLVRLDLSDDAFMEGVLELSKGGFPRLRLLLFDALSKSTEWRVEEGTMPCLGELRLCGCRNMRTLLEGLRGLTKDRLVILGMDVIKGRIEKDIGEDYHKIQHVHCIETDSV >Ma06_p14040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9604754:9608587:-1 gene:Ma06_g14040 transcript:Ma06_t14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKILMVDENDRGDLVKDCKPEDILCSEIVMDENGVLWYVDGNDGFEGEKGEGSNVVFAREAPLSTKDPLTSKDYSCGTNKFRLMESEIRRKDKNKQEKKLSRKDRIELGRLFQGALSSQDLELAENFILLADPQTLNDMLCITLDSIWFLTTKQELNGVTGMIKKIVANGANDFTRATLRTSFLASCVSACQSKTMSLADTVGIMAQRLHERLRECHGDEVLKAEAGVKVQKFTDWALKCIGIHSRSRKDRDRRSYSTIFEVQLQLSAFKSFLDLAGNSLTGKDFTEAFDAACFPLTLFSSSFDPGWAFGISATVIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVELALGFASHHCKIGTMECLVEEGNAGAFLGPLLRAAERGCMQVVQWFVDRGCRDMELCIALTASVASSQVGIAAYLLPRIPEHVLAALSIEILKAAAERSSGSLAGVEFLLRNNFLGDPVATYAVADSIAESSDEAVAPDLRAFLKEHWSEAAFAEGLNYGQNHFVNFMRILRRGGSPICLRDLPPSLVTAIAYLSLYRECRKAGGLLLPQKLRGQLVEAASKVAGRPVDKNSQAGDLMAVLEHHLPTFFLQSPTTTSPPL >Ma06_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9604754:9608601:-1 gene:Ma06_g14040 transcript:Ma06_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKILMVDENDRGDLVKDCKPEDILCSEIVMDENGVLWYVDGNDGFEGEKGEGSNVVFAREAPLSTKDPLTSKDYSCGTNKFRLMESEIRRKDKNKQEKKLSRKDRIELGRLFQGALSSQDLELAENFILLADPQTLNDMLCITLDSIWFLTTKQELNGVTGMIKKIVANGANDFTRATLRTSFLASCVSACQSKTMSLADTVGIMAQRLHERLRECHGDEVLKAEAGVKVQKFTDWALKCIGIHSRSRKDRDRRSYSTIFEVQLQLSAFKSFLDLAGNSLTGKDFTEAFDAACFPLTLFSSSFDPGWAFGISATVIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVELALGFASHHCKIGTMECLVEEGNAGAFLGPLLRAAERGCMQVVQWFVDRGCRDMELCIALTASVASSQVGIAAYLLPRIPEHVLAALSIEILKAAAERSSGSLAGVEFLLRNNFLGDPVATYAVADSIAESSDEAVAPDLRAFLKEHWSEAAFAEGLNYGQNHFVNFMRILRRGGSPICLRDLPPSLVTAIAYLSLYRECRKAGGLLLPQKLRGQLVEAASKVAGRPVDKNSQAGDLMAVLEHHLPTFFLQSPTTTSPPL >Ma03_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:20634661:20640138:-1 gene:Ma03_g16800 transcript:Ma03_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGGVPSTAAAEGAGIYQPPPPPPSASPAATVVRDEKSACPVGVTATVMTAAASTAAAVVVGPAAATPVAEPMKKKRGRPRKYGPDGRLLQPLNPLPISASVPSGVEYTPAAAVGAAIKRGRGGQLGMLYLAEKANVLKPLFSFQRLGCNHLLYSLLLSELCDAGDMVACSAGANFTPHIITVASGEDVTMKIISFSQQGSRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENGGTRSRSGGLSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFVPSYQMEQKIKKPRSETGLVPPPTSAIPVSSAAMEEACGSAQGKHTSSTSKPDPSTASSFQAESWAASLQSAPGDRNSTDINISLTGS >Ma01_p02350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1579062:1582942:1 gene:Ma01_g02350 transcript:Ma01_t02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGLWRSRQKDTTRVATVRRHSRGEEMAASAKTLAELLRPLSPSTKIQISKSSFSARTPEQKKTTETNKALARSKRDLRICTDIIEKSRAEKMEYAKLEELLVEETWLEALEGELQKPYAKNLCRFVEREMRGSVPIYPPPHLIFDALHRTPFHQVKAVIIGQDPYHGPGQAMGLAFSVPEGIKVPSSLVNIFKELREDVGCSLPSHGNLERWAVQGVLLLNAVLTVRKHQANSHAKKGWEPFTDAIIQTISQKKTGVVFLLWGNSAQAKSRLIDESKHHILRAAHPSGLSANRGFFGCRHFSQTNQILEKLNLSPIDWQL >Ma01_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1579062:1582942:1 gene:Ma01_g02350 transcript:Ma01_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGLWRSRQKDTTRVATVRRHSRGEEMAASAKTLAELLRPLSPSTKIQISKSSFSARTPEQKKTTETNKALARSKRDLRICTDIIEKSRDSAEKMEYAKLEELLVEETWLEALEGELQKPYAKNLCRFVEREMRGSVPIYPPPHLIFDALHRTPFHQVKAVIIGQDPYHGPGQAMGLAFSVPEGIKVPSSLVNIFKELREDVGCSLPSHGNLERWAVQGVLLLNAVLTVRKHQANSHAKKGWEPFTDAIIQTISQKKTGVVFLLWGNSAQAKSRLIDESKHHILRAAHPSGLSANRGFFGCRHFSQTNQILEKLNLSPIDWQL >Ma01_p02350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1579062:1581902:1 gene:Ma01_g02350 transcript:Ma01_t02350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGLWRSRQKDTTRVATVRRHSRGEEMAASAKTLAELLRPLSPSTKIQISKSSFSARTPEQKKTTETNKALARSKRDLRICTDIIEKSRDSAEKMEYAKLEELLVEETWLEALEGELQKPYAKNLCRFVEREMRGSVPIYPPPHLIFDALHRTPFHQVKAVIIGQDPYHGPGQAMGLAFSVPEGIKVPSSLVNIFKELREDVGCSLPSHGNLERWAVQLGNIRLIHMLKKDGSRLLMLLFKQFHRRKQELFSSSGGILRKQNQD >Ma06_p33740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34257606:34259574:1 gene:Ma06_g33740 transcript:Ma06_t33740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSQIRAGRSRFPDQFDRIPDPLILVIFNKLADARSLGRCAAVSRRFRSLVPLVDDVYVKIDRVVTVDGDSCRSLTLSFPRPRKCFSHFLKLMLFAILRPIRNLHNPHCGGKRFLPQVSHRSPAQVLKNFRHVRRLRIELPAGDVRTEDGVLLRWRAEFGSTLESCVILGGTPTDRLPPSSGRAGKAEDDGSMPESFYTNGGLKLRVVWAIGTLIAASTRHYLLQEIIEDHPTLESLVLTDADEQGTLSMGIEQLKEFREKPLVASASSTRTHLPASNMKLRYAPYLELPEGMAMQGATLVAISPATDDTDGNSGREECDSFIRGAFEGPLDAAVKSLVERRIYLMEINGF >Ma06_p33740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34257606:34259574:1 gene:Ma06_g33740 transcript:Ma06_t33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSQIRAGRSRFPDQFDRIPDPLILVIFNKLADARSLGRCAAVSRRFRSLVPLVDDVYVKIDRVVTVDGDSCRSLTLSFPRPRKCFSHFLKLMLFAILRPIRNLHNPHCGGKRFLPQVSHRSPAQVLKNFRHVRRLRIELPAGDVRTEDGVLLRWRAEFGSTLESCVILGGTPTDRLPPSSGRAGKAEDDGSMPESFYTNGGLKLRVVWAIGTLIAASTRHYLLQEIIEDHPTLESLVLTDADEQGTLSMGIEQLKEFREKPLVASASSTRTHLPASNMKLRYAPYLELPEGMAMQGATLVAISPATDDTDGNSGREECDSFIRGAFEGPLDAAVKSLVERRIYLMEINGF >Ma11_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2123402:2124946:1 gene:Ma11_g02870 transcript:Ma11_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEVTRRYLFLAPHHAKYSRPPYNSPVRVAPFCVGDLPTWIPITVSSAAFPFPSLDHFSSSPNRYLHPSLPLLLLVIRICSLWLLQGMKKIVLKLDLHDDKEKQKAMKTVSTLQGIDSIAMDMKEKKMTVIGSVDPVSVVSKLRKFWHTNLVSVGPAKEEKKEEPKKEEPKKEEPKKEEAKEEPKKEEPKKAEEKKEEPKKEEKKEEKEEKKEEPKKEEKKEPHDQMIADMVRAYKAYNPHMTTYYYMHSAEENPNSCSIL >Ma05_p27350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38679464:38680604:-1 gene:Ma05_g27350 transcript:Ma05_t27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDWGPVIVAVILFILLSPGLLFQLPARSRVVEFGNMSTSGISILVHSILFFCLLTILVIAIGIHIHAA >Ma05_p28060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39147150:39154698:1 gene:Ma05_g28060 transcript:Ma05_t28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFHNRRALHVPFFPLPSASPPAASPFPKYPFSSASSSSSSTSQQQHGFFPSYPAPPATTQPTFPANLSSLIFPSSSSAPPRHAPASQLLPAVLLPLLALAALAAAAAFFIHRRLRPSRGDDARSDSLRLFPPCSAASDRKPPAAPDFLYLGTLVDSRGHYHRPSSSSAASEPAPRSPPLQKAGSPELRPLALLSRQVQQGCENADGGGSPAEEFYSPDGPSSPGNASPPAEAGKRASGSSTLSTGSYPLSNSVSSPSPSSPTIPSPPIGYSPAHSSGRSLKSKSGRDFVVGGVGFQDPLQPPPPPPPPPPLTPSPPKRRPASPSPPFSPTKKESGEKVANLDFSGPKTPPLRFGGFSRNPFAVSPPPPQRQAPAPPPPPPPPPPPPPPPPPYGYWESRIKKPVDLQPSDLVLPKLGSVKDPSSLEESSKNVVGKSEETPRPKLKPLLWDKVRASSDRAMVWDQLKSSSFQVNEEMIETLFISNATNTAPKEMNRRQVLPSLTQENRVLDPKKSQNIAILLRALNVTKEEVCEALLEGNADSLGNELLETLLKMAPSKEEELKLREYKDDSPVKLGPAEKFLRAVLEIPFAFKRVDAMLYIANFDLEINYLKKSFETLEAACEELRSSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRAEGSRLSGTKHLTAENLNTLQDDLECKKLGLQVVAGLGGELSNVKKAAAMDSDVLCSYVSKLSGGIGKITEVIRLNEPLQSNESFQRFNDAMNGFLKKAETEIVKLQAQESLALSLVRELTEYFHGDSAKEEAHPFRIFMVVRDFLAILDQVCKEVGRVNDHSFITSTKQQPAPVNRTLPPLFPRLHALRSESSDEES >Ma10_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1408815:1415930:1 gene:Ma10_g00180 transcript:Ma10_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYRLGSVRGSTRESFGGSMRGSIRRTVSSWRASSTDVFGRSGREEDDEEALKWAALEKLPTYDRMRKGMMTTGEAGGRQEVDIQDLGIQDRKKLLERLVRTAEEDNERFLLKLRNRMERVGIDNPTIEVRFEHLNVDAEAYVGNRGVPTFVNFFYNKIMGVLSYLHILPSGKQPLSILHDISGIIRPCRMTLLLGPPGSGKTTLLLALAGKLDSTLRVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLKELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYELFDDIVLLSDGQIVYQGPRENVLQFFEAMGFKCPERKGAADFLQEVTSRKDQHQYWANKDEPYRYISVNEFAEAFQSFHTGCKLGEELSIPFDRRRNHPAALTTTTYGISKMELLKTCISREWLLMKRNSFVYIFKVVQLIILGAIAMTVFLRTKMHRNSVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLRFYPSWAYALPTWILKIPISFLECAVWIGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAAVGREMVVADTFGSFAQLVLLILGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWQKVIPTGSNDTLGVQILKKRGIFVDSNWYWIGVGALLGYIFMFNILFVFFLDWLDPLGKGQAVISEEALREKQANRTGEGVEPSLAGTNSPKHGTTKGREGGRARQNEISTQNKRKGMMLPFAPLSITFDNVRYSVDMPQEMKDKGIEDDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNICISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPPEVDSETRKMFIEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCHLIKYFEGIEGVRKIRDGYNPATWMLEVTTLAQEEILGVDFAEIYRNSDLYRRNKTLISELSAPPPGSKDLFFPTKYSQSFLTQCMACLWKQHKSYWRNPSYTATRIFFTTVIAFIFGTIFWRLGKKVTTSQDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFSQVLIEIPHIFLQTVIYGLIVYSLIGFDWTVEKFFWYLFFMFFTFMYFTYYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLVPRPKIPVWWRWYSWACPVAWTLYGLVASQFGDYTYKMDNDETVQDFIRRFFGFRHDFLGVVAVAVVGFTVLFAFVFAFSIRVFNFQRR >Ma03_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26540649:26540789:-1 gene:Ma03_g21550 transcript:Ma03_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCFVRCLACVSVRLVTTVFFLGEGTKSFQRMEAFFYPFFFLFGI >Ma09_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26541653:26542038:-1 gene:Ma09_g19830 transcript:Ma09_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQASESAAAVIQHPDGRLETAYWPLSASQVMASNPGHYVAVITTVTHSSSSSSSSSTSSSDRRSSSRGGSGNSVRYLKLLRPEDTLLVGHFYRLVSFEGQ >Ma05_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19155626:19155700:-1 gene:Ma05_g16980 transcript:Ma05_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRKCEKPRTTYRKEGLVVARRG >Ma10_p26130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33976045:33977202:1 gene:Ma10_g26130 transcript:Ma10_t26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CUP-SHAPED COTYLEDON 2 [Source:Projected from Arabidopsis thaliana (AT5G53950) UniProtKB/Swiss-Prot;Acc:O04017] MTMFGNHFHNIEPQLPPGFRFHPTDEELIAHYLLQKALDSSFTSRAIAEVDLHKCEPWELPEKAKMGEEEWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDKEIYSSRTKSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGRFAFHFLCGSSKDEWVISRVFKKCGTGSGGKKNRLALAGEGSYSDSTISAGSPSCGSLASHPDMPRFPAAATLLDQDSCFHESSEGEPVPCYSTAGPSFRLPFPHTTATLPACGALFPSLRAMQPGLQLPFYLSGLAPMPLGGSGGLDARSNHERGCPRSDLDGKAVSAARGHRMPLGASELDCIWTS >Ma11_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11766892:11801906:1 gene:Ma11_g11110 transcript:Ma11_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPLSLRRFCCCCSALEAAAAAAATVTTTKRNLVFLGSPQVSASVLDALLDSSQAPNSIFQLAAIVTQPPSGRGRGRKLMPSPVAQHAIDRGFPQDRILTPERAGEETFLSDLRALNPELCVTAAYGNILPNKFLEIPPCGTVNIHPSLLPLYRGAAPVQRALQDGIAETGVSLAYTVRELDSGPVIAYERVVVDDIIKAPELLATLFNIGSTILLRKLPSIFDGTARLKAQPQDHTKATMAPKLSNDESWLSFDQEAKVIHNKVRAFAGWPGTRAKFQVIDMNGHPNVLEIKIITTRVSDASGSKESRDEITFVGSALLVPCAGHSWLEILELQPPGKKAMSACDFWNGLRGQKLKLL >Ma11_p11110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11766892:11800971:1 gene:Ma11_g11110 transcript:Ma11_t11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPLSLRRFCCCCSALEAAAAAAATVTTTKRNLVFLGSPQVSASVLDALLDSSQAPNSIFQLAAIVTQPPSGRGRGRKLMPSPVAQHAIDRGFPQDRILTPERAGEETFLSDLRALNPELCVTAAYGNILPNKFLEIPPCGTVNIHPSLLPLYRGAAPVQRALQDGIAETGVSLAYTVRELDSGPVIAYERVVVDDIIKAPELLATLFNIGSTILLRKLPSIFDGTARLKAQPQDHTKATMAPKLSNDESWLSFDQEAKVIHNKVRAFAGWPGTRAKFQVIDMNGHPNVLEIKIITTRVSDASGSKESRDEITFVGSALLVPCAGHSWLEILELQPPGKKAMSACDFWNGLRGQKLKLL >Ma00_p04980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43497190:43500476:1 gene:Ma00_g04980 transcript:Ma00_t04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNITAILSPPPAPRSTLETRPTDASTAPATVATPFPPDHACSLWRSRVGSALRTALALTMIGVATVYGPATLRSYVMFPAFSYVVAMLIVGEATLGDSLHGTASAFYGTLLGVLPAMLTLLLLPAQGFSIAGTTLTVSLSAFVVALPESTSLITKRIALGQIVIIYVTTFGHNAHSGHAKAILHLAQVAASTALGVVASVIALLFPYPRLASCEAALKWERPPLSFSSTPPVEPSERLQEIETPLKGMEMAISSVTAVHFSDHQFKRDMISLRNLINLRLIQDSYMDPCEIVDKTTLPPLPTLPHDPKDLPSFFFLFCMFLLHNRSLAPLFVEAGQESKIMPTTDQTENSCKEEPGTKRIKIPWYTSLRRERLVVALKCSLSLGLAVLFGLVYSKENGFWSGLTVAITMTPWREATFRLANVRAQGTAIGSVYGVLGSLISQNLMELRFLVLLPWITFASFLQRSRMYGPAGGIAAMFSALVILGRRNYGSPTAFAIARLTETFIGFSCSAFVELLLQPVRASTLAREQLSQSLKMLNECVESLVPCIGSMAPKEKEKKLRVKVTALRKYVQEAEAEPNFWFLPFPVACYKKLCASMTKMVDLLHFLAKSTVLLTEYSHGLADVCDDIPDSIVGEHLVHLKKLICSSAKCFAEALLVKSFARLEKEWKRKHIPDVETGKVEGPYVDGVMGADGEDCQKVIASFLQQANELMDRLDMSIDESLKGQLVLCLATVGFCMEGLMKETRELEMQILELVQRDNPGAHINLYAIRCKVKEIST >Ma00_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43497190:43500476:1 gene:Ma00_g04980 transcript:Ma00_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNITAILSPPPAPRSTLETRPTDASTAPATVATPFPPDHACSLWRSRVGSALRTALALTMIGVATVYGPATLRSYVMFPAFSYVVAMLIVGEATLGDSLHGTASAFYGTLLGVLPAMLTLLLLPAQGFSIAGTTLTVSLSAFVVALPESTSLITKRIALGQIVIIYVTTFGHNAHSGHAKAILHLAQVAASTALGVVASVIALLFPYPRLASCEISKKSKLYREMVTVRLSLLVNAFFVDNKSCTVSLISQVRCLTSASTKVLQNINLKQAALKWERPPLSFSSTPPVEPSERLQEIETPLKGMEMAISSVTAVHFSDHQFKRDMISLRNLINLRLIQDSYMDPCEIVDKTTLPPLPTLPHDPKDLPSFFFLFCMFLLHNRSLAPLFVEAGQESKIMPTTDQTENSCKEEPGTKRIKIPWYTSLRRERLVVALKCSLSLGLAVLFGLVYSKENGFWSGLTVAITMTPWREATFRLANVRAQGTAIGSVYGVLGSLISQNLMELRFLVLLPWITFASFLQRSRMYGPAGGIAAMFSALVILGRRNYGSPTAFAIARLTETFIGFSCSAFVELLLQPVRASTLAREQLSQSLKMLNECVESLVPCIGSMAPKEKEKKLRVKVTALRKYVQEAEAEPNFWFLPFPVACYKKLCASMTKMVDLLHFLAKSTVLLTEYSHGLADVCDDIPDSIVGEHLVHLKKLICSSAKCFAEALLVKSFARLEKEWKRKHIPDVETGKVEGPYVDGVMGADGEDCQKVIASFLQQANELMDRLDMSIDESLKGQLVLCLATVGFCMEGLMKETRELEMQILELVQRDNPGAHINLYAIRCKVKEIST >Ma02_p07160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17951805:17962557:1 gene:Ma02_g07160 transcript:Ma02_t07160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPANSPPKHRHDGTSPLPLGMDWSPPPKRWDGRNTIWPHNPQTGWSYCAMIPSWVVQTEPGTSQESFLNPIVFYRIHVGIQSPEGVSTSHGLLRRFSDFLMLYSALKKTFPRKDIPSAPPKHAFLRINSSRMLLEERRQALEEWMGKLLSDIDLSRSAPAAGFLELETAARLSFQNENCQLEEPSSPANATAVAPSVPARPLLSASVADYSAVPSISHTVASDICSENIDEISELGTPKKGKTQVSEASTEPLALVHDLVSSTIAIGDDLMGESSLEHPEDFIMSKLNHGQEYHALERQTIGGSSSRDRIESISDQNHDKLYGHSRKLSAESIGSDSSSIRGSEVSAAGVTNSIWEVSLDVPDGAEIPNIMDALPHLNTQVLNKAQIVLPIDQRLKLNRVIVNMQQRLVTARTDMEDLIARLNQEMAVKEYLTTKVKDLEVELEATEQKGTENLQQAILIEKERVTQMQWDMDELHRKCTEMEAKLTSEQNEKNHAESEKTTASDETELLLQEVHCKEEELQNMQKCLAELEMKSKADIKVLVKEVKSLRKSQAELREVLNQSLKEKTDLEVVLHREKQRWSNAKSANEKLLHECRVLHDRLQECNVNFLMDEDDKFTISSSPDDALDLLATSDDRIGLLLAEAQLLARDDEESLLNVVDARTSESSQPLVAENGDISISTDNQIRKMLTDMFIDNVRLRKQVNSAIRCALNTVIKPEESEESHEVPSRNTVLNRFL >Ma02_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17951805:17962557:1 gene:Ma02_g07160 transcript:Ma02_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANSPPKHRHDGTSPLPLGMDWSPPPKRWDGRNTIWPHNPQTGWSYCAMIPSWVVQTEPGTSQESFLNPIVFYRIHVGIQSPEGVSTSHGLLRRFSDFLMLYSALKKTFPRKDIPSAPPKHAFLRINSSRMLLEERRQALEEWMGKLLSDIDLSRSAPAAGFLELETAARLSFQNENCQLEEPSSPANATAVAPSVPARPLLSASVADYSAVPSISHTVASDICSENIDEISELGTPKKGKTQVSEASTEPLALVHDLVSSTIAIGDDLMGESSLEHPEDFIMSKLNHGQEYHALERQTIGGSSSRDRIESISDQNHDKLYGHSRKLSAESIGSDSSSIRGSEVSAAGVTNSIWEVSLDVPDGAEIPNIMDALPHLNTQVLNKAQIVLPIDQRLKLNRVIVNMQQRLVTARTDMEDLIARLNQEMAVKEYLTTKVKDLEVELEATEQKGTENLQQAILIEKERVTQMQWDMDELHRKCTEMEAKLTSEQNHAESEKTTASDETELLLQEVHCKEEELQNMQKCLAELEMKSKADIKVLVKEVKSLRKSQAELREVLNQSLKEKTDLEVVLHREKQRWSNAKSANEKLLHECRVLHDRLQECNVNFLMDEDDKFTISSSPDDALDLLATSDDRIGLLLAEAQLLARDDEESLLNVVDARTSESSQPLVAENGDISISTDNQIRKMLTDMFIDNVRLRKQVNSAIRCALNTVIKPEESEESHEVPSRNTVLNRFL >Ma02_p07160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17951995:17962557:1 gene:Ma02_g07160 transcript:Ma02_t07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSWVVQTEPGTSQESFLNPIVFYRIHVGIQSPEGVSTSHGLLRRFSDFLMLYSALKKTFPRKDIPSAPPKHAFLRINSSRMLLEERRQALEEWMGKLLSDIDLSRSAPAAGFLELETAARLSFQNENCQLEEPSSPANATAVAPSVPARPLLSASVADYSAVPSISHTVASDICSENIDEISELGTPKKGKTQVSEASTEPLALVHDLVSSTIAIGDDLMGESSLEHPEDFIMSKLNHGQEYHALERQTIGGSSSRDRIESISDQNHDKLYGHSRKLSAESIGSDSSSIRGSEVSAAGVTNSIWEVSLDVPDGAEIPNIMDALPHLNTQVLNKAQIVLPIDQRLKLNRVIVNMQQRLVTARTDMEDLIARLNQEMAVKEYLTTKVKDLEVELEATEQKGTENLQQAILIEKERVTQMQWDMDELHRKCTEMEAKLTSEQNEKNHAESEKTTASDETELLLQEVHCKEEELQNMQKCLAELEMKSKADIKVLVKEVKSLRKSQAELREVLNQSLKEKTDLEVVLHREKQRWSNAKSANEKLLHECRVLHDRLQECNVNFLMDEDDKFTISSSPDDALDLLATSDDRIGLLLAEAQLLARDDEESLLNVVDARTSESSQPLVAENGDISISTDNQIRKMLTDMFIDNVRLRKQVNSAIRCALNTVIKPEESEESHEVPSRNTVLNRFL >Ma05_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1243201:1245942:-1 gene:Ma05_g01970 transcript:Ma05_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHSRFVAICLIVFAIGATGAVISSETLTSAAPKAISELRDLIVKGLGFQSEDLKVSGFDVRDALVGQAVAYEFDVEVEKRVIPIRLLEDVSRWDFVDLPIFRMAKEEEEEEEKGLAEIGRGSDDRVSPVLPPFQLAGPMELWIQDGDDMRLSLPHDVEAGALKKVILSDGAAVTVKGAKSISLRHPIDLPLPLNRSHPKNRPVASALLSIAEALRHASRSNQKPLLSLRIVGPTSLTSSPSASPNDKLKLKRLAPGLVELSSRSVPEPSDEVDGSRRTTLWPLTSLNGSDPSLHGFEELLASVLGKKGYEEGSFRLVKAQVSAQTYVKMGFAVEKKLLDREGEVDWSVFPAWKTKPEKAIMHFEVLARVEDNGRVVPERIAEIQPFEIQESIIDSLQTGNTSMSKAQIVHPPPMYFTL >Ma06_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7668587:7678114:1 gene:Ma06_g10950 transcript:Ma06_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSAAGIRLRLALFLIFSSFSIPSESAVSSIDLGSEWMKVAVVNLKPGQSPISIAINEMSKRKSPALVAFHGGNRFVGEEAAGIVARYPDKVYSLVRDMIGKSYKHAKDLANSLYLPYDLIEDTRGAAGIRVDDGVTVYTAEELLAMILSYGMSLAKSHARVPVKDAVIAVPPYFGQAERRGVLQAAHLAGINVLSLINEHAGAALQYGLDKDFSNESRHVILYDMGSSSTYAALVYFSAYNTKEIGKTKSVNQFLVKDVRWDAKLGGQDMEMRLVEYFADEFNKQLGNGIDVRKSPKAMAKLKKQVKRTKEILSANTVAPVSVESLFEDLDFRSTISREKFEELCADLWERVLVPVKEVLRHSSLKIDEIYAVELIGGATRVPKLQAKLQEFLGRNYLDKHLDADEAIVLGSSLHAANLSDGIKLNRKLGMIDGSSYGFLLELDGPDLLKDENTNMLLIPRMKKMPIKLFRSIKHNKDFEASLSYDKVNELPPGVSTYIFAQYSVLGLTEASEKYVARNLSAPIKANLHFSLSRSGVLSLDRAEAVIEISEWVEVPKKNTTLENNATNSFNVSTETSPGNSSQDNAENLNSADSTNGSSNSTKGEQASDIITEKVLKKKTFRVPLKVLEKTTGPGSVLSEESISEAKIKLEALDKKDAERRITAELKNSLEEYIYSTREKIEDNNEVEKISSEEERYSFVEKLTEVQEWLYTDGEDASSGEFKERLELLKAIGDPIFFRLNELTARPLACEHAQLYLGELQKIVNNWETNKPWLPKTRIEEVLSEAEKLKNWLVEVEELQKKASLLSTPIFTSDEVYQKVSKLQDKVASVNRIPKPKPKPEKPPKEEPANHDNSTSTSNSTSGEQTSETGHATQDSSSTTADQENVVHAEL >Ma09_p26440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37724196:37724389:1 gene:Ma09_g26440 transcript:Ma09_t26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYYSTKANGRIMMWWKCQMELWFRTTRWQGEWG >Ma01_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:693740:694469:-1 gene:Ma01_g00980 transcript:Ma01_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWSCPYLIHCIILNEQSSRRSVPILRLNAKLTTNNLDAAINNI >Ma10_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4944860:4945003:-1 gene:Ma10_g01620 transcript:Ma10_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQELLLLLLGVLDMSLLTIELYCVKFARSHRLTSLWLNKPSDRSK >Ma04_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4595020:4602941:-1 gene:Ma04_g06250 transcript:Ma04_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRACLGLMILLVFSSTISTALFEDQVGLADWHQKYIGKVKHAIFHTQKTGRKRVVVSTEENVVASLDLRTGDIFWRHVLGKIDRIDQVDITLGKYVVTLSSGGSILRAWNLPDGQMIWESILQVSAPSKSLLHVPANMNVGKDNLILVFGGSSIHALSSTDGQIVWRKELSTNSLEIQQVFQPHDSDIIYALGFIGSSEFVAYYVNYKTGEVLQQSKASFKSGFCGEASLASDDVVVALDASRTYLVSISFRNGVINFQQIYIRDLIPEFSGKATLLPSKYNGILAVDIASSIVLLRVKGVNELEIIEKINYPSAISDALPLSKEQQAFAILQHDESRIHFKVKFDTDLTNEVLKETIQMDGQRGNIEKVFINNYMRTDRTHGFRVLVVMEDHSLLLVQQGEIVWSREDGLASIVDSTTSELPVEKEGVSVAEVEHSLFEWLKGHFLKLKGTLMLASPDEVAAIQAIRLKSFEKNKMTRDHNGFRKLIIVLTRAGKVLALHTGDGRVVWSVLLPVLRKSETCGNPFALNVYQWQVPHHHAMHENPSVLVVGRCGHNFDAPGIFSIVDAYNGKVHNSLVLEHSVRHIMPLPLTDSTEQNLHIVIDDNLHAHLYPKTSDSVKIFLDEMPNIYWYSVEVGKNIIKGYSLEIKGELDMAENVFQPKELWSIVFPSESETIALTATRKMNEIVHTQAKIVADQDVMYKYISTNILFVATVAPKASGEIGSATPEEAWLYAYLIDTVSGRILHRVSHQGAQGPIHAVVSENWVVYHYFNLRAHRHEMSVIEIYDQSREDNKDVWKLVLGKHNLTAPISSYSKPEVTVKSQSYFFTHSVKSLAVTVTAKGITSKQLLIGTIGDQVLALDKRFLDPRRSVNPTQSEKEEGIIPLTDSLPIVPQSYVTHALQVEGLRGIVTTPAKLESTTLVFSYGVDLFFTRIAPSRTYDSLTEDFSYALLLLTIVALVAALLVTWALSEKKELREKWR >Ma10_p07430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21829604:21836624:1 gene:Ma10_g07430 transcript:Ma10_t07430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILPIKKRTLITRRIIKNGAQESLKKKLVLEDDFAWGVPSQGLASGDGSTREKLKYIGGVDISFLKKDPSVSCGALVVLDADTMDVVHEEFEVTRLQVPYVPGFLAFREAPMLLGLLNKMKANAHPFYPQLLMVDGNGLLHPRGLGLACHLGILAHLPTIGIGKNLHHVDGLTQSAVRQSLEARENYDKDLIFLTGKSGKTWGVAMRSILGSSKPIYVSIGHRISLDSAISIVKTCCKYRIPEPIRQVISWTPSPSIYQRHQEDMKTYLRFERTLSNMKA >Ma10_p07430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21829589:21836624:1 gene:Ma10_g07430 transcript:Ma10_t07430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSDQEKDVNYSSYHQEWVEAQESLKKKLVLEDDFAWGVPSQGLASGDGSTREKLKYIGGVDISFLKKDPSVSCGALVVLDADTMDVVHEEFEVTRLQVPYVPGFLAFREAPMLLGLLNKMKANAHPFYPQLLMVDGNGLLHPRGLGLACHLGILAHLPTIGIGKNLHHVDGLTQSAVRQSLEARENYDKDLIFLTGKSGKTWGVAMRSILGSSKPIYVSIGHRISLDSAISIVKTCCKYRIPEPIRQVISWTPSPSIYQRHQEDMKTYLRFERTLSNMKA >Ma10_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21829589:21835104:1 gene:Ma10_g07430 transcript:Ma10_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSDQEKDVNYSSYHQEWVEAQESLKKKLVLEDDFAWGVPSQGLASGDGSTREKLKYIGGVDISFLKKDPSVSCGALVVLDADTMDVVHEEFEVTRLQVPYVPGFLAFREAPMLLGLLNKMKANAHPFYPQLLMVDGNGLLHPRGLGLACHLGILAHLPTIGIGKNLHHVDGLTQSAVRQSLEARENYDKDLIFLTGKSGKTWGVAMRSILGSSKPIYVSIGHRISLDSAISIVKTCCKYRIPEPIRQADIRSKMFLQKFNGL >Ma10_p07430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21829589:21835014:1 gene:Ma10_g07430 transcript:Ma10_t07430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSDQEKDVNYSSYHQEWVEAQESLKKKLVLEDDFAWGVPSQGLASGDGSTREKLKYIGGVDISFLKKDPSVSCGALVVLDADTMDVVHEEFEVTRLQVPYVPGFLAFREAPMLLGLLNKMKANAHPFYPQLLMVDGNGLLHPRGLGLACHLGILAHLPTIGIGKNLHHVDGLTQSAVRQSLEARENYDKDLIFLTGKSGKTWGVAMRSILGSSKPIYVSIGHRISLDSAISIVKTCCKYRIPEPIRQFQDLLKLE >Ma08_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7282276:7287241:1 gene:Ma08_g09980 transcript:Ma08_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCVGVFVVRRSWNTTAGERGMGGTQALAAYRALLRATRKTFAGDTLMLAESAAEIRRKFEENRGVAAEAEVNRLLDEAREASHFVSHMIVQAKLSSSGGYVVKPTKEHAGATLEVPSEEFLSKST >Ma04_p32800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32703448:32704463:1 gene:Ma04_g32800 transcript:Ma04_t32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLNGTAALLSLLLFFDLLGKPSFGKHKRPGQSEISRRAIEAMFMRLTGKYMRCLQFSIAKSSNFISFGSPLGRVWSSGQCLMAKYNRPVGSQSSVH >Ma08_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23223011:23223337:-1 gene:Ma08_g17120 transcript:Ma08_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTPFLVESLVRLLRLFVSWPAASVTTILYYGEALPRNPRLQRLVRDELLDDENFLLHFLIAMFRCFCKSRKK >Ma02_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13072576:13081236:1 gene:Ma02_g02090 transcript:Ma02_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) UniProtKB/TrEMBL;Acc:F4KAG5] MLDVSRVQKELAECNRDTAISGVSIALHDGGSDLSRLSGTISGPVATPYEGGTFQIDIRLPSGYPFEPPKMQFVTKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPEPDDPQDAVVAQQYLRDHQTFMATARYWTEAFARRSSVGIEEKVQKLVEMGFPEDLVRNTLESVNGDENQALEMLCSS >Ma01_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4171583:4173887:-1 gene:Ma01_g05890 transcript:Ma01_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEASAIFPLLLLLHLLFSGLAAARQEDCPPFSCGQLRDVKYPFRRKDDPSICGVTTYQLSCDANRSTFRIGSADYFVTQISYRSSYSAWIRLVDPNLANGSCGLPTQSYSFDNMSATEINCDSVYWASYMSCSEPIHNDTRYHLLSCLSSKDTFVYVIVGLNVDELFYLHPGCEYQSMIPVADDAFVIPPSSSIDAFRILQKGFNCNIPRRNRKSTIIHRCLKEATSMFSDLITSKQLLSIVYSVVRSELHFKSCMEDHFYRTFISRITIAVTVLILIVQLLIVLLILCRFVMAPIILCVFLLHKLWVSRASVDTVEKFLRAQQTLTPTRYAYTDIVAITRHFRQKLGQGGFGSVFKGELAGGLLVAVKLLGNSKCNGDEFISEVATIGRIHHVNVVRLVGYCAEGSKRALVYEYMPNGSLDRYIFASKPTTARTFTSEKLIDIAMGVARGIHYLHRGCDMQILHFDIKPHNILLDRNFTPKISDFGLAKLYPKGNNLVSVSAARGTVGYIAPELISRSFGIISHKSDVYSFGMLLMEMAGRRRNVNPRAENSSQVYYPSWIYDKLARQQEIQFDDTSEIEELEKKLAVVGLWCIQIKPSERPTMAKVLEMLEADASSLPMPPKPFVSSVDSTSETQTCLISSSAELSIISE >Ma11_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16004988:16011090:1 gene:Ma11_g12160 transcript:Ma11_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASK >Ma11_p12160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16004978:16011090:1 gene:Ma11_g12160 transcript:Ma11_t12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASK >Ma11_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17382798:17389030:-1 gene:Ma11_g13110 transcript:Ma11_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPRRRQGGVPKEGGSGDGRVPPTKSAEESSASTVSPRDPPEPEKEPPLDRPVRVYADGIYDLFHFGHARALEQAKKLFPNTYLLVGVCNDEITHRYKGKTVMNESERYESLRHCRWVDEVIPNAPWVITKEFLNKHKIDYVAHDALPYADASGSGNDIYEYVKSIGKFKETKRTDGISTSDIIMSILKDYNKYVMRNLARGYTRKDLGVSYVKEKQLRVNMGITKLREKVKEQQEKLHTVAKTAGLHHDEWVENADRWVAGFLGKFEEGCHIMETAIKDRIQERLKRQSSKEMNANLLQEPVAS >Ma01_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9057978:9063603:-1 gene:Ma01_g12460 transcript:Ma01_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKVSALDMPDVPMGQLPEHLHLQRTRVVCKADAPIHTEGIQYSGAYASIGVDNSVGVDSFCENFKVKLVRLTNDEIEFDMIGIDASLANAFRRILIAEVPTMAIEKVLMVNNTSVVADEVLAHRLGLIPLNADPRLFEYLSENDAPNERNTIVYKLDVSCKKGSPRVTVKSDQLKWLPHGSELQMDSHDISAKAKSYTSFSCIQDSLPEFSKKPLGVQFDDIIIAKLGPGQAIELEAHAVKGIGKIHAKWSPVATAWYRMLPEVSLLKEVTGDVAEKLVKKCPVNVFDIEDLGNGGKKAVVANPRACTLCRECIRGVTEELVELRRIKDHFIFTIESTGALSPEELFVEAVKILEDKCDRVISELS >Ma10_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15308075:15311167:-1 gene:Ma10_g04670 transcript:Ma10_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MKRLCKGYCSSASPPSQSQIFLLRDSGENGRRHISKPLLYAARLQAATNSGSFSCGLRLHAHIIKSGLDSDRFVGNSLLSLYFMLCPDFSVTRHVFESLPVRDVISWTSMVSGYVRAGRPLESLLMFVKMSTFGGVEPNAFTLSSAVKASSDLGDVRLGRCFHGMVMTRGFETNHVIASALVYMYGRNSALEDARRMFDELPEPDAICWTSIISVLTWNDEFAKALSCFYSMIRRKELGIVPDGFTFGTIMTALGNLGRARQGKEAHAKVITSGLCGNVVVESSTLDMYAKCGYMEDSRKVFDRMASKNAVSWCALLGGYCQGKNYRAVLNLFRVMDKGDDHYSFGTVLRACAGLAAIREGKELHCKYLRMGGWRDVVVESALIDLYAKCGLIDYAYHLFTKIFIRNLITWNAMICGLAQNGRGGQAIEVFDEMVKGGTKPDCISFIGVLFACSHTGLVDEGKRYFRSMTEDYGIAAGIEHYNCMVDLLSRVGLLKEAEDLINNAKYRDDSSLWAALLGACATYSSPGVAERVAKKMMELEPQYHLSYVLLANVYKTVGRWNDALQVRKLMRERGVRKAPGKSWIEVNRRTCVLLNKDGVDKPSFEDSEDQFPGIVNSLEPANSEEMEHNLIPDLQQSS >Ma09_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12244078:12247355:1 gene:Ma09_g16780 transcript:Ma09_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >Ma04_p31200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31575357:31576230:-1 gene:Ma04_g31200 transcript:Ma04_t31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNACVSCARRSICNEAMAFRSGSLVALIFLVALAVSAGTCSAARPEPYGGKNLNFIRSSCGATQYPSLCFSSLSAYATTIQRSPVQLAQAALSVSLTGARTTAATITKLLKGSGAGVGAREAEAMNDCLENMGNSVEELRDSLKEMGHLKGKDLRLRVNDMQTWVSSALTDENTCMEGLPTTASMKVGVRGTIRGEIVKAVQLTSNALSLINGLSGV >Ma05_p30510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40887276:40887518:1 gene:Ma05_g30510 transcript:Ma05_t30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSVFVQVRHEEELKEAIETAMGWKRGCLRFIEVIVHKDDTSKELLEWGSGVCSANSRAPNPQQKSSHSSGNNESNSP >Ma11_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26006617:26007505:-1 gene:Ma11_g22120 transcript:Ma11_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSDNDRCPPGGEGPSSSSSAASTAGAAPGGTADKQPRASVAPLSRYESQKRRDWNTFLQYIRNQRPPLALAGCGGAHVIEFLRYLDQFGKTKVHAPGCAFFGCPNPPAPCPCPLRQAWGSLDALIGRLRAAYEESGGKPETNPFAARAVRFYLREVRDSQAKARGIRYEKKKRKRTPPSTGGDAGEHSSTAAVLGGGGGSGSSLPEGSTTAPPGGSSIP >Ma10_p27680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34845097:34847905:-1 gene:Ma10_g27680 transcript:Ma10_t27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFAFLSFRRRRSPLSGAYFVPGDLSSAALFHALAALSADVARHSSLSQCRNARSLVRRIRILAVLFEFLEESFASSPSSPLPPWFPASAALCFRELYIFVYRTKLLLDYCSQSSRLWLLLRNPQISGNFHDLTQELATVLDVLPLDDLRLAADVREQIELLRRECRRSKLFVDPDDEELCRKIFFFLGQFETGEAPDPAELRDTFVHRLGIRDVRACRTEIEFLEGQISNQEEDMDLPLVSGVIALTRYCRFLLFGFQEMDAKKPFSDQGKTSRKRLLSQGSGSFSLTIPKDFCCPISLDLMKDPVVVSTGQTYDRASITQWMEEGHRTCPNSGLTLTNSRLVPNRALASLISQWCASYGIPYGTPEGAGIPAESTAAACASRAAIEANRATSRILVQQLSAGSQESKTVAACELRLLAKTGKENRSFIAEAGAIPALCRLLRSSNPVAQENAVTAILNISIHDGNKSRIMDEEGCLKLIVYVLRHGLTNEARENAAATLFSLSAVHDFKKMIVDEQGVVPALANLLMQGSSRGKKDAVMALFNLSTHPQSWSRMLDMGAVSALVGALRDECVAEEAAGALALLMRHPILAQTVGSEDTAITNLVGLMRRGTPKGKENAVAALQEMCRRSGLPVTQKVARMQVLGGLIQTILLTGTKRARRKAALLARMCQRCEGPIATTLGNEWAMNHALARTNSLRDSSFRSGDVSVSVSMARQVPVL >Ma06_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2760692:2761884:1 gene:Ma06_g03820 transcript:Ma06_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMATSSTLLLLCSLLLCSYSFAAAPRKLVLVQQPPLVLKYHNGPLLRGNYTLSLLFYGRFSAAQRSIVVDFVRSLTPTGASLPPPSVASWWRTTASYAGGDVHLSLGPQLMDESYSKGKLLSSSDMAALAGKAAAGGGHRAIGVVVTDGDVAVEGFCSSRCGTHGRLAGSAGFVWVGDSARQCPGQCAWPFHQPIYGPQAPPLVAPSGDVGMDGVVINLATLLAGAATNPNGDGYFQGPADAPLEAVTACTGVFGSGAYPGYPGKVLVDPTSGASYNARGLGGRKYLLPAMWDPVTSQCSTLV >Ma02_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22061880:22062803:-1 gene:Ma02_g13870 transcript:Ma02_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGANNPCSASSSSSSFSSSSRCCSSSSTGFSSTIKREAEAIGGKEKKEQGSGGKHSSYRGVRMRAWGKWVSEIREPKKNSRIWLGTFPTAEMAARAHDVAALAIKGRSAYLNFPELASQLPRPASSTPKDIQAAAALAAATAAGDHSSKTETLSSHSPAATPTPSDDGALFDLPDLLLDLREDFCYFASWEASIAEDGFELKFEEPFLWE >Ma05_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:459813:463515:1 gene:Ma05_g00730 transcript:Ma05_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDPKQYLEETCKPKCVRPLRAYQACVERIKGDETGHKHCTGQYFDYWKCVDDCVALKLFVKLK >Ma10_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24303647:24304977:1 gene:Ma10_g10310 transcript:Ma10_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFRVRLFVRRFSSSTATTVVGPSPDPNPRSYTETLAVTINKLSKERDPDKLAAGFIAASASYRFRCRHRIYEIAVRRLEKAGRLDAVEAILEAQKRFPSDLAREGFAVRLISLYGKASMATHAATTFRQLPALGTPRSVMSFNALLTAFADSGDVEGLVAAFRDIPAADTTIVPNLISYNVLICALCEKGDLDAALGTVDLMERNGISPDVITCNTLLHWSYEKKEPSEAEKIWALMWKKNIEPNTKSFNTKLRWLVSEGRTAEAAKLVDQLNHVGLQPDAFSFNALIKGYFQEGNLEEAKRLFSDFAKNQCAPNKGTFEIIIPYLCEAGELDLALKCCYDSMNTRCFVEAAVFQRVVNGLAKSSRMDEATKLVDVGRKNNYSRKSLRIPSANLSLAV >Ma04_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23517792:23518647:1 gene:Ma04_g20800 transcript:Ma04_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTLAFVLLAAAICVPASCLTSATSSPAEFVRDSCRGTRYPALCEQSLVAYAPAVHRSKRELARAALAVSADRARSASAFVSRMSSGGQKKVRSREAGAVRDCIETMHDSVDQLRQSVEEMGRMGRARSPRFAWHLSNVQTWVSAALTDETTCLDGISQYAGPAVRAAIRKKVVEVARVTSNALALVNQLGPRN >Ma02_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21599818:21600034:-1 gene:Ma02_g13040 transcript:Ma02_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKREMYRKKRMKSSSEEVIHRGSNGGKGSFSPFF >Ma02_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17512620:17516143:-1 gene:Ma02_g06530 transcript:Ma02_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERVEEDEDEGWRCLNEGDGGGGGDAAGQRFFDRSKVRILLCDNDPKSSQEILQLLLKCSYQVTSARSARQVIDVLNAQGSEIDIILAEVELPMAKGLKMLKYIARNKDLRRIPIIIMSAQDEVSVVVKCLRLGAADYLMKPLRTNELLNLWTHMWRRRRMLGLTEKDVFRHDFEIIFSDPSDANTNSITLLSDDTDEKPSMGTNPELNLSNLPETESNVSPVEPVCNNLLGDVQNIPRDGDRAGGIFSLPKKTELKVGGSSAFLTYVKSSASSRMPCIDVDVNSAPSEPSNREESSLAVGDTARCNSKLVPESYIGGNGTPTSKNICNMKDFQTPPEFPTLCVSSSTEQQPQLRNEVLSDGSGIPPIFSLPFYYPGVMDQNILCSHGQLFQGSLNDVQAHPAPALLPHYGVVPRMPLIPSFPYQTFGINMQSGHVAAPNLSSSMTTPSVLEVKPGRIEKRAAALVKFRQKRKDRCFDKKIRYVNRKRLAEKRPRVRGQFVKQVNNVDVNQNVLGGGDGEFGDYEDDEPTSKELELISSPEQNASDC >Ma02_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18510017:18512061:-1 gene:Ma02_g08040 transcript:Ma02_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTMRAVQYGGYGGGAAALQHVEIQVPSPKKDEVLLRVEAASMNPADWKVQKGAFRPFLPSKFPFVPVSDVAGEVVEVGPGVDGFKPGDKVVTWLGFKAGGLAEYAVAPVNLTVHIPPEVSAADAAGLPIAGFTALQALRYATTKFDGTGDPANVLITAASGGVGTFAVQIAKLGNLHVTATCGARNMELVRSLGADEVLDYKTPEGKSLKSPSGRKYDVVVNCTTSVGWSSLESNLAAHGKVVDLNPSPGAFLRSALKQLTCSNKKLVVLFATATKEDLQFLVELVKGGKLRTVIDSRYALGKAEEAWAKSMEGHATGKIIVECDQVMDI >Ma10_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1600629:1604285:1 gene:Ma10_g00380 transcript:Ma10_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein ATH1 [Source:Projected from Arabidopsis thaliana (AT4G32980) UniProtKB/Swiss-Prot;Acc:P48731] MENDVFNGSLPIFNHGHMIVDPVSSYMLSGPLVQSGLPDHNSNRQILAGNPMVSTLQEEAIDSIYLTKSGVMACSDVSFSRNIPRIGNDSVNGPIGNVGLREHLPETSLSAASVANLYSSTNYLVENIIKVGTASTLDLPSEEMTVPASTDIHNSHNSSLSASPHCNFGTQHDLSLLAPKNGTIGCMWNQNELLDHQVLLSKTFSVVRPSYHVTGSSQPGWNFYEYESNWNFNHPCGNTARAPGSELSLSLGSCQPSVTDMGNNGDQCSELSCSAVTQVASADSVRPPTEFQACNHAIQNPVQDFRSGMTQRETIPCTEKPSFYQGCSLVQLSHMLLGSKYLQAVEEVLSEIATYAVEDLQRVDDSPDEKMSISSSCSTVRELPISVSGELLLSFGDTEPLAGMDSQKFQEANRKKTELLSMLQLVDHGYNRCLDQIQNVITSFICISQSGTSETHARFALRTISALYKSLRKRITSQILFISQQPSTEPMKEKERSFESSLLQKQWALQQLRKSDHQSWRPQRGLPEKSVSVLRAWMFENFLHPYPKDNDKHVLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAELNKKQ >Ma05_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28192763:28193736:1 gene:Ma05_g19680 transcript:Ma05_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPPSLLLFSLLSLLLLQSSAVIPRMLFLVPQQPLVLTYHKGALLKGNYTVNLLFYGSFTPVQRAVVVDFVRSLSAPATSVESWWGTTGLYGPGGPTRLSPGRVLLDQDCSLGKSLTDSDLLALASRPPHRGAITAVLTAPDVLVDGFCMSRCGFHDAGRAGRRGRVRYAYLWVGNPATQCPGNCAWPFAQPTFGPQTPPLVPPNGDVGIDGLVINLATLLAGTVTNPYGDGYFQGPPTLPMEAATACTGIFGGGAFPGFPGNLLVDPASGASYNAKGLAGRKYLLPAMWDPRTRQCKPSA >Ma04_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15944125:15948940:1 gene:Ma04_g16540 transcript:Ma04_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGLDNRGRAGRRSPGTLGRHLARRLVQVGVRDVFAVPGDFNLTLLDHLIAEPQLNLVGCCNELNAGYAADGYARASGVGACVVTFTVGGLSVINAIAGSYSENLPIICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVNHMDDAHEMIDTAISTALKESKPVYISISCNLPGIPHPTFVNEPIPYFLTPKVSNQLGLEAAVEATAQFLNKAVKPVLVAGPKLRVAKAHQAFMELADACGYPIAIMPSAKGMVPEHHPHFIGTYWGAVSTNYCGEIVESADAYIFVGPIFNDYSSVGYSLLIKKEKAVFAQPNRVAIGNGPSFGWVFMADFLTALSRKLKKNTTALENYHRIYVPPSRPLKRENDEPLRVNILFKHIQDMLSGETTVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAAKERRVIACIGDGSFQVTAQDVSTMIRHGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGFVDAINNGEGKCWTCKVRTEEELEEAVAKATGEMKDSLCFLEVIVHKDDTSKELLEWGSRVSSANSRPPNPQ >Ma10_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14645924:14652765:1 gene:Ma10_g03980 transcript:Ma10_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MLPFLRVGICQRARHPPRVPGAPWIRRPRLPRLPTAINRLRVPAPAMVARMATDGGAENPARDPASNPKVVMIRGLVNDDATDGWEKCWEEGLTPWDLGQATPAVLQLVRTGSLPRGRVLVPGCGSGYDVVAIAGPERYVVGLDISTSAVEKAKELSSSLPNANQFTFMAADFFTWQPTEKFDLIFDYTFFCAIDPCLRPAWAQKIQEILKPDGELITLIYLISGQEGGPPYNTTVADYEQVLNPVGFKAFSIEDNELAVKPRKGNEKLGRWKRLSHKSLL >Ma02_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19288458:19296294:-1 gene:Ma02_g09160 transcript:Ma02_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPPAAAIREGHHHHHHHNGCRWPSLPLGASASDNGRPRRRRLLILPPSEPSSPCCSSARDSRFRGDLRLNDIVRNGVSGVLHKWVNYGMGWRLRWFVLQDGVLSYYKIHGPDRIELAPDDELSSKVIGEESFRRISRRKKGHPHLPRRPVGEIHLKVSSIRESRSDERRFSIFSGTKRLHLRADTRENRLVWVEALQAVKDMFPRISNSELMAPMDNVIVSTEKLRQRLLEEGLSEAAIQDSEQIMRSEFASLQNQVVLLKQKQILLLDTLRQLETEKVDLENTLIDENHKRSRECESTSVPENGKFSGACSPEVSPSESDYDNGRHDPVEEEIDEEDNTFFDTKDFLSSSSFKSSRSDFPKFQIDSDDDSGSSVDGTDSSMKSVRTKYAYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDQAYECGKKGDGLMRILNVAAFAVSGYASTEGRSCKPFNPLLGETYEAEYPEKGLKFFAEKVSHHPMIVACHCEGNGWKFWGDSNLRSKFWGRSIQLDPVGILSVEFDDGEVFQWNKVTTSIYNLILGKLYCDHYGTMRIQGNGEYSCKLKFKEQSIIDRNPHQVQGVVLDRSGKTVATLIGKWDDSMHYMAGDVSGKGNRAESFSEAQLLWKRSKPSKHPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYEIANSEKLRLEQRQRQARKMQETGWKPRWFAKDKASGTYRYLGGYWETRGKGSWDGCPDIFGQIPSNHLIE >Ma04_p29910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30704277:30707231:-1 gene:Ma04_g29910 transcript:Ma04_t29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRRSTRVWLTSILLLFVLTTTASAKGCVEVERDALLAFRARIVDPSHRLSSWRRRVDCCRWNGVVCDNTTGRVVELNLENSADMTFESNQAALRGEISPSLLSLTHLDRLDLNHNDFGGSPIPAFLGSFPKLTYLNLSWSNFSGAIPPQLGNLSSLRSLDLHSYGLSTDGLHWLSRLSSLRYLDMSSVNLSMASPDWLQAVNMLSSLRELHLPYCGLTDLPSSLSHVNLTSLSILDLRGNVFNSTFPSWLLELRSLSYLALSDSKLHGELPAGIGRLTRLTQLDLSANSLSGPLPAEIWSSRSLSSIDLSFNSFRGPMQVEAGNWTSLSQVYLINCSLNGSIPAAIGSLTRLVELHLSGNRLTGPIPAEIGNLTALTTLDLGRNSLTGSVPPEIGKLSNLTSLDLSLNSLKGTMSELHFANLAKLDVLYLYRNSLDIAIGHDWIPPFQLETIGVDSCKLGPSFPGWLRSQESMVDLNLSNTSIEDTLPDWLWNSSSSSLMIINLSHNKISGTLPASLESLTNLMFLNLSSNLLQGLVPVSPPFLQALDLSSNALSGPLPSTFAPVSVYLFFSNNHINGSVPSSVCTLQQLFALDLSNNQISGEIPRCWQEANELLFINLANNKLGGKVPNSIGNLTKLEFLHLNNNSLHGDLPPSLQSCSQLAVIDLGRNHFSGKIPAWIGQSFRYLEVLLLRSNMFSGDIPPQLGQLSNLQIIDLADNELSGSIPRSFGNFSAIISITKSMSSTISSDPNFELSSFVASESIALITKGDEQSFSSILHLVKSIDLSKNSLTGAIPTEIGYLAALQTLNLSRNSIGGMIPSTIGGMKSLETLDLSFNNLSGAIPQSMTALNSLSHLNLSYNNLSGAIPSGFQLQTLPASSYIGNAYLCGLPVSKSCLNETNTNATDEEDEEEGLHGLSLYFGIAFGYLVGLWSVFIVMLFKKDWRIFYFRMIDQIYDKAYVAIKIKINGWTVNKGEGRTQN >Ma07_p26440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33232114:33233896:-1 gene:Ma07_g26440 transcript:Ma07_t26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIKIHHSEVNTRERERERAREMDPCPFVRLIVESLALKLPSVAKPAGPGVHPSATPCFCTVQLQDCPSSSATQTAPLPLAVDPTSTTNLIEPAASTCAVASSAVVISIEPAALRRPSGRPASLTVAVYAGRTGSTCGFSSGRLLGRVRVAVDLESAATRAAVIQSGWVSMGSGLSAARLHLVVRTEPDPRFVFQFGSEPECSPVVYQIQGGGGTGHGGCVRQPVFSCRFSADRRRTTRTTSPPTKNNRRRCWFGSLGGERDHESREQRKGWTVTIHDLSGSPVAAASIVTPFVASPGSDRVSRSNPGAWLILRAVGPSATDWKPWGRLEAWREKGPVDALGYRFELMTDAGPNRGFPIVESSLSMRKGGRFCIDPGVVEKSGPFAGGFVMAATVEGQGKVSKPTVQVGVQHVSCMADVALFIALSAAIDLSGDACQLFSHKLRKELSQDQQEYAP >Ma07_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7299973:7301262:-1 gene:Ma07_g09730 transcript:Ma07_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIVTEGLRPLLSNLQARPFHPVHAIRSRLSAAVCLPSLGLGARPPTSSFYGFSSENKKPSFPGWGTADEHERRLLHARLCRKQRKAPFFSAGAAISAGGDGVIDDKEKPKFLGVELVTLKKIIPLGMMFFFIIFNYTFLRDTKDVLVVTANGSGAEIIPFLKTWVNLPRAVGFMLLYTKLANVLSKEALFYTVIVPFIAFRFVLYPLISVIHPTALADKLPAALGPSFLGPVAILRSWSFCLFYAMAELWGRVVIWVLFWEFANQDGICLMCLSSCTKPSHVM >Ma10_p06790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20335339:20340004:-1 gene:Ma10_g06790 transcript:Ma10_t06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGFRAKVRVVRCPKCEKLLPELANFSVYRCGGCGATLQAKKSVPGSVVSLEKSEAEGIKTIEVFELDTEKHGMVSDANLETDDKGNIAESRSKKSLPQGAASSHDVSVTGNGGSGTRKEHIVSKSDGLPKENGVDSMESSKSRCQCKTPSSEHSRYVTEHPERDRTPRSPPMASVHPDLHPTEGPSDHLRNPRHPFANADGESKQNLDGASRVGHLEQDRAKLLRMLDELRDQVRRSCETTDRQKTTAPVDNVTTSSNSYVHHDNANWFPESSSSSNLNPSRYFPVRHDHHTSMFNFYPSMPAQSDIPIYGDPFGHRRAPLHLPGECTQRQMDSFLFGHFDPAPVMPYHHDGFYHQPACSCPQCYQRPFVLPARAPPTILGYQRVPYPTTNHELYAVDGTRSYNSRVGNAALHRFEPRSQYDSRLSKNAARSCQPVVGAAPFTVCPSCFELLQLPEKSLLLKNKFDLRCGSCFKLISIQYEGSRLVLSAITTVSHVSSENHNSSCDSPVGGIRSIGEKLVLPYIFTSNDHEMIEGGHDLHSSESEKTHGLSLSSTTSGYVESPESAISQKDVPISPGVSLETQVISRVPSLPLREHFGYSLSDQAVDGSGNGSRSTRSDQVRNISLNGNFKQNSAKDVQMAIQMDLSDDEDPPAGLSQDSWHMISKDDFQPRFMKANDSFLAGFIKKSLRPFHQSLGHGGFKVSINGQSVSDRSVKKAEKQAGPIFPGDYWYDNRAGFWGVMGQPCLGIIPPFIEEFNYPMPKNCAGGDTGVLVNGRELHQKDLDLLVGRGLPPTRGSSYIIEISGKVWDESSGEELDGLGKLAPTVEKVKHGFGMCLPYVFA >Ma10_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20336090:20340004:-1 gene:Ma10_g06790 transcript:Ma10_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGFRAKVRVVRCPKCEKLLPELANFSVYRCGGCGATLQAKKSVPGSVVSLEKSEAEGIKTIEVFELDTEKHGMVSDANLETDDKGNIAESRSKKSLPQGAASSHDVSVTGNGGSGTRKEHIVSKSDGLPKENGVDSMESSKSRCQCKTPSSEHSRYVTEHPERDRTPRSPPMASVHPDLHPTEGPSDHLRNPRHPFANADGESKQNLDGASRVGHLEQDRAKLLRMLDELRDQVRRSCETTDRQKTTAPVDNVTTSSNSYVHHDNANWFPESSSSSNLNPSRYFPVRHDHHTSMFNFYPSMPAQSDIPIYGDPFGHRRAPLHLPGECTQRQMDSFLFGHFDPAPVMPYHHDGFYHQPACSCPQCYQRPFVLPARAPPTILGYQRVPYPTTNHELYAVDGTRSYNSRVGNAALHRFEPRSQYDSRLSKNAARSCQPVVGAAPFTVCPSCFELLQLPEKSLLLKNKFDLRCGSCFKLISIQYEGSRLVLSAITTVSHVSSENHNSSCDSPVGGIRSIGEKLVLPYIFTSNDHEMIEGGHDLHSSESEKTHGLSLSSTTSGYVESPESAISQKDVPISPGVSLETQVISRVPSLPLREHFGYSLSDQAVDGSGNGSRSTRSDQVRNISLNGNFKQNSAKDVQMAIQMDLSDDEDPPAGLSQDSWHMISKDDFQPRFMKANDSFLAGFIKKSLRPFHQSLGHGGFKVSINGQSVSDRSVKKAEKQAGPIFPGDYWYDNRAGFWGVMGQPCLGIIPPFIEEFNYPMPKNCAGGDTGVLVNGRELHQKDLDLLVGRGLPPTRGSSYIIEISGKVWDESSGEELDGLGKLAPT >Ma06_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21774901:21775788:-1 gene:Ma06_g23660 transcript:Ma06_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSHGLCRFCLIFLLTIVFLVLLFYLTYRPIKPRYYLTAFSLLLNSSSSSSSSAPGAGAAVASFELAIKNRNKELGVYHDDLGLSLSLPPFNSSSSATAVVPGFYQGHQRTASKAGFFILSSSSSSKRPWPAVANGSAVLRIAVESAVRYKAVAWRSRRHRVSLAAEVAIDGEGKKTADRIRFHSCAPRVYCDVPFRHSIIAVVLVAFAALMLSGRL >Ma10_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21413344:21414073:1 gene:Ma10_g07070 transcript:Ma10_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFWTFLTSLNSLAGPTLMLLYPLYASVCAIESPSKLDDEQWLAYWILYSFLTLTEMVAEPILSWIPVWHQIKVAFVAWLVLPQFRGASFIYERFVREQLRKHGVKLMGSHPSPKKMEEEAH >Ma08_p28440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40353890:40357680:1 gene:Ma08_g28440 transcript:Ma08_t28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSDEWRSLWPVSSVFAAPILRPSAAAAADPVGPLLFSPSPLPPLPLLSSPSLALDIPAPLPASSFVEGLRSFFHCPANEAFLPSAAVDALAIEAAVSVPGPSASASDPLPCNNLAALRCRNGSSMVLFFPTGPNANLIGYVGLSFRGLAPPELGLDRDGDVFKLREGYKHPYHRIVMMSAVAATQSSWAPEAASASPGNPLIEGFLIATTLYSVNWFSIETRVTGTGQERPFLVPMAKQGFESAVVHACWSPHFVEESAALLESGDLCWFNLQTKRGGTMRVALPGEVNPGEWLSCEFGGQPWTVIVACSKAVVLVDLRSTKGTEHKVLAHIKMSSSLYVSPLIEMNDRFIAFGKASYNDFHIALVTEHRLLLFDVRKPLAPLLTWNHRMDSPHFIAMLRLSELRPSNEFKWASESGYVILIGSFWNNEFTLFCYGPRKAGCLGNSSLFAWELPSSLPLSDNRCESGDSFVREIFSAENSAYGSVWRQREKKVVGLCIVPNDIFPVDSESGGGFSLIRLSLSGKLEMQRYHASSKLYCQETNFTEADQLKEVDDSVINSEGHECRMSSRCEFYRLWYLSEYMNGNLSNALAMRGPQANYKETCQISLGHDMNELISHILKSSNLSMSAFANEVSIPTSIFEVACRRTLNCLRSDILPLAFSKYSDLFRLDWASTFEFLEIPWSLSQRRSLPFFAGKPSRRSEKWSSKTLFGDALVGPVLPVPVLLALQQNDKKDGSFTFKDNPDDDLLDYQCRTVLKDVLPEISIADTRNCNGWGATDELQAEKSYFLYEPTQAHTSSASERTNIDAASKVKQEPIGMQQMMQACSASYKDETFTTFICGAADKASKPDSKTDQFVDELFDISPVRLDFDITQVALQPAEQKIFKCLKKQFSKWQENYKPYRDFCASSKI >Ma10_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17334269:17340946:-1 gene:Ma10_g05900 transcript:Ma10_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFRFDRFVVRSLATISLSVSRLNSCYGGPIVCRSMAGIATTPLRFPAVTPRISATLSRPRIATTPRFFSLPSATTTPPPLKMSTRRLPLGCSAARPDTATEEKPVSDERMLVFVPPHPLIKHWISVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTISGEIQSPMGVATVEFIDPREPVMIVPILRAGLALAEHAPSVLPASVTYHLGMHRDETTLEPSVYLNNLPDKFPEGSRILIVDPMLATGGTIVAAIDLLKDRGVDNKQIKIISAVVAPPALQKLSKKFPGLHVYAGMIDSVVNEKGFIIPGLGDAGDRSFGT >Ma08_p31600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42460423:42461561:-1 gene:Ma08_g31600 transcript:Ma08_t31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNACWTITSEASMTSELEASLNLTEECRYCTPTCRHRSLQCLQKQQ >Ma10_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16426522:16428260:-1 gene:Ma10_g05350 transcript:Ma10_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 21 [Source:Projected from Arabidopsis thaliana (AT2G37130) UniProtKB/Swiss-Prot;Acc:Q42580] MELGATPMTAFLILVCLSALLRGEGGGLKLNYYSKSCPRAEEIVKREVVKLYNEHGNTAVSWVRNLFHDCMVESCDASLLLETTDSLISEQSADRSFGMRNFKYVAPIKEALEKECPATVSCADIIALSAREGVVMLGGPFIPMRTGRRDSKESHADVIDKDIPNHNDSISLVLSRFRSIGIDAERTVALLGAHSVGRVHCVNLVGRLYPTVDPTIDPEYAKYLLGRCPSPNPDPEAVLYSRNDRETPMIIDNVYYKNLLHHKGLLKVDQQLVSYPSTAQFVKLMAADNSYFYDQFSKALLLLSENNPLTGNKGEVRKDCRYVNAG >Ma06_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9551973:9553471:-1 gene:Ma06_g13930 transcript:Ma06_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVEDMGLEEAWKAAWPRKSRPGGGVTLEGYVEVPEGAGRSAKVADGADVVGRTRSLTDDDLDELKGCLDLGFGFSYEEIPELRGTLPALELCYSMSRLMEPAGEASVAAEPCAAPPVANWKISSPGDHPEDVKARLKYWAQTVACTVKLCN >Ma09_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6829501:6831041:1 gene:Ma09_g10000 transcript:Ma09_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFVICLKPMTREEQYQMACTILVNIQGLTTMTAIMEVGCDVTHKEERISSKIARKEAKEQLRLIAEEEALQRWLRRMKKKLKHG >Ma07_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2461006:2465902:-1 gene:Ma07_g03200 transcript:Ma07_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNVKGPSVPSAVGTLVKVALIGGTAVYAALNSLYNVDGGHRAIVFNRIVGIKDKVYPEGTHLMIPWFEWPVIYDVRARPHLVESTSGSRDLQMVNIGLRVLTRPIPDQLPTIYRTLGGNYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERARNFNIVLDDVSITTLSFGKEFTIAIESKQVAAQEAERAKFIVEKAEQDKKSAIIRAQGEATSAQLIGQAIANNPAFLALRQIESAREIAHTIANSSNRVFLQSDDLLLNLHELNFDGEATLKK >Ma07_p03200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2461006:2465860:-1 gene:Ma07_g03200 transcript:Ma07_t03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNVKGPSVPSAVGTLVKVALIGGTAVYAALNSLYNVDGGHRAIVFNRIVGIKDKVYPEGTHLMIPWFEWPVIYDVRARPHLVESTSGSRDLQMVNIGLRVLTRPIPDQLPTIYRTLGGNYNERVLPSIIHETLKAVVAQYNASQLITQREVAAQEAERAKFIVEKAEQDKKSAIIRAQGEATSAQLIGQAIANNPAFLALRQIESAREIAHTIANSSNRVFLQSDDLLLNLHELNFDGEATLKK >Ma08_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2731317:2732099:1 gene:Ma08_g03810 transcript:Ma08_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIMASSPHEPSFSSSKRHFQWRGGKGGDEKKRGGRAEGETLEVSIATSSSPFSCDGDAPLARKKGSTSSAAAAVAVAVSRFRAVLTAAFVGRHRPVGLGPRVTGTLFGHRRGHVHFAFQVDPRACPAVLIELATPTDTLVREMASGLVRIALECERRAGGGKKLLEEPLWRAYCNGKKCGHAVRRECGPADWRVLQAVEPVSTGAGVLPGGDGVACGDVMYMRASFERVVGSSDSEAFYMMNPDNQGGPELSIYLLRV >Ma07_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12104520:12105530:1 gene:Ma07_g15940 transcript:Ma07_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREEHKRVRPEPPRGQQSTVLRRKVDRLDHPVSRSPLPISGHLGRCLRQAKELSPHPEGPIERQIDVITGGLASGGNNISGRKAYAHAAAAEAPKRGPEPEVTFPAKGTERSEHDDALIIVAKIANAQVRRIMIDTGSSTDVLYLDAFQKLGLTRDALEPMCSALTGFTDDSISPLGAITLPLTLGVPPRSKTMIATFLVVDLPTAYNAILGRPILNKIRVIISTYYQTVKFSTHAGVGEVWRSPRESRRCYLMAVSLHKRARTEQPLGDP >Ma08_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7630961:7631812:-1 gene:Ma08_g10430 transcript:Ma08_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLVLFLSVFSSITLRAPVAIASASIARTIVVDLKGGGNFKSIQQAIDSVPDNNNNWTKIHVASGVYREKVNVRNTKSYIVLEGDGAQTTSIEWGDYNGDSSGHDTETSATFTSYASNFVAKRITFKNTYNGFAKLTPAVAAWIFGDKSAFYYCSFIGFQDTLADTLGRHYFKGCYIEGVTDFIFGYGQSIYEVSF >Ma10_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26422444:26422917:1 gene:Ma10_g13710 transcript:Ma10_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPHHRRSCGRELCSLHNMKADLMDPFIGEIDLCLQLILEILWYVRFLCSTQTVCLICCSAGCYNAVTIHGAALGSL >Ma06_p19880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13978763:13982012:1 gene:Ma06_g19880 transcript:Ma06_t19880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSCIANLILTKGFISASSTATDSMHRDDAGRRRPQRFKVDKYKVLFSERGSGCKLELLKLALFILVFCMGLTLAFSPTIHKEQQLLPSVSRSRLADAARIRQTTLSDPRYVSNLDVDWGRLSDIVKDISTKEGDLNVGLLNFNFSEVRSWQQTVPHAKLSSVHLNSADTSITWEVLYPEWIDEEEEYEVPACPSLPRPQVKKGSRFDLVAVKLPCDRSGSWSRDVARLHLQLAAAQLAAASQVHVLLVTECLPIPNLFTCKDLVGHGGNLWLYKPDSTVLEEKLRLPIGSCKLAIPFEAKVRSYTEAGRREAYATILHSAERYVCGAIAAARSIRSSGSARDLVILVDDTISEHDRSGLEAAGWKVRTMERIRNPKAKRDAYNEWNYSKFRLWQLTEYDKVVFLDADLLVLRNIDFLFALPEITAVGNNAALFNSGVMVVEPSNCTFQLLMDHIDEITSYNGGDQGYLNEIFTWWHRVPKSMNFLKHFWAGNTERRREKKNRLLGADPPALYVVHYLGLKPWLCFRDYDCNWDLRTYWGFASDAAHETWWKVHDTLTEKLKAFCLLTTKTKAYLEYNRRQAEKASYPDGHWRKNITDPRLHVCAEKFCSWESMLVHWGEKNSSDDRHTNANPSAALPSS >Ma06_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13978763:13982012:1 gene:Ma06_g19880 transcript:Ma06_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMKPSCIANLILTKGFISASSTATDSMHRDDAGRRRPQRFKVDKYKVLFSERGSGCKLELLKLALFILVFCMGLTLAFSPTIHKEQQLLPSVSRSRLADAARIRQTTLSDPRYVSNLDVDWGRLSDIVKDISTKEGDLNVGLLNFNFSEVRSWQQTVPHAKLSSVHLNSADTSITWEVLYPEWIDEEEEYEVPACPSLPRPQVKKGSRFDLVAVKLPCDRSGSWSRDVARLHLQLAAAQLAAASQVHVLLVTECLPIPNLFTCKDLVGHGGNLWLYKPDSTVLEEKLRLPIGSCKLAIPFEAKVRSYTEAGRREAYATILHSAERYVCGAIAAARSIRSSGSARDLVILVDDTISEHDRSGLEAAGWKVRTMERIRNPKAKRDAYNEWNYSKFRLWQLTEYDKVVFLDADLLVLRNIDFLFALPEITAVGNNAALFNSGVMVVEPSNCTFQLLMDHIDEITSYNGGDQGYLNEIFTWWHRVPKSMNFLKHFWAGNTERRREKKNRLLGADPPALYVVHYLGLKPWLCFRDYDCNWDLRTYWGFASDAAHETWWKVHDTLTEKLKAFCLLTTKTKAYLEYNRRQAEKASYPDGHWRKNITDPRLHVCAEKFCSWESMLVHWGEKNSSDDRHTNANPSAALPSS >Ma06_p19880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13978662:13982012:1 gene:Ma06_g19880 transcript:Ma06_t19880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWQMKPSCIANLILTKGFISASSTATDSMHRDDAGRRRPQRFKVDKYKVLFSERGSGCKLELLKLALFILVFCMGLTLAFSPTIHKEQQLLPSVSRSRLADAARIRQTTLSDPRYVSNLDVDWGRLSDIVKDISTKEGDLNVGLLNFNFSEVRSWQQTVPHAKLSSVHLNSADTSITWEVLYPEWIDEEEEYEVPACPSLPRPQVKKGSRFDLVAVKLPCDRSGSWSRDVARLHLQLAAAQLAAASQVHVLLVTECLPIPNLFTCKDLVGHGGNLWLYKPDSTVLEEKLRLPIGSCKLAIPFEAKVRSYTEAGRREAYATILHSAERYVCGAIAAARSIRSSGSARDLVILVDDTISEHDRSGLEAAGWKVRTMERIRNPKAKRDAYNEWNYSKFRLWQLTEYDKVVFLDADLLVLRNIDFLFALPEITAVGNNAALFNSGVMVVEPSNCTFQLLMDHIDEITSYNGGDQGYLNEIFTWWHRVPKSMNFLKHFWAGNTERRREKKNRLLGADPPALYVVHYLGLKPWLCFRDYDCNWDLRTYWGFASDAAHETWWKVHDTLTEKLKAFCLLTTKTKAYLEYNRRQAEKASYPDGHWRKNITDPRLHVCAEKFCSWESMLVHWGEKNSSDDRHTNANPSAALPSS >Ma11_p17790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23004524:23007120:-1 gene:Ma11_g17790 transcript:Ma11_t17790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLRTPSSYSPPSTTTPLLPFTTACRSPSRVPSSAGHWKRVRGLRIASGSGRGLALTHADTSGDLKEIRDCCTVWKWKDFDVNYLVKGKGPPLLLVHGFGASVGHWRRNIGVLSECYTVYALDLLGFGASDKPPGFAYTMEGWAQLILDFLDEVIKKPTVLVGNSVGSLACVIAASGLVLLNCAGGMNNKAVVDDWRIKLLLPLLWLFDFLLSQRVIASALFERVKQRENLKNILLSVYGNKDAVDEDLIEIIKGPADDKGALDAFVSIVTGPPGPNPVSLMPKISIPVLVLWGDRDPFTPIDGPVGKYFSSLPSNLPNIELHVLPGVGHCPHDDRPDLVHEKLLPWLSSLPSMSEISTG >Ma11_p17790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23004524:23007120:-1 gene:Ma11_g17790 transcript:Ma11_t17790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLRTPSSYSPPSTTTPLLPFTTACRSPSRVPSSAGHWKRVRGLRIASGSGRGLALTHADTSGDLKEIRDCCTVWKWKDFDVNYLVKGKGPPLLLVHGFGASVGHWRRNIGVLSECYTVYALDLLGFGASDKPPGFAYTMEGWAQLILDFLDEVIKKPTVLVGNSVGSLACVIAASESNGDLVRGLVLLNCAGGMNNKAVVDDWRIKLLLPLLWLFDFLLSQRVIASALFERVKQSRENLKNILLSVYGNKDAVDEDLIEIIKGPADDKGALDAFVSIVTGPPGPNPVSLMPKISIPVLVLWGDRDPFTPIDGPVGKYFSSLPSNLPNIELHVLPGVGHCPHDDRPDLVHEKLLPWLSSLPSMSEISTG >Ma11_p17790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23004535:23007120:-1 gene:Ma11_g17790 transcript:Ma11_t17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLRTPSSYSPPSTTTPLLPFTTACRSPSRVPSSAGHWKRVRGLRIASGSGRGLALTHADTSGDLKEIRDCCTVWKWKDFDVNYLVKGKGPPLLLVHGFGASVGHWRRNIGVLSECYTVYALDLLGFGASDKPPGFAYTMEGWAQLILDFLDEVIKKPTVLVGNSVGSLACVIAASESNGDLVRGLVLLNCAGGMNNKAVVDDWRIKLLLPLLWLFDFLLSQRVIASALFERVKQRENLKNILLSVYGNKDAVDEDLIEIIKGPADDKGALDAFVSIVTGPPGPNPVSLMPKISIPVLVLWGDRDPFTPIDGPVGKYFSSLPSNLPNIELHVLPGVGHCPHDDRPDLVHEKLLPWLSSLPSMSEISTG >Ma11_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23004524:23007120:-1 gene:Ma11_g17790 transcript:Ma11_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLLRTPSSYSPPSTTTPLLPFTTACRSPSRVPSSAGHWKRVRGLRIASGSGRGLALTHADTSGDLKEIRDCCTVWKWKDFDVNYLVKGKGPPLLLVHGFGASVGHWRRNIGVLSECYTVYALDLLGFGASDKPPGFAYTMEGWAQLILDFLDEVIKKPTVLVGNSVGSLACVIAASGLVLLNCAGGMNNKAVVDDWRIKLLLPLLWLFDFLLSQRVIASALFERVKQSRENLKNILLSVYGNKDAVDEDLIEIIKGPADDKGALDAFVSIVTGPPGPNPVSLMPKISIPVLVLWGDRDPFTPIDGPVGKYFSSLPSNLPNIELHVLPGVGHCPHDDRPDLVHEKLLPWLSSLPSMSEISTG >Ma05_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4103959:4105587:-1 gene:Ma05_g05410 transcript:Ma05_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVEAIQAPKPGEAISPEIYSASTRENQRDVNPGSEESVLKSPKTPILARIVWTDETHNLYLSFMESSFVDQLYINENRSNDLLGCLHIAIRNPTTKDLALGSNFLVSCQLKVLQKGCWQTHNFDPKRIRFEIKNESWHLLENPWIQHFTSRSRRLNQMDSNELTSRARHSGRPTRPIQQHPFCPRNWHRHPRGGNAEVSDQNFVDMESEEEHRTRTARAKRAKTESNSSLHPRR >Ma05_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6763607:6766570:1 gene:Ma05_g09340 transcript:Ma05_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVARGTDDKEVVVVIPMASSPPSAAAPPPSTPPPPPVAKKGFFRRFLPFFLAANLGIGAYVLIKQLSEKNNEAEELVTAPATPTESAVTEKKSVVVPTPAPIKVLPPIPEQEQRQLFKWILEEKRKVKPSDPAEKKKLDEEKALLKQFIRAKSVPSL >Ma03_p31600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33760850:33762806:-1 gene:Ma03_g31600 transcript:Ma03_t31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSRDFLRSFAGNGYGDEPLEATEGESDEIELSLGLSLGGCFGVDPKGKKLVRSSSIASFSSLNTERGFRATHAAAALTRTSSLPVEVEEERQKRKEVQSLRRLEAKRKRLERRNSIRSGAAKPGEKPNEDVNGGKESPAVAAEQMAADNGQLGSLGGARNGFPPPGLPSGPAAWKRAADMAGCFPPISQGSIGSQGSCSTGACGVDGRPPQETKNSVRGDEEQVVAGRANGVKEMERRMMEKMPFVSTKGDGPNGRRIEGFLYKYGRGEEVRIVCVCHGSFLTPAEFVKHAGGGDVANPLRHIVVNSSPFL >Ma02_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21254652:21257645:1 gene:Ma02_g12580 transcript:Ma02_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCPLLSIICFLTVLSVQLAISHASTTVLCQEAERQALIQFKRGFYDPSGRLSSWVGKECCMWEGVGCSNVTGRVIKLDLRNARVNLFSDCSDNFDYWYGDSKGCKWTLYGDISPSLLSLPHLQHLDLSGNVFERRRIPEFLGSLRRLRYLNLSSVGVAGRVPERLGNLSTLRHLDLSYNFYGLLKLYVEDPGWLSRLTSLRLLNMSWVSFRNASNWLQAFNALPHIQAVELKSCDLGTFPPSLPLVNFTSLTTLDLGYNNINSTIPDWLFNITSLDFLYLGGNDLNWLIPESIAKLTSLKALDLSQNTFHDGFIPAALSSLCRLQFLYLIDVPINDTLANLEVIFSGCLMSSLQELYLRHSQLSGTLPDWLGNMENLKSLDLSSNFLYGSLPASLGNLSMLQTLDVSSNDLNGSIPDGIGQMKSLVSLFLTDNSLRLSQVHLANLSNLKDLDISYNSFVLEEGNDWIPPFKLNTLSMQLCEIVPRPQFPKWLRTQTALTYLDLAGAGIQGAIPNWLPPSLEQLDLSYNGITGGMPQYLPNLSFLGLSNNRVSGPLPSTIADTMPAIQFLYLSTNNLSGILPLSLCRNKFLYVLRLAQNNLSGDLPNCWKNSSILVVMDLSNNTLQGGIPHSICNLKKLQSLHLSNNNLSGQMPLCLKSLTELETLDLGYNSFVGDVPPGIGENLLNLKTLSLRSNAFTGSIPRFSHIASLQILDLSNNNLSGTIPRSFGNFPAMKLSPQKGDYDIGGAAENMWLFVKGIESEYSSLLPLVTMIDLSNNGLSGSIPEELGNLQSLQTLNLSWNYLTGEIPNNIKGMQQLETLDLSRNNLSGSIPSTLATLNFLNHLNLSYNNLSGRIPTGNQLQTFTDPSIYAGNPNLCGPPLTKSCPKDISIDDKEKQSEDLDSRTETVWEYASITLGFIVGFWTICGTLLLQWRWRIAYFRAIDNMSDRLYVVTVLNMAKLRRKLRGSGQDG >Ma04_p30340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31041074:31051552:-1 gene:Ma04_g30340 transcript:Ma04_t30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNIFSLPVLLSLLFFSQPIHRSSASDTISIGQSLSGSQTMIAKEGNFELGFFTPGNSGNYYVGIWYKKLPGQTVVWVANRDNPVSNASGAELRLSDDGNLVVLNSFKVPVWSSNSTISTSNASLSSTTLQLLDTGNLVLQDGGGGTLWQSFDHPTHTFLPGMKLSLNTTTGKALLFTSWKSPDDPSAGNYTLGLDPNGSAQIFIWDRGVPRWRSGQWNGHRFIGTVMRSLYIYGFDYETDESQGIAYYTYTPHNASLVRFVMEWDGMERTFMQVQETRQWEIVWGQPINQCEVYGMCGVYGTCSDDGTTTTCSCLRGFEPRSSTEWSDGNWTSGCARKTPLLCQLNSSSNEDGFFTLPGAKLPDHSDWASTIGSESGCQEACSSNCSCRAYAYAASDIGCLLWGHDLIDIYQFEFPQDGYDLHVKLAASDLDAASDKTKSRVIVAVGCALGLLLAIIICFFLWWKYGSRAKVSWKKKRQQENTVLHPSGEVVSEFSSIYGEETQEGKASEPLFTFDCIATATGYFSDSNKLGEGGFGHVYKGTLPGGQEVAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVKLLGCCIQGEEKILIYEYLPNKSLDAIIFDTSKKELLDWKKRFNIIEGIARGLLYLHRDSRLRIVHRDLKASNILLDENMNPKISDFGMARIFGADENQGNTNRVVGTFGYMSPEYAMEGLFSVRSDVYSFGILVLEILTARRNSSFHRMENAVNIVGYAWQLWNEDRAVELIDPTIRSASMMSQALRCVHIALLCVQDRASDRPDIDAVIRMMGSGSGPLPMPRQPMFVAVGSTDVTETKIANKYESFSTYDVTITMVQGR >Ma06_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7824316:7825311:-1 gene:Ma06_g11130 transcript:Ma06_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYTRSKRGQAAATKCSGSKSKSKSKLKVEHASTAPSEAAGSQKSRNSNDTGVDTGSIALRVLECIVCFGPLLPPIYQCQNGHVACHPCYEKLRHICHTCESLLSYARNLALENVMESVMISCPHASFGCEETVAYIKQQEHAEKCTFAPFSCLFPDCNYAASFKELIIHATIKHHLLTRSFVDGSPFYEFLDFQSIFLIHHETNTCFLLRNSGEIASGRAFSVVSNRSCLRRTNWLYDLSLVDVLEFRLCDAPVEQLDNQSSSETILFVPQKYCTPLVDNLRICIRKIS >Ma03_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5895029:5898977:-1 gene:Ma03_g08210 transcript:Ma03_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGSEMEADIDDLPKNPANYMALTPLWFLDRAALVHPNRLSVVHGPRRFTWAETYRRCRRLASALAARSIGPGCTVAVIAPNIPAIYEAHFGVPMAGAVLNTVNIRLNAATIAFLLGHSSATVVIVDQEFFTLAEEALKIKADEKKGAFKPPLLIVVGDETCDPRALDHALRKGAIDYEKFLESGDPDFAWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSCALIWEMNEGAVYLWTLPMFHCNGWCYAWTMAALCGTSICLRQVTAKAVYSAIAKQGVTHFCAAPVVLNSIVNAPPSDAILPLPRLVRVNTAGAAPPPTLLAEMTKLGFRVTHTYGLSETFGPSVVCAWKPEWDLLPLDERARVHARQGVRYVGLEGLDVVNLKTMAPVPADGTTLGEIVMRGNVVMKGYLKNPKANAETFAHGWYHSGDIGVKHPDGYIEVKDRAKDIIISGGENISSLEVESILYVHPAVLEVSVVARPDEQWGESPCAFVTLKDGVDSSNEQALAEDIIKFGRAKMPAYWVPKSVVFGPLPKTATGKIKKHELRTKAKAMGPLKKSRL >Ma09_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5719060:5721737:-1 gene:Ma09_g08630 transcript:Ma09_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTPEAATVVKQAINLARRRGHAQVTPLHVANTMLSSSTGLLRAACLQSHSHPLQCKALELCFNVALNRLPASTLSAPMLGLPQADHHHHLHPPSLSNALHILMEIKNLAFGGTEGEHSNERIWLMAIATYQTYTRFCRTGSPSLETLLALQPLTIPAGGLGLSLNFDSGLSEIRSKIGGVQFLPPAADEIGSPEVPSLHINSCGSLCSMSSGLPSWLRRCKEEGNRDRNSGDQGCRQLKELCRQWNSICTSTHKSDNHPSEITFNFSSVSPSSSSNVSSYDGHSRSLHQNQQPSLLPRKAKRNKARENAGQKLSTFPFLYLNSHPNSNSSGSTMETELSSKFKELNAENFKFLCDALERKVTWQQDIIPEIASIILQCRSGLMRRKGKSKSSEKKEETWLLFQGSDTEGKERIGRELARLVFGSSTNFITVGHSNLSSTQSDSTDVLLQIKRSRAEASHSRLQSLFEAIREDPHRVIMMEDIEQVDHYTLAGIMRAMERGKLQSYGGEEVSLGDAIIILSCESFDSRSRACSPLIKQRAESEDDKEVDTCVSLDLNLCAADEDLDDHCFDSAGLLECVDRAFFFNLPDVL >mito11_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000022.1:3683:4951:-1 gene:mito11_g00010 transcript:mito11_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFGTPEKPI >Ma10_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30437259:30439494:-1 gene:Ma10_g20160 transcript:Ma10_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTPVSFSITTVSPLLLLLLLLTTAELCSSQRNKSCHPSCGDLSIRYPFRLRGDPPECGERELELVCEGKDAVLYLDSDKYYVTEISYKRRRCRLLYAGFVTGTCRLPPRVLSSVIDFRDFLSSFIFYETFGGSTTNWASFMNCTQEIHSPEYVAVPCLSGNNSTTYVVVESYAYEIRYLKNSCSCITYLPVEGFLSTGMDIFELLRKGFPVEWSFDIPNESIVEQLSRLIATSIAAVFLAYNAWKMRSPVDSVEKFLRNQETLSPTRYAYSDIIAMTSHFREKIGQGGFGSVFKGHLLGRYPVAVKMLGGSKFDGEEFINEVSTIGRIHHLNVVRLLGFCSDGSKRALVYEYMPGGSLDKHIFSASRSNHRRFTMEKLRDIALGVARGIDYLHHGCDMQIVHFDIKPHNILLDHAFTPKISDFGLAKLYPKDYSLISISAARGTIGYIAPELISRSFGVISHKSDVYSFGMLLMEMAGGRRNVNPKADKSSQIYYPSWIYDQLAGEEWPREPRLDESTEIDAMERKLCMVGLWCIQMKSCDRPSMSRVVEMLEGDVNDLRMPPKPFFSSPQPSLGRQSSCMPSCSAGLEIISEHDDLP >Ma09_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3179110:3180971:1 gene:Ma09_g04950 transcript:Ma09_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGRDRNSSSTLHRSTADSTETEPVRTRWMPKPEQILILESIFNSGMVNPPKEETVRIRKLLQKFGAVGDANVFYWFQNRRSRSRRRQRQLQASLMADPRAARGVTQQAGGGVLPHEPPTSSSSSSTSSNNSSFPCSSSASSSPSSYNFVMDDGSDDLFSMISSQTPFMCSSHVPRSHRQPGTITVFIDGVPSEVPRGPIDTRAMFGQNVMLVHSSGELLPVNEHGVVVQSLQMGESYFLVTRPI >Ma05_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5028375:5029138:1 gene:Ma05_g06850 transcript:Ma05_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDESEEPGEESYNDSHSISEGYDATMTLDLDDEAEKLSYGKTGLLSVSSHNFNLNSFDIEIVEGSSNKQQGGQEVQQRDSRYKVAEEVAHEFEGYGDYTISSLGKNSLEQLETEIEDLVLCSDDVAPLALLLSSKGGALGKENKNSLADGPLGARKPTIGKESEQYFSMLML >Ma03_p30770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33257309:33258560:-1 gene:Ma03_g30770 transcript:Ma03_t30770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKRWQSCMMLLVFVLSDGTGTTKRSRAATAAVCGKDLQPRSRVLMGFASLADTMNASGLVFCVANPSADANALRNGLDWACGPGAANCTAIQPGQPCYEPNNLTALASYAYNEYYQRTRASGGSCSFNNTAMTTTSDPSKRPWLMHLHWKCGRDHEHQHDHKPESDYHSEQWWIARQ >Ma03_p30770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33257189:33258560:-1 gene:Ma03_g30770 transcript:Ma03_t30770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKRWQSCMMLLVFVLSDGTGTTKRSRAATAAVCGKDLQPRSRVLMGFASLADTMNASGLVFCVANPSADANALRNGLDWACGPGAANCTAIQPGQPCYEPNNLTALASYAYNEYYQRTRASGGSCSFNNTAMTTTSDPSHGSCIFTGSAGGTTNTNTTTSPSPTTTPSSGGSPGSNNFVPLGSNIDRASSASRALQVVACLLPLLCSFRCGM >Ma03_p30770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33256955:33258560:-1 gene:Ma03_g30770 transcript:Ma03_t30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKRWQSCMMLLVFVLSDGTGTTKRSRAATAAVCGKDLQPRSRVLMGFASLADTMNASGLVFCVANPSADANALRNGLDWACGPGAANCTAIQPGQPCYEPNNLTALASYAYNEYYQRTRASGGSCSFNNTAMTTTSDPSHGSCIFTGSAGGTTNTNTTTSPSPTTTPSSGGSPGSNNFVPLGSNIDRASSASRALQVVACLLPLLCSFRCGM >Ma04_p14710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11183136:11190790:-1 gene:Ma04_g14710 transcript:Ma04_t14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQTPMLGILLLLCWAVTIQAKHTKYKDPNQPVDVRVRDLMKHMTLAEKIGQMTQIERQIASAQVLKDYFIGSILSGGGSVPARQASAKVWVNMIKKLQKACLSTRLGIPMIYGIDAIHGHNTAYNATIFPHNIGLGATRDPDLVKRIGAATALEVRATGIPYTFAPCVAVCRDPRWGRCYESYSEDHKVVQAMTQIILGLQGDVPANYTKGFPYVAGKKNIAACAKHFVGDGGTQKGINSDNTIINLHGLLGIHMPPYYDSIAKGVSSVMVSYSSLNGIKMHANRHLITGFLKKKLGFKGFVISDYQGIDFITTPPDANYTYSVQTSINAGIDMVMVPFDYHGFISNLTTLVNAKVIPMSRINDAVRRILRVKFVMGLFENPLPDKSLVDQLGKKEHRELAREAVRRSLVLLKNGKSGKKPLLPLPKKADKILVAGSHADNLGFQCGGWTIEWQGGSGRITAGTTILDAIRSTADPATSIVFSENPDADFVKSNNFSYAIVVVGEPPYAETAGDSLNLTIAEPGPSTIRAVCGPVKCAVVIISGRPVVIEPYVPLMDALVAAWLPGSEGQGVADVLFGDFGFSGKLPRTWFKSVDQLPMNVGDRHYDPLFPFGFGLTTKPRPAV >Ma04_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11183136:11190686:-1 gene:Ma04_g14710 transcript:Ma04_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQTPMLGILLLLCWAVTIQAKHTKYKDPNQPVDVRVRDLMKHMTLAEKIGQMTQIERQIASAQVLKDYFIGSILSGGGSVPARQASAKVWVNMIKKLQKACLSTRLGIPMIYGIDAIHGHNTAYNATIFPHNIGLGATRDPDLVKRIGAATALEVRATGIPYTFAPCVAVCRDPRWGRCYESYSEDHKVVQAMTQIILGLQGDVPANYTKGFPYVAGKKNIAACAKHFVGDGGTQKGINSDNTIINLHGLLGIHMPPYYDSIAKGVSSVMVSYSSLNGIKMHANRHLITGFLKKKLGFKGFVISDYQGIDFITTPPDANYTYSVQTSINAGIDMVMVPFDYHGFISNLTTLVNAKVIPMSRINDAVRRILRVKFVMGLFENPLPDKSLVDQLGKKEHRELAREAVRRSLVLLKNGKSGKKPLLPLPKKADKILVAGSHADNLGFQCGGWTIEWQGGSGRITAGTTILDAIRSTADPATSIVFSENPDADFVKSNNFSYAIVVVGEPPYAETAGDSLNLTIAEPGPSTIRAVCGPVKCAVVIISGRPVVIEPYVPLMDALVAAWLPGSEGQGVADVLFGDFGFSGKLPRTWFKSVDQLPMNVGDRHYDPLFPFGFGLTTKPRPAV >Ma09_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35470286:35477389:1 gene:Ma09_g23670 transcript:Ma09_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASYTLSTLGAIASPSNFRTDKKLLASKQKLSQFSSFASISSSSISSRRQSLGSLRRGNCKINAMAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMIAEGVKVVAAGANPVQITRGIEKTAKALVSELKKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMTAEYENCKLLLVDKKITNARDLINVLEDAIRGGYPVVIISEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILTGATVIRDEVGLSLDKAGKEVLGTAAKVVLTKDSSTIVGDGTTQEEVNKRVAQIKNLIEAAEQEYEKEKLNERVAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKETLDNDEQKVGADIVKRALSYPLKLIAKNAGVNGSVVTEKVLSNENFKFGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVDIKEPETLPAGNPMDNSGYGY >Ma04_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6888352:6892003:1 gene:Ma04_g09710 transcript:Ma04_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIRFVEIADANPNPSGSRDKQVIDLGDGSEVVYIPRFISRDQAWEWCEYLDKEIPWTRPSIHVFGRSCLQPRETCYIADEGLAAMRYSGYQPNAYSWDDYPILKDILKAVYEALPGSKFNTVLLNRYKSGSDYVAWHSDEDKLYGSTPEIASVSFGCEREFLLRKKPSKKPASRGAHQSNGKQHKGNTEQHAFVLKHGSLLLMKGYTQRDWVHSVPKRMKADSVRINLTFRCILT >Ma06_p27260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29302189:29310706:-1 gene:Ma06_g27260 transcript:Ma06_t27260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANTWRMTNNEKKFIESVLASDLRVDGRRPFDYRDLTIKFGREQGLSEVQLGQTRVMSYVTSQLVQPYRDRPNEGTLSIFTEFSPMADPSFEAGRPGEFAVELGRVIDRGLRESSAMDMESLCVVVGKSVWSIRVDLHIVDNGGNLIDAANIAALVALLTFRRPDCTLGGDDGQELIMHDAEVREPLPLIIHHLPIAVTFAVFGEGNIMVIDPTHKEEMVMGGKMTFTMNSNGDICAVQKAGGVGVMSSIIMQCLQIASAKAADITSKIKHAVEIYNTERASQKANHYLLEVANQVSLSDVIMKEKQVENLIEHLAYMPLDELENSNQGDALAVDTRTKHESISQGRYTRAFVRGPANWDPYSRGISSRLATSFPTLPGFWCVLLGPKTFLLETEETTLLHAENSLG >Ma06_p27260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29302750:29310706:-1 gene:Ma06_g27260 transcript:Ma06_t27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANTWRMTNNEKKFIESVLASDLRVDGRRPFDYRDLTIKFGREQGLSEVQLGQTRVMSYVTSQLVQPYRDRPNEGTLSIFTEFSPMADPSFEAGRPGEFAVELGRVIDRGLRESSAMDMESLCVVVGKSVWSIRVDLHIVDNGGNLIDAANIAALVALLTFRRPDCTLGGDDGQELIMHDAEVREPLPLIIHHLPIAVTFAVFGEGNIMVIDPTHKEEMVMGGKMTFTMNSNGDICAVQKAGGVGVMSSIIMQCLQIASAKAADITSKIKHAVEIYNTERASQKANHYLLEVANQVSLSDVIMKEKQVENLIEHLAYMPLDELENSNQGDALAVDTRTKHESISQGRYTRAFVRGPANWDPYSRGISSRLATSFPTLPGPSTKVKEHNVVTSNEMTVEYTVEHTTGASSSSTRVLGAPAVRPHSKGPKSLKDAVKLRTRRKSGN >Ma06_p27260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29302097:29310706:-1 gene:Ma06_g27260 transcript:Ma06_t27260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLANTWRMTNNEKKFIESVLASDLRVDGRRPFDYRDLTIKFGREQGLSEVQLGQTRVMSYVTSQLVQPYRDRPNEGTLSIFTEFSPMADPSFEAGRPGEFAVELGRVIDRGLRESSAMDMESLCVVVGKSVWSIRVDLHIVDNGGNLIDAANIAALVALLTFRRPDCTLGGDDGQELIMHDAEVREPLPLIIHHLPIAVTFAVFGEGNIMVIDPTHKEEMVMGGKMTFTMNSNGDICAVQKAGGVGVMSSIIMQCLQIASAKAADITSKIKHAVEIYNTERASQKANHYLLEVANQVSLSDVIMKEKQVENLIEHLAYMPLDELENSNQGDALAVDTRTKHESISQGRYTRAFVRGPANWDPYSRGISSRLATSFPTLPGPSTKVKEHNVVTSNEMTVEYTVEHTTGASSSSTRVLGAPAVRPHSKGPKSLKDAVKLRTRRKSGN >Ma11_p01740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1225003:1229996:1 gene:Ma11_g01740 transcript:Ma11_t01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLSTPGEASPLRRAASIGEVAVFVPGFRIPESVDLSQPLGDCLSRGLLDRLSSLRTRMVTMAAQEARRFTKPRRITTTRHGSALSELLRALEDYLPVLLGLVKDGCQLRDKVPFVWINQEDDAEETAMTNAWYEVLSVLHLMAMLSLLQANRLLLPKISSEGYQLKISEENRRKSIDILLKAAGYLDCAIRHVLPQITPEHRKDLPLDLEEGVLRALCLQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVNYWHQAQNNIAKLPSTDGWAKKHQLFIKWKYAEAKAAAYYYHGLILDEGNTEKSHGVAIAALQASEEYLKESKKACETFNSTPPTSRNPPLWGSMKYLSEKIPKDASSKIRINQDLYSEERTFKTAPTLPDFALALKPDDYQLPPLDPLWNKEDHHC >Ma11_p01740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1223988:1229996:1 gene:Ma11_g01740 transcript:Ma11_t01740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLSTPGEASPLRRAASIGEVAVFVPGFRIPESVDLSQPLGDCLSRGLLDRLSSLRTRMVTMAAQEARRFTKPRRITTTRHGSALSELLRALEDYLPVLLGLVKDGCQLRDKVPFVWINQEDDAEETAMTNAWYEVLSVLHLMAMLSLLQANRLLLPKISSEGYQLKISEENRRKSIDILLKAAGYLDCAIRHVLPQITPEHRKDLPLDLEEGVLRALCLQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVNYWHQAQNNIAKLPSTDGWAKKHQLFIKWKYAEAKAAAYYYHGLILDEGNTEKSHGVAIAALQASEEYLKESKKACETFNSTPPTSRNPPLWGSMKYLSEKIPKDASSKIRINQDLYSEERTFKTAPTLPDFALALKPDDYQLPPLDPLWNKEDHHC >Ma11_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1223988:1229996:1 gene:Ma11_g01740 transcript:Ma11_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLSTPGEASPLRRAASIGEVAVFVPGFRIPESVDLSQPLGDCLSRGLLDRLSSLRTRMVTMAAQEARRFTKPRRITTTRHGSALSELLRALEDYLPVLLGLVKDGCQLRDKVPFVWINQEDDAEETAMTNAWYEVLSVLHLMAMLSLLQANRLLLPKISSEGYQLKISEENRRKSIDILLKAAGYLDCAIRHVLPQITPEHRKDLPLDLEEGVLRALCLQALGQCVDIQLGMAIDSPKATLAVKRRLACEMVNYWHQAQNNIAKLPSTDGWAKKHQLFIKWKYAEAKAAAYYYHGLILDEGNTEKSHGVAIAALQASEEYLKESKKACETFNSTPPTSRNPPLWGSMKYLSEKIPKDASSKIRINQDLYSEERTFKTAPTLPDFALALKPDDYQLPPLDPLWNKEDHHC >Ma02_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17696898:17698036:1 gene:Ma02_g06750 transcript:Ma02_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSDVDEEARMHALQLAMGSILPMTPKAALELLDIIFKAGPGAKLSPSDIVAQLPTENPQAVDMVDRILRLLASHRVVSCTVETHSDGRPLRKYGATPVCKHLIKHDDGDYIYLINERNNAMYHLKDAVLEGGIPFNKDYGMPAFQYHGTDPRFNSLFHEFMKNHAAIIMKKLLAVYRAFDGIEVLVDVGGGVGISLHMITSMHPHIKAVSYDLPHVISEAPPFPGPPQSGDMFESVPSGDAIVLKWILHDWNDEQCARISRNCWKALPEGGKLIVVEYILPSIPESRLNSQGVFGCAGR >Ma08_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21628276:21630121:1 gene:Ma08_g16920 transcript:Ma08_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g26900, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G26900) UniProtKB/Swiss-Prot;Acc:Q9ZVG8] MTSASATDLSLAMQTNSTRRWPALLSRLLRSSRLQPPQLRQAHALAIKVGLDLLPFPVGKLIAAAAAVADAPYARSIFDRVPAPCLFHYTALLRSLSLARTPAVADAFSVFSSLRSSSGVALDQFAFVPTLKVCARGLALRAGQQLHCLVLKLGFQLYVNVRNTLIHLYCRCGRADDDGRRMFDEMPQENDAVSWSALMSGYLQISEPQKVVELFCEMRARFSQINAAVMVITLSASVDLSHHGSEALHCYCIKSGYYSDLNVATAVAAMYAKTKCMDSAAMVFDATKGKDLVLYNCMVDGYVKSGAIKEAFALLARMKDEGVKPNSATFVGLLSACASSGAVVVGRHIQEHIKEEGLELDAVLGTALVDMYSKSGCLDEAIKVFDGMIDRDVQAWTAMITGLGVHGRAEAALRLFHRMEDEGTRPNEVTFLSVLSACSHGGLVAAAKECFERMVSRYGLSPKMEHYGCLIDIFGRAGILEEAHELIRSMPFEGDAVAWRALLAACRVHGNVELGEVAQRTLVSLGDEHPSDVILLSSTYAAADRWADIEQLGSVGADNAMEKKEAGRSLIEMDVPDLLPTTIMGD >Ma01_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3675779:3676764:-1 gene:Ma01_g05230 transcript:Ma01_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILVTMAKLVDTLIGPAVMLLYPLYSSMRAIESPSPVDDQQWLTYWVLYSLITLFELSCWRVLQWFPLWPYLKLVFCVWLVLPFFNGAAYIYENHVRRYVRIGTGVGSTYSGLQRRVMQMVSLDARRSIEQFLDGHGAEALEKVVRAAEKEARSRSRK >Ma09_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8150262:8152850:-1 gene:Ma09_g12050 transcript:Ma09_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRLSRSIGSSKMPCQLSSLSILPAVSPGILASFKKTPFRFGKGRHSLCRIFLLPTSSAVQKYQPTDRRDVKLSSTPSYDLGRTRLGIGVSLYASVPKNWQNQLPHRSFSANPALVDAYDGSAGSRISRVTRANAQKALFEYLHETRSLQFADADHISKNSPVFLQNLLAKVDNEHNVGRSITRFLRYHPINEFEPFFESLGLKPSELNPLLPRDIMFLSDDEVLFGNYHVLCNYGVPRSKIGRMYKEGTDMFRYGYSVLYTKLQAYEKLGLGIPTVIKLVQCCPTILLGDVDDEFLQLLEKLRSIGVELDGIRGCLSDKLSYSWSRILKMLSFLDGMHCSKDELAAFIKSNPRFIFDDSGKKLYILVAILLKLGIKMNNILVLFVQYPQLLVGNFPKNLSESVQFLAEIGMASDDIARILSSHVKVLGSCSYKKPDVVLSRLNLSAKRLCEIIKEDPEQFTNLASRTKASALAKEVGIFLKEKTNFLLKLGFVENSDDMVKALSKFRGRGDQLQERFDCLLNAGLEWGTASEMIKLVPAILNQSTEVIEKKIDYLLNNLGYPLESLVAYPAFLCYSIDKIKLRFSMYFWFKEQGLPTDAKRRKTYHSTIPLGTILSSSEERFTKYFVNCHPGGPDEWKKLKRSVSLR >Ma04_p31310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31645840:31650462:-1 gene:Ma04_g31310 transcript:Ma04_t31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQKAGSQFVVPHSEMAPFSPSAVGSGAHLLGIPGPDPLQQSPMTEAASPISSRTPARPPTVDFDELAPAVAGNCPDDQALAGDEDAERGGGATGNRWPRQETLALLKIRSEMDAAFRDATFKGSLWEEVCRKLGELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALYSGSSDGGATTSTAKPAPAPPLVAASSAFSAGMAGPPVSRPQPISATAPPTMATPTRVVVPDLALPGGLQGLTSSAVAGITFSWNSSSSSSSSDSDAEETGDADENQEGRKRKHGGGSGASRKMMAFFDRLMNQVMERQDAMQQRFLEAIEKRDQDRMIRDEAWRRQEMERLNREQELLAQERVMAASRDTAIISYLQKISGQTVPVPPMPATPISIAPLSPQQPSQHPHERSQPARQQQQQQTPRAPAQSPQNQNECKQHHKSSEVVRHKSSSASEIDPTLEPQEAVGSGSLEPMPSSSRWPKAEVHALISLRSGLESKYQEAGPKGTLWEEISAGMQRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDAIYRKKLLSSGGTSSGSGNIVGIQRQQVQEANPPPNQQKSDAVTIMPQEQAPPPPQEQAGSKNGKDGSSNNQNGGNSEGGEVSLGIQVPTSNGGLPSRFFGEGLNKSENFVKELMGQRQQQAAMDDDYAKLDEADSDNMDQNDDNDDNDDDDEEDRKMQYTIQFQKQNVNNAGGSGGNGSAAASPGSFLAIVQ >Ma04_p31310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31645840:31649227:-1 gene:Ma04_g31310 transcript:Ma04_t31310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSYSAIMAISFFLLSLAFSLIKLGELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALYSGSSDGGATTSTAKPAPAPPLVAASSAFSAGMAGPPVSRPQPISATAPPTMATPTRVVVPDLALPGGLQGLTSSAVAGITFSWNSSSSSSSSDSDAEETGDADENQEGRKRKHGGGSGASRKMMAFFDRLMNQVMERQDAMQQRFLEAIEKRDQDRMIRDEAWRRQEMERLNREQELLAQERVMAASRDTAIISYLQKISGQTVPVPPMPATPISIAPLSPQQPSQHPHERSQPARQQQQQQTPRAPAQSPQNQNECKQHHKSSEVVRHKSSSASEIDPTLEPQEAVGSGSLEPMPSSSRWPKAEVHALISLRSGLESKYQEAGPKGTLWEEISAGMQRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDAIYRKKLLSSGGTSSGSGNIVGIQRQQVQEANPPPNQQKSDAVTIMPQEQAPPPPQEQAGSKNGKDGSSNNQNGGNSEGGEVSLGIQVPTSNGGLPSRFFGEGLNKSENFVKELMGQRQQQAAMDDDYAKLDEADSDNMDQNDDNDDNDDDDEEDRKMQYTIQFQKQNVNNAGGSGGNGSAAASPGSFLAIVQ >Ma04_p31310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31645840:31650462:-1 gene:Ma04_g31310 transcript:Ma04_t31310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQKAGSQFVVPHSEMAPFSPSAVGSGAHLLGIPGPDPLQQSPMTEAASPISSRTPARPPTVDFDELAPAVAGNCPDDQALAGDEDAERGGGATGNRWPRQETLALLKIRSEMDAAFRDATFKGSLWEEVCRKLGELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALYSGSSDGGATTSTAKPAPAPPLVAASSAFSAGMAGPPVSRPQPISATAPPTMATPTRVVVPDLALPGGLQGLTSSAVAGITFSWNSSSSSSSSDSDAEETGDADENQEGRKRKHGGGSGASRKMMAFFDRLMNQVMERQDAMQQRFLEAIEKRDQDRMIRDEAWRRQEMERLNREQELLAQERVMAASRDTAIISYLQKISGQTVPVPPMPATPISIAPLSPQQPSQHPHERSQPARQQQQQQTPRAPAQSPQNQNECKQHHKSSEVVRHKSSSASEIDPTLEPQEAVGSGSLEPMPSSSRWPKAEVHALISLRSGLESKYQEAGPKGTLWEEISAGMQRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDAIYRKKLLSSGGTSSGSGNIVGIQRQQVQEANPPPNQQKSDAVTIMPQEQAPPPPQEQAGSKNGKDGSSNNQNGGNSEGGEVSLGIQVPTSNGGLPSRFFGEGLNKELMGQRQQQAAMDDDYAKLDEADSDNMDQNDDNDDNDDDDEEDRKMQYTIQFQKQNVNNAGGSGGNGSAAASPGSFLAIVQ >Ma04_p31310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31646911:31650462:-1 gene:Ma04_g31310 transcript:Ma04_t31310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQKAGSQFVVPHSEMAPFSPSAVGSGAHLLGIPGPDPLQQSPMTEAASPISSRTPARPPTVDFDELAPAVAGNCPDDQALAGDEDAERGGGATGNRWPRQETLALLKIRSEMDAAFRDATFKGSLWEEVCRKLGELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALYSGSSDGGATTSTAKPAPAPPLVAASSAFSAGMAGPPVSRPQPISATAPPTMATPTRVVVPDLALPGGLQGLTSSAVAGITFSWNSSSSSSSSDSDAEETGDADENQEGRKRKHGGGSGASRKMMAFFDRLMNQVMERQDAMQQRFLEAIEKRDQDRMIRDEAWRRQEMERLNREQELLAQERVMAASRDTAIISYLQKISGQTVPVPPMPATPISIAPLSPQQPSQHPHERSQPARQQQQQQTPRAPAQSPQNQNECKQHHKSSEVVRHKSSSASEIDPTLEPQEAVGSGSLEPMPSSSRWPKAEVHALISLRSGLESKYQEAGPKGTLWEEISAGMQRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDAIYRKKLLSSGGTSSGSGNIVGIQRQQVQEANPPPNQQKSDAVTIMPQEQAPPPPQEQAGSKNGKDGSSNNQNGGNSEGGEVSLGIQVPTSNGGLPSRFFGEGLNKKIS >Ma07_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8930882:8931553:-1 gene:Ma07_g12020 transcript:Ma07_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHHKRNNSPSNSVSSRSSSVNSTSRSFTDGEREEHPEGGGEGNGVLDDWEAVADALSEDVGRDDRHHCHHPDPVVTYIVPAASSGILGEAPLGGSTMKLKPVRSTQRAWRPDDTSRPQSLPSISKKCIFPWNAERDHLVSRQSSILSLPSRCPICYEDLDLTDSSFLPCSCGFRLCLFCHKKILEADGRCPGCRKQYDYMSSGGVEYGRNTTSIIPVVPFV >Ma03_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3060778:3063650:-1 gene:Ma03_g04640 transcript:Ma03_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLVDSLLASPIGFEGYEKRLEITFSEPSIFLDPHGWGLRALSRPQIDSILDLARCTIVSQLSNNVFDSYVLSESSLFIYPYKLILKTCGTTKLLLSIPRILELAAELSLSILSARYSRGTFIFPGAQQSPHRSFSEEVVVLNQFFGSLKSSGNAYVLGGSTNANRKWHIYYATEKPELPMVTLEMCMTGLNTDRALIFYKNSAECHSSSAKKMTEISGISNIIPEMEICDFEFEPCGYSMNGIHGPALSTIHVTPEKGFSYASYEAMGFNSLTLVYQDLVERVLRCFGPSEFSVAVTILGDRGLAGTWAEKIHLDGYTCKDRVDQELPGGGLSIYQMFAASAVTAVSPRSTLPYWEGKYVDRTAKDHAKG >Ma06_p28080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29862204:29867102:1 gene:Ma06_g28080 transcript:Ma06_t28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKEGNQEAERKERRHENGVFTFVDWVDVVLMLLGTIGAIGDGCSINCLLLFASNVMNSLGYGKAQDNHPDFMHNVEKYCLYFVYLGSAVLVVAFMEGYCWSRTSERQVLRIRYKYLEAILRQEVAFFDSQEATTSEIINSISKDTCLIQEVLSEKVPLFIMHSSVFVSGLAFSAYFSWRLSLVALPLVLLLIIPGLIYGKYLLYLSHKSREAYAKANGITEQALGSIKTIYSFTAEKSIVERYAAILDKTVKLGIKQGIAKGLAVGSTGLSFAIWGFLAWYGGRLVMYHGESGGRIYAAGISFVLGGLSLGMALPEVKHFTEASVAAKRILERINRLPRIDAEDPTGIKLDGIHGEVEFDSVQFTYPSRPDTVVLKDFNLRVPAGETIALVGTSGSGKSTAVALLQRFYDVDVGTVRIDGVDIKKLQLKWLREKMGLVSQDHALFGTSIKENILFGKPDATMGEIYAAAMTANAHNFIRQLPEGYDTKIGERGALLSGGQKQRIAIARAIIKNPAILLLDEATSALDSESEKLVQNALDQASMGRTTLVVAHKLSTIKNADQIAVVDCGRIVEMGTHDDLINDRNSHYSRLVKLQRISSNIDQEPESFRPSSVTRSSASRLSLTRASPASFSVFSEDQPSTTSSSPAPSFSRLLAMNSPEWKQAIVGSISAIIYGSIQPIYAFSIGGMIAAFFLQDHAKMQAIIRRYSLIFSSLSVVSIIVNLSQHYNFAYMGERLTKRVRLRVLEKILTFEAAWFDEESHSSGALCSRLSNEASLVKTLVADRISLLVQTASGVVIAMTMGLIVAWKLALVMIAIQPSTMICYYAKKVVLSRVSVGMAKAQHISTQIAIEAVYNHKMVTSFGCADKVLGLFKQAQEEPLRASRKKSWVAGIATGSSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFILVSTGKVIAEAGSMTSDLAKGATAVASVFEVLDRQSLIPGSTNMDRQSDERKLKKIQGKIDIRKVDFAYPTRPQCPVLREFNLEVKAGASVGLVGRSGCGKSTVISLIQRFYDVDRGVVRIDNTDVRELDIIWFRGFTALVSQDPVIFSGSIRDNIAFGKPESTEDEIVEAARAANAHDFISSLKDGYDTDCGERGVQLSGGQKQRIAIARAIVRNPTILLLDEATSALDVQSERAVQEALDRIMVNRTTVVVAHRLNTIKKLDSIAFVGEGRVVERGTYAQLMSKKGAFFDLAALSA >Ma00_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30290100:30290534:-1 gene:Ma00_g03670 transcript:Ma00_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRDAGRALGILCERNAELRKQLEEVRAGAAPEAVAAAEQRSSELEAEATRLRAEATMADKRVSSLEAEVLRLRSEAKAAEEEQSDLRGLLEGAQSEARLARGEAAVLTQRLEDALADMKGASDALVAERERRPEKEKEIIEAYK >Ma06_p33710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34239725:34240939:1 gene:Ma06_g33710 transcript:Ma06_t33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFRLSSIISGLDKLASYITSSSSSSCDPCGTDELVELKDSVVRTRNYVMDSRGVIGDASVKLWLMELNNLVHLIDHIEHQRSSEEQQPQLVTCRKRAKLEKKEPAPDVINCKVQEIRKRFHEMSADWAAIHLTESDVGMQFQQQRSSPSGFQIDESCIFGRDHDKNKVIELLFSDDSKRGNVSIISIVGVAGIGKTTLAQLAYSDPKICAHFTARGWVCVTGDIDAVRLLQAIIQSVTGKACQDKDLVTLERTLERVLKGTKFLLVLDDVKEDDSLWDCLRSPLLGAETSKIVVTSRNELVSNSIQELVLPHHLSSLSEANCWSLFRRFAFDGEDPNEHPNLVEIGRKIAAMCRGWPLAAKTLGVLLRFETDEDRWLDILQGEVLGLVGGLNFTLQPSDGAW >Ma04_p28020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29164096:29167268:-1 gene:Ma04_g28020 transcript:Ma04_t28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSVEISIREAGSRENGRNNTITRINQIAPTQADAVDLNHRRPSANFARRLATLLFFAHLVAAAILIIILCIRGFLARNPAFHADHWFTPLLTSAAASTLVALLWLLFVLHRPAKALKASLWLSPSLACAVAVLLLTDDGGYSLAFGVLALAIALVQSLYGCWITRRLHHAYEILSASVAAVPPNLMLGKYVALALLTAFVYFSFWTLGAGGVAANDDSRLAPLYVLLLLLSLAWTMQAIRYAVLVAVAQLAYTKFASGTDAAVAAAFDATAKRVLGDVCYGSAVVPTVVAVQGMAQAMGLVVGDSDEFLFSCASCFMGVADRLVTSGNRWGFVYVGAHGKWLGNASAEVWDMFIKQGMGQLIDLDLTGPFCFLCGVAGGGVSALVAGSWSLAAHRGHVTDVTVYAFIIGYFMTRIAMAWPQACVAAYHVAFAENPQNEQLGSCIPERLRQLQSSRV >Ma04_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7923946:7932794:-1 gene:Ma04_g11280 transcript:Ma04_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTHESIS POMOTING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT5G14530) UniProtKB/Swiss-Prot;Acc:Q9LYK6] MSAITELSQETVRSMAVGAVFTDYAGKISSIDFHRTEDLLITASEDDSIRLYDIANAKLLKTTYHKKHGADRICFTHHPSSILCSSRYNIESTNESLRYLSLYDNRCLRYFKGHKQRVVSLCMSPINDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGRSMVLTTTNNNIYILDAYGGDKRCGFSLEPSPNLTTEATFTPDGQYVVAGSGDGTLHAWYINKPNEVASWNSYIGAVTCLKWAPRRVMFAAASTALTFWIPKSNEEPSNGEATAGE >Ma08_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1698775:1701305:1 gene:Ma08_g02090 transcript:Ma08_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQVGGTCLQWPQLSPTNSTALSQAAFAALVSSLGCSQRTRGCDRALASPFLGSGTAKLLKSRSLKVRRGRGRRSVDQALRRAFSASLDRFATGDDEEEEEDEEFVLRLEELALELQRQQGDGSEEVTVSESWRSGGGEALSSSASFCSDSSPAPSPLPLTSKQEPSTEAPWVPVRPEPPEWPDQIVPASVEKNANSVELPLSLRIIKRKKRWEDGWLREAGESACCSVKRAFSSMVFMIREIQSYTLQMREVIFREDLQGILSRVQREMNSSFVWLFQQVFSCTPTLMVSVMLLLANFTVFSMGHLEAAAMAAPNPPTQSMVETIVAEDHRQTHHNFSIKKFSSTGRTASIGGGGGGKAKPVAGATGDGRSDDRSLSYRTILPDGVSTAPGATNTEEGKGASGEGESEAVAGAEVQQEEEARLWKAILEEASKTQARDEALMDPETLRRFVSPVTVVLEPDDLADYLRMEIMYHQALSQDPENTLLLANFAQFLYLVLHDHDRAEHYYKRAAGTEPKDAEALSRYASFLWLARKDLVAAEETYLEAIAADPGNAVHAANYAHFLWSTGGEDTCYPLEGDGAWR >Ma05_p14670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10749506:10756119:1 gene:Ma05_g14670 transcript:Ma05_t14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGTIPAAAANGVGVGGGGLSRRRQRNNSGFRDSPEKDGRMEMPETSRLRDRGVKKDRDRDRSGRSKRRRGDRLLHGSNRDRDDAEESSEESIDQDEDDEDEDLSVPVRLPPSSPPLLNPLAASSPQQNNHHHQHQQQSRKTFPPKVVKWKADEMIGFTVPRKARSAATKRTHEMAPVLGAGGGGGGGEQITQQTSISPSRLSPASTSQLSPSSSNGSLRKKMKPISGAKHRPPKISKSASLSQDEIEIEVAEVLYGMTRQFESLAEQDSHKLEARDVDGGSGNETKSRVSSPSSMSPSPAALPSSNLHSIPTPLPTIAPKRKRPRPVKFDEEGPTSPVPSIAKVDSDNQIKTEASSPRLEKNVAFNSLKNGGGSIDVLASQDGLSVVQQQESAKKEKNKIQDLHPLTTVSNIGDKMENKQELVSPVKGSARTDLDATKISLDNLKEKLKIDLMAPPPGKLSPERDDFEPEQKPQGTNVEMASKVNKDKAEAKPAESPLMRDERQIEKSAQKDIDLKKQVVITQNLDLQVDLGKPKKDDSGFDKVQIHRQQVKDSKVEPKQEKSASASSLHMPLTVGTWPGVFPPFGYMGQVPSVQAVVATDRNADPSGSLQPPAFLQMHPRPKRCARHCYVAQMISNHQKFARMNCFWTAAAGATPLYGAKPYNPNMVPPSDAAIPINPMQGSFPGANMGALQDTKGTPELTSYMGNISQEKMQIMDTAQRKPLILQQMPQSGSANNTPHGPAFVFPVNQQQATAASSRPGAAKASVGSGAEVRASGALNSAVGSCGGGGSANPVNMSFASLPPNEAQYLAFLQNNVYPFPIPAHITGAPSFRGTSNPQAMPFFYPPHMLHPSQIRPQQQQLQPAGPLPHVQQSHQSPITLSGPSLQKHLQQLHHVGGGGSGASGASSNGFPAINQQQGLLSQHARPKECNKDMEDNLPTANAARKMCSNGVHRDKQPINHQAQQKQNMMVELTPPQAFTIPFASFGGSGTAIPGLDFSSVAQNHAITYSLPEMSKHGYHQIGTAAVAAAAQATEQKVHQMSEDGKSVARELMNTNVSGEEGRKIMTVSKGPQHSLSFAKGDGESSISSVLNNSIIDISSRSVNPIQSPANGSRSADRSAGTATSTTLVPNSQPQQQHLIHLQQQPLQMQHHPVSSRSKPSASSNNTNIHPESLPGGSTIAKFPHALTGFSQALGQGGSPIQWPQGKTSAGRGVDPSAVAPTQVMMNNVLQLQGRTTQQPFPAQSHQTQISFGMNSNKVVPPGGQHLSGACGSPSPSSATIAVGSPSSSVSKSAGGSPSASASIKPSPQTSAVLLHQQSSVKQSASSSSSKSITMGNPNVLPILGHPQKVPPPAPSSNSKLQQQPQQPKQQAFSEGQLFFSNSHMQQVQCAQSGGSAPIAAQYYQKRQSESQTRQSQQQQQQSSTSSSTGMLSLCAPSALTLAGVSATSDPAKAMAAANSTKGLPPPSFFNATQLAAAAQSASGSPRHPMSAAFTYMSMPPFSMKPSTDHKPGSDNLQACWQPEKR >Ma05_p14670.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10749505:10756119:1 gene:Ma05_g14670 transcript:Ma05_t14670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGTIPAAAANGVGVGGGGLSRRRQRNNSGFRDSPEKDGRMEMPETSRLRDRGVKKDRDRDRSGRSKRRRGDRLLHGSNRDRDDAEESSEESIDQDEDDEDEDLSVPVRLPPSSPPLLNPLAASSPQQNNHHHQHQQQSRKTFPPKVVKWKADEMIGFTVPRKARSAATKRTHEMAPVLGAGGGGGGGEQITQQTSISPSRLSPASTSQLSPSSSNGSLRKKMPISGAKHRPPKISKSASLSQDEIEIEVAEVLYGMTRQFESLAEQDSHKLEARDVDGGSGNETKSRVSSPSSMSPSPAALPSSNLHSIPTPLPTIVISAAPKRKRPRPVKFDEEGPTSPVPSIAKVDSDNQIKTEASSPRLEKNVAFNSLKNGGGSIDVLASQDGLSVVQQQESAKKEKNKIQDLHPLTTVSNIGDKMENKQELVSPVKGSARTDLDATKISLDNLKEKLKIDLMAPPPGKLSPERDDFEPEQKPQGTNVEMASKVNKDKAEAKPAESPLMRDERQIEKSAQKDIDLKKQVVITQNLDLQVDLGKPKKDDSGFDKVQIHRQQVKDSKVEPKQEKSASASSLHMPLTVGTWPGVFPPFGYMGQVPSVQAVVATDRNADPSGSLQPPAFLQMHPRPKRCARHCYVAQMISNHQKFARMNCFWTAAAGATPLYGAKPYNPNMVPPSDAAIPINPMQGSFPGANMGALQDTKGTPELTSYMGNISQEKMQIMDTAQRKPLILQQMPQSGSANNTPHGPAFVFPVNQQQATAASSRPGAAKASVGSGAEVRASGALNSAVGSCGGGGSANPVNMSFASLPPNEAQYLAFLQNNVYPFPIPAHITGAPSFRGTSNPQAMPFFYPPHMLHPSQIRPQQQQLQPAGPLPHVQQSHQSPITLSGPSLQKHLQQLHHVGGGGSGASGASSNGFPAINQQQGLLSQHARPKECNKDMEDNLPTANAARKMCSNGVHRDKQPINHQAQQKQNMMVELTPPQAFTIPFASFGGSGTAIPGLDFSSVAQNHAITYSLPEMSKHGYHQIGTAAVAAAAQATEQKVHQMSEDGKSVARELMNTNVSGEEGRKIMTVSKGPQHSLSFAKGDGESSISSVLNNSIIDISSRSVNPIQSPANGSRSADRSAGTATSTTLVPNSQPQQQHLIHLQQQPLQMQHHPVSSRSKPSASSNNTNIHPESLPGGSTIAKFPHALTGFSQALGQGGSPIQWPQGKTSAGRGVDPSAVAPTQVMMNNVLQLQGRTTQQPFPAQSHQTQISFGMNSNKVVPPGGQHLSGACGSPSPSSATIAVGSPSSSVSKSAGGSPSASASIKPSPQTSAVLLHQQSSVKQSASSSSSKSITMGNPNVLPILGHPQKVPPPAPSSNSKLQQQPQQPKQQAFSEGQLFFSNSHMQQVQCAQSGGSAPIAAQYYQKRQSESQTRQSQQQQQQSSTSSSTGMLSLCAPSALTLAGVSATSDPAKAMAAANSTKGLPPPSFFNATQLAAAAQSASGSPRHPMSAAFTYMSMPPFSMKPSTDHKPGSDNLQACWQPEKR >Ma05_p14670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10749505:10756119:1 gene:Ma05_g14670 transcript:Ma05_t14670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGTIPAAAANGVGVGGGGLSRRRQRNNSGFRDSPEKDGRMEMPETSRLRDRGVKKDRDRDRSGRSKRRRGDRLLHGSNRDRDDAEESSEESIDQDEDDEDEDLSVPVRLPPSSPPLLNPLAASSPQQNNHHHQHQQQSRKTFPPKVVKWKADEMIGFTVPRKARSATKRTHEMAPVLGAGGGGGGGEQITQQTSISPSRLSPASTSQLSPSSSNGSLRKKMKPISGAKHRPPKISKSASLSQDEIEIEVAEVLYGMTRQFESLAEQDSHKLEARDVDGGSGNETKSRVSSPSSMSPSPAALPSSNLHSIPTPLPTIVISAAPKRKRPRPVKFDEEGPTSPVPSIAKVDSDNQIKTEASSPRLEKNVAFNSLKNGGGSIDVLASQDGLSVVQQQESAKKEKNKIQDLHPLTTVSNIGDKMENKQELVSPVKGSARTDLDATKISLDNLKEKLKIDLMAPPPGKLSPERDDFEPEQKPQGTNVEMASKVNKDKAEAKPAESPLMRDERQIEKSAQKDIDLKKQVVITQNLDLQVDLGKPKKDDSGFDKVQIHRQQVKDSKVEPKQEKSASASSLHMPLTVGTWPGVFPPFGYMGQVPSVQAVVATDRNADPSGSLQPPAFLQMHPRPKRCARHCYVAQMISNHQKFARMNCFWTAAAGATPLYGAKPYNPNMVPPSDAAIPINPMQGSFPGANMGALQDTKGTPELTSYMGNISQEKMQIMDTAQRKPLILQQMPQSGSANNTPHGPAFVFPVNQQQATAASSRPGAAKASVGSGAEVRASGALNSAVGSCGGGGSANPVNMSFASLPPNEAQYLAFLQNNVYPFPIPAHITGAPSFRGTSNPQAMPFFYPPHMLHPSQIRPQQQQLQPAGPLPHVQQSHQSPITLSGPSLQKHLQQLHHVGGGGSGASGASSNGFPAINQQQGLLSQHARPKECNKDMEDNLPTANAARKMCSNGVHRDKQPINHQAQQKQNMMVELTPPQAFTIPFASFGGSGTAIPGLDFSSVAQNHAITYSLPEMSKHGYHQIGTAAVAAAAQATEQKVHQMSEDGKSVARELMNTNVSGEEGRKIMTVSKGPQHSLSFAKGDGESSISSVLNNSIIDISSRSVNPIQSPANGSRSADRSAGTATSTTLVPNSQPQQQHLIHLQQQPLQMQHHPVSSRSKPSASSNNTNIHPESLPGGSTIAKFPHALTGFSQALGQGGSPIQWPQGKTSAGRGVDPSAVAPTQVMMNNVLQLQGRTTQQPFPAQSHQTQISFGMNSNKVVPPGGQHLSGACGSPSPSSATIAVGSPSSSVSKSAGGSPSASASIKPSPQTSAVLLHQQSSVKQSASSSSSKSITMGNPNVLPILGHPQKVPPPAPSSNSKLQQQPQQPKQQAFSEGQLFFSNSHMQQVQCAQSGGSAPIAAQYYQKRQSESQTRQSQQQQQQSSTSSSTGMLSLCAPSALTLAGVSATSDPAKAMAAANSTKGLPPPSFFNATQLAAAAQSASGSPRHPMSAAFTYMSMPPFSMKPSTDHKPGSDNLQACWQPEKR >Ma05_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10749505:10756119:1 gene:Ma05_g14670 transcript:Ma05_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGTIPAAAANGVGVGGGGLSRRRQRNNSGFRDSPEKDGRMEMPETSRLRDRGVKKDRDRDRSGRSKRRRGDRLLHGSNRDRDDAEESSEESIDQDEDDEDEDLSVPVRLPPSSPPLLNPLAASSPQQNNHHHQHQQQSRKTFPPKVVKWKADEMIGFTVPRKARSAATKRTHEMAPVLGAGGGGGGGEQITQQTSISPSRLSPASTSQLSPSSSNGSLRKKMKPISGAKHRPPKISKSASLSQDEIEIEVAEVLYGMTRQFESLAEQDSHKLEARDVDGGSGNETKSRVSSPSSMSPSPAALPSSNLHSIPTPLPTIVISAAPKRKRPRPVKFDEEGPTSPVPSIAKVDSDNQIKTEASSPRLEKNVAFNSLKNGGGSIDVLASQDGLSVVQQQESAKKEKNKIQDLHPLTTVSNIGDKMENKQELVSPVKGSARTDLDATKISLDNLKEKLKIDLMAPPPGKLSPERDDFEPEQKPQGTNVEMASKVNKDKAEAKPAESPLMRDERQIEKSAQKDIDLKKQVVITQNLDLQVDLGKPKKDDSGFDKVQIHRQQVKDSKVEPKQEKSASASSLHMPLTVGTWPGVFPPFGYMGQVPSVQAVVATDRNADPSGSLQPPAFLQMHPRPKRCARHCYVAQMISNHQKFARMNCFWTAAAGATPLYGAKPYNPNMVPPSDAAIPINPMQGSFPGANMGALQDTKGTPELTSYMGNISQEKMQIMDTAQRKPLILQQMPQSGSANNTPHGPAFVFPVNQQQATAASSRPGAAKASVGSGAEVRASGALNSAVGSCGGGGSANPVNMSFASLPPNEAQYLAFLQNNVYPFPIPAHITGAPSFRGTSNPQAMPFFYPPHMLHPSQIRPQQQQLQPAGPLPHVQQSHQSPITLSGPSLQKHLQQLHHVGGGGSGASGASSNGFPAINQQQGLLSQHARPKECNKDMEDNLPTANAARKMCSNGVHRDKQPINHQAQQKQNMMVELTPPQAFTIPFASFGGSGTAIPGLDFSSVAQNHAITYSLPEMSKHGYHQIGTAAVAAAAQATEQKVHQMSEDGKSVARELMNTNVSGEEGRKIMTVSKGPQHSLSFAKGDGESSISSVLNNSIIDISSRSVNPIQSPANGSRSADRSAGTATSTTLVPNSQPQQQHLIHLQQQPLQMQHHPVSSRSKPSASSNNTNIHPESLPGGSTIAKFPHALTGFSQALGQGGSPIQWPQGKTSAGRGVDPSAVAPTQVMMNNVLQLQGRTTQQPFPAQSHQTQISFGMNSNKVVPPGGQHLSGACGSPSPSSATIAVGSPSSSVSKSAGGSPSASASIKPSPQTSAVLLHQQSSVKQSASSSSSKSITMGNPNVLPILGHPQKVPPPAPSSNSKLQQQPQQPKQQAFSEGQLFFSNSHMQQVQCAQSGGSAPIAAQYYQKRQSESQTRQSQQQQQQSSTSSSTGMLSLCAPSALTLAGVSATSDPAKAMAAANSTKGLPPPSFFNATQLAAAAQSASGSPRHPMSAAFTYMSMPPFSMKPSTDHKPGSDNLQACWQPEKR >Ma03_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28983520:28985272:1 gene:Ma03_g24730 transcript:Ma03_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTSLSLAILSILLPANSTIDSPNATHESFIRCFLDHTRPSNSSAQLLYMPNTTAYDAVFRSSIRNIRFLNSSSTTKPVLIVSPTNESHVQAAVVCCRKHGLRVRVRSGGHDYEGMSYVSEGDRFIIVDLAALRSITVDAEHGTAWVQAGATLGEVYYTIAEKNRTVGFSAGTCPTVGVGGHFSGGGIGTLSRKYGTAADNIVDARLVDVNGRILDRESMGEDYFWAIRGGGAASFGIVLSYKIELNYVPPIVTAFNVIKTLKQDATKLVTKWQQIGPNLDENLYIRVISLAIDDDEAEGNRTIQAVFNSLYLGTCKELLTVMGSSFPELGFEAADCNEMSWLESVLFFANYSGRPTEVLLDRKLEFDFSFKAKSDFVREPVTETTLEEIWRFLMEAKDEPVLLFMEPFGGVLNEIAESATPFPYRKGNLYIIQYYMWWLETDMETTERHLSWMRKLYALMTPYVSKNPRAAYLNYKDIDLGRTANVWGPKYFKNNFNRLAYVKSKVDPHNFFRNEQSIPPIKSPKTFDEREGERERERERILRCHIISSHSNVQQVSTLATTENLCLVGSNSNYM >Ma03_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4591951:4595166:-1 gene:Ma03_g06680 transcript:Ma03_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSETLTREQFVYLAKLAEQAERYDEMAGFMERLAREHTPSSGELTVEERNLCSVAYKNLVGGRRASWRIISSIEQKEEGRRNEDHTAHARAYRSRVEAELSNICGRILVLLDSHLVPSAATSESKVFYLKMKGDYHRYIAEFKAGEERKAAADDTMSAYKAAQDIALADLPPTHPIRLGLALNFSVFYYEILNSSERACSMAKQAFEDAIAELDSLGEESYKDSALIMQLLRDNLTLWTSDAQEQIDEA >Ma07_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5554410:5561187:-1 gene:Ma07_g07460 transcript:Ma07_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRANGVGAEECDHLVGKDVLLGMAGSKPTKASPRSASDSSMVASCDSGTPLSLRPVFELPPSEEETEAALSILKQVFVLGTFVHASEIGPSLDKKAVNNVSISSEMTSKLAEKESDRHALQLHVEKAMQLSSLKEFYSQGQQKILNLFNLLQKNPDLQRIVVSLSTDKAIWEAIMKNAAVEDLKESFFSGCKVEVGSIQYDLLNGVGDIATIIVRWILDNIKTKVMGLIDKITHLVVELFHCVETERSMHILDDVLRSLFILSLMATIVVVIKRIHSTNAH >Ma02_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20781571:20782401:-1 gene:Ma02_g11740 transcript:Ma02_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKRDKFIKLHCQHQYHKDCITRWLRFNKVCTSDIPCAICVAVSVHHKRLHSSCSIALRLLCSVYRTVFASFEQLGCSS >Ma02_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15266391:15268655:-1 gene:Ma02_g03810 transcript:Ma02_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGGGYLFGLLIGFFADSIGSTLGSVAAFLLGRTLGRSYVVSKLNDYPEFQAVAAAIQKSGFKIVLLLRLAPLLPFSMLNYFLAVMPIGIREYTMASWLGMMVSLFNLFHAMIEDTN >Ma03_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2464084:2464305:1 gene:Ma03_g03690 transcript:Ma03_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFKKDKDGYWKWFAGNLASAMKGRGKAI >Ma04_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9203033:9204418:-1 gene:Ma04_g12200 transcript:Ma04_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYCNTHHIIYIQREREREREREREREREREREREKCSHCLLQLVLMLGS >Ma02_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15413115:15418072:-1 gene:Ma02_g03980 transcript:Ma02_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMSLARRRAGGLLRPETMRHAAVFCRGFAAAADENDVVVIGGGPGGYVAAIKAAQMGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKHAFPSHGVKFSQLEIDLPAMMAQKDKAVSGLTRGIEGLFKKNKVNYVKGFGKFVSPSEVSVDTDEGGNTVVKAKNIIIATGSDVKSLPGITIDEKKIVSSTGALSLSEVPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGIDASGDVVKLTVEPAAGGEQSTLEADVVLVSAGRIPYTAGLGLDTIGVQTDKAGRIPVDKHFMTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKVGHVDYDMVPGVVYTHPEVASVGKTEEQVKALGVAYRVGKFPFMANSRAKAIDDAEGLVKILAEKETDKILGVHIMAPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEAVKEAAMATYDKPIHM >Ma10_p30940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37161555:37165836:1 gene:Ma10_g30940 transcript:Ma10_t30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESFVNTWRRLMHKIGGNNWIVNSSCPMRLLKFSPRIVIVVLVVAASILGVLTHSFLQGGAWIAADQKLGLVVGPLLKGPADPPVFAYWISGTGSQGQKMLRLLKAVYHPRNRYLLHLDAGSSAVERSNLARSVQSERLFRAFRNVNVIGQSYAVDRTGPSVLAATLHGAAVLLRLNADWDWFITLSASDYPLVTQDDLLHVFASLPRNLNFIDHTSDLGWKEYARFDKIVVDPSLYMDKNSQLLISSGTRKTPDAFKIFTGSPWVILSRAFVEHCIQGSDNLPRKLLMYFANVAYSMESYFQTVICNSPEFQNTTVNNDLRYIVWDNPPGLEPLFLNQTHFKAMIKSRAAFARKFVEDDPVLKKVDKRILKRVQSGVGFGQWCSSRPGNKKNKSKGDACLSWGDINVVKPGPSATRLKSLVAELISEDKLYSDQCKF >Ma04_p31280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31614511:31633817:1 gene:Ma04_g31280 transcript:Ma04_t31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSGFGYPLAHLLLFLSFFLAESRDFLSDQHRGNNAYLTRDEHWFNQTLDHFSPTDHRKFRQRFYEFLDYYQVPKGPIFLKICGESACNGIVNDYISVLAKKFGAAIVSLEHRYYGKSSPFKQLTTNNLRFLSSKQALFDLAVFRQYYQEYLNAKYNISETENPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAIYNFKEFDQQVGDSAGAECKAVLQEITNLVDEKLQSDGKSVKKLFGAAKLQNDNDFLYLLADAAAIAFQYGNPDVLCSPLVDAKKNGSNLLEVYANYVRNYYLGKFGASLESYDQQHLKNITPGENSADRLWWFQVCTEVAYFQVAPKNGTVRSPNIDTRYHLDLCKNIFGEGVYPDVEMTNIYYGGTRIAGSKIVFTNGSQDPWRHASKQKSSEDLPSYLIKCHNCGHGSDLRGCPQSPLNIEGNAEKCTSPEAVQKVRQQIIQHIDLWLSQCQATGPGDDEPALRMTASS >Ma04_p31280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31614511:31633495:1 gene:Ma04_g31280 transcript:Ma04_t31280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSGFGYPLAHLLLFLSFFLAESRDFLSDQHRGNNAYLTRDEHWFNQTLDHFSPTDHRKFRQRFYEFLDYYQVPKGPIFLKICGESACNGIVNDYISVLAKKFGAAIVSLEHRYYGKSSPFKQLTTNNLRFLSSKQALFDLAVFRQYYQEYLNAKYNISETENPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAIYNFKEFDQQVGDSAGAECKAVLQEITNLVDEKLQSDGKSVKKLFGAAKLQNDNDFLYLLADAAAIAFQYGNPDVLCSPLVDAKKNGSNLLEVYANYVRNYYLGKFGASLESYDQQHLKNITPGENSADRLWWFQVCTEVAYFQVAPKNGTVRSPNIDTRYHLDLCKNIFGEGVYPDVEMTNIYYGGTRIAGSKIVFTNGSQDPWRHASKQKSSEDLPSYLIKCHNCGHGSDLRGCPQSPLNIEGNAEKCTSPEAVQKVRQQIIQHIDLWLSQCQATV >Ma03_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25288579:25296617:-1 gene:Ma03_g20110 transcript:Ma03_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTEKGQTSMSSKQSSQQFSSGNQSAQIDLLPMYTPMAVNWGSQEWFSAERNLVQTGMQVPVPLNVGSYQLFSIRNQPMQIESSYRSQIPMPIFVGLDQLSSSNRPVLGPQSSLNIRPSMSSNLASQPLSSTNKRPIQIRAPTKLQSVMPMKMVSQSSSMNKRPAQMELPRKVQSESFESVRSKLRESLAASLATVSDQQSKQQIGEKSTDGKTSSTEAKMVIPSGDLNSETKDASSDKFARETLVADGSAPKYDEVQSLASDKSSKEKTTVNTVLTRSDVEALQSKDVLVQDEVPNDKSFVKDELLQGHGLCWVSELDAETVDDSVTSDQKRLKMTNEHETGGKGTTVQNAEDLAFRIEAELFRLFGGVNKKYKEKGRSLLFNLKDRSNPELRERVLSGEIAPERLCAMTAEELASEELSQWRLAKAEELAQMVVLPDSDVDLRRLVKKTHKGEFQVEVEQAERFPVEVELRASVISRVPSKTKEDVKKQSKSDLKDDEPKSSERSSSVTKIDSGDQNLPSDLDKNDLMQELMVGELKDPELLPPIVSLDEFMQALDSEPPFENLPVDSSQEVPSSGLEKLDCLETEKLPVSDSMEHKQDSASGSVEPKPDSPEDGSVSKLESPQEGIQTKLHSSDDNSEDPAAVSPDEMDVDHSRDNDDLKSGSANIQSDTCPTEVAATGNKIWEGLIQLNVSSVATVNVFYKSGEKSSTQEWPSLLEIKGRVRLDAFEKFLKELPLSRSRAVMIAQFCWKEGSPESGRLNLLEVIDSYIADERVGFAVAAPGVELYLCPSRLRTIEMLEKFLPKEHSETLPTTADGLFAVVVWRRPHEMLSPRVSSHHKHGSSKKHSSSRRQHNSNSYSASRSSAASLPAADARLPPEDDTEDVPPGFGPRDEDDLPEFDFARGSSQGSQPVASRRLGSGATRSRVLPPPARPVEHIREMIHKYGQSERVKKRSFNIQPWNVDDDDDDDDIPEWQPQQDCQPQTQSLPPPPPALPPPPPPPPQHPQLHAYQQQTLQSYHVNHQMLPLQPQQLPPQSYTPSQQLVPMAALPPAVVQQPPLPPQIAVMHQPRWQQAPLLSPATNLMQATQYNSQPNVEGQLYSLPNLGTLQQQNLMGWRTGVFGNRGP >Ma10_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30687274:30688730:1 gene:Ma10_g20600 transcript:Ma10_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHPFRKAQRARGPATIMAIGTANPPNLYEQSTFPDFYFRVTNSDHMPELKEKFRRICGKTMIKKRYMHLTEEVLKDKPGMCSYMDPSLDERQDIVVEEVPRLAKEAAAKAIEEWGRDKSDVTHLVFCSTSGVDMPGADYRLVKLLGLPLSVNRIMLYSQACHIGAQMLRIAKDIAENNKDARVLVVACELNTLIFRGPDERDFLSLAGQAAFADGAAAVIVGADPIQGVEKPIFEMMSASQVTVPDCERAVGGHLKEIGLTFHFMNQLPMLIANNLENCLLEAFKPLGITDWNEVFWVSHPGNWGIMDAIERKVGLKQEKLRSSRHVFGEYGNMMSATVLFVMDDVRKRSAAEGRATTGDGLEWGVLFGFGPGLSIETVVLRSVAL >Ma09_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36310603:36320846:-1 gene:Ma09_g24660 transcript:Ma09_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKEGDWECSGCRNRNYAFRCFCNRCKQPRLLVDTMSPADAKWLPRVGDWICTSCSNNNYASREECKKCGQTKDEAAMPALAMPGSSLLTNAHYTGMLQGLYGSQMNSALSGNPAIQSLLPSSSWSSWENNKFGMQSALNYSFTQNSRGQYSFSGNPSELLPAPKDWRYGDWICNCGFHNYSSRTECKKCNAPLSSSAPSIATSIVSDMFSTLGTKCLASEEFSFGWDDRRLNSGVVNNNLLTNGQGNSYLGFEQQASYNYDQVAGDYSKYFSADVTIGSKQVNVHSLQQRTMPTLIGKGAKHWRDGDWMCNNCNNHNFASRSICNRCKTQKEAAILPVSVA >Ma09_p24660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36310603:36320846:-1 gene:Ma09_g24660 transcript:Ma09_t24660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKEGDWECSGCRNRNYAFRCFCNRCKQPRLLVDTMSPADAKWLPRVGDWICTSCSNNNYASREECKKCGQTKDEAAMPALAMPGSSLLTNAHYTGMLQGLYGSQMNSALSGNPAIQSLLPSSSWSSWENNKFGMQSALNYSFTQNSRGQYSFSGNPSELLPAPKDWRYGDWICNCGFHNYSSRTECKKCNAPLSSSAPSIATSIVSDMFSTLGTKCLASEEFSFGWDDRRLNSGVVNNNLLGNSYLGFEQQASYNYDQVAGDYSKYFSADVTIGSKQVNVHSLQQRTMPTLIGKGAKHWRDGDWMCNNCNNHNFASRSICNRCKTQKEAAILPVSVA >Ma01_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12135270:12137785:-1 gene:Ma01_g16720 transcript:Ma01_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAYARASRAEASKHEKGPEVTFPTGGPEPAEHDDALVISVRIANAQVRRIMVDTGSSADILYWDAFQKLGLVKENMKPVCSTLTGFTGASISSLGVITLPLTLGVFPKAKTVMTSFLVVDLPTAYNAILGRPTLNKTRAVISTYYQTIKFPTHDGVGEVAGNSWESRRCYLTAVSLNKRARIQSPLEDPREGKKPTPRLEPKESTIDLPLIEGRPDQTVKVGSGLPEQEQQQLVGLLRANADIFAWTPTDLVGDHPEVALHHLNISSDARPVKQRPRRQAPDRQLAIREEVNRLLAAGFIEEARYPQWLSNDGTRRPRTHSLPHRARDIFLQSHVVRVEKCRGNLPEDGEQDIRHQIGRNMEIYVDDMIVKSRTAEAHPSDLAETFDTLRRFGLRLNPAKCAFGVTSGKFLGFIIHERGIDANPEKIQAIIDMQPPRTIRDLQRLNGRLVALSRFLSRSGDRCLPFFQALKDPKNFRWTTECERAFERMKQHLANLPRLASVSPGEKLSLYLAASQHAVSSVLVKENSGDQLPVYYVSHMLSGPEERYPPIEKLALALALALVLSARKLRPYFQAHPIEVITDQPLRLVLSKFDVAGRLLKWAVELSEHDIQYIPRTAIKAQAVADFIAELTPNTGEELEPPRETWTLHVDGSANAKRAGAGLVLVTPDGRSIERSFRFGFRATNNEAEYEALLAGLQLALEMRVTDIRVITNSQLVARQLDGGYEARDPTMAKYLAQVKSLATKFAHFELSNVPRSENQRADTLAKWASGSAP >Ma07_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12146899:12148173:1 gene:Ma07_g15950 transcript:Ma07_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRFFLIACSLAAIATAGNFYRDFDITWGDGRAKILEGGQLLTLTLDKTTGSGFQSRNEYLFGKIDMQIKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFTQGKGNREMQFKLWFDPTKDFHTYSILWNPRHVIFMVDGTPIRDFKNLESRGIAFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAAFTASYRDFRADACVPSSAASRCASNAAPGNSGWWNQELDLTGQQRMKWVQRNYMVYNYCSDLKRFPQGLPPECSIA >Ma00_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3682478:3694606:1 gene:Ma00_g01000 transcript:Ma00_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGAHVDDVERLSCCRGSSHDTGCIDDKGLSVHLFNSQDTLASSSYVSFRVTEVTLPSGDKYCGTLLGNVPEGSGKYVWSNGCTYEGEWRRGMRHGHGKIMWSSGAVYDGEFSGGYMHGNGTYSGPDNLTYKGRWKLNLRHGLGYQTYPNGDIFEGSWIQGVIEGHGKYAWANENMYIGNMKGGKMSGKGTFTWKNGDSYEGNWLDGMMHGFGVYTWVDSGCYVGTWTRGLKDGKGFFYPHGSKIPAGQELYLNALRKRGLLPDFKRQNHGSRILHASSVDMGNMKVGETRESGNVPTISVPKGHLINFEQSRAKHVSLERRWSLEVAIEKVIGHDMTLESVAESDEKAVDTNVPILEREYMQGVLISEVVISNSFLMSPRKAKRRQKRLMKEIKKPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMNFPKEGSQLTPPHRAEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGSAALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDNVEIDENTTLKDLDLDYCFYLEPSWRDALLKQIEIDSKFLETQRIMDYSLLLGVHYRAPRHLQSYVSFHQNVTADGLTARPEEDTQEDEIFNYPQGLVLVPRVSDGNSVVVGPHIRGSRLRASAAGFVEVDLLLPGTARLQIQLGVNMPARAEHIPRDDGTELIHEVYDVVLYLGIIDILQEYNMTKKIEHAYKSLQFDSISISAVDPEFYSQRFLKFIQTVFPENA >Ma03_p05340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3476932:3495466:1 gene:Ma03_g05340 transcript:Ma03_t05340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIADGGGGVSASREHLVFAYYVTGHGFGHATRVVEVVWHLIAAGHDVHVVTGAPDSVFTSNIQSPNLYLRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRASILAAEVEWLKSIKADLVVSDVVPVACRAAVDAGIRSVCVTNFSWDFIYAEYVMAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRSLRRSRSEVRKELGIGDDVKVVIYNFGGQPAGWKLKQEWLPAGWLCLVCGASDKQELPPNYVKLAKDAYTPDYIAASDCMLGKIGYGTVSESLAYNLPFIFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGSWTPYLERALTLKPCYEADINGGEVVARILQDTANGKHYVSNKLSGARRLRDAIVLGYQLQRAPGRDIGVPDWYSLAENEIGLRAASPMTETNDENFLVESCFEDFDILHGELHGLPDTMAFLKSLSGLDSITDSKNPEKRQMRERNAAAALFDWEEDIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQKNHPSKQKLWKHAQARQQAKAHGAIPVLQIVSFGSELSNRAPTFDMDLTDLMDGERPMSYENACKFFSQDPSQKWAAYVAGTVLVLMTELGVRFGQSISILVSSAVPEGKGVSSSASIEVATMSAVAAAHGLKIDPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVAIPTHIRFWGLDSGIRHSVGGTDYGSVRIGAFMGRRMIKSEATSLLSNSLANVNVSHNVDGMNSDDYEEHGIELLKAEASLDYLCNLSTHRYEALYAKRLPECINGETFLKKYDDHNDTVTVIDPNCTYGVKASTKHPIYENFRVEAFKSLLTAAKTDEQLSSLGELMYQCHYSYNECGLGSDGTDRLVNLVQEMQHRKSSHDGSTSLFGAKITGGGSGGSVCVIGRNCIQSSEEILEIQQRYKSATGYLPFIFEGSSMGAGKFGYLKIRRRPSSAVAPTNI >Ma03_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3476932:3495466:1 gene:Ma03_g05340 transcript:Ma03_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIADGGGGVSASREHLVFAYYVTGHGFGHATRVVEVVWHLIAAGHDVHVVTGAPDSVFTSNIQSPNLYLRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRASILAAEVEWLKSIKADLVVSDVVPVACRAAVDAGIRSVCVTNFSWDFIYAEYVMAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRSLRRSRSEVRKELGIGDDVKVVIYNFGGQPAGWKLKQEWLPAGWLCLVCGASDKQELPPNYVKLAKDAYTPDYIAASDCMLGKIGYGTVSESLAYNLPFIFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGSWTPYLERALTLKPCYEADINGGEVVARILQDTANGKHYVSNKLSGARRLRDAIVLGYQLQRAPGRDIGVPDWYSLAENEIGLRAASPMTETNDENFLVESCFEDFDILHGELHGLPDTMAFLKSLSGLDSITDSKNPEKRQMRERNAAAALFDWEEDIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQKNHPSKQKLWKHAQARQQAKAHGAIPVLQIVSFGSELSNRAPTFDMDLTDLMDGERPMSYENACKFFSQDPSQKWAAYVAGTVLVLMTELGVRFGQSISILVSSAVPEGKGVSSSASIEVATMSAVAAAHGLKIDPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVAIPTHIRFWGLDSGIRHSVGGTDYGSVRIGAFMGRRMIKSEATSLLSNSLANVNVSHNVDGMNSDDYEEHGIELLKAEASLDYLCNLSTHRYEALYAKRLPECINGETFLKKYDDHNDTVTVIDPNCTYGVKASTKHPIYENFRVEAFKSLLTAAKTDEQLSSLGELMYQCHYSYNECGLGSDGTDRLVNLVQEMQHRKSSHDGSTSLFGAKITGGGSGGSVCVIGRNCIQSSEEILEIQQRYKSATGYLPFIFEGSSMGAGKFGYLKIRRRPSSAVAPTNI >Ma03_p05340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3476932:3495466:1 gene:Ma03_g05340 transcript:Ma03_t05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIADGGGGVSASREHLVFAYYVTGHGFGHATRVVEVVWHLIAAGHDVHVVTGAPDSVFTSNIQSPNLYLRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRASILAAEVEWLKSIKADLVVSDVVPVACRAAVDAGIRSVCVTNFSWDFIYAEYVMAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRSLRRSRSEVRKELGIGDDVKVVIYNFGGQPAGWKLKQEWLPAGWLCLVCGASDKQELPPNYVKLAKDAYTPDYIAASDCMLGKIGYGTVSESLAYNLPFIFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGSWTPYLERALTLKPCYEADINGGEVVARILQDTANGKHYVSNKLSGARRLRDAIVLGYQLQRAPGRDIGVPDWYSLAENEIGLRAASPMTETNDENFLVESCFEDFDILHGELHGLPDTMAFLKSLSGLDSITDSKNPEKRQMRERNAAAALFDWEEDIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQKNHPSKQKLWKHAQARQQAKAHGAIPVLQIVSFGSELSNRAPTFDMDLTDLMDGERPMSYENACKFFSQDPSQKWAAYVAGTVLVLMTELGVRFGQSISILVSSAVPEGKGVSSSASIEVATMSAVAAAHGLKIDPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVAIPTHIRFWGLDSGIRHSVGGTDYGSVRIGAFMGRRMIKSEATSLLSNSLANVNVSHNVDGMNSDDYEEHGIELLKAEASLDYLCNLSTHRYEALYAKRLPECINGETFLKKYDDHNDTVTVIDPNCTYGVKASTKHPIYENFRVEAFKSLLTAAKTDEQLSSLGELMYQCHYSYNECGLGSDGTDRLVNLVQEMQHRKSSHDGSTSLFGAKITGGGSGGSVCVIGRNCIQSSEEILEIQQRYKSATGYLPFIFEGSSMGAGKFGYLKIRRRPSSAVAPTNI >Ma03_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25556441:25558664:-1 gene:Ma03_g20390 transcript:Ma03_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSLKFNMSNGLLPEACADPFDKVANGFPYGFDGYFPDKLSPPFDSIESRVPTEDIQDVVLPFYVTQPNLSIASMAHTFEPPIACRCPSELSVINHHPQMEVEMNRKSMSARRNGGKAQKKTNVVKGQWTLDEDRLLIRLVEQYGLKKWSHIAQMLHGRIGKQCRERWHNHLRPNIKKDTWCEEEDKILIQAHSEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRCRNTRNPKSGMLLQNYIKSLALSPAPSTRKRKPGNSSKSTVSAMPAEEPSTAADAYRDGDDHLVPACDFSDVMVSLLFQDEEKVPAEGYDVGHLFDQLGCGPGVDKSLEMEMEYWDDIVATPQSYEDVKPEMDLVEMMSQNSSTSNNMS >Ma10_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29519831:29521140:1 gene:Ma10_g18680 transcript:Ma10_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLRSGLSFRCVHETGLERKETDVPGLDVLSWKNDIKGRRSLLKEPRDSHEWRPQSHPISPIHGQNTSVCAARAFRWWEKNTSSNMTEIESTNQLVHSLLHAEDKLVIVDFYSPGCGGCKALHPKICQQAEMNPSVMLLRVNCEEHKAMCRSLHIHVLPFFRFYRGAQGRVCAFSCTNATISKFNNALAKHGMDRCSIGPAKGLEEGELLSLASNPDAHFGYPWRSLSQDLALSIPDESSVGEVEAAAATAALALRGSQIC >Ma03_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25121614:25122617:1 gene:Ma03_g19850 transcript:Ma03_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESRKERLDQPLKPSFPESLLVDGKPTARGTRGRKAAAATNGDSVVKPVMAAVPRSQVLGKVKDFLGVIAEANEKLEVDVGASSRADYNVEVLTGNEEEYIEMDLLLGITDLHTAEAVEAAEAVMSGFRPSAPSTSSISSDSDDDSDDDAEKLKVGSDESVRSDTRSKRPKIVVLDSRTD >Ma04_p30640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31234115:31236366:-1 gene:Ma04_g30640 transcript:Ma04_t30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRSYLISRSFRSSREQESNWHCMDCYFHESSGNKKRRLDEKFEERQLEGQVKMNRSPQQPETPDIKDMELPLDGPYHHRSDGKYSDSNIVSRLGRELIISCLLHLSRSDYGAVASLNRMFRSLIHSGELYQLRRKMDIIEHWVYFSCSIHEWDAYDPYRERWIMVPKMPPTESFMCSDKESLAVGTELLVFGKEVNSHIVLRYSILTNSWSPGVVMNSPRCLFGSASLGVKAIIAGGINAQGDIVSTAEIYNSETHTWETLPSMNRARKMCSGVFMDGKFYVIGGMASNTKVLTCGEEYDLERRSWRVIPDMSSGLNGASGAPPLVAVVNNELYAAHYADKELRKYNKVNNTWVGLGRLPESCVSMNGWGLAFRACGERLIVIGGTRDSFGGMIELNSWIPNGEPPVWNMIASKHSGNFVYNCAVMGC >Ma04_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4103872:4111998:1 gene:Ma04_g05510 transcript:Ma04_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALSPSVSLRLSSSPKVDASAATHRPFFLRPHCVRPPRASSSASEHHQIVDSPPPPAPEIPPVPDKLNRYSSRITEPKSQGGSQAILYGVGLSDDDMRKPQVGVSSVWYEGNTCNMHLLHLAEAVREGVREAGMVAFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMAAQWYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEYVSGSINDDERMNVVRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPCDIITEKSLRNAMVVVMALGGSTNAVLHLIAIARSVGLHLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPGVIRFLLEEGFLDGDCITVTGKTLAENAKLFPALSEGQQIIRPLSNPIKATGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEESMIAAISENPMNFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGYVVGHICPEAQAGGPIGLIQNGDIITIDVVKRRMDVHLTEEQLAERSNNWSPPPLKATRGVLLKYIKNVQPASSGCVTDE >Ma06_p38790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37431971:37445793:1 gene:Ma06_g38790 transcript:Ma06_t38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIASSPSSAALLTKGGSWTGSARPPPSLLIRLQRSLPRVRVFAGNASPADTDLSNELDAVLSFSEIVPDTVVFDDFERFPPTAATVSSSFLLGLCSLPNTKFKSAIETALADSECNGKENSSDRLSCFSNKALVNVGADLARFVPGRVSTEVDARLAYDTRGIIRKVHELLKLYNEVEVSSQRLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFSQAAAAAQAGASVIQIFVGRIRDWARNHSGDPEIEAACRNGEDPGLALVKKTYNYIHKYGHKSKLMAAAVRNKQDIFSLLGIDYIIAPLKLLQSLKESVTYPDEKYSYVRRLSPGSARMYNFTEEELVKWDQRSFASAMGPAAEELVAAGLEGYVNQTRRVEELFGKIWPPPNV >Ma11_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24606980:24609045:-1 gene:Ma11_g19950 transcript:Ma11_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPSSSSSSTEHHFVDIHPVLSDGNDNVNRLRERQSSNTAVKFRRGSGGGGGDVARTQPQMSTFSRQISLESGMAVLNMEKKNVKGGSNGNTKEEQRVLLRNGKSLGGLNVAGGDAGQRKGDFSIFRTKSTLGARQNSLMPLRKENEPDLTHGEGVPGSTGADERVNKSVPAGRYFAALRGPELDQVRDSEDILLPKDEVWPFLLRFPIGCFGICLGLGSQAILWGALASSPAMAFLRVSPYINIALWLLALVILVSVSVTYTLKCIFYFEAIRREYFHPVRVNFFFAPWIACMFLAIGTPPRWVPKHLHPAIWCAFIAPVFVLEVKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAAKVGWGEAGKFLWAVGLAHYVCVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASIAWTTIYGKFDAVSTTFYFIALFLYCSLVVRINFFRGFKFSVAWWSYTFPMTTASLATIKYAESAPCFVSRGLALSLSLMSSTMVSLLFVSTLLHAFVWRSLFPNDLAIAITKSRNGGAKAHGKEKKADRKGYDIKRWAKQSPLSLVSSIRKGNSADKDSEVK >Ma02_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27764205:27765436:-1 gene:Ma02_g22430 transcript:Ma02_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASSPTALLLLLLSCIAFLCSSSEAYDYPPLADGLSFDFYDATCPLVEHLVRLYLEQAFGNDTGLAAGLLRVHFHDCFVQGCDGSILLDGSAGGPSEKDAPPNLTLRPAAFKAIDELQALITAACGHVVSCADVAALAARDSVYLSGGPDYEVPLGRRDGLSYATMEAVLSFIPPPTSNVTDLIDLFGKLDLDAYDLVSLSGAHTIGIAHCASFENRLFPAQDPTLDQTFAENLYLTCPVANTSNTTVLDVRSPDAFDDEYYVDLLNRKGLFTSDQGLYTDARTQPTVTGFAVDQSLFFEKFVYSMTKMGQLSVLTGDQGEIRKNCSAINAVDDFLWSVVDGDGGESKLL >Ma08_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37936382:37936555:1 gene:Ma08_g24880 transcript:Ma08_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDILQEAKTRNSDSIISAAWQVVDRLRI >Ma08_p25750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38478044:38482314:1 gene:Ma08_g25750 transcript:Ma08_t25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLISLYPPPVGASTCLPPRVSSSSSSSSLPILHLSSSDRGRQPRFPAIATKAKPNRRAKTLLEPQEVSEIGDEDDVEDEGGGVDAFPFGDGFTAEEGGGGEENSEGDEDGVLNSSGGYGGRGDGKDYDKDPELAEILASCFDDPQKAQSRVEERIRRKRDKILHSKTGSATPMKVTFNKFHYSNSYIWFEFYNAPLAKDITLICDTIRSWHIVGRLGGCNSMNMQLSQAPLESKRPSYDAIQGANITPTTFYNIGDLEIQDNLARIWVDIGTSEPLLLDVLINALTCISSDYVGIKQVVFGGSNFENWKENLTSEDAGYSIHKI >Ma03_p27850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31082352:31093160:-1 gene:Ma03_g27850 transcript:Ma03_t27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSSRSRSDRKERKDALYADGAEEVGTFGDRKSNYKLFDSGELRVVPTKAVAGKVPETSSILGRASIAGLEKAVEVLDTLGSSMSNLNPSSGFTSGVTARGYKISILAFEVANTIAKGANLWRSLSDENINILKEEVLQSDGVRKLISADANELLWITAADKREELDFFSREVIRFGDLCKDPIWHNLGRYFEKLSLDMTPTEQSKEEAEMMMQLLIYLAQSTSELYHELHALDRYEQDYRRKLQEEELIPAARQESILNSELKRQKKLVKSLKKKSLWSRNLEEVVEKLVDIVTFLYKQIWESFKTSGCNLLDYKPAQNQTLGASGLALHYANIINQIDNIVSRPLSLPPTTRDSLYQGLPTRVKAALRTRLQSFDAKEEYTVAQIKAEMQKILCWITPLAENTTRAHQGFGWVGEWATMGTEINKKPDMQNCIARIQTLHHANKEKTEEYILELVVWLHHLVIQVKNRGYGLTSASPVQSQPNKGTVVISESIREPSQACNGRIEGALLSEDERNMLEQVTLRKITLGRSKSLDLEKRARRHRGRNRSCENSPDKEFNVALDWKLERSRVLDVMDGLNTLGALPVVQFCT >Ma08_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14882069:14884487:-1 gene:Ma08_g14950 transcript:Ma08_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLVLCLVACSLLVDGGSGAAAASGGKEVHGRTPDMRMPGYLYIRTTGRCTPQFWSSGREPWPNTVPHDSSVSKVFGSRLLERYEPGLTLLEATQRTDDIGGSAFSKLVKQSSAALLNAYSRPGFPYSAWEIKSLVLEALVSESSAASQAEQFKQANEACN >Ma10_p27180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34570846:34575147:1 gene:Ma10_g27180 transcript:Ma10_t27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDDGPLNISSTNVFAALETLKKKKKSSKESKGKGSSKSQAKEPEPQVFWAPTPLTVKSWADVDDDDDYFATTAPPQSVWGSSGQQQQQHKKAAAVVEEESESEDDGLDIGDDDAEEEPEHEAEVAASTEPVMEKPVPVSVSSKDAERHMSKKELKKKEMAELDAILNELGISSKDSDAAHHETNDKKQLEQSGDGKNKESAGAPSEGKSSKKKKAKKEKSSKDTKEQEQQLPRLNGDDKSPDDSVAEPREEDAPAVDVKERLKKVTSTKKKKSNRETDAAAKAAAVEAAARSARLAAAKKKEKSHYNQQPVR >Ma06_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13250530:13250964:-1 gene:Ma06_g19320 transcript:Ma06_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLYISIVFVLFMWHGIFLQLFVLPLVYDIFLHLFDTHVIYEVNDHGDYMLRIVEGWEENETHDPDVPAISHSRGLTPFVFVPFEEVLLSIEGFIP >Ma07_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1302424:1317590:1 gene:Ma07_g01670 transcript:Ma07_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHSSSILVFLDLPQFLHQSHPAAFLRFPALSPLASSKSLRRAAGKLLSIDPVLSISRAAARSSPAEGGADGGALVDEGKKQEELIGADKSAFPPDGAGNGGSLLRRQKQQRNAASGDSVSLGIREPVYEVIEVKSDGTMSTRKINRRQLLKSSGLRPRDIRSVDPSLWLMNSMPSLLVRDQAILLNFGSLRAIVMHEHVLVFNYNRKGGRAFLKSLLPRLNSKDSSGGPAMPFELEVVEAALLSRINRLEHKLMDVEPRVVELLEVLPNRLTGDVLEQLRLSKQTLVELGSRAGELKQMLLDLLEDPHEIRRICILGRNCRVHRGSSEMECSLPMDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLRSYLEEHSYAFWLTTAGIIIGAVAAFFVVYSYLKTRRVL >Ma06_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10134328:10138541:1 gene:Ma06_g14850 transcript:Ma06_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLEMGSSGGTSEIVESVEESNLDNYYGGSKMLRIKLKTNVQDGKCHDVEDDLGKLLRTIDLRTSSGARGPMSKPDVDLLQKNALKKPIKVGASRPSGIGISESVTLKQALRRLCISQASEMAAMKRLSKPISLSGTSEAGTIKKLYASVVVQSSDSCLPINGGQRNLVEISIMPERVTAVPSKKATVPGQVQNSESSDNNAVSSPISSVLNRRKVTKIRIQDVINPTSEDSFESQSAAVETEKKGKSVSKASTSSSQAVAASSKGMVSQHLTKPVHRNKAIRKKGKPEPASAPDVSTKHSEVNIGGVTVPTTKPCCPKEPVTPACITPTTKLCRLKEPSAAACITATTKSCRPKEPTTPACTIPTTKTRRPKAPATPATSTLNPAAVIGKERIDTGANKTLSSPNIHGSGRAIGVKSSEFSRSREKGECSHSSKSSIGDYSSSTSISEESHQSGASSKGCRPHMSKDLRWVAIHQILIQQGSLGLKNFRLLKRLGCGDIGTVYLAELAGFECLFALKIMDVEFLVSRKKMLRAQTEREILQMLDHPFLPTLYAHFTTENLSCLVMEFCPGGDLHVLRQRQPCRSFTESAARFYVAEILLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPTLVRSSSLGTQESAKKLSGPCAENSCIDPLCLRPSWVQVSCFTPRLLSSTQEKTRKEVGGQVRPLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSAVDWWTFGILLYELLFGRTPFRGPGNEETLANVVSQSLKFPENPSVSFHAKDLIRGLLVKEPENRLGSVKGAAEIKQHTFFDGLNWALIRCAAPPETPRSHEIGTPLMLRKKEGKCLDFRANGEDVEFELF >Ma05_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9975139:9998202:1 gene:Ma05_g13750 transcript:Ma05_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDPKDDVDRLFACFKCGISTPESAIKEKRLHPETSKKAGLVRGKASTVVGIATSSLSEQFGQDSTGKEKLGACTSVAIKLKSGKQISPIVFYGSPHGAPIKRPSRLLRLLHEIRIDLKEDNDLIVREELWTTFPRQEEAIRFLKAHAQAKLFSYQDHLSGQRRFLVSTYSEFWRRYRCMDPKLRHHYEVIKEGLPCHLYFDLEFDKTVNADKNVDDMVDILMSVTFDILFDKYAIEANDGWIIELDSSTTKKFSRHVIIRIPKVAFKDNSHVGAFVSEVCSRITSQRGSDPQLDKLYVRKDSSSSDFQLFLDSAVYSRNRCFRLVFSSKAGKNSFLLPTRRFKCKDMTEQEVFMESLICRMDSDCNKLLKCQIDIDCKKTLYFDFEVQQQTSDALQHTALNAYRSDFPTTYSSGKSPFPALDAFVEFIASSGNVLGKIRSWYWFSAHGLIIYSMLRNRYCERIGREHKSNHVMYIADLHRAIYYQKCYDPDCKGYRSPLRPLPHDVIPSDILLFDSTQRINYREDLDTNFGLEHDGSHMEHYSCDGDELVTDSCNMESSWWQEAMTYADRIENMKNAPEFKILNEENVVDCSWWMDVEKLASQVEGQLG >Ma05_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9562015:9563452:1 gene:Ma05_g13190 transcript:Ma05_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPQLHLLQTSQVSPPPGTVSESILPLTFFDILWLRGGAVERVFFYRLPYSTSYFCASIRYVDGDSVSFTVAEYDADFDEVSGDHARDVGSLLPLLPRLSGSDDDGVPVLALQVTVFPNQGVAVGVAVHHAGCDGSSSMRFMFSWASTCAGPRSSAAVVATPPVFDRSLVSVPRDLYSIFYRYYGQRADWIIHEDPPVDMVIASFALKRDHIRRLKELVSAEAGAMEGGGASLRCSTIMATYAYVWVCLVKARAYGSDRTAHFIFAADCRGRLRPPLPAAYFGNCIGACFVEAKAGDLLRENGVVSAAKAIGKAIEEFADDPLRGVETWPERIKSIVPRQPLSVAGSPRFRVYDLDFGWGRPKKVVITSIMRSGAMSMAESREEEGGVEIGLVLPKHEMDQFGTCFSDGLEQLH >Ma08_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36821129:36825191:1 gene:Ma08_g23410 transcript:Ma08_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLGGGHGREDDDVTIGAATPSAGRGIKDLTAQIKEMALKATGAYRHCKPCGGAPEDGLRHLHGDYACSEAASGSDWRTIGSAAWTPRTATSPMMGRELKEISSGERTPSVSGWTEASSAVASTFPEDGEEEEPKEWVAQVEPGILITFLSLPHGGNDLKRIRFSREMFSKWQAQRWWAENYDKVVELYNVRRFNRHAMPLPTPPRSEDESSKDSPVTPPLSKERLPRNLYLTGDAGMGYSSSDSLEQHSSYKYHGHHHTRHACHYYDSGGLTSTPKLSSISGSKTETSSMNASRRTSSSAEELDRYGELSASVSNASDLEREWVEEDEPGVYITIRELPRGIRELRRVRFSRERFGEMHARLWWEENRARIQEQYLR >Ma08_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7070750:7072569:-1 gene:Ma08_g09720 transcript:Ma08_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLGGTEGVGGVIYFGQEGNGPTMVNGNISGLSPGLHGFHVHEFGDTTNGCMSTGPHFNPTGEDHGDREDPVRHIGDLGNVIAGDDGTANFTMFDSKIALVGCDSIIGRAIVVHADPDDLGRGGHELSKTTGNSGARVACGVIGLQE >Ma09_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5816903:5817415:1 gene:Ma09_g08760 transcript:Ma09_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIKHLVLAKFKDGAAVEELLQGLQNLVSEIDVIKSFEWGEDVLKDERLGQGFTHAFLLSFGSAEDLAVYIKHPSHVEYGKAFRAAIDKVLAIDFPVVAKEISAGSKKYASNL >Ma02_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27095621:27096217:-1 gene:Ma02_g21490 transcript:Ma02_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLYFTSAVKQFSSTLPPLLRVNSRGRNMTNDSLVSTSHTSRATKRLPRWRASGGRRGGQRRGGGATEAGEVRSFFAVSVEGGIAAEARGGDHGREFEVGEGEGAAGVGRACGWVPRPQEDRRPVVPVGGSGRSPSSPSPPRTGAAPRLVEVCGSVSCGFWFLILG >Ma04_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6625518:6628863:1 gene:Ma04_g09280 transcript:Ma04_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNLVKALVLCFLLQRSLLFVDSAIGINWGTRSSHKLPPPVVVDLLKENRVGKVKLFEADPDILRALMGSGIEVMVGIPNELLGVLGSSPAACDQWVSRNVSRFMDKGGADIRYIAVGNEPFLTTYQGQYQSLVLPAMLNLQQSLVKANLASYIKLVVPCNADAYQSVSVPSQGAFRPELTQIITQLVSFLNTNGSPFVVNIYPFLSLYQSSDFPQDYAFFGGSSHPVVDGQNVYYNAFDGNFDTLVAALSKIGYGQMPIAIGEVGWPSDGTPSANLSAARAFVQGLVNHVLSNKGTPLRPGVPPADIYLFSLLDEDQKSILPGSFERHWGIFSFDGQAKYPLNLGLGNAALKNAKDVPYLPPRWCIANPSLDPSAVANHIKVACNVADCTTLFYGGSCNAIGEKGNISYAFNSYYQLQKQDARSCNFDGLGMVTFLDPSIGDCRFLVGISDSGSPVGCTNFCGLWIMALWILSYVRILNVL >Ma05_p23890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36054744:36060847:-1 gene:Ma05_g23890 transcript:Ma05_t23890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGNWACLLILLLLLCLEEVLGVGQLMGSSFSRDAFPPDFIFGAGTSAYQVEGAAAEDGRSPSLWDTFTHTGQMIDKSTGDVACDGYHKYKEDVKLMADTGLDSYRFSISWSRLIPNGRGAVNLKGLQFYNNFINELVKYGIEPHVTLYHLDLPQVLEDEYVGWLSPKIVDDFTAYANVCFTEFGERIPRWTTIVEPNVIGMASYDSAIFPPARCSNPFGVINCTVGNSTIEPYIAVHNLLLAHASVVKLYRTKYQGVQKGQIGLNLYAYWCYPWTDSAADIEATQRTLDFNVGWILNPLVFGDYPEVMKKIVGSRLPSFTKYQSKQLKDSFDYIGLNYYTSVYVKDNFNASMTGPRDFNTDVSVLLARSRNETPGGQFDPTIPALTDPTGLQHLLEYFKDAYGNPPIFVEENGNGVARKESEFNDTSKVDFLSGHISSILDAIRNGANVKGYFVWSFMDVFEFITGYQSRYGLYFVNFDDENRERKPKLSAQWYSSFLKQKKKVEMRINMTDFDDNFRAHW >Ma05_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36054744:36060847:-1 gene:Ma05_g23890 transcript:Ma05_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGNWACLLILLLLLCLEEVLGVGQLMGSSFSRDAFPPDFIFGAGTSAYQVEGAAAEDGRSPSLWDTFTHTGQMIDKSTGDVACDGYHKYKEDVKLMADTGLDSYRFSISWSRLIPNGRGAVNLKGLQFYNNFINELVKYGIEPHVTLYHLDLPQVLEDEDDFTAYANVCFTEFGERIPRWTTIVEPNVIGMASYDSAIFPPARCSNPFGVINCTVGNSTIEPYIAVHNLLLAHASVVKLYRTKYQGVQKGQIGLNLYAYWCYPWTDSAADIEATQRTLDFNVGWILNPLVFGDYPEVMKKIVGSRLPSFTKYQSKQLKDSFDYIGLNYYTSVYVKDNFNASMTGPRDFNTDVSVLLARSRNETPGGQFDPTIPALTDPTGLQHLLEYFKDAYGNPPIFVEENGNGVARKESEFNDTSKVDFLSGHISSILDAIRNGANVKGYFVWSFMDVFEFITGYQSRYGLYFVNFDDENRERKPKLSAQWYSSFLKQKKKVEMRINMTDFDDNFRAHW >Ma03_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27110664:27118388:-1 gene:Ma03_g22340 transcript:Ma03_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYLGALLLLFLFFSAASAAPDEDARSLLEFKKGISSDPSGRVLGSWNQAQPGSTVCGAWYGVSCDGDGNVVALALDRLGLAGDLKLSTLTRVAHLQNLSLAGNAFTGRLVPGLGGMSSLQRLDLSANQFYGPIPGRITELWGLEYLNLSWNNFEQGFPTGIQNLQQLRVLDLRSNGLRGDIAGFLSELRNIGYVDLSSNGFTGNLIVDAGNLTGLGNTVKYLNLSNNMLSGGFFSNDVMHVFKNLEVLDVSNNQLNGELPPFGSVFSLNVFRAGRNKLYGSIPGELFSSTLHMSELDLSENRFTGYVQTINSTSLRFLNLSSNMLWGVLPSSIGVSVSVDLSNNNISGDLSAMQSWEHTLELIDLSSNSLSGNCPEASQLQNLTSIKLRNNSLVGSLPAALGNYPGLSIIDLSLNRFSGPILPRFFTSLTLTSLNLSGNQFSGGIPLQSSHLTESLVLPSYSHLEILDLSDNSLSGPLPPEIGNVQRLKLLILRNNTLSGELPSELGRLVSLEILDLSNNHFEGHIPDMPQTGVKVFNVSYNDLSGTIPPSLQRFPSTSFYPGNTLLVSPNGMYSGSNGEDVGGRRLKYSIRIAAIVGSIGAVMLILFALLTLYVIRSQEICGRNRSNDQATSMEIKLGRFDRPKMFMSSKDNPVTTSMSFSNDHLLDSATRSVSAQELLTEAIEYGYSDKRTLESTMVNMPPNFPANMGEKSSPGSPLCSSPCFIDLGASDQPVMLDVYSPDRLVGELFFLDNSLIFTVEELSRAPAEVLGRSSHGTSYKATINSGHFLTVKWLRVGLVKNKKEFAKEAKRIGTIKHPNIIPWRGYYWGSSVQERLIISDYVNGDSLTLYLYESTPRRYSRLSVSQRLKIAIDVARCLFYLHNEKGLAHGSLKPTNILLSGPDLTARLKDYSLHRLMTQSGTAEHILNLGALGYRAPELQSASRPFPSFKADIYAFGVILMELLTRRSAGDIISGKTGAVDLTDWVQMCNREGRGTDCFDRDITGLEEAPKVMDELLAISLKCILPVNERPNIKTVFQDLCAITL >Ma03_p27230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30690694:30691620:1 gene:Ma03_g27230 transcript:Ma03_t27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKQPRRGAAVDHAFIRFLLPVSVLFLVLSYCHSCDVRLLSVQFTSTLLHDLDHFISRKAMLLFCNALLLLVTRDSGLLGFFAPTTNNSDEESDHKQLRLDRGDPETESATVKPCPVEEKAAVTSGPETKGCEVEEKAEAGGDASLESVAVEGMDTERVDEDETEDENIDELNRKFEAFIERVKNERRMEARQLVVV >Ma03_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27963679:27967260:-1 gene:Ma03_g23360 transcript:Ma03_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKPDLSNLNTLQEEVKEKLTSKRFLLVLDDVWDENRQNWDRFRAPLNSGVPGSKILVTTRSRKIAEMVGNPIPLGVLDEASYWKLFKKCAFGSEYAGECPQLEDIAKKIVSRLKGLPLAARMVGGLLKPEMNEKHWRIIAESEIWQLPQNQEGVLPVLQLSYQCLPPHLKRCFVFCSMFPKDYLFNKRDLSWLWMAEGCIAQDINMTMEDTGSRYFLDLVNRSFFQEALWGSRYVIYVMHDLIHDLAQFISEGEFCRNDDESKEIPNTTRHLSVTLTYGTKLMELSCYDKLRILKINIKNLWFRFRVKSPLFIQFERLKNIRVLILKSCGLRELPETIGDLIHLRYLDISYNRIWRLPESLCGLYNLRVLDLWNYELQSFPHGMSKLINLMHLHAEDKIISKINDVGKLTSLQRLPSFKVLKDQGHEVAQLGSLKQLHGLLQITNLENVESKQEASKANLNNKQYLVALVLEWTSDDGSSFNGNELVMSEEVLEGLQPHQALECLTIVGYNGVRSPSWLQAQLLANLITLGLENCKAWKDLSCIGQLPNLKNLYVGGMPAVKQISHELSTESKFLPNLERLVLNDMMALEELPSFGQLPSLKDLYIERMPAVKKVDDGFFGSRDQGKCFPSLEELTFTDMPEWEEWTWADGRQLFPCLRKLQIERCPRLKRLPPLPPKLESLSLCRVESIEVPRLWEEIDGSSNSMTVSELKLYSLEKVKLKDIPECEGLPCLGQLPSLKVLRIKRMPAVKKVGYGFFGSRDQGKCFPSLEELTFTDMPEWEEWSWVDDRQLFPCLRNLEIGRCPRLKRLPPLPPSLETLEIDEVGLTELPGLWEGIHGGGNCITASLSTMRISNCPNLRNLEEGLLSHSLPNIRDIVIAECTELLWQPVKGFKELTSLRKLSICSCPNLLSMTRDWDIDILLPPSIEELVLSDCGNLGKLLPGCLHNLTSLTRLEIGECPSIESLPETSLLHLKQLQSLKIWKCGELRSIDDLTALRWLEFKDCKNLQSLPTELHAFPSLCLLTIIGCPEIQALPENGLPMSLRNLHFEDCHPRLTERLEKHLAEMKSSGRFLAVDPLEIHEGTSHPTIASPHRYHWVFVVFGWFSFLVCVTTR >Ma09_p29130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39675905:39676087:1 gene:Ma09_g29130 transcript:Ma09_t29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAKLDVAATSHARHHHHHHRHNQSQKTSLVDPSGVDEIDPRYGVEKRLVPTGPNPLHH >Ma03_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18200538:18216168:1 gene:Ma03_g16190 transcript:Ma03_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIDEITLLRQSQARSTSHRMVVRGIGEEIDLETGPGDDDPSFSSTTLVGVPTQESSAPQEQEDHKQLLLASQVPSQGQQQLVKVPQGKRKKKVVKKWREEWADTYKWAYVDVHEGTTRIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKGLPVIEKSVYVKALMLKSASSVLESVLRRDPHEVEFIQSVQEVVHSLEPVLVKNSQYVHILERLLEPERALIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPSMNLSIAKFLGFEQTLRNALSPFKLGGAGGGSDFDPMGKSETEQIMRFCQSFMDELYKYLGPDEDLPSEDMGVGPREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSTLRTEATGYGLVFFARLILADMNKELKGLRCVISGAGKIAMHVLEKLFSCGAIPITISDSKGYLLDEDGFDFVKLSFLRDIKVQQKSLRDYLKSNPRAKYFEGAKPWSEQCDIAFPCASQNEIDQPDAVALINSGCQILIEGVQPICYRDLTCPLI >Ma03_p16190.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18200538:18220006:1 gene:Ma03_g16190 transcript:Ma03_t16190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIDEITLLRQSQARSTSHRMVVRGIGEEIDLETGPGDDDPSFSSTTLVGVPTQESSAPQEQEDHKQLLLASQVPSQGQQQLVKVPQGKRKKKVVKKWREEWADTYKWAYVDVHEGTTRIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKGLPVIEKSVYVKALMLKSASSVLESVLRRDPHEVEFIQSVQEVVHSLEPVLVKNSQYVHILERLLEPERALIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPSMNLSIAKFLGFEQTLRNALSPFKLGGAGGGSDFDPMGKSETEQIMRFCQSFMDELYKYLGPDEDLPSEDMGVGPREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSTLRTEATGYGLVFFARLILADMNKELKGLRCVISGAGKIAMHVLEKLFSCGAIPITISDSKGYLLDEDGFDFVKLSFLRDIKVQQKSLRDYLKSNPRAKYFEGAKPWSEQCDIAFPCASQNEIDQPDAVALINSGCQILIEGSTMPCTPQAFDVLRKANILVAPAKTASAGGVAVGELELSHECNLMQWSPEDFEAKLQEMMKQIHEKSLKAASEYGCMKDSPEALVHGGNICAFLNLAHALIDQGCV >Ma03_p16190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18200538:18220006:1 gene:Ma03_g16190 transcript:Ma03_t16190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIDEITLLRQSQARSTSHRMVVRGIGEEIDLETGPGDDDPSFSSTTLVGVPTQESSAPQEQEDHKQLLLASQVPSQGQQQLVKVPQGKRKKKVVKKWREEWADTYKWAYVDVHEGTTRIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKGLPVIEKSVYVKALMLKSASSVLESVLRRDPHEVEFIQSVQEVVHSLEPVLVKNSQYVHILERLLEPERALIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPSMNLSIAKFLGFEQTLRNALSPFKLGGAGGGSDFDPMGKSETEQIMRFCQSFMDELYKYLGPDEDLPSEDMGVGPREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSTLRTEATGYGLVFFARLILADMNKELKGLRCVISGAGKIAMHVLEKLFSCGAIPITISDSKGYLLDEDGFDFVKLSFLRDIKVQQKSLRDYLKSNPRAKYFEGAKPWSEQCDIAFPCASQNEIDQPDAVALINSGCQILIEAGSTMPCTPQAFDVLRKANILVAPAKTASAGGVAVGELELSHECNLMQWSPEDFEAKLQEMMKQIHEKSLKAASEYGCMKDSPEALVHGGNICAFLNLAHALIDQGCV >Ma03_p16190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18200538:18220006:1 gene:Ma03_g16190 transcript:Ma03_t16190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIDEITLLRQSQARSTSHRMVVRGIGEEIDLETGPGDDDPSFSSTTLVGVPTQESSAPQEQEDHKQLLLASQVPSQGQQQLVKVPQGKRKKKVVKKWREEWADTYKWAYVDVHEGTTRIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKGLPVIEKSVYVKALMLKSASSVLESVLRRDPHEVEFIQSVQEVVHSLEPVLVKNSQYVHILERLLEPERALIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPSMNLSIAKFLGFEQTLRNALSPFKLGGAGGGSDFDPMGKSETEIMRFCQSFMDELYKYLGPDEDLPSEDMGVGPREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSTLRTEATGYGLVFFARLILADMNKELKGLRCVISGAGKIAMHVLEKLFSCGAIPITISDSKGYLLDEDGFDFVKLSFLRDIKVQQKSLRDYLKSNPRAKYFEGAKPWSEQCDIAFPCASQNEIDQPDAVALINSGCQILIEAGSTMPCTPQAFDVLRKANILVAPAKTASAGGVAVGELELSHECNLMQWSPEDFEAKLQEMMKQIHEKSLKAASEYGCMKDSPEALVHGGNICAFLNLAHALIDQGCV >Ma03_p16190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18200538:18220006:1 gene:Ma03_g16190 transcript:Ma03_t16190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIDEITLLRQSQARSTSHRMVVRGIGEEIDLETGPGDDDPSFSSTTLVGVPTQESSAPQEQEDHKQLLLASQVPSQGQQQLVKVPQGKRKKKVVKKWREEWADTYKWAYVDVHEGTTRIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKGLPVIEKSVYVKALMLKSASSVLESVLRRDPHEVEFIQSVQEVVHSLEPVLVKNSQYVHILERLLEPERALIFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGLRFHPSMNLSIAKFLGFEQTLRNALSPFKLGGAGGGSDFDPMGKSETEIMRFCQSFMDELYKYLGPDEDLPSEDMGVGPREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSTLRTEATGYGLVFFARLILADMNKELKGLRCVISGAGKIAMHVLEKLFSCGAIPITISDSKGYLLDEDGFDFVKLSFLRDIKVQQKSLRDYLKSNPRAKYFEGAKPWSEQCDIAFPCASQNEIDQPDAVALINSGCQILIEGSTMPCTPQAFDVLRKANILVAPAKTASAGGVAVGELELSHECNLMQWSPEDFEAKLQEMMKQIHEKSLKAASEYGCMKDSPEALVHGGNICAFLNLAHALIDQGCV >Ma03_p30470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33087506:33097764:1 gene:Ma03_g30470 transcript:Ma03_t30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSPALRLADLNDFIAPSQDCIVSLKAIKSKHEKAENQEKVRSPSQPLQTEAVKISLKDCLACSGCITSAETIMLEKQSLDEFLAHINYGDTVVVSISPQSRASLAAYFDLSPSQVLRKVTTLFKSLGAKAVYDTSCSRDLSLIESCNEFISRYQQHGQSLPMLSSACPGWICYAEKTLGSYILPYISHVKSPQQTIGAAIKHHIVQKFGLKLDNVYHVTVMPCYDKKLEAARDDFSFTIDKGENGRPNSVMTIPEVDSVLTTGEVLDLIQSKSIDFKTLKESPLDSMLTNVDKKGHLFGVSGGSGGYAETVFCHAAQKLFGREIQRPIEFRHIRNSDFREVTLEVCFKLSLLLTCFNFMKAFICNILLYVILQVDGETVLKFALCYGFRNLQNIVRKIKMGKCDYHFIEVMACPSGCLNGGGQIKPRTGQSTKDLIQQLEILYMQDVELADPFDNPVVQRLYDEWLGQPGSEKAKRFLHTEYHPLVKSTASQLHNW >Ma03_p30470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33087506:33097764:1 gene:Ma03_g30470 transcript:Ma03_t30470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSPALRLADLNDFIAPSQDCIVSLKAIKSKHEKAENQEKVRSPSQPLQTEAVKISLKDCLACSGCITSAETIMLEKQSLDEFLAHINYGDTVVVSISPQSRASLAAYFDLSPSQVLRKVTTLFKSLGAKAVYDTSCSRDLSLIESCNEFISRYQQHGQSLPMLSSACPGWICYAEKTLGSYILPYISHVKSPQQTIGAAIKHHIVQKFGLKLDNVYHVTVMPCYDKKLEAARDDFSFTIDKGENGRPNSVMTIPEVDSVLTTGEVLDLIQSKSIDFKTLKESPLDSMLTNVDKKGHLFGVSGGSGGYAETVFCHAAQKLFGREIQRPIEFRHIRNSDFREVTLEVDGETVLKFALCYGFRNLQNIVRKIKMGKCDYHFIEVMACPSGCLNGGGQIKPRTGQSTKDLIQQLEILYMQDVELADPFDNPVVQRLYDEWLGQPGSEKAKRFLHTEYHPLVKSTASQLHNW >Ma08_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15331962:15334190:1 gene:Ma08_g15270 transcript:Ma08_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTKFSIKSIARHTPLFHFRTIAVSPRNQSQRCYYYHFSHRNLFLHLRSSRSLDEAIKLHALMVLHGYLSHSPVLGAQLVHSYVSFGRHQEALTVFHHLPRRNSFAWNSIIKGSVTSGRFDEALASYHDMISHGLDADHFTYPFVLKACAELSDLEQGRQIGESIKLDARTNSYVQCALIDMFAKCGSLSEARRVFEDMPMRDLVSWGAMICGTMQNGDWLEALNLFRRMRREGFSLDSVVVATVIPACSRLGAMQIGMGLQGCSIKSGFSDDLCVSNALIDMYAKCGQTKTACRLFQLLALKDVISWSSLIAGYSQNCEYAECLELFTEMLRSRIKPSSVTVASVLPSISELKTFKKGREVHGYVVRCGFEFDIYIATALVDFYCKSGLLREAQYIFENLSDSDITIWNSMIAGYVLDGDVHSAFQTLRRIHRSGLRPNYVTVVTVLPLCNRFVMLNHGKELHGYVTRGGLSSVVSVNNSLIDMYCKCGCLEHGKKVYTQMINRDIVTYNTIIAALGMHGHGNQAVMFFDHMKKERINPDRVTFIALLSACSHAGFIDRGFSYYNSMTEDYGILPDMEHYSCMVDLYARSGYLDDAWEFIKSMPVDPDIDVLGSLLSACRTYKRIDLAEFISSRIFEKKPEDPGYYVLLSNIYAAVGRWADVKKVRAMIKDKGLMKKPGNSWVQVGQCIHSFLAKDKAYIEHGMLQDILRILSQEMSEEGYIPDLISLAEDDNIHEHIN >Ma07_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4686665:4687458:-1 gene:Ma07_g06530 transcript:Ma07_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVKDQPASLTSSKDSHVISKLKRKIRIVHIVTPEIIKTDVDNFRELVQRLTGKAATGTGSKKQATPVAAIGAQEDVKEELVEEEDGGEWWKEGVSGGPLGDTGLIFQDLIDFPLLSHE >Ma05_p26100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37842451:37844049:-1 gene:Ma05_g26100 transcript:Ma05_t26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLDGFDGSFSSIFSTKNQQQHTDDYFFEGAEKISFFFDENAFGEDGHLKQLKELSINKVGHALHEIDPVFKEFSFSDRDALLIGLQENCGYTIHVYL >Ma04_p30600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31212553:31213693:1 gene:Ma04_g30600 transcript:Ma04_t30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSTQASVAALRRPCSLRTGFLTGSSGKLSRELAAKSLPSSAFRSVKVEAKKGEWLPGLASPAYLDGSLAGDNGFDPLGLAEDPENLKWFVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPEWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKYPGSVNQDPIFKSYSLPPNEVGYPGGIFNPLNFAPTLEAKEKELANGRLAMLAFLAFIIQHNLTGKGPFENLLQHLSDPWHNTIIQTLGG >Ma06_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8166948:8170944:1 gene:Ma06_g11710 transcript:Ma06_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRQREIPPCSKTYGFPIYCAAWVPIDRISSAAAEEEAAGKKKEGGGEAAAVEETAEKKEQGGGGGEVAVAEEEAAEKKEQEGGQSFHTLDPNWLLVALGGGGGEGRSGVPNVLLIAEFDLISRSLSDTPVFRLGTDADVPYRMAVHPGGDGVVCAFPKSCRWFDWDVPESKKTYKLALKSSAKILTPLEDVGLQLALAFDAEGSILATGGEDGHLRVFKWPSMEIILDQTDAHTTVKDLDFSSNGKFLVSLGNSGPCRVWDLTSSTAIASLPREIGEIFGFCRFSQTRADNPVLYVTAMHGNQAKLVSWDSLSWKRVGERKIGRDPISAFNVSMDGKHLAAGTVEGDITILSSLDMRVQMTVKKAHLGIVTALAFTQDSRALVSTSFDSNARVTVIESQKSSGPNLWLFVLVIMLAVLVYYLRFTGVF >Ma10_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26819451:26821064:1 gene:Ma10_g14310 transcript:Ma10_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNQRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >Ma07_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29998192:30008573:-1 gene:Ma07_g21910 transcript:Ma07_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIEGILHMVGGAGETSYASNSKFPENVLHMAKPVLEEAIGRVYMSLLPERMAVVDLGCSSGPNTLEVVSEVLDVIGKLRRSLGRQEMPEILFFLNDLPGNDFNHVFRSLGDYKRKVEEEKGKLLVPYYVVGVPGSFYGRLFPCQSVHFFNASCCLNWLSQVPEGEQGVLLNNKNIYIAETSPLEVVKAYQEKHQRDLSEFLRCRHAELCYGARMVLSFPGSKGSYPPSGDVEYFFGLLAEALSAFVSQGIIEEDKLLTFNLPYYTPSMEEVKAVIHREDLFDLEQAQIFEANWDPFDDSAAFDGIVSGKNVAGNVRAAFQPFRERTSQSFHEGSTSQLKA >Ma07_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8406244:8408353:-1 gene:Ma07_g11300 transcript:Ma07_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLMIEAGEMFLPPGFRFHPTDEELITHYLAPKVAGTSFCATVIGELDLNKREPWDLPLSTKVGDEWYFFCTKDRKYPTGLRTNRATDSGHWKATGKDKEIHRRRNLIGLKKTLVFYKGRAPKGEKTNWVMHEYRLEGNQSNTVQNAWVICRVFCRRSGEKQDMQWFEDELRSSVPVLSANDHKKNKDGFSSASNSTHVTCFSDGLVGLRGSEELAKPSQNAIMVPLSLSSNATSPATSNLVDLHHRGDSSVQLSESYGRGNDKNLKMEEEALSISEETGLITNMAPDIYTSIRSNFNYQELLPDAERLDVLSWNY >Ma04_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17672331:17679399:1 gene:Ma04_g17410 transcript:Ma04_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFLLLLFSCVVFLTRFPKAYGLLAFSWIRSHEEPGGRVLCMSAFPPTIRTAFLHFDLHQRCSIQMFILMGVFAYLFFIRLAMTQMVTNLQVSAGHLCTWYYIL >Ma06_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2066351:2070771:1 gene:Ma06_g02710 transcript:Ma06_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSGTVSVDVERISFGGKEHHVLTSHGPISVAVYGDLEKPALVTYPDVALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHELGAAPISADEPTLSVDQLADQVAEVLDYFGLGSAMCFGVTAGAYILTLFATKYRERVLGLILVSPLCKAPSWTEWLYTKVVSNLLYFYGMCGLVKEYLLQRYFSKEVRGSSQVPESDIVLACRSLLDEKQSENVWRFLQSINGRHDLMEDLKKLQCRTLIFVGENSPFHSEALNMTKELDKRYSALVEVQACGSVVTEEQPHAMLIPLEYFLMGYGLYRPTKLSYSPRSPLSPLCISPELLSPESMGLKLKPIKTRISLKV >Ma11_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2188560:2190374:-1 gene:Ma11_g02970 transcript:Ma11_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSPPAGQPFVDIHTVLSDCNDGLANLRDGQQPIRAGAAGGGSSGEEKKPMPLLARQISLESGIAVLDTGKEIKRGSSRKAKADNRVLSRNGKSLGGLSAVGGDAAHRKGDFNIFRTKSTLGSRQNSLLPSRRENGPDLHRADGAPGSAGMEDRVNESVPAGRYFAALRGPELDQVRDYEDILLPKDEVWPFLLRFPIGCFGMCLGLGSQAILWGALATSPAMAFLHVTPDINFALWLIALAVFVAVAVTYTLKCIFYFEAVRREYFHPVRVNFFFAPWIACMFLAIGTPPRIAPKLLHPATWCTFIAPVFVLEIKIYGQWLSGGKRRLSKVANPSSHLSVVGNFVGAILAARVGWEEAGKFLWAVGLAHYLCVFVTLYQRLPTSEALPKELHPVYSMFIATPSAASIAWKAVYGEFDAVSRTFYFIALFLYCSLVVRINFFRGFRFSIAWWAYTFPMTTASVASIRYAEEVPCAFSKGLALSLSLMSSTMVSLLFLCTLLHAFVWRSLFPNDLAIAITKDRSGGTRSHAKEKRHAK >Ma09_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1830467:1833066:-1 gene:Ma09_g02620 transcript:Ma09_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHELLVAARTGDMSVLGGNDSLLQVTAERNTVLHIAAKLGHTDFATRALSRQPFLLTIQNRQGDTPLHCAARAGHTTMVDVFIPHPPGRGDPERRLPYMVNNVGNTALHEAARNGHDSFVEQLMARAPGESAATNNVNGVSPLYMAVESGSASIVRRLLAATEASCDGPNGRTALHSAVLRSSPVEITRMLLQQRASLTRKADAAGLVPLHFAAARGDLEMVRLLLQNDESTAYLRDNGGASAIHVAASFGHVNVIKHLIETCHGCTEVRDGEGGNFFHVAISKRREQVVRFVATSPSLTDLLNEPDSDGNTPLHRAIISRDMPIIQMLSSSPSVKLSATNNRGQTALDVALSNTRNRLEIKMFKVVIDLTNKGAQFSDPQLLEDMVHPVDQKGTVEEKNQKEIADSKHTVDQKSKVEEKNYKEIADSLPVVAALITTVTFTAAFTLSGSFERDRSDDESIYRCIRGIAFVVFLISDALAMISSICVPFLVIYVRVGTPVTQVYSLTLSEILVQVAFIGFKAAFVSGVCVLIADHYMWLTILICLVILLSATALKRRILPFYPYLCWLTRGSEFQIIYISLMIARYKLIRIANYELAIDFIKFPGVWGFSITKF >Ma05_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3763907:3764750:1 gene:Ma05_g04910 transcript:Ma05_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKKVTILFDSWRTDSWAGYLLSLIALFAVAAFYQYMEDRRVRFKIIARSKPSSTPGIGAPFLLPVAASRLGPPARFASAILFGVNSAIGYLLMLAIMSFNAGVFIAVVVGLAVGYLFFRSGGEEDLVVLENPCACA >Ma07_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3638176:3639363:1 gene:Ma07_g04990 transcript:Ma07_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSRTALLCFLLFFGSITLIQAEELKKVTSKVYFDIEIAGKPVGRIIMGLFGKTVPKTAENFRAICTGEKGRDKYGVRLYYKGSTFHRIIPHFMIQGGDIVFGNGRGIDNIYDEPFADENFKLNHTGPGLLSMANAGPDTNGCQFFITTVKLTRYSTWLDGKHVVFGEVLSGMDVVYKIEAVGQASGVPRSRVVIAESGELPL >Ma11_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23389178:23390447:-1 gene:Ma11_g18300 transcript:Ma11_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADVARGIQVKFSEHVVAIRKTVPARRAVAGGSGWRKRVVRVYFDDVDATDSSSNEEGRGVRRRVKRHVQEIGIEVAAAPRRMALAAPKKEEAVVGGGERGKRRFRGVRRRPWGRWAAEIRDPHQRKRVWLGTFDTAEEAATVYDMAALRLRGPKAVTNFPTPAKDGDIAPVEAGGADGSRSSPTSVLCCDDVFAVGKEDDDGAPIEAGGIAGSRSSPTSVLGYCDDPVPFGVDAFGLGVEASPLPLAEFYWPRQRLLEMEFGDLDIDDFQ >Ma03_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11460849:11461299:1 gene:Ma03_g14300 transcript:Ma03_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGKDSSKMTEMKKGLVSLTASLEEGLQDAKASVTGLVKKATAKSEQEASEADLQTAKMQVEAADAAENKKKQLQII >Ma04_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2333037:2335463:1 gene:Ma04_g02860 transcript:Ma04_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLVERLWNLGWGVAWLLLLVCAVWALNHAWWRPRRQDRLLRAQGLQGTPYRFLRGDLKEDKRLLEEALSKPMPLSHHIVPRVEPFLHAAMNDLGSRFFSWFGPVPRVMIMDPELVREILSNKFGHFERITLSPLGRAVATGLLSYNGGKWAKHRRILNPAFHVEKLKRMLLAFSASCGDLVGRWENLVGQEGSCELDVWPEFQYFTGDVISRAAFGSNYEEGRRIFQLQLELAQLVVQAIHSGYIPGYRFLPTPKNNRIKAINKEIRSLLRGIIKKREEAMKTGEASGQDLLGLLMESNIKQFQEHGNKKAGMTIDEVVEECKLFYFAGQETTAVLLTWTMIVLSMHPEWQERAREEVLQVLGKDKPEFDGLNRLKIVTMILYEVLRLYPPLLLIQRRTYKTVEIGNVSYPPGTLLALPIVFLHHDQILWGEDASEFKPERFAEGIAKASRDQVAFFPFGGGPRVCIGQNFALLEAKMGLSTILQRFWFELSPSYAHAPHSVVTLRPQHGAQLRLHKLGVVS >Ma04_p02860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2333036:2335463:1 gene:Ma04_g02860 transcript:Ma04_t02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLVERLWNLGWGVAWLLLLVCAVWALNHAWWRPRRQDRLLRAQGLQGTPYRFLRGDLKEDKRLLEEALSKPMPLSHHIVPRVEPFLHAAMNDLVSNAGSRFFSWFGPVPRVMIMDPELVREILSNKFGHFERITLSPLGRAVATGLLSYNGGKWAKHRRILNPAFHVEKLKRMLLAFSASCGDLVGRWENLVGQEGSCELDVWPEFQYFTGDVISRAAFGSNYEEGRRIFQLQLELAQLVVQAIHSGYIPGYRFLPTPKNNRIKAINKEIRSLLRGIIKKREEAMKTGEASGQDLLGLLMESNIKQFQEHGNKKAGMTIDEVVEECKLFYFAGQETTAVLLTWTMIVLSMHPEWQERAREEVLQVLGKDKPEFDGLNRLKIVTMILYEVLRLYPPLLLIQRRTYKTVEIGNVSYPPGTLLALPIVFLHHDQILWGEDASEFKPERFAEGIAKASRDQVAFFPFGGGPRVCIGQNFALLEAKMGLSTILQRFWFELSPSYAHAPHSVVTLRPQHGAQLRLHKLGVVS >Ma09_p07890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5188288:5196636:-1 gene:Ma09_g07890 transcript:Ma09_t07890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVELAIAQVVEEVQEEAEALGGAEAPKDDESPAMADGTVTAAGDHKRKLDDLEPREGDEEAPLKKQEVSADALPLAADEPGSGDGEVAAAGGFVDRSDEVKSDIAESGEPKVEEPGAVDVKFDAQEFQSGGELDGSVAADTGKELHKPETQELVNGDGQGDQSAMVPPADLGTMSRKTEVPNNKVGVLIGKAGETIRYLQLNSGAKIQITRDAEADPHSTTRPVELIGTLESINKAEKLIKDVIAEADAGGSPSLVARGFSTIQSGGEQFEIKVPNEKVGLIIGKGGETIKNLQTRSGARIQLIPQHLPEGDASKERTVRITGDKRQIETAKEMIKEVMNQTPRSSPLSGYGQQNYRSRGPTSMSHWGARATAPTQPTMGYDYQQRGTYPPPQTAQYPQPYGGYSQQTTPRGSYSGKDWDQRSSAPVHNTPTGGYDYYGQGGPNTGTQASLPNPMSGPSPGPVNYGRPQTPNYGQPTPYGHPAQQHYGQGYNEPSRYDSQGPGQQFYGQQQTVGSQPGVQSGFSQQQSYGKPPYGVPHQEVPPYGVPPRTSQPGDPTYQGHATSAYGSGTTTQQYPYGSNIPSQAAPYNQTYGPASGAADGYTQPPSAAYAQQGGQAAPLGYGQVAQPVPAYTQPSSHSAGYGQYASSQQGYGDAASNGNYGYPSVPADAAYGTTIPGSGYGAPPAGGGQPGYAQQPSNPSGYYDQSVAR >Ma09_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5188288:5196636:-1 gene:Ma09_g07890 transcript:Ma09_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVELAIAQVVEEVQEEAEALGGAEAPKDDESPAMADGTVTAAGDHKRKLDDLEPREGDEEAPLKKQEVSADALPLAADEPGSGDGEVAAAGGFVDRSDEVKSDIAESGEPKVEEPGAVDVKFDAQEFQSGGELDGSAYAVAADTGKELHKPETQELVNGDGQGDQSAMVPPADLGTMSRKTEVPNNKVGVLIGKAGETIRYLQLNSGAKIQITRDAEADPHSTTRPVELIGTLESINKAEKLIKDVIAEADAGGSPSLVARGFSTIQSGGEQFEIKVPNEKVGLIIGKGGETIKNLQTRSGARIQLIPQHLPEGDASKERTVRITGDKRQIETAKEMIKEVMNQTPRSSPLSGYGQQNYRSRGPTSMSHWGARATAPTQPTMGYDYQQRGTYPPPQTAQYPQPYGGYSQQTTPRGSYSGKDWDQRSSAPVHNTPTGGYDYYGQGGPNTGTQASLPNPMSGPSPGPVNYGRPQTPNYGQPTPYGHPAQQHYGQGYNEPSRYDSQGPGQQFYGQQQTVGSQPGVQSGFSQQQSYGKPPYGVPHQEVPPYGVPPRTSQPGDPTYQGHATSAYGSGTTTQQYPYGSNIPSQAAPYNQTYGPASGAADGYTQPPSAAYAQQGGQAAPLGYGQVAQPVPAYTQPSSHSAGYGQYASSQQGYGDAASNGNYGYPSVPADAAYGTTIPGSGYGAPPAGGGQPGYAQQPSNPSGYYDQSVAR >Ma09_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2990494:2992569:1 gene:Ma09_g04620 transcript:Ma09_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGLLCHRKYNYNNLDGKGLFQAIDAHDVIVKCRLQIFLSYFGVAVFLTITFYLIMMKDLCVSSIWKTLVCFLMAKVLHYNPVKNESVVIMPAFGVQHETHYWSGRVIRCFVPLSKILKPVLNEYVTPMTFFFV >Ma05_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33894847:33909239:-1 gene:Ma05_g22170 transcript:Ma05_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYARRATRCGAGRSSSDPILLESPDADDDPDSAAGELLDLPFSQDSSHGRHAPAISALSSQDCSSPWSFDPFDVHDEAPALPRDPPNEFHGSDGPRTVSWASARDPSAEVTTSTLMEAQEFGEMMEHVDEVNFALDGLRRGQPVRVRRASLLSLLSACSTAQQRRILRVQGMAKRIIDAILGLRLDDYPSTVAAAALFYVLASDVQDDHLLDSPSCIGFLLKLLNPTIPETTGDKASTFGSKLLGKHKTQVVDSTYKGLDSTSRAIFSKVSEILISCKEIKSGTETADRTERPELSPKWIALLAMEKACLSTVSFEDASDMVKMPGGDFKEKLRELGGLDAIFDVLASCHSTLEAWHSSSSFFHLNDESVLQSMLLLLKCLKVMENATFLSKDNQNHLLGMKRKLNSGGLQLSFVGVIINAIKFFSDFSLLQSNINVSNNEKLISEVQSLQVKQKLKDNNNETSDSHCVGCSDVDRDSEVKVIKICHKRQKSSYSQSEVSLSGSEMETHFSASVSCDVIDRSTGDSSANGNNLKTKINGSGSKMNSHRVSNRWISIKTNGAAGSMSERPHLPKYVKGNCKLDMHDPFAFNDGELGPSKWELLAKKKEITAHEGALASKDVSNGCDLPICTTDDVLSQLTNAKNHDDCAVSHSSGIDEDSSLVGDCLLTSVKVLMNLTNDNPVGCQQTAACGGLHTMVSLIVNHFPSFDCFFQSNGKGKENTSSTNLHNNDCHLNNRHLLDYELDLLVALLGLLVNLVEKDSQNRLHLAAARVSASQSGKPESTETQRDAIPLLCSIFLSNQGNGDAKEERTYICDDEESLLQGAREAEMMIIEAYAALLLAFLSTESSKVREAIANCLPNRNLQVLVPVLERFVAFHLSLNMMPPETHSAVVKVIESCKGPY >Ma11_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22468066:22470123:-1 gene:Ma11_g17060 transcript:Ma11_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLIAGWFIGTFIAKVADLGILYVKNQYEYRDVKGKLKKLEKNLRKIQAALFEVDKRRITNPGLEEWLWDLKDSVYAAEDVIDGFEYNLLEDIAKGKNQLIFEEQSKDRAKIKNKFIHTLKLLAFCDKDLNQLDDAIKKFSELVDELGKLLKVVELNVATNKKDDAEIPNWRRTTSPIKPRPPRGRDEEVTELKNLLNTGNNLNPDSNNFSLVSIVGPGGIGKTELARLVYNDESLKFDIKAWVCVCNNFDILKLTDLHSISNLDEIQKILSECLKDKRVLVVLDDVWEESVAIWENLCSAFRSGHKGCRIIVTTQLESVAKMMGTRDIVNLDGLDDTVNWELLKDCSLSDQKHAEHRRLERIGWEISQKLGGSPLAAVTVGRALKYDLKEEHWRRILHKKIYEIEEKEGDIVSVLRLSYEQLPAYLKQCYISCSLFPKNHSFERDDLLQMWMALGFVQANDKHDRMEDIGQDLINELSSRSFFVNAKRKEDKFVIHAVLHELADCISDGEYFRLDDEYEGNQPIRIPDKARHIYVTADNLVMFSKILCKKDNVRSLIVAGDLSHGIPKSDFVDSLKEVLDSLKCLRLLILSVLGSGLPKAIGGLKHLRYLEIPGDVITEWPESFFNLYHLQWVNLKMCSKNLLLPEKMNRLIRLR >Ma01_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10880821:10882327:-1 gene:Ma01_g14950 transcript:Ma01_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVESVQCFGRKKTAVAVAHCKRGRGLIKVNGVPIELVKPEILRLKAFEPVLLLGRQRFMGVDLRIRVRGGGKTSQIYAIRQSIAKAIVAFNQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Ma11_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:723197:726393:-1 gene:Ma11_g00960 transcript:Ma11_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHPAPCLLQSLRHCLAVGHLDLAVDTLPLLARCGLRPDSATLALLLRLCLRSPAALPLAGRVHLFLRLSGLLCVAPTPPLANHLLAFHFLRGRPDDARRLFRRMATPNLFSFNTMLAGYARLGMLHQARRLFDRMPHRDVVSWNTMILALARAGCCGDAVGLYSQLRRFSLGFNAHTFSGVLVACVRLGEAHLVRQVHAQVLLVGFLTNIIISSSLVNSYTKCGFVDDARKLFDEMPTRDVLAWTTLVHGLASSGDLVSARRVFDEMPEKNSISWTALIGSYTRHGHPFEALDLFRNMMKLGVPPDQFTFSSALCACGAIASVKHGKQIHARLLRTRFSPNAIVISSLVDMYSKCGDLAGGQRVFDLTDAGRMDTVLWNTMMSAAGQHGDGIEAVKMFEEMIKTGTKPDANTFIVLLTACSHSGLVEQGLQLFCSMRKQHGVVPDEDHYVCLVDMLGRAGRLEEATECLREMPCGPSARAWNALLGVCRIHGNLRLGRMVAQRAIKSDPECPTAYVLLSNFYADLGRWESVEKVRHLMQENKAMKERASSWIPFDNEIQSLGALDQLQPAEEVVCVRNISLSDEA >Ma08_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17608350:17616537:1 gene:Ma08_g16240 transcript:Ma08_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLEAFIDGNCSVEDELHHRYWSSWYFSKEEIEKNSPSRKDGIDLRKESQLRMSYCSFLRDLGIRLGLPQVTIATAIMFCHRFYLHQSHAKNEWQIVATVCMFLASKADETPCGLDRIVVVACETMHKRDPAAARRVRQKDFFEKQKALILIGERLLLSTLRFDFNIQHPYRPLLNALKKLGITQKEVRQVAWNYVNDWLWTTLCLQYKPHYIAAGSLFLAAKLHNVRLPSEKGDYVWWHEFDINPQQLEVVIQLMKQLLGFNRRTSVIQPSIATNKDVYSSPDSVLNRPESSRSSSIQKPDVDITTHKPVDSTDHDLTNISIAEKEKSSTECRTEQRQTRVSENPIAVAEHNETLGRNVDRAVSKPDHTRVGFGKIDMDRIKATIKKRKKEIELNKLAANVDSTEDAWIERELEVGMELEAESAKKQKLAWAMN >Ma05_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33312302:33313961:-1 gene:Ma05_g21600 transcript:Ma05_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMGTEMFPIPSQEPLQTGSPARETSPPTSSRPSTSGKTVPASTGQLQTAPAACRDDLNKTQSEEEILKKACREIMILGRQLDNENIAACASKEEETDASISLKAIPQNQSMRSVIEARAAAWEEAEQAKYLARFKREEIKIYAWKNHQKAKIEAEMKKIEVEVERTRAHALERLMNKVAAASHKAEKMRAAAQAQRNYQAATTGKQAEYIRRTGRIPSFFYWSCCFKPSSLLKYDVF >Ma04_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10575237:10577527:-1 gene:Ma04_g13980 transcript:Ma04_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVPDHSHRGMVAAPAKRKSKRFAFACAMLASMTSILLGYDIGVMSGAAIFIKDDLKISDTQVEILLGILNLYSLVGSYAAGFTSDWIGRRYTIVFAAGIFFVGALLMGFAVNYAFLMFGRFVAGIGVGYALMIAPVYTAEVAPASSRGFLTSFPEVFINSGILLGYVSNYGFARLRESLGWRLMLGVGAIPSVFLALGVLAMPESPRWLVMQGRLGDARRVLDMTSDTPEEAQLRLDDIKAAAGIPEGCNDDVVPAPKKNQGGRVWRELLLRPTPAVRRVLLTAVGIHFFQQASGIDSVVLYSPRVFQKAGIHDKNKLLGTTVAVGVTKTLFILVATFLLDRIGRRPLLLSSTGGMILSLAGLGLGLTLIDHHPDGQLRWAVGLCIASILGYVAFFSIGLGPITWVYSSEILPLRLRAQGASIAVAVNRVTSGVITMTFISLYKAITIGGSFFLYGGIAALAWVFFFACLPETRGRTLEDMEDLFGTRNDTKETTNGVEMANASQGNEKI >Ma02_p16950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24054612:24057802:1 gene:Ma02_g16950 transcript:Ma02_t16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAARRKKEEGTEQGLGHPPDSPTNASPDNNHDGKEKTSSSSSSSSSSSSSDEEVEQEERREPAAAVEMEDNATVNDDGEKLEEVAVPVSTEAGVVSVEFLKAEKSEVAVEESAAAAATAVTVVLIDAPVPVDEEVVEAAEAATGTLVTWLEIDSLTHETDAKPAAALEETPVSESPRPSGEFCHSTENIEPTPAPVAELRASWWNCCGLFDVLTASKDSIRA >Ma02_p16950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24054610:24057802:1 gene:Ma02_g16950 transcript:Ma02_t16950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAARRKKEEGTEQGLGHPPDSPTNASPDNNHDGKEKTSSSSSSSSSSSSSDEEVEQEERREPAAAVEMEDNATVNDDGEKLEEVAVPVSTEAGVVSVEFLKAEKSEVAVEESAAAAATAVTVVLIDAPVPVDEEVVEAAEAATGTLVTWLEIDSLTHETDAKPAAALEETPVSESPRPSGEFCHSTENIEPTPAPVAELRASWWNCCGLFDVLTASKDSIRSERRKE >Ma02_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24054420:24057802:1 gene:Ma02_g16950 transcript:Ma02_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAARRKKEEGTEQGLGHPPDSPTNASPDNNHDGKEKTSSSSSSSSSSSSSDEEVEQEERREPAAAVEMEDNATVNDDGEKLEEVAVPVSTEAGVVSVEFLKAEKSEVAVEESAAAAATAVTVVLIDAPVPVDEEVVEAAEAATGTLVTWLEIDSLTHETDAKPAAALEETPVSESPRPSGEFCHSTENIEPTPAPVAELRASWWNCCGLFDVLTASKDSIRSERRKE >Ma04_p26930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28221184:28224377:1 gene:Ma04_g26930 transcript:Ma04_t26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGSLFCCGRSSDGREPGKKEAPTWRVFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKTWSSKAEMEFAVEIEILARVRHPNLLTLRGYCAEGKERLIVYDYMPNLSLLSHLHGQQAAGCLLDWGRRMSIAIGSAEGIAYLHHHATPHIIHRDIKASNVLLDSEFQARVADFGFAKLIPDGATHVTTGVKGTLGYLAPEYAMLGKAKESCDVYSFGILLLELASGRKPIDQSSLTSNHSIAEWALPLARKQRFKEIADPKLNGHFVKEELRRMVLTALVCAQSKPEKRPIMLDVVDLLKGVSKEKLLSLEDNELFKSESAAGYQGLSM >Ma11_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26626347:26629081:-1 gene:Ma11_g23160 transcript:Ma11_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDERGEHENDIQVDLDADSTGTRMRLVDYIPIYVPTVEQGLMNQSHRHKRRFLDFLKAHPSKDWFLRFGFVGRLSPFSFLRRSGNPSDGRRLRRFRVPFVRKINWGALIRYFKNWIKKPVNVALLIWLIFVAAGLLMLFLLMTGILNGAIPSSSNRKKWTEIVNQILNALFTIMCIYQHPKLFHHLVLLLRWKSVDRVELRTVYCKDGSHRVHERAHIMLVVFFLHITCFAQYVLCGLYWGYTRNTRPDWAENLCIGIGIAAPVIAGVYTVFSPLGRKYEEPQSVHESRSQRDQPELKIYNRRVVVTSPEWIGGLFDCWDDPTVCCASFFCTFCVFGWNMERLGFGNMYVHIATFILLVVAPLLVFSVSALNIDDDTIRYIVGIFGIVVCVFGLLYGGFWRIQMRKRFKLPANPFCCGYPSVTDCVHWLLCWSCSLSQEVRTGNFYDVEEDSLCRKAADEEGRPVLLPLPREGGSGFMVTSSGGDDYPRRSYSCPPKVDHTSLQAYADATSTWPSFTRSSTCGKIHAMRPPTPALIQLDEA >Ma03_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27270311:27277095:-1 gene:Ma03_g22520 transcript:Ma03_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] METLKPMLPSPSSMPRGSSSYRSSICKTLPLSSVPAASSATAAARPLASSFFVARKLSMPSPIPLSVRFAASGGQSSVSVSPETAEWAMQDFYALRKDVETTAQRVEEIRISAGLERLEADLASLEKKAADSSLWDDRLKAQEILLSLTDVKDKIKFLNDFKSQVEEAETIVNLTEELETIDTGLLQEASKIIRELSKALDRFELTQLLSGPYDKEGAVITITAGAGGTDAQDWADMLLRMYVRWGEKQGYKTKVVEKSMGEEAGIKSASVELEGRFAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESLDLDIPEEDLEISYSRAGGKGGQNVNKVETAVRIVHIPTGVAVRCTEERTQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGYETSDISSVMDGDLESFIKAYLKYKYTTSVSEASVK >Ma09_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1752745:1754236:-1 gene:Ma09_g02480 transcript:Ma09_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLAAADLGEELLFSGDANPTAGDCPNHFVSLTSTTYGALSLDRGDDGASIPDAPAGTEVEPEAATKVERGRPSPSPHLDEKRTVAEEERPSEVIDARELMGDLADETPSRSPSQRKRPLKPSPTAHRSPAVRAVFSPVMPRRWFAGKENTPLRSEPKRSDLDAHRISKAFRSLDNTPWAGLASAISKKCTPNSTGSKKSERDSGNSRSRRSLVPLFDPELVAFFEKEHHQEGEQTKKVVQGKVCDSVLLLQSYEEKCPRGGENIVVLYTTTLRGIRKTFEDCNTVRSLFESYSVHIVERDISMDSGYREELRVLMGKKEVKVPVVFIKGRCVGGTEEVLRLEEEAKLGLILEGLPRATKWCDGCGGLRFVMCMGCNGSCKVLDSEKKKVKCGECNENGLIHCPMCC >Ma05_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4359456:4363589:1 gene:Ma05_g05740 transcript:Ma05_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLGCCTKPAPIIAVDEPSKGLKIQGRLVKKPSISEEFWSTSTYEMENSRAHSQRSISSISTLAQTFDHHGGTGSTSNPPEFVNHGFLLWNQTRQQWIGKGRLESQSKQVQEPRLSWNATYDSLLGSNKPFPQPIPLSEMVEFLVDIWVQEGLYD >Ma05_p05740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4359452:4363589:1 gene:Ma05_g05740 transcript:Ma05_t05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLGCCTKPAPIIAVDEPSKGLKIQGRLVKKPSISEEFWSTSTYEMENSRAHSQRSISSISTLAQTFDHHGGTGSTSNPPEFVNHGFLLWNQTRQQWIGKGRLESQSKQVQEPRLSWNATYDSLLGSNKPFPQPIPLSEMVEFLVDIWVQEGLYD >Ma05_p05740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4359452:4363589:1 gene:Ma05_g05740 transcript:Ma05_t05740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLGCCTKPAPIIAVDEPSKGLKIQGRLVKKPSISEEFWSTSTYEMENSRAHSQRSISSISTLAQTFDHHGGTGSTSNPPEFVNHGFLLWNQTRQQWIGKGRLESQSKQVQEPRLSWNATYDSLLGSNKPFPQPIPLSEMVEFLVDIWVQEGLYD >Ma08_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35043237:35045414:1 gene:Ma08_g21020 transcript:Ma08_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLMECYWLGCDRLGDALRRAVVVSGPYLLCSVAFFLLWEQLSYLRKKGSLPGPHFVVPFLGSAIPMIMNPTQFWERQAALARDSGLGVSANFLVGRFIVFVRSTELSRKVFANVRPDAFHLIGHPFGKKLFGEHNLIYMFDQRHKDLRRRIAPNFTPRALSTYIDIQQGVILAHLRKWLALAASSPKPIALRLLCRDLNLETSQTVFAGPHLTPAAREQFNRDYNLFNVGLMAIPFDLPGFAFRRARLAVSRLIRTLSGCVARSKERMHGGSEPCCLVDFWMQDTLREIAEAEAAGVPPPPETGDAEIGGHLFDFLFAAQDASTSSLLWAVAMLDAHPEVLARVRAEVAALWMPESGRAITAEQVREMRYTEAVAREVVRYRPPATMVPHIAGESFPLTEWYTVPKGAIVFPSAYESSFQGFTEPDRFDPDRFSEERAEDRVHKRHFLAFGAGAHQCVGQRYAINHLVLFIALFASLVDFKRHHTDGCDEIAYVPTIVPKDDCTVYLSRRLASS >Ma02_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26205610:26209338:1 gene:Ma02_g20170 transcript:Ma02_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDSVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMTASIKDRMASQPAMNSSRPPTVQIRGQPVNQKSSCCS >Ma04_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7778053:7781022:1 gene:Ma04_g11040 transcript:Ma04_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANEGDSHFMPQNHQSSDYVSSDDGFQQRHVQPQTSHVPFCTLESSLATANCTTHNPPSSLSFSPISGSSMSQQDSVSDNANGSPVSATIEDPSDLKNRLREIEAAMLGPDLDTIGNSDNGYPGDLSLEPEKWRGVMETPRGDLKQFLIACARAVAENDLIAVEWLISELRQMVSVSGEPIQRLGAYLLEGLIARLASSGSSIYKALKCKEPVSSDLLSYMHILYEVCPYFKFGYLSANGAIVEAVKGENMVHIIDFQITQGSQWVTLIQALAAMPGGPPRIRITGIDDNVSAYARGGGLHIVGQRLSRLAESCNVPFEFHSVAMSSCDVELEHLDIQPGEALAINFNLHLHHMPDESVSTRNHRDRLLRMIKSVSPKVVTLVEHEANTNTAPFFPRFLETLDYYTAIFDSIDVCLPRENKERISVEQHCLARDIVNIIACEGAERVERYELFGKWNSRFTMAGFRPYHLSPLVNATIKTLLQNYSEHYRLEERDGILYLGWKNRPLVVSCAWR >Ma04_p11040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7778036:7781022:1 gene:Ma04_g11040 transcript:Ma04_t11040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNANEGDSHFMPQNHQSSDYVSSDDGFQQRHVQPQTSHVPFCTLESSLATANCTTHNPPSSLSFSPISGSSMSQQDSVSDNANGSPVSATIEDPSDLKNRLREIEAAMLGPDLDTIGNSDNGYPGDLSLEPEKWRGVMETPRGDLKQFLIACARAVAENDLIAVEWLISELRQMVSVSGEPIQRLGAYLLEGLIARLASSGSSIYKALKCKEPVSSDLLSYMHILYEVCPYFKFGYLSANGAIVEAVKGENMVHIIDFQITQGSQWVTLIQALAAMPGGPPRIRITGIDDNVSAYARGGGLHIVGQRLSRLAESCNVPFEFHSVAMSSCDVELEHLDIQPGEALAINFNLHLHHMPDESVSTRNHRDRLLRMIKSVSPKVVTLVEHEANTNTAPFFPRFLETLDYYTAIFDSIDVCLPRENKERISVEQHCLARDIVNIIACEGAERVERYELFGKWNSRFTMAGFRPYHLSPLVNATIKTLLQNYSEHYRLEERDGILYLGWKNRPLVVSCAWR >Ma06_p32560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33461731:33462741:-1 gene:Ma06_g32560 transcript:Ma06_t32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASLLLLLALLATSASTSLAGNFYQDVDITWGDGRAKILNNGQLLTLSLDKYSGSGFQSKDQYVYGKFDMQLKLVPGNSAGTVTTFYLSSQGAAHDEIDLEFLGNLSGDPYVLHTNVYSQGKGNREQQFYLWFDPTKDFHTYSILWNPRHIVIYVDGTSIREFRNRESVGVPYPKSQATRVYSSLWDAEDWATRGGLVKTDWSQAPFTAAFGNYNANGCVWPSGASSCSSGTSNSWMWEEFDATSLKKLRWVQKNYMIYNYCTDVKRFPQGLPPECFAS >Ma11_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7435204:7441398:-1 gene:Ma11_g09260 transcript:Ma11_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKMHGKSLPRHVSESKRMIVETSGPSKRLYQVWKGSNKFFLGGRLIFGPDVRSLMLTIPLIVVPVILFSVFVSQKLINEFQHQLGKFIVAFAVLFASYDIVILFLTSGRDPGIVPRNARPPEPTDNGNSSLRLSSELSGIYGGSSLPPTKDVFVNGTIVKVKYCNTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYHFFFMFVSSTTILCLYVFSFCWVNIKMIMDAYECNLWGAVVKSPVSGFLIIYTFIGAWFVGGLTTFHIYLICSNQTTYENFRYRYDGKMNPYNHGCFHNVKEVLFSGIPKSMNNFRAKVIEDSARFTSLHSTGQVMPPDLSKPSFDLEIGVKRHTVSTEELEDIQNQFEIRPLERCNTQSSHSIWTDDKGNWDDELVAGFGTKHNWERTHDIEASSAEFGTESGFGDTFHSEAAT >Ma09_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3948176:3949825:1 gene:Ma09_g06120 transcript:Ma09_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCKIILLLRALLVLISTGTRRSNGEIPESKARKVKIISAYEMAPLLQSHWLRPGSTAPLLGCFRQKIPVWKYWKGKGDSRNDWTLHAECWSQYSVAAEPIQRISKYMSILGKLALFLSANVLEEHFLEQLYGDGPNDELGLESNGDYTRKFGYLDF >Ma07_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20578625:20580688:-1 gene:Ma07_g17550 transcript:Ma07_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDCTPTLAMVAVQVGFAGLNVLSKLALDDGMSPFVMIAYRQLVATLFLSPLAIFLERKACREITRRVIIQIFFCSVFGATLNQVLYFLGLKYSSPTIACALNNMLPAITFIMAVPFRMETVGIRTLGGQAKVMGTLLCVSGSMLMTFYRGSLIKVWRSHIHWRYAEEMTISSANNASDQNMAVGAALVISSCLAWAVWFIIQAKMSKSFSSPYTSSALMCFMAGVQCFVIAAGVERSFSAWALGWDIRLAASLYTGLVGSGLAVSLMSWCIQKRGPLFVSMFSPLLLVIVSILGWAILDEKLYVGSVTGSALIVGGLYSVLWGKGREIKKLRDVCQRTNGDGDDEGGAVAAVGLPLFSCPSKLPIHQVEAGQP >Ma11_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2260423:2270524:-1 gene:Ma11_g03070 transcript:Ma11_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHSNIVRLQDVVHSEKRIYLVFEYLDLDLKKHMDSCPELAKDPRLIKTYLHQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRNYSTPVDVWSVGCIFAEMANQRPLFPGDSEIDELFKIFRILGTPNEEIWPGVTSLPDFKSAFPKWLPKDLTTVVPNLEAAGIDLLSKMLHLDPSKRITARQALDHDYFKDLGLMP >Ma08_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6312124:6312561:-1 gene:Ma08_g08870 transcript:Ma08_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPNTNNSYYNFLMVPAEAAIARNMVGPPPAAEAVPTRTFPCAYCSRRFSTSQALGGHQNAHKKERAAAARKPAPAAASYTMSELTQRPSPLPVFLQPALTSHGGGFAYVYGLAPQPPVATTPASSGAPEATTTTTDLDLSLHL >Ma08_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7115696:7116345:-1 gene:Ma08_g09780 transcript:Ma08_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRRLASQRAVVVFSLSSCCMCHTIKRLFCELGVNPAVHELDEDPKGKDMERALANMLGRSPPVPAVFIGGNLVGPTDEVMALHLGGKLVPLLRNAGALWL >Ma06_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8348625:8353383:1 gene:Ma06_g11990 transcript:Ma06_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNRSRRPGDRSARRGQTSTSRGSQWDEDHDRSLPTNEEECHEENHAPGIQLAMWDFGQCDIKRCTGRKLARFGFLRELRVTNGFGGVVLSPVGKQCVSKEDQPLIKRKGLAVVDCSWARLNDVPFIKLRCGAPRLLPWLVAANPVNYGHPCELSCVEALSAALIICGEEEMANLLLGKFKWGHSFLSLNRELLTAYSQCQTGSEIISVQNSWLSSNARVPKPPQGVTDSAQSPPGDEQSGSDSDDGLPPLEENLNHLTLQESEESEEDSE >Ma09_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5731539:5735463:-1 gene:Ma09_g08650 transcript:Ma09_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQEPIATSSSSSPSPHSSSFASLGAYGRALAETHRRLGRRAASVTTTYEEMSRVRARSGADMARSLRWPDLVGFGLGGMVGAGVFVATGRAARLYAGPAIVLSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAIGVDTAAKWRIIIIGLPKGFNQIDLLAVAVIVLISMCICYSTKESSVLNMVLTAIHIAFILFIIVMGFWRGDVRNLTHPANPAESRGGFLPYGVAGVFNGAAMVYLSYIGYDAVSTMAEEVRKPARDIPIGVSGSVALVALLYCLMAVSMSMLVPYDAIDKESPFSAAFRGSDGWGWVSNVIGAGASFGILTSLLVSMLGQARYLCVIGRSSVVPAWLAQVHPKTSTPVNASAFLGAFTAVIALLTDLDVLLNLVSIGTLFVFYMVSNAVVYRRYVTVGSTNPWPTISFLLAFSFVSLTITLIWRFAPAGLAKAVLLGGCTVVAVAVLQVFNSLVPQARKPEHWGVPLMPWVPAVSVFLNVFLLGSLDGPSYVRFGFFSVFAVLVYVFYSVHASFDAEQNGHLTKAAEGLSHPVGREHGGASGV >Ma04_p38820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36199457:36199864:1 gene:Ma04_g38820 transcript:Ma04_t38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGGTSRRFGRCMKAPVRALCRARDLYVRSMNSCAGRMEYGPPLGCPHFEDLPRSYGLQSAGDEDLRELMRAASRSLGTLPPPAVPRSQSVAVGRIDEDKPCDFGEVAVGADLLLPRSRSHDPGAKRKARLFV >Ma06_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15353231:15354264:1 gene:Ma06_g21210 transcript:Ma06_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVDNLSLLQRCLQEGHLTSLRRLEIRGSFDLEAFARGLEERLDHISSLHHLHLTGVCRPQHLPRQLVTLPSLKSLHIVDCPEINMLPEGSLPSNLVDLQINGSPKLEQRYEWTTGPEGCTIHAKKINEALQSKTPEKSEKGKRTR >Ma04_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1656370:1657591:-1 gene:Ma04_g01890 transcript:Ma04_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPYFSLLSKALAFIPRSRPADAVHFLASHSYSAASRAPQSSLMADYLVSSCGFDPDKAAKASKLLGRIESRHQPDSVLGLFQSYGFDNTHLKKVISANPRLLLLDVEKTLAPKFRALQDLGFSCSDITHLVRSNNHFISHKSQTILSKIQLWQGLLGSNDFLVNLCKKNRRFLGYSIEKRIQPNIEILRDCGITDQKLSMILRQYPLLITRNAETLKALISRVEGLGVPRTSGMFLLILSVLQSVSEKNFKAHLEFFKGFGWSEDDFLAAFRKAPTFVRFSLKSLQRKMEFLVNEARCAPSYLALRPVILLMSLEKRLMPRHRILTGLKSRGVCISNLSMSTYMKYPEKKFVEKFVNCYKEYPELIELYNVAPKHRTAL >Ma05_p26410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38032378:38034577:1 gene:Ma05_g26410 transcript:Ma05_t26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSANGVLIFFLLLSAPVCLSLNNTTASLQSHCPRSKTPRRGSPAGSSFVPSTSFLSTLHSTLDEIKKVVSLVSTFSSSLDCNLRVSSAVSDCIELLDLSSDELTWTLSDSQSNNASTEGTGGNHLSDLHTWMSAALGNQDTCKDGLGGTGGFVESLIAKGLDKVNSLVADGLREIAAAAVENAGEKGGRRRLMGFPEWVSAGDRKLLQAQPAAVANAVVAQDGSGNYTTVEAAVAAAPAESPRRYVIYVKKGVYKENVEVKKKKWNLMLVGDGMGQTVISGSRSYVDGWTTYRSATFAVAGKGFIARELTIENTAGPQKHQAVALRSDSDLSVFYRCGFSGYQDTLYAHSLRQFYRECRIAGTVDFIFGNAAAVFQNCQVFPRRALPGQKNSVTAQGRKDPNQNTGFSLQFCNVSADADLHGSANSTATYLGRPWKAYSRAVFMQSYLGSVIRPEGWLEWDGTFALSTLYYAEYMNYGPGSGLGGRVKWPGYHALSDATMAANFTVARFIDGNSWLPSTGVKYIAGLTV >Ma02_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26719932:26723248:-1 gene:Ma02_g20930 transcript:Ma02_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEKFKLGFRSLASDISTNTAAVNSSGFSSSSDQAHVLVHRAPIGRVVSVSTCSKICAISFVVGVFVGFTLKRRVRRWASKLLKRLKDD >Ma08_p26260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38880388:38883525:1 gene:Ma08_g26260 transcript:Ma08_t26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHSVDSLVEEIMRLHRSLPPRPGIDEVEAAMALVRNVDKEEQTRIDAIQNQNKGFEVPEELFFVLQEMQKNVVCHQSKEQKREALKLLDLENVHILFDKLIQRASSCLPSSSSGLAPSIPMNSEKVSTANSKTPTGYSSSPTSVFHSEKEAGRSSDRVTRDDSFLKMPKSHIDGIGTKSHLSGGMIPNQTTRKEVISGEEGGKLSLIKLASLIEVSAKKGTRELNLQNKLMDQIDWLPDSIGKLSGLVTLDLSENRIVALPATIGSLFSLTRLDLHSNRISQVPDSIGDLCSLLFLDLRGNNLTSLPSIFGKLVHLEELDLSSNQLSSLPDAIGNLIRLKKLNAETNDIEELPHTIGHCVALAELRADYNRLKGLPEAVGRLESLEILSVRYNNIKGLPTTMASLSKLKELDVSFNELESIPESLCLATTLIKLNVGNNFADLQSLPRSIGNLELLEELDISNNQIRVLPDSFGMLSQLRVLHAEENPLEVPPRHIAEMGAQAVVQYVAEYVTKKDVKVQPEKSKPCWVQYCFFCRPNKRKHDGFSYVN >Ma08_p26260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38880388:38884938:1 gene:Ma08_g26260 transcript:Ma08_t26260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHSVDSLVEEIMRLHRSLPPRPGIDEVEAAMALVRNVDKEEQTRIDAIQNQNKGFEVPEELFFVLQEMQKNVVCHQSKEQKREALKLLDLENVHILFDKLIQRASSCLPSSSSGLAPSIPMNSEKVSTANSKTPTGYSSSPTSVFHSEKEAGRSSDRVTRDDSFLKMPKSHIDGIGTKSHLSGGMIPNQTTRKEVISGEEGGKLSLIKLASLIEVSAKKGTRELNLQNKLMDQIDWLPDSIGKLSGLVTLDLSENRIVALPATIGSLFSLTRLDLHSNRISQVPDSIGDLCSLLFLDLRGNNLTSLPSIFGKLVHLEELDLSSNQLSSLPDAIGNLIRLKKLNAETNDIEELPHTIGHCVALAELRADYNRLKGLPEAVGRLESLEILSVRYNNIKGLPTTMASLSKLKELDVSFNELESIPESLCLATTLIKLNVGNNFADLQSLPRSIGNLELLEELDISNNQIRVLPDSFGMLSQLRVLHAEENPLEVPPRHIAEMGAQAVVQYVAEYVTKKDVKVQPEKSKPCWVQYCFFCRPNKRKHDGFSYVN >Ma04_p28160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29261531:29264006:1 gene:Ma04_g28160 transcript:Ma04_t28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLLTTLSIENRHPSTLLTMDPSGGTVSSSSYEDSDRELFIQHQQVVLSVAPDINLPLSVARSPSQQSWNSDTGDILDVGLGPQIYDAETTRHIPKATAARKCSKRGDSIWGAWFFFSCYFKPMLSEKSKGKVIRHDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHCRPGEPRFPFSVDRGFVRSHKMQRKQYRGLSNPQCVHGIEVVRSPDPKLVREVDRQKWVELTGRDLNFSIPPEASDFESWRNQPSTEIELDRRPRPPPPKSTSHTHPENLSSTQSNHCNGDGMDRSSVCSKRRKDFFLPAMDEDRDQVEPSWLNEFTGVMRHAYGPVTAAKTIYEDDDGYLIMISLPFADQQRVKVSWRNSVMHGILKIICVSTARTPYIKRHDRTFKLTDPSPEHCPPGEFVREITLPMRIPEDANLEAYYDESGALLEIMVPKHRMGPEEHEVRVCILRPHHR >Ma09_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2882751:2885624:-1 gene:Ma09_g04390 transcript:Ma09_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVVSNCCGLRPLPASLRRPNSGLLPAASLKPLKRRPLFSPTVGLGGRREWALRVSAPLQVAPLEDERESGEGSGEPSLKGSDGKEAAFDPGMPPPFGLAEIRAAIPKHCWVKDPWRSMSYVVRDVVVVFGLAAAAASLNNWIVWPLYWIAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLAEKLYRSLDSTTRKLRFTLPFPMFAYPFYLWGRSPGKCGSHFHPSSDLFVPNEKKDVITSTICWAAMVVILAGLTYLMGPNQMLKLYGVPYWIFVMWLDLVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVIGKYYREPAKSGPLPFHLLGVLVRSLKHDHYVNDTGEVVYYQTD >Ma02_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29438751:29452219:-1 gene:Ma02_g25070 transcript:Ma02_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDWRGYGGRGKWVVAITTQEEGKSLDWGRSMEWKVVFFCRTLCLVLLHFLYLSAATLSPSGINYEVVALVAIKMELNDPYNVLENWDINSVDPCSWRMVTCTSDGYVSALGLPSQSLSGKLSPGMGNLTNLQSVLLQNNAISGPIPAEIGKLEKLQTLDLSNNQFGGTIPSSLGDLKNLNYLRLNNNSLSGSCPDSLSNIKGLTLVDLSYNNLSGSLPRISARTFNIIGNPLICGTNLGSNCSSTSLDPISYPPDDLNGVLDSSAQSRFGGTRSQRVAIAFGVSVGSVTLLVFVIGLFLWWQHRHNQQIFFDVTDHYDPEVCLGHLKRYSFKELRVATNNFNSKNILGKGGYGIVYKGCMRDGTIVAVKRLRDYNTIGGVQFQTEVEMISLAVHRHLLRLCGFCTTENERLLVYPYMPNGSVASQLREHVHGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRLTNQKGVMLDWVKKLHQENKLYMMVDKDLKNNYNRVELEEMIQVALLCTQFHPSQRPKMSEVVRMLEGDGLAEKWEASQRMDTPKSRSSEQLTPKYIDFMEDSSFVVEAIELSGPR >Ma02_p25070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29438751:29451458:-1 gene:Ma02_g25070 transcript:Ma02_t25070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDWRGYGGRGKWVVAITTQEEGKSLDWGRSMEWKVVFFCRTLCLVLLHFLYLSAATLSPSGINYEVVALVAIKMELNDPYNVLENWDINSVDPCSWRMVTCTSDGYVSALGLPSQSLSGKLSPGMGNLTNLQSVLLQNNAISGPIPAEIGKLEKLQTLDLSNNQFGGTIPSSLGDLKNLNYLRLNNNSLSGSCPDSLSNIKGLTLVDLSYNNLSGSLPRISARTFNIIGNPLICGTNLGSNCSSTSLDPISYPPDDLNGVLDSSAQSRFGGTRSQRVAIAFGVSVGSVTLLVFVIGLFLWWQHRHNQQIFFDVTDHYDPEVCLGHLKRYSFKELRVATNNFNSKNILGKGGYGIVYKGCMRDGTIVAVKRLRDYNTIGGVQFQTEVEMISLAVHRHLLRLCGFCTTENERLLVYPYMPNGSVASQLREHVHGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRLTNQKGVMLDWVKKLHQENKLYMMVDKDLKNNYNRVELEEMIQVALLCTQFHPSQRPKMSEVVRMLEGDGLAEKWEASQRMDTPKSRSSEQLTPKYIDFMEDSSFVVEAIELSGPR >Ma02_p25070.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29438751:29452228:-1 gene:Ma02_g25070 transcript:Ma02_t25070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDWRGYGGRGKWVVAITTQEEGKSLDWGRSMEWKVVFFCRTLCLVLLHFLYLSAATLSPSGINYEVVALVAIKMELNDPYNVLENWDINSVDPCSWRMVTCTSDGYVSALGLPSQSLSGKLSPGMGNLTNLQSVLLQNNAISGPIPAEIGKLEKLQTLDLSNNQFGGTIPSSLGDLKNLNYLRLNNNSLSGSCPDSLSNIKGLTLVDLSYNNLSGSLPRISARTFNIIGNPLICGTNLGSNCSSTSLDPISYPPDDLNGVLDSSAQSRFGGTRSQRVAIAFGVSVGSVTLLVFVIGLFLWWQHRHNQQIFFDVTDHYDPEVCLGHLKRYSFKELRVATNNFNSKNILGKGGYGIVYKGCMRDGTIVAVKRLRDYNTIGGVQFQTEVEMISLAVHRHLLRLCGFCTTENERLLVYPYMPNGSVASQLREHVHGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRLTNQKGVMLDWVKKLHQENKLYMMVDKDLKNNYNRVELEEMIQVALLCTQFHPSQRPKMSEVVRMLEGDGLAEKWEASQRMDTPKSRSSEQLTPKYIDFMEDSSFVVEAIELSGPR >Ma02_p25070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29438751:29452219:-1 gene:Ma02_g25070 transcript:Ma02_t25070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDWRGYGGRGKWVVAITTQEEGKSLDWGRSMEWKVVFFCRTLCLVLLHFLYLSAATLSPSGINYEVVALVAIKMELNDPYNVLENWDINSVDPCSWRMVTCTSDGYVSALGLPSQSLSGKLSPGMGNLTNLQSVLLQNNAISGPIPAEIGKLEKLQTLDLSNNQFGGTIPSSLGDLKNLNYLRLNNNSLSGSCPDSLSNIKGLTLVDLSYNNLSGSLPRISARTFNIIGNPLICGTNLGSNCSSTSLDPISYPPDDLNAQSRFGGTRSQRVAIAFGVSVGSVTLLVFVIGLFLWWQHRHNQQIFFDVTDHYDPEVCLGHLKRYSFKELRVATNNFNSKNILGKGGYGIVYKGCMRDGTIVAVKRLRDYNTIGGVQFQTEVEMISLAVHRHLLRLCGFCTTENERLLVYPYMPNGSVASQLREHVHGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRLTNQKGVMLDWVKKLHQENKLYMMVDKDLKNNYNRVELEEMIQVALLCTQFHPSQRPKMSEVVRMLEGDGLAEKWEASQRMDTPKSRSSEQLTPKYIDFMEDSSFVVEAIELSGPR >Ma00_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36571664:36572248:-1 gene:Ma00_g04350 transcript:Ma00_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVLHPEVQAKLRREIDAVIGRDHVPTDADVVRMPYLQAVIKETFRNHPPGPLLSWARLSTDDVHLSNGMLVPAGTTAMVNMWAIAHDAKVWARPDCFEPERFVDADGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLATVGLWVARLAHGFEWAPVDGAAVDLGEVLKLSLEMKTPLTAAATPRENVVGVL >Ma07_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27304401:27311479:1 gene:Ma07_g19280 transcript:Ma07_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKKRTFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKYGEKLYSGLVTTMSGHLKEIAKSIEDSQGGLFLEELDRKWGDHNKALQMIRDILMYMDRTFVPGNHKTPVHELGLNLWRDNIIRSGKIQTRLLDTLLDLIHRERTGEVINRSLMRNITKMLMDLGSSVYQEDFEKPFLEVSASFYSGESQQLIECCDCGEYLRKAERRLTEETERVSHYLDVKSEVKITSVVEGEMIANHMQRLVHMENSGLVNMLVDDRYEDLSRMYNLFRRVPDGLSTVRDVMTSHLRETGKQLVSDPERLKDPVDFVQHLLDEKDKYDKIISKAFNNDKSFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGVSEEDVEVVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTASDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSVDTMQGFYANQYSETGDGPTLAVQVLTTGSWPTQPSGPCNLPAEILGICDKFRTYYLGTHTGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCILMLFNSADQLTYREIEQATEIPAPDLKRCLQSLACVKGKNVLRKEPMSKDIAEDDAFYFNDKFTSKFIKVKIGTVAAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNTIVTEVTSQLQSRFLPNPVVIKKRIESLIEREFLERDKTDRKLYRYLA >Ma01_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11608699:11609601:-1 gene:Ma01_g15950 transcript:Ma01_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHAIMARFRPIAPKPSLPRPQLAEGTCQKVTSAGALRLRAPRSCRARKRGRAELAPNPNKRQRSILPVLAAAGPQAQLSPTYPTFVPFTCQKSACDIPTLTVLPLSPEAKADAAVLVEQDLLQKLQEPRVIVPQPVRPVGSSISVGSICHDASAVPAVPVSKRPEEVEEEVESDELPAVVSDSQNRVRLANSAYKEMVGQPECPWLDSMILSGRGMLPMKSLPRRISGEVMLDVKDSSVPKTSSGFSCKVKIEWACNGRKNLVNVPCNVIRLFCQSRDYLFAWRFDISKASATYCEA >Ma04_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8611574:8612312:-1 gene:Ma04_g12040 transcript:Ma04_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNLYFRCSESSAASTPESPEPAPCSRLDQPLPFDVNDADEMLLLDMLIDAPDVSNSTMAAEEVGSSVTAEPPGSEKSYRGVRKRPWGKFAAEIRDSTRQGVRVWLGTFDDAEAAALAYDQAALAMRGTAAVLNFPAERVRASLRDLELGVDGCSPVLALKKRHCIRKRRRSGGKVMESVVVLEDLGAEYLEELLRLSEPASPW >Ma01_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9594517:9597377:1 gene:Ma01_g13110 transcript:Ma01_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMTASTHGYHLPPFRSRDFHRLHHPPQLQPFQPQQQQPKMDEEQSSLRHGNKRDNDDSSSSNNNSNSADGKQLAPLATPGNGGAEGREIIRKPRGRPPGSKNKPKPPIIITRESANALLSHVMEIADGYDICESVATFACRRQRGVCVLSGSGTVTNVTLHQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAATGLAIYLAGGQGQVVGGSVVGPLIASGPVIIIAASFGTAAYERLPLAAEEGLQMQGTLGSPDLIGQSPPPQLLPPDPNNLLFHSLPPNLLNNVQVPADAHGWDGSRDPPPY >Ma02_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21077840:21082065:1 gene:Ma02_g12300 transcript:Ma02_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSPSSFSFLRLHPLSSFPSSLRRCRRTISTSRCRAMAPIVASIDNTRKEEIVVVGAGIAGLATALSLHRLGVGSVVLEQGESLRAGGTSLTLFKNGWRVLDSIGVADELRAQFLQIQGLVMRADDGRELRSFVFEEVPGQEVRAVERRVLLETLASRLPPNTISFSSRLKSIIKERNQGSLLELEDGGRIRAKIVIGCDGVRSPVAKWMGFSEPKYVGHCAFRGLGIYPGGHPYKAKVNYIYGRGLRAGFVPVSPTKVYWFICFNGTSPGPRISDPAVLKQEALNLVRSWPMELLDVMQNTPDDSVIKTPLVDRWLWPGLTPSASSNGVVVVGDAWHPMTPNLGQGACCALEDSVVLAKKLAAAIEGGQDSVDAAMQDYSQERWARIFPLTARSSLVGSLLQWDNSLVCAFRNNIMVPKLVSLGPFLEHTNFECEMLEPVASR >Ma05_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11877094:11880499:-1 gene:Ma05_g15600 transcript:Ma05_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCLRCGRRSFHLQKSRCGSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTQATSRKKAASTEV >Ma03_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1715400:1721380:-1 gene:Ma03_g02530 transcript:Ma03_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVTWNEANLYEIEANKPVRQKITEPKTPFHPRVDDDGSLSPRHAFDECLDDEEDIQTDLDNVAPPSRRYFENRGWPSSGDEANAMDEDEDSEMDKARLSFKEHRKAHYDEFRKVKELMRTGSLIDDEVEEDGDSQENATEKHSSPSALVDMGKN >Ma03_p05030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3299914:3304144:-1 gene:Ma03_g05030 transcript:Ma03_t05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVSNRASRGESFARRGSNLSFRDHSQEDRHVQNYRRSGCSSRVGSTRGPQVVTPERHKHVRAPFRSASGKAIAGSTSKSSSISGSHRKAFQEQHDQTFLQESVVEERSTRQQIDDIDSNDRQVRANNFNSEGRQARKEDTDSVSLTTNSRKSSLLKGVSTAKSKSSNGCSSASSSKMHKQISGQLVSGNQEASSSSFVPRSFAVSSRNSASAAKSFSQVLDTESPRCGLQNLSCTSIYDVCPSGCSSDLTGDKRIVMVRKRQSDGESSATSGRGSNASPGGGYSGSTYSETFVPHLPISGRIMHQSVSRSRSRLTIRDGAVSVRTQRASPGDNRMRPSEEGNGSTLALDDPTPTPQLQQMHFSVPEAVHESSTTAFTTDLPYVFNDSSGRPSSSNCVIRSRSVSRPESSSTPITHRSFGDRHGHRRLNMEGVAEVLLALERIERNEELTYEQLLVLETNVLWGALRFHDQHRDMRMDIDNMSYEELLALEEKMGTVSTALTEEQMTKCLKRITYACASLVPGITSHDNDDAKCSICQEDYIDGEEVARLPCEHLYHVACIDQWLRQKNWCPICKSSALPSKETAQN >Ma03_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3299914:3304144:-1 gene:Ma03_g05030 transcript:Ma03_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVSNRASRGESFARRGSNLSFRDHSQEDRHVQNYRRSGCSSRVGSTRGPQVVTPERHKHVRAPFRSASGKAIAGSTSKSSSISGSHRKAFQEQHDQTFLQESVVEERSTRQQIDDIDSNDRQVRANNFNSEGRQARKEDTDSVSLTTNSRKSSLLKGVSTAKSKSSNGCSSASSSKMHKQISGQLVSGNQEASSSSFVPRSFAVSSRNSASAAKSFSQVLDTESPRCGLQNLSCTSIYDVCPSGCSSDLTGDKRIVMVRKRQSDGESSATSGRGSNASPGGGYSGSTYSETFVPHLPISGRIMHQSVSRSRSRLTIRDGAVSVRTQRASPGDNRMRPSEEGNGSTLALDDPTPTPQLQQMHFSVPEAVHESSTTAFTTDLPYVFNDSSGRPSSSNCVIRSRSVSRPESSSTPITHRSFGDRHGHRRLNMEGVAEVLLALERIERNEELTYEQLLVLETNVLWGALRFHDQHRDMRMDIDNMSYEELLALEEKMGTVSTALTEEQMTKCLKRITYACASLVPGITSHDNDDAKCSICQEDYIDGEEVARLPCEHLYHVACIDQWLRQKNWCPICKSSALPSKETAQN >Ma02_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18823278:18824227:1 gene:Ma02_g08490 transcript:Ma02_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPSLSPPTLYNSTSPPPPSRSPLPPYIYKSPPTFSSPPSSSLLPSPPPYVYKSLSPPPYIYKPPPSPFTSPPPPLYLSPPPSSLSPPSSYLYKSPPPPTLSSSPPYICKSPPSLSPFPPFVYKSPLPPTPSSSSPPPPCILKSPSSPSSPSLCKSPPPPIPFSPPPSINKSPRLPILLSPPPPSSYVYKSPPPPSPYIYKYPPPTPPISSSSPPSIYKSPPPSSLFPPNIYKSPPPPPPSSSSPPPPLSSTPNISLPPLPPPHFYKSPLP >Ma09_p05060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3255207:3262783:-1 gene:Ma09_g05060 transcript:Ma09_t05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFDSEATEDLPPPPPIPPNVTPIKVDPEGSLPPKKATKPSRVPMPRPGLGKKGQVVPLLTNHFKVSVRNVDDYFYHYSVLLKYEDDRPVDGKGIGRRVIDKLHQTYDVDLGNKDFAYDGEKSLFTLGALPHVKNEFTVVLEDVSSARTTGNRSPHGNDSPGESDCKRVRRPYRIKTFKVELNFAAKIPMKSIAMALKGYESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQNNPRNFTDIGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIVKPGPVVQFLIDNQNVKDPYHLDWTKAKRVLKNLRIVTRHSNQEFKIVGLSELPCNELRFNFKQRNGRDGNGDSDTIEITVFDYFTKYRNIPLSFSATLPCINVGKPKRPTYVPIELCSLVSLQRYTKALSTFQRSSLVEKSRQKPQERMSVLTEALKFNNYDADPMLRACGVTISAGFTQVEGRVLQPPKLRVGNGEDFMPSRGRWNFNHKKLVEPIEISRWAVVTFSARCDIGSLIRDLIKCSNMKGIVMKEPFDVIEENPSVRRAPPVARVDDMFQQIKKKLPGAPQFLLCLLPERKNSDIYGPWKRKCLAEFGIVTQCIAPTRVNDQYLTNVLLKINAKLGGINSFLNIERSQAIPLVSNTPTIILGMDVSHGSPGQSDIPSIAAVVSSRQWPSISRYRASVRSQSPKLEMIDSLFKPVGDKNDEGIIRELLIDFYTSSGKRKPENIIIFRDGVSESQFVQVLNIELDQIIEACKFLDEQWFPKFTLIIAQKNHHTKFFQPKSPDNVQPGTVVDSKICHPRNYDFYMCSHAGMIGTTRPTHYHVLLDEIEFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGQFVKFDDMSDSSSSHGGHTSAASVPVPELPRLHQNVSSSMFFC >Ma09_p05060.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3255207:3262878:-1 gene:Ma09_g05060 transcript:Ma09_t05060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFDSEATEDLPPPPPIPPNVTPIKVDPEGSLPPKKATKPSRVPMPRPGLGKKGQVVPLLTNHFKVSVRNVDDYFYHYSVLLKYEDDRPVDGKGIGRRVIDKLHQTYDVDLGNKDFAYDGEKSLFTLGALPHVKNEFTVVLEDVSSARTTGNRSPHGNDSPGESDCKRVRRPYRIKTFKVELNFAAKIPMKSIAMALKGYESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQNNPRNFTDIGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIVKPGPVVQFLIDNQNVKDPYHLDWTKAKRVLKNLRIVTRHSNQEFKIVGLSELPCNELRFNFKQRNGRDGNGDSDTIEITVFDYFTKYRNIPLSFSATLPCINVGKPKRPTYVPIELCSLVSLQRYTKALSTFQRSSLVEKSRQKPQERMSVLTEALKFNNYDADPMLRACGVTISAGFTQVEGRVLQPPKLRVGNGEDFMPSRGRWNFNHKKLVEPIEISRWAVVTFSARCDIGSLIRDLIKCSNMKGIVMKEPFDVIEENPSVRRAPPVARVDDMFQQIKKKLPGAPQFLLCLLPERKNSDIYGPWKRKCLAEFGIVTQCIAPTRVNDQYLTNVLLKINAKLGGINSFLNIERSQAIPLVSNTPTIILGMDVSHGSPGQSDIPSIAAVVSSRQWPSISRYRASVRSQSPKLEMIDSLFKPVGDKNDEGIIRELLIDFYTSSGKRKPENIIIFRDGVSESQFVQVLNIELDQIIEACKFLDEQWFPKFTLIIAQKNHHTKFFQPKSPDNVQPGTVVDSKICHPRNYDFYMCSHAGMIGTTRPTHYHVLLDEIEFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGQFVKFDDMSDSSSSHGGHTSAASVPVPELPRLHQNVSSSMFFC >Ma09_p05060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3255207:3262783:-1 gene:Ma09_g05060 transcript:Ma09_t05060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFDSEATEDLPPPPPIPPNVTPIKVDPEGSLPPKKATKPSRVPMPRPGLGKKGQVVPLLTNHFKVSVRNVDDYFYHYSVLLKYEDDRPVDGKGIGRRVIDKLHQTYDVDLGNKDFAYDGEKSLFTLGALPHVKNEFTVVLEDVSSARTTGNRSPHGNDSPGESDCKRVRRPYRIKTFKVELNFAAKIPMKSIAMALKGYESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQNNPRNFTDIGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIVKPGPVVQFLIDNQNVKDPYHLDWTKAKRVLKNLRIVTRHSNQEFKIVGLSELPCNELRFNFKQRNGRDGNGDSDTIEITVFDYFTKYRNIPLSFSATLPCINVGKPKRPTYVPIELCSLVSLQRYTKALSTFQRSSLVEKSRQKPQERMSVLTEALKFNNYDADPMLRACGVTISAGFTQVEGRVLQPPKLRVGNGEDFMPSRGRWNFNHKKLVEPIEISRWAVVTFSARCDIGSLIRDLIKCSNMKGIVMKEPFDVIEENPSVRRAPPVARVDDMFQQIKKKLPGAPQFLLCLLPERKNSDIYGPWKRKCLAEFGIVTQCIAPTRVNDQYLTNVLLKINAKLGGINSFLNIERSQAIPLVSNTPTIILGMDVSHGSPGQSDIPSIAAVVSSRQWPSISRYRASVRSQSPKLEMIDSLFKPVGDKNDEGIIRELLIDFYTSSGKRKPENIIIFRDGVSESQFVQVLNIELDQIIEACKFLDEQWFPKFTLIIAQKNHHTKFFQPKSPDNVQPGTVVDSKICHPRNYDFYMCSHAGMIGTTRPTHYHVLLDEIEFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGQFVKFDDMSDSSSSHGGHTSAASVPVPELPRLHQNVSSSMFFC >Ma09_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3255207:3262770:-1 gene:Ma09_g05060 transcript:Ma09_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFDSEATEDLPPPPPIPPNVTPIKVDPEGSLPPKKATKPSRVPMPRPGLGKKGQVVPLLTNHFKVSVRNVDDYFYHYSVLLKYEDDRPVDGKGIGRRVIDKLHQTYDVDLGNKDFAYDGEKSLFTLGALPHVKNEFTVVLEDVSSARTTGNRSPHGNDSPGESDCKRVRRPYRIKTFKVELNFAAKIPMKSIAMALKGYESENSQEALRVLDIILRQHAAKQGCLLVRQSFFQNNPRNFTDIGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIVKPGPVVQFLIDNQNVKDPYHLDWTKAKRVLKNLRIVTRHSNQEFKIVGLSELPCNELRFNFKQRNGRDGNGDSDTIEITVFDYFTKYRNIPLSFSATLPCINVGKPKRPTYVPIELCSLVSLQRYTKALSTFQRSSLVEKSRQKPQERMSVLTEALKFNNYDADPMLRACGVTISAGFTQVEGRVLQPPKLRVGNGEDFMPSRGRWNFNHKKLVEPIEISRWAVVTFSARCDIGSLIRDLIKCSNMKGIVMKEPFDVIEENPSVRRAPPVARVDDMFQQIKKKLPGAPQFLLCLLPERKNSDIYGPWKRKCLAEFGIVTQCIAPTRVNDQYLTNVLLKINAKLGGINSFLNIERSQAIPLVSNTPTIILGMDVSHGSPGQSDIPSIAAVVSSRQWPSISRYRASVRSQSPKLEMIDSLFKPVGDKNDEGIIRELLIDFYTSSGKRKPENIIIFRDGVSESQFVQVLNIELDQIIEACKFLDEQWFPKFTLIIAQKNHHTKFFQPKSPDNVQPGTVVDSKICHPRNYDFYMCSHAGMIGTTRPTHYHVLLDEIEFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQLGQFVKFDDMSDSSSSHGGHTSAASVPVPELPRLHQNVSSSMFFC >Ma05_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:527451:531506:1 gene:Ma05_g00860 transcript:Ma05_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIAARYVARRLSTGGKVLSEEEKAAENVYIKKMEKEKLEKLAHKGPKPGEQTPAADVKPSTPPGSSTAAGVSTDENRNYAVLAGTVAAACGLGWYLLSKPKKSAEVAD >Ma05_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:526122:527359:-1 gene:Ma05_g00850 transcript:Ma05_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMDVDGETEVAGAPRERCQMPPPEEDPHLPPESFQVPIGGDGIDWTDLNAVFDRDDSTKGSTNPKSANANPKSRSISERSSASLKAKPAVLGLPSAIGTPGFTGRASRRQRPPSGRIFPKKGARSGRESAADPGSPKVSCFGKVLSDRERRKQGRSWRWASCFEAMCRLGDERRRGSPPSAGNAGGAFLPVEAGLGAMRRFASGRRSATWGEEEAEEGQMRHRHLKRAVAEVEHNGSGVE >Ma06_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19952969:19956521:-1 gene:Ma06_g22910 transcript:Ma06_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNREQWRAEAPTHGLRPPEVPKDPMEFLSRSWSASALEVSKALAPPPPPPLLPAPSGAIPEEELEEAVVVAGNPFSFASSATTQMVMERIMSQPEVSPLTSGRPSHSSGPLNGGGSLSDSPPVSPCEMDNLKYCRATNTPKPQHCRGSSKTVGRWLKDRRERKKEETRAQNAQLHAAVSVAGVAAAVAAVAAATAAASGSGKDDRAARVDMVVASAATLVAAQCVEAAESMGAEREHLASVVESAVSVRTPGDIVTLTAAAATALRGAATLKARALKDVWNIAAVIPVEKGAMGNHKNHHRSKQLKELDSKGSSFSDEFAPEEDNFLGLCSQEFLAKGTELLKRTRKGALHWKIVSVYINRMGQVMLKMKSRHVGGTITKKKKSVVIEVCKEVQAWPGRHLVEGGERRRYFGLRTAEHRVIEFECRGRREYEMWTQGVARLLNIVKERKHHS >Ma09_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24882157:24882324:-1 gene:Ma09_g19550 transcript:Ma09_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLIIDAERTMSIMIRCHMMLNFFLNNLALLSASHTLNMLLQFRFMGQILSKR >Ma08_p12580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9567366:9571616:1 gene:Ma08_g12580 transcript:Ma08_t12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSLESNHHEEMEKANGKPPPSRLCFLATLSAMFWIMIFYFHFTVLSSNPIGKPEQPVPFSVSAQPQRISEAYEAFELPKMKAPPRSKPSDTRQAPEAFPFTRALRTIENKSDPCGGRYIYVHDLPSRFNADMLRDCKNLSLWTNMCKFTSNAGLGPPLENAEGVFSNTGWYATNQFAVDVIFNNRMKQYECLTKDSSIAAAIFVPFYAGFDIARYLWGYNISVRDAASLDLVDWLMKRPEWNVMGGRDHFLVAGRITWDFRRLTDSETDWGNKLLFLPAARNMSMLVVEASPWNANDFGVPYPTYFHPAKDGDVFLWQDRMRKLERKYLFSFAGAPRPDNPISIRGQIIDQCKRSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYIQYTWHLPRNYSTYSVFIPEDDIRKQNVSIEERLKQIPPDVVEIMRERVISLIPKLIYADPRSKLETLKDAFDVAVQAVINKVTKLRRDVIEGHEDKDFIEENSWKYALLDDGQQTVGPHEWDPFFSKPKDGNGESGTISAEAAKKSWKNEQRSQA >Ma08_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9567366:9571616:1 gene:Ma08_g12580 transcript:Ma08_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSLESNHHEEMEKANGKPPPSRLCFLATLSAMFWIMIFYFHFTVLSSNPIGKPEQPVPFSVSAQPQRISEAYEAFELPKMKAPPRSKPSDTRQAPEAFPFTRALRTIENKSDPCGGRYIYVHDLPSRFNADMLRDCKNLSLWTNMCKFTSNAGLGPPLENAEGVFSNTGWYATNQFAVDVIFNNRMKQYECLTKDSSIAAAIFVPFYAGFDIARYLWGYNISVRDAASLDLVDWLMKRPEWNVMGGRDHFLVAGRITWDFRRLTDSETDWGNKLLFLPAARNMSMLVVEASPWNANDFGVPYPTYFHPAKDGDVFLWQDRMRKLERKYLFSFAGAPRPDNPISIRGQIIDQCKRSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYIQYTWHLPRNYSTYSVFIPEDDIRKQNVSIEERLKQIPPDVVEIMRERVISLIPKLIYADPRSKLETLKDAFDVAVQAVINKVTKLRRDVIEGHEDKDFIEENSWKYALLDDGQQTVGPHEWDPFFSKPKDGNGESGTISAEAAKKSWKNEQRSQA >Ma08_p12580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9567366:9571614:1 gene:Ma08_g12580 transcript:Ma08_t12580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSLESNHHEEMEKANGKPPPSRLCFLATLSAMFWIMIFYFHFTVLSSNPIGKPEQPVPFSVSAQPQRISEAYEAFELPKMKAPPRSKPSDTRQAPEAFPFTRALRTIENKSDPCGGRYIYVHDLPSRFNADMLRDCKNLSLWTNMCKFTSNAGLGPPLENAEGVFSNTGWYATNQFAVDVIFNNRMKQYECLTKDSSIAAAIFVPFYAGFDIARYLWGYNISVRDAASLDLVDWLMKRPEWNVMGGRDHFLVAGRITWDFRRLTDSETDWGNKLLFLPAARNMSMLVVEASPWNANDFGVPYPTYFHPAKDGDVFLWQDRMRKLERKYLFSFAGAPRPDNPISIRGQIIDQCKRSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYIQYTWHLPRNYSTYSVFIPEDDIRKQNVSIEERLKQIPPDVVEIMRERVISLIPKLIYADPRSKLETLKDAFDVAVQAVINKVTKLRRDVIEGHEDKDFIEENSWKYALLDDGQQTVGPHEWDPFFSKPKDGNGESGTISAEAAKKSWKNEQRSQA >Ma00_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19512706:19515048:-1 gene:Ma00_g02630 transcript:Ma00_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSPAVFVNAEILKMYLGRRVRAVIQVMRNDAGVIVGQSTDGNQITVKGAQAVPPSHYVEVIGIADGSQSIRAEICTDFGQSFDMSAYNGLCQLANGKFKNLFL >Ma10_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33422975:33426994:-1 gene:Ma10_g25130 transcript:Ma10_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAERSRQSFLPSFLYSPSPAARTLAVDRILGRSLVSPAAEVGSTSPTPIVAQAPNEPGKIEMYSPMFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGVSGLYKGIVPLWGRQIPYTMMKFASFETVVEMVYKYAIPTPKEQCTKTLQLGVSFAGGYIAGIFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGMWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPAAAPASEVGKLKAAA >Ma06_p30550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31796541:31802527:1 gene:Ma06_g30550 transcript:Ma06_t30550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGTLNPSMEFDNSQEGELEPLIQIQPRECDLDQPIAVDPLHHDSIAVLLANQPEDCPVSGEGRELVAAAAVEMEAVAEVACGDLHEPAMGMEFDSPDAARAFYSGYAERVGFRIRNSKSFTSRVDDTVIMRRFVCSKQGRPTKKDPFDLTKKRRNRTSSREGCNAMLQVNRRENGRWAISRCVLDHCHPLGVITKQSPAVQKKLAKKPWELLVSPATEPQQSGLGPGGGVAQSLLEYFKRMQAENPAFFYAIQVDRNNCVSNVFWADARARMAYSYFGDAVVFDMTCKKNKRMVPFATFTGFNHHRQLIVFGCAFMTDESEASFTWLFETWLGLMSGRRPVSFITAYNESMAVAASKVFLNVRHRFCKRDIFGKCKDKLSGVYLIHPSFKAEFKKCVNECESVEEFDSCWRSLIDRYNLEDNMWMQALYAIQQKWIPLFFRGTFFGEFFGTPKLETMHKFFQRHSITTTTLRDLVSQFDKAMAGQYEKELQSDFDANHTRPVLRTPSPMEKQASEVYTKSIFDLFQEEFAGSSGFLKEKVADGVEYMYRVTKIEDDSKAYMVTYNASNKHITCSCFMFEFSGVLCRHVLGVLIVVNVLTLPDDYILRRWTKNAKSNGLSCEDRGLCQRNSRKALAWRCNDLCRDAIKFAEEGATSAVIYKVAKGALQKAFAEVLATKKGSFFNRPGR >Ma06_p30550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31796541:31803017:1 gene:Ma06_g30550 transcript:Ma06_t30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGTLNPSMEFDNSQEGELEPLIQIQPRECDLDQPIAVDPLHHDSIAVLLANQPEDCPVSGEGRELVAAAAVEMEAVAEVACGDLHEPAMGMEFDSPDAARAFYSGYAERVGFRIRNSKSFTSRVDDTVIMRRFVCSKQGRPTKKDPFDLTKKRRNRTSSREGCNAMLQVNRRENGRWAISRCVLDHCHPLGVITKQSPAVQKKLAKKPWELLVSPATEPQQSGLGPGGGVAQSLLEYFKRMQAENPAFFYAIQVDRNNCVSNVFWADARARMAYSYFGDAVVFDMTCKKNKRMVPFATFTGFNHHRQLIVFGCAFMTDESEASFTWLFETWLGLMSGRRPVSFITAYNESMAVAASKVFLNVRHRFCKRDIFGKCKDKLSGVYLIHPSFKAEFKKCVNECESVEEFDSCWRSLIDRYNLEDNMWMQALYAIQQKWIPLFFRGTFFGEFFGTPKLETMHKFFQRHSITTTTLRDLVSQFDKAMAGQYEKELQSDFDANHTRPVLRTPSPMEKQASEVYTKSIFDLFQEEFAGSSGFLKEKVADGVEYMYRVTKIEDDSKAYMVTYNASNKHITCSCFMFEFSGVLCRHVLGVLIVVNVLTLPDDYILRRWTKNAKSNGLSCEDRGLCQRNSRKALAWRCNDLCRDAIKFAEEGATSAVIYKVAKGALQKAFAEVLATKKGSFFNRPGR >Ma07_p24990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32138764:32141391:-1 gene:Ma07_g24990 transcript:Ma07_t24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAEAPPGDPKAGEKIFKTKCAQCHTVDQGAGHKQGPNLNGLFGRQSGTASGYSYSAANKNKAVVWEESTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKQSTA >Ma11_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4006304:4006471:-1 gene:Ma11_g05210 transcript:Ma11_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGHDAIHCFLLAETKNVPTEETMLLWEKHWFWNKSIADEDVHVGNVDIGKSKV >Ma07_p28950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34822368:34825294:-1 gene:Ma07_g28950 transcript:Ma07_t28950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPERIKSSSSSVSSLAPSSQEDQKQRSPAAGMNVLTVDIDNSSSLLELAANDDVDALKVALDRDPSAIEEVGLWYGRRKGFSRMVLEHRTPLMVAATYGSLDVLKLIVSLPSVDVNRVFGPDSTTALHCAASGGSLQAVDSVKILLAAGADPTLVDVNGNRPADVIVVPPKVAFAKTVLEQLLGRSTSLSDGSYHSHLPLHVLTSSPNSSSPPLSLSPGEDGSPSSDSTSSPPTARFLDLPPVTVSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCSRRVCFFAHTNDELRPLYMSTGSAVPSPRASSSAMEMAAAMGFMPGSPSSVSAIMSPFTPPMSPSANGVSQSSLAWPQSNVPMLNLPAGNLQSSRLRSSLSARDMPVNDFSVMSEFDTQQLLSDLCYPHPSPSAGSRSVLTKTLTPSNLDDFFAAEMASSPRYNSDHGTIFSPSQRTAVINQFQQQESLLSPINTSVFSPKAVDTQHLPAHSSMLQASLNVSSPGLMSPRSVDPASPMSSRLAILTQRDKQQRQQSLQSLSSRDLSPISSTIVGSPINSSWAKWASPSGTADWGVNCKELGHLRQSSSSEMRGDGEEPDVSWVHSLVKESPPEKVVPTAMAPAGPSDFSAIGAESSISNDQLDGHDQAAVLGAWLEQMQLDQMVS >Ma07_p28950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34822368:34825263:-1 gene:Ma07_g28950 transcript:Ma07_t28950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPERIKSSSSSVSSLAPSSQEDQKQRSPAAGMNVLTVDIDNSSSLLELAANDDVDALKVALDRDPSAIEEVGLWYGRRKGFSRMVLEHRTPLMVAATYGSLDVLKLIVSLPSVDVNRVFGPDSTTALHCAASGGSLQAVDSVKILLAAGADPTLVDVNGNRPADVIVVPPKVAFAKTVLEQLLGRSTSLSDGSYHSHLPLHVLTSSPNSSSPPLSLSPGEDGSPSSDSTSSPPTARFLDLPPVTVSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCSRRVCFFAHTNDELRPLYMSTGSAVPSPRASSSAMEMAAAMGFMPGSPSSVSAIMSPFTPPMSPSANGVSQSSLAWPQSNVPMLNLPAGNLQSSRLRSSLSARDMPVNDFSVMSEFDTQQLLSDLCYPHPSPSAGSRSVLTKTLTPSNLDDFFAAEMASSPRYNSDHGTIFSPSQRTAVINQFQQQESLLSPINTSVFSPKAVDTQHLPAHSSMLQASLNVSSPGLMSPRSVDPASPMSSRLAILTQRDKQQRQQSLQSLSSRDLSPISSTIVGSPINSSWAKWASPSGTADWGVNCKELGHLRQSSSSEMRGDGEEPDVSWVHSLVKESPPEKVVPTAMAPAGPSDFSAIGAESSISNDQLDGHDQAAVLGAWLEQMQLDQMVS >Ma07_p28950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34822368:34825263:-1 gene:Ma07_g28950 transcript:Ma07_t28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPERIKSSSSSVSSLAPSSQEDQKQRSPAAGMNVLTVDIDNSSSLLELAANDDVDALKVALDRDPSAIEEVGLWYGRRKGFSRMVLEHRTPLMVAATYGSLDVLKLIVSLPSVDVNRVFGPDSTTALHCAASGGSLQAVDSVKILLAAGADPTLVDVNGNRPADVIVVPPKVAFAKTVLEQLLGRSTSLSDGSYHSHLPLHVLTSSPNSSSPPLSLSPGEDGSPSSDSTSSPPTARFLDLPPVTVSEKKEYPVDPSLPDIKNSIYATDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCSRRVCFFAHTNDELRPLYMSTGSAVPSPRASSSAMEMAAAMGFMPGSPSSVSAIMSPFTPPMSPSANGVSQSSLAWPQSNVPMLNLPAGNLQSSRLRSSLSARDMPVNDFSVMSEFDTQQLLSDLCYPHPSPSAGSRSVLTKTLTPSNLDDFFAAEMASSPRYNSDHGTIFSPSQRTAVINQFQQQESLLSPINTSVFSPKAVDTQHLPAHSSMLQASLNVSSPGLMSPRSVDPASPMSSRLAILTQRDKQQRQQSLQSLSSRDLSPISSTIVGSPINSSWAKWASPSGTADWGVNCKELGHLRQSSSSEMRGDGEEPDVSWVHSLVKESPPEKVVPTAMAPAGPSDFSAIGAESSISNDQLDGHDQAAVLGAWLEQMQLDQMVS >Ma03_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2007051:2009164:-1 gene:Ma03_g02960 transcript:Ma03_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSWLFLFCCLLQAFSSSASLYNWTSSALLGSLVRGIELPAHLSFNAVTSSGEPSCDHHLDAAASSTGSLTKEDGVAPALKLHLKHRSTRDAAAAERSTKMEFLEHSTHRDILRIHTLFRRVTERKNQDGFSRLATAAAGRHPKTIQKAAVATPPELTGRIMAKVESGVTLGSGEYFIDVFVGTPPRRFSLILDTGSDLNWIQCLPCHDCFEQHGPVYDPAASSSYRNISCADPRCGLVSSPDPPRPCGTSVADGRGDACPYYYWYGDRSNTTGDLALETFTVNLTSPDGAGDGFRRVDDVIFGCGHWNRGLFHGAAGLLGLGRGPLSFSSQLGSSYGHTFSYCLVDRNSDLSVSSKLIFGDDESLLRHPDLNYTSFVGGKENPADTFYYIQIESIVVGGEALQIPRETWEPAKDGSGGTIIDSGTTLSYFTDPAYQKIREAFVKKVTKYPVVEDVPVLSPCYNVTGVAKVELPALAIRFGDGAVWNFPVENYFIRLEPDEIMCLAILPTPQSSLSILGNYQQQNFHISYDTKNSRLGFAPARCAEM >Ma11_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3881191:3881950:-1 gene:Ma11_g05040 transcript:Ma11_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFERRNRDEHRYSAADHDRGHPPYDGGYRNEFRAYGAGAGDRRLEIVKGNVFSANQTSYYAADRDRHPSPPPRRRSRDPPFPPPQRSGLSSAGSSAWCFTDPEAKRRRRVASYKAYAVEGKVKASFRKGFRWIKVKCSELVHGW >Ma07_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:494540:501613:1 gene:Ma07_g00580 transcript:Ma07_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAAARYFSNRTIFCTVLALAFLLPFVFILTAVDTLEGVNDCSSSDCLRRRLGPSFLGWSGDDSTKLVKELYKILEQVNSLEIPDSEKFPESFSEFLSDMKDKEYDAKKFAIRLKAMIENMDKEVKRSRLAEQLHKHFVATSIPKGIHCLTLRLTDEYSSNSRARKQLPAPELLSLLSDNSCHHFVLATDNILAASVVVTSVVRSSMNPGRVVFHVITDKKTYPGMHAWFALNPLSPAIIEVKGVHQFHWLRRETIPVLKAIANYHGARSPKYISLLNHLRIYLPEIFPNLNKVVFLDDDVVVQRDLSPLWLIDLSGKVNGAVETCKGEDTWVMAKSFRTYFNFSHPLIADKLDPDECAWAYGMNIFDLNAWRKTNIRETYLLWVMENLKSSVTLWKLGTLPPALIAFRGYVHPIDSSWHMLGLGYQEMTNTDSVRKAAVIHYNGQCKPWLEIGYKHLQPFWTRHVNYTNDFIRSLHTETRIILSCEACRFSFPLFFFFQVFVLDFLNLFWFFTCPLNLEDMHINYTVTHFPYWETLGCLHEIMKCAVNSFCIYSVDYIVHLS >Ma01_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6252915:6264423:-1 gene:Ma01_g08710 transcript:Ma01_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSRPFPYLLTFVGRSPQLLAAKNPSLSIRLQIRSSGPQNKMSGNEIALNKTQAKSSYVWIQTNDGLIQQVEGEFVTVCPLIHREMLRTGLGSCKNNPIPLPEQVSPAILSSILHYCRFHQVPGHSDKERKSFDEKFMKTDTDRLVELISAAHSLELSPLVDLISRAIARIIEGSSNDEIREIFRVPDDLTEEEKLEPLRNPTNNLYIRLLNQHLARKRKNLKEQKELKNVELEKKQEDKRTVEELLELINGKDEADLKCVRASKCKRKSKKRKNKAQSSSVNYLNCPDVKEVGVVLPPMRTSDDGAEDFSCTETEFDDDLDQEKMRENDRIVAEFARRLRLIDYR >Ma01_p08710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6252915:6264423:-1 gene:Ma01_g08710 transcript:Ma01_t08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSRPFPYLLTFVGRSPQLLAAKNPSLSIRLQIRSSGPQNKMSGNEIALNKTQQAKSSYVWIQTNDGLIQQVEGEFVTVCPLIHREMLRTGLGSCKNNPIPLPEQVSPAILSSILHYCRFHQVPGHSDKERKSFDEKFMKTDTDRLVELISAAHSLELSPLVDLISRAIARIIEGSSNDEIREIFRVPDDLTEEEKLEPLRNPTNNLYIRLLNQHLARKRKNLKEQKELKNVELEKKQEDKRTVEELLELINGKDEADLKCVRASKCKRKSKKRKNKAQSSSVNYLNCPDVKEVGVVLPPMRTSDDGAEDFSCTETEFDDDLDQEKMRENDRIVAEFARRLRLIDYR >Ma01_p08710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6252915:6264423:-1 gene:Ma01_g08710 transcript:Ma01_t08710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSRPFPYLLTFVGRSPQLLAAKNPSLSIRLQIRSSGPQNKMSGNEIALNKTQQAKSSYVWIQTNDGLIQQVEGEFVTVCPLIHREMLRTGLGSCKNNPIPLPEQVSPAILSSILHYCRFHQVPGHSDKERKSFDEKFMKTDTDRLVELISAAHSLELSPLVDLISRAIARIIEGSSNDEIREIFRVPDDLTEEEKLEPLRNPTNNLYIRLLNQHLARKRKNLKEQKELKNVELEKKQEDKRTVEELLELINGKDEDLKCVRASKCKRKSKKRKNKAQSSSVNYLNCPDVKEVGVVLPPMRTSDDGAEDFSCTETEFDDDLDQEKMRENDRIVAEFARRLRLIDYR >Ma04_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1717386:1723632:-1 gene:Ma04_g01960 transcript:Ma04_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGGNIRFVVSELPTDVSVRIGEVRFYLHKFPLLSKSNRLQGLILRVGEDSTNEIFLHDFPGGPKAFEICAKFCYGMIVTLNAYNVVAARCAAEYLEMTENVEKGNLIYKIEVFLNSSVLRSWKDSIIVLQATKSLLPWSEELKVAGRCIDCIASKTSVDPTNINWSYTYNRKLAASDEIVEIQQRMHTVPNDWWVEDICELDVDLYRRVMVAVKSKGRMSSDMIGEALKAYAVRWLPDSYDALVADDYMKRNRTLVETIVSLLPSDKCSGCSCRFLLKLLKVVILVGAGDALKEELINRISMQFHKASVKDLLIPAKSGGDTIYDVHLVQILVGKFMVHVGSSHDINFVDKSESRFVEINTENKTLLPMGKLIDGYLAEIASDPNLSISSFVDLAKSIPDAARPGHDGLYMAIDIYLKEHPNLSKAEKKRICGLMDVKKLSTEASIHAAQNELLPLRVVVQVLFFERLKASTGVSAPTSDAPHDASISRATLEEDWEGRVSEHHNSLKQQLGSLKIKGVECRNSDEMKSRSTKEKSSSLLLPSRSRRIFDKLWVGKGQGETIKSSETSGSSQSPPICVKPGEAKSSGSSRRMRYSIS >Ma11_p22190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26046377:26048879:-1 gene:Ma11_g22190 transcript:Ma11_t22190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCSRGLEEVVACPKTQQQQQQQDRKARPHPEHALQCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKTKRSSSSSSKKAAPFLVPSPLSHDPSDDLTSLQKPLPMKQFGSDHENGHNFLVGNTNTDPFSFLVNDSDPMPSAPTPTKGFLDYLRNGLVDISSPSSFSNIDYDYGLGTSGSWGMPTGAGLSGATAGTTTCQGSCKPMDGGDGHVEMSLLWQDGSMDSERDYCWNGVGPSWQGLINSSLL >Ma11_p22190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26046377:26048879:-1 gene:Ma11_g22190 transcript:Ma11_t22190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNARHQAMCSRGLEEVVACPKTQQQQQQQDRKARPHPEHALQCPRRYWTQGGSLRNVPVGGGCRKTKRSSSSSSKKAAPFLVPSPLSHDPSDDLTSLQKPLPMKQFGSDHENGHNFLVGNTNTDPFSFLVNDSDPMPSAPTPTKGFLDYLRNGLVDISSPSSFSNIDYDYGLGTSGSWGMPTGAGLSGATAGTTTCQGSCKPMDGGDGHVEMSLLWQDGSMDSERDYCWNGVGPSWQGLINSSLL >Ma11_p22190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26046377:26048910:-1 gene:Ma11_g22190 transcript:Ma11_t22190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNARHQAMCSRGLEEVVACPKTQQQQQQQDRKARPHPEHALQCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKTKRSSSSSSKKAAPFLVPSPLSHDPSDDLTSLQKPLPMKQFGSDHENGHNFLVGNTNTDPFSFLVNDSDPMPSAPTPTKGFLDYLRNGLVDISSPSSFSNIDYDYGLGTSGSWGMPTGAGLSGATAGTTTCQGSCKPMDGGDGHVEMSLLWQDGSMDSERDYCWNGVGPSWQGLINSSLL >Ma11_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26046377:26048910:-1 gene:Ma11_g22190 transcript:Ma11_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCSRGLEEVVACPKTQQQQQQQDRKARPHPEHALQCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKTKRSSSSSSKKAAPFLVPSPLSHDPSDDLTSLQKPLPMKQFGSDHENGHNFLVGNTNTDPFSFLVNDSDPMPSAPTPTKGFLDYLRNGLVDISSPSSFSNIDYDYGLGTSGSWGMPTGAGLSGATAGTTTCQGSCKPMDGGDGHVEMSLLWQDGSMDSERDYCWNGVGPSWQGLINSSLL >Ma03_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10109535:10110222:-1 gene:Ma03_g13090 transcript:Ma03_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSESLSPFCLALLLASILGSSEARDFLVGGNDNAWRVPPNTTDSLNQWAEKNRFQVGDSLVWKYDAAKDSVLQVTRDAYLGCNRSSPVAEHKDGATVVRLHLPGAYYFISGAAGACEEGEKLIVVVMSERHSLRGLATAPSPAESEGPAVAPTSAARRAVVFGSGMACSLVVLGMLM >Ma06_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6786237:6789691:-1 gene:Ma06_g09760 transcript:Ma06_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRAELVSDQPVRSGSVNLRESFTNHRTRFEGSGTTDLEILSPDKTLGGFIGHRSLFRSLVEVGRRRRRVASIPLYLCVSVVPRYKPGPDFEEDTKTPLVDVSLTDSTELWLIQWPINQLQPADFDGKELTLKLNRDGKLGSFESASGKSYDFVSFAAHEPDATVFVPSGSETKVVGKISRRVCLVHYPEPGELEKTRFSLSSQRTEGGSVRRSISRPSVTPLRHGSLLGTEGTSHDTFTSVYNPEERSMETPLKSRKRHAASTAPSEISARSADRSSHASGPESEITNNSLTSERSHREKSKKKKIKLEE >Ma08_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3125255:3133696:-1 gene:Ma08_g04500 transcript:Ma08_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRLPPKTIAQLLQDNGIKKVKIFDADPSSMSALAGTGIEVMIAIPNDMLAKMNDYDAAKQWVKKNVTRYNFNDGVDIKYVAVGNEPFLKSYNDSFTNITFPALKNIQNALNEAGIGDAIKATVPLNADVYDSPASNPVPSAGDFRADIRDLMAQIVEFFSLNGAPFTVNIYPFLSLYGNSDFPIDFAFFDGTSAPVVDNGVAYTNVFDANFDTLVSALRKAGFGDLPIVVGEVGWPTDGDVNANVAMAERFFGGLLKRLAAKSGTPLRPNMEMQVYLFGLVDEDAKSVAPGNFERHWGLFTYDGRPKFAVDFSGQGQNGMPVGAKGVQYLPTRWCALNPAATDLGKLADNVNFACTYADCTALGGGCSCDALDASGKASYAFNAYFQAQSQNGESCYFQGLAMVTTQNISQGSCNFTIQIASSAEMVLPLFFIPLLNSPRLV >Ma10_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27446250:27447213:-1 gene:Ma10_g15270 transcript:Ma10_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMYVSVFPTRHIERKGSQNITQCWNFIRDHETEPVKVSFVYSLGSCEKEQRLSGCSFCLSDFYLPLGGHVTTLYVQPFRVCRRVLKPSSSFASPADGISLVGRFDNNMMRTSQLE >Ma10_p26320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34065519:34068825:-1 gene:Ma10_g26320 transcript:Ma10_t26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWRSGRLWPAVLVALAAAAVVVTADRGLRPEAAGAEAVEAEEVGLSDYVLKVVDFLWRPDESSYRHVWPPMKFGWEIVVGSIIGFLGSAFGSVGGVGGGGIYVPMLTLIIGFDAKSSTAISKCMIMGAAGSTVWYNLKLRHPTLDMPIIDYDLALLFQPMLMLGISIGVAFNVIFADWMVTVLLIILFLTTSTKAFLKGVETWNKETIMKKEAAMLKESNGRDEIEYKVLPSGPENASKKALRKEAPIMENVYWKELGLLSFVWIAFLILQVLKQNYTSTCSPWYWILNFLQVPVSLGVSGYEAVSLYRGKRIISSKGEKGTNFTVVQLVFYCLIGVLAGMVGGLLGLGGGFILGPVFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPIPYALYLVAVALVAAIVGQHVVKRLIEILGRASLIIFILASTIFVSAISLGGVGISNMVQKIQHHEYMGFENLCKYKA >Ma10_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11935587:11937592:-1 gene:Ma10_g03210 transcript:Ma10_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFEVRVGDKVLPPVLEHKTILHSGALYQYILETSVYPREPEALKELRQLTATHPRNGLAAGADEMQFLRMLLKLMNAKKTLEIGVFTGYSLLSTALSLPHDGEIIAIDTNRKNFEFGLPIFEKAGVAHKIEFREGLALPILDEMMEAEKYKGSFDFVFVDADKSNYLNYHDRVMEMVRVGGMIGYDNTLWSGSVVASSEHTLPWYIMENRDAVVEFNAYLAADPRVEICHLTISDGFTLCRRLT >Ma02_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20509062:20511973:-1 gene:Ma02_g11240 transcript:Ma02_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIGYVSQDGKSCLLPGIPRRAILSSYSCHRSQQLFVGSKPIPSFSSTRTSVTCNASYNNYKRNPDFSRQPKGSSRGKKKQYQESEQSDNTEVMDFMSSKNGSLLSPSANTRYQATATSGQREREIVELFRKVQAQLRERAAIKEEKKIEAAQQGQSKKGTVASVLKLLRRHSGDQKMTTSPGEEFSVEQVERSNTFEDEQNINPFGPSDSKSEESDVRGPLPSARPASNFSRKSPVPRMELQPVLSAEEDINSAPSKPRGGRKKTGDYESVQSAPVESVVLDGPDELSSDDQLDPSGSDETIESSSTEASPDLGSLKLSELRDLARYRGVKGYSKLKKGELAELLSA >Ma09_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9420524:9422545:-1 gene:Ma09_g13910 transcript:Ma09_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKSFWRFSNHHRSPSSASGNAPMSSITVSEMPVEFLCPISRSLMADPVIVPPSGHTFERSCVQACADLAFSPPGLFLDLSPSSLLLIPNVALKSAILSWCQRCGVPSPQPIPLDAACALIRSIMPPSTNPRPPPPPLLSDPPPHVGGGGGGGSSARRDQRGEFMRQFTAFSLDEAEEAEKGESFGPPREYAYPCDGTERVREDRGDLSRDRGYDEKYEELRASSALPDGKGCRSRINVQNMRETMSPNTPSAFSVRTTNHAPSFSQLSTPSASEYQSSSSNSSITEAFFEQAPKEPPPPTSQVQNPAASNLPASPTADFDLSEEEILIRLMDTELSEQESAVVLLRLATRESRDRRIDLCTPRLLAALRSMLLCSSAAVQINATAALVNLSLELENRVRILRSGAVAPLVDVLKGGHPEARDHAAGALFSLSLEDENRAAIGVLGAIPPLLDLFSVPSADGVRARRDAGMALYYLSLAGSNRLKIARAPGAVRAVLSVALESEEAPVDGAPPPHGPGLARLAMMTVCSLAVCNDGRAALMDGGAVASVVSLMRSPAAAAVEEYCVAALYGMSRGSLRFRGLARSAGAEPVLMRVAYSGGGGEMRRNMAKKTLRAMRGEDDTDAPPPMGFPVGDDGSVVSEGLKSFRGRPNHHANPSRMNSAGF >Ma09_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8476670:8478761:-1 gene:Ma09_g12590 transcript:Ma09_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLEKTYVARRTFLEASSVSFSERHSSSRIESLNLCSLESLRRKAFRHVQEFRMFVATWNVGGKPPSDDLDLESFLQVEGSHDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLALISQAINKHGKCSPDDSDSSNDGKHGKDSKSTSGLLFFQRPSLKVLSRNYRMDGALVKTCNCTSEPSCVRRRTRQLREFITRDESSSSDEDSATPQFACSEAKGNMNYCLVASKQMVGIFLSVWVRRELVQHVSHLRVATIGRGIMGCLGNKGCIAMSMSLHRTSFCFVCSHLASGEKEGDELKRNSDVAEILKSAQFPRICKSPCQRIPERILDHDRVIWFGDLNYRVALSYQETRSLLRNNDWNSLLERDQLKIEREAGRVFNGWKEGKISFAPTYKYSQNSDIYAGETVKSKKKRRTPAWCDRILWHGNGMEQLQYLRGESKFSDHRPVCAVFAAQAEMNNRCRTACLGADSRIPSDELIPQRHSFY >Ma09_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9364620:9365629:1 gene:Ma09_g13810 transcript:Ma09_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEREGGVPAAGERRYKGVRLRKWGRWVSEIRLPNSRKRIWLGSYDSPEKAARAFDAATACLRGRLARLNFPETPPPRTAQRLTHLQIQAAAARHAASASTPPQLWHPRAASSPSEDASDGFTAGSEDTLDWSFMDSQEAAAALAGAGEFPDLMDDFMCDFFSPVQMTAPLAEVVEDQCPIDLGSNSFLWSF >Ma03_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8211403:8214899:1 gene:Ma03_g10870 transcript:Ma03_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVEVVDAHDLMPKDGEGSSSAFVEVDFSNQRSRTRTVHKDLNPVWNQKLSFRLHDPDILPKQSVEACVYNERKTFPSRNFLGRVRVHGANIVRQGEEILQRFQLEKKWLFSSIKGDLALKLYISPEPKSPPPLPLSPTNHNCPTSAVTESDTITSKTTATNSTIDPAAPTEALAVESTSLSTDPKPAATEEAELNQRPTEVKLETTYCINKHQVSPQPGKSVEQQSPATVPVVPIMAFQQVHYGQAQPSRAEEYKLKDTSPQLGERWPHARRVGWMGMVGGGDKLTSTYDLVEQMSYLYVRVVKAKDLPPNAITGSCDPYVEVKLGNYKGITKHFDKKSSPEWNQAFAFSKERIQSTVLEVYVKDKEVAARDDYIGRVVFDLNEVPTRVPPDSPLAPQWYRLEDRRGDGKVRGEIMLAVWMGTQADEAFPDAWHSDAATVQGEGVSNIRSKVYVSPKLWYLRVNVIEAQDVQPNDGSRPPEVFVKAQVGNQVLKTRVCPTRTMSPMWNEDLVFVAAEPFEEHLVLTVEDRVHHTKDDLLGRIVLPLTLFEKRLDHRPVHSRWFNMERFGFGVLEGDRRKELKFSSRIHLRVCLEGAYHVMDESTMYISDTRPTARQLWKQPIGVLEVGILSAQGLIPMKMKDGRGTTDAYCVAKYGQKWVRTRTIIDSASPKWNEQYTWEVYDPCTVITLGVFDNCHLGGNEKPAGGGGAARDMRIGKVRIRLSTLEMDRIYTHAYPLVVLQPSGVKKMGELHLAVRFTCLSLASVIYLYGHPLLPKMHYVHPFTIGQVDSLRYQAMGIVATRLGRAEPPLRKEAVEYMLDVDSHMWSMRRSKANFFRIMSLLSGTIGMLRWLDDVCHWKNPITTLLVHVLFLILICYPELILPTMFLYMFLIGLWNYRFRPRLPPHMDTKLSWAEAIHPDELDEEFDTFPTSKPHDLARMRYDRLRSVAGRIQTVVGDLATQGERFQSLLSWRDPRATSLFVLFCLLAAVLLYVTPFPGVALMAGVYVLRHPRFRSKLPSVPGNFFKRLPSRIDSML >Ma04_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7427534:7429564:1 gene:Ma04_g10430 transcript:Ma04_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADHFHGGFCRAGNPHCSPEKKAGGGGGGGAGDPFVVEDLFDFSNEEEEEDKAEVLAGGREDDGAVGGNSTDSSTVTSVDSCSNSLFGLEPYFSGDLVCRSFADAGLSGDLCQPLYDELAELEWLSNFVEESSSEDLHKLHLVSGVIPTASSCTTGAANRAEVSHDGGRADQVAHFRTEAPVPGKARSKRSRSAPCSWSSRLLVLSSSPESEFVGSPCGGGGKKAVKKKDPPADPGMAVTDGRKCLHCQTDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVIELRRQKILRHQLHQSAAPFDGPSNFLIPGPNIRHLI >Ma09_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8651924:8659786:-1 gene:Ma09_g12830 transcript:Ma09_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAMAEDPNFEDDQLSSMTTDDVIRASRLLDNEIRILKDELQRANLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEEEGEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKASLNYYA >Ma01_p22800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25929372:25932270:-1 gene:Ma01_g22800 transcript:Ma01_t22800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDDESSHEVEQDPNPVAQPASDASLAAADAAVSVEGDGEIQSLARRIQEALSVGKRHRFWETQPVGQFKDAGRGGLPEGPIEPPTALSAVRPEPYNLPALYEWTTCDMDDEQICAEVYNLLTNNYVEDDENMFRFNYSKEFLQWALRPPGYFKAWHIGVRVKATKKLVAFITGVPGRIRVREDIIRMAEVNFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVILPTPVTTCRYWHRSLNPKKLIDVGFSRLGARMTMSRTIRLYKLPEATATPGFRKMELRDVPAVTRLLREHLSLFVVAPDFDENDVEHWVLPLENVVDSYVVESPETHEVTDFCSFYTLPSSILNNQNYSVLKAAYSFYNVAAKTPLLHLMNDALIVAKQKDYDVFNALDVMHNDTFLKELKFGPGDGQLHYYLYNYRLRNALKPAELGLVLL >Ma01_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25929384:25932270:-1 gene:Ma01_g22800 transcript:Ma01_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDDESSHEVEQDPNPVAQPASDASLAAADAAVSVEGDGEIQSLARRIQEALSVGKRHRFWETQPVGQFKDAGRGGLPEGPIEPPTALSAVRPEPYNLPALYEWTTCDMDDEQICAEVYNLLTNNYVEDDENMFRFNYSKEFLQWALRPPGYFKAWHIGVRVKATKKLVAFITGVPGRIRVREDIIRMAEVNFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVILPTPVTTCRYWHRSLNPKKLIDVGFSRLGARMTMSRTIRLYKLPEATATPGFRKMELRDVPAVTRLLREHLSLFVVAPDFDENDVEHWVLPLENVVDSYVVESPETHEVTDFCSFYTLPSSILNNQNYSVLKAAYSFYNVAAKTPLLHLMNDALIVAKQKDYDVFNALDVMHNDTFLKELKFGPGDGQLHYYLYNYRLRNALKPAELGLVLL >Ma06_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23733709:23736297:1 gene:Ma06_g24690 transcript:Ma06_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQASLPFLLFLLLQLLLCSTPTSGQAQKSAAAFTLADNFLIDCGATSTAAMPDGREFKTDLQSTPFLAAHDEVRASVPAAPGVPSPLYLTARIFHEETSYSFTLSRPGWHWIRLHFFAVNSSDFDLSSAVFTVNTDDFVLLHSFTVDDPTKWVLKEYLVNATNPRLAIRFSPLRNSVAFVNGIEVVSAPDVLIPDTASTVSPVGQIGGLSLSAYQVVYRINVGGPVITSANDTLGRAWDQSDTFLQSKSTAKKVSVSPSIIKYPEGTSPLIAPNTVHATAVKMADARVGRPNFNVTWIFVVDPSFGYFVRLHFCDIISKSLNDLYFNVYINGMTAVSGLDLSTITSGLAMAYYKDIVLNASVATGRITIQIGPMKENTGRIDALLNGVEILKMNNSVGSLDGEFGVDGSMAGGGGSSNRRAIAAVGFAMMFGAFAGLGAMVVKWYKRPKDWRRRNSFSSWLLPVHTSTSTFTTSKGSGYGSHKSGYTFSSTLGLGRYMSLSELQAATKNFDQNAVIGVGGFGNVYLGELDDGTKVAVKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMANGPFRDHIYGHNLPSLTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFIAKVSDFGLSKDAPGMNQTHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPAINPALPREQVNLAEWALQWKRKGLIEKIIDPNLAGTINKDSLGKFVEAAEKCLAEHGVDRPSMGDVLWNLEYALQLQETNPPDPPPQEAAKPTESKEEEKAVSIQVYAESSQRERDEPPAGTTPVMSRSVENSSTTMANELFAQLTGMQGR >Ma11_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3444097:3445938:1 gene:Ma11_g04410 transcript:Ma11_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNCDPVTLRKLFHSGEIDFYCRLVRQLDQNPERMKQVIALWLWFESIGHHEFIQRVSSLKGDDVILGFVSEAEACLDRLMGHHVQTRGDELPLTSSLMAKKMDLRFFESHRDKAIEGVMHFFHSVCQIIFNDEPMERAAENANHPNDHCRHTSGLHDIRSPMRERRNQEEGGASTSAMAMIPPQFSTVPSPLRDMLNPMARPWTPEIVRPSDDQRSLFITFSMGQHISREEIKQYFNARYGPCVEVVLTERTPPGHQPMFGRLIFTDASMVARVLNGLPTAKFIINGKHLWARMYTPFQTRRVEALRLVKKLKRMPREGGTRGEVGEVGVMIDMMDCKSLTSKIIFMKSIIDPIV >Ma08_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9455886:9457901:-1 gene:Ma08_g12490 transcript:Ma08_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSSDLAPLLGGVANSSAAASYICDQFTDVGFAIDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVIDAAAGGLFYYLFGFAFAFGGPSNGFIGKHFFGLKEVPQPSFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSGDGWAAAGRNAGESLLFKSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHAGRSVALRGHSATLVVLGTFLLWFGWYGFNPGSFNTIFKTYGPSGSIHGQWSAVGRTAVTTTLAGCTAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITSGCSVVDPWAALICGFVSAWVLIGFNKLAATLKFDDPLEAAQLHGGCGAWGIIFTALFAREKYVNEVYPGRQGRPYGLFMGGGGRLLAAHIVQILVITGWVSCTMGPLFFALHKLGLLRISSEDEMAGMDLTRHGGFAYVYHDEDPSLDDGSSSGGFMVKSSAVRVEPRTTPAAATSQV >Ma02_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20018116:20021257:1 gene:Ma02_g10470 transcript:Ma02_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMASAEPPSTAAALSQPYVLQQTLTGHERAVSAVKFSPDGSLLASASADKILRVWSTADFSLRAELSGHDEGISDLCFSSDGRYLCSASDDRTVRIWDLAASVAVKTLTGHTNYVFCCSFNPQSNMIASGSFDETVRVWEVKSGKCLRVLPAHSEPVTAVDFNRDGTLIVSSSYDGLCRIWDAATGHCVKTLIDDESPPVSFVRFSPNGKFVLTATLDSTLRLWNFSAGKFLKTYTGHVNSKFCIPATFSVTNGKYIVSGSEDNCVYLWDLQTRKIVQKLEGHTDTVIAVSCHPSENMIASGALSNDKTVKIWAQRSEGQLKD >Ma06_p29670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31044198:31044872:1 gene:Ma06_g29670 transcript:Ma06_t29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHLCADTAAWCLYAKLKAWRPPSESCRLLAPVVIKLHYTVLHFHGGQVMQEPPCPYHCYVFTLGEFLHHESRGLAISFLLFHGGAYARDFHAARQLEEELFTFCNGPVESALNVGRGIEITVGIRFDRHWIGLEPSFVVGGGFGGVPASSDAAKELVVVKYERGGDVREESCRICLEEFDEGAEVTQMPCTHAFHGGCLARWLESSHICPLCRHAIADSAGP >Ma07_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15961377:15967022:1 gene:Ma07_g16970 transcript:Ma07_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLRLLLLKPSSSYPLRSSLRSVPLLPFSTVSASSTQSSLPFLPLFDPVNPGRADASTSLSEIAAAVGEWFRLGPDPLSPFDQIYTALASSPDDASLDAALTALRLPLSESLVVGVLRHRPHPSATSASGTSLLLLRLRFFDWCGRQHHYRHSRAAYHAVFRHLSRAHLASVVIDWLRLFSSSSPDIHRHPFLPVGGATAGPHPRFHETLVVGYAVAGKPELALQLFARMRFQGLDLDSFSYHVLVNSLVEASNFDFAETVFCQISDRGLAGPVTACIRLKSLCRQGRLQDAEAYLRELAASPTADCRTVAGRMVGTLAHAFCQKGQFEAARRIVDEFGSTEAYGVWVGNLVRAGKLDAALEFLLRKKASEDYIPESFHYGKLIFRLLKENRLEDVYNVLVEMMEEGISPDHITMNAALCFFCKSGMVDVALFLYNSRRELGINPSLQVYDQLINALCREGNVDDVCLILEESMQQGFFPRKQTFNILSNFLCREGRLDKMRKLLDGALQREEKPLPVVFARYISALCKAGELEEACLVPHIVSGDIASLLGRYKSTYVNLICAFILLRQVDVLPRLIIEMQKLGHIPSRSLYRSLICSLCEMGKFDEVFHLLNEQLERHELDKKTCYNYFMDGAAHSKRPEVAREVYNRMQTAGLEPTVDNNILILKSYLKSKQIGDALNFFRYLCEKQEPKTKLYNVFITGLCEAGKPEQAVVFWKEAREKGFIPSLQCYEDLVLELSSNKDYDIVVKVIEDFKQTGRPVSAFLCNVLLLHTLKSQDLLRAWAQTREKSNAVAVSGETQVSEGSMLGHLIAGFSGGIRMKDGVDKLDELIERFFPVDIYTYNMLLRGMSMAGRMDYACDLFHRIPKKGFKPNRWTFDIIVHGFCKQGKRKEAERWMEAMHQNGFHPNWYTMRIYNNTS >Ma09_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35198891:35199253:-1 gene:Ma09_g23320 transcript:Ma09_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEKKDEELNLELGLEPSSPPEPERMFRCTYCRRKFHSSQALGGHQNAHKLERSITRRRWELAAAAAAAARPARSTSSNHAAARVGVHEGREQGVADDNGGLDDKVRLGDEIDLSLRL >Ma08_p29260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40928591:40929008:-1 gene:Ma08_g29260 transcript:Ma08_t29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAGWFEDVLLTAMVNQPITVTIDASDEDFWRYSEGVFTRSYGTKLNHQVAIVGYGTSEDGMYWIVKNSWGLEWGEEGYPIKTSPNPV >Ma06_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8237672:8241490:1 gene:Ma06_g11820 transcript:Ma06_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKCSKAPKIQRLVTPLTLQRKHSRIAEKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSAASKPSIAA >Ma01_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15702442:15702773:1 gene:Ma01_g19830 transcript:Ma01_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCRPFRFLLCPMLRVVVWYLRTSTYPSSHTPLHQALVLRWEEIDSPQEIRAKRSWTLRLGWHTLDATVTGPCTCRTS >Ma10_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26463719:26467951:1 gene:Ma10_g13810 transcript:Ma10_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKSTKQRQQNGHALPSKFAKLLDPEASWDKDQLGDVLHWIRQGLGLACGLLWGAIPLVGAIWIALFLLLSSGIIYGYYTYVLKIDEEDFGGHGALLQEGLFASFSLFLLAWILVYSLAHF >Ma00_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12720705:12748837:1 gene:Ma00_g01880 transcript:Ma00_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSASTHLNEDEEPMEFEEEEEVMDEIEVEDEGEQQQQQQNEEEKSQGDNGAKAAQAQEEQAAKIITDPPLALLQSLNGLFDLNCALSEFVHQWHALHHSLDAIVSSIDRRSRELDGPTEVEKGGPGDYYPPAVSSKAVDRAAELLSICESTGSRALRKFVVSNLSDLEWLRGEVPAALRRAPSPAKLVLDAMGRFYLQGRKAYDRPMDDPMVVFRRACILILEFYVLSGCSSVDPEESVKKDAQVAALSWRTRLVNEGGVQVASAVDALGLVLFLSSFGIPEEFGCTDMYNLLRLSNLKKKADVFRKSSILCEKMPDIINDMLSKEMNVEAVNLICAFELKDKHPPLPLLVSFLQKSTLFAKDERMEGQSSLKSLREANEKLLERLKLVAKCLENYKLDPSELASFNINQKIAKIERVIAKDEERLKNKNLKRKAQDLGQIQLKNYWCSSAKPSHSIMPHFGKQYQEQQSASLANPEGYYGSLYRRNAHDDGFGLHNGLCGVSSLTAGVHTSAGVSSELVAATAEGPAGSTVENSSHPFTNYDGDLYKLHGNGALDERLVGQHFLASTHSEAWMGPSSMAGQNVYYSQASGDGYGTGSSSTNLYQFADTVLEREAYYANSSISNPPTSVPNQSYYPS >Ma05_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35349291:35354122:-1 gene:Ma05_g23300 transcript:Ma05_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MAAAAGRPPCLFCQIARGSTSTDLHYADEMVVAFRDINPSAVRHYLVIPVEHIPTVKHLQRKTEDYHLVNHMLNVGKTLLARDAPESMCSRFGFHQPPFNSIDHLHLHCLALPFTPRWRQVKYTTLGPFWGFIEAEKLLDKIRPFSKVTS >Ma01_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9343413:9345523:-1 gene:Ma01_g12740 transcript:Ma01_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVKGENLVVTKLKLERMLSMKGGKGETSYLKNSQAQARHARAMLHFLEATLSAMKLPLLEDGGTFAVADLGCSCGTNTLFLMGIIVEHVPERVTDKRSPAYNGGRVFVHGASADTAAAYKQQFQADLASFLRARAVEMKVGGVMFLVCLGRTSIDPADQGGAGFLFGAHFQDAWNDLVEEGLLESEKRDSFNIPVYAACLREFKEVVEAEGSFSINKLQVVKGGSPLVVNHPEDASEVGRALANSCRSVAGVLVEAHIGERLSEELFGRLELRAARHARELMEQMQFFHIVASLSPSLPSHPAS >Ma06_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:704131:705564:1 gene:Ma06_g00850 transcript:Ma06_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRYALLHNPATDLSGKHHGYILRVIPSSYRATAAAKSTTLCSLLRLKRTNPNLPRSLSSSDLPSPGGGAGDGIHFSGGGGGGGGGWGSDGTPGDHHHGEEPSGGSGPLGVFLEGWRARVSADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTSASASASSALPGIFASCPTGHMFEPGSYSLFSRLGTLVYKGVTFATVGFAAGLVGTAISNGLIALRKRMDPEFEVPNKPPPTMLNACTWALHMGLSSNLRYQTLNGMEFVMENVLPPAGFKSSVLVLRCLNNVLGGMSFVMLARLTGAQKVEADKGEEKKRSIDSGVLAVAEVGSTNSQSASSK >Ma08_p29820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41286893:41288150:1 gene:Ma08_g29820 transcript:Ma08_t29820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATVPSLRSHPQPCPHPWQTRAKRSGVSASKYGAVSVKPVSKGCQKCGGKGAIECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGER >Ma08_p29820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41286902:41288150:1 gene:Ma08_g29820 transcript:Ma08_t29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATVPSLRSHPQPCPHPWQTRAKRSGVSASKYGAVSVKPGTGKNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGER >Ma05_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33508961:33517336:1 gene:Ma05_g21730 transcript:Ma05_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDETSVQKIQLMTTNIGVVYSGMGPDSRVLVRKSRKQAQQYFRLYKEAIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAVHTAILTLKEGFQGQISGKNIEIGIIGADRKFRVLTPAEIDDYLAEVE >Ma08_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24199403:24207495:1 gene:Ma08_g17290 transcript:Ma08_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKKRKEKNHKKKKRKATAEQNLALRYVHEWAFRDSPPASPAGDAPDDFAPQSSHKIVDPVVFELHCHSNHSDGFLSPSAVVERAHSNGVKVLALTDHDTMAGIPEATETAQKYGMRIIPGVEISAIYSPRHEPGAGDLVHILAYYGSSGPSRPEDLDNLLLNIRDGRYLRAKNMLLKLNKLKVPIKWEHVTKIAGDGVAPGRVHIARALVEAGHVENLREAFNRYLYDGGPAYAMGNEPFAEEVVQLICQTGGIAALAHPWALKNPVTVIRSLKTAGLHAMEVYRSDGKAAGFGELADTYKLIKLGGSDYHGRGGHDESELGTVSLPLATVYQFLKMARPIWCNAMKDILQSFAKDPSDANIEKITRFGIPKKSKVCHTVNCGKDIVDLCLSSWLTSDEKEENEFQAIRLMLADTLISNGVVKVFG >Ma07_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31062398:31065428:-1 gene:Ma07_g23400 transcript:Ma07_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFWLEAVLPLGIIAGMLCVMGNAQYYIHRAAHGRPKHIGNDVWDVAMERRDKKLVDQFSGAQN >Ma06_p26520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28381615:28385118:-1 gene:Ma06_g26520 transcript:Ma06_t26520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAVDPFAKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKNDEDQAHRKIRLRAEDVQGKNVLANFWGMDLTTDKVRYVVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMVNQATTGDLKDLVQKFIPEVIGKEIEKATTSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGAKVDRPAEDVQMEGEEVTGA >Ma06_p26520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28381615:28385118:-1 gene:Ma06_g26520 transcript:Ma06_t26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAVDPFAKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVCLADLQNDEDQAHRKIRLRAEDVQGKNVLANFWGMDLTTDKVRYVVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMVNQATTGDLKDLVQKFIPEVIGKEIEKATTSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGAKVDRPAEDVQMEGEEVTGA >Ma04_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6903418:6908173:-1 gene:Ma04_g09740 transcript:Ma04_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTAPHSPHIPGIRSALGALAEQEKYLSELLAERHKLSPFMPVLPHCYRLLNQEIIRVTMLLGNASLLDQSEHGSPMTTGGIFPNGGAIDMNGWALASQSERLGSMQPSSTHGWLGSQSSSSGLIVKKTIRVDIPIDKFPNYNFVGRLLGPRGNSLKRVEANTDCHILIRGRGSIKDSAKEEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQVREILEDMLKPVEESQDFFKKQQLRELAMINGTLREDGAHMSGSVSPFHNSLSMKRAKTRE >Ma11_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4747883:4753917:-1 gene:Ma11_g05960 transcript:Ma11_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPRRRQGGAGDGRVPPTESAEESSASTVSPRDPPEPQKDPPLDRPVRVYADGIYDLFHFGHARALEQAKKLFPNTYLLVGVCNDEITHRYKGKTVMNESERYESLRHCRWVDEVIPNAPWVITKEFLDKHKIDYVAHDALPYADASGSGNDVYEYVKSIGKFKETKRTDGISTSDIIMRILKDYNKYVMRNLARGYTRKDLGVSYVKEKQLRMNMGITKLREKVKAQQEKLHTVAKTAGLHHDEWVENADRWVAGFLGKFEEGCHIMETAIKDRIQERLKRQSSKEMNANLLQEPVAS >Ma02_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24825435:24829198:-1 gene:Ma02_g18290 transcript:Ma02_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLSASARRRHTKMRSLIFLGFLAAAIQVNAQQGFLSIDCGMEGDSSYNDTATGIVYVPDAKYIDSGSNHKISKTYMDATTPAQAETLRSFPDGDRNCYTIDGINQGEKYLIRALFFHGNYDGVTPVAFDLHLGVNTWQRVNITEPTVSPRVEILTVAQQDYFSVCLVNIGSGTPFISALEVRRIDVADVYKDDVNQSDSLVLVGRLNMGAAAAAKKIIRYPDDAYDRKWESFSSPPQWSEINSSQPIQRSPGDAFQVPAAVMATAVTPKDNTSLQFYLPSEAGAIRPVYYIYMHFADFDPLSQTETRRFDVYVNGQLKGSSVKPEYLLSTHLNITSELGTAIRYDFSLNSTSGSTLPPILNAVEVHTTLTLPDTATDKDDVDAMMKLKDMYKMTTWQGDPCSPEKYRWSEVSCTISASEPPRISSLNLSSHRLSGSIPTVLGKLTAIKSLDLSYNEFTGSIPNFLASMLSLSMLNLSHNQLSGSISAALHDRKQNGSLDLETDGNPRLCPYGATCNFVEEKKSKKFATPVIVVIVIIGAVLVVLLIVLSVYLAKRRQQSTRRSQAPKSPLKLDELKAMEDKPVGVDSHQFTYEELKNITNNFVRVLGKGGFGTVYYGRLPADGTEVAVKISSRYAAAAEATTWSSTSQTSDSMMDGTKEFLAEACHSILVCDGDF >Ma11_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3035575:3037131:-1 gene:Ma11_g03970 transcript:Ma11_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYSSSMPGWLEAMLGEKFFNPCMIHETSKKNEKNIFCLDCCSSFCPQCLPPHRSHRLLQVRRYVYHDVVRVDDLEKLIDCSSVQSYTTNSAKVVFLNERPPSRPFRGSGNACLSCYRPLQDPYFFCSLSCKVKELLRSEGGLWKELRECESLPLSCELDEGQLTPDSILESASATSSASSGGGAAAATPVAAGSEAASRRKKRTGAGSGSGRRGGDRAALPEMASRRKKGVPVRAPLF >Ma06_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4180739:4183645:-1 gene:Ma06_g05620 transcript:Ma06_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSVPAAKSGEGVHCETSRGDANKKIRISSYFDDNPRLIPSLPDEVSIQILARLPRIHYLNVKLVSRRWMIAVMSGELFQLRRQLGVTEEWLYVMTKTGGDKYLWQALDPRSGKWQRLPPMPHVVDEEEPKRGLPGFWMWGVVGSSIKLADFIRGWLGRRDNLDQMPFCGCAVGVVNGCLYVLGGFSRASAINCVWRYDPCLNLWQEVSSMTSARAFCKTGILNDKLYVVGGVVRGRNGLIPLRTAEVFDPLTSLWTELPSMPFVKTQVLPTAFLADMLKPIATGLVSYRGRLCVPQSLYSWPFFFDIGGEIYDPETSSWVEMPHGMGDGWPARQAGTKLGTVVNGDLYALDPASCLDNGKIKKYDNQEDVWRVVIENVPVRYLTDSESPFLLAGLLGKLHVIMKDANHHIVILEADLQRRTGSNVSTSSTAPADSMTDNPVSLVEEEPEFWKVIDAKDSGVAELVSCQVLDI >Ma06_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7162842:7165292:-1 gene:Ma06_g10380 transcript:Ma06_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPSLFHRPPSPPMEHQPHQPTSHSNSNSHSHSHSHSPSPLLGPHHHPRHGGDDDPSYLDDLVVELPQFHFVLAFCNLSYSVWRSRRISCHRIAAADPEQPPAGRRVLLDSITGEVRTGEILAVLGASGSGKSTFIDALADRIERTSLQGSITLNGENLDSGLLKVISAYVMQDDLLFPMLTVEETLMFAAELRLPRSFSASRKRERVQALVDQLGLRSAAKTIIGDEGHRGVSGGERRRVSIGTDIIHDPVILFLDEPTSGLDSTSAFMVVKVLQKIARSGSIVVMSVHQPSYRILTLLDRLLFLCRGQTVYSGPPHDLPGFFRQFGRPIPEGENATEFALDLARELQDTNAGAAALVDFNRRWQTRPSALVAADITPLSLRDAMRISVSHGRLVGSVSVADNVAPASSLQKFANPAWKEVLVLSKRAFMNMRRMPEIFAIRLGTVLVSAFILGTIFWRLGESPKDVTERLGFFAIGITTVFFTCADALPVFIQERYIFMRETAYNAYRRSSYVLSNAIVGIPALILLSVAFAASTFFAVGLAGGGEGLIFFFLIILASFWAGSGFVTFLSGVLSHVVLGYTVAAAILSYYLLFSGFFINRNRIPHYWIWFHYLSMLKYAYEGALQNEFGGESSKCFSRGVQMFDGTSIGSLPMETKVQVLGAISKTLQMNLTSDSCIVTGPDVLQQQSINQLNKWECLLVTVGWGFLFRILFYITLLLSSRNKRR >Ma10_p26910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34384693:34385537:1 gene:Ma10_g26910 transcript:Ma10_t26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPRTPEGDAAADASSKALSEVLSAKLRKCCKTTPSSSLTCLRLDTEKSHIGVWQKRPGTRDGSSWVMTVELGNASHGRQDAGEAMMAPTSPLAVEGASSQAAVGGMDEEERLALQMIEELLGRNRPTSPSHAGIEGEDSFFL >Ma04_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8689634:8690933:-1 gene:Ma04_g12100 transcript:Ma04_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLMVWLIVIFVCYNRRFNLIYFLGCTLSILKLKTSELEEKEKLIKDLLNKIGSEIGSTKCEEVEANATQLANDKKICNPNKKCKLKQQPLGRTTLVHQVASEGKVDGRRKILPC >Ma07_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27916196:27917314:1 gene:Ma07_g20000 transcript:Ma07_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKREEHKRVRLEPAQGQPSATSRHRLDRPDPSALRTPLPSLGASRTEIFLQIREKGLLRAPNLMKSSRELADQSKYCRFHRQSGHDTEECCKLKRQIEELVRREHLGRYIRQDRELSPHSVGPVERQIDVITGGPASGGNSMSGRKAYTRSVRVDAPEGAERSEHDDALVITARIAYAQVRMIMIDMGSSTDVLYLEAFQKLGLAKEALEPMCLALTGFTGDSISPLGAVTLPLTLGGPPITKTVMSTFLVVDLPTAYNTILGRPTLNKIRAVVSTYHQTVKFSTHAGTGEVWGSPRESRQCYLTTVSLHKKAKTEQPLEDHRETKQPTPHLEPTAPTCNIPLMKDRPDRTIKIRLELPEQKRKQLVGFL >Ma07_p28020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34180718:34185600:1 gene:Ma07_g28020 transcript:Ma07_t28020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTLIARSASSSFRSAIRSKRPFPSLSPSFLAFTASAPLRSLGLGLRGPNPSFCKPFSSQWSSAAEAAEDPADSSDDTVEELLNRGEDRIHQLMKMERRSEPEGGSHRGRWFPYRDLFKSGNAALGSREVIAALDPYILDARKERIRRAVENRSYSVCLVVEGLTDFGNVSAAFRSADALGIQSVHVISKDSRKRYRDNRHVSMGAEKWLDIELWNSPTDCFTALKNRGYRIVATHLGIHAASIYEMDWSLPVAIVVGNEHMGITEEALQLSDMHCSIPMKGMVDSFNVSVAAGILMHHAVCDRISRLGCHGDLTSEESQILQAEFYLRHRETTIGIVHEYAKRMEGLLGKQ >Ma07_p28020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34180718:34185600:1 gene:Ma07_g28020 transcript:Ma07_t28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTLIARSASSSFRSAIRSKRPFPSLSPSFLAFTASAPLRSLGLGLRGPNPSFCKPFSSQWSSAAEAAEDPADSSDDTVEELLNRGEDRIHQLMKMERRSEPEGGSHRGRWFPYRDLFKSGNAALGSREVIAALDPYILDARKERIRRAVENRSYSVCLVVEGLTDFGNVSAAFRSADALGIQSVHVISKDSRKRYRDNRHVSMGAEKWLDIELWNSPTDCFTALKNRGYRIVATHLGIHAASIYEMDWSLPVAIVVGNEHMGITEEALQLSDMHCSIPMKGMVDSFNVSVAAGILMHHAVCDRISRLYYLQGCHGDLTSEESQILQAEFYLRHRETTIGIVHEYAKRMEGLLGKQ >Ma10_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32135924:32138627:1 gene:Ma10_g23050 transcript:Ma10_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASEGGGDGGAEERGRRKDSTSPPYIRAVAGSLGGVVEACCLQPIDVIKTRLQLDRTGAYRGIVHCGVTAARTEGVRALWKGLTPFATHLTLKYALRMGSNALLQSAFKDAATGDLSNRSRIAAGFGAGVLEALLIVTPFEVVKIRLQQQKGLRPELLKYKGPLHCARMIIREEGILGLWAGAAPTVMRNGTNQAAMFTAKNAFDIVLWKKHEGDGMVLQPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQSRTGGDAKYKGMVHAIRTIFAEEGLFALWKGLLPRLMRIPPGQAIMWAVADQVTGLYEKNYVHRVHL >Ma11_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20546887:20547912:1 gene:Ma11_g14850 transcript:Ma11_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLRGIKSHMKHTSYRSFSVFSNMEEGNTRTSGSKRGGGGGGSEKANKRSKEGKHPGYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAAMTIKGQSACLNFPELADELPRPASAAPEHIQAAAALAAATTFGGRGETTRASEESGRRQAEMPMSRSPAPAALSSDGDDKLFDLPDLPLDVSEGFRSHPSWAPSTVEDCIQFRVEEPLVWEYYVN >Ma05_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10151597:10162535:1 gene:Ma05_g13950 transcript:Ma05_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G32340) UniProtKB/TrEMBL;Acc:Q9LQM5] MSSSAVRWVPKPRREEPTATSSASETSNPTPDPVGPVQESRIAVGSDREHQRDESGDPSAMGATDDLKYLEAAIGRLDELRISGEEPELSEEQIRVNDQMQEDEVLALEAIYGDNVLSFDKKEGLRFFQVRMEYDLPDCINVSAMLQSSTTKVKSGSRSLNGRTKSDDSHEFLYTFNVQYLPPLLLTCLLPKSYPSHRPPYFTITVDWLNSEKISSLCHKLDAIWMEQPGQEITYQWVDWLQNYSLSYLGFDSGVMLDRYDMQDVKDIRADFGNARPDFIISSMINYDQEKCHQSFLNNLHQCIICFTEYAGTSFIKLPCQHFFCWKCLETYSNMHVMEGTVTKLLCPTDRCGGFVPPGLLKRLLGSEAYKRWESLVLQKTLDSMTDVAYCPRCETACLEDEEHHAQCSKCFFSFCSLCRERRHVGVECMTLEVKLQILQARQNSSNLSRNQLHMEREMINEILSVKEALRDAKQCPSCKMAISRTEGCNKMVCQNCGQYFCYRCNKAIDGYDHFREGCELFPREEIERWEIQMNPRQVVGQIRAELYPNVGHPCPTCRQVNPKVGNNNHIFCWACQKHYCAMCRKTVRKSSEHFGPNRCKQHTLDP >Ma04_p36160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34633983:34637959:1 gene:Ma04_g36160 transcript:Ma04_t36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVKLGSSKPMIATQQEMMDNRVPISYRDQCAHLLIPLNKCRSAEFFLPWKCEAERHTYEKCEYELVMERMLQMQKIRELEEKKKKEKQVQGAAAGIPLIPSSANA >Ma04_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6865213:6869761:-1 gene:Ma04_g09670 transcript:Ma04_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAFWIQRMCRLERTMGSECGGERHRKHKIGGEAKAEKLKNRSKLKLWMIRTTSTVLLWTCVLQLTALGETWGPRVLKGWPSCLIPSDSSFLANETAPVVKKIVLPPKRIYRNNGYIMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRAEVRILKVLPPRLKRRVELGMVYSMPPVSWSDLSYYQNQILPLIKKHKIVHFNRTDARLANNGLPLEIQKLRCRVNYSALRFTSQIEELGRLAVRILRQTGPFLVLHLRYEMDMLAFSGCTHGCSNEEAEELTRMRYAYPWWKEKVINSDLKRKDGLCPLTPEETALILRALDIDGSIQVYIAAGEIYGGKRRMAVLSDAYPNVVRKEKLLGPSHLRYFQNHSSQMAALDYIVSLESDIFVPTYDGNMARVVEGHRRYMGFKKTITLDRKLLVDLIDQYSNGTLGWDDFSSLVKAAHADHMGRPTRRLVIPDRPKEEDYFYTNPQECLQQPDEPWAL >Ma06_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1629856:1631138:-1 gene:Ma06_g02020 transcript:Ma06_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDSDYSLKGRPEATLRGVGFITVAAAYDWNRIFERATSDSQETTDCCEGTYKKKEALDRPCRTALNQRRNQERNSIRFTSQVACGRTIMEEVKKVIDINSTTTILEK >Ma05_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25456526:25457168:-1 gene:Ma05_g18830 transcript:Ma05_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAGSGNDGGVIVGRMKLGSQGLEVSRQGLGCMGMSAFYGPPKPDTDMIALIHYAIRSGVTFFDTSDIYGPFTNEILLGKALQGGVREKVELATKFGISFQDGKREIRGDPAYVRAACESSLQRLGIDCIDLYYQHRVDTRVPIEVTVS >Ma09_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3272731:3273336:-1 gene:Ma09_g05070 transcript:Ma09_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLCLLISVLSIPFSNLLLSLTRILLTPIVQLHARAHFTWPPGSRFRRIPSGHNQVLHPCDINLLTARYERPSEGQKEPAECVFCLSDIEEGEEIRELRCKHLFHRRCLDRWLVHRRATCPLCRDTLLQREPAAVKGGDDADGDEDMDDSAAVLLSYVQWWMW >Ma05_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36890447:36890692:1 gene:Ma05_g24700 transcript:Ma05_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRESKALQYYSESLVNHLIERTRIPCSNCLKDAKKLMKCFWLVEGMTRVPKVQEGVNPDEDVASKVGILRDDVKELLLLD >Ma04_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23971789:23975451:1 gene:Ma04_g21420 transcript:Ma04_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEPKQVEAEAAPEPPPAAEAVKDVAEEKASVPPPAEEKPDDSKALAIVEKVEDPPAEKSSGGSTERDAVLARLETEKRLSLIKAWEENEKTKAENKAVKKLSSILAWENTKKAAVEAELRRKEEELEKRKAEYAEKMKNKVALLHKEAEEKRAMIEARRGEELLKADEVAAKYRATGLAPKKLLGCFGA >Ma10_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24208534:24210012:1 gene:Ma10_g10110 transcript:Ma10_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLELHRLAHVPLSHVFHRPSAAAAASASASASAIRTASSPREALLLFKLRVRRRQGLHDDPFETHAAVFALKSCFHAPLAALLPHLHAYLIKTNLCSHVYVASALLHAYALSSPVGARALFDEIPHRNVVTENTMLACLARGDDLSAARTLFNAMPEKDIATWSTMIGAYMERGQRAIGLALFRDMMSDGRLKPDPLMLVTLLSGCSSTGSLRLMGRSIHAYAEKHGLEINVHLGTSLIAVYAKSGCLKSAFHVFERIPERNVMHWTAMICGLATHGHGNDAVAFFDKMREAGVRPNEITFTGVLNACCHAGLIEEGRRYYVSMVEEFGYEPGIHHYGCMVDLFAKAGRLEDAYKIIENMRAEPNIVIWTCFLAACRKHKNFEIAKKGLEKVLSMAVPDEDGGVYTLISDLYALGGKWNDVERVRRLMDEKFVKKRRGSSFIEVKERRTLVATMK >Ma10_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32438190:32439189:-1 gene:Ma10_g23600 transcript:Ma10_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGTVASEWEIRMFLVAMPDGLVTGLDAAMRCVVSAAFLWMAEVVGVLRVPLCTDGAVLCAMFSVLEPSSAGSSSSTSFPASPPIESATSPRASSSAPSSPRSRASYAAWAKMSPKLPPASSTFARASTPPSTLAGSPRDGHRGLRKLRFGRDPAALRADQARGGVGGQRAAFLWSLKGQAEELLPPGFLDRTKGRGLVVSWAPQSDVLRHAAVGAFGTHCGWNSVLEAITAGAPMVRRPFFGDQSMTARSVSFVWKIGVEFEG >Ma01_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12795088:12798784:1 gene:Ma01_g17480 transcript:Ma01_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAKYWMRLVAGGGGGAAAVPPLFLHRLSAVRPYHPAVYEVKVGIPEFLKAVGKGAEAHIAKLEAEIGDLQKLLVTRTLRLKKLGIPCKHRKLILEYTHKYRLGLWRPRAGSPKQSA >Ma05_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32743086:32748684:-1 gene:Ma05_g21030 transcript:Ma05_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMRRQARPEGPSAARRKLYGAAEAEIGSRVFPLPAPAPQPMPSVGARRSTRVFVAKPSSVAVATNACGDGDSRILRSGKRLAVWKRRDEWLDVFSGGTADLQWWKREDGERRENRDSIVWNEPEARAAGIVRELPESFVPKNSLDCPQTKKFGMVYGRKRQRQLPSDAGSPSSSVGDGDSEIEKRYGLVFMRRGRRKRLKVAPVPLVIERERVEISNERGVVKRQPRVAVSSVNGVKKIGIKERSLCITTGGPVVLSLIVESSCVGSSLLFPRLLIAILRWTRRVTVTLWEFAAFLLSGSLASVFSQHGVHILPLQRDRDNMVLTNALPSCGLCKIYGSRQSVPIIWLDISALPSYFRSLHVSFLLGSLYLPRVLARTLMVSHVDPYVAVNCGENDSHALVEADYLGTKRLRLHSMDVYEQNDLVDAYRSFTQNAIPFNGLRPNKHRRRRSSSRLLSSQNPGLMSSCTDTASQNGSSVLSEDKASSFPELMVKPMFVDVSDACGEDSSCKDESDVSSPLSSQGKQKKSAKKSPVEQNKELKSALAEVKRNIDSVHCKANVLVTDADRCWREEGFEVMLDMLAPKDWCITVKSHGQVRYLHRPLDMRPCFVNRFTHAYMWAGEDRWKLEFLDRWDWLVFKELHAECRERNLQEASFRMIPVPIFEEVSGTEACAAATFVHPDDYIRMEDDEVQRALFSKIARYDMDSGDEQWLDEYNSSIRHMDLGELAIITADNFEKIIYAMEKDAFSNVDDVFDKEKALDLYQNFGMREMLGAVYDYWIRKRNKRCAALVREFQGPPLRRAKFIHKPFVRKKRSFNRQRSQITRTKLVVTSQVEPHEEALQRVQEAKNAANKAVEFAIHLRGRAQMLLSNAELLVYKSVMALRIAESIEACDTSDPAPMILD >Ma07_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2606230:2621119:-1 gene:Ma07_g03390 transcript:Ma07_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MDLLFLPASFSHTNLAAKPCLLVPRASHKVPPESAATRLPSPAVRRPLDPSRQPPPTAPTPSIPAVPPPAPLNPPKPSAVNPLSPKLWLSSKLSPPPPPPPLPPSPVEAYAPESNVEDPPSVASDPGSTTEASEFRVKGKIFVGNIPKWIRKNEIAEFFRQFGPLKKVELIRDHDDPERNVGYCFLLYGGPTAEDSAARAVEFDGVEFHGRVLTVRLDDGGQVRARKEEKARWVAGTNGREYRSKWHEERGNASVRFMKVLDTKPEDWQAVVSAFDKIPKPSLGDYRLMVKYYARRGDKHHARATFESMRARGIEPDERIFTSLVHAYAVARDMQGALSCVGEMKTEGIELTVATYSILIAGFAKVNAVESADNFFIEAKQKLASLNAIIYSNIIYAHCQSGNMARAEVLVREMEEQGIDAPINIYHTMMDGYTNIRDEKKCLIVFERLKECGFAPSVISYGCLINLYIKIGKVSKALEVSRTMELSGIKHNHKTYSMLINGFIRLNDFANAFGIFEEMLKLGLKPDVVLYNNIVCAFSKMGNTDRAIQIVHEMQKGKHRPSTRTFLPIIDAFAAAGEMKRALEIFELMRRSGCVPTVEVYNVLIHGLVQKHKMEKAVEVIDKMSLAGIKPSKHTYTTIMQGYATDGDIGKAFEYFTKIKEAGLELDVFIYEALLKACCKSGRMQSALAVTREMSAQKIARNSFVYNILIDGWARRGDVWEADDLLQQMKQDGVPPDIHTYTSLINACCKAGHMPRASKVIEEMEAAGVRPNIKTYTTLIRGWARASLPEKAIECFEEMKLAGVKPDRAAYHCLVTSLLSRATVAEDYLFSGILRVCKEMVENDLTVDLDTAIHWSKCLRKIERTGGELTEALQRTFPPSWTSHETPETCD >Ma06_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5457065:5461001:1 gene:Ma06_g07690 transcript:Ma06_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLSNSAAVAETLNPSPSLLQWPDPDPIHTPEAADPATAAFAWAAVSGLDEQQRRRLEKIHARGVFWKNPRDAEAPGVAFRLEHGGDVEADGNCLFTAARRAMGPKTAGARELRQRAVRRFLEDYGSADGAAREAADVAIRHLYSPDLKAGWGIHVVQEVKLLAKKADREGLDASIQELVDLGLQREGAAESIYKERCMAINDGLSWAKYMSISGSPEDEYDIITLQYTEEGLLSIDENRNGHAAAFGDDIAIESLATEFRREVYVVQAHGSDAMVDENDCVFFLPHSPRVQICEPPIFLFMKGTGWCGAGADHYEPLIANPLPLISQDKAAVVL >Ma06_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:761613:766738:-1 gene:Ma06_g00930 transcript:Ma06_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLQLLSRSIYIVRLLNVEQVEKNGKPLLYLVFEYLDTDLKKFIDSHRRGTNPRSIPSPVIQSFLYQLCKGVAHCHSHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTIPLKSYTHEIVTLWYRAPEVLLGTTHYSTGVDIWSVGCIFAEMVRRQSLFPGDSELQQLLHIFRLLGTPTEEQWPGVGSLRDWHAYPQWKPQNLARAVPALEPEGVDLLSKMLQYDPANRISAKAAMEHPYFDSLDKSQF >Ma02_p17620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24452562:24469280:1 gene:Ma02_g17620 transcript:Ma02_t17620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLDIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAIHPTLPYVLSSSDDMLIKLWDWEKGWMCTQIFEGHSHYVMQVVFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRVWHATTYRLENTLNYGLERVWAVGYIKGSRRVVIGYDEGTIMVKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAIRESTSKIKIFSKTFQERKSIRPTFSTEHIFGGTLLAMCSSDFICFYDWAECRLIRRIDVSVKNLYWADSGDLLVIASDTSFYILKYNRDVVSSYLENGKPVDDQGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSSRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVAHFLESRGMLEDALEVATDPDYRFDLAVQLGRLEIAKAIATEVQSESKWKQLGELAMSTGKLEMAEQCLSQAMDLSGLLLLYSALGDAEGINKLASLAKDQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSDIVSIWKKDLNKVNPKAAESLADPEEYPNLFEDWQIALAVESNVADKRGTYPPAEEYLHHTEKSNISLVEALKSMQVDDDALPLENGDSGHEAMEEIGVDDGQEEAVEVDVDYSTDSAVLVNGNEVLTSHE >Ma02_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24452562:24469280:1 gene:Ma02_g17620 transcript:Ma02_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLDIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAIHPTLPYVLSSSDDMLIKLWDWEKGWMCTQIFEGHSHYVMQVVFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRVWHATTYRLENTLNYGLERVWAVGYIKGSRRVVIGYDEGTIMVKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAIRESTSKIKIFSKTFQERKSIRPTFSTEHIFGGTLLAMCSSDFICFYDWAECRLIRRIDVSVKNLYWADSGDLLVIASDTSFYILKYNRDVVSSYLENGKPVDDQGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSSRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVAHFLESRGMLEDALEVATDPDYRFDLAVQLGRLEIAKAIATEVQSESKWKQLGELAMSTGKLEMAEQCLSQAMDLSGLLLLYSALGDAEGINKLASLAKDQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSDIVSIWKKDLNKVNPKAAESLADPEEYPNLFEDWQIALAVESNVADKRGTYPPAEEYLHHTEKSNISLVEALKSMQVDDDALPLENGDSGHEAMEEIGVDDGQEEAVEVDVDYSTDSAVLVNGNEGEEQWVLTSHE >Ma02_p17620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24452563:24469280:1 gene:Ma02_g17620 transcript:Ma02_t17620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLDIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAIHPTLPYVLSSSDDMLIKLWDWEKGWMCTQIFEGHSHYVMQVVFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRVWHATTYRLENTLNYGLERVWAVGYIKGSRRVVIGYDEGTIMVKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAIRESTSKIKIFSKTFQERKSIRPTFSTEHIFGGTLLAMCSSDFICFYDWAECRLIRRIDVSVKNLYWADSGDLLVIASDTSFYILKYNRDVVSSYLENGKPVDDQGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSSRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVAHFLESRGMLEDALEVATDPDYRFDLAVQLGRLEIAKAIATEVQSESKWKQLGELAMSTGKLEMAEQCLSQAMDLSGLLLLYSALGDAEGINKLASLAKDQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSDIVSIWKKDLNKVNPKAAESLADPEEYPNLFEDWQIALAVESNVADKRGTYPPAEEYLHHTEKSNISLVEALKSMQVDDDALPLENGDSGHEAMEEIGVDDGQEEAVEVDVDYSTDSAVLVNGNEGEEQWVLTSHE >Ma02_p17620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24452562:24469280:1 gene:Ma02_g17620 transcript:Ma02_t17620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLDIKRKLAQRSERVKSVDLHPTEPWILASLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAIHPTLPYVLSSSDDMLIKLWDWEKGWMCTQIFEGHSHYVMQVVFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRVWHATTYRLENTLNYGLERVWAVGYIKGSRRVVIGYDEGTIMVKIGREVPVASMDSSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAIRESTSKIKIFSKTFQERKSIRPTFSTEHIFGGTLLAMCSSDFICFYDWAECRLIRRIDVSVKNLYWADSGDLLVIASDTSFYILKYNRDVVSSYLENGKPVDDQGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSSRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVAHFLESRGMLEDALEVATDPDYRFDLAVQLGRLEIAKAIATEVQSESKWKQLGELAMSTGKLEMAEQCLSQAMDLSGLLLLYSALGDAEGINKLASLAKDQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSDIVSIWKKDLNKVNPKAAESLADPEEYPNLFEDWQIALAVESNVADKRGTYPPAEEYLHHTEKSNISLVEALKSMQVDDDALPLENGDSGHEAMEEIGVDDGQEEAVEVDVDYSTDSAVLVNGNEVLTSHE >Ma06_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12226764:12232496:-1 gene:Ma06_g18040 transcript:Ma06_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQEIMDKDRLVTTTEQDMSDELNLKTRKYLRGDGANLETLRDKKLKGQLAVREKLYSQSAKAAAKAEKWLMPTDEGYLEPEGLEKTWMVKQESIIHEVDVISSRKPFDMILPELGPYNLQYASSGRYMLVGGRKGHLAMMDMMSMDLIKEFQVRETVRDVVFLHNEQFFAVAQKKYPYIYNRHGTEIHCLEEHGAPLKLQFLDKHFLLASVNKFAQLHYQDVSTGVIVANYRTGLGRTDVMRVNPYNAVIGLGHSGGKVTMWKPTSVKPLVTMLCHHGPVTAVAFHSGGQLMATAGMDRKIKLWDLRKFGVLNTYSGHAQTMDFSQKGLLAIGYGSRVQVWRDSGNQEYEKYMSHAMVKGYQIGKVSFRPYEDVLGIGHSMGISSILIPGSGEPNFDTWVANPYETTKQRREKEVHALLDKLQPETIMLEPSKIGTVRPPRRKERPTKKETEEEMETAVEAAKSITFKKKTKGRNKPSKRAAKKEEEVFKAKRPFLEQQMNNDRPLKKQRIAEAELPKALQRFSRKKVS >Ma09_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36084622:36085621:1 gene:Ma09_g24420 transcript:Ma09_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSLLLGVLLLFALLFLGLHLKPTTVIFSNEGLVTASAPPAAKREFSLLIGILTRADLYDRRHFLRFVYGTQASSVATIHVKFVLCRLTKAEQRMLIALEILRFEDIIVLNCTENMNAGKTYTYFSSLPTVLPHHRSDLYYCAAVPCSSRDPSVGYMSGMEFVLSWDLVQWIATSEIPARDTNRVAEKPAAMYDYPGTNGRCSHELIPGTVVVHRLKRWDRWLPVLRFFNVTEGLKPSELYYIDPERNLAEEPICYNNDIIDTYFIHLSKSKP >Ma04_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7163241:7168664:1 gene:Ma04_g10000 transcript:Ma04_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCEERISRHLHVQKKDGDQDEITEIHIDAALELLSEACSLESCTSDNISETKNILDIYTDAVRLFKHYAEASEKKAKMKVAALGYKCVEVTHFRILMFRSNLPSGDRYGTQNMKRQLDVDAEKNQMTVAEVHQLLDVAEHVDAAMGASSRYRRAFDSAAKGNSSCAEELRSMKEAIEFSYYDLDGFIRLVSADGDAAEHAEAAMDATSKCHRALESAAKDNSSSAEGFRSMTEAIEFSYYDVQGFVRLLRLALKNCTA >Ma10_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4535420:4535959:1 gene:Ma10_g01370 transcript:Ma10_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRDQSKYCRFHWDHGHDTEDYRDLQNQIEALIRRGHLRCYLKSREATPRPRGPTERQIDVISGGPVTGGNIMTARKAYTRSTMEKHPRPEHEPKITFGAGEVERSHHDDALVISIQIANARVKRVMVDTRSSADVLYFDTFKRLDLTEGDLTPVASALIGFTGDSISPSGRNRERK >Ma05_p26150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37862215:37864883:-1 gene:Ma05_g26150 transcript:Ma05_t26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIAASLLRRSLRVPISSAPFLRRGRPPWITSSLLADSSPLSSLCRAAGPQGLKPASSFLSLRFASSKVSGDENLKRVIDSEIQCERESDTHGQEVDVPEDLPFEIVDNPGDQAVFLKREFAGENIQVTVLMNFNEHNDLEESDEDDDDDERNENSMEPTLSLVVSIDKGEGSFLEFCCNLNSDELEIESMVMKKRDDVDGQSAYQGPRFSDLDENLQQALHGYLEARGIKSSLFDVLHKYMLNKDDREHLGWLKNIKGLIA >Ma09_p16820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12259646:12309197:-1 gene:Ma09_g16820 transcript:Ma09_t16820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLETLCETLYTSQNSAERAHAESTLKCFSLNPDYIPQCQYILDNASTPYALVLASSSLLKQITEHKLSLQMRLDIRNYVINYLATRGPELENFVIGSLIQLLCRVTKFGWFDDDKFREVVKEATNFLNQASSGHYSIGLKMLNQLVSEMNQPNVGLPLIQQRKVACSFRDQSLFQIFQVSITSLHQLKSDGNFQVSGVLKELTLSLALKCLSFDFIGTSVDESSEEFGTLQIPSSWKPVVQDPSTLQIFFDYYRIMGPPLSKEALECLVRLASVRRSLFTDDPARFQFLGHLMNGTKEIIQTGEGLADHDNYHEFCRLLGRFKVNYQLSELLSVESYGEWIHLVAEFTTKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKDETPSLLDETVPKITEGFITSRFNSNQADFPDDLSEDPLDNVELLQDHLEFFPYLCRYQYETSSLCIMKIMDPILQVYTERASSPMPVDANELAVIEGQISWIVHIIAAILKVRQITGCRTESQELIDAELAARVLQLSNVTDIGVHSQRYGEISKQRLDRAILTFLQNFRKSYVGDHAMHSSKQLYLRLSELLGLHDHLVLLNFIVGKIAMNLKHYPQCEDVIEHTLSLFLELASGYMTGKLLLKLDSIKFIIVNHTKENFRFLEEYRCLHSRTTFYYTLGYLIFMEDSPVKFKASMEPLLQVMIGLESTSDAAFRSDAVKHAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSHMPLLLKAISHWSDVPEVTTPLLKFMAEFVLNKAQRLSFDSSSPNGILLFREVSKLVVAYGSSILSRPISTDIYNNKYKGIWISLIILSRALSGNYVNFGVFELYGDRALTDALDVSLKMILSIPLADIFAYRKLTKAYFAFMEVLFSNHISFILNLGTNTFLNIIGSLEAGLKGLDTGISSQCASSVDNLATFYFNHITIGEVSASPASMNFTRHIAECPNLFTEILRTLFEIVLFEDCGNQWSLSRPMLSLILINEQMFTNLRIQMLASQPIDQQQRLSLCFEKLMADVTRSLDSKNRDKFTQNLTIFRREFRV >Ma09_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12259646:12309197:-1 gene:Ma09_g16820 transcript:Ma09_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLETLCETLYTSQNSAERAHAESTLKCFSLNPDYIPQCQYILDNASTPYALVLASSSLLKQITEHKLSLQMRLDIRNYVINYLATRGPELENFVIGSLIQLLCRVTKFGWFDDDKFREVVKEATNFLNQASSGHYSIGLKMLNQLVSEMNQPNVGLPLIQQRKVACSFRDQSLFQIFQVSITSLHQLKSDGNFQVSGVLKELTLSLALKCLSFDFIGTSVDESSEEFGTLQIPSSWKPVVQDPSTLQIFFDYYRIMGPPLSKEALECLVRLASVRRSLFTDDPARFQFLGHLMNGTKEIIQTGEGLADHDNYHEFCRLLGRFKVNYQLSELLSVESYGEWIHLVAEFTTKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKDETPSLLDETVPKITEGFITSRFNSNQADFPDDLSEDPLDNVELLQDHLEFFPYLCRYQYETSSLCIMKIMDPILQVYTERASSPMPVDANELAVIEGQISWIVHIIAAILKVRQITGCRTESQELIDAELAARVLQLSNVTDIGVHSQRYGEISKQRLDRAILTFLQNFRKSYVGDHAMHSSKLYLRLSELLGLHDHLVLLNFIVGKIAMNLKHYPQCEDVIEHTLSLFLELASGYMTGKLLLKLDSIKFIIVNHTKENFRFLEEYRCLHSRTTFYYTLGYLIFMEDSPVKFKASMEPLLQVMIGLESTSDAAFRSDAVKHAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSHMPLLLKAISHWSDVPEVTTPLLKFMAEFVLNKAQRLSFDSSSPNGILLFREVSKLVVAYGSSILSRPISTDIYNNKYKGIWISLIILSRALSGNYVNFGVFELYGDRALTDALDVSLKMILSIPLADIFAYRKLTKAYFAFMEVLFSNHISFILNLGTNTFLNIIGSLEAGLKGLDTGISSQCASSVDNLATFYFNHITIGEVSASPASMNFTRHIAECPNLFTEILRTLFEIVLFEDCGNQWSLSRPMLSLILINEQMFTNLRIQMLASQPIDQQQRLSLCFEKLMADVTRSLDSKNRDKFTQNLTIFRREFRV >Ma06_p25920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26518616:26531981:-1 gene:Ma06_g25920 transcript:Ma06_t25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDGDAKPLDLFLSIGLDKRTAENALVNQKVTSNLTAVIAEAGVNECSKTIGNLLYMVATKYPANALVHRPTLIQYIVSSKIKNPAQLDAALAFLGTVGPETFKLNEFEEACGIGVDVSIEEIQSTVTAVLEENMVAILEQRYHINVGNLCGQVRKHHPWADAKIVKEVIDEKLHGILGERTADDDKKPLKKKKEKPAKVEKQKSDAIASTPTLEEEVNPYLIFPEPAENYKVHTEIFFSNGDIWRAHNTKEILERHLKVTGGKVFTRFPPEPNGYLHIGHAKAMFIDFGLAKERGGSCYLRFDDTNPEAEKKEYIEHIQEIVQWMGWQPFKVTYTSNYFQELYDLAVELIRRGLAYVDHQSPEEVKEYRENKMNSPWRDRPIAESLKLFEDMRRGLIDEGKATLRLKQDMQSDNKNMYDLIAYRIKFTPHPHAGDKWCIYPSYDFSHCIVDSLENVTHSLCTLEFEIRRPSYYWLLLALNLYQPYVWEYSRLNISNAVMSKRKLNRLVTEKWVDGWDDPRLMTLAGLRRRGVSSTAINSFIRGIGITRSDNSMIRVDRLEYHIREELNKTASRTLVVLDPLKVVITNLDSGSVIDLDAKMWPDAPSDDSSSYYKVPFTNVVYIERSDFRLKDSKDYYGLAPGKSVLLRYAFPIKCTEVVYGDNDTIVEIHAEYDPSKKIKPKGVLHWVAQPSPGVDPVKVEVRLFEKLFLSENPSELEDWLSDLNPHSKEVIPEAYAVPSLANAVLGDKFQFERLGYFAVDTDSTPGKLVFNRTITLRDSYSKGGNK >Ma08_p34690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44688173:44689126:-1 gene:Ma08_g34690 transcript:Ma08_t34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGSFLSHLHSVAGPAVTLLYPLYASICAIESPSKNDDEQWLAYWILYSFLTLMEMVAEPVLYWIPVWYQIKVIFVAWLVLPHFRGASFMYERFVREQLIKYGVKLGTSSPHNNKVEE >Ma03_p31810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33890973:33893149:1 gene:Ma03_g31810 transcript:Ma03_t31810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQAAGWYSDVGMDGSFFGQWEVMDELTAQEVEAALGQDLQQSISSESYDSFPSDQPPASTGRPRGALKTRSWSSCTTEQQNSALVPTASSPSILSFGNPESPTDRNNIYGCLGGVVKRKKEMDVLLHHGSKRNYDAMVGQGTTYHNKDHIMAERKRREKLNQRFIALSAIVPGLKKTDKASVLGDAIKYLKQLEEKVKVLEEQAAKRTVESAVLVKKSQLRADDDSSSCDENFEVRQCGDSLPEIEAKISEKAILVKIHCENRKGVLVKALSEIEQLHLSVVSASVMPFGTYSLDMTVMAQIEEGFDMNATDVVKKLSSAFR >Ma03_p31810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33890973:33893149:1 gene:Ma03_g31810 transcript:Ma03_t31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQAAGWYSDVGMDGSFFGQWEVMDELTAQEVEAALGQDLQQSISSESYDSFPSDQPPASTGRPRGALKTRSWSSCTTEQQNSALVPTASSPSILSFGNPESPTDRNNIYGCLGGVVKRKKEMDVLLHHGSKRNYDAMVGQGTTYHNKDHIMAERKRREKLNQRFIALSAIVPGLKKTDKASVLGDAIKYLKQLEEKVKVLEEQAAKRTVESAVLVKKSQLRADDDSSSCDENFEVRQCGDSLPEIEAKISEKAILVKIHCENRKGVLVKALSEIEQLHLSVVSASVMPFGTYSLDMTVMAQIEEGFDMNATDVVKKLSSAFR >Ma07_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31246367:31248058:-1 gene:Ma07_g23690 transcript:Ma07_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRPSPCALLFLFFIAMASAQLSPTFYNTSCPDALSTIQSVVATAVANESRMGASLLRLHFHDCFVNGCDGSVLLDDTANFTGEKNAFPNLNSIRGFDVVDSIKSQVEAICEQVVSCADILAVAARDSVVALGGPSYTVQLGRRDATTASRSAANSNLPPPTLSLSGLLSSFSSKGLSSTDMVALSGAHTIGSAKCSSYRNRIYNEANINASFANSTQANCPSSGGYNNLSPLDAVTPTVFDNSYFQNLVSKKGLLHSDQQLYNGGSTDSLVTTYSEDTDAFFSDFATAMVNMGNISPLTGTDGEIRLNCRKIN >Ma06_p30090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31426092:31433927:-1 gene:Ma06_g30090 transcript:Ma06_t30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAQIHVLGSAAAFPPSKLPSSRVAASNSLFFGLRRPSGFGRTRLSARRRGHGSGYGPLRVVCEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVLRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAADFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNMSLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVENALKDAKLSFKDIDEIILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPSDEVDRMVKEAEKFAKEDKEKRDDIDTKNQSESVIYQTEKQLKELGDKVPAEVKEKVEAKLKDLKDAVAGGSTQSMKDTMAALNQEVMQLGQSLYNQPGAAGPGSAPGADAGSAGPSTKGPDNGDVIDADFTDSK >Ma04_p31120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31528568:31529764:1 gene:Ma04_g31120 transcript:Ma04_t31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDYEWGNPAAVTPAMLLFGEENGGDDLVRQQATVFDHFAGHGIGSGGSTDFFPQAQPLMAAAFFPAPTPASSTWHQRNGMEFPPLPARIGLNLGVRTYFSSASPADGGGVVTGRVCRRRTRIARCQAEGCSMELTHAKHYHRRHKVCEFHSKASVVNVAGLSQRFCQQCSRFHVLTEFDQGKRSCRKRLADHNRRRRKSQELATNTVTPIQNSDSSITPIDTAVGNDLTEGDTILFTTSSRNTTAPTAFTRCKPENESPVTHMSPPRVPSMMLPLSTTRMAKEMALGIGCSSVRAGASSTAENSPPGAPFLVQLGEFGVHHRSFTA >Ma03_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9782618:9784261:-1 gene:Ma03_g12720 transcript:Ma03_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATTVVSRFNDVDRIKGPWSPEEDDALQKLVQRHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDETIIRAHRRFGNKWATIARLLSGRTDNAVKNHWNSTLKRRYSASATAVAVDGGFVAAAELEPVPERRLKKTCSDGPLLSSDGAGLCLSPGSPSESDLSDSSYHSHPMISPVSTGSHIYRPVPRTGAVVLPSSSTPNHHHPTEPSAAAAATSCSPEIVEDPVTSLTLSLPGSDPMDTCNDRHSADNDGNGQKPLELLPSVPMPLQARPSSSKTRSAEATGATPCCKEEQGWHAPCPFGAEFLAAMQELIRKEVRSYMSSLEQSGMMCTRFPLPPESVLNAGIRRIGITKIE >Ma03_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22142582:22148342:1 gene:Ma03_g16940 transcript:Ma03_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDSVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDRTIKINKPDQTAADGTAAATSSCCGS >Ma10_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30108379:30110971:1 gene:Ma10_g19600 transcript:Ma10_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVIQSSPASFNLGHRNWLSPADRYRSEEDRPPALKEGGEEPPSQFDIWSAIQSQKTAAAAADQPATPYVHPLVRRSSSSLSQKSLEICTESLGSETGSDEFFSFMDDLPLLDDEEKEEETKYVHEKQDAAAEADEVIAAERGERSPRGTELTSVNYHCSISRRSPPRSFPPPLPSISRRDGPCFHMRPHRCDGRLVVEAVPVPPQNYLHARRVDGRLVLSFIDTIYGDEPGYESDASDAAAEITQTLTEEDVEKEKEEATESESMGITQLGVKEEEELEGNNRYGGEEEELEENNCYEEEEEEEEVEVVDRGTVVEVKVSTQPQQQSGAMKVHRSSLVINKFVGDMPLSGMAEHEPSAQEEDNTSSQNKHNHTVTSAPAARRASSITTTAAAAVVAASTLGVSTESHHDHGYGRTWTAVGGHRPPLDNKLLFTSKRRNREELLHNMRRCSQLRRPLFIWEPYCIATSS >Ma04_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4757503:4761961:-1 gene:Ma04_g06460 transcript:Ma04_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLMTTIIFVVVGVVASVSVRLCCNRGPSTNLFHLTLIITATVCSWMMWAIVYLAQLKPLIVPILSEGE >Ma09_p28560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39278248:39281638:-1 gene:Ma09_g28560 transcript:Ma09_t28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEEARQHANSNMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFISTAATIYKKIQDGVFDVSNESYGIKVGYGGTAAPSGGRDGSSAQAGGCCS >Ma03_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22372679:22377952:-1 gene:Ma03_g17090 transcript:Ma03_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPSSPTPSPPNPTSPPPSTPPPIDPTSPPPSAPPPINPTSPPPSATPPINPTSPPPSAPPPTNPTSPPLSSPPPTAPPPAPSSSPSLTPPPGIPPTSPPTPPPSSPTPPPASPPPQAPPASPPVSPSPPPPTVTPPPSPPPPVNPPPPPVNPPPPPPSRSPPPAPQSPPTSPPPPPPKSPSPPPLAPSPPAPPTPLGSPPPPPAPSASNPPPPFNALPPPPPSSTKSSETSTAAIVGGVAAAAAIVALLLGIFLFCYWRRRRQRSSPPAPPPYLVDPYAPTSPAIYTLATKPSVGSKDLSSGSQLSEPENPFLPSSPEIALSFAKIAFTYEELAMAADGFSDANLLGKGGFGHVYKGIFDGKEIAIKKLMSGGGQGDREFRAEVEKLSRVHHRHLVSLVGYCISGSQRILVYEYLPNKTLEFHLHGKDQPTMEWPTRYKIAVGSAKGLAYLHEDCRPKIIHRDIKAANILLDSNFEAKVSDFGLAKFQGESDTHVSTRVVGTFGYVAPEYATSGRLTDKSDVFSFGVVMLELITGRRPVYSNQSYMDESLVTWARPLLTQAAEVGNYEALIDPHLENYDPNEMIRMIACAAACVRQSAKLRPRMSQIVRYLEGEISLEMLHGEVLPGHSALQASSTYDSSQNNEHMVRLRRMAFANQDTSNSLFSEPISESDPEAYNDEISRLKKKSTSESSSAV >Ma08_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4832903:4833636:-1 gene:Ma08_g07060 transcript:Ma08_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRIRSPNPSPSSSRIEERLHRFLKPGALARLRDSRISNARSPRSAALPRLSPPSSPSLGPSPPAAAQIEGLLPCFAARTRGPRFVQRKKLAAAKSFIYAPSSPELPDPFLDAFGVDLVAAH >Ma04_p33780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33262468:33263701:1 gene:Ma04_g33780 transcript:Ma04_t33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKPEGKADSRPSGGALAAAPPAGVIPVHRFIVPKPEPIEMMGLGAFQILRRPASRNKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIKWLLEQAEPAIIAATGTGTVPAIATTVGGTLKIPTEAPSSAPVTSTSAAAADDPAANGEDAAKKRRRKLQPLRSGGGAVVAGYFPVQDPLLSGDGAISIPAGLAPVGASGTQAVIPFWTMGGAGGATGATSIPPGALWMVPPSAAVATAPSSQAQIWTFPPGPQIINLASVQPVSATGAAGSPAAPDSSDAAPAAARKPGLQLMAGPRERHQGAEEDYEDDDDEEDEDDSSPED >Ma03_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26051540:26055661:1 gene:Ma03_g20980 transcript:Ma03_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNGNRVVVGTLRGFDQFMNLVVDNTVEVNSNEKNDIGMVVIRGNSVVMIEALEPVART >Ma10_p25590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33657772:33661274:1 gene:Ma10_g25590 transcript:Ma10_t25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGFCPDCKRSTEVVFDHSSGDTVCSECGLVLEAHSIDETSEWRTFANESGDNDPVRVGGPANPFLSDGGLSTVISTPKGAQGDFLSSSLGRWQNRASNSDRSLILAFKAIATMADRLGLVATIKDRANEIYKKVEDLKSVRGRNQEAILAACLYISCRQEDKPRTVKEICSVANGATKKDIGRAKEFIVKQLEVEMGKSMEMGTINAGDFLRRFCSHLGMTNQEVKAAQEAVQKSDELDIRRSPISIAAAVIYMITQLSDDKKQIKDISLATGVAEGTIRNAYKDLHPYASRIIPTSFSKEEDLKKLCCP >Ma04_p31000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31465909:31467275:1 gene:Ma04_g31000 transcript:Ma04_t31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTEVLQLSPEEDEEARLYAVQLVMAMALPMTLKAAIELRLLEIIVKAGPGAKLSAVDVASQLPTQNPQAADMVDRMLRILAAYRIVSCTAEPGAAGQLLRKYGAAPVCKHLTENEDGVSMAPLCLFGLDKVRIDFWHYLKDAVLEGGTCFHKAYGMTAFEYNGTDPRFNKLFNECMRSHSSIITKKLLDIYCGFDDVKVLVDVGGGTGAALHMITSRHPQIKGINFDLPHVISEAPSFPGVEHVSGDMFVSVPQSDTIFMKWILHDWNDEQCSKLLKNCWKALPEKGKLIVVEYVLPLLPEPNLNLRGAFHLDINMMVFLGGKERTQKEFEALALEAGFSGFTSTYISMYTWVIEFKK >Ma09_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5692375:5693818:-1 gene:Ma09_g08590 transcript:Ma09_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSQAARGTTATATATTIEDLHSDMLIRALRHLDGPALAAASCATTHLRAIASQPDLWRDLCVTTWPSLRHPRLLRLLSSSPNAHRSFFSDAFPSPSPLTVLTDDDASLPSELISAVDLYHQGALVLSRVVETDTSTLWFRGAPFRIDALDRKDPPPPPSPTLPAAAAAAEPAISPEDLTLSWVVIDPHRRRAMIATSRHPVAVDRHWITGETVVRFASVLGEECALGVVVTCGEETGHVLEMSLMAEGIDGVCLNGRDGLAVIQAAIEGDRKREEAEEVEKRKWEEFVGRRQRRKEAAARRERVVDLACLAVGGAAFLALFAIAVSRWLDRAV >Ma02_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19589052:19591339:-1 gene:Ma02_g09740 transcript:Ma02_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGDRGGFGRGFGRGRGERGRGRGDRGRGGRRGFGRRDEEEKWVPVTKLGRLVKEGKITSLEQIYLHSLPVKEHQIIDTLLGGGLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDTNGHVGLGVKCAKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWTETRYSRSPFQEYTDLLAKPTKAILLEDTEKVEA >Ma05_p28050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39140850:39143633:1 gene:Ma05_g28050 transcript:Ma05_t28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRSTKTVICHSKVPRESCSLCEHKGNHVMRKKMREGRTKGAGKESHDQLCNTSY >Ma11_p05690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4359323:4365895:-1 gene:Ma11_g05690 transcript:Ma11_t05690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDKNIEIWKVKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKKLIGKYFEEISQDTGKYVFGVEDTLKALEMGAVETLIVWENLDINRYVLKHSTSGETVIKHLRKDQETDQNNFLDSVTSSELEVQEKISLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDLRAFDELSDQEYDEDSE >Ma11_p05690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4359323:4365895:-1 gene:Ma11_g05690 transcript:Ma11_t05690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDKNIEIWKVKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKKLIGKYFEEISQDTGKYVFGVEDTLKALEMGAVETLIVWENLDINRYVLKHSTSGETVIKHLRKDQETDQNNFLDSVTSSELEVQEKISLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDLRAFDELSDQEYDEDSE >Ma11_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4359323:4365882:-1 gene:Ma11_g05690 transcript:Ma11_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDKNIEIWKVKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKKLIGKYFEEISQDTGKYVFGVEDTLKALEMGAVETLIVWENLDINRYVLKHSTSGETVIKHLRKDQETDQNNFLDSVTSSELEVQEKISLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDLRAFDELSDQEYDEDSE >Ma06_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9352890:9353613:-1 gene:Ma06_g13650 transcript:Ma06_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPNPSLFCFLLLFLLTAAAAATAAAAAWPESLEVGLGSERSTHLRVYFHEVFRGPNVTSINVVKGNGDYPSRFGNIDMIDAALRTDADARSRVVGRAQGVSFHVSQEEEALLIEFVLLFTGGEYAGSTLTVVGRVDAAGRGDRAVVGGTGRFLLARGHMVTQVLTSSVDGLVAVYDIYVMHYEGPRQA >Ma05_p31010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41143162:41143521:1 gene:Ma05_g31010 transcript:Ma05_t31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRRGWRQKTALENSTYFMGETIQDDRIASELAAADKKKIEDAIQQDIHLLDVNQSEEADELRRQDDGARVHLLAEWTMVLLLLVAAVQVRPLRRLIEHDYLASRRLFLVWLTCMFS >Ma08_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2848972:2850793:-1 gene:Ma08_g04050 transcript:Ma08_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSTPIQLLKLTVFVLLAITITLASLLPSKQRLLLFLSRHKLLLFSLLWCKLVALLAYARARPLPVYLLDFSCHKPGPESRGSYEICEYFGRRSCQHSAESEAFMRAIYRKSGLGDETYAPPFIFQTDYKAKFQYAILEAEDGMFSCVAHLLAKTDVDPSRITVLIAACSMFSPSPSFTSMLVQRFQLSPSVKTFNFSGMGCSAGTMSLDLAATILRRQVGYALIVVTESTSLNWYFGDNRHMLVTNCIFRAGTAAALVTSDPAQRERAKMEVMRTLRTHHGADDAAYNAAIQMEDEDGHVGVALTKDLVRVAGVGLRNHISRLAPRVLPVSELLRYVYNVARSFLAGDRKAVHVPDFTTAFEHMCIHAGGKAVIDAVGRLMKFEEEVVEPARMCLHRFGNTSSSLVFYELAYFEAKGRIKSGDRVWMLAFGTGFKACSVVWRALRDSRIDPDNPWKDCIHRYPVSI >Ma08_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19228898:19230691:1 gene:Ma08_g16560 transcript:Ma08_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 26 [Source:Projected from Arabidopsis thaliana (AT4G08250) UniProtKB/Swiss-Prot;Acc:Q9SUF5] MEVAMEEAVAPSGCSSTTTTMDDDFICAWNDWNPAVDWGSFSSVADDFHELIESMMCPDVVDSPDGLMSVSQTPSYNSSPSSMATSADTPAEEDVGAGGSHDGDEKGLRLVHLLVAAAEALAGPHKSPELARVILVRLKELVLQAGAGAGVGGTSIERLTAHFTDALQGLLDGSTSAHCGGRNSFRDGHLLHHTSDVLTAFQLLQDMSPYIKFGHFTANQAILEETLGERRIHIIDYDIAEGVQWASLLQALVSRPGGSPPPHLRITAVTRGGSRAMRETGRLLAAFAASVGQPFSFSQCRLDADEQFRPAGVKVVKGETLVMNCVLHPTMNNTRSGSASSVASFLRGAVELGAKVVTLVEEEEQEQSEERSFVRRFMGELHRYSAVWDSLEAGFPMQGKARGMVERVILAPRIAGAVGRAYRDSKEEETDGRWGKWMAVMGFGRVRISCFNHCQAKLLLGLFNDGYSVEEDGSNKLALGWKSRRLLSASVWKPPLSPPPPCPPSPIRVGIVTPDGLTASFEDSDFVSD >Ma07_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30948212:30948734:1 gene:Ma07_g23220 transcript:Ma07_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVMLSDLISNFVRSALTKIKCHTKIKCFIVRTETEMEEEQQQHRRCTGTEELSHHDIEVIMGRLGLLGPREEAVDVDGGGGECRLVEEVDALLEEKKASLDELKEAFCVFDRNEDGFISPGELWCVMRRLGLQEGLKLEDCERMIRAFDVDGDGRISFSEFTCLLENAL >Ma08_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35403558:35411823:1 gene:Ma08_g21550 transcript:Ma08_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g59600 [Source:Projected from Arabidopsis thaliana (AT5G59600) UniProtKB/Swiss-Prot;Acc:Q9FGR2] MSKTDASRWIALINHSSRQGLHRRTLHLFHQMRGQGFAPHRCILPSVIRACARLADPDAGRASHAVALRSSLDRDAFVRSALIDMYCKCRRVPDARHVFDATLDKDLVVWNSVVSGYAHQGAAEAAMVLSIKMRSLGVKPDLVTWNALIFGFAHKGEDDTAMDLLRTMQSDGVDPDTFSWTSIVSGLVVNFNYGKAFEIFRHMVKTAGIRPSSVTISSLLPACADLVDLRRGKEIHGYALVAGVGRDLYVGSALIDMYAKCGLVAEASKIFHDMKERNTVSWNSMIFGYANQGHCHEAIQLFDQMEGERAKPDHLTFTAVLSACSHGGMVELGKSLFRLMEEAHGIEPRLEHYACMVDMLGRAGEFVGACRLIAEMPMEPDAFVWGALLATSRKHGNVELAELAASHLLELEPESAGSCVLLSSALADAGRTVDAAKVKKLIKRRKMRTLMGCSWMQM >Ma10_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7598171:7599790:-1 gene:Ma10_g02280 transcript:Ma10_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMTTASMYWCYRCSRFVRVRPQDAVVCPDCDGGFLEEVGGSPLPLGPAAELPPPQGFPSSAALTLGSHGSSAEAGPLQQSELRFRQNRRAAAGDRSPFNPVIVLRGASDGDRDEDRSTASNFELYYDDGTAAGLRPLPESISDFLMSSGFDRLLEQFAHIEINGMGHDRGSERPPASKVAIESMPTIEIVDDHIGKDCHCAICMDVFELGTEAREMPCKHIYHQDCIFPWLSLRNSCPVCRHEMPTDVQERGSAVAEGDDQAAVTGSEEEMVGLTIWRLPGGGFAVGRFSGGRRAGEREFPVVYTEMDGGFNHSGAPRRISWTSRRSRLRESGGIGRTIRNFFSFFRRSRSASSSSRSIAESDAAFSRGHERGSVFRWRSRRRSINMDGGTDVTS >Ma04_p20240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22972274:22980741:1 gene:Ma04_g20240 transcript:Ma04_t20240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNMSIDDVGLPLEDSRLGVSGLAATDGNRQENGVTQWPGSPKPRSLVVESSAIPISTDSFTSRVEVETFDSKDEQQEVVASAPLPARDDVVASGGSTETRSEFGSPGSSLGKNTGSKETAVKEVQWSAFRVDPPQFDSDGFGSYTNFSAENVGECGNKLEAEVDQKCSSADNSAADACSYFESIEQQDSQCYSLPSEQTPDVNEAQYWESLYPGWKFDVSTGQWYQINDYDVATTAEPETSNAMNEQQHDQDKVLAAGNGIIMDQSSEVSYYQQSANSVVAETAEDSSTGYVSNWNQDSYGSTEYPPNVVLDPQYPGWYYDTNIQQWHTLQSYTPAVQATTGTIHGHYSQVMEIHWDGSRSKSVEENMQQPEPVDEGIPDFNDNRQKINLYNTMGHAANCMDVQVGTRDLEPLSNYDYGSSNGATRLQNFLPAKNMDHVNQPKVDQSFQAYLSHGHQGNQMATYHSQRSMSYESPPCSSFSYALNEGRSSAGRPPHPLVAFGFGGKLILIKDASCCGSNLDYGRKDSIGGSISILGLMDILMKKTDDSTTITTKCGYFHALCQQSFPGPLIGGNASAKDVNKWIDDKIAHSLVYATEGELHRLLLSLLKILCQHYGKLRSPFSSDPSVEDTDGPASAITNLFASVSKNGTSSVQYGSITDCMQYLPTEGDIQGTAIAVQNLLVFGRRKEALQCAQAGQLWGLALILAAQLGEKFYVETVKQMANQQFISGSPLRTLYLLIAGQPADVFSFKNSSDSSFPTSDNAPEQSMKVLSNGMLDDWEKHLAIITANRTKDDELVILHLGDCLWREKGKIAAAHICYLVAEANFESYSDSARMCLLGADHWKHPRTYASPDAIQRTELYEYSKVLGNSQFILLPFQPYKLVYAYMLAEVGNVSDSLRYCQASLKLLKSSSRTPVIEMWRSLFSSLEDRLRMHLQAGYSTNLDPAKLVGKLFTSIDRSIHRMIGTTPMPQSGTNGKEYNSVAPKVANSQSTMAMSSLIPSSSQENLNDWAINSRTMHSRSASEPDFGKALKQDKSVDSISPDSESKASSRLGRFGSQIFQRTVGWVSRSRSECQAKLGERNKFFYDEKLKRWVEEGVEPQPEEAYLPPPPTTVAHQNGISVNDTNSAFKSPTHNANGILETSYPSPLQHASGIPPISPGPNQFSARNRMGVRSRYVDTFNKDGGVFTNSFRSPSTPSVKPAVGATFFAPGTPATCDVTAGESTLGALLVSESSTTVDKEASFLSSSPPIPLTVQHSPGMDTNTFSKKGAAAVLQNNKGSFSNGSPAPSWSGKYNTIFMPTMAGVNSSEDDLCP >Ma04_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22972265:22980795:1 gene:Ma04_g20240 transcript:Ma04_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFSLADQTDEDFFDNLVSNDDLVFAGSVSEQATTEMAFSNMSIDDVGLPLEDSRLGVSGLAATDGNRQENGVTQWPGSPKPRSLVVESSAIPISTDSFTSRVEVETFDSKDEQQEVVASAPLPARDDVVASGGSTETRSEFGSPGSSLGKNTGSKETAVKEVQWSAFRVDPPQFDSDGFGSYTNFSAENVGECGNKLEAEVDQKCSSADNSAADACSYFESIEQQDSQCYSLPSEQTPDVNEAQYWESLYPGWKFDVSTGQWYQINDYDVATTAEPETSNAMNEQQHDQDKVLAAGNGIIMDQSSEVSYYQQSANSVVAETAEDSSTGYVSNWNQDSYGSTEYPPNVVLDPQYPGWYYDTNIQQWHTLQSYTPAVQATTGTIHGHYSQVMEIHWDGSRSKSVEENMQQPEPVDEGIPDFNDNRQKINLYNTMGHAANCMDVQVGTRDLEPLSNYDYGSSNGATRLQNFLPAKNMDHVNQPKVDQSFQAYLSHGHQGNQMATYHSQRSMSYESPPCSSFSYALNEGRSSAGRPPHPLVAFGFGGKLILIKDASCCGSNLDYGRKDSIGGSISILGLMDILMKKTDDSTTITTKCGYFHALCQQSFPGPLIGGNASAKDVNKWIDDKIAHSLVYATEGELHRLLLSLLKILCQHYGKLRSPFSSDPSVEDTDGPASAITNLFASVSKNGTSSVQYGSITDCMQYLPTEGDIQGTAIAVQNLLVFGRRKEALQCAQAGQLWGLALILAAQLGEKFYVETVKQMANQQFISGSPLRTLYLLIAGQPADVFSFKNSSDSSFPTSDNAPEQSMKVLSNGMLDDWEKHLAIITANRTKDDELVILHLGDCLWREKGKIAAAHICYLVAEANFESYSDSARMCLLGADHWKHPRTYASPDAIQRTELYEYSKVLGNSQFILLPFQPYKLVYAYMLAEVGNVSDSLRYCQASLKLLKSSSRTPVIEMWRSLFSSLEDRLRMHLQAGYSTNLDPAKLVGKLFTSIDRSIHRMIGTTPMPQSGTNGKEYNSVAPKVANSQSTMAMSSLIPSSSQENLNDWAINSRTMHSRSASEPDFGKALKQDKSVDSISPDSESKASSRLGRFGSQIFQRTVGWVSRSRSECQAKLGERNKFFYDEKLKRWVEEGVEPQPEEAYLPPPPTTVAHQNGISVNDTNSAFKSPTHNANGILETSYPSPLQHASGIPPISPGPNQFSARNRMGVRSRYVDTFNKDGGVFTNSFRSPSTPSVKPAVGATFFAPGTPATCDVTAGESTLGALLVSESSTTVDKEASFLSSSPPIPLTVQHSPGMDTNTFSKKGAAAVLQNNKGSFSNGSPAPSWSGKYNTIFMPTMAGVNSSEDDLCP >Ma08_p25650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38421433:38421817:1 gene:Ma08_g25650 transcript:Ma08_t25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWKNVCKFSTNKSEQKIILELLSKPQFELLPQDSYANYVIQSALTLATKGKLYAALVEAITPHSALRFNPYCRRIFSMIHLKQPCDDDMYYEC >Ma04_p34120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33446461:33447309:-1 gene:Ma04_g34120 transcript:Ma04_t34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] MDSLAFLRPAPLAPVQNPTRSLQPPPLSPSGFRHPRPLRPPAALNSPRGFGTSPQMVGKNPKRKKRLGIDDKEDDEDDEGGGGGDDDGDGTIPEVVTNRMMRRMGVSIGIPLSLGLLFFPLFYYLKVVAKVDVPSWVPVLVSFFFFGASLLGVSYGIVSASWDPLREGSFLGWNEARRNWPVFWQSLRGGGSGGANKK >Ma02_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21216959:21233783:-1 gene:Ma02_g12530 transcript:Ma02_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARMPSSRTRESRKTTRTERPKVKPRPPTSLQPVRSMPLDSRISGGLPSMDGGRKVESGAKFASLKEKDTKEKGKRDAKRKENLSYSSKRDERPSADAKDVGDAKGNEESPCRLETTSGVERPPEEAKDMGDANWNKDLSYILKSPNGEDRSLGEAKDVGDAEGYEDSPYSLKTTFYKNRPLEEEEGEVRLSNPATAKMLPISPSRTESNWGDTSSFVMKKKLQVWCQLSNGDWALGIILSSSGSESVISLSEGGVLKVNTESLLASNPEILDGVDDLMQLSYLNEPSVLYNLQLRYSRDSIYTKAGPVLVAINPFKEVHLYGNEYIEAYKHKSINSPHVYAIADTAIHEMIRDDINQSIVISGESGAGKTETAKIAMQYLAALGGGSGIEYEILQTNPILEAFGNARTLRNDNSSRFGKLTAIHFSVTGKISGASIQTFLLEKSRVVQCAVGERSYHIFYQLCAGAPKSLRAKLNLRKVDEYKYLKQSNCYTIAGVDDVKRFHLVMKAMDVVHISKVDQESVFAMLAAVLWLGNISFMVIDNENHVEVVADEGAQTVSKLIGCTVSELNLALSTRKMKVGNDNIVQKLTLAQAIDTRDALAKSLYASLFEWLVGQINKSLGIGKRHTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVQDGIDWAKVDFEDNQDCLNLFEKRPLGLLSLLDEESTFPNGTDLTFVNKLKQHLHSSPCFRGERDKAFTIHHYAGEVVYDTTGFLEKNRDLLHMDIIQLLANCKCHLPQIFASKMLSQSENSAASPYRFNVADSQKLSVASKFKGQLFQLMQRLGNTTPHFIRCIKPNNSQLPETYEQGLILQQLRCCGVLEVVRISRSGYPTRMSHQKFAKRYGFLLENVASQDPLSVSVAILQQFSIIPEMYQVGYTKLFFRTGQIGLLEDTRTRTLHGILRVQSCFRGHQARLYVKELKKGIVALQSFIRSEKTRRVSSGLLQRHRAVIALQRNIKCRAARRNFVDVRNASIAIQSVIRGWLVRRCSTDVALLNANKHIGGTKGEEPEENLVKSSVLAELERRALKAEAALRDKEEENNILHQRLQQYESRWSEYEQKMESMEEVWQKQMASLQSSLSVAKNSFAIDDIERHSVASLDQSWSSTDPIRAKGWENGKRSVSRAINRDMSSGLSVISRLSEEFEQRTQVFADDAKFLMEVKSGKSEASLNPERELRRLKQDFELWKKDFNSRLREAKMVINKLGTDDAGSDKGKRKWWVRLNSRRIM >Ma05_p16020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15056757:15058865:-1 gene:Ma05_g16020 transcript:Ma05_t16020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPRAAPGFIDPSELLLANGAPPNPRKRGRGGIGFPETPPPQLQQNHPVDLLSLQPQRTSTPLSPPALVSFAQHQSDPSLRVSTGLRLSFKDRCQQQNQKQSNPLLSSSSSFLSSLLSEELTTHINQQKGEIEQFLHAESEQLRRGLAERRWRHYRYLLSAANESAARRLREEEAEAERAARRSAELEGRLARLRIESMAWKAKATADQATATSLQVQLQKAVATAAQARGCEASPAEDAESAFMEPHRVEQGRACRACRERLASVVLLPCRHLCLCDVCDGGGGPVESCPVCRCGKAGSVRVYLT >Ma05_p16020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15056757:15058843:-1 gene:Ma05_g16020 transcript:Ma05_t16020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPRAAPGFIDPSELLLANGAPPNPRKRGRGGIGFPETPPPQLQQNHPVDLLSLQPQRTSTPLSPPALVSFAQHQSDPSLRVSTGLRLSFKDRCQQQNQKQSNPLLSSSSSFLSSLLSEELTTHINQQKGEIEQFLHAESEQLRRGLAERRWRHYRYLLSAANESAARRLREEEAEAERAARRSAELEGRLARLRIESMAWKAKATADQATATSLQVQLQKAVATAAQARGCEASPAEDAESAFMEPHRVEQGRACRACRERLASVVLLPCRHLCLCDVCDGGGGPVESCPVCRCGKAGSVRVYLT >Ma05_p16020.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15056757:15058843:-1 gene:Ma05_g16020 transcript:Ma05_t16020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPRAAPGFIDPSELLLANGAPPNPRKRGRGGIGFPETPPPQLQQNHPVDLLSLQPQRTSTPLSPPALVSFAQHQSDPSLRVSTGLRLSFKDRCQQQNQKQSNPLLSSSSSFLSSLLSEELTTHINQQKGEIEQFLHAESEQLRRGLAERRWRHYRYLLSAANESAARRLREEEAEAERAARRSAELEGRLARLRIESMAWKAKATADQATATSLQVQLQKAVATAAQARGCEASPAEDAESAFMEPHRVEQGRACRACRERLASVVLLPCRHLCLCDVCDGGGGPVESCPVCRCGKAGSVRVYLT >Ma05_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15056757:15058843:-1 gene:Ma05_g16020 transcript:Ma05_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPRAAPGFIDPSELLLANGAPPNPRKRGRGGIGFPETPPPQLQQNHPVDLLSLQPQRTSTPLSPPALVSFAQHQSDPSLRVSTGLRLSFKDRCQQQNQKQSNPLLSSSSSFLSSLLSEELTTHINQQKGEIEQFLHAESEQLRRGLAERRWRHYRYLLSAANESAARRLREEEAEAERAARRSAELEGRLARLRIESMAWKAKATADQATATSLQVQLQKAVATAAQARGCEASPAEDAESAFMEPHRVEQGRACRACRERLASVVLLPCRHLCLCDVCDGGGGPVESCPVCRCGKAGSVRVYLT >Ma05_p16020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15056757:15058843:-1 gene:Ma05_g16020 transcript:Ma05_t16020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPRAAPGFIDPSELLLANGAPPNPRKRGRGGIGFPETPPPQLQQNHPVDLLSLQPQRTSTPLSPPALVSFAQHQSDPSLRVSTGLRLSFKDRCQQQNQKQSNPLLSSSSSFLSSLLSEELTTHINQQKGEIEQFLHAESEQLRRGLAERRWRHYRYLLSAANESAARRLREEEAEAERAARRSAELEGRLARLRIESMAWKAKATADQATATSLQVQLQKAVATAAQARGCEASPAEDAESAFMEPHRVEQGRACRACRERLASVVLLPCRHLCLCDVCDGGGGPVESCPVCRCGKAGSVRVYLT >Ma09_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7668317:7670884:1 gene:Ma09_g11350 transcript:Ma09_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKACRKHTLHKVTQYKKGKDSLSVQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Ma00_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30840030:30840722:-1 gene:Ma00_g03730 transcript:Ma00_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFHGLVARRLAAAFLNFVFISSLLQHGELDLIGEAVQIPSMDLTAQSRKLLGIELNVTTTGRGFVGGVANVGKMMEMTGEEVCSKDDIVVHQEATPPLPSGIPTYTVQVLNTCPSGCAVAGIHLSCGWYGSARLVDPRIFRRLSYNDCLVNDGASLPAGDSISFQYANSFRYPLSVSSVTC >Ma02_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23968135:23976836:-1 gene:Ma02_g16760 transcript:Ma02_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGGEGMVAIWVLLACTWAWNPGFVNGDTDSNDASALSVLFSSLNSASQLTGWKQSGGDPCGESWLGITCSGSSVTAIKLSGLGLTGTLGYNMNQLSSLTELDLSKNNLGNKSSIPYNLPPNLHRLDLSNNQYGGSLPYSISPMVTLEYLNLAHNQLQGNLGDMFGSLSNLATIDLSSNSLTGDLPQSFSSLTSLTSLYLENNQFTGHIDVLAGLPLQNLNVANNRFTGWIPNQLKGINNLHTENNQWSSGPAPPPPPYTPPPPGRKSNAGQKSGGIGGGGIAGIIISILIVGGIIAFFVIRRKSRKYPTEESLEQDRPFAQFASDEVKEMKTVQTSSMFDTEKLPPPAPVSLKPPPIDRYKSFDEDEFSNKPIAKKVNTTSIKAIIYSVADLQIATDSFSEDNLVGEGSFGRVYRAQFSDGKVLAVKKLNLTALPSRSSDEFIEIVGNISRLHHPNLTELVGYCSEHGQRLLVYEFQKNGALYDLLQLSDEPLSWNDRVKIALGTARALEYLHEVCSPSVIHKNFKSSNILLDMELNPHLSDCGLEGLVPNAEFQASELNMGYSAPEVAMSGQYTMKSDVYSFGVVMLELMTGRKPFDSSRPRSEQSLVQWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVIALCVQSEPEFRPPMSEVVQALVRLVKRVKMNNRMAGGDGQETSRRAGDQDDYMF >Ma02_p16760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23968135:23976836:-1 gene:Ma02_g16760 transcript:Ma02_t16760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGGEGMVAIWVLLACTWAWNPGFVNGDTDSNDASALSVLFSSLNSASQLTGWKQSGGDPCGESWLGITCSGSSVTAIKLSGLGLTGTLGYNMNQLSSLTELDLSKNNLGNKSSIPYNLPPNLHRLDLSNNQYGGSLPYSISPMVTLEYLNLAHNQLQGNLGDMFGSLSNLATIDLSSNSLTGDLPQSFSSLTSLTSLYLENNQFTGHIDVLAGLPLQNLNVANNRFTGWIPNQLKGINNLHTENNQWSSGPAPPPPPYTPPPPGRKSNAGQKSGGIGGGGIAGIIISILIVGGIIAFFVIRRKSRKYPTEESLEQDRPFAQFASDEVKVAEMKTVQTSSMFDTEKLPPPAPVSLKPPPIDRYKSFDEDEFSNKPIAKKVNTTSIKAIIYSVADLQIATDSFSEDNLVGEGSFGRVYRAQFSDGKVLAVKKLNLTALPSRSSDEFIEIVGNISRLHHPNLTELVGYCSEHGQRLLVYEFQKNGALYDLLQLSDEPLSWNDRVKIALGTARALEYLHEVCSPSVIHKNFKSSNILLDMELNPHLSDCGLEGLVPNAEFQASELNMGYSAPEVAMSGQYTMKSDVYSFGVVMLELMTGRKPFDSSRPRSEQSLVQWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVIALCVQSEPEFRPPMSEVVQALVRLVKRVKMNNRMAGGDGQETSRRAGDQDDYMF >Ma10_p07410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21813743:21819767:1 gene:Ma10_g07410 transcript:Ma10_t07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGERSAVTDEASAAEGRVEVGRPAVLLVCGSLVYYHCAFRGSSLLSLVSDVLIVLLCSLAILGMLLRQMNISVPVDPLEWQISQDMANTIVACLANTVGAAESVLRVAATGHDKRLFLKVVLTLYLLSTLGRAASGVTIAYAGLCLLCLYFFARSLELISRCTSQFSKRSDSSAGDQDVM >Ma10_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21813784:21819170:1 gene:Ma10_g07410 transcript:Ma10_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGERSAVTDEASAAEGRVEVGRPAVLLVCGSLVYYHCAFRGSSLLSLVSDVLIVLLCSLAILGMLLRQMNISVPVDPLEWQISQDMANTIVACLANTVGAAESVLRVAATGHDKRLFLKKLIQTISTQKEDSFRVSWVPGTPLHRKAP >Ma11_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21585183:21593798:-1 gene:Ma11_g15970 transcript:Ma11_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MENDVPLQEIMLEFRAGKMVLDGTRVVPDTRKGLVRIGRSEEGLVHFQWIDRTQNVVEDDQIIFPDEAVFEKVSQSSERVYILKFRHDNRKSFFWMQEPRADEDSQIFTSVNCYINQPLDLMGEDEAEASVPPQMSDMSDNTVEDDFSSRAGNLVDQNIAGELGGEVTSSARPVQLADLQRILRSIQPADAIEDPDAGLGLGDILKPDLVLPLIETLPIEQQLAQYLPEGSWSPADLMELLQSPQFRQQVDTFTHVLRTGQIDLSQFGINPSKYKFTVLSFLEALEDSVAKSSEAAGSNSAQDESKDSQSQRCGRGDAMDES >Ma02_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28026463:28029449:1 gene:Ma02_g22810 transcript:Ma02_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDVLDPFVRSATMRVSYGNKELTNGCELKPSMVAVEPRVEIKGRNSRILYTLVMVDPDAPSPSNPTKREYLHWLVTDIPETSNASYGNEIVSYESPRPTAGIHRFVFILFRQSVCQTMYAPGWRQNFNTRDFAAAFNLGDPVAAMFFNCQRENGCGGRRYQAVSGWM >Ma05_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14844179:14844895:-1 gene:Ma05_g15930 transcript:Ma05_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAPALALVISFALLATCIAQSPASSPTAMPPSTTATPPTASSPPPASSPPPVATPPPATPPPVSTPPPATPPPVATPPPATSPPAATPATPPPVSTPSPTPSSTPTGAPAPSTSPSSSPSPSPSKTPTPAPAPGTSTPADGGSGAYVHGVSMGVVALLGGVALLV >Ma06_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8806720:8807985:-1 gene:Ma06_g12740 transcript:Ma06_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRERRLPHLNLTVELPVPAAADCRLRFPVSPQRCSTSYAATDDAEFRLSEFEKLRVLGHGNGGTVYEVRHRLTAAVYALKVVHTNTADASLRRQVYREVDILRRAAGSDRVVRFHGMAPTPSGDVALLLEHMDGGSLDALLRRRGYRPFPEPALAAVARQALLGLADLHSRQIVHRDIKPANLLINATGEVKIADFGVGKVLRRSLDPCDSYVGTCAYMSPERFDSESYGGDYDPYAADVWSLGLAVLELHRGHFPLLPKGARLDWAALMVVICFGEAARAVPEGAASSEFRGFLGCCLQKESRKRWSVAELLGHPFVAADYRAESEKALQDLLHEEMDES >Ma09_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9645805:9647342:1 gene:Ma09_g14150 transcript:Ma09_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQRRVHALAVPFPASGHMLPLLDLAHLLSARYGFAVTIVVTPKNLPHLSPLLARCPTVAPLVLPFPDNTNLPPGAASTTRSSAGPAPGLIPPPRSSLTSSAAGRTASRPSWESPASSSAPPARSASPLATRCGAGCQTYRILTTPTSSSPSPPSQDPPLYPWRHLSAIYRRYEEGDPLSEFIKASFLANIGSWGFVFNTFAEIEKPYLEHLRDDLGHARVWGVGPLAPPGGGGERGGPSLVGAEEVAAWLGNCAEGSVVYVAFGSLAVLSPAQAVALAAGLEQSGARFVWATRGVVPLPEGFEERVAGRGLVIQGWAPQVAILNHPAVGSFVTHCGWNSVLEAVAAGVALLTWPMGADQFSNARLLEEEVGTGVKACKGGDAVPDPDELARVVAESVGEAGRARREKARELARRAAAAVEVGGSSYMELSEVAAAKQIKHSR >Ma07_p26730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33399995:33404451:1 gene:Ma07_g26730 transcript:Ma07_t26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQRAFLSRVSSSSLGLFRESRNWARRERGEVEEEEEIDGGGRDGALEVPGEEEEEGGRWERMPPELIGEIVQRVEAGGERWPLRKDVVSCACLCRRWREVTRGIVRPPLETGKITFPSSLKEPGPADIPIQCFIKRNKKNSTFYLYLGLTQTFMDKGKFLLAARRFRHGARTEYIISLDVDGLSQGSNAYVGKLRSNFLGTNFRIYDSQPPHSGAKASSSRASRRFASKQISPQVPAGNFEVGQVSYKFNLLKSRGPRRMHCTLECPVGNSEEDSPKPKAHSGGVPLVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADASQPPGVGDEETVLLQFGKFGDDLFTMDYRQPLSAFQAFAICLTSFGTKFACE >Ma01_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15134158:15139357:-1 gene:Ma01_g19470 transcript:Ma01_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIIDGKAIAQTIRNEIADEVRSLSQNYNKVPGLAVVIVGTRKDSLSYVSMKRKACSEVGIRSFDIDLPEQISEAEVIAKVHELNDNPDVHGILVQLPLPKHINEENVLSEISIEKDVDGFHPLNIGKLAMKGREPLFQPCTPKGCLELLTRSGISLKGKRAVVVGRSNIVGLPVSLLLLKADATVTIVHSRTHNSESIIREADIVIAAAGQAKMIKGDWIKPGAAVIDVGTNAIDDPSRKSGYRLVGDVDFEEASKVAGCLTPVPGGVGPMTVAMLLRNTLDGAKRKIVQ >Ma03_p23590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28129233:28139289:-1 gene:Ma03_g23590 transcript:Ma03_t23590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSRVIHIRNVGHEISENDLLQLVQPFGVVTKLVMLRAKNQALLQMHDLSSSINVLQYYTNVQPSVRGRNVYIQFSSHQELTTADQNSQGRKGDQDSQPNRILLVTIHHMLYPITVEVLHQVFSPYGFVEKIVTFQKSAGFQSLIQYQSQQSAMQARSSLQGRNIYDGCCQLDIQFSNLSELQVNYNNERSRDFTNPSLPTEQRGRTSQHGYGDTGSLYALQSSGARVAFPQMGNAAAIAAAFGGGLPPGVTGTNDRCTILVTNLNPDKIDEDKLFNLFSVYGNIVRIKLLRNKPDHALVQMADGFQAELAVHFLKGALLFGNRLELNFSKYPNITPAPDTHEYAGSSLNRFNNNAAKNYKYCCSPTKMIHLSSLPQDITEDEILTHLEEHGTIMNTKLFEVNGKRQALVMFENEEQATEALVCKHASTIDRSTIRISFSQLQSI >Ma03_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28129233:28139289:-1 gene:Ma03_g23590 transcript:Ma03_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSRVIHIRNVGHEISENDLLQLVQPFGVVTKLVMLRAKNQALLQMHDLSSSINVLQYYTNVQPSVRGRNVYIQFSSHQELTTADQNSQGRKGDQDSQPNRILLVTIHHMLYPITVEVLHQVFSPYGFVEKIVTFQKSAGFQSLIQYQSQQSAMQARSSLQGRNIYDGCCQLDIQFSNLSELQVNYNNERSRDFTNPSLPTEQRGRTSQHGYGDTGSLYALQSSGARVAFPQMGNAAAIAAAFGGGLPPGVTGTNDRCTILVTNLNPDKIDEDKLFNLFSVYGNIVRIKLLRNKPDHALVQMADGFQAELAVHFLKGALLFGNRLELNFSKYPNITPAPDTHEYAGSSLNRFNNNAAKNYKYCCSPTKMIHLSSLPQDITEDEILTHLEEHGTIMNTKLFEVNGKRQALVMFENEEQATEALVCKHASTIDRSTIRISFSQLQSI >Ma03_p23590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28129233:28139289:-1 gene:Ma03_g23590 transcript:Ma03_t23590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSRVIHIRNVGHEISENDLLQLVQPFGVVTKLVMLRAKNQALLQMHDLSSSINVLQYYTNVQPSVRGRNVYIQFSSHQELTTADQNSQGRKGDQDSQPNRILLVTIHHMLYPITVEVLHQVFSPYGFVEKIVTFQKSAGFQSLIQYQSQQSAMQARSSLQGRNIYDGCCQLDIQFSNLSELQVNYNNERSRDFTNPSLPTEQRGRTSQHGYGDTGSLYALQSSGARVAFPQMGNAAAIAAAFGGGLPPGVTGTNDRCTILVTNLNPDKIDEDKLFNLFSVYGNIVRIKLLRNKPDHALVQMADGFQAELAVHFLKGALLFGNRLELNFSKYPNITPAPDTHEYAGSSLNRFNNNAAKNYKYCCSPTKMIHLSSLPQDITEDEILTHLEEHGTIMNTKLFEVNGKRQALVMFENEEQATEALVCKHASTIDRSTIRISFSQLQSI >Ma10_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23012827:23016209:1 gene:Ma10_g08700 transcript:Ma10_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLYVKTVPPPDLNKNTEWFMYPGVWTTYILILFFCWLLVLSVVGCTPGMAWTAVNLLHFAVTYYFFHWKKGTPFAEDQGIYNNLTWWEQMDDGRQLTRNRKFLIVVPVVLYLIASHTTDYRHPMLFLNTLAVAILVIAKFPNMHKVRIFGING >Ma03_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29126681:29127941:-1 gene:Ma03_g24930 transcript:Ma03_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWGHAFGPLRKSSWFISGSFPVRSAEEIFLMVPWGHAFGPLRKSLSGNRLALFRLPHLPEKPRRPPVPASLLGQHHDEAY >Ma08_p28740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40533698:40538246:-1 gene:Ma08_g28740 transcript:Ma08_t28740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVSARANPIYSSHNLRRSRLPRPMASRALEMSYKHPAIALRPSKSLSLVAASLQPAKTISAGQFSNTVPTEEVLNIWRSASAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTTKAMSGSIPFEEALAARLSLFNPSLSQVQDFLDKTPPRISPGIAGLIKKLMVKNIDVYLISGGFRQMINPVALQLGIPLENIFANQLLFGSSGEFIGFDAKEPTSRSGGKATAVQQIRKVHNYKALVMIGDGATDLEARKPGGADLFICYAGVQLREAVAVKSDWLIFDFEELITSL >Ma08_p28740.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40533724:40538256:-1 gene:Ma08_g28740 transcript:Ma08_t28740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNSMAGLVSARANPIYSSHNLRRSRLPRPMASRALEMSYKHPAIALRPSKSLSLVAASLQPAKTISAGQFSNTVPTEEVLNIWRSASAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTTKAMSGSIPFEEALAARLSLFNPSLSQVQDFLDKTPPRISPGIAGLIKKLMVKNIDVYLISGGFRQMINPVALQLGIPLENIFANQLLFGSSGEFIGFDAKEPTSRSGGKATAVQQIRKVHNYKALVMIGDGATDLEARKPGGADLFICYAGVQLREAVAVKSDWLIFDFEELITSL >Ma08_p28740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40533724:40538256:-1 gene:Ma08_g28740 transcript:Ma08_t28740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVSARANPIYSSHNLRRSRLPRPMASRALEMSYKHPAIALRPSKSLSLVAASLQPAKTISAGQFSNTVPTEEVLNIWRSASAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTTKAMSGSIPFEEALAARLSLFNPSLSQVQDFLDKTPPRISPGIAGLIKKLMVKNIDVYLISGGFRQMINPVALQLGIPLENIFANQLLFGSSGEFIGFDAKEPTSRSGGKATAVQQIRKVHNYKALVMIGDGATDLEARKPGGADLFICYAGVQLREAVAVKSDWLIFDFEELITSL >Ma08_p28740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40533724:40538250:-1 gene:Ma08_g28740 transcript:Ma08_t28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVSARANPIYSSHNLRRSRLPRPMASRALEMSYKHPAIALRPSKSLSLVAASLQPAKTISAGQFSNTVPTEEVLNIWRSASAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTTKAMSGSIPFEEALAARLSLFNPSLSQVQDFLDKTPPRISPGIAGLIKKLMVKNIDVYLISGGFRQMINPVALQLGIPLENIFANQLLFGSSGEFIGFDAKEPTSRSGGKATAVQQIRKVHNYKALVMIGDGATDLEARKPGGADLFICYAGVQLREAVAVKSDWLIFDFEELITSL >Ma06_p31850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32869729:32870316:-1 gene:Ma06_g31850 transcript:Ma06_t31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGDDWLAADKLEHLLACFAIAILVAALAGRSRHAFLRRRSVALGSIAALVAGAGKEAGDEIGLWHSAGASSKDAAADLLGVLLAAVFLSISRRFWPSSRREIEVAAEDSIV >Ma03_p28530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31656489:31681443:-1 gene:Ma03_g28530 transcript:Ma03_t28530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCRRFLPKLAKGMYMIKHQESTLGRGFLVTSLLHHLSKTTDTHCIKVDYVPRCSIASETHDGSFSQTDILSFVKSAFHKLEGPNHYWLNDIGGNTKSNQDGIFLVLVFSITKDTDIVGYNERVTMFHRVKLLQQRYPELNVLALCCGSIDLASNHAWITEAIMEEYVTFPILVLKKDFMKIMDHVGFLLCKGSTDHVVHFNLDINHRLIVKAIEECSPFKKETALAMQNTDDKTRHMEIVKEPYFNSLRNLILCYPGSVSVDEDGNHIFISDSNHHRIIISDGAGKILDCIGCSPGFEDGEFESAKLFRPAGSFYHPDENCLFFVDSENHAIRRADMKKRVLETIYPACVRKSSSIWSWILDKLGLETKVVSQPGELDVDLIKFPWYLMKTRDNDLLTIDRSFETLWVVSMETGEIKRIVRGVSNIMEMCGDMIMEKVTLLKDIYQNMPSKRLHHCLSFEGIPFAGLFSSVASFQNDVILCDAASQRVLKYHRESRGISFLHFSNLGVLGLPYWMVCPLERVVNSGNAGSFSSEQLHHFDVLPGRCDIQFSVDIPHGTELVAPVDRSCIWCQARGSAAELSGLQGAVAGAKKVGVAQQWFDELDNLAFSKMEDEPCSEDGEELPEEHFQVKDKIHFDCSLTISPGTAEVVVSAVVYLKPKKTQGSTEEWSLRATTLLDSNKHEVRKMEEDASIRLLSKTFEDVEDMIFMKPLHIRLRFECADHPAGETTKETICTDSTIKVPISLN >Ma03_p28530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31656489:31676082:-1 gene:Ma03_g28530 transcript:Ma03_t28530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVTFPILVLKKDFMKIMDHVGFLLCKGSTDHVVHFNLDINHRLIVKAIEECSPFKKETALAMQNTDDKTRHMEIVKEPYFNSLRNLILCYPGSVSVDEDGNHIFISDSNHHRIIISDGAGKILDCIGCSPGFEDGEFESAKLFRPAGSFYHPDENCLFFVDSENHAIRRADMKKRVLETIYPACVRKSSSIWSWILDKLGLETKVVSQPGELDVDLIKFPWYLMKTRDNDLLTIDRSFETLWVVSMETGEIKRIVRGVSNIMEMCGDMIMEKVTLLKDIYQNMPSKRLHHCLSFEGIPFAGLFSSVASFQNDVILCDAASQRVLKYHRESRGISFLHFSNLGVLGLPYWMVCPLERVVNSGNAGSFSSEQLHHFDVLPGRCDIQFSVDIPHGTELVAPVDRSCIWCQARGSAAELSGLQGAVAGAKKVGVAQQWFDELDNLAFSKMEDEPCSEDGEELPEEHFQVKDKIHFDCSLTISPGTAEVVVSAVVYLKPKKTQGSTEEWSLRATTLLDSNKHEVRKMEEDASIRLLSKTFEDVEDMIFMKPLHIRLRFECADHPAGETTKETICTDSTIKVPISLN >Ma03_p28530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31656489:31681443:-1 gene:Ma03_g28530 transcript:Ma03_t28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCRRFLPKLAKGMYMIKHQESTLGRGFLVTSLLHHLSKTTDTHCIKVDYVPRCSIASETHDGSFSQTDILSFVKSAFHKLEGPNHYWLNDIGGNTKSNQDGIFLVLVFSITKDTDIVGYNERVTMFHRVKLLQQRYPELNVLALCCGSIDLASNHAWITEAIMEEYVTFPILVLKKDFMKIMDHVGFLLCKGSTDHVVHFNLDINHRLIVKAIEECSPFKKETALAMQNTDDKTRHMEIVKEPYFNSLRNLILCYPGSVSVDEDGNHIFISDSNHHRIIISDGAGKILDCIGCSPGFEDGEFESAKLFRPAGSFYHPDENCLFFVDSENHAIRRADMKKRVLETIYPACVRKSSSIWSWILDKLGLETKVVSQPGELDVDLIKFPWYLMKTRDNDLLTIDRSFETLWVVSMETGEIKRIVRGVSNIMEMCGDMIMEKVTLLKDIYQNMPSKRLHHCLSFEGIPFAGLFSSVASFQNDVILCDAASQRVLKYHRESRGISFLHFSNLGVLGLPYWMVCPLERVVNSGNAGSFSSEQLHHFDVLPGRCDIQFSVDIPHGTELVAPVDRSCIWCQARGSAAELSGLQGAVAGAKKVGVAQQWFDELDNLAFSKMEDEPCSEDGEELPEEHFQVKDKIHFDCSLTISPGTAEVVVSAVVYLKPKKTQGSTEEWSLRATTLLDSNKHEVRKMEEDASIRLLSKTFEDVEDMIFMKPLHIRLRFECADHPAGETTKETICTDSTIKVPISLN >Ma03_p28530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31656489:31680941:-1 gene:Ma03_g28530 transcript:Ma03_t28530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCRRFLPKLAKGMYMIKHQESTLGRGFLVTSLLHHLSKTTDTHCIKVDYVPRCSIASETHDGSFSQTDILSFVKSAFHKLEGPNHYWLNDIGGNTKSNQDGIFLVLVFSITKDTDIVGYNERVTMFHRVKLLQQRYPELNVLALCCGSIDLASNHAWITEAIMEEYVTFPILVLKKDFMKIMDHVGFLLCKGSTDHVVHFNLDINHRLIVKAIEECSPFKKETALAMQNTDDKTRHMEIVKEPYFNSLRNLILCYPGSVSVDEDGNHIFISDSNHHRIIISDGAGKILDCIGCSPGFEDGEFESAKLFRPAGSFYHPDENCLFFVDSENHAIRRADMKKRVLETIYPACVRKSSSIWSWILDKLGLETKVVSQPGELDVDLIKFPWYLMKTRDNDLLTIDRSFETLWVVSMETGEIKRIVRGVSNIMEMCGDMIMEKVTLLKDIYQNMPSKRLHHCLSFEGIPFAGLFSSVASFQNDVILCDAASQRVLKYHRESRGISFLHFSNLGVLGLPYWMVCPLERVVNSGNAGSFSSEQLHHFDVLPGRCDIQFSVDIPHGTELVAPVDRSCIWCQARGSAAELSGLQGAVAGAKKVGVAQQWFDELDNLAFSKMEDEPCSEDGEELPEEHFQVKDKIHFDCSLTISPGTAEVVVSAVVYLKPKKTQGSTEEWSLRATTLLDSNKHEVRKMEEDASIRLLSKTFEDVEDMIFMKPLHIRLRFECADHPAGETTKETICTDSTIKVPISLN >Ma08_p27830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39960066:39965307:1 gene:Ma08_g27830 transcript:Ma08_t27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLGGRRIRTPRRPAVGLRPASTAAAAAAVSSCRAVVLPRFGGPEVLEVRPAVSVPDLKPRDVLVRARAVSINPLDLRMRSGYGRSIFGPLLPLILGRDVSGEVAAAGASVSSLVVGQEVFGALHPTAVRGTYADYVVLSEDELTPKPPSVTHVEASAIPFAALTAWRALKSTAQITNGQRLLVLGGGGAVGLAAIQLAVAAGCSVSATCGSQSIERVLAVGAEQAIDYTAEDIEVAIKGQFDAVLDTIGIPETERTGINLLKKGGHYMTLQGETASLADNYGLVIGIPAATAILLKKQLQYRYSHGIEYWWTYMRADAEGLDEIRRLSGAGKLKIPVEKTFSIAEVRKAHEMKDKRIIPGKVVLEVN >Ma06_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:795847:802727:1 gene:Ma06_g00980 transcript:Ma06_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIDKIRGAAEGDGRTVFSFEFFPPKTEEGVENLFERVDRMVAHNPNFCDITWGAGGSTADLTLDIANRMQNMICVETMMHLTCTNMPVEKIDHALDTIKANGIQNVLALRGDPPHGQDKFVQVAGGFACALDLVQHIRAKYGDYFGITVAGYPEAHPDMIQGDGGATQEAYNNDLAYLKRKVDAGADLIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPPEITAALDPIKDNEDAVRAYGVHLGTEMCKKILSHGIKTLHLYTLNMEKSALAILMNLGLIEESKVSRSLPWRRPANVFRVKEDVRPIFWANRPKSYISRTVGWDQYPHGRWGDSNNPSYGALTDYQFMRPRSRDKKLQEEWATPLKSVDDINERFMKFCLGKLRSSPWSELDGLQPETKIINEQLSQVNLKGFLTINSQPPVNGEKSDSPAVGWGGPGGYVYQKAYLEFFCSKDKLISITENCKALPSLTYIAVNKEGEYVSNVVLNAVNAVTWGVFPGKEIIQPTVVDPASFMIWKDEAFEIWTRGWARLFPEGDPSRELLAQVQKSCFLVSLVDNDYIHGDIFAAFKGA >Ma07_p28590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34587934:34591088:-1 gene:Ma07_g28590 transcript:Ma07_t28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNSSWLGFSLSTHMNMEVSSEPHHHQNHESHHHQTQPPTAGVSSAAPCSLFLSPQLSSTGICYGGEGENGGGLYSQLSVMPLKSDGSLCIMEALSRSQQQGIVASPPPKLEDFLGGGPNMGSHGHCGNYDREAMALSLDSMYYYQNSETEGDATHSLDALHGQQMQVQRQHQHQLFLHPLQEGMCSGLAASHEMYQVPMEVGAMVEDGIPSLKNWVARNYTACNIGLGEEGGIGPGSIEAVGFGELRSLSLSMSPGSQSSCVTAPAQISSTTERMAFDTTKKMRSGKECQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDTEEKAARAYDLAALKYWGPSTHINFPLESYQDEVEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVEKIIASSTLLPGELARKNKAIEAGKDASSGRNPGRDPAEEDNGAGAGAGWKIVLYQSTQQHTPPSSEPRNHEPMMIGGDYRSPMTYSAAHHGLMGVEAGTSVHGVDDSEKLSNAHLSNQSSLVTSLGSSREGSPDRTGLSMMYVNASPKFNPTPLVSSMPNMQLRPSASITQMPVFDAWNDV >Ma08_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34254245:34254454:-1 gene:Ma08_g20270 transcript:Ma08_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAFPSFSLSLSNVDRSVKTTAVRKMRIDHGAS >Ma11_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4017304:4019801:-1 gene:Ma11_g05240 transcript:Ma11_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTIRLLIAVAGGECLTFDQLALRAPIGQNTFNVIYRYSLLRGPKNAREALKHFGKAPGVPQDFGFK >Ma07_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2917971:2921330:1 gene:Ma07_g03820 transcript:Ma07_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDPAPPPSPPASATAASYLLSSPSTKQDDLQPVAAKVAELNESQSELLERLQGLKQDLQNWRSKLDTQVKTYKDELSELKSRLNTDLDQLRSDFKDLKTTLQKQQEEVTASLRNLGLNDAPETHAETECNGKDGTGKVRDSSMDNTKEIKLSDDSVDESSQKENKD >Ma08_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:542801:544025:-1 gene:Ma08_g00560 transcript:Ma08_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAQFGGGSCCGVVKLDLSTSLAFSTSFQSKVSFALSSRSFCRKREGICGKRRALKVCCERRVSAVVEKDAVLRQGADSNVEQLSIVMKFGGSSVASAERMKEVADLILSFPEEQPAIVLSAMGKTTNNLLLAGTKAVCCGVSNVSELHELSFVKELHLKTIDELGLESSIIS >Ma07_p08700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6463787:6481252:-1 gene:Ma07_g08700 transcript:Ma07_t08700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTASANMVAYKVVFFALGCFMLATLIYTTVTDGSPFRIELLTPWMVATLIDFYVNVVAIVAWVAYKEPTWMKAFFWVILLVCFGSLATCAYITLKLFNITSQHLQDPVSQLLLRKDHEHNVKCSSIMIGRVLFSTLGIVVLVVVVYTVITDGLPFRTELLTPWMAATLIDFYINVFAISVWVVHKESTWISAFFWICLLICFGSITTCAYIVIQLLRLSLEDPMYHVLLDSRSKHGSTSAK >Ma07_p08700.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6463247:6481252:-1 gene:Ma07_g08700 transcript:Ma07_t08700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTASANMVAYKVVFFALGCFMLATLIYTTVTDGSPFRIELLTPLATCAYITLKLFNITSQHLQDPVSQLLLRKDHEHNVKCSSIMIGRVLFSTLGIVVLVVVVYTVITDGLPFRTELLTPWMAATLIDFYINVFAISVWVVHKESTWISAFFWICLLICFGSITTCAYIVIQLLRLSLEDPMYHVLLDSRSKHGSTSAKKD >Ma07_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6463286:6481252:-1 gene:Ma07_g08700 transcript:Ma07_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASANMVAYKVVFFALGCFMLATLIYTTVTDGSPFRIELLTPWMVATLIDFYVNVVAIVAWVAYKEPTWMKAFFWVILLVCFGSLATCAYITLKLFNITSQHLQDPVSQLLLRKDHEHNVKCSSIMIGRVLFSTLGIVVLVVVVYTVITDGLPFRTELLTPWMAATLIDFYINVFAISVWVVHKESTWISAFFWICLLICFGSITTCAYIVIQLLRLSLEDPMYHVLLDSRSKHGSTSAK >Ma07_p08700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6463247:6481252:-1 gene:Ma07_g08700 transcript:Ma07_t08700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTASANMVAYKVVFFALGCFMLATLIYTTVTDGSPFRIELLTPWMVATLIDFYVNVVAIVAWVAYKEPTWMKAFFWVILLVCFGSLATCAYITLKLFNITSQHLQDPVSQLLLRKDHEHNVKCSSIMIGRVLFSTLGIVVLVVVVYTVITDGLPFRTELLTPWMAATLIDFYINVFAISVWVVHKESTWISAFFWICLLICFGSITTCAYIVIQLLRLSLEDPMYHVLLDSRSKHGSTSAKKD >Ma07_p08700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6463247:6481252:-1 gene:Ma07_g08700 transcript:Ma07_t08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASANMVAYKVVFFALGCFMLATLIYTTVTDGSPFRIELLTPWMVATLIDFYVNVVAIVAWVAYKEPTWMKAFFWVILLVCFGSLATCAYITLKLFNITSQHLQDPVSQLLLRKDHEHNVKCSSIMIGRVLFSTLGIVVLVVVVYTVITDGLPFRTELLTPWMAATLIDFYINVFAISVWVVHKESTWISAFFWICLLICFGSITTCAYIVIQLLRLSLEDPMYHVLLDSRSKHGSTSAKKD >Ma06_p34150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34532843:34538762:-1 gene:Ma06_g34150 transcript:Ma06_t34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAIPRRTHKERAQPRERKKFGLLEKHKDYVLRARAFHQKEDTLRRLRDKAASKNPDEFYFKMVNTRMVDGIHRPKSEANKYTPEQLMLMKTQDIGYVLQKVQSEKKKIERLNSALHTLDHQPENKHVYYAEDREEAKEIQSRQFEKRDSLASVKVPGRIKKKIAASYRELEARQKRVQDLEKLYSDMALQKELQKSGRKRKLREDEIVQPTTRPVYKWRAERKR >Ma09_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7860061:7862869:-1 gene:Ma09_g11620 transcript:Ma09_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWNYFRILAQLLFLLSLYNARHTVSWERCPTDSNFTTNSTYHSNLNLLLPSLTSATVSSGYANRSAGSSPDQVFGLALCQLDVSQDKCQACLATAVDTLHSRCPSAKDAATWGDHCFLAYSNTTFSNDSDKSSFHYILYNGGEVSETSRFVDLVGELMNALINWASYKTDSMFAIGEANFTSATNLYGLVQCTRDQSDDDCFLCLRQSLASMQSCCLKNQGGVVLKYRCYLRYETYSYYNMSVATSPLPPLSSAFSSAPPPGATANPPPPPAVVDSNSSSSSARDAGKKQNSKIVVAVVIPILGAVMLVAALLIFLWRTKIFARKSNVGGAKSQKANSLLFDLETLKVATNNFSDANKLGEGGFGPVYKGVLCGGQEIAVKRLARSSQQGFAELRNEVAFIAKLQHRNLVRLIGFCSEEEKLLVYEFLPNTSLDKILFDPTKCGQLNWERRYKIIEGIARGLLYLHEDSRLRIIHRDLKPGNILLDQHMNPKISDFGLSKLLVDQDRSKESASRIVGTNGYIAPEYALHRHFSDKSDVYSYGVLVLEIITGRRISEFRGSGHRANLQSYAWKYWNKGKALQIVDQNLCGRFQREEALRCIRTALLCVQENPSKRPTMASVVLMLSSSSMTTPSPSAPGFLIERSGTTDSNESTGNRSPEIKNEREGSSTSINGVSITVLEPRQ >Ma06_p38850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37478686:37479535:-1 gene:Ma06_g38850 transcript:Ma06_t38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKKDDQIATCQEAANGRSEFKPQLTKHGSEITESEDKRAVHEQSMVPKKSLLKVIEFDLERILEEQDTHDLCCPNCNSCITKRVILQKRKWSVREIQHDMPSKKVNEEQQYDADEMTNLADAPEPDVFRCLSCFSFFIPTEGGFNIFRIFEKGKESHVKRKEIQLDFVYF >Ma10_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17743170:17747292:1 gene:Ma10_g06220 transcript:Ma10_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLRIHLLPLLLVVLASISSSLAEIKNLKISSDSRATILFEKFGFTPRGEVTIAVSDVSVSSALGTPDPALLGFFFLSDESLIQAAYDSQHDHRSNPNPNPGPNLGCVLHSTYVRLLFTFAELSPGSSVALNRSFPVSLPDEYSLFFANCAPETAVSMSVFTEMYNARPDGSRDFLSVGQSPVPSLYTFFAAAYIAFFAVWIYLTLFKNRLAAHRIHHLMAGLLLTKALNLVFAAEDQHYIRQTGTPHGWDVLFYLFQFLKGVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQVIANIASVVIGETGPFIRDWVTWNQVFLLIDVICCCAILFPIIWSIRSLRETSKTDGKAARNLAKLSLFRQFYIVVIGYLYFTRIVVYALKTIASYKYRWVSVAAEETASLAFYIFMFYMFKPVEKNQYFVLDDEEEEAAEMVLREEEFEL >Ma10_p06220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17743170:17747292:1 gene:Ma10_g06220 transcript:Ma10_t06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLRIHLLPLLLVVLASISSSLAEIKNLKISSDSRATILFEKFGFTPRGEVTIAVSDVSVSSALGTPDPALLGFFFLSDESLIQAAYDSQHDHRSNPNPNPGPNLGCVLHSTYVRLLFTFAELSPGSSVALNRSFPVSLPDEYSLFFANCAPETAVSMSVFTEMYNARPDGSRDFLSVGQSPVPSLYTFFAAAYIAFFAVWIYLTLFKNRLAAHRIHHLMAGLLLTKALNLVFAAEDQHYIRQTGTPHGWDVLFYLFQFLKGVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQVIANIASVVIGETGPFIRDWVTWNQVFLLIDVICCCAILFPIIWSIRSLRETSKTDGKAARNLAKLSLFRQFYIVVIGYLYFTRIVVYALKTIASYKYRWVSVAAEETASLAFYIFMFYMFKPVEKNQYFVLDDEEEEAAEMVLREEEFEL >Ma03_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19123149:19127220:-1 gene:Ma03_g16540 transcript:Ma03_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEALVAAHELALDSGHAQITPLHLASALAADPNGLLRQALVNASGGAGDAAAQSFDRTVGVALKKLPSQSPPPDEVPAATSLIKVIRRAQSSQKSRGDSHLAVDQLILGLLEDPQIAECLKEAGVSAARVRAEIEKLRGKEGKKVESASGDTNFQALKTYGRDLVEVAGKLDPVIGRDEEIRRVIRVLSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLVALDMGALIAGAKYRGEFEERLKAVLKEVEEADGKVILFIDEIHLVLGAGRTEGSMDAANLLKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSIADTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLIEVRKELDDLRDKLQPLMMKYRKEKEGIDEIRRLKQRREELMFTLKEAERRMDLARVADLKYGALQEIDASIATLEGGTNENLMLTETVGPEHIAEVVSRWTGIPVTRLGQNEKDRLIGLAERLHKRVVGQNQAVDAVADAVLRSRAGLGRPLQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLIRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFTNTVIIMTSNLGAEHLLAGMAGESSMKIAREQVLLEVRRHFRPEMLNRLDEIVVFDPLSHDQLRKVARLQMKDVALRLAQRGIALAVTDAALDIVLSESYDPVYGARPIRRWLEKKVVTHLSRMLIQGEIDENTTVYIDAALGRNELSYRVERNGGLVDAATGRKSDILIEIANGAAKTDAAQAVKKLKVLCNETDNDVDEELE >Ma03_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17555544:17558142:1 gene:Ma03_g15980 transcript:Ma03_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRPCDWAGAQLKRGGETEMLRFQRTRHRLLLLSFLVFLADTVVAVGLVVSAQAAKDCERACGSYAAPYPFGFSDGCPIHLGCSNLPKPTIRIGEFTVRNITDDGLLVDVPPACNRSVHAARSLFGNNYALSRRNGLFLRNCTPTAGKSLTERCSVDTILIDGSCGPVYDNATCLYNATKEGFFNADSIAASGCGFLFTTIGINDPGGVSVPSLDLSTAELAWWLEGTCRCAADANCTTVTSPVTHRPGFRCGCSEGFQGDGFIDGTGCRRVSDPNQKCNPTKYMTGGCGGTTSRYGFLVGGIIAGASAMAALAAVCWWIKRHSSLTRKRKSMRRLLSEASCAVPLYSYKDMEKATDGFSADHILGTGAFGTVYAGMFSHDRLVAVKKIKNLNNDSIEQVMNEIRLLSSVSHPNLVRLLGCCVEQREQILVYEYMPHGTLAQHLQRVRGPVLPWTVRLTIAAETAKAIAYLHSAVHPPIYHRDIKSSNILLDHRFNPKVADFGLSRMGMTEMSHISTAPQGTPGYLDPQYHQNFQLSDKSDVYSFGVVLTEIITALKAVDFSRAQSEVYLAALAVDRIGKGCIDDIIDPHLAPHRDPRTLASIHKVAELAFRCLAFHRDMRPSMTEVADELEQIRLSGWVPTDGSMFLSKSSSSCSSPSSCNEKPRTTSKSRRLASVSSRGEEVKGGSPVSVQEPWFSDRSSLSENNLLGNAIH >Ma04_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7463082:7463434:-1 gene:Ma04_g10480 transcript:Ma04_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIVAPINIGDAIYFVAKGKLVMKRNIFYSYILINKQQKKI >Ma02_p19570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25797868:25806300:-1 gene:Ma02_g19570 transcript:Ma02_t19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPFPPLLRLRSSLLPSTPLLALLSLSSLRCRTTKRRLASLHFLPSPPRTVRSLATVTAPPVVVAEDDKYGRKQVISVTPQLYGYILSNVREPPILRELREETATMPGSQMQDFLDLAEHKVSPDQAQLLAMLVQILGAQRCIEVGIYTGYSSLAIALVLPESGRLVACERDVKCLEIAKKYYERAGVSHKVDIKHGLAVESLRSLIQNGESCSFDFAFVDAEKRMYSEYFELLLQLVRIGGLIVIDNVLWHGKVADPQVNDSKTISLRNFNRKILEDKRISTSMVPIGDGMTICQKRADI >Ma02_p19570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25797868:25806321:-1 gene:Ma02_g19570 transcript:Ma02_t19570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPFPPLLRLRSSLLPSTPLLALLSLSSLRCRTTKRRLASLHFLPSPPRTVRSLATVTAPPVVVAEDDKYGRKQVISVTPQLYGYILSNVREPPILRELREETATMPGSQMQVSPDQAQLLAMLVQILGAQRCIEVGIYTGYSSLAIALVLPESGRLVACERDVKCLEIAKKYYERAGVSHKVDIKHGLAVESLRSLIQNGESCSFDFAFVDAEKRMYSEYFELLLQLVRIGGLIVIDNVLWHGKVADPQVNDSKTISLRNFNRKILEDKRISTSMVPIGDGMTICQKRADI >Ma02_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25797868:25806300:-1 gene:Ma02_g19570 transcript:Ma02_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPFPPLLRLRSSLLPSTPLLALLSLSSLRCRTTKRRLASLHFLPSPPRTVRSLATVTAPPVVVAEDDKYGRKQVISVTPQLYGYILSNVREPPILRELREETATMPGSQMQGYSSLAIALVLPESGRLVACERDVKCLEIAKKYYERAGVSHKVDIKHGLAVESLRSLIQNGESCSFDFAFVDAEKRMYSEYFELLLQLVRIGGLIVIDNVLWHGKVADPQVNDSKTISLRNFNRKILEDKRISTSMVPIGDGMTICQKRADI >Ma06_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:851106:855958:1 gene:Ma06_g01040 transcript:Ma06_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRMLWLLVPVLFLCGTCWGRFVVEKNSLKVTSPNSLKGIYESAIGNFGVPQYGGTMVGIVAYPKVNWKACHNFKDFDISYKSKPGGFPTFLLVDRGDCYFITKAWNAQNSGAAAVLVADNVAEPLITMNTPEEENSKADYLQNITIPSALISKSFGDRLKKAIENGDMVSVNLDWKESLPHPDNRVEYEFWTNCNDECGPKCDSQIQFFKNFKGAAQILEKKSYTKFTPHYITWYCAEIFLSSKRCKSQCINHGRYCAPDPEQDFSKGYDGKDVVMQNLRQVCLFKVASESGKPWLWWDYVTDFAIRCPMKEKKYTKGCAEEVIKALGVDLKKINKCMGDPDADEENPVLKAEQDAQIGKDSRGDVTILPTLVINNRQYRGNLDRTAVLKAICAGFKETTEPAVCLSDDIQTNECLENNGGCWQDKASNITACKDTFRGRLCECPVVEGVKFVGDGYTHCKAKKPSTEGGWSFLWVFFILTIAGVGAYCLYKYRFRSYMDSEIRAIMAQYMPLENPEAQSHIRNDQS >Ma09_p08700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5768351:5770706:1 gene:Ma09_g08700 transcript:Ma09_t08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSISVTRRFLFYVLFASLFLLLFTCSDLLRPRSRPLVPQTVLMFVLPNKTSDDSFRARDRTKFPHSDPFPFSSSIVVNDRRGRSEKDIREASSKGCDLDRSLLKHSVEYWLTLDLLASNVPIVARPCTVVRVTNSSSADVFFVPFFSSLSYNRHSKPQGKGKASKNRILQDELVRYLMGREEWHRGGGKDHVIVAHHPNSMLQARRKLGSAMFLLADFGRYPSKIANLKKDIIAPYRHVVRSVGNDSATFDERPILAYFQGAINRKDGGKIRRKLYYLLKDEKDVHFSYGSVRENGIRSSGQGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPIVISDDIELPFEDILDYSDFCIFVRPSDAVKKGFLLDLLRGIKRTEWTKMWERLKQIAHHFEYQYPSKQGDSVQMIWEEVARKIPYVRLSLNKEKRLHRSQFVKQSK >Ma09_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5768351:5770706:1 gene:Ma09_g08700 transcript:Ma09_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSISVTRRFLFYVLFASLFLLLFTCSDLLRPRSRPLVPQTVLMFVLPNKTSDDSFRARDRTKFPHSDPFPFSSSIVVNDRRGRSEKDIREASSKGCDLDRSLLKVYMYDLPPEFHFGSLGWKGKTDQTWPDIAEMDRIPTYPGGLNLQHSVEYWLTLDLLASNVPIVARPCTVVRVTNSSSADVFFVPFFSSLSYNRHSKPQGKGKASKNRILQDELVRYLMGREEWHRGGGKDHVIVAHHPNSMLQARRKLGSAMFLLADFGRYPSKIANLKKDIIAPYRHVVRSVGNDSATFDERPILAYFQGAINRKDGGKIRRKLYYLLKDEKDVHFSYGSVRENGIRSSGQGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPIVISDDIELPFEDILDYSDFCIFVRPSDAVKKGFLLDLLRGIKRTEWTKMWERLKQIAHHFEYQYPSKQGDSVQMIWEEVARKIPYVRLSLNKEKRLHRSQFVKQSK >Ma08_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5709210:5714002:1 gene:Ma08_g08240 transcript:Ma08_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDISGRPKPLLLLLVAISRRSVSGLSDDHPGSKDAVIADANPSNSSSNTRREVLFICLGVVGIALLFVFLLKLWEKKKREEQHARLLRLFEEDDELELELGLRD >Ma02_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25229612:25233678:1 gene:Ma02_g18850 transcript:Ma02_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITEGVNNVHITAADAHKSNRIQVSNTKKPLFFYVNLAKRYMQQHDEVELSALGMAIATVVTIAEILKNNGLAIEKKITTSTVDVKDESRGRPIQKAKIEILLGKTEKFEELMAAAAEERDVGNGEEQN >Ma02_p20420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26413204:26419090:1 gene:Ma02_g20420 transcript:Ma02_t20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHGCAKNDKSSSRYRWAPLDVQSHVPNKISHQKDASRARAKGNARSEAKSPIQQARTSEFISAVAGIWDYVADPAVFYSDESLKYHNIHQKENIICYVDRQRNHKPATAKSESFCYGPKSLSSSSSAVRSNFEELKWIKKQLLLPACNRYVGHSFISKHVWLSGFHPHVGNDKMSWSMTPTVKAKLYEKINENSALEDLKDVTGHSSIGKGKKTPVQISASQDKGNYVISECNDTSSDHSLNTVEKDLHIQGSHCSTYSLMPMTIRKEAVVGLRNYDSNLHLGYNFDFLTSTDCTCGQCQQAIRVVSSSLTEVSEVLSNPSDHNIHRNDKSFPRELLCEQQYTLNDWITVQDKLKKVFAKNRHAIAGALAGIMVSLCLHPVDTVKTIIQADGMVQKSAYCTLKRIISEKGLSGLYRGIAANIASSAPISAIYTFTYESVKGTLLPILPKEYHSFAHCIAGGCSSIATSFVFTPSERIKQQMQVGSQYQNCWNAFVGCLEKGGLPSLYAGWRAVLCRNIPHSIIKFYTYESLKQLSSKPEGGLSTSQTLLCGGLAGSTAALFTTPFDVVKTKLQTQAPGTLRKYNGVGHALQEIARQEGLQGLYRGLTPRLAMYVSQGAIFFASYEFLKAVFALEAPQLPAQVIHDKQRADNST >Ma02_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26413204:26419090:1 gene:Ma02_g20420 transcript:Ma02_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHGCAKNDKSSSRYRWAPLDVQSHVPNKISHQKDASRARAKGNARSEAKSPIQQARTSEFISAVAGIWDYVADPAVFYSDESLKYHNIHQKENIICYVDRQRNHKPATAKSESFCYGPKSLSSSSSAVRSNFEELKWIKKQLLLPACNRYVGHSFISKHVWLSGFHPHVGNDKMSWSMTPTVKAKLYEKINENSALEDLKDVTGHSSIGKGKKTPVQISASQDKGNYVISECNDTSSDHSLNTVEKDLHIQGSHCSTYSLMPMTIRKEAVVGLRNYDSNLHLGYNFDFLTSTDCTCGQCQQAIRVVSSSLTEVSEVLSNPSDHNIHRNDKSFPRELLCEQQYTLNDWITVQDKLKKADGMVQKSAYCTLKRIISEKGLSGLYRGIAANIASSAPISAIYTFTYESVKGTLLPILPKEYHSFAHCIAGGCSSIATSFVFTPSERIKQQMQVGSQYQNCWNAFVGCLEKGGLPSLYAGWRAVLCRNIPHSIIKFYTYESLKQLSSKPEGGLSTSQTLLCGGLAGSTAALFTTPFDVVKTKLQTQAPGTLRKYNGVGHALQEIARQEGLQGLYRGLTPRLAMYVSQGAIFFASYEFLKAVFALEAPQLPAQVIHDKQRADNST >Ma11_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2108757:2112285:-1 gene:Ma11_g02840 transcript:Ma11_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWNGGFLNNELSKKTSVFGLRLWVVIGICVGAAIVLVLFLISLCLTSRRRSPSSSPRKKPQKSLKLHLQDSTAPVTKDIPEIHHHHHQSASAAAAAAPVSVADVRIDVGKADHRVVLADHHHNSHPRPPAAPPSASTTGTVSGETIGTPSSMGGGGGPPEVSHLGWGHWYTLRELEEATGGLAEENVIGEGGYGIVYRGVLADNTIVAVKNLLNNRGQAEKEFKVEVETIGRVRHKNLVRLLGYCVEGEYRMLVYEYVDNGNLEQWLHGGVGEVSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKASNILLDHHWNSKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDIYSFGVLIMEIISGRAPVDYTRPPGEVNLVDWLKNMVGERKSEQVVDPRIPEKPSPKALKRALLVALRCVDPDAHKRPKMGHVIHMLEMDDLLIRDEHKLGRESSSTRSSERYHHREEGSLSKRDDRTRWQ >Ma04_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26681744:26682677:-1 gene:Ma04_g24740 transcript:Ma04_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLKSLVGLQLVTLFLSVSLVFPAAKGAEMEMKPSSEHPVAVPPVPPPMIAPAAPPIFTIAAEGVIYCRCKLPRYSKAVDGAPLPGAVVLLKCGSQRTVWAAKGRTDTHGYFYLQTQLKYKSLSRTCRVFVLWSPVGPCQVPRRYGLKGPGASLMFERKLSDGYNTIALYTAGFFEFGPVKSSKCYLPY >Ma04_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9780630:9783683:1 gene:Ma04_g12930 transcript:Ma04_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGALNPSLCFHLLSSSIPCRRKQVFPYHLPPSSPLPLPLFFCNLRHELLQSTNMSSKWVITTTHAALLCSILLLLGPALGLNQDGALLLRFKYSVLSDPLAALRDWNYDDATPCSWNGVVCTGFPDGGAPTLAASRVIGLVLPNSQLLGCVPPELGFIEHLRHLDLSGNDLNGTLPASIFNASELRVLSLAANEISGGLPQLDGRTSSLLVLNLSDNALAGAVPTGLALLPNLTVLALTNNYLSDELPSGGFSRLEYLDLSSNLINGSLPLDLGGQRLRYLNLSYNRIAGSIPPEFVSKIPASAVVDLAFNNLTGGIPRSGALASQKPAAFAGNPGLCGKPLKNLCTIPSNLSGPPNTSTEAPLASKSPPAFAAMPKEANEISPASSGQQQGGLRPAVITTIVVGDVAGIGLLCLVLWYVYQVKKRNRVQQQMNGVGAIGMKEEQPPAPPEPKGLGGLPCCLRKKGGEEDTEETSASSVSSETEAEEEWRLQKRGTEGGGGITPPQQKQQAPTFVTVDSGSELELETLLKASAYVLGASGSSIVYKAVLADGTALAVRRIGESGTLHKMKDFEVLVRSIAKFRHPNLVRLRGFYWAADEKLLIHDYVPNGSLANISFTKKHGSSPFHLSWESRLRIARGVARGLAYLHEKKSMHGNIKPSNILLEADMEPKIGDFGLERLTSAGGGYRLSTSARLFGSKRSVQSQRSLPDLSPPPVAGASPCGSSSASALVSAAPYQAPESMKNLKPSSKWDVYSFGVLLLELLAGRALSEVELGQCNAGFVVEERNRVVRMADPALRGEVEEQQEALLSCFKLGFACCAAAPQRRPSMKDAIQVLEKLPPSASC >Ma09_p11890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8054015:8055825:-1 gene:Ma09_g11890 transcript:Ma09_t11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPMVQSGDEDAGGPSWLKPLLRTVFFVPCKIHVDSSKSECNMYCLDCMEESLCSYCLSLHKDHRVVQIRRSSYHNVIRVSEVAKFIDISCVQTYVINSAKIVFLNGRPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMETDPALTFNLRPKPGRDSLYGLESDTSSSPRKLRKTSSAFSRRIEVPISHLEDDATATSRSISPGTPPIVSYRTSKRKGTPHRAPF >Ma09_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8054015:8055825:-1 gene:Ma09_g11890 transcript:Ma09_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSGDEDAGGPSWLKPLLRTVFFVPCKIHVDSSKSECNMYCLDCMEESLCSYCLSLHKDHRVVQIRRSSYHNVIRVSEVAKFIDISCVQTYVINSAKIVFLNGRPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMETDPALTFNLRPKPGRDSLYGLESDTSSSPRKLRKTSSAFSRRIEVPISHLEDDATATSRSISPGTPPIVSYRTSKRKGTPHRAPF >Ma11_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7143446:7159838:-1 gene:Ma11_g08990 transcript:Ma11_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGENGRVAEEVRVPLLVADRGRSAEAAARVARKNSVHLMRGEFVARLPEKVRLGVDPEWPFTIDVSRTKDLNEGEKEYYEKQFATLSSFEEVDSLGTPSNVDEAQDIEEQVQSEFAMKISNSANVALLALKIYATIRSGSIAIAASTLDSLLDLLAGGILWFTHLSMKHINIYKYPIGKLRIQPVGIVIFAAIMATLGFQVLVQALEHLLVNKSADKMTSLQLVWLYSIMLTATFVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNLLGLAAAILGDKFYWWIDPAGAIILAIYTISNWSGTVWENAVSLVGQSAPPEMLQKLTYLVLRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILVKLPSSQP >Ma09_p29260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39757591:39762255:-1 gene:Ma09_g29260 transcript:Ma09_t29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVAAAFFPVPSSSSTVLAQASKSIGDGPRSLGIQGIVAKPNSSSGAMEIKAQAQTLPKINGTKVDLKTKEHKADEEEVASVPRTFYNQLPDWSFLFAAITTIFLAAEKQLTLLDWKPKRPDMLADAFGLGKIVQDGMVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVRSVGLLGDGFGSTPEMSKNNLIWVVTKMQIVVEHYPSWGDIVEVDTWVGASGRNGMRRDWYVRDYRTGQTILRASSVWVMMNKHSRRLSKMPEEVRAEIEPYFMVKAPIVEEDKRKLPKLEDGTANHVRRGLTPRWADLDVNQHVNNVKYIGWILESAPISILENHQLASMTLEYRRECGRDSVLQSLTAVSTSPDGIQGDGIECQHLLRLECGAEIVRGRTEWKPKHKHANGPGNMGANSSL >Ma10_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1956563:1957202:1 gene:Ma10_g00660 transcript:Ma10_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLESLDLSRNDLSGNIPESLSALYSLSYLNLSYNNLSGMIPSGRQLQTFIDPSIYMGNANLCGPPIFKSCFNNKTTQNDIQEYEKKIPEWLWFYISMVLGYVMGFWTFCGILFLKDTCRHVYFHMIDDMYDRFWVQWHLIF >Ma10_p27430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34726373:34728936:1 gene:Ma10_g27430 transcript:Ma10_t27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHKGNSRKKAKIVVPPPSSAPDPSPHSKRSPPPPLPPPPARLFPNYPSPSSTSSTPLPPPSMPRKSFLRRILPLVLVGSAAFAYMATSKKIVTEKDGKTGLEFSSLPPQSTETTSS >Ma06_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2628154:2629773:-1 gene:Ma06_g03610 transcript:Ma06_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLALHASMKQGSLLSPVSLPLPSFTPTPPPPPPPPTIVNFENRISPSILLIIVILAVIFFISGLLHLLVRYLLRPNNREPDAMSNATALQGELQQLFHLHDAGVDQSFIDTLPVFQYTSIVGLKDPFDCAVCLCEFEADDKLRLLPKCSHAFHAQCIDTWLLSHSTCPLCRRSLLSDFSPTISCSPTFLVLETGSESSRESVSTANLGVSGETDFETSIDETSQKPVEVAAVSVSEFAEAKVVPVKLGKLRSVDVGEGEGQATTSGNSNLDQRRCFSMGSYEYMMDESSSLRVTIKPTKKKPSLKNPGHRVAMSECDCHSAREGFQVFDALRNAEFGTGDGNANLHRKESFSVSKIWLRSGKDEPIAEDASRRASSFGLPLHLERDEIKLKKSNETYSDVEAGNYNSHVVSRLDETPSFARRTLLWIVGNRTR >Ma08_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5569083:5571517:1 gene:Ma08_g08050 transcript:Ma08_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRHFLLFLPFLLAFSILPDSTCAAGTIIFTTLGRSRYNFDIFSLPIASSSSRRRPIAVDRHGEHRLTDGVSVNFNGYFPSLASSSFLSSLLPSSSSSVDSLLYVSERNGSSAIYLDLFLASSSPSTRRDALELQTRLQFPLVPAPDDAAAESDPNVSMKDRPSLSGDLLVYVSTHQPNPSPRQSWAAVYSTHLPTGRTRRLTPSGIADFSPAVSPSGAWTAVASYGAAGWPGDVEELRTAIYVFRTEDGSGRTLVVDHGGWPCWADESTLYFHRRGSDGWWSIYRATISVGTTTVSLESVVRITPAGFHAFTPATSAGAPGIIAVATRRQTSNYRHIELIDMRSGTNAYVEVTRPVTPYSHNYNPFISPEGSQVGYHRCRGSGNGNPSLLLENIKSSYPEAFSLLRVDGSFPSFSPDGERIAYVKLPGLFVVNSDGSGGPREVFSGIAFPTAWDWKRKGTIYTSYGPDFATEGTQVDIISITLPDEDDDDAGNAQPSIKKLTTGGANNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAVEGESAGIHRLTEGPWSDTMCNWSPDGEWIAFASDRDNPGGGSFAIYMVHPNGTGLRRVVHSGSGGRTNHPWFSPDSKSLVFTSDYGAVSAEPISNPHHYQPYGEIFTVGIDGSDIRRLTHNSFEDGTPTWTPYFLEPADVAESLQGSAWCEFDDCHWLNIQAQLDEAARGTSC >Ma03_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14591254:14596052:-1 gene:Ma03_g14790 transcript:Ma03_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNNIYNIVIKYYVIRYILGPSYITIDATLRYNQISKRSTPTCRASNSTKTSLGMSRPGKLGMVPMASFRTSRDDSRTKVPSHPSRIGYHTKPAYDRLSYSSIKAPS >Ma05_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35930128:35937358:1 gene:Ma05_g23800 transcript:Ma05_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISATPSSIRAQSSPPLVATRRLKDVEISFPIVYGTIAFWLGKKATEYNSHKWTVYVRGATNEDLSVIVKRAVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLFFHSDVCDKQLDLYHQLKLFPEEDSGPQSIKKPVVAETYDEIVFSEPSEAFFARVQNHPAAIVPRLPSGLNLPAPGPIDHVNEKKRSDTKDHPFSQWFMNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDGLPQQSKAASGQ >Ma06_p34840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34949915:34952444:1 gene:Ma06_g34840 transcript:Ma06_t34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTCSVSFRQCRGATIYYVQGRWGAQASLTSKYLGDPPMAAVAPSKLASLPPSLTAASEPPALFDGTTRLYVAYVCPFAQRPWIARNYKGLQEKIELVPVDLLNRPAWYKDKLYSANKVPSLEHNNEVRGESLDLIKYIDAHFEGPALKPDDPAKQQFAEELLSYSDSFNMVMFKATAAKGDVSGELDAAYDKIEDALSKFSDGPFFLGQFSLVDIAYAPFVERFQTLLLDVKNYDILKGRPKLALWIEELNKIEAYAQTRIDPQELMSATKKRFGLA >Ma09_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19112305:19113107:1 gene:Ma09_g18790 transcript:Ma09_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSARIISASEVNGGYFTPYQSSFCLDSSLNTTKARGKILICRHSGSAAEPRLEKSLVVQKAGGLGMVMIDEAENDVAIPFAIPTATVRKEIGNKIFSYVNHTRKPSTLILPVETVLGSRPAPRVAAFSSKGPNSLTAEVLKVGSCVFRLKNVIEPEKQYIYIYICVYVRLLSVVDIIVHFVYFLFLKRTIKTTID >Ma01_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2810503:2831520:-1 gene:Ma01_g04290 transcript:Ma01_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MTAAVGPAVSAAAVRPTWAAKQGRKDKGSGGMGNMLRVLRNDRDFLRERLRPLSNALGNLLWLRNLEDPRAKDVCRPPATWPKISHPPGLSGLDLMMADFEALKVYANHLQDTCKVLFMPLPEIYDPEKVELYFSCRPHILAFRITEVFLSFASAAIKLQASKISNLNKHRANLNDGFDGSRYHIGQIVKESLLNLGPTFVKVGQSLSTRPDIIGSDISKALSELHDKVPPFPRTVAMKIIEDEFGSPVERIFSYISEDPVAAASFGQVYRGCTLDGSVVAVKVQRPNLLHVVARDIYILRLGLALLRKIAKRKSDLCLYADELGKGLVGELDYTREAANATEFMEVHSQYSFMLVPKVFMKLTSKRVLTMEWLNGKNPNELLVQSKELVQENGQYLEMQTLDTKVQLLDLVKKGVDATLIQLLDTGLLHADPHPGNLCYTPDGHIGFLDFGLLCRMEKKHQLAMLASIVHISNGDWNALVYDLMEMDIVRPETNLRRVTMDLEEALGEVVFVNGIPDIKFSRVLGKIWSVALKYQFRMPPYFTLVLRSLASFEGLALAADRNFKTFQAAYNYVARKLLHDNSATARKILYSVVFNKRRELQWQRILLFLRLGNVRSSSYGQSVREDVFETANLILRLLSSKDGTVFRRILMIADSTSLARAFISKEAIIFRKNLSAALADVFFQWMLKAIRGNGALGQCDQQYDEHSFAASKQKETMLGLSSVLSVPLLQAAVVDRRLKVIYYKKLNDVRRDPILMLKVCWSFSTIFMTAAALALNSFLVYWSESYVTSFVQRRFATGVS >Ma04_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7799022:7799611:-1 gene:Ma04_g11080 transcript:Ma04_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASFLGGAASVADRASSTRRRSAMVAKAAAKTPDAAKPGHEARDDGGSAGRRAVMFAAAAAAVCAVGGRGIAVAEEEPKAGTLEAKKKYAPICVTMPTARICRR >Ma10_p26170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33995690:33998527:1 gene:Ma10_g26170 transcript:Ma10_t26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFSSPLPYDPSHGSPGGGGGEKASTWTLLRSLECMSLSVDMLDGEKVALVPNSLKPPGAGDDAAGGGSGLPATQITSNSRVNELLECPVCTNTMYPPIHQCRNGHTLCSSCKPRVQDQCPTCRQELGDIRCLALEKVAEFFELPCKYLSLGCPEIFPYYNKLKHEAQCNFRPYNCPYAGWECSVEGNIPFLVAHLRDDHKVDTHTGCTVNHRYVKPNPREVENATWMLTIFHCFEQHFCMHFEAFQLGMAPVYIAFLQFMGDENEAHNYRYRLEVGSNGRKLTWEGIPRSIRDSHQEVRDSHDGLIIPGNMAIFFSGNKKDLKLRVTGRIWKEEQHSDTALSSVTP >Ma03_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19174325:19174603:-1 gene:Ma03_g16600 transcript:Ma03_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRVMAEGQRATLWVAFDEVRDPQNLVALIRSAAYSVAAEGLILGRPCLIV >Ma10_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31293989:31298145:-1 gene:Ma10_g21520 transcript:Ma10_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEKAIGADNQVIHRQSPAAETEREYQRDVKNLVDLLSKLNPSAKEFFPSSYAAPGGGAGAHRVSDGRMSADAPIFVSWNDFYHNNLLMTNNGTSKDSSSDGSSNNQPNPRRRNGYNQGRRRTNYRLRGAEREDSIRRTVYVSDIDQLVTEEKLAEVFANCGPVVDCRICGDPHSVLRFAFIEFSDEDGARAALNLGGTMLGYYPLRVLPSKTAILPVNPKFLPRSEDEKEMVVRTVYCTNIDKKVTQTDVKVFFERFCGEVSRLRLLGDNVHSTCIAFVEFVQAESAIMALNCSGTILGALPIRVSPSKTPVRPRIQRAASN >Ma10_p21520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31293989:31298145:-1 gene:Ma10_g21520 transcript:Ma10_t21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEKAIGADNQVIHRQSPAAETEREYQRDVKNLVDLLSKLNPSAKEFFPSSYAAPGGGAGAHRVSDGRMSADAPIFVSWNDFYHNNLLMTNNGTSKDSSSDGSSNNQPNPRVIASIPYSTFNCRRNGYNQGRRRTNYRLRGAEREDSIRRTVYVSDIDQLVTEEKLAEVFANCGPVVDCRICGDPHSVLRFAFIEFSDEDGARAALNLGGTMLGYYPLRVLPSKTAILPVNPKFLPRSEDEKEMVVRTVYCTNIDKKVTQTDVKVFFERFCGEVSRLRLLGDNVHSTCIAFVEFVQAESAIMALNCSGTILGALPIRVSPSKTPVRPRIQRAASN >Ma03_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10154246:10161290:1 gene:Ma03_g13140 transcript:Ma03_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPTVISLRPGGGGNRGSRLPAPRFDSASLGSSDIPVLRPHGGAGAALSLKTWDARFENRERICYTKDQLLQIREHVEALEDILKIKQEIEAGLFIEDQTWSHGDANLLSQSQNRYYEPDNRDWRGRSGQLPSLRDENPDNKESNILSSRSRETNQLNRQEQPSSQFSSKVQGGPAAALIKAEVPWSARRGSLTEKERVLKTVKGILNKLTPDKFDLLKGQLIDAGITTPDILKGVITLIFEKAVLEPTFCPMYAQLCSDLNEKLPSFPSEEANGREISFKRILLNNCQEAFEGAGQLRADIRKLTDEDQEMERRDKERMVKLRTLGNIRLIGELLKQRMVPEKIVHHIVQELLGPDNKACPAEENVEAICQLFNTIGKQLDESPKSRRFNDAYFNRLKELITIPQLASRLRFMVQDVLDLRANNWIPRREEVKAKTITEIHSEAEKNLGLRPGSTASLRNSRNTGGFPVNRPGTGGMMPGMPGVRKMPGMPNPDGDSWEVPRSKSMPRGEARSVQKPLVAKPSPINPKLLPQGSGGLVAGMTSALLQGNGPQARSSSLVTGTKDSPSRNLPLRPGGRALSPLISDKPVPTSKFNTNELHKKTIALLEEYFHIRLIDEALQCIEELNSPEYYPEVVKEAVNLALEKGPSCMEPVMRLLEYLAVNKIFTSRDLGTGCLLYAAILDDIGIDLPRAPTYFGEITGKLVLAGGIDLTVVEQILKKVEDPLFRSMIFDAVTKAIRTSPNGQSILSGQAAIISACEKLLS >Ma03_p13140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10154246:10161290:1 gene:Ma03_g13140 transcript:Ma03_t13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPTVISLRPGGGGNRGSRLPAPRFDSASLGSSDIPVLRPHGGAGAALSLKTWDARFENRERICYTKDQLLQIREHVEALEDILKIKQEIEAGLFIEDQTWSHGDANLLSQSQNRYYEPDNRDWRGRSGQLPSLRDENPDNKESNILSSRSRETNQLNRQEQPSSQFSSKVQVSSTQGGPAAALIKAEVPWSARRGSLTEKERVLKTVKGILNKLTPDKFDLLKGQLIDAGITTPDILKGVITLIFEKAVLEPTFCPMYAQLCSDLNEKLPSFPSEEANGREISFKRILLNNCQEAFEGAGQLRADIRKLTDEDQEMERRDKERMVKLRTLGNIRLIGELLKQRMVPEKIVHHIVQELLGPDNKACPAEENVEAICQLFNTIGKQLDESPKSRRFNDAYFNRLKELITIPQLASRLRFMVQDVLDLRANNWIPRREEVKAKTITEIHSEAEKNLGLRPGSTASLRNSRNTGGFPVNRPGTGGMMPGMPGVRKMPGMPNPDGDSWEVPRSKSMPRGEARSVQKPLVAKPSPINPKLLPQGSGGLVAGMTSALLQGNGPQARSSSLVTGTKDSPSRNLPLRPGGRALSPLISDKPVPTSKFNTNELHKKTIALLEEYFHIRLIDEALQCIEELNSPEYYPEVVKEAVNLALEKGPSCMEPVMRLLEYLAVNKIFTSRDLGTGCLLYAAILDDIGIDLPRAPTYFGEITGKLVLAGGIDLTVVEQILKKVEDPLFRSMIFDAVTKAIRTSPNGQSILSGQAAIISACEKLLS >Ma04_p34830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33834770:33837017:-1 gene:Ma04_g34830 transcript:Ma04_t34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQASSRSQRNKGLKVRNVLQICLLVAVCFWLLYQMKHTYDKKKASRPPPQQSNSWG >Ma06_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4188248:4190088:-1 gene:Ma06_g05630 transcript:Ma06_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRPQVRRTPSSAEFSSAVVFDVEAAQTSNQDAIACHFNPRETRWGAAEAAQIGAAGREGCWATRHVGSTLFLHSGVHCGNSGDGTAPFLRACGLCNRRLGPGRDTYMYRGDIAFCSLECRQQQMNSDEQKEKCYLTSMNDNPLETSCSNQSDCEASITAT >Ma07_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15551567:15557194:1 gene:Ma07_g16790 transcript:Ma07_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRAELDRAPAYSYCPQDLEEHEPLSSASHGALSAVSSGLLVDTNLDTSTPDTYQAPPAPLPYDVGLASTQTLRRDVDCCATKTDPMQLDNSGPTGETNDRFETSDCKNKAQSEHNSPKTTEDEISKPVTSTTDEEDACPICLEDYDTENPRIMTKCEHHFHLSCILEWMERSDTCAICNQIMMIDTTYSMSSPENGF >Ma05_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28000411:28002803:1 gene:Ma05_g19550 transcript:Ma05_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSRTSDDSAKNDKKKKSILPSIFSRKGKNKRGSDGEIFPRAEIVFDLESRYITRTNLLEAYPTVRKSLSGRHTSSRIEGLNLSILEKPRRKSGGRVEEYRVFVGTWNVGGRSPNNGLNLEDFLQVEGSADIYVLGFQEIVPLNAGNVLVIEDNEPATKWLALISHALNKPSDKQDDSSETWNGAKLGKDCKSSSSHLFQKPSSLKAIGKNCRVDGALVKTCNCRPETASGIRRRARKLREFIDSTDSGSDDEYYCHPMSHVVSPEARRDANYSLVASKQMVGIFLSVWVRSELVPDVGHLRVATVGRGIMGCLGNKGCIAMSMSLHRTSFCFVCSHLTSGEKEGDELKRNADVSEILKSTQFPRVCKIPGSRIPEKILEHDRILWLGDLNYRIALSYNEATTLLEDNDWDSLLGRDQLKMEREAGRVFDGWKEGKIYFAPTYKYSYNSDAYAGETTKSKKKRRTPAWCDRILWYGEGIEQLQYFRGESRFSDHRPVCAVFLAQVEASSHGRCRKGYSSVYSRIPRDEMMLPQRHSFYEL >Ma05_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4283036:4285473:1 gene:Ma05_g05630 transcript:Ma05_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGRKKRAKVMKVDGTTFKVKPPAQAMNVLRDHPGHALLDAEKVQRLGLRARPLDPDVPLKPGKLYFLVDHRQLPDQRGARRAWSGSLQVGAKERLESLRLSHRSMSDLSRATWRPSALEAEETKDGTVRLKMRLPKAQVEKLMQESKDAAEAAEKIMQLCSPKDGANPSPSPEPIAPTSKAGRKDIKRTRFLEVPDEIIA >Ma06_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9768276:9777208:1 gene:Ma06_g14230 transcript:Ma06_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPQPTDSFAGDPPPMADPSSGAVSPEPVAEAGATADVGCTSRKEKRKALKKLKRKQTRREAAIREREEDEARSNDPEEQRKIRLREQEEVEIAERERKLFEERERLWFEAAAARKAAEEEEEQRRKELMEKSQEKNQHDAHVNEVDGDDEWDYIEEGPAEIIWQGNEIIVKKKRVRVAKKNANKQQSEEDDNRPTSNPLPPQSVAFASYMNGPSISAQEVLERVAQEVPNFGTEQDKAHCPFHLKTGACRFGPRCSRVHFHPDKSCTLLIKNMYNGPGLVSEQDEGLEYTDEEVERCYEEFYEDVHTEFLKFGELVNFKVCKNGSYHLRGNVYVHYMFLDSAVLAYNNINGRFFAGKQITCEFVGVTKWKVAICGEYMKSKLKTCSHGSACNFIHCFRNPGGDYEWADWDNPPPKYWIRKMIALFGPSNEYEHHKQVDLKEFDRPRCSDRKRTPIDKCRYFSRRSDSELDELDNDSPAKNRREQSSRSKEKPASLEKHERSEEYDKLNYYDDREYKRKHREDSLGQKRVKPYVNEHMKSKRYKSRFQEQQKYAQFSDYTDDDRELSDGHSNSLSSDKSSHRLSIDEERYNGRSCSDDLGSDVDPSRRITSQHSGCLTESREYGRLSKHKSYDYEIDGHSGKFGKPNDHHLRVSSHDLDWKARSEGSDLEDADARLPHSRSRREKKHDRSSRKRNLHQEKCDDNDRSDEPSNKKLKRKEEKRYSGRSSGRQTVR >Ma04_p30490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31153754:31154495:-1 gene:Ma04_g30490 transcript:Ma04_t30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLPSIFLFRCFARPNVEFFNTVAAEDRIVEEGRLNMNAAEDNSVRLIREVVPGMIVAGMEITEIDRAPRMGEAELAETNRGRRPLGDDDPGRRHWSFISK >Ma10_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25544849:25545827:1 gene:Ma10_g12490 transcript:Ma10_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRASSSFLKQMCSAVVAMVKAKSMAVKSKTSALRTRLLVLRILQNKKLLMKAINHKIHALMGQEKGEGGAHSAEDHDKAIVLYDAAKNAALTNPTDKEPMYCYDDDDDDDDYPDLRHSLFDLEEEEEDDDELGNTTGSVIDLVKNSKEDGSEFRLEDEIDHAADVFIKRFHRQMRLQKLESFKRYQEMLLRSV >Ma05_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21707774:21712407:1 gene:Ma05_g17790 transcript:Ma05_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHILKIMALLVAVAGIWISLLETSVVPRSYTWLLPVYLVVSLGCYGLLMVGVGLMLFPTCPQEASLLQKDIIEAKEFLKSRGVDVGAE >Ma09_p31320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41086588:41095426:1 gene:Ma09_g31320 transcript:Ma09_t31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLSAAPAAANGAARRLVYSTRRCLQRVRQDRIFLSVVRCRYSTVTRSSIRGMADVETQKAPSPALSTGHKQALISLSDKRELAFLGNELQCLGYSIVSTGGTASSLEESGVSVTKVEDITHFPEMLDGRVKTLHPSIHGGILARRDHHHHMEALNRHGIGTFDVVVVNLYPFYEKVTSSNKIAFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHEDYPAVLEFLRREQDDQEFRRKLAWKAFQHVATYDSAVSEWLWKQTENGEKLPPSFTVPLSLKSTLRYGENPHQKAAFYGDKSISVVNSGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFKSPTCVIVKHTNPCGVASRQDILEAYRLAVKADPVSAFGGIVAFNTTVDEDLAKEIREFRSPTDGATRMFYEIVVAPKYTEKGLEILRGKSKTLRILEAKKSEKGMLSLRQVGGGWLAQDSDGLTPVDVKFNVMSKRVPQEAELHDAEFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWKDAVEEACEKGIGVIAEPGGSIRDGDAVECCNKYGVSLLFTNVRHFRH >Ma11_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27474174:27477836:1 gene:Ma11_g24540 transcript:Ma11_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVFSRFGCCLALGVLFSGQILQTLGVGVGINYGQIANNLPSPTSVVKLLQSINISRVKLYDADPKVLTAFGNSSVDFIIAIGNENILNMTDPTKAQEWLKQNVQPYLHQTQITCVTVGNEVLTTGDTVLMENLLPAMQSVYQALVALGLDKQVNISSAHSVAILGNSYPPSSGSFREDLAEYIHPLVNFHSEINSPFLINAYPFFAYKGNPDSISLEYVLFQDNPGVTDPNTNLTYDNMLYAQIDSVYAAIKAMGHTDIDVAISETGWPSKGDPDETGATLENAAKYNRNLLKRIAMNQGTPMKPTVPINVHVFALFNEDLKPGATSERNYGLFYPDGTPVYDIGFQDHVLLSSPSSATLMAWSRFGILISAMVMMI >Ma06_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12491034:12492073:1 gene:Ma06_g18380 transcript:Ma06_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGCMASLKDDEVLCEDTLMLETDDEYIELLLSKENRCCTRARAASSDDSLKSARSDAVRWILKMKVLFGLGMRTAYVAASYLDHFCVHRSIDSMRDKSWAIRLLSLSCLSLAVKMEELKVPALSEFRTEDYRFQTEAIQRMELLILSTLEWKMSMITPFSYLSYFASRFQEHGSEDLAWEAITLILASIEAINLVEYRPSVVAAAAILAASHERLTQKSVELKLSAISSFRPLDTVSSKPLDSIVSNVKEHSMVNTILFGL >Ma06_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4305151:4308791:-1 gene:Ma06_g05830 transcript:Ma06_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLENFGGGRGADREAPPLARQGSIYSLTFDELQTTLGGLGKDFGSMNMDELLKNVWTAEETYAMTAAFGEGRGGTAAGPGLQQQGLLTLPRTLSQKTVDEVWRDLAGGPTASYVQGIAGGGADVPQQTSLGEITLEEFLVKAGVVREDSTPSPALPRSAGNRSSSTNVLFDDMPTINNATGLALGFEHRSNTNTINACIPRSSAADLGMMVTVARPYAAPIPVRSRGLVSFDDAEMTDGLMTGIIGPDRARVVVVTGSPGNHLSPDILEKANRDLSSVPKVPYMFSGGMRGRKRSGSVEKVIERRQRRMIKNRESAARSRARKQAYTMELEAEVEKLKEQNQELQEKQAEMMEMQKNQDLQMISQLHGTKKHLLRRTQTGPW >Ma10_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31545939:31547810:1 gene:Ma10_g22000 transcript:Ma10_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAECKSVHDPFYCHHQRGNFSRHFMSMKPAMIGAKAERRVLFPGPIVVGAGPSGLAVAACLKDKGIPSLIFERSNCIASLWQLRTYDRLRLHLPKRFCELPLLPFPSWFPTYPTKQQFVAYLEAYVRQFDIQPVFNASVVAAEYDAAAGFWRVRTVSSGGGSEKERTEYVCRWVVVATGENAEAVVPEIAGLEEFKGPVVHTSLYRRGDVYRNKRVLVVGCGNSGMEVSLDLCNHNAHPSMVVRDSVHILPREMLGRSTFGLSMWLLKWLSVKTVDRFLLFVARLVLGDTSKFGLRRPQLGPLELKSHSGKTPVLDVGTLAKIKSGDIKVRPAVRRIMEHGAEFADGTVEEFDVVILATGYQSNVPRWLQEREFFSEKDGLPRRPFPNGWKGRNGLYAVGFTKRGLLGASLDALRIAQDIELCCKTDENCR >Ma06_p32290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33221993:33234289:-1 gene:Ma06_g32290 transcript:Ma06_t32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTESLMGSPWDEVAEYTKAAQGTGCDPAVWTVHVSSTLAAHVVPLPSPELAQLLVSHLCWGNNVPLAWKYVERALAANIAPPMLLLALLSVRIIPSRCSKPVAYRLYLELLQRHAFIFTSQIKGPSFKKIMASINDVLHLSEKFGIQASEPGVLVVEYVFSILWQLLDATLDDEGLQELTPEKKAKWISRPHDMEIDGEDAFDEKKTEYNEKLQKANTIMAIELIWHFLNHKVISKLLSLARENMPSHWGSFAQSLHLLATSSSALHNSTISVDKLQQFVQDIWKFGREWKPSHHQEICTLIAHGSLPSAGGCCHGSTSGALWIPVDLYLEDCLDASVAATDAIEVLSGLIKALRALNGSTWHDAFLAIWMASLRVVQRERDPHEGPVPRLDTRLCMLLSITILSIANIIEEEEATIIDEAELSNQWKEKTAGGKCRKDLVSSLQILGDYESLLVPPLSVTSVANQAAAKAMMFVSGLTGGSGYLENVAMSDKTVNCAGNMRHLIIEACISRNLLDTSAYFWPGYITARINQIPHSMPNQVPNWSALMKGAPLTSSMVNALVATPASSLAELEKIFEIAINGSDDDKISAATILCGASLFRGWNIQEHTVRFVVKLLSPPIPVDYAEGESHLISHGPMLNVVLTGISPVDCVQIFSFHGLVPELAGALMAICEVFGSCFPSISWTNTTGEEISVHTVFSNAFILLLRLWKFNHPPLEYCILGDGAPVGSQLTPEFLLLIRNSRVLSDAKLTKNRSNHGRLSTSTSSSSVHPIFVDSFPKLKTWYRQHQACLASTLSGLVHGTPVHQNVDALLNMMFRKFTKGGSQPVCPGTSGNSSLSSSSGPASDDNSFRPKLPAWDIMEAVPFVVDAALTACSHGRLYPRELATGLKDLADFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSTVEENIKRIVAATGVDVPSLAAGGSSLATLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWAQKVKRWTDFLVFSASRTVFHHNNDAVVQLLRSCFTATLGLCTQISSNGGVGGLLGHGFGSHFSGGLSPVAPGILYLRVYRCIKDIFSLTENILSLLMDAVKEITESVVSKERSDKMKKTKYGMKYGQVSLAAAMTQVKVAAALGATFVWLSGGSGIVQCLIQEILPSWFLSVHELDLEGGNGGMVYTLSGYALAYFAVLSGMFAWGIDSVSVSKRRPRVIASHMDFLSSVLDGKISLGCNWVLWRAYVSGFLGLVVQCAPYWVLEVDLHILKKLSRGLKQWKEDELALALLKRGGVEAMGAAAEVILSNE >Ma07_p17300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16913244:16929564:1 gene:Ma07_g17300 transcript:Ma07_t17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MPWASLSTALMKPSPRYCRCRSLIVAAEVAPAIEAHKVSFSVTNKQGKSVPILKNCSLHVPPGQLWMLLGPNGCGKSTLLKVLAGLLNPSDGTVNIKKPSSFVFQNPDHQVVMPTVEADVAFGLGKFNLTSDEVRSRVSEALEAVGMLHYSQRQIQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDEHDQIGVIKAVKNTVAASGEVAALWVTHRLEELNYADGALYMEDGRIMMHGDVWSVLSFIKAKQVQYRDHLHL >Ma07_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16926235:16929564:1 gene:Ma07_g17300 transcript:Ma07_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MLQEVFSFAPMPWASLSTALMKPSPRYCRCRSLIVAAEVAPAIEAHKVSFSVTNKQGKSVPILKNCSLHVPPGQLWMLLGPNGCGKSTLLKVLAGLLNPSDGTVNIKKPSSFVFQNPDHQVVMPTVEADVAFGLGKFNLTSDEVRSRVSEALEAVGMLHYSQRQIQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDEHDQIGVIKAVKNTVAASGEVAALWVTHRLEELNYADGALYMEDGRIMMHGDVWSVLSFIKAKQVQYRDHLHL >Ma01_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:203813:207942:1 gene:Ma01_g00250 transcript:Ma01_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITMHKMMSLLMTGSENGSVLVVQAACKIGDAIEREYRSCTVWFIDTILIHFCNCSVGLNQGRIHRFMEKTRKKRDKDKRSEDAGYTVTREQESLRKKVLKLMKKQKPHQVRKLVSGEDDSKPWGQGLTIITKEQQKLIRRFGVIECDPVVRQGLDRTARHMVIPYMLMLIPPVNWKSYDRGAYLFLPSYIMRTHGSRQQREAVRRAPREQMQAIFEALDTLGNTKWRVN >Ma01_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6686313:6690388:1 gene:Ma01_g09250 transcript:Ma01_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASNPLSLSVTEPAFEAWLRETGYLEILDDRAASADPSPPSSFPSPSSSSSTAVPDAPLRPRTSAPAAGRGGLAARAFSSMRTLASLFTLNPFAKLTPEDLSVGTPSWTLEFIGAAGSYSWPAGSAQARMRVQENVRRYARNYALLSVIVFACTLYKMPVSLLGLIACLVLWEFVRFCGDKWQLEERCPGLRQAFVHIAQLATAVVLYMCNLQLAIAYTVGVSYAVLIFHAFLRKLTPRRIPGANQHGRFLQRR >Ma03_p23740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28234240:28236945:1 gene:Ma03_g23740 transcript:Ma03_t23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTAAGRRVGGTEHSWCRSVPGGTGVLVLGFFLSRPVPRPLLESALHRLQTSHPLLRSRLNTAGPDQPFFSIAHSPSLTVRPLSASDILQSPPASPSHFHALLEHELNENPWSSPAPSHPILFATAYDDMPEAGRTVVALRFHSAACDRTSAAAILKELLRLIAGSTKEEEEEGFHRAMEDLIPKQDAWKPFWARGKDLVGYSLNGLRTSTLRFEDAGSDRRSEVVRLVLGVDDTQKLLNACKAREIKLCGAMSAAALVATNSSKHLEKDRAETYTVVTLIDCRKYLDPVLHDHSIGSYHSAVINTHNVHGGEELWEVAERCHESYSNAVHKKKHLKDITEINFLLCKAIDNPQLTPSSSQRTALISVFEEPVVYDSSELQQELGVEDYIWCASVHGAGPSIAVFDTIRDGRLDCAFVYPTPLHSRKQMQELVEHMKTILTQGSLNGGD >Ma07_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30286276:30289116:1 gene:Ma07_g22280 transcript:Ma07_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSPIRMDRFQRLLLLPFVLCLAFVTSASGQPQAPSEPFTPADNFLIDCGATATVTTPDGRAFKTDAQSASFLSAHDEVRASVPSAPGVPSPIYLSARIFHEETSYSFTLSRPGWHWIRLHFFPVNSSDFDLTSAVFSVNTDDLVLLHSFTVDDPSKWELKEYLVNATSPRLAIHFSPLRNSVAFINGIEVVSAPDALIPNTASTVSPVGQTDGLSLSAYQVAYRINVGGPVVTSANDTLGRAWGPDEPFLQSRSTAEAVSVSPSIIKYPEGTTPLIAPNSVYATAVKMADARVGSPSFNVTWFFDVDSSFGYFVRLHFCDIISKSLNDLYFNVYINGLMAISGLDLSTVTSGLAMPYYKDFVLNASMATGRITIQIGPMKEDTGRIDALLNGVEILKMNNSVGSLDGEFGVDGSRAGDGSAKRRAVAAVGFAMMFGAFAGLGAMVMKWHKRPHDWQRRESFSSWLLPVHTGNSTFMTGKGSGYGSHRSGYTFSTTMGLGRYMSLSELQAATKNFDQNAVIGVGGFGNVYIGELDDGTKVAVKRGNPQSEQGINEFQTEIQILSKLRHRHLVSLIGYCDENSEMILVYEYMANGPFRDHIYGSDLPPLSWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDENFIAKVSDFGLSKDAPGMNQTHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPALDPALPREQVNLAEWALQWKRKGLIEKIIDPNIAGSISKDALSKFVEAAEKCLAEHGVDRPSMGDVLWNLEYALQLQDANPADPSPPQAVKPTESEEDTSVDSAASAGPSHKEHDEALPAAPTVSQSVENSSTAMANEMYVQLTGMRGR >Ma11_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1913740:1914755:-1 gene:Ma11_g02620 transcript:Ma11_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNAAEKDAIPNRTLEDEAFQVIDKAKKKLEKVCKGTVSCADILAFAARDSVAHYGGTFYAVPAGRRDGKISRSSDTIDLPPPTFNLIQLTNSFASKGMSQDDMITLSGAHTIGEAHCPTVSNRLYNFSRKASTDPTLNPKYAYQLKRECPPGSNNEVDMDPPSPLTFDTSYYSNLLDNRGLFTSDQTLMSTPATAGKVRQLAGSSVLFKQKFAAAMVKMGKIGVLTGEQGEIRNYCRVVN >Ma07_p17430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17567607:17575546:1 gene:Ma07_g17430 transcript:Ma07_t17430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAPMVRSSSTSPGELAQRLLEKNVELENGLRRSTKLKLPSDPNAWLQMRENYEIIILEDHDFSEKHDVEFVLWQLHYRRIEEFRQHINAAASAGSNATFGGKVLVRPDKIKKIRSVFKSFLTEATGFYHDLILKISVKYGLPLNYFSEGIEKEIVLAKDEKKLAEIKKGLMSCHRCLIYLGDLARYKELYGERDSVSRDYAAASTYYLQSASLCPSSGNPHHQLAILASYSGDDLLAVYWYFRSLAVEIPFSTARDNLIIAFDKNRQGYSLLYGNLKTAPGRRLSSHSAGRGRGRWDAKFLLKDTKIEVTATNEQELTMSEVFKAFSTCFVRLNGILFTRMSLETFGEVLSSVINDLNVLLSSGPEEELNFGPGASENALIILRLVAILIFTVHNVKRESENQSYAEILQRTVLLQNAFTAAFEFAGYILKRCIELHDATSSYLLPAILVFIEWLACHPDVAAGIDAEEKQAGARSVFWNQFVSLMNKLIQTGLASATGDKDETCFFNMSRYDDGESGSRIALWEDFELRGFLPLVPAQFILDFSRMHAYMNDGGKKDKVSRVQRILAAGQSLMNIVSIDEQRIYFDPSVKKFIVGTEPLSFKGEIDPTFSSPLDSNVTEQGSQNESVADLGVALRTYNPGVTQTKVQLYVEGEEDEEVIVFKPTTAEKYPDVSASVSNTYDLVNPVQASLATDLMTHEHLSVHSDGFPMSAVSNVSLELHPSTTNVSQLPLQYVNSDNSRWFMKQDAFLSDGLKNVNITENGYLNKHMLQEGSSNSQLSSFAPLFSFPVSLGANSTLSSQTKAAEDVFPSTLDTIVPSGLSSDGMTMNLSSALPSLRKNPVSRPVRHSGPPPGFSCIASKQLNGASATSFAKEQQPDVDDYSWLDDVQSSSTKAMGTANSYNQNTHWFPYVNMNNTTASSAASSFPFPGKQVSNVQTQVADEQKWHDFQLFQQLKAYNGQKLQQLNPQQSLPPEQHQAQSLWSSPYFV >Ma07_p17430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17567598:17575546:1 gene:Ma07_g17430 transcript:Ma07_t17430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAPMVRSSSTSPGELAQRLLEKNVELENGLRRSTKLKLPSDPNAWLQMRENYEIIILEDHDFSEKHDVEFVLWQLHYRRIEEFRQHINAAASAGSNATFGGKVLVRPDKIKKIRSVFKSFLTEATGFYHDLILKISVKYGLPLNYFSEGIEKEIVLAKDEKKLAEIKKGLMSCHRCLIYLGDLARYKELYGERDSVSRDYAAASTYYLQSASLCPSSGNPHHQLAILASYSGDDLLAVYWYFRSLAVEIPFSTARDNLIIAFDKNRQGYSLLYGNLKTAPGRRLSSHSAGRGRGRWDAKFLLKDTKIEVTATNEQELTMSEVFKAFSTCFVRLNGILFTRMSLETFGEVLSSVINDLNVLLSSGPEEELNFGPGASENALIILRLVAILIFTVHNVKRESENQSYAEILQRTVLLQNAFTAAFEFAGYILKRCIELHDATSSYLLPAILVFIEWLACHPDVAAGIDAEEKQAGARSVFWNQFVSLMNKLIQTGLASATGDKDETCFFNMSRYDDGESGSRIALWEDFELRGFLPLVPAQFILDFSRMHAYMNDGGKKDKVSRVQRILAAGQSLMNIVSIDEQRIYFDPSVKKFIVGTEPLSFKGEIDPTFSSPLDSNVTEQGSQNESVADLGVALRTYNPGVTQTKVQLYVEGEEDEEVIVFKPTTAEKYPDVSASVSNTYDLVNPVQASLATDLMTHEHLSVHSDGFPMSAVSNVSLELHPSTTNVSQLPLQYVNSDNSRWFMKQDAFLSDGLKNVNITENGYLNKHMLQEGSSNSQLSSFAPLFSFPVSLGANSTLSSQTKAAEDVFPSTLDTIVPSGLSSDGMTMNLSSALPSLRKNPVSRPVRHSGPPPGFSCIASKQLNGASATSFAKEQQPDVDDYSWLDDVQSSSTKAMGTANSYNQNTHWFPYVNMNNTTASSAASSFPFPGKQVSNVQTQVADEQKWHDFQLFQQLKAYNGQKLQQLNPQQSLPPEQHQAQSLWSSPYFV >Ma07_p17430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17567607:17575546:1 gene:Ma07_g17430 transcript:Ma07_t17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAPMVRSSSTSPGELAQRLLEKNVELENGLRRSTKLKLPSDPNAWLQMRENYEIIILEDHDFSEKHDVEFVLWQLHYRRIEEFRQHINAAASAGSNATFGGKVLVRPDKIKKIRSVFKSFLTEATGFYHDLILKISVKYGLPLNYFSEGIEKEIVLAKDEKKLAEIKKGLMSCHRCLIYLGDLARYKELYGERDSVSRDYAAASTYYLQSASLCPSSGNPHHQLAILASYSGDDLLAVYWYFRSLAVEIPFSTARDNLIIAFDKNRQGYSLLYGNLKTAPGRRLSSHSAGRGRGRWDAKFLLKDTKIEVTATNEQELTMSEVFKAFSTCFVRLNGILFTRMSLETFGEVLSSVINDLNVLLSSGPEEELNFGPGASENALIILRLVAILIFTVHNVKRESENQSYAEILQRTVLLQNAFTAAFEFAGYILKRCIELHDATSSYLLPAILVFIEWLACHPDVAAGIDAEEKQAGARSVFWNQFVSLMNKLIQTGLASATGDKDETCFFNMSRYDDGESGSRIALWEDFELRGFLPLVPAQFILDFSRMHAYMNDGGKKDKVSRVQRILAAGQSLMNIVSIDEQRIYFDPSVKKFIVGTEPLSFKGEIDPTFSSPLDSNVTEQGSQNESVADLGVALRTYNPGVTQTKVQLYVEGEEDEEVIVFKPTTAEKYPDVSASVSNTYDLVNPVQASLATDLMTHEHLSVHSDGFPMSAVSNVSLELHPSTTNVSQLPLQYVNSDNSRWFMKQDAFLSDGLKNVNITENGYLNKHMLQEGSSNSQLSSFAPLFSFPVSLGANSTLSSQTKAAEDVFPSTLDTIVPSGLSSDGMTMNLSSALPSLRKNPVSRPVRHSGPPPGFSCIASKQLNGASATSFAKEQQPDVDDYSWLDDVQSSSTKAMGTANSYNQNTHWFPYVNMNNTTASSAASSFPFPGKQVSNVQTQVADEQKWHDFQLFQQLKAYNGQKLQQLNPQQSLPPEQHQAQSLWSSPYFV >Ma07_p17430.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17567607:17575546:1 gene:Ma07_g17430 transcript:Ma07_t17430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAPMVRSSSTSPGELAQRLLEKNVELENGLRRSTKLKLPSDPNAWLQMRENYEIIILEDHDFSEKHDVEFVLWQLHYRRIEEFRQHINAAASAGSNATFGGKVLVRPDKIKKIRSVFKSFLTEATGFYHDLILKISVKYGLPLNYFSEGIEKEIVLAKDEKKLAEIKKGLMSCHRCLIYLGDLARYKELYGERDSVSRDYAAASTYYLQSASLCPSSGNPHHQLAILASYSGDDLLAVYWYFRSLAVEIPFSTARDNLIIAFDKNRQGYSLLYGNLKTAPGRRLSSHSAGRGRGRWDAKFLLKDTKIEVTATNEQELTMSEVFKAFSTCFVRLNGILFTRMSLETFGEVLSSVINDLNVLLSSGPEEELNFGPGASENALIILRLVAILIFTVHNVKRESENQSYAEILQRTVLLQNAFTAAFEFAGYILKRCIELHDATSSYLLPAILVFIEWLACHPDVAAGIDAEEKQAGARSVFWNQFVSLMNKLIQTGLASATGDKDETCFFNMSRYDDGESGSRIALWEDFELRGFLPLVPAQFILDFSRMHAYMNDGGKKDKVSRVQRILAAGQSLMNIVSIDEQRIYFDPSVKKFIVGTEPLSFKGEIDPTFSSPLDSNVTEQGSQNESVADLGVALRTYNPGVTQTKVQLYVEGEEDEEVIVFKPTTAEKYPDVSASVSNTYDLVNPVQASLATDLMTHEHLSVHSDGFPMSAVSNVSLELHPSTTNVSQLPLQYVNSDNSRWFMKQDAFLSDGLKNVNITENGYLNKHMLQEGSSNSQLSSFAPLFSFPVSLGANSTLSSQTKAAEDVFPSTLDTIVPSGLSSDGMTMNLSSALPSLRKNPVSRPVRHSGPPPGFSCIASKQLNGASATSFAKEQQPDVDDYSWLDDVQSSSTKAMGTANSYNQNTHWFPYVNMNNTTASSAASSFPFPGKQVSNVQTQVADEQKWHDFQLFQQLKAYNGQKLQQLNPQQSLPPEQHQAQSLWSSPYFV >Ma07_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17567607:17575546:1 gene:Ma07_g17430 transcript:Ma07_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAPMVRSSSTSPGELAQRLLEKNVELENGLRRSTKLKLPSDPNAWLQMRENYEIIILEDHDFSEKHDVEFVLWQLHYRRIEEFRQHINAAASAGSNATFGGKVLVRPDKIKKIRSVFKSFLTEATGFYHDLILKISVKYGLPLNYFSEGIEKEIVLAKDEKKLAEIKKGLMSCHRCLIYLGDLARYKELYGERDSVSRDYAAASTYYLQSASLCPSSGNPHHQLAILASYSGDDLLAVYWYFRSLAVEIPFSTARDNLIIAFDKNRQGYSLLYGNLKTAPGRRLSSHSAGRGRGRWDAKFLLKDTKIEVTATNEQELTMSEVFKAFSTCFVRLNGILFTRMSLETFGEVLSSVINDLNVLLSSGPEEELNFGPGASENALIILRLVAILIFTVHNVKRESENQSYAEILQRTVLLQNAFTAAFEFAGYILKRCIELHDATSSYLLPAILVFIEWLACHPDVAAGIDAEEKQAGARSVFWNQFVSLMNKLIQTGLASATGDKDETCFFNMSRYDDGESGSRIALWEDFELRGFLPLVPAQFILDFSRMHAYMNDGGKKDKVSRVQRILAAGQSLMNIVSIDEQRIYFDPSVKKFIVGTEPLSFKGEIDPTFSSPLDSNVTEQGSQNESVADLGVALRTYNPGVTQTKVQLYVEGEEDEEVIVFKPTTAEKYPDVSASVSNTYDLVNPVQASLATDLMTHEHLSVHSDGFPMSAVSNVSLELHPSTTNVSQLPLQYVNSDNSRWFMKQDAFLSDGLKNVNITENGYLNKHMLQEGSSNSQLSSFAPLFSFPVSLGANSTLSSQTKAAEDVFPSTLDTIVPSGLSSDGMTMNLSSALPSLRKNPVSRPVRHSGPPPGFSCIASKQLNGASATSFAKEQQPDVDDYSWLDDVQSSSTKAMGTANSYNQNTHWFPYVNMNNTTASSAASSFPFPGKQVSNVQTQVADEQKWHDFQLFQQLKAYNGQKLQQLNPQQSLPPEQHQAQSLWSSPYFV >Ma04_p02590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2186381:2189624:-1 gene:Ma04_g02590 transcript:Ma04_t02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNPKNILITGAAGFIASHVANRLVRNYPEYKIVVLDKLDYCSNLKNLNPSRSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGHPLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTTKERRVIDVAKDVCKLFSLEADRVIEFVENRPFNDQRYFLDDQKLKNIGWSERTTWEEGLKKTMEWYTSNPDWWGDVSGALLPHPRMLMMPGIERHVDGAEETKVTVSQSANSNSQKRMVVPTPRTSSKKPHLKFLIYGKTGWIGGLLGVICEKQGIPYEYGRGRLEERSQLILDIQNVKPSHVFNAAGVTGRPNVDWCESHKQETIRTNVVGTLTLADVCREHGLLLMNYATGCIFEYDTEHLEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLSNPRNFITKITRYNKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGAVSHNEILEMYKSYIDPSFKWVNFTLEEQAKVIVAPRSNNEMDATKLKREFPELLSIKDSLIKYVFEPNKKVLSN >Ma04_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2186381:2189624:-1 gene:Ma04_g02590 transcript:Ma04_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNPKNILITGAAGFIASHVANRLVRNYPEYKIVVLDKLDYCSNLKNLNPSRSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGHPLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTTKERRVIDVAKDVCKLFSLEADRVIEFVENRPFNDQRYFLDDQKLKNIGWSERTTWEEGLKKTMEWYTSNPDWWGDVSGALLPHPRMLMMPGIERHVDGAEETKVTVSQSANSNSQKRMVVPTPRTSSKKPHLKFLIYGKTGWIGGLLGVICEKQGIPYEYGRGRLEERSQLILDIQNVKPSHVFNAAGVTGRPNVDWCESHKQETIRTNVVGTLTLADVCREHGLLLMNYATGCIFEYDTEHLEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLSNPRNFITKITRYNKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGAVSHNEILEMYKSYIDPSFKWVNFTLEEQAKVIVAPRSNNEMDATKLKREFPELLSIKDSLIKYVFEPNKKVLSN >Ma11_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16485971:16488902:1 gene:Ma11_g12590 transcript:Ma11_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYHFSSFFLCMITGFLVLIPPYYSTTLASAAAATPQHASEPGAIETGGKNYSKVCDAARFEALGLRKDDFAYCDSSLPYPARVKDLVGRMTLVEKILQLGDRAQGVGRIGLPKYGWWSEALHGIAVTGHATYFGDVVPAATSFPNVILAAASFNESLWKKIGQAVSTEGRAMYNLGHTGLNFWSPNVNVVRDPRWGRVLETPGEDPFVVGRYAVNFVRGMQDVEGTENVQDLDSRPLKVSTCCKHYAAYDLDNWFGVSRLHFDARVTEQDMVETFVRPFEMCVKEGDASSIMCSYNRVNGVPACADAKLLSQTLRNDWQLHGYIVSDCDSVEVMHERLKWLGDTAEDAVGQAMKAGLDLDCGLGNLNYYENYTLSAVAQGKVRESDIDNALKNLYMVLMRAGFFDNIEAYENLGLNDICTKEHIHLAADAARQAIVLLKNNLTLPLDPKKYKDVVLVGPHAKATKAMIGNYEGIPCRYVSPLDAIAKDVRVDYHEGCDVHCRSSRSVRPAVEAAKKAKATIIVAGLGLEVEREELDRNDLLLPGFQTELINRVAEASAGPVVLVIMAGGCVDVSFAQNNPKIGAILWAGYPGQEGGQAIADVIFGRHNPGGRLPLTWYKNEYVDALPMTSMQLRPNDQLGYPGRTYKFFNGTSLYPFGHGMSYTQFSYSRKNDSGIFLPIKVDNHHLCKLPSDKANATVDSHCPSISVDALGCKEEISFEVDVTNVGKVDGDDVVLVYSKPPKGVADAPLKQLVAFQRVYVESGKTSSVKFSLNACKALNLVEKTAYVVLHSGEHAIVVGDGDSAVSFPVEIELQL >Ma03_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17541640:17542922:-1 gene:Ma03_g15970 transcript:Ma03_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEEFRAKHMCLTDDPQTTTPPPVKVETVDISSNSSHSMKSHVPEHLDWRKKGAVTGVRNQGQCGSCWAFSAVAAIEGITYIKTGKLIPLSEQELVDCDINGKNHGCSGGFMQNAFEFVRSNHGLTSESKYPYKGFQEECRGNQLHDHVSTISGYKAVTARSEHSLLEAVAKQPVSVAIDAGGFGFQFYSHGIFDGPCGSSLNHGVLAVGYGTTDKDMFWIVKNSWGAGWGEDGYIRMSRDVLDSEGLCGIATRASYPTK >Ma04_p28540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29583767:29584972:1 gene:Ma04_g28540 transcript:Ma04_t28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPNPDSPHSKNSGAISPSSRRQNFSTTSLAAASAGGAATSSSPPSLSRYESQKRRDWNTFGQYLRNHRPPLALSQCSSAHVLEFLRYLDQFGKTKIHTHMCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPETNPFGARVVRLYLREVREVQSKARGVSYEKKKRKKPPQQQEHHHPPPPPPAA >Ma06_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13094106:13097930:-1 gene:Ma06_g19140 transcript:Ma06_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTSVVSNPSSCTEATSSSAAAAFLPFRPLRFKDSGVRGTRISILTRGFRSHRGYALRSIPRFRRPAVVKSVATPDPLVELPLTAENVESVLDEVRPYLIADGGNVVLHEIDGNIVRLKLQGACGSCPSSVMTMKMGIERRLMEKIPDIVAVEPITDEETGLQLNEENIEKVLDEIRPYLAGTGGGELELVKIEEPIVKVRLTGQAAGVMTVRVAVTQKLREKIPAIAAVQLLS >Ma03_p33520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34843527:34843731:1 gene:Ma03_g33520 transcript:Ma03_t33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTLDRAWDTSIAMLALPKGKPMPPWRQGSQSYNSNWR >Ma08_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35238067:35240659:1 gene:Ma08_g21310 transcript:Ma08_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSIVKQGTDDTGQLPAINVLQTDPNISFALLAGVMLHINPVYGGMTSRIIPMDVDKHQVTNQVGPRNDARPTVQMHFKLSADKNLGSDNQGLDKLVSH >Ma09_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12771145:12780205:-1 gene:Ma09_g17250 transcript:Ma09_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRIASSSRGFGLRVSFISFWICFLPSISLAYRPGDIVPMSKAGQYHASRTSWHDVIGRHCPIFAVNGEVLIPISKPTGFTGADPYKISFQVGHEKFYVPWLYVINRKSSEVPIIDFHLRYSGNDLHGVTAKVIDMPHHYIEVHPDIRKHFWDPQNWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLAVSFILAIYVLQSSKEKLTRFVRENVAETSMPGGVAKVE >Ma11_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23449730:23456233:-1 gene:Ma11_g18370 transcript:Ma11_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKVKRRVGKYELGRTIGEGTFAKVKFARNIETGEPVAIKILDKEKVLKHKLVEQIKREIATMKLIKHPHVVRIYEVMGSKTKIFIVLEFVTGGELLDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTACGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFEDSNLMTLYNKISAAEFTCPSWLSFDAMKLLSRILDPNPVTRITIPEILKDDWFKKGYKPPVFEEKYDANLDDVDAVFKDSEEHHVKEKEEQPTSMNAFELISMSKGLDLGNLFDVEQEFKRETRFTATCPANEIISKIEEAAKPLGFDVQKKNYKMKLENIKAGRKGNLKVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSNSLKEVVWTSEEEAQDQAA >Ma07_p26380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33195771:33202667:1 gene:Ma07_g26380 transcript:Ma07_t26380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASLGLRSGSYGSVQQPSGALLSTQSPPYLFRKPSKISLSGPRERERILPRICNFAGRRKVRMLLLLVASAAVLSFITVVSKGDDASANPDTRMAFADQVQNFVDSGIPPLNNFRPPFIPAKKKKVGITTHSHQESATTHNVTHISAPIFPPKLHPCDSFSSPPPPADKRRIGPRPCPVCYVPAEQAALLMPPSPSASPVLKNLSYFSEDNLIANESIGGSIFGGYPSLLERNESFNIKESMMVHCGFAKGKKPGQGTGFDINDTDLLEMEECDDVVVASAIFGNYDIMQQPKNISEYAKRNACFYMFVDEQTEAYMRNSSGLDDSKRVGLWKTVVVRNLPYVDARRNGKVPKLLLHRLFPNARFSLWIDGKLELVADPYQVLERFLWRKNYTLAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKKEGLTPYSSSKLPITSDVPEGCVIIKEHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIMSQVNWTINMFMDCERRNFVVQAYHRDLLEQRKALAALVRPPPPALANDRLTRAPPSRKLPGKISMRRGRDKRPGSRRHHPRAAGAGGNR >Ma07_p26380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33195771:33202667:1 gene:Ma07_g26380 transcript:Ma07_t26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGASLGLRSGSYGSVQQPSGALLSTQSPPYLFRKPSKISLSGPRERERILPRICNFAGRRKVRMLLLLVASAAVLSFITVVSKGDDASANPDTRMAFADQVQNFVDSGIPPLNNFRPPFIPAKKKKVGITTHSHQESATTHNVTHISAPIFPPKLHPCDSFSSPPPPADKRRIGPRPCPVCYVPAEQAALLMPPSPSASPVLKNLSYFSEDNLIANESIGGSIFGGYPSLLERNESFNIKESMMVHCGFAKGKKPGQGTGFDINDTDLLEMEECDDVVVASAIFGNYDIMQQPKNISEYAKRNACFYMFVDEQTEAYMRNSSGLDDSKRVGLWKTVVVRNLPYVDARRNGKVPKLLLHRLFPNARFSLWIDGKLELVADPYQVLERFLWRKNYTLAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKKEGLTPYSSSKLPITSDVPEGCVIIKEHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIMSQVNWTINMFMDCERRNFVVQAYHRDLLEQRKALAALVRPPPPALANDRLTRAPPSRKLPGKISMRRGRDKRPGSRRHHPRAAGAGGNR >Ma06_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7760246:7762199:1 gene:Ma06_g11040 transcript:Ma06_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSQVSEKVKLLIAVLALQSCYAGFHIVSRTALNMGISKLVFPIYRNIIALALLAPFAYFLEKKDRPPLTLSLLCQFFLLALCGITANQGFYLLGLYYLSPTYASAIQNSVPAITFAMAAALRLEQININSRYGVAKVVGTVASVGGATIITLYKGPPLLHHQHHAKLCLGASSNTILNWTLGCVYALGNCVAWSGWMVLQVPVLKKYPARLSVTAITCFFGLIQFLIIAAFSERDIERWKVHSGVELFTILYAGLVASGISFSLQIWCIDRGGPLFVAVFQPVQTVVVAIMAAVILGDQFYSGGIIGSILIVFGLYFVLWGKSEEKKATEQVMEEDVRGHLLDQEKSHKQNAAVSDIP >Ma01_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20856194:20859405:-1 gene:Ma01_g21240 transcript:Ma01_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVKKAGEEVDTIGGGGGEAVRVLVVDDSPSDRKIVEMLLKNSGGLFEVIAVESGEKAMEVLGLNGVTALLNDKNIDVILTDYCMPQMTGYDLLKAVKEHSSYPSIPVVMMSSENDPQRISRCQGDGAIDFILKPLKVKDVQRLRSYSLGRTPISKSGTKRKLPVDWIAESSSYETCQCCAEVAVS >Ma10_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21986481:21988577:-1 gene:Ma10_g07620 transcript:Ma10_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCRVLSSSAIHPRDLPLLQCLSRRDLRAARRVLDDSAHHPGAVVRWTSAISRFASAGFLPEAVALFDLMPRRNLVTWNALLAAYLHAGCTSAALDLFARMPGRNVVSYTSALCALARAGRIDDARALFDALPERNVVSYNAMLTALARSGDLAGARRLFDEMPERNAASWNSLIAGYAERGHMAEARSLFDEMVRCGSANVVSWTAVIAGYARAGDVWEAYDLFQMMIPDPNVVTWTAMMGGFAWNGFHHEAVSLFLDMSRTADRLEPNGETLLSLIYACAGMGFPCLGKQAHAYALVHGMDGNGEDARLTKGFIYMYSRFGYMDWARHIFDRSIRRCDAVCWNSMIEGYIRIGRLEEARRLFERMPERDATAWTVVISGLVRNERVAEAFGAFAESRAAGFAPEGHALAALLGAVGSVARLEVGEQVHGLTVKTGPASDTVLRNALVAMYAKSGDLEGARKVFEEEAAGKGGGWRDAVTWNTMVMGLAHHGRAHEALRLVVEMKQEVEPDGGTCLGALMACDHVGMVERAEEVIRFMVDRGIAVRAEHYACVVDMLGRAGRFEAAERFAGSAQAAAAAGGGGGVGRVAGHVRNGEGRRRGDGGGGAGGADGAGDGPEECGGPRGFVPRLRQEREPQGRSGGAGGDGEEGGEEEARL >Ma07_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29922580:29923995:1 gene:Ma07_g21820 transcript:Ma07_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVEQALHMVGGAGETSYATNSRLQEKALYRTKPILETAIAELYQTLLPERMVVIDLGCSSGPNTFLVVSEVLGIVGDLCRRLEQKPPEIQFFLNDLPGNDFNNVFRSLERYEKKMEEEKGDLLVPHYVVGMPGSFYGRLFPRNTVHIFHSNYCLMWLSQVPQGLESEKGVPLNKGNIYIAENSPPQVVKAYQEQHRRDFSTFLKSRYMELSIGGGMVLTFLGRRNKEPANGGLSYMWGLLAEALNAMVSQGIVSEDKLDAFNLPIYAPSMQEVKAVIHGEGLFDMEQAQIFECNWDPFDDTDDDDIVFDNVLNGKNVAMCLRAVSESLIAHHFGDAILDELFSRYADKVARHLLKEKTKHTVMVIALNKKA >Ma02_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6640461:6642650:1 gene:Ma02_g00810 transcript:Ma02_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGAPTVSVVLLALLSILTNPLVAFGRQTPNHDTHQRRTYIIQLRKPAASLDEQNLNIWYESFLPESDGSSMRLLHSYSEVFSGFAAELTEEEVKNMEKKEGFLRAHPDRVVPLLTTHTPAFLGLKQNQGLWKASNLGKGVIIGVLDTGLTPSHSSFDDEGMPPPPAKWKGSCSFESGCNNKLIGAKDMISGGSSSSPTDEEGHGTHTASTAAGNFARNASAFGLGGGTAAGMAPHAHLAIYKVCSSQGCSLADVLSGIDAGVKDGADVLSLSLGGSSLSLAEDPLAIGSFGATEKGVFVSCAGGNSGPSQSSVSNEAPWILTVAASTTDRSFRASIELGDGHSVNGESLDQLEALSETSLPLYFSQDSPDCGSISGDASGKVVVCKAGQSPADLATRVKDSGGVALIYITDASQGSTKLVRRVEFPAAFVSDKDGASIISYATSKSNPTASISFGGAVLGASAPSVAFFSSRGPSLACPSIIKPDISGPGVNILAAWLDSEGTGESNYNIISGTSMATPHLSGVAALIKSVHPKWSPAAIKSAIITTSDDKIASGKPIRDQQEEPASFFAMGAGHVNPSKAVDPGLIYDLNTDDYIAYICGKFGDSGASVIVRDRSVNCDNVKNITEANLNYPSIFVALQSGSSVTVKRTVTNVGAASSSYKVQVNVPKSVSVTVTPQTLKFSKVEEMKSFTVTVKLTGSSSSVEGNLKWISDKHVVRSPIVVSSS >Ma04_p25530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27271151:27275918:1 gene:Ma04_g25530 transcript:Ma04_t25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-(hydroxymethyl)glutathione dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G43940) UniProtKB/TrEMBL;Acc:F4K7D6] MATQGRVITCKAAVAWEANKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRLATGVGVMLNDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKIHPQAPLEKVCLLGCGVPTGLGAVWNTAKVEAGSNVAIFGLGTVGLAVAEGAKTAGASRIIGVDIDSKKFDIAKKFGVNEFVNPKDHDKPIQQVIVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMNKEIKVDEYITHTMTLEDINKAFDLMHEGGCLRCVLALQP >Ma04_p25390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27176348:27178229:1 gene:Ma04_g25390 transcript:Ma04_t25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVVVKISNASQLEGIKYGSAPVSITMRSYVL >Ma10_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32831756:32833713:1 gene:Ma10_g24110 transcript:Ma10_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLRSVLRRNGLLPLFETHRLRDLLFFSSSVDPAAAVGGTISPDPHFMVKYLVSSCGFSPTEAAKVSKPLAHLRATEKPDAVLNFLRSQGFDGAGIRKVISADPRYLCYNVEKNLASKFQFLRDLGLSESDIVDVIWNNHEILCRNVHRSLVPKLEMWESLLGSRELVLKRLKKTGWFFFPSVEKTLHPNLKFLRDECGIPEERVSVVLRSHPKLISQKPESLRALVARADELGMPRQSRMFMWTLDVFHNVSKERFEAKVELMRSFGWSESEFSSAVMKAPTFLCISLDMLRRKVEFFINVVGFTPSFIADKPNLLLYSLQKRVIPRFRVTEMLKSKGLWTGQGKFTCILTLSDTKFTEKFVLPHKENVPELLDILRVAGVCKGK >Ma11_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1826754:1827362:-1 gene:Ma11_g02500 transcript:Ma11_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRAPKPGVLGKRKDREPSDSTRTQSLSKPEPHLPDAATAARPTPVGDNRLLAGLLAQEFLTRGTLFGKRWGGGKGSDPARPPGGTEEGPARPGRLGYAEVSYLLKSDAAHIPGVVNPTQLARWLQL >Ma01_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13010757:13020134:-1 gene:Ma01_g17750 transcript:Ma01_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLLFSNTRRNHISYNGSACTVRFLNAVYHGLLVGLVPNTQKNGRLLLGVGRSISSERQEDSDEDDREITVNTIQTAIVLSKEVLTIQRSLLNQIVERREIASSTKDSVGTKEKEPASYNQSNSSFSNLDGGNNGELQRTNDSWTSSDYVQSTEAGIVDEVEEPINGSEVEQSMADALGLLREANLEQSQLTEQTTDVQSTEAGVVNEVEEPISGLEVQQSMADALGLLRETNLEQSQPHVTLPVLPDKSNSYVMKDENLEVSAVTIVQALDEQQEESPKQGIFDSSPVANPNAMNVIVVAAECAPWSKTGGLGDVVGELPKALARRGHRVMVVAPKYGNYAEPKGVGVLKRYKVDGQDLEVTYYHAYIDCVDFVFIDSPVFRHIENDIYGGNRRDILKRMVLLCRAAVEVPWHIPCGGVCYGDGNLVFIANDWHTSLLPVYLKAYYRDMGLMKYARCVLVIHNIAYQGRGPVDDFSLVDLPDHYLDLFKFYDPVGGEHFNIFAAGLKTADRVVTVSHGYAWELKTPEGGWGLHEIISDNDWKFRGIVNGISTESWNPNSDVYLTSDGYTNFSLETLQLGKAQCKAALQRELGLPVLGDVPIIAFIGRLDYQKGVDLIAEARPWLVGQDLQIVMLGTGRRDLEDMIRGIESAHRDKARAWIGFSVKMAHRITAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHSVGGLRDTVQQFDPLNDVGLGWTFERAEANRMIQALQHCLDTYRNHKKSWERLQVRGMIQDLSWDNAAQRYEEVLIAAKYQW >Ma03_p04580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3003336:3008362:-1 gene:Ma03_g04580 transcript:Ma03_t04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLNAYNVVASRCAAEYLEMTEDVEKGNLIYKIEVFLNSSVLRSWKDSIIVLQTTKSMLPWSEELKVVGRCIDCITSKTSVEPAAVTWSYTYDRKLTASDDITETQKRMHQVPNDWWIEDICELDVDLYKRVMVALKSKGRMSSDMIGEALKAYTVRWLPDSYDALVADDYMKRNRILVETIIYLLPSDKYSGCSCRFLLKLLKVVILVGARDPSKEELIDRISMQLHKASVKDLLIPAKSSGDTIYDVHLVQILVGKFMMQVGSTHDMNIADESENISLLALGRLIDGYLAEIACDSNLSISSFVDLAESIPDAARPDHDGLYTAIDIYLTEHRNMTKADKKRICGLMDVKKLSTEASIHAAQNERLPLRVVVQVLFFEQLKAAGGASAATNDTSHDSSMNRAILEEDWEGRVSENDDSLKQQLGSSKIKADECQDGDDKKSVKDKGSSLLLLPSRSRRIFDKLWVGKGQGETIKSSETSGSSQSPPISVKPGEAKSSGSSRHMRNSIS >Ma03_p04580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3003336:3009133:-1 gene:Ma03_g04580 transcript:Ma03_t04580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGGNIRFVVSELPTDVIVRVGEVRFFLHKFPLLSKSNRLQGLVLKAGEGGTDEIYLHDFPGGPKTFEICSKFCYGMTVTLNAYNVVASRCAAEYLEMTEDVEKGNLIYKIEVFLNSSVLRSWKDSIIVLQTTKSMLPWSEELKVVGRCIDCITSKTSVEPAAVTWSYTYDRKLTASDDITETQKRMHQVPNDWWIEDICELDVDLYKRVMVALKSKGRMSSDMIGEALKAYTVRWLPDSYDALVADDYMKRNRILVETIIYLLPSDKYSGCSCRFLLKLLKVVILVGARDPSKEELIDRISMQLHKASVKDLLIPAKSSGDTIYDVHLVQILVGKFMMQVGSTHDMNIADESENISLLALGRLIDGYLAEIACDSNLSISSFVDLAESIPDAARPDHDGLYTAIDIYLTEHRNMTKADKKRICGLMDVKKLSTEASIHAAQNERLPLRVVVQVLFFEQLKAAGGASAATNDTSHDSSMNRAILEEDWEGRVSENDDSLKQQLGSSKIKADECQDGDDKKSVKDKGSSLLLLPSRSRRIFDKLWVGKGQGETIKSSETSGSSQSPPISVKPGEAKSSGSSRHMRNSIS >Ma03_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3003336:3008251:-1 gene:Ma03_g04580 transcript:Ma03_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLNAYNVVASRCAAEYLEMTEDVEKGNLIYKIEVFLNSSVLRSWKDSIIVLQTTKSMLPWSEELKVVGRCIDCITSKTSVEPAAVTWSYTYDRKLTASDDITETQKRMHQVPNDWWIEDICELDVDLYKRVMVALKSKGRMSSDMIGEALKAYTVRWLPDSYDALVADDYMKRNRILVETIIYLLPSDKYSGCSCRFLLKLLKVVILVGARDPSKEELIDRISMQLHKASVKDLLIPAKSSGDTIYDVHLVQILVGKFMMQVGSTHDMNIADESENISLLALGRLIDGYLAEIACDSNLSISSFVDLAESIPDAARPDHDGLYTAIDIYLTEHRNMTKADKKRICGLMDVKKLSTEASIHAAQNERLPLRVVVQVLFFEQLKAAGGASAATNDTSHDSSMNRAILEEDWEGRVSENDDSLKQQLGSSKIKADECQDGDDKKSVKDKGSSLLLLPSRSRRIFDKLWVGKGQGETIKSSETSGSSQSPPISVKPGEAKSSGSSRHMRNSIS >Ma07_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31166126:31166929:-1 gene:Ma07_g23570 transcript:Ma07_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGALLALVLACLLTAGAHAAISCGQVVSYLTPCLGYARAIGPLTAGCCSGVRALDGAARTTPDRQTTCNCLKRSTAGIQGLQPGLISGIPRKCGVNIPYSIGPSTDCSRVK >Ma09_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35596533:35597549:1 gene:Ma09_g23890 transcript:Ma09_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKEHPYELGAGVCASCLRERLLALVAAENELSPHRQPWGFDAPNIAFPQSVSPYLPHRRSVGSDASHHHDPSFFSTPGLGHTLDGEFDGGRNRRSGRFSVLKALFGHHTSEKGEADWVPRKGSGPRSWFSALVRLRRRRKKRQPEPFSAAEGETAPEQNTRSCRLVQRGMSPAMEDEEESDASGYTSESSNGWRRPIPTPLRRISASSRHRHRHHQQQQQLRGVGGVSGFTVRLSPLMRSGASGRHSQVSESAGVSGELRYPANSVRRDRRTATGCAPLGLDPSRSWKLADFGRFK >Ma03_p27080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30570917:30579444:-1 gene:Ma03_g27080 transcript:Ma03_t27080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRMPPFVPAAAAARSLVARSAARWRAPFGRPAAPRRFQLPSSRPRVPPPRPFYNFASRDAKIQAPEDQSEIIFIGTGTSEGIPRVSCLTNQSKTCKVCSKAIEPGNKNRRLNTSILIRYVNSVGRFNILVDAGKFFYHSALRWFPAYGLRHIDAVIITHSHADAIGGLDDLRDWTNNVQPFIPIYVAQRDFEVMKKTHYYIVDTSVIVPGAAVSELQFNVINEEPFVIHDLKVTPLPVWHGHGYRSLGFRFGDICYISDVSDIPEETYMLLKDCDLLILDALRPDRSSSTHFGLPRALEEVRKIQPRRTLFTGMMHLMDHDEINEELAKLLESEGLDVQLSYDGLRVPPKRFSSNGGLLSVSSRCHATLQRLFQTSLGCVSSQHIMVEKDLKCGFWYSNCLDY >Ma03_p27080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30570916:30579444:-1 gene:Ma03_g27080 transcript:Ma03_t27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRMPPFVPAAAAARSLVARSAARWRAPFGRPAAPRRFQLPSSRPRVPPPRPFYNFASRDAKIQAPEDQSEIIFIGTGTSEGIPRVSCLTNQSKTCKVCSKAIEPGNKNRRLNTSILIRYVNSVGRFNILVDAGKFFYHSALRWFPAYGLRHIDAVIITHSHADAIGGLDDLRDWTNNVQPFIPIYVAQRDFEVMKKTHYYIVDTSVIVPGAAVSELQFNVINEEPFVIHDLKVTPLPVWHGHGYRSLGFRFGDICYISDIPEETYMLLKDCDLLILDALRPDRSSSTHFGLPRALEEVRKIQPRRTLFTGMMHLMDHDEINEELAKLLESEGLDVQLSYDGLRVPPKRFSSNGGLLSVSSRCHATLQRLFQTSLGCVSSQHIMVEKDLKCGFWYSNCLDY >Ma03_p27080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30570985:30579444:-1 gene:Ma03_g27080 transcript:Ma03_t27080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRMPPFVPAAAAARSLVARSAARWRAPFGRPAAPRRFQLPSSRPRVPPPRPFYNFASRDAKIQAPEDQSEIIFIGTGTSEGIPRVSCLTNQSKTCKVCSKAIEPGNKNRRLNTSILIRYVNSVGRFNILVDAGKFFYHSALRWFPAYGLRHIDAVIITHSHADAIGGLDDLRDWTNNVQPFIPIYVAQRDFEVMKKTHYYIVDTSVIVPGAAVSELQFNVINEEPFVIHDLKVTPLPVWHGHGYRSLGFRFGDICYISDVSDIPEETYMLLKDCDLLILDALRPDRSSSTHFGLPRALEEVRKIQPRRTLFTGMMHLMDHDEINEELAKLLESEGLDVQLSYDGLRVPVIL >Ma05_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2405970:2411397:1 gene:Ma05_g03350 transcript:Ma05_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNDGNANKKLKIAIIHPDLGIGGAERLIVDAAVQLASHGHSVHLFTSHHDKNRCFEETVTGPFSVKVYGGFLPRHIFYRFHALCAYLRCIFVALCVLLLWPAFDVILADQVSVVIPLLKLKRSTKILFYCHFPDLLLAQHTTMLRRIYRCPIDMIEEATTGMADLILVNSKYTASVFATTFCRIHSRGTRPAVLYPAVNVEQFDGPCTYKLNFLSVNRFERKKNLQLAISAFAFLRSFGSAPPSYAEATLTIAGGYDKRLKENVEYLDELKRLAEVEGVSEHVEFVTSCTTAERNKLLSECIAVLYTPKDEHFGIVPLEAMAASKPVIACNSGGPLETIKHDVTGFLCEPTPFEFAQAMSKLLTDPEMAVRMGKEARDHVTQQFSTKAFGEQLNHSVLDVYHHRIE >Ma04_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:323570:326903:1 gene:Ma04_g00330 transcript:Ma04_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTIPHPVPSAAEDAEHIRKAVQGWGTDEKALILVLAHRDAAQRKHIQLAYEEMYNENLIKRLESELTGHFERAVYRWIFDPIEREAVMAYVALKKTFNYQVIIEIACVNSSKELLAVKEAYHARYKHSLEEDVAAHTVGDFRKLLVALVSTYRYGGDEIDISLAKSEARILQDVIKQKKLNHEETIRILGTRSKAQLNATFNCYREDYGTPIEESLAGEDPNEFASALRMAIGCIVNPQPYFVEVLHDALSKPDTDEDTLTRVIVMHAEKDLRGIKEMYQSRNNVPLEQAVRQKTSGDYKTFLLALIGN >Ma04_p39110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36325389:36327711:-1 gene:Ma04_g39110 transcript:Ma04_t39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDIGALWFSALGPAGLDESFRSEGRVHWFGVFRVVERAWAGTPPSPSPSSAFISPPPSHRRRLHPPPPSPSLPFPLLLPLPPNTLFPPQPDSLSPSPSPPLLPPNAVPSPPPLPPLSPPPTSTPPLPPPDLPPPAHPPPPNHPPSPPNTSPLLPPPHPSPPM >Ma06_p30270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31577898:31579237:1 gene:Ma06_g30270 transcript:Ma06_t30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLPPPPSLDLRDLKALSILGRGAKGIVFLVRVADASSAGDALALKAVSRSSIERRASGGDAYRRVWLERDVLLSLRHPLLPSLRGVVSTDRIVGFAIDRCSGGDLASLRRRQSEKMFSEDIIRFYAAELVLALEYLHGLGIVYRDLKPENVLIQDNGHLMLVDFDLSTKLPSGPPPEPLNSPPSVTPRHDESTPPGTAKKRKKKVKRKEKKASRLTGCLSFNADVSPETSKSFLAEEAKAAPPASPGTSAGKSNSFVGTEEYVAPEIIEGKGHDFAVDWWGLGVVLYEMLYGRTPFRGKNQKDTFHRILTKEADLVGETTPLRDLIRRLLEKDPERRITGEAVKAHGFFRGVMWEELLRIPRPPFIPMPSDDADNAAFEGLDVESTVVEVSAAKEEKASGGKRDEGVTAPPRPPDFVVF >Ma04_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21148348:21151840:-1 gene:Ma04_g18850 transcript:Ma04_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSLATAFLGAAAAAAAAKAEPFRANRPSKSTASPAFSFRVCQRGVAFVGSRRGAVRRSPRCEVQVDIKEKAAASISAIEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVPEAQWPRAVGDLCGLNHIEEAAVLSTCNRMEIYVVALSWHRGIREVTEWMSKASGIPVSELRQHLFMLRDGDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRVGQVSGGLGKNIDRMFKDAITTGKRVRSETNIASGAVSVSSAAVELALMKLPKPHCGSARMLVIGAGKMGKLVIKHLAAKGCKKVVVVNRSVERVEAIREELKDIEIIYRPFSQMHSCAAEADVVFTNTASETPLFLKEHVQVLPTVSEDVGGVRLFVDISVPRNVGSCVASHEHARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKNLRSYADRIRASELEKCLQKVGEDALTKKMRKAIDELSTGIVNKLLHGPLQHLRCDGNDSRTLDETLENMHALNRMFNLHTDQKAILEQKIKAKLGKTQG >Ma11_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7257446:7262205:-1 gene:Ma11_g09090 transcript:Ma11_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEDNVPQPQSSVCIRCLPILMCSPTSSPRHPSPLLPPPHSLSLPLLLKSLSRSGSRTKMWSYWAAVAPPPHAFRRKRVKSMALRLLFLFLSLSAIYADEGAYIGVNVGTALSNMPSPTMVAALLKSQQIRNVRLYDADPAMLAALTNTGIRVTVSVPNEQLLAVGQSNATAANWVARNVVAHVPAVNITAIAVGSEVLTALPNAAPLLVPALRYIHSALVAANLDGVIKVSTPHSSSLILDSFPPSQAFFNRTLEPVFVPMLKFLQSTGSYLMLNVYPYYDYLQSNGIIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNLPVVVMESGWPHKGDPTTEPDATTDNANTYNSNLIRHVINSTGTPKHPGVSVPTYIYELYDEDLRPGVTSEKFWGLFDANGVPAYTLHLTGSGMLLANDTSSQTFCVAKEGADEKLLQAGIDWACGPGKVDCSLLTQGKPCYDPDTVEAHASYAFNEYYHGMGMAVGTCHFSGVAAITTTDPSHDSCLFSGSGGRNGTVLNGTSLATSPNSTSSTSSDAHSFYQSKVVLQIVEALLSGLVFL >Ma03_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1740195:1744844:-1 gene:Ma03_g02580 transcript:Ma03_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIFSYLQNLWPFSIFKADDLRISAQLVCKLSIPDKTKQFVFAFREPDSDSIVYILAAQNLSLQSALDAEYLIKEVQPKVVVAQISPSVLADIRTEEKCLKNDRVDNVPTSSFGVLKRCFIEKINKDHYESFAGCQVLQEIFGVGFYGHFLAAKRAAEETDSHFILLESPYDKRYAGTNQDNDKDGGQGSGLHIQTSGLLPGKVTSALCSSSKRICVDDTLKSEMIKSVIPFLDLIISKEVQPDPKSKVVPIKDEPNFNYKVPLFAQSFYPLLTDLHDIFIELPSIGKALVSVQRMLADINDGKPVNTQTLSSVYIFRIAVEGLRIALNNSARFPMERTKKSNSTEIEFFELPSEEKSHVLFVQALRSQAKKFGSVVAIVDAGCLAGLRRHWNTSVPLNVAESTDTCFTKYHDDDPDANDEKVVENMKRKGLLAERPVVTIGAGATAVLGASSLSKVVPASTIVKLATYKIPAILKLSLAQLQRTATIGLGNILGSSHFLTHGLTSAGAKTSTLKFTASAEKIRAMTHTMIASAERTSLLAMRTSFYEIMRRRGVRPVRFASLATFGSSMVACSALLAYGDGIECAAEALPSIPMIASLGRGLQSLHQASQAVREAWY >Ma03_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2821670:2825087:1 gene:Ma03_g04280 transcript:Ma03_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRELHGLIFSGALLLLLLAQPLLVFSATPPFACDPANPSTRNYGFCQTTLPIDKRAADLISRLTLEEKIQQLGHIAPAIPRLGLPNYKWWSEALHGVSNWGRGIRFNGTITSATSFPQVIVSAASFNPDLWYRIGQAIGVEARAIYNVGQAEGLTFWSPNVNIFRDPRWGRGQETPGEDPVTASKYAVAFVRGLQGDSPTGERKSEQLMTSACCKHYTAYDLDNWKTHSRYTYNAVVTAQDMEDTYQPPFKSCVQEGRVTCVMCSYNRVNGVPTCADFNLLTLQARNSWGLDGYIASDCGAVDLISGAIHYAKSPEEAVADVLKSGMDLDCSDFVQKHAGSAVQQGILSQGDIDRALFNILSLRIRLGHFNGNPLQLPAGNIPPSQVCSKEHHDLALEAAKAGIVLLKNAANLLPLARSKVTSLGVIGPSANNGYLLIGNYNGPPCTGSTPLGELRNYVNDTRSVQGCNKVACDAATINEVILLARSVDQVVLFMGLDQDHEREGLDRIDLVLPGLQRSVITKAAKYAKRPVILVLLTGGPVDITFAKDDPRIGAILWAGYPGEAGALAIAQVLFGEHNPGGKLPVTWYPQEFTSVPMTDMRMRADPATGYPGRSYRFYTGKPVYEFGYGISFTSYSYEFEAEEAVTSIYLNSSLTPRTTANSHILSYDIASLGSDTCGNLKFSATVGVKNQGSMAGKHPVLLFSRWSSSEHGRPIKQLVGFQSVHLEAGESTKVVFPLSPCEHLSRATDDGTRVLDKGSHYLVVGQKEHEIRIIS >Ma05_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26892284:26897299:1 gene:Ma05_g19190 transcript:Ma05_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKKNNVVRKYNTRQCSRGKRPSPSSSSGPSCYRGKKPSPSSSSGPSCYRGKKPSPSSSSSGPSCYRGKKPSPSSFSGPSCPPPPAQPSFFKIMLGGFKEHLFIPPKFAKRLVGLVNQNVCLQDCLGNSSSVKISVVDSSLAFQEGWHDFVLDHSIDFGEFLVFKYLSKSLFSVQVFGIDACEREEFGERNGNSSCTRKTSNADLSLGRLQFRKRRRTFEVSKDESCPGKKHLGTKDDSSDSDVQILEDECVAKDAVEFGNEPKETPQHHHDPGSSQIADVIDLTGPQVNMFSGQVIVEPEENVPVERSKTCVAKGVVEFGTESKETLQHHRDPGSLQIADVKDLTGPLVNMFSGQVSVEPKRNVPVERSKTYVAHGILLDDQKTVETGIHFDHMVTFQLVEQSLSGHEDSHGKSHTAPTVACRRSKTKDQIVSCWGTIPYNSKLDSSLPQNETKNYLAEEPEEHKIKKIGLKGHSTPEVMTAILVASEMVACKFLLTEEGICHIVNDYEKNGLGAVTVTPICVSTMEIGTCIDCNHSVTMSNDASCHLQSVPEVNFHGPATYSIETSNGMRTYEENGNAPGFLSGKDFSKLDGSVRSILQDVPGIDTPQGNSIRYGMMLDALPVEDEDAVCMRSQNLEPVEVDNMDLDDLSLANSFCFRLTLSSSNRCQLALPLGIPFHMRQERKDIILRDPSGRSWPVLYHESNQFIGFLNGWEEFATANNLQQGNLCEFFVVTGAPDPTFQVQIRHT >Ma07_p11750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8732289:8735798:-1 gene:Ma07_g11750 transcript:Ma07_t11750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLCCPCSTLVSPRLPSPSWATLPRISITRVQRYVGSSMNSDALKVVPLRSKQQLHWSFIGGSRIQHEPSGSRIVRRQRGFRPSASWLTSSQIASNAFTWGTVAVLPFYTLMVLAPKASFTRRTMESSVPYIALGVLYAYLLYLSWTPDTFRMMFASKYWLPELAGIAKMFTNELTVASAWIHLLAVDLFAARQVFHDGLKKNVETRHSVSLCLLFCPMGIFSHCITMLLAQMANRSH >Ma07_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8732295:8735763:-1 gene:Ma07_g11750 transcript:Ma07_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLCCPCSTLVSPRLPSPSWATLPRISITRVQRYVGSSMNSDALKVVPLRSKQQLHWSFIGGSRIQHEPSGSRIVRRQRGFRPSASWLTSSQIASNAFTWGTVAVLPFYTLMVLAPKASFTRRTMESSVPYIALGVLYAYLLYLSWTPDTFRMMFASKYWLPELAGIAKMFTNELTVASAWIHLLAVDLFAARYSTTV >Ma03_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23551014:23559466:-1 gene:Ma03_g17960 transcript:Ma03_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLHLDSNLLIKLTSLRRIRRLETVWDDDARFADVAKCRSLVARSLLHECETKNRKNSLIRAGYGGWLLYTAASAGDIGFVQELLDRDPLLVFGEGEYGVTDIFYAAARSKNSEVFRLLFDFAVSPRCSMGGRGEVVEGSDGSSVFRWEMVNRAVHAAARGGNTVFLKELLEDCSDVLAYRDIQGSTVLHTASGRGQLEVVKDLLLSFDIIDSRDNQGNTSLHVAAFRGHLTIVEALIMASPSSCSLINEPGDTFLHMAVAGFRTPGFRRLDRQMELMKQLISGTIVNVEDIINVRNNDGRTVLHMAVIGNVHTDLVELLMTVQSIDLNIRDADGMTPLDILRQHPRSASSEILIKQIISAGGIANSKDYKARYVLASHLKMQGTGNSPGTSFRIADAEIFLYTGIEASEVSGRPSSCSSTSKSEVSHPSATDENHGSSDRKKQSSVSNAARRLKILLRWPRRKEKTTETPKRVGDDDSFDSFKKLVNQEDTPTPLRQRFSKATSLLNNKRTLSVRSTIPSPSTKKKFTAGLMHGVFQSMPHLAPSARTPSSLFSKSSKPSSTMEKQKGILLENENDGASCSSSSINCGATENMTPRSSLVSIRLMNQYFCFGAQNLAADDSGSEKQSNQASKCSLVSVA >Ma04_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7342867:7348543:1 gene:Ma04_g10290 transcript:Ma04_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDFSWKLADHPKLPKGKTVAVVVLDGWGEANPDKYNCIHVAETPTMDSLKQGAPDKWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYEGEGFKYIKECFDQGTLHLIGLLSDGGVHSRLDQLQLLLKGSSEHGAKRIRVHVLTDGRDVLDGSSVGFVETLENDLAKLREKGVDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFQSAVEAVKKLRENPKANDQYLPPFVIVDESGKAVGPIVDGDAVVTFNFRADRMAMVAKALEYIDFDKFDRVRFPKIRYAGMLQYDGELKLPSQYLVSPPEIERTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFNPTMEEYVEIPSDSGITFNVQPKMKAVEIAEKARDAILSHKFDQVRVNLPNGDMVGHTGDIEATIVGCKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPVYDKAGNVQILTSHTLQPVPIAIGGPGLAPGVRFRNDVPNGGLANVAATIMNLHGFEAPSNYETTLIEVIDD >Ma09_p29620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40028485:40037360:1 gene:Ma09_g29620 transcript:Ma09_t29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSFSFKPLAPPGHLPFFPLRPKRWTLELASFSSSFFPGRLRFVGSQCFVSRSSGRRRRCTPIKAKATDYYGTLNLRRNSTLQEIKSAYRSLARKYHPDMNKSPGAEEKFKEISAAYEVLSDEEKRSLYDRYGEVGLQGEYGAAGVGPQGVDPFEVFNAFFGDSSGLFGGDMDPGGFGMNSRFTRSQGLDIRYDLSLSFEESVFGSQREVNVVRFETCDKCNGTGAKSSSCIKSCMECGGRGGVMNSQRTPFGIVSQVSTCSKCGGNGKLVTDFCRSCNGEGKIEGHRSIKVDIPAGVNDGYTIQINGEGSYDKKRGVVGNVYLFLHVNEKPGFRRDGLNLFSEVSIDYTEAILGTVVKVETVEGYKDLQIPSGTQPGETLKFTNMGVPNLRRPSVRGDHHFVVRVEIPKNISDEERLRVEKLASLRATKDSSIGSKGMHQDNMYKYSEKRKTRASRKRMNNSFWSYMKNLFGASQTGTGIASISLQAPVPAWMPHPKADPSTVAAYGAFAVTGILYLICRISGFLSVPQKNFLTPQRSEKEDE >Ma02_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24574796:24576333:-1 gene:Ma02_g17810 transcript:Ma02_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIEFFPPPSPIPSATLHPVVRFNICDCYVRRSDQAERVIGTLLGSVSDGVLEIKNSYAVPHNEPADLVALDVDYHNNMYMCHLKVNPKEVTVGWFSTGFGVSGGSALIHDFYVKELKEAVK >Ma10_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24259253:24263894:1 gene:Ma10_g10240 transcript:Ma10_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPMAPPPMEQQPQQWNMMPPQPQYYQAPPAPPMWNQQPSQVPPPVPQLVPQPQPQYQAPAPVPPPQMQYQVPPPAQAPPMAPQPASSDEIRTLWVGDLQYWMDENYLYSCFVHTGEVVSVKVIRNKQTGQSEGYGFIEFVSRVAADRILQTYNGQIMPNSEQAFRMNWATCGAGEKHGDGADYTIFVGDLAADVTDYLLQETFKSHYTSVKGAKVVTDRLTGRSKGYGFVKFGDLNEQTRAMTEMNGVYCSTRPMRIGAAANKKSLGTQQQYSANASFQTGQGDESESDPNNTTIFVGGLDPNITDDHLRQVFSAYGEIVYVKIPVGKRCGFVQFANRASAEEALRMLNGTLLGGQSIRLSWGRSPANKQPQQDPNQWNGSYYGYTQSYDTYGYAPPQDPNMYAYATYPGYGNYQQPQQQAQPPPQ >Ma09_p29660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40061201:40062117:-1 gene:Ma09_g29660 transcript:Ma09_t29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPEEDEKLKELVSRFGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRVHGNRWAAIARLFPGRTDNAVKNHWHVIMARRHRKATAKSIYTATARSTEAKDKGSESRHIYNHLKLLPKAFFSDLHDHIPQMAKDGESSTEFYDFLQVNTESDGAKCHSSVEEQEEEEEEEAEEEWRKAGVPFIDFL >Ma11_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6168917:6174012:-1 gene:Ma11_g07730 transcript:Ma11_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLSSRALIRSLETVGLRLLVLLPSLPPATRLLGLRRRSGRPWRVHVVENDLDAEGSSTGSGTGEADALRKRRVDDAIHNMVVRRYAPDWLPFVPGASYWVPRQKRPYRVAELIAGLVDSRLADPEIKNSPSEDEEMSFATERGWPSSAYFLEDLSPQQMSQLKVPKTQSDDEDS >Ma03_p28310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31423148:31435705:-1 gene:Ma03_g28310 transcript:Ma03_t28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRAYKLQEFVAHSSNVNCLKIGRRTSRVLVTGGEDHKVNLWAIGKPNAILSLSGHISAVESVSFDSSEVLVAAGAASGSIKLWDLEEAKIGSLFLLCAVVRTLTGHRSNCIAVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIKFTPDGRWVVSGGEDNIVKLWDLTAGKLLHDFKFHEGQIRCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSMTFNPDGRTLLCGLHESLKVFSWEPIKCHDAVDVGWSRLSDMNIHEGKLLGCSYNQSCVGVWVVDLSRIEPYAIASAANLNGHSEPKSASSGSVSMQADNNIKSSMGRLSSSEPNAKETKPVAYVPGTPQRIATSGGTKTTTTAPAPAPAPALAPVATTVKRSASKAQATTNLPTIKKSDIIPVIVPRTSPRLELSADSTNDGIGRTIPCDIQSKSANFRKVSNINENSERADMSVQSGFVGSRTTEQNELMDQTAISSGNSVTQRVTGGETNLDDVKCVTTGRPGANLFRESSANYDHENRRTRSLVATWERREHSPSYDQPRLSNSSETGATSSSPYSLRGRNRFAEKETVPASDEDAIAVLLGKHDQFLNLAQSRLIKLQVVCQLWERNDVKGVIDTIEKMSDHAVCADVLSSLKDKSEIITLDICASLLPLLTGLLESKIDRHLSVSLEMLLKLVKIFVPVIRSTLSAGPSVGVDLQAEQRLERCNLCFIELEKIKNSISSLIRRGGSIGKAAQELNLALQEVL >Ma03_p28310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31423148:31435769:-1 gene:Ma03_g28310 transcript:Ma03_t28310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRAYKLQEFVAHSSNVNCLKIGRRTSRVLVTGGEDHKVNLWAIGKPNAILSLSGHISAVESVSFDSSEVLVAAGAASGSIKLWDLEEAKIVRTLTGHRSNCIAVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIKFTPDGRWVVSGGEDNIVKLWDLTAGKLLHDFKFHEGQIRCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSMTFNPDGRTLLCGLHESLKVFSWEPIKCHDAVDVGWSRLSDMNIHEGKLLGCSYNQSCVGVWVVDLSRIEPYAIASAANLNGHSEPKSASSGSVSMQADNNIKSSMGRLSSSEPNAKETKPVAYVPGTPQRIATSGGTKTTTTAPAPAPAPALAPVATTVKRSASKAQATTNLPTIKKSDIIPVIVPRTSPRLELSADSTNDGIGRTIPCDIQSKSANFRKVSNINENSERADMSVQSGFVGSRTTEQNELMDQTAISSGNSVTQRVTGGETNLDDVKCVTTGRPGANLFRESSANYDHENHNIRIHKPKEACSFDIPKGGRTRSLVATWERREHSPSYDQPRLSNSSETGATSSSPYSLRGRNRFAEKETVPASDEDAIAVLLGKHDQFLNLAQSRLIKLQVVCQLWERNDVKGVIDTIEKMSDHAVCADVLSSLKDKSEIITLDICASLLPLLTGLLESKIDRHLSVSLEMLLKLVKIFVPVIRSTLSAGPSVGVDLQAEQRLERCNLCFIELEKIKNSISSLIRRGGSIGKAAQELNLALQEVL >Ma03_p28310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31423148:31435769:-1 gene:Ma03_g28310 transcript:Ma03_t28310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRAYKLQEFVAHSSNVNCLKIGRRTSRVLVTGGEDHKVNLWAIGKPNAILSLSGHISAVESVSFDSSEVLVAAGAASGSIKLWDLEEAKIVRTLTGHRSNCIAVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIKFTPDGRWVVSGGEDNIVKLWDLTAGKLLHDFKFHEGQIRCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSMTFNPDGRTLLCGLHESLKVFSWEPIKCHDAVDVGWSRLSDMNIHEGKLLGCSYNQSCVGVWVVDLSRIEPYAIASAANLNGHSEPKSASSGSVSMQADNNIKSSMGRLSSSEPNAKETKPVAYVPGTPQRIATSGGTKTTTTAPAPAPAPALAPVATTVKRSASKAQATTNLPTIKKSDIIPVIVPRTSPRLELSADSTNDGIGRTIPCDIQSKSANFRKVSNINENSERADMSVQSGFVGSRTTEQNELMDQTAISSGNSVTQRVTGGETNLDDVKCVTTGRPGANLFRESSANYDHENRRTRSLVATWERREHSPSYDQPRLSNSSETGATSSSPYSLRGRNRFAEKETVPASDEDAIAVLLGKHDQFLNLAQSRLIKLQVVCQLWERNDVKGVIDTIEKMSDHAVCADVLSSLKDKSEIITLDICASLLPLLTGLLESKIDRHLSVSLEMLLKLVKIFVPVIRSTLSAGPSVGVDLQAEQRLERCNLCFIELEKIKNSISSLIRRGGSIGKAAQELNLALQEVL >Ma03_p28310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31423148:31435705:-1 gene:Ma03_g28310 transcript:Ma03_t28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRAYKLQEFVAHSSNVNCLKIGRRTSRVLVTGGEDHKVNLWAIGKPNAILSLSGHISAVESVSFDSSEVLVAAGAASGSIKLWDLEEAKIGSLFLLCAVVRTLTGHRSNCIAVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIKFTPDGRWVVSGGEDNIVKLWDLTAGKLLHDFKFHEGQIRCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETSGVRSMTFNPDGRTLLCGLHESLKVFSWEPIKCHDAVDVGWSRLSDMNIHEGKLLGCSYNQSCVGVWVVDLSRIEPYAIASAANLNGHSEPKSASSGSVSMQADNNIKSSMGRLSSSEPNAKETKPVAYVPGTPQRIATSGGTKTTTTAPAPAPAPALAPVATTVKRSASKAQATTNLPTIKKSDIIPVIVPRTSPRLELSADSTNDGIGRTIPCDIQSKSANFRKVSNINENSERADMSVQSGFVGSRTTEQNELMDQTAISSGNSVTQRVTGGETNLDDVKCVTTGRPGANLFRESSANYDHENHNIRIHKPKEACSFDIPKGGRTRSLVATWERREHSPSYDQPRLSNSSETGATSSSPYSLRGRNRFAEKETVPASDEDAIAVLLGKHDQFLNLAQSRLIKLQVVCQLWERNDVKGVIDTIEKMSDHAVCADVLSSLKDKSEIITLDICASLLPLLTGLLESKIDRHLSVSLEMLLKLVKIFVPVIRSTLSAGPSVGVDLQAEQRLERCNLCFIELEKIKNSISSLIRRGGSIGKAAQELNLALQEVL >Ma06_p16360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11066035:11076826:1 gene:Ma06_g16360 transcript:Ma06_t16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAPASHTTSLDCISLSEPDINKSVTLLKQACLDSGFFYVIDHGISQEFMDEVFAQSKKFFDLPIDDKMKLLRNEKHRGYTPILDETLDPDHQINGDYKEGYYIGVEVHEDDPQAEKPFYGPNLWPSADILPRWRETMERYHKEALRVARAVARIIALALDLDAGFFDKPEMLGEAIATLRLLHYEGKVSNPDKGVFGAGAHSDYGLITLLATDDVAGLQICKDRDAKPQVWEYVAPVKGGFIVNLGDMLERWSNCIFRSTIHRVVLDGRERYSIAYFVEPSHECLVECLPTCKSETNPPKFPAVTCSAYLLQRYKDTHADLSTYDLVNSSKAVA >Ma06_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11066035:11076826:1 gene:Ma06_g16360 transcript:Ma06_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAPASHTTSLDCISLSEPDINKSVTLLKQACLDSGFFYVIDHGISQEFMDEVFAQSKKFFDLPIDDKMKLLRNEKHRGYTPILDETLDPDHQINGDYKEGYYIGVEVHEDDPQAEKPFYGPNLWPSADILPRWRETMERYHKEALRVARAVARIIALALDLDAGFFDKPEMLGEAIATLRLLHYEGANQFTGKVSNPDKGVFGAGAHSDYGLITLLATDDVAGLQICKDRDAKPQVWEYVAPVKGGFIVNLGDMLERWSNCIFRSTIHRVVLDGRERYSIAYFVEPSHECLVECLPTCKSETNPPKFPAVTCSAYLLQRYKDTHADLSTYDLVNSSKAVA >Ma03_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1537233:1541278:1 gene:Ma03_g02260 transcript:Ma03_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKIVTPFLLLLVASSLLQIWASDPWFYESFDEPFEGRWIVSEKDDYQGLWKHSKSDGHEDYGLLVSENARKYAIVKELDGPITLKDGTVVLQFEVRLQNGLECGGAYLKYLRAQETGWIPKGFDNESPYSIMFGPDKCGATNKVHFILQHKNPKTGKFVEHHLKFPPSVPHDRLSHVYTAILKPDNELKILIDGEEKKTTNFLSADDFEPALVPPKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAQKIDNPKCEAAPGCGEWKKPMKHNPAYKGKWHAPLIDNPNYKGIWKPQEIDNPDYFELDKPDFEPIAAIGIEIWTMQDGILFDNILISTNEKVAESYRADTWKPKYEIEKEKQKAEDAAAASSSDGLSGFQKQVFDVLYKIADIPFLEAYKIKIIDVIEKAEKQPNLTIGVLVAIVVVFATVIFRTLFGRKKPQAAAAPTTEPKNAGAAETDAAGSREEREENGKDDDASVPRAGRSRRKT >Ma01_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12947691:12947789:1 gene:Ma01_g17680 transcript:Ma01_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSARCASTSWRKGRRCEPSRGAATASTWSA >Ma03_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1055090:1058275:1 gene:Ma03_g01490 transcript:Ma03_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAYNVQCKTKYQHCNPRSANKQSLVVLQLSCDLHGGLTRKVFRFQTSQFVHHICNAVSEERGIPEHVFSQSSKSTLHKMKILSHYLESTDVVKNKFLTTLSLRRDKNMLCIIHFSPHCSLIILMRCLLHNLA >Ma08_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35166827:35169252:1 gene:Ma08_g21210 transcript:Ma08_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MASTLGATALSSVAARFSLRRRTPIAALSSKLRPLSLPSTEVSSSPTPLRPLRPPSTCTRVTRKWKLCAASDETLEPEEAPPAVPEPVEEQLAASTATEAAKGEDVFAVVMVGSRQYIVFPGRFIYTQRLKDANVDDKIILNKVLLVGTRTKAYIGQPVVTNAAVHAVVEEQGLDRKVIVFKYKKKKNYRRNIGHRQPRTRIRITGITGYQDFPAETMPETFPA >Ma11_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3864173:3868256:-1 gene:Ma11_g05000 transcript:Ma11_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVMLMKGAPSPGLAVGRDVGSRQHHPATKVSTLGPRAAAGAGGGSAAEASGPAAAARHSYMFPLRLDRLWAGRRGAVAGKRKGSEEAAVAERAEDSQVLKELPAEQRSDNWVLKILRVRSVWAEREGAAAGGGDREAAAVEDGDRCVGCGSGGHEDGSGGCVIDGVGKEEKLVFDRESFSRLLRRVSLVETELYAKMAYLGSLAYIVSKIKPKNLLKCYGLRFVASSLENNGKSLNSDEAKKPSQDQELKEENSDSEEIDTMKGNSTSVSTSAAPNLQYQTTGALPYGAAQTKDGEKLVEGISDDKQDRSVSPEEVSRMATIKLVTQAVAGKEEMKQAIAEDLNSSQSLPCEWYICDDDKSATRYFVIQGSETLASWQTNLLFEPIQFEGLDVPVHRGIYEAAKGMYQQMLPEVRTHLKSHGKSATFRFTGHSLGGSLALLVNLMLLIRGEVPATSLLPVITFGAPSIMCGGDYLLRKLGLPKYHVQAITMHRDIVPRAFSCNYPDHVAKILNAVNGNFRNHPCLKNQKLLYAPMGKLLILQPEEKFSPHHHLLPPGSGLYILDNSSVDSERLLQAASLAFLNSPHPLQILSDPSAYGSEGTVYRDHDTNSYLRSIRGVVRQELKLIRKARRERRRRMWWPLVATQDVYPTVVTTRSVGSTNSNQNHFSFVSVLHGGSRTLKRFARLVASQRVHMFVVLFFPARLLLLGALSVVS >Ma06_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3890092:3896659:1 gene:Ma06_g05250 transcript:Ma06_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPNLRTLTLTMAMSEEDDDDFQNPSEAFSIAQNRIVSSMKDPCLRTLKSSTGARPRKRPRKLASAEGKENLEDAEYIAVCTSVPSTLCVGEERMRAERRNSSLDSGPGSLETSISTSDCRIHFERDPVVHFDGVSGVEKEKSFLLTSVDPKVVKSGGNEGDGSRISEGTYYSRSIESRLLESRAKPVSNIDEGGCLRVDDWEDFDAGTQLNELMNLCCEMDDGGSSHGGASLEANEVDGETAELKQGGLVECPLCGIDITDISDELRQIHTNNCLDKVETLEVADPISEMKSNASEGVVDISPVTQWLQSLGLSKYKDIFVKEEINWETLQCLTEEDLLGIGIDALGPRKKIVHALNELRRRNHLPDTDKNISSAAIVENIKPHFSGNKLITEYFQGSVVDRHSVRNHNKPLNGRITKDSIPKRKPTRNTVSKGKVREIPPWCCIPGTPFRVDAFRYLRGDCSHWFLTHFHMDHYQGLNKNFYHGKIYCSSITAHLVNMKIGVPWDRLQILPLNQKITVAGVGLTCFDANHCPGSIIILFEPPNGKAVLHTGDFRFSREMANNAVLQSCHIHTLILDTTYCNPQYDFPKQEAVAQFVIEAIQAEAFNPKTLFLIGSYTIGKERLYLEVAQILRQKIYVGAAKLQLLRHLELAEEEMQWFTANEMESHIHVVPLWTLASFKRMRYISNQYSARYDLIVAFSPTGWACGKGKKRTPGKRWQQGTMIRYEVPYSEHCSFTELKEFVRLVTPEHIIPSVNNDGPESADAMIALLMSES >Ma04_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1525866:1526612:-1 gene:Ma04_g01720 transcript:Ma04_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIQTKFFPNLLFFLSVALLLTSAVSAYEFRVGGPRGWTKPTGDELENYNHWATKNRFHVGDSLYFKYENDSVLVVDKNAYKECNTTDPQLKFVDGNTTFRFDRHGYFYFISGKPGHCEAGERLIIRVMVHSEVMPGAAPSSQPGGGSANTESGAALKTTTAASVLMAALGSLFAISLFS >Ma06_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7899717:7900740:-1 gene:Ma06_g11260 transcript:Ma06_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSWELNSLLEELNGSVMEIPGVDGNYLFHQAELCVPFPDHLSGSLSAICQTPIAQPQAVACRAGQSQGDRDRKDIEAPDSSNEHSPVTPPETRVTEVKMKRNKNISGNEKRARGDSKKAEKPKEVIHVRARRGQATDSHSLAERVRRKRINERMHCLQGLVPCCHKAMGTARMLDEIINYVQSLQNQVEFLSMKLSAASSFYGYCFDMETIATPQVMSACMKL >Ma04_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5754130:5755651:-1 gene:Ma04_g07970 transcript:Ma04_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYHEREHFRSLFHDELAALFSQRPTDGAACISGGPSGSDTGAIFPAVTFTDVPLGSMMDNDALPRVFGLSCSAPLDALGPGGRTGSPELMVDVGNGSGSLTPTFGCGGRVTTVTTNSSTSSLSAEAAGEEDADRCKKEEQQEEEGDTSKKVKKPNKQGERRQREPRFAFVTESEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQNSTIVITTYEGRHTHRCPATVRGSTLLLPPPLMSTSFFQDLVMQQAHQLNSTANQMLPLQQLEFSHYGLLQDMVPSLAHHGQP >Ma06_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10280906:10282016:-1 gene:Ma06_g15110 transcript:Ma06_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFKIAALFFLLYGSLRWSAVSGTSPTDMFEQWIAQHGRTYANESEKSYRLGVFTRNLDFVNAFRQAGNRSYTVGLNRFADLTKEEFLAAYTTTGLSPSDDSYPGLHPFRYANVIAPSSIDWRNKDAVTPVKDQYSCGSCWAFSAVASIEGINKIVRGSLISLSEQQLFACDHNDDGCTGGLHYLAFSYVFSNGGITTEENYPYEPNQVACDAPKQSDHAVSITGYEIVPTNNEKLLMNAVANQPVSVSIDSHEFQFYTGGIFDGPCGTNLNHEVTLVGYGTDKNGTAYWIAKNSWGTLWGDDGYILLKKDVAEKEGLCGLAIRASYPII >Ma06_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1746528:1748419:-1 gene:Ma06_g02220 transcript:Ma06_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSSLLLLFAAHFLTTSFSLELNFYRDSCPRAELIVKEVVQKHCQKDPSVPAGLIRLHFHDCFIKGCDASVLVDSTDYNIAEKEAPPNLTLRAFDVIDDIKAEVEKECRGVVSCADILALAARDGVALSGGEAYPLPTGRRDGTVSLMEDAHFPGPSFSVQAALSAFQTINLDLVDLTTLLGAHSIGLCHCGFFIDRLYNFRGSGLADPHIDPGLLDTLRHKCPFEVVEIKNVSKDPKVFMNQAAAASSSPFTLNTSFYRGLLDYRAVLRLDQNLAFTDFTSRLAASYVDDPKLFLAQFSKSMIKLGSVGVLTGRDGEIRSNCRSINDGT >Ma08_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8801878:8802835:1 gene:Ma08_g11800 transcript:Ma08_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRSQPLQREEEGGESSPRKLARVLSDEAGIVTMAAPSPLMLGLGLGLGRGVGASKPVFTFMQLQELEHQALIYKYMAAGLPVPVHLVLPIWKSVAASSFGHYSYPSLMGYGSLCLDYRNIMEPEPGRCRRTDGKKWRCSRDVVPNQKYCERHMHRGRNRPRKPVEAGATTPITATSTQLSITVPAVGRQLTTDANTGISGGSRVSPPGQGLSHARVLRNDAAGKTVAS >Ma04_p30580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31204408:31209241:-1 gene:Ma04_g30580 transcript:Ma04_t30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKHAEASEAPKEEVAQERPKRTLLGWKDGVPEKQNSSPVFRNREKVLVTCSRRITYRYRHLMLNVVSLLPHCKKDSKVESKESKGATLNELIELRNCSSCLFFECRKQKDLYLWMVKCPSGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFTSNFDKEPRWKLIKEMIVQIFGTPKDQRKVKPFHDHVFVFSIVDGHIWFRNYQISVPHNETDKVDRGGLDKLTLVEVGPRFCLNPIKIFGSSFGGPTFYENPFYISPNQIRALEKKKKAGKYSKKVKAKKRRKMHELSNPLEPDEFADLWKD >Ma09_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10257745:10270151:1 gene:Ma09_g14950 transcript:Ma09_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease adapter protein CLPS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68660) UniProtKB/Swiss-Prot;Acc:Q9SX29] METAICSRIALSPNHVVNPKPGDKLSQHRGPCANRGILMAVSVSGPGKGGGLLERPTIEKTTPGRESEFDLKKSRKMAPPYRVILHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHHNGLAVVIICSQVDAEEHCMQLRGNGLHSSIEPASGGC >Ma05_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34510589:34511672:-1 gene:Ma05_g22720 transcript:Ma05_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGMKPVTGVSRVTIKRNKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSHLQTQAAQQFRIPDLSNKMAKVDVSSSATTGGEEEEVDESGLEPRDIDLVMTQAGVSRAKAVKALKTNNGDIVSAIMELTA >Ma09_p29760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40123104:40123749:1 gene:Ma09_g29760 transcript:Ma09_t29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKWEDGESNCDAISAASAAAALVVAVAPRFFVVELALTASVALQGLWSFQTDLSLYVEAFIPEGCHRLLGLRDLSSTRCDIESSRLRAAALLDLFFTLHATLIAIVTSIVYATMTRAYGGNGLVRRHNGGSYDALLTSLSAGSLSDMGRIDMKALSNSSTQA >Ma11_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16637772:16643171:-1 gene:Ma11_g12730 transcript:Ma11_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENSNSRQVTYSKRKIGLTNKAKELSILCDIDLVLLMFAPNGKPTICVGDRSNTEEVVARFAQVSQQEKGKRKLESLEVLKKTFKKLDHDVDIQEFLGSSTQTVEEITNHWSSLHGQLLDVQKRLSNWADPDKIDNINHITTMEQSLKESLGQIQVHKENFGKQLISVDYSGQNDIHPSTGLGCEQGASPVSWLHINDDQQLMLPQDANLLPWSIGEQTGANDQIQEECLHEYSQNTCLR >Ma08_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8126282:8135314:1 gene:Ma08_g11010 transcript:Ma08_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRQKQDTADQEFRRGLEELVRGHIDGCMTAALASCSSAASAVDNEDDPAPGGGGDGADQLARRRRRSDVAGDDLAETSAAARRHSRILSRWVARQAEEMITTIERRNRESELMALARLHTVSMLDSSFLRESRRSQTTVERPVAARASSVLQRWRELEGASSAVRERRSQPAPSPAATANNSRNGPESRSLAESIDGANLTSNESENNEHHEEGNEPPGLASTAQDREREVTDDRESSREQSPDLGDGWDGERERVRQIVRGWMMGTAVAADAALRTLPRRESPRSDWLGETERERVRLARERVQLVSQQRHAQGSRTGEIERSRSATDHGNASEQARRHLPRLRGRQALHDLVMRNVQERERELQGLSEHRAVSCFAYRSRIQSLLRGRFLRNSGPTEDERRSSVAARELGQLRQHHPVSGLREELNSRQESVMSSQANSRSDAFDSHDTNNLDESQTDTGISEIYEATTTPVTVETENSTESENTEWTEFTSQVENWQENAVGEEDWQQSTEDRFSGWHDGSEAEYSGNWQENIDHAQPHETPEGDAENRHHQVHGYWQEDDPQETLPIWHDESLPPLQGQQSISIRRVNRFILPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIESYVRRQEHNPFDWDLQRTESVSDSQEVDQGQQRDDMIEDQQISIDETPPMLPSPPMPPPQPLWHSNLHHTNWTRRNIHNSEWDAINDLRADMARLQEGMSHMQQILEACFDMQLELQRAVKQEVSAALIRCSSGQGEEEEYSQDVSRWCHVRKGTCCICCDSHIDSLLYRCGHMCTCSKCANELAQAEGKCPLCRAPILEVIRAYSVA >Ma02_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7224793:7225086:1 gene:Ma02_g00910 transcript:Ma02_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLMDRRRLPVARRQSEASAGRNGALRNAGRRVIRGGRERHAVSVSVRRKVRELQRLVPGGRQLPAAQLFLHTADYIFHLSLKVQVLRALSKFYMP >Ma04_p39400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36570605:36572860:1 gene:Ma04_g39400 transcript:Ma04_t39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF15, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G74120) UniProtKB/Swiss-Prot;Acc:Q9C6A1] MATRVLARLPLRRILFESPPNRSFPYRKIRSFSRPSNHHAAIPSESPSNPHFSRQVSIAGLLLRYGFPQSQLHEFIRKNRFLMGSSPSDVEKCIGILLSFGLNQDSLFSILSSCPWTLELGFLRKWQTAFSELRLPSLSPSFVRRALEQSAKLRIDPNDLHRGVQVMKNLSLNDKAMSRVLEEVPLTLMKNPFDIGCRIDILKDFRLKNDEINRICYLFPGFLAYNVDSRLRPLFAELRDLDFTPEEVRKMLLNNPKLLLSMEAGELSRCVDLLNSLKCRVPIKKKILSNGRLMACTEVKLRVDCLCCHGLIHRDAFKVLFVEPRVIIYDLEDIEKKIDFLLHKLGLCIEHLIEFPDYLGVNLQKQIIPRFDVIEHLKSIGGLGFNVGLKHLVRLSRLKFYNLFVKPYPECEKIFGGSIREIKPQHPTGMWKLFKPQKFSDTEEDVKNMKLFMESLA >Ma01_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6402744:6407853:-1 gene:Ma01_g08930 transcript:Ma01_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKAHPDCINASNPHHECVEYCFKRIEEAKSRADEIVEENKESLKEPKERTVHPDCINASNPFHECSEYCFKRIAEAKDRIERNESGMQQEDGIPSSFSGSHQSDAPLEQKQTEQLNDGGDAHCVQDDTVSGNPNLTEKQKKLFELRLKMNEARKANQMAMVAEKKKMEAPAETRGISKQKWLDERKKKIGKLLDSNGLDMSKAYMLDTQETAEAKYKKWEKDPAPYGWDVFNQKTLYNAHKKRTKNIECDMEAYNKAKEADPEFYREASSLQYGKATKVPEENIDRMVKELQDRDAKRKSFSRRRKFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Ma09_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10456782:10469060:1 gene:Ma09_g15130 transcript:Ma09_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKCHWMPAEDDKLKVLVTKYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRKPFTKDEDQLLLAAHEIYGNRWAIIARLFPGRTDNAVKNHWHVIMVRRHKQRSQLPRKRGDQSTFVEEEESKSSKKRKLEPRNTLLMVEEYHRRSDTVHQQGFYPNMQEGKDNSLKFYDFLQVNSDSDSTPEDVRREGEERYEDKEEENKSHAREGKVVPPTTSANANATATATVSWGDRWSHAHPSSPDSGRARGYAGSLVTSVRCAHHVKSDGQIIPVRSSRFAQYMEDKSEGWLRGPPETGDLLLVAIPRGLLRVYLMGTVAAV >Ma08_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9465740:9466571:-1 gene:Ma08_g12500 transcript:Ma08_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPGATGTDAPSISITVERNPPQSRLVELGIKSWPKWGCPPGRFPLKFDAEEMCYLVKGKVTAYIKGSSESVEFGAGDLVIFPKGLSCTWDVSAPVDKYYKFASSSS >Ma07_p25440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32494410:32506657:-1 gene:Ma07_g25440 transcript:Ma07_t25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETRSPIVGGGDGQEEVTAASALRLLPFAGAAQQHYVSELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVGNYRAFIAAADAVSVIKEQLSGFDKHLDSLITEIPSLTAGCTEFIESAQQILEERKLNQTLLANHTTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVNKLSKMHAELPVIQALAAEVRKTTQSLLSQLLQKLRTNIQLPECLRIVAHLRRIGVFTESELRLQFLRCRETWLSGILDDLDQRNVYEYLKGMVNCHRMHLFDVVNQYRAIFNNDKSGSEENYDGGLLFSWAMHQIKSHLSTLEAMLPKITEGGSMSNILDQCMYCAMGLGLVGLDFRGLLPPLFEGAVINLFSKNMRTAVENFQIVLDSHRWVPLPSVGFATNGAMDEGKDDVSPPSVLMEHPPVAVFVNGVSAAMNELRPCAPVSLKYNLAQEVVKGLQAVSDSLVRYNAMRVLRRNESTLFLSLCQAFIEVAYPYCATCFGRCYPNGATLIMEHRGMLDGVSQLLAAVPPMKGSRNSLDSKEEKHPTDGGGATMAENGASVNDEEPGSDNRTDDKSSTGIVPTDMDMDDK >mito3_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:980143:981802:-1 gene:mito3_g00160 transcript:mito3_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNEQGYHLLWPDLPTFYETSSLRAPPRKSCVAYACAPKRLLTLYNSVGRGKARLPTLAASWFFHHPIHIE >Ma00_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40577102:40577864:-1 gene:Ma00_g04690 transcript:Ma00_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVYVCLLCILCVCVLLCVVCLCVCIVYIMCVYCVCMCVLCMFCVYVVCVMSCVYLCVVTSCVCMCLYYVCCVCV >Ma01_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:322226:331867:1 gene:Ma01_g00450 transcript:Ma01_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVSKGRGTSAVRPAPGFSQYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFAVIFCLFLIVATSAYCAAYGVTWVHSVFTVVSVVAFHFLLAGTILATCCWFLTNTYMREETPSSHAVEQRVEWLYAFDVHCNSFFPAFVLLYVIQYFVSPLLVAHGFFPMLVSNLLFMVAISYYHYLNFLGYDVLPFLDKTTFFLYPIGLVIILSPLMILSGFNPTRYMMRLYFG >Ma11_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24506953:24507842:-1 gene:Ma11_g19790 transcript:Ma11_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALGRAMDSLVSIIYYPFGYQRNHRKSYSNSYYYTKEKSNGRKMSKGRPLSLQTVELKVRMCCTGCERVVKHALLKLRGVDSVEVDLELEKVTVTGYVERNKVLKEVRRSGKKAEFWPNPDLPLYFTTEKNYFHDEESFRGSYNYWRHGYNGDRHGRVPVPQRGEDAVSNMFNDDDVNACSVM >Ma02_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15723041:15724240:-1 gene:Ma02_g04250 transcript:Ma02_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYGAAMSTATVRVLLCLEEMGAEYELVPIDFSTGEHKSPPHVARNPFGQVPAFEDGDLMLFESRAISRYVLRKYKSSGPDLLREGSLEESAMVDVWLEVEAQQYDKAISAIYIETVIKPVFFGGVPDEKVVAESAAKLGKVLDVYEARLSKSKYLAGDFLSFADLSHIPYTHHIVAGPQASLFESRPHVKAWWESLASRPAYKKVTTGTPTS >Ma10_p27190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34575559:34576648:-1 gene:Ma10_g27190 transcript:Ma10_t27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHFLIVSFSIQGHINPALRLRKRLCRTDVTFSTVVDDGLISYIPYSDGFNRGDYVSRIRDVGWKTLSAIVRSLAERGRPVTCVILSLPWMADIACHYGIPSVLYWIQPATIFAIYHHYFHSYGGVIASRVCDPCFEVAVSGIPPVTIRELPSLLTITVPDDPYTSIFESFQEIFSFLDRQKSGAKPRVLINTFDELEADALAVVSEVKLIAVGPVVACSGADLFEPDEKRYMEWLDSRPEGSVVSLSFGSLALIKKRQMEEILRGLKESGRPYLLAVRKDNRSGAVEELGEENGGMVVDWCSQVRVRQHPVVGVLRDAQRSGTRRWTAWRAECR >Ma10_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17103964:17113010:-1 gene:Ma10_g05730 transcript:Ma10_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal domain (Ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) UniProtKB/TrEMBL;Acc:F4K802] MGRRVGISCKVYHGDMFLGEAEAFPITNNNSSSKGQGLPFPNSSEIRIDHLAPPSERCPPLSVLQTISPFAVRCKVQAKSLSELSLLHRLYLSCFQERKSAVVVIGNEELHLVAMPSKVEKVSCFWCCSVQTGRYTSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALSRRIDGENDPIRVSGMSAELKRYIEDKDLLKQYIEMDTVSEGGRSISAQNEEVPPVPGIQEKIVRPIIRLLERNIVLTRINPENRDTSVIVRLRPAWEDLRSYLTAKGRRRFEVYVCTMAERDYALEMWRLLDPDAHLISSKQLVDRVVCVKSGLKKSLQHVFQDSVCHPRMAMVIDDRLQVWDDKDQPRVHVVPPFTPYYAPQAEMANAVPVLCVARNVACNVRGGFFKEFDENLLQKIYEVNYENEIVDLPSAPDVSNYLISEDVNSAQNNNREGPVVEGMTGSEAEHRMNRPVNISDERQTKPQTLSNRSSPDDEMLVDQPFASNRNLCNESGQSNLLAPSMFISVLQEIGRRCDSKVDFRFINGSNKDLQYSVEVLFSSEKIGIGMGRTMKEAQSQAAENALRNLARDYVSFIAPVASGVDTEITKHPCGNENGFLKENYSSQDESAKKEDLPVASTSENSK >Ma11_p05780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4485840:4511914:1 gene:Ma11_g05780 transcript:Ma11_t05780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MASRTTALASLSAVAAALFALILAPALRLTWSPWKWSRISADLVVMNATIYTSDPSLPFAQAMAVRGGRILRVGSYSSVEDLVGQETYVLNLNGNVVMPGFIDSHLHLIYGGLQMGRVELRGVKSQEEFARKIKEALRDKLHGEWILGGGWNNDLWGGELPLASWIDGITPDNPVWLSRMDGHMGLANSLALKIAGINNSTCDPVGGAIAKTLEGEPSGLLVDSAMKLVLAVIPEVSIHDRRDSLIRASKYALTRGVTTVIDFGRFFPGTSVDHIWQDFSDVYQWADSSGKMLIRVCLFFPMQTWSLLVDLIQEKGRALSQWIHLGGVKAFADGSLGSSSALFYEPYEEDPYSYGLQVTDINWLQNVTLHSDKFGLQVAIHAIGDKANDMVLDMYNTVVSYNGMRDRRFRIEHAQHLVPGSTIRFGEQRIIASVQPDHLLDDANSAEKKIGTMRAQRGSYLFRSLIDSDAILAFGSDWPVADINPLGAIKTALYRVPPGWENAWIPSERMALYDALKASTISAAYAGFLDQELGSLSADKYADFVVLPVDSWDQLAGDLPTTVLATYVNGKQAYP >Ma11_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4490598:4511914:1 gene:Ma11_g05780 transcript:Ma11_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MDLVGQETYVLNLNGNVVMPGFIDSHLHLIYGGLQMGRVELRGVKSQEEFARKIKEALRDKLHGEWILGGGWNNDLWGGELPLASWIDGITPDNPVWLSRMDGHMGLANSLALKIAGINNSTCDPVGGAIAKTLEGEPSGLLVDSAMKLVLAVIPEVSIHDRRDSLIRASKYALTRGVTTVIDFGRFFPGTSVDHIWQDFSDVYQWADSSGKMLIRVCLFFPMQTWSLLVDLIQEKGRALSQWIHLGGVKAFADGSLGSSSALFYEPYEEDPYSYGLQVTDINWLQNVTLHSDKFGLQVAIHAIGDKANDMVLDMYNTVVSYNGMRDRRFRIEHAQHLVPGSTIRFGEQRIIASVQPDHLLDDANSAEKKIGTMRAQRGSYLFRSLIDSDAILAFGSDWPVADINPLGAIKTALYRVPPGWENAWIPSERMALYDALKASTISAAYAGFLDQELGSLSADKYADFVVLPVDSWDQLAGDLPTTVLATYVNGKQAYP >Ma04_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10048121:10048840:-1 gene:Ma04_g13280 transcript:Ma04_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSWQSRPPLNRWSSIANHVWSARFPGSLYIFCKISYTRKHIHN >Ma06_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2967496:2968799:1 gene:Ma06_g04100 transcript:Ma06_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLSGKKRIIKVMKVDGTTLKLKPPVNAESVLRDFPGYDLLESEEVKRVGVQARPLARDAPLRSGKLYFLVELPRVPDQRAPRRAWSGPMHLSAKERLESLMLTRRTMSDILVTGNTTSAAVEAEEGKDGTIRLKMRLPKAEMEKLMRESKTATEAAAKIAELCMVKDGGATKMPQLRTPAVGTGRKERRARFAAMPDEIIT >Ma06_p28120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29891684:29892315:1 gene:Ma06_g28120 transcript:Ma06_t28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSVSPPSHHPSSFPARLPSRQAGPRLPNWPSSIRSAASRRRACCWHYSGGGLVDRSMIVLRKRIHEMKMAESNYEAPSGWMDWEKRYYTSYHVDVCEILCLLQTLMMGTRPGVAIGMMAVLVLSVPTSAMFISFHLIAAANSILAGTHLG >Ma07_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9911571:9912185:-1 gene:Ma07_g13180 transcript:Ma07_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKATERSPYGSFARLRKPLSKPSICLWLLSGRKCLINTSSDSENDRPAAAINFDDDVDDEEEDICRAYYISKPTDISPRLTWPPPHVIRASERFFVPPTAASSLVEEVRVSAASSSTSQSDMVSVDSTAVITFSKDPCHDFLISMQEMMEAHNAVGADDRLDWEFMEQLLLCYLELNEQSVHEDILRAFTDLTAAFRRVMP >Ma05_p02440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1570799:1574029:-1 gene:Ma05_g02440 transcript:Ma05_t02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLSSKLSTVGRSLIRSFGNDLSGAKAPHETMTNLSYQYLFLQQQRTFIQMRTRLKVVDNSGAKRVMCIQALKGRRGARLGDTIIASVKEAQPHGKVKKGDVVYGVVVRAAMQRSRCDGSEIRFDDNAVVLVNKQGEPIGTRIYGPVPHELRKKKHVKILTLAEHIA >Ma05_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1570799:1574037:-1 gene:Ma05_g02440 transcript:Ma05_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLSSKLSTVGRSLIRSFGNDLSGAKAPHETMTNLSYQYLFLQQQRTFIQMRTRLKVVDNSGAKRVMCIQALKGRRGARLGDTIIASVKEAQPHGKVKKGDVVYGVVVRAAMQRSRCDGSEIRFDDNAVVLVNKQGEPIGTRIYGPVPHELRKKKHVKILTLAEHIA >Ma05_p02440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1570799:1574030:-1 gene:Ma05_g02440 transcript:Ma05_t02440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLSSKLSTVGRSLIRSFGNDLSGAKAPHETMTNLSYQYLFLQQQRTFIQMRTRLKVVDNSGAKRVMCIQALKGRRGARLGDTIIASVKEAQPHGKVKKGDVVYGVVVRAAMQRSRCDGSEIRFDDNAVVLVNKQGEPIGTRIYGPVPHELRKKKHVKILTLAEHIA >Ma01_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4382733:4390239:1 gene:Ma01_g06130 transcript:Ma01_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLLKFFLLFSSAATFTGNLVTSTSYDYSASIECLAEPPRPQYGGGIVRNPEFNDGFKGWSVFGYGQIAERTSDTGNRFLATDRRSLSHQSMSQKVYLQRGMLYTFSAWLQVDQGNATVTAIFKTGKDGFVHVGAVEARSGCWSMLKGGLTAKSSDPAEFYFESKDTSVEIWVDSVSLQPFTEDQWRAHQAESINKVRKKTVAILAVDANGHALPGASVSIQQTRSGFPLGCALKSTILQSSAYQSWFTARFTVTTFTNEMKWYSNEPVEGNETYADADAMLAFAKQHGIAVRGHNVVWDDRQYVQNWVQSLPTQKLREAVNRRFNNVMTRYRGQVIAWDVVNENVHFSYFESRLGENASSIFYQQAHQLDPHALMFLNEFNTLEVPVDGKSTPAKYLQKLQQIQSFGNLSRMAIGLEGHFGTPDISYMRSALDKLAGANVPIWLTEVDVAHSNESKHLEDILREAYSHPAVQGIVIFGVWNPKGCFSRIPCQDVNFKNLPTGDVVDKLISEWRTHYVAATTDADGLHRAELFHGEYKITVNHPSSNSSSVQSLTVDSASQNYNVLTVMV >Ma08_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2584282:2587768:-1 gene:Ma08_g03570 transcript:Ma08_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPPFCELKEAERMEALGIVSEAQELSQSLADRRSTMIDSNPPFFAAYGSLFRSRPAAGNHEAEAVEECDLPIVDLSRLSDELQAEQCKREIVTAASAWGFFQIVNHGIPNGVLDRLRAEQVRAFRQPFKKKADEKFLGFLGDSYRWGAPTATSLKQLSWLEAYHIPLSSATHPATTSTCRCVIEELSSAMSRLADRLMGILAEGLGSDGSYIKDNCKRNTCYLRLNRYPPCPVAGEVFGLVPHTDSDFLTVLCQDDVIGLQLKKGGRWIAVRPNPGTLIINVGDLFQAWSNGLYKSVEHRVMSNPRLERFSVAYFVCPSKETMIESSARPAIYRKFSFGEYRQQVQQDVTLLGYKVGLTRFLASCSLKKLQILDLGHCKKLRKLPAQIHKLVNLKILRLAYCTQLERLVNLLVLEIEGCPRLQSLHDSFSDMENLTELKMMRCDSLTGMPRGVGKLSDLQKVAGWAAVDGHGSATFQYLQTLINLEELRLQNLKSMSDPEDDPTAKLHETVDDDVEMAFLLLQILEGLRPNPTLEKLEISCATTTLSL >Ma01_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3725768:3735138:1 gene:Ma01_g05310 transcript:Ma01_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene epsilon cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G57030) UniProtKB/Swiss-Prot;Acc:Q38932] MECLGRANSAAISVHFDEWRFRRRKVAAYGKQLPPRQRRRSLARIRVRAAGGGVDSCVATGIEEFADEEDFVKAGGSERLFVRMQERKPMEKQSKIAEKLSPISSAASTLDLIVIGCGPAGLSLAAESAKKGLQVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWHDTIVYLDSNDPILIGRAYGRVSRHLLHEELLRRCQEYGVMYLDSKVEKIIEASNGCSMVVCEKDLMIPCRLATVASGAASGKLLEYDVGGPRVSVQTAYGVEVEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPTYASVIATILKKEIFPDQNSMISNINNPSMLAWRTLWPQERKRQRSFFLFGLALILQLDIEGIRTFFQAFFQLPNWMWQGFLGSSLSSVDLIWFAFYMFAVAPNSMRMSLVRHLLSDPTGATMLKTYLTL >Ma01_p05310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3725752:3735138:1 gene:Ma01_g05310 transcript:Ma01_t05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene epsilon cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G57030) UniProtKB/Swiss-Prot;Acc:Q38932] MECLGRANSAAISVHFDEWRFRRRKVAAYGKQLPPRQRRRSLARIRVRAAGGGVDSCVATGIEEFADEEDFVKAGGSERLFVRMQERKPMEKQSKIAEKLSPISSAASTLDLIVIGCGPAGLSLAAESAKKGLQVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWHDTIVYLDSNDPILIGRAYGRVSRHLLHEELLRRCQEYGVMYLDSKVEKIIEASNGCSMVVCEKDLMIPCRLATVASGAASGKLLEYDVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYVKNKQKCPEAEYPTFLYAMPMSSTRVFFEETCLASRNAMPFDLLKRKLMSRLDTMGIKVLKVYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPTYASVIATILKKEIFPDQNSMISNINNPSMLAWRTLWPQERKRQRSFFLFGLALILQLDIEGIRTFFQAFFQLPNWMWQGFLGSSLSSVDLIWFAFYMFAVAPNSMRMSLVRHLLSDPTGATMLKTYLTL >Ma09_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7666181:7667880:1 gene:Ma09_g11340 transcript:Ma09_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVGQALVHLEAKWARLVRRFFHAVRGHLVSCSSCDGRYRQLKANLHAPNPMVVLHDIPQVDVVGVRTETEVDSDVLSLKISVLGDCHIGKTSFMTKCVGDMEEQRGVETAGLNLMDKIFDLKGARIAFRIWEVEGDDHLDDVPVACKDAVAVLIMFDLTNRCTLNNAISWYQQARRWNKTAIPVLIGTKFDDFVQLPLEMQWAIVNQARACARAMKATLFFSSATHNINVNKIFKFVVAKLFNLPWTVERNLNIGEPTIDF >Ma01_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5294404:5295048:1 gene:Ma01_g07320 transcript:Ma01_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNASKRVERALTSSPEFDATCEAVYNWCRAEAQHAFPGVRRYQLLDAAARLHAELGALPLVRRWVPAPPGRAQVDAAFRRTIQGGTEDLNPTDFRAFAGDLFRDAVMAGAGGAVVRLIPIGAAGIAGVGVATRAGAEVVARVMGVYAAGVAAAVYLSLS >Ma02_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24573272:24574768:-1 gene:Ma02_g17800 transcript:Ma02_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAERVGSDVLKTTVTDKLPNDLEGMEASTKRLYSLIDDIYKYVDDVVEGRAAPDNDIGRFLANELASVLKFLQMIFDNVFNKIIQDNFALFYLSSLIRTQLSIVEKLNTAAQIL >Ma01_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15935559:15937046:-1 gene:Ma01_g19960 transcript:Ma01_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSRSKDLGRIKGPWSPEEDEALRKLVQRNGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDETIIRAHRRFGNRWATIARLLSGRTDNAVKNHWNSTLKRRYSASATAVDGGFVVAADREVVSARPLLRTSSDGLVLSSGGAVLCLNSESPSGSDVSDSSHHSLPMISPVSTASHVYRPVPRTGGVVLPSSSAPNHHLTEPSIAATATSPSPITIKHPLTSLSLSLPGSDPKDTSDGHHSADNDYSNHKQLELLPSVPTPLQARLPSPTTRYADATGAATSSDEDERRWHAPFPFDAEFLTVMQEMIREEVRSYMSSLEKSGMTCAQFPLASDNVRNTVIRRIGVSVTRME >Ma06_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3338732:3344372:-1 gene:Ma06_g04520 transcript:Ma06_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ATP synthase 24 kDa subunit, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G21870) UniProtKB/Swiss-Prot;Acc:Q9SJ12] MALVSRLLCRSRQLYAGQIIWQHDHAMSARSYAKEAAPSNLPPLKGDEMLKSIFYEVKNKFDIALGVLRKEKITIDPDDAAAVSQYAKVIKTIREKANLLSESQRIKYTIEQHTQGIPDARTYLLTLQEIRIKNCLTDDLGAEAMMMEALEKVEKEIKKPLLRSDKKNMALLLTEFDKINKKLGIKKEDLPKYEEQLELKIAKEDLQGLKEEVTEAMESHLKREEFKDEQMVDVKSLDIRNFI >Ma08_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11034368:11037736:1 gene:Ma08_g13900 transcript:Ma08_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MQVVRGWFSPSCAPCALACQLSRSRCMPTELKQHSGNGSTDKSSCSTGSRCNIMHSPAFPSSSSRPLSALFVFRSILNQELIRSLHGYQASEEEEEWVEREFLFSPSSCIEMRDPIPGPIMVASTAGDGGNGFRYRFKEEQSVGLWRCILAFDSTVAFSASSSPIPPLLCLSRNPRLKNIPTLLCDLQEAFQLRCEMETKNTISLASKGIQTAELAISMKKKKRAATEHVANIALTDLVKYFDLPITEASKYLRVGLTVLKRKCREFGIPRWPHRKIKSLDNLIQNLQEEVHRQEKENKSAAMKAVIKRQRMLEGEKECIEKNPFMELQIETKRFRQDVFKRRHRAKALVSKQDFSTSPMKACT >Ma03_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24073577:24073675:-1 gene:Ma03_g18440 transcript:Ma03_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTANLPPGFRFFPSDEELIVHFLYRKAAVL >Ma06_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11219492:11224961:-1 gene:Ma06_g16570 transcript:Ma06_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54900) UniProtKB/Swiss-Prot;Acc:Q84Y95] MASLAPLSSWTAIGVTRALAAVRRVQPPANSFLAGPRRRNVATLVSQPLRFRSKSPGTSLSARCFSALSPEMKSTIDKVVQSHKVVLFMKGTKDFPQCGFSNTVVQILKSLNVPFETLNILENDMLRQGMKEYSSWPTFPQLYIDGEFFGGCDITIEAYKNGQLQEQIEKAMCS >Ma06_p26610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28444226:28445139:1 gene:Ma06_g26610 transcript:Ma06_t26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKVMAACVQSCRTKSASIKPISFHLNGSLVGGPSLCQLMVDDVWCTIQEEARTEAEEEPFLRKHYYDLVLCHATLESALSAHLASKLAVPTVLPSCALQELISSVLTKDPNIRRALRCDLRAAKGRDPACAKMAHCFLYYKGFQALQVHRVAHRLWTEGRRATALLLQSRTSEVLAVDIHPGARIGAGVLLEHATGVVIGETTVVGDDVSILHGVTLGGTGKEGGDRHPKIGDGVLLGAGTKILGNVRIGEGTKIGAGSVVLKVVPPRTTAVGNPATLVNGKENRTGHIVDHTSCSNYAN >Ma06_p33300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33975633:33979464:1 gene:Ma06_g33300 transcript:Ma06_t33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGPGQIKKAKLVLLGDVGTGKSSLVLRYLKGEFVEFQESTIGAAFFTQTVVINDETVRFEIWDTAGQERYHCLAPMYYRGSAAAVVVYDITNPATFSRAKKWVQELEIQGSQNTIIALAGNKADLLEARQVSAEEAQTYAEDNGLLFMETSAKTAINVNDIFYEIAKRIIYGQPVQNSHGILLADISTERPSTASSYCCSQ >Ma10_p28970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35686321:35687195:1 gene:Ma10_g28970 transcript:Ma10_t28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRRIDRFILLPFSVGCVSESSVAVYENQPKRAQRDGAPNTPSGDGDRRQSTVEVKNSSAVLAFPKPNISAGIQKLVKSFKSLSQHVVVLYKDEDEEVEMEIGFPTDVQHVAHIGCDGFNSSMSGNKNWDRAPELLPLPSSMQQYELAVAAQAGAPPPYGPRASWPQ >Ma05_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2500583:2508008:1 gene:Ma05_g03440 transcript:Ma05_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRAAAAASVLASAIMAAEHVHADGAFNFFPFSSQPQSVAEGGPPPDDVAKEETRVRNDNPRTTAAGFDPEALERGARALREINSSSQAKKVFELMRKQEETRQVELTAKKAEFQAIQAQHETDRQRVIYEEQKKLAQQQAQTKAQMARYEDELARKRMQAEHESQRGRNQELVKMQEESSIRQEQIRRATEEQIQAQRRQTEKEKAEIERETIRVRALAEAEGRAHEAKLAEEVNRRMLVERANAERDKWISAINTTFDHIGGGLRAILTDQNKLIVAVGGVTALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGFFARAFSSTSNKMIRGNNSGKNENGFGDVILNPSLQKRVEQLASATANTKAHQAPFRNMLFYGPPGTGKTMAARELARQSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSRKGLLLFVDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPREEERFKLIKLYMDKYIAKAGESKSSWFSLFRPQPQRIEIKGISDDVIREAAAKTEGFSGREIAKLMASVQAAVYGSKDCELTSGLFREVVDYKAAEHQQRRKLAAAEGGA >Ma06_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13935133:13941121:-1 gene:Ma06_g19830 transcript:Ma06_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRPCLSSFPAPSPLLSPHFSSSSSFFSLSSIRPRRIPSFRPLSSLKESKKVALRKATNVPQNLRFDNRKGRDDGSLSDDGEGEVGGDAALKGTVLAGLLLVGVVGGFGTVGYLYRDQINAFLTQFSGFIDGYGPAGYALFVLVYAGLEILAIPAIPLTMSSGLLFGSLTGTIIVSISGTVAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESDVGLLGGNGQLLTLGIGLLFTALAAAYVTRLAKDAVKDIE >Ma08_p26870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39299495:39308756:1 gene:Ma08_g26870 transcript:Ma08_t26870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVREDEKNERIIRGLLKLPANRRCINCNSLGPQYVCTNFWTFICTNCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERAKEIYFKEWDPQHHSFPDNSNIDRLREFIKHVYVDRRYAGERSFDRPPRVKGDIEDSYLNRGSKSPPYDDTNGRSYGERPGSASPAYNYRTSPGRFDRDDKSVHRNQERNIVDQQFPDGPKIEERSQNQQKDVDASKSPVVQPVGGVINVPPAPIGDPAKPSGLQFPRTSAPVQGIFQNVASSSSRGSSIGNSVELKLVSSGSLIDFDADPVPPVAGAVNQSVPQQTASLPAESGGWASFDDSSQLKVTQTSSGQWPTMQQHQLSPLQAHNVQSSNLPFSTPVIGAPSNQVAPNSHGTTATLTGQSSQVVYKPLHEHTAGVSPESSFSEAKPSGRRELPADLFTMTYPSTLAPFSYYQTSQRYMGYGTQYPTAVALPAYSHSLTSSNPFAFVDEPKLVHASTFPNLAPLQGALPNVDGHSSILHTSSLPTQQWLPQQQILSAVPQSPYMVQQGASPIRQSPTIAMFPVTHQAIRGFNMEGASFNLPGSDQHLATRNYQPQPPSTFAPPGGNPFG >Ma08_p26870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39299493:39308756:1 gene:Ma08_g26870 transcript:Ma08_t26870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVREDEKNERIIRGLLKLPANRRCINCNSLGPQYVCTNFWTFICTNCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERAKEIYFKEWDPQHHSFPDNSNIDRLREFIKHVYVDRRYAGERSFDRPPRVKGDIEDSYLNRGSKSPPYDDTNGRSYGERPGSASPAYNYRTSPGRFDRDDKSVHRNQERNIVDQQFPDGPKIEERSQNQQKDVDASKSPVVQPVGGVINVPPAPIGDPAKPSGLQFPRTSAPVQGIFQNVASSSSRGSSIGNSVELKLVSSGSLIDFDADPVPPVAGAVNQSVPQQTASLPAESGGWASFDDSSQLKVTQVRPAVSTLESVLSQLSVPQTASAARTPSVSVAGINLFSNQTSSGQWPTMQQHQLSPLQAHNVQSSNLPFSTPVIGAPSNQVAPNSHGTTATLTGQSSQVVYKPLHEHTAGVSPESSFSEAKPSGRRELPADLFTMTYPSTLAPFSYYQTSQRYMGYGTQYPTAVALPAYSHSLTSSNPFAFVDEPKLVHASTFPNLAPLQGALPNVDGHSSILHTSSLPTQQWLPQQQILSAVPQSPYMVQQGASPIRQSPTIAMFPVTHQAIRGFNMEGASFNLPGSDQHLATRNYQPQPPSTFAPPGGNPFG >Ma08_p26870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39299495:39308756:1 gene:Ma08_g26870 transcript:Ma08_t26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVREDEKNERIIRGLLKLPANRRCINCNSLGPQYVCTNFWTFICTNCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERAKEIYFKEWDPQHHSFPDNSNIDRLREFIKHVYVDRRYAGERSFDRPPRVKGDIEDSYLNRGSKSPPYDDTNGRSYGERPGSASPAYNYRTSPGRFDRDDKSVHRNQERNIVDQQFPDGPKIEERSQNQQKDVDASKSPVVQPVGGVINVPPAPIGDPAKPSGLQFPRTSAPVQGIFQNVASSSSRGSSIGNSVELKLVSSGSLIDFDADPVPPVAGAVNQSVPQQTASLPAESGGWASFDDSSQLKVTQVRPAVSTLESVLSQLSVPQTASAARTPSVSVAGINLFSNQTSSGQWPTMQQHQLSPLQAHNVQSSNLPFSTPVIGAPSNQVAPNSHGTTATLTGQSSQVVYKPLHEHTAGVSPESSFSEAKPSGRRELPADLFTMTYPSTLAPFSYYQTSQRYMGYGTQYPTAVALPAYSHSLTSSNPFAFVDEPKLVHASTFPNLAPLQGALPNVDGHSSILHTSSLPTQQWLPQQQILSAVPQSPYMVQQGASPIRQSPTIAMFPVTHQAIRGFNMEGASFNLPGSDQHLATRNYQPQPPSTFAPPGGNPFG >Ma06_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7199011:7204337:-1 gene:Ma06_g10430 transcript:Ma06_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDGMISHHSCTAYALDDEQEDFGVRAGYLNDELHTFWVLVRKFQLPAVLVITLLLGWRHPLTLAINVALLLFSTRPNPFSVYMFIEQLHERDLNRSIGWYKSKVFHRKKVKVEDYKFLCLATVEQGDTKLNLLGILGSWWVVHTSKI >Ma04_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8488723:8500047:-1 gene:Ma04_g11900 transcript:Ma04_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGILQKENVDCTVRKFLIENCSIILFHYDGNVDGWHDLQWNNKAVHIVARNQTKWWFAKRFLHPDVVSVYDYIFLWDEDLGVENFHPGRYLHVMSSEGLEISQPALDPDLSSDIHHRITVRNRMTKVHRRIYDQRGSLRCSDESKGPPCTGWVEGMAPVFSRAAWQCVWHLIQNDLVHGWGLDMKLGYCAQGDRTEKVGVIDTEFIVHMGIPSLGGSSINKASNHRSLDLRTHIRRQSTAELKIFKARWNKAVNEDREWVDPF >Ma01_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25904787:25908443:1 gene:Ma01_g22770 transcript:Ma01_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPVLFFTPVFPLSCSSPANNQVLCHLIQRSHHFLPQRWTVKMETSKSIALAADVRRLKTKAACLAKNRSMQRLCLSCKLSCLVDSLWIIQWACEAAEKQPEHEKNVETWVKDLEVAVLRADDILDMIKFGQLPRGPKDWVADAARELFYSIPPVLNFVAGCRLKSAVRKFEGMANEAKVRVFSKEDSSQSDAALLPAIFYGREENKEEIIRSLNHGERVAVIPIVGMAGTGKSTFARYIYSDQRRNFDVRMLVGRNFSNGAAAHPRVIRGRPLPLSELSAARGLIVREEEQDESQPPLDFAVTDREGHPSLRRFDNADRFQSAVEKIRQSLVGVRFLLVLLDVNVARPNLWERLMQVLREVGGNGSTVILTTTDTDIESTTQSRPSCSLPSLTREESWRLFKEHASLHHLPDKHHFWNPWIIEECHGHPLSVIIMAKKMRCRPVREYLLPDLSQFGAPRVSQDLPRLSSDLKRMYDKLTYDHERSLVSEKLHNCFTFLSLFPEDHHFCREEIVDLWAAENSMSLEEADGCFEAFMREGAFVLCEPQDEHDHESTPRGAAYKLRDLLPYFAQHVSSRKVYLTLSPGSFDLLQLDQDDDPRICQHLSFICEPESSGFPTDLLFQGPPWWLRTLLLLGPSTNEKCWVRDINDGNKAKTFQFLRVLHVRGITFRDLLPGVEKSKLVYLNVSRSDIEELPDSIGTLSNIKILKLSHCEKLRRFPKTIDRLRRLEKLDLEGCLLLAEVSFNWVGKLSRLEYLNLSQIGFKSLISSIGKLRGLKTLILAYCRRIQRLPKSTSKLLNLEKLDLEGCHFLEELPESKPESVMKNLKLLNTLHCASLSRMPSDVGRLSSLKSLPRYIASEEPGRSFMELQPLKDLEGELWLDKLNNIDDPEVARQAMIEKKEKLQALTLRWEQFYWDVKENAPVDTVKLVADALQPNPNLRSLKLILYTEEKLPSWMTKEALHLKSLLRIRLFTLKKCKSLPPLGELPHLKVVEISGMDAVVELEGSFYGRIGTFPSLERLALSQMPNLKRWSMPEDDDADGNNTYRRKQVRKNLFPRLAHVTFMQCPKLEPPDHPLPSITTLTIWLNNEKLYRSAAGLKSMASHVKKLSVFSCQDLWASSTCDGFWGLTSLEELEISACDNLTCMPEGINQLTSLQNLKIICCRSVNSLPEWLNDLTSLRLLSFSACPVLRSRPRGLKRSHGLRVTVEGCPLLK >Ma09_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7035973:7038186:1 gene:Ma09_g10330 transcript:Ma09_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLRMEETELRLGLPGGGGGGGGGGGEVESGKNSGKRGFVEIVDLKLQLQTPVGVQEAAAEAAEKVKRSPNDDSIVSCGSDPEKPSPPKAQVVGWPPVRSFRKNILSVHSEKGRKEEGEKSSSLAALVKVSMDGAPYLRKVDLKTHRSYQELFVALQKMFDSFTTGDYGSQGMSGRDFMNERKVKDLLHGSEYVPTYEDKDGDWMLVGDVPWEMFVASCKRLSIMKGSEAIGLAPRAMEKCKNKC >Ma11_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22190040:22216469:1 gene:Ma11_g16770 transcript:Ma11_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MEMEDAPSNFDPQDLSSREQYRRYRKRQSTSNISPLVGHSVSKFSEARLLYEGNNIQRRPNTALLLEEIKQEVDNFDTDASWKRRASIDSHAVSEVKFGDSLRQGVSHALKIGKHEDEALLEAGESTFTLFASLLDSALQGLMPFADLILRFEKACRSVSESIRCGSTGRHRVVEDRIMQQKARVLLDEAASWSLLWYLFGKGNEELPGNLLVTPTTSHQEACRFVMMDHTAQLCLRIVLWLEGLASESLDLAKKVRGSHVGSYFPSSGVWHHTQRYLKKMSGDPAIVQHMDFDASTREVAQPILDDKKQDELLLEDIWTLLRAGRLEEACELCRSAGQPWRAASLCPFGGFDHFPSVEAMHKNGKMRTLQAFELESGIGHQWRLWRWASYCASEKIAEQDGGRYEMAVYASQSSNLRRLLPICTDWESACWAMAKSWLDVQVDSILAQFQQARLEGKQFGEDINGSSMQGLSSTASSENWPCHVLDQQPRDLPALLQKLHSSEVVHEAVSRACEEQHRQIEMNLMLGDMAHLLELLWAWISPSEDNQNILRPHGDPEMLRFGAHVVLVLRNLLDDDMKDAFKEKLTTVGDLILHMYAMYLFSKQHEELVGVYASQLARHLCVDLFVEMMELRLNSSMHVKYKLFLLGMEYLPFSSEDDSKACFEDILERVLLRSRETKPSKPVGKLSDVAEEHRLQSLQKAMVIQWLCFTPPSTIRDVEVISAKLLMRALMHSNTLFREFALISMWRVPKMPIGAHMLLSFLAEPLKQPNFDEDDASEDLHEFEDWREYYACDATYRNWLKFELENAAIAPAELSSEEKDRAAATALETLDSSLSLLLREGNPWLNVAHDRTYDPTEDMYIELHATAMLCLPSGECMLPDATSCTTLTSALYSSVSEDDVLKRQLRVNVAVSSSDNYRIEVALHCLAVNGDGLGLHEANDGGLLATVIAAGFKGELNRFQPGVTMEISRLDAWYSSEDGSFRSPANYIVKGLCRRCCLPELILRCMQVSVSLAETRDLKDHHNELIELVASSEYGILHLFSQHQLQEFLLFEREFSLYGMEVEEESVVDT >Ma11_p21830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25828057:25831001:-1 gene:Ma11_g21830 transcript:Ma11_t21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGSLFLVVGVWHVWSSVFRYVSEPPGAFRVRAWSPVGRGKARHLELYVVAGGAFLDMCVELLYSTHLRWFVGPERILNPAHMNDFEHGGMLLMFFVYGALALVSEKTRYLRLPEGALCLIGAAAFSSEYLLFYFHSTTHKGLEGYYHLLLVLLIALCIASAIAGALFPTSFAADLTNAISITLQGLWFYQTAFTLYGPMMPEGCRLDGNDIACHSHDNQVRGELLANVQLFSLILLVLFFVLGSYAVAASRYGHPDLSRSHAGEEHTAGSGGWNFGTHLRTGTTLEEVAAY >Ma11_p21830.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25828057:25831001:-1 gene:Ma11_g21830 transcript:Ma11_t21830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGSLFLVVGVWHVWSSVFRYVSEPPGAFRVRAWSPVGRGKARHLELYVVAGGAFLDMCVELLYSTHLRWFVGPERILNPAHMNDFEHGGMLLMFFVYGALALVSEKTRYLRLPEGALCLIGAAAFSSEYLLFYFHSTTHKGLEGYYHLLLVLLIALCIASAIAGALFPTSFAADLTNAISITLQGLWFYQTAFTLYGPMMPEGCRLDGNDIACHSHDNQVRGELLANVQLFSLILLVLFFVLGSYAVAASRYGHPDLSRSHAGEEHTAGSGGWNFGTHLRTGTTLEEVAAY >Ma11_p21830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25828057:25831001:-1 gene:Ma11_g21830 transcript:Ma11_t21830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGSLFLVVGVWHVWSSVFRYVSEPPGAFRVRAWSPVGRGKARHLELYVVAGGAFLDMCVELLYSTHLRWFVGPERILNPAHMNDFEHGGMLLMFFVYGALALVSEKTRYLRLPEGALCLIGAAAFSSEYLLFYFHSTTHKGLEGYYHLLLVLLIALCIASAIAGALFPTSFAADLTNAISITLQGLWFYQTAFTLYGPMMPEGCRLDGNDIACHSHDNQVRGELLANVQLFSLILLVLFFVLGSYAVAASRYGHPDLSRSHAGEEHTAGSGGWNFGTHLRTGTTLEEVAAY >Ma11_p21830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25828057:25831001:-1 gene:Ma11_g21830 transcript:Ma11_t21830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGSLFLVVGVWHVWSSVFRYVSEPPGAFRVRAWSPVGRGKARHLELYVVAGGAFLDMCVELLYSTHLRWFVGPERILNPAHMNDFEHGGMLLMFFVYGALALVSEKTRYLRLPEGALCLIGAAAFSSEYLLFYFHSTTHKGLEGYYHLLLVLLIALCIASAIAGALFPTSFAADLTNAISITLQGLWFYQTAFTLYGPMMPEGCRLDGNDIACHSHDNQVRGELLANVQLFSLILLVLFFVLGSYAVAASRYGHPDLSRSHAGEEHTAGSGGWNFGTHLRTGTTLEEVAAY >Ma11_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25828057:25831001:-1 gene:Ma11_g21830 transcript:Ma11_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGSLFLVVGVWHVWSSVFRYVSEPPGAFRVRAWSPVGRGKARHLELYVVAGGAFLDMCVELLYSTHLRWFVGPERILNPAHMNDFEHGGMLLMFFVYGALALVSEKTRYLRLPEGALCLIGAAAFSSEYLLFYFHSTTHKGLEGYYHLLLVLLIALCIASAIAGALFPTSFAADLTNAISITLQGLWFYQTAFTLYGPMMPEGCRLDGNDIACHSHDNQVRGELLANVQLFSLILLVLFFVLGSYAVAASRYGHPDLSRSHAGEEHTAGSGGWNFGTHLRTGTTLEEVAAY >Ma11_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21613678:21614399:-1 gene:Ma11_g16000 transcript:Ma11_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLHIMKRHKGGFRLGRRLSRIWRCVSRLGRRRDHTRPLMESSTATTRLAHWGRSICRLLRRRPHLGDRAPLLEEQRYVVPVIYFNHPLFGELLQEAEEEFGFHHPGGITIPCPAAEFERVRTRVAAAGAHLCRKSRSRFVLS >Ma05_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16425436:16425730:1 gene:Ma05_g16400 transcript:Ma05_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFLGLGFAFYVFFVPFVGKKLFQYVIMGLYTPLVIHF >Ma09_p25940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37297594:37301459:1 gene:Ma09_g25940 transcript:Ma09_t25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGPSRKSLSKIACNRLQKELAEWQVSPPAGFKHKVTDNLQRWVIEVVGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSAVKQRPADNDRYVKNCRNGKSPKETRWWFHDDKV >Ma09_p25940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37297616:37301459:1 gene:Ma09_g25940 transcript:Ma09_t25940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGPSRKSLSKIACNRLQKELAEWQVSPPAGFKHKVTDNLQRWVIEVVGAPGTLYANETYQLQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSAVKQRPADNDRYVKNCRNGKSPKETRWWFHDDKV >Ma09_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34504993:34505172:1 gene:Ma09_g22610 transcript:Ma09_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILSEILLCGFMINSSLRRRTHLVQSFSVVFLHWFYVFS >Ma02_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29160340:29165055:-1 gene:Ma02_g24660 transcript:Ma02_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSRLRLPAPLRRRALTALAAAVQQDTDTWSQAPLSLVAPASADASLFHVAVDVSYAPDLAVSYTAPGQYLQLRVPGADKPAFLAIASPPSFAASRCEFQFLVKRVPGSTVDLLCGLRRGDIVELSGIMGRGFRVEEISPPDAFPSVFIFATGSGISPIRSLIELGVRANERSDVRLYYGARNLQKMAYQERFKDWEYAGVNVIPVLSQPDERWSGERGYVQTAFLRARHILNPSTTGAVLCGHKQMTEVITAKLVAEGVSKDKILTNF >Ma05_p31930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41625217:41626249:1 gene:Ma05_g31930 transcript:Ma05_t31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAAFDGPVLVVGPQFCAPHVVDLTVTKKALSLTGNDFTVTDVNGNVVLKTKGVFFSLRNRRVLLDAAGNPLLTLQQKVKPFTLSSQQHCASESKAVVMIITMMASQILTLHGKCRVFRGESTDSKDLLYSVKMSSLLQFFKTKLHVIMASNTNEDACDFKIKGRYFRRSCTVHRGESNSIVAEMRRKITVKNLLLGKDTFSVTVYPNVDYAFVASLIVIFDKINKDRSRRQKPHTVASFGMLS >Ma06_p31530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32635787:32654968:1 gene:Ma06_g31530 transcript:Ma06_t31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIRSVQLIDGDGEFNFPGVEHFMKATKLAECGLSYAVVSIMGPQSSGKSTLLNRLFQTDFREMDALRGRSQTTKGIWLAKCVGIDPCTVVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEHLEPVLREDIQKIWDSVSRPDAQKDTTLSEFFNLEVTALSSYEEKEVQFENQVAELKQRFVHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIRENKDLDLPAHKVMVATVRCEEIANEKLSHLTSDERWLELEEAVQSGPVSGFGKKLGSILDAYMSEYDMEAIYFDEGVRTAKRKQLESKALHLVHPTYQALLGHLRTKFLEKFKNDMEDALKSRKGFASSVRECSQTSILEFDQGCADAALSQADWDASRVREKLSRDIDAHAHSVQNAKMSELTRRYEAKLTESLAEPVECLFEAAGKDTWASIRNLYKHEAENALSEFSSSLSGFELDKVAFDEKIMNLKEFARSVVEKKAREEAGKVLIRMKDRFSAVFSQDKDSMPRVWTGKEDVRKITKNARAEALKLLSVMAAIRLDENPDKIESSLLSTLMDAPIAQEMKPVTSTDPLASSTWEEVSPSNTLITPVQCKSLWRQFKTETEYSVTQAISAQEAHKRGNSVLPPPWAILAIAILGFNELMVLLRNPLYLLVIFVVFLLFKALWVQLDVTTLFQNGILSGFLSLSTRFLPTVMDILRRLADEGQGQPHVPQNPQHPPLDPLSFRRDSQRQAQLSNPPVPDASSSSSTLSSPRSGVECPATNRMVDADTEASSTS >Ma02_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21499870:21502249:1 gene:Ma02_g12890 transcript:Ma02_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGRNGAVRQYIRSKVPRMRWTPELHHCFVHAIQRLGGQEKATPKLVLQLMDVGGLTISHVKSHLQMYRSTRNEGGKQDLHERKHSNPGNDKVAGEQHGLGPCHASVPTEEFQSHLLYSPLSPLKRLATYSQLHLHLLSVMLATYRDNIQVSSQQTPTSPFANPFPIPFVYCINRIRLDTQTSSKGVQDLTVDTTPYSVDHYMQDLTVERGAKEGLSWQRETARAENHFSKLTAVRYMVDGCDPSKATIRNDHYLMPANKFITKQICGNGCLSCKPPSHNNEYVESEEANDCSLSLSLSLNSGAICACSSSSSRDFTDHSSYSGGDRVNLDLSMSICET >Ma03_p30840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33297744:33302557:1 gene:Ma03_g30840 transcript:Ma03_t30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLLLETISVWRDPSKCRPPHLLRWNPLLQPPRPYFLVVGSRKPIGFPLRCTPRPPSATAEKKKDRPPGAALRFVLDTLDRLKKPAAAIVLLGFLLTVDPCGALAASGGRMGGTAFSSSSSRSHSSSSWCYSDPPVSSLSYSAPYYTPSPFGGGLYVGPAVGVGFGAGSGFFLLMLGFVAVILLSGFLPDRADDWSVLTATQRTSVIKLQVGLLDTARSFQKDLDQIAEAADTSTAEGLNYILTETTLALLRHPDCCISAYYSVDMKRSIDDGEKCFNQLSIEERGKFDEETLVNVNNIRRQKTSKKTFKSFSNEYIVATILVAAEGVYRLPVINGSGDLKEALQKLGSIPSSKTLAVEVLWTPQDENDTLSERELLEDYPLLRPL >Ma01_p15910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11587166:11594273:-1 gene:Ma01_g15910 transcript:Ma01_t15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKNKHRKSSAFGCNAGSRCSCLAVWSLVGFILMIHFFTHIHRDDEEDVLVPLSHLSDIRELEEVEEVTFHFSPPRDRRSPRALKRKGPRKPPTVIDEFLDESSEVHAFFFPNRKTTFGPTKEGNNSMYFYPGRVWLDTDGNPIQAHGGGLLYDDRTETYYWYGENKDGPTYHAHRKSAARVDIIGVSCYSSKDLWMWTNEGIVLPGVETNVTHDLHKSNVLERPKVIYNDKTDQYVMWMHIDDANYTKAAVGVAVSDSPTGPFTYLYSMRPHGCESRDMTIFKDDDGKAFVIYSSEDNSELHIGPLTDDYLNVTRVMRRILVGRHREAPALFKHQGIYYMITSGCTGWAPNKALAHAAESIMGPWETMGNPCVGGNRVLRLTTFFSQSTFVAPLPGLPGSFVFMADRWNPSELKDSRYLWLPLTIGGLADEPLEYNFGFPHWSRVSIYWHKRWKLPEGWRTE >Ma01_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11587166:11594273:-1 gene:Ma01_g15910 transcript:Ma01_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHDQDLGSIVLEIEKGRMRIKNKHRKSSAFGCNAGSRCSCLAVWSLVGFILMIHFFTHIHRDDEEDVLVPLSHLSDIRELEEVEEVTFHFSPPRDRRSPRALKRKGPRKPPTVIDEFLDESSEVHAFFFPNRKTTFGPTKEGNNSMYFYPGRVWLDTDGNPIQAHGGGLLYDDRTETYYWYGENKDGPTYHAHRKSAARVDIIGVSCYSSKDLWMWTNEGIVLPGVETNVTHDLHKSNVLERPKVIYNDKTDQYVMWMHIDDANYTKAAVGVAVSDSPTGPFTYLYSMRPHGCESRDMTIFKDDDGKAFVIYSSEDNSELHIGPLTDDYLNVTRVMRRILVGRHREAPALFKHQGIYYMITSGCTGWAPNKALAHAAESIMGPWETMGNPCVGGNRVLRLTTFFSQSTFVAPLPGLPGSFVFMADRWNPSELKDSRYLWLPLTIGGLADEPLEYNFGFPHWSRVSIYWHKRWKLPEGWRTE >Ma01_p15910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11587166:11594294:-1 gene:Ma01_g15910 transcript:Ma01_t15910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSRSAAVAPCSRPMTRILAGSRCSCLAVWSLVGFILMIHFFTHIHRDDEEDVLVPLSHLSDIRELEEVEEVTFHFSPPRDRRSPRALKRKGPRKPPTVIDEFLDESSEVHAFFFPNRKTTFGPTKEGNNSMYFYPGRVWLDTDGNPIQAHGGGLLYDDRTETYYWYGENKDGPTYHAHRKSAARVDIIGVSCYSSKDLWMWTNEGIVLPGVETNVTHDLHKSNVLERPKVIYNDKTDQYVMWMHIDDANYTKAAVGVAVSDSPTGPFTYLYSMRPHGCESRDMTIFKDDDGKAFVIYSSEDNSELHIGPLTDDYLNVTRVMRRILVGRHREAPALFKHQGIYYMITSGCTGWAPNKALAHAAESIMGPWETMGNPCVGGNRVLRLTTFFSQSTFVAPLPGLPGSFVFMADRWNPSELKDSRYLWLPLTIGGLADEPLEYNFGFPHWSRVSIYWHKRWKLPEGWRTE >Ma06_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7269011:7270873:-1 gene:Ma06_g10480 transcript:Ma06_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLLQESGSSSDTRTPNRQASSCSLPPPDSALDKRNTSPAARMNTLVVWPGIGAMMVHKNNSFRQTTLPSLRFVRPNPRLLAIRTKQRGPLAFPPRSTTTPNPNLTETPEENEEEDEGIPMEYVKILAKFKSRHNYIRVLDVSRRADHPLAGSRLLLLDRPGNIHSISFLLRTLTSTYFDVFATLPPLLPHGGGPIAILGFGAGSAARLILSLYPDGAAPAPEIHGWEFDPSVIAVAREFFGLSKLERQHRGKLFVYVGDALDAKVEGGFAGILVDLFAKGSVIPELQDPKTWENLRSRLKTGGRMMVNCGGRCVEAEDPRRDGDLVREETLRAMGKVFADELFVINLGAGGRKEDSWLALTGPAPDVDAWKRALPAAELRRYVDMWAPPSNIG >Ma01_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7557835:7559084:1 gene:Ma01_g10510 transcript:Ma01_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGALVVLALAVVLVAAAPRATEALTCGQVVSFLQSCIPYARGQGLLTKSCCSGVRSLNDAAKTTPDRQTACSCLKSTVAGLKGIQSGTVAGIPGKCGVSVPYPISTSVDCSKVK >Ma01_p07090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5101576:5113804:1 gene:Ma01_g07090 transcript:Ma01_t07090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWQQQLMYKQLQELQRQQQLKQLDHGARQTSPFSQLSSMPKPATGNQSPSTLNEIHANGAYNYMWPNNFVGGMPNLPSNSQMFTADNNNSLQSRCSASMHNLANGFALNDQGEAMRARGFNSEQINQTLHGIPVPVTSSVNQYSLFMGTSNNCLDLINRADATKVEKASYSSRTFQTDRRLAAQGCLQDNSSATSQKFQGKHFYENSPGQVLGHDVQMNSLQHNVQFQEHYGRQEQDDSSGNLQEKTMSQVGTSGSVASLDPIERELLFGTDDNNSGFSFGGCLISGMGEDMHGHSPENDNYGVFPSIHSGSWSALMQEAVQASGSDKGLQEEWAGLSVQKSEQLTVKPHITTSDNGKRPPAWDDRNLEIASSFPLFSDANATPTYSTAPSDRHPFKSAHEENNKVLTEAPNASLLSSSRGASNTEFHQNQSQSHVAEGCLQAQKPSTSGVWAGQAIEQNDKRFEDVRFISQEIGCGWGNQQNLPLSNISIQSVSRLNGWNTNYPVAFREDITSNYHETDGNLCKTSDNHANPNSELQPVKSFIRSPKRQAENSLAGNFHSGREPNNLRLNQDVQQQVINTQQTDLERHFALNTCVSAEGVQDVEKNQNRPSKRPQTWQSSLSMSVKRLGENHENVRDNGRVVVGEGYVGNTAKEKSLSTANDQYPFVIGGQKSSIQSGQHIVESKMLQNSLGSLRTVDPSLPSDNPLSLKGLPNSFFQGSSSGEQKFVGKTQFAGNIVMNSPAIVSKRTAVGSEELQSRNTMPVCASHSSFGESTAQCSQTETNSQASYNMLELFQKFDQSRNGNYINATDLPAQAAADISVTHLQLERCSNLRGFGLQLSPPSQPLPNYAPISKTDINNINNRQLDKEAGYQDQPCSNSLSSVRPVPPLDESSRRENWDKMSSLSGQRQKEHPEASRHFIFSSPAASESTLAVNQLQEHHHQLQQHGSSAKDHLVRQQQQGQQQNISDTIAHEELDQSVKVSFGNQTNISAFVKNASLVTQPCDSHDGVVPGQSIQTSLPPASRFPTSGVASFAETHVPVGSQISSGGTDHTKSLFAGCSKISSSGQQLPVMQTKSASQSSISGMSQQVAFSKMLHNVWANVSAQQHQAGISPQNLTPNILQSIINNARDTSFQGMPKPGDQVHKEGSATPDVGMSSAKSRDEGNPVQVKSLNLIYTEKTGDAYKSTSAFHGEKAVLRPPLDGGPSVPISSLVHLHQQDINKATNGQAPALSSSVLRPPLTSNISSSCDIGISGCTSQPSDVQQNYSLLYQVQSMKASDSDVNKMTGKVSKAVGSNASQMKLNADKRFDLWQSTVSRTPTDGKAGATSQISIPPDPKMLSFASNDSEERIPSPSTTGWHDVQTHTCPVSASSMMNIMGVSERTQISPQMAPSWFDHPETCQKGSVMAVFDAQRSEKAAIQQNFFQKIPARMDNSHVVEQRFDSSHFDSYGQGTLATKMALSESSPSVLPPDVTMDHDINVRLKKRKTTTDLSWHKIVTEPQRLLSISMAELDWAHTSNRLVEKVDDESEIMEDGPLAPQSRRRLILTTQLMHQLIPAAPAVLFKGDATSAYESVIFSVAKSALSDACNLISSSESNLHVLLGKENMISGELKISKKVEDDTFSKLMEDFVGRSKKLESEFSRLEKRASILDVRLECQELENFSIVNQLGKFHGRTRADGTEVSSTSQTAHRKLFPQRYITALPATGNFPEGVLCLSL >Ma01_p07090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5101576:5113804:1 gene:Ma01_g07090 transcript:Ma01_t07090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQLQELQRQQQLKQLDHGARQTSPFSQLSSMPKPATGNQSPSTLNEIHANGAYNYMWPNNFVGGMPNLPSNSQMFTADNNNSLQSRCSASMHNLANGFALNDQGEAMRARGFNSEQINQTLHGIPVPVTSSVNQYSLFMGTSNNCLDLINRADATKVEKASYSSRTFQTDRRLAAQGCLQDNSSATSQKFQGKHFYENSPGQVLGHDVQMNSLQHNVQFQEHYGRQEQDDSSGNLQEKTMSQVGTSGSVASLDPIERELLFGTDDNNSGFSFGGCLISGMGEDMHGHSPENDNYGVFPSIHSGSWSALMQEAVQASGSDKGLQEEWAGLSVQKSEQLTVKPHITTSDNGKRPPAWDDRNLEIASSFPLFSDANATPTYSTAPSDRHPFKSAHEENNKVLTEAPNASLLSSSRGASNTEFHQNQSQSHVAEGCLQAQKPSTSGVWAGQAIEQNDKRFEDVRFISQEIGCGWGNQQNLPLSNISIQSVSRLNGWNTNYPVAFREDITSNYHETDGNLCKTSDNHANPNSELQPVKSFIRSPKRQAENSLAGNFHSGREPNNLRLNQDVQQQVINTQQTDLERHFALNTCVSAEGVQDVEKNQNRPSKRPQTWQSSLSMSVKRLGENHENVRDNGRVVVGEGYVGNTAKEKSLSTANDQYPFVIGGQKSSIQSGQHIVESKMLQNSLGSLRTVDPSLPSDNPLSLKGLPNSFFQGSSSGEQKFVGKTQFAGNIVMNSPAIVSKRTAVGSEELQSRNTMPVCASHSSFGESTAQCSQTETNSQASYNMLELFQKFDQSRNGNYINATDLPAQAAADISVTHLQLERCSNLRGFGLQLSPPSQPLPNYAPISKTDINNINNRQLDKEAGYQDQPCSNSLSSVRPVPPLDESSRRENWDKMSSLSGQRQKEHPEASRHFIFSSPAASESTLAVNQLQEHHHQLQQHGSSAKDHLVRQQQQGQQQNISDTIAHEELDQSVKVSFGNQTNISAFVKNASLVTQPCDSHDGVVPGQSIQTSLPPASRFPTSGVASFAETHVPVGSQISSGGTDHTKSLFAGCSKISSSGQQLPVMQTKSASQSSISGMSQQVAFSKMLHNVWANVSAQQHQAGISPQNLTPNILQSIINNARDTSFQGMPKPGDQVHKEGSATPDVGMSSAKSRDEGNPVQVKSLNLIYTEKTGDAYKSTSAFHGEKAVLRPPLDGGPSVPISSLVHLHQQDINKATNGQAPALSSSVLRPPLTSNISSSCDIGISGCTSQPSDVQQNYSLLYQVQSMKASDSDVNKMTGKVSKAVGSNASQMKLNADKRFDLWQSTVSRTPTDGKAGATSQISIPPDPKMLSFASNDSEERIPSPSTTGWHDVQTHTCPVSASSMMNIMGVSERTQISPQMAPSWFDHPETCQKGSVMAVFDAQRSEKAAIQQNFFQKIPARMDNSHVVEQRFDSSHFDSYGQGTLATKMALSESSPSVLPPDVTMDHDINVRLKKRKTTTDLSWHKIVTEPQRLLSISMAELDWAHTSNRLVEKVDDESEIMEDGPLAPQSRRRLILTTQLMHQLIPAAPAVLFKGDATSAYESVIFSVAKSALSDACNLISSSESNLHVLLGKENMISGELKISKKVEDDTFSKLMEDFVGRSKKLESEFSRLEKRASILDVRLECQELENFSIVNQLGKFHGRTRADGTEVSSTSQTAHRKLFPQRYITALPATGNFPEGVLCLSL >Ma01_p07090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5101576:5113804:1 gene:Ma01_g07090 transcript:Ma01_t07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWQQQLMYKQLQELQRQQQLKQLDHGARQTSPFSQLSSMPKPATGNQSPSTLNEIHANGAYNYMWPNNFVGGMPNLPSNSQMFTADNNNSLQSRCSASMHNLANGFALNDQGEAMRARGFNSEQINQTLHGIPVPVTSSVNQYSLFMGTSNNCLDLINRADATKVEKASYSSRTFQTDRRLAAQGCLQDNSSATSQKFQGKHFYENSPGQVLGHDVQMNSLQHNVQFQEHYGRQEQDDSSGNLQEKTMSQVGTSGSVASLDPIERELLFGTDDNNSGFSFGGCLISGMGEDMHGHSPENDNYGVFPSIHSGSWSALMQEAVQASGSDKGLQEEWAGLSVQKSEQLTVKPHITTSDNGKRPPAWDDRNLEIASSFPLFSDANATPTYSTAPSDRHPFKSAHEENNKVLTEAPNASLLSSSRGASNTEFHQNQSQSHVAEGCLQAQKPSTSGVWAGQAIEQNDKRFEDVRFISQEIGCGWGNQQNLPLSNISIQSVSRLNGWNTNYPVAFREDITSNYHETDGNLCKTSDNHANPNSELQPVKSFIRSPKRQAENSLAGNFHSGREPNNLRLNQDVQQQVINTQQTDLERHFALNTCVSAEGVQDVEKNQNRPSKRPQTWQSSLSMSVKRLGENHENVRDNGRVVVGEGYVGNTAKEKSLSTANDQYPFVIGGQKSSIQSGQHIVESKMLQNSLGSLRTVDPSLPSDNPLSLKGLPNSFFQGSSSGEQKFVGKTQFAGNIVMNSPAIVSKRTAVGSEELQSRNTMPVCASHSSFGESTAQCSQTETNSQASYNMLELFQKFDQSRNGNYINATDLPAQAAADISVTHLQLERCSNLRGFGLQLSPPSQPLPNYAPISKTDINNINNRQLDKEAGYQDQPCSNSLSSVRPVPPLDESSRRENWDKMSSLSGQRQKEHPEASRHFIFSSPAASESTLAVNQLQEHHHQLQQHGSSAKDHLVRQQQQGQQQNISDTIAHEELDQSVKVSFGNQTNISAFVKNASLVTQPCDSHDGVVPGQSIQTSLPPASRFPTSGCSKISSSGQQLPVMQTKSASQSSISGMSQQVAFSKMLHNVWANVSAQQHQAGISPQNLTPNILQSIINNARDTSFQGMPKPGDQVHKEGSATPDVGMSSAKSRDEGNPVQVKSLNLIYTEKTGDAYKSTSAFHGEKAVLRPPLDGGPSVPISSLVHLHQQDINKATNGQAPALSSSVLRPPLTSNISSSCDIGISGCTSQPSDVQQNYSLLYQVQSMKASDSDVNKMTGKVSKAVGSNASQMKLNADKRFDLWQSTVSRTPTDGKAGATSQISIPPDPKMLSFASNDSEERIPSPSTTGWHDVQTHTCPVSASSMMNIMGVSERTQISPQMAPSWFDHPETCQKGSVMAVFDAQRSEKAAIQQNFFQKIPARMDNSHVVEQRFDSSHFDSYGQGTLATKMALSESSPSVLPPDVTMDHDINVRLKKRKTTTDLSWHKIVTEPQRLLSISMAELDWAHTSNRLVEKVDDESEIMEDGPLAPQSRRRLILTTQLMHQLIPAAPAVLFKGDATSAYESVIFSVAKSALSDACNLISSSESNLHVLLGKENMISGELKISKKVEDDTFSKLMEDFVGRSKKLESEFSRLEKRASILDVRLECQELENFSIVNQLGKFHGRTRADGTEVSSTSQTAHRKLFPQRYITALPATGNFPEGVLCLSL >Ma01_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5101576:5113804:1 gene:Ma01_g07090 transcript:Ma01_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWQQQLMYKQLQELQRQQQLKQLDHGARQTSPFSQLSSMPKPATGNQSPSTLNEIHANGAYNYMWPNNFVGGMPNLPSNSQMFTADNNNSLQSRCSASMHNLANGFALNDQGEAMRARGFNSEQINQTLHGIPVPVTSSVNQYSLFMGTSNNCLDLINRADATKVEKASYSSRTFQTDRRLAAQGCLQDNSSATSQKFQGKHFYENSPGQVLGHDVQMNSLQHNVQFQEHYGRQEQDDSSGNLQEKTMSQVGTSGSVASLDPIERELLFGTDDNNSGFSFGGCLISGMGEDMHGHSPENDNYGVFPSIHSGSWSALMQEAVQASGSDKGLQEEWAGLSVQKSEQLTVKPHITTSDNGKRPPAWDDRNLEIASSFPLFSDANATPTYSTAPSDRHPFKSAHEENNKVLTEAPNASLLSSSRGASNTEFHQNQSQSHVAEGCLQAQKPSTSGVWAGQAIEQNDKRFEDVRFISQEIGCGWGNQQNLPLSNISIQSVSRLNGWNTNYPVAFREDITSNYHETDGNLCKTSDNHANPNSELQPVKSFIRSPKRQAENSLAGNFHSGREPNNLRLNQDVQQQVINTQQTDLERHFALNTCVSAEGVQDVEKNQNRPSKRPQTWQSSLSMSVKRLGENHENVRDNGRVVVGEGYVGNTAKEKSLSTANDQYPFVIGGQKSSIQSGQHIVESKMLQNSLGSLRTVDPSLPSDNPLSLKGLPNSFFQGSSSGEQKFVGKTQFAGNIVMNSPAIVSKRTAVGSEELQSRNTMPVCASHSSFGESTAQCSQTETNSQASYNMLELFQKFDQSRNGNYINATDLPAQAAADISVTHLQLERCSNLRGFGLQLSPPSQPLPNYAPISKTDINNINNRQLDKEAGYQDQPCSNSLSSVRPVPPLDESSRRENWDKMSSLSGQRQKEHPEASRHFIFSSPAASESTLAVNQLQEHHHQLQQHGSSAKDHLVRQQQQGQQQNISDTIAHEELDQSVKVSFGNQTNISAFVKNASLVTQPCDSHDGVVPGQSIQTSLPPASRFPTSGVASFAETHVPVGSQISSGGTDHTKSLFAGCSKISSSGQQLPVMQTKSASQSSISGMSQQVAFSKMLHNVWANVSAQQHQAGISPQNLTPNILQSIINNARDTSFQGMPKPGDQVHKEGSATPDVGMSSAKSRDEGNPVQVKSLNLIYTEKTGDAYKSTSAFHGEKAVLRPPLDGGPSVPISSLVHLHQQDINKATNGQAPALSSSVLRPPLTSNISSSCDIGISGCTSQPSDVQQNYSLLYQVQSMKASDSDVNKMTGKVSKAVGSNASQMKLNADKRFDLWQSTVSRTPTDGKAGATSQISIPPDPKMLSFASNDSEERIPSPSTTGWHDVQTHTCPVSASSMMNIMGVSERTQISPQMAPSWFDHPETCQKGSVMAVFDAQRSEKAAIQQNFFQKIPARMDNSHVVEQRFDSSHFDSYGQGTLATKMALSESSPSVLPPDVTMDHDINVRLKKRKTTTDLSWHKIVTEPQRLLSISMAELDWAHTSNRLVEKVDDESEIMEDGPLAPQSRRRLILTTQLMHQLIPAAPAVLFKGDATSAYESVIFSVAKSALSDACNLISSSESNLHVLLGKENMISGELKISKKVEDDTFSKLMEDFVGRSKKLESEFSRLEKRASILDVRLECQELENFSIVNQLGKFHGRTRADGTEVSSTSQTAHRKLFPQRYITALPATGNFPEGVLCLSL >Ma08_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33640325:33640955:1 gene:Ma08_g19750 transcript:Ma08_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFSPLLLLPLVILATIAIGSSSDEPKEKMTHLHFYFYDYYGGPNATTITVVSPPGNSSFGSIGVGDNILREGPESSSKLIGRAQELAVQAGQESTAYLSALNFVFTAGEYNGSGFSILGRAVLTETMERGIVGGTGMFRMARGYTLSKLIRSTGTTELELVMEYDAYIYHY >Ma08_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10704328:10706020:-1 gene:Ma08_g13570 transcript:Ma08_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSSPMPKLMTQYSSVGTSTGPLAQRPIF >Ma05_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36863345:36864021:1 gene:Ma05_g24620 transcript:Ma05_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMTGLVKKFLACGGRNFRSGLPEGHIWVCVGTEAAAARRLEVEANYLNHPLLEDLLRLSVPEFGYSYEGALRIACDIDFFLYLLDLLRSSDPAVHYMELRDLMAAFYVSAGGSRQHHPSYHQQQQMLLLQQQL >Ma02_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23093362:23095899:-1 gene:Ma02_g15340 transcript:Ma02_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTELGSATSEKDAEKLAFIEEMTVNADKVQEQVLAEILTQNAETEYLQRYKLGGATDRAAFKSKIPMVTYEDLQLEIRRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIQEEMDRKQLLYSLLMPVMNLYVAGLDKGKGLYFLFVKSESKTAGGLPARPVLTSYYKSHHFRARPFDPYNVYTSPTAAVLCADAFQSMYAQMICGLLDRLDVLRVGAVFASGLLRAIRFLQLHWKQLAHDIASGTLSSKITDSAIRDAVAQVLKPDPALSDFIASECSPGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLRPMCKPSDVSYTIMPNMAYFEFLPLDSAAANADAPPQLADLAGVEVGKEYELVITTYAGLYRYRVGDILLVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASELLRPWGASVVEYTSQADTRVIPGHYVIYWELLVKGGEGGRWPGKEVFEACCLEMEEAMNAVYRQSRTADGSIGPLEIRVVRGGTFEELMDYAIARGASINQYKVPRCVTFPPIIALLDSRVVETHFSPACPRWSPRPN >Ma02_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22487288:22488000:-1 gene:Ma02_g14410 transcript:Ma02_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHKQNPQVINLPVLKATTLMYSMHLSLWHIILFCLKDKVQL >Ma07_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27205761:27206934:1 gene:Ma07_g19140 transcript:Ma07_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPEISGPITRGAHGRNATGNAPHAPAPVNAQDDVHGVLNAIRGYFERQEERDEIPRGRNNTLDHFKRLGPPIFEGKPDPIFAERWIRQVEKTFKAIECRENQKVPFASFILEGKADTWWTSKRRMLEAGGNVVTWEQFKDAFYEQFFPDSVRFEKQQEFLSIRQGNRTVMEYDHHFTELAQFSPYIAFNESQRARHFERGLRSSIRKSVAVLILPTYAEVLNRALVVEKLDNELQQAKNRKRPFSAAPFTYGGSHSGPSKKGKGKQQIMFPPHAPWDNAYVFIVNNRGTCRRIAHGSNINTELHPKKLNNNSYDLERKDRQESMH >Ma09_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1548560:1551850:-1 gene:Ma09_g02160 transcript:Ma09_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPAVREGEQVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Ma11_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1817993:1821546:-1 gene:Ma11_g02490 transcript:Ma11_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEEENRADIYSPQLSYQFDSEKLHLDNGDESSGENIKNSRCRGCEHLYSQENCPGKSMKRHIFVDDKSCSLCKEMLYQPAVLNRGHGYILCLANLSDLSGEPLQCQICESLDPGEFPNVFLDLDHFLEEEFLRVCSEKRTSKAQCQPANSSTSTLQPKKRKAKVPCEAMGLLWLNEDMSAFLVGVVCDSCEIYLIIGKRYKCKDWKEAVGFDLCEACYKTKSKLPGRFNQQHTPDHKFELDELHMFRNILLRRASMFNIAQQRPEVV >Ma08_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35959825:35962752:-1 gene:Ma08_g22350 transcript:Ma08_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPILSSLSRSIHELETAICTSSHPWTRVREDLNRLESTLRRVQAVVDQAEERQWRDERVRSWLAELQGVACDAEDVLDELDFELSRPPRSSPASGEEAEVHSSVTSLSCEIEKIRGRFGETLEQTDGLRLKATERTWPRCGEFVVKQTEVFGREEDKKKVTELVLSETPENPPVIAIVGSPGAGKTTLLQLVCNDPGVREHFPRRGWVRMSKDFDATTLRREIMEAITLRDWRVEFSGKGHWIYSQPNYLDRCIKRELEEERFLLVLDDFCDENLHLWATVNLQLSLGHGGSKIILATSSERVTAVTENMPLYHLSSLPEENSWRLFQTLAFGSRTGHPEANLVRIGKEIVEKCKGSPLSVKMLAALLQSETKAEIWSRVSKSNLWNDADEEENHNLPALRISYHNLPPHLQSCVAFCSVFPKDFLFTKDRIVRLWMAQGFVHPREGKLSEEIGSEYFDELLSRSFFLASHVADQTFVVHHLIHDLAEFVLGEQCCREKNMKVCSVSKEARHLCLVAVDSLADVNLELESEANSLRTILLVIKSVNSAFKSNWWYHYNDDIAHLSFSDNLFRYLKCLRALDLSDTDIDHLPDSVGNMKLLRFLGLSNTRIRWLPEELGKLHNLQTLELRSCGGLTALPKSIGYLTNLRHVDLLNACDHVHLHHGIGRLVGLQTLSIVYIGKESEHYVIRELGRLVNLRGELRIIGLHNVDDVDDAKAAGLMHKEQIEKLTLRWCDPNDDCYHRGVAPRSTGFRCMNCRIEEAVSHDEAGEEDEAQQCMGVAVVVEDEEEEDDMWIDRIDIDVECKPDKPKRTREEMIQCQRKIQESQEAMLESLRPHGDLKELVIQHYYGSKLSSTWMGDPVFSKLASITLDDCRKCEILPPLGQLPSLKHLLIRYFPSIKRVGREFCGGDGGGAGDSKAFPALETLEFDGMYEWEEWCGVEDGDFPCLRRLLFCGCMKLKSFPDAVSRHGIP >Ma01_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14727497:14730522:1 gene:Ma01_g19260 transcript:Ma01_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTCTANDPA >Ma05_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:793026:801954:1 gene:Ma05_g01360 transcript:Ma05_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAERGDGGERGGMEEVDDAKLEFTPTWIVAGVCSVIIFISLVAERYLHYLGKVLKSKNQKPLYNALQKVKEELMLLGFISLLLTVFQEGIQNICIPKRWTLYMLPCNPEKAHHHHHHHHHHHHRVYSDEIPGGGGERRLLAEGVAHCMSKGEVPLLSVEAIHQLHIFIFVLAVTHFLFSAFIVLLGGAKISQWKHWEDSIQQEIRGVAPTNNAHLHQFQFIMERVKTIERDSVDVTWLHSFFKQFFLSVTKSDYVTLRLIFIMTHCKGHPKFDFHKYMIRAFESDFKKVVGISWHLWAYVIIFLLLNINGWHTYFWIAFVPLILLLSVGTKLEHVITEIAHNVAEKYFAIGGDLIVMPSDDYFWFHRPRILLFLIHLILFQNAFDIAFIFWLFTPYGLDSCITDHFGFAVPRLVIGIIVQILCSYSTLPLYAIVTQMGTHFKKTVFDDNVRGGLEDWAQKAKKRLSLKEDNEFGGSSNGRKESSKTMEMTMHCQN >Ma09_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26527443:26539005:-1 gene:Ma09_g19820 transcript:Ma09_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPKPSKRVDIESQHTAENENDSESGMQFVSKRKRKDFADEDDSASEGESLQESEMEEDEDEIEGSDAEQNGMQIDAEMDELEQQYQNLRSEEQNLLNNLKHQKDEDAVKGRAVKNQKVLWDKTLELRFLLQKTFSAANKLPQEPVRSLFCNSDEDVDQAYTDLIDSSKRTLGSILELQEALLEKNHYILQGLTGNNKESSKSEDSFGKVKADNDDEWLQIIDMHSRIAPFRNGSIDKWHRKTQVTSGAAAFRGKLQAFNQNISEQVAGYMRDPSRMIRRMQLSRSSVGIFGKVPEVSESETIKEEGNVQDGSMDGDPELLDDSEFYSQLLKEFLESNLTSSETAFYATRKLQPKKRKVVDRRASKSRKIRYHVHEKIVNFMAPVPMLLPSMAPKLFDNLFGMTNQQSTSAV >Ma10_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23164879:23167122:1 gene:Ma10_g08930 transcript:Ma10_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMTESFERVVGSYPGEGDIGSGRYSTDTRDMGMEGFFKEVGEIDKQIERITGFFNKLQATNEESQLVTKASEMKAIKQRMEKDVEEVKKIALKIKTMLEQLDKNNMINRQKPGCGKGTAVDRSRMGMTVALKKKLKERMTQFQTLRQNIHEEYREVVERRVFTVTGTRPDEEMVDQLIETGNSELIFEKAIQGQGRGQVVDTLAEIQERRDTMLELEKKLLDLQQMFLDMSVLVDAQGDMLDDIEAQVTKSVDHIENATATLQAAKKTQKNTRKYMCIAIVILLVVIVVALGGILKEAKKSV >Ma11_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17154096:17157745:1 gene:Ma11_g13040 transcript:Ma11_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSADGSPASDSGSHACLDGPMKVIIDTDPGIDDSMTILMAFQLPEIEILGLTTIFGNVRTEDATRNALLLCEIAGHRQVPVAEGSHEPLKGEEPSIADFIHGSDGLGNIFLPPPVGEKMDKNASEFLVEMVSQYPGDVTILALGPLTNLALAIKRDPCFASNVKKIVILGGAFFTAGNVNPAAEANIYGDPEAADIVFTCGADITVVGINITTQVKFTDADLSELRNSKGRHSQTISDMCKFLRDWHVQSEGVHGIFLHDPVSFAALVRPDLFTFKKGVVRVETQGICMGHTLMDDGLKEWITSNPWTGYTPASVAWTVDVPGVLSFIKQLLMKP >Ma10_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21602696:21605822:1 gene:Ma10_g07260 transcript:Ma10_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPDRQEEAAAGDDEDTGAQIAPIVTLSEVAVTTGEEEEDVLLDLKAKLYRFDKDGNQWKERGSGSVKLLKHTETGKVRLVMRQAKTLKICANHLGGPLVSVLGFWFYVYRRIGVCNFVVAVSVVPSIKIQEHAGNDKSCVWHASDFADGELKEEMFCIRFGSVENCKKFMEMIESITESLGEREEEESEDAAAAAAGLLDKLSVDGSQKAKAAAAEEEVAAKVEAKAEQSTKSED >Ma10_p07260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21602696:21605822:1 gene:Ma10_g07260 transcript:Ma10_t07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPDRQEEAAAGDDEDTGAQIAPIVTLSEVAVTTGEEEEDVLLDLKAKLYRFDKDGNQWKERGSGSVKLLKHTETGKVRLVMRQAKTLKICANHLVVPSIKIQEHAGNDKSCVWHASDFADGELKEEMFCIRFGSVENCKKFMEMIESITESLGEREEEESEDAAAAAAGLLDKLSVDGSQKAKAAAAEEEVAAKVEAKAEQSTKSED >Ma09_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17981278:17983630:-1 gene:Ma09_g18520 transcript:Ma09_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVAIFCASILLALSMPSSTSAQTPPAPFLPPSPSPAPRFVNLTDLLSVAGPFDTFLDYLLQTQVIDTFQNQVNNTKQGITVFVPKDSAFASLKKSDLGNLTRDQLKTLFLYHAFPKYYSLSDFKNLSNSNPVSTFAGGQYTLNVTDASGLIRIVSNWANPKITSSVYSTAPVAVYEIDRVLLPSAIFSTEPALAPAPETKTPSDLAPAQSGIASAPKSAESSTGDATSYTTAFRLMDCMVLILSATLMLIM >Ma03_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10533009:10533182:-1 gene:Ma03_g13390 transcript:Ma03_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQVQSSLKPYMYLFLSVRLFTQDFCVWLDLKFSLVKLLTKEDATYKILGIYCNFN >Ma11_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:224076:229424:-1 gene:Ma11_g00310 transcript:Ma11_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g27600/T29A15_90 [Source:Projected from Arabidopsis thaliana (AT4G27600) UniProtKB/TrEMBL;Acc:Q940Y6] MALPSRPLVYARFSPLSNSYPSFSLFLPPSPLGRTCRSQDIAFGFGRHRKSNLRLLAGSRSCRNPCLVVLRSFAGHEAERGSCSVNDEGDEEEIEEDVDHEKQVEDEESVTSVAAERWDVLGLGQAMVDFSGMVHDEFLERLGLEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNTLVALARLGSRFVSGPNLNVALAGSVGSDPLGGFYRAKLRRANVNFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTIDYDQYLAGIVSKTNILVIEGYLFELPHTIKTIAKACEEARKNGALVAVTASDVSCIERCYDDFWEIIGNYTDIVFANSNEARAFCDFSSRDSPMSAARYLSHFVPLVSVTDGPRGSFIGVKGEAIYIPPSPCIPVDTCGAGDAYASGILYGILRGTSDLKGMGMLASCVAAVVVGQQGTRLRVQDACRLAESFTFHLESSNALSDVSSDHISSL >Ma11_p00310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:224076:229424:-1 gene:Ma11_g00310 transcript:Ma11_t00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g27600/T29A15_90 [Source:Projected from Arabidopsis thaliana (AT4G27600) UniProtKB/TrEMBL;Acc:Q940Y6] MALPSRPLVYARFSPLSNSYPSFSLFLPPSPLGRTCRSQDIAFGFGRHRKSNLRLLAGSRSCRNPCLVVLRSFAGHEAERGSCSVNDEGDEEEIEEDVDHEKQVEDEESVTSVAAERWDVLGLGQAMVDFSGMVHDEFLERLGLEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNTLVALARLGSRFVSGPNLNVALAGSVGSDPLGGFYRAKLRRANVNFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTIDYDQYLAGIVSKTNILVIEGYLFELPHTIKTIAKACEEARKNGALVAVTASDVSCIERCYDDFWEIIGNYTDIVFANSNEARAFCDFSSRDSPMSAARYLSHFVPLVSVTDGPRGSFIGVKGEAIYIPPSPCIPVDTCGAGDAYASGILYGILRGTSDLKGMGMLASCVAAVVVGQQGTRLRVQDACRLAESFTFHLESSNALSDVSSDHISSL >Ma11_p00310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:224076:229424:-1 gene:Ma11_g00310 transcript:Ma11_t00310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4g27600/T29A15_90 [Source:Projected from Arabidopsis thaliana (AT4G27600) UniProtKB/TrEMBL;Acc:Q940Y6] MALPSRPLVYARFSPLSNSYPSFSLFLPPSPLGRTCRSQDIAFGFGRHRKSNLRLLAGSRSCRNPCLVVLRSFAGHEAERGSCSVNDEGDEEEIEEDVDHEKQVEDEESVTSVAAERWDVLGLGQAMVDFSGMVHDEFLERLGLEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNTLVALARLGSRFVSGPNLNVALAGSVGSDPLGGFYRAKLRRANVNFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTIDYDQYLAGIVSKTNILVIEGYLFELPHTIKTIAKACEEARKNGALVAVTASDVSCIERCYDDFWEIIGNYTDIVFANSNEARAFCDFSSRDSPMSAARYLSHFVPLVSVTDGPRGSFIGVKGEAIYIPPSPCIPVDTCGAGDAYASGILYGILRGTSDLKGMGMLASCVAAVVVGQQGTRLRVQDACRLAESFTFHLESSNALSDVSSDHISSL >Ma02_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17419283:17434293:-1 gene:Ma02_g06780 transcript:Ma02_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVTRHHVKDSVLDGKEPVMAAYGMSSFEYQGTDPRFNKVFNEAMQSHSTIMISRLLRTYGGFDDVEVLVDVGGGIGTTLGMITAKHPRIKGINFDLSHVISEAQPLPGVQHVSGDMFEAVPRGDAIFLKLILHDRSDENCVKLLNNCWKALPEKGKVIVVECVLPAVPKPTPRFQGIFQLDLCMATYNIGGKERTEEELQGLARDGGFTGFKALHLFADTWVMEFTK >Ma02_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22021584:22022373:1 gene:Ma02_g13780 transcript:Ma02_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLPHHSSLLVFVALLAFLSLLGQCACRKLLNSSAVAGATWSSAGATWYGTANGAGSDGGACGYGGAVATAPFSSMIAAGSPSIYKSGKGCGACYQVLCTTNDACSGDPVTVVITDECPGGPCLAEPVHFDLSGTAFGAMAKPSQGDQLRNAGVLQVQYSRVQCSYPGFDVTFKVDAGSNPNY >Ma09_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3806525:3822285:1 gene:Ma09_g05900 transcript:Ma09_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein homolog [Source:Projected from Arabidopsis thaliana (AT5G48120) UniProtKB/Swiss-Prot;Acc:Q0WVF8] MEKPNSWIAHVEAFVDSARASNQQAASVDAIAVLVHEDLLTLEGLVREMEQYLTASDHVIRARGILLLSEVLSRLLEKPLDSRTVSSLVEFFTSKLEDWQALRGALIGCLALLKRTKKFGMVESNDAKSLAESFLRNVQVQSLAVRDRKLCFEVIQRLLDVYPQAVVELGDDLVYGICEAIDEEKDPRCLMLTFSLVGTLGRLFPDPSGPMGNFSSDVFDILSRYFPIYFTHSKGDGLDITRDDLSKALMDAFSSSPLFEPFVIPLLLEKLSSSLPSAKLDSLKYLNSCLRHYEADKVVKHSQVIWSNLKDVIFNLSPHRSSLSTYGSNGDMDSEVNQIADEALNCLQTAISHLNFPDQDSFLCLIIDDEDIGTRFWSVTSIKKYSGTSTEIHCQLSALGSILSIASKVSIYCCTKVFQKFFSCLMDILGVSGKHPSKLCVTDHNTCSDGLNFGALYLSMELLTSCRELTLSSKEFSPEVISEPRSSFYVLKNISRELCDALGSILETPESEEHVYCAVKGLQVLATFPEIYSPVSEATYEDILVMLMSIIARRSKETYLWELSLKALVQIGLWIENAHDSAKATSYNKLVIQRIVSMLQSNDSTISLSLKLVAISEISSIGLYLLRIVQAFEEAIVSNLRACFEGNLKSSDVLVPLLQCYSNQVLPRCHTCGNFNDIAVQVAVSIWNQIENVAVFRSSILMKDVLDQVMMTMKHLVAGCTEESQFLILQKAYGSLPKTFFIAEPLPCALSQLEGLQCIQDTTLMSCRDEWIFSLFGSVVIALRPQTPLVNVKILLNLFVVLLLKGNMLAAQALASMVNKWPADVNKSEISYSLDQAIEEILKSCLWTSESSSNFIDRDSCFHKNVVLGLAWIGKGLLMRGHEKLKEIAMLLLKCLVAGKYVDITPFQQHENGKDAGQDASSPLATFAADAFHVFLSDSEDCLNKKFHATIRPLYKQRFFSSMLPILLSSIKESDPSSKKVVLYRAFGHVISDTPLAAVVGEAKKIVPTLADALAMLSLDILNKDLIYSLLLVVSGILMDNNGKAIVLENVHTIISLLIKLISYPHLMIVRETAIQCLVAISALPYARIYPYRPQVLRAVSTALDDRKRVVRQEAVRCRQAW >Ma07_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2367725:2370787:1 gene:Ma07_g03020 transcript:Ma07_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLKRIENPVHRQVTFCKRRMSLLKKANELSVLCDAEIGIIIFSTHGKLYELATKGTMEGLIDRYKTACGDAHSTAGGGDANQSQEFKQEISMLKKEIDLLHKSLRCMLGEGIAGHMTLDELLVLEKHLEMWMYHIRATKMQIMFQEIQSLKNKEGILKAANVFLQEKILEQNSLFDVSPMLVQQNGHFDAGQMVAADSPYPLTVQYEHSNLGGSDMGFSYLSQ >Ma06_p26160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27789462:27791273:1 gene:Ma06_g26160 transcript:Ma06_t26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHELYPLILVPGLSGNQLEARLTEDYLPPLPALQAPQAAGWFRIWMDRSLLLDSAAQRCFAHQMSLFYDPDADDFRNVPGVETRVPFFGSTEGLRILDPNRKDSSICMDTLVKSLEEIGYQDNLNLFGAPYDFRYSLAGGGHPSKVATQYLQDLRELVEQASKMNGDKPVIILTHSYGGLLTLHLLNRNPSWWRRKFIKHFIALSAPWGGIVVEMFMFTSGDTIGMPLVDPLTIREQFRRTESNLWLLPSPKTFGDRPLVVTSDRNYSATNMAEFLQAIGFEEGVKPYVSRILPLLEEMAAPGVPVTCIIGVGVDTPETFLYNGEGKFDESPEVVSGDGDGLVNLASLLALESEWSDAPEQELKVIKIPNATHAGILTQEFAVTEIIRRVLDVNSVPA >Ma05_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36536549:36538551:1 gene:Ma05_g24270 transcript:Ma05_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLSGADIAKRLASCNKAARDRAVRVLSSWLCRQSDDAVSDADLAKIWKGIFYCVWHADKLPVQADLAGRLATLLESVSAPLAARYFEAFLLTIRREWSGIDFLRLDKFYLLIRRFLCHAFLLLRKNAWDPELTARLTGILLEKSLLSADNYPANGVNYHVAEVFLDEIRDLLPLAVETLDLMLNPFVSVLKKSADKVLVNKIKINVFDRLLENGRKLLNLEKAGDKVDSGNEVEKLGKVALLLAFSKKFFDSASASETLQGNRKILFLLHEDFLKLENDLDKSGIHISVQHLENGSSENVSSTVVTEMQVEVKNGCGDGGSDDKRNKKRKSLKESSDSIKKKKKKSGKKKSSMDSVMGSDVVEATTEGIDVVDDVSLNGDVMETHGLMDFDECMISNLQRQFEKAAAEAGMTDDNDQFSVMPATPVAGAALKRRRRAKNADVKVNDKRSSANGEGNVEKSGDKSAKKVRFSMKSNLVWKPNNPLPPHSLRLPPSVTPKGSALKQGVPPGPIRETPPTIKKIKVKASSVKKSRKGVKSPAIKRLRKLQSLLV >Ma11_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12707017:12708122:-1 gene:Ma11_g11260 transcript:Ma11_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGNKMPCPLLFITLEHLLVLSFFPHMETFRFMFKCCAGVCLSICPAFLLEVRYLVFSRNALKIYLGSYTQIFVFCPLTQKRKMVHRKEPPIGLYCFINLVGEKPNTQLCQIIHVYYPCLPSHPEHHIAKRQMTGFGGVAGDLNTRKNFVDSLNIPYLAPSFGGCESIVDQPAIMSYWDLSGSERAAKYGIKGQPGQVQCWD >Ma06_p26700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28555785:28557282:1 gene:Ma06_g26700 transcript:Ma06_t26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAIISLCLFLSLLHYSTAQSCVSETFSGNRLYSSCNSLPYLGASLHWNYHSSNGTVDVAYRAPESSSGWVAWAVNPTGSGMIGANAFLAFPGSNGAVTVYTTKFSGYNVEASDVKDENLSFPVYSKQAEYANGYYTIFATLELPNNSTKLNTAWQASTQLQNGVPNGHPAGDNLLSKNNLDFLSGEAGSAGGNSRLRRKNIHGVLNAIGWGILMPIGAIMARHVKVFKAADPAWFYLHVACQCSAYIIGISGWGLGLKLGSESVGITYHKHRDIAIALFCLATVQVFALLLRPNKEHKYRIYWNVYHHSVGYCIIILSIVNIFEGFDILDPAKKWKHAYIGVIATLGGVALVLEAVTWPIVLRRRSRSSEKSHHGVNGANGYGVRQHQVV >Ma05_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5056266:5060286:1 gene:Ma05_g06920 transcript:Ma05_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGENEAAVLAAAAAGSVVESLMAAVEEIAAISDYRNAYKKQFCNLSRRIKLLAPMFEELKESKDPIPPRAVRALEKLNGALDSAKDLLRLGSEGSKIFLVLERDRIMERFQEITSQLEVALNEISFNEIDISDEVKEQVELVHAQFKRAKERIDTTDPELYADLSSVCHMNADARIDSPILKRLAEKLQMMTISDLKQESLALHEMVDASGDPGEVIEKMSMLLKRIKDFVQTQKPEMGTPMSAMVIPSHGTSKTPVVPDDYRCPISLELMGDPVIVSTGQTYEREFIKKWLEAGHNTCPKTQQRLSSTSLTPNYVLRSLIAQWCEANGMDPPKLPARTGKPLSVCSAGEHAKVVDLLHKLSSQNLEDQRSAAGELRLLAKRNTDNRICIAEAGAIPLLVNLLSMQDVRTQEHAVTALLNLSIYEENKGKIIVSGAVPGIVHVLKRGSMEARENAAATLFSLSVVDRNKVIIGESGAIPPLVLLLSEGSQRGKKDAATALFNLCIYQGNKGKAVRAGVIHTLMGLLTDPEGAMMDEALAIMAILSSHPDGKAAIRAVEALPMLVKVIRSGSPRNKENAAAVLVHLCNGEQQQQHLAELQEQGMMVPLQEMVESGTNRGKRKAAQLLEQMTRFLELQKKAEAQAQAQAQAQAWTQAPVDQSMDTASSAFTVL >Ma07_p17560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20582840:20589575:-1 gene:Ma07_g17560 transcript:Ma07_t17560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATYPFSARLRRLRAANPLATRHLNSLALVSKPTFLISVSRAASLHTRFGGARLPSLLPVIRAQSSPEYTPDAEFYKIEAIVRPWRVHHVSSGLLQMGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDKFIAKVKMEIVVCKDQVEAVVEKIIEEARTGEIGDGKIFLIPVSDVIRVRTGERGEKAERMSGGRADMMSSTASID >Ma07_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20581844:20589575:-1 gene:Ma07_g17560 transcript:Ma07_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATYPFSARLRRLRAANPLATRHLNSLALVSKPTFLISVSRAASLHTRFGGARLPSLLPVIRAQSSPEYTPDAEFYKIEAIVRPWRVHHVSSGLLQMGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDKFIAKVKMEIVVCKDQVEAVVEKIIEEARTGEIGDGKIFLIPVSDVIRVRTGERGEKAERMSGGRADMMSSTASID >Ma02_p02550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13790340:13796318:1 gene:Ma02_g02550 transcript:Ma02_t02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTPLQGGDKSPESDDLIGHSALMNIDTFTELCNPSIADQLFVQFSYSSRSNSQPQTMEVAVGMSKASNSTVGVHSSIGGNSAAQRNILQSQVISDPLHVDMTGKIASSSSFPPCDVPEADETENVIPRPTVGASLAEKLLKALSLFKESAGGGILAQAWLPLKQGNEYVLSTSYQPYLLDQVLAGYREASRLFTFSVREAPGSVLGLPGRVFISKMPEWTSNILYYNRLEYLRVDHALNYKVQGTLALPIFCPNEHSCCAVLELVTKKEKPDFDIEVASVCNALQAVNLKTIKAHPTQQSLQTSQESAFTEILNVLRAVCHAHMLPLALTWIPFSYFDQVMDENTKNGNKEESFILKKETMLCIRESACYVNDQRMLGFLHACSENYLQKEQGIVGKAILSYQPIFFSDIKAFDVHHYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCRGSDEQQLLLNNLSVTLQRICRSLRTISDADVAGYDASGIRIDRSGIDLSSTNSSEKNSQARHGEQNSASSLSDVQNMGPDNQREGCHLGQINCGSKRQPRKSSRKLQKNVSLSDLQQYYSGTLKDAAKSIGVCPTTLKRICRENGISRWPSRKIKKVNHSVQKIQSVINSAPGILEKLRYDPTTGSLVTEVSSPEIEISSASAGQGVLPMYSIKRFENKQFEGTSEVAKCLIGDSQHMESSGQLKFLRGEQEKAHVASLDRYNEYKHTLVGGVSNLAEVRCHRDGSIKGDIHSESLECHKVSRCLFSKLAMEEMQTETGACDQNAKDNSSFGHRNPCSNRPVSSSGSSSSEQTAKKSVETDLMPVDTSKLPVNGGSAICVKATYKEDLVRFKFYPFMGCNQLFEEIGKRFNLSIGTFQLKYKDDEEEWVMLVTDSDLQECIEMLESLESQSVRLLVQDIPSAVGSSGSSNSLFLKS >Ma02_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13790347:13796318:1 gene:Ma02_g02550 transcript:Ma02_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTPLQGGDKSPESDDLIGHSALMNIDTFTELCNPSIADQLFVQFSYSSRSNSQPQTMEVAVGMSKASNSTVGVHSSIGGNSAAQRNILQSQVISDPLHVDMTGKIASSSSFPPCDVPEADETENVIPRPTVGASLAEKLLKALSLFKESAGGGILAQAWLPLKQGNEYVLSTSYQPYLLDQVLAGYREASRLFTFSVREAPGSVLGLPGRVFISKMPEWTSNILYYNRLEYLRVDHALNYKVQGTLALPIFCPNEHSCCAVLELVTKKEKPDFDIEVASVCNALQVKVLLIVNLQAVNLKTIKAHPTQQSLQTSQESAFTEILNVLRAVCHAHMLPLALTWIPFSYFDQVMDENTKNGNKEESFILKKETMLCIRESACYVNDQRMLGFLHACSENYLQKEQGIVGKAILSYQPIFFSDIKAFDVHHYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCRGSDEQQLLLNNLSVTLQRICRSLRTISDADVAGYDASGIRIDRSGIDLSSTNSSEKNSQARHGEQNSASSLSDVQNMGPDNQREGCHLGQINCGSKRQPRKSSRKLQKNVSLSDLQQYYSGTLKDAAKSIGVCPTTLKRICRENGISRWPSRKIKKVNHSVQKIQSVINSAPGILEKLRYDPTTGSLVTEVSSPEIEISSASAGQGVLPMYSIKRFENKQFEGTSEVAKCLIGDSQHMESSGQLKFLRGEQEKAHVASLDRYNEYKHTLVGGVSNLAEVRCHRDGSIKGDIHSESLECHKVSRCLFSKLAMEEMQTETGACDQNAKDNSSFGHRNPCSNRPVSSSGSSSSEQTAKKSVETDLMPVDTSKLPVNGGSAICVKATYKEDLVRFKFYPFMGCNQLFEEIGKRFNLSIGTFQLKYKDDEEEWVMLVTDSDLQECIEMLESLESQSVRLLVQDIPSAVGSSGSSNSLFLKS >Ma04_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24849412:24853488:-1 gene:Ma04_g22560 transcript:Ma04_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCLSPRRRETSSRIEDSASAQSGSRFLGDSSESGKKASLPGGETSKCARSFTFRDLAVATQNFREANLIGEGGFGRVYRGRIDSGQVVAIKQLNRDGLQGNKEFLVEVLMLIVLRHPNLVSLIGYCADGDERLLVYEYMPKGSLEDHLFDPPPSKPHLDWNTRIKIAVGVARGLTYLHDVANPPVIYRDMKAANVLLDDDFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPDYAMSGKLTLKSDVYSFGVLLLELITGRRAFDSSKIGGQQKLMTWSRPFLGDRRKFYQLADPFLQGRYPPRPFHQLVVIASMCLQEQPHVRPIIADVVVALNHVASQPYTPAPDSKIMSSPPPPSPLGRVTGTPSRGRNGKTLALI >Ma04_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9445287:9452016:1 gene:Ma04_g12510 transcript:Ma04_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPHAWYLGNGNVQIMPVQRHRPTSRRPHWIIILICLVCVSLIGAYVYPPHRYSACYIFASSICSPFTDWVPPVAHVLTDDEFASHVLINDILSAPYKEPKNPKIAFMFLTPGSLPFEKLWEKFFLGHEGRFSIYVHASREKPDHVSPLFVGRDIQSGKVVWGKISMVDAERRLLANALQDPDNQHFVLLSDSCVPLHNFDYVYNYLMGTNVSFIDCFWDPGPHGNARYTEHMLPEIEEKDFRKGSQWFSMKRRHALIVLADSLYYTKFKLYCKPGFDSRNCYADEHYLPTLLSMVDPTGIANWSVTHVDWSEGKWHPKAYRAQDVTFEILKNISSIDESYHVTSDEKKVVTVKPCLWNGMKRPCYLFARKFYPEALHNLMQLFSNYTLI >Ma04_p12510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9445287:9452016:1 gene:Ma04_g12510 transcript:Ma04_t12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPHAWYLGNGNVQIMPVQRHRPTSRRPHWIIILICLVCVSLIGAYVYPPHRYSACYIFASSICSPFTDWVPPVAHVLTDDEFASHVLINDILSAPYKEPKNPKIAFMFLTPGSLPFEKLWEKFFLGHEGRFSIYVHASREKPDHVSPLFVGRDIQSGKVVWGKISMVDAERRLLANALQDPDNQHFVLLSDSCVPLHNFDYVYNYLMGTNVSFIDCFWDPGPHGNARYTEHMLPEIEEKDFRKGSQWFSMKRRHALIVLADSLYYTKFKLYCKPGFDSRNCYADEHYLPTLLSTGHFDILQMVDPTGIANWSVTHVDWSEGKWHPKAYRAQDVTFEILKNISSIDESYHVTSDEKKVVTVKPCLWNGMKRPCYLFARKFYPEALHNLMQLFSNYTLI >Ma04_p29620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30498047:30500251:1 gene:Ma04_g29620 transcript:Ma04_t29620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQASSRNQRNKRIKVRYVLQICLLAAVCFWLLYQLKHSYDRKKSLDEHDSTILNNVVDGQLEILDLGRKDLPHEKMMVSGDKGHMKEEENEEVEEEEDGVAQHEIDDEEAEGVGDDDINEQDNEQGNEQDDQERADEEAEDAEEFFNEENRDDQVEVSELMDDQEPKEGSSQKSHEERNKRDDASGSAHQENQVTGTEDRIHSVDEEQLMNNEKDVETENTTGRKDNDSKDYNSTSVSVVFTMGNGTIQNDSLIINGTALEKNEQELSPADNQTKLQANSTIAISSDNQVKMLQTDSPIRITSNETKGKTNSVLIENVTPSGSSVHGQNTTIMLGSSEEDNSNLRRMVEEQLKKSNTSILQNSSEVLSAMSISVNKNRDTVEEDSTGISQRMVIEEARDARTGPSTLSASKMM >Ma04_p29620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30498047:30500251:1 gene:Ma04_g29620 transcript:Ma04_t29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSGDKGHMKEEENEEVEEEEDGVAQHEIDDEEAEGVGDDDINEQDNEQGNEQDDQERADEEAEDAEEFFNEENRDDQVEVSELMDDQEPKEGSSQKSHEERNKRDDASGSAHQENQVTGTEDRIHSVDEEQLMNNEKDVETENTTGRKDNDSKDYNSTSVSVVFTMGNGTIQNDSLIINGTALEKNEQELSPADNQTKLQANSTIAISSDNQVKMLQTDSPIRITSNETKGKTNSVLIENVTPSGSSVHGQNTTIMLGSSEEDNSNLRRMVEEQLKKSNTSILQNSSEVLSAMSISVNKNRDTVEEDSTGISQRMVIEEARDARTGPSTLSASKMM >Ma01_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27908740:27908982:-1 gene:Ma01_g23410 transcript:Ma01_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIREEEVLLGIWSSAVRNLGNEVRASSSKITIVLCKAAMQ >Ma06_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9418606:9421917:-1 gene:Ma06_g13780 transcript:Ma06_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEEIFLILLAAVAAASAALLQNGNFEFPPSNIKPNSSTPLLPLSKNNTIPGWSFNGTVYYVTAGPSISLPDNGHAIQLGLNGVIRQTIRLTSIYAQYILTFSITSVNGSCKAPTAVLNVSFVGSWFYKSSVFLVEGKYGRKSWESHACSLGRMAGEGDPVVLELRSQSVEADQNVTCGPVVDTAILKRFNPMGGYANEFVNGGFEDGPIFIKNSSEGILLEPTEDLTNTPLFEWAVFGAVKYVDSKHYLVPEGNAAVEIVSTIGAIRTILLLKEGSSYRLEFVMGVPIDSCTGELILGVQAGPTSQNFTLPSNGTGYSKKFSLGFRAETNLTSIGFMNVQGGETSDHVICGPLVDNVSISASLRLQVGLQQLLLLPSLLLAAVLQIDKEFPRNSFSDLVI >Ma04_p26770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28109506:28111860:-1 gene:Ma04_g26770 transcript:Ma04_t26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASWKPMALKAPPAAVATAVPRRNLVPTGLIHMKVPILRSTGRHLLSRAPILSRAVVDDDEQGEEGGEEPLGGVAVTELLVAASEVSDLKKKLMDLVSGTDWGLKTSSETRAEIVELIGQIEAKNPTPAPTDALTLLDGKWILAYTSFSALFPLLASGRLPSLVKVDEISQSFDSESFTVQNSVKLLGPLATTSVTTSAKFEVRSPKRVQIKFEEGIIGTPQLTDNIVIPDKVEFLGQSLDLSLLDGVFKSIQNAASSVVRTISGRPPLKIPINNALQSWLLTTYLDEELRISRDYAGSVFVLTKANDP >Ma06_p00640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:492642:505771:-1 gene:Ma06_g00640 transcript:Ma06_t00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MGELGRTRPRLSQMGKEGYVIPKPAPMKKAFPGRYYGVKGELLGLGAAKVAACGKRQALFCNHLRMALFVIGLMGSFFVLDSLMLVVIHHFNFRRGSAPRKSSGLQSHISSERTEKIMHGRLVALGSAAVTKNEALLGSFEILKEPYSQTSTWRPCADKLNQVPQGHTRKRSGFILVSANGGLNQQRVAVCNAVAVAALLNASLVIPKFLYSSVWKDTSQFGDIYQEDFFINYLKNDVDIVKELPSHLQSLDIEAIGSLITDNDIRKESTPDYFLKVILPLLRRNSVVHFLGFGNRLAFDPLPLDLQSLRCKCNFHALKFVPRIQEIGSLLVRRIRQYNTSLNILDRCLLGKYMLDTPIKRNNTSRGPVRYLALHMRFEMDMVAYSLCDFDGGEKERKELQAYREIHFPTLTMIIKTNNSLSPEELRSLGRCPLTPEEAALMLSALGYNHETYIYLAGSQIYGGQSRMLPFTRLYPNVITKEDILTPVELAPFRNYSSQLAALDFIACATADVFAMTDSGSQLSSLVNGYRIYHGRGHAPTIRPNKKRFARILSENRTIQWVDFEERVRKMIQENQRVIARRRGRSIYRLPMTPGCMCKPL >Ma06_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:492642:505771:-1 gene:Ma06_g00640 transcript:Ma06_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] MGELGRTRPRLSQMGKEGYVIPKPAPMKKAFPGRYYGVKGELLGLGAAKVAACGKRQALFCNHLRMALFVIGLMGSFFVLDSLMLVVIHHFNFRRGSAPRKSSGLQDVQSHISSERTEKIMHGRLVALGSAAVTKNEALLGSFEILKEPYSQTSTWRPCADKLNQVPQGHTRKRSGFILVSANGGLNQQRVAVCNAVAVAALLNASLVIPKFLYSSVWKDTSQFGDIYQEDFFINYLKNDVDIVKELPSHLQSLDIEAIGSLITDNDIRKESTPDYFLKVILPLLRRNSVVHFLGFGNRLAFDPLPLDLQSLRCKCNFHALKFVPRIQEIGSLLVRRIRQYNTSLNILDRCLLGKYMLDTPIKRNNTSRGPVRYLALHMRFEMDMVAYSLCDFDGGEKERKELQAYREIHFPTLTMIIKTNNSLSPEELRSLGRCPLTPEEAALMLSALGYNHETYIYLAGSQIYGGQSRMLPFTRLYPNVITKEDILTPVELAPFRNYSSQLAALDFIACATADVFAMTDSGSQLSSLVNGYRIYHGRGHAPTIRPNKKRFARILSENRTIQWVDFEERVRKMIQENQRVIARRRGRSIYRLPMTPGCMCKPL >Ma05_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7698440:7706058:1 gene:Ma05_g10590 transcript:Ma05_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAARGRAFSSSPVSSSTKWVFIGLSSELLQSNSRGRCKSFAASVPPGPFKPQKGKRLTKQERRTLVKSFVDKYRASNAGRFPAVTYVLRETGGSYYSLKLIVQEIEYNNKLSSLNKGTVQLRKAEDINQNSDAKEVSSTSVRDETLKSVIDSKDEPSVSLKLGIETKTSMSSSGESVGSRKEISTKDSSHDAPSPKVVKDTGNHGVSVTEERHSDHHRSSEQGFSSRTANLWGNLRSLADGIISLWRKM >Ma04_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6015652:6016360:1 gene:Ma04_g08400 transcript:Ma04_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDEAVFHTWDSLWFFANMLSPPSPVPKSADISSPCVQQEKDCTPAADKCQESEAGTTGQAGISEAGAYNTTEHRRKQGKKRRNQRFCCKEKEQVKDLEELEVWLRGLRSNGGVLTASRSCGGFAHCDGNVRLRRCGMPPLADGLAMKQHLKSWAHAVACAVR >Ma04_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25523742:25524368:1 gene:Ma04_g23330 transcript:Ma04_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSSEEPRQRRVQQEIRDMISTLTDRLTALGRSVPRSDAKGQEAGDAYPGLGVITMAGDNKGASMKADMEELGDAHGGLYSDDGGMCTYTNSNYQAVNNSILLCGSCAAKDPGVHVVISEYVEEDDDDDDDDEEERHRKKEKKKKKK >Ma06_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10725933:10736896:-1 gene:Ma06_g15820 transcript:Ma06_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLVEVEKGREGDGAGPSVGPAYRNVLAKDGFPSPAPGLETCWDVFRMSVERNPDNRMLGRREIVDGKAGEYVWLTYKEAYDIVIELGASIRSRGVGQGGRCGIYGVNCPEWIMTMEACNAHGIYCVPLYDTLGAGAIEFIICHAEIEIVFVEEKKIMEVLKTFPNTVKYLRTLVSFGKVTTEQKEEVEKFGLTIYSWNDFLLLGNNEQFDLPVNKKSDICTIMYTSGTTGDPKGVMVSNDSIVTFIAGANCLLGNAKAELHDNDVYLSYLPLAHMFDRVIEELFILHGASIGFWRGDIKLLVEDLGALKPTIFCAVPRVLDRIYSGLQNKISSGGFLKKILFNFAYKSKLSNMSKGQKHEEAAPIFDKIVFSKVKQGLGGNVRIILSGAAPLATHVETFLRVVSCAHVIQGYGLTETCAGTFVSVPNEFSMLGTVGPPVPNVDVRLESVPEMGYDALSTTPRGEVCIRGSTLFSGYYKREDLTKEVMIDGWFHTGDIGEWQPDGSLKIIDRKKNIFKLSQGEYVAVENLESIYGLVPTIDSIWIYGNSFESFLVAVVNPNQQALERWAEEKGVTGDFAALCENSKAKEYILEEITKVAKSKKLKGFEIIRALHLEPIPFDMDRDLLTPTYKKKRPQMLKYYQSVINSLYESAK >Ma08_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6225309:6230067:-1 gene:Ma08_g08770 transcript:Ma08_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 35 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43370) UniProtKB/Swiss-Prot;Acc:Q8VY74] MSNANINAVFYADTYHPIQAGSIDGTDTLPHDNAVYRAVLCSAAGLYDPFGDPKVIGDPYCTVFVGRLSRFTDEETLRKAMSKYGTVKNLRLVRHIVTGASRGYAFVEYETEREMRRAYEDAHHSLIDDYEVIVDYYRQHLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYADLQRLGIPPPPEGRYMSRFQVPSPPRCRSSHADMDDSPARQRRSRDKEEPAHRRHRRSADRDDSSHKHHKHKHREHSHQHKKRSTSRDYDFLDH >Ma10_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29988121:29990560:-1 gene:Ma10_g19430 transcript:Ma10_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g33990 [Source:Projected from Arabidopsis thaliana (AT4G33990) UniProtKB/Swiss-Prot;Acc:O81767] MVDFGLRLLSCATLRLARPLHALLLVSGRFDDVFLSTKLLNLYSRLGDLPSAALTFRHAPTKSVITWNSMIACYVHHGLLPDALACFHRLLLTSSACPDGFTFPVTIKACWDLEWGKRIHSLAFRFGFVWNVFVSASVVHMYSRLGSIDDARKAFEEMPYKDQGSWNAMLSGLCQNGKAAEAAEVFEEMMIRGLPMNKVTVSSILPVCAPLDSLLLGAAIHVYCIKHGLDSDLFVSNAFIDMYAKTGRLEEAQKVFDGMTDMDLVTWNSIISGYEQAGEFSTALKLFDGMKESGVRPDRLTLVTLASAVAQCGDDRTGRTVHGYILRRGWDSRGIVVGNAIVDMYGKLSKAEAARKVFDRMLVRDVISWNTLITSYSQNGLANEAIEIYESMQSREGIAPIQGTLASVLPACAHVGALQQGMKIHGRAVRTGLQSDVFVATCLIDMYAKCGRLEEATHLFEQVPRRHTGPWNAIIAGLGVHGHGQRAVSLFSEMQEEGVKPDQVTFVSLLSACSHAGLVDLGRRCFEQMQTVHGLSPCLKHYACMVDMLGRAGRLDAAYELIATMPVKPDSGVWGALLGACRIHGNVELGKLASSHLFEIDAENVGYYVLLSNMYAKAGEWDGVDEVRALARHRRLQKTPGWSSVEVNKKVNVFFTGGQSHPRYEEIQGELRALLAKMKSIGYVPDYSFVLQDVEEDEKEHILSSHSERIAIAFGIMSTSPGTPICIYKNLRVCGDCHNATKFISKITEREIVVRDSNRFHLFKDGECSCGDYW >Ma07_p25310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32383633:32393560:1 gene:Ma07_g25310 transcript:Ma07_t25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISSAASSSRRPASFFTQANALLRKNLTFQKRNLKTNIGIVAFPIFICVLIVLIQQVINNELNKDKFQCGCQGGDCGLQYSTLDQVDTCPISSPPEWSALIQVPRPQYRAVRTDFSQSADLPDESCRASQSCPAAVLLTGGNRSLAQSLAQSLFLSSSSALNFSDYPSSLSNVILGTDTPTENTQFIEPAFVSDRPFYVVQPQCTTNATSPISFKNANISIELGLECVQGLTLWRDNSSLINDELFKGYRQGNSERKANEFIAAYDFLNSDENGFNLNIWYNSTYNNDTGFVEVALVRVPRTVNAASNAYLKFRKGAGAMVMFDFVKEMPKTGTDRRFDFSSLLGPLFFTWIIELLFPVILTYVVYERQQKLRIMMKMHGLKDGPYWFISYIYFFSLSSVYMICFVIFGSIIGLKFFRLNDYSIQVVFYFIYINLQIALAFLIAPFFSAVKTATVFGYNYVFGSGLLGEFLLRFFIEDTSFPRGWILVMELVPGFSLYRGLFEFSQYSFSGDSMGTSGMKWGDLDDSQNGMKAVLIIMFVEWLVLLVVAFYLDQLIGGGIRKDPFFFLRYFQKKSSVSQRKPSFQRQGSKVFVEMERPDVSQEREVVEQLLLESSFSHAVISDNLKKVYPGRDGNPDKLAVRGLSLALPNGECFGMLGPNGAGKTTFINMMIGLITPTSGTAYVQGVDIRTNMDEIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAALLQAVDESLKSVNLFYGGVGDKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKNAKRDRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGNPKELKARYGGSYVFTMTTSVNEEEEVESLVRQLSPSANKIYHISGTQKFELPKQEVRIADVFRAVEIAKSKFTIHAWGLADTTLEDVFIKVAKGAQSLNVLS >Ma03_p27180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30649784:30655080:-1 gene:Ma03_g27180 transcript:Ma03_t27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLHDHSCEDHNCSADWSLHTHIDLSKVSALNEAVAGSVKSVFKPWNRRLDTSEGFLESNDGDPELLVFIPFTSDVKIKSISVVGGSGGTSPSKMRAFINRDGIDFSDAQNMQPVQEWDLNENLQGLLEYQTRYSRFQGVGNLTLHFPDNFGGDTTQIYYIGLKGEATQLKRDVVATIVYEITPNPSDHKTRADAGSLAHVE >Ma10_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1684067:1686962:-1 gene:Ma10_g00470 transcript:Ma10_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREALLDFKAGVGDTRNRLSSWTGHHCCTWKGVACDTTTGHVHLDLSFNNFSGIRIPEFIGSFKKLRYLNLSSTQFMGGIPARVGNLSSLYVLNMSYGGLNSIPVSVVHQMTNLIILDLRSNLFNCSMPSSVGKLSNLTELYLGGNSLRGIISEVHFENLTRLQVLDLYGTMPAWFWNISSSTITYLDLSNNQIGGKLPSSLKFTKLVILFLYSNRFEGQLPIWPHVRFVSISDNMLDGGLSSSICQWTYLEYLDLSNNKLLGEIPYCLGDELLLLQLQNNSFSGEVPLSLKNCTNLWLLRLRSNMFSGVIPWQLARFERLQILDLANNNFSGSIPHNIDLHYLKCSMKLMKSLDLSNNSLIGEIPKGIGDLAGLKNLNLSRNYLQGKIPWEIGGMKSLESLDLSINDLYGSIPESLSALYFLSYLNLSYNNLSGMIPSGRQLQTLNDPSIYMGNADLCGPPTSKSCFDNKTTQIDIQEHEKEISDWLWFYISMVLGFVMGFWIFCDVNPKISSVDDPRLSDQFLCNVVVYSLLLLFDVCIIITSCKNRFFSLTQFTWCMLFQYRKLRLCHAHASGLLSPHIIC >Ma10_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7532058:7535571:-1 gene:Ma10_g02250 transcript:Ma10_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAREFGVRRVGEAPSPPVATRRRSARLGPWVLDPRPASGSPRGRNGGGEGDFRICSAAKDFMGQIGGFSHESEHDLALMVTDFLENGSGSAESRYSSDSDSGFSDLAHLAENVMFVKRGMTQSESGLQSVVHSLLFSINEVDLYLVKEEQCNASCIRQSLVKLLKLSGYDAAICSSRWQGFDKVPGGDHEYIDVVLGEAEGSERLIIDIDFRSHFEIARAVDSYDAVLSSLPVVYVGSLSRLKQFLQVMVDAAKFSLKQNSMPLPPWRSLAYLQAKWYSKYEREHNVHTRNKHIVGSDHRQCIGHLQRLKASLKLEIESERLLKPITNDKKRMAKSDRQRLSLLGC >Ma10_p02250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7532056:7535571:-1 gene:Ma10_g02250 transcript:Ma10_t02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAREFGVRRVGEAPSPPVATRRRSARLGPWVLDPRPASGSPRGRNGGGEGDFRICSAAKDFMGQIGGFSHESEHDLALMVTDFLENGSGSAESRYSSDSDSGFSDLAHLAENFVKRGMTQSESGLQSVVHSLLFSINEVDLYLVKEEQCNASCIRQSLVKLLKLSGYDAAICSSRWQGFDKVPGGDHEYIDVVLGEAEGSERLIIDIDFRSHFEIARAVDSYDAVLSSLPVVYVGSLSRLKQFLQVMVDAAKFSLKQNSMPLPPWRSLAYLQAKWYSKYEREHNVHTRNKHIVGSDHRQCIGHLQRLKASLKLEIESERLLKPITNDKKRMAKSDRQRLSLLGC >Ma09_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35524392:35524931:-1 gene:Ma09_g23790 transcript:Ma09_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKNHNGLGVNKVIKKEAAAVNRGPPLQRQPRVYNIPSSDFRSVVQQLTGASSALPRPRRLNQSRPPPLQAPVPPRPAPLAPPPAESPFSAYLRFLETSLLHSDGPRRAAASSLHPPSPPPLDLQSPSEFLHLQSPGFLHPQLPLSPSNPFSPLAFQPLPPPSPDVLFPRSPIWKDL >Ma11_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3240964:3246347:-1 gene:Ma11_g04140 transcript:Ma11_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSSDDLDQLLDSALDDFTKLDLAPAQRSGGGGDGKDGRDALPSSSSSPSASSASAPPVRGLGMGLPDLAAKRKGKQGARPRGSHASEALEKLTKETREAVRGLESATGAATLKGGDARFDKEGMVDEFVKQFEELAGSQDVESIVETMMQQLLSKEILYEPMKEIGERYPKWLEEHKDTLKKEEYDRYYHQYELIIELNDVYDSEPENFSKIVDLMQKMQECGQPPSDIVQELAPDLDLSNLGQLSPDQLDATSNCCIM >Ma00_p03430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28960289:28970646:-1 gene:Ma00_g03430 transcript:Ma00_t03430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHASKFSTVSMAPDNGQGTEQVGSIGISGGSSVNNPVNPGGSGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMAVPGITIYHVKSHLQKYRLAKYLPDSPADGSKDDKKDSGHTHSDTDSAPAQFNESLKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQKIIEEQQKLSGVVQKHKSSLSLPISDSNRNPSPLKKPRTAVVNQSSDAAQETPKQESKPDLCSDHCIISCGFGAGTMLDEGTGSTQQNLPNEVEVGTEELGVHNCSVVNL >Ma00_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28960289:28970636:-1 gene:Ma00_g03430 transcript:Ma00_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLMQSLNLVDQIVGATPKGVLRVMAVPGITIYHVKSHLQKYRLAKYLPDSPADGSKDDKKDSGHTHSDTDSAPSAQFNESLKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQKIIEEQQKLSGVVQKHKSSLSLPISDSNRNPSPLKKPRTAVVNQSSDAAQETPKQESKPDLCSDHCIISCGFGAGTMLDEGTGSTQQNLPNEVEVGTEELGVHNCSVVNL >Ma00_p03430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28960287:28970265:-1 gene:Ma00_g03430 transcript:Ma00_t03430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNGQGTEQVGSIGISGGSSVNNPVNPGGSGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMAVPGITIYHVKSHLQKYRLAKYLPDSPADGSKDDKKDSGHTHSDTDSAPSAQFNESLKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQKIIEEQQKLSGVVQKHKSSLSLPISDSNRNPSPLKKPRTAVVNQSSDAAQETPKQESKPDLCSDHCIISCGFGAGTMLDEGTGSTQQNLPNEVEVGTEELGVHNCSVVNL >Ma00_p03430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28960289:28970646:-1 gene:Ma00_g03430 transcript:Ma00_t03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHASKFSTVSMAPDNGQGTEQVGSIGISGGSSVNNPVNPGGSGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMAVPGITIYHVKSHLQKYRLAKYLPDSPADGSKDDKKDSGHTHSDTDSAPSAQFNESLKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQKIIEEQQKLSGVVQKHKSSLSLPISDSNRNPSPLKKPRTAVVNQSSDAAQETPKQESKPDLCSDHCIISCGFGAGTMLDEGTGSTQQNLPNEVEVGTEELGVHNCSVVNL >Ma08_p27430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39659321:39663812:-1 gene:Ma08_g27430 transcript:Ma08_t27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDGRSESSNYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQAKLGWGHFSTVWLAWDTVHSRYVALKVQKSAQHYTEAAMDEIKILKQIAEGDPDDTWCVVKLLDHFKHSGPNGHHVCMVFEFLGDNLLTLIKYTDYRGIPLSKVKEICRCVLIGLDYLHRDLSIIHTDLKPENILLMSSIDPAKNPCLSGLPLILPTIKSQELAPMSPARSNGDLTRNQKKKIRRKAKRAAAAASGTAEATTGDPDDLEDKGDSRGVNDGGGGGGETQNGAAQGHKRGSKATRKRLAMEADLRCKIVDFGNACWTYKQFTSDIQTRQYRCPEVIIGSKYSTSADMWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSREIFNRHGDLRHIRRLKFWSLNKVLMEKYEFSEQDANDMADFLIPILDFVPEKRPTAAQLLQHPWIDAGPRIREPSLPQSNQTQSSMDGTSEKQRKEKDEREAMAVGLGNIAIDESSKSVKDPRPNSKPTNANVMASR >Ma11_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26898527:26908073:-1 gene:Ma11_g23640 transcript:Ma11_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAEELLKKIKELEAGHARLKQEMSKLMHVNEGGARRSNRGRSHSVSPQRTVASPQRRNSGGFEGSRFFRHSSSLQRESPGASSSADGAAGIGLSERQYLNILQSMGQSVHIFDLDGRIIYWNRSAENLYGFAASEALGQNAIELLVDARNFNIAGRIVQCVTTGESWTGKFPVKNKSGECFLVISTNTPFYDDDDGSLAGIICVSSDSRSFQDLPSSPTSTESQASVGHTASRPRKGSTSNGGSIPQQPIQIAIASKITNLPGENNLEREIGNRDSQSSDHDAMSSDHKEDGASSGSSTSRGEVPPCASGKPSAVVEEISPGKATKVNNDEDDGKTSIFKIISKTEALFANRGILSPWKGHNQDGNDAKNRLVWPWLHGDQENDYSYPKSSESGTKTENQATENNRTGNNEASGSWSSLNINSTSSISSCGSTSSTVHKVDIDTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDEVILSFRQEVSLVKRLRHPNILLFMGAVTSPCRLCIVTEFLPRGSLFHLLQKNTGRMDWRRRIHMALDIARGINYLHHSSPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLITKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGIILWELVTEKIPWDNLNSMQVVAAVGFMNQRLHLPKDLDPQWVSIIESCWHSEPKCRPTFQELIERFKDLQRQHAIQSHLQRATPSETTQTTAKMSSQERNDFD >Ma11_p23640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26898527:26908073:-1 gene:Ma11_g23640 transcript:Ma11_t23640.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPAEELLKKIKELEAGHARLKQEMSKLMHVNEGGARRSNRGRSHSVSPQRTVASPQRRNSGGFEGSRFFRHSSSLQRESPGASSSADGAAGIGLSERQYLNILQSMGQSVHIFDLDGRIIYWNRSAENLYGFAASEALGQNAIELLVDARNFNIAGRIVQCVTTGESWTGKFPVKNKSGECFLVISTNTPFYDDDDGSLAGIICVSSDSRSFQDLPSSPTSTESQASVGHTASRPRKGSTSNGGSIPQQPIQIAIASKITNLATKVTNKVRCRIKPGENNLEREIGNRDSQSSDHDAMSSDHKEDGASSGSSTSRGEVPPCASGKPSAVVEEISPGKATKVNNDEDDGKTSIFKIISKTEALFANRGILSPWKGHNQDGNDAKNRLVWPWLHGDQENDYSYPKSSESGTKTENQATENNRTGNNEASGSWSSLNINSTSSISSCGSTSSTVHKVDIDTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDEVILSFRQEVSLVKRLRHPNILLFMGAVTSPCRLCIVTEFLPRGSLFHLLQKNTGRMDWRRRIHMALDIARGINYLHHSSPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLITKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGIILWELVTEKIPWDNLNSMQVVAAVGFMNQRLHLPKDLDPQWVSIIESCWHSEPKCRPTFQELIERFKDLQRQHAIQSHLQRATPSETTQTTAKMSSQERNDFD >Ma11_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27296884:27297758:-1 gene:Ma11_g24240 transcript:Ma11_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRGILICILIVIMDIVAGILGIEAQVAQNKGKHLRVLIFECKEPVHEAYRLGLAAAILLLLAHAVTNLFGGCVCICTTEEFSRSSANKQMAAATLVLSWIILAVGFIMLLTGALGNAKSKTSCGLPHRHLLSIGGILCFIHAVFSVAYYAAATATSAEAEGRTTTRDVGAAPTARRPQQMQA >Ma03_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4754197:4759246:-1 gene:Ma03_g06930 transcript:Ma03_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGRGGKGGGGSGTDGNGGGLARQPSIYSLTFDELQSTLVTGNDFGSMNMDELLKNIWSTEESQAMAAAAPAPVLDGSFAGLQRQGSLTLPRTLSQKTVDQVWRDLICPAQQGMPPAAGVSHQHHQPTLGEMTLEEFLVRAGAVRDELAPPQTCASNSGNNSSSKNNNNAISNVLFHDLRVANNVPQLALGFPQAGRSDRDVVASNPFTDTSATNLAVMVATGPSPYVAPMAPGDGFVGMGNPRAGGLVGIGDVGINNRLMPGAVGLGAAGGAGAVGTSVSHLPSDAPRKHGADLSSVSPVPYVVNGGLRGKKSSAVDNFLERRQRRMIKNRESAARSRDRKQAYTMKLEAEVAKLQELNQELNKKQAELMEMQKDQMSEMINQQQGPKKLCLRRTQTVSW >Ma07_p05640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4093564:4108399:-1 gene:Ma07_g05640 transcript:Ma07_t05640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTQTWKSFPRVLLLGETDHLRQVQMCGVHQLYYHLKLMEVVVHQVIIVVVHHLVEVVRGHQQQGGASSKTDKFSLSSGDFPTLGSDKKSETHSQQGHSSQEHPTATSGKFRPNIKVELSSNEDGFMDHENVNTLRTNRNLYAEGGSRLNMNCQNNPQQAQPSQSLKMESHQFDSWHGPPVHPTDGIWYRGGTIGGPYQPAAPRGSYPVEPFVCCPFPPNSEAVLRPGAGPANYYPTNGETYHPQMLPNSYMFPSHPIVPSGPGPYQVPPYDGFYNYRQTSIYSSGGQQFPSAGLATQPSVYNQHSNRNGTFNSGESQNSPCEDGSKTTREQMLSVTARVPHQGTNMVLVKQHGDLESNHLQEEQFTPANFDVKCKPGISNSKQKGEHSTVSRKNETDQQAPSKPANNCECQTSTPVMTNLQENPCGTSNGILKREPDTAVPMVHDQKHNPVIKKNSVLIEKIEGLNNKVRNATSFTEIGQVPSRQEGAKQPKIVNAKPEYSAEVNAAHTENASTSIISAKPVSFEESSSDFPTPSTTAMPVPSKYSSSTVVCSPKLSVYSAIVSSPAELQDIDVVKPDYWVPDEAAYFHVPNRIHVTRTRGDYHAISRSDSQVDTGSARKPPGRGSSAVPKKLAVDGPVTGILDNNPSKEAILSFSSDSIDHKVQHAKVKEIAVQCAKQLQKEEERTREQKAKGLANLEEPNRCLVAQNMKQKLNSLSRKGNVQHQEDSGVDIASQTNVVTSNPPGDIVGKTETLVLATDSGNKKHGTLVSLHLNSASSTPREVSQDSAIFHGPPLDLQHETKTTDVTNKNFSTESHVGGVSKHKQMGYRRRQKVPLEKSSDKKPIMAENMDSEYLDEVVLERSSENSSEGNTPAPINDKLLNNEDPYLQYKKKSSRNPRNKNKDDILMSSSLISYAHSDENVEEHLCESSQSHSPALVAETLFVPAQVAAGNAESQDSKDGIHSHQVCSKIIRAHGRMSNHWKPHAPRRPARNQQVHRPMDKVHGSETVIWAPIKPRNKNEEYEESSQSGIIGSDHQSSERNEHDMNGARTKRAEMERYVPRPVAKELLQQENTQKSSSDVNQSGDMPEKPCLDSKGAGMSKSDGSSGRRTDIIADKKNRENKRTKQGMAHASWRQRSSTESTLPLQSLNESLNSSDATKLFDKPSDQHLQLPEQFGPESSRNSVLKDSVVLPVVTKDQGKKSRERHQQVHRVAGSNYVALDHGHLPSETDDRSGVNTPISDLNDTDGRTSMAGDGKNIGGEHIRAHSHWKPKSRPYFRNQKQENRDTGGQRISCHNGTTEKFTSPGSKTDPSRDDGSHVVMAADNDVPPGNRNAQHESKVSPPHQRGHHNGHFNRVQEAIYKGKDLAQVTGKLNAQINEERPKNNAHLEYKPIGSSSEPSDLCQSNFSVDQGALVHRVSKQRYREQVRSQTRNRSHFFKQNTGATALVGEE >Ma07_p05640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4093564:4111300:-1 gene:Ma07_g05640 transcript:Ma07_t05640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILAGERRWASTRKSGMTILGKVPKPINLPSQRLENNGVDPNLEIVPKGSLTWGNRPSSASTNVWSSSTLLSPKTDGSSGSPSHYSGRPSSGGSGTRPSTAETRPGSSHLSRFAEHSADPAVAWGPTRTAEKFGGASSKTDKFSLSSGDFPTLGSDKKSETHSQQGHSSQEHPTATSGKFRPNIKVELSSNEDGFMDHENVNTLRTNRNLYAEGGSRLNMNCQNNPQQAQPSQSLKMESHQFDSWHGPPVHPTDGIWYRGGTIGGPYQPAAPRGSYPVEPFVCCPFPPNSEAVLRPGAGPANYYPTNGETYHPQMLPNSYMFPSHPIVPSGPGPYQVPPYDGFYNYRQTSIYSSGGQQFPSAGLATQPSVYNQHSNRNGTFNSGESQNSPCEDGSKTTREQMLSVTARVPHQGTNMVLVKQHGDLESNHLQEEQFTPANFDVKCKPGISNSKQKGEHSTVSRKNETDQQAPSKPANNCECQTSTPVMTNLQENPCGTSNGILKREPDTAVPMVHDQKHNPVIKKNSVLIEKIEGLNNKVRNATSFTEIGQVPSRQEGAKQPKIVNAKPEYSAEVNAAHTENASTSIISAKPVSFEESSSDFPTPSTTAMPVPSKYSSSTVVCSPKLSVYSAIVSSPAELQDIDVVKPDYWVPDEAAYFHVPNRIHVTRTRGDYHAISRSDSQVDTGSARKPPGRGSSAVPKKLAVDGPVTGILDNNPSKEAILSFSSDSIDHKVQHAKVKEIAVQCAKQLQKEEERTREQKAKGLANLEEPNRCLVAQNMKQKLNSLSRKGNVQHQEDSGVDIASQTNVVTSNPPGDIVGKTETLVLATDSGNKKHGTLVSLHLNSASSTPREVSQDSAIFHGPPLDLQHETKTTDVTNKNFSTESHVGGVSKHKQMGYRRRQKVPLEKSSDKKPIMAENMDSEYLDEVVLERSSENSSEGNTPAPINDKLLNNEDPYLQYKKKSSRNPRNKNKDDILMSSSLISYAHSDENVEEHLCESSQSHSPALVAETLFVPAQVAAGNAESQDSKDGIHSHQVCSKIIRAHGRMSNHWKPHAPRRPARNQQVHRPMDKVHGSETVIWAPIKPRNKNEEYEESSQSGIIGSDHQSSERNEHDMNGARTKRAEMERYVPRPVAKELLQQENTQKSSSDVNQSGDMPEKPCLDSKGAGMSKSDGSSGRRTDIIADKKNRENKRTKQGMAHASWRQRSSTESTLPLQSLNESLNSSDATKLFDKPSDQHLQLPEQFGPESSRNSVLKDSVVLPVVTKDQGKKSRERHQQVHRVAGSNYVALDHGHLPSETDDRSGVNTPISDLNDTDGRTSMAGDGKNIGGEHIRAHSHWKPKSRPYFRNQKQENRDTGGQRISCHNGTTEKFTSPGSKTDPSRDDGSHVVMAADNDVPPGNRNAQHESKVSPPHQRGHHNGHFNRVQEAIYKGKDLAQVTGKLNAQINEERPKNNAHLEYKPIGSSSEPSDLCQSNFSVDQGALVHRVSKQRYREQVRSQTRNRSHFFKQNTGATALVGEE >Ma07_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4093564:4111300:-1 gene:Ma07_g05640 transcript:Ma07_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILAGERRWASTRKSGMTILGKVPKPINLPSQRLENNGVDPNLEIVPKGSLTWGNRPSSASTNVWSSSTLLSPKTDGSSGSPSHYSGRPSSGGSGTRPSTAGSDRSQDPSPNAWGSNLRPFTASGSLPSNQTPMAARPQSAETRPGSSHLSRFAEHSADPAVAWGPTRTAEKFGGASSKTDKFSLSSGDFPTLGSDKKSETHSQQGHSSQEHPTATSGKFRPNIKVELSSNEDGFMDHENVNTLRTNRNLYAEGGSRLNMNCQNNPQQAQPSQSLKMESHQFDSWHGPPVHPTDGIWYRGGTIGGPYQPAAPRGSYPVEPFVCCPFPPNSEAVLRPGAGPANYYPTNGETYHPQMLPNSYMFPSHPIVPSGPGPYQVPPYDGFYNYRQTSIYSSGGQQFPSAGLATQPSVYNQHSNRNGTFNSGESQNSPCEDGSKTTREQMLSVTARVPHQGTNMVLVKQHGDLESNHLQEEQFTPANFDVKCKPGISNSKQKGEHSTVSRKNETDQQAPSKPANNCECQTSTPVMTNLQENPCGTSNGILKREPDTAVPMVHDQKHNPVIKKNSVLIEKIEGLNNKVRNATSFTEIGQVPSRQEGAKQPKIVNAKPEYSAEVNAAHTENASTSIISAKPVSFEESSSDFPTPSTTAMPVPSKYSSSTVVCSPKLSVYSAIVSSPAELQDIDVVKPDYWVPDEAAYFHVPNRIHVTRTRGDYHAISRSDSQVDTGSARKPPGRGSSAVPKKLAVDGPVTGILDNNPSKEAILSFSSDSIDHKVQHAKVKEIAVQCAKQLQKEEERTREQKAKGLANLEEPNRCLVAQNMKQKLNSLSRKGNVQHQEDSGVDIASQTNVVTSNPPGDIVGKTETLVLATDSGNKKHGTLVSLHLNSASSTPREVSQDSAIFHGPPLDLQHETKTTDVTNKNFSTESHVGGVSKHKQMGYRRRQKVPLEKSSDKKPIMAENMDSEYLDEVVLERSSENSSEGNTPAPINDKLLNNEDPYLQYKKKSSRNPRNKNKDDILMSSSLISYAHSDENVEEHLCESSQSHSPALVAETLFVPAQVAAGNAESQDSKDGIHSHQVCSKIIRAHGRMSNHWKPHAPRRPARNQQVHRPMDKVHGSETVIWAPIKPRNKNEEYEESSQSGIIGSDHQSSERNEHDMNGARTKRAEMERYVPRPVAKELLQQENTQKSSSDVNQSGDMPEKPCLDSKGAGMSKSDGSSGRRTDIIADKKNRENKRTKQGMAHASWRQRSSTESTLPLQSLNESLNSSDATKLFDKPSDQHLQLPEQFGPESSRNSVLKDSVVLPVVTKDQGKKSRERHQQVHRVAGSNYVALDHGHLPSETDDRSGVNTPISDLNDTDGRTSMAGDGKNIGGEHIRAHSHWKPKSRPYFRNQKQENRDTGGQRISCHNGTTEKFTSPGSKTDPSRDDGSHVVMAADNDVPPGNRNAQHESKVSPPHQRGHHNGHFNRVQEAIYKGKDLAQVTGKLNAQINEERPKNNAHLEYKPIGSSSEPSDLCQSNFSVDQGALVHRVSKQRYREQVRSQTRNRSHFFKQNTGATALVGEE >Ma05_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19092266:19094005:-1 gene:Ma05_g16880 transcript:Ma05_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTAAQSEEKDYKEPPLAPLFEPGELKSWSFYRAGIAEFMATFLFLYITILTVMGVVKSSSKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMVMQCLGAICGAGVVKGFQKGVYENNGGGANVVAAGYSKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWIFWVGPFIGAALAAFYHQIVIRAIPFKSRS >Ma11_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25590128:25591677:1 gene:Ma11_g21460 transcript:Ma11_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPLRPTPPPSPSLRPLKHLPPTLPRGSTTTTTSSSSSSSSSVSLSPSLLIISAIIAFVFVASASIHLLLRFLSSRRRSSSVSAAPPAPPLLQLRRPESSFSSSSAAATAAASNSGLSDQDKKALIDALPLFSLASSLAAVPKSSLDCAVCLYPFRPHDELRLLPACRHAFHSRCVDPWLRSTPSCPLCRASIALRAAPLPSPPTAAPPTVASHGDPSRSGSFRVEIGSVSRRSTSPEAETVVNALPHLRTYSLGSSFEYVIDEEVEAVVARIRRTTEKEEKRDAVAEPASVIVAGPAPSVAEVAGGGGRGWLREYVDRLASSASSSFSSFRFSGRWSHDGGGGPARYSYDLEGSARREAEDGGYYGFYRWLIGA >Ma06_p33070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33847331:33848236:1 gene:Ma06_g33070 transcript:Ma06_t33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRWEDMEMDCLVAILQRLGLQDLTLSVPLVCRSWRKASLHPLCWRVLNLRDLDFMPWGDLTKSFMAEYRLQRFFFSGFLKLAVARGNRLVEEIMFPLLFGTSMQDLFFVSDECPRLKKLVLPNLSPADEADIPKLVGKWKDLEQLEMEAKPSSFLEMLSEINLHCKKFSGLKMCGSIKKEDMLAIVNLLPKLKFLCLSKSYLPREQLLGILSGCKELQRLTVRDCMGFEADEEVKKRGLGIKIFEHEGSKLFDDFDYNSDECDPL >Ma01_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9571694:9572818:-1 gene:Ma01_g13080 transcript:Ma01_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTPSPSSPSSSSAAAPPPWSSTCTGRKIATWPTSAALCSTAKPGDELRVLSCIHEQDNVNTALALLQASGHSHDSPIYVYVLHLRRLIGRTDAVLDPHKRRNETFSSVSALSQSDHIVNAFRQFEQQHSDGVWVLPYICISPYNTMHDDVCSLALDKKVTLVILPFHKNVKADGSIIFVNPAVRSVNVNVLRYAPCSVAILVDHGISDCGKLLQHVAVYFLGGADDREALAYGSRMAKRAAIRLTVVRFLPPKVWREEGQEEKMDDKMLTQFQHEMVDGKQVVYREKVVQDGEGTVAVIRQTSAEFNLLIMGRRKGKDSPLTTGMSMWSEYPELAGDHRRLAGRDGFRRPGVHAGGAAAGDGDGSSGARCG >Ma09_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:22372493:22375329:-1 gene:Ma09_g19280 transcript:Ma09_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSPSAVDSGGHLLGPPGPDPVLQPPLAASASPSCSRSLPRRIVDFEELAPAVVGNFPDEDADKSGGTTGNRWLRQETLALLKIRSEMDASFRDATFKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRHDGKSYRFFSQLDALYSGSISGGGGATTSAGFSSSAPSVVAISPPFGAGMVGSPVSRAQPISAVAPPTMAMPTRAVVPDLTLPGGPQGVSSSGAAAATGLTFSSSSFSSSSSSESDDEETGAAGESPEGRKQKNGRGSGTSRKMMAFFDRLMKQVMERQDAMQQRFLEAIEKREQDRTIREEAWRRQEMGRLNREQELLVQERALAASRDNATISYLQKINSHTISTPTMPSNAPLPPQQPFYSPPAPPAATQQQSQPPTAPQQQQQRSPTLPRPPQQQHEVRQHHQTSEVVWHQSSSASEIVPSLEPQEAVGGGRLEPVSSSRWPRAEVHALINLRTGLESKYQEAGTKGTTLWEEISAGMQRLGYIRSAKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYRKKLLGSGIAGVGNSSGTGNTVGIQRQQGRETDRASNQQQSDAPTILPRQQAPRPPSPQQLTAEAESKNENGTSNQNSGNSESDGDSVGITSNGGLPPSLFDEGMKKVTTGPSNLSNDLCIFATISSRSDGLMLD >Ma08_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6188561:6189172:1 gene:Ma08_g08740 transcript:Ma08_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPLHLLRLVMSCRKITAEVIAPQSSTIVAMASSDEPEFLTLNRARINRFAPSRLLWDARVAARVGEKLGIRLREIGVSNIEIDPLEFSRAPHLRRPAASLFDSVERAGICVAGSDKLHWP >Ma08_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:931726:936559:-1 gene:Ma08_g01010 transcript:Ma08_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16390) UniProtKB/Swiss-Prot;Acc:Q42533] MASSSSLHAAPAAAPQVYAAAASASASSASSRRRPTAVSFPLPSKPKKPLLAPAKGLRLYRDRSAIAKAQLNEVAGGPANDAATSKTKAEALGPKGQDAEAGKPSLPSAPLSEEAISEFMTQVANIVKLVDSRDIVELQLKQNDCELIIRKKEALPQPPAPAPIVMQAPAAPAYIPSEVPPPPAARALPSPAAASSAPKASKSSHPPLKSPMAGTLYRSPGPGLPPFVKPGDKVNKGQILCIIEAMKLMNEIEADHAGTVVEILVEDGKPVGIDQPIFIIEP >Ma03_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2029676:2034674:-1 gene:Ma03_g03000 transcript:Ma03_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSYVRLKGSRQFRQRLLLSTLSSTPILVEDIRSDDTSPGLRPHEVSLLRLIEKVSDDCTVEINETGTKLRYKPGVLMGGKYLVHDCGLNRSMGYFLEPLILIGLFGKKPLSIRLKGITNDSKDPSVDTFRTTTLHMLKRFGVPLEGLELKIESRGAPPLGGGEVVLGVPIVPNSLTAAHWVDEGMVKSIRGVTFSTRVSPQIANRMIYAARGVFNRFIPDVHIFTDHRSGPAGGRSPGYGLSLVAETTSGCLISTDVAISYPKADETDVMEDSEEKPEMLPPEDVGVQAASMLLGEIEHGGVVDSTHQGLLFLLCALCPPDVSKIRVGKLTPYGIETLRNIRDFLGVKFVIKPDPTTNTVILKCVGCGLKNLSRKIA >Ma09_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8087557:8088549:1 gene:Ma09_g11940 transcript:Ma09_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIDRSDSPQDVAAAVVCSALLVLSAASAAAVLRVRRLCRRRLHDIVNPLWSVRLVLLLFASIWSLSDILRSPIFRRRLPLPFRRRLDILCHAHLVATQALAEPAFLTVVLFLLRASIRPKPASSASAFAAAIAAALVSALPFLTLYSLYLTVSTWDLRRLSLPPVLFGPSDGGVTSISSSAAAAYQCTYPLIGAVLLAVLGAVYVPVFISASWGAVSVVINRRLRARLYALSATVVGALSVQVAALTFSTLCNPGDTAFQWLSLAAAVAFATLAAVGEAILVVWPIIDALSVGKTEEATPKHMQSTCVVGVRDVAQEEGEVDGGWSDR >Ma11_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1558526:1562527:-1 gene:Ma11_g02180 transcript:Ma11_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSISLHRIHFLYFPLKPLSGSFFSSTTALTPRMPLLSSPSSAFSRNGGVGFNRSKQMLSASASLSDDLRPALGENPEGIISGEWPENFSLLSFDDLRAYLETQIISEKTKPSATLDTVMSTEIRTARPEQTLEEIDHNFEVVSGLPVVDDELRCIGVISKKDKARAYNGLKSKVGEVMSSPAITLSPDKTVLDAAALMLKMKIHRIPILNKSQKVIGMVTRTDIFQALEAQEV >Ma08_p28760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40548739:40588254:1 gene:Ma08_g28760 transcript:Ma08_t28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MLIPLPRLTSSFRGPYDVDEAYLRRKRILESLKSRRTNDEPELARKLIPRWDEASDALRQTYRQYVGSVIELINGEVTSEEFREVAKSAYDLFGHPDIDSSITKIIQEKKIELQQLVGYNIQDTVLLKVASLAQRIYALQNTSSEAVIGQDLGADEHGDNRGEFGSNLSFHAPSRFIVDVPLENGASLTSDFQFKTASFDANRNGHMAYIDHNLTADLKTINLRWLKDACDLIVKNGASQLSGDELAMALCRVLLSDKAGDEIAGDLLDLVGDGAFETVQDLLSHRKELVEAIQHGLLMLKSEKMSSNSQPKMPSYGTQVTIKTESERQIDKLRRKEEKRNKRVGEYGGTLDFPVESFSSLLLASEKKQPFDDLIGAGQGINSILVSALPQGTTRSHRSGYEEVRIPPTPTAAMRPDEKLIEIKELDDFAQAAFRGYKSLNRIQSRIYQTTYHTNENILVCAPTGAGKTNIAMIAILHEIKQNFKDGFLHKDEFKIVYVAPMKALAAEVTATFSHRLSPLNLVVKELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGSVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAQFLHVNPESGLFFFDSSYRPVPLSQQYIGISEKDYSKKNLLFNSICYDKVLDSIKQGHQAMVFVHSRKDTGKTARTLIELALKAGDLELFMNDKHPQFPLVKKEVTKSRNRELVELFESGFGIHHAGMLRSDRALTERLFSDGLLKVLVCTATLAWGVNLPAHTVIIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIILTTHDKLAYYLRLLTSQLPIESQFISSMKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMKTNPLVYGISWDEVIGDPSLASKQRSLIIDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMSDSEVINMVAHSSEFENIVVREEEQDELENLSKMSCPLEIKGGTTDKHGKISILIQVYISHGSIESFSLISDAAYISASLGRIMRALFEICLRRGWCEMSSFMLQYCKAVDRQIWPYQHPLRQFDRELSAEVLRKLEERGTDLDRLYEMEEKDIGALIRYAPGGKLVKQFLGYFPSISLSATVSPITRTVLKVDLLITPDFVWKDRFHGTAERWWILVEDSENDHIYHSELFILTKKMARAEPQKISFTIPIFEPHPPQYYIRAVSDSWLYAESLYTVSFHNLTLPETQVSHTELLDLKPLPVSSLGNEAYENLYNFSHFNPIQTQSFHVLNHLDDNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWKKRLVSQLGKKMVEMTGDFTPDLMALLSADIIISTPEKWDGISRSWQSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSVRFVGLSTALANARDLADWLGIGENGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPAKPVLIFVSSRRQTRLTALDLIQLAASDESPRQFLNIPEASLEMVLSQITDNNLRHTLRFGIGLHHAGLNDRDRSLVEELFSNNKIQILVCTSTLAWGVNLPAHLVIIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHNHINAEVVSGTISHKEDAVHYLTWTYLFRRLVRNPSYYGLEDTEASTLNSYLSRLMQDTFEDLEDSGCIKMNENSVEPLMLGSIASQYYLSYMTVSMFGSNIGPSTSLEVFLHILSAASEFDELPVRHNEENINKTLAEKVPHMVDEHHLDDPHVKANLLFQAHFSRIELPVTDYVTDLKSVLDQSIRIIQAMIDICANSGWLSSTMTCMHLLQMVMQGLWFGKDSSLWMLPCMNEDILNHLNNIGILSLQDLLELPKAKFQQMLQRYPASELYQDMQYFPRVRVKLKLHTGDDNASKSAVLNIRLEKANSKHSTVRAFVPRYPKVKDEAWWLVLGNATTSELYALKRISFSDQMVTRMALPPTVTNLQDTKLFLVSDCYLGFEQEYSIS >Ma10_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25931774:25933015:-1 gene:Ma10_g12980 transcript:Ma10_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRVTTSFARRPSRDSATFADLGRRIGRCELSIFDADRCFKEEHDAIRRTIILNGPAQKCNLSAQRSGFSLSLVDGHARHGRTCSFYATPTASSEVSWNSHLGLALDGSVAVTASALCFKWPSSVARRLFGRSCPCSGMKSVVVEEKCSQPRSPIRSGLDLRTSPSSKNLSFSTREVGLSSIPEGATREEIDNEFGSGKTIKVKITTPGSPPQNPDLFSLETPFSAEIGCRMANSSNLFCDSDGFSFSILNPSFFNLAEEPPRTPLEAFQPSKETAVFPFSDHAPIPKPPPDEDAASDASSDLFEIESFSTQTTYRHSDSLDSRDHLLEAAPPTGVSVTTTEGLDRASLANFPTAASACGELRFAAAAGGRWRGSGLLRCRSEKAVSVGPNPVRVSSPVGSDPHRRAVRTR >Ma10_p30270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36621199:36625438:1 gene:Ma10_g30270 transcript:Ma10_t30270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFCYLPSNKSTIHLSSYQLCGLFNFSTAKDQSSEHSSNFIVVDSLHSCELSSEKAAKKAKYHTCHKNSSSLSIEFFKQSGWSDAQVMKLIRKSPLLLRTKVETALKPRMRSLKDMGFSDTEIVQLVSSCPSVLLLRDIQPKIDFWRSLLGSNERLLNASRRNMFLLGSSMDRKIEPNVSLLRECGISDKRIVYMVMTTPAIMGRSNKYMKEAIKLVKELGVPCNCRMFPYALSMVTGMSRSRFYDTFATLMNFGFSLQDIIAAFRKHPTIWYLSKKNICDKMTFLLKEAGCELTYIISHPVILAYSLEKRLKPRYAILNFLEQNKLLDKVYSLMSVIMLSEKKFQNKFLFLLREEKSIALYDSYLKSVAQ >Ma10_p30270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36621191:36625438:1 gene:Ma10_g30270 transcript:Ma10_t30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFCYLPSNKSTIHLSSYQLCGLFNFSTAKDQSSEHSSNFIVVDSLHSCELSSEKAAKKAKYHTCHKNSSSLSIEFFKQSGWSDAQVMKLIRKSPLLLRTKVETALKPRMRSLKDMGFSDTEIVQLVSSCPSVLLLRDIQPKIDFWRSLLGSNERLLNASRRNMFLLGSSMDRKIEPNVSLLRECGISDKRIVYMVMTTPAIMGRSNKYMKEAIKLVKELGVPCNCRMFPYALSMVTGMSRSRFYDTFATLMNFGFSLQDIIAAFRKHPTIWYLSKKNICDKMTFLLKEAGCELTYIISHPVILAYSLEKRLKPRYAILNFLEQNKLLDKVYSLMSVIMLSEKKFQNKFLFLLREEKSIALYDSYLKSVAQ >Ma10_p30270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36621191:36625438:1 gene:Ma10_g30270 transcript:Ma10_t30270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFCYLPSNKSTIHLSSYQLCGLFNFSTAKDQSSEHSSNFIVVDSLHSCELSSEKAAKKAKYHTCHKNSSSLSIEFFKQSGWSDAQVMKLIRKSPLLLRTKVETALKPRMRSLKDMGFSDTEIVQLVSSCPSVLLLRDIQPKIDFWRSLLGSNERLLNASRRNMFLLGSSMDRKIEPNVSLLRECGISDKRIVYMVMTTPAIMGRSNKYMKEAIKLVKELGVPCNCRMFPYALSMVTGMSRSRFYDTFATLMNFGFSLQDIIAAFRKHPTIWYLSKKNICDKMTFLLKEAGCELTYIISHPVILAYSLEKRLKPRYAILNFLEQNKLLDKVYSLMSVIMLSEKKFQNKFLFLLREEKSIALYDSYLKSVAQ >Ma11_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24840649:24844246:1 gene:Ma11_g20320 transcript:Ma11_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSALKAAKIRWPWLFGQVDSAMETWIIDQMHILRPVVETGYENLLLVRLLVELQVPSVRKSSVADGLTVEAILENWSQMKPIIMKEWDEERDALIDLFGRIRDEWIDNDLSGWIGANRFYPGVADALRFASSQLYIVTTKQARFADALLRELAGVTIPAERIYGLGTGPKVKVLKQLQEMPEHQGLSLHFVEDRLATLKNVIKEPALAGWNLYLGRWGYNTEKERAEAESIPRIQLIDLSDFSKKLK >Ma03_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3324030:3325277:-1 gene:Ma03_g05090 transcript:Ma03_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFVLGLCALVAVAAAGNLYQDFDVTWGDGRAKILNNGQLLTLSLDKTSGSGFQSKNEYLFGKIDMQIKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFTQGKGNREMQFKLWFDPTEDFHTYSILWNPRHVIFMVDGTPIRDFKNLESRGIAFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFVASYRNFNADACVRGSSKCGGSTKSGWWNQELDLSSQGRMRWVQKNYMIYNYCNDAKRFPQGLPPECAIA >Ma04_p39220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36411485:36414402:-1 gene:Ma04_g39220 transcript:Ma04_t39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGEKHGVGTMRPVVVSLLFFLLLATDTNVASSASASVKFTPSDNYLLDCGASTSTQLNDGRVFRSDPQSSSLLSTNEDINVSADNSTADSSVSPLYLTARVFNGRSTYSFFVSKPGRHWIRLYLYAFANAKYNLTSAVFTVSTDDIVLLHDFTPDSPASAVVKEYLVVVSGDKVSLTFAPKKGSLAFINAVEVVSAPDNLIADFAAAISPAGQFNGVSKLALEVAYRLNVGGREIVPVDDTLGRKWRTDGGFLKVPAAAQNVSVSTKTIKYPDDGSVTPLIAPSTVYSSADEMANSNTAVPNFNITWEMVADPAFSYLIRMHLCDIVSKSLNTLYFNVYINGLMAVSTLDLSSLTSGLAVAYYKDFAVNATTITNSTILVQVGPTPDSASGTPNAILNGLEVMKMSNAAGSLDGLFAVDGSYHGGAAPGSIVTKVAPGVGLALGALTMGLVVVMFCRWRRRPADWQKRNSFSSWLLPLHASQCSFMSSRSSSCSKGSYRNRFGSHKSKSGYSGVFSSGTIGLGKIFTLAEVREATKNFDEKEVIGIGGFGKVYLGMQDDGTKLAIKRGNPSSEQGINEFQTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMANGPLRDHLYGSAGHPPLSWKQRLEVCIGAARGLHYLHTGASQGIIHRDVKTTNILLDENLVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARSAINPALPREQVNLAEWAMQCHRKGQLEKIIDPHLVGTISPASLKKYVEAAEKCLADHGVDRPSMGDVLWNLEYALQLQDAVMEHPGEGSSDEKANNVAQESPVRKGDEGHAVINDDSTPIAGPLFQGR >Ma04_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22902775:22904592:1 gene:Ma04_g20210 transcript:Ma04_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEWEMATGVDFGMGMEFGSGARYSTTAPVVAPLTPTTSATATTPAYLYGALPPTADASLRVDDLLDFSDHDLYASVGVDAQFYATTTTVPGSGPPSGQTFSGRQSQHTTFDLYVPQCEDAAELEWLSKFVEESFSDVPSYQSGAAVIAPSCEAQLRAEQSANGRGARGKRSRATIGAVSAAAWSSLVTPPHPPAQNSPSSSSSSSSSEFSPSRPKAGGADNGNRGSRGKKGGGGVGLEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKELLLLRHQENDPSSARPDLLFSDYGVC >Ma04_p20210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22902792:22904592:1 gene:Ma04_g20210 transcript:Ma04_t20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEWEMATGVDFGMGMEFGSGARYSTTAPVVAPLTPTTSATATTPAYLYGALPPTADASLRVDDLLDFSDHDLYASVGVDAQFYATTTTVPGSGPPSGQTFSGRQSQHTTFDLYVPVRQPPELRQCEDAAELEWLSKFVEESFSDVPSYQSGAAVIAPSCEAQLRAEQSANGRGARGKRSRATIGAVSAAAWSSLVTPPHPPAQNSPSSSSSSSSSEFSPSRPKAGGADNGNRGSRGKKGGGGVGLEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKELLLLRHQENDPSSARPDLLFSDYGVC >Ma10_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30766786:30768901:1 gene:Ma10_g20710 transcript:Ma10_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYIVSWVISGVLFWTTTFLLVRNVFRNRSFDFCNRVVSTVHAAVAVGLASLSVQHWGCPVCPLASRSSELQMKALAVTLSYLIYDLICCLFDKIPRMDNSVHHMVGIVGIAAGLAYGMCGSEMVAAMWLTEISSPFLHMRELLKELGYRDTNLTLALDILFAAIFSLARMVGGPYLTYVTLTADYPLLIKAMALGLQLVSAFWFYKILRMVRYKIAKRMVPNKSIKISVH >Ma10_p20710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30766716:30768901:1 gene:Ma10_g20710 transcript:Ma10_t20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYIVSWVISGVLFWTTTFLLVRNVFRNRSFDFCNRVVSTVHAAVAVGLASLSVQHWGCPVCPLASRSSELQMKALAVTLSYLIYDLICCLFDKIPRMDNSVHHMVGIVGIAAGLAYGMCGSEMVAAMWLTEISSPFLHMRELLKELGYRDTNLTLALDILFAAIFSLARMVGGPYLTYVTLTADYPLLIKAMALGLQLVSAFWFYKILRMVRYKIAKRMVPNKSIKISVH >Ma01_p08400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6009586:6023793:1 gene:Ma01_g08400 transcript:Ma01_t08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKDTPESCSSRAGVDSSRTHPRRQQQQQQQRHKLEAYAKVLRRLRKAGRPEVLSPSFEDGLLNHFNRLPERYALDVNVERAEDVLTHKKLLELAQEPANRPVFAVRLVQVPPFPEGKQVDSSDSDAPRTGHAQSDSTCFSVHPPPLFGSSPNLEALASEGSRQQVQDGASVAKAIQHLRPMHEITFSTHDKPKLLSLLTFLLAELGLNIHEAHAFSTSDGYSLDVFVVDGWPYEETKQLRDSLQKEIHKMGRQAWSKSHPWSPLNENAQCGFDSLPNHVQIPTDGTEVWEIDFQQLNFGNKVASGSHGDLYHGTYNSQDIAIKVLKPESVNVDMQQEFAQEVFIMRNIHHRNVVQFIGACTRPNFCIVTEFMSGGSVYDFLHKQKGVFELPALLQVAIDVSRGMNYLHQNNIVHRDLKTANLLMDENEVVKVADFGVARVKAESGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTAKLPYENLTPLQAAVGVVQKGLRPTIPKNAHPKLAELMENCWQQDPTDRPDFSEILQILQLIAKEVGDESDDWLMEYPSGWFLSILRSWPLNLYRCL >Ma01_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6009586:6023793:1 gene:Ma01_g08400 transcript:Ma01_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKDTPESCSSRAGVDSSRTHPRRQQQQQQQRHKLEAYAKVLRRLRKAGRPEVLSPSFEDGLLNHFNRLPERYALDVNVERAEDVLTHKKLLELAQEPANRPVFAVRLVQVPPFPEGKQVDSSDSDAPRTGHAQSDSTCFRQGVHPPPLFGSSPNLEALASEGSRQQVQDGASVAKAIQHLRPMHEITFSTHDKPKLLSLLTFLLAELGLNIHEAHAFSTSDGYSLDVFVVDGWPYEETKQLRDSLQKEIHKMGRQAWSKSHPWSPLNENAQCGFDSLPNHVQIPTDGTEVWEIDFQQLNFGNKVASGSHGDLYHGTYNSQDIAIKVLKPESVNVDMQQEFAQEVFIMRNIHHRNVVQFIGACTRPNFCIVTEFMSGGSVYDFLHKQKGVFELPALLQVAIDVSRGMNYLHQNNIVHRDLKTANLLMDENEVVKVADFGVARVKAESGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTAKLPYENLTPLQAAVGVVQKGLRPTIPKNAHPKLAELMENCWQQDPTDRPDFSEILQILQLIAKEVGDESDDWLMEYPSGWFLSILRSWPLNLYRCL >Ma10_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28034444:28037047:1 gene:Ma10_g16270 transcript:Ma10_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGSHRSTRQLLLLLLSIALHLIPGLSENPSPSKDSTKTDSHSSSRSNTGLKVLLICLGAIALVLFSLKKMMILKSSLDFGIEKFSQWTESLSEARTRLEGCHE >Ma10_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28221038:28231947:1 gene:Ma10_g16570 transcript:Ma10_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MASADNHNSIKSVAVVGGGVSGLAAAYKLKSNGLKVTLFEAEERAGGKIKSSSENGLIWDEGANTMTESEREVGRLIDHLSLREKLQIPVSQNKRYIVRNGMPQLIPLNPVGLIMSNILSAKSKARIFLEPLLWKNSGGKKSSKVSEENLQESVGQFFRRHFGEEVVDYLIDPFVAGTSAGDPESLSVQHAFPELWNLEKKYGSLFIGAIRSKQNSGSKENKAREGSSGKKRHQRGSFSFYGGMQTLIDKLCEEVGVDNLKLNSKVLSLSCSFDGSTPLSSWSISYATNDADTKELKKDQSFDAVIMTAPLRNVQEMKFTKGRNPFLLDFLPKVNYLPLSVMITSFKKDKVKRPLEGFGVLVPSKEQKNGFKTLGTLFSSMMFPDRSPHDQYLYTTFVGGSRNRDLAGASLDELKQIVTSDLRNLLGTEGQPTFVKHIYWRNAFPLYGHDYDLVLEAIEKMEENLPGFFYAGNHRDGLSVGKAVTSGCKAADRVVSHLNSCIKQDST >Ma02_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27473426:27482139:1 gene:Ma02_g22010 transcript:Ma02_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLRNSVPIRSLNTDSVNTLEKVDNAPEIPSGSDVLYGSNDVGLFSSSLPVLRHEKLNFSDTLGGVHSTVDASSKLKKLSKDVEGKVRVDDFKLQGMGLLLPDDEEALLSGIMDDFDLSGLPGKVDELEDYDLFGNLGGMELDSDPTESITVGMAKASMSDGFLGNGIGQYSLPNSVGAVSGEHPYGEHPSRTLFVRNINSNVEDSELQLLFEQYGEIRSLYTACKHRGFVMISYYDIRSARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDMNQGTLVIFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKQHHKFIEFFDVRAAEAALRSLNKSDIAGKRIKLEPSRPGGARRNLMQHLTHELEQDETRVYLHHGGSPINNSPPGPWAHFSSPNDNIPLQVFSQSQNGLAMSPIVNDHLPGLGSVFPPMLSTSVKIAPIGKDQTRLNHADHIISRSSLLHGGGYHSHSFPDHSCGVMTSASGNLASFGSSTPNSSGVGTLTGPQFLWGKLTSYPENTQSSVWQPLPTGSSFMSNGQGQGQSFLYSSSHGSFLRSSHNQNPHHVGSAPSVVPFQRQYGYFPESAEPSFMNQFALGNIGFNRKGGTGLMNMTPHPTLNQGIISGSMSDNNSPNVRMMSAHRSGPTLFGNAPYPALSSIGIDGLVDWHRSRRVDNHGSQADKQQYQLDLDKIIKGEDTRTTIMIKNIPNKYTSKMLLAAIDETHSGTYDFLYLPIDFKNKCNVGYAFINMSSPSHVVSFYKAFNGKKWEKFNSEKVASLAYARIQGRSALVAHFQNSSLMNEDKRCRPILFDPEGADTGNEELFPSSSLHIQQEDGAVSVGVSPESPQGSSPSGKSEKSTLPGTVLKE >Ma08_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9972755:9975279:-1 gene:Ma08_g12860 transcript:Ma08_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSYTEGRKASYDSGTIKRSGAQRSHFDIVFSGRSIVQVRVPILLGLHRPSKIAFSFIWSDLLSTTTRNSLQESQRSGWARQNSMANNISYLLAYEIKRITKSI >Ma05_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:600241:609261:-1 gene:Ma05_g01020 transcript:Ma05_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKAVEEPKMHKEKDRSASRRHGERDKDRGENGEGRASKRSRAEERNGEKRDRGDRDRPERREKDHHRSGRESEKERDKDRDHRSREREHKDKDKERERDRGREREKERERERDKERERERRSSSRSRKQDKEAEKEMERAKSRERRERELEKEIRERESRRFKDKKDAEPEADPERDQRTVFAYQMPLKATERDIYEFFSQVGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPLAIALSGQLLLGQPVMVKPSEAEKNLVQSTASTTGIAGAAERKIYVGNLHYNITEEQLRQIFEPFGAVELVQLPLDESGHCRGYGFVQFAQLEHAKAAQSLNGKLDIAGRIIKVSAVTDHVGVQDTGTNAADFDDEDGGGLSLNARSRAILMQKLDRTGAASSIAESLGVPIINGAVPNQHAFGLLANGRSMSPAVVSAQHTPTSVVEPSECLLLKNMFDPSTETEPDFDLEIKEDVQEECSKFGPVKHIHVEKNSTGFVYLRFESVTAAVSCQRAMQGRWFAGRSVSATFMRPQEYEAKF >Ma08_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25340827:25342538:-1 gene:Ma08_g17430 transcript:Ma08_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARKMLHTMEAGDNTFDDPGAAADGLGPVPADKRNLSWWDVAGLWVVMVVNVPSYFAAASLMELGMSCWQGIATIFLGNLIIYAPICLTAHAGVRYGISFPVQLRAAFGIRGARIPALLRAVVACGWCGTESWIGGQAIFLLLLPRPIQSSSYSQPLGWLGTSPLELGCFLLFSLLQFAMLWKGMAGIHALGKYSAPVLVLLVACLFAWAYATAGGFGEMLSTPSRLSPLQFWPVFYPSLTGCVGSWSAVALNISDFARFARSQADQALGHLALPLFMAAYTFAGLAITSATEVIFGQAIPNPIELLSLINTSVFISILAFLGIGLATITTNIPANLVAPANVLVSLSPSTFNFATGSLLTGFISLVFQPWKLMASGKSFVYEWLVGYSAIIGPITGILLTDYYVLRRAVLDVAGLYAESPHGPYYYTGGYNVAAFVALVFGVAPAIPGFLHKVGAVKMTWGGFDVIYGCAWFFGVFSASLVYWLLSCGRARRKAGEAWTGGSMAEPLRLTAHPDLVDD >Ma10_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31338004:31344227:1 gene:Ma10_g21620 transcript:Ma10_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIVLLLFLISSFHLSLSQRYNALFNFGDSLSDTGNVVIAGLPYGMTYFGHHTGRCSDGRLVIDFIAEGIGLPHLLPNTATNASFHQGANFAFIAAPALPFDFFHQRGLSKGLWVNASVHEQVDRFEKLLPSICGAPQECKDFLSKSLLVVGEFGGNDYNTGIFGGRSIIEVSTFAPHVTQAVAEGVERLIGLGAVDLIVPSVLPVGCFPLYLTLYNSSNPEDYGPKTGCARKFNALSWYHNTLLRRQIHRLRQKFPAVSIRYADYYAQGFDFAINPLKYGFKDGALRTCCGAPGMSKYNFNLHAKCDQNGSTVCPDPATHVSWDGIHMTEAAHNIIARGWLHGPYVDPPILRSSDS >Ma06_p27850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29704430:29725564:1 gene:Ma06_g27850 transcript:Ma06_t27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASGQPPDEQRGMQGSKYGGSRNPTRVERLLRDRELRKINRAFQQDEAGGNGGREAESSEHLPEDCGREVGGEPDEEIVDGSLAPVITTGQGSRRSGGRPMKQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKDVDAKWIGWAGVSVPDEVGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVNKHYQEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFKRALELPAVKEHINELTHRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQGSKKGVLILSEFAGAAQSLGAGALLVNPWNITEVAASIGYALDMSPDEREKRHRHNYAHVTTHTAQDWAETFVSELNDTVVEAQLRTRQVPPLLPTNIAIERYLQSMNRLLILGFNATLTESVESSGRRGGDQIKEMELKLHPELKVPLTTLCNDAQTTVVVLSGSDRSVLDDNFGEYSMWLAAENGMFLRHTGGDWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNTAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCVGHFLGKDEDIYTFFEPELPAEPASSTRMKISETSKASPDKRSTGRSSSIRNNSRMSHVRPQRAPVGSERRMPANHNILTGWRSPQETMSWREGSSVLDLKGDNYFSCAVGRKRSNARYLLNTSDDVVVFLREMAEAACQGSLAALF >Ma06_p27850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29704488:29725564:1 gene:Ma06_g27850 transcript:Ma06_t27850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASGQPPDEQRGMQGSKYGGSRNPTRVERLLRDRELRKINRAFQQDEAGGNGGREAESSEHLPEDCGREVGGEPDEEIVDGSLAPVITTGQGSRRSGGRPMKQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKDVDAKWIGWAGVSVPDEVGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKRANQMFADVVNKHYQEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFKRALELPAVKEHINELTHRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQGSKKGVLILSEFAGAAQSLGAGALLVNPWNITEVAASIGYALDMSPDEREKRHRHNYAHVTTHTAQDWAETFVSELNDTVVEAQLRTRQVPPLLPTNIAIERYLQSMNRLLILGFNATLTESVESSGRRGGDQIKEMELKLHPELKVPLTTLCNDAQTTVVVLSGSDRSVLDDNFGEYSMWLAAENGMFLRHTGGDWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNTAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCVGHFLGKDEDIYTFFEPELPAEPASSTRMKISETSKASPDKRSTGRSSSIRNNSRMSHVRPQRAPVGSERRMPANHNILTGWRSPQETMSWREGSSVLDLKGDNYFSCAVGRKRSNARYLLNTSDDVVVFLREMAEAACQGSLAALF >Ma10_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4644085:4646819:-1 gene:Ma10_g01420 transcript:Ma10_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQERKKRQPRRGHDGPNSVAETIARWREHNGQLDADNCVRRAPAKGSKKGCMKGKGGPDNPNCQYRGVRQRTWGKWVAEIREPNRGNRLWLGTFPTALEAAVAYDEAARAMYGPYARLNLPERYGDSQLGATNGSCESCMTSHQSHSDVGISSSGETEVIPKIEKTDERDNGLSSSGNHKIEHSQPGYWNEDFPIDEIFLEALLEGMDADCTGTYHQIGMGDGDAYQRRGDVSDFPFHPQNADAEMLGAFPHIDEGLNGFDPDDYFLWPIEGD >Ma06_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15490954:15497575:-1 gene:Ma06_g21320 transcript:Ma06_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCPNSLPATRLLETLEISRVRFLPSYRSRVPDPRFRRFGPPRFYRRAPLSMAAFLPQENSAAVAAPKSPCRIGDVKRVTKETDVYVNINLDGSGVANCNTGIPFLDHMLDQLASHGLFDLHVKAAGDIHIDDHHTNEDVALAIGTALLQALGDRKGIYRFGHFAAPLDEAAIEVILDLSGRPHLSYDLIIPTERVGTYDTQLVEHFFQSLVNTSGMTVHIRQLAGKNSHHIIEATFKAFARALRQAIEYDSRRGGSVPSSKGVLSRS >Ma09_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27933796:27933885:1 gene:Ma09_g20110 transcript:Ma09_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHQFKLWIVKLREILREIKNSHYFLDS >Ma03_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1641445:1644512:-1 gene:Ma03_g02400 transcript:Ma03_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIQFLPFFLFLLPTCTAETGAATAAEALVNWKTSLFQPDTLSSWSLTNATTPCNWFGVRCDAAGSLVQLRLPSSGLNGTLLDLVFSALPNLTHLDLYGNSLHGRVPPAISAASKLIFLDLSFNQLEGTVPEEIAMLTQLTNLTLSDNHLVGPFPSPITSCTKLLHLDLSHNQFDGVLPSSLSRLTRLQVFDVSRNGFSELMPEALANWTQLLSLQMDHNPLTGQIPKEIGKLRELRSLGLGQTNLTGSIPEEICNLTKLQDLQLLDNQFTGPIPHQIGRLTQLTVLELSDNRLSGTIPPEIGNMTTLEILDISQNQFSGELPSTISALPKLQSLIVINNKLSGSLPGELGKNGLLSTAQLTNNSFSGELPLLLCNNSTLRYLYADNNNFTGRLPAWLKNCTGIRRISLGWNHFSGDLSEAFGAHPQLETLYLPGNQLTGTLSPAWVEWRSLANLRLDDNRISGKIPPEFGNMTNLYYLSLSSNNLTGSIPAELGNLVSLAWLNLSNNGISGSLPSTVAELSALQSLDLSGNKLSGQVWKLLVQHPDLNYIDLSRNSFTAMLPVDWEEITNSSSTYLPDVQYLLLSDNLIDSSIIPLLCRFSGLQVLDLSNNHLSGRLPDCLLDTEAMQYVDLANNDLHGSIPSSMGSNASLVSLHLNSNRFNGEFPSSLKQCRHLVALDLGENGFHGEIPTWVGDSFPSMIVLRLRSNMFGGSIPPQLSRLASLQVLDLADNDLRGSIPRSFFGLSAMARRQEGRAVEFSALGYSESIQMIWKGRELMFHSTLSLVTGIDLSSNSLSGEIPTELTNLAGLLFLNLSRNHLSGRIPQEIGNLKWLEFLDLCMNQLSGPIPASIADLAFLSVLNLSNNNLSGRIPRGDQIQTLDDPSIYSGNAGLCGPPLSRGCSDGAGTEKEDGLDEEDSIIWFGLAMLLGFVFGFWVFWGCLILKRSWRFAYFLFVDRAFDMFFRKKNMRR >Ma05_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1542598:1544208:1 gene:Ma05_g02390 transcript:Ma05_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSPIRHHASHRTAAAAIAAATLRERHASSLINIPATLSSLLHLHHERKPDDHSVGFPPPAIGRNTPTVSPKEDIFSLFPEIHGHADWSPLLNPLHPWLRREIIKYGEFAQATYDAFDFNPLSEFCGSCLYGRHRLLDKLGLARNGYRVSKYVYAMSHVKLPRWLERSLHADAWSTESNWMGYVAVSDDAESRRIGCRDIVVAWRGTIAPTEWFKDVQGKLEPLGDARADVMVEHGFLGVYTSKSDRTRYNKTSASEQVMEEIERLVSHYRQRGEEVSLTITGHSLGGALALLNAHEAASTIPDLPISVISFGGPRVGNVAFGEKLKEMNVKVLRVVVKQDMVPKVPGILFNEGLKRFEHVTGTLESVYTHVGLELGLDVSSSPYLKHGLDVAGFHKLETYLHLVDGFLSSDKEFRRNARRDVALVNKTSGMLRDELHIPPRWAQAANKGMVRNAYGRWVKPAREPEDIPSPYREEAQL >Ma05_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6082485:6084931:1 gene:Ma05_g08190 transcript:Ma05_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGVMYSLRRKPGLSGASEPPAGVKHAGSYIRRRGNLPVLVVVVAIVVFAFVMYSESVKSLTEYSLARRKTEESLPVIFSSPVVEFRRQPASNRHHKADDPRRKKTRGTKKKQQRKEKKGSGKVPEVQELPITVDSTADAGVNVTVVQELPIAADNAAAAVTNVMAAKEADGGITTPSVTGEDPSVFMPDTCDLSRGDWVYDDVNYPLYREDQCEFLSTQVACLKNGRKEDMYQKWRWQPKDCSLPKFDARLLLERLRGKRLMFVGDSLNRNQWESMVCMVQSAIPPGKKGRKWDGSRIIFIAEEYNATVEFYWAPFLVESNSDDPHVHSILDRIIKADSIEKHAVHWKGVDYLVFNTYIWWMNTLEMKVLRPSARNWTEYDGIGRPQAYERVMRTWSRWLDENVDPRRTSVFFMSMSPLHSRSSDWGNPNGIKCSKETLPLTNVTGVHLGTDMRMFERAKKAAQSTSRVPIAFVDITTMSELRKDAHTSVHTTRQGAVMTAEQQANPAVYADCIHWCVPGLPDVWNQLLYAKILSAARSRSQ >Ma02_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14710734:14710898:-1 gene:Ma02_g03320 transcript:Ma02_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHAKNMTCAADPSPGWYLTLPQPCSVRRGAKRKLMSRCSMSIIITLPTSKSGY >Ma05_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9224203:9224827:1 gene:Ma05_g12790 transcript:Ma05_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDAFHHLLRMKKARYLKKWMYESSVRDRPKQHRLLLCPLVNSLFLSKTGDGAACLRTAEGEEGRGGGEERR >Ma11_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7472741:7474364:-1 gene:Ma11_g09280 transcript:Ma11_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARPLSDAFSPDLRNRAGKGALGDLQAMQDHLGLHAGLGLGKQPRRHHRQEIFNGATVSSDPRSELTCNASASRKRAREESMAATVNNISGVRLLESGSASTSGRQAPSSQPASPLARDLVSLLYQQNLEIDALVRLESERLRAGMGETCERRCRALVSGLEQQVVKRLMEKEAELECVNRRNAELEQQMRQVSEENKIWFAMAKNNEAVVCSLRTSLEQALLRSAAGEGGEGQEGYGDSEAAKLLVDDAQSCCFGVEERVQRRTVCRACGKKDVCVLLLPCKHLSLCKDCESKAHACPICGSSKEVSLQIFMC >Ma11_p09280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7472741:7474364:-1 gene:Ma11_g09280 transcript:Ma11_t09280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARPLSDAFSPDLRNRGRAGKGALGDLQAMQDHLGLHAGLGLGKQPRRHHRQEIFNGATVSSDPRSELTCNASASRKRAREESMAATVNNISGVRLLESGSASTSGRQAPSSQPASPLARDLVSLLYQQNLEIDALVRLESERLRAGMGETCERRCRALVSGLEQQVVKRLMEKEAELECVNRRNAELEQQMRQVSEENKIWFAMAKNNEAVVCSLRTSLEQALLRSAAGEGGEGQEGYGDSEAAKLLVDDAQSCCFGVEERVQRRTVCRACGKKDVCVLLLPCKHLSLCKDCESKAHACPICGSSKEVSLQIFMC >Ma10_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14413990:14415752:1 gene:Ma10_g03810 transcript:Ma10_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRRTRSKARKPKYVSLRRHLSSPPPPRPPPETADPSDMSSAAAPDDCVCRRHQLDLPPLHPEHLDREPNVACLLDDRGGGGEPTLAALLGVDVSSSSGSPSPASLASPSVNWEEDQGGDGDGLARRALRGRERWAYCRASSVPASSSEEEVASSAIGGDSGVDLWRCATPQALALKLDYEEILAAWSDRGPLYMDGEGPQVVPQLHHHAADPYDAGAFPVLVEVGCCSSNPMKVPEQRACDGDRTAEGSGLSREARVMRYKEKRRNRLFAKRIRYEVRKLNAEKRPRLKGRFVRRKDDDEEEEEDHL >Ma10_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23732649:23736079:1 gene:Ma10_g09650 transcript:Ma10_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANRAEATPVIGRSAPAPSDTRGKHRISAEVKRLEQESRSLEEELQQLEKTEKLSAGLQEFLLKVESIPDPLLPETTGPANTSWDRWFEGPQDTQGCRCWIL >Ma04_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2520553:2525003:1 gene:Ma04_g03240 transcript:Ma04_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFQFARSSFSPTLRFRLLAPLPRRVSTIPLRCCLRKDGGEPNGEEPPESLFMKELKRRGMNPTSLLEDSDGGTIGSLESEEEISNRADRRRGQTKRNDVAWAAFDKATSNQRERSMSLNSEGLEPLWCRSLLTRALDTHLSTSALKCPTLLALTLTPTLVSTTLMRVSPSAPPCSNPSFGASGLIPRAKLLLTIGGTFFLGFWPLILITVGFILCLYICLGPSFLPDASKVPVSPPPYIDPYTLLEDERISQVASHVI >Ma04_p03240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2520553:2525028:1 gene:Ma04_g03240 transcript:Ma04_t03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFQFARSSFSPTLRFRLLAPLPRRVSTIPLRCCLRKDGGEPNGEEPPESLFMKELKRRGMNPTSLLEDSDGGTIGSLESEEEISNRADRRRGQTKRNDVAWAAFDKATSNQRERSMSLNSEGLEGLIPRAKLLLTIGGTFFLGFWPLILITVGFILCLYICLGPSFLPDASKVPVSPPPYIDPYTLLEDERISQVASHVI >Ma11_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22540686:22541481:-1 gene:Ma11_g17160 transcript:Ma11_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGRASLAAAAASIGAVEALKDQAGLCRWNYALRSLQQRAKSGMGSLSQSRRAASSSSIDGRGRWQGGDEKARQSEEALRTVMYLSCWGPN >Ma00_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31199340:31200603:-1 gene:Ma00_g03780 transcript:Ma00_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGNWGHPVIGSTMVTRRTKSLSRRRRRPESDGSYADRRVLRLLPGSPPSPRSLSVVSTSSRSSASKTTSSWRSSPPTRSASIPRRRRTRRIPGFDSRNCYADEHYLPTLLSMVDPIGSANWSVMHVDWSEGKWHPKAY >Ma09_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2480875:2484428:1 gene:Ma09_g03740 transcript:Ma09_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAMEAEPETAFPPPRHTTSSPPSPVAVVDGSAGGSEPAAAGDGSSVDVAVLDGPASCGGDGAVAAMEDRVKGPWSPEEDVMLSRLVDKFGARNWSLIARGVPGRSGKSCRLRWCNQLDPYVKRRPFTEEEDRIITSAHAIHGNKWASIARLLQGRTDNAIKNHWNSTLRRTCIEAKQYKTISCDSSGDAAGIERAKGSSDESLSFSNVNSFKSMEVKDPSLRENASENSGEVVVIRDEPLVPESRNPPNLFRPVAKGSAFSPYNSTPRRLSGPEASGRGLLGGPLYEAFKPGGGICKFLNNVSCEPQVPQRCGHRCCIIQDGSHSSSSSLGPEFIEFVEPPPIPSHELASVGSEIGSIAWLKSGLNSSCTSIYATPPGQVDSCGVHI >Ma07_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7227978:7230399:1 gene:Ma07_g09640 transcript:Ma07_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRSRPIQKDQSKGFLMSHPASGSCFPSDGVAKRTSCASFLNVHGHLVGFSNKKGLSESATVWGHTSPLDKVLSSITRSTTGIIDGRLRCWDSSRVGLGLVDTLNDEAKPCVKVLGSSESRNIVFGSQMRLNFSTPKSHQVGPRDDPLGAAPQIGSSKPRSVCSEMAIELKGSKLVHEEYGLLRSCSVDTGGFSLLLTKSIGNNCKSNSEVLRSESMDILDSPPLAKEDTNFDKISVSLPISFGSSHRFIGSLSASEIEQSEDYTCIISHGPNPRMTHIFGDCILESHSIESPKIKNKHRKEDEGSTWLSEPSEEMLSCFLNDSLSFCFSCRTKVDDDGKDICMHRGKKALCGCECCRNGTLLEEKEKTRIISSGSPGSSFHEETFLE >Ma07_p09640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7227752:7230399:1 gene:Ma07_g09640 transcript:Ma07_t09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRSRPIQKDQSKGFLMSHPASGSCFPSDGVAKRTSCASFLNVHGHLVGFSNKKGLSESATVWGHTSPLDKVLSSITRSTTGIIDGRLRCWDSSRVGLGLVDTLNDEAKPCVKVLGSSESRNIVFGSQMRLNFSTPKSHQVGPRDDPLGAAPQIGSSKPRSVCSEMAIELKGSKLVHEEYGLLRSCSVDTGGFSLLLTKSIGNNCKSNSEVLRSESMDILDSPPLAKEDTNFDKISVSLPISFGSSHRFIGSLSASEIEQSEDYTCIISHGPNPRMTHIFGDCILESHSIESPKIKNKHRKEDEGSTWLSEPSEEMLSCFLNDSLSFCFSCRTKVDDDGKDICMHRGKKALCGCECCRNGTLLEEKEKTRIISSGSPGSSFHEETFLE >Ma07_p09640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7227752:7230399:1 gene:Ma07_g09640 transcript:Ma07_t09640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRSRPIQKDQSKGFLMSHPASGSCFPSDGVAKRTSCASFLNVHGHLVGFSNKKGLSESATVWGHTSPLDKVLSSITRSTTGIIDGRLRCWDSSRVGLGLVDTLNDEAKPCVKVLGSSESRNIVFGSQMRLNFSTPKSHQVGPRDDPLGAAPQIGSSKPRSVCSEMAIELKGSKLVHEEYGLLRSCSVDTGGFSLLLTKSIGNNCKSNSEVLRSESMDILDSPPLAKEDTNFDKISVSLPISFGSSHRFIGSLSASEIEQSEDYTCIISHGPNPRMTHIFGDCILESHSIESPKIKNKHRKEDEGSTWLSEPSEEMLSCFLNDSLSFCFSCRTKVDDDGKDICMHRGKKALCGCECCRNGTLLEEKEKTRIISSGSPGSSFHEETFLE >Ma07_p09640.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7227752:7230399:1 gene:Ma07_g09640 transcript:Ma07_t09640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRSRPIQKDQSKGFLMSHPASGSCFPSDGVAKRTSCASFLNVHGHLVGFSNKKGLSESATVWGHTSPLDKVLSSITRSTTGIIDGRLRCWDSSRVGLGLVDTLNDEAKPCVKVLGSSESRNIVFGSQMRLNFSTPKSHQVGPRDDPLGAAPQIGSSKPRSVCSEMAIELKGSKLVHEEYGLLRSCSVDTGGFSLLLTKSIGNNCKSNSEVLRSESMDILDSPPLAKEDTNFDKISVSLPISFGSSHRFIGSLSASEIEQSEDYTCIISHGPNPRMTHIFGDCILESHSIESPKIKNKHRKEDEGSTWLSEPSEEMLSCFLNDSLSFCFSCRTKVDDDGKDICMHRGKKALCGCECCRNGTLLEEKEKTRIISSGSPGSSFHEETFLE >Ma07_p09640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7228361:7230399:1 gene:Ma07_g09640 transcript:Ma07_t09640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRSRPIQKDQSKGFLMSHPASGSCFPSDGVAKRTSCASFLNVHGHLVGFSNKKGLSESATVWGHTSPLDKVLSSITRSTTGIIDGRLRCWDSSRVGLGLVDTLNDEAKPCVKVLGSSESRNIVFGSQMRLNFSTPKSHQVGPRDDPLGAAPQIGSSKPRSVCSEMAIELKGSKLVHEEYGLLRSCSVDTGGFSLLLTKSIGNNCKSNSEVLRSESMDILDSPPLAKEDTNFDKISVSLPISFGSSHRFIGSLSASEIEQSEDYTCIISHGPNPRMTHIFGDCILESHSIESPKIKNKHRKEDEGSTWLSEPSEEMLSCFLNDSLSFCFSCRTKVDDDGKDICMHRGKKALCGCECCRNGTLLEEKEKTRIISSGSPGSSFHEETFLE >Ma02_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28324746:28326451:-1 gene:Ma02_g23230 transcript:Ma02_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRGGGSCPRRRITMVYGNTQRVMVMKTKDFL >Ma06_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4589616:4592874:1 gene:Ma06_g06290 transcript:Ma06_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPMISFILFFLLSSPSFLASCGSEAVDPRPRALPSFKIQEIKEEIGSACSYTVKIKTSCSSGRVTSDRISLAFGDAYRNEVYAPRLDDPSSAVFERCSTDTFKIQGPCGYGICYLYLRRDGYDGWTPEWVKVYEPRATRAITFYYGTPLPNGIWYGFNQCPKSSSSDRMMQI >Ma08_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:116488:119529:-1 gene:Ma08_g00130 transcript:Ma08_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT2G38760) UniProtKB/TrEMBL;Acc:A0A178W040] MPQLSYKEVGWKPKVSHLFAPAEEGIMASITVPNPLPSPEQDAQNLRKAFQGWGTDEQAIIGILCHRKAAQRSAISETYDRLYNESLLQRLHSELSGDFRGAVMLWTADPAERDAKLAHKALKKKDDRHVWVIIEVACASCSDHLMAVRKAYHSLFLSSIEEDVAFKFSETNPLRQLLVQLVSSYRYDGEHVDEEIAKSEAAELHDAIRKSQPCHAEVIRILSTRNKVQLKETFKHYEQNFGKAIDEDISNQGSSQLFSMLKAIVWCLTSPERHFAEVIRSSILGLGTDEASLTRSIVSRAEIDMKKIKEEYKKRYRATLNDDVIGDTSGYYKSFLLALVGSADS >Ma04_p32070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32204947:32205768:1 gene:Ma04_g32070 transcript:Ma04_t32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVYRCAGCGADLNLSAAHLYPADAYFEAGNKGTLSFSWIDDARFRFAKEDRIMPFFETVNYWGIQRRRTRILCDACGRLLGHIYDDGPPMMRGHGQFGFGPSQAIPRAPRYRFKIKALNIS >Ma10_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32498391:32499449:-1 gene:Ma10_g23700 transcript:Ma10_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGLTDDAARECLTRVPYSAFPTLFSVCKLWRQELRDPTFHRFRKSTGIAQPVVVMVQPYCTYDNCPGLMVHRLVIFEPATGVWSSLPPCPNLTHGLPHICRLAAVGTELVVVGGWKIDTCLTTDEVHVYDFVSGEWRRGSPLPWPLRSSFACAATHDSDKGCRTVYVAGGIDRSANPLRSALAYEVGGDSWKPLPDMARKPFNCLGVILRGKFHVLHRHCSEAFDPAAGSWGPVEEFVPPGEQYPTICVTGVDGRIYRCMGREVMVQLDGAVWATVAKLPSEMRVAMTAVAWEGKLMVLGLDMRNGAFVAKILDLKATMTMTTPASASWRNVEVPPEYQWHVEVACCLVI >Ma08_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5482112:5492113:-1 gene:Ma08_g07900 transcript:Ma08_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALSRALRRPSLLYASRYAMNINVQVIKGNRHSSYRRFSIFNEFSKQLKGEAKSNTEFQQSMKDLNEKIGVVKEDIKVRTQKTTEKLYRTVDDVWTEAETVSKKVSENVREQLSAAKEEVKDTLGLGKQEPSTTSNSSSTSSPNDGSTPTSEAEKFQNFKANSNEESSGETETLFGKFKSTVSSTSPTVSQAFRKLTEAKITNLARKGYEIVKDELSSNQTRKKRMQYASASVSSEPRSTRTDIVVVPTKKSILGEKWEAFKKKMHDHPIFKHVSGYSQPVVTMGQELAEDMRERWETSDSPVVHKIQDLNETVFGETATALSFKEIRRRDPSFSLPDFVAEVQEMIRPVLTAYFKCDVETLKKYCSPEVIERCKGERMAYESQAMFFDNKILHISEVDVRETKMMGSSPIIIVAFQTQQIYCVRDREGSITAGGKDTIQTVYYAWAMQQMDAEELGEGAYYPQWRLREMQQLGVQSLI >Ma08_p07900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5482112:5492108:-1 gene:Ma08_g07900 transcript:Ma08_t07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALSRALRRPSLLYASRYAMNINVQVIKGNRHSSYRRFSIFNEFSKQLKGEAKSNTEFQQSMKDLNEKIGVVKEDIKVRTQKTTEKLYRTVDDVWTEAETVSKKVSENVREQLSAAKEEVKDTLGLGKQEPSTTSNSSSTSSPNDGSTPTSEAEKFQNFKANSNEESSGETETLFGKFKSTVSSTSPTVSQAFRKLTEAKITNLARKGYEIVKDELSSNQTRKKRMQYASASVSSEPRSTRTDIVVVPTKKSILGEKWEAFKKKMHDHPIFKHVSGYSQPVVTMGQEVAEDMRERWETSDSPVVHKIQDLNETVFGETATALSFKEIRRRDPSFSLPDFVAEVQEMIRPVLTAYFKCDVETLKKYCSPEVIERCKGERMAYESQAMFFDNKILHISEVDVRETKMMGSSPIIIVAFQTQQIYCVRDREGSITAGGKDTIQTVYYAWAMQQMDAEELGEGAYYPQWRLREMQQLGVQSLI >Ma07_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26275808:26321899:1 gene:Ma07_g18560 transcript:Ma07_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVISQSHVPGDEVVIRKSASYHPTVWGDYFILRAQSSPSTQACISRMQERATELMEQVRSMFKDTTDILQTMDLVDSIQLLGLSYHFEKEISEALNRVHDADFNDHALYDTALRFQLLRQQGYHVTPDVFNKFKDEGGSFMRTLGSDVKGLLSLYNEAHLGTHREIILDEAISFTRNHLLSALGDLKPPLTIQVSLALETPLYRRMRRLLARDYIFIYQEDAARNDVILELAKLDFNTLQSLHREELKNISLWWNEIAPSNSLDFSRDRLVECYYWTLNIYFEPHYSRARMITAKVFALITIMDDIYDVYSTLEESRQFTEAIQRWDAKAVHQLPEYMKDYFLKLIHAFEEFETLLALSGKYRLYYLKESMKAVSKAYFEESKWSAQHYVPTLEEHLQVSLISAANPVLECASFVGMGEIATEEVFKWITSFPKIVQASGIISRIMNDITSHELEQTREHVASTVQCYMKEFGTDVHVACKKLQGLVDDAWKKINEECLNPTAFSFALLERIINYSRMAENIYKHIDGYTNSSMKIKEYISLLLVYPIPL >Ma07_p17390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17352389:17367388:-1 gene:Ma07_g17390 transcript:Ma07_t17390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMGKRKRSKSDPFPASLSKRLRRQLQPDTSEGDPAAAAAPASSSPSLVMVSELPADCTVLELKSRLEMFGPISRTRIDVDGRGYVTFRSDHAAEAAVAASLDPTFGLSVRSKKVLVVRASDPLPIKTGVKISLPSQLLRAEIPLSRLGRSKKLNPGMSTESTKNRSKSPHASREIIAYHDLF >Ma07_p17390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17366484:17367388:-1 gene:Ma07_g17390 transcript:Ma07_t17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMGKRKRSKSDPFPASLSKRLRRQLQPDTSEGDPAAAAAPASSSPSLVMVSELPADCTVLELKSRLEMFGPISRTRIDVDGRGYVTFRSDHAAEAAVAASLDPTFGLSVRSKKNSSGGQLSVALIP >Ma07_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17366484:17367388:-1 gene:Ma07_g17390 transcript:Ma07_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMGKRKRSKSDPFPASLSKRLRRQLQPDTSEGDPAAAAAPASSSPSLVMVSELPADCTVLELKSRLEMFGPISRTRIDVDGRGYVTFRSDHAAEAAVAASLDPTFGLSVRSKKGDS >Ma10_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25024016:25028485:1 gene:Ma10_g11520 transcript:Ma10_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATVLHRNSHRMAVILVYAILEWALILFLLLNGLFGYLIARFAAFFGLKAPCIFCSRVDHLFEKDEGRRRHAYRDLLCDEHAAEVTKLGYCAYHRRLAEAGEMCEDCCFSTRPVEAAVLSWMKRSEEGEKDLRCSCCDVVLESGFYSPYLLFKPSWGAVEYDHKGNLVDEVAVGDRELFREDAVLCREKVERGELVSPDQVDGFHDDPDDDKEEEEDNIEVKINGEEATDAIISKRELEELETLIDFSVACPLVEDASLEVLTRYLENVYDEDRLIPVELIDSATMTKSHAACVFGKQDQIEFRHLQGEEVGRDESKAVAFTEERPNFIEKNSGNEKVEDDGRSFDKGSITGVEKGLVSATEMADIVEANPSGKVEVENKAMVVDVGCIMEEEKLSSLAVGSADVVKENSSEDVAVELQSDALEYTVFVTEEENMLASAEERADTTEETSSEMNEAPQCSMADTVEDNSSEMDGSQQNAMTLHIGITSAEGDASSLSNTERGEILEQNSVVLPSSENIGECSSVHQFVAALATPIITSPDGGGVQVESLMGEEDLPGTQAYEEDNKLIDVETNCEISIGSEICDREHIDHAHLHEPILLSESTHEEPSESYNDIIDINREMPVAKSEPIVTTAQCPDHIAGFQEQNEIEEERQPETPTSSDGINNLHKRFLFGRRESGTESLDGSVAGEFEGCDTLTGDQLKAALKAERKTLSALYAELEEERSAAAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMTKREKEKQDLEKELEVYRKKVLRHEAKERRQMAQNKVDGKGRASSASSSAEDSDDLLFEFQQGDEFACSPDESNQNTPTDAVLSSGAEQGTEKHLITLNESLADFEEERLSILEQLRALEKKLLISDDEDYQNLDAVGNISDANGHVSNGNCEPLIDDLHDDVNGFSEELEANRKHHSEQRNIVKGKRLLPLFDAIDNENEDDICIKEEAIDDSPETILNVAEEQKQLAIIEEVDNIYERLQALEADREFLKHCISSLKKGDKGIHLLQEILEHLHDLRSVELRARNSCDYFPSFAA >Ma05_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26575674:26577795:1 gene:Ma05_g19160 transcript:Ma05_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLKSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCVLAARSLFFRKFFCGAEPSSPSGLLLLNPHDRHSPRSPTGGASMSSSSSPRGSACTAGSVIPVNYVSYEVFLLMLQFMYSGQVPLVPQKHEPRPSCSDRGCWHTHCTAAVDLTLDTLAAARSFGIEQLALLTQKQLASMVEKATIEDVMKVLIASRKQDMNQLWITCSHLVAKSGIPPEVLAKHLPIDIVAKIEELRLKSSLTGRSFITHHHSLEAAGASTELEDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCTREVVKALLELGATDVNFRAGPAGKTPLHIAAKMVCPDMVAVLLDHHADPNIRTFDGVTPLDILRNLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVMSREDAKSGGGGNHPHSAIYPPMNQQESNTCNANHTSSSMVNLSLDSRMVYLNLGMAERLGCKMSDGAGDSSSSRSLGGGGVGNIGLSSMFSSHGFP >Ma03_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23945756:23946927:1 gene:Ma03_g18410 transcript:Ma03_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKSAGGSDEGRRACLRGHWRPGEDEKLRQLVEQFGPQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINKRPFTDEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVVMARRRRERSRLFNMTTSFSVPHKGTATTTQHPQSHTRKLLGIGSGTSMLPWEFSTFGSSKLRSWSFHPYHLTYRSLAGDANCDRVTPRSVKLSSLGHGYGDEAPNSSETNPSNEHGGDDDGSLQRDGVPFIDFLGVGITS >Ma04_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17860204:17891646:-1 gene:Ma04_g17520 transcript:Ma04_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MGAMTVDRADGRSVNQLRPLACSRNILHRAHGSARWSQGDTIVLAAVYGPKAGTKKGENPEKASIEVIWKPKTGQIGKLEKEYEMILKRTLQSICLMTIHPNTTTSIIVQVFGDDGALLPCAINASCAALVDAGIPLKHLAVAICCGLTEGGSAILDPTKAEEQKIQAFAYLVFPNSPLSILPSAPSAEVDGPIENGIITSVTHGVMPVVDYFNCLERGRAACAKISEFHRRSFESQVPGDLTKAT >Ma07_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3530742:3542066:1 gene:Ma07_g04820 transcript:Ma07_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMDVNSWQEMMRKILPPGTPIPEAPANLDYSIAIEYDGPPVSYELPRVDPVDLIPTAEPALSRRRSASGTLSPGLDPIPLPVSRIAHCADHPSRSPQDSGSSESVDSVLQNEEFSDASHSVSPVSAHSLPNEQPSQLVNEGRRASVVTFEENSENKKLYHDFSGSPQYVGVTRKDKRKKVCYRCGKRKWESKEVCLVCDSRYCSYCVLRAMGSMPEGRKCVGCIGQPIDESKRSKLGKSSRTLSRLLSPLEVRQILKAEKECPTNQLRPDQLVVNGLPLRPEEMAELLSCPIPPQKLKPGSYWYDKESGLWGKEGEKPDRIISSNLNFTGKLQSDASKGNTLVYINGREITKVELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGKIWESTITRLACSLFSLPVPHPVPNGLKNEAPYVPRTIPDYLEQRRIQKLLLLGPQWSGTSTIFKQAKFLYGKKFSQEELENIKLMIQSNMYKYLSILLEGRERFEEEALALVKPKGTGSYDQHLTQGFPGQDENGAQQINQCVYSINGRLKQFSDWLLDIVAMGDLDAFFPAATREYAPLVDEMWREPAIQETYKRRNELHFLPDIAEYFLSRAVEVSSNEYEPSEKDILYAEGVTQGNGLAFIEFSLDDHSPMSELYNDNPDTHSQPLTKYQLIRVSVKGMNEGCKWVEMFEDVRLVIFCVALSDYDQLAAPVNGSNKPLQNKMVQSKELFEATIRQPCFRDTPFVLVLNKYDLFEEKIDRVPLSACEWLSEFSPVRIHHNNQSLAQQAYYYIAMKFKELYFSFTNRKLFVWQGRGRDRPTVDEAFKYIREVLKWADEKEETYYLEDSFYSTTEVSASPFIRHE >Ma07_p04820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3530742:3542066:1 gene:Ma07_g04820 transcript:Ma07_t04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMDVNSWQEMMRKILPPGTPIPEAPANLDYSIAIEYDGPPVSYELPRVDPVDLIPTAEPALSRRRSASGTLSPGLDPIPLPVSRIAHCADHPSRSPQDSGSSESVDSVLQNEEFSDASHSVSPVSAHSLPNEQPSQLVNEGRRASVVTFEENSENKKLYHDFSGSPQYVGVTRKDKRKKVCYRCGKRKWESKEVCLVCDSRYCSYCVLRAMGSMPEGRKCVGCIGQPIDESKRSKLGKSSRTLSRLLSPLEVRQILKAEKECPTNQLRPDQLVVNGLPLRPEEMAELLSCPIPPQKLKPGSYWYDKESGLWGKEGEKPDRIISSNLNFTGKLQSDASKGNTLVYINGREITKVELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGKIWESTITRLACSLFSLPVPHPVPNGLKNEAPYVPRTIPDYLEQRRIQKLLLLGPQWSGTSTIFKQAKFLYGKKFSQEELENIKLMIQSNMYKYLSILLEGRERFEEEALALVKPKGTGSYDQHLTQGFPGQDENGAQQINQCVYSINGRLKQFSDWLLDIVAMGDLDAFFPAATREYAPLVDEMWREPAIQETYKRRNELHFLPDIAEYFLSRAVEVSSNEYEPSEKDILYAEGVTQGNGLAFIEFSLDDHSPMSELYNDNPDTHSQPLTKYQLIRVSVKGMNEGCKWVEMFEDVRLVIFCVALSDYDQLAAPVNGSNKPLQNKMVQSKELFEATIRQPCFRDTPFVLVLNKYDLFEEKIDRVPLSACEWLSEFSPVRIHHNNQSLAQQAYYYIAMKFKELYFSFTNRKLFVWQGRGRDRPTVDEAFKYIREVLKWADEKEETYYLEDSFYSTTEVSASPFIRHE >Ma03_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1943481:1947696:-1 gene:Ma03_g02880 transcript:Ma03_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVEVGALAEAVGNSLSPNSPVAQWSESAAATKLQTVYRSYRTRRRLADSAVVAEELWWQVMDFARLNHSTVSFFDHMKQETPVSRWNRVRLNASKARSSKDAKALELAFQHWIEAIDPRHRYGHNLHFYYDEWCKSKAGQPFFYWLDIGDGRELDLKDCPRSILCTQYVKYLSPQERGHYEYVLMDGKVVHRHSGVLLDTTSATKGAKWIFVMSTTKILYAGQKKKGIFHHSSFLAGGATIAAGRFTAEKGILKCIWAYSGHYRPTEDNFNYFLSFLEQNGVNLSETQILSSSNEDYYDDTNPTQLEKVIEAMEVSKTPRPLLPTEITATEPSRKTRSYQLGHQLSNKWCSGAGPRIGCVADYPLQVRLQALRVCKSFS >Ma09_p25570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36987854:36990667:1 gene:Ma09_g25570 transcript:Ma09_t25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGGGGHGVHHGGVEDFRNKVWSMTGGPYCRPKHWKRNTAIAMFGAFLVCIPIAMKSAELEQRPHYPVRPIPSQLWCKNFGKKEY >Ma04_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8275503:8286417:-1 gene:Ma04_g11680 transcript:Ma04_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFLSPAANVCGESSSYVSGSHIYTWNGLLGAEQRRVLSSGVSRVWLKHFGQSTRRNGHRFCVVARIKKGQKNEYPWPEDIDPNLKSGHLSYLSRFKPLMEKPKPVTLEFEKPLVELQKKIIDVRKMADETGLDFTDQINLLESKYQQALKDLYTHLTPIQRLSIARHPNRPTFLDHVLNITDKWVELHGDRAGYDDPAIVTGLGTIDGNTYAFIGHQKGRNTKENIHRNFGMPTPHGYRKALRMMRYADHHRFPIITFIDTPGAFADLKSEELGQGEAIAVNLRTMFDLKVPIVTIVIGEGGSGGALAIGCANKMYMLENSVFYVASPEACAAILWKSSQEAPEAAEQLKITSTELCKLKIADGIIPEPLGGAHTDPTWTSQQIKVKLVKAMQELAAMDKETLLSHRHMKFRQIGGYLEGKPLPPTKNFNMKKKEVNLSKVKADIVMEIENLKKSVVEAKGKYPMPTISDKIEKLRKEIDKEMTKAFISMGLQRRVKALKMELSKSPSTPDGTLSPTLQEKADRLVNKFKHNLSRRGSYMGLKKKLVLLFKINRLQDLKARGEKLKEQINEKLDEKLKEKVKGKMEVLRKARDKVAKGEQLDDDQIKEVESAKEELAEMLKSVNLEVVGSVKKIGPTSPPGLGEKLAKADEVINKEIEKAVDMAGIRGKIEELKKQIASGPSDEKVEELEREIREQIAAVMDLTGLKKKVESVVGLPAQETVDAEGSPVDLTVQETVSAGNSPE >Ma04_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24465175:24465651:-1 gene:Ma04_g22070 transcript:Ma04_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESRRGHGLRKCFCLGSPRVTAEEAVEELTRGMVISTEEGDGVVRLKIVMSKQELKVMVASLSGERSDAGGGRGRTLLPPPPSLEQLLHALRRRHMKRAESGKRRNGGWMPALQSIPEEN >Ma08_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6087812:6088341:-1 gene:Ma08_g08600 transcript:Ma08_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGQVFLYAMMHLQKVSLVGVLSFLHSLLSYGTFWRRLVNVLCFSVSCQGY >Ma03_p26240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30044423:30047276:1 gene:Ma03_g26240 transcript:Ma03_t26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKIMMAK >Ma03_p27050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30526742:30528704:1 gene:Ma03_g27050 transcript:Ma03_t27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKLLSRKAACNVHGQDSSYFLGWQEYEKNPYDPITNPGGMIQMGLAENQLCFDLIESWLHTHPDAAGFKKDGALIFRELALFQDYHGLPAFKSALAKFMGEVRGNRVTFEPCKLVLTAGATSANETLMFCLAEPGEAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFRVTKVALEAAHRHAQKRGLRVKGVLVTNPSNPLGTTMTRQELDTLIDFVVAKDIHLISDEIYSGTSFDSPEFVSIAEAIKDRDDVAHRVHIVCSLSKGLGLPGFRVGAIYSGNDAVVSAATKMSSFGLISSQTQYLLAALLSDDEFTKKYILENRRRIKERHALLVQGLRRIGIRCLESNAGLFCWVDMRHLLKSDTFKGEMELWRKIVYQVGLNISPGSSCHCDEPGWFRVCFANMSEDTLNLSMQRLKNFVDSGEHRRTHDSGHRSPRRQFLTA >Ma08_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5813667:5817236:-1 gene:Ma08_g08350 transcript:Ma08_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSGEVVEVPAELVAAGSRTPSPKTRASELINRFLGSFAPAVSIQIGDLGHLAYSHANQSPFAPRLFAAKDEIYCLFKGVLTNLGSLRQQYGLSKSADEVVLVIEAYKALRDRAPYPPSFMLAHLTGNFAFVLFDKSTSSILVASDPDGRVPLFWGITADGCLAFADDLDLLKGSCGKSLAPFPEGCYYSNALGGLKSYENPKHKVTAVLEDEEEVCGATFKVEGSAVLAATH >Ma11_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6915612:6920893:1 gene:Ma11_g08690 transcript:Ma11_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSTSVVRYVDRAYDLLSSLSTAEALSRVAAVCEVVRVGVLVPLLKVAVVLCLTMSVMLVIEKLSMAIVALYVKVFRRTPEKIYKWAPLSQDLELGSLSHPMVLVQIPMFNEREVYQISIGAVCKLAWPHDRLIIQVLDDSTDLSIRELVQEECQKWSNKGRRIHYISRDNRNGYKAGAMKEAMDLDYVKKCDYVAIFDADHEPPTDFLLRTIPFLMHNPDVALVQARWKFVNANECIMTRIQEMSLNYHFKMEQQSGSSTMAFFGFNGTAGVWRIQAINEAEGWKERTTVEDMDLAVRASLQGWKFVYLGDLKVKSELPSSYKAYRYQQHRWSCGPANLFKKMTIDIIMAKKVSLLKKLFLLYNFFFARRIISHNVTFFFYCIIIPFSSFFPEVIIPKWGVFYIPTVITILNSIGTPRSLHLIIIWIFFENVMSLHRCKAVFIGLFEAGRVNEWVVTEKLGKALKTKQVSTAAKRSSNKFWQRFLFLELGMAVALLICACYNFIYRSNQYFIFIFPLSLSFFLMGFGFVGTHIPAAK >Ma04_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7129670:7137398:-1 gene:Ma04_g09970 transcript:Ma04_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGDGKTAAAAGDGGEKKRQEQSAAFHELFSFADRWDCLLMAAGSVGAVVHGSAMPVFFLLFGDLVNGFGKNQTHLSVMTHEVSKYALYFVYLGLVVCLSSYAEIGCWMYTGERQASALRRRYLEAVLRQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFISAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIVAEQTIAQVRTVYSFVGENKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLLEIIRQKPSIIQDQSDGKCLEEVHGNIEFKDVTFSYPSRPDVIIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNQGLVLLDNVDIKTLQLKWLREQIGLVNQEPALFATTILENILYGKPDATIGEVEAAASAANAHSFISQLPSAYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDMIAVIQQGQVVETGAHEDLLAKGSSGAYASLIRFQEMARNRDFGGPSTRRSRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMVSNADNVRKYPAPRGYFFKLLKLNAPEWPYTIMGAIGSVLSGFIGPTFAIVMSNMIEVFYYRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEENNSSLVAARLANDAADVKSAIAERISVILQNMTSLLTSFIVGFVVEWRVALLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQAKILSLFCNELRIPQRRSFRRSQTSGILYGLSQLSLYASEALILWYGAHLVSSGASTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSVFAILNRGTRVEPDDPEAEHVDSVRGEIELRHVDFAYPSRPDVPIFKDFNLRIRAGQSHALVGASGSGKSTVIALIERFYDPTAGKVLIDGKDIKRLNLKSLRLKIGLVQQEPVLFAASIMENIAYGKDGATEEEVIEAARAANVHGFVSALPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDSIGVVQEGRIVEQGSHSELVARPDGAYSRLLQLQHYHV >Ma04_p39320.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36503376:36510219:1 gene:Ma04_g39320 transcript:Ma04_t39320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTNHKRVESGGSIFRRLICKQATEDASSNEDKVPCNTPRRSVGSRTDSSFEGMASGSSVNGDNLMSSGRYVRDHGSLLSLQPWIFRKGNYSTDEETGKANGKCSEKCRYDMDGFMYNSSAEFSPLSVSLGHSCGRGRSSLRTRRPRQHSIKPLSSLENCLVPQIYSENFEFEEFVFSSFPSPAAPALRPFVITDGSKIISKSSFGDMDIPFGSGIQERNMKRVMGVSSLPEPRTPKRKSRETPNEKLESFNSQRSCRGCHQKGSLDGMHIFSVGVSLGFVSAILSNRKEIEKLNNMLKSSENLVQDLEEELEMKESIIVKELADEACGCQEPSDIIAEIETTESSRIQVSSSYFLTQNNEHNLLLLPKEDSRSKIEAELEIELERLELNIASSLNGEMSTFNEIDPDLIADVVHGDLKADLLPGGASEEYADNASDSKSASTNYTNNFNYAVSPRELSLRLYEVIQHRLEERIKELEIELQKTQKQLQLVESEHVSRRAFSSSDMGSSSNQDSPMDLTADTAFSRPFCLNLAGDALDAYDEAYEEFMRVATTEEKLPSTTNSDNELQYGLPSSDRSLIWGMEGPKYRGSEPTWEQNLKKREPDVTHEIYTAYEDDDDDEMKTLIEQIMERTRQGSTIVVNAQRMLFSMDD >Ma04_p39320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36503376:36510219:1 gene:Ma04_g39320 transcript:Ma04_t39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTNHKRVESGGSIFRRLICKQATEDASSNEDKVPCNTPRRSVGSRTDSSFEGMASGSSVNGDNLMSSGRYVRDHGSLLSLQPWIFRKGNYSTDEETGKANGKCSEKCRYDMDGFMYNSSAEFSPLSVSLGHSCGRGRSSLRTRRPRQHSIKPLSSLENCLVPQIYSENFEFEEFVFSSFPSPAAPALRPFVITDGSKIISKSSFGDMDIPFGSGIQERNMKRVMGVSSLPEPRTPKRKSRETPNEKLESFNSQRSCRGCHQKGSLDGMHIFSVGVSLGFVSAILSNRKEIEKLNNMLKSSENLVQDLEEELEMKESIIVKELADEACGCQEPSDIIAEIETTESSRIQVSSSYFLTQNNEHNLLLLPKEDSRSKIEAELEIELERLELNIASSLNGEMSTFNEIDPDLIADVVHGDLKADLLPGGASEEYADNASDSKSASTNYTNNFNYAVSPRELSLRLYEVIQHRLEERIKELEIELQKTQKQLQLVESEHVSRRAFSSSDMGSSSNQDSPMDLTADTAFSRPFCLNLAGDALDAYDEAYEEFMRVATTEEKLPSTTNSDNELQYGLPSSDRSLIWGMEGPKYRGSEPTWEQNLKKREPDVTHEIYTAYEDDDDDEMKTLIEQIMERTRQGSTIVVNAQRMLFSMDD >Ma04_p39320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36503376:36510219:1 gene:Ma04_g39320 transcript:Ma04_t39320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTNHKRVESGGSIFRRLICKQATEDASSNEDKVPCNTPRRSVGSRTDSSFEGMASGSSVNGDNLMSSGRYVRDHGSLLSLQPWIFRKGNYSTDEETGKANGKCSEKCRYDMDGFMYNSSAEFSPLSVSLGHSCGRGRSSLRTRRPRQHSIKPLSSLENCLVPQIYSENFEFEEFVFSSFPSPAAPALRPFVITDGSKIISKSSFGDMDIPFGSGIQERNMKRVMGVSSLPEPRTPKRKSRETPNEKLESFNSQRSCRGCHQKGSLDGMHIFSVGVSLGFVSAILSNRKEIEKLNNMLKSSENLVQDLEEELEMKESIIVKELADEACGCQEPSDIIAEIETTESSRIQVSSSYFLTQNNEHNLLLLPKEDSRSKIEAELEIELERLELNIASSLNGEMSTFNEIDPDLIADVVHGDLKADLLPGGASEEYADNASDSKSASTNYTNNFNYAVSPRELSLRLYEVIQHRLEERIKELEIELQKTQKQLQLVESEHVSRRAFSSSDMGSSSNQDSPMDLTADTAFSRPFCLNLAGDALDAYDEAYEEFMRVATTEEKLPSTTNSDNELQYGLPSSDRSLIWGMEGPKYRGSEPTWEQNLKKREPDVTHEIYTAYEDDDDDEMKTLIEQIMERTRQGSTIVVNAQRMLFSMDD >Ma04_p39320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36503376:36510219:1 gene:Ma04_g39320 transcript:Ma04_t39320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTNHKRVESGGSIFRRLICKQATEDASSNEDKVPCNTPRRSVGSRTDSSFEGMASGSSVNGDNLMSSGRYVRDHGSLLSLQPWIFRKGNYSTDEETGKANGKCSEKCRYDMDGFMYNSSAEFSPLSVSLGHSCGRGRSSLRTRRPRQHSIKPLSSLENCLVPQIYSENFEFEEFVFSSFPSPAAPALRPFVITDGSKIISKSSFGDMDIPFGSGIQERNMKRVMGVSSLPEPRTPKRKSRETPNEKLESFNSQRSCRGCHQKGSLDGMHIFSVGVSLGFVSAILSNRKEIEKLNNMLKSSENLVQDLEEELEMKESIIVKELADEACGCQEPSDIIAEIETTESSRIQVSSSYFLTQNNEHNLLLLPKEDSRSKIEAELEIELERLELNIASSLNGEMSTFNEIDPDLIADVVHGDLKADLLPGGASEEYADNASDSKSASTNYTNNFNYAVSPRELSLRLYEVIQHRLEERIKELEIELQKTQKQLQLVESEHVSRRAFSSSDMGSSSNQDSPMDLTADTAFSRPFCLNLAGDALDAYDEAYEEFMRVATTEEKLPSTTNSDNELQYGLPSSDRSLIWGMEGPKYRGSEPTWEQNLKKREPDVTHEIYTAYEDDDDDEMKTLIEQIMERTRQGSTIVVNAQRMLFSMDD >Ma04_p39320.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36503376:36510219:1 gene:Ma04_g39320 transcript:Ma04_t39320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTNHKRVESGGSIFRRLICKQATEDASSNEDKVPCNTPRRSVGSRTDSSFEGMASGSSVNGDNLMSSGRYVRDHGSLLSLQPWIFRKGNYSTDEETGKANGKCSEKCRYDMDGFMYNSSAEFSPLSVSLGHSCGRGRSSLRTRRPRQHSIKPLSSLENCLVPQIYSENFEFEEFVFSSFPSPAAPALRPFVITDGSKIISKSSFGDMDIPFGSGIQERNMKRVMGVSSLPEPRTPKRKSRETPNEKLESFNSQRSCRGCHQKGSLDGMHIFSVGVSLGFVSAILSNRKEIEKLNNMLKSSENLVQDLEEELEMKESIIVKELADEACGCQEPSDIIAEIETTESSRIQVSSSYFLTQNNEHNLLLLPKEDSRSKIEAELEIELERLELNIASSLNGEMSTFNEIDPDLIADVVHGDLKADLLPGGASEEYADNASDSKSASTNYTNNFNYAVSPRELSLRLYEVIQHRLEERIKELEIELQKTQKQLQLVESEHVSRRAFSSSDMGSSSNQDSPMDLTADTAFSRPFCLNLAGDALDAYDEAYEEFMRVATTEEKLPSTTNSDNELQYGLPSSDRSLIWGMEGPKYRGSEPTWEQNLKKREPDVTHEIYTAYEDDDDDEMKTLIEQIMERTRQGSTIVVNAQRMLFSMDD >Ma10_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27011959:27012393:1 gene:Ma10_g14650 transcript:Ma10_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLEPAARRTVTEMNGHGHNGRKKESRGDGCFQMPLHYPSYTKADYEAMPEWQLDRLLSEYGLPVAGDVTQKRRYAMGAFLWSSPR >Ma08_p08440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5866122:5869430:-1 gene:Ma08_g08440 transcript:Ma08_t08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLRFCAAAGLIRYSDAAVAAAECRGRRRWAAVRCSSRAIPGQEAADVAAASRLVAGVGAAGGAEGKGLAERLRLGSLVPDGLSYKESFVVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTPTMRKLRLIWVTSRMHIEIYKYPAWWVIRNVHFISLNSESEVGAYVIVLARGDVVEIETWCQGEGRIGTRRDWILKDLATGEVIGRATSKWVMMNQDTRKLQRVSDEVREEYLVFCPRTPRLSFPEENNGSLKKIPKLADPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESMPQEIIDTHELQTITLDYRRECQHDDAVDSLTSSEFAENGSTNGIATTKPHDQELQQFLHFLKSSGTGLEINRGRTEWRKLIR >Ma08_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5866122:5869430:-1 gene:Ma08_g08440 transcript:Ma08_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLRFCAAAGLIRYSDAAVAAAECRGRRRWAAVRCSSRAIPGQEAADVAAASRLVAGVGAAGGAEGKGLAERLRLGSLVPDGLSYKESFVVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTPTMRKLRLIWVTSRMHIEIYKYPAWGDVVEIETWCQGEGRIGTRRDWILKDLATGEVIGRATSKWVMMNQDTRKLQRVSDEVREEYLVFCPRTPRLSFPEENNGSLKKIPKLADPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESMPQEIIDTHELQTITLDYRRECQHDDAVDSLTSSEFAENGSTNGIATTKPHDQELQQFLHFLKSSGTGLEINRGRTEWRKLIR >Ma02_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20462769:20465331:-1 gene:Ma02_g11150 transcript:Ma02_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQLRSKAVQASEFVAKHGCAYYKELLEKNKQHIVQPPTVEKCQELSKQLFYTRLASIPGRYESFWKELDGVKHIWRNREDLKIEDAGIAALFGLELYAWFCVGEIVGRGFTFTGYYV >Ma02_p11150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20462769:20465304:-1 gene:Ma02_g11150 transcript:Ma02_t11150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQLRSKAVQASEFVAKHGCAYYKELLEKNKQHIVQPPTVEKCQELSKQLFYTRLASIPGRYESFWKELDGVKHIWRNREDLKIEDAGIAALFGLELYAWFCVGEIVGRGFTFTGYYV >Ma11_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20584082:20587779:1 gene:Ma11_g14880 transcript:Ma11_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAGK [Source:Projected from Arabidopsis thaliana (AT3G57560) UniProtKB/TrEMBL;Acc:A0A178V7F4] MVVAQTTTTPLCGRLPFRSLHKDDFNPNPSFLPARSTRPSSLAAAAASITTVPASSLPVVQSPAQARVDILSESLPFIQRFRGKTIVVKYGGAAMKSATLQSSVINDLVLLSCVGLRPVLVHGGGPEINSWLLRLGHEPQFHNGLRVTDALTMEVVEMVLVGKVNKSLVSLINSAGGTAVGLCGKDARLLTARPTPDAAALGFVGEVARVDPGILRPVLADGHIPVIASVAADENGQSYNVNADTAAGEIAAAMGAEKLILLTDVAGILEDRNDPNSLVKEIDISGIRKMVMEKKVAGGMIPKVNCCVRSLAQGVRTASIIDGRVPHSLLLEILTDEGAGTMITG >Ma05_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5995917:5997334:-1 gene:Ma05_g08070 transcript:Ma05_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTQASLLLQKQLRDLMKNPVDGFSAGLVDDSNVFEWNVTIIGPPDTLYDGGYFNAIMSFPSNYPNSPPSVRFTSEMWHPNVYSDGRVCISILHPPGDDPNGYELASERWTPVHTRVSLITLYIVVFNKLDIYK >Ma08_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30230207:30231020:-1 gene:Ma08_g18370 transcript:Ma08_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRSTCTVLTSRRSISPGGGSSAFASSSSSFAAWSHASFLHHDYQHHRSGSPTRVHLLGTAYTPAPGVRFTIDRSTSPGRSLATANKRSSASVHRTCLCSPTTHPGSFRCSLHKGLSSRSAAVSAPSNCLNARRSAMTNSLVRIGTVEGDWVKRALAALIRPSSHQQRRRVDFQPRPSRLSRMSKADDP >Ma10_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25538310:25543957:1 gene:Ma10_g12480 transcript:Ma10_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIIGAFINIIGSITINFGTNLLKLGHDQREKHYMPSCDGTNSKVNVKSIIHFQTWRIGILLFVFGNCLNFVSFAYAAQSLLAALGSIQFISNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSMVFLLYCLTLLLIVGVNQYFYRTGEAYLSVSDPVSSYWRTLLPFSYATVSGAVGSCSVLFAKSLSNMLRLTMSSNYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFVYFQEYQVFNTLRITMFVVGMTFVFIGISLLAPDDSKGSETKDSSLPSSAQDLPTDMNRGAMLLPLEDPEISDVRSLAQAVLTKVKFILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRGTNYDRAKFIPLRNTEWGKLSIDDNDPETRDTRTLLP >Ma10_p12480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25538310:25543957:1 gene:Ma10_g12480 transcript:Ma10_t12480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIIGAFINIIGSITINFGTNLLKLGHDQREKHYMPSCDGTNSKVNVKSIIHFQTWRIGILLFVFGNCLNFVSFAYAAQSLLAALGSIQFISNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSMVFLLYCLTLLLIVGVNQYFYRTGEAYLSVSDPVSSYWRTLLPFSYATVSGAVGSCSVLFAKSLSNMLRLTMSSNYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFVYFQEYQVFNTLRITMFVVGMTFVFIGISLLAPDDSKGSETKDSSLPSSAQDLPTDMNRGAMLLPLEDPEISDVRSLAQAVLTKVKFILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRGTNYDRAKFIPLRNTEWGKLSIDDNDPETRDTRTLLP >Ma10_p12480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25538310:25543957:1 gene:Ma10_g12480 transcript:Ma10_t12480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIIGAFINIIGSITINFGTNLLKLGHDQREKHYMPSCDGTNSKVNVKSIIHFQTWRIGILLFVFGNCLNFVSFAYAAQSLLAALGSIQFISNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSMVFLLYCLTLLLIVGVNQYFYRTGEAYLSVSDPVSSYWRTLLPFSYATVSGAVGSCSVLFAKSLSNMLRLTMSSNYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFVYFQEYQVFNTLRITMFVVGMTFVFIGISLLAPDDSKGSETKDSSLPSSAQDLPTDMNRGAMLLPLEDPEISDVRSLAQAVLTKVKFILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRGTNYDRAKFIPLRNTEWGKLSIDDNDPETRDTRTLLP >Ma10_p12480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25538310:25543957:1 gene:Ma10_g12480 transcript:Ma10_t12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIIGAFINIIGSITINFGTNLLKLGHDQREKHYMPSCDGTNSKVNVKSIIHFQTWRIGILLFVFGNCLNFVSFAYAAQSLLAALGSIQFISNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSMVFLLYCLTLLLIVGVNQYFYRTGEAYLSVSDPVSSYWRTLLPFSYATVSGAVGSCSVLFAKSLSNMLRLTMSSNYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSIFTGFVYFQEYQVFNTLRITMFVVGMTFVFIGISLLAPDDSKGSETKDSSLPSSAQDLPTDMNRGAMLLPLEDPEISDVRSLAQAVLTKVKFILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRGTNYDRAKFIPLRNTEWGKLSIDDNDPETRDTRTLLP >Ma08_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6055962:6059362:-1 gene:Ma08_g08560 transcript:Ma08_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKCGVLQRAALWFRLALLLLSAVGYAAAAGGCSSVAFPSNRLYAACSDLPRHSSSIHWSYDSAAATLSFAFVAPPAKPEGWVSWAINPTADGMLGSQALIAFHQPDGSMGVRTYNITGYASIAEGPIDFNASNLAAEYSGGAMRLYGKLKLPAGMTVVKQVWQVGSSVADGVPQKHGFQPDNLQSKGTLDLIKGAISPSGGSTNVKKNVHGVLNAVSWGIMLPIGAIIARYLKTFKSADPAWFYLHVTCQIVGYGVGVGGWAIGLNLGSKSKGIQYTTHRNIGIILFCLGTLQVFALFLRPSKDHKYRFIWNIYHYLVGYTVIVLGIVNVFKGLEILSVDHKWTMGYIIAVAILGGIALFLEVVTWSVILKRKDARSGSNGV >Ma08_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26343382:26351558:1 gene:Ma08_g17620 transcript:Ma08_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMDGDGMVPLAVLLRREMSWERIEKPDILYGEASESKKGEDFTLVKTECQRVPGQGDTTFSVFALFDGHNGAAAATYSKENLLNNVLSAIPSDLSRNEWIQALPRALVAGFVKTDKDFKKIASVSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYFLSADHRLEANEEEVERITACGGEVGRMNVVGGAEFGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNAGGRLVISSDGVWDALTAEKALSCSRGLPAEAAAIRIIKEAVQLKGLRDDTTCIVVDILPPEKISPSFPAFKRPGKGVFKNMFRRRSSESSLFSDRDYSEADMVEEIFEDGSPILAQRLDPEYPAFTMFKPFNCAVCQLEMKPGEGISVHAASSSKPGTINPWDGPFLCSTCQIKKEAMEGRHT >Ma01_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27600675:27665844:1 gene:Ma01_g23320 transcript:Ma01_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRQTNGKIVKIQLHPTYPWLVTADNSDHVSVWNWEHRQVIYELKAGGVDERRLVGTKLEKLAEGETEFRGKPTEAIRGGSVKQVGFYDDDVRFWQHWRNRSAAAEAPSAANQQSSAFSSPIPSTRGRHFIVICCENKAIFLDLVTMRGRDVPKQELDNRSLLWISKNGLRRLPTFISEDYKVCLQQPAERKYDSVHNENIRECMEFLSRSATGDGPLVAFGGSDGVIRVLSMITWKLVRRYTGGHKGSITCLMTFISSSGEVFLVSGASDGLLIIWSADHIHDSRELVPKLSLKAHDGGVVAVELSRVMGSAPQLITIGADKTLVIWDSISFRELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLIPSQVLASNKKLRVYCMVVHPLQPHLVATGTNIGVILSELDARALPAVAALPTPPGGREHSAVYTVERELKLLNFQLTNTTNTSLGSTGTISETGKSRMETEQLLVKQTKKHISTPAPHDSFSILSISCSGKYVAIVQPDIPSFYVYKASDWSVVDSGTGRLFAWDTCGDRYALAETSLPPRIPIIKGGSSKKAKEAAAAAAAAQAAAAAASAASAATVQVRILLDDGTSHVMTRSIEGRSDPVIGLHGGALLGVAYRTSRRISPMAATAYSTQSMSSFGAADDPFSSKKPAVGAAPQNFQLYSWETYQPVSGLLSQPEWTAWDRTVEYCAFAYHQYIVISSLRPQFRYLGDVAIPFATCAVWHRRQLFVATPTTIECVFVDAGVAPIDLETKRKKEEMKAQEAQSRAFAEHGELALIAVDSPQVATSDRISLRPPTLQVVRLASFQDAPSIPPFITLPKQHKVDGEDTVLPKEMEEKKVNEVAVAGGGVSVAATRFPPEQKRPIGPLVVVGVRDGVLWLIDRYMCAHALSLSHPGIRCRCLAAYGDAVSAVKWATRLSREHHDDLAQFMLGMGYATEALHLPGISKRLEFELAMQSNDLKRALQCLLTMSNSRDVGQETTAADITEILSLTAVKQENLVDAVQGIAKFAKEFLDLIDAADATGQADIAREALKRLASAGSVKGALQGQVLRGLALRLANHGELTRLSGLITNLIVAGHGQEAAFSAAVLGDNALMEKAWLDTGMLAEAVLHAHAHGRPTMRNLVQAWNKMLQKELDHTPVVKTDAAAAFLASLEEPKFTSLAEAGKKPPIEILPPGMASLSAPPITIGKKPAATATTSATALQGPSAAAQTPPPAPIQSDPTTTPDTSNSQTEKPLMLEAPPPVDRSDDKPLALEAPPPVDQSDGTRDKLLALEAPPPVDQSDGTPPTAEQVDESDGTPPIAEQVDESDGTPPVVESVNSPSGSPEITESPPPTETVSHTTSAQIPQVDADGTVG >Ma10_p27250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34608084:34623582:-1 gene:Ma10_g27250 transcript:Ma10_t27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNEKWIDGLQFSSLFWPPPQDENQRQAQVLAYVEYFSQFTTEEFPEDITQLIQTHYPSQEKRLLDEVLAIFILHHPEHGHAIVHPILSLIIDGTLVYDRNDPPFSSFISLVGQNSEKEYSEQWALACGEILRILTHYNRPIYKVEHHNSETERSNSGNHATTSKPVKQDGHDQILQEHDRKPLRPLSPWITDILLASPLGIRSDYFRWCGGVMGKYAAGGELKPPTTACSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEEYASGMRLPRNWMHLHFLRAIGIAMSMRAGVAADAAAALLFRILSQPTLLFPPLWHAEGVAVHHEPLGGCISSYKKQLEAPASEASIEATAQGIATMLCAHGPDVEWRMCTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPPLSWNLYLPLLKVLEYLPRGSPSEACLVRIFVATVEAILRRTFPSKHSTEQSRKPRTHGSMWSTTKNLAIAELHTMIHSLFLDSCATIDLASRLLFVVLTVCVSHEALPNGSKRATDCGSYSAEEIIEVPQIVNGKTANRNKNRKKQGPVATFDSYVLAAICALACELQLFPMISKNGMHSNSKDSANSIKAAKTNRVAHELHNSIKSAVCHTSRILGILEALFSLKPSSIGTLWSYSSDEIVAAAMVAAHVSELFGRSKACMNALSVMMHCKWDVEISTRASSLYNLIDIHGKIVASIVHKAEPIEAQLAHAQVRRDDPACSGGRISVIASSSIFEPEDIPCSESSNSLSRILVKTDRGILSTGTIVETSGKGISSLPVDASDLANFLMTDRYIGYNCGAQALLRSVFAEKQELCFSVVSLLWHKLIAAPETKMSAESTSAHQGWRQVVDAICNVVSASPTKASTAIVLQAEKDLQPWIARDDEQGQRMWRINHRIVKLIVELMRNHESPEALIILASASDLLLSATDGMLVDGEACTLPQLELLEVTAKAVQLVLHWGGPGLAVVDGLSNLLKCRLSATIRCLSHPSALVRALSKSVLRDILHHGSCLNVDNSSYQLLNMGIINWRSDIDKCIQWEAQSRIATGLTLAYLSAAAKELGCPIDSCSSSPLLNCSS >Ma05_p28830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39687105:39690280:1 gene:Ma05_g28830 transcript:Ma05_t28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHL [Source:Projected from Arabidopsis thaliana (AT3G47860) UniProtKB/TrEMBL;Acc:A0A178VKZ0] MVPLQALQFRRPPPTPHPPPPPQPSRSGSCVQGQKYITCSSWVTKSREEVIARHVLSSFAAASIMFISSSTQVVAAEPSHHGSLCQIAAATDNGPASILDEMPDERNANVMMMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGIYTFDAQTPSIQVDTFCVHGGPDGYITGIRGRVQCLSEEGMEKAETDLERREMIKEKCYLRFPTLPFIPKEPYDVIATDYDNFSLVSGAKDRSFIQIYSRTPNPGPEFIDKYKTYLESFGYDPAKIKDTPQDCEVMSNSQLALMMSMSGMKAALTNQFPDLELKAPVALDPFTSVFETLKKLIELYLSNLKA >Ma04_p29180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30137221:30138914:1 gene:Ma04_g29180 transcript:Ma04_t29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMVSSLADVPIGDSGSVEDGGCTTAARNIPISPAQVDATEVVYRRPTANFAARFAKILFFAHLVAVAILIIFLSLRGFLGRNPAFRPAHWFAPLLTAAVSSALVAILWLLFVLRHPAKVIKASLWLAPSLVCAVAVLLLTDGGSSSLAFAVLGLLLALVQSLYGCWIVRRLHHAYEILSISIAAVQPTTTLAKYVALALLAGLVFSSIWTLGAGGVAAGGGSRFAPLYVLLLLLSLGWTMQAIRYMVLVAVAQLAYLSLAFGTETAVPVAFEAAANGALGDVCYGSAVIPLVVAIRGTARAMGLIAGGSDEFLFSCASCYVGIADHLVVRGNRWGFVYARVHGKGLGNASAEVWEMFIKQGMGQLIDTDITSSLCFLSGVTGGGVAALVAGSWATAADKGHVTEATVYAFIIGYFMTRIAMAWPQACVAAYHVVFAENPQSRRMGPCIPTRLRELRSSPH >Ma04_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18965768:18967296:1 gene:Ma04_g17830 transcript:Ma04_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLEFGGGLELLCQSTKIHSVDVKPKPGEDKLTMRDLLVWVESNLIKERPEMFMKGDSVRPGVLVLINDCDWELCGSLDTELEEKDNVVFISTLHGG >Ma04_p32250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32308311:32310571:1 gene:Ma04_g32250 transcript:Ma04_t32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSWLGHVISTSSSVLILFLFQFHLARAQPSNSTAPAVPAVIAFGDSIVDPGNNNVLLTIVKSNFPPYGRDFAGHVPTGRFSNGKISADFIASMLGVKELLPAYHGTNLGLKDLATGVSFASAGTGYDPLTSAVTLVTPMWKQLEFFKEYKRTLTGNLGEKKLTRLLSGSLFIVCAGSNDVVAYFSNPMQQLSHGISSYAEFLIQSASRFLQDLVGLGARKVGVVGIPPVGCMPSQRIVGGGLSGDCAADRNQLARTYNAKLNVELQRLNSNLQGSKLFYVDVYSIFLDFIQCPWKYGFEVSKLGCCGTGTVEVAELCNVLSTTCRNASEYVFWDSYHPTQRANELLIEMLIQKYANFIK >Ma05_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3193678:3196748:1 gene:Ma05_g04220 transcript:Ma05_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEAVAPTGNGQTVCVTGAGGFIASWLVKLLLEKGYTVKGTVRNPDDPKNAHLKAMEGAAERLILCKADLLDYDALREAIDGCQGVFHTASPVTDDPEQMVEPAVRGARYVIIAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEYCKNTRNWYCYGKVVAEQAAWEVAREKGMELAVVNPVLVLGPLLQPQVNASIGHILKYLDGSVKRYTNAVQAYVDVRDVAEAHLRVYETAGAAGKRFICAERVLHREDVVRILAKLFPEYPVPNKCSDEVNPRKKPYNFSDQQLRDLGLQCKPVSQSLYDTVKSLQEKGHLPVMPPQYQKL >Ma03_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26511793:26513359:-1 gene:Ma03_g21510 transcript:Ma03_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEDSAAKQKYPKIDFSGLDIASPGKPRWEAVREQVMEALASCGFFEAVFPQVTQELRESLFGTAMKELFALPLDTKLRNTSNKPFHGYLGQIPYLSYESLAILDASLPQGVDSFTSLMWPGGNPAFSEMVCSFSKQVAELDEIVRKMILETLGAEKYHKTLMESHRFLLRVSEYPAAPPKQQQASEERQQLGLVPHRDKNTLAIVCQNQVDGLEMETSDGGWVVVTPSPASFIVIAGDAFRAWSNGRVYSPLHRIMVGEEATRYSAILFSIPEDDMVIRAPQELADDHHSPGFKFKPFDYGSYVRFCVTEEGMNASCQLDAFCGVANQQQV >Ma08_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2752167:2755926:-1 gene:Ma08_g03870 transcript:Ma08_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSSFVFLSSSSDRLDRLPFRNRNHNNRGIGKLSSAFPHLWNPTDSLLLRHRRPARICCSSTAASSSGSNHPQLKTRRPAEENIREEACRRDEATGSCGFSACYVPFNAPADTAETYSLDDVVYRGRSGGLLDVRHDMTALKRFPGSYWRDLFDSRVGRTTWPYGSGVWSKKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLGGMPDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRNPLNRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLAQLVQPIANGATVLSIDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRELELVDRMPRLVCAQAANANPLYLHYKSGWADFKPVIAADTFASAIQIGDPVSIDRAVFALKATDGIVEEATEEELMDAMSLADRTGMFACPHTGVALAALFKLRERGVIGTNDRTIVVSTAHGLKFTQSKVAYHSNEIANMDCRYSNPPVSVKASFGAVMDVLKKKLDGKLGL >Ma03_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5785353:5800815:1 gene:Ma03_g08050 transcript:Ma03_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLIAPMDCERGLGERRRRVGMGKTKLDSGWLAARSSEVAATGVQLTTTQPPTGPSAPWMEAVVPGTVLGTLLKNNLVPDPFYGLNNEAIIDIANSGREYYTFWFFTTFECKKTANQHVHLNFRAINYSAEVYLNGHKEILPKGMFRRHSLNITDILTPSGINMLAVLVHPPDHPGSIPPQGGQGGDHEIGKDVAAHYVEGWDWMTPIRDRNTGIWDEVSVQVTGPVKITDPHLVSSFFDGFKRAYLHTTVGLENKSPWLAECLLSLQVTTELEGNICLVEHLQSHELQIPPGTHIEFTLPPLFFYKPSLWWPNGMGKQSLYDVEITVDVKGSGESDSWNHRFGFRKIESFIDDVTGGRLFKVNGEPVFIRGGNWILSDGLLRLSKKRYMTDIKFHADMNFNMIRCWGGGIAERPEFYQCCDMYGLLVWQEFWITGDVDGRGVPISNPDGPLDHNLFILCARDTVKLLRNHASLALWVGGNEQIPPKDINTSLKNDLKLHPLFHTTVEGLSDNTKDPSQYLDGTRVYIQGSLWDGFANGKGDFTDGPYEIQNPEDFFRDNFYPYGFNPEVGSVGMPVAATIRATMPSEGWLIPLFSKGSDGYIEEIPNPIWEYHKYIPYSKPGKVHDQIELYGRPKGLDDFCDKAQLVNYVQYRALLEGWTSRMWTKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFFGCRCAAEPIHVQLNLASYFVEVVNTTSAGLSNVAVEVSVWGLDGSCPFYNVTEKILVPPKKVVEVVKMKYPNSKNAQPVYFLLLKLFRLSDFSILSRNFYWLHLPGKDFKSLELYRKKRVPLKITSEVLISGQTYEVNMQLKNLSKSSRRLICNEMDNNKEGGENCINLGKSIIGSEEKESSILRRISRGLGFLRSVDTLTMVDINGNDSGVAFFLHFSVHAAKNNHGTSGVTRILPVHYTDNYFSLVPGETMNVSITFEAPPGITPRVTLSGWNNHEDHVIV >Ma06_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:426469:426895:1 gene:Ma06_g00560 transcript:Ma06_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLRVRAPGGQPGLPPVQDQVQAAQGVSSCGW >Ma03_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26536436:26537685:-1 gene:Ma03_g21540 transcript:Ma03_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAADASKQYFTTAGLVVGYSLSSSLLAIINKYAVTKFSYPGLLTAFQYFTSALVVWVLGKLDVLYHDPFTRDNAKKFLPAAAVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTVFRKQPCPSKSTFFSLVIILGGAVGYVLTDSAFTLTAYSWAVAYLVTITTEMVYIKHMVTHLGLNTWGFVFYNNFISLLMSPVFWIVTGEYADVFTAVKMSSGSGWLQLDAIVAVALSCVFGLLISFFGFATRRAISATAFTVTGVANKFLTVAVNVMIWDKHASPLGLACLLSTLVGGVVYQQSVTGSASFPSKQSSETSKLIDNGEEDDGDSDKVEQDIEMPVSGKKP >Ma11_p19030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23991382:23992429:-1 gene:Ma11_g19030 transcript:Ma11_t19030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLKPWCLFLALLCLSTSCCNSESTVGTVGMALQCFVDHHIYVGCQESYRLNGGGRVDVPTGAADVFCEGPCLLETKLALDCVERTLHGFIFSNGASVMDVRFALDVGCGHTSRRGDFSVMNHERGVPETRVPETRVDDYDQGSKTRIPVYLMILLTSVLLLRSI >Ma11_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23991385:23992452:-1 gene:Ma11_g19030 transcript:Ma11_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLKPWCLFLALLCLSTSCCNSEESTVGTVGMALQCFVDHHIYVGCQESYRLNGGGRVDVPTGAADVFCEGPCLLETKLALDCVERTLHGFIFSNGASVMDVRFALDVGCGHTSRRGDFSVMNHERGVPETRVPETRVDDYDQGSKTRIPVYLMILLTSVLLLRSI >Ma02_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24700036:24700676:-1 gene:Ma02_g18020 transcript:Ma02_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCCTSNQLRDSCLLWLLFAGIVGEDLQAHMRFRCIHKEGGMNRQFRSKVVCSCTIWKMAQMVLPTCIFMGLKRSNKSFGGDRTKLKITPQNLGVSLKSMGMMNERLADPLHLLGHGFAAALSAGNAIFPQPSDSDISHIVVVPHLQWQLSVATKESILHPPTKDE >Ma03_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:913581:915862:1 gene:Ma03_g01190 transcript:Ma03_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKLVLAVLVLIVGLAAGNRSPPAVTDKTLRRIAHSLKKYIEPLPIVPKISGYSTQDGHPKSISLTIGMFHKKWKFHRDLPATTLFVYGASREAATFPGPTIEALQGVPLNVTWENHLPEKHILPWDPTVPVAIAKHGGVPAVVHLHGGVHEPQSDGSAFAWYTADFRDKGTKWTQATYHYPNVQHPGNLWYHDHALGLTRANLLAGLIGTYVIRNPLAEAPFGLPTGDEYDRQLVLDDRSFYEDGSLYMNYTGNNPTIHPQWQPEYFGEVIVVNGKACPYLAVQGRRYRFRILNSSNARYFNLSLSNGSSFTVIGSDVSYLRKPVTASWILLAPAEIFDVVVDFSESKTSTVRLTNSAPYPFPGGDPVSTLSSKVMKFVVSPKKTKDDSRIPASLMTNYPKADEKEGTVRRYIVLYEYQSTTGEPTHLYINGKRLEDPATETPKQGSTEVWEVINLTGDNHPLHLHLATFQAVRVRELVNLEAFKACMTRKNDAVKCNVKAHATGQLKAVPEHEKTWKNIVKIEPGYMTTIVVKFKLIDRDAHYPFDATAEPGYVYHCHILDHEDNAMIRPLLLKH >Ma04_p28410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29491854:29500794:1 gene:Ma04_g28410 transcript:Ma04_t28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPAKEGSESVGALESEGATRPRPEDLVARAIAPVKAEYLRPPPSRSVAVDSGVEDGSIEKKSSSANVVVKEKKSRRQLKRERKQEQKSTRNICPEVAKSGNADACPYRGNCRFNHDMDAYLAEKPPDLEGTCPFVSAEEFCPYGITCRYASTHRQQTSLKLEVPATKSSEVNSLNKDLQKLLWKNKVSFPNADLQLKLLGLERGNGARANSGADHMKNHPENNIHLDDNKEMLCSLGAPTTCLENDCLDNGSISDDSRPLKKTKSQADKIDSSPKTKNFEESQDSCLQNGLEDVSPDNLYSSKDDLSLKPHAREIKLIDFRGKLYLAPLTTVGNLPFRRICKALGADITCGEMAMCTNLLQGQASEWALLRRHSSEDIFGVQICGAYPDTVARTVELIDRECEVDFIDINMGCPIDIVVNKQAGSALLTKPLRMKNIIQAASSTLSKPLTVKVRTGYFEGRNRIDSLVSDISNWGAGALTIHGRSRQQRYSKLADWDYIYECAKKAPESLQVLGNGDIFSYTDWIKHTLDCPKLSTCMIARGALIKPWIFTEIKEQRHWDISSGERFNILKDFVQSGLHHWGSDTKGVETTRHFLLEWLSYTYRYIPLGLLDVVPQRLNWRPPSYYGRDDLETLMASDSAADWIRISEMLLGKVPEGFTFTPKHKSNAYDSAENG >Ma03_p28170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31309743:31316582:-1 gene:Ma03_g28170 transcript:Ma03_t28170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVEHKAVTVHSKTALRSSSRCIDMMLALFPLFFCLFSITSGATRSVSSRPAVVHVGAIFTFNSTIGRVAKVAIDAAKQDVNSDPTVLRGTRLDITMRNTNCSGFFGMMEALQFMETDTVAIVGPQCSTIAHVISHLANELHVPLLSFSATDPTLTALEYPYFVRTSQSDLFQMAAIAKIVDYYQWRQVIAIYVDDDNGRNGVAALGDKLAERRCMLSYKARLSPVATRSDVTDLLVKVALMESRVIVLHSNQDYGPMILSVAHYLDMMTNGYVWIATDWLSSLLDSKAPLASDTMDTMQGVLTLRQHTADSKRKSNFISRWSNLTKEEDDGNFRLHSYGLYAYDTVWMLAKAIDAFFDDRGIISFSNDSKLHDIQGGTLHLEAMSVFNGGQLLLEKVQNTNFAGLTGVLRYDSDGNLIHPAYDIINVIGTGSRTIGYWSNYSGLSIVPPETLYSKPANASPANDLLYSVIWPGETTTKPRGWVFPNNGKELKIVVPNRVSYQEFVSKSPHTGIVKGYCIDVFTAAVNLLPYAVPFKLIPFGNGHANPSYGELVNMVATGVFDAAVGDIAIVTNRTKIVDFTQPYIESGLVILAPIRKYKSSAWAFLQPFTLEMWCVTGFFFMVIGSVVWILEHRMNDEFRGPPRQQVATVFWFSFSTLFFAHRENTVSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLSSPIKGIDSLIASDEHIGFQVGSFAENYMVEELSIPRSRLKALGSREEYAKALELGPDNGGVAAIVDERAYVELFLSTNCNFAIVGSEFTKSGWGFVFPRDSPLAVDMSTAILTLSENGDLQRIHDKWLTRAACISETDEIDSQRLQLSSFWGLFLICGTSCFLALLIYLILVLRQYIKHAPVDKHDSSSGQTPRSGFSLQKFFSFASGKEEDVRSRSKQGEMQKPTINATVDVET >Ma03_p28170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31309743:31315643:-1 gene:Ma03_g28170 transcript:Ma03_t28170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLALFPLFFCLFSITSGATRSVSSRPAVVHVGAIFTFNSTIGRVAKVAIDAAKQDVNSDPTVLRGTRLDITMRNTNCSGFFGMMEALQFMETDTVAIVGPQCSTIAHVISHLANELHVPLLSFSATDPTLTALEYPYFVRTSQSDLFQMAAIAKIVDYYQWRQVIAIYVDDDNGRNGVAALGDKLAERRCMLSYKARLSPVATRSDVTDLLVKVALMESRVIVLHSNQDYGPMILSVAHYLDMMTNGYVWIATDWLSSLLDSKAPLASDTMDTMQGVLTLRQHTADSKRKSNFISRWSNLTKEEDDGNFRLHSYGLYAYDTVWMLAKAIDAFFDDRGIISFSNDSKLHDIQGGTLHLEAMSVFNGGQLLLEKVQNTNFAGLTGVLRYDSDGNLIHPAYDIINVIGTGSRTIGYWSNYSGLSIVPPETLYSKPANASPANDLLYSVIWPGETTTKPRGWVFPNNGKELKIVVPNRVSYQEFVSKSPHTGIVKGYCIDVFTAAVNLLPYAVPFKLIPFGNGHANPSYGELVNMVATGVFDAAVGDIAIVTNRTKIVDFTQPYIESGLVILAPIRKYKSSAWAFLQPFTLEMWCVTGFFFMVIGSVVWILEHRMNDEFRGPPRQQVATVFWFSFSTLFFAHRENTVSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLSSPIKGIDSLIASDEHIGFQVGSFAENYMVEELSIPRSRLKALGSREEYAKALELGPDNGGVAAIVDERAYVELFLSTNCNFAIVGSEFTKSGWGFVFPRDSPLAVDMSTAILTLSENGDLQRIHDKWLTRAACISETDEIDSQRLQLSSFWGLFLICGTSCFLALLIYLILVLRQYIKHAPVDKHDSSSGQTPRSGFSLQKFFSFASGKEEDVRSRSKQGEMQKPTINATVDVET >Ma03_p28170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31309743:31316046:-1 gene:Ma03_g28170 transcript:Ma03_t28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVEHKAVTVHSKTALRSSSRCIDMMLALFPLFFCLFSITSGATRSVSSRPAVVHVGAIFTFNSTIGRVAKVAIDAAKQDVNSDPTVLRGTRLDITMRNTNCSGFFGMMEALQFMETDTVAIVGPQCSTIAHVISHLANELHVPLLSFSATDPTLTALEYPYFVRTSQSDLFQMAAIAKIVDYYQWRQVIAIYVDDDNGRNGVAALGDKLAERRCMLSYKARLSPVATRSDVTDLLVKVALMESRVIVLHSNQDYGPMILSVAHYLDMMTNGYVWIATDWLSSLLDSKAPLASDTMDTMQGVLTLRQHTADSKRKSNFISRWSNLTKEEDDGNFRLHSYGLYAYDTVWMLAKAIDAFFDDRGIISFSNDSKLHDIQGGTLHLEAMSVFNGGQLLLEKVQNTNFAGLTGVLRYDSDGNLIHPAYDIINVIGTGSRTIGYWSNYSGLSIVPPETLYSKPANASPANDLLYSVIWPGETTTKPRGWVFPNNGKELKIVVPNRVSYQEFVSKSPHTGIVKGYCIDVFTAAVNLLPYAVPFKLIPFGNGHANPSYGELVNMVATGVFDAAVGDIAIVTNRTKIVDFTQPYIESGLVILAPIRKYKSSAWAFLQPFTLEMWCVTGFFFMVIGSVVWILEHRMNDEFRGPPRQQVATVFWFSFSTLFFAHRENTVSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLSSPIKGIDSLIASDEHIGFQVGSFAENYMVEELSIPRSRLKALGSREEYAKALELGPDNGGVAAIVDERAYVELFLSTNCNFAIVGSEFTKSGWGFVFPRDSPLAVDMSTAILTLSENGDLQRIHDKWLTRAACISETDEIDSQRLQLSSFWGLFLICGTSCFLALLIYLILVLRQYIKHAPVDKHDSSSGQTPRSGFSLQKFFSFASGKEEDVRSRSKQGEMQKPTINATVDVET >Ma05_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7940721:7941123:1 gene:Ma05_g10900 transcript:Ma05_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPSCNNNNYVLFFIFVHLCGDLRAENTIFFLRMKHMDSALCIQGNELNKPVADDRHSH >Ma06_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15681270:15682522:1 gene:Ma06_g21430 transcript:Ma06_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWLGGLVEESFFVGCGLHEGRRKNEKNIFCLGCCTSICPHCAPAHPSHPLLQVRRYVYNDVVRLDDLEKLIDCSFVQPYTINSAKAVFLKPRPQSRPPKVSGNICLTCDRILQDGFHFCSLSCKVDHIMLRGEDLSSILFRFDESDFTVSHFECLRVDGTDPLDEDRNSPGGGGGGGRTKHKSGGGFFPQMVLSLSNRRKGAPRRSPMS >Ma07_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9690965:9697217:1 gene:Ma07_g12850 transcript:Ma07_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLVEMSGTYRDLRVDCSASFRDERPKDRLLGGLLSPEFDEASCLSRYQSALYWKQSDHTPSPYLLRKLRNYESLHRRCAPNTELYNKSIEQLKSNASAGPSECNYVVWLESGGIGNRVISMVSTFLYALLNDKVFLLKLPDDFHGIFCEPFPGTSWLLSSDFPIQDLDGFDISHPQSYGDMLRTKFALQAPKKQARSEIYLLIFSDVLLTTTFSTFGYVAYGLRRVRP >Ma05_p08290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6147457:6154589:1 gene:Ma05_g08290 transcript:Ma05_t08290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEMLETFEAAKKAADVAAEAGGGSPEVDRCLDALKRLRSLPVTTQDLVETQVGKRLRYLTKNHHSKIQVEASALLEFWKNVVIQETSNSKKNGGSENKNLVKNIKSERPEGVKVDKTSRAGPVTMDVISTSESSKFVKKDRERGMNAEISKSERDKTEKNGSNESGVHSVNAVRITKGDPQAAATKKSSAGPPRLTTMIKCNDPMRDKVRELLAEAFSKVSSETNEDERDEVRNISDEVDACDPIRVAVMVESVMFEKLGRSNGSQKLKYRSIMFNLKDGNNTDLRRRVLLGEVKPEKLIIMTPEEMASDKRKLSNEQIKEKALFECERGGPPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTFVTCVNCNNHWKFC >Ma05_p08290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6147457:6154589:1 gene:Ma05_g08290 transcript:Ma05_t08290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEMLETFEAAKKAADVAAEAGGGSPEVDRCLDALKRLRSLPVTTQDLVETQVGKRLRYLTKNHHSKIQVEASALLEFWKNVVIQETSNSKKNGGSENKNLVKNIKSERPEGVKVDKTSRAGPVTMDVISTSESSKFVKKDRERGMNAEISKSERDKTEKNGSNESGVHSVNAVRITKGDPQAAATKKSSAGPPRLTTMIKCNDPMRDKVRELLAEAFSKVSSETNEDERDEVRNISDEVDACDPIRVAVMVESVMFEKLGRSNGSQKLKYRSIMFNLKDGNNTDLRRRVLLGEVKPEKLIIMTPEEMASDKRKLSNEQIKEKALFECERGGPPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTFVTCVNCNNHWKFC >Ma05_p08290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6147457:6154589:1 gene:Ma05_g08290 transcript:Ma05_t08290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEMLETFEAAKKAADVAAEAGGGSPEVDRCLDALKRLRSLPVTTQDLVETQVGKRLRYLTKNHHSKIQVEASALLEFWKNVVIQETSNSKKNGGSENKNLVKNIKSERPEGVKVDKTSRAGPVTMDVISTSESSKFVKKDRERGMNAEISKSERDKTEKNGSNESGVHSVNAVRITKGDPQAAATKKSSAGPPRLTTMIKCNDPMRDKVRELLAEAFSKVSSETNEDERDEVRNISDEVDACDPIRVAVMVESVMFEKLGRSNGSQKLKYRSIMFNLKDGNNTDLRRRVLLGEVKPEKLIIMTPEEMASDKRKLSNEQIKEKALFECERGGPPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTFVTCVNCNNHWKFC >Ma05_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6147457:6154589:1 gene:Ma05_g08290 transcript:Ma05_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEMLETFEAAKKAADVAAEAGGGSPEVDRCLDALKRLRSLPVTTQDLVETQVGKRLRYLTKNHHSKIQVEASALLEFWKNVVIQETSNSKKNGGSENKNLVKNIKSERPEGVKVDKTSRAGPVTMDVISTSESSKFVKKDRERGMNAEISKSERDKTEKNGSNESGVHSVNAVRITKGDPQAAATKKSSAGPPRLTTMIKCNDPMRDKVRELLAEAFSKVSSETNEDERDEVRNISDEVDACDPIRVAVMVESVMFEKLGRSNGSQKLKYRSIMFNLKDGNNTDLRRRVLLGEVKPEKLIIMTPEEMASDKRKLSNEQIKEKALFECERGGPPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTFVTCVNCNNHWKFC >Ma04_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25845557:25846560:1 gene:Ma04_g23730 transcript:Ma04_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSICTANRPQQAVERKVRLHKEKALDCPRCNSTNTKFCYYNNYSLTQPRYFCGDCRRYWTHGGSLRNVPVGGGSRKNKNKKLFSSSSTSSTTVSTLKKLTPAGLVSPPVSLSSTPGAPKLYEGHDLNLAFPHHSPPEQHKFRSSESGRSRDGNSNSIDGRNTCTAVVADSITELLRSGIPARGLIAPFTPTVTMEYPCGPGLQDLGPPTLNLTLVGFNASVGGGSSSGHGSLQGLQKCSCGKLLHHLEDSSANL >Ma09_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:648508:653397:1 gene:Ma09_g00950 transcript:Ma09_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKQLAALVSSLVSQALLLLSLPFPHPNPCASVPNRNNLPLFLFSSPPTPLAPLLSLLLHLLSSSSHIAASVHFLPHKRKRKRHQHQPDLHVPRRGPDHFRLCFRMTSTTFEWLSGLLDPLLDCRDPAGSALRLSGPTRLAIALSRLASGASYPDLAYRFGVPESAARFCSKHLCRVLCTNFRFWLTFPSPSDLTTVSAGFQAVGHGLPDCCGAMACTRFEARGQSVVAAQIVADSSSRIIHIAAGFRGDRTDSSVLKCSSLYKDVQEGQLLGATQYLVGDGRYPLLPWLMVPFTDPVRGSCEEDFNAVHQSMCRPVLRVVCSMRNWGVLSSLGEEENFKVAVACIGTCAILHNVLLMREDYSALSDVSNENHMGLEHYGEDLGLEDFYCEMKASTLRSMLAVRARAARDSGQIGIP >Ma08_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7471875:7472411:1 gene:Ma08_g10250 transcript:Ma08_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDRRYRNELRYSDSDGGRRPYDGGYRNEFPAYGAGSGADDRSLERVKGNVPSSRRRSPDPPFAPPRRGVSSSSSRSSAWCFGDPEMKRRRRVAKYKAYAVEGKVKSSIRKGFRWIKIKCSELIHGW >Ma05_p29170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39937498:39945350:-1 gene:Ma05_g29170 transcript:Ma05_t29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase RAN1 [Source:Projected from Arabidopsis thaliana (AT5G44790) UniProtKB/Swiss-Prot;Acc:Q9S7J8] MAPNLRDIQLSSAAGRRGISARGDDGDLEDVRLLDSYDEEEAAALPEWTGREEADKGVRRIQVRVTGMTCSACTGAVEGAISALPGVARASVSLLQNKAHVVFDPNLVEDVDIRDAIEDAGFEAEVLPDSSNSQMRSQKTLSGQFTIGGMTCSACVNSIEGILSKLPGVKRAVVALATSLGEVEYDPSVITKDEIVHAIEDAGFDAAFLQSNEQDKVLLTVAGFSSISDVHVIQGILRNLKGVKQFEANISLSEVEVIFDPEAIGLRSIVDAIEKGSNGKLKASVQSPYTLVTSNHVEEASKMLRLFLSSLILSIPVFFIRMVCPRIGFLNSFLLIHCGPFLLRDLLKWILVSIVQFVIGKRFYVAAYKALRHWSTNMDVLVVLGTSASYFYSVGALFYGAFTGFRPPIYFETSAMIITFVLLGKYLEVVAKGKTSDAIKKLVELAPATALLLVKDEEGRYMGEREIDALLIQPGDILKVLPGSKIPSDGIVTWGASHVDESMVTGESEPIPKEVSSAVVGGTMNLNGALHIQATRVGSNTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITISLLTFLGWFLCGLLGAYPDSWVEESSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGASHGVLIKGGDALERAQNVHYVIFDKTGTLTQGKAAVTTAKVFTEMELADFLTLVASAEASSEHPLARAILDYAYHYNFFDKLPTVEGATKQSREEILSEWLLEAIEFSALPGRGVQCLIDEKKVLVGNRALLAENGVTVPMEAENFLIDLEVNAKTGILVAYDGSFIGLLGIADPLKREAAVVVEGIKKMGVHPVMVTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNSLEDVITAIDLSRKTFARIRWNYFFAMAYNIVAIPVAAGVLFPLAGLRMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTILQITVE >Ma01_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26376209:26377329:1 gene:Ma01_g23000 transcript:Ma01_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARARALVAGQRQESEGYRGEEDDWLWSLLGMWLQQHRSLAEDKGETSLSQRRWTLAKGSNNVLAIGLEDAVYLWDAANESTKLLQPVEDKGPITCIRWSQDCAVLAVSFGNSDLSLIDLATGHVVDGMEDENQAPVLSLAWRSNSILTVGRFDGTVVDYDFRKDDMFICFYNGHRRGVCSLKWSVLSGRYLAIGGQDKLVHIWDACMPVSRDHPRQRQWLHRISSHTSIVKAVDWCPTRSNLLAFGGGCNDHCVKFWNTVNGACLNSIDAGSEVCALL >Ma03_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24135594:24137309:-1 gene:Ma03_g18550 transcript:Ma03_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAMAAPDFDLLFTASALVLSFLVLVMLGCHRRGKALRLPPGPQGWPLVGNLFQVAFAGKPMIHLVRDLRLRYGPIFTLRMGARTLIVVTSPELAHEALIEKGQLFASRPAETTIRSVFSCNKFTVNSAVYGPEWRSLRRNMVSGMLSASRLREFRPARTSAMDRFIERLRAEAEANGGAVWVLRNARFAVFAILLSMTFGVQLDEDSIVRIDEMMKRVLLTISPRMDDYLPFLRPLYAKHQKKVLEIRKEQVEAVVALINRRRAILKDPSLEPNAAPFSYLDSLLDLKVEGRDSAPTETQLVTLCSEFINGGTDTTSTAIEWAMARIIDDPNIQANLYEEIVAEVGDRPVDDRDIEKMPYLQAFVKELLRKHPPTYFSLTHAAVEPARLGGYDIPPDANLELFLPTIAEDPRLWSSPLEFNPDRFITGGETADITGSAGIRMIPFGAGRRICPGLAMGTTHISLMVARMVQAFEWQLHPSEPKLDFMDKVEFTVVMNRRLLAFVEPRK >Ma07_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28197442:28205335:1 gene:Ma07_g20270 transcript:Ma07_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MALLYSAPLHSPPIPFPKTQFPSLARGFFSEDSSHSVGHGLHSCKCRRRCATMTVSASGAQSAAATADSEPAPVPAPRKLRILVAGGGIGGLVFALAAKRKGFDVMVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMDVAEEVMKAGCITGDRINGLVDGISGNWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDKILNDSNVVNYVDNGDKVTVVLENGQQYEGDLLVGADGIWSKVRKILFGPKEASYSGYTCYTGIADFVPPDIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPPGGTDVPNGKRERLLKIFSGWCDNVIDLILATDEDEILRRDIYDRIPIMSWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLALELEKSWKHSVETGTPMDIASPLKRYEEERRIRVALIYGMARMAAIMASTYRPYLGVGLGPLSFLTKFQIPHPGRVGGRFIIQFAMPLMLNWVLGGNSSNLSGRPLSCRLTDKASDQLQRWFEDDDAMERAMGGEWYLLPAVTGNDSALKPIHLVKDMHRPLIIGNRAQTGKEGESFVIPSPQVAVEHICISYKDNAFFLTDLQSQYGTWITNNERRKYRVPPNFPVRIHPSDVIELGSDKKVTFRVKVLKTVPETFPNGGQPILQAV >Ma02_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21755790:21759397:-1 gene:Ma02_g13300 transcript:Ma02_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTSPSDGPAEPRRKSKKPKYSRFTQQELPACKPLLTPMIVVTTFALIGIIFIPIGLASLSASEQVVEIVYQYDVDCIPEQSQNDKVAFIQSSVTNKTCTRTLTVPRHMDGPVHIYYELDNFYQNHRRYVKSRSDKQLRSKASEKVTTNCAPEATTSDGSVIVPCGLIAWSLFNDTYSFAIDSKTIEVNKKNIAWQSDKEHKFGNNVYPKNFQMGGLIGGAKLNASIPLSEQEDLIVWMRTAALPKFRKLYGRIEMDLDANDQITVTIQNNYNTYSFEGKKKLVLSTTSWIGGKNDFLGTAYLTVGSLCLFLAMAFIVLYLLKPRTLGDPSYLSWNRNPDGHY >Ma03_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27443716:27444239:1 gene:Ma03_g22720 transcript:Ma03_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGQEGGETKYKGVRRRRWGKWVSEIRVPGTGDRLWLGSYATPEAAAVAHDTAVFFLRGPSHAGRLNFPDRAVALTWACLSPPSVQRAASESGMAVDAQMQEAAWREERRQPPSSDVSSERVSRREAGDSDVSMGSELYGDISVDDMEIWV >Ma04_p38020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35682078:35717017:-1 gene:Ma04_g38020 transcript:Ma04_t38020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLQEEITPVIMVLSTPLVEDACQKNGLNFIELLLPFSVFNKINVPVRTASDQPYRLQMFKLRLAYASDIHLQNYEAAEEHLKKVVLDASQKTLTDLISEPPQLENLLKNSESDLCPSWIETFNKELIRTLSFSEHETFDHPVACLLVVSSKDEQPINRFVDILNTNQLPSLLSDGVMDPKVLKHYLLLHDNQDGSPEKITSILAEMRNTYGSNCKLLCINSSQSANGNGKDIQWMPYGSHVLRNDDIACFLSTDDINAVRDFMLDLSSNYVIPHVEQKIRILNQQVAATRKGFRNQIKNLWWRKGKEDTPETANGPIYTFSSIESQIRVLADYAFMLRDYELALSNYRLLSTDYKLDKAWKRYAGVQEMTGLCYFMLDQSRKDSEYCMESAFTTYLKIGSSSQRNASRCGLWWAEMLKARGQFKDAANIYFRISNEEPSLLAAVMLEQASYCYLLSSPPMLRKYGFHLVLAGNRYYMSDQRHHAIQAYRNALFVYKQNGWTYISDHVHYNVGRWYSFIGILDVAVKHMLEVLACSHQSLATQNMFLNDFFHIVQSMGKKFEVYKLRLPVINMASLKVLYEDFRTYASPSDVHVSESLWQSLEEELVPSASTGRSNWLDSQIKSSSSKRNDESPVCVAGESVVVDLEFINPLQVSISVSEISLICELMAKSKEPDTGSASHTAPEEDSELKDSPSCRDSNSDGSSFTLSKLDVVLGGGETKRIQLEVSPKIEGLLKISGVRWTLSDIVVGYQYFEFDLKNKEKKGRRARRSLSHNLSFIVIKGLPKLDACIQHLPKKVFAGDLRLLLLELHNQSEFSVKNIKMKISHPRYLIPGNIEDLEMDFPECLEKQKSSGSKETPANVMLKFKNLLFSFPDDATIQGGTNFTWPLWFHAGLSGRISLYISIYYEVASCSSDMKYRILRMHHDLEVLPSLDVSFQISPCESSLEEYFVRMDILNRTKSETFSLNQLSCVGNLWEILALPESLSMQPVQTLLAGQALSCFFKLKDCRKVINTEGEVTLQGSDLLMISHSCKEAMIDVSRSPLAEFHQHERFHQGKSAKGDSSIVDFILISKMQGNGPVFEPGMQPKLLSYHACHCSISSRCPLSWQMNGPRMINHDFSGSFCEANFHLRIHSCSDAAVIIRLTTYDTLPEKNQSSDGVKLSDSAENEGGWHDISLVNDMKVLSSVHGNQPKKSSVDTLSPFVWCATSSTKLKLEPLCTTEISLKICLFAAGTYDLSNYELHWEVKPLEEGIAGVSSSGTAHGHPFYLTVLHAPR >Ma04_p38020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35682078:35718379:-1 gene:Ma04_g38020 transcript:Ma04_t38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLQEEITPVIMVLSTPLVEDACQKNGLNFIELLLPFSVFNKINVPVRTASDQPYRLQMFKLRLAYASDIHLQNYEAAEEHLKKVVLDASQKTLTDLISEPPQLENLLKNSESDLCPSWIETFNKELIRTLSFSEHETFDHPVACLLVVSSKDEQPINRFVDILNTNQLPSLLSDGVMDPKVLKHYLLLHDNQDGSPEKITSILAEMRNTYGSNCKLLCINSSQSANGNGKDIQWMPYGSHVLRNDDIACFLSTDDINAVRDFMLDLSSNYVIPHVEQKIRILNQQVAATRKGFRNQIKNLWWRKGKEDTPETANGPIYTFSSIESQIRVLADYAFMLRDYELALSNYRLLSTDYKLDKAWKRYAGVQEMTGLCYFMLDQSRKDSEYCMESAFTTYLKIGSSSQRNASRCGLWWAEMLKARGQFKDAANIYFRISNEEPSLLAAVMLEQASYCYLLSSPPMLRKYGFHLVLAGNRYYMSDQRHHAIQAYRNALFVYKQNGWTYISDHVHYNVGRWYSFIGILDVAVKHMLEVLACSHQSLATQNMFLNDFFHIVQSMGKKFEVYKLRLPVINMASLKVLYEDFRTYASPSDVHVSESLWQSLEEELVPSASTGRSNWLDSQIKSSSSKRNDESPVCVAGESVVVDLEFINPLQVSISVSEISLICELMAKSKEPDTGSASHTAPEEDSELKDSPSCRDSNSDGSSFTLSKLDVVLGGGETKRIQLEVSPKIEGLLKISGVRWTLSDIVVGYQYFEFDLKNKEKKGRRARRSLSHNLSFIVIKGLPKLDACIQHLPKKVFAGDLRLLLLELHNQSEFSVKNIKMKISHPRYLIPGNIEDLEMDFPECLEKQKSSGSKETPANVMLKFKNLLFSFPDDATIQGGTNFTWPLWFHAGLSGRISLYISIYYEVASCSSDMKYRILRMHHDLEVLPSLDVSFQISPCESSLEEYFVRMDILNRTKSETFSLNQLSCVGNLWEILALPESLSMQPVQTLLAGQALSCFFKLKDCRKVINTEGEVTLQGSDLLMISHSCKEAMIDVSRSPLAEFHQHERFHQGKSAKGDSSIVDFILISKMQGNGPVFEPGMQPKLLSYHACHCSISSRCPLSWQMNGPRMINHDFSGSFCEANFHLRIHSCSDAAVIIRLTTYDTLPEKNQSSDGVKLSDSAENEGGWHDISLVNDMKVLSSVHGNQPKKSSVDTLSPFVWCATSSTKLKLEPLCTTEISLKICLFAAGTYDLSNYELHWEVKPLEEGIAGVSSSGTAHGHPFYLTVLHAPR >Ma05_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23424500:23425030:-1 gene:Ma05_g18350 transcript:Ma05_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILYELSNDSDITSGVFDFARRHHVGIFVLGDSGIVANITLCHPSVHGFTSISMSGHFDILSISSTFFLEPPSSSGCIIRLPPLIIALVRPDGQIIGGKVAGPMMVVGPVTFVVAIFSKPELHRLPVAEKDEAVAMEEDVKPGLELFLDQLLQPVVGMKAQQPYCLPLPDAHCW >Ma06_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12784923:12790131:1 gene:Ma06_g18710 transcript:Ma06_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYASTCITITFFFLPQSSRSYLFDGAVSTRCTKGYEVVRRRVRAFYSPRFLHSSSNRRAGGGSVVVRLRRNSALPSPYISPTTTWAEIVRHQASGSCAQIIAGLLLLVRNINDQSRSLKCQCQRVDDADGMTSGDANRTWFTESVSQANQILGDLNGQKVISFENGSVMPNNEASNHSSYKTRGNSIEDEAWRLLQDSVVYYCGSPVGTIAAKDPSDSSSNCLNYDQVFIRDFIPSGMAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLSAREMLAPEDGSADLIRALNNRLIALSFHTQEYYWVDKRKLNEIYRYKQEEYSYDAVNKFNIYPDQISPWLVEWMPDKGGYFIGNLQPAHMDFRFFSLGNLWSVVSSLATTHQSHAILDLIEAKWSDLVADMPLKICYPALEGQEWRIITGSDPKNTPWSYHNGGSWPTLLWQLAVACIKMNRPEIAAKAIDVAERRLATDKWPEYYDTKRARFIGKQAHLYQTWSIAGFLVAKLLIEKPDAARNIWNDEDAEIVNALNIMADSNPRRKRGRKVLKKTYIV >Ma01_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9523564:9540677:1 gene:Ma01_g13010 transcript:Ma01_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPTPLDLDSLLRSHGGDLFSSSSDDDDDGGGGDAELPPAVRRRTVEEILNDSDSDSDSDSDSSSFHLAKTPVLVSKALTLTPEQKPTEGAGVAEEVARRVQESVSEIAEEPSTSFDWRRRSRELSASVSLSSLGLWNATSSSSSSSSRPLPSFFGGVRPNPKPGAALAAAAAASRAVPTPHAVAIKNSRASIGSVWKDLEESGGDAVGSAGSEGLDGSERSEVTVVSENLEPGGVEGEVLGSSVEASEDVHGDDEVSEVGHGSVSANEIVTPGKLEEDAMDLVETGQELSVHVIPDENAPKGDDDLLFTDDDVVHENTVDTNKEVEPEMPEMEREDIGEENSPDEVRSTREETQVVSDIDRLIEERLVQLENSKKAEKKAEKKLRASMKPLEWAEELEKRHASSGLHWEEGVAAQPMRLEGIRRGPPAVGYLQIDIDNAITRAISSQSFKRDHGSPQVVAVHMNYIAVGMSKGAVIVLTSKYSTHLVDNMDSKMLTFGSHGEKSQTPATSMCFNQQGDLLLVGYGDGHLTVWDVQKAIAAKVITGEHAAPVVHTLFLGQDPQTTRQFKAVTGDCKGLVLLHTVSVVPLLNRFSIKTQCLLDGQKTGTVLCACPLLMDDFHGFVNPSTQGYSAMSSNGLGSMVGGVVGGVVGGEAGWKLFNEGSSLVEEGVVIFVTHQNALVVRLSPNVEVFNKFPRPDGVREGSMPYAAWKWTSVHDSSPDSSDKVSWLAIAWDRRVQVAKLVKSEMKRHKEWSLDSAAVGVAWLDDQMLVVVTLRGHLCLFSKDGIELHRTSFIVSGLGIDDVITYNTYFSNTFGNPEKAFHNSIAVRGATVYILGPMHLIVSRLLPWRERIQVLQRAGDWMGALDMSMRLYDGNAHGVIDLPRTVDAIREVIMPFLVELILSYVDEVFSYISVAFCNQIEKVDLVEGMKSADSTLLAEIEGQYARVGGVAVEFCVHIKRTDILFDTIFSKFVAVEHGGTFLEILEPYILKDVLGSLPPEIMQALVEHYSSRGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYGALIYLFNRGLDDYKTPLEELLVVVQDTSRGDAASVGYRMLVYLKYCFQGLAFPPGHGTLPSSSLQSVRVELLQFLLEDSKNSNSEVLKSFKASCGLCPNLCYLLWLDTESTLEVLQCAFAEEGHKQMDESVQCKSESNVEHEKEDDFQSLENQDAIVQNIVDKLINILDLESEVIKSFVMEHSVDVWPSKKDLSQLLLFIAFLVSYKQATISGRVLKHILQYLTSHDLASYDPNNEAEASQREKQVLTLLKIVPQADWNPDDLICLCVDAHFYQACGLIHEIRGQYIDALDNYMKDLDEPIHAFAFINKILLQLKNNEASCFETAVILRIPELVKLSRECTFFLVIDQFSSQCQHILSELRSHPQSLFLFLKTTIDVHLSGNLSFPVLETVQGSNGSFGKIRDTPNDLEEYAKRLSSFPKLLHHNPIHVTDELTELYLVLLCQFERSSVLKFLETFDNYRLEQCLRICQEHGVTDAAAFLLERVGDVSSALILMLTGLKEKIELLVDAVERIHPQMVSSNSFGLEQLEDILKLKEVVSVHDVLHASISLCERNTRRLDPVESESLWFRLLDFFSEPLKWLFASKEVSMKQSHQNAEPANVVETMSKVSHKKCATILRKLFSQFVGELIEGMSEYIPLPVVMAKLLSDNGNREFGDFKLTILRMLGTYGYERRILGTAKSLIEDDTFYSLSLLRKGASHAYALQDFICCICGCSLTKGSAYGIRVFSCGHSTHLQCEFEESRKDPLVGCPVCLPKRNAHAQSKSFFVENSLIKTSTSSSRLSEGFHNTQHMHESDTTEKPYGLQQISRFEILSDLQKTDKYLGTDTIPQLRLAPPAIYHEKIQQRPGSLIGEPSDSSLKNEKPNKRWQLKELKSRGSLNMFPLKSNIFGHEKNKVR >Ma01_p13010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9523564:9541399:1 gene:Ma01_g13010 transcript:Ma01_t13010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPTPLDLDSLLRSHGGDLFSSSSDDDDDGGGGDAELPPAVRRRTVEEILNDSDSDSDSDSDSSSFHLAKTPVLVSKALTLTPEQKPTEGAGVAEEVARRVQESVSEIAEEPSTSFDWRRRSRELSASVSLSSLGLWNATSSSSSSSSRPLPSFFGGVRPNPKPGAALAAAAAASRAVPTPHAVAIKNSRASIGSVWKDLEESGGDAVGSAGSEGLDGSERSEVTVVSENLEPGGVEGEVLGSSVEASEDVHGDDEVSEVGHGSVSANEIVTPGKLEEDAMDLVETGQELSVHVIPDENAPKGDDDLLFTDDDVVHENTVDTNKEVEPEMPEMEREDIGEENSPDEVRSTREETQVVSDIDRLIEERLVQLENSKKAEKKAEKKLRASMKPLEWAEELEKRHASSGLHWEEGVAAQPMRLEGIRRGPPAVGYLQIDIDNAITRAISSQSFKRDHGSPQVVAVHMNYIAVGMSKGAVIVLTSKYSTHLVDNMDSKMLTFGSHGEKSQTPATSMCFNQQGDLLLVGYGDGHLTVWDVQKAIAAKVITGEHAAPVVHTLFLGQDPQTTRQFKAVTGDCKGLVLLHTVSVVPLLNRFSIKTQCLLDGQKTGTVLCACPLLMDDFHGFVNPSTQGYSAMSSNGLGSMVGGVVGGVVGGEAGWKLFNEGSSLVEEGVVIFVTHQNALVVRLSPNVEVFNKFPRPDGVREGSMPYAAWKWTSVHDSSPDSSDKVSWLAIAWDRRVQVAKLVKSEMKRHKEWSLDSAAVGVAWLDDQMLVVVTLRGHLCLFSKDGIELHRTSFIVSGLGIDDVITYNTYFSNTFGNPEKAFHNSIAVRGATVYILGPMHLIVSRLLPWRERIQVLQRAGDWMGALDMSMRLYDGNAHGVIDLPRTVDAIREVIMPFLVELILSYVDEVFSYISVAFCNQIEKVDLVEGMKSADSTLLAEIEGQYARVGGVAVEFCVHIKRTDILFDTIFSKFVAVEHGGTFLEILEPYILKDVLGSLPPEIMQALVEHYSSRGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYGALIYLFNRGLDDYKTPLEELLVVVQDTSRGDAASVGYRMLVYLKYCFQGLAFPPGHGTLPSSSLQSVRVELLQFLLEDSKNSNSEVLKSFKASCGLCPNLCYLLWLDTESTLEVLQCAFAEEGHKQMDESVQCKSESNVEHEKEDDFQSLENQDAIVQNIVDKLINILDLESEVIKSFVMEHSVDVWPSKKDLSQLLLFIAFLVSYKQATISGRVLKHILQYLTSHDLASYDPNNEAEASQREKQVLTLLKIVPQADWNPDDLICLCVDAHFYQACGLIHEIRGQYIDALDNYMKDLDEPIHAFAFINKILLQLKNNEASCFETAVILRIPELVKLSRECTFFLVIDQFSSQCQHILSELRSHPQSLFLFLKTTIDVHLSGNLSFPVLETVQGSNGSFGKIRDTPNDLEEYAKRLSSFPKLLHHNPIHVTDELTELYLVLLCQFERSSVLKFLETFDNYRLEQCLRICQEHGVTDAAAFLLERVGDVSSALILMLTGLKEKIELLVDAVERIHPQMVSSNSFGLEQLEDILKLKEVVSVHDVLHASISLCERNTRRLDPVESESLWFRLLDFFSEPLKWLFASKEVSMKQSHQNAEPANVVETMSKVSHKKCATILRKLFSQFVGELIEGMSEYIPLPVVMAKLLSDNGNREFGDFKLTILRMLGTYGYERRILGTAKSLIEDDTFYSLSLLRKGASHAYALQDFICCICGCSLTKGSAYGIRVFSCGHSTHLQCEFEESRKDPLVGCPVCLPKRNAHAQSKSFFVENSLIKTSTSSSRLSEGFHNTQHMHESDTTEKPYGLQQISRFEILSDLQKTDKYLGTDTIPQLRLAPPAIYHEKIQQRPGSLIGEPSDSSLKNEKPNKRWQLKELKSRGSLNMFPLKSNIFGHEKNKVR >Ma01_p13010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9523564:9541399:1 gene:Ma01_g13010 transcript:Ma01_t13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPTPLDLDSLLRSHGGDLFSSSSDDDDDGGGGDAELPPAVRRRTVEEILNDSDSDSDSDSDSSSFHLAKTPVLVSKALTLTPEQKPTEGAGVAEEVARRVQESVSEIAEEPSTSFDWRRRSRELSASVSLSSLGLWNATSSSSSSSSRPLPSFFGGVRPNPKPGAALAAAAAASRAVPTPHAVAIKNSRASIGSVWKDLEESGGDAVGSAGSEGLDGSERSEVTVVSENLEPGGVEGEVLGSSVEASEDVHGDDEVSEVGHGSVSANEIVTPGKLEEDAMDLVETGQELSVHVIPDENAPKGDDDLLFTDDDVVHENTVDTNKEVEPEMPEMEREDIGEENSPDEVRSTREETQVVSDIDRLIEERLVQLENSKKAEKKAEKKLRASMKPLEWAEELEKRHASSGLHWEEGVAAQPMRLEGIRRGPPAVGYLQIDIDNAITRAISSQSFKRDHGSPQVVAVHMNYIAVGMSKGAVIVLTSKYSTHLVDNMDSKMLTFGSHGEKSQTPATSMCFNQQGDLLLVGYGDGHLTVWDVQKAIAAKVITGEHAAPVVHTLFLGQDPQTTRQFKAVTGDCKGLVLLHTVSVVPLLNRFSIKTQCLLDGQKTGTVLCACPLLMDDFHGFVNPSTQGYSAMSSNGLGSMVGGVVGGVVGGEAGWKLFNEGSSLVEEGVVIFVTHQNALVVRLSPNVEVFNKFPRPDGVREGSMPYAAWKWTSVHDSSPDSSDKVSWLAIAWDRRVQVAKLVKSEMKRHKEWSLDSAAVGVAWLDDQMLVVVTLRGHLCLFSKDGIELHRTSFIVSGLGIDDVITYNTYFSNTFGNPEKAFHNSIAVRGATVYILGPMHLIVSRLLPWRERIQVLQRAGDWMGALDMSMRLYDGNAHGVIDLPRTVDAIREVIMPFLVELILSYVDEVFSYISVAFCNQIEKVDLVEGMKSADSTLLAEIEGQYARVGGVAVEFCVHIKRTDILFDTIFSKFVAVEHGGTFLEILEPYILKDVLGSLPPEIMQALVEHYSSRGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYGALIYLFNRGLDDYKTPLEELLVVVQDTSRGDAASVGYRMLVYLKYCFQGLAFPPGHGTLPSSSLQSVRVELLQFLLEDSKNSNSEVLKSFKASCGLCPNLCYLLWLDTESTLEVLQCAFAEEGHKQMDESVQCKSESNVEHEKEDDFQSLENQDAIVQNIVDKLINILDLESEVIKSFVMEHSVDVWPSKKDLSQLLLFIAFLVSYKQATISGRVLKHILQYLTSHDLASYDPNNEAEASQREKQVLTLLKIVPQADWNPDDLICLCVDAHFYQACGLIHEIRGQYIDALDNYMKDLDEPIHAFAFINKILLQLKNNEASCFETAVILRIPELVKLSRECTFFLVIDQFSSQCQHILSELRSHPQSLFLFLKTTIDVHLSGNLSFPVLETVQGSNGSFGKIRDTPNDLEEYAKRLSSFPKLLHHNPIHVTDELTELYLVLLCQFERSSVLKFLETFDNYRLEQCLRICQEHGVTDAAAFLLERVGDVSSALILMLTGLKEKIELLVDAVERIHPQMVSSNSFGLEQLEDILKLKEVVSVHDVLHASISLCERNTRRLDPVESESLWFRLLDFFSEPLKWLFASKEVSMKQSHQNAEPANVVETMSKVSHKKCATILRKLFSQFVGELIEGMSEYIPLPVVMAKLLSDNGNREFGDFKLTILRMLGTYGYERRILGTAKSLIEDDTFYSLSLLRKGASHAYALQDFICCICGCSLTKGSAYGIRVFSCGHSTHLQCEFEESRKDPLVGCPVCLPKRNAHAQSKSFFVENSLIKTSTSSSRLSEGFHNTQHMHESDTTEKPYGLQQISRFEILSDLQKTDKYLGTDTIPQLRLAPPAIYHEKIQQRPGSLIGEPSDSSLKNEKPNKRWQLKELKSRGSLNMFPLKSNIFGHEKNKVR >Ma01_p21930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22231388:22232823:-1 gene:Ma01_g21930 transcript:Ma01_t21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRAKAVAAAVVVSLLFLLVILWQMSMARRSAVEREELRVDYSFLRKVAGLPIKFRIEVLVAATDNFQVLLGSGSSASVFRGILDDGTSVAVKRIDAAERGDREFRAEVSAIASIQHVNLVRLRGYCIVAGGPRFLVYEFVANGSLDKWIFPSAGGDREDQQCLPWALRYRAAVDVAKALSYLHHDCRDCVLHLDVKPENILLDESFRALVTDFGLSKLMGKDESTVLTTIRGTRGYLAPEWIIGTGVSDKSDIYSYGMVLLEMVSGRRNVQLVGGGAASQTKWSYFPRIVNEKVRQGRMMEVVDERLKSGGEPPAEREVRTLVHVALWCIHEKAEARPSMARVVDMLERRIAVDELPLQTEMIISRILASDEPNVLEDGAAGDTRAAAAAAVAVSGLESHLSTSYSLDMSDLSGR >Ma01_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22231388:22232823:-1 gene:Ma01_g21930 transcript:Ma01_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRAKAVAAAVVVSLLFLLVILWFCLGPSLVFFIVLGLGFAVILTVLAWVLAMYTALDRRQMSMARRSAVEREELRVDYSFLRKVAGLPIKFRIEVLVAATDNFQVLLGSGSSASVFRGILDDGTSVAVKRIDAAERGDREFRAEVSAIASIQHVNLVRLRGYCIVAGGPRFLVYEFVANGSLDKWIFPSAGGDREDQQCLPWALRYRAAVDVAKALSYLHHDCRDCVLHLDVKPENILLDESFRALVTDFGLSKLMGKDESTVLTTIRGTRGYLAPEWIIGTGVSDKSDIYSYGMVLLEMVSGRRNVQLVGGGAASQTKWSYFPRIVNEKVRQGRMMEVVDERLKSGGEPPAEREVRTLVHVALWCIHEKAEARPSMARVVDMLERRIAVDELPLQTEMIISRILASDEPNVLEDGAAGDTRAAAAAAVAVSGLESHLSTSYSLDMSDLSGR >Ma08_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10582826:10582995:1 gene:Ma08_g13420 transcript:Ma08_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYPEMTRALMRIGRPIFVSLCEWQKGKEY >Ma07_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4609178:4618512:-1 gene:Ma07_g06410 transcript:Ma07_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICFDWATATAMARDAEIKQGLADTDPEGEKLKELKTCRINLVGISGHPQLELSSSHLISVHHVTQDLKGTDGFISKNYFDPGYPMYENIIGVLHDLFFKLLNKVGQCLMRAEDIMDLRGLARCEVQLKTWSQLLEVLAVVKVFSDLFEGAGQFLHSLLLKQRRMLNVLLRRAKRDHKLRWFLKYKDVTDFAARRNLVLMMLPVAKEEDELHEMLIDRSQLLPESFEYIGQVDATKLHGGLFMEFKDEEATGPGVLREWFCLLCKAIFNPDSPLFLPCPHDHRRFFPNPASAVDPLHLEYFNFVGRVIALALMHKVQVGVVFDRVFFLQLAGKSITFEDVCDADPVLYRGCKQILEMDEAFLDSDALGLTFTRDIEMLGSKTTVELCPGGKNITVDSRNREEYVNLMIKHCFVTSISEQIARFAQGFSDFLSNSEDLQFFFNSLDLEDFDRMLGGSNNVISVREWKEHTDYDGYKSRDPQICWFWKIVEGMPEEKRRRLLFFWTSIRYLPVNGFRGLSSRLYIYRCSDSKERLPTSHTCFFSLNLPAYSTKSIMRDRLHLITREHVSCSFGTS >Ma07_p06410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4609178:4616333:-1 gene:Ma07_g06410 transcript:Ma07_t06410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSSPTAAAFPRFLSSQHHHERKNDDYADQDHSFPLLKMVKPWPPLSFYPLSFSPATVDDADEDLQFFVRLLTGESLVIHARPTDTVDSVIERIEKVTGIPCYQQRLIYRGRQLQGDSTLLDSAVKKDTTLQLTCGLRSTDQPTSWRVVSDLISSIWFLNTAAPGTHSSVRQWNTVDLLVKRFLANTLLDSDADKKKISARLHVFILAGAPSELVKLYLSPVLENRVIAAVAIRIFLTPDPDFLPAQMHLHCAPIVLTFCKMLAASVGRKDRLYIGCRSTLARLLKSGPPYYVHWTSVHLLTDLQVFIAELVGLADVALSSHTMYISYVVITDLSNFLFAVHHVTQDLKGTDGFISKNYFDPGYPMYENIIGVLHDLFFKLLNKVGQCLMRAEDIMDLRGLARCEVQLKTWSQLLEVLAVVKVFSDLFEGAGQFLHSLLLKQRRMLNVLLRRAKRDHKLRWFLKYKDVTDFAARRNLVLMMLPVAKEEDELHEMLIDRSQLLPESFEYIGQVDATKLHGGLFMEFKDEEATGPGVLREWFCLLCKAIFNPDSPLFLPCPHDHRRFFPNPAGKSITFEDVCDADPVLYRGCKQILEMDEAFLDSDALGLTFTRDIEMLGSKTTVELCPGGKNITVDSRNREEYVNLMIKHCFVTSISEQIARFAQGFSDFLSNSEDLQFFFNSLDLEDFDRMLGGSNNVISVREWKEHTDYDGYKSRDPQICWFWKIVEGMPEEKRRRLLFFWTSIRYLPVNGFRGLSSRLYIYRCSDSKERLPTSHTCFFSLNLPAYSTKSIMRDRLHLITREHVSCSFGTS >Ma07_p06410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4609178:4616333:-1 gene:Ma07_g06410 transcript:Ma07_t06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSSPTAAAFPRFLSSQHHHERKNDDYADQDHSFPLLKMVKPWPPLSFYPLSFSPATVDDADEDLQFFVRLLTGESLVIHARPTDTVDSVIERIEKVTGIPCYQQRLIYRGRQLQGDSTLLDSAVKKDTTLQLTCGLRSTDQPTSWRVVSDLISSIWFLNTAAPGTHSSVRQWNTVDLLVKRFLANTLLDSDADKKKISARLHVFILAGAPSELVKLYLSPVLENRVIAAVAIRIFLTPDPDFLPAQMHLHCAPIVLTFCKMLAASVGRKDRLYIGCRSTLARLLKSGPPYYVHWTSVHLLTDLQVFIAELVGLADVALSSHTMYISYVVITDLSNFLFAVHHVTQDLKGTDGFISKNYFDPGYPMYENIIGVLHDLFFKLLNKVGQCLMRAEDIMDLRGLARCEVQLKTWSQLLEVLAVVKVFSDLFEGAGQFLHSLLLKQRRMLNVLLRRAKRDHKLRWFLKYKDVTDFAARRNLVLMMLPVAKEEDELHEMLIDRSQLLPESFEYIGQVDATKLHGGLFMEFKDEEATGPGVLREWFCLLCKAIFNPDSPLFLPCPHDHRRFFPNPASAVDPLHLEYFNFVGRVIALALMHKVQVGVVFDRVFFLQLAGKSITFEDVCDADPVLYRGCKQILEMDEAFLDSDALGLTFTRDIEMLGSKTTVELCPGGKNITVDSRNREEYVNLMIKHCFVTSISEQIARFAQGFSDFLSNSEDLQFFFNSLDLEDFDRMLGGSNNVISVREWKEHTDYDGYKSRDPQICWFWKIVEGMPEEKRRRLLFFWTSIRYLPVNGFRGLSSRLYIYRCSDSKERLPTSHTCFFSLNLPAYSTKSIMRDRLHLITREHVSCSFGTS >Ma06_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14134936:14136942:-1 gene:Ma06_g20080 transcript:Ma06_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRDREEAAEGGRGGGMGRLVTAELRELWTMAAPITALNCLVYLRAMVSVVCLGRLGPLELAGGALSIGFTNITGYSVLFGLASGLEPLCSQAYGSRNWELISLSLQRTILLLLLAAVPIAVLWVNLGPILVALGQDPAITAAAATYCLHSLPDLLTNALLQPLRVFLRSQGIARPMAACSAAAVLLHIPLNFLLVFVLRLGVPGVALAAVVTNLNMALFLLGYLRVSRACELSWRGWSRASLRGLSPVLRLALPSCIGVCLEWWWYEIMTVLAGYLPDPTSAVAATAVLIQTTSLMYTVPMALAACVSTRVGSELGAGRPKRAKMAALVALGCAVVIGVIHVAWTTLFREQWARLFTVDASVLRLAAAALPLVGLCELGNCPQTTGCGVLRGTARPATGARINLLSFYLVGAPVAVGLAFQLRIGFGGLWYGLLTAQAVCVVLVLAVVLLRTDWEVEALRAKKLTNLDVTVMSEDAKALIICDSDDEAVRGI >Ma10_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23311819:23320593:1 gene:Ma10_g09110 transcript:Ma10_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGLIGETSRPRRARRLGRAWKRRCSLWLFSLSLISLVYLAFFGARLPFDSLHHGHVNERIFANEVEKSKMRHANDYISEDINTLLEGQGSHASLGKEISVNKSNFRNLGFASDIKNNLSHARADSQLEGSKHSRAKSRKRHVPCEVGFAESVDNLVEPENYLNFTKFSLEYITKEETSNKNVVIEPRFGGHQTLEQREKSFYARNQTIYCGFVQAPEGYRGTGFDLSEKDGEYMASCIVVVSSCIFGNSDFLRRPTSSKIGTYSKKNVCFMMFLDELTLKKLSSEGHVPDDMGNIGLWRIIVVKNLPYADMRKTGKVPKFLSHRLFPSARFSIWIDSKMRLHADPMLILEYFLWRTRSEYAISNHYDRHCVWEEVLQNKRLNKYNHTVIDQQFMFYQSDGLSKFNESEHSSILPSFVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQIVSGEQLANCSIISW >Ma10_p09110.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23311819:23320297:1 gene:Ma10_g09110 transcript:Ma10_t09110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGLIGETSRPRRARRLGRAWKRRCSLWLFSLSLISLVYLAFFGARLPFDSLHHGHVNERIFANEVEKSKMRHANDYISEDINTLLEGQGSHASLGKEISVNKSNFRNLGFASDIKNNLSHARADSQLEGSKHSRAKSRKRHVPCEVGFAESVDNLVEPENYLNFTKFSLEYITKEETSNKNVVIEPRFGGHQTLEQREKSFYARNQTIYCGFVQAPEGYRGTGFDLSEKDGEYMASCIVVVSSCIFGNSDFLRRPTSSKIGTYSKKNVCFMMFLDELTLKKLSSEGHVPDDMGNIGLWRIIVVKNLPYADMRKTGKVPKFLSHRLFPSARFSIWIDSKMRLHADPMLILEYFLWRTRSEYAISNHYDRHCVWEEVLQNKRLNNCSRRFFYRTSSYAYVKFIFLPLV >Ma10_p09110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23311819:23320593:1 gene:Ma10_g09110 transcript:Ma10_t09110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGLIGETSRPRRARRLGRAWKRRCSLWLFSLSLISLVYLAFFGARLPFDSLHHGHVNERIFANEVEKSKMRHANDYISEDINTLLEGQGSHASLGKEISVNKSNFRNLGFASDIKNNLSHARADSQLEGSKHSRAKSRKRHVPCEVGFAESVDNLVEPENYLNFTKFSLEYITKEETSNKNVVIEPRFGGHQTLEQREKSFYARNQTIYCGFVQAPEGYRGTGFDLSEKDGEYMASCIVVVSSCIFGNSDFLRRPTSSKIGTYSKKNVCFMMFLDELTLKKLSSEGHVPDDMGNIGLWRIIVVKNLPYADMRKTGKVPKFLSHRLFPSARFSIWIDSKMRLHADPMLILEYFLWRTRSEYAISNHYDRHCVWEEVLQNKRLNKYNHTVIDQQFMFYQSDGLSKFNESEHSSILPSFVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFAYTFLKLKRMNPGRPFYLNMFKDCERRAIGKLFHHKLVDHSSPVL >Ma10_p09110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23311819:23319115:1 gene:Ma10_g09110 transcript:Ma10_t09110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGLIGETSRPRRARRLGRAWKRRCSLWLFSLSLISLVYLAFFGARLPFDSLHHGHVNERIFANEVEKSKMRHANDYISEDINTLLEGQGSHASLGKEISVNKSNFRNLGFASDIKNNLSHARADSQLEGSKHSRAKSRKRHVPCEVGFAESVDNLVEPENYLNFTKFSLEYITKEETSNKNVVIEPRFGGHQTLEQREKSFYARNQTIYCGFVQAPEGYRGTGFDLSEKDGEYMASCIVVVSSCIFGNSDFLRRPTSSKIGTYSKKNVCFMMFLDELTLKKLSSEGHVPDDMGNIGLWRIIVVKNLPYADMRKTGKVPKFLSHRLFPSARFSIWIDSKMRLHADPMLILEYFLWRTRSEYAISNHYDRHCVWEEVLQNKRLNNCSRRFFYRTSSYAYVKFIFLPLV >Ma11_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26014176:26014655:1 gene:Ma11_g22140 transcript:Ma11_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRSPTTSHLGNLQAIVHDDADEHPSSHVFRRVIHRARPASSALRALRSAASYPDRRGTVVLYFTSLHIVRRTFEDCRAVRSILRGLRVVIDERDLSVDSRSLAELQAAIGPPSRRSSSLAAALAAPTRSAASTSPASSRLSSTGQTPPPHSPVVSL >Ma04_p11700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8300666:8316071:1 gene:Ma04_g11700 transcript:Ma04_t11700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRVVQMSASSMAPAAPPQQPPPTLPSAVSRSPPAHPQSHPPSALSLGLLPLPLPLPLLPAPVTRHPAGSDSVEHVAPPVAKVKLADIVPYEGAPGGVYSKAVEELSGSLMRHNAVVIELGSEEAVVVKCALESARMCFKARAQCNGAGSGVSGWGKLGRGVYTYRAGRALEDGDLSPACMGDAFRCMGKAARASLCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLIAACSHASHTNNSKGQIGGKSSITEVEKGLLTLIASDSPGIQVCDPNGHWFLADGGSGPGDLLLLTGRALSHATAGLRPAASYRMIVECFPGTVTRGRVSLTFKLMPQSNAILDCSPIAVAGHVAPQSYQPISVSQFMDDLSAEEDAMCNHPDNIYETQNDPMKEPSLRSILSDPLSGAFLEDAMVVSCGHSFGGHMLKKVIEMARCNICNEEIETGMLIPNYAVRAAATTVKMEDDKRLFHNAALRKRRKEVGEQMEALKRLAKVNGEIAAESDGPRQLKGVQYPFVVNEKVLIKGNKRTADKLVGKEAIITSQCLNGWYLLKILDSGESVRLQYRSLQKLPSSQADDRIQPQPLLISSN >Ma04_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8300666:8315665:1 gene:Ma04_g11700 transcript:Ma04_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRVVQMSASSMAPAAPPQQPPPTLPSAVSRSPPAHPQSHPPSALSLGLLPLPLPLPLLPAPVTRHPAGSDSVEHVAPPVAKVKLADIVPYEGAPGGVYSKAVEELSGSLMRHNAVVIELGSEEAVVVKCALESARMCFKARAQCNGAGSGVSGWGKLGRGVYTYRAGRALEDGDLSPACMGDAFRCMGKAARASLCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLIAACSHASHTNNSKGQIGGKSSITEVEKGLLTLIASDSPGIQVCDPNGHWFLADGGSGPGDLLLLTGRALSHATAGLRPAASYRMIVECFPGTVTRGRVSLTFKLMPQSNAILDCSPIAVAGHVAPQSYQPISVSQFMDDLSAEEDAMCNHPDNIYETQNDPMKEPSLRSILSDPLSGAFLEDAMVVSCGHSFGGHMLKKVIEMARCNICNEEIETGMLIPNYAVRAAATTVKMEDDKRLFHNAALRKRRKEVGEQMEALKRLAKVNGEIAAESDGPRQLKGVQYPFVVNEKVLIKGNKRTADKLVGKEAIITSQCLNG >Ma04_p11700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8300666:8316089:1 gene:Ma04_g11700 transcript:Ma04_t11700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRVVQMSASSMAPAAPPQQPPPTLPSAVSRSPPAHPQSHPPSALSLGLLPLPLPLPLLPAPVTRHPAGSDSVEHVAPPVAKVKLADIVPYEGAPGGVYSKAVEELSGSLMRHNAVVIELGSEEAVVVKCALESARMCFKARAQCNGAGSGVSGWGKLGRGVYTYRAGRALEDGDLSPACMGDAFRCMGKAARASLCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLIAACSHASHTNNSKGQIGGKSSITEVEKGLLTLIASDSPGIQVCDPNGHWFLADGGSGPGDLLLLTGRALSHATAGLRPAASYRMIVECFPGTVTRGRVSLTFKLMPQSNAILDCSPIAVAGHVAPQSYQPISVSQFMDDLSAEEDAMCNHPDNIYETQNDPMKEPSLRSILSDPLSGAFLEDAMVVSCGHSFGGHMLKKVIEMARCNICNEEIETGMLIPNYAVRAAATTVKMEDDKRLFHNAALRKRRKEVGEQMEALKRLAKVNGEIAAESDGPRQLKGVQYPFVVNEKVLIKGNKRTADKLVGKEAIITSQCLNGWYLLKILDSGESVRLQYRSLQKLPSSQADDRIQPQPLLISSN >Ma05_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6191196:6193934:1 gene:Ma05_g08390 transcript:Ma05_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAVDPFAKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAHRKIRLRAEDVQGKNVLTNFWGMDLTTDKVRYIVRKWLTLIEAHVDVKTTDNYTLRMFCIAFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMVNQATTCDLKDLVLKFIPDVIGKEIEKATSSIFPLQNVYIRKVKILKSPKFDLGKLMEVHGDYKEDVGVKVDRPAEDVPMEGEEVVVGA >Ma02_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27954192:27954758:-1 gene:Ma02_g22690 transcript:Ma02_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSISYHHHPVSKLSSSVRRSAMEQLAEMRADLAVQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESAEMAAVAHDVAALRLKGRDAQLNFPESAEQLPRPRSSEPKDIRSAALEAAARLRCRTTARAGISAGLERLGNDELGLDSPRLWAELAEALLLSPPAWTTEVTELEEWEQHGSLWDPFL >Ma11_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7115028:7122133:1 gene:Ma11_g08970 transcript:Ma11_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MRGETSGSGGGEAGPSSRSAAAVGERRFTPAAQPEIMRAAEKDDHYAAYVHDACRDAFRHLFGTRVAVAYQSEIKLLGQTLYYILTTGSGQQTLGEEYCDVCQVASSYGLSPTPARRMLFIVYQTAVPYLAERISSRMAARGIILAESHFDEIYGNNNPRRAQVQISDTPESSSSATMSLSTISRLRENIHGLWLWILQKWPSVLPFAREVLQLALRTNLMFFYFEGLYYHVSKRAAGIRYVFIGKPSNQRPRYQILGVFLLIQLCILGAEGLRRSNLSSITTSVHHTSLGSHQSSSGRDLPVLNEDGNPIPDNNSNKGSWATDSFALSEPQSSGGMSKCTLCLSSRQHPTATPCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLVCIYHADF >Ma06_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9581844:9582585:-1 gene:Ma06_g14000 transcript:Ma06_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSITSAAPEASSPAHCRAHKAFLLSNYVLLGAASSCIFLTLSLRLLPSACGFLLILLQALTIAAAIAGCAAASSGSSTRWYGAHMVATVLAAILQGAVAVLVFTRTSDFLAEGLKSYVREEDGAVILRMVGGLCVVIFCMEWVVMALAFVLRYYACVDGGSAKVQQGEYTTGTWQPFQV >Ma09_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2966551:2967150:1 gene:Ma09_g04580 transcript:Ma09_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGTERSMNRVVCGVELSTSNSNIVFGWTFEYLLYGDKKKKKKKKKMGNNLRCCLACVLPCGAFDVVRVVHLDGQVEEYYSRRHVSAGEIMAANPDHFLTRPCSQGVARRTLVVPAESQLKRGHIYFLVPTSTSPTQRKQKKSDRREKPAKNAPSIDVAPSEDHGCLAAEKKPRLRRRWSGRVGAWRPRLESVREDDS >Ma06_p25630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26016958:26018798:-1 gene:Ma06_g25630 transcript:Ma06_t25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLQTGICSGGSWWNPEGSGSFDAPTSMSCSTAMTDMIGGRAFCWSAASGDDSAGSAGGSSIAFQESNRMLQHSDIRPLLIDSAIPSFGLVSSSMNWTRPLSYGGRPESSGLHAMLQEDLGSRPYIRQDTPAVSNQARTGAESWTANPSSDMNQNLLVEQHHFSSGHESSDAGVGSYQFMYGNRLMNGYQSPTTSYQGSSNELWQPSWAKFSHQFLKSSHPKQQSNNQLQFTNNTPFWNASAATSVGEIRSALCSPATSQFDLQPRTIYRNLTAKMNPEGIHDSCSSSTMKTGSEPAATKKLRTETPSPLPTFKVRKEKLGDRITALQQIVSPFGKTDTASVLQEAIEYIKFLQDQVGVLSSPYLKMSDKSKDCSEPNQDLRSRGLCLVPVASTHPVASETTADFWHPTFGGIFR >Ma06_p32120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33087583:33092513:1 gene:Ma06_g32120 transcript:Ma06_t32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEYVVRPSWSKVNSGLPKMNKFEVLKMVPIMQHFLFVFGTECQI >Ma09_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8167012:8170199:1 gene:Ma09_g12070 transcript:Ma09_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLCSLLLPLSLLILMVAAQEHTEQKDVHRSSFPTGFIFGAASAAYQYEGAAAEGHRGPSIWDTFTHDHPEAIADRSTGDVAIDFYHRSKEDMGFLKYMGMDAFRFSISWSRILPNGSLSGGVNQEGVDYYNTLINDLLDHGLQPFVTLFHWDLPQGLESQYQGFLSPSIVNDFRDYSEICFKEFGDRVKHWITMNEPWTFCDRGYSSGIFAPGRCSPWTEGKCTEGDSGREPYLCAHHQLLSHAAAVKRYRRKYQATQKGMIGITLITHWFVPYSNSKSDEDAVSRALDFMFGWFMDPLTQGDYPFTMRAIVGNRLPKFTAKQSKMVRGSFDFIGLNYYTTYFANSISLLTKLNASYETDSYTLQSGKRQGTPIGTQAASDWLFVYPEGIREALLYLKNRYNNPIIYITENGVDEVNNASWPLNEALQDDMRVDYYKNHLYFVEKAIRDGVDVRGYFAWSLLDNFEWSDGYTVRFGIVYVDYRNGLKRYPKTSAHWFQEVLNN >Ma02_p11210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20489389:20498512:1 gene:Ma02_g11210 transcript:Ma02_t11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQLALAMSILLFDAVSPASSSAPLNCSDTARVCTSFLAFKADRSAAALRQIQSMFDVFPQDVTADEDGSPGYVFVRKNCSCLSDNKYLTNTTFTVPEAASSVYPVVVDAYQGLAFLPNMTRRPARARAIVFLHLLCGCSSGLWNYLMSYVMEEGDSVESLSSRFGVSMDSIETVNGMSGPDEVVVGDVYYIPLNSVPGLPSAMDTGISPSPTPAPSPTPITFSDNSMHHSARFPYGWVFGSMGVSLALITVALLSFISLKSFNSRSQTKDPNGPVSHKFQILRNTSFCHASGRYLCCKYGNLKSSTGDAGNHHINDPKDTAADLFDMEKPIVFKYEEILSSTDNFSDSNLLGHGKYGSVYYGVLRDQEVAIKRMTAMKTKEFMAEMKVLCKVHHASLVELIGYAASDDELFLIYEYAEKGSLKSHLHDPQNKGQASLSWISRVQIALDTARGLEYIHEHTKDQYVHRDIKTSNILLNSSFRAKISDFGLAKLVAKTGDGDVSTTKVVGTIGYLAPEYLHDGLATTKSDVYAFGVVLFELISGKGAITRTEGMVLSNSERRSLASVMLAALRSSTNSVIMGSLRDYVDPSLMNLYPHDCVYKMAMLAKQCVDDDPILRPDMKQVVISLSQILLSSIEWEATLAGNSQVFSGLVQGR >Ma02_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20489389:20496166:1 gene:Ma02_g11210 transcript:Ma02_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQLALAMSILLFDAVSPASSSAPLNCSDTARVCTSFLAFKADRSAAALRQIQSMFDVFPQDVTADEDGSPGYVFVRKNCSCLSDNKYLTNTTFTVPEAASSVYPVVVDAYQGLAFLPNMTRRPARARAIVFLHLLCGCSSGLWNYLMSYVMEEGDSVESLSSRFGVSMDSIETVNGMSGPDEVVVGDVYYIPLNSVPGLPSAMDTGISPSPTPAPSPTPITFSDNSMHHSARFPYGWVFGSMGVSLALITVALLSFISLKSFNSRSQTKDPNGPVSHKFQILRNTSFCHASGRYLCCKYGNLKSSTGDAGNHHINDPKDTAADLFDMEKPIVFKYEEILSSTDNFSDSNLLGHGKYGSVYYGVLRDQEVAIKRMTAMKTKEFMAEMKVLCKVELIGYAASDDELFLIYEYAEKGSLKSHLHDPQNKGQASLSWISRVQIALDTARGLEYIHEHTKDQYVHRDIKTSNILLNSSFRAKISDFGLAKLVAKTGDGDVSTTKVVGTIGYLAPE >Ma03_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4846393:4852554:1 gene:Ma03_g07060 transcript:Ma03_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MVLWVFGYGSLIWKAGFRYDERRVGFIKGFRRVFYQGSTDHRGTPDFPGRTVTLEPLRGAICWGVAYKVSGEEDQRIALEHLEIREKQYDMKVYLEFYTDPASSTPAINHVMVYIGSADKKANQNYLGPASLDEMARQIAEAEGPSGPNRDYLFQLDDALKQIGCDDTHVRDLSNAVRRILSTKAAA >Ma10_p29310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35942101:35945819:1 gene:Ma10_g29310 transcript:Ma10_t29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTACFIIVSRNDIPIYEAEVGSAMKKEEAAQQHQFILHAALDIVEDLAWTTSAMFLKAVDKFNDLVVSVYVTAGHTRFMLLHDSRNEDGIKSFFQEVQELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >Ma10_p29310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35942101:35945819:1 gene:Ma10_g29310 transcript:Ma10_t29310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTACFIIVSRNDIPIYEAEVGSAMKKEEAAQQHQFILHAALDIVEDLAWTTSAMFLKAVDKFNDLVVSVYVTAGHTRFMLLHDSRNEDGIKSFFQEVQELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >Ma10_p29310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35942101:35945819:1 gene:Ma10_g29310 transcript:Ma10_t29310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANTACFIIVSRNDIPIYEAEVGSAMKKEEAAQQHQFILHAALDIVEDLAWTTSAMFLKAVDKFNDLVVSVYVTAGHTRFMLLHDSRNEDGIKSFFQEVQELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >Ma10_p29310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35942077:35945819:1 gene:Ma10_g29310 transcript:Ma10_t29310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANTACFIIVSRNDIPIYEAEVGSAMKKEEAAQQHQFILHAALDIVEDLAWTTSAMFLKAVDKFNDLVVSVYVTAGHTRFMLLHDSRNEDGIKSFFQEVQELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >Ma07_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1187904:1193877:-1 gene:Ma07_g01520 transcript:Ma07_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSCDCHYTLVCCSRSSVRCYPPCPPKSQWADAKNVAPTEAPVSVVLEEKPSEEKVVVEQNTEEDGKVEISLKSSLKKPRASDSEQVEKRNVKWMDLLGKELLEIREFETIESEESEGYADGNTTCICAIQ >Ma07_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5456461:5465321:-1 gene:Ma07_g07380 transcript:Ma07_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKIMKRGNRKGPKSEAAEPAAAAAVPGSNVTVNHASRTAVPSSGAAAGAHHLPASPAGATPQIESLPLFRDVPVAERQALFVRKLQICAVIFDFSDTLKSAREKEMKRQTLSELVDVVQSSSIRLGESVQEELVRTVAVNIFRGLPPASHENTGSEVTDPEEEDPYLDPAWPHLQLVYELLLRYVISSDTDTKVAKRYIDHSFVLRILDLFESEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRVLIPLHRPKPVGMYHQQLSYCIVQFVEKDYKLADTIIRGLLKYWPVTNCQKEVLFLGELEEVLEATQPAEFQRCMVPLFKQISHCLSSSHFQVAERALFLWNNDHIVSLIAQNRSVIFPIIFEALEKNMQGHWNQAVHGLTANVRKMFLDMDSELFEACQLQYIEKEENAKSLEEKRESAWRRLEAIVEAKAAGEDMVVAN >Ma05_p27950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39055877:39063099:1 gene:Ma05_g27950 transcript:Ma05_t27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYWLCCSCHPNKKEHMMRPTGGQHRGSKYSTSRKKPRKPPPIKVPKFSSEELKPKTDKFDSKSMVGEGSHGKEYSTVLNNGKKVAIRELDVSSEDERNEILTQVSVASNLKHENFVEMLGYCVEQNMLLLAYEDATLGSLQDILHGEKGAESGLLLDWTQRLKIALDAAKGLQYLHKEVQPPIIHCEIRSSNVLLFEGFKAKIANYNLLNQASDVVTRIRSVRASGTSVYHAPEYAMAGQLTEKSDVYSFGVVLLELLTGRKTTETELPKEQQNVVTWATPRLRKDQFRECVDPKLKGKYSIRGAAKLAALAALCVQHDVEFRPYMSNVVKILSSILEDCPPPPPDAPPPPPPNA >Ma06_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1620532:1624357:-1 gene:Ma06_g02010 transcript:Ma06_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSQGNIVHFWLPYHYLLSLSALVCSLVYLPYQEIEAAESTLTTFKPYEITEEMRQEMDYNADRAWYDRDEHNTMFDGDSSSLFGGDDASYKKKEASLAKKLTRKDGTLMTLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQIDFEDEDERKVILLVHGMLKLDLIVLQYYSVMSLSLPLMLCSSEYLGVLFLYNTYARSKLPFCLRSLLGSVVNTSSFLRDPEGPSLIDKIKDLNNRNCPALDLSDIPSSMINIHAS >Ma11_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4107467:4108000:-1 gene:Ma11_g05370 transcript:Ma11_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHSGTTFGSHFWLSIRRVCPFKCKCNAFKIDLYFVCYTFSDICLTLINFFLLCIGAFQSYGQFFETGFLFDLCAIQTLLSPGWQLHLCFNFLVNHLVLEISVVQCLSNVSSAVISCCLQETTAAVILPRRNNELGYLIFMFFRILSDLFIFPEKEKRDAIGDRLHSSSEHLQHKR >Ma11_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5329265:5333927:1 gene:Ma11_g06570 transcript:Ma11_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRPAVHPVEAPPLTDAAENPPRVRMKEIQGMPGTSGGLALRLSQFVFAAAALGVMVSASDFASVTAFCYLVAATILQGLWSISLAFLDIYALLVKRCLRNPRALHLFTLGDGITATLTFAAACASSGITVLIGNDLNICSQNHCTSFETATAMAFISWFAVCPSFLMNFWSLACR >Ma09_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8335251:8340646:-1 gene:Ma09_g12370 transcript:Ma09_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRYSRPDKPVSGKWKKLRDLMIRSDNRICADCCAPDPKWASANIGVFICLKCSEVHRSLGAEISKVLSLTLDEWSETDMDSMIQVGGNSYANSIYEAFLPKDYPKPKPNSSNEERTKFISSKYVSQDFLTPSLRIVSSKMSFQTLESGKDLGCSITSNSSKKNEEMGQFLGNLKIKVVRGSNLAVRDMLTSDPYVVLILGQQKAQTTVKKSNLNPVWNEELKFSIPQRYGALKLQVYDHDVLSADDIMGEAEIDLQPMITAMMAFGDSELLANMQIGKWLKTSDNALVEDSIINIVDGKIKQEVLLKLQNVESGEIELELEWMPLDE >Ma09_p12370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8335251:8340644:-1 gene:Ma09_g12370 transcript:Ma09_t12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRYSRPDKPVSGKWKKLRDLMIRSDNRICADCCAPDPKWASANIGVFICLKCSEVHRSLGAEISKVLSLTLDEWSETDMDSMIQVGGNSYANSIYEAFLPKDYPKPKPNSSNEERTKFISSKYVSQDFLTPSLRIVSSKMSFQTLESGKDLGCSITSNSSKKNEEMGQFLGNLKIKVVRGSNLAVRDMLTSDPYVVLILGQQKAQTTVKKSNLNPVWNEELKFSIPQRYGALKLQVYDHDVLSADDIMGEAEIDLQPMITAMMAFGDSELLANMQIGKWLKTSDNALVEDSIINIVDGKIKQEVLLKLQNVESGEIELELEWMPLDE >Ma06_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5780824:5783405:-1 gene:Ma06_g08170 transcript:Ma06_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDSGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFRQWYLQHYGVEIGRKKKAPTASKKDAMEEGEAAAEEEKKSNHVTRKLEKRQQGRILDPHIEDQFGAGRLLACISSRPGQCGRSDGYILEGKELEFYTKKLQRKKGKGAAA >Ma05_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4006582:4010405:-1 gene:Ma05_g05250 transcript:Ma05_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTIYWTLNIKRRKKKSTTMQYRKVLLKPIFLLGPCVND >Ma08_p28000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40083701:40086236:-1 gene:Ma08_g28000 transcript:Ma08_t28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFILAFSLISRASYENVLKKWMPELRRFAPKVPVILVGTKLDLRNDKAYLADHPAATTITAAQGEGLRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPSRRKEMAKKNKRNSGCLMANFVCGGACVA >Ma08_p30860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41975440:41979495:-1 gene:Ma08_g30860 transcript:Ma08_t30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGDPKPVVTGYPAPLAAAAAYPYPAPPPPSYYSNVAPPPPQPQYYPRPYAAAAVAAPAYNPLFLRRLLSFFVALFLLVGLAVFIVWLVLRPRLPEIGVTSVAVSGFNLSASEQQLSADFDLNLAVHNPNRKMGIYYDHVTAAVLYGTETISEASLAPFYQEKGNTIAVRARFVAVGEYVDPDAVKGIRSDQSRGDGAVGFQVTVLAWVRFRSGAWRTRWHTMRVYCDDVPIGLKNGTTSSASGYLVGSTPKKCVANL >Ma09_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10496794:10501266:-1 gene:Ma09_g15190 transcript:Ma09_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRFSTSKEKRIRRKEVLEKKKAIDEIIKKASSVKDHLLSFPPFCHYERNVYLESGIGDQLPSPIKKYIQNLLKANMEGPYGSEWQMEEKVKRREMVSPEARYIFVRQSTNAASDENPLAKNEERLYTGQTGDQNHLVGFVHYRFIVEEDIPVVYVYELQLEACAQRKGLGKFLMQLIELIAHKNRMGAVMLTVQKANVLAMNFYTTKLRYTISTISPSRVDPLIGAEKSYEILCKTFDSEAKAKLEEKQQDDG >Ma09_p15190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10496794:10501266:-1 gene:Ma09_g15190 transcript:Ma09_t15190.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKRFSTSKEKRIRRKEVLEKKKAIDEIIKKASSVKDHLLSFPPFCHYERNGLSVYLESGIGDQLPSPIKKYIQNLLKANMEGPYGSEWQMEEKVKRREMVSPEARYIFVRQSTNAASDENPLAKNEERLYTGQTGDQNHLLEACAQRKGLGKFLMQLIELIAHKNRMGAVMLTVQKANVLAMNFYTTKLRYTISTISPSRVDPLIGAEKSYEILCKTFDSEAKAKLEEKQQDDG >Ma09_p15190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10496794:10501266:-1 gene:Ma09_g15190 transcript:Ma09_t15190.3 gene_biotype:protein_coding transcript_biotype:protein_coding METKRFSTSKEKRIRRKEVLEKKKAIDEIIKKASSVKDHLLSFPPFCHYERNGLSVYLESGIGDQLPSPIKKYIQNLLKANMEGPYGSEWQMEEKVKRREMVSPEARYIFVRQSTNAASDENPLAKNEERLYTGQTGDQNHLVGFVHYRFIVEEDIPVVYVYELQLEACAQRKGLGKFLMQLIELIAHKNRMGAVMLTVQKANVLAMNFYTTKLRYTISTISPSRVDPLIGAEKSYEILCKTFDSEAKAKLEEKQQDDG >Ma03_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:133057:148205:-1 gene:Ma03_g00090 transcript:Ma03_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G23300) UniProtKB/Swiss-Prot;Acc:P32746] MAARVWRGSAKDALLNKLKYIYGVGPRRFSATFEAAAGGAPRIPHSSKKGRFLTGTMIGLAIAGGAYVSTVDEATYCGWLFKATRIVNPFFALLDAEVAHRLAVSAAAHGFVPREKRPDPTILGLEVWGRKFTNPIGLAAGFDKNAEAVESLLGLGFGFVEVGSVTPMPQEGNPKPRIFRLPKEGAIINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSTSPSLTEEVKHGGKAGPGILGVNIGKNKTSEDAASDYVQGVHTLSQYADYLVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEEGPPPLVVKIAPDLSKEDIEDIAAVAVALHLDGLIISNTTVSRPDPVSNHPLAGESGGLSGKPLFDMSTNILKEMYILTRGKIPLIGCGGVSSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKAELAECLAKDGFKSVQEAVGADCR >Ma03_p00090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:133057:148205:-1 gene:Ma03_g00090 transcript:Ma03_t00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G23300) UniProtKB/Swiss-Prot;Acc:P32746] MAARVWRGSAKDALLNKLKYIYGVGPRRFSATFEAAAGGAPRIPHSSKKGRFLTGTMIGLAIAGGAYVSTVDEATYCGWLFKATRIVNPFFALLDAEVAHRLAVSAAAHGFVPREKRPDPTILGLEVWGRKFTNPIGLAAGFDKNAEAVESLLGLGFGFVEVGSVTPMPQEGNPKPRIFRLPKEGAIINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSTSPSLTEEVKHGGKAGPGILGVNIGKNKTSEDAASDYVQGVHTLSQYADYLVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEEGPPPLVVKIAPDLSKEDIEDIAAVAVALHLDGLIISNTTVSRPDPVSNHPLAGESGGLSGKPLFDMSTNILKEMYILTRGKIPLIGCGGVSSGEDAYKKIRAGATLVQLYTAFAYGGPALIPQIKAELAECLAKDGFKSVQEAVGADCR >Ma03_p32610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34332329:34335720:1 gene:Ma03_g32610 transcript:Ma03_t32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKTKQRESAIKAEGVVNGPLRECVTVSLEEGKGGRTRSPPVPESEMPRSATQRAWLPPLGALLLLLLLFARRAAAALEDGLVNNGAFETVPAGGASGSGLGEGVASLPGWTINGTVELVESGQKQGGMILIVPEGVHAVRLGNDAEISQELQLEKGSTYAVTFSAARTCAQLESLNVSVWPATQTVDLQTLYSVEGWDAYAWAFQAQAEDGAVSKLSFKNPGMEDDPTCGPIIDNIAIKKLFTPDRPEDNAVVNGDFEEGPWMFPNASLGVLLPTNLDEETSALSGWMVESNRAVRYVDSYHFDVPQGKRAIELLSGKEGIISQMVETTPEKQYNLTFTVGAAGDSCQTPLAVMAFAGDQAQNFHYSPTGNATHQPANITFTARAERTRIAFYSVYYNTRSDDRSSLCGPVVDEVRVWGISGSPAVKSSWIASLLGLVVAVIMVVA >Ma04_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25678995:25679606:-1 gene:Ma04_g23520 transcript:Ma04_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDGVAYVKPHLLPRFYISHYIKIHFDVDLYSTFHCPCSTSSTSSSIYLAEDLVFGDAAAVDTTMSSSSTSAEHDEDLPRGPISSKRFFFSPHATKSIMEEAKPELGTRKVLALGNTLSMKAAFYDESVTMTMSSEDPYQDFRASMEEMVVAHELKEWDSLQELPNWYLRLNERKNRKVIVLAFVDLLMHLMDQDEEVLPES >Ma11_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4119316:4121916:-1 gene:Ma11_g05390 transcript:Ma11_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLDNALPTSMKRPKKVAKVAAPPPAAKSPAPAQLPRDVRANNENNLPPAPPMDQSVEYVASEDLKPLSDPDARMAVLLDELSSKDWTQVCEALNDLRRMALHHSSLLVPILGNVTEVIVRAMKSPRSALCKTSIMASADIFHCFGHLLLFTTEKNSFGQLLLQLLLKASQDKRFVCDEAEKALEKMAVSVSPLPLLKELQSYVRHANLRVRAKAAVAMSKCVSKMGIEVMKGFGLATLLQVAAELLSDRLPEARDAARTIINSIYREFSNDSNIKDVDESAAAESWQNFCASNLPPIAAQSVAKIVSLSFICSLAST >Ma06_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17979062:17986706:-1 gene:Ma06_g22440 transcript:Ma06_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSESITSTCSHCQRDIPTSNIDLHYAHCSRNLQKCAICGDMIPRKHADDHYNESHAPLDCSLCSEKVERELWSLHKGERCPQRIITCEYCEFPLPAVDLLKHQEICGNRTEYCDICHKYVRLRERIYHDIQFHGNSAGTAEPSRDVGTAERERAQRRPARAQRSSHRRILLTVAVTGAVVAIGSFFLQKRVDGRQ >Ma03_p25730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29703717:29713187:-1 gene:Ma03_g25730 transcript:Ma03_t25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVGSESHQFFAAGTSNLNGIGKKSFEWDLNDWRWDGELFVASQLNEIPADCRNKHLLQDAAKRLLSNCSSSSSGGFDLGIVENGKGEAEKRRRIIVVEEDESYGGSGSLSLKLGGHAFPVMEADLANGNKSKPQGGSSSHPTCQVEGCGADLSDSKDYHRRHKVCEMHAKASSAVVRNAIQRFCQQCSRFHLLEEFDEGKRSCRRRLAGHNRRRRKTHPDVIVNGNSSINEQASGYLLMSLLRVLSNLQSDNSEPPQDQELLTHFLRNLASLAKSFDPSNLSQLLQASQDPQKFVTAAGTSSEAVITSVPNGVPEQVSGGPLCLTDKINCNPGTCGRQTDHSTIDIPSNGMIVSAEPVIDGVRIKDFDLNNTYSETQDCEEGREKPATPLCTEMSSPNCPSCLVQDSHHSSPPQSIGNSDSTSNQSQSSSHEDARCRTDRIIFKLFGKDPTDLPLILRTQILDWLSNSPTDIESYIRPGCIILTIYLCQAESAWVQLYDDLSSNLNRLLHNSSDDLWTTGWIFAMVQDRAAFIYDGQVVLDIPLHIKHPNHCKILSVTPIAVSHSTKVKFTVKGFNLSQPTSRLLCSFDGKYLVQETSQSLVEAANSSGGQELSQCLSFSCLLPDVMGRGFIEFEDCGLSNGFLPFIIAEEDVCSEIRMLENAIDVASCDDQFHERTNAEIARNQALDFINEFGWLLRRNHMISISRESKFSPSIFTLTRFRWLMSFAMNREWSAVVKKLLDILFSGTVDAGRQSPMELALAENLLHSAVQMKSKALVELLLRYVPNRTSKDTDPDRFLFRPDMLGPSGITPLHIAASTDDAENILDALTDDPGQLGIRAWKSAQDSTGFTPEGYALAQGHDSYVRLVQNKIDKQSSPSQVVLNVSGDASDKLVDALKSSKPSVSEISRIWLSTKPQPYCNRCSQQLVYPNSVARTMLYRPVMLSLVGIAAVCVCVGLLFKTPPQVFFVCPSFRWELLDYGFI >Ma06_p25410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25742306:25750580:1 gene:Ma06_g25410 transcript:Ma06_t25410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVDQACYGWSHQELSDHDAYQEVPVSQVLDHGSVSFGRFAVETLSWEKKSIFTRNRRQEELEKYRTHGLVAQKKAYFEEYFKRIRAVKSMQENQQSEITEDYGDDASISSQSGEENKTTAELKYHGDQAANVGNDQPEDGPIGVGILHGDLEKLSNGVAMEQTTHPIEALQTSPLSSSTRSFKDNQEENHYDYGDPEKLSNGVAMEQTTHPIEALQTSPLSSSTRSFKDNQEENHYDYALQMQHLNQKLLKDEACIGSQQNIDQHDISCLDEKWVSDGNVNLSYNHKTQIAASGIAAFARRNPKPERSMLKQVENSTRDKNLQNKNTYKKESMPTSKLKISSSAKNNLNLSCKRKSELKPSNGLNPVQGKISKAENTARSKNAAADKLLSKYKSTSDSSCKPLTEGRSKITIPRPFSFATEKRAAASSSLKDDTPRLISNASNRTAPSLSHEKGITIVPSTTGTATIDNGVKGRVLENKRSINLPRGNKTNRCSESENQSYCVARGNRKEEGKDRANLMSKIHRLSSTNADSILITNPKEENRKIIKSQISNRSLHTSKSKSNDASLDAKKPRQVMPRWR >Ma06_p25410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25742306:25750580:1 gene:Ma06_g25410 transcript:Ma06_t25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVDQACYGWSHQELSDHDAYQEVPVSQVLDHGSVSFGRFAVETLSWEKKSIFTRNRRQEELEKYRTHGLVAQKKAYFEEYFKRIRAVKSMQENQQSEITEDYGDDASISSQSGEENKTTAELKYHGDQAANVGNDQPEDGPIGVGILHGDLEKLSNGVAMEQTTHPIEALQTSPLSSSTRSFKDNQEENHYDYGDPEKLSNGVAMEQTTHPIEALQTSPLSSSTRSFKDNQEENHYDYALQMQHLNQKLLKDEACIGSQQNIDQHDISCLDEKWVSDGNVNLSYNHKTQIAASGIAAFARRNPKPERSMLKQVENSTRDKNLQNKNTYKKESMPTSKLKISSSAKNNLNLSCKRKSELKPSNGLNPVQGKISKAENTARSKNAAADKLLSKYKSTSDSSCKPLTEGRSKITIPRPFSFATEKRAAASSSLKDDTPRLISNASNRTAPSLSHEKGITIVPSTTGTATIDNGVKGRVLENKRSINLPRGNKTNRCSESENQSYCVARGNRKEEGKDRANLMSKIHRLSSTNADSILITNPKEENRKIIKSQISNRSLHTSKSKSNDASLDAKKPRQVMPRWR >Ma09_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35787428:35793032:1 gene:Ma09_g24140 transcript:Ma09_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESPQSSPPPTPPVATVNPPARPVCWTEVVQKNLTPQPQETISNRVFGSCTSSKGISVAVVDANALIHGDKLAGYADKFVSVPEVLEEVRDPVSRQRLSFLPFPVETMEPSPEFLNKVVKFSRETGDLHTLSDVDLKLIALAYMLEAQIHGTDHLRDRPPPLHVVDVKNLPEAQMPGWGSNVPNLAEWEALEQATEGGTNHNSRILALKDLNDQVEPENRSGPKPNEQDDEHTSFSRPRRFFAPKKEIKLEGKKMVAAGIDASQGENTENADDWLPAVSRSTHRRYLRRKARRELSKASEENRHPSSSREADTEISEGNNVHSDSEEEFAEFDKHKITQDHFENGFSANEEQIEGGVEDVKLNFDTLQPLQEEKEDDILRTVIGSDHTAIEEDSSGNVHDFFQGEEINEFSKELDSLELNSQSDGSIDTADIDDESSEQSWMLKSLSESSVACVTSDYAMQNVILQIGLRLLAPGGMQIRQMHRWVLKCHACNNVTQEIGRIFCPKCGSGGTLRKVSVTVGENGIIMASRRPRIILRGTKFSLPLPKGGREAVAKNLILREDQLPHKLLYPKSKKKTNKQDEDFLSVDDIFSHSGEKRVPLKPPVKKALAMFSGRRNPNDNHFSRRKR >Ma06_p33600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34168690:34176856:-1 gene:Ma06_g33600 transcript:Ma06_t33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRATYSFGRELGRGQFGVTYLVVHRETGKQLACKAIATRKLVRQDDLDDVRREIQIMHHLTGHRNIVELKGAYEDRHSVNLVMELCEGGELFDRIIAKGHYSERAAAALCREIVSVVHACHSMGVMHRDLKPENFLFLNRRENSPLKATDFGLSVFFKPGEIFNDLVGSAYYVAPEVLRRQYGAEADIWSAGVILYILLSGVPPFWAETEDGIFDAILHGYIDFSSDPWPSISPGAKELIKKMLRADPTERLTAAEILNYPWMKEDGAPDKPLDLAVMNRMKQFRAMNKLKKVALKVIAESLSEDEIMGLKEMFKSIDTDNSGTITFEELKAGLPKLGNLGIKISESEVRQLMEAADVDGNGSIDYIEFITATMHMNRVEREDHLYKAFEYFDEDKSGYITVEELEQALKKYNMGDEKTIKEIIAEVDTDKDGRINYDEFVTMMRKDSSEAIQTRRRK >Ma08_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34851362:34853801:-1 gene:Ma08_g20760 transcript:Ma08_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSAIYLALVLVFTLLTGLYGGRRRQKLNLPPGPRPWPVIGNLNLIGPLPYRSLAALSQKHGPLMHLRFGSFPVVVGSSVDMAKFFLKTHDLSFVSRPKSVAGEYTFYNYSSIGWSPYGPYWRQARRILIMELLTPKRLDSYQYIRVEEVRGLLRDLFRSTGTPVLLKDHIFTVILNIISRIVLGRKYTQEQSVSSGAPAAIVPQEEFKEMIEELMLLNGVINVGDLIPWLNFLDLQGYVKRMKMVGKRFDRFLERVLDKHNERRRREGKAFVPRDLVDVLLELADDHGLEVKLERHCVKAFILDIFAGGTDTYTVTIEWAVSEILKRPETFDKATEELDRVIGRGRWVEEEDVHRLPYIEAIVKETMRIHPVAPLLVPRLSREHTTVDGYDIPAGTRVLVNVWAIGRDPAVWDAPEEFRPERFVGSPIDVKGHHFELLPFGAGRRMCPGNSLGLKMSTPTVRVCV >Ma03_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9349023:9351553:-1 gene:Ma03_g12100 transcript:Ma03_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAEPVADSGDSGTDGSAEHWLSRTLLLVPIAIEKARSAKGFAGRWKSIADKLQSLPPCLSDLSSHPCFARHALCRELLQSIVTTLSEAVELADRCGCDGDGRSLGKLRMQSDLDALSGKLDLNLRDCGLLVKTGVLGEAALPPAGAARPGEAELASSNLGELLARLQFGHSEAKLRALDGLLEAMREDEKSVVAALGRSNVSALILLLTTTSPMVREKAATAVCLLTESGNCESLLVSEGVLPSLIRLLESGSLVAREKAVISLQRLSMSANTARLIARHGGIRPLIEVCLIGDSISQSAAAGALNNLSAVPESRQSLVDEGVVRVMINLLDRGIVLGSKEYAAECLQHLTSSNESLRALVVSEGGIRSLLAYLDGPLQQESAVCALKNLVGSVSMDSLLSLGLLPRLVHVLKDGSLGAQQAAAAAICKISSSAESKRIVGEFGCIPLLVRMLEAKSSSGREAAAQAIASLMTHPRNGSEVKKDGKSVPNLVQLLDPSPQNTAKKYAVSCLLILSSSKKCKKMMISYGAIGYLKKLSDMNVPGSKKLLERLEQRRLRNLFSRK >Ma03_p26000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29882765:29903977:-1 gene:Ma03_g26000 transcript:Ma03_t26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADIGMSAALNILSALIFLIVFAVLRLQPYNDRVYFPKWYLKGIRSSPTHSGNFIKKFVNLDCRTYLRFLNWMPAALRMPEPELIDHAGLDSAVYIRIYLLGLKIFAPIAVLAFGVLVPVNWTGGTLENSKDLTFSDIDKLSISNIQPGSERFWVHLAMAYVFTLWTYCVLYTEYKKIASMRLHFLASANRRPDQFTVLVRNVPPDPDESVSEHVGHFFSVNHHDHYLTHQVVYNANNLAKLVEKRKGLENWFIYYENKYNRNTNIRPTTKTGLFGLLGKSVDAIDYYKAAIEQLLKEEASERERIISDPNAIMPAAFVSFKTRWGAAVCAQTQQSSNPTLWLTDWAPEPRDVYWPNLAIPFVELTIRRLIMAVAIFFLTFFFMIPIAFVQSLANIEGFEKVFPLLRSLIETNLIKSFIQGFLPGIALKVFLILLPTILMTMSKIEGHTSLSTLDRRSASKYYLFLLVNVFLGSVITGTAFQQLNSFIHESANTIPVTVGMSIPMKATFFITYIMVDGWSGIAAEILRLKPLIIFHLKNTFLVKTEQDREQAMDPGSLEFASSEPRIQLYFLLGFVYYVITPIFLPFLLIFFGLSYVVFRHQIINVYTQEYESAAAFWPDVIRRVIIAMIISQLLLMGLMSTKHGSLTVPILVLPLLTIWFHIYCKSRFEPAFIKFPLQDAMVKDTLERATEPHLNLRAYLQDAYVHPDFHSEDVEHPMIIEEENNTLVPTIRTSRRSTPGESKYNSEVGSECEY >Ma07_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16105114:16107614:1 gene:Ma07_g17020 transcript:Ma07_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNTSDAKVLIRSLRSAYAATPISLKIIDLYVVYAITTAVIQVVYMGIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Ma04_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26193281:26200388:1 gene:Ma04_g24140 transcript:Ma04_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSRRRESTFSLAVRDDYSASEVQQLFTAIPALNEAASYLAHTTSYFTRCFPGSAGDVEPDEGQELMTLVSEQDTRSLYERESTVSEQVGDNTSSSINEEMSASSVGSSSPWLSARIFQNGSSIFKDLVDRARKTVRGSADDIGWLQQATDMPPVEDGTKRFQEILESIRNNEHKLPNSVVYLLVPGLFSNHGPLYFVNTKTYFSKMGLACHIARIHSEASVEKNAREIKQYIEEIFWGSQKRVLLLGHSKGGVDAAAALSLYWSDLKDKVAGLALAQSPYGGSPIASDILREGQLGDYVSLRKIMEVLICKIIKGDLQALEDLTYERRKEFLRKHPLPQELPVVSFHTEAGIVPGVLATLSPVAHAELPIITSLADGQPAKLPVVMPLGAAMAACAQLLQVRYREKSDGLVTRRDAEVPGSVVVRPEQKLDHAWMVYSSPNNEPVETDASKVCEALLTLLVEVAQKRRHEISNKDE >Ma07_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7015110:7017330:1 gene:Ma07_g09360 transcript:Ma07_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVEQPRPVTGEVKRTQSDPKELLMDGGFVVPDANSFGHTFRDYNAESERQKTVEEFYRMNHIHQTCDFVKRLREEYGKLDRVEMSIWECIELLNEFVDESDPDLDEPQIEHLLQTAEAIRKDYPEEDWLHLTGLIHDLGKVLLHPSFGQLPQWAVVGDTFPVGCAFDECNVHHKELYFAENPDHLNPKYNTKLGVYSEGCGLDNVLMSWGHDDFMYLVAKENKTSLPLAGLFIIRYHSFYPLHKHGAYQYLMNEEDKENLKWLHVFNKYDLYSKSKVRIHVEKVKPYYLSLIEKYFPAKLKW >Ma07_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10179733:10185600:-1 gene:Ma07_g13530 transcript:Ma07_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAVGSRLNSLKRRSDGARIFGIAARYASTNIAQRSSGGFFSWLTGGSSSQLPPLDFPLPGVSLPPPLPDFVEPGKTKITTLPNGLKIASETSPSPVASVGLYVDCGSIYETPSSFGATHLLERMAFKSTKNRSHLRIVREIEAIGGSVTASGSREQIGYTYDALKTYMPEMVEVLIDCVRNAVFLDWEVNEQLKKVTAELGELSRNPQGLLLEAIHSAAYSGALANPLIAPESAINRLNETILEEFVAENYTAPRMVLAASGVEHEELVSIAEPLLSDLPKVPRLEEPKSVYVGGEYRCQSDSSKTHIALAFEVPGGWHKEKEAMALTVLQMLMGGGGSFSAGGPGKGMHSRLYLHVLNQFQQIESFSAFNSIYNHTGIFGIHATTGPEFVSKAVDLVARELLAVATPGQVDQVQLDRAKESTKSAVLMNLESRAVVSEDIGRQILTYGERKPVQHFLKAVDELTLKDITSLAEKIISSPLTMASWGDVIHVPSYESVSRKFHAK >Ma00_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26409434:26411135:-1 gene:Ma00_g03170 transcript:Ma00_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTRISQLGFVHHWSIKVLAGGPHQILLILIRKSIPHKQRNRLGLTIHTQKKKKRDIGKANSKWFNFNRIPANTAQGPYYQSMISSIQKSGTGIQPPTPKEIYDVYLNEEVAELKDLIKSFKRQWDEYGVTLMCDSWTGPTRMSIINFLVYYNRRVVFHKSVNASEKIQDANYIESLMDTMVEEIGPQYVVQIITDNGANFKKAGLQLMEKRKTLFWTPCAAHCIDIMLKDIGELDAVKKCVARAQSITKFIYNHHWVHALMQNYVNGEILRPGITRFATNFIALKSLQQKRHGLKAMGSSQEWFESRYSKLSDGKKIEKAILSSRFWETIAEIIKGVEPLYIVLRKVDMDKRPQMPYLKYMLISAREEVRKAFKDDFKADQYVRIIDRRTEVHMDQNIHNAAYYLNPAIQYRYALGTQNNFLTTLRNVIYRLLPNTTEAADALMEGRLFRETIGSFSDVVAVSCRYTMDPGEEKLCIFIINYI >Ma09_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2044157:2050734:1 gene:Ma09_g02970 transcript:Ma09_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKTHIPEWYTVQRNDFRTMDNRVMLKKLEVHQLTQTSPNLARGPLAVIADLQRRISLNDPLKDPYMISPSEDSPYSQVPCPTSCQKKKTLPPPDDFNNTDQNGLLARHASVSAKTYDKKSSLVAKLVIPVIEADKTINDKIEIDKKDNHIQLDPSCEHPDHEAEDPAPSCTNHKNRKGLITAEDHVISQSITNVNEGGADENMQNQVKVRAPDVLVVGPEASRENSIPWTTVLPLSEQSKDEHIITGADTETRGHNATSATSQNNGKKQKALPSRKNKRKLVSRRQSFADAGMMWKSGVRRSTRIKSRPLQYWRGERFLYGRIHDSLTTVIGLKYTGEDGKDAELKVKSFVSEEYSHLVAQAALH >Ma01_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4434439:4439399:-1 gene:Ma01_g06200 transcript:Ma01_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSGGCQQGCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGHEDDDETECDNMQWNGSDMVSYDMQLQKPHKSRVRHHKGSSNKSLSRSLSCDSHSKGSVTSSRSITKVDLSKLETRALWRYWRHFNLVDASPNPSKEQLIDVVQRHFMSQQLDELQVIVGFVQAAKRLKTVCT >Ma10_p00220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1456757:1459143:-1 gene:Ma10_g00220 transcript:Ma10_t00220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMNKIHKDHGTEQLVSDSPSDSCFSSKGLMQTARNTSLFGVPGVFVGFSTKGFSNSDAVRSPTSPLDCKAFSGLGNSFLGSPRSASLDGKPRCWDCNRVGLSLVDALKDEAEPCGKFLGLSESRTSAFAPATRIDISCHKSHLAGLRDDSSCAAPKSLPKDYAISSHTLGGSVRPQLDRSRMSALRSKVAESECGELGLLRSYSADISRPSSLKTDFVYRNSKSISDVFPSDSNSSMVDSFRLVERSASFDKFSHETIGPSYGVITSLSASEIEQSEDYTCIISHGPNPKTTHIFGDCILESHSFPSLVFENKCRTDDCRSAWLLKPSEDSPPCSTDDFLRSCLSCKKKLEEGKDIYMYKGEKAFCSSDCRDREILIEEEMEKLTIDSSGTPGSSFHEDMFADGKIMTA >Ma10_p00220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1456757:1459504:-1 gene:Ma10_g00220 transcript:Ma10_t00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMNKIHKDHGTEQLVSDSPSDSCFSSKGLMQTARNTSLFGVPGVFVGFSTKGFSNSDAVRSPTSPLDCKAFSGLGNSFLGSPRSASLDGKPRCWDCNRVGLSLVDALKDEAEPCGKFLGLSESRTSAFAPATRIDISCHKSHLAGLRDDSSCAAPKSLPKDYAISSHTLGGSVRPQLDRSRMSALRSKVAESECGELGLLRSYSADISRPSSLKTDFVYRNSKSISDVFPSDSNSSMVDSFRLVERSASFDKFSHETIGPSYGVITSLSASEIEQSEDYTCIISHGPNPKTTHIFGDCILESHSFPSLVFENKCRTDDCRSAWLLKPSEDSPPCSTDDFLRSCLSCKKKLEEGKDIYMYKGEKAFCSSDCRDREILIEEEMEKLTIDSSGTPGSSFHEDMFADGKIMTA >Ma10_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1456757:1459335:-1 gene:Ma10_g00220 transcript:Ma10_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMNKIHKDHGTEQLVSDSPSDSCFSSKGLMQTARNTSLFGVPGVFVGFSTKGFSNSDAVRSPTSPLDCKAFSGLGNSFLGSPRSASLDGKPRCWDCNRVGLSLVDALKDEAEPCGKFLGLSESRTSAFAPATRIDISCHKSHLAGLRDDSSCAAPKSLPKDYAISSHTLGGSVRPQLDRSRMSALRSKVAESECGELGLLRSYSADISRPSSLKTDFVYRNSKSISDVFPSDSNSSMVDSFRLVERSASFDKFSHETIGPSYGVITSLSASEIEQSEDYTCIISHGPNPKTTHIFGDCILESHSFPSLVFENKCRTDDCRSAWLLKPSEDSPPCSTDDFLRSCLSCKKKLEEGKDIYMYKGEKAFCSSDCRDREILIEEEMEKLTIDSSGTPGSSFHEDMFADGKIMTA >Ma03_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1916765:1917640:-1 gene:Ma03_g02820 transcript:Ma03_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGGLTSLFEKPVPEKPTLIESLSSWNQIMSKKPVDNARFTEILGELRFQEKPAPPPASSSFHALLGLPNNQYVCSAFARCHDGFPPKNSDKLQLCTERLGSESSDDVDDLIEEDVDDWSSDQWKEKMDAERRALANGGMSGNCSDVRTRTGGFPPPVSSIGKSGRPWIYYQSYRKDGRFVLTEIRIPTQEFLRASRQDGRLTLQLVQPDGDIAEGDEGAGENEEEEDEKTKLSQGNDH >Ma04_p31510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31794922:31797503:1 gene:Ma04_g31510 transcript:Ma04_t31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGKPVTATHGVPSDGSWCCATTSFSPAAQPRPLCGPQDWAEDVVAAAMDAFPAAAPAAFSSPYALCSSSYSKFNFALGAGLLKPMSPPPLAALDKSRSSPTLFDMMTNEQGFYRRSPEHVVHPPMQQLGRAVADPEATSPQDRQLLLQDRVAEIIGNCSPGNQLNDPESGDVHLTLSSMDGLTVSLNVHRHILVGHSRFFAAKLRDLWSKQQRSLPYIVEISDCDDVEIYVETLCLMYCKDLRRRLMREDVSKVLGILKVSAAIAFDAGVLSCLEYLEASPWAEDEEEKVASLLSQLHLESLGTVEVLKRVSLEVAPSAADEANSGCDSQEVLLRLLQVVLEGQDEKARREMKGLVSKLIRENSTTTNGGGPGNGVGSSEGRGGDLIEKSLYTASDRCLRSLRHHFARAAASDLTDAAQIARQADNLHWILDILIDRQSADDFLRTWACQTELSELHPRVPAINRYEVSRVTARLFIGVGKGQILVPKEARCLLLRTWMESFYEDFGWIRQRCNGLDRHLIEEGLANTVLTLPLATQQEVLLGWFHRFLNAGDDCPNIQKGFEMWWRRAFWRRNGKLQTTG >Ma07_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8275123:8280433:1 gene:Ma07_g11140 transcript:Ma07_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSSSSSASAAPSSAPDPPAAAAAHPLPRPSFVSPPLRPPPLFSPQPLLGKPLNPPPPPPPQGFLYHHRSFPPRPASRPPPAAADQAVSVASPAGYIRNTTPTAVMTFAAVTQARPFVYGTSDQMVAQVHVPIHHVRPPPAPSAQQSATPLVVPRPAVAAAGPPQSIPVAALPKYPQRKKTKMLRSGKWQIPLFLYVFVFLPASTDRTELCRNKIREDDIFMINDRKVRVLDGCTPSLYSLCRSWVRNGQSHEIQSNFGDAEKLLPRPLPASMIDSQVMKQHENDAEAEVIEEEEPVGSVEELSERDLLEIHIKHAKSVRARLRKERLRRIERCKQRLALLLPPPSELGRNETAP >Ma10_p30720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37006265:37007894:1 gene:Ma10_g30720 transcript:Ma10_t30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRLPSCFGGGGATTRGTPTSTMAGPNLTTSIYDTHLGVASLTWSRAIVGLTLLADLRLSGAEPGEDDEEGERLRFRVRPWLPWKRRGSRRFSLRDRSCHRRVEFAWDLSRASFHTGGSGRPEPASGFFVAVAFDGEMLLVAGDLVEEAYKKTKARRPIAPFSNPTPTARREHVVLGTSGGPRSYRTMARFGGRNREISIELGPKDREPEAGMAVAVDGEKVVHVQRLRWKFRGTEKVEVESGARMQVSWDLHDWLFQSTADAALSDAAVPTAAERGHAVFVFRFEEEEEEVAKAAEGYSGKVSGGPYGGHSGNGAYKGTVFGGHPGKTRNWSESSSNGGGGAETTAAQRKRKSRRKDLLKTTSSSSSASSASSASSSTVMEWASQEEMELKRSDGFSLLVYIREN >Ma10_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28718152:28735611:-1 gene:Ma10_g17270 transcript:Ma10_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKSRNVNKRFKVFEDWSEKDETPPKKSRTRKRKLSDMLGPQWSKEELERFYEAYRKYGKDWRKVSGTIPNRSSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHHNILQEGNESDPESNDVAKASQKPQKRGRGKFRLISKGSDGCSLDRSQYQSASSRYGCLSLLKKKRSGADLFLGNQPRAVGKRTPRIPVSNANMYSKDDKEKSAFLIKQPSKSEVNAVDDEGAHVAALALAEVLQRGGSPQVSRTPENRVDHIRSSPVKSSEQKSVELEIDRSKLSSQMDGDCHENSLGSREAENGVFARDGNEGSGAVEAPKRVKKRQGKRPRTSNAESFQIDDDREACSGTEEGSSVRKIKDETDLEVKVSKTTRGSIGSRKRSRQLFFGDENSALDALQTLADLSVNILLPLSVVESESSAQVKEEQANVDTDEKPNIPESLPLNYQRDKPKVPGKKERRHSTSVGSDILSRKSSKVVKGLQHDAKVIAEMNQQACACIGMTEKRKGKSLSRKIPKSEFCTDSQKSESHKMEVFAEEGKRSTAKVKHVSEVSLLLRQGKFIKQPENSSSTTDPERALTDLSETTLCDGIENQVNLLTKHRSRRKICLQKALAWKDFKSDVVGDDRPGHSNAVIRMIEKGKLSHCLSSKLLRRWCMFEWFYSAIDSPWFAKSEFVEYLNHVRLGHIPRLTRVEWGVIRSSLGKPRRLSKQFLKEEREKLEQYRESVRAHYAELRAGLKEGLPRDLALPLSVGQRVIACHPKTRELHNGSILTVDRSRCRVQFDQPELGVEFVMDIDSAPLYPFDNIPEAFRPQDSVLNRYCNSFKDMKLEDGLKDWRTGSSTRLAPNESFNIADGSYQMHTLMKQAKVDSIDAIAQAKATVIQVAAAAQQAMCNQPCTLSQIQEKEADIKALAELSRALDKKEALLIELRNMNGEVSEKQKDGDPIKDMEHFRKQYAMVLVQLRDANDQVALALLSLRQRNTYHNNSTHPWNRPMENSGVPVGPSELFNPSAVLNQDLGSHVVEITCRQKARTMVDAAVQAMCTLKEGEDAFTKIGQALDLANNRSSGSGSCMLGVHGAPNPGYNNTANQDHTASTSDMPTVHAPKPNSSTDADLQLPSELISSCVSTLLMIQSCTERQYPPAEIAQILDSAITSLQPHSPHNLPIYREIETCMVIIKNQMLALIPTPSAIAPEITTV >Ma10_p17270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28718152:28735611:-1 gene:Ma10_g17270 transcript:Ma10_t17270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKSRNVNKRFKVFEDWSEKDETPPKKSRTRKRKLSDMLGPQWSKEELERFYEAYRKYGKDWRKVSGTIPNRSSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHHNILEGNESDPESNDVAKASQKPQKRGRGKFRLISKGSDGCSLDRSQYQSASSRYGCLSLLKKKRSGADLFLGNQPRAVGKRTPRIPVSNANMYSKDDKEKSAFLIKQPSKSEVNAVDDEGAHVAALALAEVLQRGGSPQVSRTPENRVDHIRSSPVKSSEQKSVELEIDRSKLSSQMDGDCHENSLGSREAENGVFARDGNEGSGAVEAPKRVKKRQGKRPRTSNAESFQIDDDREACSGTEEGSSVRKIKDETDLEVKVSKTTRGSIGSRKRSRQLFFGDENSALDALQTLADLSVNILLPLSVVESESSAQVKEEQANVDTDEKPNIPESLPLNYQRDKPKVPGKKERRHSTSVGSDILSRKSSKVVKGLQHDAKVIAEMNQQACACIGMTEKRKGKSLSRKIPKSEFCTDSQKSESHKMEVFAEEGKRSTAKVKHVSEVSLLLRQGKFIKQPENSSSTTDPERALTDLSETTLCDGIENQVNLLTKHRSRRKICLQKALAWKDFKSDVVGDDRPGHSNAVIRMIEKGKLSHCLSSKLLRRWCMFEWFYSAIDSPWFAKSEFVEYLNHVRLGHIPRLTRVEWGVIRSSLGKPRRLSKQFLKEEREKLEQYRESVRAHYAELRAGLKEGLPRDLALPLSVGQRVIACHPKTRELHNGSILTVDRSRCRVQFDQPELGVEFVMDIDSAPLYPFDNIPEAFRPQDSVLNRYCNSFKDMKLEDGLKDWRTGSSTRLAPNESFNIADGSYQMHTLMKQAKVDSIDAIAQAKATVIQVAAAAQQAMCNQPCTLSQIQEKEADIKALAELSRALDKKEALLIELRNMNGEVSEKQKDGDPIKDMEHFRKQYAMVLVQLRDANDQVALALLSLRQRNTYHNNSTHPWNRPMENSGVPVGPSELFNPSAVLNQDLGSHVVEITCRQKARTMVDAAVQAMCTLKEGEDAFTKIGQALDLANNRSSGSGSCMLGVHGAPNPGYNNTANQDHTASTSDMPTVHAPKPNSSTDADLQLPSELISSCVSTLLMIQSCTERQYPPAEIAQILDSAITSLQPHSPHNLPIYREIETCMVIIKNQMLALIPTPSAIAPEITTV >Ma10_p17270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28718152:28735611:-1 gene:Ma10_g17270 transcript:Ma10_t17270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKSRNVNKRFKVFEDWSEKDETPPKKSRTRKRKLSDMLGPQWSKEELERFYEAYRKYGKDWRKVSGTIPNRSSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHHNILEGNESDPESNDVAKASQKPQKRGRGKFRLISKGSDGCSLDRSQYQSASSRYGCLSLLKKKRSGDLFLGNQPRAVGKRTPRIPVSNANMYSKDDKEKSAFLIKQPSKSEVNAVDDEGAHVAALALAEVLQRGGSPQVSRTPENRVDHIRSSPVKSSEQKSVELEIDRSKLSSQMDGDCHENSLGSREAENGVFARDGNEGSGAVEAPKRVKKRQGKRPRTSNAESFQIDDDREACSGTEEGSSVRKIKDETDLEVKVSKTTRGSIGSRKRSRQLFFGDENSALDALQTLADLSVNILLPLSVVESESSAQVKEEQANVDTDEKPNIPESLPLNYQRDKPKVPGKKERRHSTSVGSDILSRKSSKVVKGLQHDAKVIAEMNQQACACIGMTEKRKGKSLSRKIPKSEFCTDSQKSESHKMEVFAEEGKRSTAKVKHVSEVSLLLRQGKFIKQPENSSSTTDPERALTDLSETTLCDGIENQVNLLTKHRSRRKICLQKALAWKDFKSDVVGDDRPGHSNAVIRMIEKGKLSHCLSSKLLRRWCMFEWFYSAIDSPWFAKSEFVEYLNHVRLGHIPRLTRVEWGVIRSSLGKPRRLSKQFLKEEREKLEQYRESVRAHYAELRAGLKEGLPRDLALPLSVGQRVIACHPKTRELHNGSILTVDRSRCRVQFDQPELGVEFVMDIDSAPLYPFDNIPEAFRPQDSVLNRYCNSFKDMKLEDGLKDWRTGSSTRLAPNESFNIADGSYQMHTLMKQAKVDSIDAIAQAKATVIQVAAAAQQAMCNQPCTLSQIQEKEADIKALAELSRALDKKEALLIELRNMNGEVSEKQKDGDPIKDMEHFRKQYAMVLVQLRDANDQVALALLSLRQRNTYHNNSTHPWNRPMENSGVPVGPSELFNPSAVLNQDLGSHVVEITCRQKARTMVDAAVQAMCTLKEGEDAFTKIGQALDLANNRSSGSGSCMLGVHGAPNPGYNNTANQDHTASTSDMPTVHAPKPNSSTDADLQLPSELISSCVSTLLMIQSCTERQYPPAEIAQILDSAITSLQPHSPHNLPIYREIETCMVIIKNQMLALIPTPSAIAPEITTV >Ma10_p17270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28718152:28735611:-1 gene:Ma10_g17270 transcript:Ma10_t17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKSRNVNKRFKVFEDWSEKDETPPKKSRTRKRKLSDMLGPQWSKEELERFYEAYRKYGKDWRKVSGTIPNRSSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHHNILQEGNESDPESNDVAKASQKPQKRGRGKFRLISKGSDGCSLDRSQYQSASSRYGCLSLLKKKRSGDLFLGNQPRAVGKRTPRIPVSNANMYSKDDKEKSAFLIKQPSKSEVNAVDDEGAHVAALALAEVLQRGGSPQVSRTPENRVDHIRSSPVKSSEQKSVELEIDRSKLSSQMDGDCHENSLGSREAENGVFARDGNEGSGAVEAPKRVKKRQGKRPRTSNAESFQIDDDREACSGTEEGSSVRKIKDETDLEVKVSKTTRGSIGSRKRSRQLFFGDENSALDALQTLADLSVNILLPLSVVESESSAQVKEEQANVDTDEKPNIPESLPLNYQRDKPKVPGKKERRHSTSVGSDILSRKSSKVVKGLQHDAKVIAEMNQQACACIGMTEKRKGKSLSRKIPKSEFCTDSQKSESHKMEVFAEEGKRSTAKVKHVSEVSLLLRQGKFIKQPENSSSTTDPERALTDLSETTLCDGIENQVNLLTKHRSRRKICLQKALAWKDFKSDVVGDDRPGHSNAVIRMIEKGKLSHCLSSKLLRRWCMFEWFYSAIDSPWFAKSEFVEYLNHVRLGHIPRLTRVEWGVIRSSLGKPRRLSKQFLKEEREKLEQYRESVRAHYAELRAGLKEGLPRDLALPLSVGQRVIACHPKTRELHNGSILTVDRSRCRVQFDQPELGVEFVMDIDSAPLYPFDNIPEAFRPQDSVLNRYCNSFKDMKLEDGLKDWRTGSSTRLAPNESFNIADGSYQMHTLMKQAKVDSIDAIAQAKATVIQVAAAAQQAMCNQPCTLSQIQEKEADIKALAELSRALDKKEALLIELRNMNGEVSEKQKDGDPIKDMEHFRKQYAMVLVQLRDANDQVALALLSLRQRNTYHNNSTHPWNRPMENSGVPVGPSELFNPSAVLNQDLGSHVVEITCRQKARTMVDAAVQAMCTLKEGEDAFTKIGQALDLANNRSSGSGSCMLGVHGAPNPGYNNTANQDHTASTSDMPTVHAPKPNSSTDADLQLPSELISSCVSTLLMIQSCTERQYPPAEIAQILDSAITSLQPHSPHNLPIYREIETCMVIIKNQMLALIPTPSAIAPEITTV >Ma09_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35782124:35786492:1 gene:Ma09_g24130 transcript:Ma09_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYWVMRRWSPARSLGLLIAGVRPPRPRPYMAIRSESFCDRRRRRAYDGLLLDAGGTLLQLANPVEETYASIGRKYGLLATEKDIKQGFRRAFAAPWPEKLRYQGDGRAFWRLVVSVATGCSDNDYFEEVYEHFANGDAWHLPAGAYEEMCLLKDAGVKLAVVSNFDTRLRKLLKDLNVAHLFDAIIISSEVGFEKPAAEIFRAALDQIGVQSSRVIHVGDDEKADKHGANAIGIHCWLWGSDVKSFAEISNGILIPDSEERN >Ma08_p30890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41998790:42003013:-1 gene:Ma08_g30890 transcript:Ma08_t30890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MITOFERRINLIKE 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42130) UniProtKB/Swiss-Prot;Acc:Q9FHX2] MDPNPLLLLLPPYLSSDPSLSQDFNSSFCNLNTLILSSSSKPSSPYKISPTLSNPSFASVSVSKARTPSVSQYRSILKSLSNLERALIGAAAGGAAGAFTYVCLLPIDAVKTKLQTKGAAQIYSGALDAAIQTFRTQGILGFYRGVSAVLVGSATSSAIYFGTCELGKSLLSKLPSFPPLLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAVGRSWEVLVRILEKDGILGLYAGYSATLLRNLPAGILSYSSFEYLKSFVLSKTGKAYLEPAQSVLCGALAGAISASLTTPLDVVKTRLMTQAHGEVRNKVSETLRQIVMEEGWGGLARGIGPRVLHSACFAALGYFSFETARLAILHHYVHRRDEMAVGTVA >Ma08_p30890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41998790:42003013:-1 gene:Ma08_g30890 transcript:Ma08_t30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MITOFERRINLIKE 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42130) UniProtKB/Swiss-Prot;Acc:Q9FHX2] MDPNPLLLLLPPYLSSDPSLSQDFNSSFCNLNTLILSSSSKPSSPYKISPTLSNPSFASVSVSKARTPSVSQYRSILKSLSNLERALIGAAAGGAAGAFTYVCLLPIDAVKTKLQTKGAAQIYSGALDAAIQTFRTQGILGFYRGVSAVLVGSATSSAIYFGTCELGKSLLSKLPSFPPLLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAVGRSWEVLVRILEKDGILGLYAGYSATLLRNLPAGILSYSSFEYLKSFVLSKTGKAYLEPAQSVLCGALAGAISASLTTPLDVVKTRLMTQAHGEVRNKVSETLRQIVMEEGWGGLARGIGPRVLHSACFAALGYFSFETARLAILHHYVHRRDEMAVGTVA >Ma02_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19604767:19606786:-1 gene:Ma02_g09760 transcript:Ma02_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05590) UniProtKB/Swiss-Prot;Acc:Q9SYK0] MESTPSILFFLVFVVIVNVISLVSSSDAAPLIEINVWPKPISVSWSGPAAIAVPLSPSFRVLNPYSEHPYLCAAAARYTRLLLLERYRPLRPPHLNLSSSAPPLSSLALSVVDPSAPLRHGVDESYTLSITANGSSSVAELSAATPWGAMRGLETLSQLAWGNPPAVAAGIRIEDRPLFPHRGLLLDTSRNFYPVRDILRTIRAMSHNKLNVFHWHITDSQSFPLLLPSAPQLALRGSYGPDMRYTPADVRRVVRYAMNRGIRVIPEIDAPGHTASWAEAYPEIVTCANKFWSPPDGPALAAEPGTGQLNPLEPETYEVVRDVLRGVASLFPDPFLHAGADEVNPACWEQDPAIRRFLAGGGTHDQLLGMFVNATRPFIVSALNRTVVYWEDVLLGSTVKVVSPGLLPPETTVLQTWNNGPNNTKRLTAAGYRVIVSSSDFYYLDCGFGGWVGNDSRYDRQTGDEPGRPFNYAGGDGGSWCAPFKSWQRVYDYDITHGLSAAEAELVLGGEVALWSEQADGAVMDGRLWPRAAAMAEALWSGNRDGDGRKRYAEATDRLHEWRQRMVRRGIAAEPIQPLWCVMHPSMCNMVQ >Ma10_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27470933:27471751:1 gene:Ma10_g15330 transcript:Ma10_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLLASPPNIVPNSRPPPAKSSNPNILVGLTIFLVVAAFSLLANYEAAKGFQIVVVNAALHTHAGRRFDLLFVSNDRATRLVVASSNLVQRILYPDDSFPRKPVDRVTLYMAGEDLDSTVAVRGGRSAGEFVVRMSPAVMEAADVKAAVAEAVQRGMARVWLWDGRGEAPRSLLDAMAEWVAMSSGMASPSIRPRNTSVSPFNASCWEDDDHVRLASFLNYCDAKHHGFVARLNRAMQDEWTEDTFNVTLGSSVPQICSAYLSAEQISSK >Ma00_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13882513:13882870:-1 gene:Ma00_g01980 transcript:Ma00_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATVAAMAAAVAAVAATAVVAVVAAFGKEKERKGKKKGAMAMIAAAAAVATTAFAVAV >Ma02_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27768127:27768672:-1 gene:Ma02_g22450 transcript:Ma02_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNLLFLLSCIAFVSSSSHAYVYPPLVEGLSFTFYKATCPDLESIVRSYLEQLFQSDISVASGLLRLHFHDCFVQGCDGSILLDGSFSGPDEKDATPNLTLRPAAFKAINELQTLITQACGPVVSCADITALAARDSVRL >Ma01_p17060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12488200:12491591:-1 gene:Ma01_g17060 transcript:Ma01_t17060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCEPPPRTTKLVTMDVESVLHMKEGLDEASYAQNCSLQKKSMDAMKHIIVESAVDAYASEMPESFTFVDLGCSSGSNALPLIGDIIEAIHVMARRSAKPAPEFMVFLNDLPSNDFNSMFLSLPLFTKKLKEGIELHGGMAPSVYFSATPGSFYGRLFPRDSLSFIYSCYSLHWLSQVPPGLVDINGRPINKGKMYISNTSPPVVALAYFEQFQKQFSLFLKSRSEELHLGGRMVVAMLGRTTDDHSDKSTRVLWEVLDQSFAIMISQEMIDEEKVDTYNVPFYAPSAREIEDEVHREGSFTIDYIQTHELSTSTGDPLKDARITSMAIRAIQESMISHHFGEAIIDTLFHVYGGLLSQLMLKEEIKSSHLLIVLRKTH >Ma01_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12488200:12491597:-1 gene:Ma01_g17060 transcript:Ma01_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCEPPPRTTKLVTMDVESVLHMKEGLDEASYAQNCSLQKKSMDAMKHIIVESAVDAYASEMPESFTFVDLGCSSGSNALPLIGDIIEAIHVMARRSAKPAPEFMVFLNDLPSNDFNSMFLSLPLFTKKLKEGIELHGGMAPSVYFSATPGSFYGRLFPRDSLSFIYSCYSLHWLSQVPPGLVDINGRPINKGKMYISNTSPPVVALAYFEQFQKQFSLFLKSRSEELHLGGRMVVAMLGRTTDDHSDKSTRVLWEVLDQSFAIMISQEMIDEEKVDTYNVPFYAPSAREIEDEVHREGSFTIDYIQTHELSTSTGDPLKDARITSMAIRAIQESMISHHFGEAIIDTLFHVYGGLLSQLMLKEEIKSSHLLIVLRKTH >Ma01_p17060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12488200:12491591:-1 gene:Ma01_g17060 transcript:Ma01_t17060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCEPPPRTTKLVTMDVESVLHMKEGLDEASYAQNCSLQKKSMDAMKHIIVESAVDAYASEMPESFTFVDLGCSSGSNALPLIGDIIEAIHVMARRSAKPAPEFMVFLNDLPSNDFNSMFLSLPLFTKKLKEGIELHGGMAPSVYFSATPGSFYGRLFPRDSLSFIYSCYSLHWLSQVPPGLVDINGRPINKGKMYISNTSPPVVALAYFEQFQKQFSLFLKSRSEELHLGGRMVVAMLGRTTDDHSDKSTRVLWEVLDQSFAIMISQEMIDEEKVDTYNVPFYAPSAREIEDEVHREGSFTIDYIQTHELSTSTGDPLKDARITSMAIRAIQESMISHHFGEAIIDTLFHVYGGLLSQLMLKEEIKSSHLLIVLRKTH >Ma01_p17060.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12488200:12491591:-1 gene:Ma01_g17060 transcript:Ma01_t17060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCEPPPRTTKLVTMDVESVLHMKEGLDEASYAQNCSLQKKSMDAMKHIIVESAVDAYASEMPESFTFVDLGCSSGSNALPLIGDIIEAIHVMARRSAKPAPEFMVFLNDLPSNDFNSMFLSLPLFTKKLKEGIELHGGMAPSVYFSATPGSFYGRLFPRDSLSFIYSCYSLHWLSQVPPGLVDINGRPINKGKMYISNTSPPVVALAYFEQFQKQFSLFLKSRSEELHLGGRMVVAMLGRTTDDHSDKSTRVLWEVLDQSFAIMISQEMIDEEKVDTYNVPFYAPSAREIEDEVHREGSFTIDYIQTHELSTSTGDPLKDARITSMAIRAIQESMISHHFGEAIIDTLFHVYGGLLSQLMLKEEIKSSHLLIVLRKTH >Ma10_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15035551:15037842:-1 gene:Ma10_g04350 transcript:Ma10_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVPLLLLLLLLLLSTSSDAVYGRQHHRHAPIPSPKADAVPTASPAIRQACCATRSPDLCQSTLSHLSPSLPANPSALDLVLGAISAASNGLQTARANAQAILNTSASNLNHTNAARNCLDFLSLSNHRLAAASLAVPAGALADARAFAGAAELYQYDCWSALKYVNDTQQVVDAMAFLANLANVTGGATAMVAALQRYGANISLWAPPQTERDGYWPDATVASPSGEAARKEFPRPGTPPDATVCKEGGCQFGAVQAAVDAAPEHSVGRYVIYIKKGVYEETVRVPFVKTNLVFIGDGMGKTVITGSLNADTVGVSTYNSATVGVSGDGFMARNLTFANTAGPDKHQAVAFRSDSDLSVLESVEFLGHQDTLYAHSLRQFYKSCRIAGTVDFIFGNSATVFHDCLIFVLPRQLNPEHGESNTVTAHGRTDPAQSTGFVFDHCAINGSDEYLALYRSKPDVHRVYLGRPWKEYSRTVFIDCNLAEIVRPEGWETWNGSVDFALQTLFYGEFGSSGPGANVTARVPWSSQIPAEHLGAYSVENFIQGDQWIPFDL >Ma05_p29090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39880778:39881660:-1 gene:Ma05_g29090 transcript:Ma05_t29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMLLLALLAMASSLAMASDPSPLQDFCVADKDSKVLVNGFVCKDPKVVKAEDFFFRGLDKAGDTVNKVGSNVTAVNVNQLVGLNTLGISMVRIDYAPRGLNAPHTHPRATEILTVIEGQLLVGFVTSNTDDGNRLFTKMLKKGDVFVFPQGLIHFQFNPGHTKTIAIGALSSQNPGTITIANAVFGSKPPISDDVLAKAFQVDKKTIDWLQAQF >Ma06_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11879828:11883790:-1 gene:Ma06_g17490 transcript:Ma06_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLTPSSAVKAPAPVVEPKEEPVQEESGPDVAIDESQEEQTPTGADANPPVHENAQSDAASEDLGGASAGGVGVGNDAEDVVENDPTSIQKLLEPFPRDHLVELLRDAAVRHPDVLAEIHRIADLDPAHRKIFVHGLGWDTNAETLTAAFRQYGEIEDCNAVMDKATGKSKGYGFILFKHRAGARRALEQPQKKIGNRVTACQLASAGPVPPPAPPVSEYTQRKIFVSNVGAEIDPQKLLQFFAKFGEIEEGPLGLDKLTGKPKGFALFVYKTIESAKKALEEPHKNFDGHILHCQKAIDGPKPNKLGFQFQATAAHHGSLHQVAGGLLGSHFSRTDKPGYLGGVGSHLSSASGAGHLMAPSASGLGFNQASQPAAAAVAAAAGLNPALGQALTAFLATQGAGLGLTNLVAGSVVNQGVPGSLANSSGHGLNSGYGGGLGGNINTGVIGGYGSQAHTQGGYGNASIGQGGSGRNQTGFGQMGGLGPYLGR >Ma06_p17490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11879818:11883789:-1 gene:Ma06_g17490 transcript:Ma06_t17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKLTPSSAVKAPAPVVEPKEEPVQEESGPDVAIDESQEEQTPTGADANPPVHENAQSDAASEDLGGASAGGVGVGNDAEDVVENDPTSIQKLLEPFPRDHLVELLRDAAVRHPDVLAEIHRIADLDPAHRKIFVHGLGWDTNAETLTAAFRQYGEIEDCNAVMDKATGKSKGYGFILFKHRAGARRALEQPQKKIGNRVTACQLASAGPVPPPAPPVSEYTQRKIFVSNVGAEIDPQKLLQFFAKFGEIEEGPLGLDKLTGKPKGFALFVYKTIESAKKALEEPHKNFDGHILHCQKAIDGPKPNKLGFQFQATAAHHGSLHQVAGGLLGSHFSRTDKPGYLGGVGSHLSSASGAGHLMAPSASGLGFNQASQPAAAAVAAAAGLNPALGQALTAFLATQGAGLGLTNLVAGSVVNQGVPGSLANSSGHGLNSGYGGGLGGNINTGVIGGYGSQAHTQGGYGNASIGQGGSGRNQTGFGQMGGLGPYLGR >Ma09_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2098654:2103529:1 gene:Ma09_g03040 transcript:Ma09_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLACILLPLLVTPVSSPSHSLCLPPIRLPPDGSNLLRRRIHPPKFTPRFPLTPLGLVQSVGSLRTLAKCPSVSLETKMGFDLNVVSPGESFIAASNSSEASINEATAANGEEGTRSTNGAATCRFGIFRHPTEPVFDDYDDEIEEESGVWPEPGIVTQQLFPTAEAVTFQPPVAAASCSSLGWADLSFGKGMVGGAVEATGGKALCHLQQQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLGADINFSLSDYDEDLKQMRNLSKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGRVAITNFEPSLYQDEILFEPENEVGFKDVDLSLRISQPNLDSAKRDGSSTSTDFNCGLFGDMETGNAQERGKDQRQEVGLLVFPNWAWQMHGPTLLPLVSSAASSGFSSASTAAVIPPPPAPPPPIIHPVLFPQSASTSYHF >Ma09_p03040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2098654:2103529:1 gene:Ma09_g03040 transcript:Ma09_t03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLACILLPLLVTPVSSPSHSLCLPPIRLPPDGSNLLRRRIHPPKFTPRFPLTPLGLVQSVGSLRTLAKCPSVSLETKMGFDLNVVSPGESFIAASNSSEASINEATAANGEEGTRSTNGAATCRFGIFRHPTEPVFDDYDDEIEEESGVWPEPGIVTQQLFPTAEAVTFQPPVAAASCSSLGWADLSFGKGMVGGAVEATGGKALCHLQQQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLGADINFSLSDYDEDLKQMRNLSKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGRVAITNFEPSLYQDEILFEPENEVGFKDVDLSLRISQPNLDSAKRDGSSTSTDFNCGLFGDMETGNAQHRIIQERGKDQRQEVGLLVFPNWAWQMHGPTLLPLVSSAASSGFSSASTAAVIPPPPAPPPPIIHPVLFPQSASTSYHF >Ma06_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10670771:10673186:-1 gene:Ma06_g15770 transcript:Ma06_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERLRAQEIETNSTEKEVIDENEERIAKMSSRDCRCDEPTRELQSNEDAVESSAEEAYAAWMVKHPSALIAFDRMMSIAKEKMVVVFLDYDGTLSPIVDDPDRAFMSDSMRSAVNKVAQYFPTCIISGRRRDKVYEFVKLTNVYYVGSHGMDIMAPLKPVDEIDSALHEQAIKEKGNEGVLFQPAEEYLPMIEEVYSELKEKTKEIQGVLIENNKFCISVHFRRVDEEDWSLLENQVMDTMKNYPALLITRGRKVIEIRPSIKWDKGRALEYLLETLGFGNNDETLPLYIGDDRTDEDAFKVLQRRGQGYPIIVSSVPKDTEASYSLRDPSEVMSFLLHLFRWKRDSS >Ma06_p37860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36802638:36806506:1 gene:Ma06_g37860 transcript:Ma06_t37860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYTSGLALLLFGRRNDEQPMKVSPWTTYHLVEQGLESESQLASRKKQNSQGCTSFICFSCAQDRQFPHKLDLANQSETSSDSSSSDANKVTISGAATVSERKPCLKSNIKKPSRNCSTACEGDDSHEVSGVENETSCCTVGRKVQWTDKCGKELVEIREFELSDDNPSDGEFEHEKFRRCECVIQ >Ma06_p37860.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36802638:36806506:1 gene:Ma06_g37860 transcript:Ma06_t37860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYTSGLALLLFGRRNDEQPMKVSPWTTYHLVEQGLESESQLASRKKQNSQGCTSFICFSCAQDRQFPHKLDLANQSETSSDSSSSDANKVTISGAATVSERKPCLKSNIKKPSRNCSTACEGDDSHEVSGVENETSCCTVGRKVQWTDKCGKELVEIREFELSDDNPSDGEFEHEKFRRCECVIQ >Ma06_p37860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36802638:36806506:1 gene:Ma06_g37860 transcript:Ma06_t37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYTSGLALLLFGRRNDEQPMKVSPWTTYHLVEQGLESESQLASRKKQNSQGCTSFICFSCAQDRQFPHKLDLANQSETSSDSSSSDANKVTISGAATVSERKPCLKSNIKKPSRNCSTACEGDDSHEVSGVENETSCCTVGRKVQWTDKCGKELVEIREFELSDDNPSDGEFEHEKFRRCECVIQ >Ma06_p37860.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36802638:36806506:1 gene:Ma06_g37860 transcript:Ma06_t37860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYTSGLALLLFGRRNDEQPMKVSPWTTYHLVEQGLESESQLASRKKQNSQGCTSFICFSCAQDRQFPHKLDLANQSETSSDSSSSDANKVTISGAATVSERKPCLKSNIKKPSRNCSTACEGDDSHEVSGVENETSCCTVGRKVQWTDKCGKELVEIREFELSDDNPSDGEFEHEKFRRCECVIQ >Ma06_p37860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36802638:36806506:1 gene:Ma06_g37860 transcript:Ma06_t37860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYTSGLALLLFGRRNDEQPMKVSPWTTYHLVEQGLESESQLASRKKQNSQGCTSFICFSCAQDRQFPHKLDLANQSETSSDSSSSDANKVTISGAATVSERKPCLKSNIKKPSRNCSTACEGDDSHEVSGVENETSCCTVGRKVQWTDKCGKELVEIREFELSDDNPSDGEFEHEKFRRCECVIQ >Ma06_p37860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36802638:36806506:1 gene:Ma06_g37860 transcript:Ma06_t37860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVEGGGFFSSSASGYTSGLALLLFGRRNDEQPMKVSPWTTYHLVEQGLESESQLASRKKQNSQGCTSFICFSCAQDRQFPHKLDLANQSETSSDSSSSDANKVTISGAATVSERKPCLKSNIKKPSRNCSTACEGDDSHEVSGVENETSCCTVGRKVQWTDKCGKELVEIREFELSDDNPSDGEFEHEKFRRCECVIQ >Ma08_p28580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40428204:40428996:-1 gene:Ma08_g28580 transcript:Ma08_t28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYIYKAKTKNKGTQYRCIWGKVARPHGNSGVVRAKFKSNLPPKSMGGRVRVFMYPSNI >Ma02_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20285906:20292109:1 gene:Ma02_g10820 transcript:Ma02_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWAATVLSMRGEDDDGVVLQLVSGTPNLSFEEVSKDGSNLRFRFNCSADSNEGQGMKLVKEDTGAGMRSLYFKVLGIMPMSVIAASIHRSYHQLETLKLEPEHNVAS >Ma05_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17809294:17810561:-1 gene:Ma05_g16620 transcript:Ma05_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGLVAPKKKVSMIPAKMVPPLPSATPEARKPAVVRSVTRDEIDKYWMTRRMIEEDHLLAAEKAAARIRAKALKEEDYRRFEESLKEMLNSAEEDEDGKEGDDEELQIGIKDWWTKSKFAYLNQPAIKSMGENATPKRPTSTYIPQHICFLDVSAAAQLYNSSSSSSSFGGFLNQQCRMSTR >Ma08_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9446725:9453502:1 gene:Ma08_g12480 transcript:Ma08_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDIVDISSDEDEFDGLSLPRANGRRQEESDDVVVVEEFSAPAVKRRKQNSGSFGPEKGGASDDDDDCLVLDSDPDKLVSVVDGKGSGGGDGGDDLLIVAEKGQLACRDYPHPRHLCGNFPFNSSPHEEYCDLCHCYVCDSPAPCYYWGNGNSSSNHCHSTDKEGRWKSMRQSFKQKNMVATQPQKVTYDSPFNIPPFRDPVPPFHHYPSPLLVPHSRPNLLRPCSATSIPNSNAINQRHQDQPTILSYTRRLGQQPTKSCSLKSNVQIESCVAGSLTTQLVYSRTRYKRVGTMRDGFASQTNRSHNIASTKNNIPSAVSENSTYATMTSWRPRSPRVGQQRSQDLLGTSPGSSADQMQATVSSQFSKPTNQSSVCPPLTAADVSQKSWQDLLASVASELGVAVCSDTDIINVQQPLMVPSLSLPHDKPFSETNASQDTQSD >Ma09_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15211504:15215389:-1 gene:Ma09_g18020 transcript:Ma09_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYPGSPKIHQFPGGGTMFPRGADAYIDDINALISLTDGKIRTAIDTGCGVASCGAYLLNRDIITMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWYDYDGLYLIEVDRVLRPGGHWILSGPPIQWKKHYQGWERTQEDLKKEHETIEAVAKRLCWKKLIEKDDLAIWQKPINHVECIESRRIYKTPHICKNDNADAAWYKKMKACITPLPEVSSPDEAAGGELKEWPERAFSVPPRISKGSIPALTSKKFEDDNIVWKERVTHYKNIIPALFQGKYRNVMDMNAYLGGFVAALMKYPVWVMNVVPANSDYDTLGVIYERGFIGTYHDWCEAFSTYPRTYDLIHATGVFSIYQDRCDITYILLEMDRILRPEGTVIIRDTVDVLTKVQSITDRMRWKSRTMDHESGPFNPEKILLAVKTYWTAEPST >Ma10_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24254517:24255056:1 gene:Ma10_g10220 transcript:Ma10_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDLQKPVTWVDDEDDDWGSMESRSPKHYKRQRKAGAEAAKEKASSGSTEIKIKISKKQLEKLLRQADDGEKLPLRRFIADLVSMGESWELHHDQGRHWRPELQSIPEVPE >Ma10_p25790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33752270:33757189:1 gene:Ma10_g25790 transcript:Ma10_t25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGDSSPLVAPVPVPDPNDIDLEAGPGEQFQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYYLRVHVHADRKWRILKFRFFVTRDILFIFAVVQLIISSLAYLVYLVDSSHNYWLRLAWGFDGEFSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCICCCQPGMCADCHLPGTLCMWTDCTTCFESCASTAGECGCLGGAGEAGLPLLFIVGLIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDSEGTDWCPPPLPAEHVQQLKSLGLL >Ma06_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4795336:4796229:-1 gene:Ma06_g06650 transcript:Ma06_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGELKVLLVDATGLKGADYVGSISPYVVIQYNNHELKSRTAHGFCGADQGGDPVWNETFAFPVSSSPVDNPIQHKLILRIMDADTYTEDDFIGQATVHLGNVIALGTEEGFAELEPAKYRVVLEDESYCGEIEVGVRFTTHGALIFVKFPRKLVEGLETS >Ma06_p26330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28169846:28174217:1 gene:Ma06_g26330 transcript:Ma06_t26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANGVFYDEAQVHNRDISIAVLRTSISKRKEEHAIMLSRKGSASEVPCDRPSDYLSDGDCQIQLDSSDYTKGNLREIQEIPASKVQELKAPTHMFAMPKLKYFLVRNLLALAASGLRSRRYALEIDGIGKIVALDYDEFYMLTHPKEFDAVDLDPYGSPSAFLDSAVQSIADGGLLMCTATDLSVLCDMGNRYGSYPLKAKYHHEMALRMLLACVESHANRYRHYIVLVISVPMDFYMQVFVHIFTSQHHLKLSYIYQCAGCDSFNLHSLGRTVTKVSYQLTVDKHPAYKKIVAVLIAISEVDVIYQNCFSLRSE >Ma08_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34951283:34953207:1 gene:Ma08_g20900 transcript:Ma08_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTFSRDNICHIVEAPAAGVFAVELFLTKDGQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMNSPAAIMYNILGEDEGEPGFYLAHQLIGKALKGNNLVVGVVLHIFQVALVKNKYMTKVSVYGHRCLEVLIFDLNVCLMGAVTPCVAIIMGSDSDLPTMKDAAEILKNFGVPYEVTIVSAHRTPERMYSFASSAKERGIQIIIAGAGGAAHLPGMVASLSPLPVIGVPIRASSLDGIDSLLSIVQMPKGIPVATVAIGNAANAALLAVRILATSDADLWNRVIKYQNELKDTVLAEATKLEAEG >Ma06_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10884983:10902163:1 gene:Ma06_g16040 transcript:Ma06_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYRRDGGVALLVIVVLAVLSLASLLVAFSYYCYISNKVSRHLNSLKEGKSEPKEKAAPLGGGSGSDEAPVVVSERGVQVFTYKQLHSATGGFGKRGVVGHGSFGAVYRGALPDGRKVAVKLMDRPGKQGEEEFKMEVELLTRLHSPYLLTLIGHCSDGGQRLLVYEFMANGGLQEHLYPTKGSYGGISKLDWDTRMQIALEAAKGLQYLHEHVNPPVIHRDFKSSNILLDIYFHAKVSDFGLAKLGSDKAGGHVSTRVLGTQGYVAPEYALSGRLTTKSDVYSYGVVLLELLTGRVPVDMNRPPGEGVLVTWRNHVGSDRVFVTFGFESD >Ma03_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27584643:27586622:1 gene:Ma03_g22860 transcript:Ma03_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWFKRPIIYDVRTLLHLVESTSGNRDLQMVTLLFHQFADLDHLQLLLFMIGETKMKLKQFVSSPIHNLIAIHVISSLCCERMAVSQEIQKILTERARNFNIALDDISITSLIFVKELTNAIKLKQVAAQEAECAEFIVEKAEKDKNSAVISMSTNSTFSREKMINNLQFATIAFLALRQIEAADEIAHIIANSSIRIFLQSDDLLLNQL >Ma11_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:873468:874724:1 gene:Ma11_g01220 transcript:Ma11_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRRFRRSESFPALKAAAKSAFRSRCISLPSRSHPFAAHLADDLQSLRSWPLSPSSASDAVHWICNGLARLHLLLASLSDVLQLPQAQEPLRRGHRRSPALADRLLDDFLRLADAHGSFRSATLALKQDLAAAQVAVRRRDERRLACYVRAQRRARKELAELAAAAREVKKRPQPGPATWAAANAEEAEVARVIGEVVVVAAEASAAVFLGVSEMAAAASSAAAAMAPSRFAWANAVLRWRTRATSKPPNKKASPEEKKEEVAAGEEEEEEEKWRRTTLERLGKAEEGVPSLESAGELVFKSLVNVRVTLLNVLTPAL >Ma06_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22438286:22447465:-1 gene:Ma06_g24080 transcript:Ma06_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVERSFEAWEEVQRHGLDLADRLAQGFNGLLQSHIAPPPFPWPAPHKIDPILPASAAADGVSAILGIGNRLGQAGAELGACINGVVQQFFRQLPVPFWHEEEDERLAARLGVDLDGSRKWDEAVEIRGGSGHAGGSEEHGLIADQFGGRSFLEPVAAVGGLDGLEDEEGFELDLRSPRRFGNPQGIINITTTYDSRTNDIESSLVARGDLWRAEASHGGSTSRNESSPLFLIQLGPVLFVRDTTLLLPVHLSKQHLLWYGYDRKNGMHSLCPALWSKHRRWLLMSMICLNPFACTFMDLQFPNGQLTYVAGEGLTTSAFLPLFGGLLQAQGQYPGETRFSFSCKNKSGTRITPVVQWPDKSFSLGVVQALAWKRSGLMLQPTIQFSVCPTFGGSNPGLQAELIHSMDEKLSLSCGCSATAHSSAFASLALGRSKWNGNAGKSGIVIRVEAPLDSICRPAFSIQLNSGVEF >Ma02_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27123448:27124217:1 gene:Ma02_g21540 transcript:Ma02_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPKKRRFVLHSPPQQPPTMIPPVPNMPSSISTMLPPLPPPAIDPNLVFYKTRLCQNFSTRGVCPYGHLCRFAHGPADLREPVPNWQDIAGTHKTKLCRSFAAGACPYGDRCLYSHSHARGSGSAVRPTLPAASVANASRSDERCVFAHGSAVLKKTPMSFAEAAKDYSEEATRSSTLPRSANSPTHVGTGTPPPPSSEPTLKCFPRLRRIKKLNHIYADWID >Ma06_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5411242:5414524:-1 gene:Ma06_g07610 transcript:Ma06_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDEQDVPDAKGRKKRYHRHTPRQIQELESMFKVCPHPDEKQRMQLSRDLALEPRQIKFWFQNRRTQMKAQHDRADNCVLRAENDKIRAENIAMMEALKSVICPSCGGPPTHEDSYFDDQKLRMENARLKEELDHVSSIASKYLGRPVTQLPPVQPVSVSSLDLSVGVYSGPEVNPTLDLDLLRRNCSSAFPYPYTPAVSELEKPLMVEMATGAAEEVLRLVQTDQPLWVKSGSDGRDRLQLEIYDRMFQRSGQHLRFPHTRTETSRDSAPVAMNAMTLIDMFMDASKWAELFPSIVAKARTIEVLAAGMASSRSGSLVLMYEELQVLSPLVPTREFCFLRYCQQIESGMWVVADVSVDYPRDNQLGLSPRSRRLPSGCLIEETPDGYSKITWVEHMEIDANDQPHVLFKDLINSGTAFGAQRWITTLRRMCERFACSNVAGLPGRDLGVVSSPDGKRSMMKLAQRMVNNFCANVGASSDQKWTNVSGSNDVGVRVVLQKTSDAGQPSGVVLCAATSIWLPVSAERVFSLFKDERTRTQWHILSNGNTLQEVAHITNGSHPGNCISLLRGLSSSQNTMLLLQECCTDASGSVVVYSPIDLPAINIVMSGEDPSYVPLLPSGFAILPDGRSAGGQGASSSSTPMVGSSGSLVTVAYQIHLSSLPSAKLNMESVMTVNHLIGTTVQQIKVALNCADA >Ma10_p26860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34355060:34359511:1 gene:Ma10_g26860 transcript:Ma10_t26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MFTQHHIAKAVDVGEASILQNGVRNFMSKAKAAGLLRLAFHDAGTFDLDDKSGGMNGSIVYELDRPENAGLNKSLKVLEKAKMEIEKIQQVSWADLIAVAGAEAVSLCGGPVIPVQLGRLDARTPDPQGKLPQETLDASGLKKCFLKKGFSTQELVALSGAHTIGNKGFGSPVVFDNAYFNILLEKTWTSSGGMSSMIGLPSDRALAEDEECLRWIKIYAGDQAKFFDDFRNAYIKLVNSGASWRIV >Ma07_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8202737:8202961:-1 gene:Ma07_g11040 transcript:Ma07_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLMLQSIYYSSVYMKKEIELKLASEKVLGS >Ma03_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19391271:19405449:1 gene:Ma03_g16720 transcript:Ma03_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPPLDLAPVLEAASEFASYPGLQNDASAKEFLDRFPLHTLFSVLQTKADVPGTEDTMVSCLDKIFRTKYGASLLLDYAPFIQVGLQANSQAVRRLACKAVSYLLENTGDRVGALQIIVAYNVYPLLLSCLVDGDEQTSAASLEAVKDIAQSPEGISIIFPGHGEGPFLIKNIAVQSSSLARIRILALVAKLFALSSSLATAVYSSNLLNLFEVEINNGHDMLTTLSALELLYELVESPHSSMFLLRTTLLQKLTSMVSNSSVDSVLRSRAALISGRILSSSDAYTAVDISSVTALLAAIDERLKVLGGQNTDEYESALEALGLIGAISQGATLLLSSSPVARHVIESAFDRHSRGKQLAGLHALASICGVDRPEDKMLLDSKAEENLRRLIYTAAANTSKLNPSGLILSILRQEPEIRLAGYRLISGLVVRPWCLMEICLNPEIITIVTDAKIETAKTGMDARHHCCTVISTALSSSNLLQDAAVARTAAKLQEAVRRGPYVIKEHVEAQPIVMTAERF >Ma02_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26080147:26080923:1 gene:Ma02_g20010 transcript:Ma02_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRDEEVALNRSSIGVAQDDNSPRAFRSKFPSNYSTLFDLLELISIPAGWILRFVYNIIRQLSPRVQRLEGKKKNHTETMHLIEYLAKEGYFEFFGRGKAPIQDWTRR >Ma11_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25983926:25984723:1 gene:Ma11_g22080 transcript:Ma11_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSSLPPLLSHSCNTSVVAMPHSSPKPSPPLHRIGGKPVLGAGASAAAGVPVRCIGHGVPAVVSRHHEHAVEAHQCCSALVQHVAAPVAMVWSVVRRFDQPQTYKHFVKSCHVIDGDGDVGTLREVRIVSGLPAATSRERLEILDDERHVLSFRVVGGEHRLANYRSVTTLHPEAEGCTVVVESYVVDVPAGNTREDTRVFVDTIIRCNLQSLARTAENLAK >Ma04_p35520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34268197:34269053:1 gene:Ma04_g35520 transcript:Ma04_t35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSQCHPSGSLCSNATGLFPGTRQRILESSFTRLVLAHYKSTSRPSAPFTVPMATKALIRFLFVAAVLGSTASACGTCTHPTFPRPPSSKRPPKHLPPYTNPPVVGPPAEGGGAPPGTSPPATCSLDILKLGLCLDVLGGLVHVGLGKPAENVCCPVLQGLLELEAATCLCTAIKLRLLDLDIYIPLALQVLITCGKDPAPGYLCPLS >Ma06_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1899897:1909858:-1 gene:Ma06_g02450 transcript:Ma06_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAEDFVKGRVFPNGVAVITLDRPKALNAMNLEMDLRFKGFLDEWESDPSVKCILVESSSPRAFSAGMDIKGLVAEIQKDKTTSLVTKVFAAEYSLICKISDYRKPYISLMDGVTMGFGIGLSGHGRYRIITEKTLLAMPENGIGLFPDVGFAYIAARTPGGGAIGAYLGLTGKRISSPADALYIGLGTHHVPSGSLAALKEALLNANFSSEPDKDIQALLAEYEKPVLEAQMKTFLSQIVSSFGAHRSVAETIEELKRHQMSTDSTVAEWASDALSGLGKGAPFSLCITQKHFSKVALAHENNDHLSKLSGVMKAEFRIALRSSVRDDFAEGVRAVLVDKDQNPKWNPSRLEDVDVAEVESVFDPLPAENELDV >Ma06_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:40053:40817:1 gene:Ma06_g00040 transcript:Ma06_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLWASLRSINPYHWMALLMMIMLLDCISSKLKESMFHQGQFHYLPVWKRVMLA >Ma06_p26340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28177332:28187593:-1 gene:Ma06_g26340 transcript:Ma06_t26340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRSPKDPDESAVATSVGRQRKKRKWDQPAEPLLSAGIAVPGVPLAPNTILGTTLPVPQNAAAIIQKLNQPKIQDELIAREIVINDAEPTARYKLTKRQTQEEIQKCTGAVVITRGKYRPPNGLPDSEKPLYLHISAGAHLKDTAERIKAVDHAAAMVEEILKQGQSSLMSSTPLQSVLLNGPVIQALSMCLFLGFDADPSLNIATRIRGPNDLYINHIMNETGATVVLRGRGSEHLDSSHEETLQPLHLYLSSTNPKSLEAAKILAENLLDTIASECGASRISSTKVYGAVPPPQQLLLGTESSSKVASAGNVNQIAIFPSTATCSMVATQSFLPGGDPLSAVSIVSSQGTAVQSGDVLKYGNPQNLVSYTVPAVTRATCYNGYEGIYPQSTPLQQVALALRQASTSSACVSSTSCFVDTTEQKSSLNAKADARPPQKRKFQEIPVTSKVSTAFQNSQQGSEFLKPGSEDSSVRVSSMPPPKKLVDPGLNGTSPPPRNIPPPPPKFLSCQLQPSSDKGSKALEESSGPPLSPRNMPPPPPKFLGPQLPPKAGNGSMDTKKSTVPLSDTLLKLAEYGDEDDDADASEDTPTSSVESPKSHTTRTPKPFWAV >Ma06_p26340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28177332:28187593:-1 gene:Ma06_g26340 transcript:Ma06_t26340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRSPKDPDESAVATSVGRQRKKRKWDQPAEPLLSAGIAVPGVPLAPNTILGTTLPGAVPFSGSLLTNSVPSTFVYPPQLVQSLAVPQNAAAIIQKLNQPKIQDELIAREIVINDAEPTARYKLTKRQTQEEIQKCTGAVVITRGKYRPPNGLPDSEKPLYLHISAGAHLKDTAERIKAVDHAAAMVEEILKQGQSSLMSSTPLQSVLLNGPVIQALSMCLFLGFDADPSLNIATRIRGPNDLYINHIMNETGATVVLRGRGSEHLDSSHEETLQPLHLYLSSTNPKSLEAAKILAENLLDTIASECGASRISSTKVYGAVPPPQQLLLGTESSSKVASAGNVNQIAIFPSTATCSMVATQSFLPGGDPLSAVSIVSSQGTAVQSGDVLKYGNPQNLVSYTVPAVTRATCYNGYEGIYPQSTPLQQVALALRQASTSSACVSSTSCFVDTTEQKSSLNAKADARPPQKRKFQEIPVTSKVSTAFQNSQQGSEFLKPGSEDSSVRVSSMPPPKKLVDPGLNGTSPPPRNIPPPPPKFLSCQLQPSSDKGSKALEESSGPPLSPRNMPPPPPKFLGPQLPPKAGNGSMDTKKSTVPLSDTLLKLAEYGDEDDDADASEDTPTSSVESPKSHTTRTPKPFWAV >Ma06_p26340.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28177332:28187593:-1 gene:Ma06_g26340 transcript:Ma06_t26340.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRSPKDPDESAVATSVGRQRKKRKWDQPAEPLLSAGIAVPVPQNAAAIIQKLNQPKIQDELIAREIVINDAEPTARYKLTKRQTQEEIQKCTGAVVITRGKYRPPNGLPDSEKPLYLHISAGAHLKDTAERIKAVDHAAAMVEEILKQGQSSLMSSTPLQSVLLNGPVIQALSMCLFLGFDADPSLNIATRIRGPNDLYINHIMNETGATVVLRGRGSEHLDSSHEETLQPLHLYLSSTNPKSLEAAKILAENLLDTIASECGASRISSTKVYGAVPPPQQLLLGTESSSKVASAGNVNQIAIFPSTATCSMVATQSFLPGGDPLSAVSIVSSQGTAVQSGDVLKYGNPQNLVSYTVPAVTRATCYNGYEGIYPQSTPLQQVALALRQASTSSACVSSTSCFVDTTEQKSSLNAKADARPPQKRKFQEIPVTSKVSTAFQNSQQGSEFLKPGSEDSSVRVSSMPPPKKLVDPGLNGTSPPPRNIPPPPPKFLSCQLQPSSDKGSKALEESSGPPLSPRNMPPPPPKFLGPQLPPKAGNGSMDTKKSTVPLSDTLLKLAEYGDEDDDADASEDTPTSSVESPKSHTTRTPKPFWAV >Ma06_p26340.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28178406:28187593:-1 gene:Ma06_g26340 transcript:Ma06_t26340.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRSPKDPDESAVATSVGRQRKKRKWDQPAEPLLSAGIAVPGVPLAPNTILGTTLPGAVPFSGSLLTNSVPSTFVYPPQLVQSLAVPQNAAAIIQKLNQPKIQDELIAREIVINDAEPTARYKLTKRQTQEEIQKCTGAVVITRGKYRPPNGLPDSEKPLYLHISAGAHLKDTAERIKAVDHAAAMVEEILKQGQSSLMSSTPLQSVLLNGPVIQALSMCLFLGFDADPSLNIATRIRGPNDLYINHIMNETGATVVLRGRGSEHLDSSHEETLQPLHLYLSSTNPKSLEAAKILAENLLDTIASECGASRISSTKVYGAVPPPQQLLLGTESSSKVASAGNVNQIAIFPSTATCSMVATQSFLPGGDPLSAVSIVSSQGTAVQSGDVLKYGNPQNLVSYTVPAVTRATCYNGYEGIYPQSTPLQQVALALRQASTSSACVSSTSCFVDTTEQKSSLNAKADARPPQKRKFQEIPVTSKVSTAFQVFSLTFSEVDRLIAYNRWTCIYVARFSTAV >Ma06_p26340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28177332:28187593:-1 gene:Ma06_g26340 transcript:Ma06_t26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEDRSPKDPDESAVATSVGRQRKKRKWDQPAEPLLSAGIAVPVQSLAVPQNAAAIIQKLNQPKIQDELIAREIVINDAEPTARYKLTKRQTQEEIQKCTGAVVITRGKYRPPNGLPDSEKPLYLHISAGAHLKDTAERIKAVDHAAAMVEEILKQGQSSLMSSTPLQSVLLNGPVIQALSMCLFLGFDADPSLNIATRIRGPNDLYINHIMNETGATVVLRGRGSEHLDSSHEETLQPLHLYLSSTNPKSLEAAKILAENLLDTIASECGASRISSTKVYGAVPPPQQLLLGTESSSKVASAGNVNQIAIFPSTATCSMVATQSFLPGGDPLSAVSIVSSQGTAVQSGDVLKYGNPQNLVSYTVPAVTRATCYNGYEGIYPQSTPLQQVALALRQASTSSACVSSTSCFVDTTEQKSSLNAKADARPPQKRKFQEIPVTSKVSTAFQNSQQGSEFLKPGSEDSSVRVSSMPPPKKLVDPGLNGTSPPPRNIPPPPPKFLSCQLQPSSDKGSKALEESSGPPLSPRNMPPPPPKFLGPQLPPKAGNGSMDTKKSTVPLSDTLLKLAEYGDEDDDADASEDTPTSSVESPKSHTTRTPKPFWAV >Ma05_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8887228:8890789:1 gene:Ma05_g12260 transcript:Ma05_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFWFPFLPSHGHQSTKGGNKLFGFPLPRIKISIQICFHRKREEPKTSFLWRFKGRKRDGKQELCLGRFEQRQNRTGF >Ma09_p26130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37477802:37478707:1 gene:Ma09_g26130 transcript:Ma09_t26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAFEITLALLVAAVLCAPSSAQTSGCSPTLAGLSPCIGYLVGNSSSPTSTCCTQLADVVQTQTQCLCAILGGGITQLGFVLNQTQAFTLPGACKIKMSPATQCSGFTVSAPAAAPTAVPTPTASPAAAPPTDAPPAAAPPTAAQAPESVPTDSTPPVSTPPSGSGAKTTTQASMARSSTKSNTALFSFFLLLFVASCA >Ma04_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2071516:2077755:1 gene:Ma04_g02410 transcript:Ma04_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCCSSSLGSRIRSWLHDYDCLQSVAVVLIYVQIGCALVGSLGALFNGVLLINLVVALFALVAIESSSQILGRTYAVLLVFSILLDVAWFILFSHTIWNMTPDQKFGPLFVFSLRLALWMEIIGFSVRFLSSFLWIQMYRLGVSTVDSTIHHVDYSVRNSFVNPSTQITRQNSTSDEILGGAIYDPSYYSSLFEDTQDKQCLPEDDNQNVHDSHSSSEIEAPKLKSCISRSFQVIDVDNALRKPLLQ >Ma11_p05410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4135416:4165624:-1 gene:Ma11_g05410 transcript:Ma11_t05410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAAAGKRCPTPPSPTSVVSPSAKRSKVEAGSPAPREKEPVDLEEPSLAAQDDGVVAKSTSPDISLTVEMEVDGPIGLSGQGHVECSPELDLPTKYKGEEGPPRTVAWGKLVSQFSENPSRSICSNLFTVGHSKNCDLQLRDPSVGTTLCVLRQTKCGGASVALLETVGAKGVIQVNGKTVDKNSIILIGGDEVAFSRPEKHIYIFQQLPKEKLNTPTLHNFHSSLETKIASKKGLKFEKRPGDHSAAAVVSMLASLSTLKKDLSVHPSSAPSEPMTDLELNANTCKLFEDQRESVKDFELLASLSSTRSQVFKDGLKRGIIDASDIEVSFDDFSYYLSENTKQPLVSCAFVHLKCKEFLKYTSDISSLSQRVLLSGPPGSEIYQETLVKALAKEFDARVLIIDCLTLLGHRRPTSTVKADIVESSVLDTEPLPKQETSTASLKSCPFKKGDRVKYVGPSQPTEVPLIPRGPNYGFRGKVLLAFEENKSAKVGVRFDKHIAEGNDLGGLCEEHHGFFCAADSLRPDTSTREDTGRPALNELFEFVSEECQHGPLIVFFKDIEKSVAGGTDSYLTMKSKIDSLPAGILVICSNTQLDSRKEKSHPGGLLFTKFGGNQTALLDFALPDCFSRLHERSKESSKTMKQLAKLFPNKIIIQPPQDEGQVAEWKRKFDSDVETLKAKSNALNIRSFLNRIGFECNNLENICIKDQTLSSESVDKVIGFALSHHLKNNTSEASGEKTKLILSSESITHGLQMLQNFQSDSKSTKKSLKDVATENEFEKRLISDVIPPDDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINVSMSSISSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANREKILKVILTKEDLAPDVDTEILANMTDGYSGSDMKNLCVAAAHCPIREILEKERKEREKALAEDRPLPLLHGSNDIRPINMDDFKYAHEQVCASVSSESSSMSELLQWNELYGEGGSRKKKALSYFM >Ma11_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4135416:4165624:-1 gene:Ma11_g05410 transcript:Ma11_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAAAGKRCPTPPSPTSVVSPSAKRSKVEAGSPAPREKEPVDLEEPSLAAQDDGVVAKSTSPDISLTVEMEVDGPIGLSGQGHVECSPELDLPTKYKGEEGPPRTVAWGKLVSQFSENPSRSICSNLFTVGHSKNCDLQLRDPSVGTTLCVLRQTKCGGASVALLETVGAKGVIQVNGKTVDKNSIILIGGDEVAFSRPEKHIYIFQQLPKEKLNTPTLHNFHSSLETKIASKKGLKFEKRPGDHSAAAVVSMLASLSTLKKDLSVHPSSAPSEPMTDLELNANTCKLFEDQRESVKDFELLASLSSTRSQVFKDGLKRGIIDASDIEVSFDDFSYYLSENTKQPLVSCAFVHLKCKEFLKYTSDISSLSQRVLLSGPPGSEIYQETLVKALAKEFDARVLIIDCLTLLGGPSSKDSESFKEVINLDKPTDKQHGALSACFQHRRPTSTVKADIVESSVLDTEPLPKQETSTASLKSCPFKKGDRVKYVGPSQPTEVPLIPRGPNYGFRGKVLLAFEENKSAKVGVRFDKHIAEGNDLGGLCEEHHGFFCAADSLRPDTSTREDTGRPALNELFEFVSEECQHGPLIVFFKDIEKSVAGGTDSYLTMKSKIDSLPAGILVICSNTQLDSRKEKSHPGGLLFTKFGGNQTALLDFALPDCFSRLHERSKESSKTMKQLAKLFPNKIIIQPPQDEGQVAEWKRKFDSDVETLKAKSNALNIRSFLNRIGFECNNLENICIKDQTLSSESVDKVIGFALSHHLKNNTSEASGEKTKLILSSESITHGLQMLQNFQSDSKSTKKSLKDVATENEFEKRLISDVIPPDDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINVSMSSISSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANREKILKVILTKEDLAPDVDTEILANMTDGYSGSDMKNLCVAAAHCPIREILEKERKEREKALAEDRPLPLLHGSNDIRPINMDDFKYAHEQVCASVSSESSSMSELLQWNELYGEGGSRKKKALSYFM >Ma00_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19107629:19107843:-1 gene:Ma00_g02590 transcript:Ma00_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISRHPPYQGLQLRPPSCDLQGPPFPAGVEHVSGDILRVSHKVTPLL >Ma09_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2748341:2751712:-1 gene:Ma09_g04170 transcript:Ma09_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTLSMETLPPSLTSASEPPAIFDGTTRLYIAYICPYAQRTWIARNYKGLQEKIELVPIDLQNRPAWYKEKIYPANKVPSLEHNNEVRGESLDLVKYIDSHFEGPALMPDDPAKQQFAEELLLYSDSFNMVMYKAMPAKGNVSDEVDVALNKIEDAFSKFSDGPFLLGKFSLVDIAYAPFIDRFQTFFLDVKNYDITKGRPNLSSWIEELNKIDAYSQTRIDPQELLAATKKRFGIA >Ma06_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11132292:11140608:-1 gene:Ma06_g16430 transcript:Ma06_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMARFVAKTLPLASRCASRRPRPLAGASSWRRTARPPSPPPTLSPLSHSVPLPAFFSNVSARGFRSLSDSSCSLVPAFTRGYRNVRRRGRLPKKKPLELDVRICIEEELPDDPQILSVAETLRSDVPVALKVALDGLLTSKYNTRDTSIDDVNKYEKVELSVLLCDDGFIQKLNKHWRDVDQTTDVLSMSQHIPELGHPILLLGDIVISLETASRQAQERGYTPLDEIRVLMVHGLLHLLGFDHEISHEAEAEMEKEEELILRNLGWKGKGLIKSTNNSRTAESHSTSSPNELVKNVKMEGHSGLYEAKLSYMFCDVDDTLFNGKSQINTRMAEAMREALSRGAKVVIVTRMTRSAVIRASSLANLAGKDGIVSEASPGIFLQGSIVYGRRGQEIYRASVDKNICREAFLYSLEHEVPLVAFCEDRCFTLFEHPLIDLLHTVHHEPKAEIMPSVEHLLAVSDVQKLLFLGTAEVISTLRPYWLEATQGIADIIQATPDMLEIVPCGASKGSGIKLLLDHLGIAADEIMPHFEGEGESE >Ma07_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15135546:15137661:1 gene:Ma07_g16640 transcript:Ma07_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGIISFVLFLALLPPFFRLLRTARRSSKKLPPGSLGLPFIGQSFGLLRAMRANTGERWLARRVSKYGPISKLSLFGSRAVLLTGPAANKFIFFSEALVPQQPKSITGIIGRRNILELVGEDHRRVRGALAHFLKPEVLKQYVAMIDREVRHHLETNWVGRRSVTVMPLMKTLTFDIICSLVIGLEKGSLREALEEAFTDMLPGMWAVPLNLPFTKFRRSLRASRRARKVLAGVIEKKKDMLKQGRCSRDEDLITYMLSLGGEDDAREMTEEEILDNLMLVMFAGYDTSAALITFMIRHLADDPVNRTIVIHEQQEIAKGKASGEALTWSDLNKMKHTWCAAMEILRMIPPIFGNFRRALKDIEYEGYLIPKGWLVFWASSITQMDEHIFEDPNKFDPTRFEKQSSVPPCSFVAFGGGPRMCPGNEFARMETLVMMHYVATRFNWRLCCEENGFSRDPMPSPSQGLPVELELKD >Ma10_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30189085:30193122:-1 gene:Ma10_g19750 transcript:Ma10_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTIAADCLHQFVEETDWYNGIVLDALVPGGSWKRLPRPLQSWLRNYIGGTALYLVSGFLWCFHIYYLKRNVYIPKDSIPSNKAMLLQIIVAMKAMPWYCMLPTLSEYMVENGWTRCFSSVGEVGWPAYIVYQTIYLLIVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFLVPSHFRTHVLLLFCEAVWTANIHDCIHGKIWPVMGAGYHTIHHTTYRHNYGHYTVWMDQIFGTLRDPEEEFKKAD >Ma10_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31752326:31754854:-1 gene:Ma10_g22330 transcript:Ma10_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADEDYDYLFKVVLIGDSGVGKTNLLSRFARNEFSSESKSTIGVEFATRTIRVDEKLVKAQIWDTAGQERYRAITSAYYRGAAGALVVYDVTRHITFENVERWLKELRNHTDGNIVIMLLGNKADLRHIRAVSVEDAQAFAQQEKAFFMETSALESMNVENAFTEVLTQIYHVVSKKMLDVGDDPSAVPKGQTINIGAEDDVQASKKTGCCSD >Ma03_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16525000:16526482:1 gene:Ma03_g15600 transcript:Ma03_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVQEEVEYSWREVVLPALIPVVQEPVELDRETGERRRGRDIVVAVDHGPNSRHALHWALVHLCRLADTLHFVHAVSSVQNEVVYEASQQLMETLAVEAFQIAMVRSQARIVEGDAGMVICREAERLKPAAVVMGTRGRNLMQSVLRGSVGEYCFHHCKAAPVIIVPGKG >Ma11_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26110639:26115066:-1 gene:Ma11_g22290 transcript:Ma11_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTGRFIVFLKFAFCMVPKSFAGLTCIFFYRTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKSEDKTIKINKPDQATAEGSAAPRSACCGS >Ma11_p22290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26110639:26115065:-1 gene:Ma11_g22290 transcript:Ma11_t22290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKSEDKTIKINKPDQATAEGSAAPRSACCGS >Ma11_p22290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26110639:26115068:-1 gene:Ma11_g22290 transcript:Ma11_t22290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTGRFIVFLKFAFCMVPKSFAGLTCIFFYRTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKSEDKTIKINKPDQATAEGSAAPRSACCGS >Ma11_p22290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26110639:26115061:-1 gene:Ma11_g22290 transcript:Ma11_t22290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKSEDKTIKINKPDQATAEGSAAPRSACCGS >Ma02_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17923875:17927087:1 gene:Ma02_g07110 transcript:Ma02_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFGRAQAILMFATISLHSAVAGLQETPVSGAAEDVFLISPRRSANSPARILVENTSFVLAAERTRRRDPLNGFKLYNGGWNISDLHYWASVGFTAAPLFAVAAVWFFGFALVLSLICCCYCCFPRRSCSFSRTTCALAVALLILCTAATIVGCVVLFHGQDKFHGTTSNTLDFVEGQSNTTVSNLRSFSTNLADAKKVGVGQISLPADEQAAIDAVVKSLNDAADGVSSRTADNSKRIRDYLDTVRLVLIILVAAVLLLVLLGLTFSILGLQFLVYIFVLVGWVLVAATFFLSGLFLLLHNAVADTCVSMDEWALHPREHTAMDDILPCVDVATTNASLRRSREVTFQLVNVVNQVITNVSNADFPPMLKPLYYNQSGPLLPPLCNPYDPDLGSRNCTTGELGFNNVSQVWRSYVCRVTVVNGSDICATVGRITPKIYAQMMAAVNVSHGLYQYGPFLAGLADCTFVRQTFRSITLDHCPGLGRYSKQVFIGLAMASAAVMLSMVLWVIYARARWHRKRNKQLLARSDHEQLHLQEKYLLGTPRSGR >Ma02_p07110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17923875:17927087:1 gene:Ma02_g07110 transcript:Ma02_t07110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFGRAQAILMFATISLHSAVAGLQETPVSAEDVFLISPRRSANSPARILVENTSFVLAAERTRRRDPLNGFKLYNGGWNISDLHYWASVGFTAAPLFAVAAVWFFGFALVLSLICCCYCCFPRRSCSFSRTTCALAVALLILCTAATIVGCVVLFHGQDKFHGTTSNTLDFVEGQSNTTVSNLRSFSTNLADAKKVGVGQISLPADEQAAIDAVVKSLNDAADGVSSRTADNSKRIRDYLDTVRLVLIILVAAVLLLVLLGLTFSILGLQFLVYIFVLVGWVLVAATFFLSGLFLLLHNAVADTCVSMDEWALHPREHTAMDDILPCVDVATTNASLRRSREVTFQLVNVVNQVITNVSNADFPPMLKPLYYNQSGPLLPPLCNPYDPDLGSRNCTTGELGFNNVSQVWRSYVCRVTVVNGSDICATVGRITPKIYAQMMAAVNVSHGLYQYGPFLAGLADCTFVRQTFRSITLDHCPGLGRYSKQVFIGLAMASAAVMLSMVLWVIYARARWHRKRNKQLLARSDHEQLHLQEKYLLGTPRSGR >Ma10_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15562002:15563726:-1 gene:Ma10_g04920 transcript:Ma10_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYELQHAGEMELFPAAPPTFLAVMEGHENPENGKSYNKGKEEEGGHGIIHETEQQHKLCARGHWRPAEDAKLKELVSQYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSEEEEERLLAAHRLYGNKWAQIARLLPGRTDNAVKNHWHVIMARKQREQSNARRSRNPPARSPIFFPSQAQALPRRKEVSTSNNACSGESGISGTRDECDSTCTDLSLNSLTSRIAPSFLNRRRSPSQQPHSFDMLDGSDEKVVAAARNASYEKLGDSGGSFFSHGARPVAYHMRNALAHGQKRHGREKTRLPFIDFLGVGAT >Ma10_p04920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15562002:15563715:-1 gene:Ma10_g04920 transcript:Ma10_t04920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYELQHAGEMELFPAAPPTFLAVMEGHENPENGKSYNKGKEEEGGHGIIHETEQQHKLCARGHWRPAEDAKLKELVSQYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSEEEEERLLAAHRLYGNKWAQIARLLPGRTDNAVKNHWHVIMARKQREQSNARRSRNPPARSPIFFPSQAQALPRRKEVSTSNNACSGESGISGTRDECDSTCTDLSLNSLTSRIAPSFLNRRRSPSQQPHSFDMLDGSDEKVVAAARNASYEKLGDSGGSFFSHGARPVAYHMRNALAHGQKRHGREKTRLPFIDFLGVGAT >Ma06_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:364027:375713:1 gene:Ma06_g00460 transcript:Ma06_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGPTDSSGTDDDLPPFLHNRGGPVTGSGKTTAAAPPCSRMQNDMESVIHQLEQEAYCSVLRAFKAQSDAISWEKEGLITELRKELRLSNEEHRELLRRVNTDDIIHRIREWRQEGGGQSNLINNAQSIHDLVPSPSGSASKKRQKTSNSAASLPGGYLPKLHSQPGAASMHPSASTVRKGNVAGAKTKKTKPNPSSGSSVRDQIKNIATKPGEAAANASLIGRKIMTRWPDDNNFYEAVIIDYKPREGLHALVYDIHTDNETWEWVNLNEIAPEDIRWVDVEPVMSNPSGRHGTGPGKKQLSGLGGIVPCTGKGGGSSKNQSSKNYMSSQNWTGKKGSQDITIFDTKILVKDVERVLDASPPDPVEIEMAKKMLKEQEQSLIDAIARIGDVSDSESAEGEEPLSQRLCKERGRAWGEGQHGRKQQALLFQDPEGSRW >Ma06_p00460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:364027:375713:1 gene:Ma06_g00460 transcript:Ma06_t00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGPTDSSGTDDDLPPFLHNRGGPVTGSGKTTAAAPPCSRMQNDMESVIHQLEQEAYCSVLRAFKAQSDAISWEKEGLITELRKELRLSNEEHRELLRRVNTDDIIHRIREWRQEGGGQSNLINNAQSIHDLVPSPSGSASKKRQKTSNSAASLPGGYLPKLHSQPGAASMHPSASTVRKGNVAGAKTKKTKPNPSSGSSVRDQIKNIATKPGEAAANASLIGRKIMTRWPDDNNFYEAVIIDYKPREGLHALVYDIHTDNETWEWVNLNEIAPEDIRWVDVEPVMSNPSGRHGTGPGKKQLSGLGGIVPCTGKGGGSSKNQSSKNYMSSQNWTGKKGSQDITIFDTKILVKDVERVLDASPPDPVEIEMAKKMLKEQEQSLIDAIARIGDVSDSESEGEEPLSQRLCKERGRAWGEGQHGRKQQALLFQDPEGSRW >Ma10_p27310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34658067:34658396:1 gene:Ma10_g27310 transcript:Ma10_t27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPLDSLIAGTTKASLGAAATFLLLCAFALMACASHGRRWCRRRPEPVISVHQVQPGAEEAEACVWQKNILMGGKCELPDFSGVVAYDSKGNMVAPGRPRAALALK >Ma06_p35290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35209860:35211860:-1 gene:Ma06_g35290 transcript:Ma06_t35290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTISSSPLLLAAPKCASRASSKLCFDPSPRLTTSGRPRLDLCTRKRRFGGDSLKSSRIWRVSAVAEETLPPEATVEKAQQIIPAAAEDSAASTVVSALLVVAFIGLSILTIGVIYLAVQDFLRKREREKFEKEDAEKKKKGGKKAKAKARTGPRGFGQKIEEDED >Ma06_p35290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35210717:35211860:-1 gene:Ma06_g35290 transcript:Ma06_t35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTISSSPLLLAAPKCASRASSKLCFDPSPRLTTSGRPRLDLCTRKRRFGGDSLKSSRIWRVSAVAEETLPPEATVEKAQQIIPAAAEDSAASTVVSALLVVAFIGLSILTIGVIYLAVQDFLRKREREKFEKEDAEKKKKGGKKAKAKARTGPRGFGQKIEEDED >Ma06_p34690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34823128:34823641:-1 gene:Ma06_g34690 transcript:Ma06_t34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDLLAVLAELQRRNQWRLTVKAFGTDRREPWYRHDLALHAEMITTLARCRFWDEIDAWPPICYRVTMGGSPRRIPREFLGSLGL >Ma03_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14424993:14431570:1 gene:Ma03_g14620 transcript:Ma03_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRVAYVSDDDDEDPRRRNDADNDRNGDGDWKDKWKKKLRVDEEDAEEGEIVSESKKGGDGGGGGRGGGEEDARVEELEEEEEEDEEEVAEEVAMEPQGDAKPIGDVFRVSGKGRSKKMHYSSFEFDGNVFELEDPVLLTPEDYKTKPYVAIIKDIAQDVDGNVWVTGQWFYRPEEAVKKGGGHWKAHDHRELFYSFHLDEVPAESVMHKCVVHFVPLNKKWPLRQQFPGFIVQNVYDTVEKKLWKLTTKDYEGTKQHEIDLLVQKTRECLGELSDVELEETSASAPVPLDHSDQSANRRIFRRKGMDPIDVSRSDDATKTENRIRAETPGSCTSHGSECKTILAKCKALTGNSYRDKWLDKLLQGIKVAYFSKTSGLAVMNGNGGSGTTMGSNKNSSYVSTGESISWPDSAVQAITALERVTYEALCSDFQKYNQKMRQLDFNLKNSTVLARRLLNKELDPTEILFMSPNELKDGLTAEKKAPTRPEASKHLQMTDARCSRCTEKKVGVVDIIHAGGHGDRYLLECTACGYTRYASRDVISSPTIEG >Ma00_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3015981:3022489:1 gene:Ma00_g00780 transcript:Ma00_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKANQTRVTERNRTSGDHTPNASKPSVFYSRTVTTGTPFMNSLFLLPKTLAFAPNPSSSSSSKPNSHSSSADGIPITSSSRRGNPLKPSLLEQRPLLRLWLHDCCHLLISSVIGLSCALSLHPAVADAIRPQIPSVYPCEDVDSYYNGVSVLQGVALMKKLNSIVSPHQSLTYREVWDALKILDAVDVENPEASSEIIEIYSLRAVPKSLAGKPEGWNREHLWPRSYGLRDGTSLTDLHNIRPADVNVNSSRGNKYYGECVSVSTHCSRPANNEAAPDTETDKKRWAPPRQVRGDIARSLMYMAVSYGFQQPDGRLPLLLSDSPNIEKHEMGLLSTLLQWNKFDPPSRMEQLRNDRICKLYQHNRNPFIDHPEYANFIWKNVTSSNLTR >Ma01_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6519857:6520160:1 gene:Ma01_g09050 transcript:Ma01_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLGQMDKNRNLCFGCCVPHWCTYIEL >Ma01_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21614468:21619259:1 gene:Ma01_g21670 transcript:Ma01_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEGGGDGSLNGSLFDGMVLFTPSSFTDPSLPQPAASPPPDVAAAAAQPQPESQPFDEDLFSDLTIQTPPSPTATLRDPPPPTLPPLPSSPRQPPALLPRQPSRKKKRAVRIGYARETAAAAAASSDDSHHLSDPVCNKSPPPSCGATAIAVANESMADESLTSPHDASVDSAAHHDTAMPAVEDDLIPAPPKSLEQPGEEADEGSSNEAVVKEGKGDQRPTEGRGEEEETCSSGGSATGDGVFGSAEERLQLVRTQISKKLESVRQRAASAYAERKELERSRRRAVESVNAASTKHRDLEKDLELACEAEDFERAESVSENLMTVEEEKAKLLLSLREAEADCELAELKMQEVLELQIAVEEECIDLLEQFAKDAADCAESILKNAEETSCKEIEEWQSSVELLEVKKLEMDIELQLISEAHSGLENAIEDLVKNEREEKEMLARKGVILEKELDELLELVRLKEAEIAENKSQIQDVDNRISNVASKFHETQSIIDMKHKTLQEAFLKVESEDGALLIRKEEIDEVISSAEKKRRKLIELSAIASNEAKTCQDLLQLKKQLASFILKSREDRVRYSKTEEKISEDIQILRQEISAARTALQELSSTRASIQQEVTLYKQRIGFIEKRGPELEAEKKVAAAARNFREAGRVAAEAKSLHIEKEDLQHKKEKAVLHLEKLEEEIGSNVETIQKNEELVLLKEKEAALAGCNRLQLVAAAARAERSVALKMGDLEEGSLLLKEAEALESKVMELQKVYNLDTEMDEKNLVSLAFITNLVGDHLSEAGQVASFNLNAIVGSQS >Ma06_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9407649:9410457:-1 gene:Ma06_g13740 transcript:Ma06_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Ma04_p26340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27825488:27827307:-1 gene:Ma04_g26340 transcript:Ma04_t26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKMRSRTGERAADFSPIKESPPAEKAAEWELRPGGMLVQKRDPDADAVAAPVPAIRVKVKYGAVYHEIYISSQATFGELKKVLSARTGLHPLDMKLMYKDKERESTAFLDIAGVKDKSKVVLVEDSTAQAKRLLEMRKTDKMEKAAKSISAISLEVDRLASKVSALDGIVNKGGRVVETDVTNLIDSLMNELIKLDAIVADGDAKLQRRTQIRRVQKYVETLDAIKMKNWMPRGNGPPGKEQPQWSQPRQQRRDSQPQHQQQKMHLQQSQNQYQHQNRHQPPQSHFQQQQQLNKLPQQAVVLSSMNWETFDSLFPTSTSTPTAAATSTPHARLDWELF >Ma08_p27750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39892821:39901417:-1 gene:Ma08_g27750 transcript:Ma08_t27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSLLAPPRPLPLPFLPPPRPHWRFPRGPAVLHRPQRTRLDATSRLPLPILALLSSRRPVAVAAEGVLGSSEEGSDDQEAKFVEVGYVSSTHGIKGELRVMPSTDFPELRFCTPGTRWLRTRISGKELISEVQLTGGRGHPGQKSWIVSLSGIDTVDKAKQIVGSTFLVKEDDRPDLEEGELYTPDLVGMRVVLKESGTLVGTVANVFNFGASDLLEVMLASDDRLDSTSCGRHVWVPFVEAIVPEVDMDKREMLITPPKGLLELNLRSDMRPKKERRQLEWKERKRLQQRLIPAKKKLAEMCQTHVLEGLKIGEKVQKSSLARQIVNIDCKLLQHALQSINKPLHRFCFLCFPEFAGANSAKLLRRSIRVSHEYLRNHTSKQKDDSNYLLYKEGLQLLSRSKTAIIVIINGNICDGDSVPNDGGSKTSLSQFEDLLFGCNGFMKVEEESMTVPLIVVSPAHLIQSYRECLSDNDYFGMNSEKVWVLEELQLPVVSIPIDQNGSKILLKSPWEILQAPIGSGGFFSLLLSHNILPELNKMGVEYVQVCSLNDKATILHPLFLGLVSSCRADVGITMFESSKGEGEDECDMIFSLRHIIRLSRQIEKLQFCAVPEQHVHVEQVDDELVTNYPDAPNSYRLHCPMYASLNSCSLDAVCVVKVFE >Ma08_p27750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39892821:39901417:-1 gene:Ma08_g27750 transcript:Ma08_t27750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSLLAPPRPLPLPFLPPPRPHWRFPRGPAVLHRPQRTRLDATSRLPLPILALLSSRRPVAVAAEGVLGSSEEGSDDQEAKFVEVGYVSSTHGIKGELRVMPSTDFPELRFCTPGTRWLRTRISGKELISEVQLTGGRGHPGQKSWIVSLSGIDTVDKAKQIVGSTFLVKEDDRPDLEEGELYTPDLVGMRVVLKESGTLVGTVANVFNFGASDLLEVMLASDDRLDSTSCGRHVWVPFVEAIVPEVDMDKREMLITPPKGLLELNLRSDMRPKKERRQLEWKERKRLQQRLIPAKKKLAEMCQTHVLEGLKIGEKVQKSSLARQIVNIDCKLLQHALQSINKPLHSFPEFAGANSAKLLRRSIRVSHEYLRNHTSKQKDDSNYLLYKEGLQLLSRSKTAIIVIINGNICDGDSVPNDGGSKTSLSQFEDLLFGCNGFMKVEEESMTVPLIVVSPAHLIQSYRECLSDNDYFGMNSEKVWVLEELQLPVVSIPIDQNGSKILLKSPWEILQAPIGSGGFFSLLLSHNILPELNKMGVEYVQVCSLNDKATILHPLFLGLVSSCRADVGITMFESSKGEGEDECDMIFSLRHIIRLSRQIEKLQFCAVPEQHVHVEQVDDELVTNYPDAPNSYRLHCPMYASLNSCSLDAVCVVKVFE >Ma11_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:212349:217847:-1 gene:Ma11_g00290 transcript:Ma11_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKDYQRPSLSALSPPPPRPSGLSTPLHAYAATILSQTSTVRRDLSLEPASYSRLSRKVLRLGSVWFLLRVLLLALTTEPVAAGGHLQAPSDAKADVENCVLSSPDLLPSSYPFKNSMNYCSLAVSGRSSTSIRKRLRLPRGIPGLPCLSTSEALDLPEESFDSVFYTLPSPRYPRFRSPLPCFWALPEDAPRLGCEGSSNRERWEFTRAWVRGRMQECAKAGNLTDSLNFLRLLVPSILDYNGLLYRYLRSGHVSVDALANLFAEMKRFGPCPNVWTFNILFNGLCALGYLEDAFYVLEEMWSHRFVPSFMSLRKLMKKSLASGSLELSLQVLRLMLNLNYLPTLQDVSNLIINLIRSNKICQAYQAFSILLGKGFVPNVYACNSILFYLSKSYRSDMALSLFYCLRKKGFSPNIYSYTAVILGFSKQGLWNEAYCFLKLMRSEGCMPTVVTYTILIKNLCRDGKLKEAFGILETMDKEGCPPDLVTCNVLLHALCGHNAITEARNFIQIMEEKGYPLDQFSWCALAGGLLRAGLVENSIDLLQKIILVGNQTVDVVTWNIYFHSLCCNNNVKKVLDMAESMTEKGFTPTTFTCNIILKGLCKGKNTDEALEFLDSFARGGNGPDLVSFNTILSAACKQGDSSMIRRVLTRMDVEGFNLDIVGMTCLMQYFSKAGRLSESFRLVKYMILHGHLPSTITCNVLLHSLCKKGFLAHAYRMFHEFKSMKTFPDTTSYNILIHASIRKADYSSMKCLLTDMYREGLLPDATTYGSLSYGLCRKGSISAAVCLEPWMLESGVMPNISYYNTILGAAFRMGRLWDVLLILVKMEIEGFEPDAISYKLFKRKMEKGGRKGFPKAMKILKILMNKQGTGLGL >Ma10_p00070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:908093:911992:1 gene:Ma10_g00070 transcript:Ma10_t00070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTASRTRSEHQAEETGSHKIEPNSCKTSQILKLSESVPAAAKGTVIVNQTLSKHAVKKDSSEDGKPNHHQERSFDTSSETSDTDPSSSQKQPISTGLNEGMAMDVVGDQEKKSSEQSHNDSFAYAKVSDGTSSLTKTSGSAKVSDRADFVESGKSSMCRASTSSDVSDESSCSSMSSRITKPHKANDSRWEAIQTIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELSGMGNYFAMKVMDKGSLASRKKLLRSQTEREILLSLDHPFLPTLYSHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDSESFRRNNPAYCVQPACVVPSCIQPSCVAPTTCFGPRFFSKSKSKNDRKPKPEIGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALVRCASPPEIPKPVNIERLSRPTVSTSEKKVAATANYEGSDNYLEFDFF >Ma10_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:908334:911992:1 gene:Ma10_g00070 transcript:Ma10_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTASRTRSEHQAEETGSHKIEPNSCKTSQILKLSESVPAAAKGTVIVNQTLSKHAVKKDSSEDGKPNHHQERSFDTSSETSDTDPSSSQKQPISTGLNEGMAMDVVGDQEKKSSEQSHNDSFAYAKVSDGTSSLTKTSGSAKVSDRADFVESGKSSMCRASTSSDVSDESSCSSMSSRITKPHKANDSRWEAIQTIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELSGMGNYFAMKVMDKGSLASRKKLLRSQTEREILLSLDHPFLPTLYSHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDSESFRRNNPAYCVQPACVVPSCIQPSCVAPTTCFGPRFFSKSKSKNDRKPKPEIGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALVRCASPPEIPKPVNIERLSRPTVSTSEKKVAATANYEGSDNYLEFDFF >Ma10_p00070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:908334:911992:1 gene:Ma10_g00070 transcript:Ma10_t00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTASRTRSEHQAEETGSHKIEPNSCKTSQILKLSESVPAAAKGTVIVNQTLSKHAVKKDSSEDGKPNHHQERSFDTSSETSDTDPSSSQKQPISTGLNEGMAMDVVGDQEKKSSEQSHNDSFAYAKVSDGTSSLTKTSGSAKVSDRADFVESGKSSMCRASTSSDVSDESSCSSMSSRITKPHKANDSRWEAIQTIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELSGMGNYFAMKVMDKGSLASRKKLLRSQTEREILLSLDHPFLPTLYSHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDSESFRRNNPAYCVQPACVVPSCIQPSCVAPTTCFGPRFFSKSKSKNDRKPKPEIGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFMYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALVRCASPPEIPKPVNIERLSRPTVSTSEKKVAATANYEGSDNYLEFDFF >Ma07_p11250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8373730:8382968:1 gene:Ma07_g11250 transcript:Ma07_t11250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDILLDHALFQLSPRRSRCELFVSGGGKTEKIASGFLKPFLAHLKVSEDQSAEAVDSIKLIVDRRTKDGTWFKKGTIERFVRFVREPEVLEFVNTFDAEMSQLEGAKKTYLQPHNLPIQNAGVPVSGSMGENGTTTEASVDITKNELLRAIEVRLVAVKQDLTKACCWASSAGFTPKNVSELLHFADYFGADHLNEACTKFISLCQKHPDLFSLQHLPWSLPLPLKSLGVSSSSGSDVSLDEPEDEPKVGGKPPDGGGLHLHKHNNSQPAQIHTAELLCPYPQLKPIQQHFVDRTVGNVVDSIPAASFTKPAQQDEGGSRRLSVQDRISLFESKQKEQSVSSRNISTIVGIKRAVAGKGEPRRIPSDVSDKSVLRRWSAASDMSIDLSSSSCSSFNDEKDGGSASGTPTSANLQFQSSNRIQEGVASGLTDTMTVQSQLSPKACIAITPCQFHPQFQTLSKDRDHAEEEVDKTLMTPSEPAFPKEQIKHIIPASQSGKIFCQLNNQDVFGTQQDGVLESGNCAGLKCHAVCHPQFETTSVDYVQANDHVILQATSQSVSAAEEKAGLRDQESSRTRTGKISSHPDGVRVNYQPTLSTQLQIFAKKPDDAQVGTKDPSDFQINSRALSGIYLDSHPQWTPLSKPVGADSSGTVVSEQPFGPILVKEKGDLGHLGINLKDQSSSSGCPNKFQSETTHSERYSLPVFPVRDAKECMELLDPPSMCSAEQIQMVSSLKGGNQKLNNELQMKANELENLFAEHKLRIQKDQASTFQRSSDAHQDHVLKALEKGHAIPVQLPERKFVKEASKKEVEFDANLLSNMVNNRKFDNNICQGFDNKSDDVRGKFYDKYMQKRNAKLLEEWKSKRPQKEAKMKALHDNLEHTLAKMRAKFIGSANGQYLRSFSCSSVL >Ma07_p11250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8373730:8383915:1 gene:Ma07_g11250 transcript:Ma07_t11250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDILLDHALFQLSPRRSRCELFVSGGGKTEKIASGFLKPFLAHLKVSEDQSAEAVDSIKLIVDRRTKDGTWFKKGTIERFVRFVREPEVLEFVNTFDAEMSQLEGAKKTYLQPHNLPIQNAGVPVSGSMGENGTTTEASVDITKNELLRAIEVRLVAVKQDLTKACCWASSAGFTPKNVSELLHFADYFGADHLNEACTKFISLCQKHPDLFSLQHLPWSLPLPLKSLGVSSSSGSDVSLDEPEDEPKVGGKPPDGGGLHLHKHNNSQPAQIHTAELLCPYPQLKPIQQHFVDRTVGNVVDSIPAASFTKPAQQDEGGSRRLSVQDRISLFESKQKEQSVSSRNISTIVGIKRAVAGKGEPRRIPSDVSDKSVLRRWSAASDMSIDLSSSSCSSFNDEKDGGSASGTPTSANLQFQSSNRIQEGVASGLTDTMTVQSQLSPKACIAITPCQFHPQFQTLSKDRDHAEEEVDKTLMTPSEPAFPKEQIKHIIPASQSGNCAGLKCHAVCHPQFETTSVDYVQANDHVILQATSQSVSAAEEKAGLRDQESSRTRTGKISSHPDGVRVNYQPTLSTQLQIFAKKPDDAQVGTKDPSDFQINSRALSGIYLDSHPQWTPLSKPVGADSSGTVVSEQPFGPILVKEKGDLGHLGINLKDQSSSSGCPNKFQSETTHSERYSLPVFPVRDAKECMELLDPPSMCSAEQIQMVSSLKGGNQKLNNELQMKANELENLFAEHKLRIQKDQASTFQRSSDAHQDHVLKALEKGHAIPVQLPERKFVKEASKKEVEFDANLLSNMVNNRKFDNNICQGFDNKSDDVRGKFYDKYMQKRNAKLLEEWKSKRPQKEAKMKALHDNLEHTLAKMRAKFIGSANGQYLRSFSCSSVL >Ma07_p11250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8373730:8383915:1 gene:Ma07_g11250 transcript:Ma07_t11250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDILLDHALFQLSPRRSRCELFVSGGGKTEKIASGFLKPFLAHLKVSEDQSAEAVDSIKLIVDRRTKDGTWFKKGTIERFVRFVREPEVLEFVNTFDAEMSQLEGAKKTYLQPHNLPIQNAGVPVSGSMGENGTTTEASVDITKNELLRAIEVRLVAVKQDLTKACCWASSAGFTPKNVSELLHFADYFGADHLNEACTKFISLCQKHPDLFSLQHLPWSLPLPLKSLGVSSSSGSDVSLDEPEDEPKVGGKPPDGGGLHLHKHNNSQPAQIHTAELLCPYPQLKPIQQHFVDRTVGNVVDSIPAASFTKPAQQDEGGSRRLSVQDRISLFESKQKEQSVSSRNISTIVGIKRAVAGKGEPRRIPSDVSDKSVLRRWSAASDMSIDLSSSSCSSFNDEKDGGSASGTPTSANLQFQSSNRIQEGVASGLTDTMTVQSQLSPKACIAITPCQFHPQFQTLSKDRDHAEEEVDKTLMTPSEPAFPKEQIKHIIPASQSGKIFCQLNNQDVFGTQQDGVLESGNCAGLKCHAVCHPQFETTSVDYVQANDHVILQATSQSVSAAEEKAGLRDQESSRTRTGKISSHPDGVRVNYQPTLSTQLQIFAKKPDDAQVGTKDPSDFQINSRALSGIYLDSHPQWTPLSKPVGADSSGTVVSEQPFGPILVKEKGDLGHLGINLKDQSSSSGCPNKFQSETTHSERYSLPVFPVRDAKECMELLDPPSMCSAEQIQMVSSLKGGNQKLNNELQMKANELENLFAEHKLRIQKDQASTFQRSSDAHQDHVLKALEKGHAIPVQLPERKFVKEASKKEVEFDANLLSNMVNNRKFDNNICQGFDNKSDDVRGKFYDKYMQKRNAKLLEEWKSKRPQKEAKMKALHDNLEHTLAKMRAKFIGSANGQYLRSFSCSSVL >Ma07_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8373730:8383915:1 gene:Ma07_g11250 transcript:Ma07_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDILLDHALFQLSPRRSRCELFVSGGGKTEKIASGFLKPFLAHLKVSEDQSAEAVDSIKLIVDRRTKDGTWFKKGTIERFVRFVREPEVLEFVNTFDAEMSQLEGAKKTYLQPHNLPIQNAGVPVSGSMGENGTTTEASVDITKNELLRAIEVRLVAVKQDLTKACCWASSAGFTPKNVSELLHFADYFGADHLNEACTKFISLCQKHPDLFSLQHLPWSLPLPLKSLGVSSSSGSDVSLDEPEDEPKVGGKPPDGGGLHLHKHNNSQPAQIHTAELLCPYPQLKPIQQHFVDRTVGNVVDSIPAASFTKPAQQDEGGSRRLSVQDRISLFESKQKEQSVSSRNISTIVGIKRAVAGKGEPRRIPSDVSDKSVLRRWSAASDMSIDLSSSSCSSFNDEKDGGSASGTPTSANLQFQSSNRIQEGVASGLTDTMTVQSQLSPKACIAITPCQFHPQFQTLSKDRDHAEEEVDKTLMTPSEPAFPKEQIKHIIPASQSGKIFCQLNNQDVFGTQQDGVLESGNCAGLKCHAVCHPQFETTSVDYVQANDHVILQATSQSVSAAEEKAGLRDQESSRTRTGKISSHPDGVRVNYQPTLSTQLQIFAKKPDDAQVGTKDPSDFQINSRALSGIYLDSHPQWTPLSKPVGADSSGTVVSEQPFGPILVKEKGDLGHLGINLKDQSSSSGCPNKFQSETTHSERYSLPVFPVRDAKECMELLDPPSMCSAEQIQMVSSLKGGNQKLNNELQMKANELENLFAEHKLRIQKDQASTFQRSSDAHQDHVLKALEKGHAIPVQLPERKFVKEASKKEVEFDANLLSNMVNNRKFDNNICQGFDNKSDDVRGKFYDKYMQKRNAKLLEEWKSKRPQKEAKMKALHDNLEHTLAKMRAKFIGSANGQYLRSFSCSSVL >Ma07_p11250.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8373730:8383915:1 gene:Ma07_g11250 transcript:Ma07_t11250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDILLDHALFQLSPRRSRCELFVSGGGKTEKIASGFLKPFLAHLKVSEDQSAEAVDSIKLIVDRRTKDGTWFKKGTIERFVRFVREPEVLEFVNTFDAEMSQLEGAKKTYLQNAGVPVSGSMGENGTTTEASVDITKNELLRAIEVRLVAVKQDLTKACCWASSAGFTPKNVSELLHFADYFGADHLNEACTKFISLCQKHPDLFSLQHLPWSLPLPLKSLGVSSSSGSDVSLDEPEDEPKVGGKPPDGGGLHLHKHNNSQPAQIHTAELLCPYPQLKPIQQHFVDRTVGNVVDSIPAASFTKPAQQDEGGSRRLSVQDRISLFESKQKEQSVSSRNISTIVGIKRAVAGKGEPRRIPSDVSDKSVLRRWSAASDMSIDLSSSSCSSFNDEKDGGSASGTPTSANLQFQSSNRIQEGVASGLTDTMTVQSQLSPKACIAITPCQFHPQFQTLSKDRDHAEEEVDKTLMTPSEPAFPKEQIKHIIPASQSGKIFCQLNNQDVFGTQQDGVLESGNCAGLKCHAVCHPQFETTSVDYVQANDHVILQATSQSVSAAEEKAGLRDQESSRTRTGKISSHPDGVRVNYQPTLSTQLQIFAKKPDDAQVGTKDPSDFQINSRALSGIYLDSHPQWTPLSKPVGADSSGTVVSEQPFGPILVKEKGDLGHLGINLKDQSSSSGCPNKFQSETTHSERYSLPVFPVRDAKECMELLDPPSMCSAEQIQMVSSLKGGNQKLNNELQMKANELENLFAEHKLRIQKDQASTFQRSSDAHQDHVLKALEKGHAIPVQLPERKFVKEASKKEVEFDANLLSNMVNNRKFDNNICQGFDNKSDDVRGKFYDKYMQKRNAKLLEEWKSKRPQKEAKMKALHDNLEHTLAKMRAKFIGSANGQYLRSFSCSSVL >Ma11_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27029017:27029248:-1 gene:Ma11_g23840 transcript:Ma11_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVACPQVEEDLQLKPFIKDDSIKVKDLVKQTVAALGENIKVRRFVRFTLGEN >Ma04_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8022118:8029013:-1 gene:Ma04_g11370 transcript:Ma04_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase 4, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G22260) UniProtKB/Swiss-Prot;Acc:Q56X52] MAAAALSSPSFFASAPAPKITRSIPPSTASAFVSNPLSGSGSASVRYSRGYFSRRLHQVKATTLQEKDEKVTVEEAFPVKTGASGTSSSTGEASVVAPWNVKLEQTINIFLTDTTIRILDTFYHDRHYARFFVLETIARVPYFAFISVLHMYESFGWWRRSDYLKVHFAQSWNEFHHLLIMEELGGNAFWLDRLLAQFVAFFYYFMTVGMYIMSPRMAYHFSECVERHAYSTYDKFIKLHEEELKKFPAPEAAINYYMNEDLYLFDEFQTARTPKSRRPRIENLYDVFMNIRDDEAEHCKTMATCQTHGNLRSPHSDPKPMEDASECTVSEEAGCEGIVDCVTKSLTSRRP >Ma09_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8363938:8368797:1 gene:Ma09_g12440 transcript:Ma09_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLSKSRGSNHYPQYVSTTSRSTSRYSSHPGAHDLPRATGRLQKKYSKIGDDYDSVEQVTEALSNAGLESSNLIVGIDFTKSNEWTGKVSFNRRSLHDMDHFPNPYEKAISIIGRTLSAFDEDNLIPCFGFGDATTHDQKVFSFFPDNRPCNGFEEALQRYREIVPQLRLAGPTSFAPIIETAISIVDHSGGQYHVLLIIADGQVTRSVDRDCGQLSSQERDTINAIVKASDYPLSIVLVGVGDGPWDMMQQFDDNIPSRAFDNFQFVNFTEIMSRNITSSKMEAEFALAALMEIPSQYKATLDLQLLGNRRGIEERFCLPPPVNGNGSTAKRPESSITYRSTGLKKSIPAETSESSTEERKICGICGYLMKNLAFGCGHQTCYDCGKDLNVCPICTSPIAKRIKLY >Ma09_p12440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8363938:8368590:1 gene:Ma09_g12440 transcript:Ma09_t12440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLSKSRGSNHYPQYVSTTSRSTSRYSSHPGAHDLPRATGRLQKKYSKIGDDYDSVEQVTEALSNAGLESSNLIVGIDFTKSNEWTGKVSFNRRSLHDMDHFPNPYEKAISIIGRTLSAFDEDNLIPCFGFGDATTHDQKVFSFFPDNRPCNGFEEALQRYREIVPQLRLAGPTSFAPIIETAISIVDHSGGQYHVLLIIADGQVTRSVDRDCGQLSSQERDTINAIVKASDYPLSIVLVGVGDGPWDMMQQFDDNIPSRAFDNFQFVNFTEIMSRNITSSKMEAEFALAALMEIPSQYKATLDLQLLGNRRGIEERFCLPPPVNGNGSTAKRPESSITYRSTGLKKSIPAETSESSTEERKTCYDCGKDLNVCPICTSPIAKRIKLY >Ma09_p12440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8363938:8368590:1 gene:Ma09_g12440 transcript:Ma09_t12440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLSKSRGSNHYPQYVSTTSRSTSRYSSHPGAHDLPRATGRLQKKYSKIGDDYDSVEQVTEALSNAGLESSNLIVGIDFTKSNEWTGKVSFNRRSLHDMDHFPNPYEKAISIIGRTLSAFDEDNLIPCFGFGDATTHDQKVFSFFPDNRPCNGFEEALQRYREIVPQLRLAGPTSFAPIIETAISIVDHSGGQYHVLLIIADGQVTRSVDRDCGQLSSQERDTINAIVKASDYPLSIVLVGVGDGPWDMMQQFDDNIPSRAFDNFQFVNFTEIMSRNITSSKMEAEFALAALMEIPSQYKATLDLQLLGNRRGIEERFCLPPPVNGNGSTAKRPESSITYRSTGLKKSIPAETSESSTEERKICGICGYLMKNLAFGCGHQTCYDCGKDLNVCPICTSPIAKRIKLY >Ma09_p12440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8363938:8368590:1 gene:Ma09_g12440 transcript:Ma09_t12440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLSKSRGSNHYPQYVSTTSRSTSRYSSHPGAHDLPRATGRLQKKYSKIGDDYDSVEQVTEALSNAGLESSNLIVGIDFTKSNEWTGKVSFNRRSLHDMDHFPNPYEKAISIIGRTLSAFDEDNLIPCFGFGDDNRPCNGFEEALQRYREIVPQLRLAGPTSFAPIIETAISIVDHSGGQYHVLLIIADGQVTRSVDRDCGQLSSQERDTINAIVKASDYPLSIVLVGVGDGPWDMMQQFDDNIPSRAFDNFQFVNFTEIMSRNITSSKMEAEFALAALMEIPSQYKATLDLQLLGNRRGIEERFCLPPPVNGNGSTAKRPESSITYRSTGLKKSIPAETSESSTEERKICGICGYLMKNLAFGCGHQTCYDCGKDLNVCPICTSPIAKRIKLY >Ma02_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14344531:14350984:1 gene:Ma02_g02940 transcript:Ma02_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) UniProtKB/Swiss-Prot;Acc:Q9SR71] MEAPPPPQPAASAEQPAPAAASSQPPEPTQTPSPSPSPSPAPDPAQPPPVSAPPPPSAAPSAAQNPPQLQPQSLPPSQSQPPPPQQQSQPQQPIQQPRPPLVRNRPPAPFPHFSNHLPSSAPPSSSASASASSAAPAPSPSPSAASQRGGLAIGVPAHSSHLPRAQQPVATYSSIGASPAFNQPFTPLPRMTEQSSAANAQVRQPIQGIQNIGMIGSLSTTTQIRPGGVTGPPQQRLVQPTSRAASPSTNQTLGSQKFPNSGLIRGPSMVSSGSISSVPQQSLVSSQGKQMPASSSSFRPQAKPNVLQQRPHSLQQTQQPPSAASHQQQIPTSQQQQQQKQQQFQMQLQQQQQQPQFHQQHSSPRQTQEYYNQQNLQLRNQPQLLQQQPARPLGPATTKPNPPTLVHTNVAQPAVTHPVVGTDAAESGDHILGKRSLRELVSQIDPSEKLDSEVEDVLVEIAEDFVESVATFACSLAKHRKSTTLEAKDILLHVERNWNMMLPGYGGDEIKCYKKQFTNDIHKERLAVIKKSMAATGDTANPKNASGGPAAASSKSHAQKAPPIGSPKA >Ma02_p02940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14344531:14351231:1 gene:Ma02_g02940 transcript:Ma02_t02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) UniProtKB/Swiss-Prot;Acc:Q9SR71] MEAPPPPQPAASAEQPAPAAASSQPPEPTQTPSPSPSPSPAPDPAQPPPVSAPPPPSAAPSAAQNPPQLQPQSLPPSQSQPPPPQQQSQPQQPIQQPRPPLVRNRPPAPFPHFSNHLPSSAPPSSSASASASSAAPAPSPSPSAASQRGGLAIGVPAHSSHLPRAQQPVATYSSIGASPAFNQPFTPLPRMTEQSSAANAQVRQPIQGIQNIGMIGSLSTTTQIRPGGVTGPPQQRLVQPTSRAASPSTNQTLGSQKFPNSGLIRGPSMVSSGSISSVPQQSLVSSQGKQMPASSSSFRPQAKPNVLQQRPHSLQQTQQPPSAASHQQQIPTSQQQQQQKQQQFQMQLQQQQQQPQFHQQHSSPRQTQEYYNQQNLQLRNQPQLLQQQPARPLGPATTKPNPPTLVHTNVAQPAVTHPVVGTDAAESGDHILGKRSLRELVSQIDPSEKLDSEVEDVLVEIAEDFVESVATFACSLAKHRKSTTLEAKDILLHVERNWNMMLPGYGGDEIKCYKKQFTNDIHKERLAVIKKSMAATGDTANPKNASGGPAAASSKSHAQKAPPIGSPKA >Ma09_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2785736:2788450:-1 gene:Ma09_g04230 transcript:Ma09_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPANDFPVALTHGGRYLQYNIFGNLFEITSKYRPPIMPIGRGAYGIVCSVMNSETGEMVAIKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGIRDVIPPPIPQAFNDVYIATELMDTDLHHIIRSNQQLSEEHCQYFLYQILRGLKYIHSAKVIHRDLKPSNLLLNANCDLKICDFGLARPASENEIMTEYVVTRWYRAPELLLNSTDYTAAIDVWSVGCIFMELMNRQTLFPGRDHMHQMRLITEIIGTPTEPELGFLRSEDARRYMRHLPRYPPRSFVGLYPHINPVAIDLVERMLTFDPTRRITVEEALDHPYLERLHDVADEPTCMDPFSFDFEQHALTEEQMKELIHRESIAFNPDYKC >Ma05_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4814765:4814887:1 gene:Ma05_g06470 transcript:Ma05_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLHSVTLSPWMFHHFSSAINVCFRELKQLSRLEVSTP >Ma10_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24813909:24814910:1 gene:Ma10_g11210 transcript:Ma10_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSLLRTLSLKLISSKLSPSPVAPWPPVRTPFDRWIAAELDELRFPAFSRKTSAQWLSKALDLAVAALEMMARSEALAGPRLSAANRKLVEDYLEDVVDLLDACNGLRDRMEDIKEYTNLISTATHYLEGEHERGEGVIRRATAALAACEAMEKRCAELKKCGSSLRKLGERIAAHGAPSCDETSTSAAADELHEALSGSRAVALLVVAATGIALSFRTRRGLPVVHSSKTAPWGAKLHELQKEVKEVFDARRRGGLVVLDELDAAASSARSLRDAISRRDRKELRVIVDLARRKCRESEERTRPLGEKVDELYRQLISIRVSLLGKLTET >Ma09_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26471979:26472672:1 gene:Ma09_g19810 transcript:Ma09_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDFGASKLVPKDEDQFATLVQGTCGYLDPEYLQTCQLTDKSDVYSFGVVLLELLTGKKALYFEGSEEERSLASNFLSAMKENRLLEMLDDQVKKLNEEDRKIDIVKETMKCIRCNWLIHLIMSS >Ma03_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14671014:14672135:1 gene:Ma03_g14840 transcript:Ma03_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNRGRDLTIYLVIDPSDDPVNPRPGTLRYGATLLPGKVWITFSRDMQIKLVRPLVVKSFTTIDGRGADVHIAYGAGFLLYEVESVIIHGLQFHHIRSKPPGPVMEPEGELTHMAGVDGDAIRLVATSKVWIDHNTLYACEDGLLDVTRGSTGITVSNNWFRDHDKVMLLGHDDDYLEDRRMSVTVAFNRFGPNCQQRMPRIRHGYAHLANNLYDGWGEYAIGGTMNPSVRSEGNLFIASGSSNKKVTLRMVGEGGGTSWNWQSVNDAFLNGAFFRQAGSPVAAPRYNRRQVFPVARPDDVRSLTSNAGALRCRTGRRC >Ma08_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:31976378:31978027:-1 gene:Ma08_g18520 transcript:Ma08_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAMAFADEVLYTFFTIVVYWQGIHPIVRSIGSNSFVSTVSLMMFSLSINILQITSGINIPQPSPVVIAAQFLAGMLVLDTWQCFMQTYMHANKSVYKHVQSKHHTLVVPYAFGARYNHPLEDLLLDTVGGALAFFVSGMTPRTGFFFFSFATTVDDHCGLWLPDNLLHAFFSNNSADYDVHHQLNGSKYNFSQPFFVMWDRIMRTYMPCSLETRKEGRVRSRADQTQELMDPSISCV >Ma04_p31900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32099669:32102971:1 gene:Ma04_g31900 transcript:Ma04_t31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVCLPGACSSPAHVRFSRRPAAAPAPPSQARIRCAAIEDQTSFLEFSCSPKGMKNLACGMLAAWAITTASPVVAASQRLPPLSTEPDRCERAFVGNTIGQANGVYDKPLDLRFCDYTNDKSHLKGKSLSAALMSDAKFDGADMTEVVMSKAYAVGASFKGVDFSNAVLDRVNFEKANLKGAVFKNTVLSGSTFNDAQLEDANFEDTIIGYIDLQKLCTNNSISAEGRAELGCK >Ma09_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15489679:15522827:1 gene:Ma09_g18080 transcript:Ma09_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPLSLSSHFHIRILPSCLSIPSRLRPAALRLLRSTPTGLSLSLHNSERRSSLRTLTIAASGSTPSPAPKMVKAIRVYELGGPEVLKWEDVEVGEPKEGEIRVRNKAIGVNFIDVYHRKGVYAKETPFTPGMEAVGVVTAVGPGLTDRQVGDVVAYAGNPMGSYSEEQVLPASVVVPVPPSIDHKIAASIMLKGMTAYVLLRRCYKVEAGHTVLIHAAAGGVGSLLCQWANALGATVIGTVSTEEKAAQAAEDGCHHVIVYTKEDFVARVNEVTSGNGVNVVYDSVGKDTFQGSLSCLRSQGFMVSFGQSSGRPDAVPLSDLASKSLFLTRPSLMHYTATRDELLAAAGEVFANVAAGILRGRVNHIYPLSDAARAHADLEARKTSGSIVLIIP >Ma08_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4767939:4786769:1 gene:Ma08_g06990 transcript:Ma08_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGFTQASPVDAILEKENFTLEELLDEDEIIQECKALNTRLINFLREKSQVERLLRYIVEEPPEGADNKHVFKLPFIACEIFICEVDIILRTLVEDVQLMDLLFSFLKPDHPHSTFLAGYFSKVVICLMMRKTGPLLNYIQGHPEIISQLVDLIGITSIMEVLIRLIGADENMHSNYVDTMQWLEDTDVLEMIVDKFNSSDSFEVHANIAEILCAITRCAPPALAAKICSPSYVGKLFCHALQDSRPKSVLYHSLSFCICLLDPKRLVASSFQAFRSHLNHGSFVPASEDTIQAMLERLGDLLKLLDISSSDSDLLTTYGKLQPPLGKHRLKIVEFISVLLTTGSEAVEKELIQLGAIKHVIELFFLYPFNNFLHHHVEDVVGSCLESKRTLLIEHILHDCDIVNKILAAEKQSFLSTDSTKATISAEGRSPPRIGNLGHMTRIANKLIQLGNNNSLIQTHLQENSDWVGWHSNVLLKRNAIENVHQWTCGRPTTLQEPARDSDDEDFRDKDFDVAALASNLSQAFRCGIYTNDDIEEAQVSFERDDEDVYFDDESAEVVISSLRLGDDQDSPLFTNSNWFAFENDREVDDRLTNSLASSSPNSDETSDDEPDEVVVGENNNLNDTATSLQEVMDVGTTSESKATVLGNGPANEPKEGIGNSSISEGEQSPGWVEWRETSEPKVAADILNGNSGTDKKMDEVALDADECGSLLGGAAIDRRSGDAGGPTNLLVEDPSDTAKDSLLGGGDSSGSPKVSNESSLEPPGSEAAAENPQHEKDVDPGR >Ma04_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10413599:10418016:1 gene:Ma04_g13750 transcript:Ma04_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 11 homolog [Source:Projected from Arabidopsis thaliana (AT2G05170) UniProtKB/Swiss-Prot;Acc:Q9SJ40] MYQWRKFEFFEEKSAGKASIPSEISGNVRCCSSGRGRIAVGCDDGMVGLLDRGFKLSYAFQAHAASVLFIQQLKQRNFLITVGEDEQTTPQLSPICLKVFDLDKMQPEGSSTTSPMCVQILRIFTNQFPEAKITSFLVLEEAPPILLITIGLDTGSIYCIKGDIARERITRFALLVEPVADKSLASITGLGFRVDGRALQLFAVTPASVSLFSLNDQPPKRHTLDQIGCDSNAVTMSDRLDLIVGRPEAVYFYEIDGRGPCWAFDGEKKFLGWFRGYLLCVIADQRSNRNMFNVYDLKNRLIAHSMVVGNVSHLLCEWGYIVLIMSDKKVLCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYADHLYGKQDYDEAMSQYIHTIGHLEPSYVIQKFLDAQRIHNLTNYLEKLHERGFASKDHTTLLLNCYTKLKDVEKLNKFIKDEDGRGEHKFDVETAIRVCRAAGYHEHALYVARKAERHEWYLKLLLEDLGSYDEALLYISSLEPDQVGITVKEYGKILIEHRPAETVELLIRLCTDDWESTKKRMTSGMHLVMLPSPMDFVKIFVHNPKSLMEFLEKYISKVGDSAAQVEIHNTLLELYLSNDLSFPSISQEISNENRDPKVGRPKGMTNGSMAESRVKMNAENKDLKKEKDHLERQQKGLALLKNAWTSDMEQPSYDAFLAVILCQMNAFKDGLLFLYEKMELYKEVIACYMQNHDHEGLIACCKKLGDSTQGGDPSLWVDVLNYFSELGEDCSKEVKEVLTYIERDDILPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIEKYQEDTASMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSILDTKRNLELNARDQNLFFQQLKNSKDGFSVIADYFGKGIVSKTSPGPPQNP >Ma08_p27200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39520731:39522635:1 gene:Ma08_g27200 transcript:Ma08_t27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTAVLSVIFPFLVLVALVGARPATFLQDFRITWADTHIKQLQGGTAIQLKLDPSSGCGFASNKQYHYGRVSMKIKLIPGDSAGTVTAFYLNSDTDTVRDELDFEFLGNRSGQPYTVQTNVYAHGKGDREQRVNLWFDPAADYHTYTILWNHYHVVFSVDNVPVRVFKNNERRGIPYPTLQPMGVYSTLWEADDWATRGGLEKIDWGKAPFYAYYKDFDIEGCAVPGPANCASNPNNWWEGPAYRQLSPEQARLYRWVRTNHMIYDYCTDKSRYPVPPPECWAGI >Ma08_p31080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42103590:42112615:-1 gene:Ma08_g31080 transcript:Ma08_t31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MEAALLRVSISPKPKIHLSPVRSVRITPPHLRLLRRPSSAGPLRLPRPNAVEIGAATSGEPQQEEQVKNSSVLLEVGGMMCGACAARVRSILSADDRVDSAAVNMLTETAAVRLGTSGDEPERVAEELAERLAQCGFPSKRRRTGLGVQENVRKWREMAERKEKLLAASRNRVVFAWTLVALCCGSHGTHLLHSLGIHVAHGSFLDILHNSYVKCGIALGSLLGPGRELLLDGLRAFANASPNMNSLVGFGSIAAFLISAMSLLNPGLQWEASFFDEPVMLLGFVLLGRSLEERARLQASSDMNELLSLVSSQSRLIISSPEENPTSDSFLSADAISIEVPTDDVRIGDTILVLPGETIPVDGKVLGGRSVVDESMLTGESLPVFKEHGHSVSAGTVNWDGPLRIEAVKTGAMSTISKIVRMVEEAQAHQAPIQRLADSIAGPFVYSVMTLSAATFAFWYYIGTHIFPDVLLNDIAGPDADPLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSMGAKQGLLIRGGNVLERLAGIDVIALDKTGTLTEGKPVVTAIASLDYEESEILRLAAAVEKTASHPIAKAILDKAESLNFGVPSTSGQLTEPGFGSLAEVDGSLVAVGRLDWVHERFQKKASTSELLDLENRVGCLSSSMATSSKQSKSVVYVGKEDEGIIGAIAISDVLRYDAKSTVSKLQGMGIKSVLVSGDREEAVTSVGEMVGIGTINAALTPQQKSSIISSLQAEGHSVAMVGDGINDAPSLALADVGVALQIEAKENAASDAASVILLGNRLSQIVDAISLAQATMAKVHQNLAWAVAYNAVAIPIAAGVLLPNFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFPKKANNYKANVDK >Ma05_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22591570:22592903:-1 gene:Ma05_g18080 transcript:Ma05_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGLIGGFDGVTMPTADFQGSSVPFAFSALSILSFRYDHPVNPPVDTHQCNHDACGEQRELVAFQRQVADLFHDLAAGDDEILSVAWMRRLLDTFLVCQEEFRVVLFGHHRSPVPVDRLVSDFFDRAVKALDVCNAVRDGVDQMRHWRKHLEIVLVALGPQQQHLGEGQLRRAKKALAEVAILMFDEKDVSSVPSHNGGLPSSSSSGDRSSHFRSLSCTVSRSWSAARLLQAIGSNLIVPRRNEVVATAGLAVPMYTMSSVLLFVMWALVAAIPCQDRGPQINFSIPRSFLWAAPIMSLHERILEESKKKDRKHSAGLLKEIEKIDKCVHHLRELIDAVQFPMTEEEEVEVMQGVQELAQVCEVLKEGLDPVERQVREVFVRIVRSRKEGLDGLLNGAE >Ma06_p36170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35724475:35729946:1 gene:Ma06_g36170 transcript:Ma06_t36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQASLLLQKQLRDLMKNPVDGFSAGLVDDSNVFEWSVTIIGPPDTLYDGGYFNAIMSFPSNYPNSPPTVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDSRDEFKKKVSRIVRRSQEML >Ma08_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27694467:27695108:-1 gene:Ma08_g17970 transcript:Ma08_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSAQSQTTTSAGGGARPTGLGPRGTPAAAGGDEAPAXXXXXGAGAGGGGGGFAGGGAGGSNMLRFYTDDAPGIKMTPTVVLVMSLCFIGFVTALHVFGKLYRNRAGGS >Ma06_p37550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36565912:36568128:-1 gene:Ma06_g37550 transcript:Ma06_t37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWLLPFLLALSLLFRYSGAEVHYHEFVVQATPVKRLCKAHNIITVNGQFPGPTIEVKNGDTLVINVVNRARYNVTLHWHGVRQMRTAWADGPEFVTQCPIRPSGSYRYRFTIEGQEGTLWWHAHSSWLRATIYGALIIHPKDNSCPFTKPKREIPVLLGEWWNRNPIDVVRRAKRTGAAPNNSDAFTINGEPGDLYKCSRKDTTVIPAMAGETNLFRFINAALNTELFVAIAGHKMTVVGADASYTKPFTTSVLMLGPGQTTDVLVTMDQPVSRYYIAARAYASAQGVPFDNTTTTAILEYDCGCSEPVRVVQPAFPALPAYNDTRAASAFSAGIKSLSRVRVPGPVDENLFFTVGLGLFGCPAGKTCGGPNNTRFGASMNNVSFVLPDSYSILQAHYQGVPGVFTTDFPAVPPVQFDYTSQNISRSLWQPIAGTKVYKLKYGSVVQLVLQGTNIFAAENHPIHIHGYDFYILAEGFGNFNPAKDNAKFNLVDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLEAPPVDLPPC >Ma09_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10024889:10026824:1 gene:Ma09_g14670 transcript:Ma09_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITGTRRSRGYHSLEIAGDAETKGGRRAWMRWAAPAACVLCVPLLLLLVGDHWRPSFDWFQGATHPKGSTSVSSSVVREASKDKLLGGLLSAEFEDCSCFSRYRSAAYRKASPHLPSPYLVERLRRYEALHRKCGPNTELYKKSIERLKSKQSSAAMECNYIVWIPHYGLGNRVVSLVSSFLYAILNDRVLLVHVPHELTDLFCEPFPGTSWVLPSDFPVHNFQNFDKDTPQSFGNMLRDKVISIDMRSSANATLPAYVYLHLPWYYNEWDKLFFCQDAQQMLRKVPWLLLKSDHYFVPSLFLVEEYDDELRRLFPERTTVFHHLVRYLFHPSNTVWGYVTRYYHAYLAKADKRVGIQIRNLKNEPVPFETLLGQIVNCSSKEGILPSIDLRHTARPAEDDAKVTAVFTTSLDSGYSDRIRDMYYEHATTTGEIVGVYQASHEGKQQTEHQNHNVKALSEITLLSFSDVLITTACSTFGYVAQGLGGLEPLILTKPWKSKLPCRWAESPEPCFLIPPPSDVCRDHGGINKKMAQHVRQCEDENGGVKLFD >Ma04_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24724255:24730022:-1 gene:Ma04_g22370 transcript:Ma04_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSTPPTHHVGHKFHEVFQLKQGRYYDLPAAKISEMMKSNSLDNAPTQSLLSVVNGILEESIERKNGEIPQRVACLLRKVVQEIERRISTQAEHIRNQNNLIKAREEKYQSRIRVLETLANGTPDETQIVMNQLQLLKTEKHKIEERSKVGEEDMVKLTKEKEKSDKIISDLTQELETIKRTYEDQFPQMDTKTKEYQIEKLEYQIEKLAKEKEKSDKIISELKQELETTKRTYGDQFQQMDTKAKEYQIELEEKLKDAESVLAESQRRIQELETDSESNFQNWNQKEHVFQSFVDLQMQSVQELRSSSNSIKKEVKVSQKRWFEELNSFGQQLKVLTDAVENYHAVLAENRRLYNEVQELKGNIRVYCRIRPFLPGENRKQSTIDYIGENGELLVVNPSKPGKDGQRMFKFNKVFGSTATQEQVFLDTRPLVRSVLDGYNVCIFAYGQTGSGKTYTMTGPESATEKEWGVNYRALNDLFQISLSRRDTYLYEVGVQMVEIYNEQVRDLLGHGSSQKKTLGVLTTSQPNGLAVPDASMLPVKATSDVLDLMQIGHGNRAVSATTLNERSSRSHSILTVHVRGTDLKTGTTLRGSLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVIFALSQKTAHVPYRNSKLTQVLQSSLGGHAKTLMFVQINPDTGSFSESLSTLKFAERVSGVELGAAKSQKEGKDIRDLMEQVASLKDTIARKDEEIEQLQQTKDIRSKHSNSPLKHSSSTNSVVGQERMSSVGRAMAANKVGADHENWSEHSGEVSESGSHQSADDRRQQKEHSHPKLSGEAAEQSSADPELLGFGDADSEERLSDISDGGLSMGTETDGSIGSLVEYNLFPEQAKSSETTKAPKTPTRVAAKATSSRTAQVPASRTRSRDMLKSPSLKKSTSQVAISSSRTSKVVGKAQVK >Ma00_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28158054:28158338:1 gene:Ma00_g03280 transcript:Ma00_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSTTTDGSLFGQPHLPTWGAIIHVRPHPPRGQRRSVESMMPRQACPNRMASGATCVQRLDGSRDSAIHTRYRISLRSSSMREPRYPLPRVIQ >Ma09_p26150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37482373:37485407:-1 gene:Ma09_g26150 transcript:Ma09_t26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRAVGGKQGLMSDSQPFPSPTGNSLSKPTASSLFPSPRLFRSFSSKGFADSEVAMSPTSILETRHLSSFGNVLYSDKQPKKPPCEVVSASTESKHHSRNYGRPEPIGLGLVDALNDDKAKESSKAERRMVVSGSQLRIQIPSINSNSNSLGRSMELPSSPIEFGIKNKDSQLALFSPIRRSLGHHHEVSVSSPRVFTGSISASEMELSEDYTCVISHGPNPKTTHIFDNCIVESCGEEFMPARKDNSFVPSQGYPADDFITFCYACKKILGHGKDIFMYRGEKAFCSNECRYHEMLIYEELDNHSTDSSLKSSPSFSSSHSCTMQVMRKSQTSTMEHM >Ma09_p26150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37482373:37485407:-1 gene:Ma09_g26150 transcript:Ma09_t26150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRAVGGKQGLMSDSQPFPSPTGNSLSKPTASSLFPSPRLFRSFSSKGFADSEVAMSPTSILETRHLSSFGNVLYSDKQPKKPPCEVVSASTESKHHSRNYGRPEPIGLGLVDALNDDKAKESSKAERRMVVSGSQLRIQIPSINSNSNSLGRSMELPSSPIEFGIKNKDSQLALFSPIRRSLGHHHEVSVSSPRVFTGSISASEMELSEDYTCVISHGPNPKTTHIFDNCIVESCGEEFMPARKDNSFVPSQGYPADDFITFCYACKKILGHGKDIFMYRGEKAFCSNECRYHEMLIYEELDNHSTDSSLKSSPSFSSSHSCTMQVMRKSQTSTMEHM >Ma10_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32366628:32368178:1 gene:Ma10_g23420 transcript:Ma10_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKPSTPLKEKSKLVSSAHSITGDSRRLRTSKSSVPSSPEREIRRTSKSSRPSSVPSSPEREIRRTSKSSGQLSVPSSPERENRRTSKSSVPSSPERETHPPQRKALIRSSSDSTKRDKSLPQQPSGGVANVTKPRLLAKKPQEKPPSPSLQPHKMPATNTVKERPSIRASSSSSMPRAAGFSKPASATDKEARTHGVGRTQPSVTARSPGSVISMRKETRMTTASTDEKLGVTEQEHKEAQIHIEEHETGPIIPVEEHEHEPVVEQDQTEAQIHVEEHEPGPVIPVEEHEHKPVVEQHQAEAQIHAGEHEPEPSVTAEQDQKEAEIDVKEHEDLDETNDIVEEKPDLQEPRSPRQEPEPTVNDDGHGDHHEEINVHHHANEELLQISEEKSATETIEEPRGEQCETENTQDDAEEDKSVVAESTTTENVEVDAEEICKAANEKAVESSPAVAASKKPAAMQGKKKEAQMSNDVIEETRCKLLERKKSNVSALVGAFETVMSMQDPEGQTSQRQ >Ma06_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5480558:5484689:-1 gene:Ma06_g07730 transcript:Ma06_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYLTALTTLFSYGLLFAFGQLRDFFRKIIDWFKSSSKDLKGYAPICLGLEDFYTRRLYLRIQDCFSRPIASAPDAWIDVVERYSNDNNKTLHRTSNTTRCLNLGSYNYLGFAAADEYCTPHVIDSLKKYSCSTCSVRVDGGTTDLHTELEELVARFVGKPAAITFGMGYVTNSAIIPVLIGKGGLIISDSLNHNSIVNGARGSGAAVRVFQHNNPSHLEEVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCQLPEIIAVCKKYKVYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIVQYLKYTCPAYLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELQKMGFEVLGDSDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISAAHSREDLIKGLEVISKVGDLAGIKYFPVEPPKHETQGHKKLE >Ma06_p07730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5480558:5484235:-1 gene:Ma06_g07730 transcript:Ma06_t07730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHDCFSRPIASAPDAWIDVVERYSNDNNKTLHRTSNTTRCLNLGSYNYLGFAAADEYCTPHVIDSLKKYSCSTCSVRVDGGTTDLHTELEELVARFVGKPAAITFGMGYVTNSAIIPVLIGKGGLIISDSLNHNSIVNGARGSGAAVRVFQHNNPSHLEEVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCQLPEIIAVCKKYKVYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIVQYLKYTCPAYLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELQKMGFEVLGDSDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISAAHSREDLIKGLEVISKVGDLAGIKYFPVEPPKHETQGHKKLE >Ma06_p07730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5480558:5484389:-1 gene:Ma06_g07730 transcript:Ma06_t07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHDCFSRPIASAPDAWIDVVERYSNDNNKTLHRTSNTTRCLNLGSYNYLGFAAADEYCTPHVIDSLKKYSCSTCSVRVDGGTTDLHTELEELVARFVGKPAAITFGMGYVTNSAIIPVLIGKGGLIISDSLNHNSIVNGARGSGAAVRVFQHNNPSHLEEVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCQLPEIIAVCKKYKVYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIVQYLKYTCPAYLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELQKMGFEVLGDSDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISAAHSREDLIKGLEVISKVGDLAGIKYFPVEPPKHETQGHKKLE >Ma04_p37820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35545461:35547686:-1 gene:Ma04_g37820 transcript:Ma04_t37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSALSSALPFLHPTRTPASNLPPCRFHLRPRRSLHRPSSSPVPPLLPSPVAFSASALPLGELVERDWSFLDANATNNEEQRADKARRIISTADIRGTGSRVLAALPTLSFVDRVVESAPCELLVAIHESLFVLAMIKESHDLVRCWQGGVDAVPERFSPFDAVFICYFPGMGVSIDQLLISLAGKSSPGARVVLSFDQGREVIEQNHRQQYPDMVTNNLPDRTELERAAMEHSFHITEFVDEPTFYLAVLRFHD >Ma00_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1747112:1750301:1 gene:Ma00_g00550 transcript:Ma00_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYGILHRPLSAAAAVAVAAVSSALPDRVSSQPNRSDSHAAAPTHVLLPEPEPAARRMSVSDISNLPFLPIDRKRSAHLPDSVFVSPPFPMASPAVLLSPYEYAKLANPKKKDEFPPAIASSPSDVMYRWHLPDPNASGVAGTDHCSRAKSQTVVILLGWLGAKQRHLKKYADWYTSRGFHVVTFTFPLADVMSYKVGGKVEQDVQLLAEHLVDWVSEENGKNLVFHTFSNTGWLTYGVLLEKFRKQDPSVIGKIKGCVVDSAPVAASDPQVWASGFSAAFLKKQSVATKGMVGSNDSGKGMTDTGDSSLGPKPAVAESALLVVLEKFFEVVLNLPAINRRLSDVLDLLTSEQPKCPQLYIYSSADRVIPAKSVESFVEAQRRAGHEVRACDFLSSPHVDHFRSHPGLYSSQLANFLEDCVLTCCRDSS >Ma06_p11520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8036537:8038295:1 gene:Ma06_g11520 transcript:Ma06_t11520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKKSKPQPPPESKESEEEPIATAGEKKRKKKPGNEIDEIFQGKKAKKSKTSAAGEEEAREKGKKQAKGTGEGGGSKKTKDKTKKRREVSLDEGSEARARRRKTGDGLAIYSAEELGWGNSESGGTPLCPFDCSCCF >Ma06_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8036537:8038327:1 gene:Ma06_g11520 transcript:Ma06_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKKSKPQPPPESKESEEEPIATAGEKKRKKKPGNEIDEIFQGKKAKKSKTSAAGEEEAREKGKKQAKGTGEGGGSKKTKDKTKKRREVSLDEGSEARARRRKTGDGLAIYSAEELGWGNSESGGTPLCPFDCSCCF >Ma06_p11520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8036537:8038373:1 gene:Ma06_g11520 transcript:Ma06_t11520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKKSKPQPPPESKESEEEPIATAGEKKRKKKPGNEIDEIFQGKKAKKSKTSAAGEEEAREKGKKQAKGTGEGGGSKKTKDKTKKRREVSLDEGSEARARRRKTGDGLAIYSAEELGWGNSESGGTPLCPFDCSCCF >Ma06_p31630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32701207:32708563:1 gene:Ma06_g31630 transcript:Ma06_t31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGHYEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNMAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSELSSRLTSESRIYADKAKDLNRQALIRKWAPVAIVLGVVMLLFWVRKKIW >Ma06_p31630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32701207:32706680:1 gene:Ma06_g31630 transcript:Ma06_t31630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGHYEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNMAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSELSSRLTSESRIYADKAKDLNRQPGDWRGRRKHGATGWS >Ma10_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14166337:14167828:1 gene:Ma10_g03510 transcript:Ma10_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLSSVAVLLLVAVAAAWTGGADGCPASDRAALLAFRSALSEPYLGIFSSWKGKNCCSRWYGVSCDPTTGRVADITLRGESEDPILARAGHSGGLMSGRISPEICLLDRLTTLILADWKQISGPIPPCITSLPLLRILDLVGNRLSGSLPADIGRLSRLTVLNVADNQISGTIPSSLVALSSLMHLELSNNQISGTIPTDFGNLRKLSRALLGRNRISGVIPVSVGHMTRLADLDLAENRISGDIPASLGFMPVLSSLYLDSNRLTGHIPAALLASRGLSILNLSRNAIEGEIPDVFGYRSYYTALDLSYNQLRGSVPKTLVTAAYVGHLDLSHNHLCGAIPAGSPFDHLEAASFANNDCLCGGPLPACR >Ma06_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10908530:10911363:1 gene:Ma06_g16070 transcript:Ma06_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPGKQGEEEFKMEVELLTRLHSSYLLTLIGHCSDGGHRLLVYEFMANGGLQEHLYPTKGSYGGISKLDWDTRMQIALEAAKGLQYLHAHVNPPIIHRDFKSSNILLDIYFHAKVSDFRIAKLGSDKAGGHVSTSVLGTQGYVAPEYALSGRLTTKSDVYSYGVMLLELLTGRVPVDMNRPPGEGVLVTWMCILSGNVLKCLTKCIYFIYN >Ma08_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27252913:27253779:1 gene:Ma08_g17830 transcript:Ma08_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFGNEEADGIPGELDLPAAGHRAASPTPEPQPSGFHRFKLHNFTFPTGSWGTHRILRCCNLSSDRTVDVIGRVPDAADRNVRADRKRPPSPPEKSSVKQKRSSGSRNSKEAEAERSLSSATAAAEVAGTSMPWSLRTRSAACKSPPLYRYSNSVSWSPSPLAAEKSYPATDMRKGRSYGSEKGERRKFFVSLSRKEIEEDFWRMKGTKPPRRPKKRARIVQRLLNSLFPGSRLSEVTSVTYKVDD >Ma01_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9762582:9767970:-1 gene:Ma01_g13340 transcript:Ma01_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLINCSHCHTLLQLPSGVSSMRCTICKSITYVSDFWGVPMPLGASHSVPHPSPSWGLALPPMPGGGGRKKAVICGVTYRNTRNELMGSINGAKCMKYLLINRFSFPESNIIMLTEDERDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFFYSGHGSQRRDYSGEEADGYDETLCPLDFRTQGMIVDNEINATMVRPLSRGVKLLAIIDACHSGTMLDLPYLCRMNRSGHYAWEDHRPRNGAWKGTSGGEVIAFSGCDDNQTSVQTTALSGITSTGAMTYCFIQAIEQGHGTTYGSILNSMRSTIRKTIDLNSGGPVTSFITMLLTGGSMPGTLRQEPQLTSNTMFHVYAKPFSL >Ma03_p32170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34096392:34103263:-1 gene:Ma03_g32170 transcript:Ma03_t32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSIPIEGQFISVANLKERIFEIKLFGKGTDFDLMISNAQNNEEYVDEGAMIPKNTSVLIRRVPGRPRKPIVTGIDEPKVVEDKVEDLPPSSSMLVGDSSTTKYPEEYEWDEFGNDLYAVPEVNPAQASNPVLDVSPANMVDEDSKIKALIDTPALDWNRQTQEGYGSGRGFGRGSGSRMMGGRGFGRGMLERKTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAAAVLKPNEAAFEKEIEGLPTTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIITKLMCICGATNILADDLLPNKTLRETISRILESTTSSTENAGSLVQVQDMESARPLQPKVPSPTYSVASKDEPMQHTVEQSSHMKEGEVASEVKATNNDMNSSDKKAIINTPASEDVPESLSMKGPKSFESAPVPEDAQEKQLAGEQGKKKKKKKARLPGTAADMQWRSYQDLGAENYGMPLPPPGYNPHWSGGMPMGVDGYMAPFGGAMPFMGYTPGPFDIPYGGGIFPQDPFVAQGFMMPAVPRDLSELNMGSMGMNQNQGPSGMSRDDFGARKAADLRRKREMERLREREREQSKDRDARRESSSMNDASSSSMRPKPTAMSQSDRSDRHRDRSEKTASVDRHGPPRDPPRHSPPRPRQRKAADHDEPLSDAERKHKASVFSRISFPDPGEGASKKKKPSSSELPPRNGLKEPTSHKTGPEGHRDEPKGGKSSSTSTRKGGSGYDHESSEEEYHFKRRPSSSSRREATKADHREEVAPRPSKRSREREPHERGGRERAHGHERPASRRR >Ma08_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3809632:3811808:-1 gene:Ma08_g05590 transcript:Ma08_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSCRLQLETTHRESEEVSSKEWEFIHMSEQEEDLIRRMYRLVGDRWELVAGRIPGRKAEEIERYWIMRHGESFAEKRQKREAGEGGRCEGRRAP >Ma06_p29990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31343500:31344159:1 gene:Ma06_g29990 transcript:Ma06_t29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYMSIGISGGALVTIFFGYYVTQSGHSHGFQLKICTLIMLASFVSGVSIPLLNFLQHHISVSLQLFRSLKCTSFALFVLALLDVSILFMKILALFAFVPTIAVVVIVYVIAFREAPSTSDDLHQQ >Ma04_p32770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32688761:32694327:1 gene:Ma04_g32770 transcript:Ma04_t32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFNMIYSCNDPLVFEMLVFINQIHIGCIISSSPPNVQLLDHLGSHAQIFSLDESPINGGHAAADDGIGPKRLSHACQCDKDDLNHTGHVVKLDLQNPHPFSDFGDEPYNNWTLGGELRPSLLGLKHLKYLDLSMNDFGGINIPEFMGSFHQLQYLNLSRAGLGGLLPHQLGNLSNLQYLDLSNDLDPNFVVPVREFSIGDALWISHLSSLKHLNLKSVNFQNGTHWLEALNMLPSILEIYLSLCEIGSVPHSLPHVNFTSLSVLDLSYNFISSTIPSWLFNISGLEHLDLSSNNLQGNIPPTFGNLASLEELNLANNPLQGGIPTSFKNLCKLQNLILAGINISKDLLGLDESFSGCVKMSLEVLGLSGTNISGQLPEWLFQFRKLKSLQLKQNLISGPIPVSIGQLASLQELFLGQNQLNETIPESVGWLSQLVSLDLEHNNLEGVMSEAHFGNLTELKDLYLSSNPLALKVKSNWLPPFQLESLRMDSCKQGPEFPAWLQSQKNISEIVMSNASIIDAMPDWFWSLISTAEYVSFSGNQISGHVPNLLHLNNLIELDLSSNYLEGPLPYFPPRLEALDLSNNSFSGTISLAIIMNMLNLMYLSFSENNLSGEIPFSICKLSVLQFLDLSKNMLSGELPSCWNDSSPIEFIDFSSNNISGVIPESICSIASLQSLHLSNNSLSGELPLPLKDCGKLYLLDAGYNNLKGEIPTWIGESLTSLRFLNLRSNMLVGDIPPNLSRLSALQFLDLAGNELSGTIPRSFGNFTAMKVIENFSSSTTDQIRYKEHMFITTKGDTQDYGKSLSLMNILDLSDNNLFGGVPKEVTSLFGLFSLNLSGNHFTGEITESISKLQQLESLDLSRNNFSGTIPSSLAALTYLAHLNLSYNNLSGEIPLGNQLLTFNDPSIYIGNPDLCGFPLNQSCKDSETAQSQSNTDDRDENEMIWFYTSMAPGFVVGFWAVWGTLILNKNWNLYYFRFIDNMLDKVYVFIVLKVSRIRKRCCSQQG >Ma06_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9873732:9875105:-1 gene:Ma06_g14430 transcript:Ma06_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVIDFSKVDGEERAETLAQIANGCEEWGFFQLANHGIPVELLERVKKVCSACYKLRQESFKESNPVQLLNKLVEEESEGRNVERLNDVDWEDVFVLQDDKPWPSNPPEFKETMREYRKELRKLAERVMEAMDENLGLERGYISRAFSANGEHEPFFGTKVSHYPPCPRLDLVDGLRAHTDAGGVILLFQDDEVGGLQILKDDKWVDVQPVKHSIVINTGDQIEVLSNGRYKSVWHRVLVSSHGNRRSIASFYNPSLKATIAPATKLVASQPREVGASYPEFVFGDYMDVYMKQKFLPKEPRFQAVAAAL >Ma06_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11018313:11019058:1 gene:Ma06_g16280 transcript:Ma06_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSSRRLVVSILLMAISSVAYATQDEYASMMSSHFMGLHPRAGSRLLAAVKKGDRCDPVTNNICQRVQAKDSRQLLHCCKKHCRNVLSDRNNCGVCGHKCGFGHLCCSGKCTAVAYDVNNCGKCGTACQAELRCEYGSCGYA >Ma07_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4861964:4864609:1 gene:Ma07_g06770 transcript:Ma07_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMPLSLQEKGAPLQVLEGEEGLFWCASSSATAADNSNSNTRWKERADDQERLVLEPRSVLESLRSPSPPSSASNLYPTRGGGGGGPASSDGSDSATPPAAEEARREEELLPVPADEECSLGGVWEALLLEQAASIGQDQTFLRWITSEADNTSSVGHGGTRSHFDPALVLEGASVSAEVINPLPPTSSVLSASGATFGCGSVNPNSCLPPPAATTLPVLLSPTQPRVLFQESTEGKPFLCPSLLLAQQQQARFPHQSSFFLPLHQFTGHHGGQPPRHLGSSPRRRPAVHPFPISRAPELRRPNPPQQPGFSQQFNASACQLQPGPAKPNLASGDEAPAAAAAVAQEQKALSDQLFKAAELIEVGNTVSARGILARLNHQLPFPVGKPLLRSAFYFKEALHLLAGHSPHPPPPLPNRILTPLDVVLKLGTYKTFSDVSPIVQFAIFTSIQPLLEALDGARCIHIIDFDIGVGMQWSVFMQELAQQWSSTMAATPCLKISAFTSPCTHHPLELHLIHQNLCRFAGSLNIPFEFNFLSLDPFDPSVLLGRCSAVDEAIAINLPVGCAIRPPFPTLIDFVKQLSPKILVSVDHGCDRSDLPFAHHILHAFQSCTVLLDSIDAAGANQDAANKFEQFLVRPRVESAVLGHHCLSEKVLPWRTLFVSAGFVPMQFSNFTEMQAECLLKRVLVRGFHVEKRQSSLSLCWQHGELISVSAWNC >Ma08_p26750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39221810:39223092:1 gene:Ma08_g26750 transcript:Ma08_t26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQFQILQPSKSSSSDRRFHASLYPSSNFRAKSVYHQKLSKKITVEGFILRREHTAATEAPTQTVPGNEDKDFVVSELLAIAEAVADRANMHAIIGAQRDNWNHLLTNSINSITLIGSLLAGISSIPVGEATPQLLPLKVASVLLFSTATGMMLIVNKVQPSQLAEEQRKATWMWKQLERSIQDTLALRAPTELDVMDAMNKVLALEKAYPLPLLPGMLEKFPKKVDPACWWPKLRQRRPGTHQRRTINGVERNGWSKEVEEEMRGLLKVLKLKDEEQYVRLGKVVLNINKTLAAAGPIFAGLATIGSGLLGVSALGPLPALLAVAGGSLATVANTLEHAGQVGMVFELFRNNAGFYRWLQEEIESNLGEEDLEKRENGELFKLKLALQLGRSLSEFGDFVPYAAACKDEDIKEFAGKLF >Ma02_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8097666:8100329:-1 gene:Ma02_g01150 transcript:Ma02_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQHHHQGHNNILSCRTAFPAEKHLLLQGGSIPEDSGLVLSTDAKPRLKWTAELHERFIEAVNQLGGADKATPKSVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANGAESAERTSEGNGSRASNTNIIPQSNKTYPINEALQMQIEVQRRLQEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQHLGTPGLEAAKVHLSQLVFKVSNECFSNALTGLEEIPAPNTLQVHPPQLADFSVQSCLTSSQGSQKDLDMANFRRSLRAYMHENARLEGSQSAWCYLNEHKTFPSSMFGDSERTSFKVEDFVSPLPVRPRVEREGEAGSDAQQTERSDPNGKRTAEQQERGKQSDSFGLAGHTAQLDLNADEDDEGATNSKFDLNGFSWS >Ma02_p01150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8097666:8100329:-1 gene:Ma02_g01150 transcript:Ma02_t01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQHHHQGHNNILSCRTAFPAEKHLLLQGGSIPEDSGLVLSTDAKPRLKWTAELHERFIEAVNQLGGADKATPKSVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANGAESVIGCKLAAERTSEGNGSRASNTNIIPQSNKTYPINEALQMQIEVQRRLQEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQHLGTPGLEAAKVHLSQLVFKVSNECFSNALTGLEEIPAPNTLQVHPPQLADFSVQSCLTSSQGSQKDLDMANFRRSLRAYMHENARLEGSQSAWCYLNEHKTFPSSMFGDSERTSFKVEDFVSPLPVRPRVEREGEAGSDAQQTERSDPNGKRTAEQQERGKQSDSFGLAGHTAQLDLNADEDDEGATNSKFDLNGFSWS >Ma01_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11898356:11904431:1 gene:Ma01_g16430 transcript:Ma01_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLLEGDESIREEEEEEEEGNGDGSRLKQLGYKQELSRSLSVISSFSVSFSVISVLTGITTLYNTGLEFGGPVTMIYGWPIAGAFTLMVGLAMSEICSAYPTSGGLYFWSAKLCGDRWGPFASWITGWFNIVGQWAVTTSVDFSLAQLLQVIILLSTGGNNGGGYLASKYLVIGFHGAILLIHAIINSLPITWISLFGQLAATWNVIGVFVLMILVPTVATERSSAKFVFTHFNTDNDAGIHSRLYIFVLGLLMSQYTLTGYDASANMTEETKNADKNGPKGIISSIGISIIVGWGYLLGITFAATNIPYLLSSDNDAGGYAIAEVFYLAFKSRYGNGIGGIICLGIVAVAIFFCGMSSVTSNSRTVYAFSRDGAMPLSSFWHKVNNQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARKSFVPGPFNLGRYSLLVGRVAVLWVATITVLFSLPVAYPITGDTLNYTPVLVGGLLLLTVASWLLSARHWFRGPTANINA >Ma02_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20512104:20514710:-1 gene:Ma02_g11250 transcript:Ma02_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLFLSSLQSTASPRNCRFHMPSSSSSSSGSGRPSFDSFDTICRRRRRAWESAKASVGVEPRPTVSVGPAETGPVDCGGKLAAWTSIRQERWEGKLVVEGDVPRWLNGTYLRNGPGLWHIDEYNFRHLFDGYATLVRVYFEQGRVMASHRQVESEAYKAAMKNRRLCYREFSEAPKPANFLAYVGEVASLFSGASLTDNSNTGVVRLGDGRVLCLTETIKGSIQIDPDTLETIGKFVYEDNLGGLIHSAHPIVTESEFLTLLPDLVRPGYLVVTMKPGSNERRVMGRVNCRGGAAPGWVHSFAVTDRYVVVPEMPLRYCVHNLLRAEPTPLYKFEWHPESGSYMHVMSRATGKIVASVEVPPFVTFHFINAYEEVDEDGRITGIVADCCEHNADTSILDLLRLQNLRSFTGLDALPDARVGRFRIPMDGRPRGELHAALDPEEHGRGMDMCSINPANLGKKYRYAYACGAKRPCNFPNTLTKIDLVEKKAKNWHENGAVPSEPFFVARPGATEEDDGVVISVVSDKNGEGYALVLDGVKFEEIARAKFPYGLPYGLHGCWVPNK >Ma05_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36667831:36668770:-1 gene:Ma05_g24420 transcript:Ma05_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAMAESRKCAVVRLRKARRGITGRCAALVKEQRARIYILRRCATMLLCCCTVHGTGFSCTISSVGRTGCIMAGVASDCSLEKAQLDDLLLFSFFFVSALVVLRWTKEASCIIYNYCC >Ma09_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:800890:802501:-1 gene:Ma09_g01070 transcript:Ma09_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANTMFDFFAMEKTRSCARGAKLSAISKIDPQLLRRVIASTLPPPSRQLFPSPPPPHPILDPSCRSVATAESDSSSAPLTIFYNGTVSVFDLAQEKAEAVMKLAERTMDYRLLAQLNEELLPIPRKKSLQRFLEKRQQRLTAKAPYTADHEAASAKTTALDALTHPTY >Ma10_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20136639:20138081:-1 gene:Ma10_g06690 transcript:Ma10_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESYSHQSLFLQQQLQRPVARSRLGGGGVRGGAVGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKTAIDELAELPPWTPSATVASSSRPPPPPPPNQFPSTEQATGESSRKPIPVADPVASVAFSFGGGGGGANASFLPPSLDTDSIVDTIKSFFPVAAASAATSLSSTPSVGFHTYSSDLPSRDSSQVKYLRLSLQSFQDPIFRNPESSHHHRHHGQYHQSSAPPTHDAHFPGSVQFAFGSDEQGHRISPWNVVESSGGGCGGGHAFSFPPPQAVPLHSVLGHSQLFSQRGPLQSSNPPAIRAWADPAAAAAAADHRMQPALHPSISSIGLASGTGFSGFQVPARIQGEEEHDGIITGKPPSASSASRS >Ma10_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24675425:24677610:-1 gene:Ma10_g11040 transcript:Ma10_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEAVKKYLTESAGNAVAALPARFFDVLVLNGLRLDLFEPGRVLCSYAVPPRLSSSGNVLHGGVVATLVDVVGSAAIISSGLPTTGVSLDINVSYLDPAFTGEEIEMESKLLHAGKAVAVASVEFRNKRTGKLLAQGRHTKYLAASSKL >Ma03_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3166072:3169558:1 gene:Ma03_g04800 transcript:Ma03_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVATIQQMLTPEAAAVLMRSVEEARRRRHGQTTPLHVAANLLAAPSGLLRRACAVSHPLLSSSSHPLHCRALELCFSVALERLPASASSSSADAEQPPLSNALVAAVKRAQAHQRRGCPEQQQQQQPPLLAVKVELGHLVVSVLDDPSVSRVMREAGFSSPAVKAAIEHSLFSDSDAAAASPSLPGTRNVYISPRLQQKQGRSRPDAKKREEVKKVLDIMTRVKKRNPVLVGDFEAASVMEEVLTMIEKEELGMDKPATLRLAQVVSLEKEFSSSERSLIPRKINELYGTLEHVIRARSTNGGMAGLVLDLGDLKWLVESPGGSGASSVQQMARAALTEMGRLLSKLREGDGSRGRVWVVGTATCATYLRCQVYHPTMEGDWDLQAVPIPPRSPPLAGLFPRSGGNDSASSSIMAAGTAAIVLTRPLESSSCSQPAALCQLCMAGYQHELAKLVPNESEGRSSKPGQQSKGSLPRWLQIAVPSRTPASDHHLQIEEQELLQKEKAEELLRKWCGRCTRLHMNLRANVITSGRTPAPSLPMLSSRSCTVLPQQHLEPTPTRNDGDGSLKPNSLEDHISPVKTDLVLGLSRPLSAPLQKPHDERTVALSKRSWDQFSDQQSKTITGIPDADSLKRISNGLTKAVSWQPEAASAIAAAVVRFKSGNGKRRSVGARAGSWLLFTGPDKVGKRKMAAALSELMFNAAPVRINLGSPSSADGDDGEMDVKTLLDQIAEAIQQNPCSIIVLEDIDHADDLVRGTIKRAIESGRLLDSRGREVSHGGIIFILISDRWPDNLRNSEDCHLQCRGWQLELSFGEKSRKRHPDPPSKNEQPPKQRKQSCSQGLSLDLNLAVSEDDDDGEEGSWNSSDLTVEHEHKYGQLAVDCPTSSSNASELIDIVDEAIVFNPVDFSTLKKTLSESISSTFTRIMGTGRPLRIDEEALDRIVGGVWQSGATTVFDEWTDRVLVPSINQLRSNSEVNNRSTVRLSSVKATNDTNKGGCAGNWLPSSVSIAIHGA >Ma01_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2446984:2447676:-1 gene:Ma01_g03680 transcript:Ma01_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSPECLSCSLPCSTTSCKTRPTTHASPSHTVSLSNCVGRPCAIQRQRDDGDLVVDDVGHGERFRCGCLFLLALSHKKKHALPHVSRSQVAMEANQDGQESTGSASRAASLEKFECESWSSSAILDGDGDGDDVQSYFDLPLELIRSSRNGANSPVKAAFVFESDRKGSLKRSTSKLAPRKSHELSNRHVKFSTSPASYPASPSSYCTISPRMQKAREEFHAFLEARNA >Ma03_p14570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14268422:14272391:1 gene:Ma03_g14570 transcript:Ma03_t14570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAVPFAAESADHWLSRARLLAPLALEKARSAKGFARRWMSIVSKLERVPPCLSDLSSHPCFARDALCRELLQSVAATVSEAVELADRCRGDVSASVGKLKMQSDLDALSGKLDLNLHDCGLVVKTGVLGEMALPPVASARPGEAEYASWNFHELLARILIGHADAKHRALDGLLEAMREDEKGVMAVLGRSNISALIQLLTATSPKLREKAATAVCLLTESGNCENLLVSEGVLPPLIRLLESGSLVAREKAVISLQRLSLSADTARLIAGHGGIRPLIGVCQVGSSISQSAAAGTLNNLSAVPEERQSLVDEGIVRVMINLLDCGIVLGSKEYAAECLQHLTCSNESLRRTVVSEGGIRSLLTYLDRPLPQESAVGALKNLVGSVSVDSLISLGLLPCLVHVLKDGSLGAQQAAAAAICKFSSSAETKRIVGEFGCIPLLVKMLEAKSNGAREVAAQAIASLMTYPQNGRDVKKDDKSVPNLVQLLDPCPQNTAKKYAVSCLLILLSSKMCKKMMISHGAIGYLKKLSDMDIPGTKKLLEQLERGRLRSLFSRK >Ma03_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14268422:14272391:1 gene:Ma03_g14570 transcript:Ma03_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAVPFAAESADHWLSRARLLAPLALEKARSAKGFARRWMSIVSKLERVPPCLSDLSSHPCFARDALCRELLQSVAATVSEAVELADRCRGDVSASVGKLKMQSDLDALSGKLDLNLHDCGLVVKTGVLGEMALPPVASARPGEAEYASWNFHELLARILIGHADAKHRALDGLLEAMREDEKGVMAVLGRSNISALIQLLTATSPKLREKAATAVCLLTESGNCENLLVSEGVLPPLIRLLESGSLVAREKAVISLQRLSLSADTARLIAGHGGIRPLIGVCQVGSSISQSAAAGTLNNLSAVPEERQSLVDEGIVRVMINLLDCGIVLGSKEYAAECLQHLTCSNESLRRTVVSEGGIRSLLTYLDRPLPQESAVGALKNLVGSVSVDSLISLGLLPCLVHVLKDGSLGAQQAAAAAICKFSSSAETKRIVGEFGCIPLLVKMLEAKSNGAREVAAQAIASLMTYPQNGRDVKKDDKSVPNLVQLLDPCPQNTAKKYAVSCLLILLSSKMCKKMMISHGAIGYLKKLSDMDIPGTKKLLEQLERGRLRSLFSRK >Ma09_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34278617:34286676:1 gene:Ma09_g22330 transcript:Ma09_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASQKKGWFISKRAVPARGNWPAPPEPGNYGGVVPCKGEGREVMVGNAMPHPEELSFRRDGEDRDGEQMEAEVWRQIREAGFLDEAVLQRRDRRALVRRVLELEKELYQYQYHMGLLLIEKKESAAKYKKLWQEMSEAVQIQKHMQAAHNVAVSEFEKKEEDMRRAMRFQRQSIVNLEKALNEMHAEVAEAKLESQKKLFELHALEATVEEKYLEAKGKLHSLNARLAEVSRKSSEIDRRLQDVEARECKVHKESSFFIIEKNTFEKDLAQQRKGLQAWEQELQDSQKKLARWHSFLNEREMEAHERCNTLKKKEKELEESWKTLEVFNDSIKLKEEDMFMRLRDLDAKEKEAVIKQELLEKKEKELLAIEDPLSNRESVDIQKIIDDNDSILESKKEEFELETEMNRRAVDEQLKRRMDVVAHKEIILENRNKNIFKREQLLEREMRNLKNRETKDDMMLNDLKESIENENELRQERSKLEKERELFGERRLPLVEDLDQLYDEREGFGQWKHTEEERLRMENLEVLGHVQMDLVDSRLNEEALKDKTAYQNKDDIELFIGENAHIAHEVDVYTMERNQEKVKETLHEKENDPNRRSNIVLNNCNTWSSPDESKILKLKEPADLLQGEKKFFLGKKNEAGQITPRISQDNNDQVEEPATKGDYLLPPDEQLKACRNCGVEDGGESILSRENAEESDLEAHTSRMQRCSRLLNFSPDRMTTERSDKSVCLHGEPLGHEDNLEPGPLPGDVDVFQWAQSTSGVQYSAIPERLNKDSDATKSFLEIADSSADIMKSYDNQKYMEKPPFPFADEQKDREGCSVFPELDSVPQPSRQKQCDPDVRRSVFAENSCSVNALIDDANLGETPQSKHNEKSIYKEKGLFEDDSLEEGSFSDDQVELSDEEWYLSDEHETTSIELEEESAEAHSEDVSSLGCCSKMENSPETEIPGLKRYNFRLTTICMSD >Ma09_p22330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34278617:34288144:1 gene:Ma09_g22330 transcript:Ma09_t22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASQKKGWFISKRAVPARGNWPAPPEPGNYGGVVPCKGEGREVMVGNAMPHPEELSFRRDGEDRDGEQMEAEVWRQIREAGFLDEAVLQRRDRRALVRRVLELEKELYQYQYHMGLLLIEKKESAAKYKKLWQEMSEAVQIQKHMQAAHNVAVSEFEKKEEDMRRAMRFQRQSIVNLEKALNEMHAEVAEAKLESQKKLFELHALEATVEEKYLEAKGKLHSLNARLAEVSRKSSEIDRRLQDVEARECKVHKESSFFIIEKNTFEKDLAQQRKGLQAWEQELQDSQKKLARWHSFLNEREMEAHERCNTLKKKEKELEESWKTLEVFNDSIKLKEEDMFMRLRDLDAKEKEAVIKQELLEKKEKELLAIEDPLSNRESVDIQKIIDDNDSILESKKEEFELETEMNRRAVDEQLKRRMDVVAHKEIILENRNKNIFKREQLLEREMRNLKNRETKDDMMLNDLKESIENENELRQERSKLEKERELFGERRLPLVEDLDQLYDEREGFGQWKHTEEERLRMENLEVLGHVQMDLVDSRLNEEALKDKTAYQNKDDIELFIGENAHIAHEVDVYTMERNQEKVKETLHEKENDPNRRSNIVLNNCNTWSSPDESKILKLKEPADLLQGEKKFFLGKKNEAGQITPRISQDNNDQVEEPATKGDYLLPPDEQLKACRNCGVEDGGESILSRENAEESDLEAHTSRMQRCSRLLNFSPDRMTTERSDKSVCLHGEPLGHEDNLEPGPLPGDVDVFQWAQSTSGVQYSAIPERLNKDSDATKSFLEIADSSADIMKSYDNQKYMEKPPFPFADEQKDREGCSVFPELDSVPQPSRQKQCDPDVRRSVFAENSCSVNALIDDANLGETPQSKHNEKSIYKEKGLFEDDSLEEGSFSDDQVELSDEEWYLSDEHETTSIELEEESAEAHSEDVSSLGCCSKMENSPETEIPGLKRYNFRLTTIARAVACRTKRKKREELEVTLECKVLKVFEHDGEEAQSHACDSESLSQK >Ma01_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21928258:21977910:-1 gene:Ma01_g21810 transcript:Ma01_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISACSYSYGPEKHTTYRLLGQSIYGRWGYWSITLFQQIASLGNNIAIHIAAGSSLKAVYKYNWDGGLTLQDFIMFFGAFELLLSQLPDIHSLRWVNALCTFSTIGFAGTAIGVTIYNGKNMDRKSISYGFQGNSSSRVFKAFNALGVIAFSFGDAMLPEIQNTIREPAKKNMYKGVSAAYSIIVLSYWQLAFSGYWAFGSQVQPFILSSLTIPEWTIVMAHVFAVIQISGCFQIYCRPTYAYFEERMLSKVSSDGVRLRNCLRRLAFTSAYMVLVTLVAAAMPFFGDFVSICGAIGFTPLDFVFPALAFLKAGRMPKNTRLRLVVQVLHLAIAAWFSIVAVVGCIGAVRFIVIDIKTYKFFHDM >Ma11_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24718990:24721818:-1 gene:Ma11_g20150 transcript:Ma11_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGSGSDQDEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPAVLRECRRIIADSEIMKEDDNNWPPPDRVGRQELEIVMNNEHISFTTSKIGSLVDVQGSQDPEGLRVFYYLVQDLKCFVFSLISLHFKIKPIQS >Ma07_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3687972:3693258:-1 gene:Ma07_g05060 transcript:Ma07_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLSNTPSRNRSFGGAASHSGPIMPNGPGQSSYAAPGSGSSFVTGGSGRQKSNSGPLRHGDSVKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGSLDSTKSMKPHSTSIVHNQAVTNLTQENDYLFKGTLPKPILWSVILLFVMGFIAGGFILGAVQNAILLIVVVTIFGVVIVLSFWNTCFGRRAIVGFIAQYPDADLRNAKDGQYVKVSGVVTCGNFPLESSYHKVPRCVYTSTGLYEYRGWNSRAANSQRRCFTWGLRSMERHVVDFYISDFQSGLRALVKAGYGSKVTPYVDESIVIDVNPNNMDLSPEFLRWLQERNLSSDDRMMRLKEGYVKEGSTVSVMGIVQKNENVLMIVPPSEPFSTGCQWAKCIVPSSLDGIILRCEDTSNVDVIPV >Ma07_p05060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3687966:3693258:-1 gene:Ma07_g05060 transcript:Ma07_t05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLSNTPSRNRSFGGAASHSGPIMPNGPGQSSYAAPGSGSSFVTGGSGRQKSNSGPLRHGDSVKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGSLDSTKSMKPHSTSIVHNQAVTNLTQENDYLFKGTLPKPILWSVILLFVMGFIAGGFILGAVQNAILLIVVVTIFGVVIVLSFWNTCFGRRAIVGFIAQYPDADLRNAKDGQYVKVSGVVTCGNFPLESSYHKVPRCVYTSTGLYEYRGWNSRAANSQRRCFTWGLRSMERHVVDFYISDFQSGLRALVKAGYGSKVTPYVDESIVIDVNPNNMDLSPEFLRWLQERNLSSDDRMMRLKEGYVKEGSTVSVMGIVQKNENVLMIVPPSEPFSTGCQWAKCIVPSSLDGIILRCEDTSNVDVIPV >Ma07_p05060.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3687972:3693258:-1 gene:Ma07_g05060 transcript:Ma07_t05060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLSNTPSRNRSFGGAASHSGPIMPNGPGQSSYAAPGSGSSFVTGGSGRQKSNSGPLRHGDSVKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGSLDSTKSMKPHSTSIVHNQAVTNLTQENDYLFKGTLPKPILWSVILLFVMGFIAGGFILGAVQNAILLIVVVTIFGVVIVLSFWNTCFGRRAIVGFIAQYPDADLRNAKDGQYVKVSGVVTCGNFPLESSYHKVPRCVYTSTGLYEYRGWNSRAANSQRRCFTWGLRSMERHVVDFYISDFQSGLRALVKAGYGSKVTPYVDESIVIDVNPNNMDLSPEFLRWLQERNLSSDDRMMRLKEGYVKEGSTVSVMGIVQKNENVLMIVPPSEPFSTGCQWAKCIVPSSLDGIILRCEDTSNVDVIPV >Ma07_p05060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3687972:3693258:-1 gene:Ma07_g05060 transcript:Ma07_t05060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLSNTPSRNRSFGGAASHSGPIMPNGPGQSSYAAPGSGSSFVTGGSGRQKSNSGPLRHGDSVKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGSLDSTKSMKPHSTSIVHNQAVTNLTQENDYLFKGTLPKPILWSVILLFVMGFIAGGFILGAVQNAILLIVVVTIFGVVIVLSFWNTCFGRRAIVGFIAQYPDADLRNAKDGQYVKVSGVVTCGNFPLESSYHKVPRCVYTSTGLYEYRGWNSRAANSQRRCFTWGLRSMERHVVDFYISDFQSGLRALVKAGYGSKVTPYVDESIVIDVNPNNMDLSPEFLRWLQERNLSSDDRMMRLKEGYVKEGSTVSVMGIVQKNENVLMIVPPSEPFSTGCQWAKCIVPSSLDGIILRCEDTSNVDVIPV >Ma07_p05060.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3687972:3693258:-1 gene:Ma07_g05060 transcript:Ma07_t05060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLSNTPSRNRSFGGAASHSGPIMPNGPGQSSYAAPGSGSSFVTGGSGRQKSNSGPLRHGDSVKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGSLDSTKSMKPHSTSIVHNQAVTNLTQENDYLFKGTLPKPILWSVILLFVMGFIAGGFILGAVQNAILLIVVVTIFGVVIVLSFWNTCFGRRAIVGFIAQYPDADLRNAKDGQYVKVSGVVTCGNFPLESSYHKVPRCVYTSTGLYEYRGWNSRAANSQRRCFTWGLRSMERHVVDFYISDFQSGLRALVKAGYGSKVTPYVDESIVIDVNPNNMDLSPEFLRWLQERNLSSDDRMMRLKEGYVKEGSTVSVMGIVQKNENVLMIVPPSEPFSTGCQWAKCIVPSSLDGIILRCEDTSNVDVIPV >Ma07_p05060.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3687972:3693258:-1 gene:Ma07_g05060 transcript:Ma07_t05060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLSNTPSRNRSFGGAASHSGPIMPNGPGQSSYAAPGSGSSFVTGGSGRQKSNSGPLRHGDSVKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGSLDSTKSMKPHSTSIVHNQAVTNLTQENDYLFKGTLPKPILWSVILLFVMGFIAGGFILGAVQNAILLIVVVTIFGVVIVLSFWNTCFGRRAIVGFIAQYPDADLRNAKDGQYVKVSGVVTCGNFPLESSYHKVPRCVYTSTGLYEYRGWNSRAANSQRRCFTWGLRSMERHVVDFYISDFQSGLRALVKAGYGSKVTPYVDESIVIDVNPNNMDLSPEFLRWLQERNLSSDDRMMRLKEGYVKEGSTVSVMGIVQKNENVLMIVPPSEPFSTGCQWAKCIVPSSLDGIILRCEDTSNVDVIPV >Ma09_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4986981:4988318:-1 gene:Ma09_g07580 transcript:Ma09_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVIGDVLDPFVTSAAFRVIYNSKELTNGSELKPSAVAKEPRVEIRGHDMRTLYTLVMVDPDAPSPSNPTKREHLHWLVTDIPETTNTTFGNEIVCYESPRPTAGIHRFVFVLFRQSVRQTIDAPGWRQNFNTKDFSALYNLGDPVAAMFFNCQRENGCGGRRY >Ma03_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7224396:7230294:1 gene:Ma03_g09710 transcript:Ma03_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLSGDIPPNQTIYINNLNEKVKKEELKRSLYALFSQYGRILDVVTLKTPKLRGQAWVVFAEVPAASNAVRQMQRFPFYDKPMRIQYAKTKSDCVAKADGTFVPREKKKKQEEKAAEKKRRFEEAQQSASAANAQTNGGLSASQASRQGKTSSQEPMAAPNNILFIQNLPHETTSMMLQILFQQYPGFSEVRMIEAKPGIAFVEFADDVQASIAMQALQGFKITPQNPMVITYAKK >Ma03_p09710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7224417:7229899:1 gene:Ma03_g09710 transcript:Ma03_t09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLSGDIPPNQTIYINNLNEKVKKEELKRSLYALFSQYGRILDVVTLKTPKLRGQAWVVFAEVPAASNAVRQMQRFPFYDKPMRIQYAKTKSDCVAKADGTFVPREKKKKQEEKAAEKKRRFEEAQQSASAANAQTNGGLSASQASRQGKTSSQEPMAAPNNILFIQNLPHETTSMMLQILFQQYPGFSEVRMIEAKPGIAFVEFADDVQASIAMQALQGFKITPQNPMVITYAKK >Ma08_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29574470:29574661:-1 gene:Ma08_g18260 transcript:Ma08_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPEIKTTLQGISERQYKVLRRRVLLVQRHFVLHRPAKRYDLIHMVLHSILLRRLNVRLSY >Ma04_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:115036:120905:1 gene:Ma04_g00080 transcript:Ma04_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQRRGKALEGQVESLKATMVDLEAEAKFFDSTAAEAAEGLVEIREEYVEESEKKAPGSDSLDSKRDDMQMPDEDEEYARIMARLDELEKEELEDGSTSDDDNEENLAGDEVEDDETGDEDEDAESSSSFSTSDLKHEILEIKHKSEHPVQKARGQGQEMLGSIVSKQIGGITEQPLTDQPFSGDSKMQFPAVAHSFSNSGQSISKEVSRSSSSDAKFSFSKIEDSSNSTSRQISDRVSSGHKAFTGSIIEHDLGLPPIQSAKSNLGQASVSSSSKPVSRFKMQKGNR >Ma04_p00080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:114710:120911:1 gene:Ma04_g00080 transcript:Ma04_t00080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARGTITSLETLFPPDEAQKAARRVEEAIADRRNELLRVQGFVSDNSSLINLVRTLPEELSHDIMVPFGSAAFFPGRLVHTNEFLVLLGEGYYAERTAKQTMEILQRRGKALEGQVESLKATMVDLEAEAKFFDSTAAEAAEGLVEIREEYVEESEKKAPGSDSLDSKRDDMQMPDEDEEYARIMARLDELEKEELEDGSTSDDDNEENLAGDEVEDDETGDEDEDAESSSSFSTSDLKHEILEIKHKSEHPVQKARGQGQEMLGSIVSKQIGGITEQPLTDQPFSGDSKMQFPAVAHSFSNSGQSISKEVSRSSSSDAKFSFSKIEDSSNSTSRQISDRVSSGHKAFTGSIIEHDLGLPPIQSAKSNLGQASVSSSSKPVSRFKMQKGNR >Ma04_p00080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:114710:120905:1 gene:Ma04_g00080 transcript:Ma04_t00080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARGTITSLETLFPPDEAQKAARRVEEAIADRRNELLRVQGFVSDNSSLINLVRTLPEELSHDIMVLLGEGYYAERTAKQTMEILQRRGKALEGQVESLKATMVDLEAEAKFFDSTAAEAAEGLVEIREEYVEESEKKAPGSDSLDSKRDDMQMPDEDEEYARIMARLDELEKEELEDGSTSDDDNEENLAGDEVEDDETGDEDEDAESSSSFSTSDLKHEILEIKHKSEHPVQKARGQGQEMLGSIVSKQIGGITEQPLTDQPFSGDSKMQFPAVAHSFSNSGQSISKEVSRSSSSDAKFSFSKIEDSSNSTSRQISDRVSSGHKAFTGSIIEHDLGLPPIQSAKSNLGQASVSSSSKPVSRFKMQKGNR >Ma04_p00080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:114710:120905:1 gene:Ma04_g00080 transcript:Ma04_t00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARGTITSLETLFPPDEAQKAARRVEEAIADRRNELLRVQGFVSDNSSLINLVRTLPEELSHDIMVPFGSAAFFPGRLVHTNEFLVLLGEGYYAERTAKQTMEILQRRGKALEGQVESLKATMVDLEAEAKFFDSTAAEAAEGLVEIREEYVEESEKKAPGSDSLDSKRDDMQMPDEDEEYARIMARLDELEKEELEDGSTSDDDNEENLAGDEVEDDETGDEDEDAESSSSFSTSDLKHEILEIKHKSEHPVQKARGQGQEMLGSIVSKQIGGITEQPLTDQPFSGDSKMQFPAVAHSFSNSGQSISKEVSRSSSSDAKFSFSKIEDSSNSTSRQISDRVSSGHKAFTGSIIEHDLGLPPIQSAKSNLGQASVSSSSKPVSRFKMQKGNR >Ma08_p32090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42782654:42784814:-1 gene:Ma08_g32090 transcript:Ma08_t32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAKRRIPVAQGLPWTTDKPQSLSKPPVKEQTKPINIKLHTEQRAARRAGYNYLVASKINSLEILRRFEEKIMKVIEQEEIKNMRKEMVPKAQLMPFFDRPFFPQRSTRPLTVPREPCFHLIKQKCSISDQPYKFHKYFNHSMKSVK >Ma11_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27345027:27346868:-1 gene:Ma11_g24350 transcript:Ma11_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVIVSTGGGKEHPGKMTLFVFLTCLVASSGGLIFGYDIGISGGVTSMDSFLSRFFPSVYKQQMADSSTNQYCKFDSQLLTLFTSSLYWAALLSSFLASTVTRMFGRKWSMFAGGITFLLGSAINGAAMNVLMLILGRVLLGIGIGFANQAVPLYLSEMAPADLRGTLNIGFQLMITVGIFVANLINYGTASIKGGWGWRVSLGLAAVPALVITIGSLVLPDTPNSIIERGHDEEAKAMLRKIRGTEDIRAEYDDLVAASDAAKSVHHPWSNILQRKYRPQLTMAILIPCFQQLTGINVIMFYAPVLFKTIGFGSEASLASAVITGIVIVFGTFVSIATVDNLGRRKLFLQGGAQMLISQLVVGTLIAFKFGISGVATDVTKNYASIIVLFICFYVAAFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMFFTFLIAQVFLTALCHLKFGLFYFFAGWVAIMTAFIAFFLPETKSVPIEEITLVWKKHWFWSKFISDEDVHVGNSESVDDRIEDA >Ma10_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29666739:29678693:1 gene:Ma10_g18920 transcript:Ma10_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRKAMARWFLWFVLVCSPLGRVLANVEGDALNSLKTNLIDPNNVLQSWDPTLVNPCTWFHVTCDSDNSVIRVDLGNADLSGNLVPQLGLLKKLQYLELYSNNINGTIPSDLGNLTNLVSLDLYLNKFTGEIPDSLGNLKNLRFLRLNNNSLSGHIPGSLTTIIALQVLDLSNNGLSGEVPSNGSFSQFTPISFQNNAQLCGPGASKACPNSPPLSPPPPFVPPPPSSGGSSASSIAAIAGGVAAGAALLFAAPAIGFAWWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSEPPLDWTIRRRVALGAARGLSYLHDHCDPRIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLEMLVDPDLQNNYIEAEVESLIQVALLCTQGSPLERPKMSEVVRMLEGDGLAERWEEWQKVEVVRHDELAPHNHNEWIQDSTDNLHPVELSGPR >Ma10_p18920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29668111:29678693:1 gene:Ma10_g18920 transcript:Ma10_t18920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLIVDLGNADLSGNLVPQLGLLKKLQYLELYSNNINGTIPSDLGNLTNLVSLDLYLNKFTGEIPDSLGNLKNLRFLRLNNNSLSGHIPGSLTTIIALQVLDLSNNGLSGEVPSNGSFSQFTPISFQNNAQLCGPGASKACPNSPPLSPPPPFVPPPPSSGGSSASSIAAIAGGVAAGAALLFAAPAIGFAWWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSEPPLDWTIRRRVALGAARGLSYLHDHCDPRIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLEMLVDPDLQNNYIEAEVESLIQVALLCTQGSPLERPKMSEVVRMLEGDGLAERWEEWQKVEVVRHDELAPHNHNEWIQDSTDNLHPVELSGPR >Ma09_p29650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40052108:40057251:-1 gene:Ma09_g29650 transcript:Ma09_t29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPMDLAAAVALLLLCFGIAGVASDASDHRYKKRDSVPLYANKVGPFHNPSETYRYFDLPFCAPEHVTEIREALGEVLNGDRLVDAPYKLNFLVDLDSKQLCKKKLTKEDVAKFRSAVTKDYYFQMYFDDLPIWGFIGKVDKEGKDLGDYKYYLYRHIHFDVLYNGDRVIEITVHTDPSALVDLTDDKEIEVDFMYSVKWKETSTPFEKRMEKYSLTYSQTHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEEQEETGWKYIHGDVFRFPKNKSLFAACLGSGTQLFALTVFIFVLALIGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKNEFQAPCRTKKFPREIPPLPWYRGTLPQMAMAGFLPFSAIYIELYYIFASVWGHSIYTIYSILFIVFIILLIVTAFITIALTYFQLAAEDHEWWWRSFLCGGSTGVFVYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGMVGFRAALLFVRHIYRSIKCE >Ma09_p22940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34829195:34838932:-1 gene:Ma09_g22940 transcript:Ma09_t22940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSVTARLARSARAAAHNRRSSPRVLSSHSPPLSGPSARLSGVPSAPLVGGRDAIGVAGSVGFLGKVFTCRHFRSATPLQYNLGGSSQEISQAGSTEMAWDGIIGAVDAARQYKQQVVETEHLMKALLEQKDGLARRIFTKSGIDNSSVLRATEEFISQQPKIVGDTSGPILGQNLITLFDNAKKFKKEFGDEFLSVEHLVLAFCADKRFGQQLFKNLQLNETELRNAILGVRGNQRVTDQNPEGKYQALEKYGSDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIIRGDVPEPLLDRKLISLDMGSLVAGAKFRGDFEERLKAVLKEVSASNGQIILFIDEIHTVVGAGATGGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVYCGQPSVEDTISILRGLRERYELHHGVKISDSSLIAAAVLSDRYITERFLPDKAIDLIDEAAAKLKMEITSKPTELDEVDRAVLKLEMEKLSLKNDTDKASKERLSKLEADLTSLKQKQKELTEQWEQEKSLMTKIRSIKEEIDRVNLEMEAAEREYDLNRAAELKYGTLISLQRQLQEAEQKLAEFRQSGKSMLREEVTDLDIAEIVSKWTGIPISNLQQSERDKLVHLEDVLHKRVVGQDIAVRSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYAVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNTVVIMTSNIGSHFILDTLQNTHDTKDAVYELMKKQVLELARQTFRPEFMNRIDEYIVFQPLDTRQINRIVEMQLGHLKYRLKQKNIYLHYTPAAVELLGNLGFDPNFGARPVKRVIQQMVENEIALGVLKGDFDEDDSIVVDVDADQSLKDHPPQKKLVIRKLENLPLPDVLAAND >Ma09_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34829195:34838932:-1 gene:Ma09_g22940 transcript:Ma09_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSVTARLARSARAAAHNRRSSPRVLSSHSPPLSGPSARLSGVPSAPLVGGRDAIGVAGSVGFLGKVFTCRHFRSATPLQYNLGGSSQEISQAGSTEMAWDGIIGAVDAARQYKQQVVETEHLMKALLEQKDGLARRIFTKSGIDNSSVLRATEEFISQQPKIVGDTSGPILGQNLITLFDNAKKFKKEFGDEFLSVEHLVLAFCADKRFGQQLFKNLQLNETELRNAILGVRGNQRVTDQNPEGKYQALEKYGSDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIIRGDVPEPLLDRKLISLDMGSLVAGAKFRGDFEERLKAVLKEVSASNGQIILFIDEIHTVVGAGATGGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVYCGQPSVEDTISILRGLRERYELHHGVKISDSSLIAAAVLSDRYITERFLPDKAIDLIDEAAAKLKMEITSKPTELDEVDRAVLKLEMEKLSLKNDTDKASKERLSKLEADLTSLKQKQKELTEQWEQEKSLMTKIRSIKEEIDRVNLEMEAAEREYDLNRAAELKYGTLISLQRQLQEAEQKLAEFRQSGKSMLREEVTDLDIAEIVSKWTGIPISNLQQSERDKLVHLEDVLHKRVVGQDIAVRSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYAVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNTVVIMTSNIGSHFILDTLQNTHDTKDAVYELMKKQVLELARQTFRPEFMNRIDEYIVFQPLDTRQINRIVEMQLGHLKYRLKQKNIYLHYTPAAVELLGNLGFDPNFGARPVKRVIQQMVENEIALGVLKGDFDEDDSIVVDVDADQSLKDHPPQKKLVIRKLENLPLPDVLAAND >Ma03_p26020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29915611:29924179:-1 gene:Ma03_g26020 transcript:Ma03_t26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAALRNPNSRRLLRFSPASPLIYSCCRGAIVGPEPQSLPGPILGGEPAAAHWLRRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVELELRELLSFYKFPGDEIPIIRGSALCALQGTNEEIGKQAILKLMDAVDEYIPDPIRQLDKPFLMPIEDVFSIQGRGTVVTGRIEQGTIKTGDDVEVLGLTQSGPLKTTVTGVEMFKKILDHGQAGDNVGLLLRGLKRGDVQRGQVVCKPGTLKTCKKFEAEIYVLTKDEGGRHTAFFSNYMPQFFLRTADVTGKVELPENVKMVMPGDNVTATFELISPVPLEAGQRFALREGGRTVGAGVVSKVIS >Ma01_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3654530:3655144:-1 gene:Ma01_g05180 transcript:Ma01_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESHDQMGSPCDYLEYINVIAKGDTRYIMDINLVAEFEIVKSTTDYVMLLRVVPTIGVHQRHEHVHAVVKAKGVALKATRAQRSHVGDRKLCTVDIGCREMPVSKKKLAMTHRSCEIIF >Ma07_p08870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6634113:6647756:-1 gene:Ma07_g08870 transcript:Ma07_t08870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHIGGGGGGGGGGNDHPHHHHQRLGGDPTRNPSRPPASSAQSSLVASSSSSSRGRAQDTVAAAAAEEPRDGGSRCDGAAVAGSDFSLFEEEYHVQLALAISASDPDGLEDPDSVQIKAAKRMSLGCSPGVGAATSATIGVSDADERSMEFLSLRYWSYNVVNYDEKLMDGFYDVYGIISNAGVGEKIPSLVDLQAISVSDEIDYEVILVNQTVDHALQQLERRAIAIALESKVEEHGLLASGLIQKIADLVVCNMGGPVDDAIDMLRRWTLKSCELRNSLNTIVLPLGSLGIGLSRHRALLFKVLADRINLPCKLVKGSYYTGTDEGAVNFIKVDYDSEYIVDLMGAPGTLIPTENPSIHLESSGNFLLGSETIEQTVKDLCIALDKASCQIERKTDLLEGSSDNSLLSGQLGLQLEESSSLVAETEDIDVNNAENNELVRCEDEHGKLCPLPIRPQADTIKPKEVISSSQQMKVNDVSKYVVTAAKNPEFAQKLRAVLLESGASPPLDLFFDLSPPNSIEQGHSQSDCKEAKEGRIEPELPVICLTSKFDPSVSPSMEAECPMNADNGKKNQHLGEDSIQNIDESMCSPIEKTNEWLVLPDAQVDGSIDDSFGKFTGPVLDSAVMSRSSCMKQLNAYSMPCEAESSHKGCASILGSSVAHISQENSGRTFNFDGHEDIPSEDFQESTIDSTGKLYQTNLHGLCTSDNEQTSKILDAVAEWEIPWEDLRIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDLSGDALEQFRYEVKIMSRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLYRLLHRPNVQLDEKRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKSWVVKIFWWGLVRIMCPSCNGEWCLGSLLF >Ma07_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6631470:6647756:-1 gene:Ma07_g08870 transcript:Ma07_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHIGGGGGGGGGGNDHPHHHHQRLGGDPTRNPSRPPASSAQSSLVASSSSSSRGRAQDTVAAAAAEEPRDGGSRCDGAAVAGSDFSLFEEEYHVQLALAISASDPDGLEDPDSVQIKAAKRMSLGCSPGVGAATSATIGVSDADERSMEFLSLRYWSYNVVNYDEKLMDGFYDVYGIISNAGVGEKIPSLVDLQAISVSDEIDYEVILVNQTVDHALQQLERRAIAIALESKVEEHGLLASGLIQKIADLVVCNMGGPVDDAIDMLRRWTLKSCELRNSLNTIVLPLGSLGIGLSRHRALLFKVLADRINLPCKLVKGSYYTGTDEGAVNFIKVDYDSEYIVDLMGAPGTLIPTENPSIHLESSGNFLLGSETIEQTVKDLCIALDKASCQIERKTDLLEGSSDNSLLSGQLGLQLEESSSLVAETEDIDVNNAENNELVRCEDEHGKLCPLPIRPQADTIKPKEVISSSQQMKVNDVSKYVVTAAKNPEFAQKLRAVLLESGASPPLDLFFDLSPPNSIEQGHSQSDCKEAKEGRIEPELPVICLTSKFDPSVSPSMEAECPMNADNGKKNQHLGEDSIQNIDESMCSPIEKTNEWLVLPDAQVDGSIDDSFGKFTGPVLDSAVMSRSSCMKQLNAYSMPCEAESSHKGCASILGSSVAHISQENSGRTFNFDGHEDIPSEDFQESTIDSTGKLYQTNLHGLCTSDNEQTSKILDAVAEWEIPWEDLRIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDLSGDALEQFRYEVKIMSRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLYRLLHRPNVQLDEKRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKSWVVKVCDFGLSRLKHHTFLSSKSTSGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRKPWGGMNSMQVVGAVGFQNRRLDIPKEVDPVVAQIITDCWESEPNKRPSFAQLLLPLRQLQKLVVAN >Ma07_p08870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6633062:6647756:-1 gene:Ma07_g08870 transcript:Ma07_t08870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHIGGGGGGGGGGNDHPHHHHQRLGGDPTRNPSRPPASSAQSSLVASSSSSSRGRAQDTVAAAAAEEPRDGGSRCDGAAVAGSDFSLFEEEYHVQLALAISASDPDGLEDPDSVQIKAAKRMSLGCSPGVGAATSATIGVSDADERSMEFLSLRYWSYNVVNYDEKLMDGFYDVYGIISNAGVGEKIPSLVDLQAISVSDEIDYEVILVNQTVDHALQQLERRAIAIALESKVEEHGLLASGLIQKIADLVVCNMGGPVDDAIDMLRRWTLKSCELRNSLNTIVLPLGSLGIGLSRHRALLFKVLADRINLPCKLVKGSYYTGTDEGAVNFIKVDYDSEYIVDLMGAPGTLIPTENPSIHLESSGNFLLGSETIEQTVKDLCIALDKASCQIERKTDLLEGSSDNSLLSGQLGLQLEESSSLVAETEDIDVNNAENNELVRCEDEHGKLCPLPIRPQADTIKPKEVISSSQQMKVNDVSKYVVTAAKNPEFAQKLRAVLLESGASPPLDLFFDLSPPNSIEQGHSQSDCKEAKEGRIEPELPVICLTSKFDPSVSPSMEAECPMNADNGKKNQHLGEDSIQNIDESMCSPIEKTNEWLVLPDAQVDGSIDDSFGKFTGPVLDSAVMSRSSCMKQLNAYSMPCEAESSHKGCASILGSSVAHISQENSGRTFNFDGHEDIPSEDFQESTIDSTGKLYQTNLHGLCTSDNEQTSKILDAVAEWEIPWEDLRIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDLSGDALEQFRYEVKIMSRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLYRLLHRPNVQLDEKRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKSWVVKVCDFGLSRLKHHTFLSSKSTSGTPEWMAPEVLRNEPSNEK >Ma10_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29044697:29048327:-1 gene:Ma10_g17830 transcript:Ma10_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGERDVSEVQRRKKRFYRHTSQQIQELEAMFKVCPHPDEKQRMKLSRDLGLEPRQIKFWFQNRRTLMKTQHERSDNCSLRAENDKIRCENITMEEALKRAVCLSCGAGPPPDNPFFDEQKLRMENTRLKEEVDRLSEIASRYLGRPITQLPSSQRLSVSQSEVSVGTYYNPGISSSLDLGLLCQSSSSVLSNPSPTTISDLEKPIMMDMASAALEEVVKLIQTNEPLWLKSASMGTEVLQSETYERIFQRPSQQQFKFSDTRTEASRGSALVIMDAATLVDMFMDSSKWMELFPTIVSNSRTIDVLASGTSGTRSGSLLLMYEELQVLSPVVPTRHFCFLRYCQQIDPCLWVIADVSVNYPMDSRHLPSPLSCKLPSGCLIEAMPNGYSKVSWVEHVQFQEKNTIHRLFRDLVNSGTSFGANRWLTTLQRMSQRFACLMSAGLSTRDIAGAVPSVEAKKSMMKLAHRLVMDFCASFSASVGNKWTMLSGINDDLRVTLHRTDSSLPHGVVLSAATSIWLPLPRDRVFSFLKDEQNRPQWDVFSIGNNLQKVAHITNGSDQGNAISILRGLNYTHNMLILQESCTDASGSVVVYSPIDLPAMNTIMSGEDPSYIHILPSGFTILPDGRFGVGGGASTSSCPTGRPSGSLVTVAFQMLMSSSPGAKLSFESIATVNNSISNTIHQIKAALSCPSV >Ma02_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25480415:25486806:-1 gene:Ma02_g19250 transcript:Ma02_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHENNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRRVREIVEQSWTAD >Ma02_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25376326:25381862:-1 gene:Ma02_g19070 transcript:Ma02_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSSSNASSGMGVAEDCRDIFLELQRKKTHRYVIFKIDEKQKQVIVEKTGGATESYDDFLASLPENDCRYAVYDFDFVTEENCQKSKIFFIAWSPSISRIRAKMLYATSKDRFRREMDGVHYEIQATDPSEVDLEILRDRAH >Ma08_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17572299:17574177:1 gene:Ma08_g16230 transcript:Ma08_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAMAKSIEIPDCCYPKEPVLVRPSSSKPRHTLYLSNLDDQKFLRFSIKYLYVYKRSVGVEALTTSLSKVLVEYHPLAGRLRPVGEDGEKCQVDCNGEGALLAEAYVDLTAEEFLQGCGRPNRSWRKLLYRVEAQSFLDVPPLVVQVTHLSCGGMILCTAINHCLSDAIGTAQFLHAWALLAAKPDANLPVNAFHDRCILKPRVPPEIAFSHPEFSSPPAQDSHSGDLFQFLLSQPLVPVSLTFTPSQILHLKKQSVPSIKCTSFEVLASHVWRAWIKSLDPPASLRIKLLFSMNVRGRLKPELPGGYYGNGFVLACAETSVEELVTSNAHHGIKLVQEAKKSVTGEYVRSMIDLLEERRVKPDLSSSLVISSWTKLGLEELDFGGGRPLHMGPLASEIYCVFLPVTGDLHAFTMIMSVPHEIADRFQQYCRRGLDDDDDDDDDTEKGGSG >Ma03_p11780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9147985:9151071:1 gene:Ma03_g11780 transcript:Ma03_t11780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEPFTLAVVSFEEMTEIRFPSIHGNAKEKVGIMEPMQFGSSSSCGVQIDQTFEEYAGAVSDDDDYWRAGSSREGDTIGDFREHPVGEISPSMNQRTEIETARSSSRDSDARLLNDWMENVQHRMTTASQSRRSHNRAPCTIYEIPANIRKHDTQAYQPKVVAIGPYHREKIWLHITVDDKWRCLRHMLSFASPRFREEENQLRIWIKNIKEKEELARSCYSRDAIMESYSFVEMLLLDGCFILYILLMIVKKTEVVVEDPRKEESTEVDEKSEKMHTEECPLVGVFWQWNLIKLDLLLLENQIPFFIIQDLFNHTMNPGIKHISIPHLALELFDELHPKMNKDMFHFGPDGNGILHLLHLFHSAMVPSPNYRMTDTECWNPNSPSSSSTQQLHIPSATELGESAVRFRRKDHARSFLEVAFCNGELEIPTLHIFDYSNALFRNLISFEQCYPGVSPYITAYASFMECIMHRERDVRLLHLRGTVVNRLSTDKDVAVFFKQICYQVSQSCIPSYLSPLYKEVSDHHSHQWRRWSAELKRHYFSNPWVTLSVVAAIILLCLNFIQTLYSVLGYYHKL >Ma03_p11780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9147703:9151071:1 gene:Ma03_g11780 transcript:Ma03_t11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEPFTLAVVSFEEMTEIRFPSIHGNAKEKVGIMEPMQFGSSSSCGVQIDQTFEEYAGAVSDDDDYWRAGSSREGDTIGDFREHPVGEISPSMNQRTEIETARSSSRDSDARLLNDWMENVQHRMTTASQSRRSHNRAPCTIYEIPANIRKHDTQAYQPKVVAIGPYHREKIWLHITVDDKWRCLRHMLSFASPRFREEENQLRIWIKNIKEKEELARSCYSRDAIMESYSFVEMLLLDGCFILYILLMIVKKTEVVVEDPRKEESTEVDEKSEKMHTEECPLVGVFWQWNLIKLDLLLLENQIPFFIIQDLFNHTMNPGIKHISIPHLALELFDELHPKMNKDMFHFGPDGNGILHLLHLFHSAMVPSPNYRMTDTECWNPNSPSSSSTQQLHIPSATELGESAVRFRRKDHARSFLEVAFCNGELEIPTLHIFDYSNALFRNLISFEQCYPGVSPYITAYASFMECIMHRERDVRLLHLRGTVVNRLSTDKDVAVFFKQICYQVSQSCIPSYLSPLYKEVSDHHSHQWRRWSAELKRHYFSNPWVTLSVVAAIILLCLNFIQTLYSVLGYYHKL >Ma03_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9147703:9151071:1 gene:Ma03_g11780 transcript:Ma03_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEPFTLAVVSFEEMTEIRFPSIHGNAKEKVGIMEPMQFGSSSSCGVQIDQTFEEYAGAVSDDDDYWRAGSSREGDTIGDFREHPVGEISPSMNQRTEIETARSSSRDSDARLLNDWMENVQHRMTTASQSRRSHNRAPCTIYEIPANIRKHDTQAYQPKVVAIGPYHREKIWLHITVDDKWRCLRHMLSFASPRFREEENQLRIWIKNIKEKEELARSCYSRDAIMESYSFVEMLLLDGCFILYILLMIVKKTEVVVEDPRKEESTEVDEKSEKMHTEECPLVGVFWQWNLIKLDLLLLENQIPFFIIQDLFNHTMNPGIKHISIPHLALELFDELHPKMNKDMFHFGPDGNGILHLLHLFHSAMVPSPNYRMTDTECWNPNSPSSSSTQQLHIPSATELGESAVRFRRKDHARSFLEVAFCNGELEIPTLHIFDYSNALFRNLISFEQCYPGVSPYITAYASFMECIMHRERDVRLLHLRGTVVNRLSTDKDVAVFFKQICYQVSQSCIPSYLSPLYKEVSDHHSHQWRRWSAELKRHYFSNPWVTLSVVAAIILLCLNFIQTLYSVLGYYHKL >Ma07_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10413613:10415292:-1 gene:Ma07_g13890 transcript:Ma07_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVQILSTTLVYPSSPFPSCHQPLLLSHLDTDRILHLPFRTLRLYAPSPSPSAADPADVVAAALSAALPLFFPLAASLFRRPSDNRHEVRPPATGIPFTRAASPLTLAELSARPGSPLLDRFAPDPAPGEALAHPLAIQVTRLACGGFALGACVHLSLCDGAGFTQFLSAVAGFARGAERPAVEPVWERAELLGPRSPARVEVPLFRHVLGFDGDVARSGPYDVVEASIEGPLVRECFHVSEACMERLRNRLAEEAGSSCTTFEALSAYIWRARIKASGTPQDEVVKLVYSMNIRRLLKPAPALPAGYWGNVCVPVYVHLSVRELMEQPLWETARSIKASKQSVTDEYVRSYIDFQQLHYAEGITAGKRVSAFTDWRHLGHSDIDFGWGGPVSVMPLSWRLLGSLEPCFLLPNGADEVEKKNGFKVLISLPEKVMQSFRADMKIFAS >Ma01_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12895041:12897811:1 gene:Ma01_g17610 transcript:Ma01_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNLSGRSFCSSTIIFPYQMKHFPLQMKGNLRSYSIYVGRLEPYEKSCRSFVCNEKSTSNSSIKHYVDHKPEDVQPPSAHPVDALASILEELKAVRRCLLASENRDLCRLNLCHRNYLDSAMNLCHHLALKCLDLQRLNEDLCSVGLQSLESIGPSVLPCIDTIIQLLELSVGTTTAGEEGRNERAAAASAMRERGFSHATALFGPYQDSGQVHVMVTAGKEAISNETLIADLLKAGADVIRINCAHDDPTVWSEIVRIARQSSASLGKSCRVLMDLAGPKLRTASLLVNKIAAVVSPESDDSGDMLSPARIWLCCDGRSPPSNESCHAILRIGQELFGELRVGDTVSFVDPTGGRRPVMVVEKYCRSGYVAECSEAAHISLGGTLQVDKEDKKVSGQILKILIVERYITVETGDVLTLTRSCCVAENDLHDDRHDSTIITCSSDHIFNSVKPGEPIAFDDGKIWGMIQAKNSNAIVVMIVQANAMGSKLGTKKSINLPKSDTKLLRGLTSKDLVDLHFVAANADIVGISFIRDANDMASVQRELEKRNVPALGLMLKIETREAVDNLPQLLLQAMQSSNPIGVMIARGDLMVECGWDQLGEIQKEIMAVCSAAHIPVTWATEVLDILIKSGFPSRAEITDVANAMKASCIMLNKGKHVVDAVAALDSMLCKHTDRRRKKMPPNLPSKSSRL >Ma06_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17946891:17947220:1 gene:Ma06_g22400 transcript:Ma06_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQAAFALLLLLLVASASGRKVKVTSGGVCNVSPGGLSACRSAVSKWFPDKTPSPECCAAVAAADFGCFCSYIKSPPLWLFWVNSDRVKQLPAKCHVDRPLPDCVSA >Ma01_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4138585:4142218:1 gene:Ma01_g05850 transcript:Ma01_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILETMVFWEGYVSDEVMGTFAPIVLYWLYAGFYQLLPRLDRYRLHTKKEEEQKNLVTLATVVKGVLLQQVVQATIAQVLFLITAKASLSGVPVQPSIPIQILQIFVAMLALDTWQYFMHRYMHQNKFLYRHIHSQHHRLVVPYAVGALYNHPLEGFLLDTLGGAISFLISGMTPRTSVFFFCFAVMKTIDDHCGLWLPGNIFHIFFQNNTAYHDIHHQLQGSKYNYSQPFFSIWDRVLGTHMPYSLVTRREGGLEARPLKD >Ma08_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9888860:9890466:-1 gene:Ma08_g12830 transcript:Ma08_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELTADIENTASPRVSLEYLPLHCPPFQASTNTVASPPRKREAVAPISSSSFNLCEEYTNAFRTESYHEFWAQVLDLTLDHGAALKPRGSSAASRLPSYRLLAEHLLDPDQPTVTKILTHLAKRCHPETHVLLSDYYSETAVASLLCGLLLKDIDRIRRWYRPLKATLRSLVSDSRSRNGLQAIGDFLADVSKTTNSFDSVASSRRKFRAVQEGSADLLKRLESGCKKMRAKLRFINRLKRTLAISAIVLAASTFIIGACVPMHALVTLMTLPPFLSSSSRLASARRLDRVVAQLDAAAKGTYILNRDLDTISRLVARLHDEAEHTLTLLRLCERHGGHRRRLTQEVARQITKNLASFHQQLDELEEHLYLCFMTINRTRRLVLEELLVAGSSRV >Ma04_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7418822:7425970:1 gene:Ma04_g10420 transcript:Ma04_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRSGSYGSLQPQLQNGVAVFPTQLPPILVRKTSRMSLSGSREKERILPRIFKFAGRRKVGMLLLLVASAAVLSFISVVSKDEDASVSPESRMGFTDHVWKFVNPVRPSRNDFSPPFIPAKETTVDSTSNTNHESATTHNVTHLSVPILPPMPHPCESFSLPPPPADKKRTGPRPCPVCYVPVEQAVLLMPPSPSASPFLKNLSYFSEDNLIASESNGGSVFGGYPSLLQRNESFNIKESMMVHCGFAKGKKPGRETGFDINETDLLEMEECHDVVVASAIFGKYDVMQQPKNISEYAKRNACFYMFVDEETELYIKNSSGLVDTKRVGLWIVVVVRNLPFVDARRNGKVPKLLLHRLFPNARFSLWIDGKLKLVVDPYQVLERFLWRKNSTFAISKHYRRFDVFVEAEANKAAGKYDNASIDYQIEFYKKEGLTPYSLSKLPITSDVPEGCVIIKEHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDRIMSQVNWTINMFMDCERRNFVVQEYHRDLLEQRKALASLIYPPPPVVTNESPGESLPGTHPQRLARGPPSKRLPGKISTRRGRDKRSGSRRHHPRAAAGRDNSSI >Ma06_p33060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33838528:33842003:-1 gene:Ma06_g33060 transcript:Ma06_t33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYVPDWNLEDDTTDFGGILPMANQKNPMGPDSELVELLWRDGHVVMHSQSQHHPRASAAIAKLKPEQQQQREQSLGRSISSIQDDATASWFPSPLHDSLEKEFCSEFFSEMAGIDGLGPSNMSTIDRYMGFGDTGASDVLTAPKKSTLHLRENNTMSSSICESNRLHAQGDAAGVAGASVPKHAFETALASSSGGACFSFRRTGDQGGSGQCQKRKQRDVQVAEYQSEEAEFESVEAKKAAQGSISTRRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGGRMAQMMLPGAQQSMPGMAVGVGHACVPLMHHALQSSTPNQTLSSTSPAFQAAMFRNLMQSAHLQESHASSYLGLHHVQPRFQACSFIFTPLDLCLFAEIAST >Ma10_p26510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34172229:34173629:1 gene:Ma10_g26510 transcript:Ma10_t26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGCLGVGLSLISGCIFLALVAEFYYFFWWKKRGSSRDVEENYSSPVKELPDLFCWKKPTSLSPTALKPQEICISVNGATHSEEEQPHLRSISGNDLVLKPLGGEDTVDAELMCLAGPPRSLFTIEEETQEELESEDAIARGGRSRRGCTGKSLRDLLLISETPTLTPLSSPPLFSPPLTPMACYKQNGFNPLFEPTKDEALAWMWSSPPPTLKFLKDAEEKLYRKTLVEEALKVQKGRSRHVESKEKKEASVAPLLPPVAAISPQVIPLANGKPQRDLIISNEFPIEFSSNA >Ma01_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13548470:13550008:1 gene:Ma01_g18270 transcript:Ma01_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILHWLLKIPHDLPCASSRACHDEVKRRDLVVYNGAISGEDGEGGAEDDTTLTFVLRGQNGRTCFYGTLRLKYLRSLFKSQEEEEEGGESRMGHKTETVNVGCKVLPICDAVSTGSNGSYGSDKDKQRSERTKAVSRMKELLRWAAAAKSSKGGSKAWKALYFRHKVALKAETDDSSSTSSEISFKWDGGSCSSASSVRSPLSLASTSRNDRMVVKNPSRLSVRRQSPELDPNLLGSPKSEDHVRNGQWITTDSEFVVLEL >Ma10_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25561000:25563885:1 gene:Ma10_g12520 transcript:Ma10_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGWIRLGVAVGAVALLVGAGRRYGLDAEAALRPFRQLEERLGMWAIPVYVAAHTLTLALCLPYAVFFEAGASLLFGFLPAVLCVFSAKVLGASLSFWIGRAIFRSSKSATEWAHSSRYFHLLARGVERDGWKFVLLARFSPLPSYVINYGLAATEVGFLVDFLLPTVTGCLPMILQNTSLGSLAGAAVASTTGSKSQVYSYIFPLLGIASSILISLRIKKYSSGFAEEFNQPVSAKSTDGGINSVQSSSDKATEKPRRRRK >Ma10_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33167982:33168726:-1 gene:Ma10_g24690 transcript:Ma10_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPAKDESRCKRHPKHRQSVGVCPFCLRERLSHLTHPSSSSSAVYLSSSSASSSPYSTSDSDLSSYASTPPHHCDVKRPKGWLLPEPRVAARGGKLTKSLSLVFVVRSHEENEKEKKIMADHKGKEKEKTKKKKSRFWSKLLSGSNGRQKKRMAGGALLHSHTFKEKPSPKLVLFA >Ma03_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:746602:752019:-1 gene:Ma03_g00950 transcript:Ma03_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMASSRASCSKEQQKIYQQWFAYADKDGDGRITGSDALKFFAMSKLSRPELKQVWAIADSKRQGFLDLLDFISAMQLVALAQAGYEITPDVLSHADLENLKLPVMEGLDALLAKSKRSAKKSDHRLDASPQHLLPASAAWFNSKASKKVPLSSVTSIIDGLKKLYIEKLKPLEVAYQFNEFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQSSYPGAHIGPEPTTDRFVVVTSGPDERSIPGNTIAVQAHMPYHGLTAYGTGFLSKFECSQMPHPLLEHINFIDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPYKLDISDEFKRVIGSLHGHDDKIRVVLNKADQVDTQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPVNEAASGPIGKELFVREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPSMMGKAKAQQRLVDNLEDEFVKVQKEFHLPPGDFPDVEHFREVLNGYNIDKFEKLRHKMIQAVDDMLAYDIPELLNRFRNPYD >Ma03_p00950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:746602:752019:-1 gene:Ma03_g00950 transcript:Ma03_t00950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMASSRASCSKEQQKIYQQWFAYADKDGDGRITGSDALKFFAMSKLSRPELKQVWAIADSKRQGFLDLLDFISAMQLVALAQAGYEITPDVLSHADLENLKLPVMEGLDALLAVRQLLLSEISDDVSASPQHLLPASAAWFNSKASKKVPLSSVTSIIDGLKKLYIEKLKPLEVAYQFNEFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQSSYPGAHIGPEPTTDRFVVVTSGPDERSIPGNTIAVQAHMPYHGLTAYGTGFLSKFECSQMPHPLLEHINFIDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPYKLDISDEFKRVIGSLHGHDDKIRVVLNKADQVDTQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPVNEAASGPIGKELFVREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPSMMGKAKAQQRLVDNLEDEFVKVQKEFHLPPGDFPDVEHFREVLNGYNIDKFEKLRHKMIQAVDDMLAYDIPELLNRFRNPYD >Ma03_p00950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:746602:752019:-1 gene:Ma03_g00950 transcript:Ma03_t00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMASSRASCSKEQQKIYQQWFAYADKDGDGRITGSDALKFFAMSKLSRPELKQVWAIADSKRQGFLDLLDFISAMQLVALAQAGYEITPDVLSHADLENLKLPVMEGLDALLALSEISDDVSASPQHLLPASAAWFNSKASKKVPLSSVTSIIDGLKKLYIEKLKPLEVAYQFNEFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQSSYPGAHIGPEPTTDRFVVVTSGPDERSIPGNTIAVQAHMPYHGLTAYGTGFLSKFECSQMPHPLLEHINFIDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPYKLDISDEFKRVIGSLHGHDDKIRVVLNKADQVDTQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPVNEAASGPIGKELFVREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPSMMGKAKAQQRLVDNLEDEFVKVQKEFHLPPGDFPDVEHFREVLNGYNIDKFEKLRHKMIQAVDDMLAYDIPELLNRFRNPYD >Ma04_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8588431:8597374:-1 gene:Ma04_g12020 transcript:Ma04_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRGSSELENSAFRCGVRTGLKREFVFALKAQSQFPLSLGRTRSGKSSAATVSAPLVNESKRRKKSGTDMTPETAEPQLVNVPLMILAPSPSDLVEADALAVVDGKDEVMVDVVPLENGSSVETLMVMDGRNQFVVDGPPPTVKPLKVFVTTLSDGIAEGTVNSHSQPVEPAELTGKANETANSPAHVDKFAESEIGGQIVVDDNGMSKLNVDLNETGCVENPVVIDGNGGLEADSSETENQANKKIVSATEYASEEPEIMMPFSIMKTGEGDSPVEMSAVIHCLDGRNMESDSSNRTCNRRFTRSTLTVPAKEQKEPPVNPPVTMNGHYSNKDNNSHFGMPLRRLTRSAVKAKLESSSGDITSTSSYSSGSEDTNHGVDTVDSSSVLIPKSKLELKMSKKITLTKLPNNVRELLSTGLLEGLPVNYIASNSNHIGLQGVINGNGILCSCASCNGSIVVSAYVFEQHAGSTKKHPADFIYLPNGKSLHDVVKACSIAPLDMLEATIQSAIDPVPANKTVTCQKCKGSLLTPWSGKFGLCDLCFPSQQSPKTPNLMHGNFNSTRVLKTGSVADPTSSSSKNLSSNKKNSLGRLTRKDLGLHKLVFMNDILPEGTEVGYYVCGKRLLEGYIKDSGIYCQCCNSVVSPSQFEAHAGQASRRKPYNYIYTSNGVSLHELSVSLSKCRKMSSSESDDLCSICADGGDLLLCDLCPRAFHKECLGLSSIPSGDWCCQYCQNLHQREKCLSSNDNAIAAGRVAGVDPIEQIFKRCIRIVTTSETDDSACTLCRCHDFSKSRFDDRTVMICDQCEREYHVGCLRDHKMADLKELPAGEWFCCTDCSRIRRALQVFLHHGAELLPFTDANIIKKKRDSRGLNKEVDADIRWRLLSGRTLEADSKLLLSRAVTIFHESFDPIVESTTGRDLIPSMVYGRTVKDQDFGGMYCSVLTVGSCVVSAGILRVLGSDIAELPLVATSREHQGQGYFQSLFACIERLLGSLGVKHLVLPAADEAEAIWTKKFGFTKMSSDQLEKYLKGAHATVFHGTSMLHKPVSCAEVS >Ma04_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17229868:17231415:-1 gene:Ma04_g17000 transcript:Ma04_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAARKKLEDDSSSTVPKYESVAIVIGATGIVGTSLVDILPLADTPGGPWKVYAVSRRPPNSAILPYSYSPADAEAPVAADTLRVQHIQCDVLDAADAVAKLSPLTDVTHLFYVAWANRLTEAENRVVNSAMLRNVLSAVVPSAPNLCHVCLQTGRKHYVGPFEAVGKALAHDPPFREDLPRLPVPNFYYDQEDILFDELSKKEGAVTWSIHRPTTIFGFSPTSLMNLIGTLCVYAAICRKEGAPLKWFGSRTTWDGFNDASDADLIAEQQIWAAVDPYAKNEAFNISNGDLFKWKHLWAALADQFGLESVGYEGEASRFKLEDAMRGKEAVWAEIVAENELVPTKLEEVASWWFADVVLGLELAHLDSMNKSKEHGFLGFRNTVASFNSCIDKMKAFKIVP >Ma05_p27260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38628017:38634861:-1 gene:Ma05_g27260 transcript:Ma05_t27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVRRKLGFGLLSATRVLPAGSVLPKKYSTAAKEITVREALNTALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPDRVLDTPITEAGFTGIGVGAAYYGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKHVTVTAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTVEEGFPQHGVGAEICMSVMEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRSVSMAAAA >Ma10_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28790199:28796504:1 gene:Ma10_g17350 transcript:Ma10_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIRSADSSTAYGESNIYTRKGGGDSSAISRQILSADEQMYTYRPTSYHSGFYSQQYVTNASSETVHADPFHMSGSSIPRRYLQVSSAPYQLMANIHSSIISENPYSSCFAAVQNPDSSASSNISHQTSHSLSDNPSPEQEIDYGEDEIRLKLRELEQALLNDNDEDLVDSDQVMGIEDDWAEPIKDLLLPSSPKESSADSSVSCVGSNREPRTPKHLLFDCAAAISQSSMEEAQAIITELRQMVSIQGDPPQRLAAYMVEGLAARIASSGRGLYKALKCKEPPTSDRLSAMQILFEVCPCFKFGYMAANYIIVEAVKDEAKVHIIDFDLNQGSQYINLIQTLSTWAGKRPQLRISGVDDPESVQRAVGGLKIIGHRLEKLAEDLGVPFEFRAIAAKTGDITPEMLDCRPGEALVVNFAFQLHHMPDESVSTVNQRDQLLRMVKGLGPKLVTIVEQDMNTNTAPFFPRFVEVYNYYSAVFDSLDATLPRESTDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFVSCPFSANVNGSIQALLKSYCDRYTIKEEIGALYFGWEDKNLVVASAWK >Ma06_p35740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35495642:35497563:-1 gene:Ma06_g35740 transcript:Ma06_t35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A1 [Source:Projected from Arabidopsis thaliana (AT5G58860) UniProtKB/Swiss-Prot;Acc:P48422] MAGIIQAIQQQQQRQVEGDSLRLLLAALVVALAVSTYLTWFWALTRRLNGPKVWPFFGSLPGLVANRARMHDWISDNLRATGEAATYQTCILPLPLLARRQGLVTVTCHPRNLEHVLRSRFENYPKGPQMQAAFHDLLGRGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWTNRSIKDRLWRILADRCGDGKAVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPHNAFTAAFDAATEATLQRLLFPGFFWRLKKALRLGSEQRLRKSLEVVDQHMATAIAERKLAPSDDLLSRFMKKRDADGNAFSSSVLQRIALNFLLAGRDTSSVALSWFFWTVMRRPDVEKKLVDEMTAVLTDTRGPDTATWLAEPMRFDELDRLVHLKAALQETLRLYPSVPQDTKYVVADDVLPDGTVVPAGSTVTYSIYSVGRMESIWGKDCREFRPERWLSAEGDRFEPAKDPYQFVAFNGGPRTCLGKDLADLQMKSIASAVLLRYRLELVPGHRVQQKMSLTLFMKNGLRVYVRPRSHGDDARHPCPSPDQVSVSSTATTTAVAA >Ma02_p10220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19857470:19860805:-1 gene:Ma02_g10220 transcript:Ma02_t10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRSSETLRLSLIHLRPFSHAAAITPKEGAPLDPLAPLEYLEGLPRPDPKHAETIMAVPRASSGKIISAKERKAGRVPSIVFEQENGEEGGNKRLVSVQAKQVRKLVDHLGQSFFLSRLFELEVRSEFGGAGDLIEKVRVLPRKLHLHSATDAPLNVTFLRAPSSALLKVDVPLVFRGEDASPGLRKGSYLNVIKRTVKYLCPADIVPPYIDVDLSELDVGQKLVMRDLKVHPRLQLLQSPDQPVCKIAGSGAQEKKKSK >Ma02_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19857470:19860805:-1 gene:Ma02_g10220 transcript:Ma02_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRSSETLRLSLIHLRPFSHAAAITPKEGAPLDPLAPLEYLEGLPRPDPKHAETIMAVPRASSGKIISAKERKAGRVPSIVFEQENGEEGGNKRLVSVQAKQVRKLVDHLGQSFFLSRLFELEVRSEFGGAGDLIEKVRVLPRKLHLHSATDAPLNVTFLRAPSSALLKVDVPLVFRGEDASPGLRKGSYLNVIKRTVKYLCPADIVPPYIDVDLSELDVGQKLDRGLRRRKNLNESSPKQASEPYQQMFGICCHPLPPVHFRDFPYDDSG >Ma09_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8919647:8920492:-1 gene:Ma09_g13210 transcript:Ma09_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPQLSLLLVFVSFLVLLSSATAHNITRLLSQFPDFSNFNSLLSQADIASEVNRRQTITVLAVDNSAASSLSSLDSDTLKQVLSIHVILDYYDKEKIHNLKRRSTLFTTLFQTTGVAANRMGFLNATKLSDGRLAFGSGVPGSPLVATYVKSVAAKPYNLSVLQISAVIVPQGINGTPLAPFGAPITAPPEVPVPAPAPVDDSTADSPAEAPEASAPEPAADAPEADAPDADSPAAGPAADGDAPSPAEGEEDQKSAAVPVAGCISVGLTVAGALFFAV >Ma02_p20560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26487427:26489415:1 gene:Ma02_g20560 transcript:Ma02_t20560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSVVHLGGCHCKHVRWQVEAPSSVVAWSCNCSDCSMRGNIHFIVPSSKFKLAADSDKFLTTYTFGTHTAKHTFCKICGITSFYFPRSNPDGVAVTVKCVDAGTLAHVEIRHFDGRNWESSYGQTSIASFSKSDNEGQT >Ma02_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26487301:26489415:1 gene:Ma02_g20560 transcript:Ma02_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSVVHLGGCHCKHVRWQVEAPSSVVAWSCNCSDCSMRGNIHFIVPSSKFKLAADSDKFLTTYTFGTHTAKHTFCKICGITSFYFPRSNPDGVAVTVKCVDAGTLAHVEIRHFDGRNWESSYGQTSIASFSKSDNEGQT >Ma11_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6092866:6094156:-1 gene:Ma11_g07640 transcript:Ma11_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERKVNPGCINASNPFHVCGEYCVRRSHGSNPRSPLLDLAGAAAQVGYRRKEDRGNIAAERNVALSCPNASNPYHQCGGYCSSRNPTVNGQKKEKRSAQTGGIHKENQGFAIVEKNVNPSCLNSSNPYHRCAEYCSPRKLENGVKMQDNRMVQREQIHPNCVNASNPYHKCVEYCFQKISQLS >Ma09_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13434105:13436918:1 gene:Ma09_g17830 transcript:Ma09_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDTLPERTRLHYSLDLICIHTVSAHLCDCFFRYPTEMASPVSAIGFEGFEKRLEISFSGHGRRQDLRSLSMAQLDTILRPAECTIVAALSNKFVDTYILSESSLFIYPHRIIIKTCGTTRLLLSIPPLLHLAADLSLSVASVRYTRGGFRFPEAQPFPHRSFAEEVAVLDRHFTRLGCSSKAYSMSSSLKSQQWHVYSATAARSDRPLYTLEMCMMGLDRKRAAVFYGKQHYTASEMTIASGISDILPGSQICEFQFDPCGYSMNSVEGAAISTIHVTPEDGFSYASFEAMGYDAEVIDLGRLITRVLACFRPAEFSIAVETDGVGEESPRGAALDVSGYVSGERRFKEMGRGSVVYQSFKACDCESPTSILKNLWDDMDPHRSNL >Ma10_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29011756:29013163:-1 gene:Ma10_g17760 transcript:Ma10_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATFVKLALWLYCRTSGNKIVRAYAKDHYYDVVTNVLGLAAAILGDKFYRWIDPAGAIVLAIYTIINWSGTVWENAVSLVGQSAPPEMLQKLTCD >Ma09_p00310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:258702:266801:1 gene:Ma09_g00310 transcript:Ma09_t00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MRGGTVQVIWHDTQPVLTLDFHSPTGLLATGGADHDIKLWLIRSDESQRSHPTVLYQNSLSYHSSGVNILRFSPSGDHLASGADGGELVIWKLHLTDDGQTWKVLKTLLFHRKDVLDLQWSSDGAYMISGSVDNSCIIWDVTKGSVHQILDAHLHYVQGVAWDPLGQYVASLSSDRTCRIYVNKPQSKHKGNEKLNYVCQHVLTKSDSQRLDDSKSVSSKPHLFHDETLPSFFRRLAWSPDGTFLLVPAGTYRYPFSSETVNTAYILSRRDLSRPAVQLPGANKPIVAVRFCPVLFALRGSNSAGFFKLPYRVIFALATLNSLYIYDTESLPPIAIFAGLHYAAITDISWSSDAKYLSLSSRDGYCTIIEFEDHELGEPISPSEASKASEGKADLSNVETEVTDHMEIDKKNAAKVNSETVTKIKEGRLPISTVTMNSDTNKSTKKRITPTAIN >Ma09_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:258709:266801:1 gene:Ma09_g00310 transcript:Ma09_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MRGGTVQVIWHDTQPVLTLDFHSPTGLLATGGADHDIKLWLIRSDESQRSHPTVLYQNSLSYHSSGVNILRFSPSGDHLASGADGGELVIWKLHLTDDGQTWKVLKTLLFHRKDVLDLQWSSDGAYMISGSVDNSCIIWDVTKGSVHQILDAHLHYVQGVAWDPLGQYVASLSSDRTCRIYVNKPQSKHKGNEKLNYVCQHVLTKSDSQRLDDSKSVSSKPHLFHDETLPSFFRRLAWSPDGTFLLVPAGTYRYPFSSETVNTAYILSRRDLSRPAVQLPGANKPIVAVRFCPVLFALRGSNSVSAAGFFKLPYRVIFALATLNSLYIYDTESLPPIAIFAGLHYAAITDISWSSDAKYLSLSSRDGYCTIIEFEDHELGEPISPSEASKASEGKADLSNVETEVTDHMEIDKKNAAKVNSETVTKIKEGRLPISTVTMNSDTNKSTKKRITPTAIN >Ma08_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8994654:8997643:-1 gene:Ma08_g12000 transcript:Ma08_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAVAGGFSLRNGSKGLVLKALQLLAAAVFAGWLMIWIVMPTNTYRNGWSLRIRAETDSTYFGRQGSNILINTFPILFISVLGCLYLHLVKKSDTSQSSIHRLAAWKRPVAVNWPLGIVSGVELTFCLMFLVLLVWFYSTFLVANFSQLHQTDDGVKWQARLDMAAVWLGFVGDLCCAFLFFPVARGSSLLPLLGLTSESSIRYHVWLGHITMAVFSAHGICYIVYWAVTDQIDMMVKWDNTGTAYVAGEIALLAGLTMWAMTLPRIRRKMFELFFYTHQLYIVFLFFYLMHVGISHFCMILPGVYLFMVDRYLRFLQSRTKVRLVSARLLPSESIELNFAKSPGLTFEPLSIIFINVPGVSSLQWHPFTVSSSSNLEPERLSVIIKKEGSWTQKLYRTLSSPVPQDRLDVSVEGPYGPVSKNFLRYDSLILVSGGSGITPFISIIRELIHQRTTLNRPTPAVLLVCAFKTAADLTMLDLLLPISGNVSDLSGLELRIEAFVTREKSATDEAQTNIRTIWFKPLPSDVPIAPVLGPNGWLWLAAIVSSSFVAFLVLIGILQRYYIYPIDHNTNQIFSYASRSVLNLLFICICIMAAASAAVLWNKKGNSKEARQIQNIDAPTPTTSPSSWFYNADRELESVPQESLVKATKVHFGGRPPLKKMLLEFDGSNVGVMASGPGGLRHEVAAICSSGLADNLHFESISFSW >Ma08_p30650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41837474:41841001:-1 gene:Ma08_g30650 transcript:Ma08_t30650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELKQLSVVPAKRIFRSSLSTRQATEWPLSDVSSDLTVEVGTSSFALHKFPLVSRSGRIRKLISDAKNSKVTRINLHGTPGGAEAFEIAAKFCYGINTELNPSNVAMLRCAAHYLEMTEEFAEKNLELRTEIYLKEAVIPNIVNSITVLHHCESLLPVAEEINLINRILTAVATTVCKEQLTSGLSKLDHSLPHKPAMAGVEPPKDWWGKSLAVLSLDFFQRALSAMKSKGLKQETVSKILINYAQSSLQGLTVRDMQSSKGGFSDADMLKQQKIVVETIVALLPSQSRRSPVPMAFLSGLLKTATMVSASTICRADLERRIGLQLDQAILEDVLIPAANAHGGCQSSLYDTESVARIFSIFLNLEEEEEDGGRLREERDGCYELDSPRSPKQSAIVKVSKLLDSYLAEVALDSNLTPSKFIALAELLPDHARVVNDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPIQMAVQVLYLEQIRLRNVINGGHDQFFFGSAIGQCAQRSGSGVGSGAISPRDNYASVRRENRELKLEVARMRIRLTDLEKDHVSMKQELVRANPANKLLRSFTKNLSKLSALFRMRVDVKPLSAKAASDARLLFQKRRRQAIW >Ma08_p30650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41837474:41840725:-1 gene:Ma08_g30650 transcript:Ma08_t30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLVSRSGRIRKLISDAKNSKVTRINLHGTPGGAEAFEIAAKFCYGINTELNPSNVAMLRCAAHYLEMTEEFAEKNLELRTEIYLKEAVIPNIVNSITVLHHCESLLPVAEEINLINRILTAVATTVCKEQLTSGLSKLDHSLPHKPAMAGVEPPKDWWGKSLAVLSLDFFQRALSAMKSKGLKQETVSKILINYAQSSLQGLTVRDMQSSKGGFSDADMLKQQKIVVETIVALLPSQSRRSPVPMAFLSGLLKTATMVSASTICRADLERRIGLQLDQAILEDVLIPAANAHGGCQSSLYDTESVARIFSIFLNLEEEEEDGGRLREERDGCYELDSPRSPKQSAIVKVSKLLDSYLAEVALDSNLTPSKFIALAELLPDHARVVNDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPIQMAVQVLYLEQIRLRNVINGGHDQFFFGSAIGQCAQRSGSGVGSGAISPRDNYASVRRENRELKLEVARMRIRLTDLEKDHVSMKQELVRANPANKLLRSFTKNLSKLSALFRMRVDVKPLSAKAASDARLLFQKRRRQAIW >Ma03_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3590671:3593893:1 gene:Ma03_g05480 transcript:Ma03_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVAEKQASYTYWVRETREDAAPLPVPRKLSADDISKQPQPNTLGSVWNQAGTWEERNLNSWASNRIKELLKSIDSLEFSNGKAYIDDVSKCSGDAFLVTVRNKKRVGYTYELTLKFKGEWLIQNENKKIKGHLDIPEFSFGELEDLQVEVSLSEEKDLAAKDRMQICKDLRTFLIPIREKLMDFEQELKDR >Ma03_p17480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22846846:22851868:-1 gene:Ma03_g17480 transcript:Ma03_t17480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGIHGLLGGRKRFVDLEVQLHVPVQTNLALTLQASQELYCPVIPGLPDDVAKFCLTLVPRRDLPVIGAVCKRWMSFIKSKEFLAVRKEAGKLEEWVYVLTGDADGRENHWEVLVGSGEKGKVLPSMPGPVKAGFGLVVIDAILFIIAGYSVDIGKACVSNDVYQYDSRLNRWSTLAKMNVARHDFACAELNGNIYAVGGFDSNGDCLSSVEVYDPNRNMWTLVASLRCPRWGCFACSFEGKLYVMGGRSSFTIGNSRFVNVYNPQHHSWCEMKSGCLMVTAHAVLAKKLFCFEWKNQRKLAIYDPVDNSWRKIPVPVTGSSAVAFRFGIFDGKLLLFSLEKVPGYQTLLYDPDAPVGSEWKTSSLKPSGLCLCSVTIKA >Ma03_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22846846:22851868:-1 gene:Ma03_g17480 transcript:Ma03_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGIHGLLGGRKRFVDLEVQLHVPVQTNLALTLQASQELYCPVIPGLPDDVAKFCLTLVPRRDLPVIGAVCKRWMSFIKSKEFLAVRKEAGKLEEWVYVLTGDADGRENHWEVLVGSGEKGKVLPSMPGPVKAGFGLVVIDAILFIIAGYSVDIGKACVSNDVYQYDSRLNRWSTLAKMNVARHDFACAELNGNIYAVGGFDSNGDCLSSVEVYDPNRNMWTLVASLRCPRWGCFACSFEGKLYVMGGRSSFTIGNSRFVNVYNPQHHSWCEMKSGCLMVTAHAVLAKKLFCFEWKNQRKLAIYDPVDNSWRKIPVPVTGSSAVAFRFGIFDGKLLLFSLEKVPGYQTLLYDPDAPVGSEWKTSSLKPSGLCLCSVTIKA >Ma06_p36770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36049757:36050068:1 gene:Ma06_g36770 transcript:Ma06_t36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASVPLRAEVLSLLRSLLKTARKFGDYNIREYARRRAVDGFRENKELSDPSAIAAAFAEGTSQLEVAKRQASVYTLYAPSAKSVMEVRSL >Ma09_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5222929:5228949:-1 gene:Ma09_g07970 transcript:Ma09_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPPNSSSTRHGSGPSQDVLYPELWKACAGPLVTLPREGERVYYFPQGHMEQLEASTDQELDQQMPLFDLPSKILCRVVYVQLQAEPDTDEVYAHITLHPEINQGEVTSPDPPLPEPKTCNVRSFCKTLTASDTSTHGGFSVLRKHADECLPPLDMTQNPPSQELVAKDLHENEWRFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENDELRVGVRRLMRQLNSMPSSVISSHSMHLGVLATASHALATGTLFSVFYKPRTSRSEFIISVNKYLEAKNNKFSVGMRFKMRFEGDEAPEQRFSGTIIGVDTASSRWKDSEWRSLKVQWDEPSSIPRPDRVSPWELEPLLTGTPNSQPVQRNKRTRPSASPTITSDITPAFGLWKSPAESTRTFSVSGLQRGTKLHTSSCPTSRFLSASKPGLIEFNASNKSSAANIPMCRPITSEHQTDSFGADKEHSERKQETSTGCWLFGIQLVESSAVEEISPLTTISCVRDEQTVTSLDVESDQQSQPSN >Ma06_p11600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8077347:8087725:1 gene:Ma06_g11600 transcript:Ma06_t11600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKIGSYISRGVYTVSGPFHPFGGAVDIIVVQQQDGSYKSSPWYVRFGKFQGVLKTKEKIVRISVNGVEAGFNMFLDHKGEAFFLRDAEAGEPEPVLSPPTSGDETEERVKNGGFKKIRSFDVEDGQKELVTRVDNGNGKLVTRTSSRRSAILGLMFGRKSIKENGKGGNVDRVSSMERAEIAADLLEVKWSTNLKPSDQRSDSTQVKSSEDSEINVCVADEEHDSQTILPNDDLHGDEKLDSHGEGMDDDFRISISSRSCSEEERDNDPSCPRVTEETTETYTSETGDREISDQSNSEFVFRNPIVEPNLDFDATSCDAFVSHDEEIGDAHRNLMLSEKNSEEETSQEMVVQISTLVVSDTTDRNNLISESVTTQSDKIDTQNPGSAAYYDDTQQLHTGSSVGSTGGIFTCDSDRNGITSSSYHETVERSVTRINFSDDKPSGHLDFLSIGAEQCENGFLCDTSNMVQEVNDLLASSASFSKENSDRCHDQGLETSRVSESYVFEKLQCDYTRNGAFEDSDNSVFITESVSNLSSEQFSSCIPSLYNPQLLSCEDEVISTDVIAEESSHDKETDFQQIDLFGNHHQMRERKAAQVTSFPFYVSNDPLNSGSSAESHSICDIPNSCNSSNVTQEIGNFDVGINLKRSYSFEEINVAQDFGISSPTEVAEYHVPCSDILEDVQFPFSDIDNFNMKDIDAELSNNNKVVHAEHQLTSTACCDLEEQDLQIKNPKQPLEGISDVLISPSSPISIQGCKTSSREPELSSRSLPVIRSHIKDLEGSDLCSMSCSLESKTDICKLAMLKNEDSSSSRLVAELQTEAMQGYTSVAAATASSAQNEEEQKGTLANPTVELSLCKQLLFEGMGAGAARQVFDAEKVNLEQFSALGPSLLKNEKLVVRIGDRYFPWNAAAPIILGMVCFGQEIILEPQGMIPVDGDEEKNGASRSITPSRGSWLWPFLKRSKTISDAHATSKGTNEMDVDLASQGIGNMTQQSDMLQAKNSKKVQSLTPTSDEIASLNLKEGQNVVTFSFSTPVLGSQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSAIKDNGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEGY >Ma06_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8077347:8082027:1 gene:Ma06_g11600 transcript:Ma06_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKIGSYISRGVYTVSGPFHPFGGAVDIIVVQQQDGSYKSSPWYVRFGKFQGVLKTKEKIVRISVNGVEAGFNMFLDHKGEAFFLRDAEAGEPEPVLSPPTSGDETEERVKNGGFKKIRSFDVEDGQKELVTRVDNGNGKLVTRTSSRRSAILGLMFGRKSIKENGKGGNVDRVSSMERAEIAADLLEVKWSTNLKPSDQRSDSTQVKSSEDSEINVCVADEEHDSQTILPNDDLHGDEKLDSHGEGMDDDFRISISSRSCSEEERDNDPSCPRVTEETTETYTSETGDREISDQSNSEFVFRNPIVEPNLDFDATSCDAFVSHDEEIGDAHRNLMLSEKNSEEETSQEMVVQISTLVVSDTTDRNNLISESVTTQSDKIDTQNPGSAAYYDDTQQLHTGSSVGSTGGIFTCDSDRNGITSSSYHETVERSVTRINFSDDKPSGHLDFLSIGAEQCENGFLCDTSNMVQEVNDLLASSASFSKENSDRCHDQGLETSRVSESYVFEKLQCDYTRNGAFEDSDNSVFITESVSNLSSEQFSSCIPSLYNPQLLSCEDEVISTDVIAEESSHDKETDFQQIDLFGNHHQMRERKAAQVTSFPFYVSNDPLNSGSSAESHSICDIPNSCNSSNVTQEIGNFDVGINLKRSYSFEEINVAQDFGISSPTEVAEYHVPCSDILEDVQFPFSDIDNFNMKDIDAELSNNNKVVHAEHQLTSTACCDLEEQDLQIKNPKQPLEGISDVLISPSSPISIQGCKTSSREPELSSRSLPVIRSHIKDLEGSDLCSMSCSLESKTDICKLAMLKNEDSSSSRLVAELQTEAMQGYTSVAAATASSAQNEEEQKGTLANPTVELSLCKQLLFEGMGAGAARQVFDAEKVNLEQFSALGPSLLKNEKLVVRIGDRYFPWNAAAPIILGMVCFGQEIILEPQGMIPVDGDEEKNGASRSITPSRGSWLWPFLKRSKTISDAHATSKGTNEMDVDLASQGIGNMTQQSDMLQAKNSKKVQSLTPTSDEIASLNLKEGQNVVTFSFSTPVLGSQQVDARIYLWKWNTRIVISDVDGTITR >Ma06_p11600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8077341:8089277:1 gene:Ma06_g11600 transcript:Ma06_t11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKIGSYISRGVYTVSGPFHPFGGAVDIIVVQQQDGSYKSSPWYVRFGKFQGVLKTKEKIVRISVNGVEAGFNMFLDHKGEAFFLRDAEAGEPEPVLSPPTSGDETEERVKNGGFKKIRSFDVEDGQKELVTRVDNGNGKLVTRTSSRRSAILGLMFGRKSIKENGKGGNVDRVSSMERAEIAADLLEVKWSTNLKPSDQRSDSTQVKSSEDSEINVCVADEEHDSQTILPNDDLHGDEKLDSHGEGMDDDFRISISSRSCSEEERDNDPSCPRVTEETTETYTSETGDREISDQSNSEFVFRNPIVEPNLDFDATSCDAFVSHDEEIGDAHRNLMLSEKNSEEETSQEMVVQISTLVVSDTTDRNNLISESVTTQSDKIDTQNPGSAAYYDDTQQLHTGSSVGSTGGIFTCDSDRNGITSSSYHETVERSVTRINFSDDKPSGHLDFLSIGAEQCENGFLCDTSNMVQEVNDLLASSASFSKENSDRCHDQGLETSRVSESYVFEKLQCDYTRNGAFEDSDNSVFITESVSNLSSEQFSSCIPSLYNPQLLSCEDEVISTDVIAEESSHDKETDFQQIDLFGNHHQMRERKAAQVTSFPFYVSNDPLNSGSSAESHSICDIPNSCNSSNVTQEIGNFDVGINLKRSYSFEEINVAQDFGISSPTEVAEYHVPCSDILEDVQFPFSDIDNFNMKDIDAELSNNNKVVHAEHQLTSTACCDLEEQDLQIKNPKQPLEGISDVLISPSSPISIQGCKTSSREPELSSRSLPVIRSHIKDLEGSDLCSMSCSLESKTDICKLAMLKNEDSSSSRLVAELQTEAMQGYTSVAAATASSAQNEEEQKGTLANPTVELSLCKQLLFEGMGAGAARQVFDAEKEIILEPQGMIPVDGDEEKNGASRSITPSRGSWLWPFLKRSKTISDAHATSKGTNEMDVDLASQGIGNMTQQSDMLQAKNSKKVQSLTPTSDEIASLNLKEGQNVVTFSFSTPVLGSQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSAIKDNGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKALFPPDCNPFYAGFGNRDTDEISYLKVGIPIGKIFIINPKGQVAVNRRVDTRSYASLHELVNGIFPPMSSLEQEDYNSWNFWKLPLPEVEI >Ma06_p11600.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8077347:8089277:1 gene:Ma06_g11600 transcript:Ma06_t11600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKIGSYISRGVYTVSGPFHPFGGAVDIIVVQQQDGSYKSSPWYVRFGKFQGVLKTKEKIVRISVNGVEAGFNMFLDHKGEAFFLRDAEAGEPEPVLSPPTSGDETEERVKNGGFKKIRSFDVEDGQKELVTRVDNGNGKLVTRTSSRRSAILGLMFGRKSIKENGKGGNVDRVSSMERAEIAADLLEVKWSTNLKPSDQRSDSTQVKSSEDSEINVCVADEEHDSQTILPNDDLHGDEKLDSHGEGMDDDFRISISSRSCSEEERDNDPSCPRVTEETTETYTSETGDREISDQSNSEFVFRNPIVEPNLDFDATSCDAFVSHDEEIGDAHRNLMLSEKNSEEETSQEMVVQISTLVVSDTTDRNNLISESVTTQSDKIDTQNPGSAAYYDDTQQLHTGSSVGSTGGIFTCDSDRNGITSSSYHETVERSVTRINFSDDKPSGHLDFLSIGAEQCENGFLCDTSNMVQEVNDLLASSASFSKENSDRCHDQGLETSRVSESYVFEKLQCDYTRNGAFEDSDNSVFITESVSNLSSEQFSSCIPSLYNPQLLSCEDEVISTDVIAEESSHDKETDFQQIDLFGNHHQMRERKAAQVTSFPFYVSNDPLNSGSSAESHSICDIPNSCNSSNVTQEIGNFDVGINLKRSYSFEEINVAQDFGISSPTEVAEYHVPCSDILEDVQFPFSDIDNFNMKDIDAELSNNNKVVHAEHQLTSTACCDLEEQDLQIKNPKQPLEGISDVLISPSSPISIQGCKTSSREPELSSRSLPVIRSHIKDLEGSDLCSMSCSLESKTDICKLAMLKNEDSSSSRLVAELQTEAMQGYTSVAAATASSAQNEEEQKGTLANPTVELSLCKQLLFEGMGAGAARQVFDAEKVNLEQFSALGPSLLKNEKLVVRIGDRYFPWNAAAPIILGMVCFGQEIILEPQGMIPVDGDEEKNGASRSITPSRGSWLWPFLKRSKTISDAHATSKGTNEMDVDLASQGIGNMTQQSDMLQAKNSKKVQSLTPTSDEIASLNLKEGQNVVTFSFSTPVLGSQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSAIKDNGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKALFPPDCNPFYAGFGNRDTDEISYLKVGIPIGKIFIINPKGQVAVNRRVDTRSYASLHELVNGIFPPMSSLEQEDYNSWNFWKLPLPEVEI >Ma06_p11600.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8077341:8089272:1 gene:Ma06_g11600 transcript:Ma06_t11600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKIGSYISRGVYTVSGPFHPFGGAVDIIVVQQQDGSYKSSPWYVRFGKFQGVLKTKEKIVRISVNGVEAGFNMFLDHKGEAFFLRDAEAGEPEPVLSPPTSGDETEERVKNGGFKKIRSFDVEDGQKELVTRVDNGNGKLVTRTSSRRSAILGLMFGRKSIKENGKGGNVDRVSSMERAEIAADLLEVKWSTNLKPSDQRSDSTQVKSSEDSEINVCVADEEHDSQTILPNDDLHGDEKLDSHGEGMDDDFRISISSRSCSEEERDNDPSCPRVTEETTETYTSETGDREISDQSNSEFVFRNPIVEPNLDFDATSCDAFVSHDEEIGDAHRNLMLSEKNSEEETSQEMVVQISTLVVSDTTDRNNLISESVTTQSDKIDTQNPGSAAYYDDTQQLHTGSSVGSTGGIFTCDSDRNGITSSSYHETVERSVTRINFSDDKPSGHLDFLSIGAEQCENGFLCDTSNMVQEVNDLLASSASFSKENSDRCHDQGLETSRVSESYVFEKLQCDYTRNGAFEDSDNSVFITESVSNLSSEQFSSCIPSLYNPQLLSCEDEVISTDVIAEESSHDKETDFQQIDLFGNHHQMRERKAAQVTSFPFYVSNDPLNSGSSAESHSICDIPNSCNSSNVTQEIGNFDVGINLKRSYSFEEINVAQDFGISSPTEVAEYHVPCSDILEDVQFPFSDIDNFNMKDIDAELSNNNKVVHAEHQLTSTACCDLEEQDLQIKNPKQPLEGISDVLISPSSPISIQGCKTSSREPELSSRSLPVIRSHIKDLEGSDLCSMSCSLESKTDICKLAMLKNEDSSSSRLVAELQTEAMQGYTSVAAATASSAQNEEEQKGTLANPTVELSLCKQLLFEGMGAGAARQVFDAEKVNLEQFSALGPSLLKNEKLVVRIGDRYFPWNAAAPIILGMVCFGQEIILEPQGMIPVDGDEEKNGASRSITPSRGSWLWPFLKRSKTISDAHATSKGTNEMDVDLASQGIGNMTQQSDMLQAKNSKKVQSLTPTSDEIASLNLKEGQNVVTFSFSTPVLGSQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSAIKDNGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKALFPPDCNPFYAGFGNRDTDEISYLKVGIPIGKIFIINPKGQVAVNRRVDTRSYASLHELVNGIFPPMSSLEQEDYNSWNFWKLPLPEVEI >Ma06_p11600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8077341:8089277:1 gene:Ma06_g11600 transcript:Ma06_t11600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKIGSYISRGVYTVSGPFHPFGGAVDIIVVQQQDGSYKSSPWYVRFGKFQGVLKTKEKIVRISVNGVEAGFNMFLDHKGEAFFLRDAEAGEPEPVLSPPTSGDETEERVKNGGFKKIRSFDVEDGQKELVTRVDNGNGKLVTRTSSRRSAILGLMFGRKSIKENGKGGNVDRVSSMERAEIAADLLEVKWSTNLKPSDQRSDSTQVKSSEDSEINVCVADEEHDSQTILPNDDLHGDEKLDSHGEGMDDDFRISISSRSCSEEERDNDPSCPRVTEETTETYTSETGDREISDQSNSEFVFRNPIVEPNLDFDATSCDAFVSHDEEIGDAHRNLMLSEKNSEEETSQEMVVQISTLVVSDTTDRNNLISESVTTQSDKIDTQNPGSAAYYDDTQQLHTGSSVGSTGGIFTCDSDRNGITSSSYHETVERSVTRINFSDDKPSGHLDFLSIGAEQCENGFLCDTSNMVQEVNDLLASSASFSKENSDRCHDQGLETSRVSESYVFEKLQCDYTRNGAFEDSDNSVFITESVSNLSSEQFSSCIPSLYNPQLLSCEDEVISTDVIAEESSHDKETDFQQIDLFGNHHQMRERKAAQVTSFPFYVSNDPLNSGSSAESHSICDIPNSCNSSNVTQEIGNFDVGINLKRSYSFEEINVAQDFGISSPTEVAEYHVPCSDILEDVQFPFSDIDNFNMKDIDAELSNNNKVVHAEHQLTSTACCDLEEQDLQIKNPKQPLEGISDVLISPSSPISIQGCKTSSREPELSSRSLPVIRSHIKDLEGSDLCSMSCSLESKTDICKLAMLKNEDSSSSRLVAELQTEAMQGYTSVAAATASSAQNEEEQKGTLANPTVELSLCKQLLFEGMGAGAARQVFDAEKVNLEQFSALGPSLLKNEKLVVRIGDRYFPWNAAAPIILGMVCFGQEIILEPQGMIPVDGDEEKNGASRSITPSRGSWLWPFLKRSKTISDAHATSKGTNEMDVDLASQGIGNMTQQSDMLQAKNSKKVQSLTPTSDEIASLNLKEGQNVVTFSFSTPVLGSQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSAIKDNGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKALFPPDCNPFYAGFGNRDTDEISYLKVGIPIGKIFIINPKGQVAVNRRVDTRSYASLHELVNGIFPPMSSLEQEDYNSWNFWKLPLPEVEI >Ma06_p11600.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8077341:8089277:1 gene:Ma06_g11600 transcript:Ma06_t11600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKIGSYISRGVYTVSGPFHPFGGAVDIIVVQQQDGSYKSSPWYVRFGKFQGVLKTKEKIVRISVNGVEAGFNMFLDHKGEAFFLRDAEAGEPEPVLSPPTSGDETEERVKNGGFKKIRSFDVEDGQKELVTRVDNGNGKLVTRTSSRRSAILGLMFGRKSIKENGKGGNVDRVSSMERAEIAADLLEVKWSTNLKPSDQRSDSTQVKSSEDSEINVCVADEEHDSQTILPNDDLHGDEKLDSHGEGMDDDFRISISSRSCSEEERDNDPSCPRVTEETTETYTSETGDREISDQSNSEFVFRNPIVEPNLDFDATSCDAFVSHDEEIGDAHRNLMLSEKNSEEETSQEMVVQISTLVVSDTTDRNNLISESVTTQSDKIDTQNPGSAAYYDDTQQLHTGSSVGSTGGIFTCDSDRNGITSSSYHETVERSVTRINFSDDKPSGHLDFLSIGAEQCENGFLCDTSNMVQEVNDLLASSASFSKENSDRCHDQGLETSRVSESYVFEKLQCDYTRNGAFEDSDNSVFITESVSNLSSEQFSSCIPSLYNPQLLSCEDEVISTDVIAEESSHDKETDFQQIDLFGNHHQMRERKAAQVTSFPFYVSNDPLNSGSSAESHSICDIPNSCNSSNVTQEIGNFDVGINLKRSYSFEEINVAQDFGISSPTEVAEYHVPCSDILEDVQFPFSDIDNFNMKDIDAELSNNNKVVHAEHQLTSTACCDLEEQDLQIKNPKQPLEGISDVLISPSSPISIQGCKTSSREPELSSRSLPVIRSHIKDLEGSDLCSMSCSLESKTDICKLAMLKNEDSSSSRLVAELQTEAMQGYTSVAAATASSAQNEEEQKGTLANPTVELSLCKQLLFEGMGAGAARQVFDAEKVNLEQFSALGPSLLKNEKLVVRIGDRYFPWNAAAPIILGMVCFGQEIILEPQGMIPVDGDEEKNGASRSITPSRGSWLWPFLKRSKTISDAHATSKGTNEMDVDLASQGIGNMTQQSDMLQAKNSKKVQSLTPTSDEIASLNLKEGQNVVTFSFSTPVLGSQQVDARIYLWKWNTRIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVAHLFSAIKDNGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKALFPPDCNPFYAGFGNRDTDEISYLKVGIPIGKIFIINPKGQVAVNRRVDTRSYASLHELVNGIFPPMSSLEQEDYNSWNFWKLPLPEVEI >Ma02_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16530675:16533132:-1 gene:Ma02_g05220 transcript:Ma02_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEADRGGAGKRRGGGAYGYGPAEAGERPWTPWLVPLFVVACVAVFVVEMYVNNCRARPQPYGPCVARFLHRFSFQAIRQNPLLGPSSSTLEKLGALEWYKVVHRNQGWRLVTCIWLHAGLIHLLVNMLSLLFIGVRLEQQFGFVRIGIIYLLSGFGGAVLSALLLRNSISVGASGALFGLLGAMVSELIINWTIYSNRVAALLTLMVVIVINLGIGLFPHVDNFAHIGGFLTGFLLGFVLLIRPQFGWMERHDLPPSAQVTSKYKAYQYVLWVIALLLLIVGFTVGLVMLFRGVNGNDHCHWCHYLNCVPTSRWSCED >Ma09_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4240109:4245108:-1 gene:Ma09_g06620 transcript:Ma09_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MATAADGRLQGARSANTSSFSRARSSPSAVLPSPPNPGVKLGPNGATFVSSGIPDLDTILGGGFLLGSLVMVMEDADAPHHLLLLRNFMSQGVVHRQPVLFASPLRDPRAFLGTLPSPVSSSKEHRQRDTLVDHNQQDQEKGLRIAWQYKKYFGDQQSSQNHNRDVKQEFSNDFDLRKTLERQHVQYIESMSIQDIPHLTILRDRCSNFLSGLPRSDGGNLSAGRIAIQSLCAPQCGYAEMDWDLVAFIRFLRSIIRSSNVVAVVTFPTSFLLPAFLKRWQHLADTLLSVRAIPDEDKDMAKLLTGYQDMLGLLHVHKVAQNNSQVPTVLEASTFSLKLQKRRSLVLERLNQAPVEASSGTSYSTSGTCSGPSKGSSLDF >Ma10_p24960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33312485:33316542:-1 gene:Ma10_g24960 transcript:Ma10_t24960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHAFRSTATCVSNSLEASGNNQLFQKFIPGDIAWIKIHNNSWWPGQFLKQDNITMKEAFQKSLDEDLSHTISSGYSKGRVSHFRGHTTSESPKGKMQKQIKTARNQKELGSSNKQKEGSSKQKRKYDARDKAARNTKTKEDEGREQRINVKYGKEAIGGNSIRQEQTMEMFSNEVAGNRSMEQGEARKNIAMKMVRIAASTCKNMKPSDFEEQKRGNATQPKKIESLKSDHQAKFSAPESAVDISARKTKVMRSLGLIPPSGSPFHTNRILEVAHLKT >Ma10_p24960.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33312485:33316542:-1 gene:Ma10_g24960 transcript:Ma10_t24960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHAFRSTATCVSNSLEASGNNQLFQKFIPGDIAWIKIHNNSWWPGQVVDEKSIDVKPKRKSKDEILIRLYGTYDYLYMDPLKCNMEFENFLKQDNITMKEAFQKSLDEDLSHTISSGYSKGRVSHFRGHTTSESPKGKMQKQIKTARNQKELGSSNKQKEGSSKQKRKYDARDKAARNTKTKEDEGREQRINVKYGKEAIGGNSIRQEQTMEMFSNEVAGNRSMEQGEARKNIAMKMVRIAASTCKNMKPSDFEEQKRGNATQPKKIESLKSDHQAKFSAPESAVDISARKTKVMRSLGLIPPSGSPFHTNRILEVAHLKT >Ma10_p24960.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33312485:33316542:-1 gene:Ma10_g24960 transcript:Ma10_t24960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHAFRSTATCVSNSLEASGNNQLFQKFIPGDIAWIKIHNNSWWPGQFLKQDNITMKEAFQKSLDEDLSHTISSGYSKGRVSHFRGHTTSESPKGKMQKQIKTARNQKELGSSNKQKEGSSKQKRKYDARDKAARNTKTKEDEGREQRINVKYGKEAIGGNSIRQGTPENHNIAEKEIIGASGVNSTKRDCLRRSPRNADKKHEMEMYIIEWSRCQYTESCGSGKLNMMDKVNSGASNMKVMEQLCSEQSRQMDRVHIKEKLPGKASKETSGDEAAGTVIVKKYTLRKRKQKDAQLEVKKNFISEMSKDKGKKNHESGLQDYVDKGANRDLVPKRIRQNDFQELNHKNVAEQTMEMFSNEVAGNRSMEQGEARKNIAMKMVRIAASTCKNMKPSDFEEQKRGNATQPKKIESLKSDHQAKFSAPESAVDISARKTKVMRSLGLIPPSGSPFHTNRILEVAHLKT >Ma10_p24960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33312485:33316542:-1 gene:Ma10_g24960 transcript:Ma10_t24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHAFRSTATCVSNSLEASGNNQLFQKFIPGDIAWIKIHNNSWWPGQVVDEKSIDVKPKRKSKDEILIRLYGTYDYLYMDPLKCNMEFENFLKQDNITMKEAFQKSLDEDLSHTISSGYSKGRVSHFRGHTTSESPKGKMQKQIKTARNQKELGSSNKQKEGSSKQKRKYDARDKAARNTKTKEDEGREQRINVKYGKEAIGGNSIRQGTPENHNIAEKEIIGASGVNSTKRDCLRRSPRNADKKHEMEMYIIEWSRCQYTESCGSGKLNMMDKVNSGASNMKVMEQLCSEQSRQMDRVHIKEKLPGKASKETSGDEAAGTVIVKKYTLRKRKQKDAQLEVKKNFISEMSKDKGKKNHESGLQDYVDKGANRDLVPKRIRQNDFQELNHKNVAEQTMEMFSNEVAGNRSMEQGEARKNIAMKMVRIAASTCKNMKPSDFEEQKRGNATQPKKIESLKSDHQAKFSAPESAVDISARKTKVMRSLGLIPPSGSPFHTNRILEVAHLKT >Ma10_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33312485:33315513:-1 gene:Ma10_g24960 transcript:Ma10_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLKQDNITMKEAFQKSLDEDLSHTISSGYSKGRVSHFRGHTTSESPKGKMQKQIKTARNQKELGSSNKQKEGSSKQKRKYDARDKAARNTKTKEDEGREQRINVKYGKEAIGGNSIRQGTPENHNIAEKEIIGASGVNSTKRDCLRRSPRNADKKHEMEMYIIEWSRCQYTESCGSGKLNMMDKVNSGASNMKVMEQLCSEQSRQMDRVHIKEKLPGKASKETSGDEAAGTVIVKKYTLRKRKQKDAQLEVKKNFISEMSKDKGKKNHESGLQDYVDKGANRDLVPKRIRQNDFQELNHKNVAEQTMEMFSNEVAGNRSMEQGEARKNIAMKMVRIAASTCKNMKPSDFEEQKRGNATQPKKIESLKSDHQAKFSAPESAVDISARKTKVMRSLGLIPPSGSPFHTNRILEVAHLKT >Ma04_p38930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36239921:36242591:-1 gene:Ma04_g38930 transcript:Ma04_t38930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFAGQGQADMGMNQRTRVEEVEGGLPCDKAGNEITPSHNSFTMPTPPTPLLNSPSLLYPSAERKAWNTSTNQNAVSPYPYFSSDHLLDSDTQAMVEDQQEALIGSNLDAPNSMLAAAAAADDDDGLTALTTKTPNLEITLGRQSWQKENAEASCEMRLKCL >Ma04_p38930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36239921:36242578:-1 gene:Ma04_g38930 transcript:Ma04_t38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLLTIPSPCQLHQRHYSTHQVHCVCSLLYPSAERKAWNTSTNQNAVSPYPYFSSDHLLDSDTQAMVEDQQEALIGSNLDAPNSMLAAAAAADDDDGLTALTTKTPNLEITLGRQSWQKENAEASCEMRLKCL >Ma02_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24192798:24193636:-1 gene:Ma02_g17140 transcript:Ma02_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPSSRIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTQAAPRKKAVAAA >Ma04_p33910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33318690:33324064:1 gene:Ma04_g33910 transcript:Ma04_t33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGFVELLPRRFQSNGGYPDKGLFIHLSVSQTRAGRRRRRRAMKGTLCHELEVGLPAGQVWEVYGTLRLAQLVVELVPNVIQKVDIVEGDGGVGTVLHLTFPTGTSGGPQFYKEKFVKIDDENRLKEAIVVEGGYLEMGFLSFLVRFEIIDKEEGVSSIIKSTIEYEVDEEHAGNASLVTTAAVAAIAEGVSGYLMKEKSGASN >Ma04_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23015834:23016454:1 gene:Ma04_g20310 transcript:Ma04_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVAINEELFFSITNHSVTVVDVDAIYVKPFDAETILISSGQTTNILLYAKPPYPNATIFMMAKHYAIGSGTFDNSMVAAVLEYQKPHGSSNISFDKNLPLYKPHVCRHFYFMVGLRMRPCPKNQTCQGPNNTKFVATHDGSPLCTIHWEVQRRLHPDLPCRCPNSIQLHWSSAKQHDGE >Ma06_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:592423:596252:-1 gene:Ma06_g00760 transcript:Ma06_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAHPYAFLVVSLLVFFLSFGHEAATRGAAAMRNQGGIHDCEGFQNSAEIQGLARFAVEEYNKKQNALLEFIQLLKAKEQVVAGKMYYLTVQTNNCGKKNHYEAKVWVKPWMNFMELQDFKLLDDDPSAWQGD >Ma06_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9217792:9223749:-1 gene:Ma06_g13430 transcript:Ma06_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATALVTSSSFFTKPDACLLSIAARTLRCYSSAKPSGFQASPRPIRHRLQSRTSHRPSGFLGGTTSHGEADSCMLPRAAVYSDREKASARTPTHVSAHLTRLHAALSVASPAIRIASASSASRFLLSPRPRSAPASMTMAAVADETTMDAVQRRLMFEDECILVDEHDNVIGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELISENYLGARNAAQRKLSDELGIPAEDLPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFIIRDVKLLPNPDEVAGVKYVNRDQLKDLVKKADAGEDDVKLSPWFRLVVNNFLMKWWDHVEKGTLLEAADMKTIHKLV >Ma08_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5627862:5655236:1 gene:Ma08_g08140 transcript:Ma08_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPAQKKRRPDTVLTDHESPVSDRRLVLYEGPAPVTAVDPSDEMVCTYHCRQMVKSDFLVALNNAEKQVADYEAKLVMLNSEFSQSEDARKNYKDRVLSLEQELDASKGREHALQERLLKEVGDSMERYKEQVKRCCELEMQLNKEIESRKIAELSITSANERSRDLEGKLQRLSDSSEREKNILKRQLEHLQDDSKLSSYKIAADLERMKLRAENSEKESELLREQLNDLRIQLDECLREKSGLEHKLVTYAAAPQESTSEDDNLVKHLREQLRNYEAEVQEARKLKSYHVNTELLKEKLLEQKGRREKAETELSKLQEAQVYAQNLELELVSWKSLLDELPDVSAVTDIPKKFAALQNETIQTMLEVGETKAHLKQLEVALELAEDKRQHAEKESSLAKEKASNSALEIRRLELMLSSVMEERDRVKKEAIMLSKQKIGNEGGLSTETLVKDMESSLVERENTIKELESNLHEQREMVHRLHDELKLLNEQLSTEKRKVKSLEREGDRLRSEISLLESKLGHGDYSAANTKVLRMVNTLAVDNEAKHTIEALRAELKRTQAKLQAVEELKGQSDATNIIDGGIPEKLAQLKGQIATLEKREERYKAVFAEKISVFRRACCLLFGYKIVMDDQHRPDGIPITRFTLQSIYAQSDDEKLEFEYESGNTNILVNDYSSQPEICHQIEIFIKKMNSIPAFTANLTIESFNKRTLSYDNSLSSSSSLDGAVMLDRLQALEMMAIAAVGNNLITYVFNDMHFPLSKSANIVTNFIGAVFLLSLLGGFLSDSYLGSFRTMLAFGFVELSGFLLLTVQAHLPQLRPPHCNMMSEGGDRCVEAKGFEALVFYTALYLVALGSGCLKPNIISHGADQFTKDDPNHSKKLSTYFNTAYFSFCVGELIALTVLVWVQTRSGMDVGFSLSAATMAAGLISLICGMLYYRNKPPRGSLFTPIARVLVAAIIKRKQVSPNTKLLRQGSVHTEKFRFLDKACMQIQGVADRKQSPWTLCTVAQVEQVKIILSVIPIFACTIIFNTILAQLQTFSVQQGSAMNTQVTEAFEIPPASLQAIPYLMLIVLVPLYEIGFVPLARRFTKTDSGISSLQRIGLGLFTVTFSMVSAALVEKKRRELAVGSDKQLSIFWIAPQFLIFGVSEMFTAVGLIEFFYEQSMAGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKVSSGAHWSGWLSDNNLDKDRLDLFYWLLAALSLVNFVNYLYWSRCDEKELKLDDQLESTAECCVSVAPEKQNGSGAGIERPQQQSEKRQRGRPPLRARAAALLCAACFLLGVLFSRGVDFLPSDDRARAASSSCDPNIANSAQDCERNRYRTPVEQSGERTSHGLPAMIRFARLNSTSLYPSISRSLEKFVASLETELAAARTNSLGRGPKKAFVVVGINTAFSSKKRRESVRATWMPRGSKLRRLEEEKGVVVRFVIGRSATPGGALDRAIDEEDAKTKDFLRLEHLEGYHELSTKTKVFFATAVATWDADFYAKVDDDVHVNLGMLIATLARHRTTPRVYIGCMKSDQVLFQKDAKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLALYISTNAPILHKYANEDVSLGSWLIGLEVEHIDERGMCCGTPPDCEWKIQSGDICIASFDWTCSGVCKPVDRMVEVHSKCGEGNEAIWNALP >Ma08_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25109609:25109882:-1 gene:Ma08_g17400 transcript:Ma08_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAATRTTFRALKHENGIAGSTTIIVRVLACFQPLQDCQAEYFRHLLKPVT >Ma08_p33200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43620632:43624128:1 gene:Ma08_g33200 transcript:Ma08_t33200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPRPPLVYHARFLFFPLLIFFSLPRSWALQNSPSELLESAREPEFFDWLTAIRRRIHQHPELAFEEYKTSELIRSELDALGIEYAWPISGTGIVASVGSGGGPVFSLRADMDALPLQELVDWEYKSKVSGKMHACGHDAHVTMLLGAAKLLQHRKNTLKLVFQPAEERGAGAYHMLQSGAIDGVEAIFTLHVDSRLTTGAIASRPGPLLAASGRFVVTIKGKGGHAALPHLTVDPIIPASFAILSLQLLVSRESDPLESRVVSIGFMKAGEAYNVIPESVTFGGTYRSMTTEGLFELSRRIKEVIETQAAVHRCTATVDFMEQELRPYPATVNDERIYAHARRVGESLLGKDNVHESLPTMAAEDFSFFSQRMPSALFWLGIKNQTLGPGYPLHSPHFFLDEQALPIGAAFHASVAKAYLDHHSTVV >Ma08_p33200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43620632:43624128:1 gene:Ma08_g33200 transcript:Ma08_t33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPRPPLVYHARFLFFPLLIFFSLPRSWALQNSPSELLESAREPEFFDWLTAIRRRIHQHPELAFEEYKTSELIRSELDALGIEYAWPISGTGIVASVGSGGGPVFSLRADMDALPLQELVDWEYKSKVSGKMHACGHDAHVTMLLGAAKLLQHRKNTLKGTVKLVFQPAEERGAGAYHMLQSGAIDGVEAIFTLHVDSRLTTGAIASRPGPLLAASGRFVVTIKGKGGHAALPHLTVDPIIPASFAILSLQLLVSRESDPLESRVVSIGFMKAGEAYNVIPESVTFGGTYRSMTTEGLFELSRRIKEVIETQAAVHRCTATVDFMEQELRPYPATVNDERIYAHARRVGESLLGKDNVHESLPTMAAEDFSFFSQRMPSALFWLGIKNQTLGPGYPLHSPHFFLDEQALPIGAAFHASVAKAYLDHHSTVV >Ma05_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20777:21439:1 gene:Ma05_g00030 transcript:Ma05_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLPPYLMQILAAMDIVRQGANVMPRKQLNDVLDAKLGPDWSSKLTSFDYEHLAAASIGQVHRLVMKNGMEVAMKIQYPLVLQIA >Ma09_p30890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40802283:40802947:-1 gene:Ma09_g30890 transcript:Ma09_t30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGRGECERERERREEERVWSSNNRTANGWSGD >Ma07_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17235061:17235515:-1 gene:Ma07_g17360 transcript:Ma07_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGSPLAFFFSSFALLVASIPGLVPVGVAEPSFYDPNRVTQPSWRPRIFLYKGFLSDEECDHIIKLARNKTTRSIVANNESGKSLTSNVRTSSSMFQRKHR >Ma01_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3526710:3559077:-1 gene:Ma01_g05040 transcript:Ma01_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MPAVAAAFAVSPTPPNRCPPHIPYPGLTTTRRPVDSPPTPISRPSSSSPFFYLSLKGRSLLALVCFRLPSRRRLPTEMESNGVMSGKTPDLVLSSSYEAAMRALSSLISGRKRGEPPKRDKKLDLIFNYLKIIGVEKSLPELKIIHIGGTKGKGSTCTFCEAILRECGFRTGLFTSPHLMDVRERFRINGLDISEDKFLYYFWDCWNLLKESVDEDLPMPPLFQFLTVLAFKIFVSEKVDVAIIEVGLGGRFDSTNVVKEPVVCGITSLGMDHMEILGDTIGQIASAKAGIFKPHVPAFTVPQLPEAMLALEERASELMIPLTFASPLDPSVMNGLTLGLAGDHQLINAGLAVALCTSWLQRTGHAELTPNDNPEEGLPVGFLRGLSTARLGGRAQTIIDKPKMLEQIPYGNSGDLIFYLDGAHSPESMEVCARWFSSAVGEAQQFVKNGFVKELGYSSLYENKHSSESKKASKKILLFNCMEKRDPQLLLPPLVDICASNGVHFSKALFVPSMSVYHRVDSGSSIVAPNTPANLSWQSTLQRTWDKLIQEKDLVNDNGSKMQKLGKTHEDFTGEPLEKCVPVLKDLSPSAVVPSLPLAIRWLRDYAKDNPSLHLQVLVTGSLHLVGDVLKLLRR >Ma01_p05040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3526710:3559078:-1 gene:Ma01_g05040 transcript:Ma01_t05040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MPAVAAAFAVSPTPPNRCPPHIPYPGLTTTRRPVDSPPTPISRPSSSSPFFYLSLKGRSLLALVCFRLPSRRRLPTEMESNGVMSGKTPDLVLSSSYEAAMRALSSLISGRKRGEPPKRDKKLDLIFNYLKIIGVEKSLPELKIIHIGGTKGKGSTCTFCEAILRECGFRTGLFTSPHLMDVRERFRINGLDISEDKFLYYFWDCWNLLKESVDEDLPMPPLFQFLTVLAFKIFVSEKVDVAIIEVGLGGRFDSTNVVKEPVVCGITSLGMDHMEILGDTIGQIASAKAGIFKPHVPAFTVPQLPEAMLALEERASELMIPLTFASPLDPSVMNGLTLGLAGDHQLINAGLAVALCTSWLQRTGHAELTPNDNPEEGLPVGFLRGLSTARLGGRAQTIIDKPKMLEQIPYGNSGDLIFYLDGAHSPESMEVCARWFSSAVGEAQQFVKNGFVKELGYSSLYENKHSSESKKASKKILLFNCMEKRDPQLLLPPLVDICASNGVHFSKALFVPSMSVYHRVDSGSSIVAPNTPANLSWQSTLQRTWDKLIQEKDLVNDNGSKMQKLGKTHEDFTGEPLEKCVPVLKDLSPSAVVPSLPLAIRWLRDYAKDNPSLHLQDHCIWWVMS >Ma01_p05040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3526710:3559101:-1 gene:Ma01_g05040 transcript:Ma01_t05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MPAVAAAFAVSPTPPNRCPPHIPYPGLTTTRRPVDSPPTPISRPSSSSPFFYLSLKGRSLLALVCFRLPSRRRLPTEMESNGVMSGKTPDLVLSSSYEAAMRALSSLISGRKRGEPPKRDKKLDLIFNYLKIIGVEKSLPELKIIHIGGTKGKGSTCTFCEAILRECGFRTGLFTSPHLMDVRERFRINGLDISEDKFLYYFWDCWNLLKESVDEDLPMPPLFQFLTVLAFKIFVSEKVDVAIIEVGLGGRFDSTNVVKEPVVCGITSLGMDHMEILGDTIGQIASAKAGIFKPHVPAFTVPQLPEAMLALEERASELMIPLTFASPLDPSVMNGLTLGLAGDHQLINAGLAVALCTSWLQRTGHAELTPNDNPEEGLPVGFLRGLSTARLGGRAQTIIDKPKMLEQIPYGNSGDLIFYLDGAHSPESMEVCARWFSSAVGEAQQFVKNGFVKELGYSSLYENKHSSESKKASKKILLFNCMEKRDPQLLLPPLVDICASNGVHFSKALFVPSMSVYHRVDSGSSIVAPNTPANLSWQSTLQRTWDKLIQEKDLVNDNGSKMQKLGKTHEDFTGEPLEKCVPVLKDLSPSAVVPSLPLAIRWLRDYAKDNPSLHLQVLVTGSLHLVGDVLKLLRR >Ma10_p26630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34233755:34234268:-1 gene:Ma10_g26630 transcript:Ma10_t26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAFLPEALRAVLLVQRPLLLYAAAWAALLTATVAVTSFAPELAFVWAVMPSSSFSSACTAAAGGPAVRVPTDGPPREVVCVPAGLFARSRMDLVVPPLFAALVVGGSTCFIRAVGLWEPEEEAIA >Ma02_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20333218:20335316:-1 gene:Ma02_g10910 transcript:Ma02_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGNVPFNPDGWGPPETPVGPLLVKQDGAAHPANIPFAPFSRSEKLGRVADWSRNPNFSANASRSAGGTRDAVFDFALDESSALSGAAADDSSFRLVDGKPPPRPKFGPRWRFQQRPQLPQRRDEEVEAKKREAEKERARRDRLYNMHRRSAYAGGPGFAGSRRDTPNQKSSVDIQPEWTMLDQIPFSTFSKLSFAVPDPPEDLLICGALEFYDRNFDRVNPKNERRLERFKSRNFFKITTTDDPVIRRLAADDKATVFATDAILSALMCAPRSVSSWDIVIQRVGNKLFFDKRDGSQLDLLSVNETSQDPLPEAKEDINSAYSLAMEATYINQNFSQQVLVRDGNKVTFDEPNPFASEGEEVASVAYRYRRWKLDENTHLVARCEVHSVTEVKGQQTFMTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLAGADLMKLGYVSRVHPRDHFNHVILSVIGYKLKDFAAQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPAKPQVRIYEVPPDAFENEYVEEPLPEEEQVQPPAEKDATANAVDEVAEAEANAAAGAAEGEKDTDASVV >Ma06_p34780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34894865:34899650:1 gene:Ma06_g34780 transcript:Ma06_t34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKAALSPALATGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWLKVVPMQAIRSRVQLFKIATLSLVFCGSVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLRRESWLTYITLVPVVTGVIIASGGEPSFHLFGFLMCIGATAARALKTVLQGILMSSEGEKLNSMNLLLYMAPIAVIFLLPATIIMEENVIGITLTLAREDFKIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGMAGYTLTVIGVILYSESKKRNK >Ma04_p33480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33071961:33073006:1 gene:Ma04_g33480 transcript:Ma04_t33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLHQSPSLVMASLTFLALLNACFCSNPKHPKLSTSTSNWLPAGATWYGSANGAGSDGGACGYGGALEKPPFSSMISAGGPSLFKSGKGCGACYQVKCTENAACSGDPVTVVITDECPGGPCLEKSAHFDMSGTAFGAMASSGRADEIRNAGVMTVQYARVQCSYPGFDLAFRVDAGSNPYYFAVVIEYEEGDGDLAAVELMQASSSADSSQWLSMQQSWGAVWKLNSAWQLQAPFSIRLTTLLSNRTIVADDVIPSTWLPGETYRSTTH >Ma08_p32520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43221700:43223116:1 gene:Ma08_g32520 transcript:Ma08_t32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSRERLLVLVVLGLWATCSHVAEGGTKLPLNGLVLHYYSKNTKCTMAEKLITRAVRKAWEKDRSITPALLRVVYSDCFIRGCDASILLDGEGSEKEAPQNAGLRGFDVIDAIKHKLESKCPGVVSCADILHLATRDAVALAGGWKYPVFTGRRDGYESDAKMVDLPPPSISWDDALSYFKSRGLDVLDLGTLLAGAHTMGVTHCRYVHDRIYNFNDTGLPDDLMDCRFARQLAKTCPYRYAPDQPDPTVFLNPHSGGNFTFESSYYSRVLDNQAVLGIDQQFLASKDGVRIASEFAYEFDDFSRYFALAISRMGSIGVLTGSKGEIRRNCRFTNAHYPKSK >Ma04_p07210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5251828:5255853:-1 gene:Ma04_g07210 transcript:Ma04_t07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPDETSPFPIGSSEGAAAPTAADAWEAVAQLPAEEHGRGWEIVATDACTHDDCSIFPPTLHEGLYLRYDSLPVLAPPIPPQEQVRVVEEPSSWPMRCEEVGEMRPTRWGPLSDSARRLIGSGIEVIRAKISLCKGGGLGLSTGRGVWSFMAVAGFVGALMYMKRRHRREKELLLLLLSEKDQRIRELLNQIALMNHIIAAGYRIPVTKRT >Ma04_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5252275:5255853:-1 gene:Ma04_g07210 transcript:Ma04_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPDETSPFPIGSSEGAAAPTAADAWEAVAQLPAEEHGRGWEIVATDACTHDDCSIFPPTLHEGLYLRYDSLPVLAPPIPPQEQVRVVEEPSSWPMRCEEVGEMRPTRWGPLSDSARRLIGSGIEVIRAKISLCKGGGLGLSTGRGVWSFMAVAGFVGALMYMKRRHRREKELLLLLLSEKDQNHHMARLAHPCSQAILLSG >Ma05_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4556509:4562155:1 gene:Ma05_g06100 transcript:Ma05_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRGRFFVFVRAPPPPTSFFLFLLVILSSAGLSASQLGTPTAEFDTDRLAILSFKTLVSGPSGALVSWGNSSLHFCRWRGVNCRNHDGEPRVTALVLESLRLKGKLSPSLANLTFLRRLRLGNNSLEGSIPQELGFLSRLRSLNLSYNHLGGMIPTSLLQNCSELRIFSLSHNNLNRTIPRNLSNCLYLRVIDLDRNMLEGNIPSDIGSLPKLETVAIWDNLLEGSIPPEIGKLASLTGLHMRFNHLDGPIPAAIGNLSSLTQLDLSNNLLAGAIPAAEPHLSSRASARLLLSSNEFTGTIPPEIGKLEKVSAVFLQNNNLVGTIPNTLWSLRNLDALLLRHNHLEAKNAAEWSFLDALTNCTRLRILDLSINHLSGVLPKSVANLSKTLQWLKIHDNQIAGSIPTEIGNLSNLTVITMSSNLVDATIPAALGSLRRLIRMDLSENYLVGEIPATLGNLSRLTSLLLSSNELRGSIPPSLGKCPLDTLNLAFNKLSGGGSLPPEIGNLRNIQIVDVSSNRLSGEIPGTIARCEVLQYLHMHGNFFHGHIPSSFGQLKGLRVLDISSNDLWGRTPDFVGSSRSMTYLNLSYNNLDGEVPTDGIFGNSRTHYSVTARSKDQHRKVTFAELTKATDGFSPANLTGTGSFGSVYRGVVDWEDHKDVAVKVLNRQQRGASRSFVAECEALRNTRHRNLVKALTSCSGVDFGRNGFKALVFEFLPNGSLDEWLHPPERDEQEYGMGNKVSTEGDVYSYGTLLLEMFTGKRPTDDGFKEGLNLGRYVEVALSKRVVDIIDPYLSLQGGEGEARHANPMANGLSTRAVECVASVLTVGVLCSKEAPKQRMHMEAVVRELHDIRDAFLGLPLL >Ma05_p27980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39076730:39080578:1 gene:Ma05_g27980 transcript:Ma05_t27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSLSREKTTIRVYIMNYTEAMNEGLVEIPIKDVISRYASRKCVYVHVSIYISWVYKQNIMHPLKYIIYLFSVFYYFICSLIFSPMISMLDILQGHLIRTDQPSEVTSVELDDLCTLPQFWILPQYRVIPSILDGRVKDVNFYSGMLQATVTLKRVEL >Ma11_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24775144:24776836:-1 gene:Ma11_g20230 transcript:Ma11_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKFALAVKTKTIEFFAEEDEEEEEERAVGVDDPDACPAPEEVITGQRVVVLRPDPAPRPDPETLTAAALAALSSFQAAYLHLQTAHSPFLSDAVLSADRAAVSHLRRLSEVKRLYLSTGPGPSPVPTSALPLSFLLEAQVQDNQDLLRTFEALVDRLQSDIDRKDAEAAALEKALADLDGSGVRLAHRLERACMPAEERVESLLTIGVFDSVLRDTCRVTHRFARVLIDLMNLSGWNLGVAANCIYPDVNFTKPGHCRYAILSYICLGMFGGFDSYDFCDDGDGVDMDEIDVSIRRTDSLQQFIEHSALDPLELMRDFPSSDFVKFCKKKYAKLIHPGIESSLLRNSAIGESLLGSLTPSSPLYESFASMASSIWMLHKLAWAYNPVVKIFQVAPGTEFSMVFMETIVPKVDKLHMDSGSSSRPKVGFTVVPGFHVGKTVIQSRVYLDDSEQTL >Ma10_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1351919:1356188:-1 gene:Ma10_g00130 transcript:Ma10_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAKAVEHRIGFPTKMEATAPAADGEQQRRPGGCNPVKKPGPVSMDHVLLALRETKEEREVRIRSLFNFFDAAGVGQLDYAQIEAGLSALRIPTEYKYARDLLKVCDANRDGRVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALIKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWERVCLVDIGEQAAIPEGISKHVNASQYLIAGGVAGAASRTATAPLDRLKVVLQVQTTQARIVPAIKDIWREGHFLGFFRGNGLNVMKVAPESAIKFYTFEMLKDFIVKTKGEEKSDIGASGRLTAGGLAGAVAQTAIYPLDLVKTRLQTYACEGGKVPNLTTLTKDIWVHEGPRAFYRGLVPSLLGIIPYAGIDLTAYETLKDMSRTYILKDSEPGPLVQLGCGTISGALGATCVYPLQVIRTRMQAHTNSSTAYNGMSDVFWKTLRNEGFSGFYKGIFPNLLKVVPSASITYLVYETMKKSLTLD >Ma06_p25320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25598377:25601133:1 gene:Ma06_g25320 transcript:Ma06_t25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKAIGFIKYR >Ma04_p37850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35572563:35574655:-1 gene:Ma04_g37850 transcript:Ma04_t37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCHAEVEQATEDDLVELMEIEKRNLGQAFHLESLSDVDNTPQSVLSFSGNKQVHALYDFLLNYRFFLNSFNGCDIPVLHAPVPFLNASFHVPEVRCKEIRKADMVIPSSCGSDTEDFETISGSSARGICYSIEIKDTVLPPWIVCGICAAMSSDGRSFESTFTTEPMSAGLNVALNCLCCDNDVPKQSINTSVDTDDALGIPDAVLIPRLSGASLRRLKYTDGAFIANITPT >Ma10_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24504199:24507139:-1 gene:Ma10_g10700 transcript:Ma10_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKERSKSEEKEIKIAVLSVLKKGSILKRLFLNLPPPHPPHPTTAGDGGHIPNPGGGEVDDEDLPILFGRHPDCHVVLDHPSISRFHLAARLVPSLRKLAVTDLSSVHGTWVSGTKIPPNVAVDMVDGDILRLGASTRVYRLQWVSSSRALEMDNPLEPLVEDKVEANQDDCEELLTDMIEMWPTVIPSAPPLPESMNPSPLPATMEQQSPILEAEGSSLFAALPMPEFVVSSMPTMDKNSSPQVLSAVQQPFEGDHLSLERSEKGSFPSSLLSRRSKSRSVGFLRIETGRGKEKITNTRPDAEVGGSTGKENNSVCDRAQREEKLCRVLFENAQDREEHFDSDKENVTPMSSRKIKRTSRVLQKSGCVAEHSNVADKVRPAQEDNCKHEVLSDLRTRKASSENLVKCNIFKSSTCAGKSKKYQEDLQILHSNSITNSSVLEEDVLYGDKENWTPDSCKHMKSRKVCGGILMKVEDNDNTFPSDKENLTPDISRSAKSKESSFSSCARIEKEILKRRVERIPFQPLLEISASKSCDATSEGNCASTKDAPTSECGPSRSSRQPMGEVIPKAEERKIWNIVVEANCFLDEESRRSLQLLEGLKGTHLIVPRIVIRELDCLKRRESLFSKSTKMASKGLQWIEECMVNTSWWIHVQSSSETLPVLPTPPASPRSPFGDGTDSKSFSAYGSLTEIVSPTAEDHILDCALLFKKTKNDGQQFILLSNSITLKIKAMAEGLLCETPKEFRASLVNPFSNRFLWGSSSPRGSTWRCSSSDVGLLHNPLLRQPVRTVGKAAEAAKGLKLILMHNSQYGQSNPVK >Ma05_p27000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38477597:38478489:1 gene:Ma05_g27000 transcript:Ma05_t27000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEWIIIYELLMVECYLVVAMGGRLQQKAESTIPTPTFSPPEANRTGIESTTWCVAHPGASQFDLENALDWACGVGGADCSSVQPGAACYQPDTLLSHASYAFNSYYQHNGNSDVACNFGGTATITSRDPSQLLSRQRCFRLASSRRFWRF >Ma05_p27000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38477597:38478489:1 gene:Ma05_g27000 transcript:Ma05_t27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEWIIIYELLMVECYLVVAMGGRLQQKAESTIPTPTFSPPEANRTGIESTTWCVAHPGASQFDLENALDWACGVGGADCSSVQPGAACYQPDTLLSHASYAFNSYYQHNGNSDVACNFGGTATITSRDPSYGSCKYLSSESASESSTLFQIGFLTKILEILILLCLNTRL >Ma09_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35479977:35480805:1 gene:Ma09_g23710 transcript:Ma09_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNFSFTCVGWHCRAIYVELRTYLPACGVIKSTRYIAFFLSFSSVSSLSQHLSGGGDPSFVVVPPVCSSSFTAYTTIAQDQICHADFILLRLHDLYLLWIFPHARRGWIQCDHHGSVTTM >Ma04_p38890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36226973:36227601:-1 gene:Ma04_g38890 transcript:Ma04_t38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVPQKPPSSSSSSPSPSFGDENQGGNPVTSCLYLKPDAERRSLDRAVVLRRIRYRKRVNQFRAALVSFLKPKIAVEKDGDPDAWLHDAFSSP >Ma01_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:612300:613043:1 gene:Ma01_g00870 transcript:Ma01_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAGNNFTISTTLLVLVICNLFLLLSKIEASPGKAKQFEPLSFVGSRLSAMAMAYLSTATYAGKHHHSHSPGELLSSMLSTWRRPAITLAYVELFATASASLLLALRAFAGAAGEAAPEALVISGSLALLSCLGPVVFAHSEIACRLSLVVAVAEEDFEGMSALARAEELVKEKKLHGFVLTAALGLAEQAVLTVFGCNDDGAWGIGTLLFAGPVLLMVKFVTYFLYTMFYFECKKGHAKEKRSI >Ma00_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29778514:29779088:1 gene:Ma00_g03530 transcript:Ma00_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKPKKIRMGNWEADVLSKEQLQYAATDAFVSWHLYQQILKGFPDAKYETKNVEKVKKN >Ma09_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5556981:5558807:1 gene:Ma09_g08390 transcript:Ma09_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGSLRALRHLVTSLPLLLLLLLLCLLSSFSSAAELGETCLFNSDCDPGLHCETCLANGNFRLRCTRVKPKSPIAEGVGLPFNKYAWLTTHNSFALLGAPPLTGKQNVAEKNQQDSVTEQLEHGVRGLMLDMYDYDSDIWLCHSYEGKCFDALAFQPAVNVLGEIRDFLEANPSEIITIFIEDYVSSPMGLTKVFNASGLLKYWFPVSSMPKNGEDWPLVSEMITPNQRLVVFTSEKTKEASEGIAYQWRYVVENQYGDGGMEDGSCPNRAESLPMDTTSRSLVLMNYFPSIPYFFTACKHNSGPLESMLNTCYSASANRWANFIAVDYYRRSDGGGAAQVTDLANDRMLSS >Ma07_p25480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32522788:32529910:1 gene:Ma07_g25480 transcript:Ma07_t25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPIPSEDALDASLASQQRVIITNSCGEKLVGLLHDTGSKKLVILCHGFRSSKDDEIILNLTAALTSKGLGVFRFDFSGNGESEGVFQFGDYWKEAEDLHAVVLYFSEQKYEISAIVGHSKGGDDVLLYASKYRDVHTVVNLSGRFALDRGIERFLGKDFIQRIKKDGFIDVVDKTGKVLFRVTEESLMDRLNIDMHAACLSIDKGCRVFTVHGSADEIIPVEDALEIAKLIPSHKLHIIEGANHCYTEHQEELAKTVVDFLTSIQIVDAAVVGEL >Ma08_p26760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39223496:39226781:-1 gene:Ma08_g26760 transcript:Ma08_t26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PMP22 [Source:Projected from Arabidopsis thaliana (AT4G04470) UniProtKB/TrEMBL;Acc:Q0WTY9] MGAVVKKGWERYMLQLQLHPLRTKAITAGVLAGISDSVAQKLSGIPRLQLRRLLVKVLFGFAYGGPFGHFLHKLLEIIFKGKKDSKTVAKKVLLEQMTSSPWNNFLFLIFYGLIVERRPWPQVKDKVKKDYPSVQLTSWMFWPAVGWVNHRYMPLQFRVIFHSFVACCWGIFLNLRARTKALPK >Ma11_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27695746:27697854:-1 gene:Ma11_g24910 transcript:Ma11_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSMPLLLPPSPLPTLFVILVLPLSLLLLLRLGLKRNNLRARTHDMPPSPLKLPFVGNFHQLGSLPHRSLHALSQKHGPLMLLRLGQVPTLVVSSPDGARDVMRNHDQVFASRPALKPAKVLFDGTTDLALAPYGDSWRQLRKICASHLLSSIRVQSYRLIRQEEVGFMIRKISSQASLTTSVDMSEIFYSFANDILCRVVSGKFNREEGRNVLFREPTREFSVLLSKFYVGDYFPWLGWLDVLFGSMERVNKSKKRWDDLLDGVIQEHEDRSAEGDDGEKDFVDVLLSLREDPGGNHALLTPQTIKALLMDIFSGGTETSYVTLECAMAELVRNPRVMAKLQHQVRGIASRTKGTVKEEDLDEMAYLKAIIKEVLRLYPPAPLLLPRELMEDCQIQGYNIPKKTRVIVNAWAISRDPSHWEAPDEFKPERFMGDGAMDFKGNDFEFIPFGAGRRICPGMSFAIASLELALATLVYHFDWELPDGMTGEDLDMSEAFGVVLQRKQRLHLVAKPWSIGQEEQHL >Ma03_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22127995:22128957:-1 gene:Ma03_g16920 transcript:Ma03_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSACCLLLSFLSLVLLLLLPTPSTANDNNILLTGDVLRPDCQLSFLDASLTMRSDCDLVLYAQSDPVFSSSTYDEGAFNCSAVLNQYGQIVISNPLTGRVLWVSGDPGPQGKYAAVLKPDGNLGIYGPPIWSTLPVVTEGQDNPVGEVNSVKAPLKNTLFSTEILEEKGELTTRDYTLAMDDSCSLNLQRGSEQYLWVSGTAGLGAHCFLRLNRLGQLTIKDDRYKTLWSTAPSSQGEGEYVLILQYNGQAAVYGPMFWAADAEDADVTRISSSPKSQPMPSQPMSPQPMPSQPMSPQPMPSQPMSPQPMPSMTMNF >Ma05_p05290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4033815:4038231:-1 gene:Ma05_g05290 transcript:Ma05_t05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGVEKQLLKAGHGPKPVRGQSVTVHCTGFGKDGDLSKKFWSTKDPGQQPFTFKVGLGSVIKGWDEGVMDMQVGEVARLQCSPDYAYGADGFPTWGIRPNSVLIFEIEVLSVQ >Ma05_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4034096:4038231:-1 gene:Ma05_g05290 transcript:Ma05_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGVEKQLLKAGHGPKPVRGQSVTVHCTGFGKDGDLSKKFWSTKDPGQQPFTFKVGLGSVIKGWDEGVMDMQVGEVARLQNDARSQVGDLIHHFISCPFKFRCVIFYNCPPVNMC >Ma06_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1353077:1386330:1 gene:Ma06_g01660 transcript:Ma06_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAVGSWAREVLLCVGLLALLFVLAFGGEHDGVNIWPMPKSASHGSQTLFLSKDFVLSTQGSKYGDGSELLKEAFDRMIDVVEVNHIVDGRTPTSSVLAGLNVVILSPQDQLNFGIDESYKLDVPAAGKHVYARIEAQTVYGALHALQTFSQLCHFNIYKRIVELQLAPWYILDQPRFPYRGLLIDTSRHYLPLPIIKGVIDSMAYSKLNVLHWHIVDKQSFPLEIPSYPRLWAGAYSYSERYTKADALEIVQYAERRGVNVLAEIDVPGHALSWGVGYPDLWPSAECQEPLDVSKEFTFKVIDGILSDFTKVFKFRFVHLGGDEVNTSCWTNTPHIIEWLNKHGMNESQGYKYFVLRAQKIALSHGYEVINWEETFNNFRSQLSPKTVVHNWYFFLICHVCSNWYQAIHMLSNMSNVSSHH >Ma03_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27760194:27763180:1 gene:Ma03_g23120 transcript:Ma03_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSPALTQPLPSPPTRPPLATSTRTPAPTNNGRLELGRLMLSLGDYALKQRKKTPEEHRAHYNSVISLCIANRALREGRHLRSHMARIGYTPGLFLDNQFINLYARCGELDMARELFDGMPERNVVSWNALISGYFSNGRLLDALFLFCTMVEAGPSPNHLTYLTAIRASVGTGSRELGKQIHGHLMKAGLFACVQVGNCLISMYSEFGEPENAESVYRNMVERDEVSWNSLIALHVKSRSPDDALDLFVDMQKEGFTPDEFTFGSLLSLTDANIITKLHGQITKRGLANNVFVGSVLLDAYARSGNPRAASLVFNSMQLPNAVTWNSVISACFGNNMVQEGLKLFWQMGEQGVLPDEYTVSILLKAGATCLSIIVGKQLHGLAIKMGLHADALIGNSLITMYAKHEEVSDSWKAFSSITEPDLISWNSIVQSHVQNEEPEQALTLFLEIKGSGFEPDEFSFVGALAACASLAWYRTGREVHGDLVKRSLAPDAFISSALIDMYAKSMVISDAREVFNRVEHKDLITWNAMISGSAQNGYLDEVLKLLYRMREENIKPDNFTFASIFAACTNAMAMQQGRQVHGLVLKSELKTDAAVANSLITMYCRAGNIREARKVFSELSVKNVISWTAMIGGCVQSGYSREALEIFEQMERAAVRPNAKTFIAVLTACSYAGLRREAGKFFKMMETKYGIRPGFNHYSCMIDILGRAGKLKQAENLIEGMPFEPDALVWRILLSACRIHGDAERGRRAMEKILALEPGDSAAYVLLSNLYASLGNWDGVEEVRQMMRVNGVKKEPGKSWIELNAKVHEFMAGDSLHPQKEEICLRLRELLKQMKDEGYIPGIEHAVR >Ma11_p07400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5884308:5895278:-1 gene:Ma11_g07400 transcript:Ma11_t07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSEDQELLERKYVSEKQPKVSYTREYLLSFSNLDICKKLPSGFDASILSEFDEASIIVNEQQRGVGRLTQSTKHSEYGSSPPNRLETTGSFPRGNFGRWDAHSSGTSNKDGDVQSNHEGSTQDSGRHSGTQSRRFLQHPEHDGLLGSGTYPRPSGYAGSSAPKARMAGHFQLNRTHEPYQPPRPYKALPFQRKDDKDSCNDETFGLANYSSEDRVEEEQRRRESFELMRKEQQKALQGKQKQIPDNQKEKLDADIIALLENSADKKSILNETHKADDSSSLSINDSSRPLTMRVPLSRPLVPPGFSNTTLNKILPIQPSNTNSSEARFVDTVDNLPLDGTDNGQEKRNQTDPFLNNRMLNNGSISDVLVNDTDKIAIPASGLEVMKLLADAENISCAASGLHKTNKVCEGILENDDSGKNEKTSEITHTLVEDSSLLILEKLLGHSLSKSSGSPISSENQDFKTDEETWVPTISESSKFASWFVKEENKHLDDFSSKDLLTMIVNNENVGSPASVDSSNKAIEHMAPSLPFKHSDMTKKLDASPAPSPVVLTCEDLEQLILTDTKGSSSNLPHAVLGTGMTKDGKLERQKSEVDDHASQHLLSLLQKGTKKEKEMVSVTSPALEIGSLERFSITDTCSGVNLGIVESNSCNSETVSSSEKNLTLEALFGSAFMSELQSAQAPVSVQRVVDDGVNTTAIPTSLGLPFPSPDVQCILGVGKEQRNSPVEDLKFSAADFEEKAPETHLPDEHSLISGMTSSQLPHRINRVRPLDPGLDPLNRNQQMKSMGPEGIHHCPYLNFPENIVPYNNPHHGSDPRINPAAYNLMLQQMPIPGNFPQQVFLQGLPRGVPLSHPMNHMQGYIPKISNVHNMSLHHQQPNYDGLGMGMQGSLVGSGGKNHPEAFQRLIEMELRANAKPVHPAAAGNIPDMYGPELGMSFRYS >Ma11_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5884308:5895278:-1 gene:Ma11_g07400 transcript:Ma11_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSEDQELLERKYVSEKQPKVSYTREYLLSFSNLDICKKLPSGFDASILSSEFDEASIIVNEQQRGVGRLTQSTKHSEYGSSPPNRLETTGSFPRGNFGRWDAHSSGTSNKDGDVQSNHEGSTQDSGRHSGTQSRRFLQHPEHDGLLGSGTYPRPSGYAGSSAPKARMAGHFQLNRTHEPYQPPRPYKALPFQRKDDKDSCNDETFGLANYSSEDRVEEEQRRRESFELMRKEQQKALQGKQKQIPDNQKEKLDADIIALLENSADKKSILNETHKADDSSSLSINDSSRPLTMRVPLSRPLVPPGFSNTTLNKILPIQPSNTNSSEARFVDTVDNLPLDGTDNGQEKRNQTDPFLNNRMLNNGSISDVLVNDTDKIAIPASGLEVMKLLADAENISCAASGLHKTNKVCEGILENDDSGKNEKTSEITHTLVEDSSLLILEKLLGHSLSKSSGSPISSENQDFKTDEETWVPTISESSKFASWFVKEENKHLDDFSSKDLLTMIVNNENVGSPASVDSSNKAIEHMAPSLPFKHSDMTKKLDASPAPSPVVLTCEDLEQLILTDTKGSSSNLPHAVLGTGMTKDGKLERQKSEVDDHASQHLLSLLQKGTKKEKEMVSVTSPALEIGSLERFSITDTCSGVNLGIVESNSCNSETVSSSEKNLTLEALFGSAFMSELQSAQAPVSVQRVVDDGVNTTAIPTSLGLPFPSPDVQCILGVGKEQRNSPVEDLKFSAADFEEKAPETHLPDEHSLISGMTSSQLPHRINRVRPLDPGLDPLNRNQQMKSMGPEGIHHCPYLNFPENIVPYNNPHHGSDPRINPAAYNLMLQQMPIPGNFPQQVFLQGLPRGVPLSHPMNHMQGYIPKISNVHNMSLHHQQPNYDGLGMGMQGSLVGSGGKNHPEAFQRLIEMELRANAKPVHPAAAGNIPDMYGPELGMSFRYS >Ma08_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36058640:36060363:-1 gene:Ma08_g22500 transcript:Ma08_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNYLYSSFVGWYTLMLATPQESSRP >Ma04_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1386079:1393067:1 gene:Ma04_g01540 transcript:Ma04_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKSTPHPSCSSKLPAPGTGECASEKLPFQERNPILEETPTPNFSIRDYAFATRSKGLESSWPFTPHFLQLFLKHGVKDLLPPFETPSLVRVQCSRKGSESVQPVICSEIEQILTHADPPVEAAIVRQQSCSSLEKPSPDRKALSCQRICKDELAHCDAETGWIRNHEQVERTSSETGGPSSSLSKAPSEIDVFEHTKSLQSSHESLEKKCRLIVKLGVISRSCQAEDIISNSSTVSDHMASKVCPVCKTFSSTSNTTLNAHIDQCLSMVSNNNWVSNKLLKPKVKPRKKRLMVDIYATAPHCTLEDLDRRNGTNWAIELAFTTAATVGVDVEAKKPKLPTDSRDSVNEGAVYVDSNGIKLRILSKLNDTPELKKERKFLKHAEVIETTKKNFIRKKKHLTTKYSKKMKVKAQRKKLSSYLLLKAQMKTAHEGDCPMDTCYENEESINPEIFPKGSGSASSRQWMCSRQSDILKKPLRKNVHCVSDNNVTRSRLAKSSHLDPGKSSVAISDQLKFSRLSEDFVSSPKMIPSMVNGFENSEKLPISSCKWSSKSTVKHGLLLRILKSSGSSVTPRIKTKEIDLDIQQESDKLSQRTKLSSKISHSSIEDQINLTWQQDDSVKRPSINLEAGKGDLSEKSFSFKTFRKHRSISKSGVEFRTAIRRGLHGPGVDISRTSNSLGSHKFGCSKKNVAIFAAGEMMNHASPNMNDVLRPEKRDDRNIMEKQKHSALKRLRLETENHDPDSENLNMQLEVFGSGNCASKSSMEMATANPSHNGIVSSENLQATFGARSELSPSAEQVQPISKSKAHKEQLVEGSEKQEVNCGSLPSEDIDGLNSQITDEMAVRGEKGSCVIELTECTADTMSIQESSGCLTSHEDVGPQMPQKGTSITSVITTTNDATNLASEDEPCGSPVSTASTLSLPSSEDSKYTDSEAEPLAIAINSQDKSDLIVPITEDTVAAAERNAEGRDHEVKENLPAKEPNHSLENKLFCCSCRESLSRESQLLRSNAAHRTTKGKQLSNLFARPIVSSSFSSYKNQRTNTMASSSLQPDRQPTFVKRSSDSSAMVPTSSVATPSSQPHNQSISSPILRLMGKNLMVVKDEELVQPQSRVLDYPSHVNFLSPPGFGSTNSLLKQENFRHHHHIFGGSPVLDSAVSMDEHKFPICLPSTPMAGYSVTPQHAFFVPRPDQQIQQKNAYKRAKSSPSPYMMNELIVISDFPETDKEPTLSSPTSTLPFAASGLNPSSQRPFTCFSSQNHIRDIPGGLRPLLPNPFTGVNTSLMRRGSTSEGRGPLLPSRFVFHSPAAARTHPSLYYSQTLR >Ma04_p01540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1386050:1393067:1 gene:Ma04_g01540 transcript:Ma04_t01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKSTPHPSCSSKLPAPGTGECASEKLPFQERNPILEETPTPNFSIRDYAFATRSKGLESSWPFTPHFLQLFLKHGVKDLLPPFETPSLVRVQCSRKGSESVQPVICSEIEQILTHADPPVEAAIVRQQSCSSLEKPSPDRKALSCQRICKDELAHCDAETGWIRNHEQVERTSSETGGPSSSLSKAPSEIDVFEHTKSLQSSHESLEKKCRLIVKLGVISRSCQAEDIISNSSTVSDHMASKVCPVCKTFSSTSNTTLNAHIDQCLSMVSNNNWVSNKLLKPKVKPRKKRLMVDIYATAPHCTLEDLDRRNGTNWAIELAFTTAATVGVDVEAKKPKLPTDSRDSVNEGAVYVDSNGIKLRILSKLNDTPELKKERKFLKHAEVIETTKKNFIRKKKHLTTKYSKKMKVKAQRKKLSSYLLLKAQMKTAHEGDCPMDTCYENEESINPEIFPKGSGSASSRQWMCSRQSDILKKPLRKNVHCVSDNNVTRSRLAKSSHLDPGKSSVAISDQLKFSRLSEDFVSSPKMIPSMVNGFENSEKLPISSCKWSSKSTVKHGLLLRILKSSGSSVTPRIKTKEIDLDIQQESDKLSQRTKLSSKISHSSIEDQINLTWQQDDSVKRPSINLEAGKGDLSEKSFSFKTFRKHRSISKSGVEFRTAIRRGLHGPGVDISRTSNSLGSHKFGCSKKNVAIFAAGEMMNHASPNMNDVLRPEKRDDRNIMEKQKHSALKRLRLETENHDPDSENLNMQLEVFGSGNCASKSSMEMATANPSHNGIVSSENLQATFGARSELSPSAEQVQPISKSKAHKEQLVEGSEKQEVNCGSLPSEDIDGLNSQITDEMAVRGEKGSCVIELTECTADTMSIQESSGCLTSHEDVGPQMPQKGTSITSVITTTNDATNLASEDEPCGSPVSTASTLSLPSSEDSKYTDSEAEPLAIAINSQDKSDLIVPITEDTVAAAERNAEGRDHEVKENLPAKEPNHSLENKLFCCSCRESLSRESQLLRSNAAHRTTKGKQLSNLFARPIVSSSFSSYKNQRTNTMASSSLQPDRQPTFVKRSSDSSAMVPTSSVATPSSQPHNQSISSPILRLMGKNLMVVKDEELVQPQSRVLDYPSHVNFLSPPGFGSTNSLLKQENFRHHHHIFGGSPVLDSAVSMDEHKFPICLPSTPMAGYSVTPQHAFFVPRPDQQIQQKNAYKRAKSSPSPYMMNELIVISDFPETDKEPTLSSPTSTLPFAASGLNPSSQRPFTCFSSQNHIRDIPGGLRPLLPNPFTGVNTSLMRRGSTSEGRGPLLPSRFVFHSPAAARTHPSLYYSQTLR >Ma04_p01540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1386050:1393067:1 gene:Ma04_g01540 transcript:Ma04_t01540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKSTPHPSCSSKLPAPGTGECASEKLPFQERNPILEETPTPNFSIRDYAFATRSKGLESSWPFTPHFLQLFLKHGVKDLLPPFETPSLVRVQCSRKGSESVQPVICSEIEQILTHADPPVEAAIVRQQSCSSLEKPSPDRKALSCQRICKDELAHCDAETGWIRNHEQVERTSSETGGPSSSLSKAPSEIDVFEHTKSLQSSHESLEKKCRLIVKLGVISRSCQAEDIISNSSTVSDHMASKVCPVCKTFSSTSNTTLNAHIDQCLSMVSNNNWVSNKLLKPKVKPRKKRLMVDIYATAPHCTLEDLDRRNGTNWAIELAFTTAATVGVDVEAKKPKLPTDSRDSVNEGAVYVDSNGIKLRILSKLNDTPELKKERKFLKHAEVIETTKKNFIRKKKHLTTKYSKKMKVKAQRKKLSSYLLLKAQMKTAHEGDCPMDTCYENEESINPEIFPKGSGSASSRQWMCSRQSDILKKPLRKNVHCVSDNNVTRSRLAKSSHLDPGKSSVAISDQLKFSRLSEDFVSSPKMIPSMVNGFENSEKLPISSCKWSSKSTVKHGLLLRILKSSGSSVTPRIKTKEIDLDIQQESDKLSQRTKLSSKISHSSIEDQINLTWQQDDSVKRPSINLEAGKGDLSEKSFSFKTFRKHRSISKSGVEFRTAIRRGLHGPGVDISRTSNSLGSHKFGCSKKNVAIFAAGEMMNHASPNMNDVLRPEKRDDRNIMEKQKHSALKRLRLETENHDPDSENLNMQLEVFGSGNCASKSSMEMATANPSHNGIVSSENLQATFGARSELSPSAEQVQPISKSKAHKEQLVEGSEKQEVNCGSLPSEDIDGLNSQITDEMAVRGEKGSCVIELTECTADTMSIQESSGCLTSHEDVGPQMPQKGTSITSVITTTNDATNLASEDEPCGSPVSTASTLSLPSSEDSKYTDSEAEPLAIAINSQDKSDLIVPITEDTVAAAERNAEGRDHEVKENLPAKEPNHSLENKLFCCSCRESLSRESQLLRSNAAHRTTKGKQLSNLFARPIVSSSFSSYKNQRTNTMASSSLQPDRQPTFVKRSSDSSAMVPTSSVATPSSQPHNQSISSPILRLMGKNLMVVKDEELVQPQSRVLDYPSHVNFLSPPGFGSTNSLLKQENFRHHHHIFGGSPVLDSAVSMDEHKFPICLPSTPMAGYSVTPQHAFFVPRPDQQIQQKNAYKRAKSSPSPYMMNELIVISDFPETDKEPTLSSPTSTLPFAASGLNPSSQRPFTCFSSQNHIRDIPGGLRPLLPNPFTGVNTSLMRRGSTSEGRGPLLPSRFVFHSPAAARTHPSLYYSQTLR >Ma08_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34946100:34950229:1 gene:Ma08_g20890 transcript:Ma08_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTSLLACVKRKKNLFILFFFKLGRIILINRLLFSGCRRHDGLTIRGVPETVVGVLGGGQLGRMLCQAANQIAIKVVTLDPLESCPASGIAYHHVVGSFDDGDTVHEFSKRLCGVLTVEIEHVDAVTLEKLELLGIDCQPKASTIRIIQDKYLQKVNFSQHGIPLPDFMEKAGELFGYPLMIKSKRLAYDGRGNAVAHTKEELPSVVTALGGFNHGLYVERWMPFVKVEVYYFLSDIEVYYNLLTADICN >Ma06_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10267576:10269031:-1 gene:Ma06_g15060 transcript:Ma06_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSPLLVAVIVFLSIDTHSLAQSSLCRTSCGAIPIRYPFGIDDGCGSPYYRNMLICANSSRLFLRTPSGTYPVAAVDYTDPHLVVNDPSMWSCRSSGTDGAVLRRAANPFSLDTSTRFSLSSKNDYLFFNCSGESVIVEPKPAFCERFPDRCDSACDSAAYLCRNPPECPDALADRRTSCCSYYPKASESLRLLLQHCSTYASVYWRTVGANFPPYDQVPEYGIRVDFEIPVTTRCLQCEDVRRGGGTCGFETQSRSFLCLCDEGNATTYCTDGTYRGRRASAAVIAGTATVSVAGAVGIGALVWYLRKIRKNSVVTCGVQSNENRLF >Ma11_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26456554:26458935:-1 gene:Ma11_g22870 transcript:Ma11_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLLPFVPPLLLLLALSASASNFTSIDCGASGSYKDENGIAWETDESYVSNGENRVVQNPNSVPEPMRTLRVFSSRKKNCYPVSVPGGGRVLVRASFYYGNYDEKSSPPTFALQFDANSWETVVTSMDQVVFHEAIYDVFAEGTAVCVAQLEQGQLPFVSAIEVRTLETDMYEGVDSSFALLLKGRLAFGAPGVVRYPSDAYDRIWDPVAASDELITTKSDTTVMDPTAVKDKPPAAVLLQAITTANVSTDVVLFLSELLPTDPVPAYINLYFAEMSHLGSKDNNTFQVYVDGDPLIDAVNPPYQSVAEYLIGLKAASASTKITLTATPEATVPPLISAMEVFVVRGGLSNGTDESDVGALIVLQQKFEVLGDWAGDPCLPAKYTADWVGCSSDPTPRITALYLSGYGLSGDLPDFSALTALQTIDMSNNKITGRIPEFLGKLPDLKELNLADNKLSGAVPSSLTENKKIKLTVCGNPSLSSSDQKPCDSSMGKPSTPRATKSSSTSLKESRRNLVVALEAVISLFLLVLMA >Ma05_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36407623:36454971:1 gene:Ma05_g24160 transcript:Ma05_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTVLRLISSSVSLPRSRPFIFFPCLSTLLPSSRRGFVDLRPLSTASRSSALPLRSKRRDGEEEAPGAAREGKAGDGGGGEGSNGGLMVKERSGGPPEGRILPVELHEEAKEAYMAYAMSVLVGRALPDVRDGLKPVHRRILYAMYELGLSSRKPFKKCARIVGEVLGKFHPHGDTAVYDSLVRMAQDFSLRYPLVNGHGNFGSIDADPPAAMRYTECRLEAVTEAMLLTDLELNTVDFVPNFDDSQKEPSLLPARIPNLLLNGSSGIAVGMATNIPPHNLGELVDALSVLIHNPEATLQELLEYMPGPDFPTGGIIMGNSGILDAYRSGRGRIIVRGKTDVEYLDEKTKRSSIIIKEIPYQTNKSALVEKIAELVEDKTLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTALQSSFSCNMVGILDGQPKLMGLKELLQVFLDFRCSVIERRARFKLSQALERQHIVEGIIVGLDNLDAIINIIRETSGNRVATAALVKEFGLSEKQAEALLDITLRKLTFLERKRFVDEAESLSGQISKLNELLSSTKLMFQLIEQEAIELKNRFGTPRCTLLEDGGCGQLEDIDVIPNEEMLLTLSEKGYVKRMKPNTFNLQHRGTIGKSVGKMRVNDSMSEFIVCHTHDHILYFSDQGIVYSARAYRIPECSRTAAGIPLVQLLSLSEGERITSIIPVSEFAEDQYLIMLTVNGYIKKVSLNAFSAIRAPGIIAIQLVPGDELKWVRRCADDDLVAIASQNGMVIVNYCNKLRALGRRTKGVISMKLKRRDKMASMDIIPAPMQKDLQKLSESLSGRGKDIGPPWLLFVSESGHGKRVPLSAFRLSSFRRVGLIGCKLLPDYRLAAVFVVGFSLSEGGESDEQVVLVSQSGTVNRIKVCDISIQSRSGRGVLLMRLDYAGKIQSASLISASVNEVNGD >Ma05_p24160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36407635:36454971:1 gene:Ma05_g24160 transcript:Ma05_t24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTVLRLISSSVSLPRSRPFIFFPCLSTLLPSSRRGFVDLRPLSTASRSSALPLRSKRRDGEEEAPGAAREGKAGDGGGGEGSNGGLMVKERSGGPPEGRILPVELHEEAKEAYMAYAMSVLVGRALPDVRDGLKPVHRRILYAMYELGLSSRKPFKKCARIVGEVLGKFHPHGDTAVYDSLVRMAQDFSLRYPLVNGHGNFGSIDADPPAAMRYTECRLEAVTEAMLLTDLELNTVDFVPNFDDSQKEPSLLPARIPNLLLNGSSGIAVGMATNIPPHNLGELVDALSVLIHNPEATLQELLEYMPGPDFPTGGIIMGNSGILDAYRSGRGRIIVRGKTDVEYLDEKTKRSSIIIKEIPYQTNKSALVEKIAELVEDKTLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTALQSSFSCNMVGILDGQPKLMGLKELLQVFLDFRCSVIERRARFKLSQALERQHIVEGIIVGLDNLDAIINIIRETSGNRVATAALVKEFGLSEKQAEALLDITLRKLTFLERKRFVDEAESLSGQISKLNELLSSTKLMFQLIEQEAIELKNRFGTPRCTLLEDGGCGQLEDIDVIPNEEMLLTLSEKGYVKRMKPNTFNLQHRGTIGKSVGKMRVNDSMSEFIVCHTHDHILYFSDQGIVYSARAYRIPECSRTAAGIPLVQLLSLSEGERITSIIPVSEFAEDQYLIMLTVNGYIKKVSLNAFSAIRAPGIIAIQLVPGDELKWVRRCADDDLVAIASQNGMVIVNYCNKLRALGRRTKGVISMKLKRRDKMASMDIIPAPMQKDLQKLSESLSGRGKDIGPPWLLFVSESGHGKRVPLSAFRLSSFRRVGLIGCKLLPDYRLAAVFVVGFSLSGGESDEQVVLVSQSGTVNRIKVCDISIQSRSGRGVLLMRLDYAGKIQSASLISASVNEVNGD >Ma05_p31770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41549822:41550630:-1 gene:Ma05_g31770 transcript:Ma05_t31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPWVKTARSSAAAGAVCRSPTFSSPTLRDLQAILRDDHDAAHSSSPTLRRILHRARLASSALRALRSAVSDPYRHPRRGGVVLYFTSLRVVRRTFEDCRAVRSILRGLRVAVDERDLSMDSRFLAELQAALGLQRPTLPQVFIAGRCLGGADEIRRLHESGELKALIEGAAAPTVASACEGCGGVRFVLCAACSGSHKRYSDKGGGFRTCTECNENGLVRCPDCCAVAL >Ma05_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8128164:8128978:-1 gene:Ma05_g11230 transcript:Ma05_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKNVTLNSLYQDKPMTPVDCYRHDHPTSSPSFRGMILQDFPAGPLNRPLTISPPAVEELPVPPPPSPPAHPQTVLNLNSGLEFQHLVVDANSRSNASNSNGRYSSAFSPTGLFSCCSNRKMMRESLAIGIDRKHKRLIKNRESAARSRARKQAYTIQLELEVSHLKEENAKLKRQNEELRLAMATQLPKRNTLQRSSTPPF >Ma07_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9328982:9345105:-1 gene:Ma07_g12420 transcript:Ma07_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSASRQVTFSKRRNGLLKKAKELAILCDAEVGVVVFSGTGRLYDYASTSMKSVIERYTRAKAENHLILDTASEIKFWQREATSLRQQLHKLQETHRQLMGEELSGLSVKDLENLENQLEMRLRGVRKTKEQLLINEIQELNQKGSLIHQENMELYQKINIMHQENRELHKKVYAPTEENDPNRNSVIPHSFHITKEVNALIHLGLRQQHQHGEELKLGAPKLGIFQVK >Ma05_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15942286:15942471:1 gene:Ma05_g16290 transcript:Ma05_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLASLCRMRRIPYNQLALYPVIQTRAVHLPTAKGPAHISWKRPKRKLTLMEEASSGSG >Ma11_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17540234:17541940:-1 gene:Ma11_g13230 transcript:Ma11_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIQPRGGGRSQVTFAFSTLKRMGETDPCQYAERVGAPFYLAAVLEYHAAEVLELAGNAAKDKKHLKISYKIF >Ma01_p04620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3140479:3143511:-1 gene:Ma01_g04620 transcript:Ma01_t04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINENGDKVYTTKKESPVGMATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQMPSPKY >Ma01_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3140479:3143534:-1 gene:Ma01_g04620 transcript:Ma01_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINENGDKVYTTKKESPVGMATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQMPSPKY >Ma06_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9495613:9501031:-1 gene:Ma06_g13850 transcript:Ma06_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAQIHALGSPTAAATFPSTKKPFSSSAFLFFGPNDIKEARLSMRRSSRRGGSHAPLRVVCEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTMPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAANFKRDEGIDLLKDKQALQRLTETAEKAKMELSTLTQTNISLPFITATADGPKHIEGTLSRAKFEELCSDLLDRLRTPVDNALKDAKLSFKDLDEVILVGGSTRMPAVQELVRKMTGKEPNVTVNPDEVVALGAAVQVWVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTAKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPSDEVERMVKEAEKYAKEDKEKREAIDTKNQSESVIYQTEKQLKELGDKVPASVKEKVEAKLKELKDAVAGGSTQSMKDAMTTLNQEVMQLGQSLYNQPGAAGAGPASADGAGSSAESPGKGPDNGDVIDADFTDSK >Ma06_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11800825:11808132:1 gene:Ma06_g17360 transcript:Ma06_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 3 [Source:Projected from Arabidopsis thaliana (AT3G56310) UniProtKB/Swiss-Prot;Acc:Q8VXZ7] MGSTCVFVLLCLFGLSAAAAERLTPLIEELGRPSAVADIFDTSNYGKLQLDNGLARTPQMGWNSWNFFACNINETVIKETADALVSTGLAALGYNYVNIDDCWSSATRNLEGELVPDPQTFPSGIKALADYVHQKGLKLGIYSDAGMFTCQVRPGSLYHEMDDANLFASWGVDYLKYDNCFNLGIKPEKRYPPMRDALNSTGRAVFYSLCEWGVDDPALWAEKVGNSWRTTDDISDSWVSMTTIADLNNKWASYAGPGGWNDPDMLEVGNGGMTHAEYRSHFSIWALMKAPLLLGCDVRNMAAETFEILSNEEIIAVNQDPLGVQGRKILSEGNDECSQVWAGPLSGNRLVVALWNRCSQAVTITVTWETLGLDNTTCFSVRDLWKHETLEGNMAGRLGAEVDSHDCKMFILSPPSTSIVVI >Ma05_p31030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41151497:41156476:-1 gene:Ma05_g31030 transcript:Ma05_t31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKGAAAVVESLSRAVEEIAAISYHRNAYKKQFCNLSRRVRLLAPMFEELRESKKPISEKAVTTLASLGRAIGLAKELLRFGSRGSKISLVLDRDRMMRRFQEVTAQLEQTLGEISFGELDISDEVREQVELVRTQFKRAKELLDTSDAELFNDLSSVYGMSIHAKVDPVLLRRLAEMLQLTTIADLKQESLALNELLGDDDRDLGEAIKKMSVVLKKIEDYMQTQNPDICIPASAKVFTSDEMAKAPVVPDDFRCPISLELMRDPVIVSTGQTYERVFIEKWLKAGHVTCPKTQQKLSSTSLTPNHVIRGLIIQWCEENDMELLKYPAHHGPDNSFCSAKEHARVVDLILKLSSESSDDQSTAAAEIRLLAKCSGDNRVCIAEAGAIPLLVDLLSAVDSQTQEHAVTALYNLSINEHNKDKIIAARAVVGILHVLKRGSMEARENAAATLFSLSVVDANKVIIGEAGAIEPLVLLLNEGSQRGKRDAAAALFNLCIFPGNRGKVLKAGVMPTLMGLLMHPQGDMVDEALAILAILSSHPQGKVAIGAAEALPLLVELIRSGSPSNKENAATILVHLCNSEEQHLAAAQVQGVIGPLYEMTESGTDRGKRKAVQLLGCMHKFLEQQHEARDQVQAKAQAQAQARAQAPADQ >Ma04_p29970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30737369:30743632:1 gene:Ma04_g29970 transcript:Ma04_t29970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRRIHAGTLASSFVFLLLAVIYFRGCGAELDARGAERSALLQFKRSVSADPAELLRGWNSSVDHCFWPGVACDGRYRVVSVNISAKGGSLPLPCARFGPYRRSCGDPGRRLAGRLSAAVGSLSELRVLSLPFHGFDGEIPVGIWGLENLQVLDLEGNSISGSLPSRLPRWLRVLNLASNSIEGEIRPSLSRCIDLETLDLSGNQINGTIPKFLGGLSKLRELYLSFNRLGGSIPDEIGYGCRSLQILDLAGNLLVGSIPSNLGSCTELQVLLLFSNLLDGYIPSDLGRLNKLQVMDVSRNSLSGTVPAELGNCLELSVIVLLNLYEPVLQEAASNSVDMDEFNYFQGRLAENITALPKLRVLWAPRAMFQGEIPGNWGTCESLEMLNLGQNLFTGQIPKVFGQCKNLRFLNLSSNSLTGWLDKELPVPCMDVFDISGNRLSASIPRFTYKECPSSQFPLDDVSLAYTSFFAYKSRTGVDFPILETDGEFLIYHNFGKNDFKGTLPFLPLASHRYGNQTVYAFIANGNHLFGSLNALILEKCNKVNRLIMDLSNNMVHGVVSSEVGAVCSSLVVLDVSNNQISGTIPASFGLLWKLVNLDMSRNWLQGKIPDSIKQLKSLTYLSLASNNLSGHIPFGMDQLQSLKVLDLSSNSLTGYIPSDLVKMTNLTALLLNDNKLSGSIPSALANKTSLIKFNISVNNLSGPLPLNASTLRCDSVFGNPLLQPCHTYSLSVPSSDLQGSSQNPQAYTDSPPGSTPNDSGNSGFSSIEIASIASAAAIVSVLLSLIVLYIYTRKCAPRSSVRSSGRKEVAIFVDIGVPLTYESVARATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDIACALAYLHEQCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTDGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVKLLKELQPPHFGLG >Ma04_p29970.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30737369:30743632:1 gene:Ma04_g29970 transcript:Ma04_t29970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRRIHAGTLASSFVFLLLAVIYFRGCGAELDARGAERSALLQFKRSVSADPAELLRGWNSSVDHCFWPGVACDGRYRVVSVNISAKGGSLPLPCARFGPYRRSCGDPGRRLAGRLSAAVGSLSELRVLSLPFHGFDGEIPVGIWGLENLQVLDLEGNSISGSLPSRLPRWLRVLNLASNSIEGEIRPSLSRCIDLETLDLSGNQINGTIPKFLGGLSKLRELYLSFNRLGGSIPDEIGYGCRSLQILDLAGNLLVGSIPSNLGSCTELQVLLLFSNLLDGYIPSDLGRLNKLQVMDVSRNSLSGTVPAELGNCLELSVIVLLNLYEPVLQEAASNSVDMDEFNYFQGRLAENITALPKLRVLWAPRAMFQGEIPGNWGTCESLEMLNLGQNLFTGQIPKVFGQCKNLRFLNLSSNSLTGWLDKELPVPCMDVFDISGNRLSASIPRFTYKECPSSQFPLDDVSLAYTSFFAYKSRTGVDFPILETDGEFLIYHNFGKNDFKGTLPFLPLASHRYGNQTVYAFIANGNHLFGSLNALILEKCNKVNRLIMDLSNNMVHGVVSSEVGAVCSSLVVLDVSNNQISGTIPASFGLLWKLVNLDMSRNWLQGKIPDSIKQLKSLTYLSLASNNLSGHIPFGMDQLQSLKVLDLSSNSLTGYIPSDLVKMTNLTALLLNDNKLSGSIPSALANKTSLIKFNISVNNLSGPLPLNASTLRCDSVFGNPLLQPCHTYSLSVPSSDLQGSSQNPQAYTDSPPGSTPNDSGNSGFSSIEIASIASAAAIVSVLLSLIVLYIYTRKCAPRSSVRSSGRKEVAIFVDIGVPLTYESVARATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDIACALAYLHEQCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTDGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVKLLKELQPPHFGLG >Ma04_p29970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30737357:30743632:1 gene:Ma04_g29970 transcript:Ma04_t29970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRRIHAGTLASSFVFLLLAVIYFRGCGAELDARGAERSALLQFKRSVSADPAELLRGWNSSVDHCFWPGVACDGRYRVVSVNISAKGGSLPLPCARFGPYRRSCGDPGRRLAGRLSAAVGSLSELRVLSLPFHGFDGEIPVGIWGLENLQVLDLEGNSISGSLPSRLPRWLRVLNLASNSIEGEIRPSLSRCIDLETLDLSGNQINGTIPKFLGGLSKLRELYLSFNRLGGSIPDEIGYGCRSLQILDLAGNLLVGSIPSNLGSCTELQVLLLFSNLLDGYIPSDLGRLNKLQVMDVSRNSLSGTVPAELGNCLELSVIVLLNLYEPVLQEAASNSVDMDEFNYFQGRLAENITALPKLRVLWAPRAMFQGEIPGNWGTCESLEMLNLGQNLFTGQIPKVFGQCKNLRFLNLSSNSLTGWLDKELPVPCMDVFDISGNRLSASIPRFTYKECPSSQFPLDDVSLAYTSFFAYKSRTGVDFPILETDGEFLIYHNFGKNDFKGTLPFLPLASHRYGNQTVYAFIANGNHLFGSLNALILEKCNKVNRLIMDLSNNMVHGVVSSEVGAVCSSLVVLDVSNNQISGTIPASFGLLWKLVNLDMSRNWLQGKIPDSIKQLKSLTYLSLASNNLSGHIPFGMDQLQSLKVLDLSSNSLTGYIPSDLVKMTNLTALLLNDNKLSGSIPSALANKTSLIKFNISVNNLSGPLPLNASTLRCDSVFGNPLLQPCHTYSLSVPSSDLQGSSQNPQAYTDSPPGSTPNDSGNSGFSSIEIASIASAAAIVSVLLSLIVLYIYTRKCAPRSSVRSSGRKEVAIFVDIGVPLTYESVARATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDIACALAYLHEQCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTDGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVKLLKELQPPHFGLG >Ma04_p29970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30737369:30743632:1 gene:Ma04_g29970 transcript:Ma04_t29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRRIHAGTLASSFVFLLLAVIYFRGCGAELDARGAERSALLQFKRSVSADPAELLRGWNSSVDHCFWPGVACDGRYRVVSVNISAKGGSLPLPCARFGPYRRSCGDPGRRLAGRLSAAVGSLSELRVLSLPFHGFDGEIPVGIWGLENLQVLDLEGNSISGSLPSRLPRWLRVLNLASNSIEGEIRPSLSRCIDLETLDLSGNQINGTIPKFLGGLSKLRELYLSFNRLGGSIPDEIGYGCRSLQILDLAGNLLVGSIPSNLGSCTELQVLLLFSNLLDGYIPSDLGRLNKLQVMDVSRNSLSGTVPAELGNCLELSVIVLLNLYEPVLQEAASNSVDMDEFNYFQGRLAENITALPKLRVLWAPRAMFQGEIPGNWGTCESLEMLNLGQNLFTGQIPKVFGQCKNLRFLNLSSNSLTGWLDKELPVPCMDVFDISGNRLSASIPRFTYKECPSSQFPLDDVSLAYTSFFAYKSRTGVDFPILETDGEFLIYHNFGKNDFKGTLPFLPLASHRYGNQTVYAFIANGNHLFGSLNALILEKCNKVNRLIMDLSNNMVHGVVSSEVGAVCSSLVVLDVSNNQISGTIPASFGLLWKLVNLDMSRNWLQGKIPDSIKQLKSLTYLSLASNNLSGHIPFGMDQLQSLKVLDLSSNSLTGYIPSDLVKMTNLTALLLNDNKLSGSIPSALANKTSLIKFNISVNNLSGPLPLNASTLRCDSVFGNPLLQPCHTYSLSVPSSDLQGSSQNPQAYTDSPPGSTPNDSGNSGFSSIEIASIASAAAIVSVLLSLIVLYIYTRKCAPRSSVRSSGRKEVAIFVDIGVPLTYESVARATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDIACALAYLHEQCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTDGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVKLLKELQPPHFGLG >Ma04_p29970.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30737369:30743632:1 gene:Ma04_g29970 transcript:Ma04_t29970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRRIHAGTLASSFVFLLLAVIYFRGCGAELDARGAERSALLQFKRSVSADPAELLRGWNSSVDHCFWPGVACDGRYRVVSVNISAKGGSLPLPCARFGPYRRSCGDPGRRLAGRLSAAVGSLSELRVLSLPFHGFDGEIPVGIWGLENLQVLDLEGNSISGSLPSRLPRWLRVLNLASNSIEGEIRPSLSRCIDLETLDLSGNQINGTIPKFLGGLSKLRELYLSFNRLGGSIPDEIGYGCRSLQILDLAGNLLVGSIPSNLGSCTELQVLLLFSNLLDGYIPSDLGRLNKLQVMDVSRNSLSGTVPAELGNCLELSVIVLLNLYEPVLQEAASNSVDMDEFNYFQGRLAENITALPKLRVLWAPRAMFQGEIPGNWGTCESLEMLNLGQNLFTGQIPKVFGQCKNLRFLNLSSNSLTGWLDKELPVPCMDVFDISGNRLSASIPRFTYKECPSSQFPLDDVSLAYTSFFAYKSRTGVDFPILETDGEFLIYHNFGKNDFKGTLPFLPLASHRYGNQTVYAFIANGNHLFGSLNALILEKCNKVNRLIMDLSNNMVHGVVSSEVGAVCSSLVVLDVSNNQISGTIPASFGLLWKLVNLDMSRNWLQGKIPDSIKQLKSLTYLSLASNNLSGHIPFGMDQLQSLKVLDLSSNSLTGYIPSDLVKMTNLTALLLNDNKLSGSIPSALANKTSLIKFNISVNNLSGPLPLNASTLRCDSVFGNPLLQPCHTYSLSVPSSDLQGSSQNPQAYTDSPPGSTPNDSGNSGFSSIEIASIASAAAIVSVLLSLIVLYIYTRKCAPRSSVRSSGRKEVAIFVDIGVPLTYESVARATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDIACALAYLHEQCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTDGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVKLLKELQPPHFGLG >Ma04_p29970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30737369:30743632:1 gene:Ma04_g29970 transcript:Ma04_t29970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRRIHAGTLASSFVFLLLAVIYFRGCGAELDARGAERSALLQFKRSVSADPAELLRGWNSSVDHCFWPGVACDGRYRVVSVNISAKGGSLPLPCARFGPYRRSCGDPGRRLAGRLSAAVGSLSELRVLSLPFHGFDGEIPVGIWGLENLQVLDLEGNSISGSLPSRLPRWLRVLNLASNSIEGEIRPSLSRCIDLETLDLSGNQINGTIPKFLGGLSKLRELYLSFNRLGGSIPDEIGYGCRSLQILDLAGNLLVGSIPSNLGSCTELQVLLLFSNLLDGYIPSDLGRLNKLQVMDVSRNSLSGTVPAELGNCLELSVIVLLNLYEPVLQEAASNSVDMDEFNYFQGRLAENITALPKLRVLWAPRAMFQGEIPGNWGTCESLEMLNLGQNLFTGQIPKVFGQCKNLRFLNLSSNSLTGWLDKELPVPCMDVFDISGNRLSASIPRFTYKECPSSQFPLDDVSLAYTSFFAYKSRTGVDFPILETDGEFLIYHNFGKNDFKGTLPFLPLASHRYGNQTVYAFIANGNHLFGSLNALILEKCNKVNRLIMDLSNNMVHGVVSSEVGAVCSSLVVLDVSNNQISGTIPASFGLLWKLVNLDMSRNWLQGKIPDSIKQLKSLTYLSLASNNLSGHIPFGMDQLQSLKVLDLSSNSLTGYIPSDLVKMTNLTALLLNDNKLSGSIPSALANKTSLIKFNISVNNLSGPLPLNASTLRCDSVFGNPLLQPCHTYSLSVPSSDLQGSSQNPQAYTDSPPGSTPNDSGNSGFSSIEIASIASAAAIVSVLLSLIVLYIYTRKCAPRSSVRSSGRKEVAIFVDIGVPLTYESVARATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDIACALAYLHEQCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTDGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVKLLKELQPPHFGLG >Ma04_p29970.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30737369:30743632:1 gene:Ma04_g29970 transcript:Ma04_t29970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRRIHAGTLASSFVFLLLAVIYFRGCGAELDARGAERSALLQFKRSVSADPAELLRGWNSSVDHCFWPGVACDGRYRVVSVNISAKGGSLPLPCARFGPYRRSCGDPGRRLAGRLSAAVGSLSELRVLSLPFHGFDGEIPVGIWGLENLQVLDLEGNSISGSLPSRLPRWLRVLNLASNSIEGEIRPSLSRCIDLETLDLSGNQINGTIPKFLGGLSKLRELYLSFNRLGGSIPDEIGYGCRSLQILDLAGNLLVGSIPSNLGSCTELQVLLLFSNLLDGYIPSDLGRLNKLQVMDVSRNSLSGTVPAELGNCLELSVIVLLNLYEPVLQEAASNSVDMDEFNYFQGRLAENITALPKLRVLWAPRAMFQGEIPGNWGTCESLEMLNLGQNLFTGQIPKVFGQCKNLRFLNLSSNSLTGWLDKELPVPCMDVFDISGNRLSASIPRFTYKECPSSQFPLDDVSLAYTSFFAYKSRTGVDFPILETDGEFLIYHNFGKNDFKGTLPFLPLASHRYGNQTVYAFIANGNHLFGSLNALILEKCNKVNRLIMDLSNNMVHGVVSSEVGAVCSSLVVLDVSNNQISGTIPASFGLLWKLVNLDMSRNWLQGKIPDSIKQLKSLTYLSLASNNLSGHIPFGMDQLQSLKVLDLSSNSLTGYIPSDLVKMTNLTALLLNDNKLSGSIPSALANKTSLIKFNISVNNLSGPLPLNASTLRCDSVFGNPLLQPCHTYSLSVPSSDLQGSSQNPQAYTDSPPGSTPNDSGNSGFSSIEIASIASAAAIVSVLLSLIVLYIYTRKCAPRSSVRSSGRKEVAIFVDIGVPLTYESVARATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDIACALAYLHEQCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTDGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVKLLKELQPPHFGLG >Ma02_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10581520:10583461:-1 gene:Ma02_g01600 transcript:Ma02_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAQDVMAKRPSTSRFGRVCVFCGSSPGKKASYQLAATQLGHELVQRNIDLVYGGGSIGLMGLVSRAVHDGGRHVLGVIPRTLMPREITGETVGELRPVSGMHQRKAEMARQADAFIALPGGYGTLEELLEAITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFIAPAARHIIISSQTAHELLSKLEEYVPTHDGGAPKLSWEMEQLGHSPKLDISR >Ma03_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7265454:7266280:1 gene:Ma03_g09810 transcript:Ma03_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPPPKPSTQFQMVTAAERCTAHALPEAVARHHEHAAGPKQCCSAVVQAVAAPVGAVWSVVRRFDEPQAYKHFVKSCRVVGGNGGVGTLREVRVVSGLPAATSTERLEVLDDEHHVLSFRVVGGDHRLANYRSVTTLHPAAGGGGGTEVVESYVVDVPPGNTREDTRVFVDTIVKCNLQSLARTAEALHRRHVAAAPTATP >Ma05_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8670222:8673191:-1 gene:Ma05_g11870 transcript:Ma05_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPLSIPRSVFSFPSFPVLGINVSEYISTS >Ma08_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5588908:5591821:-1 gene:Ma08_g08070 transcript:Ma08_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWEDFYTVMCAMIPLYFAMFLAYGSVKWWKIFTPEQCSGINRFVATFAVPVLSFHFISHNNPYQMDSRFILADTLSKLLVLMAISLWAALSAAFASPRRRGRRLDWVITLFSVGTLPNTLVMGIPLLRAMYGDFTQSLMVQLVVLQCIIWYTLLLFLFEYRAATLLIEDQFPGTAAAAIAKFEIDGDIISLDGRDPIQAESEIDADGRLRVRIRRSTSSAPGSGMSSSLGITPRRLSNVSGAEIFSVNTPARQPQPAPAEQLTVRDITFGYRSASPHPSGYASSDAYSLQPTPRASNFNELEICTPVWVRSPAVAGGVKLAWEGCGGRCGQVDKDVGAGEKDLSFRSTSKFVVRKEDEIEMEGEAEQEMPAALVMLRLILTVVGRKLSRNPNTYSSILGLLWSLISFKWDISMPSLLKESIKIISDAGLGMAMFSLGLFMALQPRIIACGPKMAAISMAIRFLSGPTVMSAASMAVGLRGVRLHTAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVSLPVTLLYYILLGL >Ma07_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5747585:5753478:1 gene:Ma07_g07720 transcript:Ma07_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLFSPVNSSPSSCALNPRLLSTPHSPLRPHFCTAARTRRRFRRRPPVGDGMASPSAAPLQPPLRRKEGVGGGFEGYDDEEAALQPLEPDSAEVETPSSGRMMGTSRSRNQLLVAKGDKLSSYTAGEAQLSNTNIPQRSRSKISSITCFGVDLTPDNFAVAIVYFVQGVLGLAGLAVSFYLKDDLHLDPAETAVITGLSSLPWLIKPLYGFISDSFPLYGYRRRSYLFLSGVLGALSWSLMATLVDSKYSAGICILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDAFGVRFVFGVTAFLPLMTSAVALLVKEQSFNATRGHNSSSASSGFLQSSKQSILQLWATIKQPDILYPTLFLFLWQATPQSESAMFFFVTNKLGFTPEFLGRVKLVTSVASLVGVALYNSYLKNVPLRKIFLVTTIFGSALGMTQVFLVTGLNRQFGINDEWFSIGDSLIITVLSQASFMPVLVLAARLCPSGVEATLFATLMSISNAGGVTGGLIGAGFTQLLGVTKDNFENLALLIVICNLSSMLPLPLLRLLPEEEEDLKNLDIEQAKLN >Ma09_p16920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12411673:12414032:1 gene:Ma09_g16920 transcript:Ma09_t16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKSKGNGIEKSLSIEEQKAKINEVREILGAMVNMLPNFCSDASVSRYLRARNWNVERASKMLKESLKWRLEYKPETIRWEDVAHEAETGKIYRADYLDKNGRAVLVMRPGFQNTSSSKGQIRYLVYCMENAIFNLAANQEQMVWLIDFQGWTMASVSVKVTRETAHILQDYYPERLGLGILYNPPRIFESFWKVVKPFLEHKTYRKVKFVYSDNTESQKIMTDLFEMDKLESAFGGHNPAGFDLNKYAEKMKEDDQKMSAFMESASSVFFQEQSHVSVLQPEFSVTEHQLESSSDSSPSSDAESPRRVDTKISSADEMKEQLNCKDTTIAESGVSHPLIHMNDFA >Ma09_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12411683:12414032:1 gene:Ma09_g16920 transcript:Ma09_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKSKGNGIEKSLSIEEQKAKINEVREILGAMVNMLPNFCSDASVSRYLRARNWNVERASKMLKESLKWRLEYKPETIRWEDVAHEAETGKIYRADYLDKNGRAVLVMRPGFQNTSSSKGQIRYLVYCMENAIFNLAANQEQMVWLIDFQGWTMASVSVKVTRETAHILQDYYPERLGLGILYNPPRIFESFWKVVKPFLEHKTYRKVKFVYSDNTESQKIMTDLFEMDKLESAFGGHNPAGFDLNKYAEKMKEDDQKMSAFMESASSVFFQEQSHVSVLQPEFSVTEHQLESSSDSSPSSDAESPRRVDTKISSADEMKEQLNCKDTTIAESGVSHPLIHMNDFA >Ma09_p16920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12409838:12414032:1 gene:Ma09_g16920 transcript:Ma09_t16920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKSKGNGIEKSLSIEEQKAKINEVREILGAMVNMLPNFCSDASVSRYLRARNWNVERASKMLKESLKWRLEYKPETIRWEDVAHEAETGKIYRADYLDKNGRAVLVMRPGFQNTSSSKGQIRYLVYCMENAIFNLAANQEQMVWLIDFQGWTMASVSVKVTRETAHILQDYYPERLGLGILYNPPRIFESFWKVVKPFLEHKTYRKVKFVYSDNTESQKIMTDLFEMDKLESAFGGHNPAGFDLNKYAEKMKEDDQKMSAFMESASSVFFQEQSHVSVLQPEFSVTEHQLESSSDSSPSSDAESPRRVDTKISSADEMKEQLNCKDTTIAESGVSHPLIHMNDFA >Ma08_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2162942:2165828:-1 gene:Ma08_g02890 transcript:Ma08_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEREDGAALLDVDESSRAAEEVLGAEPVPLRAVSRLAAWESRNLWRLSWASILVQLFNFMLSLVTQMFVGHLGKLDLAGASITNVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYRALGIICQRALILHFAAAIILAFLYWYSGSLLRAIGQSESIAAVGQLYARGLLPQLVAFALYCPMQRFLQAQNIVNPMAYIAVAVLLFHVLISWLAVFVFRFGILGAALTLSFSWWVLVLITWLFIIFNPACKDTWTGFSSQAFKGLWSYLKLTVASAIMLVLEIWYVQGFVLLTGYLKNPEVSLDAVSICVNYWNWDFMIMLGLSNAASVRVGNELGAAHPRVARLAVIVVVATSLGISVVISVLVLLLRTPLSKLYTSSTDVIQMVVQLTPLLAISIFLNGVQPILSGVAIGSGWQGIVAYVNVGAYYFIGLPIGCVLGFKTSLGAAGIWWGLIIGVFVQTLALVVLTARTNWNKEVDKAVERLKHNADDEVLAITNI >Ma01_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2504347:2505000:-1 gene:Ma01_g03760 transcript:Ma01_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWSLVLVLLCILHLHSTPDARRLNDKDEAHAESQLFSLKAGSGGGGGRGFGIGISHNGSGTDVAIGAGVGGGVGTTHGGGASAGGGAGVGAGIHVGKGGVDVAIGVGGGGAVSARNGSVHAGGGGGAGVGISVEHGHVSVSGGGGLGGGGGSGGSGGGASGGGSGVGSAGGVVARGGGYASAGGSSGGGGGGGDGSSGGAEGGGSGGGGGGGRG >Ma11_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23203536:23207306:-1 gene:Ma11_g18050 transcript:Ma11_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGNQQLPGSDDVEKKKVASLRALVEGQVPAAKEVDDLMLRRFLRARNLDVEKASALFLKYLEWRCTAVPNGFISETEIKNELSHKEVFTQGFDKLGRPIVVYHPAKHFCSNREMDELKRFVVYILDKLCARMPTDQEKFTCIADLNGWGYSNCDIRGYLASLDILQNRYPERLGKAYLIHVPYIFMKVWKILYQFLDKNTKEKFIFIENKDLKATLLEEIDESQLPEIYGGKLPLVPVEESTI >Ma02_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24235395:24236769:-1 gene:Ma02_g17230 transcript:Ma02_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFNVIILGQAQSRYEVGACRKKTPLMSFILTDLTISSSVTSCW >Ma06_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9788414:9789563:-1 gene:Ma06_g14260 transcript:Ma06_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSPGNIVFFSVSKEKDLLYSYNGGGQEIQALALSWSDKAKYHHAWHSHTVDNMTFGFLMQDGYTFFAIDDDGNTGNSEILLFLERLRDAFREVAKDDKVGDELSWVIRVRAGDKTRNASMKAQQLSGDTHEEKSPEDVGGISSRALKVDVLQGPAGGVISLSRSLSCRLMAQQRARRLWWRQVKIVAAVDALLCLTMFAVWLVVCEGFQCVS >Ma01_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12815424:12828511:-1 gene:Ma01_g17510 transcript:Ma01_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHLPPHHLKSAELSHRNAPHHTGRSPPLLIAGLPSRLIEFPVLHFHDMATGQLFSQKTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIVNPGSEGFQKLFFGQEEIAIPVHSSIETACTTHPTADVFINFASFRSAAASSMAALNQPTIRVVAIIAEGVPESDTKELIAYARANNKVVIGPATVGGIQAGAFRIGDTAGTLDNIIKCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVDALKEGKVQKPVIAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALREAGAVVPSSYEAFENAIKETFEKLVEGGKIPPVSEVKPPQIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIERGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDKGLAPYEFVESMKKKGIRVPGIGHRIKSRDNRDKRVQLLQQYAHTHFPSVKYMEYAVKVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSVGLIGHTFDQKRLKQPLYRHPWEDVLYAK >Ma03_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7248131:7254851:1 gene:Ma03_g09780 transcript:Ma03_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLEAFLGFASIRARKERESLARSDAAASDCRTAIPSSLPSDVDPLLFPTPRGGDSPPPSSSSVLEPPSYADVVFGPFESSNGASDQGPFLRLDASPRSVRAVASDYRRIAVSDPQTEVEASNSLVPGGTTYVTYLITTLVRPGSDDAGPEFSVRRRFRDVVTLADRLSEAYRGFFIPPRPDKNLVDSQIMQKHEFVEHRRLALEKYLRRLAEHPVIGKSDELRVFLQEKGKLPLPTTTDVASRMMDGAVRLPKQLFGEWAAAQVAPQDIVQPAKGGRDLLRIFKELKQAVVNDWSGVKPSVVEDKEFLERKEKMQDLEWQLTPASQQAEALIRSQQDVGEIMGGLGLTFIKLIKFETEESVYRSQRIRVADTKNVATAAVKASRLYQELNAHTVKHLDTLHEYLGLTVAARSAFSDRTSTLLTVQTLMSDLTTLNTRVEKLEAASSKIFGGDRSRLRKVDELRETIRVTEDAKSCAIREYERIKKNNRNELDRLDREKHDDFLSMLKGFVINQVGYSEKIASVWATVAEETSRYARDNN >Ma09_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6815526:6820115:1 gene:Ma09_g09970 transcript:Ma09_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGAQKSIHAAKIDFNVDLTQKLCGALLLPHIRQSTDPFSQVIARLSIKHPNLFGRNEKLEVLWNKGLDDSNILIAFRRPRPDWTSQQSFTIQFSVTPETAVHGLPVDHFSHSESGSVNLCRFSAGVDFNEPSTSHWSSTTGIKFEHVHPINNDGHMISRDVDGFPITSSGSTYDNMVILKQEAQCAIASDDSFTRLNFQMEQGLPLLSKWLIFNRFKFVASKGFKLGPTFLVTSLKGGSIVGDMAPYQAFAIGGIDSVRGYGEGAVGSGRSCLVANSEFTIPVTKELEGAIFMDCGTDLGSSRHVPGNPALRQGKPGFGVGVGYGIRFSSHLGQLRVDYAMNAFRQKTVYFSINSVSS >Ma09_p09970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6815526:6820115:1 gene:Ma09_g09970 transcript:Ma09_t09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGAQKSIHAGKAKIDFNVDLTQKLCGALLLPHIRQSTDPFSQVIARLSIKHPNLFGRNEKLEVLWNKGLDDSNILIAFRRPRPDWTSQQSFTIQFSVTPETAVHGLPVDHFSHSESGSVNLCRFSAGVDFNEPSTSHWSSTTGIKFEHVHPINNDGHMISRDVDGFPITSSGSTYDNMVILKQEAQCAIASDDSFTRLNFQMEQGLPLLSKWLIFNRFKFVASKGFKLGPTFLVTSLKGGSIVGDMAPYQAFAIGGIDSVRGYGEGAVGSGRSCLVANSEFTIPVTKELEGAIFMDCGTDLGSSRHVPGNPALRQGKPGFGVGVGYGIRFSSHLGQLRVDYAMNAFRQKTVYFSINSVSS >Ma11_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6609958:6613821:-1 gene:Ma11_g08300 transcript:Ma11_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYMFKYDTVHGSWKHHEIKVKDSKTLLFGDKQVTVFGVRNPEEIPWGETGAEYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNENEYKPDINIVSNASCTTNCLAPLAKVVHDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKEATYEEIKAAIKEESEGKLKGILGYVEEDLVSTDFVGDKRSSIFDAKAGISLNGKFVKLVSWYDNEWGYSSRVIDLIRHMHKTQ >Ma08_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4376532:4379031:-1 gene:Ma08_g06480 transcript:Ma08_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGKPAMVVGIDDSEHSFYALQWTLRRFFSVADSAFKLVVVHSKPSPASVVGLAGPGAADVLPFVESDLKKIALLVIEKAKDLCSAFPVGDIQYELVEGDPRNALCDAAEKHQAEILVVGSHGYGAIKRVVLGSVSDHCAHHAQCTVMIVKKPKPKH >Ma02_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24644315:24655288:1 gene:Ma02_g17920 transcript:Ma02_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHSSSASGGGVARVASSSSLGGGGGRAVKVIPLQDPAPPSPYLQSAALASRWAEKVRGMSALAWLELLLPCSRWIRTYRWRESLQADLMAGITVGVMLVPQAMSYAKLAGLQPIYGLYGGFVPVLVYAVFGSSRQLAVGPVALTSLLVSNVLSPIVDSSDELYTELAILLALMVGILECLMGLFRLGWIIRFVSHSVISGFTTASAIVIALSQSKYFLGYSIVRSSKIIPLVKSIIAGADDFSWPPFVMGSIFLTVLLTMKHLGKSNKNLRGVRAAGPLTAVVLGTIFVKIFHPSSISVVGEIPQGLPKFSIPRGFEHVKSLISTAFLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANICGSFFSSYPSTGSFSRSAVNNESGARTGLSGIIMGIIMACALLFLTPLFTEIPQCALAAIVISAVMGLVDYEEAIFLWCLDKKDFLLWTITFITTLFFGIEIGVLIGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNILQYPEAYTYNGIVIIRIDSPIYFANISYIKDRLREYELDLDGSTKRGPDVGRIYFVIIEMSPVTYIDSSAVQALKDLNQEYRSRGIQIAIANPNREVHLLLSRSNLIEMIGKEYFFVRVHDALQVCLQHVQSLNPSSPKVSSGHTPPRTRSFLQSIWKQGSDGSTPEVEPLLPRNEV >Ma04_p07290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5294792:5298187:-1 gene:Ma04_g07290 transcript:Ma04_t07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAARVIMDRETGRSRGFGFVTFTSSEEASAAISGMDGKDLHGRIVRVNYAMERSGGFRGGYGGGGFGSGGGYGGGGGSYGSSGHGRGGGYGSGGNGASNYGGESYNTGPRGGGGGFGGSSYGGYDGMNTVGSNYGVVGGDDGRDSSIGRADGTYGGGTGNYRGNNRVYHCSESGNNDSAATVSGNNAKYDDDTGAYDGSGSTKDGNDDQDLFEDDIKDDDDEPDDYANKRSQ >Ma04_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5294792:5298314:-1 gene:Ma04_g07290 transcript:Ma04_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAARVIMDRETGRSRGFGFVTFTSSEEASAAISGMDGKDLHGRIVRVNYAMERSGGFRGGYGGGGFGSGGGYGGGGGSYGSSGHGRGGGYGSGGNGASNYGGESYNTGPRGGGGGFGGSSYGGYDGMNTVGSNYGVVGGDDGRDSSIGRADGTYGGGTGNYRGNNRVYHCSESGNNDSAATVSGNNAKYDDDTGAYDGSGSTKDGNDDQDLFEDDIKDDDDEPDDYANKRSQ >Ma04_p07290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5294792:5298314:-1 gene:Ma04_g07290 transcript:Ma04_t07290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANRIGNLLKNSVTLSPSLNQAIRCMSSSKVFVGGLSYGTDDYSLREAFTNYGEVVEARVIMDRETGRSRGFGFVTFTSSEEASAAISGMDGKDLHGRIVRVNYAMERSGGFRGGYGGGGFGSGGGYGGGGGSYGSSGHGRGGGYGSGGNGASNYGGESYNTGPRGGGGGFGGSSYGGYDGMNTVGSNYGVVGGDDGRDSSIGRADGTYGGGTGNYRGNNRVYHCSESGNNDSAATVSGNNAKYDDDTGAYDGSGSTKDGNDDQDLFEDDIKDDDDEPDDYANKRSQ >Ma11_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26383759:26385721:-1 gene:Ma11_g22700 transcript:Ma11_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAKAAKLVVFPLLLLHLLSLSAALSVGVNYGAFADNLPPPAQVAAFLKDRTFVDRVKLFDANPDIIRAFAGTGISLMITVPNGDIPSLASRRSSAPSPAASAWVAINVAPFYPATNISLIAVGNEVLATSDRNLIAHLVPAMRSLSAALAASGFPQIRVSTPHSLGILSASEPPSTGRFRPGYDRVIFAPMLDFHRRTRTPFVVNPYPYFGYTARTLDYALFRPNPGVFDPATGVNYTNMFVAQLDAVQAAMQRLGYGDVEIAVGETGWPSAGDPGQLGVSVEDAVSYNANLIRLVNSGKGTPMMPGRRFETYVFALFNENLKPGPTAERHFGLFNADLSPSYDVGLMRDSDNAPAPSGDSWRWCVATADASLAELQNNIDYACGSGGADCGAIQNGGACFDPNTLLAHASYAMNAYYQAAGRHDFNCYFGGSGVLTSTDPSYGNCRYQT >Ma10_p28360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35266329:35275145:1 gene:Ma10_g28360 transcript:Ma10_t28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLENPDSPDFPFHWGEKGEFLEDKYVQFYKSFTYYDEEYFLYDSVYLYVTCQDIPFIGKILEIWEQQSHDRKVKILWFFRPNEIVNYLGDQVPLEREIFLASGNGLGLCNVNPLEAIAGKCRVICTSKDQRNQQPSTQELENAEFIFFRTFDVVNFTISDVMPDKISGVNVKYLLNQKKDQEAFLTKSKTSTSVAEDRSQKKLRLTDKSTDPSINLLTNKTVTGLKELRIECGEESDVALKKLELGKWAKYDELQLKKDPNPVRKDTVIDHTSDASRSQFLDRRKWFQHSWEAQLEAANQGGRLVLLGNLDPSITSQEIEDIMYKVFQQQCHVKVIQQATFQIHNYGQAYVIFKAKDRAEQAVKAINGGCLMLSQERPLVSSIGMLKIPAKASTLVGHLPTYRGRFQVQREEMICAVSTSHGSQPNTMEYDLALEWFLLQDKYLLTQKLLHESQLKERIYFRNHLKSK >Ma11_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7026839:7034934:-1 gene:Ma11_g08870 transcript:Ma11_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQALMQQALLLQQQQQQQQQQQQQQQSLYPHPGLLAAPQIEPVLSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRRSAALAIIALNGRQLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGANASEDKQNSDSKSVVDLANASADGQENTNDDGPESNPQFTTVYVGNLAHEVTQLDLHRHFHSLGAGVIEEVRIQRDKGFGFVRYGNHSEAALAIQMGNGRILCGKPIKCSWGSKPTPPGTASTPLPPPASASFSGLTATDLLSYDRASLLGKMTASEALMYAQSQQALKQAAMGMGAGASQAIYDGGFQNVNAAQQFMYY >Ma11_p08870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7026839:7034934:-1 gene:Ma11_g08870 transcript:Ma11_t08870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQALMQQALLLQQQQQQQQQQQQQQQSLYPHPGLLAAPQIEPVLSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRRSAALAIIALNGRQLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGANASEDKQNSDSKSVVDLANASAEDGQENTNDDGPESNPQFTTVYVGNLAHEVTQLDLHRHFHSLGAGVIEEVRIQRDKGFGFVRYGNHSEAALAIQMGNGRILCGKPIKCSWGSKPTPPGTASTPLPPPASASFSGLTATDLLSYDRASLLGKMTASEALMYAQSQQALKQAAMGMGAGASQAIYDGGFQNVNAAQQFMYY >Ma04_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17580467:17580896:-1 gene:Ma04_g17300 transcript:Ma04_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFQTEKIPCNLKFTRQFREYLEHVLEYLISFLECTQPLQHLDKLFA >Ma08_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35302288:35304128:1 gene:Ma08_g21430 transcript:Ma08_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSDLVVARKNTYELVHGLMRSGAD >Ma03_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1695510:1701179:-1 gene:Ma03_g02490 transcript:Ma03_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFPSCSIFSTRCIHTDGESSRLRCERSPLVSRWETDSGLADVEVAYDPLDLLPEDPFGMGLGDLFGWTLLYSSETQFCHHGWMEGCDGWVNESSTEFSVHEAQSGNLESSSDAGQSSNCNHSEAFSSGDVGMPHDGLFFCLAYMDVQSLLSMGGVCRSLRLAVQRDNLLWRCIHINSPLSEKITDDILLRLTLQAREYLQCLSMSSCSKITDDGLKLVLDNCPRLKKLSVPGCVRLSIDGIINNLKAFQSRGMPGIEHLKLGGFFIISPQQYGELKMLLGVDQCQQGQTRNPRFYHIHRLSPACYDDCILDIEMCPVCHRYKLVYDCPSESCQEKGPNHCRACDVCIGRCVQCGKCVKDCTYVETFFLEYLCSDCWKPPPVHRDTEVKWPLVLHDTDEAK >Ma06_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1689599:1694418:-1 gene:Ma06_g02110 transcript:Ma06_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAARNRLLNHLRYRSFSSASAILNPSDPSAVLTSKQKSRAALALLKSETDPSRIIDICRAAALSPSSSHLDRVALSNAVSALADSRSFSHVRSLLDSLPRSDLPHSIVLFGQAGMLEDAIRTFRGSNSSSVRTLNALLFACILAGRHDEVGRIFREFPGTHGIASNVETYNTVVKAFCESGTSRSFYSVLDEMCRAGIKPNMTTFCTALAGFYKEERFDDVDKVLELMKKHDCHPGLSAYNVRIQSLCKLKRPGDAKALFKEMMTKGMKPNWVTFNHLIFGFCKQGDLEEAKKFYRQMRGRGCVPDSSCYFTFIYYLCEGGDFEAALSVCKETMAKNWVPCFSTMKMLVKGLLSNSKVEEAREIMERVKEKFSGNSDMWKEVEEALPQ >Ma11_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5236685:5249667:-1 gene:Ma11_g06440 transcript:Ma11_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSSISVIVPPSKPSSCSFRSRGLDLSRRAHLRSAAFSVDPSLALRQRRKARRRGRGDGFTSFVSASADYYSTLGVPKSASAKEIKAAYRRLARQYHPDVNKQPGATEKFKEISTAYEVLSDDKKRALYDQYGEAGVKSGVGGPAGAYTTNPFDLFETFFGASMGGFSGMDQTTFRTRRYGAAVKGEDIRYDITLEFPEAIFGGEREIILSHLETCDACTGTGSKIGSKMRICATCGGRGQVMRTEQTPFGLFSQVSICPTCGGEGETISEYCRKCAGEGRLRVRKDIKVKIPPGVSKGSTLRVRGEGDAGLKGGPPGDLYVCLDIKEIPEIQRDGINLCSTVTINYIEAILGTTVKVKTVDGINELQIPPGTQPGDVVVLAKKGVPKLNKPSIRGDHLFTIKVTIPNRISGKERELLEELASLSRDSVSRASPRTSTKAQVNRAESQKSLEAEESEKPSQNDFWQSLKDFAGSVANGALKWLKDNL >Ma11_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6097567:6102913:1 gene:Ma11_g07660 transcript:Ma11_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATSAVVGLSTGKRLLTSSFCPTDLAEKLFPILDQTTLPFPTASTKSVVVAQKPSPFRPNAPPTRHVPIIKALKEHVHTLAPSPTDLESSLEALILLQKSMLEKQWELPFTQMTTVAAPGNGCRVPEIARSGISARERRMISRRKCIGHRDAMAPASRVRQLRSCVSPELLNSDASGYVRGTVSESLLTHAEVVNLSKKIRAGMRVEEHRSRLKEKMGYEPTDKQLASSLRMSRAELHTKMIECSLAREKLAMSNVRLVMSIAQKYDNMGTAMADLIQGGLIGLLRGIEKFDASKGFKISTYVYWWIRQGVTRTLFKNSRTLRLPAHLHERLGSIRHAKIRLEEKGIAPSIDKLAESLNMSQKKVRNATQAASIVLSIDREAFPSLNGLPGKTLHSYIADRNLENNPWHGFEEWSLKDEVDKLLYMTLSNRERDIISLYHGIDNECHTWEDIGKKFGLSRERVRQVGLVAMEKLKHAARRRKLEAMLVKQ >Ma05_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27329409:27334241:1 gene:Ma05_g19240 transcript:Ma05_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAEENELQISAVLFEHAIRAADFSSESLSCLPEAPWKIPTEEYETRKDIRNTCTFTIDPASATDLDDALSIEKVSEKVFRIGVHIADVSRFVLPDTALDREARIRSTNVYTPQHKLPMLPPELSEEACSLVRGEDRLAFSITWDIDDTGNITVRWIGRFVIHSCCKLSYDDMQDIIDGGFEVDVSGKTVPKMHGQFDLKDVDSLRSLHGITKKIREIRLRNGAFWIETPKLVFLLDESGNPNDGFLGVRKESSCLVDELMLLANESVAELPRLFPSCALLRRHIVPMSMKLKEFQEFCRKRGLALDASSYGKL >Ma09_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34117032:34118096:1 gene:Ma09_g22130 transcript:Ma09_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANLPRSHKLHSKLLMAVDSSSSSNALLLSIFLALSLLIPAASSDISSDVAECGSHLLAMQTCITFVQGTAEAPTPDCCAGLKTVLANRPKCLCILVKMHDDPQLPIKINVTRALALPTACSARANISKCPQILKLPPNSKEAEIFKQSGSPTQAKGNSTINTTTGTSPRASSETSSGRSDYLEWRGWLARKAVVACVLFLVMPLPLST >Ma06_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5752061:5757018:-1 gene:Ma06_g08110 transcript:Ma06_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMSSEERAADAPGSRPSDGWKGRIIVPTLLAGVVGGGAGLVSKHRKVLGTGTIAATYAADLAIVTGCYCGAREIARDARASEPDDLFNSVIGGIASGALLGRLQGGQLGAAKYAIIFAAAGTALDFTTIQLRPRFQSFKNTLTRIKNGNSSWSFPEWSPIQVLDEEALAAKRAREQQLYGKRTFDKLNKEE >Ma10_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4769358:4774028:1 gene:Ma10_g01530 transcript:Ma10_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSFISANARSSATMDVFAVHVDNEVQSESNIGRNEKLCTLCEEYASQVINYLGENETQSLIISRLHETCSQLHSLKRQCLSLVDYYVPILFVELSTISPEQLCEKVNLCGEAVLVNLPKNDDACTLCHNIVAEILTKLTDPDRQLEVIEILLKGCNKMGNYAKKCKKMVLEYGPLILINAENFLQKNDVCAAIHACQDSKVDLTGSVLADA >Ma06_p24170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22599413:22602659:-1 gene:Ma06_g24170 transcript:Ma06_t24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVENESHRHHHQLLPPLQPQRRIPSSTCDLHPGETVTGFCASCLRERLAGLEAPAAASGRRSTSALRSVFSMVTVGAGPAAGPSSLRRSKSFSFSRGGDVFSAQRPRASALEPQRRSCDVRGRSTLWSLFHQDDRDRVGQNPSIPPFSSSSSSSSTSTSSAAAAATAPSTSGAIEVDCRNQGLPGPSLAPPVSGTCEEYEIGHEIRPIGTSGEINEEGKGAAEEEMELKPMKDHINLDSEQQQQHQAKKPPQKDFKEIASSVWLAASVFSKKLQKWRRRQKPKNQGGEATMPAEEPPKTSRRFHDTQSEVAMDCSGRRSCDTDPRFSLDAARMSIDDPRFSWDEPRASWDGYLIGGRSVFPRLPPILSVVEDAPAPAVQRSDYLIPVEADAAIPGGSAQTRDYYLDSSSQRRRSLDRSSSIREQPVEISEPKPVSNSRVSPAGGMDFFPFHHGASLEREVKDWSSNSLRDDYSGSFESAFRDLNKGASAKKSSRWSKAWNIWGLLQRRNSSRGEANMVDRSLSESWPELRCKSTNGRILRSNSSVSSRVSSHANAGYGVMRTSSMRSSGNDKKKSRDEPVLERNRSARYSPNHIENGMLRFYLTPKRNARRNGVSASRRQMIPSPYLARSMLGLY >Ma06_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22599085:22602659:-1 gene:Ma06_g24170 transcript:Ma06_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVENESHRHHHQLLPPLQPQRRIPSSTCDLHPGETVTGFCASCLRERLAGLEAPAAASGRRSTSALRSVFSMVTVGAGPAAGPSSLRRSKSFSFSRGGDVFSAQRPRASALEPQRRSCDVRGRSTLWSLFHQDDRDRVGQNPSIPPFSSSSSSSSTSTSSAAAAATAPSTSGAIEVDCRNQGLPGPSLAPPVSGTCEEYEIGHEIRPIGTSGEINEEGKGAAEEEMELKPMKDHINLDSEQQQQHQAKKPPQKDFKEIASSVWLAASVFSKKLQKWRRRQKPKNQGGEATMPAEEPPKTSRRFHDTQSEVAMDCSGRRSCDTDPRFSLDAARMSIDDPRFSWDEPRASWDGYLIGGRSVFPRLPPILSVVEDAPAPAVQRSDYLIPVEADAAIPGGSAQTRDYYLDSSSQRRRSLDRSSSIREQPVEISEPKPVSNSRVSPAGGMDFFPFHHGASLEREVKDWSSNSLRDDYSGSFESAFRDLNKGASAKKSSRWSKAWNIWGLLQRRNSSRGEANMVDRSLSESWPELRCKSTNGRILRSNSSVSSRVSSHANAGYGVMRTSSMRSSGNDKKKSRDEPVLERNRSARYSPNHIENGMLRFYLTPKRNARRNGVSASRRQMIPSPYLARSMLGLY >Ma03_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7268768:7289408:-1 gene:Ma03_g09820 transcript:Ma03_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRVRRGELPPPQETIDKLEKMVDACNFYEAQQMYKSLSARYVAAEKYSEALDILQSGALIQLKHGQVTCGAELAVLFVETLVKGKYSYSKGTLDRVRKIYDDFPRIPIPQHLEDDGDMQKLSEALMAAKVRVEGCSSFLRAAIKWSSESGAPKNGSPQLHDMLAEYLYSESPELDMTKVSSHFVRGSDPEKFASVIVNFMGKCYPGEDDIAIARAVLLYLSQGNLRDANNLMDELKKQLEQKQLEIPHSDLIQVIGYLLRTLERDAFPLFKILRQKYKSSIDRETLFDELLDEIAERFYGVRRRSGLQGIFGDLFKMM >Ma04_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9234985:9240963:1 gene:Ma04_g12260 transcript:Ma04_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALGYAPSILPGGSALSNHPRSISGFVVCGTRGPRPRFPRVWKTRKRIGTISKSQKLVECIKELSNVKEEVYGALDSFIAWELEFPLIVVKKALKRLETEKEWKRIIQVIKWMFSKGQGKTMGSYYTLLNALAEDGRLEEVEELWMKIFSENLESLPRVFFVKMISIYYNKGMHEKMFEVFADMEELGVRPDVSIVRMLGDVFQKLGMLDKYDKLKNKYPPPTWEYRYIKGKRVRIRVNQLQGSNVEARTSSENME >Ma03_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28821558:28822686:1 gene:Ma03_g24510 transcript:Ma03_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRRLEALHAAMAGAEVIDVGLCGKDRDQPFMSLCAERYCITPQDAAQPVPRSEYPKPLIFHDGRLVALPTPLDSLIVLLWIPFGVVLVTLRILVGLSSPYKLCLLCTAATGVRIRAQFHEPRSDAATRSRSHTLFVCNHRTLVDPVIISTALRRKVTAVTYSVSRLSELISPIPTIRLRRDRFEDGARMRSSLGHGDLVVCPEGTTCREPYLLRFSPLFAEIAEDIVPVAVTNEGSMFYGTTVRGHKCLDSFFFLMNPRPFYGLDFLAKVPGGQKSKYDVANHIQQAIGRTIGFECTNLTRKDKYRMLAGHDGLDPRK >Ma01_p07530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5442180:5455748:-1 gene:Ma01_g07530 transcript:Ma01_t07530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDSLKASFEVPCGRITRARAAACRVNNKVLPLIPPLANLKKQTHRGKSKRAAFDENSNVDPYLAAAHCKKRVVLKDVTNACSQKSSRQRIPQGKLQLSAVQRGKLGPSKTKRCSNSKAPNLNPAVNALTQDNEQNIISEGVQEVGILEPKDRSLSAKIGDSVELQTKDSIKDGEKQNFRESLEDINHTKNGFVNNVKFKLDDDLGGFNGLDFIDIDTDLGNPQMCCTYAPEIYTYLLAAELIRRPVSDFMETLQRDVTESMRGILIDWLVEVSEEYKLVPDTLYLTVYMIDQFLSQNYIERQRLQLLGITCMLIASKYEEICTPRVEQFCLITDNTYTKAEEQVLKMESQVLSYLGFQLSVPTTKTFLRRFLRAAHASNKAPSLTLGYLANYLAELTLLEYSFIKFLPSVIAASAVFLARWTLDQSSHPWNPTLEYYTTYKATDLKVTIFALQELQMNSKSCPLNAIREKYRQEKFECVAAIASLPLVESLFS >Ma01_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5442180:5455748:-1 gene:Ma01_g07530 transcript:Ma01_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDSLKASFEVPCGRITRARAAACRVNNKVLPLIPPLANLKKQTHRGKSKRAAFDENSNVDPYLAAAHCKKRVVLKDVTNACSQKSSRQRIPQGKLQLSAVQRGKLGPSKTKRCSNSKAPNLNPAVNALTQDNEQNIISEGVQEVGILEPKDRSLSAKIGDSVELQTKDSIKDGEKQNFRESLEDINHTKNGFVNNVKFKLDDDLGGFNGLDFIDIDTDLGNPQMCCTYAPEIYTYLLAAELIRRPVSDFMETLQRDVTESMRGILIDWLVEVSEEYKLVPDTLYLTVYMIDQFLSQNYIERQRLQLLGITCMLIASKYEEICTPRVEQFCLITDNTYTKAEVLKMESQVLSYLGFQLSVPTTKTFLRRFLRAAHASNKAPSLTLGYLANYLAELTLLEYSFIKFLPSVIAASAVFLARWTLDQSSHPWNPTLEYYTTYKATDLKVTIFALQELQMNSKSCPLNAIREKYRQEKFECVAAIASLPLVESLFS >Ma01_p07530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5441701:5455748:-1 gene:Ma01_g07530 transcript:Ma01_t07530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDSLKASFEVPCGRITRARAAACRVNNKVLPLIPPLANLKKQTHRGKSKRAAFDENSNVDPYLAAAHCKKRVVLKDVTNACSQKSSRQRIPQGKLQLSAVQRGKLGPSKTKRCSNSKAPNLNPAVNALTQDNEQNIISEGVQEVGILEPKDRSLSAKIGDSVELQTKDSIKDGEKQNFRESLEDINHTKNGFVNNVKFKLDDDLGGFNGLDFIDIDTDLGNPQMCCTYAPEIYTYLLAAELIRRPVSDFMETLQRDVTESMRGILIDWLVEVSEEYKLVPDTLYLTVYMIDQFLSQNYIERQRLQLLGITCMLIASKYEEICTPRVEQFCLITDNTYTKAEEQVLKMESQVLSYLGFQLSVPTTKTFLRRFLRAAHASNKAPSLTLGYLANYLAELTLLEYSFIKFLPSVIAASAVFLARWTLDQSSHPWNPTLEYYTTYKATDLKVTIFALQELQMNSKSCPLNAIREKYRQEKFECVAAIASLPLVESLFS >Ma11_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12934952:12939557:1 gene:Ma11_g11470 transcript:Ma11_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MVVVVVPTAYALPPPSLLLSTTSLPSPPPLPAIFQKSTAWLPLTKSRWVVAARRTSLLPPCQMSFAEAVGKGDEDGVSRATLLWRAAKLPIYSVALVPLSVGCAAAYLQSGLFSLKHYLVLLFASVLVITWLNLSNDVYDFDTGADQNKKESVVNILGSRGVTQFAANASLALGFMGLFWACAEAGDIRFIILVTCAILCGYVYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYFSSSSRNLSSGISPLPVTGRVLFSSFLVGLTTTLVLFCSHFHQIDGDQAVGKMSPLVRIGTRAGSKVVKYGVISLYVLSLVFGLCKALPATCVFLSALTIPMGKMVIDYVEKNHDDKIKIFMAKYYCVRLHTLFGSALALGLLLARTRITT >Ma11_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27811547:27819695:1 gene:Ma11_g25060 transcript:Ma11_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLVTLYATYCKRKRAGASCGWPLVLVSWWVFSSLLDLISISVYLISLWNKTSLRNTIPAASIVEFTSFPISVFLCFTALFMCSSKTNLDLKQYLLLNDEDCAGRDNFSRAGFWSRLTFRWMNPVFEKGRAERLELSHIPGVPPSETAESSFSFLQESLRDQKTESASLLKAIIHAVWRPLAVNAVFAGLNTFSSYIGPFLITNFVEFISGEDSSHGHYYGYILACLFFFAKTVESLTQRHWYFGTRQIGVRVRAALMVAIYNKSLLMKHSGRSMGKIINFLDVDVERIGDFFWYIHGIWLLPVQVSLALLILYRNLGAAASFSALAVTILVMVSNTPLANLQERLHSKIMEAKDSRIKATAETLKCMRILKLHSWETAYLNKLLQLRDVERSWLRRYLYTCSAIAFLFWASPTLVLVIAFGVCILVNTPLTAGTVLSALATFRILQEPIYNLPELVTMITQTKVSIDRIQDFIKEEEQKQLRPSYKMKTSGIAVEIEPGEYNWDADSKSKKPTLKINKRIQIMRGEKIAVCGTVGSGKSSFLCSIMGEISRTNGRRISVFGSRAYVPQSAWIQTGTIQENVLFGKEMDRRWYQQVLEACALDRDIGNWADGDSTVAGERGINLSGGQKQRIQLARAIYSNADIYLLDDPFSAVDAHTGTHLFKECLTGLLSSKTIIYVTHQLEFIDAADLILVLRDGKVVQSGKYEDLLKDTDGDLVQQIAAHNQSLSQVSPSKEHGLLMSTRYRMKQKDLREVKYFENSGISELAERSCEEEREFGRVKWHVYHTFMTSAYKGAFVPVLLLCQILFQGFQMGSNYWVAWATQKEAQVSREQLIGIFVLLSAGSSMFILGRAVLLATIAIETAQQLFLEMITSILRAPMSFFDSTPSSRILNRSSTDQSTVDTDIPYRLAGLIFALVQLLCIIMLMTQVAWPVLILFIIVFAISIWYQNYYISAARELARMVGIRKAPILHHFSESLAGAATIRCFNQEERFSKRNLTLIDDYSRITFHNYATMEWLSVRINFLFNLVFFAMLTILVSMPRNDIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSVIPSEAPLVIEHFRAQQGWPTSGTIELDDLKVRYSPNLPMVLKGINCTFPGGKKIGVVGRTGSGKSTLIQALFRVVEPSSGRIVIDGIDISQIGLHDLRSRLSIIPQEPTLFQGTVRTNLDPLQQHPDSEIWEALYKCRLGEIVKQDQRLLDAPVAEDGENWSVGQRQLVCLARVLLKRRRILVLDEATASVDTATDNFIQKTIREETSNCTTITVAHRIPTVIDSDLVLVLDEGKILEFSSPQDLLKDESSAFSRLVMDFLGRSKNHHAELELE >Ma08_p04610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3213433:3217960:-1 gene:Ma08_g04610 transcript:Ma08_t04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGIELELNKGSVEKAPENNGFSSHINKENEVIENGADAVHADGGSEGASKVEVINSAGDKDGDTVSATENKASNPSKKGEADVNNRSRKTQKSPRVLNGSAIESQKKKNVLSQSVSFPSRGSLANNFRSITTSTRQTKVASLITNGDLAAKLSALTAVPSVRRTSVSLKTGSAEANGTSAESAQSNGSKTKPLKHALPAKKDDDTHSTASSSTPHARKSIGNAFNFRLDERAEKRKEFFTKLEEKNHAKELEKTNLQAKSKESQEAEIRQLRKSLTFKATPMPTFYQEPCPPKVELTKVPPTRARSPKLGRRKPSVTAADGPSEAGNTRDGPHPISSLTKPNEGSESSKGNAIASKNSKKKSLAKLPSQKLKTTKLDSESVMTPKTEEIDAGNSDNRPTEVSAETNTEAKLASANDRVEENKTIANLLETDIGPSEVSVQG >Ma08_p04610.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3213433:3217960:-1 gene:Ma08_g04610 transcript:Ma08_t04610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGIELELNKGSVEKAPENNGFSSHINKENEVIENGADAVHADGGSEGASKVEVINSAGDKDGDTVSATENKASNPSKKGEADVNNRSRKTQKSPRVLNGSAIESQKKKNVLSQSVSFPSRGSLANNFRSITTSTRQTKVASLITNGDLAAKLSALTAVPSVRRTSVSLKTGSAEANGTSAESAQSNGSKTKPLKHALPAKKDDDTHSTASSSTPHARKSIGNAFNFRLDERAEKRKEFFTKLEEKNHAKELEKTNLQAKSKESQEAEIRQLRKSLTFKATPMPTFYQEPCPPKVELTKVPPTRARSPKLGRRKPSVTAADGPSEAGNTRDGPHPISSLTKPNEGSESSKGNAIASKNSKKKSLAKLPSQKLKTTKLDSESVMTPKTEEIDAGNSDNRPTEVSAETNTEAKLASANDRVEENKTIANLLETDIGPSEVSVQG >Ma08_p04610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3213433:3217960:-1 gene:Ma08_g04610 transcript:Ma08_t04610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGIELELNKGSVEKAPENNGFSSHINKENEVIENGADAVHADGGSEGASKVEVINSAGDKDGDTVSATENKASNPSKKGEADVNNRSRKTQKSPRVLNGSAIESQKKKNVLSQSVSFPSRGSLANNFRSITTSTRQTKVASLITNGDLAAKLSALTAVPSVRRTSVSLKTGSAEANGTSAESAQSNGSKTKPLKHALPAKKDDDTHSTASSSTPHARKSIGNAFNFRLDERAEKRKEFFTKLEEKNHAKELEKTNLQAKSKESQEAEIRQLRKSLTFKATPMPTFYQEPCPPKVELTKVPPTRARSPKLGRRKPSVTAADGPSEAGNTRDGPHPISSLTKPNEGSESSKGNAIASKNSKKKSLAKLPSQKLKTTKLDSESVMTPKTEEIDAGNSDNRPTEVSAETNTEAKLASANDRVEENKTIANLLETDIGPSEVSVQG >Ma08_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3213433:3217960:-1 gene:Ma08_g04610 transcript:Ma08_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDGIELELNKGSVEKAPENNGFSSHINKENEVIENGADAVHADGGSEGASKVEVINSAGDKDGDTVSATENKASNPSKKGEADVNNRSRKTQKSPRVLNGSAIESQKKKNVLSQSVSFPSRGSLANNFRSITTSTRQTKVASLITNGDLAAKLSALTAVPSVRRTSVSLKTGSAEANGTSAESAQSNGSKTKPLKHALPAKKDDDTHSTASSSTPHARKSIGNAFNFRLDERAEKRKEFFTKLEEKNHAKELEKTNLQAKSKESQEAEIRQLRKSLTFKATPMPTFYQEPCPPKVELTKVPPTRARSPKLGRRKPSVTAADGPSEAGNTRDGPHPISSLTKPNEGSESSKGNAIASKNSKKKSLAKLPSQKLKTTKLDSESVMTPKTEEIDAGNSDNRPTEVSAETNTEAKLASANDRVEENKTIANLLETDIGPSEVSVQG >Ma06_p32980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33781475:33789453:1 gene:Ma06_g32980 transcript:Ma06_t32980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGGIGLFRQGWKWVQSRKQAFVFVRVAASWAGEKLVLLVDRHWPLVYSWCTVAGRFLFRLILQWRDCFVGGLRSLFTLGSAALFLVLWSCFLCLTSTTSLVYMLLSLVAAAAVIHYLGFTPGLLIVGLYGILIMWIYGYFWITAMLFIGGGYMFSLNHARFLILMATAYAVYYINARVGLHGVFLSLSLSFISNDILNKLLQGYDGTDEGIHEEQKEPEPFMEDFSVDSEDSPPKEAEEVTSYMSPCTTSKASHLPSTHKDASSSKVVMVESTSLVEMKRIMNSTNHYEVLGFLKSKTVDPKIMKKEYHKKVLLVHPDKNLGSPLACESFKKLQCAYEVLSDLTKKKNYDEQLRREESGRVCQRSSVTSQQGGVEYRSEESRRIECTKCGNSHIWICTNRSKGRARWCQSCSQYHQAKDGDGWVESGCSPVVTTPRKVEIPQAFVCAESKIFDVSEWAICQGMACKPNTHGPSFHVNMVGLDGTGLRSNPSRYPWGLDAEMIVEDDEFELWLQQALASGIFSESPKRRKSWPFKINHKSMKPWRKSP >Ma06_p32980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33781475:33789453:1 gene:Ma06_g32980 transcript:Ma06_t32980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGGIGLFRQGWKWVQSRKQAFVFVRVAASWAGEKLVLLVDRHWPLVYSWCTVAGRFLFRLILQWRDCFVGGLRSLFTLGSAALFLVLWSCFLCLTSTTSLVYMLLSLVAAAAVIHYLGFTPGLLIVGLYGILIMWIYGYFWITAMLFIGGGYMFSLNHARFLILMATAYAVYYINARVGLHGVFLSLSLSFISNDILNKLLQGYDGTDEGIHEEQKEPEPFMEDFSVDSEDSPPKEAEEVTSYMSPCTTSKASHLPSTHKDASSSKVVMVESTSLVEMKRIMNSTNHYEVLGFLKSKTVDPKIMKKEYHKKVLLVHPDKNLGSPLACESFKKLQCAYEVLSDLTKKKNYDEQLRREESGRVCQRSSVTSQQGGVEYRSEESRRIECTKCGNSHIWICTNRSKGRARWCQSCSQYHQAKDGDGWVESGCSPVVTTPRKVEIPQAFVCAESKIFDVSEWAICQGMACKPNTHGPSFHVNMVGLDGTGLRSNPSRYPWGLDAEMIVEDDEFELWLQQALASGIFSESPKRRKSWPFKINHKSMKPWRKSP >Ma06_p32980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33781475:33789453:1 gene:Ma06_g32980 transcript:Ma06_t32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGGIGLFRQGWKWVQSRKQAFVFVRVAASWAGEKLVLLVDRHWPLVYSWCTVAGRFLFRLILQWRDCFVGGLRSLFTLGSAALFLVLWSCFLCLTSTTSLVYMLLSLVAAAAVIHYLGFTPGLLIVGLYGILIMWIYGYFWITAMLFIGGGLHGVFLSLSLSFISNDILNKLLQGYDGTDEGIHEEQKEPEPFMEDFSVDSEDSPPKEAEEVTSYMSPCTTSKASHLPSTHKDASSSKVVMVESTSLVEMKRIMNSTNHYEVLGFLKSKTVDPKIMKKEYHKKVLLVHPDKNLGSPLACESFKKLQCAYEVLSDLTKKKNYDEQLRREESGRVCQRSSVTSQQGGVEYRSEESRRIECTKCGNSHIWICTNRSKGRARWCQSCSQYHQAKDGDGWVESGCSPVVTTPRKVEIPQAFVCAESKIFDVSEWAICQGMACKPNTHGPSFHVNMVGLDGTGLRSNPSRYPWGLDAEMIVEDDEFELWLQQALASGIFSESPKRRKSWPFKINHKSMKPWRKSP >Ma11_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6603710:6608794:-1 gene:Ma11_g08290 transcript:Ma11_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGSGKQTPRKCVFLLSCVVFLAIVLLADFFWVSSSSSPSGISPPSSFSYWPTSFDLSLSLSTPKAKQPKGDSKDVKLSVRYLNATFADLPAPQIEWEEMPEAPVPRLDGAAVQINDLFYIFAGYGTIDYVHSHVDIYNFTNNTWGGRIEMPREMANSHLGMATDGRYIYAVTGQYGPQCRGPTNRNFVLDTETKEWSELPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLDHWSIAVKDGKALEKEWTTEIPIPRGGPHRACVVANDQLLVIGGQEGDFMAKPGSPIYKCSRRHEVQYGDVYMLADGNKWKQLPPMPLPNSHIEFAWVIVNNSIIVVGGTTMKHPITKKMILLGEVFRFNLDSLKWSVIGRMPYRIKTTLAAFWNGWLYFTSGQRDRGPNDPSPRKVVGSMYRTKLSLT >Ma03_p07230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4984553:5001433:1 gene:Ma03_g07230 transcript:Ma03_t07230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIVQRVLEGGGRDYYFSSASASPPSPTPSSSSILQSLPLHVSFDHGYYLLVKAVQELRDKKDGRIVTVGIGGPSGSGKTSLAEKVESVLGCVVISMENYRNGTDDGNDLDSIDFDTLVRNLQDLMKGKDTEMPLFDFQEKRRIGMKRLKISSSGIVIVDGAYALHSSLRSLLDIRVAVVGGVHFSLLSKVRHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREPYYKLKCKSESPNGNSSYSIVGNEAETENFIEMYLRPPFTSEEAKINDWIKVRQCGIRYYLSLGDQRIVDKHFIIRPKAEFEVGRTTLGGLLALGYNVVVSYKRACTSFHNGQVLIALETIDTLNETFMVLKGTSRKVIGSEASHLGISGPWITKSYLEMILESKGVRRLNTPPPASTNLVARSQDKAIAVPRPIRVSADILPKLEDLTQPWTRSPTKSDMESVLPRWRLISDSSAFRGNLQLAPMPDSYDLDRGLLLSVQAIQALLENNGFPVIVGIGGPSGSGKTSLAQKMANIVGCEVVSLESYYKSEQVKDFKYDEFSSLDLSLLSKNFDDIRKHHRAKVPCFDFEKSRRNGFKELQVSEDSGVVIFEGVYALHPDIRNSLDLWIAVVGGVHSHLIARVQRDKNRVGCSISQNDIMTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSSKQVAYQDLLKVLDPGKVCSSVQNFIDVYLRLPGIHSNGQLMEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISVTTVAGLLKLGYQAVAYIEASALIYQDGKILIEVDHLQAAPCPFLQIKGTNKEVVSAAAFILSLDGTYTTKSYLQIIFESLPAFGKNSNGIHNQQAARLQELVEFIQSQAGSLNSETSTKENLPTDPIIEDIHSRIRRLERWHTINMVFWTILMSALVGYSLYQRKRR >Ma03_p07230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4984553:4999253:1 gene:Ma03_g07230 transcript:Ma03_t07230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIVQRVLEGGGRDYYFSSASASPPSPTPSSSSILQSLPLHVSFDHGYYLLVKAVQELRDKKDGRIVTVGIGGPSGSGKTSLAEKVESVLGCVVISMENYRNGTDDGNDLDSIDFDTLVRNLQDLMKGKDTEMPLFDFQEKRRIGMKRLKISSSGIVIVDGAYALHSSLRSLLDIRVAVVGGVHFSLLSKVRHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREPYYKLKCKSESPNGNSSYSIVGNEAETENFIEMYLRPPFTSEEAKINDWIKVRQCGIRYYLSLGDQRIVDKHFIIRPKAEFEVGRTTLGGLLALGYNVVVSYKRACTSFHNGQVLIALETIDTLNETFMVLKGTSRKVIGSEASHLGISGPWITKSYLEMILESKGVRRLNTPPPASTNLVARSQDKAIAVPRPIRVSADILPKLEDLTQPWTRSPTKSDMESVLPRWRLISDSSAFRGNLQLAPMPDSYDLDRGLLLSVQAIQALLENNGFPVIVGIGGPSGSGKTSLAQKMANIVGCEVVSLESYYKSEQVKDFKYDEFSSLDLSLLSKNFDDIRKHHRAKVPCFDFEKSRRNGFKELQVSEDSGVVIFEGVYALHPDIRNSLDLWIAVVGGVHSHLIARVQRDKNRVGCSISQNDIMTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSSKQVAYQDLLKVLDPGKVCSSVQNFIDVYLRLPGIHSNGQLMEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISVTTVAGLLKLGYQAVAYIEASALIYQDGKP >Ma03_p07230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4984553:5001433:1 gene:Ma03_g07230 transcript:Ma03_t07230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIVQRVLEGGGRDYYFSSASASPPSPTPSSSSILQSLPLHVSFDHGYYLLVKAVQELRDKKDGRIVTVGIGGPSGSGKTSLAEKVESVLGCVVISMENYRNGTDDGNDLDSIDFDTLVRNLQDLMKGKDTEMPLFDFQEKRRIGMKRLKISSSGIVIVDGAYALHSSLRSLLDIRVAVVGGVHFSLLSKVRHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREPYYKLKCKSESPNGNSSYSIVGNEAETENFIEMYLRPPFTSEEAKINDWIKVRQCGIRYYLSLGDQRIVDKHFIIRPKAEFEVGRTTLGGLLALGYNVVVSYKRACTSFHNGQVLIALETIDTLNETFMVLKGTSRKVIGSEASHLGISGPWITKSYLEMILESKGVRRLNTPPPASTNLVARSQDKAIAVPRPIRVSADILPKLEDLTQPWTRSPTKSDMESVLPRWRLISDSSAFRGNLQLAPMPDSYDLDRGLLLSVQAIQALLENNGFPVIVGIGGPSGSGKTSLAQKMANIVGCEVVSLESYYKSEQVKDFKYDEFSSLDLSLLSKNFDDIRKHHRAKVPCFDFEKSRRNGFKELQVSEDSGVVIFEGVYALHPDIRNSLDLWIAVVGGVHSHLIARVQRDKNRVGCSISQNDIMTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSSKQVAYQDLLKVLDPGKVCSSVQNFIDVYLRLPGIHSNGQLMEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISVTTVAGLLKLGYQAVAYIEASALIYQDGKILIEVDHLQAAPCPFLQIKGTNKEVVSAAAFILSLDGTYTTKSYLQIIFESLPAFGKNSNGIHNQQAARLQELVEFIQSQAGSLNSETSTKENLPTDPIIEDIHSRIRRLERWHTINMVFWTILMSALVGYSLYQRKRR >Ma03_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4984553:5001433:1 gene:Ma03_g07230 transcript:Ma03_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIVQRVLEGGGRDYYFSSASASPPSPTPSSSSILQSLPLHVSFDHGYYLLVKAVQELRDKKDGRIVTVGIGGPSGSGKTSLAEKVESVLGCVVISMENYRNGTDDGNDLDSIDFDTLVRNLQDLMKGKDTEMPLFDFQEKRRIGMKRLKISSSGIVIVDGAYALHSSLRSLLDIRVAVVGGVHFSLLSKVRHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREPYYKLKCKSESPNGNSSYSIVGNEAETENFIEMYLRPPFTSEEAKINDWIKVRQCGIRYYLSLGDQRIVDKHFIIRPKAEFEVGRTTLGGLLALGYNVVVSYKRACTSFHNGQVLIALETIDTLNETFMVLKGTSRKVIGSEASHLGISGPWITKSYLEMILESKGVRRLNTPPPASTNLVARSQDKAIAVPRPIRVSADILPKLEDLTQPWTRSPTKSDMESVLPRWRLISDSSAFRGNLQLAPMPDSYDLDRGLLLSVQAIQALLENNGFPVIVGIGGPSGSGKTSLAQKMANIVGCEVVSLESYYKSEQVKDFKYDEFSSLDLSLLSKNFDDIRKHHRAKVPCFDFEKSRRNGFKELQVSEDSGVVIFEGVYALHPDIRNSLDLWIAVVGGVHSHLIARVQRDKNRVGCSISQNDIMTTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSSKQVAYQDLLKVLDPGKVCSSVQNFIDVYLRLPGIHSNGQLMEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISVTTVAGLLKLGYQAVAYIEASALIYQDGKILIEVDHLQAAPCPFLQIKGTNKEVVSAAAFILSLDGTYTTKSYLQIIFESLPAFGKNSNGIHNQQAARLQELVEFIQSQAGSLNSETSTKENLPTDPIIEDIHSRIRRLERWHTINMVFWTILMSALVGYSLYQRKRR >Ma04_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23739772:23740658:1 gene:Ma04_g21130 transcript:Ma04_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVEENHAVPGVVSVLSTILERLAERNDAVRGRPVAPHHRASAFHGLTKPSISVRSYLERIFRYAGCSLSCYVAAYIYLDRFLRRHPAVSLHSLNVHRFLITSVLAAVKFVDDIHYNNAYFAKVGGINLVEMNYLEVDFLFGIGFELNITPVMFTSYCSILQREMYLEPPPSPPRLHSCSTEEDPSSGCQQKQVAVHLVFSGT >Ma04_p36540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34862995:34867788:1 gene:Ma04_g36540 transcript:Ma04_t36540.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVKNLLRPKPNPQQQLREWQRRLRQECRNIERQIRDVQREEKNVQKAIKDAAKRNDMASAKSLAKEIVRSRRAVNRLYENKAQLNSVSMHLGELVATARTVGHLSKSAEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDTALDSEDIEEEIEEEVDKVLAAIAGETVSQLPDAVRKEKMKQPSVSTAVEEREAVAEGAEDEDLDEIRERLARVRS >Ma04_p36540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34862995:34867625:1 gene:Ma04_g36540 transcript:Ma04_t36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKNLLRPKPNPQQQLREWQRRLRQECRNIERQIRDVQREEKNVQKAIKDAAKRNDMASAKSLAKEIVRSRRAVNRLYENKAQLNSVSMHLGELVATARTVGHLSKSAEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDTALDSEDIEEEIEEEVDKVLAAIAGETVSQLPDAVRKEKMKQPSVSTAVEELPRVQRMKT >Ma08_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5692823:5693663:-1 gene:Ma08_g08200 transcript:Ma08_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSPLDAMKAYMHTLQLCKDYYSEEEGATRSSKIVEPECMEYISALAAGNQARSMVDIESGGMSPAILALAAAARQTGGRVVCVRHEQAHLEALRRQIESLDLADVVECKRGEPLESIRQLESVDFAVVDHRLEHCRELVSAIDVNPRGSVVVVSNLFQGRRAAASYGQLVKERAVAKSVVLPFGDGMEVTRIGRAAKHGCHGGRRVTRQFVVYYEDSNLAI >Ma08_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3236471:3241141:1 gene:Ma08_g04640 transcript:Ma08_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWLPWPPLQSKKYEVRVVVRRIEGVPVAGAATGARAAAEVRWKGPKTALSSLRRGRVRRNWTREEEVREGGVVEWDEEFLTAATLTAHKEKGAFLPWEIAFSVFTGKGPRNKCSVIGTASLNLAEFASAAEEKEMEINVTLLPPGVTTESHPVLYLALSLSELRTVRESIEVVQSSIVPVPSSPPSSSPFPSETDEPSARKDGLRKINILKALILRRKAKMGCKDNYDSEEKCSPRNNDAEDAYPCDADSLEDSHKDLGGSKDDSSERKSFSYGTLASTHYIEGSFYSDMLINKDYENLIHYGQEKSDVSYSHVEEATASLSEQPVPYILRRSILSWKKSRLNLRSPKFKGEPLLKKSYGEEGGDDIDFYRRRLTSLEGSTCVVNHYDTSMANWLPAHDFGDDSFTIGSWELKEVVSRDGSFKLCTQAFLASIDQRSEQASGESACAVLVAVIADWFQANPHMMPIKSQFDHLIREGSLDWRTLCKNQTYRERFPDKHFDLETVLEAKVRPLSIVPANSFVGFFCPEGTEDSESFKFLDGAMSFDNIWDEIKRAGSGGSSDGFPHLYIVSWNDHFFILKVERDAYYIIDTLGERLHEGCNQAYILKFDDTTTIHRHKNENKPGSSTARDSETQIQQNNGIKEEFSGELVVRNPNLEDELICQGKESCKEYIKSFLAAIPIRELQIDLRKGLTTSTLIHNRLQIEFHYTEKLEEISAELRPASESTVTFLYSAEWDSEFSSPTESDLEPSWTREVTPDISWPVESAAEFSWPVEPAAAFFLTPSVNLEVEVV >Ma06_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14854718:14855362:-1 gene:Ma06_g20680 transcript:Ma06_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVWLRVVADMKRSNQLLLLFFFLLLLRMVHSLDTRGLARIQEAAAATNTTAAAVEKVTGGASSGGRGGGHGSSTGGTDGGNTDSSTSSGSGYGSATPNLQGAADHPRNDKPRNRNDASSYRLPLPVILTAVAFSLLLLLHDIYY >Ma06_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3559657:3568531:1 gene:Ma06_g04800 transcript:Ma06_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLKSQVNGKRHNFGEANMSISLLPNHEGKALDRLSDRKLSNCSYFKRARIDQPESSTRNVRVDDGITVFQKNAELLRSTSADKARMIKPKRGLDGKRNDRKNFRSGIKTRYDSFTSKSGFASSDSNCGGNNLLGLYGLRSDLCDITKHMDEPVLHELLDGSYKYCNINAEKGKKPPVVNENLFTSVRKAFSILPHNCATDSNDNRKSAPCLMKPSSSSSMSDCYHNGKDVDESASTAKYPNLDGADLYQPKKVLESLVLPPVQDLGTLLSDLSMTSSLLKTTLPKKTCQSASLPPFLWSSYHNGACKPITDTAKQVSARNTSQGRWLRIASNSVLARDNQHCFSDLELLTFDSNKDPLQKSGVHQDDPLDSSIKLPYDGPSNMVSPMSTLESNTPDDRGLEKESCLSVSKRLNLMGEHTNSSYVYLEGDNEDSFLPRTSGSEASLKFQRKDSHSLDQVRSTSQFSNTDVAQKDQHTINKHCCSAVCSCLPCKDDDGNNDRNLWGLSTLEISKHDYSPCELLAAEILLEMTSYGSALKTQNVDGGKIQWTKTPFQKTMKPQKLISSMEKSGSLLFRTRHHDTVRTLGLPQREHKPSGKKNDLSYIKTAGRAPTKCATRSEGGASPKLEKDLQIDARSLNNITVRSTSLVPSLARVKNGYENQQKLGKTTPPTSTVGACIKDWGRGRSKQV >Ma07_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9998570:10001222:1 gene:Ma07_g13250 transcript:Ma07_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFAPFWTTWMPQMLVLHQKCHIFDVVHPKGGRRLHTYTFMSAISSRYAVLSRWYYFDIRANLYMLQLFPCLPYTPVQICIFCLPPSGVIPLHNHPGMTVFSKLLFGSLHVKSYDWVNLPQDSAEIVDPLHFQPPGLRLAKVKTDGTFTAPCRTSVLFPQDGGNLHCFTARTSCAVLDVLGPPYSNPEEGRDCTYYNDSPYASFCGDAELLTDDGEYAWLEERKKPDEFIVVGANYSGPKIMDQ >Ma07_p13250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9998570:10001222:1 gene:Ma07_g13250 transcript:Ma07_t13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFAPFWTTWMPQMLVLHQKCHIFDVVHPKGGRRLHTYTFMSAISSRYAVLSRWYYFDIRANLYMLQLFPCLPYTPVQICIFCLPPSGVIPLHNHPGMTVFSKLLFGSLHVKSYDWVNLPQDSAEIVDPLHSVQPPGLRLAKVKTDGTFTAPCRTSVLFPQDGGNLHCFTARTSCAVLDVLGPPYSNPEEGRDCTYYNDSPYASFCGDAELLTDDGEYAWLEERKKPDEFIVVGANYSGPKIMDQ >Ma11_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6502723:6507221:-1 gene:Ma11_g08160 transcript:Ma11_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSFPERPAAEIIGALAQVGIAALKPEDLANPSADLVCTLYSNFLAFADPLGEESDIQIAFSALELLDNPDHHVDAIRTFNLYRKIKGMLASIRFGSFNLRDLIKPDTKRTLQILSTIVNFIYYREEKLNMLQPIVDQFPAYEERRADLEAKIAEVNKLILDHEVARQMEEPAVQQLDAEVKDLRQTIQNYNKQQMSLKTMAKALKEKTDAINDKISQADFELVKNAQENSKLSSKIVQSPDKLQRALEEKKSYRTEVKNSERSAMQSVQEKTSTLEVYSKVHDKLTKHLSRMQAI >Ma02_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22418248:22425349:-1 gene:Ma02_g14310 transcript:Ma02_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSRPDRCVGGRRSSPGGTRRRRRRPTKWRAATRKVMERIEEAQGLDGADPMSYSNPAFQVMVSATTVEEAWFDTFSAIESDEEDFHSVQDGEFTMSPTSYTDDNLGANDPNAFTSNSIDGKQKGLKLGEQLSVNLENTAKAFVSHEDVSVISVDENAGHSDRGILNNCGILPNNCLPCLVVATSTIEKRKSLSNSPPNSAKKASLKLSFKRKSGEAHATSTLFSTKAFLEKPLAGSQVQFCLLEKKMLDSWSFIEPNTFRVRGEHYLRDKKKEFAPNYAAYCPFGVDVYLSQQKINHIARFVELPIFNPSGKFPPILVVNIQIPLYPATIFQSETDGEGISFVLYFRLSEGYSKELPSHFLENIRRLIDDEVERVKGFAMDTSVPFRERLKILGRVANLEDLPLNAAERKLMHAYNEKPVLSRPQHDFYLGKNYFEIDLDMHRFSYISRKGFEAFLDRLKLCVLDFGLTIQGNKAEELPENILCCVRLNGIDYTKYLQLAAH >Ma05_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5051412:5054238:1 gene:Ma05_g06910 transcript:Ma05_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSNTGLFVGLNKGHIVTKRELAPRPSSRKGKTSKRVHFVRNIIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSDKKK >Ma09_p07750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5070959:5073280:-1 gene:Ma09_g07750 transcript:Ma09_t07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGQEGEIPIPITSVYVGGHGHGSIHDSPPIHHPSNGPPPPPLSTATTEDHHHNTTNSYSTKKGVVVRYRECLKNHAASIGGNATDGCGEFMPSGEEGTLEALKCSACSCHRNFHRKETEGEPCCDWFYPLRGRKVMGHKGLLISGSDAFGYSPACNSLIPRATPHNMIMPLGVMQTSESDEMEGVGAMMARPVVVKKRFRTKFTPEQKEKMLSFAEKVGWRLQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHHLAKKNSLQLE >Ma09_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5070959:5073280:-1 gene:Ma09_g07750 transcript:Ma09_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGQEGEIPIPITSVYVGGHGHGSIHDSPPIHHPSNGPPPPPLSTATTEDHHHNTTNSYSTKKGVVVRYRECLKNHAASIGGNATDGCGEFMPSGEEGTLEALKCSACSCHRNFHRKETEGEPCCDWFYPLRGRKVMGHKGLLISGSDAFGYSPACNSLIPRATPHNMIMPLGVMQTSESDEMEGVGAMMARPVVVKKRFRTKFTPEQKEKMLSFAEKVGWRLQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHHLAKKNSLQLE >Ma05_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17819727:17826028:1 gene:Ma05_g16640 transcript:Ma05_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASSWEYMGDMANVVQLTGLDAVRLIGMIVKAASVARMHKKNCRRFAQHLKLIGSLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRRAQSEIDRYLRLIPLITLVDKHRIRERLEYIERDQCEYSFDEEDRKVQDVLLNPVPCKSQSMVLKKSLSCSDSSMSFDEALQKENEKLQMELQRSQTNLDVGACGLIQQLLGLTETMASAFPENTVELKNYENVESASVDVLDDKEDYSSYGNYYSQQDDTCKASSRISSIASSGHDLLSNKASSRYEEWHSDLLGCCSEPFLCIKTCCFPCGTFSKIASVAKNRHLLRKHAMTSWRMHWFYPVAVTHVASGESFVKC >Ma05_p16640.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17819727:17825938:1 gene:Ma05_g16640 transcript:Ma05_t16640.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASSWEYMGDMANVVQLTGLDAVRLIGMIVKAASVARMHKKNCRRFAQHLKLIGSLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRRAQSEIDRYLRLIPLITLVDKHRIRERLEYIERDQCEYSFDEEDRKVQDVLLNPVPCKSQSMVLKKSLSCSDSSMSFDEALQKENEKLQMELQRSQTNLDVGACGLIQQLLGLTETMASAFPENTVELKNYENVESASVDVLDDKEDYSSYGNYYSQQDDTCKASSRISSIASSGHDLLSNKASSRYEEWHSDLLGCCSEPFLCIKTCCFPCGTFSKIASVAKNRHVSSAEACNDFMAYALVLSCCCYTCCIRRKLRKMLNITHTSFPHTMQ >Ma05_p16640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17819727:17825938:1 gene:Ma05_g16640 transcript:Ma05_t16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASSWEYMGDMANVVQLTGLDAVRLIGMIVKAASVARMHKKNCRRFAQHLKLIGSLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRRAQSEIDRYLRLIPLITLVDKHRIRERLEYIERDQCEYSFDEEDRKVQDVLLNPVPCKSQSMVLKKSLSCSDSSMSFDEALQKENEKLQMELQRSQTNLDVGACGLIQQLLGLTETMASAFPENTVELKNYENVESASVDVLDDKEDYSSYGNYYSQQDDTCKASSRISSIASSGHDLLSNKASSRYEEWHSDLLGCCSEPFLCIKTCCFPCGTFSKIASVAKNRHLLRKHAMTSWRMHWFYPVAVTHVASGESFVKC >Ma05_p16640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17819727:17826402:1 gene:Ma05_g16640 transcript:Ma05_t16640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASSWEYMGDMANVVQLTGLDAVRLIGMIVKAASVARMHKKNCRRFAQHLKLIGSLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRRAQSEIDRYLRLIPLITLVDKHRIRERLEYIERDQCEYSFDEEDRKVQDVLLNPVPCKSQSMVLKKSLSCSDSSMSFDEALQKENEKLQMELQRSQTNLDVGACGLIQQLLGLTETMASAFPENTVELKNYENVESASVDVLDDKEDYSSYGNYYSQQDDTCKASSRISSIASSGHDLLSNKASSRYEEWHSDLLGCCSEPFLCIKTCCFPCGTFSKIASVAKNRHVSSAEACNDFMAYALVLSCCCYTCCIRRKLRKMLNITGGLCDDFLSHLMCCCCAFVQEWREVEFRGTNVVDKTKTNPPASQYMES >Ma05_p16640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17819727:17826277:1 gene:Ma05_g16640 transcript:Ma05_t16640.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MASSWEYMGDMANVVQLTGLDAVRLIGMIVKAASVARMHKKNCRRFAQHLKLIGSLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRRAQSEIDRYLRLIPLITLVDKHRIRERLEYIERDQCEYSFDEEDRKVQDVLLNPVPCKSQSMVLKKSLSCSDSSMSFDEALQKENEKLQMELQRSQTNLDVGACGLIQQLLGLTETMASAFPENTVELKNYENVESASVDVLDDKEDYSSYGNYYSQQDDTCKASSRHQDMLFPLWDFFKNRFGCKKQTSSAEACNDFMAYALVLSCCCYTCCIRRKLRKMLNITGGLCDDFLSHLMCCCCAFVQEWREVEFRGTNVVDKTKTNPPASQYMES >Ma01_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1812200:1813575:-1 gene:Ma01_g02750 transcript:Ma01_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMWWKLMPSSSSKSCNSLATGEELFMASNADGSSTPLNVNEEYEKTLRTKSFLDMWSRVHRVQPQRTVSSIASSSEDGDDGDEDRKEASHLDTSPCTDSSHVSYANLLEPSQESLVAAMATVHGRSAHLRAHSLLLEYFDVTFQACDACAKLLASLSRAREHHRSIRHLLAKVSSACFDDNDCCADVDHLASLLRLENPLCSANLARFYSVQSQYRTLMQQLTKAHRRTLRMVRLIRLTKKAARIVVVSACSIAVAAALAIAAHTVIGIGVVAAAAPMVMTTRPRAAMRRGRAARARHLERLGAQVGAAAKGAYIVGRDFDTISRTVRRAHDEVEHEREMARMALRGRELQLAREVAREVEGGAARVEEQLEELEEHVYLCLITINRSRSMVAQEVMEGGAVAAKAMA >Ma05_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36006041:36009326:-1 gene:Ma05_g23840 transcript:Ma05_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MESQNPSRTLAVKTLLLLAFLVFQAPESTLSQALHSEPTLFHSPAPSSPSRTPKFPHNPRLRRILLGALFGSLTGFVASIIILLLVRLLLLYACRAPILKGPVVFCPSISPKTLQSLLSTDAQSMQLIGSSLNGKYYRVVLDDDELTMAVKRLEPSPSSGSPPVNSNSQKRRVQHELEMLARVKHRNVMSLRAYIRDQDRFWLVYDYIPGGSLEDAMARVRSQQLTLGWDARLRIAVGIAKGLRYLHFECSRRILHYGLKPSNVMLDEGFEPRLGDFGLAMLENSRLDTPSTATHYVAPECFQSCRYTDKSDVYSFGVILGVLFTGKDPSYPFFAGETGRGSLGRWLRHLQQAGEAREALDKGILGEEMEEEEMLMAIRIAIVCLSDLPADRPSSDELVAMLTQLHSF >Ma08_p30140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41538162:41543063:1 gene:Ma08_g30140 transcript:Ma08_t30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGKREKAEGCDVDEQETRDKKKKGTTLPSMIKNKEKRSAVHAKLKREKKIEKRKQAKAREAAIKRALDLGEEPPEKKVPRTIENTREVDETVCRPDDEELFAGNDADEFSQVLKQEVTPKILITTNRFNSTRGPAFIQELLSVIPNAHYHKRGTYELKKIVEYAKNKDFTSVVVVHSNRREPDALLIINLPDGPTAHFKLSKLVLRKDIKNHGNPTDHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDFIFFRHHRYIFESKEKKDDSKDKAAKSKNITQEKVIVRLQECGPRFTLKLRNLQHGTFDSKGGEYEWVHKPEMDTSRRRFFL >Ma07_p26020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32987323:32991059:-1 gene:Ma07_g26020 transcript:Ma07_t26020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLENVIDEFEALTKDAGRLQRATLRKILEQNAEAEYLQNLGLGGRTDPESFKACIPLVSHSDLEPYIRRIVDGDTSPILTGKPITSISLSSGTTQGKPKYLAFNDELVHSTMQIYRTSFAFRNKEYPVGNGKALQFIYSSKQVKTKGGLTATTATTNVYRSEQFKRTMKDIQSQCCSPDEVIFGPDFQQSLYCHLLCGLIYSDDVQIISSTFAHSIVHAFRTFEQVWEELCTDIKGGVLSSRITVPSIRAAVSKLLSPNPALADSIHSKCLRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPESATFAVLPNIGYFEFIPLQKPEGQELEHCVSTIHYIEAEPVGLTEVEVGKEYEIVVTNFAGLYRYRLGDIVRIAGFHNSTPELQFVCRRSLVLSINIDKNTEKDLQLAVEAAAKLLAEEKLEVVDFTSHVDTSTEPGHYVIFWELCSDGTDEVLRSCCSCLDLSFLDAGYVGSRKVGAIGPLELRVVRKGTFQKILDHYLGLGAAVSQFKTPRCVGVSNSMVLQILCRNVTGCYFSTAYGT >Ma07_p26020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32987323:32991345:-1 gene:Ma07_g26020 transcript:Ma07_t26020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLENVIDEFEALTKDAGRLQRATLRKILEQNAEAEYLQNLGLGGRTDPESFKACIPLVSHSDLEPYIRRIVDGDTSPILTGKPITSISLSSGTTQGKPKYLAFNDELVHSTMQIYRTSFAFRNKEYPVGNGKALQFIYSSKQVKTKGGLTATTATTNVYRSEQFKRTMKDIQSQCCSPDEVIFGPDFQQSLYCHLLCGLIYSDDVQIISSTFAHSIVHAFRTFEQVWEELCTDIKGGVLSSRITVPSIRAAVSKLLSPNPALADSIHSKCLRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPESATFAVLPNIGYFEFIPLQKPEGQELEHCVSTIHYIEAEPVGLTEVEVGKEYEIVVTNFAGLYRYRLGDIVRIAGFHNSTPELQFVCRRSLVLSINIDKNTEKDLQLAVEAAAKLLAEEKLEVVDFTSHVDTSTEPGHYVIFWELCSDGTDEVLRSCCSCLDLSFLDAGYVGSRKVGAIGPLELRVVRKGTFQKILDHYLGLGAAVSQFKTPRCVGVSNSMVLQILCRNVTGCYFSTAYGT >Ma07_p26020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32987323:32991046:-1 gene:Ma07_g26020 transcript:Ma07_t26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLENVIDEFEALTKDAGRLQRATLRKILEQNAEAEYLQNLGLGGRTDPESFKACIPLVSHSDLEPYIRRIVDGDTSPILTGKPITSISLSSGTTQGKPKYLAFNDELVHSTMQIYRTSFAFRNKEYPVGNGKALQFIYSSKQVKTKGGLTATTATTNVYRSEQFKRTMKDIQSQCCSPDEVIFGPDFQQSLYCHLLCGLIYSDDVQIISSTFAHSIVHAFRTFEQVWEELCTDIKGGVLSSRITVPSIRAAVSKLLSPNPALADSIHSKCLRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPESATFAVLPNIGYFEFIPLQKPEGQELEHCVSTIHYIEAEPVGLTEVEVGKEYEIVVTNFAGLYRYRLGDIVRIAGFHNSTPELQFVCRRSLVLSINIDKNTEKDLQLAVEAAAKLLAEEKLEVVDFTSHVDTSTEPGHYVIFWELCSDGTDEVLRSCCSCLDLSFLDAGYVGSRKVGAIGPLELRVVRKGTFQKILDHYLGLGAAVSQFKTPRCVGVSNSMVLQILCRNVTGCYFSTAYGT >Ma07_p26020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32987323:32991007:-1 gene:Ma07_g26020 transcript:Ma07_t26020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLENVIDEFEALTKDAGRLQRATLRKILEQNAEAEYLQNLGLGGRTDPESFKACIPLVSHSDLEPYIRRIVDGDTSPILTGKPITSISLSSGTTQGKPKYLAFNDELVHSTMQIYRTSFAFRNKEYPVGNGKALQFIYSSKQVKTKGGLTATTATTNVYRSEQFKRTMKDIQSQCCSPDEVIFGPDFQQSLYCHLLCGLIYSDDVQIISSTFAHSIVHAFRTFEQVWEELCTDIKGGVLSSRITVPSIRAAVSKLLSPNPALADSIHSKCLRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPESATFAVLPNIGYFEFIPLQKPEGQELEHCVSTIHYIEAEPVGLTEVEVGKEYEIVVTNFAGLYRYRLGDIVRIAGFHNSTPELQFVCRRSLVLSINIDKNTEKDLQLAVEAAAKLLAEEKLEVVDFTSHVDTSTEPGHYVIFWELCSDGTDEVLRSCCSCLDLSFLDAGYVGSRKVGAIGPLELRVVRKGTFQKILDHYLGLGAAVSQFKTPRCVGVSNSMVLQILCRNVTGCYFSTAYGT >Ma11_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14839029:14839972:-1 gene:Ma11_g11750 transcript:Ma11_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSDCVVMVYRRVRLRWRVNASTWGWPQSRPSSSPSARSSCPTPPNPLIERGYEEEAKAMLRKLRGTDDIQAEYDDLVVASEEAKSAPVLFKTIGFGDDASHVSAVINGVVNVSVTFVSIATVYKLGRRALFLQDGMQMLVSQVVLGTLIALKFGTSGVAAELTQSYASILVFFISVYVAAFAWSWSPQGWPVPTEIFPLEIRSAGQSTTVPVNMLFTFLIAQVFLTALGSAPSSWASSFSWPAGWWS >Ma01_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5646118:5647876:1 gene:Ma01_g07800 transcript:Ma01_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLLSRKAACNSHGQDSSYFLGWQEFEKNPYDPIANTGGIIQMGLAENQLSFDLIESWLEDHPDLTGFKKDGGLVFRELALFQDYHGLPAFKNALARYMGEVRGNKVSFEPSKLVLTAGATSANETLMFCLADPGEAFLLPTPYYPGFDRDLKWRTGVEIVPIHCSSSNGFRITRAALEAALRRAQKRRLRVKGVLVTNPSNPLGTTLTRQELDTLVDFAVANDIHLISDEIYSGTTFGSPGFVSIAEATKGRDDVSHRIHIVCSLSKDLGLPGFRVGAIYSDNEAVVSAATKMSSFGLISSQTQYLLAALLSDKEFTETYVRESQKRLKERHDMLVEGLRRIGIGCLEGNAGLFCWVDMRHLLRSNTFEGEMELWKKIVYRVGLNISPGSSCHCDEPGWFRVCFANMSEDTLELAMRRLESFVDSCHRRRPRRQFLAKWVLGSASSSADRKSER >Ma03_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5088863:5089538:1 gene:Ma03_g07290 transcript:Ma03_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDTAAAVKPEYPVVDRNPPFTKTVANFNTLDYLRLLTITGVSVTVGYLSGIKPNVRGPSTVTGGLIGVLGGFMYAYQNSAGRLMGFFPNDDEVARYK >Ma07_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7033539:7033850:1 gene:Ma07_g09400 transcript:Ma07_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLGVIGGVLATAVHTLEHGGQVGMVFELFRNCAGYYRRLQEEIESNLGETDVQKRENGELFEMKAALQLGRSLSDLKGLATYASPSCEDEDMKEFAGKLF >Ma04_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18716534:18728370:-1 gene:Ma04_g17720 transcript:Ma04_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSLPLLPLLLLLSAFSVPVPRSSAAAAATSEAPFCPRSDLAFVGGLIAQCPRWIELSFPLEVDGESLDRELIHGESKGYYSVLFYASWCPFSDNIRPTFNVLSSMFPQIKHLLADESSTMPSILSRYGIHSFPAIVLSNQTATIRYRGPKDIDTLIQFYKKTTGFDPVERLVVDQPANLGNVRSLMHQVESLRELITTEPYLVLGVLFICLKLVLSVLPVVYSHLKALWVSHGWHLNLPILCEPSQLLVRVLHGIDVNKLWNKVKLGNKTMNLRKGANNARAWASTLTSVSLGESSSPSSSSSSRLITPCDS >Ma02_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18010404:18011839:1 gene:Ma02_g07230 transcript:Ma02_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLLLFLLFFPSLAAPSRIPAIIVFGDSTVDAGNNNYVRTIARANFPPYGRDFPGGRATGRFCNGRLATDFVSESLGLPPTVPAYLDPAYSIKDFATGVCFASAATGLDTATSDVLSVIPLWQEMEYFKEYKKRLTHYVGRKKAMHIIHEAVYIVSVGTNDFIENYYSPTSSRRKQFTVEEYEDFLIGLAARFLTKLHRQGARKISFTGLSPFGCLPSERATNFLGHGECMEQYNKVAIDFNMKLQALIERLCASSPGLKLRFSPTYDLFLHVVQNPSSYGFENAIRGCCGTGRMEMGYFCNEWSHFTCEDPNKFVFWDSVHPSESLNRIFANQTLRTSLAEFL >Ma03_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11314914:11316423:1 gene:Ma03_g14150 transcript:Ma03_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTKSATLATEPRPRTRSRASSAAISAVATSSSGFPTGSSSDPRLPRTAAAVPFNSFPSSGSKASSSSVSSLTSLREALPDPPVLYTFSELCSATGNFHSNRLPAAKSGWRCSLRGKDAVVFQRRFHGPDPAALPARLAALARSHHSSLVHLLGASLAGDHVYVVHEFAPGASLTDCLRNQRNPNFTPLSTWISRMQVASDLAHGLEYIHLHSSIHNRLKSSSVLVTEPGFRARICHFGAADLADEIPPATAEEDGKADSITPPPPGIRRTGSRRMRIEGSRGYMAPELLAGGSISRRSDVFAFGVVLLELISGDEPLKFSMGRGDGSEFQRVSLIDTAREVIGAEEEGERRGRMRQWVDRRLRDSYPVETAEALIQVALQCVEAEAAARPDMTWVAGRVSKLFLDSEAWAETVKPPTEFSVSMAPR >Ma09_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4090641:4091722:-1 gene:Ma09_g06400 transcript:Ma09_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVPSPEWFVYDENKDSYDLNTRILATAVICLTSVVVLVVFLHLYVRHVLLRRHRASLLLRFYANNPAHDDPANVGLDPSAIAALPTHSYRVIIKQGEGGGGSKDDGSCAECAICLSAVEEGETVRTLPSCKHLFHVGCIDMWLGSHSTCPVCRTAVEPRPAATPDVSEPSTVPPPRAASQDSSGAAAAAASQEGSSSGSKDSGSASSRLGSSFRRMLSWDRSTGRRAQGEAMEDLERQ >Ma01_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1013698:1014070:-1 gene:Ma01_g01440 transcript:Ma01_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIDLVPSGYRFLPTAEELLVDYLANWVAGAPLPGRAVAFADVYGTEPWNLLGSDRQEGYFFAERKPKNSGGSRVDRKAGSGSWTLYKKQETVKSMVGGREMVVGERAAFLSTMVGGRTPGGR >Ma07_p27240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33689852:33692626:-1 gene:Ma07_g27240 transcript:Ma07_t27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQILHVCCGATSFPCSSSNTLRRIASFQHHGDHARLSLRILCSGQPPSRRSANYQPNIWTHDSIRSLTIDHKMEEEQCAVRINKLEERVVKLIQEEKELEVQLQLIDHLQQLGVAYHFKDDIKDSLRSIHGSLEDKSMLLKENLHATALLFRLLRENGFDVSEDMFRRFKDEKGHFKACLQHQTKGMLSLYEASYLEKEGELVLSQAMDFTTKHLKKLVEQGSLEPPLREQVAYALELPLHWRMHRLHTRWFIYAYQRDATMNPLLLELAKLDFNMVQGIYKRELSEASRWWTDIGLANRLPFFRDRLVENYLWTVGWAFEPQFSSYREIQTKANCFITMIDDVYDVYGTLDELELFTDAVDRWDINAIDKFPEYMKMCFLAVFNTTNEAAFRITKEKGLDILPYLKRAWGDLCKAYLVEAKWYQRGHVPKLSDYLDNAWMSISCHMSLTHAFCMSEDLTQDALESFRSYPEITRPSCMLLRLYNDLATSTAELQRGDVAKALQCCMHEKDVSESAAREHVGGLIRANWRALNGNHPRNCFTTVASNTPRVSQFMYGNGDGYGIPGGETKNQVMALLMEPIMF >Ma03_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7737256:7738854:-1 gene:Ma03_g10280 transcript:Ma03_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSPFPFLLPVLALLSALLLAGRKARGSTAAWKLPPGPAKLPLIGNLHSLGSKVLHQSLWELSKKHGPLVHLKLGRVPVVVVSSPELAKEVLKTHDHECCTRPSHISTDKFSYGRSDIALMPYGDRWRQLRKFCTVEFFSAKKINSFKGVREQETERTVKLICFHARQSLVVNLSELLLSLSCNITCRTAFGSRIDGGGDIHDILREAQALLTAFFVSDYFPLLGWVDALRGMKARLNRIFLKFDGIYQRVIDDHIDRMKQQRNGDEDILEALLRMQKAGEDITEDNIKGLLTNIFIGGTDTSSATVVWAMAELIRQPEMMKRTQDEVRGCVGSKGKVEESDLHQLHYLKCVIKETMRLHPPAPLMLPRETMRTIELNGCIIPPKTMIYVNAWAIGRDPNSWERPDIFNPERFMHGSSDTKGQDFKFIPFGEGRRICPGKNLGMLVVEIVLANLLYSFNWHLPLGLTKEDVDMEEAPGITVHRKSALCLMATEYEGKEE >Ma10_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27359967:27361112:-1 gene:Ma10_g15130 transcript:Ma10_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMVWSRENAVDGCLDTLKPLARDSGRRRRRRRNDGHPSAEPASDEFVSALAAGMGAKLIVEVSPEASQSTGALAAAARQTGGRLVCIIPEEVSLAPTKDVVEEFGVDDAVEFKVGDPYHEHIDCSLVGCKSDPYAGLLELLHVNPRSSVAIANCLRGGKEGPIGEEGMEVTMIGKIEEVGRTGTTAGGVERRKSAKGSGRRRPGSKSRWVRKIDERGEEHFFRLPISLEPVRVSGGGRSG >Ma06_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22434287:22437437:1 gene:Ma06_g24070 transcript:Ma06_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MQANGMHEQLGSELLQWRQWQLLDSVLPTGGFAHSYGLEAAIQTTFVTNPIDLKSYIVQVLENTGSLLLPFVYCACKSPDIVAWSKLDRLLEATLTNEVSRKASASQGSALLRVAASVYLEVPSLKEMRDKFLGSGSVYFHHAPIFGLICGFLGFDSSTTQRMYMFMAMRDVISAATRLNLVGPLGASVLQHQLALVAEEMMKKWMDRPVDEACQVAPMLDVVQGCHQYLFSRLFCS >Ma06_p24070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22434321:22437437:1 gene:Ma06_g24070 transcript:Ma06_t24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MVKLTFDDGATDGMHEQLGSELLQWRQWQLLDSVLPTGGFAHSYGLEAAIQTTFVTNPIDLKSYIVQVLENTGSLLLPFVYCACKSPDIVAWSKLDRLLEATLTNEVSRKASASQGSALLRVAASVYLEVPSLKEMRDKFLGSGSVYFHHAPIFGLICGFLGFDSSTTQRMYMFMAMRDVISAATRLNLVGPLGASVLQHQLALVAEEMMKKWMDRPVDEACQVAPMLDVVQGCHQYLFSRLFCS >Ma02_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17462344:17462962:-1 gene:Ma02_g06460 transcript:Ma02_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELVDVREFPPLPVVRTTGTGDKPVAEGPSESGSEGEVECSTPKSEELEPKPAMVCPPAPRKPRPAKRKLGPPPKGYYPVPSDLASVFVPLSRLPNKRIRVG >Ma06_p19620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13555413:13567070:-1 gene:Ma06_g19620 transcript:Ma06_t19620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MGSRDMKNKSSGKKLAVASAKVEVDNFIGSSNACLYETSQNKMDFSKLLEGVVFALSGFVNPERATLRSKALEMGAEYQPDWTSDCTILVCAFPNTPKFRQVKSDGGTIVSKDWISECHSQKSLVDIVPYLMHVGKPWRKGSKQFDFQQDECDVVHEEPLSQSGRLDVESSGRKRRAGELATNVDIQFSPSKIKQWAVDDLHRTMSWLERQDEKPEKSEIKGIAAEGIITCLQDSIDSLGQDHDVRHVSEQWKFVPRVVKELVELENSSKKGLATKKVLYELAVRCKEIYEEEFDHLNNLKKKQQKADHNQEEEIEDEQVKPDDAGFDSDETIVMTQEEIDVACKQLSENCE >Ma06_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13555413:13566943:-1 gene:Ma06_g19620 transcript:Ma06_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MGSRDMKNKSSGKKLAVASAKVEVDNFIGSSNACLYETSQNKMDFSKLLEGVVFALSGFVNPERATLRSKALEMGAEYQPDWTSDCTILVCAFPNTPKFRQVKSDGGTIVSKDWISECHSQKSLVDIVPYLMHVGKPWRKGSKQFDFQQDECDVVHEEPLSQSGRLDVESSGRKRRAGELATNVDIQFSPSKIKQWAVDDLHRTMSWLERQDEKPEKSEIKGIAAEGIITCLQDSIDSLGQDHDVRHVSEQWKFVPRVVKELVELENSSKKGLATKKVLYELAVRCKEIYEEEFDHLNNLKKKQQKADHNQEEEIEDEQVKPDDAGFDSDETIVMTQEEIDVACKQLSENCE >Ma07_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28688572:28690798:1 gene:Ma07_g20720 transcript:Ma07_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGSDVKGLLSLYNAAYLGTHGEIILDEAISFTRNSLVSALADLKPPLTTQVSLDLETPLCRRIRRLLARDYISIYQEDATRDDAILELAKLDFNLLQSLHREELKNITIFARDRLVECYFWILAVYFEPYYSRARVITTKVIAHISILDDIYDVYSTLEESQRLTEAIQRWDAKVVHQLPEYMKDYYLKLMHTFKEFEDLLASNEKYRITYLKEAMKDLSEAYFEESKWRDQHYIPTLEEHLQVSLISSAYPMLECASFVGMGEIATKEAFEWITSFPKIVQASAIIGRIMNDITSHELEQTREHVASTVHCYMKEYGTNVHTACKKLQVLVDDAWKDINEECFNQTTFPIALLQRIVNFARMIEILYKYIDGYTNSSTKTKEYISLLLVHPVPL >Ma04_p25380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27169021:27176117:-1 gene:Ma04_g25380 transcript:Ma04_t25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MAGLSRSSSSSMRNLFPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEQNPSLKSLSFREFTSLIFKSCAALRPYIAHIDDIYKDFTSYKFRVPVTGAIILDESYERCLLVKGWKAGASWSFPRGKKSKDEEDHTCAVREVLEETGFDVSKLLKIDEYIEVVIGQQRVRLYIIAGVKEDTVFAPLTKKEISEISWHRLDELQPAGDDITSRGVNGLKLYMVAPFLTSLKAWIASHPPSIPLKLDSSSKALAGTSVWKAKNNADGGMPSDNAPLRLGSEPQKSDNGPGKSFRNFKFDTASILQAMEAAFAAN >Ma04_p25380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27169021:27176117:-1 gene:Ma04_g25380 transcript:Ma04_t25380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MAGLSRSSSSSMRNLFPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEQNPSLKSLSFREFTSLIFKSCAALRPYIAHIDDIYKDFTSYKFRVPVTGAIILDESYERCLLVKGWKAGASWSFPRGKKSKDEEDHTCAVREVLEETGFDVSKLLKIDEYIEVVIGQQRVRLYIIAGVKEDTVFAPLTKKEISEISWHRLDELQPAGDDITSRGVNGLKLYMVAPFLTSLKAWIASHPPSIPLKLDSSSKGTSVWKAKNNADGGMPSDNAPLRLGSEPQKSDNGPGKSFRNFKFDTASILQAMEAAFAAN >Ma04_p25380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27169021:27176117:-1 gene:Ma04_g25380 transcript:Ma04_t25380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MAGLSRSSSSSMRNLFPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEQNPSLKSLSFREFTSLIFKSCAALRPYIAHIDDIYKDFTSYKFRVPVTGAIILDESYERCLLVKGWKAGASWSFPRGKKSKDEEDHTCAVREVLEETGFDVSKLLKIDEYIEVVIGQQRVRLYIIAGVKEDTVFAPLTKKEISEISWHRLDELQPAGDDITSRGVNGLKLYMVAPFLTSLKAWIASHPPSIPLKLDSSSKAGTSVWKAKNNADGGMPSDNAPLRLGSEPQKSDNGPGKSFRNFKFDTASILQAMEAAFAAN >Ma04_p25380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27169021:27176079:-1 gene:Ma04_g25380 transcript:Ma04_t25380.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MISAVFKSCAALRPYIAHIDDIYKDFTSYKFRVPVTGAIILDESYERCLLVKGWKAGASWSFPRGKKSKDEEDHTCAVREVLEETGFDVSKLLKIDEYIEVVIGQQRVRLYIIAGVKEDTVFAPLTKKEISEISWHRLDELQPAGDDITSRGVNGLKLYMVAPFLTSLKAWIASHPPSIPLKLDSSSKALAGTSVWKAKNNADGGMPSDNAPLRLGSEPQKSDNGPGKSFRNFKFDTASILQAMEAAFAAN >Ma06_p26570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28408579:28411836:-1 gene:Ma06_g26570 transcript:Ma06_t26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRFSRRPTMVAARQDSGSGGGGAPSDAGQNGIPYTAELSYYEAACREDPDLWSFDATLQRRTSHAISTLALGVELRSLSFNTLSEVTGCLLDTNKEVVDVILESKKDIWKNPELLDLANDYFECSIQTLDYCTELEKCLKRARDSHLIIHFALQHFENENKDKGEMEIEDGKRKYAMTLEKLRHFKAAGNPFTEEFSQVFQSVYRQQQLMLEKLLLRKKKLDKKLRSINAWRKVSNIIFVSALAAVVICSVVAAAVAAPPVAAALAAACTIPIGSAGKWINSLLKNYQNALGEERDILSSMQFGTCIALKDLDTIRVMVDNLEIHFNSLSEDADFALKDVEAMKFAIKEIKRKLEQFTTSIENLGVQVDRCSRDIRKTRTVVLQRIMKHPIE >Ma06_p26570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28408579:28411836:-1 gene:Ma06_g26570 transcript:Ma06_t26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRFSRRPTMVAARQDSGSGGGGAPSDAGQNGIPYTAELSYYEAACREDPDLWSFDATLQRRTSHAISTLALGVELRSLSFNTLSEVTGCLLDTNKEVVDVILESKKDIWKNPELLDLANDYFECSIQTLDYCTELEKCLKRARDSHLIIHFALQHFENENKDKGEMEIEDGKRKYAMTLEKLRHFKAAGNPFTEEFSQVFQSVYRQQQLMLEKLLLRKKKLDKKLRSINAWRKVSNIIFVSALAAVVICSVVAAAVAAPPVAAALAAACTIPIGSAGKWINSLLKNYQNALGEERDILSSMQFGTCIALKDLDTIRVMVDNLEIHFNSLSEDADFALKDVEAMKFAIKEIKRKLEQFTTSIENLGVQVDRCSRDIRKTRTVVLQRIMKHPIE >Ma09_p30200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40444938:40445952:-1 gene:Ma09_g30200 transcript:Ma09_t30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDLPMGEQSSRRELHGPRPTPLKVRKDSYKIRKPPMAAPQQAAPPPQQRRPIIIYTVSPKVIHTTPTDFMSVVQRLTGAAAAASSFSAHGPCDASVLSPRIDAAEKLFSPAARLATFEKTAQTAHASSGERLTKGEQNLAEVLLGIDGAGASTLDRSAGPFAGILSPIPSSLPCISPDLFASSTAQQHQINFFNELSPAIQSNRSFMGINSFFPSPNNFLSSGIVPSPASYWDLFNQYQY >Ma10_p29130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35810542:35812199:1 gene:Ma10_g29130 transcript:Ma10_t29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEHGEAAAEENLTAKMFQLSVEQGEASLVPPEKETERVLYFLSNLDQNIAVIVRTVYCFKSPDQGNEKAGDVIREALSKVLVHYYPLAGRLTVSSERKLIVDCTGEGAVFVEAIADCEMEQIGDIAKPDPSMLGKLVYDVPGAKNMLEIPPLVAQVTKFKCGGFILGLAMNHCMFDGLGAMEFVNSWCETARGLPLSVPPFMDRTVLEARDPPLLEFPHHEFREIPDSPRTISLYQEEMLYKSFYFDPHRIEHLKSRATGDGVLHSCTTFEALSALVWRARTAALRVEHDQQTKLLFAVDGRGASREAVLVRGGTGSEGSEDDHGRIHEVCHRLLRGDQSEAASYRNASDNHLVQADFPRHGLRVGEAGAVGAGGIA >Ma10_p29130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35810538:35812210:1 gene:Ma10_g29130 transcript:Ma10_t29130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEHGEAAAEENLTAKMFQLSVEQGEASLVPPEKETERVLYFLSNLDQNIAVIVRTVYCFKSPDQGNEKAGDVIREALSKVLVHYYPLAGRLTVSSERKLIVDCTGEGAVFVEAIADCEMEQIGDIAKPDPSMLGKLVYDVPGAKNMLEIPPLVAQVTKFKCGGFILGLAMNHCMFDGLGAMEFVNSWCETARGLPLSVPPFMDRTVLEARDPPLLEFPHHEFREIPDSPRTISLYQEEMLYKSFYFDPHRIEHLKSRATGDGVLHSCTTFEALSALVWRARTAALRVEHDQQTKLLFAVDGRSRFDPPLPKGYFGNGIVLTSALCDAGELLEKPFSFAVGLVQKAVRMITDGYMRSAIDYFEVTRVRPPLTATLLITTWSRLTFHATDFGWGKPVQSGPVALPEKEVILFLSHGKERRSTNVLLGLPDSAMVAFQELIEM >Ma07_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14268412:14299525:-1 gene:Ma07_g16550 transcript:Ma07_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMLQPKNPSKFDSVALVICFLPCLFLAFGRSGAQATTPLSEVAALNAILGRWGRTASATSSPAWNISGEPCSGAATDSTNFDSTAFNPAIKCDCSYDNATTCHITQLKVDALDVVGRIPDELQNLTYLTNLHLVRNYLTGPLPAFIGTLTGLQYLSVGTNALSGGIPKELGKLTNLLSLSIATNNFSGPLPLELGNLTKLQQLYVSSCGASGEFPSTISGLQNLKTLWLGNNNFTGKIPDFSRTNITVLRMQGNSFEGPIPSGLSRMTNIIDLRISDIQKGSSSLAFISNLTSLSTLILRNCKISDIIPSNFSQYTSFQKLDLSFNNLTGQLPQSLFNLNLLSHLFLGNNNLSGSLPANKSVTLLNIDLSYNQLAGSFPSWASQQNLKLNLVANNFIIGSSNSSVLPSGLNCLQRDIPCNRGAPIYSSFAIKCGSNKTITASDGTLYEIDNQILTTASYYVTETNKWAVSTVGSFSDASNADYILYSLSQFTNTLESELYQTARISPSSLRYYGLGLQNGNYTVKLHFAETQILDPPTWKSNGRRIFDIYIQGNLREKDFDIRKEAGEKSLAAVIKEYVAPVTGNFLEIHFFWAGKGTCCVPTQGYYGGSISAISVYPYDFTPTVSNKPPSTTSTSKKKTSIIAGTTAGVVALGLLLIFGILIYWHKKRLNKEEDDELIEMIARPDTFTYSELKTATEDFNSANKLGEGGFGPVY >Ma01_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3868492:3871996:-1 gene:Ma01_g05500 transcript:Ma01_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEISLNECLSSLFPLCNFELPDLLIFSSLERTGKQINRESKMDSVALLFFLLLLLSATAPSESFAGHRKLMHHASVPHEATKKSSSKDNVKTNDYGAYDPSPALNKPPYKLINN >Ma05_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4580519:4581170:-1 gene:Ma05_g06150 transcript:Ma05_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRANDSQWLPALGLAFLTYNSAAAVYRSVDDPWAVSFVVVAYVDLLLLFWCLRKFERSTESNRGGLKAAVWFLATLLTGMFAHKVAAIMPWPVAAIVYCMAAATAGGGFWAFFIYREPVDLSNDAKPSSNYRVPVDLSADAKPSSN >Ma02_p00340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4533679:4548355:-1 gene:Ma02_g00340 transcript:Ma02_t00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAVVSDEEDEIEEDAEERAMEQDADDVGDRGNDDEEEDEEAEEGQDEYEQDGFIVDDVEEEEEEEKQNSDEERHRRKKKKRRDSEKNYVLDEDDYELLQDNNITGFHRPQPGSKFKRLKKAGRDNEMDEQSGFSDDEELDRNSHGGRTAEEKLKRSLFGDDEVVPLEDIAEEEEQQEEEEEDADIMGEEDEMADFIVDEEDVDETGAVVRKKKLKKKKSRQAPGVSSSALQEAHEIFGDVDELLMLRKQGLAAVSGDGTWSEKRLEDEFEPFILSEKYMTTKDEIIRETDVPERIQLSEDITGPPPTDDKSIEEESTWINSQLNSGGISPLVGYDQVVKEINKEEIGNVLTMTHVQKLDIPFISMYRKELCLSLLKDPDAETPDNEETPKMKWHKVLWAVQTLDRKWLLLQKRKSALHSYYNKRFQEEARRIDNETRLALNQQLFKSVIEALRDAKSEREVDDIDAKFNLHFPPGEVDIEDGQFKRPKRKSLYSICHKAGLWEVANKFGASSEQFGLLLSLDKILDELEDGKETPEEIAANFTCALFETPQDVLKGARHMAAVEIGCEPNVRKHVRSIFMEKAVVSTSPTPEGNMAIDPYHQLASVKWLCNKPLCEFVDAQWLLIQRGEEEKLLQVTIKLPEEIQKKLLSDASEYYLSERVSRSAQLWNEQRKMILEDSFLTFILPSMEKEARSLMTARAKNWLLMEYGKQLWTKVSIAPFKRKDADIDSEDESESRVMACCWGPGKPATTIVMLDSAGEMVDVLYAGSISVRSQAVAEQQRKKNDHQRVLKFMTGHQPHAVCVGAANMACRQLKDDIYEVIFKIVEDHPKDVSQDLEYISIVFGDESLPRLYENSRVSADQLPGQPGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLCPLEHFLTPDEKYEVVEQVMVDATNQVGVDINLAASHEWLFAPLQFISGLGPRKASALQRAFVRAGSIFNRKEIPMGKILRKKVFINAVGFLRVRRSGAAAASSHIMDLLDDTRIHPESYDLAKNLAKDVYAEDVPNETNDMDDDVQEMAIEHVRERPHMLKVLDINEYAKSIFNRYGTNKRETLYDIKMELLHGFQDWRTPFKEPAAEEEFAMLSGETDDTISEGRIVQVTVRHVQESRIICAFDSGLKGMIFPDDFSDEGYDHEKVHEGDILTCKIKHVNKNRLVVYLTSKASDLRKRPYNIHNRDPYYHEDEASLRSELEKARKDKERAKKHFRPRMIVHPRFQNLTADEAMEYLSDKEPGESIIRPSSKGPSFLTFTLKVFDGVYAHKEIVEGGKDHKDITSLLRLGRTLTIDKDTFEDLDEVMDRYVDPLVTQLKHMLSYHKFRKGTKAELDDLLRAEKAANLMRIVYCFGISHEHPGTFILSYIRSTNPHHEYIGLYPKGFRFRKKDFDDVDRLVAYFQKNIDKPPPDAGPSIRTLAAMVPMKSPAWVSSSGGYVGSASAGSNDGWRGDRERPSTPGSRTGDRFDSRSTGSRDVHPSGLPRPGRGRGRGRGRGNNFGSEDCDSDYGSAKWGSNENDGLSTFPGAKVQNSPGRDPWGWGSAGSGGGQGGISTGGGNGGGDWGSGYATDRGGDKWGGGGIKGAWSEGGSGGSSWGTGGNIGGGNGSSPAPGWGGNSSGGGGGGWGGGTSFGGRPGGGLSEELANPKKSGGGLAAGFGASSTGWSDSRRSIPSQPDTGNGWSGGW >Ma02_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4533679:4548355:-1 gene:Ma02_g00340 transcript:Ma02_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAVVSDEEDEIEEDAEERAMEQDADDVGDRGNDDEEEDEEAEEGQDEYEQDGFIVDDVEEEEEEEKQNSDEERHRRKKKKRRDSEKNYVLDEDDYELLQDNNITGFHRPQPGSKFKRLKKAGRDNEMDEQSGFSDDEELDRNSHGGRTAEEKLKRSLFGDDEVVPLEDIAEEEEQQEEEEEDADIMGEEDEMADFIVDEEDVDETGAVVRKKKLKKKKSRQAPGVSSSALQEAHEIFGDVDELLMLRKQGLAAVSGDGTWSEKRLEDEFEPFILSEKYMTTKDEIIRETDVPERIQLSEDITGPPPTDDKSIEEESTWINSQLNSGGISPLVGYDQVVKEINKEEIGNVLTMTHVQKLDIPFISMYRKELCLSLLKDPDAETPDNEETPKMKWHKVLWAVQTLDRKWLLLQKRKSALHSYYNKRFQEEARRIDNETRLALNQQLFKSVIEALRDAKSEREVDDIDAKFNLHFPPGEVDIEDGQFKRPKRKSLYSICHKAGLWEVANKFGASSEQFGLLLSLDKILDELEDGKETPEEIAANFTCALFETPQDVLKGARHMAAVEIGCEPNVRKHVRSIFMEKAVVSTSPTPEGNMAIDPYHQLASVKWLCNKPLCEFVDAQWLLIQRGEEEKLLQVTIKLPEEIQKKLLSDASEYYLSERVSRSAQLWNEQRKMILEDSFLTFILPSMEKEARSLMTARAKNWLLMEYGKQLWTKVSIAPFKRKDADIDSEDESESRVMACCWGPGKPATTIVMLDSAGEMVDVLYAGSISVRSQAVAEQQRKKNDHQRVLKFMTGHQPHAVCVGAANMACRQLKDDIYEVIFKIVEDHPKDVSQDLEYISIVFGDESLPRLYENSRVSADQLPGQPGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLCPLEHFLTPDEKYEVVEQVMVDATNQVGVDINLAASHEWLFAPLQFISGLGPRKASALQRAFVRAGSIFNRKEIPMGKILRKKVFINAVGFLRVRRSGAAAASSHIMDLLDDTRIHPESYDLAKNLAKDVYAEDVPNETNDMDDDVQEMAIEHVRERPHMLKVLDINEYAKSIFNRYGTNKRETLYDIKMELLHGFQDWRTPFKEPAAEEEFAMLSGETDDTISEGRIVQVTVRHVQESRIICAFDSGLKGMIFPDDFSDEGYDHEKVHEGDILTCKIKHVNKNRLVVYLTSKASDLRKRPYNIHNRDPYYHEDEASLRSELEKARKDKERAKKHFRPRMIVHPRFQNLTADEAMEYLSDKEPGESIIRPSSKGPSFLTFTLKVFDGVYAHKEIVEGGKDHKDITSLLRLGRTLTIDKDTFEDLDEVMDRYVDPLVTQLKHMLSYHKFRKGTKAELDDLLRAEKAANLMRIVYCFGISHEHPGTFILSYIRSTNPHHEYIGLYPKGFRFRKKDFDDVDRLVAYFQKNIDKPPPDAGPSIRTLAAMVPMKSPAWVSSSGGYVGSASAGSNDGWRGDRERPSTPGSRTGDRFDSRSTGSRDVHPSGLPRPGRGRGRGRGRGNNFGSEDCDSDYGSAKWGSNENDGLSTFPGAKVQNSPGRDPWGWGSAGSGGGQGGISTGGGNGGGDWGSGYATDRGGDKWGGGGIKGAWSEGGSGGSSWGTGGNIGGGNGSSPAPGWGGNSSGGGGGGWGGGTSFGGRPGGGLSEELANPKKSGGGLAAGFGASSTGWSDSRRSIPSQPDTGNGWSGGW >Ma06_p30310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31615600:31628718:1 gene:Ma06_g30310 transcript:Ma06_t30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEDMHDANDVQSVEDDFYTGETGMGSEDDDYNFGDNYSDDSEDVTSHRQQQNYTILSEADIRQHQDENINRVSTVLSIPRYAACILLRHYNWSISRVHDEWFADEEHVRKAVGLLDRTVEILNARELTCGICFENYPCGRMSSASCGHPFCGACWRGYISTSISDGPGCLMLRCPDPSCGAAVGRNMIDVLTTGEDKEKYSRYLLRSYVEDNRKIKWCPAPGCEFAVEFVIGSGSYDVCCSCSYSFCWNCAEEAHRPVDCATVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYESAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHSMQTEKLEKLSDTQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAEGPMTDFNDFRTKLAGLTSVTKNYFENLVRALETGLKDVGTSNNQATCSKSSSCKSLGSKSKSGKIKAVAGSSSGSGAPSRSFDDGNLWSCDRCTYANPRSTTTCQMCEHHR >Ma06_p30310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31615612:31628718:1 gene:Ma06_g30310 transcript:Ma06_t30310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEDMHDANDVQSVEDDFYTGETGMGSEDDDYNFGDNYSDDSEDVTSHRQQQNYTILSEADIRQHQDENINRVSTVLSIPRYAACILLRHYNWSISRVHDEWFADEEHVRKAVGLLDRTVEILNARELTCGICFENYPCGRMSSASCGHPFCGACWRGYISTSISDGPGCLMLRCPDPSCGAAVGRNMIDVLTTGEDKEKYSRYLLRSYVEDNRKIKWCPAPGCEFAVEFVIGSGSYDVCCSCSYSFCWNCAEEAHRPVDCATVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYESAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHSMQTEKLEKLSDTQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAEGPMTDFNDFRTKLAGLTSVTKNYFENLVRALETGLKDVGTSNNQATCSKSSSCKSLGSKSKSGKIKAVAGSSSGSGAPSRSFDDGNLWSCDRCTYANPRSTTTCQMCEHHR >Ma11_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:917401:924516:-1 gene:Ma11_g01300 transcript:Ma11_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSRAAVDASKRKRRKKGRPSLLDLQKRSLRLQNQQQNNLSPNPNPSPKFPQSNTPSASRRVTRRNPNPSQDDPPRPPPEAGRDEEEEEEEEDGGSGGKRREKKLKLVLRLPSRSAGSGSESDGAANADPKRRKIGHENRVKKAECNNSPKATGLVPGELPDLGPTTPLPDKKLLAFILDRLQKKDTYGVFSEPVDPEELPDYHEVIEHPMDFGTVRNKLLTGAYFNLEQFENDVFLISSNAMRYNAPDTIYFRQARSIHELAKKNFENLRQEGNDNEPEPKTVVRRGRPPNKHKKVGRPPADRAASDFSTDVTLANAGDTNHLSNLAHDSLRKGSSGEKSGVANASNRALYGLRCTDTCGWISEQKADRDEEYSGSAPKGNHTKYGKKLIVVDENRRNTYKQSLASTYTHETPIFTILNGEKKQLMPVGLHLEYAYARSLARFAAKLGPIGWLLTAPKIQRVLPPGTKFGPGWVADGEAPQHSQPLEMPHNDVIVKEGHINRTTVPASTLAVSSNVSSFPGDPSLSRVPSHENGSSSLINGGVDGDAIRPKVPFQHHQNPGMHPTIDGLSGSFNSNMVSQLGNMIRPAGMLYGPFGSDAQMSHARALDMVSRANNNYIHQTAERPTVVDNSSTKNSGKPLKEAGNDSKGPWQSTTLQRKSDSAPPDLNVGFQSPGSPASDMVVGSQQPDLALQL >Ma11_p01300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:917401:924516:-1 gene:Ma11_g01300 transcript:Ma11_t01300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSRAAVDASKRKRRKKGRPSLLDLQKRSLRLQNQQQNNLSPNPNPSPKFPQSNTPSASRRVTRRNPNPSQDDPPRPPPEAGRDEEEEEEEEDGGSGGKRREKKLKLVLRLPSRSAGSGSESDGAANADPKRRKIGHENRVKKAECNNSPKATGLVPGELPDLGPTTPLPDKKLLAFILDRLQKKDTYGVFSEPVDPEELPDYHEVIEHPMDFGTVRNKLLTGAYFNLEQFENDVFLISSNAMRYNAPDTIYFRQARSIHELAKKNFENLRQEGNDNEPEPKTVVRRGRPPNKHKKVGRPPADRAASDFSTDVTLANAGDTNHLSNLAHDSLRKGSSGEKSGVANASNRALYGLRCTDTCGWISEQKADRDEEYSGSAPKGNHTKYGKKLIVVDENRRNTYKQSLASTYTHETPIFTILNGEKKQLMPVGLHLEYAYARSLARFAAKLGPIGWLLTAPKIQRVLPPGTKFGPGWVADGNAPQ >Ma11_p01300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:917401:924516:-1 gene:Ma11_g01300 transcript:Ma11_t01300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSRAAVDASKRKRRKKGRPSLLDLQKRSLRLQNQQQNNLSPNPNPSPKFPQSNTPSASRRVTRRNPNPSQDDPPRPPPEAGRDEEEEEEEEDGGSGGKRREKKLKLVLRLPSRSAGSGSESDGAANADPKRRKIGHENRVKKAECNNSPKATGLVPGELPDLGPTTPLPDKKLLAFILDRLQKKDTYGVFSEPVDPEELPDYHEVIEHPMDFGTVRNKLLTGAYFNLEQFENDVFLISSNAMRYNAPDTIYFRQARSIHELAKKNFENLRQEGNDNEPEPKTVVRRGRPPNKHKKVGRPPADRAASDFSTDVTLANAGDTNHLSNLAHDSLRKGSSGEKSGVANASNRALYGLRCTDTCGWISEQKADRDEEYSGSAPKGNHTKYGKKLIVVDENRRNTYKQSLASTYTHETPIFTILNGEKKQLMPVGLHLEYAYARSLARFAAKLGPIGWLLTAPKIQRVLPPGTKFGPGWVADGEAPQHSQPLVPSISPPHPLAKSCASSTIDKHSHGQEMPHNDVIVKEGHINRTTVPASTLAVSSNVSSFPGDPSLSRVPSHENGSSSLINGGVDGDAIRPKVPFQHHQNPGMHPTIDGLSGSFNSNMVSQLGNMIRPAGMLYGPFGSDAQMSHARALDMVSRANNNYIHQTAERPTVVDNSSTKNSGKPLKEAGNDSKGPWQSTTLQRKSDSAPPDLNVGFQSPGSPASDMVVGSQQPDLALQL >Ma07_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3559346:3565620:-1 gene:Ma07_g04860 transcript:Ma07_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAPPSSGGPTVSSSSSSSSSGLPPPPPPPKILLAKPAGAAAAQRLGREDDPSAVVHRSRNAAQPGSLNLFSESWEFHTDRILPFLTENSDFTVIGIIGPPGVGKSTIMNELYGFDGSSPGMLPPFATHSDETRAMAKHCTTAIELRVSAERLILLDAQPIFSPSILVDMMRPDGSSAISVLNGEALSADLAHELLGVQLGVFLASVCNVLLVVSEGIHEFNMWQLMLTVDLLKHGIPDPSLLTSAYTQRSNSGLDKENKGNDQATNEEFLAAPVFVHSKLQNKDLAPSKTLLVRKALLKFFGSSSFKVNNSRTSSLNKTAEDLDSEQPYLFLLPMKVQHNLRKPQFESYLSMIGKLRDEILSMNGHPFARNITEREWLRNSAKIWELVKKSPTIADYCRTLQGSGLFRK >Ma05_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3019296:3034621:-1 gene:Ma05_g04010 transcript:Ma05_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGNPNLFKLPNPNPSISPPTLPPPSSYPATPPSYPSPPAHGAFSYPPVTPPFHHQPPFLHYPQDPLHRPAVSYPTASPQLPGPRPGPNLSSNPNPGARLMALLNPASTQLESAVSMPPPSSVPSELSAPGNAAVLHPIPSAPAVALAVAQPAPARVASSKLPRGRLLGVGNRAVYDVDSRLPGESQPPQLEVTPITKYVSDPGLVLGRQIAVNRTYICYGLKLGAIRVLNINTALRALLKGHSQRVTDMAFFAEDVHLLASASIDGRVFVWKIDEGPDEGNKPLITEKIIIALQIVGDGEPYHPRICWHSHKQEILFVGIGNRVLKIDTIKIGRGKEFSAEEPLKCSVEKLVDGVQLIGKHDGEVTDLSISQWMITRLVSASKDGMVKIWEDRKAIALATLRPHDGQPVNSVAFMTSPHQPDHINLITAGPLNREVKIWTSSNEEGWLLPSDSESWRCTQTLDLKSSSEPRLEEAFFNQIVVLPQANLIVIANAKKNAIYAVHVDYGTCPASTRMDYVADFTVAMPILSLTGTNDFLPDGGQSVQIYCVQTQAIQQYALDLTQCLPPPTDNAGLAKDPLSCVLGTPSPEASSVLESSCGPSVDDPSVSASPQIHTVSSSDCAIPYLTSLASSEVMDVCEPPMSKPESKPSAPFSEKDTDAQHVSSFPANPDLAGRVPSLNSPHKGSDHGSSIGDHVVDQSVFDHSVDSTPDVPSINENLKKDDSKTEQDDPSMVPNRRLLFKLGGNTTHLITPSEILSGAISSSESSHVNQGPVVEGVKVQDVIIDNNMASHEVEVKVVREGQSGEAEGNGFQKVPQVVIIEDYERSSQTLEAKFEANNECSTVIEACQGGHTAETLDQPPSILEEEVEYNKKDMPEKESAITPQNLSATKGKKQKSKQYQTTGVSPPSSSPFNSTDSLNEPGSFSSTPTDAAIPQILALQETLNQIMNMQKEMQKQMSIMVAAPVMKEGKRVEAALGRCMEKAVRANSDALWARFQEEHAKCERVGKDQMQQMANLITNIVNKDLPAISEKILKKEISAVGPTVVRAITPVISSAITESFQRGVGDKAVNHLERSVSSKLEATVSRQIQTQLQTSGKQVLQDALRSCVESSVVPAFEQSCKAMFEQIDCVFQKGMNEHTAASQQQLEAAHTPLALTLRDTINSSLSITQNLTTELVDGQRKLLALVASGNTKTTSPTTIQQTNGPLPGLPEMALSVQQVEAPLDPKKELSRLTSERKYEEAFTMALQRSDVSIVSWLCTQVDLRTICYTVPLPLSQGVLLALLQQLACDISIETPRKLGWMTDVAVVINPADPTITSYVRPIFEQVYSILVHQRSLPTATASEATNMRLVMHVINSVLMSCK >Ma04_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7986065:7991799:-1 gene:Ma04_g11340 transcript:Ma04_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARRNTAPLLLAFFLFPLLLPRSVFAAKQAYVVYLGEHSHSSELSPLEASKRATESHYELLGSVLEDKEKVQDAIFYSYTHHINGFAAYLEVEEAMKVSEYPGVLSVIPNRGYTLHTTHSWEFLGLERDGRVPKQSLWRKARFGEDTIIANLDTGVWPEAQSFKDDDLGPIPSKWKGICQNDFDESFSCNRKLIGARYFNKAYEVMVGPLNATFRSPRDYDGHGTHTLSTAAGGAVPGANIFGYGNGTAKGGSPRARVAAYKVCWPPVNGSECFDADIVAAFDAAIEDGVDVISVSLGGDPVDYFRDGLAIGSFHAVRKGITVACSAGNSGPQLATVSNLSPWMLTVGASTMNRQFPSSLILDNNKRIQGESLSPKGLPGNNAYPVISSREAKYANASEHKARLCYLGSLDPVKATGKIVVCLRGVTARVEKGEAVLQAGGVGMVLANDAFNGNEILADAHVLPATHITYSDGLTLFSYLESNESPLGYITSPKTELGTKPAPFMAAFSSLGPNTITPEILKPDITAPGVSVIAAYSAAVGPTNLDFDTRRVAFNSVSGTSMSCPHVSGIAGLLKTLHPDWSPAAIKSAIMTTARTRDNMEEPVLNSSFVKATSFAYGSGHVRPNRAMDPGLVYDLTTNDYLDFLCALGYNSTQLAMFSKEEAYSCPPTPLRIEDLNYPSITVPELSVSATVTRVVKNVGSPGTYVARVIEPSGVAVAVNPARLVFEKVGEEKKFEVTMKAKDRKSWNSDYVFGALIWSDGKHYVRTPLVVNFSSWKP >Ma09_p27290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38320028:38320303:-1 gene:Ma09_g27290 transcript:Ma09_t27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDAVVGGAYCCQGRICLGGCTLFPSPCYHLMIGGSSSVVDFILGFASSPNEFV >Ma09_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9577226:9580954:1 gene:Ma09_g14060 transcript:Ma09_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFLEAGDSASAKRREYGLLVLVFLWTWSPAAAVLSPKGVNYEVQALMGVKASLKDPHSVLENWDQDSVDPCSWTMVTCSPENLVIGLGSPSQNLSGTLSPSIGNLTNLEIILLQNNNISGPIPQEIGRLSKLRTLDLSSNYFTGDIPTSITHLSSLQYLRLNNNSLSGEFPVSLTNLTQLALLDLSYNNLSGPVPSFPARTFNIVGNPLICATGSEKDCFGTMPMPISYNLNNSETESTATQGKPRNHKVALALGSSFGLVCSIVFVIGLILWWRQRHNQQILFEVDEQHDEEVCLGNLKKFQFRELQIATDNFSSKNILGKGGFGIVYKGHLKDGTLVAVKRLKDGSAVGGEIQFQTEVEMISLAVHRNLLRLHGFCMTASERLLVYPYMSNGSVASRLKGKPPLDWITRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLMDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGRTALEFGKSVNEKGTMLDWRRSLTCSWTRT >Ma09_p14060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9577226:9581583:1 gene:Ma09_g14060 transcript:Ma09_t14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFLEAGDSASAKRREYGLLVLVFLWTWSPAAAVLSPKGVNYEVQALMGVKASLKDPHSVLENWDQDSVDPCSWTMVTCSPENLVIGLGSPSQNLSGTLSPSIGNLTNLEIILLQNNNISGPIPQEIGRLSKLRTLDLSSNYFTGDIPTSITHLSSLQYLRLNNNSLSGEFPVSLTNLTQLALLDLSYNNLSGPVPSFPARTFNIVGNPLICATGSEKDCFGTMPMPISYNLNNSETESTATQGKPRNHKVALALGSSFGLVCSIVFVIGLILWWRQRHNQQILFEVDEQHDEEVCLGNLKKFQFRELQIATDNFSSKNILGKGGFGIVYKGHLKDGTLVAVKRLKDGSAVGGEIQFQTEVEMISLAVHRNLLRLHGFCMTASERLLVYPYMSNGSVASRLKGKPPLDWITRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLMDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGRTALEFGKSVNEKGTMLDWVKKIHQEKKLDVLMDKNLKNIYDRIELEEMVQVALLCTQFLPGHRPKMSEVVRMLEGDGLVERWEASQRVDSQNFKVPEFAFSERCYSNLTDDSSLLVQAVELSGPR >Ma02_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26390197:26390805:1 gene:Ma02_g20390 transcript:Ma02_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDDSQSMVSTYGKLEGVAMWVGASVVSAFFASLERCSCINLSTTEDDGDDLEEANDRPLMLTKPVLHDEENPKPSSL >Ma02_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27413027:27413633:1 gene:Ma02_g21920 transcript:Ma02_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGGVVKEGHEEGMRQALSLLEEFGLPMGLLPLADVVEVGFVSATGYMWIVQKSKVEHNFKMVSKLVSYDKLIHGYVEKGRIRKLKGVKAKELLLWPPVHEITMNEDPATGKIHFKSLAGVTKTFPVEAFALGQ >Ma00_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:18027364:18030767:1 gene:Ma00_g02500 transcript:Ma00_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATLGLLNLLPNPNPNPNPSSSSSKPFLKPPPRRHLGPLPSASGLQHLLPIAPPLPATAAAAVAFSLPLFLNPQDALAVGGEFGILEGRTFALVHPVVMGSLFVYTLWAGYLGWQWRRVRTIQNEINELKKQVAPAVPTPVAAGADGGPPPPPPTPSPIEAKIQQLTEERKALLKGSYRERHFNAGSILLAFGVLEAVGGCLNTWFRTGKLFPGPHLFAGAGITVLWALAAALVPAMQKGNETARNLHIALNGLNVLLFVWQIPTGIDIVFKVFEFTNWP >Ma11_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:794862:796056:1 gene:Ma11_g01090 transcript:Ma11_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTGAQTKPAIFTKKLSAPPPPPPPLWAPSAARRPIKVRSSTRDEIDRYWRMRRMIEEDHLLFAQKAAARIRAKALKEEDHRRFEELLQKMMDEEEEQTGGGGGNKELPIGIKDWWTRSKYAYLNQPTIVSTGETAAPKRPAFVPLSGHGALLCHIIRSSLDARAQMMAAEADTTGVNPPL >Ma11_p01090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:794706:796056:1 gene:Ma11_g01090 transcript:Ma11_t01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTGAQTKPAIFTKKLSAPPPPPPPLWAPSAARRPIKVRSSTRDEIDRYWRMRRMIEEDHLLFAQKAAARIRAKALKEEDHRRFEELLQKMMDEEEEQTGGGGGNKELPIGIKDWWTRSKYAYLNQPTIVSTGETAAPKRPAFVPLSGHGALLCHIIRSSLDARAQMMAAEADTTGVNPPL >Ma05_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37111491:37116721:1 gene:Ma05_g25070 transcript:Ma05_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative inactive cadmium/zinc-transporting ATPase HMA3 [Source:Projected from Arabidopsis thaliana (AT4G30120) UniProtKB/Swiss-Prot;Acc:P0CW77] MGEVGNDRKAKAAKNQKSYFDVLGLCCSSEVPLIEKILKPLDGVQKVSVIVPSKTVIVIHDSLLISQHEIAKALNQARLEASVRAYGTAKSTKKWPSLYILACGVLLLVSLFKKFFHPLQWFAIAAVLVGIPPIMLRSIAAIRKLTLDINILLLIAVGGAVAFRDYSEAAFIVLLFTVAEWLESKASSKATAGMSSLMSMAPQQAVLAETGQVVDVEDVEINTLIAVKAGEVIPIDGIVIDGWSEVDERSLTGESFPVAKQANSLVWAGTLSIDGYVSVRTTSLSENSAVAKMTSLVEEAQSSRSRTQRLIDSCTKYYTPAVVIVAAGVALIPFLMRASNPRSWFQLALVLCVSACPCALVLSTPVATFCALLKAARTGLLIKGGDVLEALAKIRVVAFDKTGTITKGEFTVVEFESMSGKVNLHTLLYWVSSIESKSSHPMAYALVDHARSYSIEPKPESVKEFRIYPGEGIYGEIEGRNIYIGNKRIAARASSETVPNMADMKGGVTYGYVFLDMIQVGTFALSDTCRTGAAEANKELKLLGIKTAMLTGDSTEAAMHAHGQIEHGMEIIHAELLPEDKVHLIDSLKKREGPTAMVGDGVNDAPALAMASIGISMGVSGSAVAVETSHITLMSNDLRKIPKAIRLARKTRFKIVANIVFSLATKIAILAMAVAGHPLLWAAVLADVGTCLLVIFNSMTLLQTSKPSQAKCCSSSHNRLKERRLQSPSFQDEHCCHDHKKAIAVTDANHTSDGCCMANGDDFGRERGCSSAEETTGRREIGGCCRSHTDGYCAGESAVVQMPEIITEWEREKTAAT >Ma03_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24505069:24505317:1 gene:Ma03_g19070 transcript:Ma03_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNINSTNSLFSFSFSRIQRCIDLAFLDTVQQNMVKDKFLSICIMHTGWKNFTCYTCLSFVIQLGVIVFMGICYLHEASMH >Ma06_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21753363:21758999:-1 gene:Ma06_g23640 transcript:Ma06_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSLSPFLKLLLLLLLFSVISADPLYNICGTTGNFSANSTYQTNLNLLLSRLASNVSASGFINDTVGRTPDQVYGFALCRGDVNSSACGSCVDTATQDIQQMCAYNKAAVIWYDFCLLRFSNGRIFSYDGNSPEFYMWNVNNITRADRFSKLLDLLLNRTTDRAANSAKKFATGTVSNFTSTFPMVYGLVQCTPDLSQTDCGTCLRGLYSPLPKLMVGKEGGRVIGVRCNMRFEVYSFYQGNSTLALTAPVESASEPTPPPASPPLATPAVPQRGKKKNAIGIVLAIVIPAATAVLLLISIVCVCYWRKRKRIPKPPYETDGEQIPSVESLLFDLLTIRLATANFSTENKIGEGGFGTVYMGLLPDGREIAVKRLSNSSGQGLGELKNELVLVAKLQHRNLVKLLGVCLEEEKMIIYEYVPNGSLDAFLFDPTRGQQLRWGIRYKIIAGIARGLLYLHEESQLRIIHRDLKASNILLDAEMNPKISDFGLAKLFGGDQTQGTTSRVVGTFGYMAPEYAMQGKFSIKSDVFSFGVLVLEILTGRKNSSTYDSDVAEDLLSYIWEKWRGGRAMEIVDPALGGRYQGGDVLRCVQIGLLCVQENPNDRPTMSTVVVMLNSETVSLQPPARPAFCAGKSDANASLGSSNSTLPVEKSDRQSKSFPMSPNEVSVSEIEPR >Ma08_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4535027:4538290:1 gene:Ma08_g06690 transcript:Ma08_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLWVVALTLASWWCIPAEGGGGGRDEEERERGRSLLGFTEAKGNASYQCSPSGACLPCQHSEKNDEKFLCSETGYHVPLKCTEIKHSDKEENKNKVKRRLSSLPKHPTIVQKHLFDAINNYKVRKLLDDSVKREVGNQSYITYRSCVPVIGEEKLSVFGFEVIMGGLLLISGPVVYLRQKRISIQGVGFMKIPATVPKL >Ma00_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22486860:22489870:1 gene:Ma00_g02860 transcript:Ma00_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNPEAFTVFRVTRRRGRGRGEGRRRKYRIDEGEGCCSRCRGVNRNKVAFFCDLITSGRRSE >Ma06_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15025298:15027028:1 gene:Ma06_g20910 transcript:Ma06_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMDYQGSSLPFASIGRSILSIRRDQVHTVHAGRHHDPAALDLDLEALQKRVADLFLDLSSSDDDLLSLAWVRKLLDGFLVCQEEFRGILFGCSQGRRVNLSRPPLDRLLSDYFDRAVKALDVCNAVRDGIDQLRRWRRHLEIALAALSGGGRTLGEGQLRRARKALTELAILMIDEKEAGGGSVLTLRNRSFGRAGKEPPHHRRAGSGGAAVSSSGHHRSLSWSVSRSWSAARQLQAIGNNLTPPRGNEVTATNGLAVPVFTMSSVLFFVMWALVAAIPCQDRGLQTHFWVPRNFSWAASITSLHDRIFEESKRKERKSSCGMLKEIHHMEKCIRHLTELLDPVDFPWTEGKETELRQGVEELAEVCNTMKDGLEQLERQVREVFLRTVRSRTEGLDCLSKSHHPE >Ma04_p26060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27665054:27672789:1 gene:Ma04_g26060 transcript:Ma04_t26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDTQNPLLGETTCGSLLQQLQLIWDEVGESDEERDRMLLQLEQECLEVYKRKVEQASKTRVLLLQSLADSKAELLRLLSALGEKSFDGIPDKSSGTIKEQLAAIAPLLEQLCKQKEDRIREFADVQLQIEKIRGEIAGTSIIGEQMRTPTVDEEDLSLKKLDEYQQQLQELQKEKSDRLHKILDFVSTVHDLCAVLGLDFFSTITEVHPSLNDSVSVQSKSISNDTLSKLSGMVMVLKEDKKMRLKKLQELAAQLTDLWNLMDTPMEEQSLFSHVTSNMSATVDEVTVPGALALDLIEQAEVEVERLDQLKASKMKEIAFKKQTELEDIYTHAHVDIDSAAARDKILALIDSGNVEPSDLLAEMDNQILKAKEEAMSRKEILEKVEKWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARVLVNKIPALVDMLVAKTRTWEEDRGMPFTYDGVPLLAMLDEYTMLRQEREGEKRKQRDQKRIHEQLATEQEALFGSRPSPARPLGPKKVVGPRPNGSTSNGTPSRRLSLNAHQGSANGVRSMSRDGKRDSNRPAAPVNYVKEEAASQMSATEQAPASP >Ma09_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35390453:35392459:-1 gene:Ma09_g23550 transcript:Ma09_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSWPLQLLTFLLSFTLWGYSVAMSDDGRVLLQFRATLSSGGGDAALSSWAGGKGPCMDQNVSAWTGVYCENGNVSTLQLENMGLSGKLDLDILTGLLGLRSLSFSNNSFEGPVPDFTKLPALKSIYLSMNRFSGEIPDGMFSAMRALKKVWLSHNNLSGRIPSSLTVPTKLMEVGLDGNKFEGKLPDLRQPELRMVNVSYNNLEGPIPERLSNMSASLFEGNKNLCGAPLGVSCTPSKKLAPALLVGIILIAVKVLTLLIGLIGFLLRRRAPKGKTTTDKLQPGKSKRIEDLEAASVEKGSADHDGEKKKVSKKEQGKLTFVAEGRRKFDMQDLLKASAEVLGSGNFGSSYKATLVDAPAVVVKRFKEMNGVGREDFQEHMRRLGRLSHSNLLPLVAYYYRKEEKLLITEFVPKGSLAHMLHGNRGSTESPLDWPTRLNIVKGVARGLAYLYEELPMLTVPHGHLKSSNVLLDQSLAPLLADYALVPVMNKATASKVMVAYKSPECARHGKPSKKSDVWCFGILILEILTGEFPANHLTQGKAGAGDLASWVSRIASEERASPVFDKNMKGTENNEGEMLKLLQIAMACCETDDESRWELMTVLEKIEEIKGE >Ma01_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28228003:28232863:1 gene:Ma01_g23580 transcript:Ma01_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIMKAVQYEGYGGGAAGLKYTEIPIPSPKKDEVLLKLEATSVNPIDWKVQKGMLRPFLPPRFPFVPGVDVTGEVSEAGPEVADFKRGDKVIAMLSLPNSGGLAEFAVAPTNMTVSRPAELSPAEGAGLPTAALIALQALRAAGTTKFDCTGKSSNILITAASGGVGHYAVQLAKLAGLHVTATCGDRNVEFVKQLGADEVLDYKTPQGASLTSPSGKKYDAVIHCASGIPWSTFEPNLSAYGTVIDISPSFKSMVAALYKKVTLSKKKLVTLVLAPKVEDMRFVVELAKEGRLRTCVDSIHGLSTAEAAWAKSISGHATGKIIVEM >Ma09_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34972695:34992366:-1 gene:Ma09_g23060 transcript:Ma09_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEDCSVKVAVHIRPLIGDERLQGCKDCVTIVPGKPQVQIGTHSYTFDHVYGSTGSPSYAMFDECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGCKDGSQTALIPQVMNALFCKIETMKNQAEFQLRVSFIEILKEEVRDLLDGSSATKLEAINGQAGKLTVPGKPPVQIREASNGVITLSGSTEIFVNTQKEMAACLEQGSLNRATGSTNMNNQSSRSHAIFTITLEQMRKLGPVTTGSVQIEDMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPISEEMQRMRQHIEYLQAELACYRGGGASEEIQALKEKVSWLEAANEDLCRELYEFRSRSAQNENFDTDSEKAGSCFFKAEGLKRSLHSTENFDYQMAEAIKGDSKELDEEVAKEWEHTILQDSMGKELNELNRRLEQKESEMKMFTGFDTLALKQHFKKKLMELEEEKRAVQKERDRLLAEVENLAAHSDGQVHKLPDNHLQKLKALEAQILDLKKKQENQVQLLKQKQRSEEAAKKLQEEIQFIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRRNEYERHKLQALNQRQKLVLHRKTEEAAMATKKLKELLETQKSSARDNSVISNGHLPGGQLNEKSLHRWLDHELEVMVHVHEVRNEYEKQSQVRTALAEELAFLKREEASSNSSASPPRVKNGHSRVSSLSPNARLARITSLENMVSISSNTLVTMASQLSEAEERERAFAGHGRWSQLRSMGEAKNLLHYIFNVAADARCKLREKEIEIKELKDQLKELVSLLRLSEARRKEMEKQQNLGGQAVAVALPASPPVSSNGSLKHSADDTSAPLSPIAVPAQKQLKYTAGIVNSPSKGTVTFDNQPLKMVPIGHLSTGKKLAIIGQGGKLWRWKRSHHQWLLQFKWKWQKPWKLSEWIRHSDQTIMRVRPRPLPLRDIL >Ma09_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26122577:26145509:1 gene:Ma09_g19770 transcript:Ma09_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPQSSGAQPLRPAVVGSAGPPQNFVPPMPMQFRTMVPTPQTHQFVPAASQQFRPVAQGMPGPNVAMPAGQSQMPHFPQSTQHLPPISGQPGQVPPSSQAIPLPYVQASRPISSGSLPPQQNAQVPSNLPNLPGVGMPLSSSYTFATSYGQAPNSMNAPPQYQVASQMQTPAPSVTQPWSTPGTQSIPHVTPVIQIAQQPSASSVTAPAQTTQPSSTEQASDWQEHTSADGKRYYYNKKTRQSVWEKPLELMTPIERADASTDWKEFTTADSRKYYYNKVTKQSKWTIPDELKLAREHAEKAAIHSAPKETGTAATSVLSTVLSVEMPSSTNALSPAVQPMFSNQMQMPAISGTEPTVGVPNLHTLVTPVSASASSSTGFPSVAVDATTTVIRSNHDNSSLTNISSVPDGTSGNDLEEVKKAMPVAGKISVTAVEEKTVDEEPLVYANKLEAKNAFKSLLESSNVESDWTWEQTMRVIINDKRYGALKTLGERKQAFNEYLGQRKKQEAEERRIKQKKAREDFTRMLEECKELTSTTRWSKAITMFEDDERFSAVERPREREDLFESYLVELQKKERAKAAEDHKKYILEYRAFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLEIFQEYIHDLEKEEEEQRKIQKEQLRRAERKNRDEFRKLMEEHVAEGILTAKTHWRDYCIQVKDLPPYLAVASNASGSTPKDLFEDVAEELQKQYHEDKTQIKDAMKIGKISLASSWTFEDFKASVAGIDSLKGISEINLKLVFDELLERLREKEEKEAKKRQRLADNFSDLLYSIKEITASSKWEECKLLFEDSQEYRSIDDDTFGKEIFEGYVAHLQEKLKEKERKREEEKAKKEKEREDKEKRKEKERKEKEREREKEKGKDRVRKDETESDNVDLIDGHVSKDRKRDKDKERKHRKRHHSTADDLSSDKDEKEESKKSRRHSGDRKKSRKVPKDHAYASDSDAENRHKRHKRDRDGSRRNGGYEELEDGELGEDGEIR >Ma09_p19770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26122577:26145509:1 gene:Ma09_g19770 transcript:Ma09_t19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPQSSGAQPLRPAVVGSAGPPQNFVPPMPMQFRTMVPTPQTHQFVPAASQQFRPVAQGMPGPNVAMPAGQSQMPHFPQSTQHLPPISGQPGQVPPSSQAIPLPYVQASRPISSGSLPPQQNAQVPSNLPNLPGVGMPLSSSYTFATSYGQAPNSMNAPPQYQVASQMQTPAPSVTQPWSTPGTQSIPHVTPVIQIAQQPSASSVTAPAQTTQPSSTEQASDWQEHTSADGKRYYYNKKTRQSVWEKPLELMTPIERADASTDWKEFTTADSRKYYYNKVTKQSKWTIPDELKLAREHAEKAAIHSAPKETGTAATSVLSTVLSVEMPSSTNALSPAVQPMFSNQMQMPAISGIQSTVTVLKPTSIAVGISAGTNSSSSSGTEPTVGVPNLHTLVTPVSASASSSTGFPSVAVDATTTVIRSNHDNSSLTNISSVPDGTSGNDLEEVKKAMPVAGKISVTAVEEKTVDEEPLVYANKLEAKNAFKSLLESSNVESDWTWEQTMRVIINDKRYGALKTLGERKQAFNEYLGQRKKQEAEERRIKQKKAREDFTRMLEECKELTSTTRWSKAITMFEDDERFSAVERPREREDLFESYLVELQKKERAKAAEDHKKYILEYRAFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLEIFQEYIHDLEKEEEEQRKIQKEQLRRAERKNRDEFRKLMEEHVAEGILTAKTHWRDYCIQVKDLPPYLAVASNASGSTPKDLFEDVAEELQKQYHEDKTQIKDAMKIGKISLASSWTFEDFKASVAGIDSLKGISEINLKLVFDELLERLREKEEKEAKKRQRLADNFSDLLYSIKEITASSKWEECKLLFEDSQEYRSIDDDTFGKEIFEGYVAHLQEKLKEKERKREEEKAKKEKEREDKEKRKEKERKEKEREREKEKGKDRVRKDETESDNVDLIDGHVSKDRKRDKDKERKHRKRHHSTADDLSSDKDEKEESKKSRRHSGDRKKSRKHAYASDSDAENRHKRHKRDRDGSRRNGGYEELEDGELGEDGEIR >Ma08_p26620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39134939:39136496:1 gene:Ma08_g26620 transcript:Ma08_t26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSLSLPFSFSHPPVASSRPSPPPFARQPILSFPSSIPIPLNTSSRSPSRAAWRRPVYVYPDPIPEFAKAETRKFEDDLRRKLLKSKEIFGDDVDTVVELCGEIFSNFLHKEYGGPGTLLVEPFTEMLLALKEKKLPGAPVAARAALLWAQNYVDQDWEIWTFQQSQ >Ma00_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13542895:13546893:-1 gene:Ma00_g01930 transcript:Ma00_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAATSDANQNWCISVHQIFIVSIFQCHHCGISAKSTPMMHRGPDGPRTLCNACGLVWANKGTMRDLAKNPSPAIPNALPAQKEGGLNKVMYHSHPTMWLNVEKYIYILTSKVPLTRKRRFRHIKGQCDMCRSVEILHCFHVSLLCQSYCLWAILAASSIAF >Ma08_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:816121:817668:1 gene:Ma08_g00880 transcript:Ma08_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPARRAASADPKAEDGEGVELTLGLAIGGSTRKTPEPRKEAEGPRKGGIFDLPDGASADDRAAMDAQMLRSRARDRAVREEEALSGDRSRPSRTDGGNGVRWPRVAPVSTPNPNAHPNPFGFGQHHPFPVMYPHQQVQYVPVPNGFGFPFVMPCWAPTISAVAGGLNRLERKVYQPLSGRGFPVQGTAAGPCDGDSSGSKGIEAAKVVNTSLNSDSSGSSSSAISDRRSGSFGGGSISSGDSRSHRSLQKAEKPAAPPSAEGGSGNNALTSCLTSNAKPARFGAQPERAGSVNKSGKPSALPRMPLVSATGDAPHGRTIHGLLYRCTKSEVRILCVCHGNSFTPVEFVRHAGGADVSQPLKRIVVVQPGW >Ma05_p31480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41376712:41380727:-1 gene:Ma05_g31480 transcript:Ma05_t31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKAKISAPLPAISPRKTRSATAVKRAETPAVAPARVRAPSKKAKLSTAGNAEETDGAKEKADLVKAKDDVSSDAEALAAPPHAVAASVGAAKTIIIEACKQCTSFKTRAVKVKEGLESAVPGIVVAVNPDKPRRGCFEIREENGQVFVSLLNMPRPFTPMKKLDMDAVVEDIIKKIT >Ma06_p26310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28154046:28154846:1 gene:Ma06_g26310 transcript:Ma06_t26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSQSFPSVMSKGYSQADSDEIDVFEATWYFSGGIDGAGLGLQRSMREERLISWGGNRSLDTLARSTRLPQQSKKVENQRNDKKSRQPSSAGRRLASFLNSFIKQAIYRKKSRALNPTESEEDASFEKMHAGRRKGSINDSQRMKRNDSSILCSTERCCNSKSSEHQKYAPFCSQRELGCDKRVIDEDWLVERAKSMDGYPANKWLTSKAGNRLLDKEALWSEEFMKKQHKWFRRTEEEDRGGSESSSELFELKNYDLGNVAFR >Ma07_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30554267:30554628:-1 gene:Ma07_g22720 transcript:Ma07_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDIMECILCNLHKVQKSFHFWQSWAEGTNAQKIYFMIFERGPQAFIDGTCEMLSRIGMNGSPFQHLSHAASKS >Ma05_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3259687:3271329:1 gene:Ma05_g04280 transcript:Ma05_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMAEDPNFEDDQLSSMSTDDIIRASRLLDNEIRILKDELHRTNLDLESLKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEEEAEEDGANVDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMSHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDSIKVIAATNRADILDPALVRSGRLDRKIEFPHPSEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >Ma03_p28330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31454668:31467741:1 gene:Ma03_g28330 transcript:Ma03_t28330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRIIAKNFMDLVAALPAMKLDKLYESTFICEAVLRSLPPMAKRYVLQMLYIDTLITAKSMEEWLLPDGLSKHKVAIDRLLQLRVFLEVTDRKKETSYKINPKFQSNIQKYLVSGGTLPREPMPLSITVRLPTLEDLETYASEQWECFLLQLINSAQAEKLTSFSSSMIKICRENEPPKLTENGFQFLLMDTNAQLWYIIREYISTAEDRGVDPTDLISFLLELSFHTLGEAYNLNTLTDVQRIAIKDLADLGLVKLQQGRKESWFIPTKLATNLSASFTDSSSNKEGFVVVETNFRMYAYSTSKLYCEILRLFSRIEYQLPNLLVGAITKESLYNAFENGITADQVEHYQFCYYLLYLFTTLCVFCNNLIDSLLLYRIIICSDFFLNFCLFRLFVFFSKMHILELHKRYLQFQKMLQIRLGSGKPIKIELRSLLHIYTKIFHQRKYLKQHVILQGSWVDCCLKIQEPCD >Ma03_p28330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31454668:31467741:1 gene:Ma03_g28330 transcript:Ma03_t28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRIIAKNFMDLVAALPAMKLDKLYESTFICEAVLRSLPPMAKRYVLQMLYIDTLITAKSMEEWLLPDGLSKHKVAIDRLLQLRVFLEVTDRKKETSYKINPKFQSNIQKYLVSGGTLPREPMPLSITVRLPTLEDLETYASEQWECFLLQLINSAQAEKLTSFSSSMIKVFQRGLLSARENEPPKLTENGFQFLLMDTNAQLWYIIREYISTAEDRGVDPTDLISFLLELSFHTLGEAYNLNTLTDVQRIAIKDLADLGLVKLQQGRKESWFIPTKLATNLSASFTDSSSNKEGFVVVETNFRMYAYSTSKLYCEILRLFSRIEYQLPNLLVGAITKESLYNAFENGITADQVEHYQFCYYLLYLFTTLCVFCNNLIDSLLLYRIIICSDFFLNFCLFRLFVFFSKMHILELHKRYLQFQKMLQIRLGSGKPIKIELRSLLHIYTKIFHQRKYLKQHVILQGSWVDCCLKIQEPCD >Ma03_p28330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31454668:31467741:1 gene:Ma03_g28330 transcript:Ma03_t28330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRIIAKNFMDLVAALPAMKLDKLYESTFICEAVLRSLPPMAKRYVLQMLYIDTLITAKSMEEWLLPDGLSKHKVAIDRLLQLRVFLEVTDRKKETSYKINPKFQSNIQKYLVSGGTLPREPMPLSITVRLPTLEDLETYASEQWECFLLQLINSAQAEKLTSFSSSMIKVFQRGLLSARENEPPKLTENGFQFLLMDTNAQLWYIIREYISTAEDRGVDPTDLISFLLELSFHTLGEAYNLNTLTDVQRIAIKDLADLGLVKLQQGRKESWFIPTKLATNLSASFTDSSSNKEGFVVVETNFRMYAYSTSKLYCEILRLFSRIEYQLPNLLVGAITKESLYNAFENGITADQIICFLQQNAHPRVAQKIPAVPENVTDQIRLWETDKNRVEITPSYLYEDFPSKEVFEAACDFARELGGLLFEDSRTMRLVVRVEVHQEMRDFLRRQR >Ma04_p27560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28738015:28745918:-1 gene:Ma04_g27560 transcript:Ma04_t27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGLWECLLKLLNFLLTLTGLAMVGYGAYLLVEWNRVSSSDDDDEPISPTGDNPEFLTFGRPMLVAVSLSSSFLDKLPKAWFIYLIIGIGVVLFIISCFGFIGAVSRNGCCLSCYSFLVILLILVELGAAAFIFFDHSWKHIIPVDKTGNFDVIYDFLEENWKIAKWVALGAVILEALVFLLALIVRAANRPVEYDSDDEYIAQSSGIRQPLINQQGAPATGMPVSGTLDQRPSRHDAWSQRMREKYGLDTSKFTYNSSDPNRSQQSATPSSEERGGCNIL >mito3_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:13244:13426:1 gene:mito3_g00010 transcript:mito3_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGISGTFHFMIVFQAEHNILMHPFNMLGFAGNLFFILLSGVTFFWKRLLCFPLKELWA >Ma01_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21728152:21729894:1 gene:Ma01_g21740 transcript:Ma01_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHLASLPRLASVSPGEKLGLYLVASQHAVNSVLIKENSGEQLPVYYVSHVLNGPEERYPPIEKLALALVLSARKLHPYFQAHPVEAITDQPLRQILSEFDVAGQLTQTEDTNLEQPPEAWVLHVDGSANSKGAGAGLVLLAPDGRLFERSLRFGFQATNNEAEYKALLAGLRLALEMQVVAIHVLTDSQLVAEQLGGGYEARDPIMAKYLAQVKNLTAKFPHFTLYNVPRGENERADALAKLASKPASGARPEVDELPTRAIEIAAAVSGGAPTTWVQELLCFKRDGTLPPDEATGRRLRHTHALYSEVGGRLYSLVTRFGLPKTIITDNGPQFASRRFREFCASHGIQLKFSSVAHPQMNGLAEVTNRSILDGLKRRVSAARSAWTDELPSVLWSLRTTPKTATGESPYSLTFRTEAVLPPEVAIPTLRTRNYDEKITDEGLRASLDMLEERRADAHLKALSYKRAVARVYNRKVRPRPIKLNDLVLCKTEVSDPTRARGKLAPKWEGPYRVVEVIRPGTYRLATMDGSSLPRAWNVQNLRKFFV >Ma11_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26268074:26268270:1 gene:Ma11_g22500 transcript:Ma11_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTQGCGGGRRERRAASHGYEVFSMMVACGSRVRRFL >Ma11_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:833979:837448:1 gene:Ma11_g01140 transcript:Ma11_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSRSFKSHGSHKYTSSRTFSYELEERPILLDNDDSDHHGEVVVKIDGNSHDAGIFDQHSPLPDRSSSNSDGIRVWRDSSYEFWNEDGSDGHTAAARGGGNNSGGFSFKNPEAESAEDPPSRLIRTFLCNQRASGAELTLDIDLDMEELKKQSSSPSSISGSKELRVSFQAPSAETHLYRSSSNDDDDDEDDDGANLRRRKPSASPSLGHDEGDGAEVLRCTSNASICRNSTMLHAKTRSRLMDPVPPPTGTPSAAGNDEIPKSGMFPKSGQLRSGPIKSGFLSKSLRLDEDDEDPFMDDDIPEQFKRADFSWITVLQWLSLFLIIAALACSLALHHLKRMTLLDLHLWRWLLLLFVLICGRLVSGWFIRLIVLGIEGNFLLRKRVLYFVYSLRKPVQNCLWLGLVLLSWQCMFDNKMKRRTTSNVPPYVTKVLFCLLIATGFRLVKTLLVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLIEIQNIRDDEDRMMAEVQKLQNAGARISKELQAAALTNRSGRVIGSGPIQRSSARMGRSVKVTGVKHQEEGITIDELHKLNPKNISAWRMKKLVRIVRRGTLTTLDEQALQGSGDDDSLMQIRSEYEAKAAARNIFKNVARPGAKYIYLVDLMRFMNEDEAIKTMSLFEGAQEKNRVNRKSLKNWVINAFRERRALSLTLNDTKTAVNKLHQMANIVVGIIVIGLWLLILGIATTHFFVLLSSQILVAVFIFGNTLKMIFEAIIFLFVVHPYDVGDRCEVDGVQMIVEEMNILTTVFLRYDNQKITYPNSLLATLPIGNFYRSPDMGESIDFCVHVATPVEKIAVMRERIIGYMENKTEHWYPNPSVVLRDVDDMNRLRVSIWMRHRINFQDMGEKWTRRELVLQEMIKVLRELDIEYRLLPVDLNVRNMPTANSARLPSTWATFNC >Ma01_p04920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3398119:3405201:-1 gene:Ma01_g04920 transcript:Ma01_t04920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIIQDLRDELGSISRKGFELKMGHGLRSRSHRVVHDALGPPLVGALRQSCWANMPPELLRDVLVRIEEGEGSWPVRKDVVACAGVCRSWRDTMKEIVMTPELSGKLTYPISLKQPGPRDFPLQCFIRRNQSTQTYHLYLGLTQALAADGKFLLAARKCRRPTCTEYLISLDADDKSRGGGTYIGKLRSNFLGTKFTVYDAQPPHAGATVSRSQLTRIIGPKQVSPRVPAGNYPAAHIAYELNVLGSRGPRRMHCVMDSIPASAIEPGGMAPTQTEFLHNSLDSFPSVPFFRSKSYRTGSLSGSVSGLKEEMLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASEENGSSNQEEEKVILQFGKVGKDLFTMDYCYPISAFQAFAICLSSFDTKIACE >Ma01_p04920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3398117:3405201:-1 gene:Ma01_g04920 transcript:Ma01_t04920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIIQDLRDELGSISRKGFELKMGHGLRSRSHRVVHDALGPPLVGALRQSCWANMPPELLRDVLVRIEEGEGSWPVRKDVVACAGVCRSWRDTMKEIVMTPELSGKLTYPISLKQPGPRDFPLQCFIRRNQSTQTYHLYLGLTQALAADGKFLLAARKCRRPTCTEYLISLDADDKSRGGGTYIGKLRSNFLGTKFTVYDAQPPHAGATVSRSQLTRIIGPKQVSPRVPAGNYPAAHIAYELNVLGSRGPRRMHCVMDSIPASAIEPGGMAPTQTEFLHNSLDSFPSVPFFRSKSYRTGSLSGSVSGLKEEMLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASEENGSSNQEEEKVILQFGKVGKDLFTMDYCYPISAFQAFAICLSSFDTKIACE >Ma01_p04920.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3398111:3405201:-1 gene:Ma01_g04920 transcript:Ma01_t04920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIIQDLRDELGSISRKGFELKMGHGLRSRSHRVVHDALGPPLVGALRQSCWANMPPELLRDVLVRIEEGEGSWPVRKDVVACAGVCRSWRDTMKEIVMTPELSGKLTYPISLKQPGPRDFPLQCFIRRNQSTQTYHLYLGLTQALAADGKFLLAARKCRRPTCTEYLISLDADDKSRGGGTYIGKLRGPRRMHCVMDSIPASAIEPGGMAPTQTEFLHNSLDSFPSVPFFRSKSYRTGSLSGSVSGLKEEMLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASEENGSSNQEEEKVILQFGKVGKDLFTMDYCYPISAFQAFAICLSSFDTKIACE >Ma01_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3398008:3405201:-1 gene:Ma01_g04920 transcript:Ma01_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIIQDLRDELGSISRKGFELKMGHGLRSRSHRVVHDALGPPLVGALRQSCWANMPPELLRDVLVRIEEGEGSWPVRKDVVACAGVCRSWRDTMKEIVMTPELSGKLTYPISLKQPGPRDFPLQCFIRRNQSTQTYHLYLGLTQALAADGKFLLAARKCRRPTCTEYLISLDADDKSRGGGTYIGKLRSNFLGTKFTVYDAQPPHAGATVSRSQLTRIIGPKQVSPRVPAGNYPAAHIAYELNVLGSRGPRRMHCVMDSIPASAIEPGGMAPTQTEFLHNSLDSFPSVPFFRSKSYRTGSLSGSVSGLKEEMLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASEENGSSNQEEEKVILQFGKVGKDLFTMDYCYPISAFQAFAICLSSFDTKIACE >Ma03_p21200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26217722:26219096:-1 gene:Ma03_g21200 transcript:Ma03_t21200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDEGDEEIGLPVGSSYDTVMENLGGGGGEGGGAKMSEGGESVAATAGVAVGGSGHRKAHGGGSGGGVRYRECLKNHAVGIGGHAVDGCREFMAAGEEGTLDALSCAACGCHRNFHRKDSGGSGAGGGAAGGGGAMEVTGYHPQFSPYYRTLTGYLHHPPYPPATFAQQHRPSPLALPSTSGVGGHSREDHDDVSNPTMGGGGGGTRASGSGVRKRFRTKFTQEQKEKMLAFAERLGWRIQKHDEAAVQQFCEETCIKRHVLKVWMHNNKHTLVLLTAVPAPLR >Ma03_p21200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26217396:26219096:-1 gene:Ma03_g21200 transcript:Ma03_t21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDEGDEEIGLPVGSSYDTVMENLGGGGGEGGGAKMSEGGESVAATAGVAVGGSGHRKAHGGGSGGGVRYRECLKNHAVGIGGHAVDGCREFMAAGEEGTLDALSCAACGCHRNFHRKDSGGSGAGGGAAGGGGAMEVTGYHPQFSPYYRTLTGYLHHPPYPPATFAQQHRPSPLALPSTSGVGGHSREDHDDVSNPTMGGGGGGTRASGSGVRKRFRTKFTQEQKEKMLAFAERLGWRIQKHDEAAVQQFCEETCIKRHVLKVWMHNNKHTLALLWRRM >Ma03_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26217847:26219096:-1 gene:Ma03_g21200 transcript:Ma03_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDEGDEEIGLPVGSSYDTVMENLGGGGGEGGGAKMSEGGESVAATAGVAVGGSGHRKAHGGGSGGGVRYRECLKNHAVGIGGHAVDGCREFMAAGEEGTLDALSCAACGCHRNFHRKDSGGSGAGGGAAGGGGAMEVTGYHPQFSPYYRTLTGYLHHPPYPPATFAQQHRPSPLALPSTSGVGGHSREDHDDVSNPTMGGGGGGTRASGSGVRKRFRTKFTQEQKEKMLAFAERLGWRIQKHDEAAVQQFCEETCIKRHVLKVWMHNNKHTLGKKP >Ma04_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6413733:6416780:-1 gene:Ma04_g08980 transcript:Ma04_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERKAARLRAPPVTTTGVHDVEIELSREASPYSLSSGILPSLGARSTRRVKLRRFIVSPYARRYKAWETFLIVLVVYTAWASPFEFGFLERSRGALALVDNVVDALFAVDIVLTFFVAYVDKTSYLLIDSRKKIAWRYLSTWFVLDLASTVPLEIVRRILPRKLGSYGFFTMLRLWRLRRVSSLFARLEKDRKFNYFWVRCAKLTCVTLFAVHSAGCFFYLVAARYHDPSRTWIGASIPDFHVRSLWVRYVTSLYWSITTLSTVGYGDLHAQNTWEMAFTIVYMLFNLGLASYLIGNMTNLVVHGTRRTRNYRDSIQAATGFAQRNQLPDRLQDQMISHLSLSFRIDSEGLHQQETLDTLPKAIRSSISHHLFYPLVAKAYLFRGVSHNMLFQLVSEMKAEYFPPREDVILQNEAPTDLYIVVTGIMDLIDHRSGAEQVCGVAKTGDVAGEIGVLCCRPQAFTARTRSLCQLLRLNRTTLLSIVQSNVGDGTTIVNNLLQSPEVMICYCITQMNCFGLLLFFFARSDASFGRNESERIIQEKADKKGRNREDSEGDR >Ma10_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27886493:27888891:1 gene:Ma10_g16010 transcript:Ma10_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKQMPTFEACSSMSSESRNLNQNQRATEFDARETEQSLPKFSSHLDNSFHQSNQAQRVAMNWDPRAVLNSLDILGQKIHQIQDIVRSTLSDERQLSIQPNEFAAQQQLINTDLTCTIIELISTAGTLLPLIKNALASGINSSGQIGGIANSASDLDLVDILRQNDNNLLSEGTKDSEYEELIKGLSDWDDEGMKPISTEDNDVKDNEDGVSGENLPLGSYEVLQLEKEEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKSPSALAKPTKEGSSEPVPIKRYSCPFIGCKRNKEHKNFQPLKTILCVKNHYKRSHCDKSYTCRRCNSKKFSVMADLKTHEKHCGSNKWLCSCGTTFSRKDKLFGHIALFQGHTPALSMDEVKSQGMSVQGQSDEVMAKEEDIDYLISGNVIEDTNFSGLNGTDNDLGYFSSMNFDSFTFGGIDGLQQPSFDTSESLFSFHK >Ma10_p16010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27886704:27888891:1 gene:Ma10_g16010 transcript:Ma10_t16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKQMPTFEACSSMSSESRNLNQNQRATEFDARETEQSLPKFSSHLDNSFHQSNQAQRVAMNWDPRAVLNSLDILGQKIHQIQDIVRSTLSDERQLSIQPNEFAAQQQLINTDLTCTIIELISTAGTLLPLIKNALASGINSSGQIGGIANSASDLDLVDILRQNDNNLLSEGTKDSEYEELIKGLSDWDDEGMKPISTEDNDVKDNEDGVSGENLPLGSYEVLQLEKEEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKSPSALAKPTKEGSSEPVPIKRYSCPFIGCKRNKEHKNFQPLKTILCVKNHYKRSHCDKSYTCRRCNSKKFSVMADLKTHEKHCGSNKWLCSCGTTFSRKDKLFGHIALFQGHTPALSMDEVKSQGMSVQGQSDEVMAKEEDIDYLISGNVIEDTNFSGLNGTDNDLGYFSSMNFDSFTFGGIDGLQQPSFDTSESLFSFHK >Ma03_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4493627:4498212:-1 gene:Ma03_g06530 transcript:Ma03_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRLRPSSVAVLRSVLICAIATVSLVALLDVHVSPSSSSPFRAAYTLAKRHEIGYGSRRSELAWMQEMAPPQLSKAPTAFHQEASQESEKLWKPPSNHGGFVPCVKPTSSYSPPGESRGYLLVSTNGGLNQMRAGISDMVAIARIINATLVIPILDKSSFWQDSSNFSDVFDEDYFIHSLANDVKIMKKLPKEFAAATKSVKYFKSWSGIEYYRDKISQLWDYHKVIRAAKSDSRLANNNLPPDIQKLRCRAFYQALRFAPGIEGLGKLLVERMRSYGPFIALHLRYEKDMLAFSGCTYGLSASEADELTTIREIIPYWKVKDIDPMQQRSKGYCPLTPKEVGIFLSALGYPSNTPIYIAAGDIYGGDSHMVDLESHFPILMSKEKLASADELEPFRPYAAQMAALDYIVSVESDVFVSSYLGNMARAVEGHRRFLGHRRTITPDRKALVHLFDKIERGSIKEGKKLSDMTSEIHKRRQGSPRKRKGPISGTRGKERFRSEEAFYENPLPDCLCRSESDVTSSQRP >Ma03_p06530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4493627:4498212:-1 gene:Ma03_g06530 transcript:Ma03_t06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRLRPSSVAVLRSVLICAIATVSLVALLDVHVSPSSSSPFRAAYTLAKRHEIGYGSRRSELAWMQEMAPPQLSKAPTAFHQWDDTNQEASQESEKLWKPPSNHGGFVPCVKPTSSYSPPGESRGYLLVSTNGGLNQMRAGISDMVAIARIINATLVIPILDKSSFWQDSSNFSDVFDEDYFIHSLANDVKIMKKLPKEFAAATKSVKYFKSWSGIEYYRDKISQLWDYHKVIRAAKSDSRLANNNLPPDIQKLRCRAFYQALRFAPGIEGLGKLLVERMRSYGPFIALHLRYEKDMLAFSGCTYGLSASEADELTTIREIIPYWKVKDIDPMQQRSKGYCPLTPKEVGIFLSALGYPSNTPIYIAAGDIYGGDSHMVDLESHFPILMSKEKLASADELEPFRPYAAQMAALDYIVSVESDVFVSSYLGNMARAVEGHRRFLGHRRTITPDRKALVHLFDKIERGSIKEGKKLSDMTSEIHKRRQGSPRKRKGPISGTRGKERFRSEEAFYENPLPDCLCRSESDVTSSQRP >Ma10_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32750731:32751355:-1 gene:Ma10_g24030 transcript:Ma10_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPQPRYRGVRQRQWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLVAGPRARTNFPFNPNSASSSSETNYLSAALTAKLHRCNLASLEAAPQPVVRNSPALKVSVSVVDRPKNKMTVAVEQPVYPNWIMKESGTDEVEFSYLEEHQVEQMIEELLDSNLGVELCYASL >Ma09_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15008165:15008597:-1 gene:Ma09_g17990 transcript:Ma09_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPLSPSHTLLIQILGRDHKGLLYDVMSEDSKELQCPDLLWPIPFEPGWKM >Ma09_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1027856:1029208:1 gene:Ma09_g01430 transcript:Ma09_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMMPPSAAARVAAVGEEEYEPLLAENPDRFCMFPITYPSIWEFYKKAVASFWTAEEVDLSPDVPHWQHRLSHDERHFISHVLAFFAASDGIVLENLAARFMRDVQLPEARAFYGFQIAIENIHSEMYSLLLDTYIKDPDEKTRLFRAIETVPSVARKADWALRWIESSGSFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRNKLSEDRVRAIVADAVDIEREFVCDALPVALVGMNGDLMSQYIEFVADRLLESLGHGKMFGVVNPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGNGDIHVFKLDEDF >Ma04_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5268129:5274539:-1 gene:Ma04_g07260 transcript:Ma04_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSVASIAFFLLLGLGHCKTVKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTKLDLHNNKLTGAIPPQIGRLKHLKTLNLRWNKLQDIIPPEIGELKKLTHLYLSFNNFKGEIPVELADLPELRYLYLHMNRFSGKIPPELGILRNLRHLDVSNNHLTGTLSKFIRNGDGFPSLRNLYLNNNQLSGTLPDQISNLTNLEILYLSYNKMSGQLTPKLVEIPRLTYLYLDHNAFTGRIPDGLYKHPFLKELYIEGNQFKPGAKQKGTHKTLELTDTEFF >Ma04_p38250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35865006:35867241:-1 gene:Ma04_g38250 transcript:Ma04_t38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPHPPAVKRKLHHSPVLALLILLLPTLLVVIVCHRQQISYFLRPIWDTPPRPFVRLPHYYAQDLPMDHLCRLHGWSLLPAPRRVFDAILFSNELDILEIRYRELAPYVHKFVILESNITFTGIAKPLYFVENYGRFELLSSKIVHGIFSGEADRTPGRDPFQLEFKQRVALNSLLRGSGIAPGDVVIMSDADEIPSTETIKLLQWCGGVPPVIHLELRHYMYSFEFPVDYSSWRATAHMFHPGTRYRHSRQTDLILADAGWHCSFCFRTMEEFVFKMTAYSHADRVRRSSFLDHSRIQKIICKGEDLFDMLPEEYSFQELIKKMGPIPRSASAVHLPSYLLENADKFRFLLPGGCSRSK >Ma04_p38250.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35865006:35867349:-1 gene:Ma04_g38250 transcript:Ma04_t38250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPHPPAVKRKLHHSPVLALLILLLPTLLVVIVCHRQQISYFLRPIWDTPPRPFVRLPHYYAQDLPMDHLCRLHGWSLLPAPRRVFDAILFSNELDILEIRYRELAPYVHKFVILESNITFTGIAKPLYFVENYGRFELLSSKIVHGIFSGEADRTPGRDPFQLEFKQRVALNSLLRGSGIAPGDVVIMSDADEIPSTETIKLLQWCGGVPPVIHLELRHYMYSFEFPVDYSSWRATAHMFHPGTRYRHSRQTDLILADAGWHCSFCFRTMEEFVFKMTAYSHADRVRRSSFLDHSRIQKIICKGEDLFDMLPEEYSFQELIKKMGPIPRSASAVHLPSYLLENADKFRFLLPGGCSRSK >Ma04_p38250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35865006:35867368:-1 gene:Ma04_g38250 transcript:Ma04_t38250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPHPPAVKRKLHHSPVLALLILLLPTLLVVIVCHRQQISYFLRPIWDTPPRPFVRLPHYYAQDLPMDHLCRLHGWSLLPAPRRVFDAILFSNELDILEIRYRELAPYVHKFVILESNITFTGIAKPLYFVENYGRFELLSSKIVHGIFSGEADRTPGRDPFQLEFKQRVALNSLLRGSGIAPGDVVIMSDADEIPSTETIKLLQWCGGVPPVIHLELRHYMYSFEFPVDYSSWRATAHMFHPGTRYRHSRQTDLILADAGWHCSFCFRTMEEFVFKMTAYSHADRVRRSSFLDHSRIQKIICKGEDLFDMLPEEYSFQELIKKMGPIPRSASAVHLPSYLLENADKFRFLLPGGCSRSK >Ma04_p38250.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35865006:35867227:-1 gene:Ma04_g38250 transcript:Ma04_t38250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPHPPAVKRKLHHSPVLALLILLLPTLLVVIVCHRQQISYFLRPIWDTPPRPFVRLPHYYAQDLPMDHLCRLHGWSLLPAPRRVFDAILFSNELDILEIRYRELAPYVHKFVILESNITFTGIAKPLYFVENYGRFELLSSKIVHGIFSGEADRTPGRDPFQLEFKQRVALNSLLRGSGIAPGDVVIMSDADEIPSTETIKLLQWCGGVPPVIHLELRHYMYSFEFPVDYSSWRATAHMFHPGTRYRHSRQTDLILADAGWHCSFCFRTMEEFVFKMTAYSHADRVRRSSFLDHSRIQKIICKGEDLFDMLPEEYSFQELIKKMGPIPRSASAVHLPSYLLENADKFRFLLPGGCSRSK >Ma04_p38250.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35865006:35867349:-1 gene:Ma04_g38250 transcript:Ma04_t38250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPHPPAVKRKLHHSPVLALLILLLPTLLVVIVCHRQQISYFLRPIWDTPPRPFVRLPHYYAQDLPMDHLCRLHGWSLLPAPRRVFDAILFSNELDILEIRYRELAPYVHKFVILESNITFTGIAKPLYFVENYGRFELLSSKIVHGIFSGEADRTPGRDPFQLEFKQRVALNSLLRGSGIAPGDVVIMSDADEIPSTETIKLLQWCGGVPPVIHLELRHYMYSFEFPVDYSSWRATAHMFHPGTRYRHSRQTDLILADAGWHCSFCFRTMEEFVFKMTAYSHADRVRRSSFLDHSRIQKIICKGEDLFDMLPEEYSFQELIKKMGPIPRSASAVHLPSYLLENADKFRFLLPGGCSRSK >Ma04_p38250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35865006:35867349:-1 gene:Ma04_g38250 transcript:Ma04_t38250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPHPPAVKRKLHHSPVLALLILLLPTLLVVIVCHRQQISYFLRPIWDTPPRPFVRLPHYYAQDLPMDHLCRLHGWSLLPAPRRVFDAILFSNELDILEIRYRELAPYVHKFVILESNITFTGIAKPLYFVENYGRFELLSSKIVHGIFSGEADRTPGRDPFQLEFKQRVALNSLLRGSGIAPGDVVIMSDADEIPSTETIKLLQWCGGVPPVIHLELRHYMYSFEFPVDYSSWRATAHMFHPGTRYRHSRQTDLILADAGWHCSFCFRTMEEFVFKMTAYSHADRVRRSSFLDHSRIQKIICKGEDLFDMLPEEYSFQELIKKMGPIPRSASAVHLPSYLLENADKFRFLLPGGCSRSK >Ma04_p38250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35865006:35867349:-1 gene:Ma04_g38250 transcript:Ma04_t38250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPHPPAVKRKLHHSPVLALLILLLPTLLVVIVCHRQQISYFLRPIWDTPPRPFVRLPHYYAQDLPMDHLCRLHGWSLLPAPRRVFDAILFSNELDILEIRYRELAPYVHKFVILESNITFTGIAKPLYFVENYGRFELLSSKIVHGIFSGEADRTPGRDPFQLEFKQRVALNSLLRGSGIAPGDVVIMSDADEIPSTETIKLLQWCGGVPPVIHLELRHYMYSFEFPVDYSSWRATAHMFHPGTRYRHSRQTDLILADAGWHCSFCFRTMEEFVFKMTAYSHADRVRRSSFLDHSRIQKIICKGEDLFDMLPEEYSFQELIKKMGPIPRSASAVHLPSYLLENADKFRFLLPGGCSRSK >Ma04_p38250.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35865006:35867356:-1 gene:Ma04_g38250 transcript:Ma04_t38250.8 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPHPPAVKRKLHHSPVLALLILLLPTLLVVIVCHRQQISYFLRPIWDTPPRPFVRLPHYYAQDLPMDHLCRLHGWSLLPAPRRVFDAILFSNELDILEIRYRELAPYVHKFVILESNITFTGIAKPLYFVENYGRFELLSSKIVHGIFSGEADRTPGRDPFQLEFKQRVALNSLLRGSGIAPGDVVIMSDADEIPSTETIKLLQWCGGVPPVIHLELRHYMYSFEFPVDYSSWRATAHMFHPGTRYRHSRQTDLILADAGWHCSFCFRTMEEFVFKMTAYSHADRVRRSSFLDHSRIQKIICKGEDLFDMLPEEYSFQELIKKMGPIPRSASAVHLPSYLLENADKFRFLLPGGCSRSK >Ma02_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27171404:27174943:-1 gene:Ma02_g21600 transcript:Ma02_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILSVDRNAKDDDLKKAYRKLAMRWHPDKNPNNKKDAEAKFKQISEAYEVLSDPQKRAVYDQYGEDGLKGQVPSPGAGDPGGGSTFFSAAGNGPASFSFNPRSADDIFAEFFGYSSPFGGMGGGASGVRGGSRFPGGMDGMFGNDFFGSAFGGGREGASMYAQQPRKAAPIENRLPCSLEDLFKGTAKKMKISREIVEASRKTMTVEEILTINIKPGWKKGTKITFPEKGNEAPYVIPADIVFIIDEKPHDVFTREGNDLIVTLKISLVEALTGYTVHLTTLDGRSLTIPINSIIHPGYEEVVHREGMPVPKDPSRKGNLRIKFNIKFPTRLTHEQKAGIKRLLAQ >Ma09_p21490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32545084:32555934:-1 gene:Ma09_g21490 transcript:Ma09_t21490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPNIEQKILDATSNESWGPHGSLLADIAQATRNYHEYQMIMNVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIREHVYQISTLSDFQYIDSSGRDQGNNVRRKSQSLVVLVNDKERIYELRQKGAASRDKYRGAGSTGRPGGYSDHCEDDRYESRNASRDEDRYGNGKEREWGYKDDDRYNRDRDSYGREGDRSSVYSDEHHGRDGFRDDDSRGGHGNDDYQDGSRNRSIDDDDRYSSRSGGGRSDSVPPEERQLERRPSEQSISAPPSYEEATRDAQTNMQEASPIVRNGDEFVATAPRASSPHAPKPSSPSESTTQGSPFAPTDGSPLKSTIQGLGHAPVGASASANNTDKNVFEDFDPRGSVSVASPAVGPPAASSLEMDLFGSASALDSIYSLDMMPLPTATNGTGVDLPTNSDLGADFMVMSSGAMSQHGENPFGDLPFIAIHENSSNQLESFAHVTSFNSSISTGGAEIFPPEASEIQTATDFDFDAAFGVTCNPPLDGQQSSYGSPAILTQEAPLTPASNDISGMLATQTGSAALNPMQEAQPAAPADAQQNIIPQSGPQAPFALQTTHFPAQDARPITPTNNHAAQARDDISGVFATQTGLAAYIPMQEAQPVAPTHGQGNLIPQSGSPAPFMLEAARPITPTHGQGNLIPQSGPPAPFMSEAARPIAPINNQSTQLSEAAYPIAQINNQSMQLNLPSQPGPQAPTALEVTPAPSALPPIRTAESKEKFEPKSAVWADTLSRGLVNLNISGPKINPHADIGIDFDSMNRKEKRREDKKVSTAPVSITTMGKAMGSGSGIGRAGASSLAVPPNPMMGNGMGMGMGGMGMMGGAGMGMGMMGGSGMGMGMMGGAGMGMGMGMGMGGYGGSMNQPMGMGMNMGMNQGMMPQRPPMGGPLGGNGIPGAGYNPMMGMGNYGSQQPYGGGGGGGYSR >Ma09_p21490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32545084:32555934:-1 gene:Ma09_g21490 transcript:Ma09_t21490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPNIEQKILDATSNESWGPHGSLLADIAQATRNYHEYQMIMNVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIREHVYQISTLSDFQYIDSSGRDQGNNVRRKSQSLVVLVNDKERIYELRQKGAASRDKYRGAGSTGRPGGYSDHCEDDRYESRNASRDEDRYGNGKEREWGYKDDDRYNRDRDSYGREGDRSSVYSDEHHGRDGFRDDDSRGGHGNDDYQDGSRNRSIDDDDRYSSRSGGGRSDSVPPEERQLERRPSEQSISAPPSYEEATRDAQTNMQEARNGDEFVATAPRASSPHAPKPSSPSESTTQGSPFAPTDGSPLKSTIQGLGHAPVGASASANNTDKNVFEDFDPRGSVSVASPAVGPPAASSLEMDLFGSASALDSIYSLDMMPLPTATNGTGVDLPTNSDLGADFMVMSSGAMSQHGENPFGDLPFIAIHENSSNQLESFAHVTSFNSSISTGGAEIFPPEASEIQTATDFDFDAAFGVTCNPPLDGQQSSYGSPAILTQEAPLTPASNDISGMLATQTGSAALNPMQEAQPAAPADAQQNIIPQSGPQAPFALQTTHFPAQDARPITPTNNHAAQARDDISGVFATQTGLAAYIPMQEAQPVAPTHGQGNLIPQSGSPAPFMLEAARPITPTHGQGNLIPQSGPPAPFMSEAARPIAPINNQSTQLSEAAYPIAQINNQSMQLNLPSQPGPQAPTALEVTPAPSALPPIRTAESKEKFEPKSAVWADTLSRGLVNLNISGPKINPHADIGIDFDSMNRKEKRREDKKVSTAPVSITTMGKAMGSGSGIGRAGASSLAVPPNPMMGNGMGMGMGGMGMMGGAGMGMGMMGGSGMGMGMMGGAGMGMGMGMGMGGYGGSMNQPMGMGMNMGMNQGMMPQRPPMGGPLGGNGIPGAGYNPMMGMGNYGSQQPYGGGGGGGYSR >Ma09_p21490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32545084:32555549:-1 gene:Ma09_g21490 transcript:Ma09_t21490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPNIEQKILDATSNESWGPHGSLLADIAQATRNYHEYQMIMNVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIREHVYQISTLSDFQYIDSSGRDQGNNVRRKSQSLVVLVNDKERIYELRQKGAASRDKYRGAGSTGRPGGYSDHCEDDRYESRNASRDEDRYGNGKEREWGYKDDDRYNRDRDSYGREGDRSSVYSDEHHGRDGFRDDDSRGGHGNDDYQDGSRNRSIDDDDRYSSRSGGGRSDSVPPEERQLERRPSEQSISAPPSYEEATRDAQTNMQEASPIVRNGDEFVATAPRASSPHAPKPSSPSESTTQGSPFAPTDGSPLKSTIQGLGHAPVGASASANNTDKNVFEDFDPRGSVSVASPAVGPPAASSLEMDLFGSASALDSIYSLDMMPLPTATNGTGVDLPTNSDLGADFMVMSSGAMSQHGENPFGDLPFIAIHENSSNQLESFAHVTSFNSSISTGGAEIFPPEASEIQTATDFDFDAAFGVTCNPPLDGQQSSYGSPAILTQEAPLTPASNDISGMLATQTGSAALNPMQEAQPAAPADAQQNIIPQSGPQAPFALQTTHFPAQDARPITPTNNHAAQARDDISGVFATQTGLAAYIPMQEAQPVAPTHGQGNLIPQSGSPAPFMLEAARPITPTHGQGNLIPQSGPPAPFMSEAARPIAPINNQSTQLSEAAYPIAQINNQSMQLNLPSQPGPQAPTALEVTPAPSALPPIRTAESKEKFEPKSAVWADTLSRGLVNLNISGPKINPHADIGIDFDSMNRKEKRREDKKVSTAPVSITTMGKAMGSGSGIGRAGASSLAVPPNPMMGNGMGMGMGGMGMMGGAGMGMGMMGGSGMGMGMMGGAGMGMGMGMGMGGYGGSMNQPMGMGMNMGMNQGMMPQRPPMGGPLGGNGIPGAGYNPMMGMGNYGSQQPYGGGGGGGYSR >Ma09_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32545084:32555934:-1 gene:Ma09_g21490 transcript:Ma09_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPNIEQKILDATSNESWGPHGSLLADIAQATRNYHEYQMIMNVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIREHVYQISTLSDFQYIDSSGRDQGNNVRRKSQSLVVLVNDKERIYELRQKGAASRDKYRGAGSTGRPGGYSDHCEDDRYESRNASRDEDRYGNGKEREWGYKDDDRYNRDRDSYGREGDRSSVYSDEHHGRDGFRDDDSRGGHGNDDYQDGSRNRSIDDDDRYSSRSGGGRSDSVPPEERQLERRPSEQSISAPPSYEEATRDAQTNMQEASPIVRNGDEFVATAPRASSPHAPKPSSPSESTTQGSPFAPTDGSPLKSTIQGLGHAPVGASASANNTDKNVFEDFDPRGSVSVGPPAASSLEMDLFGSASALDSIYSLDMMPLPTATNGTGVDLPTNSDLGADFMVMSSGAMSQHGENPFGDLPFIAIHENSSNQLESFAHVTSFNSSISTGGAEIFPPEASEIQTATDFDFDAAFGVTCNPPLDGQQSSYGSPAILTQEAPLTPASNDISGMLATQTGSAALNPMQEAQPAAPADAQQNIIPQSGPQAPFALQTTHFPAQDARPITPTNNHAAQARDDISGVFATQTGLAAYIPMQEAQPVAPTHGQGNLIPQSGSPAPFMLEAARPITPTHGQGNLIPQSGPPAPFMSEAARPIAPINNQSTQLSEAAYPIAQINNQSMQLNLPSQPGPQAPTALEVTPAPSALPPIRTAESKEKFEPKSAVWADTLSRGLVNLNISGPKINPHADIGIDFDSMNRKEKRREDKKVSTAPVSITTMGKAMGSGSGIGRAGASSLAVPPNPMMGNGMGMGMGGMGMMGGAGMGMGMMGGSGMGMGMMGGAGMGMGMGMGMGGYGGSMNQPMGMGMNMGMNQGMMPQRPPMGGPLGGNGIPGAGYNPMMGMGNYGSQQPYGGGGGGGYSR >Ma09_p21490.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32545084:32555934:-1 gene:Ma09_g21490 transcript:Ma09_t21490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPNIEQKILDATSNESWGPHGSLLADIAQATRNYHEYQMIMNVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIREHVYQISTLSDFQYIDSSGRDQGNNVRRKSQSLVVLVNDKERIYELRQKGAASRDKYRGAGSTGRPGGYSDHCEDDRYESRNASRDEDRYGNGKEREWGYKDDDRYNRDRDSYGREGDRSSVYSDEHHGRDGFRDDDSRGGHGNDDYQDGSRNRSIDDDDRYSSRSGGGRSDSVPPEERQLERRPSEQSISAPPSYEEATRDAQTNMQEASPIVRNGDEFVATAPRASSPHAPKPSSPSESTTQGSPFAPTDGSPLKSTIQGLGHAPVGASASANNTDKNVFEDFDPRGSVSVASPAVGPPAASSLEMDLFGSASALDSIYSLDMMPLPTATNGTGVDLPTNSDLGADFMVMSSGAMSQHGENPFGDLPFIAIHENSSNQLESFAHVTSFNSSISTGGAEIFPPEASEIQTATDFDFDAAFGVTCNPPLDGQQSSYGSPAILTQEAPLTPASNDISGMLATQTGSAALNPMQEAQPAAPADAQQNIIPQSGPQAPFALQTTHFPAQDARPITPTNNHAAQARDDISGVFATQTGLAAYIPMQEAQPVAPTHGQGNLIPQSGSPAPFMLEAARPITPTHGQGNLIPQSGPPAPFMSEAARPIAPINNQSTQLSEAAYPIAQINNQSMQLNLPSQPGPQAPTALEVTPAPSALPPIRTAESKEKFEPKSAVWADTLSRGLVNLNISGPKINPHADIGIDFDSMNRKEKRREDKKVSTAPVSITTMGKAMGSGSGIGRAGASSLAVPPNPMMGNGMGMGMGGMGMMGGAGMGMGMMGGSGMGMGMMGGAGMGMGMGMGMGGYGGSMNQPMGMGMNMGMNQGMMPQRPPMGGPLGGNGIPGAGYNPMMGMGNYGSQQPYGGGGGGGYSR >Ma09_p21490.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32545084:32555934:-1 gene:Ma09_g21490 transcript:Ma09_t21490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPNIEQKILDATSNESWGPHGSLLADIAQATRNYHEYQMIMNVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEIREHVYQISTLSDFQYIDSSGRDQGNNVRRKSQSLVVLVNDKERIYELRQKGAASRDKYRGAGSTGRPGGYSDHCEDDRYESRNASRDEDRYGNGKEREWGYKDDDRYNRDRDSYGREGDRSSVYSDEHHGRDGFRDDDSRGGHGNDDYQDGSRNRSIDDDDRYSSRSGGGRSDSVPPEERQLERRPSEQSISAPPSYEEATRDAQTNMQEASPIVRNGDEFVATAPRASSPHAPKPSSPSESTTQGSPFAPTDGSPLKSTIQGLGHAPVGASASANNTDKNVFEDFDPRGSVSVASPAVGPPAASSLEMDLFGSASALDSIYSLDMMPLPTATNGTGVDLPTNSDLGADFMVMSSGAMSQHGENPFGDLPFIAIHENSSNQLESFAHVTSFNSSISTGGAEIFPPEASEIQTATDFDFDAAFGVTCNPPLDGQQSSYGSPAILTQEAPLTPASNDISGMLATQTGSAALNPMQEAQPAAPADAQQNIIPQSGPQAPFALQTTHFPAQDARPITPTNNHAAQARDDISGVFATQTGLAAYIPMQEAQPVAPTHGQGNLIPQSGPPAPFMSEAARPIAPINNQSTQLSEAAYPIAQINNQSMQLNLPSQPGPQAPTALEVTPAPSALPPIRTAESKEKFEPKSAVWADTLSRGLVNLNISGPKINPHADIGIDFDSMNRKEKRREDKKVSTAPVSITTMGKAMGSGSGIGRAGASSLAVPPNPMMGNGMGMGMGGMGMMGGAGMGMGMMGGSGMGMGMMGGAGMGMGMGMGMGGYGGSMNQPMGMGMNMGMNQGMMPQRPPMGGPLGGNGIPGAGYNPMMGMGNYGSQQPYGGGGGGGYSR >Ma01_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14652042:14665024:1 gene:Ma01_g19190 transcript:Ma01_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEHTFLRVHARVSGSLSQLLGPRVRLMLEYACLAAAGALFCLLVIMHINFVQQPGCSREFSGLEFTEAQVVQIKITGGGLWTQSSAETERVSFQKGILTETSKGPEMNENDITIFSPKFWSNFLCSGAAKSKLISKFWKNDKELFEPQVGKGPDTSISNSVLCDLSMKSEAKDMQIGKPVSVGESLVEAKSRFYSKWKMPVVSFWSSASQYSSYALELWKHLGWNAFLNVPKSFRPFQFNHLKAFMVQWLEKRSKAQEPTYLYTVEKGYFLLPEGAKSQHHIRTTNLTISAQNSCFGNRWQQLLINTFVGYDTILINSLLNSPGHGYLYNFQTKEFYNLRYGQEPSEGHARFGDFFVTKSGVLIMSLFVFFTTTMSVSFILRETQSRMLKFTVQLQHYASNQLPTFQLIFVHIIESLIFVPIMVGILFFLFEFYDDQLLAFLVFILVWFCELFTMISVRTAISAQFFPRFFLLYFLVFHIYFFSYAYGFLYLAFSATAAFMQHLVLYFWNRFEVPAVQRFIRARAQLHHQTSVQITSSTLYHPLPFHVTELTMPNPDLIHNESMPTPDSSTRSNPVGLSDPTEGPEPRPASDLSSQQTRTTSGSSSLNPFRYLLSWIAGGASDNLVSFSIFRNFRLRGQVFAQQA >Ma10_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21804133:21805218:-1 gene:Ma10_g07380 transcript:Ma10_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGVHQKERDNNGGNGIRASHQGDVSKLPGKTIANYLKPTISSCHVGSCRYPRSQKQASEASSMATCSAKPGSSINKARLLTSVPERTPASISSKERARTRAAKSPMTAAISSKKRTTGIEKSPVTTAIGLKERTRRTDGRSSMAAISPKPSLGKAFKTPTSGKAVPVRKANVAATTSTTAAKSEDDKVIHVDDKTQTQDQAKGTQVEVEQPHESKAKVESEEPKAAKTDDDEVSHETEGKMELQRESEVGGDKTVAQEKEKGEEEGVAPKGPANGRNEPLPAYNAVIEEKATKLAARRNKVLALAGAFESVISLQMPELSSQEQAAMTVRSVSRGRGRAAQSTQQPTEEGKGGNEGAN >Ma06_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10448697:10452968:1 gene:Ma06_g15410 transcript:Ma06_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLVPLSVVMVLIGVLRYFVSKLMRSHQAPDLKVVKEGQVILRARNLRAASGFIPAKSFRSRKTYFTNEENGLLHVPKGQSQNAQAQMFSDPNLAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMSGFGFDPSRSLSAEKDGIDIIQHDWALPKMEKRAEDALKKLLK >Ma01_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2798712:2805822:1 gene:Ma01_g04270 transcript:Ma01_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MELPRGGLLFLLWSIALFFASLANQAVGIRFPDRIPELTPTSQRPLRTAVFALGSFWRSEAVFGCLPGVVRTSVGYAAGSKTNPEYRNLGDHAECVRVEYDPKLIQFKQLLDVFWSSHDSRQVFGQGPDVGDQYRSIIFTNQTQEARLAAVSKEREQAKSRSSLVTTEIQQLGVFYPAEAEHQKFELKRNRFLLQLIGNMPDEELMTSSLAAKLNGYAAELCPTIIQRKIDAKIDEIVKNGWPVLREI >Ma01_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13598827:13599248:-1 gene:Ma01_g18340 transcript:Ma01_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPLININNSSSFIVKWWDDDVVFKNKTHGETKAS >Ma11_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14518212:14521628:-1 gene:Ma11_g11640 transcript:Ma11_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDMNPRTGMQHSPWQFSNMNPASNIQQFDIGYQNTNSMLSPAYVPHGCVFSVNTPTPFPGINTDNPFQQTSSLIPPLFPSCRHPEFDGSKKRCVVFDQIGYGRSFFCSSSDIPFPCFNSMNPGFSLQGSTETNVSSRNEGEEMHEDTEEINALLYSDSDDEEASTGHSPVGALEMGSSEVASSMLPVKRRRVDVEFDASLVDTASSQVFHCPNEPMRYRNKDEDDDTESSFVKGGDHDQNADDRQLKRARIQETVGILRTIIPGGRGKDAASVLDEAIHYLKSLKLKTRSLNATP >Ma03_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29266614:29277889:-1 gene:Ma03_g25160 transcript:Ma03_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPKYEEFSDEGTSSGSISSDEGPGSGAEGDQEVEEDDQEELEAVARTGSSDDDEAREDDDQATEDDEAVGDEALEASANAEVGVREKARLRELQRMKKQKIQEILDAQNAAVDADMNNKGKDRLKYLLQQTEIFAHFAKGSQSESDNKPRGRGRHASKITEEEEDEEYLKEEEDALAGAGGTRLVSQPSCIQGKMRDYQLAGLNWMIRLYENGINGILADEMGLGKTLQTISLLGYLHEYRGITGPHMVVAPKSTLGNWMREIRRFCPVLRAVKFLGNPEERNHIRENLLVAGKFDVCVTSFEMAIKEKTALRRFSWRYVIIDEAHRIKNENSLLSKTMRLYHTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDKWFQISGENDQQEVIQQLHKVLRPFLLRRLKSDVEKGLPPKKEIILKVGMSQLQKHYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLITSAGKMVLLDKLLPKLKERGSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGEDRDASIEAFNQPESEKFIFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAERVFNSNNSTITDEDIYDIIAKGEKATAELNAKMKKFTEDAIKFKMDDNAELYDFDDEKDDKELDLKKLVTENWIEPPKRERKRNYSESDYFKQALRQGGAAKSKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMHTHQKNQLKDTIPDGDESEDLGDPLTAEEQEEKEQLLEEGFSTWTRKDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVGRYAKVFKARYKELNDYDRIMKNIERGEGRISRRDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMIHKLGYGNWDDLKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQSRKDKKLTKNLTPSKRSMTKAPALETPALSSFKRRRQSDDYTGSGRRRR >Ma07_p00590.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:504374:513480:1 gene:Ma07_g00590 transcript:Ma07_t00590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQIKSYLPGYYPLQDVKEDASSWTSCYQDEKLSEHLYNSYMPKSVNDSAQDKEMLKRTMLEHEAIFRRQVYELHRLYRIQKDLMNEYQTRGFDGSSILAEASHSNSFSSQMHSECNKKMSSQKSHFPIGRISCRKTPFGRTEKTHFCFTREGSIRSDQIPVLNVASRKDTKALDCQTLRRRMFDLQLPADEYIDIEDTDGYGLTNIAEVSPSASILKNGTCSLYSENDVKLSLGSNHREDCHISNSPTQICKSAYSIVDLNKLKTEVCFEAPVNLESVQLLVLKNHSSQNQEHHLSIGSNTSFLDGPGDQQAMSDLDADVHTKREWPFFIDDSGKKRSTVEFFAQTWNNGNVGTSCETLQSNIKKCPKIFSLDHSNPVTCSGQEPTHSIQTSVGVPHCTCSNSTLLSPLVSSSITASEIDLTSHASSFVSSWRKPATGINNKLIALQALPCFSGSSNPSNENLNSKIDAPTPIDCEKWQSDGNQNTSLLSGTGVSHTNGFHHYLHLDSNSASAPHPKLASKPDQIDGSNKDLHGHLPGRHVKSFLSRDIGTPININLNQAFATSVEARLAVRQDAVICDVDRKYDNLSGGLSCLRIKPSSNESVYLKKHAAKVDLSICDRHPPLSSSLMVVAPQIERKGEMELDFSLCKQQDVASNSQFKDNKMQQNQVSDSYGKRIIIDNTSQQSSMLASVQHMKQRHLTDNAKSMENYNMIFSDLGHETKVLNSQEKIHIGCSFTEICSGKNRASFRKHINDDPISPDILTQGEITVQSSHYTPRFGAKIPSGIDLEVPISQDETVIYNQHKYIPLSKNDGSQGKDYSGDILVRFAAENLVSISMDCTGRSDEINSHQLSLPGLDTLSWFAEVVSCSAENPKLLSDGGDVGTQSSDDHGYDFFEVMTLNLEEIKMDKHSSRPKELENKNEKEDKDDIGAASLLFTKPRRGQARKRRQRRDFQKDILPGLASLSRHEVSEDLQTIGGMMKASGRPCQTGLTRRNTSRNGMNPQTKGRRQPRSLAITTEEIQVSPSTHSQPSNCEIGVSERCMIGWGRTTRRCRRQRCPPGSLPAP >Ma07_p00590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:504374:513480:1 gene:Ma07_g00590 transcript:Ma07_t00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQIKSYLPGYYPLQDVKEDASSWTSCYQDEKLSEHLYNSYMPKSVNDSAQDKEMLKRTMLEHEAIFRRQVYELHRLYRIQKDLMNEYQTRGFDGSSILAEASHSNSFSSQMHSECNKKMSSQKSHFPIGRISCRKTPFGRTEKTHFCFTREGSIRSDQIPVLNVASRKDTKALDCQTLRRRMFDLQLPADEYIDIEDTDGYGLTNIAEVSPSASILKNGTCSLYSENDVKLSLGSNHREDCHISNSPTQICKSAYSIVDLNKLKTEVCFEAPVNLESVQLLVLKNHSSQNQEHHLSIGSNTSFLDGPGDQQAMSDLDADVHTKREWPFFIDDSGKKRSTVEFFAQTWNNGNVGTSCETLQSNIKKCPKIFSLDHSNPVTCSGQEPTHSIQTSVGVPHCTCSNSTLLSPLVSSSITASEIDLTSHASSFVSSWRKPATGINNKLIALQALPCFSGSSNPSNENLNSKIDAPTPIDCEKWQSDGNQNTSLLSGTGVSHTNGFHHYLHLDSNSASAPHPKLASKPDQIDGSNKDLHGHLPGRHVKSFLSRDIGTPININLNQAFATSVEARLAVRQDAVICDVDRKYDNLSGGLSCLRIKPSSNESVYLKKHAAKVDLSICDRHPPLSSSLMVVAPQIERKGEMELDFSLCKQQDVASNSQFKDNKMQQNQVSDSYGKRIIIDNTSQQSSMLASVQHMKQRHLTDNAKSMENYNMIFSDLGHETKVLNSQEKIHIGCSFTEICSGKNRASFRKHINDDPISPDILTQGEITVQSSHYTPRFGAKIPSGIDLEVPISQDETVIYNQHKYIPLSKNDGSQGKDYSGDILVRFAAENLVSISMDCTGRSDEINSHQLSLPGLDTLSWFAEVVSCSAENPKLLSDGGDVGTQSSDDHGYDFFEVMTLNLEEIKMDKHSSRPKELENKNEKEDKDDIGAASLLFTKPRRGQARKRRQRRDFQKDILPGLASLSRHEVSEDLQTIGGMMKASGRPCQTGLTRRNTSRNGMNPQTKGRRQPRSLAITTEEIQVSPSTHSQPSNCEIGVSERCMIGWGRTTRRCRRQRCPPGSLPAP >Ma07_p00590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:504374:513480:1 gene:Ma07_g00590 transcript:Ma07_t00590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSVNDSAQDKEMLKRTMLEHEAIFRRQVYELHRLYRIQKDLMNEYQTRGFDGSSILAEASHSNSFSSQMHSECNKKMSSQKSHFPIGRISCRKTPFGRTEKTHFCFTREGSIRSDQIPVLNVASRKDTKALDCQTLRRRMFDLQLPADEYIDIEDTDGYGLTNIAEVSPSASILKNGTCSLYSENDVKLSLGSNHREDCHISNSPTQICKSAYSIVDLNKLKTEVCFEAPVNLESVQLLVLKNHSSQNQEHHLSIGSNTSFLDGPGDQQAMSDLDADVHTKREWPFFIDDSGKKRSTVEFFAQTWNNGNVGTSCETLQSNIKKCPKIFSLDHSNPVTCSGQEPTHSIQTSVGVPHCTCSNSTLLSPLVSSSITASEIDLTSHASSFVSSWRKPATGINNKLIALQALPCFSGSSNPSNENLNSKIDAPTPIDCEKWQSDGNQNTSLLSGTGVSHTNGFHHYLHLDSNSASAPHPKLASKPDQIDGSNKDLHGHLPGRHVKSFLSRDIGTPININLNQAFATSVEARLAVRQDAVICDVDRKYDNLSGGLSCLRIKPSSNESVYLKKHAAKVDLSICDRHPPLSSSLMVVAPQIERKGEMELDFSLCKQQDVASNSQFKDNKMQQNQVSDSYGKRIIIDNTSQQSSMLASVQHMKQRHLTDNAKSMENYNMIFSDLGHETKVLNSQEKIHIGCSFTEICSGKNRASFRKHINDDPISPDILTQGEITVQSSHYTPRFGAKIPSGIDLEVPISQDETVIYNQHKYIPLSKNDGSQGKDYSGDILVRFAAENLVSISMDCTGRSDEINSHQLSLPGLDTLSWFAEVVSCSAENPKLLSDGGDVGTQSSDDHGYDFFEVMTLNLEEIKMDKHSSRPKELENKNEKEDKDDIGAASLLFTKPRRGQARKRRQRRDFQKDILPGLASLSRHEVSEDLQTIGGMMKASGRPCQTGLTRRNTSRNGMNPQTKGRRQPRSLAITTEEIQVSPSTHSQPSNCEIGVSERCMIGWGRTTRRCRRQRCPPGSLPAP >Ma07_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:504374:513480:1 gene:Ma07_g00590 transcript:Ma07_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQIKSYLPGYYPLQDVKEDASSWTSCYQDEKLSEHLYNSYMPKSVNDSAQDKEMLKRTMLEHEAIFRRQVYELHRLYRIQKDLMNEYQTRGFDGSSILAEASHSNSFSSQMHSECNKKMSSQKSHFPIGRISCRKTPFGRTEKTHFCFTREGSIRSDQIPVLNVASRKDTKALDCQTLRRRMFDLQLPADEYIDIEDTDGYGLTNIAEVSPSASILKNGTCSLYSENDVKLSLGSNHREDCHISNSPTQICKSAYSIVDLNKLKTEVCFEAPVNLESVQLLVLKNHSSQNQEHHLSIGSNTSFLDGPGDQQAMSDLDADVHTKREWPFFIDDSGKKRSTVEFFAQTWNNGNVGTSCETLQSNIKKCPKIFSLDHSNPVTCSGQEPTHSIQTSVGVPHCTCSNSTLLSPLVSSSITASEIDLTSHASSFVSSWRKPATGINNKLIALQALPCFSGSSNPSNENLNSKIDAPTPIDCEKWQSDGNQNTSLLSGTGVSHTNGFHHYLHLDSNSASAPHPKLASKPDQIDGSNKDLHGHLPGRHVKSFLSRDIGTPININLNQAFATSVEARLAVRQDAVICDVDRKYDNLSGGLSCLRIKPSSNESVYLKKHAAKVDLSICDRHPPLSSSLMVVAPQIERKGEMELDFSLCKQQDVASNSQFKDNKMQQNQVSDSYGKRIIIDNTSQQSSMLASVQHMKQRHLTDNAKSMENYNMIFSDLGHETKVLNSQEKIHIGCSFTEICSGKNRASFRKHINDDPISPDILTQGEITVQSSHYTPRFGAKIPSGIDLEVPISQDETVIYNQHKYIPLSKNDGSQGKDYSGDILVRFAAENLVSISMDCTGRSDEINSHQLSLPGLDTLSWFAEVVSCSAENPKLLSDGGDVGTQSSDDHGYDFFEVMTLNLEEIKMDKHSSRPKELENKNEKEDKDDIGAASLLFTKPRRGQARKRRQRRDFQKDILPGLASLSRHEVSEDLQTIGGMMKASGRPCQTGLTRRNTSRNGMNPQTKGRRQPRSLAITTEEIQVSPSTHSQPSNCEIGVSERCMIGWGRTTRRCRRQRCPPGSLPAP >Ma01_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:967555:975000:-1 gene:Ma01_g01380 transcript:Ma01_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAAVIGLHTGKRLLSSSFCQTDLTEKLFSVPDHGSLPFSGGSTKCTIVAKKSSHFGSNVPPTRHIPTIKALRERVNTSAPSTSDTWSERPDLESSLEVLILLQKSMLEKQWELPFTQMTTVVAPENSCQMPEITRSGISARERRTISSRKCFSHKANLVPSSRVKQLHPSVSRELLKSDISGYVRGTVSENLLTHAEVANLSKTIKAGIHIQEQRAKLQDKLGFEPTDRQLASSLRMSRAELHTKMIESSLAREKLAMSNVRLVMSIAQKYDNLGAEMTDLIQAGLIGLLRGIEKFDSSKGFRISTYVYWWIRQGVSRALFKNSRTLRLPTHLHERLSSIRHAKIRLEEKGITPSIDKLAETLNMSQKKIRDATQAASKVLSLDREAFPSLNGLPGETLHSYIADRNVENNPWYGFEEWSLKDEVKKLLYTTLSKREREIIRLYHGIDSECHTWEDIGKRFGLSRERVRQVGLIAMEKLKHVARRRKLEAVLVKH >Ma01_p01380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:967555:974449:-1 gene:Ma01_g01380 transcript:Ma01_t01380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAAVIGLHTGKRLLSSSFCQTDLTEKLFSVPDHGSLPFSGGSTKCTIVAKKSSHFGSNVPPTRHIPTIKALRERVNTSAPSTSDTWSERPDLESSLEVLILLQKSMLEKQWELPFTQMTTVVAPENSCQMPEITRSGISARERRTISSRKCFSHKANLVPSSRVKQLHPSVSRELLKSDISGYVRGTVSENLLTHAEVANLSKTIKAGIHIQEQRAKLQDKLGFEPTDRQLASSLRMSRAELHTKMIESSLAREKLAMSNVRLVMSIAQKYDNLGAEMTDLIQAGLIGLLRGIEKFDSSKGFRISTYVYWWIRQGVSRALFKNSRTLRLPTHLHERLSSIRHAKIRLEEKGITPSIDKLAETLNMSQKKIRDATQAASKVLSLDREAFPSLNGLPGETLHSYIADRNVENNPWYGFEEWSLKDEVKKLLYTTLSKREREIIRLYHGIDSECHTWEDIGKRFGLSRERVRQVGLIAMEKLKHVARRRKLEAVLVKH >Ma01_p01380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:967555:974208:-1 gene:Ma01_g01380 transcript:Ma01_t01380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAAVIGLHTGKRLLSSSFCQTDLTEKLFSVPDHGSLPFSGGSTKCTIVAKKSSHFGSNVPPTRHIPTIKALRERVNTSAPSTSDTWSERPDLESSLEVLILLQKSMLEKQWELPFTQMTTVVAPENSCQMPEITRSGISARERRTISSRKCFSHKANLVPSSRVKQLHPSVSRELLKSDISGYVRGTVSENLLTHAEVANLSKTIKAGIHIQEQRAKLQDKLGFEPTDRQLASSLRMSRAELHTKMIESSLAREKLAMSNVRLVMSIAQKYDNLGAEMTDLIQAGLIGLLRGIEKFDSSKGFRISTYVYWWIRQGVSRALFKNSRTLRLPTHLHERLSSIRHAKIRLEEKGITPSIDKLAETLNMSQKKIRDATQAASKVLSLDREAFPSLNGLPGETLHSYIADRNVENNPWYGFEEWSLKDEVKKLLYTTLSKREREIIRLYHGIDSECHTWEDIGKRFGLSRERVRQVGLIAMEKLKHVARRRKLEAVLVKH >Ma01_p01380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:967555:974749:-1 gene:Ma01_g01380 transcript:Ma01_t01380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAAVIGLHTGKRLLSSSFCQTDLTEKLFSVPDHGSLPFSGGSTKCTIVAKKSSHFGSNVPPTRHIPTIKALRERVNTSAPSTSDTWSERPDLESSLEVLILLQKSMLEKQWELPFTQMTTVVAPENSCQMPEITRSGISARERRTISSRKCFSHKANLVPSSRVKQLHPSVSRELLKSDISGYVRGTVSENLLTHAEVANLSKTIKAGIHIQEQRAKLQDKLGFEPTDRQLASSLRMSRAELHTKMIESSLAREKLAMSNVRLVMSIAQKYDNLGAEMTDLIQAGLIGLLRGIEKFDSSKGFRISTYVYWWIRQGVSRALFKNSRTLRLPTHLHERLSSIRHAKIRLEEKGITPSIDKLAETLNMSQKKIRDATQAASKVLSLDREAFPSLNGLPGETLHSYIADRNVENNPWYGFEEWSLKDEVKKLLYTTLSKREREIIRLYHGIDSECHTWEDIGKRFGLSRERVRQVGLIAMEKLKHVARRRKLEAVLVKH >Ma02_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28938212:28943708:-1 gene:Ma02_g24260 transcript:Ma02_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSSSQLITAEISASEGRSEDPLLIDSGSNRWTDEKHTLFLNSIEASFVNELYDEQYHSKAFVGWLSRIKKRKEPSGPYENDLKSGQFKESSGPYENDLKSGQFKVLRKGCWENLIFERDNSHTDIENGSFTLSANPWFQHFRSPFIMKQRDLNSSNGIGDIKFIRPSAKIASERLDEEAISSKHICHQDSIGSSTEMSDQNFIADELIVGKKSSRICRKRRPGTALVRGPINDQVIPCRKALLTTSSDENQACRRPTNSGSGAKNI >Ma02_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26468727:26469155:-1 gene:Ma02_g20510 transcript:Ma02_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIKAGDSKPSFRLQNRSRRTSGLTDTGREVVPGGQDQARQEGSQIAADHLNNVRVEPIRGRRQFHGAKEAYLSGHFDAHTIECECVVHSFKNYTKLENVGAEDYFCRFDYKAANWAFTPDRVGLACFLSFFFLFLILALW >Ma03_p26100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29960709:29961953:1 gene:Ma03_g26100 transcript:Ma03_t26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVHVECFGHYKKWCRSRCNCCVHCHSTHAAADPSRVHEYDPSIAPLHRVRHVLSGASLGSSAVPCAGLRLQYSSLTQRGYYPGRPDRANQDSFYVKTQFQGNPDLHFFGVFDGHGDLGAQCSAFVRDRLTDILAGDPRLWENTTEAYRSAFAATNMALHDSEIDDSSSGTTAITVLVRGDTLVVANVGDSRAVAGVWDGDRVVAEDLSSDHKPLREDERERLRQYGAMVSGEEDPDERSWSDDEETYKPDTPRLWGRDFGLALSRSFGDSDLESVGLIAVPELKVVKLTANHSFFVVASDGVFDFLSSQAVVDMVSCFADPRDACSAIAARSYQSWLRHGRRHGRRLGRRTDDITIIIVQLKDLAEGDSTTYR >Ma11_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20658152:20659717:1 gene:Ma11_g14950 transcript:Ma11_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHPPLRLHHHAAAPMLGRSLSSLLSAPALLLAALLLLSFRSALLAGTLRLSSLPDRDPAVGSLLRRLSSSHASPLSPPPPAARRRPPLLHLTRLGTLDDDGLFSDSSSSATADRRRSAALNATLPALFLHDGGAVGRQSSAKPLKIRVPDYAPSSPFVFSFPDAADAIGVEGDRNRSPDLRILGRGFDLDPQDTTAILYLLTLLSSTHALAILGFIVAYTSALGVVFFSITAFHLRKPVSVIETIYSGARLGMRRLTGFVFLRWAARDALIQFLCIWFFADVRDQNVLFKLFVKVKLMPFSLSPVNPWPGPADEVLSGFFFAWAMVDTVVSLVFAVVPWVVIMDHDLRRRGQDVVKEGFYLMSLMPTQAISIKFLEALVWGYLGTWMAAMVGWKLFAAAFLSLAEVYFMAVWLVFYFAARCKDGELIGRQFGARDLEDCLNGLR >Ma08_p31530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42395839:42399713:-1 gene:Ma08_g31530 transcript:Ma08_t31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAMDGGCHHSSGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGHEEDDEIECDNMHWNGSDVVCDDVQAQKPHRSRVRHHKGSSNKSLSRSQSCDSHSKGSISSSRGATKVDLSKLETRALWRYWRHFNLVDASPNPSKEQLVDAVQRHFMSQQLDELQVIIGFVQAAKRLKTVCN >Ma08_p31530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42395839:42399715:-1 gene:Ma08_g31530 transcript:Ma08_t31530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAMDGGCHHSSGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGHEEDDEIECDNMHWNGSDVVLAVCDDVQAQKPHRSRVRHHKGSSNKSLSRSQSCDSHSKGSISSSRGATKVDLSKLETRALWRYWRHFNLVDASPNPSKEQLVDAVQRHFMSQQLDELQVIIGFVQAAKRLKTVCN >Ma06_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1051811:1055588:1 gene:Ma06_g01310 transcript:Ma06_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAHVPKFGDWESVGDVPYTQRFEVVRKGRSGGKAINSDDPHENPEAVHPNLEDLHPKDDRRRLTQSPLQHGAVTSKPTMVSPLHRHGYQPDSGDHRRAGRTSGGSENSSPLHAHYQVKAATRVGVSSPSKGSSEGSHAYASNVASRSRMTGGRGDETPEKVSSVPKFGEWDESNPSSADGYTHIFNKVREEKTGSTKATMITDDTIYVNDQDVRGRSMSCCFGWCKK >Ma06_p01310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1051787:1055588:1 gene:Ma06_g01310 transcript:Ma06_t01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHAHVPKFGDWESVGDVPYTQRFEVVRKGRSGGKAINSDDPHENPEAVHPNLEDLHPKDDRRRLTQSPLQHGAVTSKPTMVSPLHRHGYQPDSGDHRRAGRTSGGSENSSPLHAHYQVKAATRVGVSSPSKGSSEGSHAYASNVASRSRMTGGRGDETPEKVSSVPKFGEWDESNPSSADGYTHIFNKVREEKTGSTKATMITDDTIYVNDQDVRGRSMSCCFGWCKK >Ma04_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20781366:20782120:1 gene:Ma04_g18700 transcript:Ma04_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFVPFGIAYHSCMMIVLSNNINTRETAHAIRKLPLAKAKRYPEDMSSPCHIELSLSEKEEPLEKEPEAQIAANKPKKA >Ma03_p23050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27706640:27722850:1 gene:Ma03_g23050 transcript:Ma03_t23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEQRRNGSLKMEESSLGGPMTCDKRKRAGSSPNVIQVCMPSRKEKTKDIGWDHGKIVDGNRFQWMCNWCGLVRYGGGVSRLKKHLAGVCDVRKCPNVPEEIAKEIMNHLIEKQKHRKRRLVARGIKGRRQKCSPHCDLLDKDHIKGDNKAQVGSANKLSRKDIWETNIASERLKIMNQQSTTTMGLTGMTEQEMEELHDQISCTRTVEEDRYSRREHHWKYVLESILQFPDMSEGGGISCCIRDALTYGPEFTKKFKMTDILGNTVQLKDKFVGNSEVKCHQTPDSAANAEKSASKTGSLSETDTDVINLNSQEHFLDILRSEKFFLLCDLICHNFQDNKVKLFFDFSLINSKMKNGDYKQSPGLFSQDIQEVWDKCQKFGEEMVLLASNLSSMSHVSCQKHDTCQVVAERKSFAESNKTAMHLTFCESGQYTKLDQAKASPLYKVPTCRQCAMEANGECSLICGGCQAMYHFSCIKPALVEIPTQSWYCVVCNAYEKESPEPFSICTRKDIMHKNHLVYDGLKTSGTQEYCIDSDSRIVRASNSTESSVSFMETDESPELPRTAQSFLCKICGTCEDEDKKFLICDHVHCPYKFYHIRCLKSSQIASLQQQKKSCWYCPSCLCRACFCDKDDDRIVLCDGCDEAYHTYCMRPPCTSIPKGQWYCQSCNASMERKGTKRHKQRITQQHWKNDGTQFNEVSRAVDLLLIAAEKLSSEEQLMAGENSR >Ma03_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27706640:27722850:1 gene:Ma03_g23050 transcript:Ma03_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEQRRNGSLKMEESSLGGPMTCDKRKRAGSSPNVIQVCMPSRKEKTKDIGWDHGKIVDGNRFQWMCNWCGLVRYGGGVSRLKKHLAGVCDVRKCPNVPEEIAKEIMNHLIEKQKHRKRRLVARGIKGRRQKCSPHCDLLDKDHIKGDNKAQVGSANKLSRKDIWETNIASERLKIMNQQSTTTMGLTGMTEQEMEELHDQISCTRTVEEDRYSRREHHWKYVLESILQFPDMSEGGGISCCIRDALTYGPEFTKKFKMTDILGNTVQLKDNKVKLFFDFSLINSKMKNGDYKQSPGLFSQDIQEVWDKCQKFGEEMVLLASNLSSMSHVSCQKHDTCQVVAERKSFAESNKTAMHLTFCESGQYTKLDQAKASPLYKVPTCRQCAMEANGECSLICGGCQAMYHFSCIKPALVEIPTQSWYCVVCNAYEKESPEPFSICTRKDIMHKNHLVYDGLKTSGTQEYCIDSDSRIVRASNSTESSVSFMETDESPELPRTAQSFLCKICGTCEDEDKKFLICDHVHCPYKFYHIRCLKSSQIASLQQQKKSCWYCPSCLCRACFCDKDDDRIVLCDGCDEAYHTYCMRPPCTSIPKGQWYCQSCNASMERKGTKRHKQRITQQHWKNDGTQFNEVSRAVDLLLIAAEKLSSEEQLMAGENSR >Ma03_p23050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27706640:27722850:1 gene:Ma03_g23050 transcript:Ma03_t23050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEQRRNGSLKMEESSLGGPMTCDKRKRAGSSPNVIQVCMPSRKEKTKDIGWDHGKIVDGNRFQWMCNWCGLVRYGGGVSRLKKHLAGVCDVRKCPNVPEEIAKEIMNHLIEKQKHRKRRLVARGIKGRRQKCSPHCDLLDKDHIKGDNKAQVGSANKLSRKDIWETNIASERLKIMNQQSTTTMGLTGMTEEMEELHDQISCTRTVEEDRYSRREHHWKYVLESILQFPDMSEGGGISCCIRDALTYGPEFTKKFKMTDILGNTVQLKDKFVGNSEVKCHQTPDSAANAEKSASKTGSLSETDTDVINLNSQEHFLDILRSEKFFLLCDLICHNFQDNKVKLFFDFSLINSKMKNGDYKQSPGLFSQDIQEVWDKCQKFGEEMVLLASNLSSMSHVSCQKHDTCQVVAERKSFAESNKTAMHLTFCESGQYTKLDQAKASPLYKVPTCRQCAMEANGECSLICGGCQAMYHFSCIKPALVEIPTQSWYCVVCNAYEKESPEPFSICTRKDIMHKNHLVYDGLKTSGTQEYCIDSDSRIVRASNSTESSVSFMETDESPELPRTAQSFLCKICGTCEDEDKKFLICDHVHCPYKFYHIRCLKSSQIASLQQQKKSCWYCPSCLCRACFCDKDDDRIVLCDGCDEAYHTYCMRPPCTSIPKGQWYCQSCNASMERKGTKRHKQRITQQHWKNDGTQFNEVSRAVDLLLIAAEKLSSEEQLMAGENSR >Ma04_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1829809:1835245:-1 gene:Ma04_g02080 transcript:Ma04_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNIRGQTALMQACQYGHWEVVQTLILFKANIHRTDYLNGGTALHFASLNGHTRCIRLLLADYIPSAPNFWNMMRGKSTRESSTPDFDKSALSKFVNQKADGGITALHMSALNGHAESVHLLLDLGASVFEVTVEDGSTIDLIGAGSTPLHYAACGGNAVCCQVLIARGASLTAENTNGWTPLMVARSWHRNWIEGILSKRPDGRIKILPSPYLSLPLMSIIRIAREVGWRGTNQSPACIDPCVVCLERRCTVAAEGCNHEFCTRCALYLCSTNSTSTVVPGPPGSIPCPLCRHAIVSFFKIPGMSPIRELPRTSLSLSLCATCPAVDGSDSTASMATQLCKPDFHCTRVPPLGSSSFRSGSSLRRSASQRESSTRSCLFAFSPIVDTSDGS >Ma04_p02080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1829809:1835245:-1 gene:Ma04_g02080 transcript:Ma04_t02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNIRGQTALMQACQYGHWEVVQTLILFKANIHRTDYLNGGTALHFASLNGHTRCIRLLLADYIPSAPNFWNMMRGKSTRESSTPDFDKSALSKFVNQKADGGITALHMSALNGHAESVHLLLDLGASVFEVTVEDGSTIDLIGAGSTPLHYAACGGNAVCCQVLIARGASLTAENTNGWTPLMVARSWHRNWIEGILSKRPDGRIKILPSPYLSLPLMSIIRIAREVGWRGTNQSPACIDPCVVCLERRCTVAAEGCNHEFCTRCALYLCSTNSTSTVVPGPPGSIPCPLCRHAIVSFFKIPGMSPIRELPRTSLSLSLCATCPAVDGSDSTASMATQLCKPDFHCTRVPPLGSSSFRSLSCQRFPSMKLHSMLCMGVPETSPCLIRCCRSGSSLRRSASQRESSTRSCLFAFSPIVDTSDGS >Ma03_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2015286:2018790:-1 gene:Ma03_g02970 transcript:Ma03_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPVVKLGTLVLKTVCKPIASRLKKEAGLHPKFRRLIVNVAQANHRITTNIQRRIYGHSTNVEIRPLDEEKAVQAASDLIGEFFVFSVAGVALIFEVQRSARSEARKEEIRRQEIEAMKQKEEDLMRDLEQLKQKLNEIEHLAKGRGLSGIISFRHDHAPESSKSGNPA >Ma05_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4406859:4415788:-1 gene:Ma05_g05810 transcript:Ma05_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGMVAGSHKRNEFVMIRHEGEPGPKLMKNFNGQECQICGDTVGLSATGDLFVACNECAFPVCRACYEYERKEGNKSCPQCKTRYKRHKGSPRVEGDDEEDDVDDLDNEFSYRQGNAKAGSKWQLQGQEDVDLSSSSRHEPQHRIPRLTSGQQVSGEVPDASPDRHSIRSPSSGYVDPSLPVPVRIVDPSKDLNSYGLGSVDWKERVDGWKLKQDKNIVQMTNKYNDGKGDMEGTGSNGEDLLMADDARQPLSRVVPIPSNQLNLYRVVIILRLIILCFFFQYRITHPVPDAYPLWLTSVICEIWFALSWLLDQFPKWYPINRETYLERLALRYDREGEPSQLAPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKTPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALREAMCFMMDPALGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIFKSCCGSRKKRKGGDRSYIDSKKRAMKRTESSVPIFNMEDMEEGIEGYEDERSLLMSQRSLEKRFGQSPIFVASTFMEQGGIPPSTDPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYKGRLKLLERVAYINTIVYPITSIPLIAYCVLPAICLLTGKFIIPEISNYAGMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTSFTVTSKASDEDGDFSELYIFKWTSLLIPPTTVLVINMVGIVAGVSYAVNSGYQSWGPLFGRLFFAFWVIAHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVHIDPFTSPTQKAATMGQCGVNC >Ma03_p29020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32048626:32052435:-1 gene:Ma03_g29020 transcript:Ma03_t29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGSFQCGRIASKRLMMLVALLLVSCCLGHGFGDEDHVEVEGINSSCMESERRALLAIKSDMYDPGDRFSSWTGKDCCGWRGVACDHTTGHVTKLDLSYHDTYTFIYPDPYLYTTDMWDVFNDGDTIGVSKVNPSLQELKHLKYLDLSMNNFSYAPVPKMIASLVHLEYLNLSYATFDGLIPPQLGNLSNLHYLDLHGWYYDDFLHVDDLDWLSRIPSLKYLDMSYVNLSEATNWFYIINSISTLEVLHLIYVDLPYVPSPLPPFNLTSIATLDLSWNSNIPSAMLRWLSNATSLEYLLLSGCRSLTIESLQVALGALSNLKGLDLSYNSLQGTILGILNNVSSRDFKHLDLSNNGLSGDIPQTLWRLRRLEYLDLHRNFYFKVHLLALLANLTNLRHLDLGYNLIGGEIPPTVGNFVRLEYLDLSYNGINGKIPESIGNLTNLVGLDLSWNKIVGCIPKTLGTLIHMKILYLSDNRIFGQIPETIGGLQNLQIAELPAWLQTQTRLTFLYLRQVGLYGNLPVWFANFSRGLQNLDMGSNNLQGENKLFDKIPKWIGRNLSSLKVLRLRSNLLYGVIPENIVNLTSLQVLDLSSNNLFGSLPSSLGNFTAMIEVQNDTRSLLEDNYSYNESILVTTKGSMVEYTTILWLVTCIDLSNNHLSGEIPKELTKLLGLRFLNLSNNHLTGRIPKNIGDMKILESLDLSVNSLTGEIPSSFSAMNFLARLNLSYNNLSGKIPTSGQLSTFDSWTYVGNKDLCGTPLPDCPVYQTPPDARVKHEDDDKLDKLLEYTGIVVGFVVGFWLFIGTLIMKQAIRFAFFRRIDKTIDWIYVQFAVTLAKLKSKWQTTT >Ma04_p33500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33079369:33080385:1 gene:Ma04_g33500 transcript:Ma04_t33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFFVAFSSLVLSLLHLPAAACSCGRSDCELIALAFRHVSGFQLPPPTGDCSLRLPSRNLSGAVSWMHLRSVSALRVLDLSGNALGGSIPGGFWSAPALLHVNLASNRLDGVLRFDPGSQTPPLRSLNLSGNRFTSVAGLAALPRLEDVDLSRNSLDSFPVGLEKLGRLRHLDLSHNSMRGVLPEGFPPIAGGLGYLDVSYNNFTGVVQPEAVKKFGEPAFVEAGSLQFVSAARVVAHSSSPSRSLRRTRHRRSRKRKITLLSAILSVAAVAIILVALWYLHRVDKEEQRAREEKEGGAATVGDEAGGAVQKADEGDVEVREGVSAGKGQAEQPHV >Ma04_p33720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33224130:33226122:-1 gene:Ma04_g33720 transcript:Ma04_t33720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANQWHQGIGLVKPMEAQFASSTTEATTSTSRCTTTRPQVTERRPRPHKEKVLNCPRCNSTNTKFCYYNNYSLTQPRYFCKSCRRYWTEGGTLRNVPVGGGSRKNKRNFVSSAATNSSSSSPIIAPTATASTSRKLHADLIPPYISLSASSEAPKFHEGQDLNLAFRQQDLPEYNYPNLESSSAINNTYFSAMELLKSEMTARGLGPFMPMSAYPTGFALQEFRPPTLSFPLDGIGGRAGYGSLPVVEESAGGKLPFPLEDLKPVVPSNSVADQFEQNRGQGGDPPGFWNGIIG >Ma04_p33720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33224130:33225929:-1 gene:Ma04_g33720 transcript:Ma04_t33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQFASSTTEATTSTSRCTTTRPQVTERRPRPHKEKVLNCPRCNSTNTKFCYYNNYSLTQPRYFCKSCRRYWTEGGTLRNVPVGGGSRKNKRNFVSSAATNSSSSSPIIAPTATASTSRKLHADLIPPYISLSASSEAPKFHEGQDLNLAFRQQDLPEYNYPNLESSSAINNTYFSAMELLKSEMTARGLGPFMPMSAYPTGFALQEFRPPTLSFPLDGIGGRAGYGSLPVVEESAGGKLPFPLEDLKPVVPSNSVADQFEQNRGQGGDPPGFWNGIIG >Ma09_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6146168:6146913:-1 gene:Ma09_g09270 transcript:Ma09_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLETEITVRLPGALVHPTSRRPEPTPFSEKLNEVKASIGEPVDFVLHGEIARRMSGVLVGAAEGKGEHGGNFCIYSRILIAEIFTGEESRECHVSLGDVVAHPIRLLEPRW >Ma04_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9360237:9369882:-1 gene:Ma04_g12400 transcript:Ma04_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHAARLGFWFARRSLGSKLLDESTTAAALDGASARFVSWACSSSRSVVNENCEAANLTKGIINGAFQWHFVPSRSFHGTRSVSAKDYYDVLGVNKNASASDIKKAYHALAKKLHPDTNKDDAGAERKFQEVQHPYDVLKDEDKRRLYNQVGPDAFEQVAAGGGPGPNGPFGGAGFGNPFEDIFGGAGGFNDFFKSMFREREFGGQDVEVSLEISFMEAVQGCTKIVTFQTAVTCESCGGVGIPPGTKPETCRACRGSGMTFMQNGSFRLQSTCSQCGGSGRTVKSLCKSCRGQRAVKGVKSVKLDVVPGMPNLNSLLTVLSGGADPGGNQPGDLYVTIKVREDPVFRREKEDIHVDAVLSVTQAILGGTIQVPTLTGDVVLKVKPGTQPGQKVVLKGLFY >Ma02_p05240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16551664:16552477:-1 gene:Ma02_g05240 transcript:Ma02_t05240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVGPRVYSCSNCGNHVCLHDDIISKAFHVNPGRHGRAFLFSHGRNIVMGPKQDRLLMTGIHTVADVYCHDCGEVLGWKYERAYEETQRYKEGKFVFEKIKIVKENW >Ma02_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16551652:16552477:-1 gene:Ma02_g05240 transcript:Ma02_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVGPRVYSCSNCGNHVCLHDDIISKAFHGRHGRAFLFSHGRNIVMGPKQDRLLMTGIHTVADVYCHDCGEVLGWKYERAYEETQRYKEGKFVFEKIKIVKENW >Ma11_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1866205:1866955:-1 gene:Ma11_g02570 transcript:Ma11_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDESAIKVDQIVVDKETIDMLGTLGMADLPGVEKQPDAPAGTGYPTRPGGYGGRRI >Ma09_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19218981:19219852:-1 gene:Ma09_g18860 transcript:Ma09_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDEWKRLYSNTKMNFGEVAIKGFWDMYDRPRGVLTVVL >Ma11_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21558986:21564400:1 gene:Ma11_g15950 transcript:Ma11_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDLVEARMLLEFNPSLAKYSTFRGLNSPLHFAAAKGHNEIVTLLLENGADVNLRNYCGQTALMQACRYGHWEVVQTLLIFRSVVTRVDYLSGRTALHFAAVGGHVRCIRLLVADFIPSAPYEVIGGAGSESSSDSSLDRKHDHALTKFINKPADGGITALHMAALNGYFDCVQLLLDLGANVSAVTFQYGTSANLIGAGSTPLHYAACGGNLKCCQMLLARGASRLTLNCNGWLPYDVARIWRRRCLESLLNPNSELSLPVFPLSNYLSLPLMSILNIARESGLQSLIAVDDIDLCAVCLERACSVASEGCGHELCIQCALYLCSTSNTPSEIVGPPGSIPCPFCRHGIVSFVKLPSTPAKGFKPNLALSLCNPCILHPRALDVPVACRSEVRRNRVAAVSSEIICPLTCAPFTSGVIPSCNCDDDPCPSNGSQGEVQAQSAHSSHITSDELEKMEEQRADASCSGMFWGRRSCHREHQCNSEINA >Ma00_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4601494:4601583:-1 gene:Ma00_g01160 transcript:Ma00_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIKSILFLIPIRAIPSKPEDILLFFCT >Ma07_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6101499:6104771:1 gene:Ma07_g08190 transcript:Ma07_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTVSFSGYLAQNLATAAGIRCGGSCRLFHDAGRSLALFTGQRPDHDHSPAFPLRSVARQDWPKAPLSDPAVAKDQGSALVAALLSAIASGSGSKAGGFGAFGVSSMTKMGLKPSSLIPFFQTSKWFPCSEFLPGSGELGPMDKGGTSSSNPSRDEGGRAPSGDAIRDAKESSFSGPKVTLAKGLDSKFDMMFSRDKNSWVSRLLSSCSDDAKMLLAALTVPLLYGSFLAEPRSIPSKSMFPTFDVGDRILAERVSYLFREPEVTDIVIFRVPSILHEFGFGSSDVFIKRVVAKAGDYVEVHDGKLLVNGSIQDDEFILEPLEYEMKPVFVPEGCVFVLGDNRNNSFDSHDWGPLPVKNILGRSVFRYWPPSKISDTIYEPNMMQNVMGVS >Ma03_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7909186:7913917:-1 gene:Ma03_g10500 transcript:Ma03_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVIVLVRDADGFGPTIAEALLPIPNSNLTREISSFELSLEKYDVKDSKASGDLIQFLDPSGSPQVSIFILQNYEPPLAACVTNELLASFSNETTIVLPFVMKALKINREEMSGHSVDQEVTLYAAKIGGISELTKAMISGAISAPPSLQIHCELLACLLLMARILSLPTVLIAAGGQRSNRKSSNPELEALYELGQLVASNLGLCFSKDKIQQKHPTKSTSVQEPWRALYG >Ma09_p31480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41185699:41186540:-1 gene:Ma09_g31480 transcript:Ma09_t31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNNGPGFGGMHGTSGGEAHDIIHAAASPPAMQSPPAYNVGVPAPVSWMRLLSYILRISAIVLTLVATIVMGTARGTVTVLFVNPLTGFLSTVAGSTKSTNSAAFVYFIVANTLVSFYSVVSLALLIASKAGKSIMLLPFSIGDLVMVALLFSGNGAATAISVVLEHGQQRLARWSEICHAVGGFCARVNAAIVLSMVASLAYVLLVVLGLLGLRKSNY >Ma04_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3833650:3836264:-1 gene:Ma04_g05070 transcript:Ma04_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLLLLLLLLLAVVVSAEPKVPAVYVFGDSTADVGNNNYLPGDDAKANFPHYGIDFPHQRPTGRFSNGYNGVDFMAIHMGFRRSPPPYLSIVNETHTPILRGLRGVNFASGGSGILDTTGSTITMTKQVQDFAALASNIVSHTNASRAKYLLSRSVFLISSGGNDVFAFFFATNSSPSAAETQQFYDAMVANYSIHLKALYDLGARKFALIDVPPIGCCPYSRSQHPLGACIDGLNGLAKGVNDRIKLLLANLSSKLDGMRYTIGSSYNVVLSMIADPAGVGYKDVKSACCGGGKLGAEAGCSPNTTYCGNRNQYLFWDRIHPTHATSARAGLAFYGGSLEFAAPINLKQLVEE >Ma05_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19234261:19238057:-1 gene:Ma05_g17050 transcript:Ma05_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARHPRKLPGRRSSPFTLILAALLTASVVLLMLLALGIFSLPVNSDGPPKLDHRPHRSIHEMRDGMGERGDQWTEVLSWEPRAFIYHNFLSKKECEYLTELAKPHMEKSTVVDTATGQSKDSRVRTSSGMFLRRGQDKIIRTIEKRIADYTFIPVENGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRIATLLMYLSDVEEGGETVFPSAKVNSSSLPGYNELSDCAKKGISVKPKMGDALLFWSMRPDATLDPSSLHAGCPVIKGNKWSSTKWMRIHEYRA >Ma02_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15109735:15113579:1 gene:Ma02_g03670 transcript:Ma02_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRSKKARRTAPRSDDVYLKLLVKLYRFLVRRTESKFNAVILKRLFMSKNNRPPISLKRLITFMERKDDKIAVIVGTVTDDKRVYDVPAMKVTALRFTETARARILKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGKAPGVPHSHTKPYVRSKGRKFERARGRRNSRGFRV >Ma04_p35060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34007294:34012267:1 gene:Ma04_g35060 transcript:Ma04_t35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPSNAPDRAAPPKKRGSYNCRRCGLPKKGHVCPSADGWPAHVPLPRSGHRLRRALSFDDDRHSSPLPASAMEDDGLIGTTALVAVENPDGVVEEEEEDEVGCGGERTLPASCMVEVLRRLSPTELMRAAAVCRGWRECVRRVWRCAEELRLRVSPRSQVAFVGSVLHKCAGLARLTLRMESDFDATMLACVAFSLPNLEAFELNMAENTVNRITGDELGNFAAKKRCLSTLKFEGCTNIGFVNISSSSLLMLWLSDLYCISKMVLKCPNLRELSLDFTRQVNDSTDLATMLESLGCTCPCLRNIHIASLQLCNEAVLSLASANIRDLRMLSLVLGSRITDAAVTAIVSCYTSLELLDLSGSSITDTGIRMICNVFPETLSRLLLALCPNITSSGIQFAAAQLPLLQLIDCGMSIRDTDSQYESSKQNISPIKRRTGEYNKWQRLSTTKSSHVYQKLIIKHGNLRKLSLWGCSGLDALYLNCPELNDLNLNSCTNLHPEELLLQCEKLKNVHASGCHDMLIGAVRNQVLNEFSTAENHLPCKRLADGSKRIQVPHFVQQLSDDKKQKRKLLTQCIVHHD >Ma04_p35060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34007294:34012267:1 gene:Ma04_g35060 transcript:Ma04_t35060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPSNAPDRAAPPKKRGSYNCRRCGLPKKGHVCPSADGWPAHVPLPRSGHRLRRALSFDDDRHSSPLPASAMEDDGLIGTTALVAVENPDGVVEEEEEDEVGCGGERTLPASCMVEVLRRLSPTELMRAAAVCRGWRECVRRVWRCAEELRLRVSPRSQVAFVGSVLHKCAGLARLTLRMESDFDATMLACVAFSLPNLEAFELNMAENTVNRITGDELGNFAAKKRCLSTLKFEGCTNIGFVNISSSSLLMLWLSDLYCISKMVLKCPNLRELSLDFTRQVNDSTDLATMLESLGCTCPCLRNIHIASLQLCNEAVLSLASANIRDLRMLSLVLGSRITDAAVTAIVSCYTSLELLDLSGSSITDTGIRMICNVFPETLSRLLLALCPNITSSGIQFAAAQLPLLQLIDCGMSIRDTDSQYESSKQNISPIKRRTGEYNKWQRLSTTKSSHVYQKLIIKHGNLRKLSLWGCSGLDALYLNCPELNDLNLNSCTNLHPEELLLQCEKLKNVHASGCHDMLIGAVRNQVLNEFSTAENHLPCKRLADGSKRIQLSDDKKQKRKLLTQCIVHHD >Ma11_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7589140:7592240:1 gene:Ma11_g09350 transcript:Ma11_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAEFERLQEAYQSLDERWKDKEPFLRLMILDGCFMLEIMRVATQKSSDHGYAYDDPVFSGSGIHHIVPYIKRDMMMIENQLPLLVLDQLVLVEGGEVRRRADAKILGVEFHGEPGAAPPPPWRAPQRPAETLQAEEQGYQDAEDDTIIRSAVELHEAGIRFKTSESDSLLDIWFDNGVLSLPKLTVDDNTKYMFLNLMAFEGLHARAGNDVTSFVCFMDHIIDSAKDINLLHYKGIILNAVGSDEAAAELFNRLTMDVLMIGEFVTTGILRIT >Ma09_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7376387:7377094:1 gene:Ma09_g10880 transcript:Ma09_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSFMLPLLLLLSLSLYATIATATGHEHAATKEKMTHLHFYFHEMYSGPNATGLVVAVPPGKNSSIDTFGALIVIDDMLREGPERSSKLIGRAQGLSAQASLDGTALLTAINFVFTEGEYNGSTVAILGRAVPSAPAIERTIVGGSGRFRMARGYTVSKVISWGGGYFLMEFDAYIIHH >Ma11_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22618408:22619008:-1 gene:Ma11_g17260 transcript:Ma11_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQQVGRALKEGAGRCLRCGSTADLVEYEKVLKLFFVPVWRWPGKDPAFYCRDCSFLSPHSLPLHDDDRAATGRSGLFEALRCDSCSHVVDPQFRFCPFCGSAL >Ma10_p30970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37190967:37208876:-1 gene:Ma10_g30970 transcript:Ma10_t30970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLLIASIAISLVFVGVWADAGAEEEAVVVEPDVSDSVLKLELEQLRSKISALESSILDKTRELKSKDENIAHLEKIIEEKSVSILSLQSEIESVQRKGAVDAEELLKRANLEAGELGKLVEKLKNEIELQNRRRHALDARASEAEKKVQELNLKLENLEKTNDEQRHRIQKTERALKVAEEELMRAQLEATAKSKELSQAHGAWLPPWLAAHISHYQELSATYWKEHAKPALDVILEKASEKLVQAQKWVEPQLEIAKTKWVPAIKERWVILVTNAEPYVQIVYTKTVEVYHTSKGTIATYVIEVLELADPYFQVMKKFSKPYINQVATITKPHVEQMQVYLKPYTKRVVLAYGKFLKSATTYHRQVQAGIREHLKRYELTKVIATKELVWFMASALLALPIFLVYRLLLNTFCSKKTIKSTRNGDSNHTHRKPKHRHADK >Ma10_p30970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37190967:37208833:-1 gene:Ma10_g30970 transcript:Ma10_t30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLLIASIAISLVFVGVWADAGAEEEAVVVEPDVSDSVLKLELEQLRSKISALESSILDKTRELKSKDENIAHLEKIIEEKSVSILSLQSEIESVQRKGAVDAEELLKRANLEAGELGKLVEKLKNEIELQNRRRHALDARASEAEKKVQELNLKLENLEKTNDEQRHRIQKTERALKVAEEELMRAQLEATAKSKELSQAHGAWLPPWLAAHISHYQELSATYWKEHAKPALDVILEKASEKLVQAQKWVEPQLEIAKTKWVPAIKERWVILVTNAEPYVQIVYTKTVEVYHTSKGTIATYVIEVLELADPYFQVMKKFSKPYINQVATITKPHVEQMQVYLKPYTKRVVLAYGKFLKSATTYHRQVQAGIREHLKRYELTKVIATKELVWFMASALLALPIFLVYRLLLNTFCSKKTIKSTRNGDSNHTHRKPKHRHADK >Ma03_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:658575:664359:1 gene:Ma03_g00820 transcript:Ma03_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKDPRPLPPSDVADHRKVKLICSYGGKILPRHGDGALRYAGGETRLITVRRDSLLPEILRKVAEACGGPVVLRYQLPDEDLDALISVSTTEDLDNMMEEYDRLAADSPSAKLRVFLFSPSEVTGAGAASFAVHIDLQETGTRYLDAVNGLDSSIRRKDSATSFSSTQNSDGTTAAAADEVNNEGTSPAHVSPTAASAQDTLKLVFAGQDVLDLLPPTTSSSEQTELLSSTQSGWPPLVPDQNRVLNSTQTDLPSVASYVPQSYIDPQQAQILNPQYLPVMGLPLPINVVRVPAPAYMPPSHIADPMSQVSNGTSVQTKVNPHSQNSNVDKTAKFHSDVKLQSLSQLPPLPSPYLLAPNVERSGLHQVSSSSQGHTRRFEDCNMCQKAIPHAHSVTLIKEQGNGLRNVVPEVNVVLQSHHSEDLTSMRGQQMIDVGMLVDGVVETQAENLVATAPSKTYEFSETIPGIPWDSGRPVVVNAANPYYAKVFVPPVSVGFPGTSQASHGTVTNPQKAQKVESVQEQQEVPLSLGLNMENLDYPNISLAPASSTLPCGPYGMLVIPQFHGEDYLQQQTQLPQHIGLSNYPVDHNFIVASTSSVRNSDYREVGQSVNGTVPAFLFDYVRPINGTHRAICTIPPGDPGFSNQPRPVVIPVIGTSKNIKPENPPLVVVNTHNAGPQHKENELSHGDPLISSVVVPEGNAGPQIDKQLSVMSDVAYEQSVQPSNTSHIPNMTCKHGPYSYHLEAGVGPRGMFKYVDPNNNAIKNNVIGEQKDEVPSLHPVEVFCDITIPQSDNAQIYTIHHASNMNQIQRAISLDPLASSKDPQKTLTVLPPIPSEVSNRESIAMKELYNKNSVNSMGSEVTVPAGVSSHLPESPRMDSPKELVCPLKEDVHIKQDIQTSEGKASAAALQSSEVPVPVLISHETEEVSRLSPSSNRESGTIENTLEKDDDQSKAIKTKQSENLKNGFPITDDIGHLQVIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRVFAGKPSEQERARADFWNEACKLASLHHPNVVAFYGIVLDGPGGSIATVTEFMVNGSLRRASQKNQKILDRRRCLLVAMDVAFGMEYLHNKNIIHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKYETLMSGGMQGTLPWMAPELLSGKDNRYTEKVDVFSFGIVMWELITGEEPYGDMHYGAIIGGILNDTLRPPVPESCDTEWGSLMEQCWSTEPSQRPSFTDIATRLRAMAAALPQKG >Ma04_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:405117:406515:1 gene:Ma04_g00460 transcript:Ma04_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKGVKKGPWTQEEDLVLASYVKEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEERLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLRRIQGSGDGATSNGGLSRCPPVSKDQWERRVQADIRMAKQALTEALSLQKPSCLGDRNPQSSGFSLTAPITTTYASSTENISRLLQGWMKQSPAAGADMVAGGDSTSTEGSATVASNNGVSPVGLPDSAFKIETPTPEVSEPNLFLGENRAAMEAPVFISLFETWLFDDSMPLDDTAELL >Ma01_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12910543:12915376:1 gene:Ma01_g17640 transcript:Ma01_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRCVGERRVWHLRRALRSAKLTLLCLALTVLVLRGTVGAGRFGTPEQDLNQIRLRLRRPLVETTISSSNSLDDSEEDPPRDPSQPYSLGPKISDWDEQRADWLRRHPERPSFLGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRSLLLAHPEVEFLWWMDSDAMFTDMAFELPWERYAPYNLVMHGWNEMVYDDHNWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGKTRTEAGKVLTAFLKDRPVFEADDQSAMVYLLATRRDQWGDKVYLESAYYLHGYWGILVDRYEEMIENHHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRRVKRIRNETSNPLDVKDELGLLHPVFKATKVIDAAH >Ma01_p17640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12910543:12915376:1 gene:Ma01_g17640 transcript:Ma01_t17640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGRCVGERRVWHLRRALRSAKLTLLCLALTVLVLRGTVGAGRFGTPEQDLNQIRLRLRRPLVETTISSSNSLDDSEEDPPRDPSQPYSLGPKISDWDEQRADWLRRHPERPSFLGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRSLLLAHPEVEFLWWMDSDAMFTDMAFELPWERYAPYNLVMHGWNEMVYDDHNWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGKTRTEAGKVLTAFLKDRPVFEADDQSAMVYLLATRRDQWGDKVYLESAYYLHGYWGILVDRYEEMIENHHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRRVKRIRNETSNPLDVKDELGLLHPVFKATKVIDAAH >Ma08_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5854604:5855208:-1 gene:Ma08_g08410 transcript:Ma08_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTSEARPVMAVPFMDFQIPQDSLTNSGMSYAIVKMIC >Ma01_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11575095:11582133:1 gene:Ma01_g15880 transcript:Ma01_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP65 [Source:Projected from Arabidopsis thaliana (AT5G67530) UniProtKB/Swiss-Prot;Acc:Q9FJX0] MGKKQHSKDRMFITKTEWATEWGGAKPKDNSTPFKRLPFYCCSLTFTPFEDPVCTADGSVFDIMNIVSYITKYGKHPVTGAPLKEEDLVPLTFHKNSDGEYHCPVLNKVFTEFTHIVAVKTTGNVFCHEAIKELNLKTKNWKELITDEPFTKDDLITIQNPNTLDSKVLSDFDHVKNSLKVDDEDLRRMKEDPTYNINLSGDVKQMLRELGTEKGKIAALHGGGGEKARKERAAALAAILDSRSRIKEDSNSGANGDSNSIQAFSIVDAASASVHGRSAAAAKAASTDKTAARIALHMAGERKPVNAKLVKSRFTTGAASRSFTSTAYDPVTRNEFEYVKVEKNPKKKGYLQLHTTHGDLNLELHCDITPRSCENFITLCENGYYNGVPFHRNIRNFMIQGGDPTGTGKGGQSIWGKPFNDELNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGSVVGGLSTLAAMEKVPVDDDDRPLEEIKITSVTIFVNPYSEPDEEEEKQQEEKKAADDDHDKVGSWYSNPGTGVIGSAGASGGIGKYLKARTSAATDSAGRKGAESNDFTKKRKVDVSSVEFKNFSNW >Ma00_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31343729:31344079:-1 gene:Ma00_g03830 transcript:Ma00_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EPIDERMAL PATTERNING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT2G20875) UniProtKB/Swiss-Prot;Acc:Q8S8I4] MGPSSFLLLLAIVVLLAPADATARSIGQSPSGVSSSLTSGTPARSPTRLTAAGRAQGKLETLQVAGSSLPDCSHACGSCKPCRLVMVSFVCASLEEAETCPMGYKCMCNRRSYPVP >Ma06_p28030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29822194:29827300:-1 gene:Ma06_g28030 transcript:Ma06_t28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRFSNLLGAPYRGGNVLITDDAVLLSSIGNRVAATDLLKYQTQTLPFEASSNIARIVASPDGAFLLAVDNNSRALFVNLRRRAVLHRITFKRPVTALRFSPDGSLIAVGLGKLLQIWRSPGFRVEFFPFQLERTYPDCTGTVTALDWSPDSEYLLVGSKDLAVRLFSSKGSRGQNKPFLFLGHRDAIVGAFFSSEKKSGRVFRVYTISRDGAIFTWNLVESHDFDASEGLNSDPPSPGTPEQRSSERDHVEVPIKIELHNQKRKNFGGNDVENSEIPLHKARWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNKLGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQMLATGADDNKLKVWTVSSGFCFITLSEHTNAVTAVHFMANNHTLLSASLDGTVRAWDLFRYRNFRTFTTPSPRQFVSLSSDPSGEVICAGTLDSFEIFVWSMKTGRLLDVLGGHEGPVHGLMFSPTNSVLASSSWDKTVRLWDVFEGKGAVETFPHTHDVLTVVYRPDGKQLACSTLDGHIHFWDPIDGLLMYTIEGRRDIAGGRLMTDRRSASNSSSGKYFTTLCYSADGSYIFAGGNSKYICMYDIADQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGPVDLIDDEDTDVEEGIDQQTRKILGHDLPGSMPNRGRPIVRTKCLKIAPTGRSWAAATTEGVLMYSIDESFVFDPTDLDVDVTPEAVDEALEKYQPQRALLLSLRLNEDSLIKKCIFSVKPLDIPAVSSSMPIKYLQRLIEAFADLLESCPHIEFLLHWCQELCKAHGHAIQQNSRSLLPALRSLQKAISKLHQDLTDTCSSNEYLLRYLCSTSTKMQ >Ma09_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8003724:8009877:-1 gene:Ma09_g11780 transcript:Ma09_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPSVSFASPCRQAAERRLTFAGPALRGFRFEAPKFSSRMVVRCVAAASYAPPTVSETKLNFLKSYKRPIPSIYNTVLQELLVQQHLMRYKRTYQYDAVFALGFVTVYEQLMEGYPNSEDRDAIFQAYIQALKEDPEQYRSDAKKLEEWARAQTANSLIEFSTREGEVETILKDISERALSKGNFSYSRFFAIGLFRLLELANATEPTVLEKLCAALNINKRSVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKREERSGTQKANEAITKCTGDFRSVTFKL >Ma09_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17462535:17463171:1 gene:Ma09_g18270 transcript:Ma09_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIFVLFITALLLCRAPTALSVTCNPVELSSCAGAILTAAPPTAGCCAKLKEQRPCFCQYKQNPNLKGYINSDNSKKVAKSCGVPIPTC >Ma03_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1377731:1381261:1 gene:Ma03_g02020 transcript:Ma03_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGPEDVLLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANVVLEGACDRVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPAHMICVSAAEIKRAQRAERDATDLKGSMRKRMEFLDLD >Ma08_p26210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38849700:38851779:-1 gene:Ma08_g26210 transcript:Ma08_t26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANMRKEGEHGVPPAMPFPLPRFDLKAFAVTLVVLTLLMAAWQLQPYRPFLSFRAFCPPPTAAASTPLQSSKLASSSPSPASASAIPIPGSGLPVQAPSDPNKRVFRAYGSAAALFVQMGAYRGGPATFSVVGLASKPTHVYGTPWYKCEWLPNPNPSAAANASSQPIRAKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNVGGKLLLHAYYSVQSRRYEKFVALEESPGAYDESLYRPPFKYDYLYCGSSLYGDLNPNRIREWMAYHAFFFGPNSHFVFHDAGGVGPEVRAVLEPWVKAGRATVQDIRAQAEFDGYYHNQFLVVNDCLHRYRHAANWTFYFDVDEYLYLPEGRTLESVLANLSAYTQFTIEQNPMSSKLCALDSKDYSRDWGFEKLVFRNSITRVRRDRKYAIQAKNAYATGVHMSENIIGKTTHRTEDMIRYYHYHNSINVLGEPCREFVPSPAQGNVTWFEKIPYVYDDNMKRLAETIKRFEKQTIGSIGR >Ma06_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9439075:9441212:-1 gene:Ma06_g13800 transcript:Ma06_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLPCSSSLSSSDNRLHKFQGSEIFLQAPGHPNSTMRLVLGIGSKARKFLATSSVKENVLNGEGSAGQLESKGENVDDGCDAKITDIDNKEEAFFDSQAWLDSDCEEDFVSVNGDFVPSRGSTPNYEMSNNEPLIFSADTSPQTKSEPPPTAEKKRLAQLLQENRLEEELGIKQDDSNSKVKINGRSGICKLDAEHHLPEVSAEDATEMPGTNSPCTKDGLPSKDYSDQKDKMVKTQQCCLPSLAHSLSFRERRKRKPQ >Ma03_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9565885:9568088:1 gene:Ma03_g12410 transcript:Ma03_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHILLLQNLISLSLVMCLRFLTGESVTFAFVNRCGDTIWPGVLSNSGSSKLETTGFDLPAGASRTLLAPSGWSGRFWARTGCSFDAAGRGSCATGDCGSGQVECNGAGAAPPATLAEFTLDGSEGKDFYDVSLVDGYNLPMVVEVTGGDGCAATGCAADLNRRCPAELKLGDGDAAACRSACEAFGRPEFCCSGEYDSPTTCRPSVYSAMFKSACPRSYSYAYDDATSTFTCAGAQGYSITFCPESSPSQKATKNSAPKTTEPVMADDSWLASLASGDGDPTRRRASSLLPASLTVAVTTTGLLFAFF >Ma09_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5840824:5841762:-1 gene:Ma09_g08780 transcript:Ma09_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVSVMFLLFLVLLAANRTTGIRLLEEESVASLRNQLHEEKSLMKEGALLRGCHGDCSGKSRKLMTDAMTISKNDIGSKDDLHKAPSVSRQPQTYPDVVDIAGMDYSPANRKPPIHN >Ma07_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5000591:5003514:1 gene:Ma07_g06900 transcript:Ma07_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGHEVVVLPRTARLVVAFLLASVLTYACLWLPEVSIPSMFRLTTQKDELQRQLEGASMANRTLIIGVISNASAEDDSILQLFLQSMREGEETRFLIKHILFAAADPTAYNNCMVLQLHCYQLSTGHVFTSPDASPQNASYTGLTRTQTLFLGEVLGRGFSFIFTEMDVMWLRNPFARLSHGGEDMLLSHGVYDGHRFEEFNSTNSGLYFVSSNEKTTALFKQSYAVMHHSKSIREDDALYVLRSEEVLQQLDMKVGYLDPTSFGSFCQDNLDITKVITVHANCCPSIKAKIEDLTAMLDARNACNGTSNATIPAHGSCSQSWKTYQLETALQGASMGNKTVIISYLNKAYVDENGMLDLFLRSLTEGESTAFLIKHLFLITVDQISFERCRKLNLHCYRPVAEGLNFSKEQLFMSAGYIDLVWQKILILGQILEHGYNFIFTDMDVIWLRNPFAKLNLNGEDFQISCDRYTGSPFDDSNSINTGFFFVRSNNKTIKLFDMWSASRESFGQKHDQDVLAALKSAGVFKQLGISVRYLDTLSFSTFCQDSESFKEVTTVHGNCCRSIKAKIDDLTAALQTWKRFDGTTALTWPKHIACIQSWRD >Ma01_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10989066:10991049:-1 gene:Ma01_g15160 transcript:Ma01_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFLFAAFLVAVAFSGLPLVGDDIASEEKLLDLYERWQSHHGVSRSVDEKRIRFDVFKENANYVFASNKKAKPYKLSLNKFGDTAREEFKRTYAGTRIRRRSTLRGSANLIGYLYKNVTNVTPTVDWRQKGAVTTIKDQGKCGSCWAFSTVVSVVGINQIRSNELISLSEQQLVDCDTNTNKGCDGGMMDDAFDFIERNGGITTEENYPCVARQELCKIGGELMDFIVVLHGSKAVKAFSSNMHISLGAGLSFAAGPVGRVFEADLRAGDKGSGMCYTYSCSK >Ma08_p32630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43283526:43284059:1 gene:Ma08_g32630 transcript:Ma08_t32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHIHDAKILGEQGLCYATLMTANIPHPPKIQNEY >Ma07_p01500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1175525:1179840:-1 gene:Ma07_g01500 transcript:Ma07_t01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPATPADSATDLMQKLSLDPKDQSNDASDVIKKPSGVQYGSASGGELPMAPIPTAERSLTPLLHEHMDASMCYIPNGYASSFYYGGYDGSMTEWEDYPRYVTPNGVEVPPLGVYGDMYQHGYGYAPYSQYPSPGSTVPTLAHNSQLYGPQHYQYPATYYQPPIPTGAPYKTNQIPISEGEVSTSAAADLPPIPVDTTKSNSNGTAKGSTNGNNESVKTRPGQQNSSLNPGISFGKGALSGGLPSSGYQDPRFGFDGVWSSVPWFDGTTYPDGQQRPVTANAVSSMTSHDRNTMPTRNQNFRPLPHLMGMHSPRPAGPGTANKMYPNNRMYGQNANGFGNGHGFCSNMYDSRMNGRWGMSVDSKYKPRARGNGFYGYGNDNLDGLSELNKGPRAGRFRNQRGFGPTITLAVRGQSLPENVNVQDSTVIPEGDQYNKTDFPETYSDAKFFIIKSYSEDDIHKSIKYNVWASTLHGNKKLDAAYLESKEKTSGCPIFLFFSVNTSGQFVGVAEMVGPVDFNKTLDFWQQDKWIGCFPVKWHIVKDVPNSILKHIKVENNDNKPVTNSRDTQEVKLEQGLQLLKLFKEHVRKTSILDDFSFYETRQNVMQEKRRKQEQFQKKVMDEKDEDGANGKPGSQNPVEVVTVMKKEQAQGGLALAEDVLSETNGVATVADVVCG >Ma07_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1175525:1179840:-1 gene:Ma07_g01500 transcript:Ma07_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPATPADSTDLMQKLSLDPKDQSNDASDVIKKPSGVQYGSASGGELPMAPIPTAERSLTPLLHEHMDASMCYIPNGYASSFYYGGYDGSMTEWEDYPRYVTPNGVEVPPLGVYGDMYQHGYGYAPYSQYPSPGSTVPTLAHNSQLYGPQHYQYPATYYQPPIPTGAPYKTNQIPISEGEVSTSAAADLPPIPVDTTKSNSNGTAKGSTNGNNESVKTRPGQQNSSLNPGISFGKGALSGGLPSSGYQDPRFGFDGVWSSVPWFDGTTYPDGQQRPVTANAVSSMTSHDRNTMPTRNQNFRPLPHLMGMHSPRPAGPGTANKMYPNNRMYGQNANGFGNGHGFCSNMYDSRMNGRWGMSVDSKYKPRARGNGFYGYGNDNLDGLSELNKGPRAGRFRNQRGFGPTITLAVRGQSLPENVNVQDSTVIPEGDQYNKTDFPETYSDAKFFIIKSYSEDDIHKSIKYNVWASTLHGNKKLDAAYLESKEKTSGCPIFLFFSVNTSGQFVGVAEMVGPVDFNKTLDFWQQDKWIGCFPVKWHIVKDVPNSILKHIKVENNDNKPVTNSRDTQEVKLEQGLQLLKLFKEHVRKTSILDDFSFYETRQNVMQEKRRKQEQFQKKVMDEKDEDGANGKPGSQNPVEVVTVMKKEQAQGGLALAEDVLSETNGVATVADVVCG >Ma10_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22313833:22315440:-1 gene:Ma10_g07980 transcript:Ma10_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNCRLLLSKCATLKELQQIHGRSVVEGLHPHRQFISCQILNAYARFGHANDARSLFREIPIPDIVSTTSLMSLCVRSDDHLGAVSLFSGILCSGGPPDGFAVVSALSASGRMGDVRIGRTVHAMVYRWGLGGETVVGNALIDMYCRNGRIELARKVFSEMVVRDSVTWSSMLHGNMKHIGLESACQLFDEMPMKDTSCWTVMITGHVQAKHPVRALQLFLRMKSEGHIPTPITLVGVLSACADIGALDLGRTVHAYINKINVDADVPVYNAVVDMYSKSGNVGMAYQIFDEMIYKDVFTWTTMISGLAAHGDGYGAMKVFSKMLDSGVLPNEVTFVGVLSACSHSGMIHEGRKWFGRMKSIFNLSPQLEHYGCMIDLLGRAGLLSEAKSLIEKMDTEPDAIIWRSLLSACLAHSDAHLAEVAGKEIIRKEPEDDGVYVLLWNMYASSNRWEEALEMRKKMWNRKVVKQPGCSWIEVDGFVHGFLVEDRTHHLRSDIYVLLEGMAKQLKMDSNISIFDESDPGDFFLDPELFI >Ma04_p27600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28797048:28802154:-1 gene:Ma04_g27600 transcript:Ma04_t27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAASRKALSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWVIEVIGAPGTLYANEKYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTAKQRPPDNDRYVRNCRNGRSPKETRWWFHDDKV >Ma03_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3384290:3387839:-1 gene:Ma03_g05200 transcript:Ma03_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGACTLQQALTAEAASVLKHSLSLARQRGHAQVTPLHVAATLLSYSSSASNLLRRACLKSQPHHPASHPLRCRALELCFNVALNRLPTTPPPSSGPLLTSQPSLSNALIAALKRAQAHQRRGCIELQQQQLQQQQQQQQPVLGIKVELEQLIISILDDPSVSRVMREAGFSSTCVKNNLEEESSVLLGQSSPFLLESHKDIINHGSSFWQSPLFKLCSQQSAPFPAPSSHKEDLSAVLEVMLRKQGRRTNAVVVGDSISMTEGIVAELMAKVERGDVPDELKAAHLIKLQLSYVHLRLMSRSDVDLKVSDLRRKISSSASDRVGGFGVIIYAGDLRWAVDEETKDGRGFMPVEHMVAELGRLLSECRSSSISNGGATVNRKVWLLATASYQTYMKCQMRLETQWNLQAVVVPSGGLALSLQAPSGLDSRLTKLFDHPFQLLGSKVLNTMDDEKLVCCAECTSNFEKEAKDTNCGSTQLPFWLHKDALLQLKRKWNSLCQSLHHTRHSQTHLFPSFLNQSSTVKNTTSSSSYPWWSNSNNNSRILIQPHSLSFSEATPRLDSGFPFNTVNLGNGMGKWQEADETKQRVSEASLNSLRKPGNQITLSLGSALASDSATSMEQKEVVADRRELTHKLHENMPWHSEIIPSIVEAVNDGRSCENKGLCVLLQGSDRIAKRRLARVLLEHFGGSESRLTHINMRKWAGKTCSCREILDDALEKGSKFVVFMEDIDRADGNFMRSLADVLKVGAFESSSGKEVCLADAIFIMTTSSSANSEDIDEGCDDVIEMKLQAEERSTNGDPKRKPETALQNKPKRRRTGDCGLDLNMLAEEEEEEEEGGVDYSDGKEDDAVPSDLTNEEDCGNDLRLPPELLELITARFTLDADPESSSSLVLHNLISKLRRAFDEVGSRGQLLVDETAAEELAAAAGSFSESCFERWVREVFGTCLQQTAAKGGNVRMSAEGRKGNVGEFGFMGSVLPKRIDAVKL >Ma04_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1100397:1106427:1 gene:Ma04_g01250 transcript:Ma04_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPPLLLLFVLLLFPFSAPSPARSGTVVPRLPGFRGPLPFHLETGYVEVDEVNGTEFFYYFIESEGNPSEDPLLLWLTGGPRCSAFCGLVFEVGPLKFVSAKYNGSLPSLVYRPYSWTKVSNMIFLDSPVGSGFSFSRHVESYYDAGDISWSQHVYTFLIKWLVDHPKFLSNPLYIAGDSYAGKIVPIVAQEVLNGIEAEKRPLLNLQGYVIGNPVTGEKIDLNARVPFAHGMGIISDDFFQSIQRSCVGQDYQSPIDSECEMCFDTFDEILSEINKYNILEPKCAPASPKPKTKGRRALKEEYLHLLKPPPTPALNCRNYAHILAYYWANTDIVREALHIKKGTVGEWQRCTYDLPYTRDIKSSIKYHLSVTSSGYRALVYSGDHDMLIPFVGTNQWTRSLNFSVIDNWRSWHVDGQVAGYATTYSNNLTFVTVKGAGHTAVEYKNKECLAMIRRWLSHKPL >Ma04_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1476901:1483194:-1 gene:Ma04_g01670 transcript:Ma04_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAEEKIEIITNDEWLSSLKTRVAKTKWVVGRTSGPTIFKVPRIFQEADPKAYEPKMVSLGPYHHGNIHLKAMEDLKWHYLKEFLGRNPGKPLEDYIDQIKEREHEARMAYSEKVDMTSDEFAQMMLLDCCFVIEIIDLWKTYVERQEKEEEEEEELGEAPEKESKSTKKKKLKMSNAEEKSEIITNEEWLSSLKKRADPKAYEPKMVSLGPYHHGNIHLKAMEDLKWHYLKKFLGRNPRMSLEDYIEQIREREDKARMAYSEKVDMTSDEFVQMMLLDCCFVIEIIHSNVEKQEEEKTVDKQIRCTRSWFRTARPMHSVVASTARPMHSLAARQMTMSEKQLRTDGKGTEAVHNPITSTQYTLPVVVRDMLMLENQLPFLLLQTLFKSAFPESADPLENWTLKFVSNFVKSKIENPPNIGIKKIHHILHLWHYYIDPYKKRDGGKPSTSLCHKPNRNNLRRSREDYHTTSLLKWIPSATQLMEAGVHFKKKEATNFLDITFQNGEMGIPLLQVDDDTETFLRNLIAFEQCSKNVSLHVTAYAALMDCIINTAADVALLQQHGIILSGLGDGKQVANLFNKLCKEVTLDYDKSYVSGIYKDVNEHWTNQCNQWRARLNHDYFSNPWAVISVFAAILLFVLTITQTIYSALSYVRPPN >Ma08_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2966740:2973312:1 gene:Ma08_g04260 transcript:Ma08_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSCLLEEDTEEYQHSSASVFRSCICLRCLAQQLISVYTALFSREEVHAAPSSLRERVPVASPSLNINDSIPDTYNAPPRPVPYDDPIFSQLQHDGLISRHDKILSHFHEESEPFINNNDNEAELKTEGKCKSIFDGGYKFGQPESSLKRFSAEPRKEIMNNFPYEDEDVCPTCLEDYTSEDPKITMQCSHHFHLGCIYEWMERSDACPVCGKMMVFKEAT >Ma01_p10610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7635847:7641960:1 gene:Ma01_g10610 transcript:Ma01_t10610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYYSPPRRGYGGRGRNPPRRGYGGKEQSTGSLLVRNIPLNFRPEDLRVPFERFGPVRDVYLPKDYYTGEPRGFAFVEFVDPYDASEAQYHMNRQLLGGREITVVLAAESRKRPEDMCKRARVRGPSGNDRRHSSYYGRLRSCSRSPSPSPRYSSRSRHRSRSYSPAPKSCSDHASSPRKKQSSHARSPRNHSKEHNEDVNRRSHSPSYSDANRNEDVNHHDNRPPHDAEGSRSHWRSPRHSSASLPGSRSRSADLSPRRSSE >Ma01_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7635528:7641960:1 gene:Ma01_g10610 transcript:Ma01_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYYSPPRRGYGGRGRNPPRRGYGGKEQSTGSLLVRNIPLNFRPEDLRVPFERFGPVRDVYLPKDYYTGEPRGFAFVEFVDPYDASEAQYHMNRQLLGGREITVVLAAESRKRPEDMCKRARVRGPSGNDRRHSSYYGRLRSCSRSPSPSPRYSSRSRHRSRSYSPAPKSCSDHASSPRKKQSSHARSPRNHSKEHNEDVNRRSHSPSYSDANRNEDVNHHDKPPHDAEGSRSHWRSPRHSSASLPGSRSRSADLSPRRSSE >Ma01_p10610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7635474:7641976:1 gene:Ma01_g10610 transcript:Ma01_t10610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYYSPPRRGYGGRGRNPPRRGYGGKEQSTGSLLVRNIPLNFRPEDLRVPFERFGPVRDVYLPKDYYTGEPRGFAFVEFVDPYDASEAQYHMNRQLLGGREITVVLAAESRKRPEDMCKRARVRGPSGNDRRHSSYYGRLRSCSRSPSPSPRYSSRSRHRSRSYSPAPKSCSDHASSPRKKQSSHARSPRNHSKEHNEDVNRRSHSPSYSDANRNEDVNHHDNRPPHDAEGSRSHWRSPRHSSASLPGSRSRSADLSPRRSSE >Ma11_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23707541:23710441:1 gene:Ma11_g18680 transcript:Ma11_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLKSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGAEPSSPPGLLLLHHHHHHQHHSPRSPTGGASQCLSSSPGSVIPVNSVSYEVFLLMLQFMYSGQVSLVPQKHEPRPNCSDRGCWHTHCTAAVDLALDTLTASRSFGVEQLALLTQKQLASMVEKASIEDVMKVLMASRKQEMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVMAKIEELRLKSSLARRSFIPHHHALEVAGPSTELDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNCRAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTFDGVTPLDILRNLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVMSREEAKSGGGGAGSHPHSAIYPPMHPDSTSCTPNNSNGSMVNLSLDSRMVYLNLGMAARLGCKMSDGGEDDDGSSRSQGGGGGNIGLSTMFPSHGFP >Ma05_p30280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40739141:40751895:1 gene:Ma05_g30280 transcript:Ma05_t30280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRCMNAACGVTEPGGEWRRGWGLRSGGFAMLCVKCGLAYEQLAFCDIFHQKESGWRECSSCGKRLHCGCIASKSSFDLLDIGGVQCIGCMKNPEAPFMPSEVVQNFLSQHHQAVFALSTRCSKENDTDTAVVSRACEMSTTTADSKIDVGAFVKGKGMSNVDVEQSESEIRSFGHIKWEQQSPDIGIASFSNRYQGPVVSSQISQLDEKDFVIDKSISESLAQACLSMSLGNTNQGSNMESCSSAERPLLALPMACSVAEGKDERKSLSFFQQLPRARFLAKPPKTSNRAFSDASRSALPYMRVARPPAEGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPHISQPEGVPLTIQDTKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIDPAGKLVMGYRKATNTVPLQDSQISAIANGTFGNETLFSGVNENISTVSGYSGFLRSLKGAMDPYLSSQLEHMNASDEEISWHKGGMPNEGLQLQPLQKRSRNIGTKSRRFLMDTEDALELKLTWEEAQELLRPPPSAKPSIVTIEDHEVEEYEEPPVFGKKTIFTARSSGEQDQWVQCDDCLKWRRLPVDVLLLKWTCADNTWDPKRSSCSAPDELSHKEMQILLRQYEDLRKQRMSASFKQTSSDLAASGLDALAAAAVLGDAGNQATIPYATTTKHPRHRPGCTCIVCIQPPSGKGPKHDPACTCNVCMTVKRRFKTLMMRKKKRQSEREEAEAHKKLAWGSKEEIEGSSSSPKGAQHLDPHQENEFGPESSKSIIEQLETSKGHIDLNCHPGSNEDSQTAPPRLSMMSLLQDAYRPLETYLKQNGLTSLTSEQVNQGSPSSFTVPQAPGESEGKAPDEGHFASEEQEDGDDGDDGADMVTSDAS >Ma05_p30280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40739141:40751895:1 gene:Ma05_g30280 transcript:Ma05_t30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRCMNAACGVTEPGGEWRRGWGLRSGGFAMLCVKCGLAYEQLAFCDIFHQKESGWRECSSCGKRLHCGCIASKSSFDLLDIGGVQCIGCMKNPEAPFGKGMSNVDVEQSESEIRSFGHIKWEQQSPDIGIASFSNRYQGPVVSSQISQLDEKDFVIDKSISESLAQACLSMSLGNTNQGSNMESCSSAERPLLALPMACSVAEGKDERKSLSFFQQLPRARFLAKPPKTSNRAFSDASRSALPYMRVARPPAEGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPHISQPEGVPLTIQDTKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIDPAGKLVMGYRKATNTVPLQQDSQISAIANGTFGNETLFSGVNENISTVSGYSGFLRSLKGAMDPYLSSQLEHMNASDEEISWHKGGMPNEGLQLQPLQKRSRNIGTKSRRFLMDTEDALELKLTWEEAQELLRPPPSAKPSIVTIEDHEVEEYEEPPVFGKKTIFTARSSGEQDQWVQCDDCLKWRRLPVDVLLLKWTCADNTWDPKRSSCSAPDELSHKEMQILLRQYEDLRKQRMSASFKQTSSDLAASGLDALAAAAVLGDAGNQATIPYATTTKHPRHRPGCTCIVCIQPPSGKGPKHDPACTCNVCMTVKRRFKTLMMRKKKRQSEREEAEAHKKLAWGSKEEIEGSSSSPKGAQHLDPHQENEFGPESSKSIIEQLETSKGHIDLNCHPGSNEDSQTAPPRLSMMSLLQDAYRPLETYLKQNGLTSLTSEQVNQGSPSSFTVPQAPGESEGKAPDEGHFASEEQEDGDDGDDGADMVTSDAS >Ma05_p30280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40739141:40751895:1 gene:Ma05_g30280 transcript:Ma05_t30280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPEAPFMPSEVVQNFLSQHHQAVFALSTRCSKENDTDTAVVSRACEMSTTTADSKIDVGAFVKGKGMSNVDVEQSESEIRSFGHIKWEQQSPDIGIASFSNRYQGPVVSSQISQLDEKDFVIDKSISESLAQACLSMSLGNTNQGSNMESCSSAERPLLALPMACSVAEGKDERKSLSFFQQLPRARFLAKPPKTSNRAFSDASRSALPYMRVARPPAEGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPHISQPEGVPLTIQDTKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIDPAGKLVMGYRKATNTVPLQQDSQISAIANGTFGNETLFSGVNENISTVSGYSGFLRSLKGAMDPYLSSQLEHMNASDEEISWHKGGMPNEGLQLQPLQKRSRNIGTKSRRFLMDTEDALELKLTWEEAQELLRPPPSAKPSIVTIEDHEVEEYEEPPVFGKKTIFTARSSGEQDQWVQCDDCLKWRRLPVDVLLLKWTCADNTWDPKRSSCSAPDELSHKEMQILLRQYEDLRKQRMSASFKQTSSDLAASGLDALAAAAVLGDAGNQATIPYATTTKHPRHRPGCTCIVCIQPPSGKGPKHDPACTCNVCMTVKRRFKTLMMRKKKRQSEREEAEAHKKLAWGSKEEIEGSSSSPKGAQHLDPHQENEFGPESSKSIIEQLETSKGHIDLNCHPGSNEDSQTAPPRLSMMSLLQDAYRPLETYLKQNGLTSLTSEQVNQGSPSSFTVPQAPGESEGKAPDEGHFASEEQEDGDDGDDGADMVTSDAS >Ma10_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25312870:25317021:1 gene:Ma10_g11990 transcript:Ma10_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAAKCPSSSSSAAARLSLGHPSLRSSTLLRPFPNSALAQRIPSRSFKNWNLSVVCKQEAGAVPVSSGAEEAPSKNVENGGLFGLSSEEYGHAIGLDASERESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRTMVSKTLTCRIDKSENCSEKMEQFLKRCFYHSGQYDSEENFAELDKKLKEHECGRLPNRLFYLSIPPNIFIDVVKSASQSGSSKDGWTRVIVEKPFGRDSQSSAALTRGLKQYLEEDQIFRIDHYLGKELVENLSILRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSMDAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGVTYPGYTDDKSVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRTEIRVQFRHVPGNLYKRSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLLYASRYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKKIGPELYPYGSRGPIGAHYLAANYNVRWGDLSASETT >Ma07_p09180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6910937:6914964:1 gene:Ma07_g09180 transcript:Ma07_t09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFCAKSYLQQFCYDGFQEESSSYSFSSDLLPSLGANINQSIKLRKFMISPYDPHYRAWQMFLVLLVIYSAWICPFELAFLRYLPAKLFWVENILNSFFAIDIIVTFFVAFLDRKSYLLIDDPKRIAARYLSSWFIFDILSTAPFQAISLLFRGSGNNLGFKILNMLRLWRLRRVGSLFSRLEKDIRFNYFWTRCTKLILVTLFAVHCAGCFNYLIADRYPNPKRTWIGAVMPNFRSESLWTRYVTAIYWSITTLTTTGYGDLHAENSREMLVDIFYMMFNLGLTAYLIGNMTNLVVHGTSRTRNFRDTIQAASEFAARNQLPQRIKDQMLSHICLRFKTEGLKQQETLNDLPKGIHSSIAYYLFFRIVQQVYLFRGVSFKFLYQLVTEMQAEYFPPREDVILQNEASTDLYLLVSGAVDLRSNIGGNERFCARLAAGEVFGEIGILCCMPQPFTASSVELSQILRLSSTTFMNMIKENTEDGNTIKNNLLQKLKLEQRSFPGIDENGPRVLDEKFKRENQSMSSPSQDYNVQEPQTVGSMEGRHIACTFSGNDSLNASYELVRYGIDMSPTDAEKYAALDRDDKMGYNKGINLDQGANMVKLNDSNWSPAAFTEEVKTDGCHKLTLRNKNRMTMTESQENTISRKKATESDQEPFQQPMHSKFPDGMKNGCLNIQSGSIDTDNAQMASKRVIIHMLSRKSRSTREQTRKLINLPGSMGELFEIASQKFTGHQPRKVVNQDNAEIDDIAVIRDGDHLYLLET >Ma07_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6911921:6914964:1 gene:Ma07_g09180 transcript:Ma07_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFRSESLWTRYVTAIYWSITTLTTTGYGDLHAENSREMLVDIFYMMFNLGLTAYLIGNMTNLVVHGTSRTRNFRDTIQAASEFAARNQLPQRIKDQMLSHICLRFKTEGLKQQETLNDLPKGIHSSIAYYLFFRIVQQVYLFRGVSFKFLYQLVTEMQAEYFPPREDVILQNEASTDLYLLVSGAVFCARLAAGEVFGEIGILCCMPQPFTASSVELSQILRLSSTTFMNMIKENTEDGNTIKNNLLQKLKLEQRSFPGIDENGPRVLDEKFKRENQSMSSPSQDYNVQEPQTVGSMEGRHIACTFSGNDSLNASYELVRYGIDMSPTDAEKYAALDRDDKMGYNKGINLDQGANMVKLNDSNWSPAAFTEEVKTDGCHKLTLRNKNRMTMTESQENTISRKKATESDQEPFQQPMHSKFPDGMKNGCLNIQSGSIDTDNAQMASKRVIIHMLSRKSRSTREQTRKLINLPGSMGELFEIASQKFTGHQPRKVVNQDNAEIDDIAVIRDGDHLYLLET >Ma06_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:398136:399281:1 gene:Ma06_g00490 transcript:Ma06_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKIEEMVAKKLALWHTTTFRPIITHDELEPIMASAGFVPLPVAAAPPSSPQGAQQTPLAWREYASRSEAACRGRERGRGRRSLVAPPRPRLPYPRIDGLHLMAYKAFLLALEFYLGPTFVPDLFHVRTMSLTRVHDRVFEKAYRPMKDCEMDEEGIVVYREGTLDCQTRMVCSQYSSDDVDDTRSCTDNSIERKRDCTDDVDNAADLSCLVPLKDLFPSRDKSTS >Ma05_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10513335:10546826:-1 gene:Ma05_g14420 transcript:Ma05_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MRGSSFSRRKFERNLLRFEMELRCRSWVLGALFISLLFCGFGGSGCLGFAFNRRPKNVQVSLRAKWAGTSLLLESGELLAKERKDLFWEFINLWLEPDKGSDCLTARCCIQKIVDDGRTLLSEPLASVFEFSLTLRSASPRLVLYKQLAEESLASFPINDEINLVPITGEKQIPDEIEAYYLSTSTTTRTHGRHCCWVDTGSVLLFNTAELLAWIETSSNISVGYLEQPELFEFDHVYLASSIISPVAILYGAIGTECFKDFHIILAEASKQGKIKYVVRPVLPPGCQAVSSYCSAVGSSDAVNLGGYGVELALKNMEYKAMDDTTIKEGVTLEDPRTEDLSQEVRGFIFSKILERKPELTTEVMAFRDYLLSSTVSDTLEVWELKDLGHQTVQRIVHASDPLQSMQEINQNFPSIVSSLSRMKLNDSIKDEILANQRMVPPGKSLVALNGALINIEDIDLYLLMDLVQQELSFADHFSKLKLPLSAIQKLLSAAPPSESNAFRIDFRSGHVHYLNNLEEDAMYKRWRSNINEILMPVFPGQLRYIRKNLFHAVYVIDPATSCGAETIDMILSLHQSSIPMRFGIILYSSKLVKMIEENGGHLPSSAVQDDKKRTEDVSSLIIRLFLYVKENYDTQLAFQFLGNVNKLWNSGDDFGEENLEAHHVEGAFVDSLLSKAKSPPHDTLLKLEKELTYKEEADGSSLFVFKLGLSRLECCLLMNGLVYEANQEASINAMNEELPRIQEQVYYGHINSKTDVLEKFLSENGYRRYNPQILSEAKGHKKFSSLISSYVGTESILQDVHYLHSYASADDLKPVTHLLAIDITSRAGMKLLHEGINYLIGGSKRARVAMLLYSTAGASSTASHFVKAFDTAVSVFSDKERVLDFLEELCSFYEDQFMTASLLDYDNFSIFTDRVCELAAKFGLPSDYYNSTFSSFSVDVINKQMEKVSGFLHGQLGLEYGSNAVITNGRIFILKDGSPFLSDDLSLLESVEYELRIKYIYEIIDQVEWVDVDPDDLTSKFYSDLIMLVSSLLSTRERSSDRAHFEILNAKHSAVNLNTGNSSIHIDAVIDPLSPSGQKLSPLLRILWKCIRPSMRIVLNPVSSLADLPLKNYYRFVVPSLDDFSNVDYSVNGPKAFFSNMPLSKTLTMNLDVPEPWLVEPVVAIHDLDNILLENLGDLRTLQAVFELEALLLTGHCAEKDHDPPRGLQLILGTQRGPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYALKESGDGSPGNRSAKLITINDLRGKLVHLEVAKKRGKEHEELLNASDDQLLEKRKEGQNSWNTNILKWASEMIGSGGLSRKGETRLDHKKAGRQGETINIFSIASGHLYERFLKIMILSVLKNTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFQYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGDLYDMDLKGRALAYTPFCDNNKEMDGYRFWRQGFWKDHLQGKPYHISALYVVDLMKFRQTAAGDTLRVYYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKAKAKTIDLCNNPMTKEPKLQGARRIVPEWVDLDAEARQLTARILGEEVDSNEPVTSTSLPPDGPQNNHEKDEL >Ma10_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26717788:26718953:-1 gene:Ma10_g14190 transcript:Ma10_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSSPSSTTTSSSPSRALQAIDESNQAMATSTGGSKSGAGTKRPRDGGTLPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALSIKGATAVLNFPELAATLPRPATLSPRDVQAAAAKAAAMVPAPSPATPDDPDTSDELGEIVELPRLDGCLLDSANSGGEFVYHDAVDSWAPWVETEFGLEPDQMWDPTTFGALLWDY >Ma07_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31106137:31108348:1 gene:Ma07_g23470 transcript:Ma07_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMEKKAAVIDDGIFYGANVDKIFNKEQWDEKISEAKKDGKMVIANFSSAWCDPCQVIAPFFAELSAKYPSFIFLAIDVDQLSEFSASWDIQATPTFFFLEDGQKLDKLVGSENMELEKKLIEFVNGSINHERSLESDLH >Ma04_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:682887:683615:-1 gene:Ma04_g00700 transcript:Ma04_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAANGRNAVVCPKPRWINPNRPWQTRNQAKPSALSDIFLSEDGEANQMSSSSPPFFAGSPPVRSTNPLIHDACFGDDKLVLPFLLHPAPPSVALEAPAKFRLQPAAMRVEGFECLDRDRRRGHGIAAIA >Ma03_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25796575:25796787:-1 gene:Ma03_g20620 transcript:Ma03_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTPLLVKSLVRLVGLLVSRPAVSVTTILYHSDALPRNPGLQRLVRDELLDDENYLFHFLISMLKCFW >Ma07_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6965734:6966484:1 gene:Ma07_g09290 transcript:Ma07_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLQNPSETDRRDNSVSSNSSLCSSSSSSPSLGLHHQQAKPAKKAAKGGGGGAEKRQRDQSRHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFLTPEMAARAHDVAALSIKGAAAILNFPELAASLPRPATLAPRDIQAAAVKAAAMEPAAVPESPGSSPPADDELGEIVELPRLDEWFLDSTDPGSEFVYHHDPLDSLFCPDSDPYGAPSAQMSWSDALVPTNLDTRLWEF >Ma02_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27006470:27011578:1 gene:Ma02_g21360 transcript:Ma02_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAMAHAAVAVRASWDTNPSPKSPALKSAKPLRVPPSTPPPPPAQSLSPARTSSRTAVLEKDFSQLLNASRRSTSKKGKSDEHYLGYETWLPVAPKVNKPRSIYNAASLAYLGDCIYELYARRHFLYPPLSINEYNERVMKVVRCEAQDLLLKKLLDEDYLTEEERDILRWGKNIVSSKTRTRKRVGVAVYNRASSFETLVGYLYLTNVKRLEEIMFKLGFLTGASSELIAEELRINLRKKSATAEAVHSE >Ma06_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12260740:12266065:-1 gene:Ma06_g18090 transcript:Ma06_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGGEELGLGSRWWIASRRRLMPDDPFFSAGNIERELLAKQVALDLTEDERYQLQKMEVANTEPVFCPIVGCAARLDCLEDFEDHYHARHTASCSVCSRVFPTSRLLSIHISEAHDSFFQAKVARGFLMYECLVEGCGVKLKSYKSRQQHLVDKHKFPTTFEFYKRAHPSKHQRQKHLRRQASYPKEASNNTDMDVDRKSSKQIYQKYRPKKASLKEGKETEMEVEERMDDLVSAVSKLSTSDSSPSSISFGHRNARGFAFVPRSIHHNRKQTSQSEVIKERTRCKSHAENLSIC >Ma05_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7459406:7465285:-1 gene:Ma05_g10350 transcript:Ma05_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MEGSESSRSRSKRDFEEITEARSSRMEVYDDWEGTDKKKHKSSKSRKHADIEEIDEQDSGRRKVLEDRNDARKKSAGSGDEDDYSMRRDSRSKLPRTNADERVEKRSGDGYRDRDLESTRKKRDDGNEGEFPRKTSLKVSGHEISDNKTRNKADSSCDGENEKPHDRDSRYLERKESSRDKKDQGQNEQEKNPQRRRWDEVETSRKADESSHADRSDSRVRKASEHSKHELHGDRELDFRNDSGEGKSRVLDASGEKSSRSGNRDDRREDNLRGRSWGRSEAQDEESRVINASHETKSNVVRDDRQRRVRERSTGSTEDAELNTHSYSSKQLSEKGEKQRQQRNSEHGSRDEVENWDKSNMDEDARSRTWGKGGRDSRRYKRSRSPERSGRNHREFDEHDRGFSDSDNERGTSVKGAWSDKNRDWETSKDHWKRSQTRQDLIDGDDFGHTKEWDMHRREHERLDSDNIHSRPGYRKDTRSRPESVRVSSNFSNRNESSDSIEIRPNKNLDFGREESVSTFPARKAELGSQQDFASGASDEEWGYLAEDRGKTASAFGDDLHERFQDDDSPIEQNSGRNSLDSQAGKGRIQRAMSSSRIGASQSPGSNIQSSFGNNQGTGSLNRGPQQGPKGAKPARGARGRLNGRDTQRVGLQPSMMGPPFGPLGLPPGPMPPIGPNMTHIPPPPIGPGVVIPPFPGPLVWPGARGVDMNMLAAPPNLPPIPPLGPTRPRFPTNMGAGLGHSMYFNQPGPIRGVPPNISAPGFNTIGPGGREMSHDKAPMGWAPPRTSGPSGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDEIVANSASSPLYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYAHRAPGITDHLEYWTFDEILNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKKNATPGLRHDSRTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPTDAGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRSGWLTVGKGLSSSNFNAEAYVRNFSDKDGKVWQGGGGRNPPPDAPHLVMTTSEIESLRPKSPPQKNQQQSTPLLQTGSSSNRRPSGNSPQNPMNPSLSGLNADFSGSEPATPAPWSSSPMVGYRGPDPEMMSVDVYDAYGFNAASSHAFGDHIDFDSHRGPNLL >Ma08_p27470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39693187:39695730:1 gene:Ma08_g27470 transcript:Ma08_t27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATLQPLAAALPPSPSLNHRILTATTVPHFKQIHAQILRSGLDISAPFLSRLLALPLASSPSSLDYALSVLLHSPSPDFRLANRALRALSSATDPRWTLVAYGRLRRAGLALDRFSFPTVLRAAARSGCYNEALQLFDEMKSSGVIPDRVILATILSACARTRNLTSGGAVHSYIVESNLSIDAHLQSALISLYSSCGSMDTAQRLYDDTSPKNLVASTAMVFGYAKLGKIAVARSIFDQMTDKDLVCWSAMISGYAESDQPNEALKLFNEMHLLGVKPDQITMLSVISACANMGARDQAKWVHIFVDKNGFHQILSIRNALIDMYSKCGSLVDARTIFDETAFKDVITWTSMITGFAMHGNGRSALAVFDHMISEGVKPNGVTFISLLYACSHAGLVDEGRRIFESMIQDYRLEPKHEHYGCMVDLLGRARLLQEALEFIESMPFAPNVVVWGSLLGACRIHGDVKLGELVARRLLELDPNHDGAYVLLSNIYAKASRWEDVREVRNLMKNKGVIKEAGFSWIELNGHVHEFMMRDKCHPRSNEIYGKLDEVVKELELVGYSPDTATVLVDLQEEEKREAILLHSEKLALSLGLIDSKKGSSIHIAKNIRVCNDCHTFMKLASKVFEREIVLRDRTRFHHYKDGVCSCGDFW >Ma10_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27582419:27587095:-1 gene:Ma10_g15510 transcript:Ma10_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTSTARLPESFQGTRDDIGGQMGMVWQQIKAPVIVPLLRLAVFLCLVMSVMLVVEKVYMAVVIVLVKLLGRRPEKRYKWEPMRDDLEVGSSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPVIKDLVELECQRWASKGVDIKYEIRDNRNGYKAGALKEGMKRSYVKHCDYVVIFDADFQPEPDFLWRAIPFLIHNPDVGLVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMVMEIKNNKKVGLWKKVHVIYSFFFVRKVVAHIVTFVFYCIVIPATVLVPEVEIPKWGAVYIPSIITLLNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDTKKPKMAAKAARKLRIRIGDRLHLLELWTGAYLFVCGCYDVAFGNSHFFIYLFLQAMAFFIVGFGYVGTFVPST >Ma10_p28710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35509121:35509751:-1 gene:Ma10_g28710 transcript:Ma10_t28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPINQASKSSPFGNLTLEEFYRQHHILHRESFMLNNHNMKIFTQSWRPASPSAAVHGLVAMIHGYASESSWVFQLTAVAIAKRGFVVCALDLRGHGRSEGRRGHIPSIGPLVDDCVAFFGSARSAHPHLPAFLYGESLGGATAILVYLREKARWSGLVLNGAMCGVSASPTGRSG >Ma05_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34432128:34433057:1 gene:Ma05_g22660 transcript:Ma05_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVQQKRRSSSSFLALGCVCTDSTSVSVSSSFGSRSNMTLRSPRSIKELSSADTLTMTSASSSSSYAEHPEPKTERSASTPSFSDLLRQLNAIDDKREERMRNWRSSSRGGKRVEESVVVVKETVDPLGEFRRSMLHMIVEKEIMDGAELRALLRRFLALNSPRHHGMILRAFAEIWEEVFSGYDRTPDLLLRRSHSRLPPRLHL >Ma10_p28380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35281593:35283026:1 gene:Ma10_g28380 transcript:Ma10_t28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGHMIPMVDLGLLLADRGVSVSLITTPFNASRIRTTIDQAREAGLPIRFVELPFPFAEVGLPEGLENIDAATSMEPMSAFFRATSLLRRPLEQYLREQSPYPSCIISDLCHPWTLEVARNLQVPRLTFFSICCFTLLCIHNIWYYKVYDDMPDEHESFVVPGLAERIEVTKAQAPGFFDMPGWEKMAEEVREAEFAADGIVVNSFRDLEPIYIESYQKAMQKKVWTVGPFSLRNKSVAAMAARGNRASIDTDQCLSWLDSKKPMSVVYVSFGSLTKMNPSQLMEICLGLEASNHPFVLVIKKSETSEKVEEWMAAGLEERIGSRALVIRGWSPQVMILSHPSVGGFMTHCGWNSTLESITAGVPMITWPHFADQFLNERMVVDVLRIGVSLGVKEPTYWGTEAGHSAVSRDDVERAVRNVMDEGEEGRERRLRAKELGEKAKEAMEEGGSSRANMTHLIEHYTRHAMESMESNGD >Ma04_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2839638:2844324:-1 gene:Ma04_g03670 transcript:Ma04_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALTCNVDLHSLVSFRRRRMLPPQSLISRSPASSSGKNASFPCLRSAPTQSFPAGLTSVDDRRPLINVVRASAAASAAPTAAPAPAPWQGAALKPLAASIATGVLLWFVPAPSGVSRNAWQLLAIFLATIIGIITQPLPLGAVALMGLGASVLTKTLPFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKALCVACGSNVGDGTENRLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLTQNAIKQTIGWTDWAVAAIVPGLVSLVVVPLLLYLIYPPTVKSSPDAPKLAREKLEKMGPMTKNEIIMAGTLLLTVGLWIFGGVLNVDAVTAAIVGLSILLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPLFAAMVLSFLSNLMGGLTHYGIGSAPVFYGANYVPLAKWWSYGFVISVVNIIIWLGLGGFWWKTIGLW >Ma04_p21880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24324467:24329036:1 gene:Ma04_g21880 transcript:Ma04_t21880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSPFFGVREDEHHTQVMQQQSSSAPPPQAAAPVKKRRSLPGNPYPDAEVIALSPRTLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVRRRVYLCPEPTCVHHEPSRALGDLTGVKKHFCRKHGEKKWKCDKCSKRYAVQSDLKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALVQEGARLPTALNTLGGHLYGNSNMVLGSAQVSPQITSLHDQSRPSTDLLHLGRAGSSQFEHLIAPSKPLSFGAPQPPPYSSPFYLGGGGSDKGINGDTPSHHSLLQSKNFHGLMQLPDLQGNTTGSSSSSAAAAAGLFNLGFFSNDSNTCSNAMNKNVQPMPGDQIYDSNRSTEQSTLFAGNLVDEHMAGGIASRYSTLIKNEPVMLPQQLSATALLQKAAQIGATSSGGSSLLGGFGSSASPGKTANYSASFSGSHSSVGDGFRSPMENETQIQHLMNSLASGGMNGLFGSSTGMAAFEGSCTTAGGRHVQENTGFGRFNGNMRNMNEGKYNLSSTGNLGGSDGLTRDFLGVGSMVRSMGPGISQREQHHGINMSPLDPDMRSGSSSRYFAGGSLQ >Ma04_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24326594:24329036:1 gene:Ma04_g21880 transcript:Ma04_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGCKKLLLLPRELVYGTAKVMEFQLRKSLQVLFSSLLGQIWAGLLIQIFSRGDISKASNLEELKRDSFITHRAFCDALVQEGARLPTALNTLGGHLYGNSNMVLGSAQVSPQITSLHDQSRPSTDLLHLGRAGSSQFEHLIAPSKPLSFGAPQPPPYSSPFYLGGGGSDKGINGDTPSHHSLLQSKNFHGLMQLPDLQGNTTGSSSSSAAAAAGLFNLGFFSNDSNTCSNAMNKNVQPMPGDQIYDSNRSTEQSTLFAGNLVDEHMAGGIASRYSTLIKNEPVMLPQQLSATALLQKAAQIGATSSGGSSLLGGFGSSASPGKTANYSASFSGSHSSVGDGFRSPMENETQIQHLMNSLASGGMNGLFGSSTGMAAFEGSCTTAGGRHVQENTGFGRFNGNMRNMNEGKYNLSSTGNLGGSDGLTRDFLGVGSMVRSMGPGISQREQHHGINMSPLDPDMRSGSSSRYFAGGSLQ >Ma04_p21880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24324467:24329036:1 gene:Ma04_g21880 transcript:Ma04_t21880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSPFFGVREDEHHTQVMQQQSSSAPPPQAAAPVKKRRSLPGNPYPDAEVIALSPRTLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVRRRVYLCPEPTCVHHEPSRALGDLTGVKKHFCRKHGEKKWKCDKCSKRYAVQSDLKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALVQEGARLPTALNTLGGHLYGNSNMVLGSAQVSPQITSLHDQSRPSTDLLHLGRAGSSQFEHLIAPSKPLSFGAPQPPPYSSPFYLGGGGSDKGINGDTPSHHSLLQSKNFHGLMQLPDLQGNTTGSSSSSAAAAAGLFNLGFFSNDSNTCSNAMNKNVQPMPGDQIYDSNRSTEQSTLFAGNLVDEHMAGGIASRYSTLIKNEPVMLPQQLSATALLQKAAQIGATSSGGSSLLGGFGSSASPGKTANYSASFSGSHSSVGDGFRSPMENETQIQHLMNSLASGGMNGLFGSSTGMAAFEGSCTTAGGRHVQENTGFGRFNGNMRNMNEGKYNLSSTGNLGGSDGLTRDFLGVGSMVRSMGPGISQREQHHGINMSPLDPDMRSGSSSRYFAGGSLQ >Ma04_p21880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24324646:24329036:1 gene:Ma04_g21880 transcript:Ma04_t21880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSPFFGVREDEHHTQVMQQQSSSAPPPQAAAPVKKRRSLPGNPYPDAEVIALSPRTLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVRRRVYLCPEPTCVHHEPSRALGDLTGVKKHFCRKHGEKKWKCDKCSKRYAVQSDLKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALVQEGARLPTALNTLGGHLYGNSNMVLGSAQVSPQITSLHDQSRPSTDLLHLGRAGSSQFEHLIAPSKPLSFGAPQPPPYSSPFYLGGGGSDKGINGDTPSHHSLLQSKNFHGLMQLPDLQGNTTGSSSSSAAAAAGLFNLGFFSNDSNTCSNAMNKNVQPMPGDQIYDSNRSTEQSTLFAGNLVDEHMAGGIASRYSTLIKNEPVMLPQQLSATALLQKAAQIGATSSGGSSLLGGFGSSASPGKTANYSASFSGSHSSVGDGFRSPMENETQIQHLMNSLASGGMNGLFGSSTGMAAFEGSCTTAGGRHVQENTGFGRFNGNMRNMNEGKYNLSSTGNLGGSDGLTRDFLGVGSMVRSMGPGISQREQHHGINMSPLDPDMRSGSSSRYFAGGSLQ >Ma06_p27180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29206149:29217750:-1 gene:Ma06_g27180 transcript:Ma06_t27180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTPLLRELSAAPSSSSSQSCESNGMDDPESTVARVARFLEQLHASNSSPQEKELITAQLLEVSRTQKDTRALIGTHSQAMPLFISVLRSGTPIAKVNIANILSALCKEEDLRIKVLLGGCIPPLLSLLKSESSESKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDLLNPKIKQDRVVEGFVTGALRNLCGDKDGYWRATLEAGGVEIITGLLLSDNTASQSNAASLLARLISAFSDSIPKVIDAGAVKALLQLLGRDNDTSVCSSAADALEVLSSKSTMAKKAVVDAGGLPVLIGAVVAPSKECMQGESGHSLQRHAVCALANICGGMSSLILYLGELSQAPSFAAPVSDTIGALAYSLMVFEGSEEKVFDPVQIEEILIKLLKATDTKLVQDRVLEALASLYGNESLCSRIIHSDAKKVLVGLITMASADVQEHFILSLARLCCDGAVIWGALGEREGIQMLIALLGLSSEQHQEYAVALLAILTDQVDDSKWAITAAGGIPPLVQLLEIGSQKAKEDAVHVLWNMCCHSDDIRACVERAGAVPALLWLLKSGGQKGQEASAKALKKLINYADSATINQLLALLVGDDALSSRTHAITVLGHVLTMASYKDLVQKGSPANKGLSSLVQVLNSSNEETQECAASVLADLFNVRQDICDSLATDEIVHPCMKLLTSKAQVVATQSARALGALSRPTKAKTANKMSYIAEGDVEPLIKMAKTSSIDAAETAVAALANLLSDPHIAAEALAADVLSALLRVLGEGTLDGKKNSSRALYQLLNHFPVGDVLMESSQCHFVVHAIADSLAPMGLEGVNSDALDVLALLVKPKKNMNFIYSPCAALVETPSTIEPLVQCLALGLPAEQDKAIEILSRLQDHPAILGDLLVERAQCIASLADRIMNSSNMEVRIGGAALLICSMKEHRQQSLDILNVSKLQQKLIYALIDMLKHQCSSVSLGNGARSARNVTERTFHHEDDEYDVPNPATILGGTVALWLLAIISSSCANGKLTIMEAGGVEVLSDKLAAYAANQLAEYEDAEGIWTSSLLLAILFQDTEVVQCSATMRIIPCLAFLLKSDEVIDKYFAAQAMASLACNGNKGIQLAIANSGAVGGLTTLIGHEESDIPNLFALSEEFNLEKHPGEVVLKHLFQIEDVRIGATARKSIPLLVDLLRPMPDRPGAPPIAIHLLTQIAEGSEANKLAMAEAGALESLTKYLSLSPQDSTETSITDLLRILYSNSELVHHECSLSTLNQLVAVLRMGSRTARFSATRTLQELFDVEDIRDTEMARQAIQPLVDMLNAGTDKEQHAALVALIKLTDGNISKASALTDVEGNPLESLHKILLSSSSLELKKNAAQLCYVLFGNSTIRTMPIASECVQPLISLITSDPSGEVEFGVRALERLLDDEHHADIAATTEVVDLLVRYVSGSNYELSEASISALIKLGKDRPQCKLEMVNAGIIDNALDMILDAPVSVSSSVAELLRILTNNSGIAKSSAAARMVEPLFLVLKRPDFTMWGQHSSLQALVNILEKPQSLTALKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLEQEHFQQDITTKNAIVPLVQLAGIGILSLQQTAIKALESISLSWPKAVADAGGIFELSKVIIQDDPQPSHALWESAALVLSNIVKSNSDYYLKVSLIVLVRLLHSTLEATVSVSLSALLVQERKNPSNSVMMAEAGAIDALLELLRLHHCEEACGRLLEALFNNARVREMKLVKYAIAPLSQYLLDPQTRSQSAKFLVTLALGNLFQHDSLARASDSVSACRALISLLEDQPTEEMKVVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNTDVAGQAALLIKYLFSNHTLQEYVSNELIRSLTAALEKESWSSATNNEEVLRTIFVIFTNFKKLRTSEAATLCIPHLVGALRTGTEAAQESVLDTLCLLKESWSQMNEDIAKAQALIAAEAIPILQLLMKTCPPSFQERADSLLNCLPGCLTVTIKRGNNLKQTMGSTNAFCQLKIGNGPPRQTKVVSHSACPEWKEGFTWAFDVPPKGQKLYIVCKSKNTFGKSTLGRVTIQIDKVVTDGVYHGFFSLNHDGNRDGSSRTLEIEIVWSNRTSGDDM >Ma06_p27180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29206149:29217116:-1 gene:Ma06_g27180 transcript:Ma06_t27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTPLLRELSAAPSSSSSQSCESNGMDDPESTVARVARFLEQLHASNSSPQEKELITAQLLEVSRTQKDTRALIGTHSQAMPLFISVLRSGTPIAKVNIANILSALCKEEDLRIKVLLGGCIPPLLSLLKSESSESKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDLLNPKIKQDRVVEGFVTGALRNLCGDKDGYWRATLEAGGVEIITGLLLSDNTASQSNAASLLARLISAFSDSIPKVIDAGAVKALLQLLGRDNDTSVCSSAADALEVLSSKSTMAKKAVVDAGGLPVLIGAVVAPSKECMQGESGHSLQRHAVCALANICGGMSSLILYLGELSQAPSFAAPVSDTIGALAYSLMVFEGSEEKVFDPVQIEEILIKLLKATDTKLVQDRVLEALASLYGNESLCSRIIHSDAKKVLVGLITMASADVQEHFILSLARLCCDGAVIWGALGEREGIQMLIALLGLSSEQHQEYAVALLAILTDQVDDSKWAITAAGGIPPLVQLLEIGSQKAKEDAVHVLWNMCCHSDDIRACVERAGAVPALLWLLKSGGQKGQEASAKALKKLINYADSATINQLLALLVGDDALSSRTHAITVLGHVLTMASYKDLVQKGSPANKGLSSLVQVLNSSNEETQECAASVLADLFNVRQDICDSLATDEIVHPCMKLLTSKAQVVATQSARALGALSRPTKAKTANKMSYIAEGDVEPLIKMAKTSSIDAAETAVAALANLLSDPHIAAEALAADVLSALLRVLGEGTLDGKKNSSRALYQLLNHFPVGDVLMESSQCHFVVHAIADSLAPMGLEGVNSDALDVLALLVKPKKNMNFIYSPCAALVETPSTIEPLVQCLALGLPAEQDKAIEILSRLQDHPAILGDLLVERAQCIASLADRIMNSSNMEVRIGGAALLICSMKEHRQQSLDILNVSKLQQKLIYALIDMLKHQCSSVSLGNGARSARNVTERTFHHEDDEYDVPNPATILGGTVALWLLAIISSSCANGKLTIMEAGGVEVLSDKLAAYAANQLAEYEDAEGIWTSSLLLAILFQDTEVVQCSATMRIIPCLAFLLKSDEVIDKYFAAQAMASLACNGNKGIQLAIANSGAVGGLTTLIGHEESDIPNLFALSEEFNLEKHPGEVVLKHLFQIEDVRIGATARKSIPLLVDLLRPMPDRPGAPPIAIHLLTQIAEGSEANKLAMAEAGALESLTKYLSLSPQDSTETSITDLLRILYSNSELVHHECSLSTLNQLVAVLRMGSRTARFSATRTLQELFDVEDIRDTEMARQAIQPLVDMLNAGTDKEQHAALVALIKLTDGNISKASALTDVEGNPLESLHKILLSSSSLELKKNAAQLCYVLFGNSTIRTMPIASECVQPLISLITSDPSGEVEFGVRALERLLDDEHHADIAATTEVVDLLVRYVSGSNYELSEASISALIKLGKDRPQCKLEMVNAGIIDNALDMILDAPVSVSSSVAELLRILTNNSGIAKSSAAARMVEPLFLVLKRPDFTMWGQHSSLQALVNILEKPQSLTALKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLEQEHFQQDITTKNAIVPLVQLAGIGILSLQQTAIKALESISLSWPKAVADAGGIFELSKVIIQDDPQPSHALWESAALVLSNIVKSNSDYYLKVSLIVLVRLLHSTLEATVSVSLSALLVQERKNPSNSVMMAEAGAIDALLELLRLHHCEEACGRLLEALFNNARVREMKLVKYAIAPLSQYLLDPQTRSQSAKFLVTLALGNLFQHDSLARASDSVSACRALISLLEDQPTEEMKVVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNTDVAGQAALLIKYLFSNHTLQEYVSNELIRSLTAALEKESWSSATNNEEVLRTIFVIFTNFKKLRTSEAATLCIPHLVGALRTGTEAAQESVLDTLCLLKESWSQMNEDIAKAQALIAAEAIPILQLLMKTCPPSFQERADSLLNCLPGCLTVTIKRGNNLKQTMGSTNAFCQLKIGNGPPRQTKVVSHSACPEWKEGFTWAFDVPPKGQKLYIVCKSKNTFGKSTLGRVTIQIDKVVTDGVYHGFFSLNHDGNRDGSSRTLEIEIVWSNRTSGDDM >Ma08_p27160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39495098:39501108:1 gene:Ma08_g27160 transcript:Ma08_t27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFPERVLIFSPPAWKQIAVEGCLHGELDEVYASIRHVEKIEDVKIDLLIRCGDFQRVKNSLLGIDKPSIATTKISEYELILEVLLWTRSSACSDYIYIGGNHDASNHLWELYYGGWAGPNIYFLVFSGVIKFVNIRIGGISGIYKQGHYHLGHFERPPFYESDLRSVYHIREYDVMKLKHINEPIDIFISHDWPVVVYEYGNLKRLVRRLIIVVLLQIKKRTLGSLPAAELLNQHKPHKWFSGHIHCNFTAAIQRKKDESITRFVALDKCVPGRKFLLIVDINSDPGPYEIRHDADWLVITRKFNSIFPLS >Ma08_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15735680:15738059:1 gene:Ma08_g15540 transcript:Ma08_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQHRRHRPNDEKTDWLSTDSMAPKTDVSQELARESLIAISQSVPEENPTSHLLPVNPAVVTIASAKETEETEKYRSELISIASIQSP >Ma04_p39680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36706138:36707499:1 gene:Ma04_g39680 transcript:Ma04_t39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLEELQALSMADVVRESVAIPRGAPRTFALITLALVFPLSFAILAHTLFTHPILLQLQRSDAPPSDWLLLLLYQFAYLLFLFTFSLLSTAAVVFTVASLYAAKPVSFSSSLVAVRPIFPRLFRTFLWVALLMLLYNLVFALAVLLLLLFSPLQGSPSPLFVIFFVLVLLAFLAVHVYISALWHLASVISVLEPLCGLAAMAKSRDLLRGRARMAAVLVVAYLGTCGLVGALFRAIVVKGPNEEGSLGVTSVSVKVLVGGALVAVLVMVNLVGLLVQSVFYYVCKSYHHQQIDKSALYDHLGGYLGEYVPLKSSIQMENF >Ma07_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32135144:32137979:-1 gene:Ma07_g24980 transcript:Ma07_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHTDFRDWEILLAPEAGEGFEPLDGAIKYDYFSLDSQNPCRKVAAFDGNTHEKEMEEARAGSDYASLVDQESVFSFHDRPRKEVGYPGMELPNNDLGGFRSDESTDGQISRLDGEKGELGDAGCLEIGEKADNNDDEKGVGLQGTGGAEGREMEHENPGDEEPGEPVKTGECYGSALEMSEKNNGSEKALTEGGEKRRLVWWKLPFELLKFCAFRVKPVWSISIAAAILGILMLRKRLYRMKPKTRRIPLKVSLDEKRASQVKINAARLNEAFSVVRRVPIIRASLPTGGSTQWTVVGVR >Ma06_p26730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28617353:28630270:1 gene:Ma06_g26730 transcript:Ma06_t26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFPSWKYPWSLVVAIGLLLILASAIHMFLSPIFPSSLDFFGARQNSASCAPFNASSDHGRTERWDDVEWGARFPADSHGAVTYRGAPWKAEIGRWLSGCGSALATVQVVENIGGKSCQNDCSGRGVCNRELGACRCFHGYDGEGCTKKLELNCNLPVSPEQPFGSWIVSICPAYCDTTRAMCFCGQGTKYPNRPVAEACGFKINLPSQPGGPKVTDWTKADFDNIFTTNGTKPGWCNVDPEDAYASKVKFKEECDCKYDCLVGQFCEIPTVCSCLNQCSGRGHCRGGFCECDRGYYGIDCSVPSSISPIQEWPEWLRPATVDLHDKAPTSSDLLTIKAVVKKKRPLIYVYDLPPEFNAHLLEGRHFKFECVNRIYTDKNRTLWTDQLYGSQMALYESILASPYRTMNGEEADYFYVPVLDSCIITRADDAPHLRMKEQKGLRSYFTLEFYKRAYDHIVEHYPYWNRSSGKDHIWFFSWDEGACYAPTQIWNSMMLVHWGNTNSKYNHSTTAYWADNWDQIPSFKRGNHPCFDPAKDLVLPAWKRPQPRAIWEKLWARPRTERTTLFYFDGNLGPAYENGRPEDTYSMGIRQKLAEEFSSTPNKEGKLGRQHIANVTVTSVRSSKYYDDLASSIFCGVLPGDGWSGRMEDSMQQGCIPVIIQDGIYLPYENVFNYKSFAVRIQEDDIPNLIKILQQFTETEIDFMLANIHQTWQRFLYRDSVLLEAQRQKNLFSVEDDWAVEFSKLEEDDAFSTFIQVLHYKLHNDPWRQDLAQTRKDFGLPNFCLKRTH >Ma05_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3777871:3780184:1 gene:Ma05_g04940 transcript:Ma05_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKSFWNFSKLHRRSPSSALPASSSTTAAAEMPMEFLCPISCSLMADPVIVPPSGHTFERSCIQACADLAFSPPGLAVDLGLSPLVLIPNVALKSAILRWCERSGIPPPIPIPLDAARSLVRGLMPPSFDPPPASPPLALVETEEVEKGESFGAREGTYAYGGTERASGGRGEVFRGAAVADSDGRYDGKGEALLASSAFSDEKDGIFRSRSNQNFREATSPNTPPAFSVRTRKQASSSPLSTSSAYSYPTSSSNSSFHEVFVEEASKEPPPPPQVNDPTASDLAPSPTAEIDVSEEEVVIKLMSKDMFEQESTVVLLRQATRESLNSRIALCTPRLLAALRPMLLTHSTAIQIDATAALVNLSLEPANRVRIVKSGTVLPLVEVLEGGHPEARDHAAGALFSLALEDENRAAIGVLGAIPPLLNLFSMPSPDGARARRDAGMALYYLSLASANRSKIARAPGAVRALLSVASEKEETPPSQGPSLVRLAMMVVCNLASSTEGRAALMDGGAVGRVVSLMSSPSAAVEEYCVSALYGMSRGSLRFRGLARSAGAERVLMRVAEGSGGGGDMRQTMAKKTLRALRGEDDDEAAASPPMGFLADEDGSVVSEGMMSFRRRPNQHGNSARLKTAEF >Ma04_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6748319:6750940:1 gene:Ma04_g09530 transcript:Ma04_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLYAHPQGLGSVAKAAAAVRPFTSSSELLSTSQLAFCKSSFCRGQLDSRRSFRLVSVRGRRRRAVKPVCVLPLTEENVELVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIEAVEQILDTETGLELNEENVEKVLAEIRPYLAGTGGGLLELVQINDFIVKVRLSGPAAGVMTVRVALTQKLREKIASIAAVQLID >Ma05_p29630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40257789:40261032:-1 gene:Ma05_g29630 transcript:Ma05_t29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT3G15580) UniProtKB/TrEMBL;Acc:A0A178VBL7] MKQKLISFKDEFSFGERLAESRDIVAKYPDRVPVIVERFSRSDLPDMEKRKYLVPKDMSVGQFIHILSSRLHLTPGKALFVFVKNTLPQTASLMDSVYGSYKDEDGFLYMCYSSEKTFG >Ma10_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22743125:22744814:-1 gene:Ma10_g08340 transcript:Ma10_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSYMMNRGFGIWPAPPPLAEDPMGFPNPARPPPRFAVGGPPKLGRMNWKGKKAAGMRKNAASGGAGGGPVGGGMVPLGGGVSGYKPPTLHELQYQNRVKARRFYPKKKFARFAPFAPRNTTSFIIRAKKSGGIAPLVSPYPVTPAVLPTPKLSPTREDLADMVKEEWGVDGYGSMKGLIRLRSPTCHDTRPSAAAGGEEDDEDEEGSGESDVEEHLEVERRLDHDVSRFEMVYPGEEDHDLETDSGSDLLVSRVDDQDAHIAQLEEENLTLKERLFLMETEMAELRRRLHLLEARIIGREDNKDDDNNNNNNNKNAINSGKPIEVVVPENEEDGAELSDDSSGAPMLE >Ma06_p33790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34293415:34293831:1 gene:Ma06_g33790 transcript:Ma06_t33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLMCVLMSILFSNLLFFLVRIIQLHARAQLAWGSHDVHPPATRNGSHEAAECVFCLCDIEEGEEIRELRCGHLFHRSCLDRWLVRRRATCPLCRDVLLPREPATVKSSGGGAGDDDEDELDDWMVMLFAYLRWWMP >Ma03_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6078529:6079924:1 gene:Ma03_g08390 transcript:Ma03_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRVIGFVSDEVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEFMPLSHVLATKLGARLTEVRKNGPCPWLRPDGKTQVTVEYRNDHGAMVPIRVHTILISTQHDETVTNDEIAADLKEHVIKPVVPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILSIVKENFDFRPGMITINLDLKRGGSGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPAA >Ma02_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19102148:19104785:-1 gene:Ma02_g08870 transcript:Ma02_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLEEGQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSAKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEDDEE >Ma11_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1892192:1893727:1 gene:Ma11_g02600 transcript:Ma11_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTHGRRNRFSITEKEEKGEDCRYAAFVPSPSSSSSPSSSSAPFRRNDGSSANGDNLFVEKEHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDASANEKGLLLSFEDRTGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGVGDSGQRNLYIDWKRRPENHDPARMPRVPLPAVSFVRSVGPWGGHLVMPPASAYDHRRESYGYSVASPSAIGRQLLFFRSPETGPPPVKVQPSGSGGLSMVLEPMPEVHSQATAKRVRLFGVNLVRPESEGDAGGGDGGGGESTSSCLLPSQETSALHLLRFQHGSVESSLASSSSMSKEQHSSLDLDL >Ma10_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7989274:7990457:1 gene:Ma10_g02520 transcript:Ma10_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRADEEYDYLFKVTKSTIGVEFATRTIHVDGKLIKAQIWDAAGQDRYRAITSAYYKGYVGALIIYDLSRHITFENTTKWL >Ma02_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21483623:21487207:1 gene:Ma02_g12860 transcript:Ma02_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGHRSIEHAVGAPRIRSQEKHSLESESVSSGFEFQKGERTRPHRLTAAAAVVPPLSKPARSKWDDAEKWIVSPTSNRGANKPGGRQANNVGLTGSGSQPTAAKVVLEVVDEAAAKRVDGSQAKTQISGIETVNWAGEPCATLDSEVKLAVVVENPVADSAVNLSWHDSSSVQSATTLMTPNTTFRPVSMRDMGTEMTPIASQEPSRTGTPARATSPLCSRTSSQPSSPQKMAPVSTQTESGDCDEDFSNKELSRKELQNKTMREIMILGQQLGKTNIAAWASKKEDESDASTSTKTVLKGQPAKSIVEASAAWEEAKNTKYLARFKREEIKIQAWENHQKATIEAEMRKIEVEIERMKALANEKLTNHLAAVRHKAQEKRATAGARRNQQAAKTARQAEYIGRTGQVPSSFCCWSWCS >Ma02_p12860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21483622:21487207:1 gene:Ma02_g12860 transcript:Ma02_t12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGHRSIEHAVGAPRIRSQEKHSLESESVSSGFEFQKGERTRPHRLTAAAAVVPPLSKPARSKWDDAEKWIVSPTSNRGANKPGGRQANNVGLTGSGSQPTAAKVVLEVVDEAAAKRVDGSQAKTQISGIETVNWAGEPCATLDSEVKLAVVVENPVADSAVNLSWHDSSSVQSATTLMTPNTTFRPVSMRDMGTEMTPIASQEPSRTGTPARATSPLCSRTSSQPSSPQKMAPVSTQTESGDCDEDFSNKELSRKELQNKTMREIMILGQQLGKTNIAAWASKKEDESDASTSTKTVLKGQPAKSIVEASAAWEEAKNTKYLASRFKREEIKIQAWENHQKATIEAEMRKIEVEIERMKALANEKLTNHLAAVRHKAQEKRATAGARRNQQAAKTARQAEYIGRTGQVPSSFCCWSWCS >Ma07_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9066721:9075816:-1 gene:Ma07_g12150 transcript:Ma07_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G77470) UniProtKB/Swiss-Prot;Acc:Q9CAQ8] MADASALMEIDEDAPDSSLPNKGKIALASDPKSVPWVEKYRPQSLADVAAHRDIIDTIDRLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGMQYKNMILELNASDDRGIDVVRQQIQDFASARSLSFGPKSTVKLVLLDEADAMTKDAQFALRRVIEKHTKSTRFALICNHVNKIIPALQSRCTRFRFAPLDSSNIRERTEHVIKAEGLDVTESGLTALVRLSSGDMRKALNILQSTHMASPHVTDEAVYLCTGNPMPKDIEQIAYWLLNESFTAAFRYISDVKMKKGLALIDIVREVTMFVFKIKMPSDIRVKLINDLADIEYRLCFACNDKLQLGSLISTFTNARCALVAAAK >Ma06_p25440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25784031:25788999:1 gene:Ma06_g25440 transcript:Ma06_t25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNVAGQFGDTTYTKVFVGGLAWETQRDTMRKYFEQFGDILEAVVINDKNTGRSKGYGFVTFREPEAAMRACLDPSPVIDGRRANCNLASLGVQRSRPTTPLHGGSRNFRAMKSFQAAGGIQGGMGTAFPSPAATFPAHYAIQQGLPYYVYGFSPYSADYNYPTSYYNMYGGASAQYPLYGGATTGMVAAATGYYPYFQFGQGGGATSTAGAYAQGQGYGMQYPQMFQYSAATTTAAGMNGFGAQLYGVATSLAPSPTAQAGMTMALTAPSLPSPTAHHYRLIPSHFTATTAPEQPLA >Ma01_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2077284:2078690:1 gene:Ma01_g03190 transcript:Ma01_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPFDHLFLLAAASAAAALLSITLCLPSLLLYGLHTYIHPDNPGGDGLRAVLRRPSGPDAPPDPKRRPRSSSASHHRVPGFDDGNAQLLRLRLSDSHLRTRLLFPSFRAAFVASAVALTDLAILRLLLPPDPSPAATTVASVALLAVAHLLLLLSKLSLERSASKRSEKELSFVAGFLGFLSALLIVFVLSPYLFDFELGGVDAGSTKATVSVLAGVLVGLLFVPASRAARAFWLGTDQLRWDLAVVSCGALNQLMVLRPNVQMYLNEAVVSWYQRLHASRVPDMDYGRAKVFLHNHYLCLVVLQFFAPPVMVLLLIGLSQVRSDLFGGLFFMGDLLHFSDLVKEIALFLAWWIMFAWSILTMSILTLYRFGFLFVS >Ma01_p03190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2077284:2078690:1 gene:Ma01_g03190 transcript:Ma01_t03190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPFDHLFLLAAASAAAALLSITLCLPSLLLYGLHTYIHPDNPGGDGLRAVLRRPSGPDAPPDPKRRPRSSSASHHRVPGFDDGNAQLLRLRLSDSHLRTRLLFPSFRAAFVASAVALTDLAILRLLLPPDPSPAATTVASVALLAVAHLLLLLSKLSLERSASKRSEKELSFVAGFLGFLSALLIVFVLSPYLFDFELGGVDAGSTKATVSVLAGVLVGLLFVPASRAARAFWLGTDQLRWDLAVVSCGALNQVLLYVAVLAAAAAPLLWVIPVAVVPAGGELMVLRPNVQMYLNEAVVSWYQRLHASRVPDMDYGRAKVFLHNHYLCLVVLQFFAPPVMVLLLIGLSQVRSDLFGGLFFMGDLLHFSDLVKEIALFLAWWIMFAWSILTMSILTLYRFGFLFVS >Ma01_p03190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2077284:2078690:1 gene:Ma01_g03190 transcript:Ma01_t03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPFDHLFLLAAASAAAALLSITLCLPSLLLYGLHTYIHPDNPGGDGLRAVLRRPSGPDAPPDPKRRPRSSSASHHRVPGFDDGNAQLLRLRLSDSHLRTRLLFPSFRAAFVASAVALTDLAILRLLLPPDPSPAATTVASVALLAVAHLLLLLSKLSLERSASKRSEKELSFVAGFLGFLSALLIVFVLSPYLFDFELGGVDAGSTKATVSVLAGVLVGLLFVPASRAARAFWLGTDQLRWDLAVVSCGALNQVLLYVAVLAAAAAPLLWVIPVAVVPAGGEVGSVWFREFRVWALIASAVLQLMVLRPNVQMYLNEAVVSWYQRLHASRVPDMDYGRAKVFLHNHYLCLVVLQFFAPPVMVLLLIGLSQVRSDLFGGLFFMGDLLHFSDLVKEIALFLAWWIMFAWSILTMSILTLYRFGFLFVS >Ma07_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31434357:31436132:1 gene:Ma07_g23950 transcript:Ma07_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(3,5)-Delta(2,4)-dienoyl-CoA isomerase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G43280) UniProtKB/Swiss-Prot;Acc:Q9FHR8] MAEDDVTGAAAEAELKKGFETLEVVQPDPSVPVHYIYLNRPAQRNALTPAFFTDLPRALALLDRLPSARAIVLAARGPHFCAGIDLSTLAATSSPRSADRAAASELLRRRIIALQATISAVERCRKPVVAAIHGACIGGGVDLVAACDIRCCDEGAFFAVKEVDLALAADLGSLQRLPSIVGYGNAADMALTGRGVPAAEAKAMGLVTRVFPSRAAMEEGVAAIARGLAEKSTVAMMGTKAVMLRSRDLTVDQGLEYVATWNSAMLMSRDLEEALRAQLEKRKPNFSRL >Ma08_p28170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40209183:40210191:1 gene:Ma08_g28170 transcript:Ma08_t28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQVNRMSATAATVPTYPPPESETEEAWVWGQIKAEAYRDAESEPALASFLYATVLSHPSLARSLAFHLANKLCSSTLLSTLLYDLFLQSFSSSPTLISAVVADLLAARHRDPACANFSHCLLNYKGFLAIQAHRVSHLLWGQNRRPLALALQSRIADVFAVDIHPAARVGKGVLLDHATGVVVGETAVIGNNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNIRIGEGAKIGAGSVVLIDVPPRSTAVGSPARLIGGKDKHSTHDDLPSESMDHTSFIQEWSDYII >Ma03_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27838971:27845434:-1 gene:Ma03_g23200 transcript:Ma03_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPADARSAHLFADNLKGFLLAVASSAFIGASFIIKKKGLKRAGACGSRAGIGGYGYLLEPLWWIGMVTMIVGEIANFVAYMFAPAVLVTPLGALSIIVSAILAHFILKERLQRMGVLGCVLCMVGSTVIVLHAPEERTPSSVEQIWDLATQPAFLLYAASAVAVSLVLMLHCSPRFGQTNIMVYLGICSVIGSLTVMSIKAVGIAIKLTMEGINQAGYFQTWVFVMVAISCIIIQLNYLNKALDTFNTAVVSPVYYAMFTILTILASAIMFKDWSGQSASNIASEICGLITVISGTTVLHSTREPDPPSSSDLYAPLSPKIYWHIQGNGDLGKLKDDDLLSGEFVAVVRQDYFV >Ma10_p28600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35439773:35440294:-1 gene:Ma10_g28600 transcript:Ma10_t28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRASAFLPFSLPSRLPSLGFLMLLLDAAFDVPFDASWFDPEPDPSTPGSTELSPDEQRRLRRKISNRESARRCRNRKQRHLEELRTESARLRSQNRDLASRVGALAHRCILVHRANHGLRAQSAALSRRLIELRRLVLLRRVLTMAAPAPVASHGGFGGVGYEQALASLLA >Ma02_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17969570:17982028:1 gene:Ma02_g07180 transcript:Ma02_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGGASSSSSSSSAVPMAPPGTVPGAGMPPPPPPAVQPSYSIPPSPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRNVRILYHITGAITFVNEIPWVAEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDAAVYTWFYDHKPLVKTKLINGPSYRRWHLSLPIMANLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVKLSVYHTPMIMYIKAEDPDLPAFYFDPLINPISSINKVDRRERRVHEGDDEDDFYLPDGVEPLLHETQLYTDTTAAGVSLLFAPRPFNTRSGRTRRAEDIALVSEWYKEHCPPSYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDILDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSAIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQSQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQGVWDTSDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRGSEIAGPPQMPNEFITYADTRVETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKVRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHVLIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQQAFGSKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGLSPQDNPQVKEIRCIVMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTAHSRVLENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTASMRYGVKLGTPRDYYHEDHRPTHFLEFSNLEEGDAAEADREDTFT >Ma06_p37020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36216577:36222132:-1 gene:Ma06_g37020 transcript:Ma06_t37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGSVVLAEFSGATTNASAVARQILERIPGATDTHVSYSQDRYVFHVKRTDGITVLCVADDTAGRRIPFAFLEDIHGRFVRTYRHACHTAPAYAMNDEFSRVLSQQMDYYSNDPNADRMNRIKGEMSQVRDVMIENIDKVLERGDRLELLVDKTTNMQGNTIRFRKQARRFRNTVWWRNVKLTVALILLILIIIYVVLAFVCHGIALPSCIR >Ma09_p25860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37237308:37242741:1 gene:Ma09_g25860 transcript:Ma09_t25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAKLEAALSLGRKRTMQGLLAAAILYVALVFLFEFPVLFGRIPSPSSSSSSIYAAAGLLGGDGLGLSLHLGAEDRHAPLRPSRRTHLLRGSALGPTGFRVPSDRRRSMTVSGLDFRIVNATAAGRGPFSGLDKVARDAWEVGRNLLEQLKAAPFAAPAVATKDENRTEDGCPHSIILSGEKFLERGRVMVIPCGLTLGSHITLVAKSYQAHPEYDPKIATLSDGEKEIMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGRPVIEQNTCYRMQWGSAQRCEGWKSMANEETVDGLPKCEKWIRDDDNQMEESKMAWWLNRLIGRTKKISVNWPYPFAEDKLFVLTLSAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLWVNGDLHVQSIFAGSLPTSHPSFAPQKNLEMLAEWQALPLPDGPVELFIGILSAGNHFAERMAVRKAWMRTVRKSSNMVARFFVALHGRKEVNVELKKEAEFFGDIVIVPFLDSYDLVVLKTVAICEYGVHTVSARYIMKCDDDTFVRVDSVLNEVKKVSSDKSLYVGNMNYYHKPLREGKWAVTYEEWPEEDYPAYANGPGYVVSSDIAHFIISEFEKHKLRLFKMEDVSMGMWVEQFNNSRAVEYIHSVKFCQFGCIDDYYTAHYQSPRQMTCLWDKLQAGKPRCCNMR >Ma06_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9062118:9064545:1 gene:Ma06_g13220 transcript:Ma06_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGKPRVAIIGGGISGLAAAKELRWLEPTLFEATDSIGGVWKHCSFRSTRLQTPRPDYEFSDYEWRDRTDPSFPTHAEILEYLHGYATRFDLWRLIRLESKVVEVRFLGDGGNAGFPQLWGGKRQAMGDRPLWEVGVVSGPSGTVQWYEFEFVVMCIGKYGDVPNMPEFPRGKGPEVFQGKVMHSLDYCKLDEEAAETLMKDKKVVVIGYKKSAIDLAVECAAVNRGPGGEACTMVIRTLHWTVPSYSIWGLPFFLFFSTRFSQFLHERPNQGLLRSLACHLLSPVRRGVSKFIESYLTWKLPLEKYGLKPDHPFVEDYASCQMAILPDNFFAEADQGRILFKKSSRWCFWEGGVVLDDGTRLEADVVLLATGFDGKKKLRSVLPEPYRGLIVDSAGVMPLYRGTIHPLIPHMAFVGYIESVSNLHTSELRCKWLGGLLKGHFELPSVEEMFRQSSQETEVMKRTTRFYRRHCISTFSINHSDEMCEEMGWSSWRKRNWMSEAFGAYNNQDYKEDKSEQDDVLD >Ma05_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33605234:33606832:1 gene:Ma05_g21840 transcript:Ma05_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTLLVVAACMAVYVLWFSRLASRLTGPRVWPVVGSLPGLIQHSERMHEWIADNLRVNGGTYQTCICAVPGLARRQGLVTVTCDPRNLEHVLKTRFDNYPKGPTYHAVFLDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRHAMSRWVCRSIHLRLLPMLQDASLKSGVVDLQELLLRLTFDNICGLAFGKDPETLAPGLPENAFAVAFDRATEATLNRFILPESMWRFKKWLRVGMEATLFRSVAHVDRYLSAVIKTRKLEIDDGQNHDDLLSRFIKKGTYSESFLQHVALNFILAGRDTSSVALSWFFWLVSSHPHVERRILLELASVLHESRGPDTSAWLASPFTFEEVDRLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKSVWGNDCLEFRPDRWLSSDGKRFEMQDSFRFVAFNAGPRICLGKDLAYLQMKSIAASVLLRHRLNVAPGHRVEQKMSLTLFMKHGLKMNVHDRDMDSIASEVRKTRQSAAAVPAEVVAAGA >Ma11_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1567448:1571181:1 gene:Ma11_g02190 transcript:Ma11_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSLRCSSLLVLGLWVLLPGAMGWSGGETVSVSSAAGKEVVVGAVDDPELVASEVHMMIKNSTARRALGYLSCSTGNPIDDCWRCDAEWHRNRKRLADCGIGFGRNAIGGREGRFYVVTDSGDDDPVNPRPGTLRYAVIQEEPLWIVFKRSMVITLEQELIMNSFKTIDGRGAEVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDGVSIFGASHIWVDHNSLSNCADGLIDAIIGSTAITISNNYFTNHNEVILLGHSDSYVRDKAMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPTNPFAKEVTKRVDTDSSVWKSWNWRSDDDLLLNGAYFTTSGGGSSGSYAKASSLGAKSSSMVGSITSDAGALRCRKGSQC >Ma09_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27171153:27176859:1 gene:Ma09_g20010 transcript:Ma09_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNKLPRKPSSKSSATAADPSLTSSSARASSNPAKKFPGSQPADAVGNGHAADADSFALSLLAYEPLPSFRDVPSSEKPGLFLKKLTMCCVVLDFGDLGRNLREKEVKRQTLLELVDYVVSGGAKFSEAAMQEATRMLAANLFRALPSSNVERHRSPDGFDGEEEEPAMEPAWPHLQVVYEFLLRFVTSPDTHAKLAKRYIDHSFVLQLLDLFDSEDQREREYLKTILHRVYGKFMVHRPFIRKVINNIFYQFIFESEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPKCVVLYHQQLSYCITQFVEKDYKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLELTQVAEFQRCMVPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLIIQNRKVVLPIIFPSLERNNRSHWNQAVQSLTLNVRKLLLDADQELFNECLLKFEQDDTKEKAAQEKRELTWKRLEEVAATRAISNEAVLVSRIVSSLRIAAPSPLATASAVSPVTGN >Ma09_p00350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:291218:297798:1 gene:Ma09_g00350 transcript:Ma09_t00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSAKRSLEAAAEEILPTPALDALPSKFYDAFILRGLRVDLVEPGHLLCSMTVPPRLLNTGNFLHGGATASLVDLVGSAAFYTAGAQSRGVPMEIGISYLDAAFANEEIEIEAKILRAGKAVGVVNVELRKKKTRKIVANARYTKYLAPSSNL >Ma09_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:291218:297459:1 gene:Ma09_g00350 transcript:Ma09_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSAKRSLEAAAEEILPTPALDALPSKFYDAFILRGLRVDLVEPGHLLCSMTVPPRLLNTGNFLHGGATASLVDLVGSAAFYTAGAQSRGVPMEIGISYLDAAFANEEIEIEAKILRAGKAVGVVNVELRKKKTRKIVANARYTKYLAPSSNL >Ma10_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25301744:25303808:-1 gene:Ma10_g11960 transcript:Ma10_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYRTFDSSRAALGVLYQDASMLTFEGDKIQGAAAIVAKLSSLPFQQCAHVIATVDCQPSGPSGGMLVFVSGSLQLGGEQHPLKFSQMFHLMPTPQGSFYVLNDIFRLNYA >Ma07_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30943911:30945475:-1 gene:Ma07_g23210 transcript:Ma07_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERLLRAGMNVARFNFSHGSHEYHQETLHNLRAAMEITGIPCAVMLDTKGPEIRTGFLRDGKPIQLKKRQELIISTDYGIRGDENMISMSYNKLAGDLKPDGVILCAEGTITLTVLSSDSSSGLVRCRCENSALLGERKNVNLPGVVVDLPTLTEKDKEDILRWGVINKIDIIALSFVRKGSDVIEARKLLGDHAKSIVLMSKVENQEGVANFDDILAKSDAFRVARGDLGMEIPIEKIFYAQKLMIYKCNVQGKPVVTATQMLESMIESPRPTRAEATDVANAVLDGTDCVMLSGETAAAVHPDLAVETMAKICLEAESYLDYGAVFEQLMAAAPAPTSPLESLDSSAVLTARSARAVLIIVLTRGGTTGKLVAKYRPPVPILSIVAPEITTDSFDWFCSDEAPARHGLVVRGLIPVLSGGMTKATHSETIEEALEFAMQHAKAAGLCEQGDAVVALHRMGSASVFKLLTIK >Ma11_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13960803:13972277:-1 gene:Ma11_g11540 transcript:Ma11_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLKALVYGAGGVAVVGMVALVAFQERLVYVPVVPGLARAYPFTPARLRLDYEDVFLRASDGVRLHAWFIKHSPPKPGPTILFFQENAGNIAHRLEFVRIMMQRLQCNVFMLSYRGYGESDGHPSQHGIIMDAQAALNHLTQRKDIDTSRIVVFGRSLGGAVGAVIVKNNPDKVSSLLLENTFTSILDMAGIMFPFLRWFIGCSGSKGPKILNCIVRSPWRTIDIIGKIKRPILFLSGLQDELVPPSHMQMLYSKTVENNWDCRFVEFPNGMHMDTWFSGGDRYWRTIQLFLDQYVPEIKEGNLNCQVDDDKDGDAAG >Ma11_p11540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13961327:13972271:-1 gene:Ma11_g11540 transcript:Ma11_t11540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLKALVYGAGGVAVVGMVALVAFQERLVYVPVVPGLARAYPFTPARLRLDYEDVFLRASDGVRLHAWFIKHSPPKPGPTILFFQENAGNIAHRLEFVRIMMQRLQCNVFMLSYRGYGESDGHPSQHGIIMDAQAALNHLTQRKDIDTSRIVVFGRSLGGAVGAVIVKNNPDKVSSLLLENTFTSILDMAGIMFPFLRWFIGCSGSKGPKILNCIVRSPWRTIDIIGKIKRPILFLSGLQDELVPPSHMQMLYSKTVENNWDCRFVEFPNGMHMDTWFSGGDRYWRTIQLFLDQYVPEIKEGNLNCQVDDDKDNAFEVYTCMFRHIGPLQSG >Ma06_p32310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33246170:33246457:-1 gene:Ma06_g32310 transcript:Ma06_t32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHLLVLRRYEPVVRIHGGRAEFSRRKCVKYAECRKNHAASVGGYAIDGCCEFIADGEEGTPGALKCAACGCHRSFHRRVQDAEGECECALHRP >Ma05_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21305343:21308483:-1 gene:Ma05_g17740 transcript:Ma05_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLLLAGKRGRHRCSPFTAIEEAVRECDDEGREGGGGGGGGG >Ma06_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:905954:907858:-1 gene:Ma06_g01100 transcript:Ma06_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSSSSSSLQWLTLIATIWLQTFNGANTDFPVYSSELKELKRVSQVGINFLAFASDAGKLFGWVAGVAAIYLPLWLVAVVGAALGLVGYGLQFLFLEHPHFSYSHIFLLTALAGNGICWINTACYLICIRNFSSHSRIAVGLSTSYVGLSAKVYTVLASAVFNGKSRHTAKQYLLLNAIVPVLVAAVVAPFVRVVLSQNAIRSSLGFVVMFVITFTTGISAVVGSISSTSHGVLTREYALSLGVLLASPLVVPATMKLREVIDEVWWNKKENKVHVLAADDVEAGEGGREVVVEELQVVKEEMVEGGEEESEEVGGLLILRKVDFWLYFFSYLFSATLGLVFLNNLGQIAESRGLRPEETSTLVSLSSSFGFFGRLLPFLFDYYSSKRNCMISRPASMAAMMAPMAAAFFMLVNPSRLCLYMSTAVIGAFTGAITSIAVSATTEMFGTKHFSVNHNIVVTNIPAGSFVFGYLAAILYQRGATGGSHSCRGTACYETTFVLWGATCSVAAILCTALYIRRRRFC >Ma09_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19504237:19508149:-1 gene:Ma09_g18980 transcript:Ma09_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-specific protease 1 [Source:Projected from Arabidopsis thaliana (AT5G60190) UniProtKB/Swiss-Prot;Acc:Q9LSS7] MAPPKNKGDEKILSYLDVVMRRSDLDILRGPHYLNDRIIEFYFAHLASLCPSPRALLLPPSISFWLANCHDPQSLRQFADPLRLPDRDLVLFPVNSNVDVTVAGGGSHWSLLVYCRETGEFVHHDSCRGANRCHGERLFHAVSGFVDDGGEPPRFVEGFTPQQTNGYDCGLFVMAIAKVVYDWYVARGGCADGEDGWFAALKEEVDADAVDKLRDEVLRLILSLMEPK >Ma01_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25170610:25186898:-1 gene:Ma01_g22490 transcript:Ma01_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIVMREVLTLPSIGINSQFITFTHVTMESDKYICVRETSPQNSVVIVDMNMPMQPLRRPITADSALMNPNSRILALKAQIPGTTQDHLQVFNIEAKTKIKSHQMPEQVVFWKWITPKMLGLVTQTSVYHWSIEGESEPVKMFDRAANLTNNQIINYKCDPTEKWLVLIGIAPGVPERPQLVKGNMQLFSVEQQRSQALEAHAASFASFKVVGNEKPSILICFASKTSNAGQISSKLHIIELGAQPGKPGFTKKQADLFFPPDFADDFPVAMQISQKYSLIYVITKLGLLFVYDLDTATAVYRNRISPDPIFLTTEASNVGGFYAVNRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSNQVGYTPDYLFLLQTILRSDPQAAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATRFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTQAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDSDIHFKYIEAAAKTGQLKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVAECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPPRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDETQFHDVIRAAEDANVYHDLVKYLLMVRQKVKEPKVDGELIFAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDDALYEAAKIIFAFISNWAKLACTLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSDYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRVCDEQHHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVIVKVANVELYYKAVHFYLQEHPDLINDVLHVLALRVDHTRVVDIMRKAGYLHIVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESVDLHDNFDQIGLAQRIEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNLYKDAMETCSQSGDRELSEELLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYTSKVDDLVKDKIEAQNEVKSKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGAPSMGGSYPVPPPMPGMGMPPMPPFGMPPMGSY >Ma06_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11534046:11537713:-1 gene:Ma06_g17020 transcript:Ma06_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIPHSLTHPGCWGIGLMALNQTTKIALAVAFFGSLSFIFGVIAENKKPPYGTPIKGKDVVICKFPSDPTVALGSLSVVTLFLAAVIGHIAVYYPYKGKTVPNQALFCSTTLVVFFMIAEVVSILALGMMMWATITEGLSRSRNVHHDLDTECATAKTGLFGGAAFLALDASLFWLVCQMLTLNARADYLDEDDPKGEYGQVYATEFDSNGAGHPAPKV >Ma06_p17020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11534046:11537638:-1 gene:Ma06_g17020 transcript:Ma06_t17020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNQTTKIALAVAFFGSLSFIFGVIAENKKPPYGTPIKGKDVVICKFPSDPTVALGSLSVVTLFLAAVIGHIAVYYPYKGKTVPNQALFCSTTLVVFFMIAEVVSILALGMMMWATITEGLSRSRNVHHDLDTECATAKTGLFGGAAFLALDASLFWLVCQMLTLNARADYLDEDDPKGEYGQVYATEFDSNGAGHPAPKV >Ma06_p17020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11534046:11537766:-1 gene:Ma06_g17020 transcript:Ma06_t17020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALNQTTKIALAVAFFGSLSFIFGVIAENKKPPYGTPIKGKDVVICKFPSDPTVALGSLSVVTLFLAAVIGHIAVYYPYKGKTVPNQALFCSTTLVVFFMIAEVVSILALGMMMWATITEGLSRSRNVHHDLDTECATAKTGLFGGAAFLALDASLFWLVCQMLTLNARADYLDEDDPKGEYGQVYATEFDSNGAGHPAPKV >Ma07_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4421432:4422195:1 gene:Ma07_g06130 transcript:Ma07_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTVGVLSSSSVAVVMNTSQLTGDDAEELSSCDSGWTKYLSSPTHHDGGDDDDDDDDSEVEFTGGDDDDDDEHKGYDSEDSDDDSMASDASTGFIGSGVDGSKCNDVGDNMDGNGKEGHAQCSSSYSHEEFYEVEEARSKQACDPRSNSEARKNRFK >Ma09_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12200340:12202740:1 gene:Ma09_g16760 transcript:Ma09_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPYLVAATVLCLLVHLLLHRFLRKSPSRFPYPPGPRGLPILGSLLLVGASAHSSLARLAERYGPIMFLRLGSHGCVVASNADAARAFLKTVDAQFANRPDPISARDVSYQRQNLAMADYTPTWKLLRKMCSLHLLGGKAFVDWAPVRRDEFRRMARSLHGLAEAGEPVELMDVLVCTLANVVGLILVSRRVFDAHGEESNKFKDILVDMLTGGAQFNIGDFFPSIAWMDLQGIQKKMLSVHLRFDAMVTKLFEEHEAAKGERQGRLDFIDKVMANKVTEDGETISEVNVKALIFDLFTAGTDTSAIIVEWAMAEMLKNPAILSRAQAELDDVVGRDRLLEETDLPKLAYLQAVCKEAMRLHPSTPLSLPHFSHEDCEVNGYYIPKNTRLLVNIWAIGRDPEVWEEPLVFDPDRFITGKGARYDPQGNDFEFIPFGAGRRVCAGKLVGMVFVQYLLGMLVHAFDWSLPDGEELNMDEKFGLALPKAVPLKVFLRPRLSPAAYA >Ma07_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28175321:28179021:-1 gene:Ma07_g20240 transcript:Ma07_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWVAVSGGGGGGGGGGGGRWSRWEDMNPEVLALVFVGMPADVLARTVPFVCRSWREVMAGPYCWSEIDLDQWCRRIDRSDVINFVVRRLVRRSRGTLRRLSAYRLSNSGFVYVATSVRFLSVLQIPMSDVTDQIVEKHVESFSTLTVLDISYCLKITSKGMEALGKHCKALVQLTRNMPPPEFETTQDDGVAAKVDEEEAMAIANNMAGLEHVELAYGKFSHHGLAAILTNCTALKILDVRGCWNVKMEDNIEAMCAKIQSFRDPWEDDYEFSSSEDEGDNSSAEDVGLVDCDASD >Ma06_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10551792:10552819:-1 gene:Ma06_g15560 transcript:Ma06_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETSISSTTSRHPRWSASDWLHIRRTGGCNRLARPLMSRALDEGDHDALVDPEVGDDHDYKEMGRMTAGAAACTPHSASLTPRMSQQVVRALEGGVSVDELSEGGATWSERAVRVHDGDEKAGEHFDEPRRRRRQRAELKHR >Ma10_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21989123:21992862:1 gene:Ma10_g07630 transcript:Ma10_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASKDIVDGFPGDKKIIVVFVLGGPGSGKGTQCAKIVQHFNFTHLSAGDLLRAEITSGSRNGVMIQNMMNEGKIIPPEVTIKLLRRAMLDSANDKFLIDGFPRDEENRAAFENITKIEPEFVLYLDCPEDELERRILSRNQGRADDNTETMRKRFRVFKESTLPVIMHYDLKGKVRKVDAAKPVDEVFEDVKVIFAPLIAKV >Ma07_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29448639:29451235:1 gene:Ma07_g21320 transcript:Ma07_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDYIPAGAGPLRVTTDCLWPDPNNGCGMKAGEKKKKRRRSGRGRRLPAEETDDDFEADFQEFEEDGEVDLFHIESFALTSEDKPVPTRPSEVDVPASRSAKRYRKNQYRGIRWRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARKIRGKKAKLNFPDGSLPCVQKSLPKLAASRTSKTEMPNYNKCFNHLYDPFQDFCSSFDFIEVDPIIQSEKLSSFPEIKPAPPTVVAGMNLQSDLHEIKTPEITSVISPTISEVEETACLEKGVAPKKLKNNVGRAVPTKESAAGKPSKELSAYEPYMKFLQITYLQPSTDDSIDNLLGGDLTQDFSSVDLWSFDNLPQLGSSIF >Ma08_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17801687:17808223:-1 gene:Ma08_g16310 transcript:Ma08_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding NILEARDSLPISKLKSNILQLLVENDVIVVCGETGCGKTTQVPQFILDDMIQSGLGGYCNIVCTQPRRLAAISVAERVSDERCEPSPGSDGSLVGYQVRLDVARNEKTKLLFCTTGILLRKLAVNKDLAGITHVIVDEVHERSLLA >Ma05_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4940019:4943195:-1 gene:Ma05_g06710 transcript:Ma05_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSRVTKSRVLFLAATPVILDQLLLVNQNHVLKQTFATIASSNTSYVQDALWNHCALLRKSLYFSQNIGIHSFISLQNIYPKGFLGTSMQSRLRLSSSVSEVCVSLPSTDGLASDNGPSARDAMMIQKILKSHENSTELPSVLDKCNIQLTEDLVVNVLRRHRSDWKPAMSFFNWASMQNDYKHGSRVYNEMLDILGRMKQIGLMRQTFDEIPRDIDPSIINDKTFAILMNRYAGTHKVQEAIEIFYKRREYGFELDMIGFQTLLMSLCRYKHVEEAEALFIQKQDEFPPVIKSRNIILNGWCVLGSLHDTKRVWNDIISSGCKPDLFTYGIFINALTKAGKLGSAVNLFTSMWKKGCNPDVTICNCIIDALCFKKRIPQALEIFSEMDERGCLPDVATYNSLIKHLCKIRRMQKVHELLDDMEQKGCLPNTITYSYILKTMEKPEEVSPLLLRMERTGCRIDADTYNLILNLYLHWNYQQGVRSVWAEMKRSGLGPDQRSYTVRIHRLHSHGKLDEALEFYSEMRSKGMTPEPRTRLLVKAIHLNREKGDNSSHNSNNDAKKMNLQVNSRNNSS >Ma06_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25396846:25396971:-1 gene:Ma06_g25120 transcript:Ma06_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQRKNLFHVIELVDCRSSSPVLGGVDYFLGDQNKPEPEI >Ma05_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9927656:9930028:1 gene:Ma05_g13670 transcript:Ma05_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESEPTQEADSAGQLLCQARQLVPAALDKARAATGFPGRWKSIISKLERVSPCLSDLSSHPCFAKNELCKELLQSVTKTVAEAIGLAGRCCSAEPLCSGKLRMQSDLDALSGELDVLLNDCELLVKTGVLGDAAAATAMSPSVTKSPTQDGVRELLARLQIGHAEAKHRAVDGLLEAMREDEKSVLAALGRSNICALIRLLSANSMKTRETAATVICLLAESWSCEKLLVSEGVLPPLIRLAESGSLVCREKAVVSLQRLSMAADTARSIVGHGGVPVLIEICQIGDSICQAAAAGTLRNLSAVPDVRQTLADEGIIRVMIDLLNCGMVLGSKEYAAECLQNLTAINDDLRRSVVSEGGPLSLLAYMDGPLPQEPAVCALRNLIGSVAVDGLMSLGVLPRLVHVLKDGSLGARQAAAGIICRISSSSETKKAIGELGCVPLLARMLDAKANTAREVAAQAISGLMSHPQNKRELKKEEKSVANLVRLLDPSPQNTAKKYAVACLLSLSSSKRCKKQMISYGAVGFLKKLCESDIAGAKKLLERLERRKLRGLFIRK >Ma03_p25610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29581358:29586794:-1 gene:Ma03_g25610 transcript:Ma03_t25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGPVTPGQVAVLLGFVPILLWWLYAELLELWKNSRFFGMHSDDDSIALDIGSLGEEAKTQLLAGGFSVGSSVAHKQRPGLVRFFMMDKDFLLENRLTLRAISEFGVVLAYFYTCDRTDLFGESSKSYNRDIFFFLYFLLIIVAAMTSFKIHHDTSPSSSKSVLYLNRHQTEEWKGWMQVLFVMYHYFAAKEIYNAIRVFIAGYVWMTGFGNFSYYYVRKDFSFARFCQMMWRLNFFVAFCCILLDNHYMLYYICPMHTFFTLMVYCSLGIFNKHNNKGSVIAVKIAACFVVIAVIWEVPHVYDIVWSPFAFLLAYNDPDATKKFRPMHEWHFRAGLDRYIWILGMIYAYYHPTVERWMEKLEATEAKLRIFIKTSITAICLLAGYMWYEYIYKLEKYTYNRYHPYTSWIPITVYICLRNITQEFRSYTLTLFGWLGKITLETYISQFHIWLRSGKPDAQPRRLLSLVPDYPMLNFMLNTIIYVAVAHRVFNLTNQLKVVFIPTRDNKRLLYNVITGAIISITLYALSLVLLSLTKKLHTEA >Ma09_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2782181:2784889:-1 gene:Ma09_g04220 transcript:Ma09_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGAMLGLDLASSFDSTSLEAKSGREQMDDSVTTDSSVLDAEDSRSTRPAAAYEFSILKGYVSGEGENGDGIGAPQQPGLVTKQLFPLAPLPLWMDLTPHQPPTKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAEAAATTYDRAAIRFRGVDADINFNLSDYEEDLKQIKYLPKVEFVHFLRRQSTRFSRGGSKYRGVTLHKCGRWEARIGQFPGKKYVYLGLFDSEIEAARAYDKAAIKYNGSNAVINFDPSTYEEVISEYVTKADDVDLNLRISQPVVHGPKGNGIHCGANLKPMMPTEQ >Ma09_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3575974:3595836:1 gene:Ma09_g05570 transcript:Ma09_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPASGQPPDEQCGIQAGKYGGSRNPTRVERLLRDRELRKINRAFQQEEAGSGVGGGGQAKPEHPPENSVREVGSEPDEEIIDGSLAPITTIGVENRRSRGRPMKQRLLVVANRLPVSAVRRGEDSWYLEVSAGGLVSALLGVKDVDARWIGWAGVNVPDEVGQRALTKALAEKRCIPVFLDEEIAHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDSYKRANKMFADVVNKHYQEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFKRALELPAVREHINELTHRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDRVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQGSKKGVLILSEFAGAAQSLGAGALLVNPWNITEVAASIGYALNMSPDEREKRHRHNYAHVTTHTAQDWAETFVSELNDTVVEAQLRTRQVPPLLPTHVAVERYSQSKNRLLILGFNATLTESIESSGRRGGDQIKEMELKLHPDLKAPLTTLCNDVLTTVVVLSGSDRSVLDDNFGEYNMWLAAENGMFLRHTGGDWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRAVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCVGHFLGKDEDIYTFFEPELPSEPVSSSRTKSDTGKPSTTDKRSTGRSTIKNNSRGSHVKSQRAPVGSEKRMSTNHNIPAGWRSPQETMSWREGSSVLDLKGDNYFSCAVGRKHSNARYLLNSSDDVVFFLGELAEAAHPAAYFYPRNGDVTM >Ma07_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1185178:1188251:-1 gene:Ma07_g01510 transcript:Ma07_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLIEPSSIQLSFSFRIPFTFRRNTSKSKLCRCSSAHRHPALELHVGGTDTDKKEQPIPTVNKQPSIPEDGYEWNKYGQKYIKNIRKIRSYYRCRRKECNARKRLEWSPANPTILRVIYDGMHDHRSPSDAEQVHSVDVNQYELGNQVLGQLNDTQQV >Ma03_p19340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24674604:24679268:1 gene:Ma03_g19340 transcript:Ma03_t19340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSQRHAYGYGDALYWDARYLADGSASFDWYQRYSALRPFVRKYVPVSARVLMVGCGNAVLSEDMVKDGYIDIMNIDISSIVIDMMRTKYVHIPQLRYMQMDVRDMSFFDDESFDCVFDKGTLDSLMCGMDAQLSASQMLEEVNRLLRPGGIYMLITYGDPSVRIPHLNQPGCNWKIILYIIPRPGFQSPGGDSRPRSIMEPVPLTESGQLPAGFVLEDPDSHYIYVCKKMH >Ma03_p19340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24674616:24679261:1 gene:Ma03_g19340 transcript:Ma03_t19340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSQRHAYGYGDALYWDARYLADGSASFDWYQRYSALRPFVRKYVPVSARVLMVGCGNAVLSEDMVKDGYIDIMNIDISSIVIDMMRTKYVHIPQLRYMQMDVRDMSFFDDESFDCVFDKGTLDSLMCGMDAQLSASQMLEEVNRLLRPGGIYMLITYGDPSVRIPHLNQPGCNWKIILYIIPRPGFQSPGGDSRPRSIMEPVPLTESGQLPAGFVLEDPDSHYIYLAIFPNCCC >Ma03_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24674616:24679261:1 gene:Ma03_g19340 transcript:Ma03_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSQRHAYGYGDALYWDARYLADGSASFDWYQRYSALRPFVRKYVPVSARVLMVGCGNAVLSEDMVKDGYIDIMNIDISSIVIDMMRTKYVHIPQLRYMQMDVRDMSFFDDESFDCVFDKGTLDSLMCGMDAQLSASQMLEEVNRLLRPGGIYMLITYGDPSVRIPHLNQPGCNWKIILYIIPRPGFQSPGGDSRPRSIMEPVPLTESGQLPAGFVLEDPDSHYIYVSSYIPKLLLLRKTRQQSR >Ma09_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4931300:4932072:1 gene:Ma09_g07500 transcript:Ma09_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTITNGNTAVIYKANGRAFDSSGWLKTGDLCYFDGDGLLFVLDRLKELIKYKAYQVPLAELEHLLQSLPGVADAAVVTILSLSLSLCANGSYPDAEAGQIPVAFIVRQPGHDLTQAQVMGFVAEKVAAYKKKFRGVVFTNSIPKTAYGKILRSQPRNHVETVKWQTTCDTVPCILCHLYGI >Ma07_p18950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26906963:26910478:1 gene:Ma07_g18950 transcript:Ma07_t18950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENKIQEGEYLALISELTNTNTIQNHEVEFQQPDIEKLELVEVKANTECSEDASENETEFLLRRLKSTATMLAYLKSKATIMAIPQLALTSCGIKHQEGVGLVDKHGIPVSDWFKDVDCPSSEDSDVEVQLTNIPQFESFDANDGAYTGELLSTVHMVSDVMESLIKRVIMAETEADNQKEKVKLGMEENTKMTLQIEIMSAKVEEMEKFALGANSILNEMRQKVEDMVEETLIQRQQAEENKQELHRVKQNFETLRSFVKSLISVRELLLSSEQQFQTVDELFDRLLVETTHLQNDKFQKEAEVQKLIEENVRLRALLDKKEAELLAMNEQCKFMAISSGN >Ma07_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26906963:26910478:1 gene:Ma07_g18950 transcript:Ma07_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKIQEGEYLALISELTNTNTIQNHEVEFQQPDIEKLELVEVKANTECSEDASENETEFLLRRLKSTATMLAYLKSKATIMAIPQLALTSCGIKHQEGVGLVDKHGIPVSDWFKDVDCPSSEDSDVEVQLTNIPQFESFDANDGAYTGELLSTVHMVSDVMESLIKRVIMAETEADNQKEKVKLGMEENTKMTLQIEIMSAKVEEMEKFALGANSILNEMRQKVEDMVEETLIQRQQAEENKQELHRVKQNFETLRSFVKSLISVRELLLSSEQQFQTVDELFDRLLVETTHLQNDKFQKEAEVQKLIEENVRLRALLDKKEAELLAMNEQCKFMAISSGN >Ma07_p18950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26906963:26910478:1 gene:Ma07_g18950 transcript:Ma07_t18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKIQEGEYLALISELTNTNTIQNHEVEFQQPDIEKLELVEVKANTECSEDASENETEFLLRRLKSTATMLAYLKSKATIMAIPQLALTSCGIKHQEGVGLVDKHGIPVSDWFKDVDCPSSEDSDVEVQLTNIPQFESFDANDGAYTGELLSTVHMVSDVMESLIKRVIMAETEADNQKEKVKLGMEENTKMTLQIEIMSAKVEEMEKFALGANSILNEMRQKVEDMVEETLIQRQQAEENKQELHRVKQNFETLRSFVKSLISVRELLLSSEQQFQTVDELFDRLLVETTHLQNDKFQKEAEVQKLIEENVRLRALLDKKEAELLAMNEQCKFMAISSGN >Ma04_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25925523:25931706:-1 gene:Ma04_g23850 transcript:Ma04_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSFDSMVLVSGPKFVLWGLGQMAFEDDVVMFVNAGGHETQGQDSRVRIDGDSCFQGGDVIETNEMIIEGGDCPSLYQSARYGDFCYKFDSLAPGDYFMDLHFAEIVNTNGPKGIRVFNVFVQEEKILSGLDIFAVVGANKPLQLVDLRVSVVDDGNILVRFEGLSGTPTVCGICIRKAPALSDLAKPEHLTCIKCATEIEVSPLKNKFQNRNIAQYEKKIQELTSECKMKSDECYEAWMSLTDANEQLRRLNIELDNKLFQNETLEQNLEREMEKFRDTSERYKNDKKVWSAAIANLEKKIKAIKEEYTQLSQDAHDCANSIPNLNKMTIAVQALVAQTEDLKLKYSEEIAKRKKLYNQIQEAKGNIRVFCRCRPLSKQEVSTGHNAVVDFDAAKDGEIGIMNGGATKKSFKFDRVYTPKDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEELFKIAEERNETYSYNLSVSVLEVYNEQIRDLLATSPSTKKLEVRQAAEGFHHVPGIVEAKVENIKEAWDVLQAGSNARSVGSNNVNEHSSRSHCMLCIMVRAKNLMDGVCTKSKLWLVDLAGSERLTKTDVQGERLKEAQNINRSLSALGDVISALATKNNHIPYRNSKLTHLLQDSLGGDSKALMLVQISPSENDLGETVSSLNFASRVRGVELGLAKKQVDTVELQKMKQMIDKVRQESRTKDESLRKLEENFQNLENKLKEKEQLCRTLQEKNKELTNQLESNTESQSLSERKQWQLIEKLNGKEEAYAVLQQKVKEMECKFKDQQHSESVILQLKVKELECKLKEQAHSENVAAQKVKELEYKLKERLQFQLNLEQKVKELENKLREQKEEQDSMLLLQSADRSRIATPIEGRSFSSNESTSHTDPQILRNSNSLNKPMTSQFSFLLKGVESLHEIKRKRDNRSVSVENENVISASLVEKKMAPTELSRTRKVDPAKAYGRLTRTTKVITTQKLFLHGRNKKEQQDGAARERDKTRAWV >Ma00_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:38627791:38629291:-1 gene:Ma00_g04490 transcript:Ma00_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRLLLGDLVSHAKNVPLRYVRPPSARPHLSAVEKSNATIPVVDLQELSGSGRAMVVKAIGSACQSDGFFQVKNHGIPDDVIGAMLRVSKEFFRLPESERLKSYSDDPSRTTRLSTSFNVRTEEVCNWRDFLRFHCYPLKDYVHEWPSNPSVFREVVGDYCKHARQLALRLLEAISESLGLEKDYMEKALAKQAQHMAINYYPPCPQPELTYGLPSHKDPNAITLLLQDGVSGLQVFRNGKWVAVDPIPNALVINIGDQIQVLSNDRYKSVLHRAVVNDSSERISIPTFYCPSPDAVIGPAQALVDEQHPAVYRSFTYGEYYDAFWNRGLQRESCLDMFRATNDPI >Ma10_p17190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28671934:28681101:-1 gene:Ma10_g17190 transcript:Ma10_t17190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSVDRSPLSAQVVGNAFVQQYYHILQQSPELVYRFYQEGSKLGRPDAHGAMSSVTTTDAINAKILSMGFVRAETKTVDAQESLGGGVTVLVTGHVTGEDNVKRDFTQSFFLALQDKGYYVLNDIFRFVEEVNHQQAQQGLANDLPSEQGQHDLDQTTSSQVEDEEVNEEEVYNPSDNGEVVEEEESTGVVIDEVPNNSESNVATAQEEMPKKSYASIVKDMNNASVSPPTRAPPKPSSIKAEPQVLPAPPAGPASDMPTSCSTTVDSNYTQDAEADGYSIYVKNLPLDATPAQLEEEFRKFGAIKPDGIQVRSHKLQGFCFGFVEFEVANAAQSAIEASPIMIGGRPAYVEEKRATGSRVGNRGRFAPGRGAGFRNDGRGRGNYGGGRGFGRGDFNTRPDFGGRGGGRGGYSNRGTEVGYQRVDHMGPSGGRGSHTGSSGISAPAKN >Ma10_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28671934:28681101:-1 gene:Ma10_g17190 transcript:Ma10_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSVDRSPLSAQVVGNAFVQQYYHILQQSPELVYRFYQEGSKLGRPDAHGAMSSVTTTDAINAKILSMGFVRAETKTVDAQESLGGGVTVLVTGHVTGEDNVKRDFTQSFFLALQDKGYYVLNDIFRFVEEVNHQQAQQGLANGTVAPHAPKQDLPSEQGQHDLDQTTSSQVEDEEVNEEEVYNPSDNGEVVEEEESTGVVIDEVPNNSESNVATAQEEMPKKSYASIVKDMNNASVSPPTRAPPKPSSIKAEPQVLPAPPAGPASDMPTSCSTTVDSNYTQDAEADGYSIYVKNLPLDATPAQLEEEFRKFGAIKPDGIQVRSHKLQGFCFGFVEFEVANAAQSAIEASPIMIGGRPAYVEEKRATGSRVGNRGRFAPGRGAGFRNDGRGRGNYGGGRGFGRGDFNTRPDFGGRGGGRGGYSNRGTEVGYQRVDHMGPSGGRGSHTGSSGISAPAKN >Ma10_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30782080:30789220:-1 gene:Ma10_g20730 transcript:Ma10_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVSNKWPRPSQSSLQRRVLRHPGRIWVLLILGSLTLNLVMIALLASLYEGEGTPGCKAERSILVAEEKKSVVAAPQQQSTAEELVSAEEISVSSTSGKRPASKDAIINLDHGDPTMFESFWKEMGEHGDIIIPGWQAMSYFSDVTNLCWFLEPEFASEVRRLHNLVGNAVADGHFIIVGTGSTQLFQAALYALSPPDAPEPMSVVSAVPYYSSYPAVTDYLQSGLYRWAGDASMFDGDAYIELVCSPNNPDGSIREAVLKSRNGKTIHDLAYYWPQYTPITDAADHDIMLFTVSKSTGHAGTRLGWALVKDKNVAKRMIKFIELNTIGVSKDSQLRAAKILKAVSDGYELPVSQNNHRLFDFGRQLLSIRWQKLEEAVKASDIFSLPDFPLALCKFTGEKTGTYPAFAWLKCEKEEVEDCEDFLRKHNILTRSGRHFGVEPKYVRISMLDRDETFHLFIERLSMLHH >Ma10_p20730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30781748:30789220:-1 gene:Ma10_g20730 transcript:Ma10_t20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVSNKWPRPSQSSLQRRVLRHPGRIWVLLILGSLTLNLVMIALLASLYEGEGTPGCKAERSILVAEEKKSVVAAPQQQSTAEELVSAEEISVSSTSGKRPASKDAIINLDHGDPTMFESFWKEMGEHGDIIIPGWQAMSYFSDVTNLCWFLEPEFASEVRRLHNLVGNAVADGHFIIVGTGSTQLFQAALYALSPPDAPEPMSVVSAVPYYSSYPAVTDYLQSGLYRWAGDASMFDGDAYIELVCSPNNPDGSIREAVLKSRNGKTIHDLAYYWPQYTPITDAADHDIMLFTVSKSTGHAGTRLGWALVKDKNVAKRMIKFIELNTIGVSKDSQLRAAKILKAVSDGYELPVSQNNHRLFDFGRQLLSIRWQKLEEAVKASDIFSLPDFPLALCKFTGEKTGTYPAFAWLKCEKEEVEDCEDFLRKHNILTRSGRHFGVEPKYVRISMLDRDETFHLFIERLSMLHH >Ma03_p25910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29827261:29831472:-1 gene:Ma03_g25910 transcript:Ma03_t25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLMSSARCSTFSPAPTRRPTASLLARPTFLSLRSSSLCPLLALTSSVDVLPQRRGRRVTVMSMEAGIGVMGTKLGMMTYFEPDGTVVPVTVVGFREGNIVSQVKTAATDGYDAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGQRLIVEDIFKEGDLVDVSGNSIGKGFQGGIKRHNFRRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLRVVKVDSELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >Ma11_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24475219:24479572:-1 gene:Ma11_g19730 transcript:Ma11_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMWRKAKRAMGFNLCVHVPRAMGEEEEDDGCRLAGLGYGRRASDAAATAMSSPAGSSGASEFLALMPSTPTPSSGGLRLPKSGIRSSKKTCAICLGSMKVGDGHALFTAECSHKFHFHCITSNVKHGNYVCPLCKATWKEIPFQGSLSSEHPHGRARVNPVNWPQEEGRVAVVHRLPPAESANRWHHQFSSGFRGAEPTNFNDDEPLDILSETARSSQQNCPKIVEVNTHPEFSAIQQSASLEDFAVLIHLKAPHVSMKPSPSRNLIASSTVPQNSRAPIDLVTVLDVSGSMAGTKLALLKRAMSFVIQNLGPSDRLSVIAFSSTARRLFHLCRMSDSGRQQALQAVNSLVSSGGTNIAEGLRKGIKVIEERKEKNSVCSIILLSDGRDTYTFSSSASGAQHSELNYKSLVPSSILGGTAIPVHTFGFGVDHDSAAMHTIATVSGGTFSFIESEVLIQNAFAQCIGGLLSVVVQEMWLDVECMHPGVRLAPIKSGSYRNQLSSDARTGFIYVGDLYADEERDFLVSVNVPPHMEEILLLKVASAYRDPISNDIVHLEVKEVRIQRPEVVLFQTPSIEVDRERNRIQTAVAISDARAAAERGALSDAVSILEQRRKILSESLAAQSNDRLCLALDAELREMQGRMASRQRYEASGRAYVLSGLSSHSSQRATMRGDSTYSETLIHAYQTPLMVDMLRRSQTSCYSARSPAPPMHPTRSIPSQLQPR >Ma10_p25310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33523639:33528663:1 gene:Ma10_g25310 transcript:Ma10_t25310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEDASPLLVPAAIPERGFEEEDRLCCSLRLRNDVDVVAEARRLLSLAGPLIAASLLQYSLQLISIMFVGHLGELNLSGASMATSFANVSGFSVLLGMASALDTSCGQAYGAKEYHMLGIHMQRAMFILLLVSVPLALVWTYTSQILIAAGQNPEISAEAGKYACWLIPSLFAYGLLQCLIKFLQTQNTVFPMLISSGITAFFHIFICWVLVYISGFGSKGAALATSISYWINVFLLVIYVKFSQACKETWTGLSWESLKDVFDFLTLAVPSAFMICVEFWSFEMVVLLSGLLPDPKLETSVLSISILVSLNTMWMVYMIPTGLSSAVSIRVSNELGAGQPQAASLSVHVVLIIAIMEGLVVALITILVRNVWGYLYSYEEDVIKYVSIMMPVLAISDFMDGIQCTLSGAARGCGWQKFCSFVNLGAYYVVGIPSAIMFAFFLHIGGKGLWMGIICALVVQVLVLTAAILRTDWGQEAKKARDRVTSAAIHTGHSDRFPDSLPSSIEAY >Ma10_p25310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33523622:33528662:1 gene:Ma10_g25310 transcript:Ma10_t25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEDASPLLVPAAIPERGFEEEDRLCCSLRLRNDVDVVAEARRLLSLAGPLIAASLLQYSLQLISIMFVGHLGELNLSGASMATSFANVSGFSVLLGMASALDTSCGQAYGAKEYHMLGIHMQRAMFILLLVSVPLALVWTYTSQILIAAGQNPEISAEAGKYACWLIPSLFAYGLLQCLIKFLQTQNTVFPMLISSGITAFFHIFICWVLVYISGFGSKGAALATSISYWINVFLLVIYVKFSQACKETWTGLSWESLKDVFDFLTLAVPSAFMICVEFWSFEMVVLLSGLLPDPKLETSVLSISLNTMWMVYMIPTGLSSAVSIRVSNELGAGQPQAASLSVHVVLIIAIMEGLVVALITILVRNVWGYLYSYEEDVIKYVSIMMPVLAISDFMDGIQCTLSGAARGCGWQKFCSFVNLGAYYVVGIPSAIMFAFFLHIGGKGLWMGIICALVVQVLVLTAAILRTDWGQEAKKARDRVTSAAIHTGHSDRFPDSLPSSIEAY >Ma06_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5937190:5938196:1 gene:Ma06_g08390 transcript:Ma06_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKEKRTDKKRNRKVEKIDEKTSYDIVNGSFQAH >Ma05_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31251056:31256467:1 gene:Ma05_g20090 transcript:Ma05_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACFCPCCRETSCRKKDSAGVQTNSGLLSDSSKSGNKISSLAADPGNCARSFAFRDLAIATQNFKEANLIGEGGFGRVYKGRLDSGQVVAIKQLNRDGLQGNNEFLVEVLMLIMLRHPNLVSLFGYCADGDERLLVYEYMPKGSLEDHLFNPSPVKPPLEWNTRMKIALGVARGLTYLHDVANPPVIYRDMKAANVLLSNDFDPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPDYAMTGKLTLKSDVYSFGVLLLELITGRRVFDSSKCGGEQNLIIWARPFLNDRRKFHQLADPFLQGRYSPRGFHQLVVIASMCLQEQPHVRPIIADVVVALNHVTSQPYITEPALLQSS >Ma06_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3670485:3691128:1 gene:Ma06_g04920 transcript:Ma06_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRMAMTWRRVGKAAQALVAQGLLICFTLSLALKIDGRTHYPWWIIFFPLWLFHGVVARGRFSLPAPSLPHDRHWAPSHAVVATPLLISFELLLCIYLQGISVNGEPAVNLKIVFIPLLAFEIIILVDNVRMCRTLMPGDEESVSDEAIWETLPHFWVAISMVFLIAATTFTLLKLCGDVGALGWWDLFINFGISECFAFLVCTKWSNPMIHRQAHYGEPSSSSAIRYHDWNSGLMLPSVVDHEQDRLCGPQDIGGHIMKIPLVVFQILLCMHLEGTPSGARHIPIFTIFLPIFILQGAAVLFAISRLVEKLVLLLYNQSVDGRYLAVSSRAQDCFTFLHHGSRLLGWWSIDEGSKEEQARLFHANATGYSTFCGYSPEVVKKMPKKELADEVWRLQAALGEQAEITKYSQQEYERLQNEKVLCSICFDGEICMVLLPCRHRTLCKPCSERCKKCPICRVSIEERMPVYYV >Ma03_p28790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31871393:31879266:-1 gene:Ma03_g28790 transcript:Ma03_t28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPCGCEETETSTEPSKHRTGRWKRLTFKIVLSYHGGTFDGWQKQPGLHTVQGIVEHFLGRFVDENKAKQLKEKSMPVEGCAVVAGRTDKGVTALQQVCSFYTWRKDVKCEDIKDTINKAVPGKLRALHVAEVGRVFHPNFAAKWRRYFYILPLNDNEESIISSSESDWTRTSDGEEDDQINRLPEGDEKEQQQTFSHVNEDDSPDIVGLKRRSFSVSKVDQLLRELEGKTLSYKMFARDTKASRSIGPPTECFMFHARAAETKLPSDTEDYAYLQRVMCVELVANRFLRKMVRVLVATAIREAAAGADDDALIKLMDATCRRATAPPAPPDGLCLVNVGYEEFKQEFCFIR >Ma03_p28790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31870499:31879495:-1 gene:Ma03_g28790 transcript:Ma03_t28790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAKVYFHYNHTDACRVSRWTTRESFQYLYARPWQKVVDFYADLVCSGNGASSLRSLFPSEDHSAGVTMETPCGCEETETSTEPSKHRTGRWKRLTFKIVLSYHGGTFDGWQKQPGLHTVQGIVEHFLGRFVDENKAKQLKEKSMPVEGCAVVAGRTDKGVTALQQVCSFYTWRKDVKCEDIKDTINKAVPGKLRALHVAEVGRVFHPNFAAKWRRYFYILPLNDNEESIISSSESDWTRTSDGEEDDQINRLPEGDEKEQQQTFSHVNEDDSPDIVGLKRRSFSVSKVDQLLRELEGKTLSYKMFARDTKASRSIGPPTECFMFHARAAETKLPSDTEDYAYLQRVMCVELVANRFLRKMVRVLVATAIREAAAGADDDALIKLMDATCRRATAPPAPPDGLCLVNVGYEEFKQEFCFIR >Ma07_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10149077:10150666:-1 gene:Ma07_g13490 transcript:Ma07_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQVQNLQSTSSSHGRFRASLFPSSNFRAKSVYLRQLPNKITIQDLILRQDGTATKEVPTHTTTGNEDKELVTKLLAITEAVADRANMHAIIGAQRNNWNHLFTNSINSVTLIGSLMAGISSVPVGEATTQLLAFKLASMLLFGTAMGMMLVVNKIQPSQLAEEQRKATLRWKQLERSIQDTFSLRNPTESDVKDAMNKVLALEKAYPLPLLPGMLEKFPKKVEPSRWWPKLRQRPEETHRRHTNGADANGWSKELEEEMRGLLKVLKLKDEEQYLRVGKVVLDTNRTLATAGPLFAGLALIGSGLIGSSALGPLPVLLAVAGGSLATVMNTLEHAGQVGMMFELLRNNAGFYRWLQEEIEFNLGEEDVEKRENGELFKLKLALKLGRSLSEFRDFVPYDSPSCKDEDIKDFAGKLF >Ma03_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5458279:5470212:-1 gene:Ma03_g07730 transcript:Ma03_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGSGVDGGGQVVPLRIQKTIQSIKEIVGNHSDADIYAVLKETNMDPNETAQKLLNQDPFHEVKRKRDRKKEPTGYRGLADTRRHVEPNVQWAKPLTSWDQNMHKGDYTRNSVPRISRQFRIVRDNRVNQNAKEDVKQETLHQTSSYIHEKSTARDPSDEELSVASNLDGYLLSADSSKSTDVSHNAKDAGPSGSQRPFLHEDAKATVSSSKAQDLQSSSHAHSKVASGNSIIGVYSSSLDPVHVPSADSRSAGIVGAIRRDIGAVGAQRRSSDRQVSQSSVSNLSSNPPLGKDVSPQTEPSGHLNATSKSNHLNQGSALVRNLPSTSMSRSVLSGQHHSKQHQQFMGNQKAMQTNMEWKPKSTQKPNIAIPGVVVSDSVSPSSADNLCITKLVDATELSEKLSKASISENQHVIIPQHLQVPESERTCLTFGSFEAGFDSKGIITANQQSQSSDEFTDEPSVSVSASVPVVSTADVFAAGHKDTLVVQGRTPQSDYPALVSESEELPTATDDSRSSQNIGSYADIGLVQSSSPPYSSKEQQLQNPQSLSSFSAYDNQNGYDVPFFRTVLEDNVHTQDLTSASEALNSLASSFSPLSSNGITQQQQLAHQPQQSLPQMYPQVHIPHYPNFVPYRHIFSPVYVPPIALPNYSSNPAYPHPSNGNNYLMMPGGSSQIPAASMKYAAAQYKPVPGGSPTAYASYNNSAGFTISPPGAVGSTAGPDDITRIKYKDHGLYMPNQQAETSDIWIQTQREHPSLQSAPYYNLSGQAPHAAFLPAHAGHGSFGPAAQISHVQYPGLYHPSQPASMASPHQLVHHNVAPAIGGGVGVGVAAPGPGPQVGTYQQPQVGHLNWTANF >Ma10_p20840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30899913:30905834:1 gene:Ma10_g20840 transcript:Ma10_t20840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSITSGAKTTARTFEYGRTHVVRPKGRHLATVVWLHGLGDHGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSNAWFDVGDLSESGPEDIEGMDASAAHIANLLSAEPADIKLGVGGFSMGTATALYSATCCAYGKYGSGKLYPVNLSAVVGLSGWLPCARSLKNKLEVSQDAARRTSSLPILLCHGKGDDVVLYKHGEKSSQVLKSVGFENVTFKTYNGLGHYTVPGEMEDVCKFLVTTLGLDGSYS >Ma10_p20840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30900294:30905834:1 gene:Ma10_g20840 transcript:Ma10_t20840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSITSGAKTTARTFEYGRTHVVRPKGRHLATVVWLHGLGDHGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSNAWFDVGDLSESGPEDIEGMDASAAHIANLLSAEPADIKLGVGGFSMGTATALYSATCCAYGKYGSGKLYPVNLSAVVGLSGWLPCARSLKNKLEVSQDAARRTSSLPILLCHGKGDDVVLYKHGEKSSQVLKSVGFENVTFKTYNGLGHYTVPGEMEDVCKFLVTTLGLDGSYS >Ma10_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30899913:30905834:1 gene:Ma10_g20840 transcript:Ma10_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSITSGAKTTARTFEYGRTHVVRPKGRHLATVVWLHGLGDHGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSNAWFDVGDLSESGPEDIEGMDASAAHIANLLSAEPADIKLGVGGFSMGTATALYSATCCAYGKYGSGKLYPVNLSAVVGLSGWLPCARSLKNKLEVSQDAARRTSSLPILLCHGKGDDVVLYKHGEKSSQVLKSVGFENVTFKTYNGLGHYTVPGEMEDVCKFLVTTLGLDGSYS >Ma11_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1317278:1319174:-1 gene:Ma11_g01830 transcript:Ma11_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMARRAQLQHHQCHQHLSLKALHLRKYYKWILWLALFIYLFLTTTPTSYSFLFHPLDLKPLLPAKTNPPALIQSPQPSSAALPKIYVYDLPSRYNKDWLSNSRCGSHLFASEVAIHEALLGYPGRAVDPNEADFFFVPVYVSCNFSTPNGFPSLHHARPLLSSAVDFVSSHLPFWNRSHGRDHVFVASHDYGACFHAMEDVAIADGIPVFMKRSIILQTFGVRPPHPCQQAEHVLIPPYVPPDIEDEWPAPENARRDIFVFFRGKMEVHPKNVSGRFYSKRVRTEIWRRYSGNPRFRLQRKRSGDYRAEMARSVFCLCPLGWAPWSPRLVEAVALGCVPVIVADGIRLPFPESLRWPEISLAVAEADVGRLEATLDHVTATNLSAIQKNLWDPARRRALLFRRRMAEGDAMWHVLTKLQGMRLRRSSGDGEAVRTDTWR >Ma06_p35180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35148055:35150632:1 gene:Ma06_g35180 transcript:Ma06_t35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMFYVLLFFPFGLQLAQGADESRVANITLGSSIRPATNPTAWFSPSGRFALGFYYEDGGFYVGVWLQTSPGNNTVIWTAKRDDAPVFEDAMLQLTEQGLQLTQTNKEDILITNLQVGASTASMLDSGNFIIYDANFESQWESFSLPTDTIISGQTLQPGNELISSISETSHSSGRFRLKMQDDGNLVSYPVNTTDTAENAYWASSSNGKPVISLNLDNHGGLYLLFQNESRICNLTNDNHVCDYSSTNTSDLGKTSNNISMSDRIYRATLNVDGILQVYAHDLESNTSKVLFEIPETKDKCTIKGTCGFNSYCTSMGEKLVCMCFPGFDYIDANRTWIGCKQNLTGGGCDLKRDNMVLNMSKLNNIGSVDDTYTAPFPLDTVEACSDACLTDCNCEAALFDDGSCSKQKFPLRYARRDTSSVMLIKVVGTKGPTGSTLIKKELSIKILCAIVAVVTGLVTAFVALGFFLYRHQVRRYKRISRKRELELVDEIALRRFNFKDLRDATDDFKQVLGKGAFGTVFKGVLPNNDRAVAIKRLEKVIDEGEREFRTEMRVIGRTHHRNLVRLLGFCDEGPHRLLVYEFMSNGSLADLIFQVDRQPSWSERTRITLDIARGIHYLHDECEASIIHCDIKPQNILMDDNWTAKISDFGLAKLLMPSQTRTFTGIRGTRGYLAPEWHKNAPITVKADVYSFGIMLLEIVCCRKNMELEAEVDEIMLLDWVYGCFVTGALENLVPDEADMTELNRLVKVGLWCIQSEPASRPNMKNVVMMLEGSIDISIPPPPTSSF >Ma09_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4536928:4547091:-1 gene:Ma09_g07050 transcript:Ma09_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFTSPRSTRVPPSETLAPSSHKQRPPRIPKENVDPCPAPPDRSPFHSHAPGGKPLSAKNRSPLPPRPPLASKHSANPLKRKLILETIAENGVPPSSVPDSGVQVIVRMRPPGKQEEEADLIVEKASVNSLSILDHTFTFDSVADIRSTQEDIFRLVGLPLVENCLAGFNSSIFAYGQTGSGKTYTMWGPPSVMSEGASSTDRGLTPRVFERLFCRIDEEQSKHSDKQLNYQCRCSFLEIYNEQITDLLEPTQRNLQIREDVRTGVYVDYLTEEYVSTVKDVNNLLMKGLANRRTGATSINVESSRSHCVFTCIIESRSKSVTDGLVSLKTSRINLVDLAGSERQKQTGAGGERLKEAGNINRSLSQLGNLINILAEVSHSGKQRHIPYRDSKLTFLLQESLGGNAKLAMICAISPSRSCKNETLSTLRFAQRAKAIRNKAVVNEIMQDDVNVLREQIRLLKDELLRMKSNGSSDNNGGYSTGWNARRSLHILKMSLTRPTPLPIVNDDSDEEMEIDENDVEMPCVHAASQVSPCVDRLSADLTVSKENDFELRETGSCQVPAKSDGKAIASIGDDLDSQLDANREVIMHDEMIHVLSDSELPTERKPSVENGQIMLADPSYNSDLSSNTILSPSNLSIEPCHTSPVLQSPTLNSSPIVDTSTSRKSLRTSLSMSASQKNILENVKSSSGAVNASTEALSLDASLSQIKRSSLKPTEHLTASLQHGRQVIDNWQQNSVLRRSSFRFSVRPTEGKPLIPVNKVDVGIQALEELEDLPNICSYCKNAISEDENKDGNKSKDLQLVPVDGLIPTEKPKMHVPKAVEQVLAGSIRREMALEDHSAKQAAEIMQLERLVQQYKYERECNAMIAQTREDKISRLERLMDGILPTEEFMEEEFIALVNEHKLLKEKYENDPEVSRVNIELKRVQDELDGYRNFFVMGERDVLMEEIQDLRSQLKYYMESSSAESTKKPCSLIQLTHQGRLSTTTDLDEISAGNKVEVEKGSWTERESNSSLVEELKLALEASRSVAEKLKLELESEKKCAEELKEALQTAMQGHTRILEQYAELQEKHTALLLRNRKISDDIDNVMEAAAEVGVKDAESKFVKSLAAEISVLKSERGRERQQWRDENKALQTQLRDTAEALQAAGELLVRLKEAEETAAIAEKRALLAEKETEKANEEIDSLKKNHDREIISLKQILAESRSSKEALEHTEFDDSEVPKSEEGKSEQRWTEDFEPFCKRGDTELSMDTDPNSWFAGYDRCNI >Ma10_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25401086:25404219:-1 gene:Ma10_g12200 transcript:Ma10_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFDFLGSHRDRNAVSTVCKAWYHVERLSRRNVFVGNCYAIRPERVMARFPGMKSLSVKGKPHFADYNLVPYDWGGFALPWIKAAVRRCPGLEDLRLKRMVVTDDDLDLLARSFPNFRSLVLVSCEGFSTDGLAAIATYCRGLRELDLQENEVEDHGRQWLSCFHESCTSLVSLNFACLKGEVNAGVLERLVARCPNLRSLKLNRAVSVESLNEIVARAPYLVDLGTGSFAVDHHTDAYQRLMNSFSKCKSLSSLSGFWEASPHCLDAVYPVCINLTVLNMSYAPAIQADDLIKLISLCFKLQKLWVLDCIGDKGLAVVASTCKELQELRVFPSDIYGAGATSVTEEGLVAISSGCPKLNSLLYFCYQMTNAALITVAKNCPHFTRFRLCILDPWKPDPVTNQPLDEGFGAIVRSCKNLRRLSLSGLLTDQVFLYIGLYAKCLEMLSIAFAGDSDKGMVCILKGCKNLRKLEIRDCPFGDIALLNDVAKYETMRSLWMSSCDVTLGGCKALAAKMPRLNVEIINESEGTDKLEENISDLHKVEKMYVYRTVAGPRNDAPDFVWTL >Ma09_p27920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38779304:38786125:1 gene:Ma09_g27920 transcript:Ma09_t27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVSPPVPTTVEGGAPLCSSTSTCRPVIYWCHECDMSVTLLPSHPPLICPDCSRSDFLEEMELLQPPTDHQSSSSAAADVAPPPQSLPLVLTDSDDDDNDGGFAYDSDQRRSLPSNPSYRLRRRIDPLFLEPAPRLGPSSAPAASIDALPIVCISDDASLPACAICKDDFPLHASARRLPCSHLYHSDCIVPWLSLHNSCPICRSPLPSPDETAGGAEESDHPTGLLAEGDGDPDALALTLSAADDEALVLTAALWQVRRQHRLSFPVRSPASATMDSSLIQMAQVDIALANTGEMPSLEFPMERDGTAMAGRVDDDEDTMMLEIRENFFSMNP >Ma09_p27920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38779304:38785577:1 gene:Ma09_g27920 transcript:Ma09_t27920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVSPPVPTTVEGGAPLCSSTSTCRPVIYWCHECDMSVTLLPSHPPLICPDCSRSDFLEEMELLQPPTDHQSSSSAAADVAPPPQSLPLVLTDSDDDDNDGGFAYDSDQRRSLPSNPSYRLRRRIDPLFLEPAPRLGPSSAPAASIDALPIVCISDDASLPACAICKDDFPLHASARRLPCSHLYHSDCIVPWLSLHNSCPICRSPLPSPDETAGGAEESDHPTGLLAEGDGDPDALALTLSAADDEALVLTAALWQVRRQHRLSFPVRSPASATMDSSLIQMAQVDIALANTGEMPSLEFPMERDGTAMAGRVDDDEDTMMLEIRENFFSMNP >Ma01_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3060184:3062779:-1 gene:Ma01_g04550 transcript:Ma01_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLPPFAFQLLLLTLLQATTTAAAPAPPSPNVLSPGCKETCGGVSIPYPFGIGDGCFREGFEVTCEVVNAIPRAFLGGRERNITVQSISLPQGQASMLYDIAWICFNSTGQVVVRHRSSVNLRGLPFRVSNTSNKFTTLGCNVVGILLGLENNTYGAGCASFCFDEANIASGSCHGTGCCETTIPEELEYFTTRFVYFVNVSSHVNDSRCAYAFVAGQEWLSFNKSDLRNHSFRDKHKDGVPLVLDWVAGNQTCEEAKRNHSSYACRSTNSECFNSTSLAGYICNCSTGFQGNPYLRDGCKDIDECSLPNQYPCYGKCSNTLGNYSCSCPKGHSSKDPKSEPCVPRQRIPTSTKIVIGSCVGLVSFITCIFCIILAFQRRKLLGEKDKFFQQNGGLRLYEEIRSKKIDTVKIYTKDDLEKATDNFDKSRELGRGGHGTVYKGNIDGDKEVAIKRSKVVTEDQSEEFVREMIILSQINHKNIVRLLGCCLEVEIPMLVYEFIPNGTLFDFIHDNNEKLIPLTTRLRIARESAEALAYLHSSASPPIVHGDVKSLNILLDHNYMPKVSDFGASRMISIDETQFITMVQGTLGYLDPEYLLVRQLTAKSDVYSFGVVLVELVTRKKAIYYDGSNQGKGLASSFIEAMKDSRLEEILDDQITGKENMDIIQEIAEIAKECLNIKGDERPTMREVAEKLHMLGGFLQVSSTHHAPEECEALLGESSMSSTLDSVGYHSLENKLRFDVKAGR >Ma03_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29165236:29170600:-1 gene:Ma03_g25020 transcript:Ma03_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRLNRSRASSSTLVPVDATFTIDDEISQLTKLQSGPCTSNLKALRSCRRMPIPTTTMLLAREANYSGQGRFSSADCSHVLGRYLPVNSPSQIDRMNSEAYVSQFSIDGSLLVAGFQGSRIRIYNVDNGWKVQKDINAQSLRWTITDTALSPDQRHLVYSSLTPLVHIVNVRTGMTDSQANITEIHEHLDFSVDGDRDSFNIFSIKFSTDGRELVAGGSDDSIYVYDLEANKLAMRIIAHTDDVNTVSFADETGHVMFSGSDDNLCKVWDRRCVAATGNPAGFLEGHLEGITFIDSRGDGHYFISNSKDQTTKLWDIRRMSSTTKSRTPRTYYDWDYRWMDYPAEARNQKHPCDRSVATYKGHSVLRTLIRCYFSPTHSTGQKYIYTGSFDKCVYIYDVVTGANVAKLAWHNDAIRDCSWHPYYPVLVSSSWDHAVTRWEFPGSPADSAVKRKTSQRRREGHP >Ma05_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2718181:2719844:-1 gene:Ma05_g03690 transcript:Ma05_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTPEEDQVLVDYVHRYGHANWRALPKQAGLLRCGKSCRLRWVNYLRPDIKRGNFTREEQETIIGLHGMLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRFVGPNMSSSGSSKRKTTGCSRTDRTVLSRPLSTQLEADHRGARLASTSPEQSYSDLYSFATDWSGASGGISNVKEEGCSSQELQEIDEGFWLETLSTDEPATLTIPTTTPPPPTNDRFDLFTSSDMDDTDFWLNVFMGAGDLQELTQL >Ma04_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21654668:21658337:1 gene:Ma04_g19100 transcript:Ma04_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTNPMGARSHRFRAPPPTPIATGKGLRSAAVDDQVLSEYLDGSLRVPDLTLPGSYYPSRSPLKVPPEVDLTSLVSGDESVIRRVLAAASEVGAVRVACEETSLVEEARAAIEAGAPLFATSEADKNKGELGQRWFGRRDGVGEEFYWYRLRSPETERLLQRMWPNSYRILRDTMENVAARMETVGECIAKILSEHVASQTPSKRIGKVQSVLCLRKYDSHHSRNNMRELSDPKSLHSHALSLHISGYDEDFCIRRSEGSAIFGMPAGDILVTFGKPLQEWCNGELNSASGEILFQPTGDSSPSFSIEYMCSPLVLSHEPACGAKTISLVDQLLAMLVLALLYKIWSWIFS >Ma04_p38940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36242568:36243763:-1 gene:Ma04_g38940 transcript:Ma04_t38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPDLSLHISPPSISVDGASMMGVSFGDQNSAASGSSESDMSHDQPSSLSCDQGREASRGEPTLTLGIEVPLTGSRDSRHHHHHQHHPQVFGFKRNPRSSHGRSRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSSDRGGGGGGGGGGGAGTRTFFFLLHNKVFVLWGRQRPWITQ >Ma01_p01180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:806177:811529:1 gene:Ma01_g01180 transcript:Ma01_t01180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQTDLTPPTTDGGLTPQVPDVCGFQMSPLMFPGMVPFQSSDSDEHGSGIYAIPYLPYMGPMAGISPTMLIPLKYNIPTRQVSGGATDEHGQEVRQQHGPQRQVVVRRFHFAFQLDLGLIIKLAAMVFLLSQDGSPQKLVLLVLFALLVYLYQTGVFAPFIRWLQQAGAPPRQQAPMQPQNGPPVGHDGQNNPQRGEIYGVNNQNQNQPAENQGPPDANENHPEPEGHGNNFWRVVKEIQMFVVGFLTSLLPGFHNND >Ma01_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:806173:811529:1 gene:Ma01_g01180 transcript:Ma01_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQTDLTPPTTDGGLTPQVPDVCGFQMSPLMFPGMVPFQSSDSDEHGSGIYAIPYLPYMGPMAGISPTMLIPLKYNIPTRQVSGGATDEHGQEVRQQHGPQRQVVVRRFHFAFQLDLGLIIKLAAMVFLLSQDGSPQKLVLLVLFALLVYLYQTGVFAPFIRWLQQAGAPPRQQAPMQPQNGPPVGHDGQNNPQREIYGVNNQNQNQPAENQGPPDANENHPEPEGHGNNFWRVVKEIQMFVVGFLTSLLPGFHNND >Ma01_p01180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:806172:811529:1 gene:Ma01_g01180 transcript:Ma01_t01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQTDLTPPTTDGGLTPQVPDVCGFQMSPLMFPGMVPFQSSDSDEHGSGIYAIPYLPYMGPMAGISPTMLIPLKYNIPTRQVSGGATDEHGQEVRQQHGPQRQVVVRRFHFAFQLDLGLIIKLAAMVFLLSQDGSPQKLVLLVLFALLVYLYQTGVFAPFIRWLQQAGAPPRQQAPMQPQNGPPVGHDGEIYGVNNQNQNQPAENQGPPDANENHPEPEGHGNNFWRVVKEIQMFVVGFLTSLLPGFHNND >Ma09_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13210878:13212161:-1 gene:Ma09_g17660 transcript:Ma09_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVATVVIQHPGGRVERLYWPTSAADVMKSNPGYHVALVTLYVSETKTDGGGGGAGTVRFTRVRLLKPRDMLLLGQVYRLITSQEVAKALRQRKYEKLKKTQAESIRKQQQQQQQQQQHGTNDQCTDPAREETEEDSDATHQATNQERDRQKSRTQVAARGRHWRPSLQSISEAGS >Ma09_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1932864:1935066:1 gene:Ma09_g02810 transcript:Ma09_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHTLRRTKSRELSSSPSRLSSLSSSSSLKRSSGDSSTSSLASVVLWPSASAATEKSLCLADHLSDDRLRDLSAEPKKNGGRRFLHPMAAGNASPQSTILGRQRSYSQYSSRFKQEEKDEMKKMRHNLKVSSRPILGGSMRYIGEVIRFPPSPTPASSLPSSVLNSRSGILHGKPRLESVNLPNHDELRRPVRSSSSWSPSPAKRSVSPLVVEAPVASAEKGKSRSFTSSGLDLLRRKWQGSPSDTTKLKKGMVAHQLQLTWNRLIQWRWVNAGSDEVGLIKRTHAEVKVFGAWVGLSNLRARVARKRMQLEKDQLHLKLMPLLSSQLKILEDFSEMERQHTSALSAINDCLQASVCRLPLADGAKIQEQSLKCHLIQLHLEYS >Ma06_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8140981:8145954:1 gene:Ma06_g11690 transcript:Ma06_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVAGVDPRRTPSPQPWTAVRYPAPAMVMQHPMMAPPPPPPYGHPFVPYPHPPTPPPQPPSKSNRHQQGDEAAAEDEKRTIWVGDLQYWMDENYLLSCFVHTGEVVSIKVIRNKQTGQSEGYGFVEFHSHATAEKILQSFSGHLMPTTDLPFRLNWASFSMGNKRSDLSFDHSIFVGDLASDVTDAILHETFATKYPSVKGAKVVIDVNTGRSKGYGFVRFGDENEKKVAITEMNGVYCSTRPMRIGLATPRKSSGGLGPDGASATGSQSDIDSANTTVFVGGLDPEVSEDDLKEAFSQYGEITSVKIPVGKQCGFVLFAHRNNAEEALQQLNGTIIGKQTVRLSWGRNPARQSRAERSKRWNGVYFGGQAYDGYGLPPHYPGMYTVPYGAYAYYGNQQQVN >Ma07_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29140113:29148740:-1 gene:Ma07_g21040 transcript:Ma07_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENCWVTIWDLSIRRYIQTGREKEMKRVLIAEEVNKEKRREQVIRSQQVKINQELTLGIVAVDLQIRTCSLLKNRATHKDKKERDQVIAQGVLGSTVVGACEMRPTDEAASPAARRPTALWHKATYETVAEIIIKSKTIFMIPEDILHLEILPWLPNKTLSRFKSVCKRWFHLITYDTAFAWRHLSHHGSIGFIYIHDRKIEFRPINFLGKLDICKPISSFLPDDMQSASIVTSTNGLLLLKVKACGVFEKDTFYIWNLLTNEHNVIPQFCLNDYDMNVGLAYEPWATPTSYKLQPQATNDAMLLLDLYKRRTR >Ma04_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9549254:9555408:1 gene:Ma04_g12650 transcript:Ma04_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKAISLEEIKNENVDLERVPIEEVFEQLKCTREGLTSQEGANRLQIFGPNKLEEKKESKVLKFLGFMWNPLSWVMEMAAVMAIALANGGGKPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIVEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEHVILLAARASRTENQDAIDAAMVGMLADPKEARAGIRELHFLPFNPVDKRTALTYIDVTGNWHRASKGAPEQILSLCNCKEDVRNKVHSVIDKYAERGLRSLAVARQEVPEKSRESSGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQNKDASMAALPVDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVFGSYLALMTVIFFWAMKDTDFFSDKFKVRSLRHSEDEMMAALYLQVSIVSQALIFVTRSRSWCFVERPGLLLVTAFVIAQLVATVIAVYANWSFARIKGIGWGWAGVIWLYSIVFFFPLDCFKFAIRYILSGKAWDNLLENRTAFTTKKDYGREEREAQWAMAQRTLHGLQPPETANLFSDKNSYRELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Ma04_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10670284:10671745:1 gene:Ma04_g14090 transcript:Ma04_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQLSIGVLPSQGTPTPPSIDAFLGFQLADACCCFDQDICQRSTRKTPICRRGTRWLATRIPFTVRSDAPQQSISRPSVMEGWKILCFWIESMHQAVR >Ma10_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4660282:4665408:1 gene:Ma10_g01450 transcript:Ma10_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSIPHPHRLLLPSPSPLHPSSDASTIPRRSRFSPSAHRQIRSELSLSCGSHLIPHPAKVDKGGEDAFFVTNHNGGILAVADGVSGWAEQSIDPALFSQELMANASGLVVDEEVSYDPQALMRKAHAATSSVGSATVIIAMLEKDATLKVANVGDCGLRLIRRGQVVFATSPQEHYFDCPYQLSSEKIGQTYQDAMVCTVELMEGDIVVMGSDGLFDNVFDHEIISTVSGSPEVAEAAKILANLASNHSKDPNFDSPYSMEARSRGFDVPWWKKILGRKLTGGKLDDITVIVGRIISSSDSREVGYETT >Ma09_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8291909:8295086:1 gene:Ma09_g12290 transcript:Ma09_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKATNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDTEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVRHFSVEGQLEFKAILFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEEIIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRAKIAELLRFHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKETLKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLTLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDEKVEDTDMPTLEDADAEESKMEEVD >Ma01_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10674271:10675577:-1 gene:Ma01_g14600 transcript:Ma01_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSCIEDAMSDGEKRPEVPSPVADPIRRMGSGVSVVFDPTPEVGIEAEAESGRRLPSSRYKGVVPQPNGRWGAQIYERHQRVWLGTFGDEAEAARVYDVAAQRFRGRDAVTNFKPLSESDDEDSMVLFFLAAHSKAEIVDMLRKHTYHDELQQSKRFHAAGHGMGFGRRRMPSYYGSVRELLFDKVVTPSDVGKLNRLVIPKQHAEKHFPLKAGTAAACEGVLLNFEDATGKMWRFRYSYWNSSQSYVITKGWIRFVKEKSLKAGDTVSFWRSMGPEKHLFIDRKPRPENTSCTTKPVRPAHAFRLFGVTISELPASGGIGKTAAEKE >Ma06_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10643438:10646297:-1 gene:Ma06_g15720 transcript:Ma06_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEMEEEIEAVMERIWDLHDKISDAIHALSRAHFLRSIKSLAKSDARAVETAAGPCCGDGKGGFVFTKYFLAAAEDGAAMAAEARSLDAIRAALENLEDHFEFFHTVQLQQRAERDAAVAQLEQSRIVLAMRLANHQGKKYKVIEEALVFVGDVHDKGCFITPEALYDMPRSQSGDNLEDYEERRSSIFLQMVVSSLSLAKRTFRLENISGVFSHAAIFAASMLAFLQLHQISSKSCSPQMPDQLSCKRSERNTWVLDNSSQNGEIKHLDVLSARG >Ma08_p28750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40542251:40544128:-1 gene:Ma08_g28750 transcript:Ma08_t28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIFLLLILAMSGVSDAAWCVCRSDMSTTALQKTLDYACGAGADCTPILQNGACYNPNTVLAHCSYAANSYYQGKGQTQDACNFAGTAMLTSTDPGGNGCTYPASTSAAASSTSTSTTPSSTTTTGTTGTGGVLGGLGPTGTNSFDGSDGCLIPIQWIAALFLTILLSSLVLLKI >Ma03_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2957707:2959927:1 gene:Ma03_g04530 transcript:Ma03_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGRIRFNVGGKIFETTATTLANAGRHSMLGALLDDSWNPRQPVEGEAADAEYFIDRNPACFAVLLDLLRTGELHVPPNMPEKLLNREALFYGLLDQVRAARWGPFDGNRLQLVDSVSGRAPGDGTAIRAGPDGGCCVAHGSMVHVYDWMLEEHRPISLDYQQVNDVGYIDAESIVISARERLGKGDGGMGVFSSSTGELRHRFRVSHDNQVKSFTAGALSLGSSDYKIFASCKGRCNEYGIGVWDQITGQQVDFFYEPPGCSLGDADKLQWLDGDKCLMVATLFPRTDNCFIGLLDFRDKSVNWSWSDAGTSVSLSLDEKRVLHAIAIEDSRSICVVNQYDDLGFIDLRSNAGGVRWSSRSKLTNRKAPNEESCYPKLATHNGQLFSSMNDGISVFCGPEWVLTSTLRRSYGGSICDFSIGGDRLFALHSEENVFDVWETPPSLTI >Ma04_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6006810:6009153:1 gene:Ma04_g08380 transcript:Ma04_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCSPVTAACSSVSQGHQGPDSGHRWPGEVSCCHHSSALSCRCIAIGCCSS >Ma08_p28340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40300685:40304383:-1 gene:Ma08_g28340 transcript:Ma08_t28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRTPTWRERENNKRRERRRRAIAAKMYSGLRLYGNYKLPKHCDNNAVLRALCEEAGWTVEEDGTTYRKGCKPTEGRDVVGPSASPSPRSSSHQPSPCISYNPSPASSTLASPESSTLVTNTKNTASGTEGNSLVPWLKSLSPASSSTSSKIPNIHHLYIHGGSISAPVTPPLSSPTARSPRIKTVWDDPNFQPPWAGSNHNFFPSSMPPSPGRQVTPDAAWLAGLRLPSGGPSSPTFSLVSPNPFGLYKEASGSRMWTPGQSGTCSPVPGGHSHGNVQMSDVGSDEFAFGSSSNHIPTTVGLVKPWEGERIHEEFVSDELELTLGSSNTRSVA >Ma11_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16154629:16159854:-1 gene:Ma11_g12270 transcript:Ma11_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVSLLLRRRRSCVASSPPKLLALLLVSRGKIPQFPPSARSLSATPLPLPSDPPDSPKPSLSTRLSFVFDQIDAIDRDRTAKDAALQRIRAWHQNKPPPPPAADGKFAPPATCASTPSEVHDLAADVRTDESVRDVLRKEVELVHPWPEWIELMERLAQQNYFDFRRTDEERVAENLSIDLSRIKEEMGFDFSRDWTTVRNACMNLGRDRFDILRSLSRKDLQVLVGHGCPSMDPKVVFSAKLLRKLVHLDEGDVCSSCSLRNSCGRGYILTRKEDEARTLDVMRVLLTFGFDHVKETVENKPLMKMKSVKTVVRKLLHEIVKLSAIPIDPNLPPPIIQKPPPKVKQPPPPPKKRVGRGDVEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPQCNFLNYRRNLACFHCDHKRPPDEYTDNQMHLKQSGPRTRLERTTRMRDVSNAWNFDFDDNESDGADVAAFEFADFHKSNVGTPDNRSHRGTDMEFEDDTSHTARVSRTREQDQSFKEADERKSTFNSYRTGFDDFDDKEDDDVDSYELDSPRASEVSRMNYSELEQTSESEDFEEFDHHAKSSQGAKDYASDSEDDARANHPYWRPNHAADARRKSGGRSHTRPFREMPFNSDDDYELGSDSDEMDGSFRSKQGKGHQENLHRASRGRISDSDDGSLLGMQSDDDDLRARRNKKRGKSTYGGSQREFLSTDRINGRRNSSVSGHDGLSSRGLHMRGRGPGRGSGRGGHGNFRANERFQQFDRQVDRKGFGFRQQGRRNQFPNNGTRGSRRNVRDSEW >Ma07_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2473311:2475008:1 gene:Ma07_g03220 transcript:Ma07_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKVASLRPLPGVGEVEDDNVAPLLSGHRLAWEMSAIVSALTRVVSGTEPISASSSSSPSSSSCISSSCSLQSLGDETGLAGRQSRVREALPPEVPSTYFRAFVGAGSHPVATPPLHVAATDQRKQSPIAAAPTMSMEEPSPPPPPPPPHQKDEEAAAQRKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEQAARAYDEAALRFRGSRAKLNFPENVRLHQPPPPVSPTTQLTVSCSVAAPPWDAQSSTADYLEYSRLLQGAGEYQRLPATSLFDSVMYSSYAPTMVSAVDDGSLASHSFPTFSVSSSSVSSSSSAYPLFYDSGAIEHQMNWTVGAELPSWMDSTGFPPSSSGYT >Ma06_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23756296:23759444:-1 gene:Ma06_g24710 transcript:Ma06_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASDAVDVGGAQPKKRTFRKFSYRGVDLDQLLDMGLDELVKLFDARARRRFQRGLKRKPMALIKKLRKAKRDAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Ma06_p24710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23756295:23759444:-1 gene:Ma06_g24710 transcript:Ma06_t24710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADASDAVDVGGAQPKKRTFRKFSYRGVDLDQLLDMGLDELVKLFDARARRRFQRGLKRKPMALIKKLRKAKRDAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Ma02_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26009486:26011900:1 gene:Ma02_g19880 transcript:Ma02_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDSVPVGRIKTVVVLVQENRSFDHMLGWMKSINPAIDGVGNDREHYNPLSTADSNSRRVYFGDQSEYVDPDPGHSIQAIYEQVYGVPFSAGATPITPPGVVHPPMNGFAQQAEKEKPGMSSTVMNGFRPAAVPVYESLVREFAVCDRWFASVPTSTQPNRLYVHSATSYGLTSNDTMKLAEGLPQRTIFDSLDEAGFSFGIYYQYPPSTLFYRNLRRLKYVGNFHQFDMAFKDHCKKGKLPNYVVIEQRYFDLKILPGNDDHPSHDVSQGQKLVKEIYEALRSSPQWNEILFIITYDEHGGFFDHVPTPVGVPSPDDIAGPEPFYFKFDRLGVRVPALFISPWIEPGTVIHRPSGPYPASEFEHSSIPATVKKLFNLKEFLTKRDAWAGTFETVLTRTTPRTDCPETLPEPKKLRPTGAAETAKLSEFQTELVQLGASLNGDYAKDIYPRRLVENMTVAEGAQYVQDAFKTFLEECERCRKDGDDGSHVVVVNPTKDAVGPKGKRSLVEKMFSCLSCNRS >Ma03_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26679721:26687033:-1 gene:Ma03_g21760 transcript:Ma03_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKEDEEKVLRCQINEWYPMFKPHTIRTLFHPLPDPFLRYLLGLNPVSPPPHADSHSDDDDDAPPPFLLPRPASGRDPLPRPAAGIDPTSLLDCSSQLSVSDEDEAEADSAPSFPELEAAVERSITALGGAAFPKLNWSAPKDAAWISADGTLRCSSFADVALLLHSSDSIAHDLSSHPLPSSSSSSSSFPLFTFYLALRKWYPSLRPEMEFRCFARCRRLIAISQREVTNFYPALLDHRHHILPLIQTFFSEVVGPTFESQNYTFDVYVTSDGRVKLIDFNPWRAFTLPLLFTWEELEEEAFNSKEEAVDKEQGEEEARLVEFRIVESQCGVRPGLKTAVPYDYLDTGEGSGWDQFLRRADEELRKQAKTPLHPSNDA >Ma04_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20637543:20638338:-1 gene:Ma04_g18590 transcript:Ma04_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVTTSPKDCSKKGFHLNKPNKKILYSLFSLLVSILSVVFLIWLVLHPTKPEFYLRDTAVYELSLASPRLLNSTIQATVVSKNPNSHVGIYYDRLRAYAAYKGQQITGDSELPPFYQGHQDINILSSSLYGIAMPVAPSFGYHVSRDQTAGRLYLEVKLDGKLRWKVGSWVSGSYRIDVDCVAVIVPRPGADPGPMSLVQGTHCSTTV >Ma07_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9604410:9605802:-1 gene:Ma07_g12770 transcript:Ma07_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRLPQPTCSSTVWICYDLLPTAQAIGPLSCPFPVPLSSSSPTLHCLMDPESELVFDFPPFLRLYKSGHVERLIGTDVVPAGVATATGVASKDVVIDPQTGLSARLYIRCSSDESGKRKLPVLVYYHGGAFVIESAFSPTYDGFLNNLVARVDFVVVSVEYRRAPEHPIPAAYDDSYAALNWVASHAGGSGGPEAWLAERGDFGRVFLAGDSAGANIAHNVLMRTRTDALPNAVPITGLALIHAYFWGKEPVGSEPTDPMFRQWLETTWGFVCGWSFGIDDPRVDPFGDPAILKALPCRRVLVSVTEKDWFRDRGKAYYEKLQESGWEGEAELLETEDEEHVYFLSKPDCDKAAVELDRLVSFLTRD >Ma07_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2419341:2420406:1 gene:Ma07_g03110 transcript:Ma07_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEERLVQMVHDFMESDAPPPPTTPSATGTTSLHQQTLLLLEGILGDNTHAEMEVFEKALKHVRDAGDERKRSKVNKRLMMRLRMDGYDASLCRSSWVATMECPGGDASHLCSAEAEGDYEFIDIVMVDGNGVSTRIIIDIDFRSQFELARPTLAYTQLSSILPPIFVGKEEKLKKVVSLLCSAAQQSLRERGLHIPPWRRSSYMQAKWLSCCQKASTIPYTSSSIQDIAKLKGRHASTKSKGRDAESKGPKGSALSSQFSHLSINCC >Ma04_p34110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33439919:33444540:-1 gene:Ma04_g34110 transcript:Ma04_t34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSKHDLEDGGEDTARAATTPSGKEAVKSLTSQIKDMVLKFSGSHRQCKGGSSSFRSKSVRHQPHGSYNIDDAGSDIGGRYGQLHAASSNSTPAWDFMSVNEEARCRAKWAPGPGGGMVASEDVVLEDDNDPKEWMAQVEPGVHITFVSLPGGAGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALPTPPRSDDGGERESFCSRVGSTRESPVAPPVAKERLTTRYNYRPPPPPPPPPPPPTSGKRVYSPSVPDPSEHILPHYLRSAAAAAAAAGASSTAGASGIKGETSSVEASRTTTSSRDEASVSVSNASDIEITEWVEQDEPGVYITIRELADGTRELRRVRFSRERFGEVHAKLWWEENRERIQAQYL >Ma06_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10442810:10445952:1 gene:Ma06_g15390 transcript:Ma06_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACASLASSLPWVFLCSPRPRASTPRPPHPFLRCSSATSDFSHHTGKLENLIAHVQNPSSNVSIDQLESQVPMTGGAYDFKGATTSLTNERLSSPKKVTLVRHGLSSWNNENRVQGSSNLSILTDIGVGQAEKCRDALTDISFDICFSSPISRAKSTAELIWHGRDKPLVFLHSLKEAHLFFLEGMTNADAKMKYPELYTTWREDPANFNVDGIYPVRKLWGTAREAWREILSSPGESFLVITHKSILRALICTALGLSPERFRAVDVNNGGISVFTFNRRGEAILQSLNMTAHMYSNHIYHY >Ma06_p33160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33897966:33898091:-1 gene:Ma06_g33160 transcript:Ma06_t33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMYIYIYVYICVYICIYICVYICIYMYICMYNVWSLRYLL >Ma04_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22784909:22786741:1 gene:Ma04_g20040 transcript:Ma04_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLPALLVFVVLLTSLPSRCLSFHDSDDIDYWCSNMPHPEPCRYYLGNNPHVEIPKDTAQFYQVSLRLAFDLTVRAQSQLKRRGPACRQARERTAWLDCWKLYDNTVLQLNRTLDAPHGACTAFDSQTWLSAALTNLQTCLTGFNDTGTSSEIIEPVTRYNVSDLISNCLAINRPAAAAAATNVSTTTDRGRVSSWMMIANRRLLQLSASADLVVAKDGSGNFRTIKEALDAAAARMQSGRLSKFVIYVKAGVYNEYVQVTSSLSNLVMLGDGIGKTIITGNRSVASGYTTLSSATFSVFGDGFKASGITFRNTFGPGSQAVALLSASDLSVYYRCSIEGYQDTLFVYSQRQFYRECDIHGTIDFIFGNAAVVLQRCNIYARRPRHGESNVITAQGRSDPNQNTGIVIQFSNIEPAAELLPVRKTVRSYLGRPWMQYSRTLFLQSYIDGIIDPAGWLPFNGNFALNTLYYGEFENTGPGSRMSKRVKWPGYHVIQRRSIVRPFTVGRFIAGRSWIPSTGVPFDPSL >Ma02_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20948718:20953426:1 gene:Ma02_g12110 transcript:Ma02_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTPLLVLTFSLAVLSIEFGISHGCRETERKALIDFKRGLHDPSNRLSSWVGEDCCAWEGVGCSNISGHVIKLDLRNRRRMHLYEDCTHQEVYYLSDDDPGCKWAVRGDITPSLRSLQQLNRLDLSGNYFMHKPIPKFLGAFRRLTYLNLSGAGFVGRVPDQLGNLSTLQHLDLSYNRYWDDEGDGFFSLYLENTRWISMLTSLRHLNMNWVNLTNASNWLQDLNVLPRVQEIELSSCDLGTFPRSLSHVNFTSLTTLDLRYNNINSTIPDWVFNITSLEFLYLGGNDLHGFFPDSVTKLTSLRALDLSGSVFQDGFMQVAPISNLCKLQILYLSQVPINDVLANLEMVFSGCLRNSLEELNLRGTQLSGSFPDWLGNIKNLKSLDLSINSLCGSVPASIGNLSLLQHLVLYSNDLNGTISEGIGQLKSLVYLDLKSNSLSLSEDDLANLSNLKYLGISYNFIELNKSDDWIPPFRLQSLFMDFCQIGPTPQFPKWLRTQTTLRQLYLSSASIKDMFFDRLPSSLEYLDLRNNSLSGHLPPKILNMMPRLKYLHLSDNKITGVKDMILDGHPSSLEQLDLSYNSLNGSQLVSLGNLSMLRSLNLGSNNLKGMLPEGIKWLKGLVDLDLYDNSLILSEDDLANLSRSNNLNGMLPEGIKWLKGLTDLDLYNNSLSLSEVQLANLSSLKYLDISYNSIYLNKSDDWIPPFQLNTLRLGFCQILPRPHFPKWLRTQTTLDEIDLSNTDLSSNKLVGGVPDSLCNLQTLESLHLSHNNLSGPIPHCLKSCTELATLDLGHNNFIGNIPTWIGEGLLYLKTLSLCSNAFTGSIPRLSSLPSLRILDLSNNNLSGTIPQSFGDLSALKSAPMYHCCYFKITHLYEEDMWLTIKGREIKYTTIRQLSIDTLIDLSNNYLYGNIPEELGNLHGLRSLNLSRNYLTGEIPSNIDGMQQLEILDLSRNNLSGTIPSTLAALNFLNDLNLSYNNLSGKIPTGSQLQTLNDPSVYNGNPNLCGPPLPKNCTAKANEEEQNEDSSNSRMETLWLYTSITLGFIIGFWAIYGSLLLRRTWRIAYFRAIDNMFDKLYVMMVVTVAKYKRKL >Ma03_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4011536:4014128:-1 gene:Ma03_g05840 transcript:Ma03_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g48910 [Source:Projected from Arabidopsis thaliana (AT5G48910) UniProtKB/Swiss-Prot;Acc:Q9FI80] MNLNSNIATSLNPSPCLPLLSPPTCPSSSPSSLVSSLKTSKTLRDLHQLHALAIKTGLFGDPLVAAEVLRSSVLSEHRDLRYGRRVFDQMPEPNCFSWNTLIRAYSESDGGHPSESLLLFSRMLLCETARPNRYTFPSVLKACARAEAIREGKQVHGQVIKLGWDDDAFVLTNSVRMYACCGFMEDARKLVEKSSLSPESESSVVLHNIVIDGYFRLGMVEEARQVFDRMANKSVISWNGMISKFAQTGHFKEAICVFRKMQLEGVKHNYVTLVSVLPAISRLGALELGEWVHAYAEKNVVEVDDVLGSALVDMYSKCGNIDKAVQVFEGLPKRNPITWSAMIGGLALHGRASEALDYFQRMEKAGVVPSDVVFIGVLNACSHAGLVDEGRSYFNRMVNVYRLSPRIEHYGCIVDMLGRAGLLEEAEELVVNMPVKPDDVIYKAFLAACKKHGDVEIGMRAAKCLMELVSHHGDSYVLLSNLFASLGEWDTVAKLRLTMRELEVRKDPGCSWITIDGMIHEFVVEDYTHPRTKEIYLMLEEMAVKLQEAGYVPDTAQVLLNIEEEKKESTLFYHSEKIAIAFGLISTSSGTTLHIVKNLRICGDCHSSIKLISKVYGRRIIVRDRSRFHHFEDGICSCNDYW >Ma02_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25633300:25639315:1 gene:Ma02_g19480 transcript:Ma02_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDWERETVPRVMAIVSPRLPQRDVYSVLMVSPWCYRAFLSVPTLWEVLDLHEMSKAGERLISALSLVRYEHIKKITLEFAQEVEDEHLILLKSQRTETTLRDLQHLNLNGCQKISDKGIEAITACCPNLRSLSVYWNVRLTDLGIKHLVKNSREVVSLNLSGCKNITDHSLYLIADSYHGLEELDITRCTKLTDSGLQQILLKCFSLRSLNLYALSSLTDAAYEKIGCLAHLTFLDLCGAQNLSDQGLSCIARCKHLVSLNLTWCVRVTDVGVAAIAEGCRSLELLSLYGIVGVTDKCLEALSNFCSNTLTTLDVNGCVGIKKRSRDDLLKQFPLLRCFKVHS >Ma06_p38900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37523039:37524808:-1 gene:Ma06_g38900 transcript:Ma06_t38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPIPYPSPNGLAVHHRIARPRSFMPLVAHVTPHVTVPSSAPSRFNVSYQTRSVPRQIGTGGRRIRAVRWGRVAARTRKADHNVRPRAPRFPPRKEEREGEGKEEQVSIGKRWREYQGANNWDGLLDPLDDALRSEILRYGEFARAAYTCFDFDPSSPTYGTCRFPKRSLLRRSGIPDTGYCISRNLTATSGARLPGWAEPGAPAWLSRGTSWIGYVAVCQDEDEIERLGRRDIVISFRGTATLLEWMENLRATLTHLPSVVVPAALGSDAAEPMVERGFWSLFTSSSSTRRSLRDQVRQEIRRLINKYDARGKPLSLTVTGHSLGAALAVLTAHDITTAFQDVPMVTVVSFGGPRVGNASFRRRLEERGTKVLRIVNTQDIITKVPGFVVEEDRRVAADDDNGWLPSWLLSKSGWVYADIGRELRLNGRRTANVVACHDLGVYLNLVNQLSANCPLRSLAMRAATSQNQGPILG >Ma02_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19396423:19397950:-1 gene:Ma02_g09330 transcript:Ma02_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLHEGQEKMSQSDPSSSILMEGAEADANVKIKKAYCPPKIVEGNPCLEYIKYIVFPWFGYFEVVQQVENGGTKMYNNMEELILDCESGALHPADLKPALSKALNVILQVSVASVGFSFSFYGCPGIQDY >Ma09_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6306610:6315136:1 gene:Ma09_g09590 transcript:Ma09_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLLRASVCLLLLLFLHQKLAASANGGDSFTYSGFRGANLTLDGVAAISSDGLLVVTNKTTQIKGHAFHPSPLHFRNPSNGTIFSFSTTFVFGFVSEFTNLSGNGMAFLVSPTMDFSKALGSQYLGLFNQSNNGNSTNHVLAIELDSIFNPEFADMNDNHVGIDINGLKSNKSQSAGYYADDSGLLNTLSLRNCEAVQVWVDYDGQTKLINVTLAPVRMAKPHKPLLSDTIDLSSVLLDPMFVGFSSSTGPFLTSHYVLGWSFKMNGVAPDLDDSLLPVLPCPRSKGKSDVLVIVLPLASAGLVLITVGIIVLLVRRRIKYAELLEDWELEYGPHRFSYKDLFEATKGFRDKELLGMGGFGRVYKGVLQTSKVEVAVKRVSHESRQGMREFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMQNGSLDKFLYDEAKPTLDWPTRFRIIKGVASGLLYLHEDWEQVVIHRDVKASNVLLDHELNGRLGDFGLARLYDHGTAPKTTRVVGTMGYLAPELARTGKATTITDVFAFGIFVLEVACGRRPVDPITFGEELILLDWVLENWRKGCIQETSDPRLGEEFVVEEMELVLKLGLLCSHPLPAGRPSMRQVVEYLEGNAPLPELSPTYLSFSVLAMLHNEGFDDYIMSYPSSILICLLLLLLHQSLAASASSGNDDFLFNGFRGANFTLDGIAAITSDGLLMLTDKTMEIKGHAFQPSPLRFRDVANGTAFSFSTTFVFGFLSDYTNLSGHGITFIVSRTKNFSQALGSQYLGLFNMSNNGNSTNHVLAIELDTIRNPEFHDIDNNHVGIDINSLTSNQSYTAGYYPNDTDSFQNLSLSSGRAMQLWVDYDSGKMLLDVTLAPVPVAKPRKPLLSAPVDLSSVFSDTMYVGFSSSTGPFLTSHYVLGWSFKMNGVARALDYSLLPSLPRTKSDRRSKALRIGLPLASAALVAIVVGIIVLYVRWRIKYAELLEDWEVEYGPHRFSYRDLFNATKGFHDKELLGIGGFGRVYRGVLPSSRSEIAVKRVSHGSRQGMREFIAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDNFLYDQDKPTLDWATRFRIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDHEWNGRLGDFGLARLYDHGTDPLTTHVVGTTGYLAPELARNGKATTVTDVFAFGAFVLEVACGRRPVETMADEEQFVLLDWVVENWRKGSILDTRDARLGEEYVAEEVELALKLGLLCSHPLPAARPSMRQVVRFLEGDAPLPELSPTYLSFSVLGLPHNEGFDDHIVSYPSSSVATASVVSGISGGR >Ma04_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1111602:1113254:1 gene:Ma04_g01260 transcript:Ma04_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQLLSRKAACNTHGQDSSYFLGWQEYEKNPYDPKTNPTGIIQMGLAENQLSFDLIESWLESHPDATGLRRDGVLVFRELGLFQDYHGLPEFKKALADFMGESRRNTVKFDPHKLVLTAGATSANETLIFCLAEPGEAFLLPTPYYPGFDRDLKWRTGAEIVPVRCSSSNGFRITKAALEKAHRRARKLHLRVKGVLMTNPSNPLGTTMTQVELDTLIDFVVAKDIHLISDEVYSGTNFDSPGVISVMEAIQGRKNVAHRVHLVYSLSKDLGLPGFRVGAIYSNNETVVAAATKMSSFGLVSSQTQYLLSALLSDKEFRRSYIVENQRRIKERHDLLVRGLEKTGINCLNSNAGLFCWVDMRHLLKSNTFEGEMELWKTMVYQVGLNISPGSSCHCDEPGWFRVCFANMSAETLELAIQRLDDFVVSCHGHKVICNSGCRMQSCMPKWILTLPSSDRMLER >Ma01_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12496311:12497998:-1 gene:Ma01_g17070 transcript:Ma01_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLMEGREHQLPIATTTTTTATSAKAQTKLLDSVVAMKAMSKLGGFHAGYFRISLSLCGQALLWKTLSEPSTDSRALRLVVRLLPSATYVLVWSVALAVLVALCFLYALRCFLRFRCVKAEFSHHVGVNYLFAPWISWLLLLQSTPAFLHPGAALYRVLWWVFSVPIIMFDVKIYGQWFTEGKKFLSMVANPTSQITVIGNLVCARAAARMGWEEIATCMFSLGMAHYLVLFVTLYQRFVGCNSLPAMLRPVFFLFIAAPSMASLAWDSISGSFGTGSKMLFFLSLFLFASLVSRPALFKRSMRRFNVAWWAYSFPLTVLALAATEYAQEVEGGVSNALMLVLAVLSVLVTVALIVFTAIKAGDLLPHGNDPFAPPFSP >Ma03_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14533844:14535254:1 gene:Ma03_g14750 transcript:Ma03_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFHKAVAQAPQELHSPESAAGIPPKSGSVRRQPKNPDEILRDFHAAYSGQSFSASFSGGAALACVGPRAPRPSFHQRLFCSYDEVYCMFVGSLGNLSALIRQYGLCSKSTNEALLVIEAYRTLRDRGPYPADQVVKDFSGSFAFVVYDNKTSTVFAALSSDGGIPLYWGVAADSSIVICDDREITKESCGKSYAPFPTGCMFHSDGGLRSFEHPLNRLKAMPRVDSEGVMCGASFKVDTFAKINSMPRVGSAADWTSWDNSH >Ma09_p28140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38974310:38975837:-1 gene:Ma09_g28140 transcript:Ma09_t28140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPRLKWTSQLHQLFVDAVSQLGGVDKATPKYVMRVMGVPGLTLHHLKSHLQKYRLAKNRESSIPRGNRRRDAKVTHRWTSEDATTQDEANEAPPQCETTLKMQMAVQRKLQEQIEVQRHLQLRIEAQGKYLQSVLKKAQEALAGYSSSSIGIEAVRTELSELVSAMDTESLSSSISPGDSSAESCLTCGDVMETKEGNSLKQDDSSSALHRSQESDEFSQKTTKRMQNDVPDDLCRAKRSCRRSSFTIQEELDLNI >Ma09_p28140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38974310:38975841:-1 gene:Ma09_g28140 transcript:Ma09_t28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPRLKWTSQLHQLFVDAVSQLGGVDKATPKYVMRVMGVPGLTLHHLKSHLQKYRLAKNRESSIPRGNRRRDAKVTHRWTSEDATTQDEANEAPPQCEYAGITNCPYLDSSACSVDSAHQNVLCVGYRTTLKMQMAVQRKLQEQIEVQRHLQLRIEAQGKYLQSVLKKAQEALAGYSSSSIGIEAVRTELSELVSAMDTESLSSSISPGDSSAESCLTCGDVMETKEGNSLKQDDSSSALHRSQESDEFSQKTTKRMQNDVPDDLCRAKRSCRRSSFTIQEELDLNI >Ma02_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21533885:21534967:-1 gene:Ma02_g12970 transcript:Ma02_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTEKTFHVRSVSLPSRTHPTTFRVEEELHKLKPFVESSLASMPSITNEVICNGLKDLAALYDGIEDLLHQPNIQQGLRRSNQTKALEEDVDGSMRLLDLCGTMKDAVVTSKEHAQDLQLALRRRGDSSTGEKVHAYICSRKETQKTIKKCYKDLKQMDAKCQCVSLTSEDSDLPVISRLLNEARMITRSLLCSILQSLVMPKTKATWWPFASKAMRVASKKGLLGIWHADASSLCAALQDADAEKTMMGQNQLKALEISLGDLENGLEHLFRRLIQNRVSLLNILSL >Ma02_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14385591:14399250:-1 gene:Ma02_g03040 transcript:Ma02_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGQPPRRPRQGPWPPAPDPTPAPAPLSWAKRTGFKGRVSGESNASNSGQIALPRPKEPGSNLDLEAGSRDNVATPLPPPPGAAAAAVAVNGEPENRAGGGGGGAVPLPADQTARKSRDSDGGNKSAGSGPNGQVVRFDEPSRHRREEETATLPQLEEDEEGFPSRQVHIKYELRDSPGLVPIVFYGFQHYLSIIGSLILIPLVLVPAMGGNYEDTSALVSTVLFVSGVTTLLHTLFGTRLPLIQGPSFVYLAPALAIINSPDFQGLNENNFKHIMKELQGAIIISSAFQAIMGYTGATSLLLRLINPVVVSPTIAAVGLSFFSYGFTQVGNCLEIGMMQILLVIIFSLYLRKIRIFGHRIFLIYAVPLGLGVTWAIAFLLTESGVYNYKGCDINVPASNTLSAYCRKHVPRMKHCRTDTSHAIKSSPWFRLPYPLQWGTPVFGWKMAIVMCVVSIIATVDSVGTYHASSLLVASRPPTAGVLSRGIGMEGISSILAGLWGTGVGSTTLTENVHTIAVTKMGSRRAVELGAVILILLSFVGKVGGFIASIPDVMVAGLLCFMWAMLAALGLSNLRYSETGSSRNSIIVGLSLFFSLSVPAYFQQYGLVPNANSSVPSYFQPYIVALHGPFHTGYKGIDFVLNTLLSFNMVIAFLVAAILDNTVPGSKQERGVYVWSEPEAARREASVTRDYELPFKVGKVFRWVKWVGL >Ma01_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16455332:16461952:-1 gene:Ma01_g20020 transcript:Ma01_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMESLFVRPSATVGVKPVRHSRGSHLLDQQRPRRFLHLGAGAVARDGASATNSSLPQCSGVYTVSDFMVTKEDLHVVKPTTSVDEALEMLVEYMIAGFPVIDDDQNLVGLVSDYDLLAVDSISGTGRIDRSIFPEVDSTWKAFNEIQKLLSKTNCRVIGEVMTPAPLVVRETTNLEDAARLLLETKYRRLPVVDSHGKLVGIITRANVVRAALQRKHENNKTSPV >Ma11_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24116349:24144395:1 gene:Ma11_g19180 transcript:Ma11_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MAQRSTALPFQRPLSFPICPPRVTEFPLVSPVSSVARPCPRLRRRPARILTLTSRRTRGGTAARASTPQQQVEEEEVPAGEEGAGWGKVSAVLFDMDGVLCNSEELSRLAGVDVFAEMGVPVTTDDFVPFMGTGEANFLGGVASVKGINDFDPEAAKKRFFEIYLDKYAKPNSGIGFPGALELVMECKRKGLKVAVASSADRIKVDANLTAAGLPVSLFDVIVSADAFEKLKPAPDIFLAASKSLNVPQSECIVIEDALAGVQAAKAAQMRCIAVTTTLSEDILQQAGPSLIRKEIGSVSIDDVLYGRHSINHNDKRQETHQIGSQSGTTMDVLTEEVNSSAVQDVKFISEKTNFLGGLQGSRREILRYGSLGVAVSCLLFTVANWKAMQYVSLKGLMNLFTRANQPISGKTEGKSRSSRIQQMKSYLSDLEARGSASNVPEFPSKLDWLNTAPLQFRRNLKGRVVLLDFWTYCCINCMHVLPDLEYLERKYGDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVVGPNGKILLQISGEGHREDLDNFIDAALQFYGEKKLLESTRIPLALEKDNDPRLSTSPLKFPGKLAVDVLNNRLFISDSNHNRIVVTDLEGNFIIQVGATGEEGLNDGTFDRATFNRPQGLAYNPKKNLLYVADTENHALREVDFVNEIVRTLAGNGMKGSDYVGGGQGTDQVLNSPWDLCYEPFNEMIYIAMAGQHQIWEHNTLNGVTRVFSGDGYERNLNGSSSTSTSFAQPSGITLAPDSQEVYVADSESSSIRSVDLKTGGSRLLAGGDPLIPDNLFRFGDHDGIGTDVLLQHPLGIFCRKDGQIYIADSYNHKIKKLDPITRKVTTVAGTGNAGFKDGPPQLARLSEPSGIVEAGEGRLLIADTNNNIIRYIDLNEKDPMLHTLELRGVQPPSSKPKLLKRLRRRLSADTEIIKIDGGSSKEGVFYLTVSVPEGYHFSKEARSKFDVETDPVDVINIEPINGEFSPDGSASVHFRRNSASPVMGRINCKVYYCKEDEVCLYQSVAFDVTFREEEPETTEAVVKLSYTVQPRVPSGTSQLILSK >Ma03_p30250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32963816:32964786:1 gene:Ma03_g30250 transcript:Ma03_t30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEHQPQKKKHKARPASYYTVLGVGRDASVAEIRSAYRKLAMKWHPDRRGREPWTVEEAKRRFQQIHEAYQVLSDEKRRRLYDSGLYDPLQDDEEEVEGFYDFVQEMVSLMARVRREEKQYSMEELQQMLPEMAQDFGSPSWPCWSADRGSSRGSKWFADDMGREARRKTRLHYPGVELFGSMSR >Ma10_p29240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35888423:35902781:-1 gene:Ma10_g29240 transcript:Ma10_t29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQLEQTSSPPVTSPVNQSSTSPRQDASARDTQSIFSTSGISSWARNIKFPQSSGQDDVQSVNAGKSTFSRLTSGIGFRSSPKSPQSEETVAEGTSTTTQPGVFGSLTKGLVDTSRSAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMEYYNQKRCIDGKGLVLPSQIRYVKYFERILTYFDGENQPGRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFSTKKHPRTKDLMPEDFWFSAPKKGIMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTFMEDRKILSTSDLDGFDKRKLPSPGFQVEVVLVNYDGSNPPRQSSSNANKESAGGTTSVTATKESSTPPSTADRDSGNQDKDDVFSDSEAEETGSSKSKRDRMVGDKDGTTITAKGIEIKSSKEEAATISQDISQISLKDEADSKKNPDAADAKIEGSSKSSITETPELESTTMSEFKAIAADASVFSFGDDEDYESE >Ma04_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5341116:5342212:1 gene:Ma04_g07370 transcript:Ma04_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTASTDGRVDTDPRLPSPRRRVCFSFAAYAKAVVAHLRASGVPVAPGLSDAEFAAVESAYGFKFPPDIRSVLCEGLPVGPGFPNWRSASPQQLRLLLGLPATSILHEVTSGGFWPRAWGPRPQDPSVAVAAAKVVLRRAPSLVPIYRHFYMPASPSLPGNPVFYVRGGDVRPAGLDLADFFQRERPRGWTAGALAPAWVATSARTVEVWTELSEEKAWQERKRGGSPWEVRVDEMLKQAGQRLREGGWRDEEVGEMVLWGSDGYGIGAFAAADPAAVLRDQEGVLRQLQLLSLALLRSGWGADDVVESMGWARVEGSEGDYGDMVCRDTHPDGATPVDTI >Ma09_p25990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37350473:37350968:1 gene:Ma09_g25990 transcript:Ma09_t25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGHIKSIKIGARGVIDYVAFADVFEGTTYETVRHGGPGGGLNVACNVLLPSKKAVDLSDEEYMNNISGCIGELAGTPCISQLTPCITSFNLPVQEGKIVGLFGRAEKYLNAIGVYLMPK >Ma03_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1525731:1526809:1 gene:Ma03_g02220 transcript:Ma03_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQPHTNIAACCCLLFLSRMGFSFFFSTSSSSSSSSTPVSGTAQDKKSKRRQPQDNGDEANGSGGSNTTTEGGGMRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARALRGPRARTNFAYPDLPPGSSLTPFLSPDLQPPPCSFLVSTPPPVQATLPDAVGGQGGYYNATTSTIPDDGVSCYRQQQQQQHQEQGLQYPASALPPLPQSPPPAPEVASPWDFGGATAGGEEHLAGLGEFGGYGSPASHGIFFEEGYVHSPLFGPMPAVDDAAADGFQLGGSSSSYYY >Ma08_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11128172:11130937:-1 gene:Ma08_g14010 transcript:Ma08_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMVSSRSGVDPRSGYCDANSTFYSKRPPIALPADPNLSVTAFLASRRHSGTTAFIDAATGHRVSFTALWRSVAAVATALASPPLSVRKPHVVLLLSPNSVHFPVVSLAVMSLGAVLTTTNPLNTPVEIGRQLADSCPVLAFTTRALIPKLASAPDLRIVLLDDRRRPSDDRRIVATIGEMIATEPDPARTAGAVSQDDTATLLYSSGTTGTSKGVVATHRNLIAMVQIVLNRFKLEDGAEPETFICTVPMFHVFGLVAFATGLLGSGSTVVVLSKFELGEMVRAINEYGATYLPLVPPILVAMANQSRPLPLGRLRRALSGGAPLSREVIEGFREKYPAVEILQGYGLTETTGIGASTDSAEESRRYGTAGMLSPNTEARIVDPDSGAALPVNRTGELWLRGPYVMKEYFKKPEATRTTLVEDGWLRTGDLCYIDEDGYLFVVDRLKELIKYKGYQVAPAELEALLLTHPDIADAAVIPYPDKEAGQIPMAYVVRKDGSNLSEEEVIKFVGRQVAPYKRIRKVAFVSAIPKNPSGKILRKDLVKLATSKL >Ma10_p22260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31721856:31724772:1 gene:Ma10_g22260 transcript:Ma10_t22260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTSWLSTVKKVFKPKDSSPPSDHRWEREADAEAEAEENDGAAAEIVSVEHFPAETSPEATNHESGGGWGEEVAERERAIAAATRAAAAAAEAAARVVRLAGCVRPSKEERAAVVIQSVYRGYLARRALRALRGLVRLQALVRGHHVRKQAHMTWRCMQSLVRVQALVRNRRLQVASHRNVLFSPFPAAAAATHHGPYQFRHPKMRAAPRDHLQDFHLMEEEDEEEEEEEADGGGNRRVYMKSHNSKSLGLRNWDGRQQSLDAIAVNSQRKHNALVRRERALAYAYTYQQQQQQQRWHEKPQWGWNWLERWMAAQQWEARHGAPPPQPMSSYVTATAMDGLSEKTVEMDPGRRSPINPMHYSYHLRDDPGRQPAVPSYMAATQSAMAKVRAQAPPVTKWNSTSRRAKSGNMADSSSSGGGTSATINPVGRSPIHMGVGLAGRHTGYSPDSSCGGDDCTPPFGGRGRRTTVNV >Ma10_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31721856:31724772:1 gene:Ma10_g22260 transcript:Ma10_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTSWLSTVKKVFKPKDSSPPSDHRWEREADAEAEAEENDGAAAEIVSVEHFPAETSPEATNHESGGGWGEEVAERERAIAAATRAAAAAAEAAARVVRLAGCVRPSKEERAAVVIQSVYRGYLARRALRALRGLVRLQALVRGHHVRKQAHMTWRCMQSLVRVQALVRNRRLQVASHRNVLFSPFPAAAAATHHGPYQFRHPKMRAAPRDHLQDFHLMEEEDEEEEEEEADGGGNRRVYMKSHNSKSLGLRNWDGRQQSLDAIAVNSQRKHNALVRRERALAYAYTYQQQQQQRWHEKPQWGWNWLERWMAAQQWEARHGAPPPQPMSSYVTATAMDGLSEKTVEMDPGRRSPINPMHYSYHLRDDPGRQPAVPSYMAATQSAMAKVRAQAPPVTKWNSTSRRAKSGNMADSSSSGGGTSATINPVGRSPIHMGVGLAGRHTGYSPDSSCGGDDCTPPFGGRGRRTTVNV >Ma06_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1966046:1967700:-1 gene:Ma06_g02530 transcript:Ma06_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPRFFLFYLLFLASAAFSNAHIGDFDEYWQKKAEAARDRAQGSYNPDPESVTQSFNEAVSKDLEGNVTRRSLRGKHKFNGPCVATNPIDRCWRCKKDWMQNRKRLAKCAKGFGRHTIGGKNGDLYVVTDASDNDLISPKKGTLRYGVIQDRPLWIVFASDMIIRLNEELLVTSDKTIDARGSNVHIMGGAGIMLQFVHNVIIHGLHIHDIKAGNGGMIRDSEHHFGLRTRSDGDGISIYGSSNIWIDHVSMSNCMDGLIDVIQGSTAITISNSHFTKHNDVMLFGASDSYQGDAMMQITVAFNHFGKGLVQRMPRCRWGFVHVVNNDYTHWLMYAVGGSQHPTILSQGNRYIAPHSLAAKEVTKRDYAPQAVWKDWTWRSEGDLMQNGAFFVESGPPNRMKFNTKDLIKAKPGSFVTRLTRFSGALNCFPGRPC >Ma05_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:453255:457074:-1 gene:Ma05_g00720 transcript:Ma05_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSFKTCRRRKPEMDGHQTVAAAASGARRVACVTGATGFIGSWLVRSLLRRGYHVNATIRDAGKASWLLSTLRGESRLKIFEADLSDDGSYDEAVKNCQFVFHVAACMEFNTTAKGNIENHVRTKILEPAVRGVINVLQACAKSGSVRKVVFTSSISTITAKDDEGELRSMVDESSIVPINQVWKTKPKGWVYVLSKLLTEEKAFQFAKEKGIDLVSIIPPTVAGPFLTPSVPASVRVLLSPITGDPELYPILASVHSRLGSIPLAHVEDICNAHIFLMEQPMTEGRYICSAGSCSLPELTNLLSKNYPALSSKRFHENSCISIHPVISSKHLTDLGFAFTYSVRDIIQQSVTCCVEGGFLRLHENEDGMVP >Ma05_p00720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:453255:457074:-1 gene:Ma05_g00720 transcript:Ma05_t00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSFKTCRRRKPEMDGHQTVAAAASGARRVACVTGATGFIGSWLVRSLLRRGYHVNATIRDAENHVRTKILEPAVRGVINVLQACAKSGSVRKVVFTSSISTITAKDDEGELRSMVDESSIVPINQVWKTKPKGWVYVLSKLLTEEKAFQFAKEKGIDLVSIIPPTVAGPFLTPSVPASVRVLLSPITGDPELYPILASVHSRLGSIPLAHVEDICNAHIFLMEQPMTEGRYICSAGSCSLPELTNLLSKNYPALSSKRFHENSCISIHPVISSKHLTDLGFAFTYSVRDIIQQSVTCCVEGGFLRLHENEDGMVP >Ma05_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1744240:1750970:-1 gene:Ma05_g02670 transcript:Ma05_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSADDLQKVATDLRCSIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYNYTGAEIGFDIYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPNTKFTYSSHKALSEYKEAKALGIETVPVLIGPVTYLLLSKPAKGVEKSFSPLSLLGNILPIYKEVTAELKAAGASWIQFDEPTLVMDLESHQLEAFTKAYTELESSLSGLNVLIETYFADVPAEAYKTITALKGISGVGLDLIRGTKTLDLVKSAGFPAGKYLFAGVVDGRNIWANDLASSLSTLQALEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANVAAQSSRKSSPRVTNEEVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMDLRRVRRECKANKISEEEYVKAIKEEISKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSKMAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYGEVKPALTNMVSAAKLLRTQLASTK >Ma08_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26555080:26561342:-1 gene:Ma08_g17690 transcript:Ma08_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNNLVVLADPPSSSPFLLRQLSLLPALSQPTSSSLAAVEVETLCWKISRRWRLACFLHHPDVFVIYQVQALVRSRLIPNRMGVRSSLLDSSLLGRRELNPSPLSAPLRNSSKEYPASIDKIYLTRTRLILNLARMKVGAEEEVASASLVTKAVEIVFRTVMLDHKWACVGNNTFVDSAFAGTDERKNVGAFNLRVQSEMDDGFVLLVSPEVLRFSRYKVTDFVSSEVWESFDNGEIVMFKDYGFLTACTIIPTLSEAHVIGVCKLLPDEENFERLELLWSLKHGLALNSEYFISVQLAYGSYAIKWLPSAYILQDPSFSPAPQTPRSAKAVDVIDSFMKIFGAWDFFSQGQLKVKEVSSLGIVTKIPVWTTATNKFPCCMARNKENADIQNSLSPKHLTSRDLKLALDFRAPKPGIQFVHGSRMLELNNVKETATCGSNLNISTENIQNDVNACSTSDTLPAVMKGPPVSISIESGPVNGSYFAEVKHSSAEYDLSVDPSQRLKGSKWKKEKTKAFLGSNVSENSGKEDTAGGSKMRAKQCVDQSVITAKVMDYYKSGELQSLTMVDLKCFLTSKKAKVGGKKEELIKRVTSLLA >Ma10_p26080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33951863:33952487:1 gene:Ma10_g26080 transcript:Ma10_t26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQAIPFVGGGGGGEIRRVVEENPVVVVGRSGCCMVHVVRRLLQGQGVNPVVCEVGEDADEAALVSGLRGADDDDDIDLAAAALPAVFVGGRLVGGLERLVAVHIAGELVPILKRAGALWL >Ma01_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9948401:9952762:1 gene:Ma01_g13600 transcript:Ma01_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRPWNGERRRRSRRRASQIASNMYPRIKVREQEDQTIKEEELSFVMRIIETLSTEVQPSLRNSAAKYDTESGKDPLLWHAKFHKSPLKGFPASSISASKGKDAKIINEDNKTKKRASSVPRPRAVLSSPDNDDLIGKQNLAIKRQTLPKIKSINQSLQAQGKEGSHKNAGVGTPLRRKGISKESGDNNHTNERKLPSEVAAQRQVMFVKRG >Ma06_p37300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36395571:36397343:-1 gene:Ma06_g37300 transcript:Ma06_t37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPNEQFVVVIKEDDAADLRKSLLQTHSDDGFLSSRTSDTKHGTSFARTCLNLSNAISGIGVLSLPYALSLGGWLSLAIFVLVSSICYYTGLLIQRCMDADPCIKTYPDIGEYAFGRKGKLVISIFMYLELYLVAVGFLIMEGDNLDKLFPGVCLKFASVSVQGKQLFILLSALVILPTTWPRNLGILAYVSAGGVLASAVLLGSLLWAGIVDTGFHEKGRILDLNGLPTAMGLFFMCFTCHAVFPTISSSMRDSTRFPKVVSISFVLCTINYALMAILGYLMYGEKLESQVTLNLPAGEPYTRIAIYTTLINPITKFALAMSPISGAIEQQLALCRGRMPGKMPSLLIRTLLLCGTVVIALAIPFFAYLMAFVGSFLSVVVSVLFPCVCYLKIHGVSQVRRLELVNILGIVVVGSLVAVIGTYSSLRDIICNR >Ma08_p25600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38368321:38375834:-1 gene:Ma08_g25600 transcript:Ma08_t25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAAAITASLIASRLSYASSSSSTFACPRRYSAAVLCFARLRRPPSAPAFRRRRLGRMGHSTAAAAATTRATLGLTKPAAVEIPQIAFAARELDFVEWKGDILAVAVSEKDMKKDSDSKFENLVLKRLDEKLGGILAEASTEEDFTGKSGQSTVLRLPGLGFKRLGLLGLGSPSSSVTAYQGIGEAVAAVAKAAQASNAAVCLASLDGMSEEAKLHAASAIASGTVLGVYEDNRFKSESKKPLLKTVDIIGFGSGEQVDQKLKYATDVCSGVIFGKELVNAPANVLTPGVMAEEASKIATLYSDVFTVTILDAEQCKELKMGSYLGVAAASSNPPHFIHLCYKPSSGDVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGAAATLGAAKALGEIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALIYACNQGIEKVVDLATLTGACVVALGPSIAGFFSPNDDLAKEIVAASEATGEKFWRMPLEDSYWESMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWLHIDMAGPVWNDKKRAATGFGVSTLIEWVLKNSL >Ma04_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10460223:10461301:-1 gene:Ma04_g13800 transcript:Ma04_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGRLTPERYCVEGNGDEWKESVVVVMGATGTGKSKLSIDLATMFAGEVVNSDKIQVYRGLDITTNKMPVPERCGVPHHILGELDPAVSELRPEAFREMAAGAIAGIAGRGLLPVLAGGSNSFIHAAMTTRYDPVRSPFAAGSRLLTRREAPALRYRCCFLSVDVNAAVLAEQLDRRVEEMVAAGMVEELGRYFAAEAEAGESRHPGLGKAIGVAEFREYFRGEGRGTAAAYEAAVAAIKANTRQLAEEQVRKIERLVEMGWPLRRVDATTAVAARLAGEAAEAEAAWERDVAGPSATAVEQFLGEEVHVHHHHNIVSPPLLYT >Ma11_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8666443:8670333:1 gene:Ma11_g09580 transcript:Ma11_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFVKKPKITEVDRAILSLKTQRRKLAQYQQQLEAVIEAEKQAARDLIREKRKDRALLALKKKKTQEELLKKADAWLINVEQQLADIELASKQKAVFESLKAGNNAIKAIQSEISLEDVQKLMDDSAEAKAYQEEINAILGDRLSAEDEEEVLAEFENLETEITLQSMPTVPAQSVPEVESQVQSTEDELLDLPDVPSGVKDISTSSERKTKVLEEPLPA >Ma04_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15565449:15566696:1 gene:Ma04_g16400 transcript:Ma04_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFGWENPTPVRSEISVPPSISWSNRYDNFTGSSEGYSTFNEKSQPHESVLGSSLELHRILARQTSSDLDRISESVEISRPASDSINNSLDLEWLQYQEAIMSGVDSVLTRPVLGSSTLGEAAASSRFAHPLTENFGTSEELRVVGNIDGVQHGISATFSRCKNVYSKSENHGSCGRHQPNLDDVGSQGSSKSDTRRVESSKAKTNAKSKFEEFEIVRESNYDCSLLRSNSSTEEGGFQIHFAREHKSKEPRPEKGSGWSSIIDFVREGNYEPETEAMAELKEMVYKSAAQRPVRLSVEDATKKPKRKNVRISSDPQTVAARQRRERISERLRTLQTLVPGGSKLDIASVLDEAANYLKFLKSQVKVLENGSNPANTSTAESPFPVPLNQAFPMQKNLFRPPKTLNASKKVRQN >Ma04_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26348711:26349239:1 gene:Ma04_g24300 transcript:Ma04_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRKLLVEKAREVKGADELKESVTEFVKEKLAQLIHVLQDAIGFLAEKLDLVFPPETRAETLHRWLHVGLTVVLPVAVVLLCLYCCCCRGNRCCCGGGSRGTGRMMRAPGRHGAVMPRASFESDPRGYFINLRAKKDLVF >Ma01_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9882096:9883811:1 gene:Ma01_g13550 transcript:Ma01_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSSLTELGFADDVHRALFRPIQQAAPPSPTKRHTKISVIGAGNVGMAIAQTILTQDLTDELALVDAKPDKLRGEMLDLQHAAAFLPRTRILASPDYAVTVDSDLCIITAGARQIPGETRLNLLQRNLSLFKEIVPPLARYSPGALLLVVSNPVDVLTYIAWKLSGFPPNRVIGSGTNLDSSRFRFLLADHLEVNAQDVQAYMVGEHGDSSVALWSSISVGGVPILSQFTKDVAAIEQGVLERIRKAVVDSAYEVIRLKGYTSWAIGYSVASLARSLLRDQHRIHPVSLLAKGFYGIPDDREVFLSLPAQLGRSGILSVANIQLTDEEAGRLQRSAEALWDLQQKLDL >Ma03_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6548418:6557363:-1 gene:Ma03_g08910 transcript:Ma03_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase 1 [Source:Projected from Arabidopsis thaliana (AT1G08980) UniProtKB/Swiss-Prot;Acc:Q9FR37] MALKPSLDAYAAFIHRLHLRPPPPRSPDLPPPPLHGLTFAVKDIFDISGCVTGFGNPDWARTHEAASSTSPVVLAAIGAGATCVGKTVMDEMAYSINGENYHYGTPTNPCAPDRVPGGSSSGSAVAVAANLADFALGTDTGGSVRVPAAYCGIFGFRPSHGIISATGVIPMAQSFDTVGWFARDPITLTRVGQVLLQSSSDATMPPKRFVIPEDCFQYLSSPSDQISQILKKSVEEVFGCSILHHENLGDHIYHNVPSLQNFISSFSGDQASTMPVLAALSHAMRLLQRFEFKTNHGDWLISTKPTLGPGMFERVSEVLNSTYEDLEYCYPVRAELQAFLSTLLQDNGILALPTIPGVPPKLNMEVDELDSFRARAFSLLSIAGMSGFCQISIPLGMHDDLPVSVSLLAKHNADHFLLDIVQTLYATLKEQASIAWEHGN >Ma07_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8562809:8564484:-1 gene:Ma07_g11540 transcript:Ma07_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSSHSFKGPQGKDVGLISIPPSVEEKGKYEAVLVDGIEEVECEEEEELCSNGPSGLGEKKRRLSVDQVKALEKNFEVENKLDPERKVRLARDLGLQPRQVAVWFQNRRARWKTKQLERDYGALKSLHDDLKLDCDALRRDKELLLAEIRELKAKLADTGMDATQSEIIKAVEEARAPLIYKDGASDSDSSVVFNDETSPYGGLVLHQNCLMEFKPRSSSLSFENKAMDEGFLGGDELCSSLFSEQPAPSLSWYCSDPCEYKGD >Ma08_p07740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5304152:5307673:1 gene:Ma08_g07740 transcript:Ma08_t07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEAEAHRTDLMTITRYVLNEQSRHQESRGDFTILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALISSGRTCILVSEEDEEATFVDPSLRGKYCVVFDPLDGSSNIDCGVSIGTIFGVYMVKDKDNVTLDEVLQPGKNMLAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTTKYVEKCKFPKDGDSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHQRSPIFLGSYDEVEEIKALYAAEENTA >Ma08_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5304152:5307673:1 gene:Ma08_g07740 transcript:Ma08_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEAEAHRTDLMTITRYVLNEQSRHQESRGDFTILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALISSGRTVSLKAILLGFVDPVLLIQLGRHVLIWIQSTSQCILVSEEDEEATFVDPSLRGKYCVVFDPLDGSSNIDCGVSIGTIFGVYMVKDKDNVTLDEVLQPGKNMLAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTTKYVEKCKFPKDGDSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHQRSPIFLGSYDEVEEIKALYAAEENTA >Ma02_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13063614:13068040:1 gene:Ma02_g02060 transcript:Ma02_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSKKQKVADDVDSSGSSAEGDEPMVTSQSFISSTNVVNVTVSSMGVDEFRDFIESSHGTPSDAPSVTPPIGNVASSTGTASHAPSVTPPIGNVGSSTGAPSDAPSVTPPIRNLANKFIDIYEPYLPEDFIQNFPDVGDQVADDNACVGHKEPLSSLVAEFQSGSPIIQAKIKLLYDNYAALRRVRKDGNCFYRSFMFSYLEHILETQDTAEVDRILANIEQCRKAQLVLGDQEYRISEIFSLFIDLLKSVIQENDNSISHELLLEKSCDQMFSDSVVLFFRMVTSGELRIRAEFFAPFAGVESTGMAKFCQDSVEMMGEDCDHVHITALCDALGVPTRVECLDQSTSSSGDLTPKHHDFIPMQSSASDAGDPPVPRVTLLYRPGHYDILYPK >Ma01_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1188435:1188557:1 gene:Ma01_g01780 transcript:Ma01_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEFWEICITGRIERYMCYIFLFSNSVIVHFILMITFLS >Ma10_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30614049:30616090:-1 gene:Ma10_g20470 transcript:Ma10_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLSRSSGSSWTAQQNKLFERALAVYDKDTPDRWQNVARAVGGKTVDEVKRHYELLVEDLKFIESNRVPYPYYKSSSSRAGGADEEQRLRCLKLQ >Ma06_p33040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33826157:33829471:-1 gene:Ma06_g33040 transcript:Ma06_t33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLSMVEAELPPGFRFHPRDDELVCDYLAVKLAGDGGRGSFHGSAVMVDVDLNKCEPWDLPATACVGGKEWYFFSHRDRKYATGQRTNRATVSGYWKATGKDRPVARKGLLVGMRKTLVFHQGRAPKGKKTDWVMHEYRMEGSDATPKSPFKEDWVLCRVLYKSRRISTKPTMETSHDDSGSQSLPALMDNYITFDQTPLNLEGFEQVPCFSSPTPHLPSVERGMPLTKCLIQRGGLPELSAGLNQLTADRKVSRTILNHLAKVEGDPKREMVPNLAEGGLGGYLTHSGLPSTWNPS >Ma06_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22341544:22347684:-1 gene:Ma06_g24010 transcript:Ma06_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVSFPPPLLLVSLCLLPLLLFAGRGAHGRFISSPADEASADLLLSDGVSSRPASESQTLLLPRLPWPRSSRVFAAGQCEQTYGFLPCTTTVVGNMFLVIAYGFLMYKAATYLSSGSELLLEIMGPGIVGGLFLPILGALPDAMLILVSGLSGSRETAQNQVLIGMGLLAGSTVMLLTVLWGSCIIVGKCDLSDNSTSIDSQDTKAFSLFGSGVSTDLQTSYAARIMAISIVPFIIVQLPRIFNFPSGQSLAVLLSLIVAIVLLVSYCLYQVFRPWIQRRRLAYAKHKHVISGILRHAQMQALGRLIDDGKPNVDVMKKLFHKLDLDSNGSLSHAELRALIIGIQIDEIDLDTDDAVDKIMIEFDTSQNSSIEEDEFIVGLTKWIDEAKRSVANSGAYSKKFMHEFHMKTRDEHNMLLDQSDEVVENVDNPMWICIKAILLLLLGTVLAAIFADPLVDAVDNFSVATSIPSFFISFIAMPLATNSSEAVSSIIFATRKKQRTSSLTFSEIYGGVTMNNLLCLAVFLALVYMRHLTWDFSAEVLVILIVCVVMGLFTSFRTTFPLWTCFVAFSLYPLSLALVYVLDFVFGWS >Ma04_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10063728:10069612:1 gene:Ma04_g13320 transcript:Ma04_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEMAEANTNTCFRGCCSSSSIPLHLPASSFTLLSPIAKGAESTVYEARLHDGTRVAAKKPVLSTADDIDRFHRQLQLLCKLDHPRLAKLVAANARPPNYLLFFEHYESRSVAEKLHVEEWSPSVDQVIAIAYDLAKALQYLHSLGIVHRDVKPANILLDRNLYPHLADFGLATYKKDLKHVSVANWRSTGKPTGGFHKRNMVGTLIYMAPEILRKDIHTEKSDVYSFAVSINELLTGVVPYTDLRAEAQAHTVLEMSYTEQQLTAAVVSSGLRPALANPESGAPPSLLSLIERSWDQNPLNRPSFDDIVKELYSLMKHRDISSLPLFTPNDQCQDSNDDLKYIQEDLNWLSHGEEVAKKALAEKSNITSWSSSVHDSLQYKPVLSWGSFATCGRREKMEDAHFMLPHLCNEKDVHAFGIFDGHRGAAAAEFSSRALPGFLRNSDYICSPADSLIEAFLKTDLAFRDELVLHCNSRRITQKDWHPGCTAVTALIVGNKIFVANAGDCRAIISRSGSPFPMSKDHVASCPEERMRVMGEGVEVKWQVDTWRVGPAALQVTRSIGDDDLKPAVTANPEIIVTCISADDDFLVLASDGLWDVISNEEVVSIIKDTVKEPSMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY >Ma08_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37629703:37632960:-1 gene:Ma08_g24460 transcript:Ma08_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASRLFSAEGRLSQVECAIKLGSTAIALKTKECVVLAVEGGIICVLLKPSSLEKHARVETQFLFKYKMIMYAKVLQSCFRDCSACHELAHADISLIHLAHFWQCNAKAIGSGSEGADSCLQEQYNKDLTLQLAETTALSILKQVMEEKVTPNNVDVVKVAHFYIILLPRWKLSSIGSDT >Ma09_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2319607:2320079:-1 gene:Ma09_g03460 transcript:Ma09_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYVHHCLLVHASFVHYRLSEWRYDVTGCNSVCLDVILRPLTNEC >Ma02_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18400071:18402881:1 gene:Ma02_g07910 transcript:Ma02_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVATFFAMSFGAFLFWQSMEKIHVWMALHQDEKLERLEREMEIKRLREELLAQARQTD >Ma09_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24862482:24877096:-1 gene:Ma09_g19540 transcript:Ma09_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFAGDGVLALMPQEVVNSIDPAPSSPPQPAEKPLTESPEKSPILVFVYFQKAIRSELDRLHHDAVELATAGSGDVSSLADRCISLFDIYQHHCNAEDAVIFPALDTRVKNVARTYSLEHTGESHLFYDVFVLLISHMKSEDRLWRELDLRIASIKTSFNQQMPKEEKQEFVSEDHFRRELASRTGVIKTSLSQHMSKEEEQVFPLLMEKYSFEEQADLVWEFLCSIPVNMMKEFLPWLSSSSSPDEHQDMINCMRKIVPKEKLLKKVIFTWIKDKCMADMGRNHGDEFQSKSSAPDRSIDHNEKHICPSDLSRIGKRKHKESDSKIVDHLGLYPIDEILHWHNAIRKELNDIAEGARKIQLSGDFSDLSAFNKRLQFIADVCIFHSYAEDRVIFPAVLDGMESLLQEHANEKIQFNKFRCLIEEIQSAGANSTSAEFYSELCSHADQIMDTIQKHFHNEEAEVLPLARLRFSPEKQRKLMFKSLCVMPLKLLERVLPWFVANLSDEEASSFLQNMHLAASSSESALVTLFSGWACKARSEGITNSGNFICLTSKALSCFSFEDNAESAEDFREKLCVCARVLGCKKDLTALGSEKNARPVKRGHFSGFCENSGESNTSKDNDINTIPCCKKPCCVPGLGVASSNMGISSLDATKSLLLSSYSSSAPSLNSSLFIPEMELNSCTSENTLRPIDNIFKFHKAISKDVKYLDDESGNLIPYNEAILRQFSGRFRLLWGLYRAHSNAEDDIVFPALESRETLHNVSHSYTLDHKQEEKVFKDISEVLSELSQLHDGLVTNDNKDAASGSKSDSSLHLIDQTRKHNELVTKLQGMCKSLRVTLNNHVFREELELWPLFDKHFSVDEQDKIVGRIIGTTGAEVLQSMLPWVTSALSQEEQNKMMDTWRQATKNTMFNEWLNEWWKGSPPLSASSAESSSLPKGTDYQESLEQCDQMFKPGWNDIFRMNQNELESEIRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPESRTEEAIDEEDIPGCSPSFRYPDTQIFGCEHYKRNCKLLAACCNKLFTCRFCHDKVSDHSMDRKATTEMMCMRCLKVQPVGPTCKTPSCGGFSIAKYYCNICKFFDDERTVYHCPFCNLCRVGKGLGIDFFHCMTCNCCLGMRLKEHKCREKGLETNCPICCDFLFTSSEAVRALPCGHFMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEELPEEYWDRCQDILCNDCGKKGTSHFHWLYHKCGFCGSYNTRVIKTDAINCSTSDR >Ma08_p34460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44436169:44436389:1 gene:Ma08_g34460 transcript:Ma08_t34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLWYPRECSGQAKWCRRLLPIILAEKAISHD >Ma06_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8360670:8369009:-1 gene:Ma06_g12030 transcript:Ma06_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKEVKAEDGAAAPPSNGVAAVPTATGGPDNPTRRGRSGSAAVLPLEVGTRVMCRWRDQKPHPVKVIERRKTCSGGPNDYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKVTSLKMTRHQKRKIDETHVEHGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYSDSPKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKPDDILSTLQTLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >Ma02_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24668074:24669369:-1 gene:Ma02_g17950 transcript:Ma02_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRKGPWTEQEDLQLVCFVGLFGDRRWDFLAKVTGLNRTGKSCRLRWVNYLHPGLKRGRMSPQEERLVLDLHSRWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKKKASPSSSSSSSVTDNFAADCQPPSEVAAVNAHELNSSSSCSSLTAALGEIDDAAVSGYPMDQIWNEIAAPDMIGELSFEECKDEACDISRLTMPSPTWEYCSYSLWKIDDDDFQA >Ma08_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15909921:15921044:1 gene:Ma08_g15720 transcript:Ma08_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVVSAPGKVLITGGYLILERPNAGLVLSTTARFYAIVRPLYNEIKPESWAWAWTDVKVTSPQLSRECTYKLSLKNSTLQCTSSRDFTNPFVEQAIQYAITASKVILVDESKKDKLQRLLLQGLDITILGANDFYSYRNQIEAHGLPLTTDALASLPPFSLITFNSEAPNGVATGEKCKPEVAKTGLGSSAAMTASVVAALLHYLGVVSLPLSEKSLGHGNAANSDLDLVHIIAQSAHCIAQGKIGSGFDISAAVYGSQQYVRFSPAVLSSAQVTGEKHLLDVVTDILQAKWDHDKSHFSLPPLMTLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSQETWKRIASANSMLEEQLKNLSKLAADYWDAYSHIISSCSRHAHGMWMQLVNDQYQEFVVKSLIGARNAFLEIRLHMREMGKAAGVPIEPESQTRLLDATMNLEGVLLAGVPGAGGFDAVFAIILGDASDSVGKTWSSHGVLPLLVKEDPRGVSLESGDPRVKLVSTAVSGLRLG >Ma08_p29050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40803649:40804680:-1 gene:Ma08_g29050 transcript:Ma08_t29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQFPFLRGGIEFNGVKVLPSDLQHSDRSSSSSSVLSFLNPRTPLPSSSSASAAAAAAASESPNMATFLLQKQRHHESGIAGCLQCSVSCNMIEFCSTRGRRPTKLFRGVRQRHWGKWVAEIRMPRNRTRVWLGTFDTAEDAAMAYDMAAYKLRGDSAYLNFPHLKHDLHELGSPHLHSSVASLLEAKLNALCNSSSSSSSSGSCKQKKAGKDDKSAVASITRTPRKEGLQSDQGRREISQQELISRGDAEGVLLSRMPSLDMDMIWDSLPMPAAET >Ma07_p27750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33994974:33995578:1 gene:Ma07_g27750 transcript:Ma07_t27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHTIEIHRHGAEVFTGDALCRKKSIQLLQELELPRGLFPLEDIQEFGYNRAAGFIWLIQKKKNDHTFKKIKRAVSYAPEVTAFVEKRKMHKMTGVKTKELLLWLSVVEMRVEDPSTGKITFKTGTGLSDSFPVSAFELEE >Ma04_p30890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31396623:31398623:1 gene:Ma04_g30890 transcript:Ma04_t30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLKLVRNVNHARRFRFLVLMAGCFVVTMAFLVASKPQTPVHYNSGFRTWLPPPQSGDDVVNGDNNGIQGIHSALSEKEDALNSQALGEVEKEEKQVPHVAESNIEKEKSFQREENAIVVESTPKEEASIHELTGTIQIPERKPLCDVSDQRVDVCEMYGDIRIPGNSSSVIFMEPSNAEPKELWQVHPYPRKGDEACLAGVRELTVKASSESPKCTFHHDVPAIVFSVGGYTGNLFHDFTDLLVPLFLTARQFDGEVRFVVTDFKRWWIAKYLPVLQRLSKYPVIDHDKDDEVHCFKQVIVGLRAHKEFHIDPARAPNGYTMIDFTKFMRRVFSVGRETLNCIEDLSARKPRLLIIARKRSRAFTNVDEIVAAAEELGYEVVVGEADAGTNLARFAQIVNSCDVMMGVHGAGLTNFVFLPLNATVIQIVPWGGLEWIAVLDFGNPAKEMGLNYVQYSISIEESTLSEQYPKDHPAFTDPMSFHKRGFHVVRSTFMKNQNVKLDVSRFRDVLWKALEHMMQ >Ma00_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12442447:12443326:-1 gene:Ma00_g01820 transcript:Ma00_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFFLFLLLLPFAILLLVLAAIVRPRPVRIPLKGRHVLVTGGSSGIGLALALRAAAEGARVTILARDPSRLQDARDAILLATGVDVTILAADVRDPEAVCRALEAADPVDVLVCSHGVFLPQELERQSLEEVRFMVEVNLMGTFHLIKASLPTMKQRAKESGLPASIAIVSSQAGQVGIYGYTAYSASKFGLRGLAEALQHEVIADNIHITLVFPPDTDTPGLAEGVDNCLYSDRCHTFPFPFLNAC >Ma03_p27200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30672602:30679250:1 gene:Ma03_g27200 transcript:Ma03_t27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARDNDAYEEELLDYDEDEEKAPDSAAAKASGESVKKGYVGIHSSGFRDFLLKPELLRAIIDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVHILKHKDILKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKRFMQDPMEIYVDDEAKLTLHGLVQHYIKLTELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGLKRILVATDLVGRGIDIERVNIVVNYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Ma03_p27200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30672599:30679250:1 gene:Ma03_g27200 transcript:Ma03_t27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVHILKHKDILKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKRFMQDPMEIYVDDEAKLTLHGLVQHYIKLTELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGLKRILVATDLVGRGIDIERVNIVVNYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Ma02_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2271235:2271765:-1 gene:Ma02_g00180 transcript:Ma02_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRQQQAMKHAAHRLFASPAAIAASGTDADEFDESDVWGCPVEPRRAELSKLVPSPAWKKSDPEGGDRTAASSLPVEIPDWPKILGNCTRSSHSSTRGWWEEQADDDDEGSAAAGQVVPPHELLRRGLVASFSVHEGVGRTLKGRDLSRVRDAIWEKTGFQD >Ma03_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6808295:6816017:-1 gene:Ma03_g09240 transcript:Ma03_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MAAPPFEPVPDASSYTVLSRRSRRLLRRFLDEHFATPLDLSKLPSLTAQLDRECRDLEGDLRCLLEDRLPSKASKWLSRSNDARRILHHLGGFAPCSPPASVRRMVEMDIPLLVKEVARIETVRVYAECSLQLEALVGDLEDAALAIVSQALPDNILSNLSSVRSSALQDMPGKQEKLLLAVKIMTSIEDKLASISCRRPQWTHLLMAVESRVEKALAIMKPQAITHHRAILASLGWPPGLSSSKLEKDKSFETSNPLVLMQGENKAIYSQSFLALCALQQLLVQREQRICAFSRSHKMYRSADGTDLHRYSCLDNSLWTIDELVNPIASRIEHHFHKWSDQPKFIFALVFKITRDFMDGVDHVLQPLVDRARLVGSSARETWVKAMVKMLLDYLEKEVFPVLVIRYEVRDGFLEVNTSWLHLVDLMITFDKRMRALATSGMPQMELFLEFEGISGSSSMFSIFREHSDWLQIWAEIELEDANNKLRPELENETSWLVCTHQKVLSFQEETESFLLSNREDFKAPSIADSVVKIVWHMVERAELLPTKPMKNQFIRSSSVVFLNDFFLFLVQRCQSVALVDANLEDDDMLRLAGSINVARYFEFVLREWNEDIRFLEMGVAESDYKNEDQHSLGSFFKDEIIYLVNLETDFLEEIMSAILLQFDTLCWDYIDNIEQLGMEQLEQQDSVLDEQCPCVSSRFVEALDMLKDCLNILRLTLNSIDFLDLWRSIADGLDHFIFKSITLSGIKLSYVGAKQYKTDMSALFLIFSAFCAKPEAFFPRTHDSLKILTMDKKDVAYLLNIMAGDERRKEEFLKLRGLFHVTVNQAEKILKSRTFKG >Ma03_p09240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6808295:6816017:-1 gene:Ma03_g09240 transcript:Ma03_t09240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MAAPPFEPVPDASSYTVLSRRSRRLLRRFLDEHFATPLDLSKLPSLTAQLDRECRDLEGDLRCLLEDRLPSKASKWLSRSNDARRILHHLGGFAPCSPPASVRRMVEMDIPLLVKEVARIETVRVYAECSLQLEALVGDLEDAALAIVSQALPDNILSNLSSVRSSADMPGKQEKLLLAVKIMTSIEDKLASISCRRPQWTHLLMAVESRVEKALAIMKPQAITHHRAILASLGWPPGLSSSKLEKDKSFETSNPLVLMQGENKAIYSQSFLALCALQQLLVQREQRICAFSRSHKMYRSADGTDLHRYSCLDNSLWTIDELVNPIASRIEHHFHKWSDQPKFIFALVFKITRDFMDGVDHVLQPLVDRARLVGSSARETWVKAMVKMLLDYLEKEVFPVLVIRYEVRDGFLEVNTSWLHLVDLMITFDKRMRALATSGMPQMELFLEFEGISGSSSMFSIFREHSDWLQIWAEIELEDANNKLRPELENETSWLVCTHQKVLSFQEETESFLLSNREDFKAPSIADSVVKIVWHMVERAELLPTKPMKNQFIRSSSVVFLNDFFLFLVQRCQSVALVDANLEDDDMLRLAGSINVARYFEFVLREWNEDIRFLEMGVAESDYKNEDQHSLGSFFKDEIIYLVNLETDFLEEIMSAILLQFDTLCWDYIDNIEQLGMEQLEQQDSVLDEQCPCVSSRFVEALDMLKDCLNILRLTLNSIDFLDLWRSIADGLDHFIFKSITLSGIKLSYVGAKQYKTDMSALFLIFSAFCAKPEAFFPRTHDSLKILTMDKKDVAYLLNIMAGDERRKEEFLKLRGLFHVTVNQAEKILKSRTFKG >Ma04_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1091719:1095714:1 gene:Ma04_g01240 transcript:Ma04_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEGGESLAIGAISGGRSGKGRRLWKKVKYQLVEYHSLPGYLKDNEYILGYYRSEWPLKQILLSIFTVHNETLNVWTHLIGFFLFLALTIYTAMKVPKVVDLQSLQHLPDVLKKADLQKIQSELVACLPSLPHLSDLQRIKDELKTSLGSLDMLPSLSRWHLLQLISDCLPHRFTHATNVSVLSAVKDDVANMIAPILVRPIPRWPFFAFLGGAMFCLLSSSICHLLSCHSRRIAYIMLRLDYAGIAALISTSFYPPVYYSFMCNPFFCNIYLSFITILGIATIAVSLFPVFQNPEYRSIRAGLFFGMGISGVVPVLHKLVVFWHRPEALYTTGYEILMGLLYGLGALVYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYRAGLVYLKWRDLEGC >Ma08_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34777861:34784435:-1 gene:Ma08_g20670 transcript:Ma08_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSTTSPSPFFVLHLLLSLLSHDSLLVLASSDEAPLQDINLENPVLEFSSLPYAGHSCQRVRVTGMSRLNLKSYASSVRVALKVSESIPERLGGKIRVCFHWNASTSLCQCEEEKWESLRDNQWSSVISPYDDRYIDVKTIDKISTSFAVSLEEELQQWRLVCLGFGFLLLLMAPTVSNWVPFYYSSSMALGVLLVVLIILFQGMKLLPTGRKSILYFTICGSIVGVGSFIAHYFSTFVNSVLVTFGLSEELHNPVSVFIVVGVILSGAALGYWIVRKFVLSEDGTVDAGIAQFVKWAMRFTGVVFILQSTLDVPLALAALAVCWNFSSLINSKKWRQAPVKNHSLWQQKARQSPYNRQAEFLSPISKKEPGRAFWGSSSPFSMSLSPYQAGKSYSSSRQGRQQNKDYYSTYHNLPVRKFSKKDWDNFTRESTNTALTEWASTPDVAKWIAENAHRMRLDQGSSSGETMESSSGSSEETVLENGSGLSFFKWL >Ma05_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2532482:2536825:-1 gene:Ma05_g03470 transcript:Ma05_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRFVDLGRRLLASSRPALCPLPPECLRSVAFPVNPSNLKPVCADVLPPALRNRPPGLEFYPSSHLLSAMQARFYAAKERSRAPSTPVTSKVKKYKLKSYSSFKFRFRTMNDGQIRRWRAGKRHNAHLKSKKAKRRLRRPEIVHAAYAKVMKKLNFCA >Ma02_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17918301:17922906:1 gene:Ma02_g07100 transcript:Ma02_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLMAISSPTLSSSQLHSSLRGFRPPPHPLWLRARPSVPGPRSSRGLRVSATAALSVEQETRVQHNDSMDLQLLACPICYEPLIRKGPAGLNVPSIYRSGFKCQKCNKSFTSKDVYLDLTITSGTTEYSEFKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFNMAQEYFKPVAGGLLVDVSCGSGLFSRKFAKSGSFSAVVALDFSENMLRQCYEFIKQDDTLITTNLALVRADVSRLPFASGSIDAVHAGAALHCWPSPSNAVAEISRVLRSGGVFVATTFLVSPLNTPLPLEAFQSLRQSFGQVTNSYNYFTEKEIEDLCRSCGLVNYTSTVRRSFIMFSAQKP >Ma05_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6965003:6971325:1 gene:Ma05_g09650 transcript:Ma05_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MADESSEPQPFRLFSSSARKPKPPPPTLRPHRSDPTCSNIDRGDAEADGNDSFADLGLSQWAIDTCRELRMERPTPVQSRCIPRILAGDDVLGIAQTGSGKTAAFALPILHRLAEDPYGVFALVVTPTRELACQLAEQFRALGSSLNVRCTLIVGGMNMLGQARALAQRPHVVVATPGRIKTLLEEDPDIPAVFSKTKFLVLDEADRVLDVGFEEELRVIFKCLPKSRQTLLFSATITDELRALLEISQNRSYFYEAYEGFKTVDSLEQKFIFIPKNVKDLYLFHILSNLEEKNIRSVIVFVSTCRNCHLLSLLLEELDQAAVALHSHKSQSLRLSALNRFKSGQVSILVATDVASRGLDIPTVDLVINYDVPRYARDYVHRVGRTARAGRGGLSISFVTQNDVDLIHEIEAIIGKQLTEYECEEKTVLEDITKVYKARRVAIMKMIDDGFEEKAQARKKQKLKTLTEKGLLKKRKR >Ma01_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13493517:13494053:1 gene:Ma01_g18180 transcript:Ma01_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQYANSRNSKRPCSCQLTENSKPCMNTADPLLSCSLSQQLFIYPASESMFKAGVAKAKFMGSSNGVLKRAAKVLQRSLSCSRSDHLGSSLPGRSLKLQEADAAAVPQDVKEGQFAVVAVWDEQRRRFVVSLRCLSNPVFLRLLELAEEEFGFRHEGAIAIPCRPSELERIIRELPQ >Ma04_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2650186:2651859:1 gene:Ma04_g03440 transcript:Ma04_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCDELLGYQCLRHLNCKVYGYCGTELFSLIFSQVKTVKISNISLSASQRDIQEFFCFSGDIDYVENLKCKENSQLAYVTFRDSQGAETAMPLTGATIVDLSVNVTPVEKYKLPPEAYRHKLVSPTISLFLSDPSPTNAAVKKAKDVIRSMLARALFSVRTHYSEPNPLTSSTNSYPLPRQR >Ma02_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23332514:23334050:1 gene:Ma02_g15760 transcript:Ma02_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASAHSFLLPPCRPKLGSISSPHSLLRPIHTPPPALQPFLFPRHRRRRRRRGGREGGGHPSAGPTAAVGGIEGPQSVAEAASVLAAIILVHEGGHFLAATLQGIRVSKFAVGFGPVLASFVAGGVEYSLRAFPLGGFVGFPDDDPDSDVPPDDADLLKNRPVLDRILVVSAGVAANVVFAYLIVFAQVLTVGLPVQEPVPGLLVPEVRPGSAAARDGLRPGDVILGINGAPAPSVSDLVDVIKTSAGRNVAMTVAREGTKSVELSVVPDENTDGTGRIGVQLSPNYRLSKVRAKNLAQATVFASREFLGLSATVLDGLKQTFLNFSQSASKVSGPVAIIAVGAEVARSSSDGLFQFAAVINLNLAVINLLPLPALDGGSLALILVEAARGGRKIPREVEQRIMSSGILVVVMLGLFLIVRDTLNLDFIKEML >Ma04_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8319164:8320369:-1 gene:Ma04_g11710 transcript:Ma04_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGKAQAVCPQRVMHCLVEKGVPFELVHVDIDTMEHKRPEFLQKQPFGQVPYIVDGDLELFESRAIVRYLAAKYEDRGPNLLGRTLEERAKVDQWLDVEAINYNPWAFPIVFNLFVLPIRGLPANKADAGAAVDKLNKVLEVYEKQLSKTKYLAGDEFTLADLTHIPATRYIVENCGLSHLLDDKKHVKTWWEDITGRPAWKKVMSFVETGGSNYSP >Ma10_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24414031:24418396:-1 gene:Ma10_g10500 transcript:Ma10_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPTTAAHRFGQIPPQPPPNPRGGTVAGAHRRALSETFLHLPDDLLFDADPDCGISDIDFPSLSDDNVSGGGDIGGCPAPLAVAPSGRPVPGTHLRSLSVDNALFEGMGFQAGAAAGGGGGGAQETRGHHRRSGSMDGSISPFEGESAPPLSDYAKKAMTADKLAELSLLDPRRAKRILANRQSAARSKERKVRYTSELERKVQTLQTEATTLSAQLTLLQRDTTDLTAENRELKLRLQAMEQQAQLRDALNEALREEVHRLKIATGQLPNTNGNRFNGGIQQSVPNYYSQPQQLRPPSGRQVQHLHPSQMSSNGQSLNVHSPGDPMDFM >Ma01_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12181898:12182368:1 gene:Ma01_g16750 transcript:Ma01_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFCQPYSSKYVTFHGLYDVAFVIKMITRAPLPNTLNDFSDLVGTIFGQIYDLKYISRFCGGLPQGEIGLVGLSRLLNFEPVGIRHQAAYDSLLIGALFNKMKQRRHDIEDNRSASVLYGIDNRSVENRTRRIDRRGWPYPRRQQHPLAAMGLAV >Ma10_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33391055:33396192:-1 gene:Ma10_g25080 transcript:Ma10_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog C [Source:Projected from Arabidopsis thaliana (AT4G34020) UniProtKB/Swiss-Prot;Acc:Q8VY09] MGTEEMEAVILVDVLRRAGADVLMASVETDLVVEASSGTKLVADVGIDACADEVFDLVALPGGMPGSVRLRDCEVLRKITIKQAEEKRLYGAICAAPAVTLMPWGLHKRKQITCHPAFMDKLPTFRAVKSNIQVSGELTTSRGPGTTFEFALSFVEQLFGGDVAEDIGRKLLVPAHGCCQRKEEHNKVEWFFDQTPDVLVPIANGSEEMEVVMLVDILRRAKVNVVVASIEKTKQIIASQKTKIIADTSIMDASKSTYDLIILPGGTAGVERLQKSRILKKLLREQMEAGRGYGGICSSPTILHKHGLLKVIFFGLENMFSKF >Ma05_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1064464:1064793:-1 gene:Ma05_g01710 transcript:Ma05_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIEAMLLTASTRLFGSSRRQNGRAKRDTVMASHDAAFEERAEEFAGAALLLHVVWSNEPDTESTSHIIPNKQCTGKDFVVPVAHLLFVELFLRYDSFEVEVGTSPLL >Ma08_p34260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44325987:44329432:-1 gene:Ma08_g34260 transcript:Ma08_t34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKIILKSSDGEEFEVDVAVAMESQTIRHMIEDDCFENGVPLPNVTSKILAKVIEYCRKHVDAASNSSGDASKVPDEELKAWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Ma06_p29500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30858172:30863851:-1 gene:Ma06_g29500 transcript:Ma06_t29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGPARFLLKTYDMVDDASTDDIVSWSSTNASFVVWNPPDFAARLLPTYFKHNNFSSFIRQLNTYGFRKIDSERWEFANEDFVKGQKRLLANIRRRKPIHSHSHPPGGGLADSERAVLEEEIDRLNKEKAGLKDSLLKFEQQQSGTEIQIEDLKRRLADMEQRQLKMVAFVQRALQNPQLMENLVKMAAASSVDFSYIHKKRRLPLDVDYCQETSENSFCDDHSSTTKPENGRMLDLDFCDKLKLELCSAIPDDNLVMVRTQSSNEDNGSSQPKQTGCGQEPMECPPLVHETLQLCDTEAPACPTKIDLFIGEIDDGLFPCHLSLTLASSSMQIDSSKCPGKNQDLVDRSPVSIKDLRPAHATDLKASNTEKDDDLTLPVGNDRSTIGDSADAKAVSSWEASPTCNEAPAIPTGGVNDVFWQQFLTERPGSSDTKEASSCLRPNPCNEQGEETMEGSRNGGISKKDMEQLKL >Ma03_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27387404:27390075:-1 gene:Ma03_g22640 transcript:Ma03_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase small subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G05610) TAIR;Acc:AT1G05610] MVILRLAPSFSLVRRPTTVSMQHSDLNTQRKRWRQPHVFLDTMDTTLCQSHKDSPLFPPLNQSVLAIICDDEPGTKLYPLTKRRSKSAIPVSAHYRIIDFVLGKDGFVEVLTTYQSAEDLSWFKGNADAVRRWLWLLEEHQVKDFLVLPGHHLYEMDYRKLIKAHRDNRADITIAMANNDRNYDASHDFLLHTSKNQFHGLMLAPASVHSPSAAVTTTTKYPVSNAENMGIYVIRRDILIELLQEQLPKANDFGTEVLQGAIALGMKVHAYMFDGHWNDLRNIEAFYQVNIESITRSMSTNFHGRHPAIYTLPNYLPPTTISNALIKDSIIGDGCLLNRCKISNSVIGTRTYVGDDAVIKKSVVMGSDIYEADVSWNTTKMQRSEIPVGIGEKAHVQNAIIDKNARIGKNVKIVNKDGVQECDREASGYIISGGIVVVLKNAVIPDDSIL >Ma06_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20957092:20959668:-1 gene:Ma06_g23200 transcript:Ma06_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCEIEGRHLTASAIVGQDGSVWAQSASFPQFKPEEVTNIINDFNEPGTLAPIGLFLGSTKYMVIQGEPGAVIRGKKGSGGITVKKTNQALIFGIYDEPMTPGQCNMVVERLGDYLIDQGL >Ma03_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7210714:7218126:-1 gene:Ma03_g09690 transcript:Ma03_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSSATVRVEKATSDLLMGPDWTLNMDICDSVNSDHGQAKDVVKAVKKRLQHKNPKVQFLALTLLETMIKNCGNYVHFQVVEREILQEMVKIVRKKTDMQVRDKILELLDSWQVAFGGAGGKYPQFYLAYADLKRSGVQFPERSSDATLIFNPAGHATNETTHPPIGYGMPSNSALRLDEAMASEMAKLSLSDLASMQSVMELLSEMLKAVNPNDRSAVKDEVIIDLVNQCRSNQTRLMQLIDSIRDEELLGRGLELNDNLQSLLAKHDAIASGSPLPAEVSESITEHDAITSSSPPPVDVSESITSSRTPVVPQPAAISWYDDGEEDEDDDFAQLARRNSKSKPLGGDSKSAATSGHLSLPNPSDIIPSTVASTMDGASSSEASSELTLPDPPTPVKTATKEQDIVDLLSITLSSNPSPPHTPLTPPVVSDQNGSPPVPSAGQGYPVNQAYIPQNSYTAPWAQTQTHSPSPPRPQPQPEVFLNSSGYPPPPWATSDPNASPNTNPFIPTTYQHPAPGAGGFSATYTSMQTSRPVQFYNSFGPRVNNVPTTKTQTNTSLGHKTGKPNSPKPYVYTNRLFDDLLDLRNPSTGPKTSSQNSTFSGTSSQGMINGSK >Ma07_p01290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:993383:1003259:1 gene:Ma07_g01290 transcript:Ma07_t01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITSELTMILGIAYGINLLTSVDLWACICLAAVGAVFLPFITLLGKRLNEEIYISIAGFSLLFYVLGVLISQPEIPLVMDVIFPKLSGENSYLLMALLGSNIMAHNFYIQSSIVQRQRVLPNATMGALFHDHFFAIVFIFTSIFLVNYVLINSAAAFFNSTDIVFSFQDVSLLIDQVFGTPIAPIAIFLVLLFSSQITSLTWNIGGRLILQYLFGANLSSWVHHFFVKALSVVPALCCATCAGSEGIYQLLIFCQIIQAMLLPSSVIPLFRVASSRSLMRAFKISWHMEILALFAFFGMLASNVIFITEMLFGNSSWINNLGGTMGTTVRVPYAVILLLACTSIVFMLYLAVTPLKSVSDGPENEIWTSHTWKYQHELSEVREDDDQDKIASDEDQMFPAEPTLENSTESHHDKSVIEVNLLQSGTTMDPEDEHYQSHNSNDNGPCTSPVYSTVEPIPINEVDLETVDKLSAGNSSDPSVLKRVDQMEPAQKDLALEGDNYTDKDSEGTLELEESLREPMSATATEDSGSVHCVKVQGFDGGNGSGSLSKLSGLGRAARRQLAAILDEFWGYLFDFHGKLTQEAMVKKFDVLLGMDTKAVNFSVKMDAVTESSNSFFKDTDRATIFPANSIEYNSPKGRNLSSLEFLYGAKMGMTSWSHDMHLSNTPLQSSSSSLLEPVQKTYSSLHLPQYSDNRDYQPATIHGYQIASNLKGIGAGRSPYSSYASLDPPTTIKSAVPFVPSLRDSVSYAHRQAGLNSLRTSGLHNPTVSRASGVQIEAPYYDHGFVESIESVDSSSYAKKYHSSPDISALIAASRNALMNEMKWGEPIGPKPFLGRMTSGQSQFNPLSKTGFPLAFDEISPPKLHEDVFSLQSNLNQSAKSLWSRQPFEQLFGVMNREQSRGDESLNDRTRIASRETLSYSELEAKLLQALQFCIVKLLNLEGSDWLFRQNGGCDEELVNRVVLNVKTLRESGTHEINQLYSSEIQYLSSVRKPILVQKNEDADSAFPLSLPNCGESCIWRASLVVSFGVWCVRRILELSLVESRPELWGKYTYVLNRLQGILDLAFLKPRRPLANCLCFEEQLKDMKTFNFSLPNISYKIGKPIKGSFTTANMILDIIKDVEIAVAGRKGRTGTAAGDIAFPKGKENLASVLKRYKRRLSNKFPGAHEGTSARKTPANSLVL >Ma07_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:991860:1003259:1 gene:Ma07_g01290 transcript:Ma07_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGHVASNLFPSLGPALMISMGYIDLGKWVAAVEGGARFGYDLVFLVLFFNLTAIFCQYLATCVGMVTQKNLAQICSEEYCRSACIALGVQAALSMITSELTMILGIAYGINLLTSVDLWACICLAAVGAVFLPFITLLGKRLNEEIYISIAGFSLLFYVLGVLISQPEIPLVMDVIFPKLSGENSYLLMALLGSNIMAHNFYIQSSIVQRQRVLPNATMGALFHDHFFAIVFIFTSIFLVNYVLINSAAAFFNSTDIVFSFQDVSLLIDQVFGTPIAPIAIFLVLLFSSQITSLTWNIGGRLILQYLFGANLSSWVHHFFVKALSVVPALCCATCAGSEGIYQLLIFCQIIQAMLLPSSVIPLFRVASSRSLMRAFKISWHMEILALFAFFGMLASNVIFITEMLFGNSSWINNLGGTMGTTVRVPYAVILLLACTSIVFMLYLAVTPLKSVSDGPENEIWTSHTWKYQHELSEVREDDDQDKIASDEDQMFPAEPTLENSTESHHDKSVIEVNLLQSGTTMDPEDEHYQSHNSNDNGPCTSPVYSTVEPIPINEVDLETVDKLSAGNSSDPSVLKRVDQMEPAQKDLALEGDNYTDKDSEGTLELEESLREPMSATATEDSGSVHCVKVQGFDGGNGSGSLSKLSGLGRAARRQLAAILDEFWGYLFDFHGKLTQEAMVKKFDVLLGMDTKAVNFSVKMDAVTESSNSFFKDTDRATIFPANSIEYNSPKGRNLSSLEFLYGAKMGMTSWSHDMHLSNTPLQSSSSSLLEPVQKTYSSLHLPQYSDNRDYQPATIHGYQIASNLKGIGAGRSPYSSYASLDPPTTIKSAVPFVPSLRDSVSYAHRQAGLNSLRTSGLHNPTVSRASGVQIEAPYYDHGFVESIESVDSSSYAKKYHSSPDISALIAASRNALMNEMKWGEPIGPKPFLGRMTSGQSQFNPLSKTGFPLAFDEISPPKLHEDVFSLQSNLNQSAKSLWSRQPFEQLFGVMNREQSRGDESLNDRTRIASRETLSYSELEAKLLQALQFCIVKLLNLEGSDWLFRQNGGCDEELVNRVVLNVKTLRESGTHEINQLYSSEIQYLSSVRKPILVQKNEDADSAFPLSLPNCGESCIWRASLVVSFGVWCVRRILELSLVESRPELWGKYTYVLNRLQGILDLAFLKPRRPLANCLCFEEQLKDMKTFNFSLPNISYKIGKPIKGSFTTANMILDIIKDVEIAVAGRKGRTGTAAGDIAFPKGKENLASVLKRYKRRLSNKFPGAHEGTSARKTPANSLVL >Ma07_p24210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31623701:31624668:-1 gene:Ma07_g24210 transcript:Ma07_t24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHNTSRDATSCSQRDVFYSCGHCGYALNLSSSDRNTAKIGVEYGKAIKKGVVSFVAIDESRFTQADELRCLPYFRSRRSWGLFRHQTRLLCRGCGSLIGVATYDGTSSPSSPSEGSSESNYPASSGSRKYKIRIGALQPSDDDSGTLLLD >Ma10_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1993943:2013258:1 gene:Ma10_g00710 transcript:Ma10_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAMAANEGIQLCVFDSRRGHQEGQELDKILFFFPSDFPYSVQLSVVGLSEGLITFTRIFSPDAACEVIEEERHSHVFYQAEPDIWMVMIVEKNKDNESDWRCDALIDVLKEAHSLFTMFNGSLQALLDKQPSGELARSRLYTFINDYLSDFYVGKKIQVPSFRDCLKERRTVQMLTVSREVAIEVQSLATVIGTSSLGSIACKSLILFQDLLVATTLSPGDTANMFTYAVLRLTPHALSSNTSSWTYLRRGVSGSSITASSGLLNSRSSPEEYHHGSHDSSMGEQSNRYHMPRPLQRDNWSKGKDGFLVCDIQLNVGGLVYLAPVVWLQQSEEHMYLCIYQHRNLTIIVLIPVCSQTDGEQGIALVKKQLLENASEKIVHVEEKLSRGWGGENAYHVSGYRYLLVDTDKSISRTSPPGKVMTLAKDSLFTLNKLREEVDLEKTRANREKPDQEKNLEICIRAKNNSWIIAKITQGRELYMVMEKANETLLYASDAVEKFSDRYCDGAFSLD >Ma10_p00710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1993943:2013258:1 gene:Ma10_g00710 transcript:Ma10_t00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAMAANEDFPYSVQLSVVGLSEGLITFTRIFSPDAACEVIEEERHSHVFYQAEPDIWMVMIVEKNKDNESDWRCDALIDVLKEAHSLFTMFNGSLQALLDKQPSGELARSRLYTFINDYLSDFYVGKKIQVPSFRDCLKERRTVQMLTVSREVAIEVQSLATVIGTSSLGSIACKSLILFQDLLVATTLSPGDTANMFTYAVLRLTPHALSSNTSSWTYLRRGVSGSSITASSGLLNSRSSPEEYHHGSHDSSMGEQSNRYHMPRPLQRDNWSKGKDGFLVCDIQLNVGGLVYLAPVVWLQQSEEHMYLCIYQHRNLTIIVLIPVCSQTDGEQGIALVKKQLLENASEKIVHVEEKLSRGWGGENAYHVSGYRYLLVDTDKSISRTSPPGKVMTLAKDSLFTLNKLREEVDLEKTRANREKPDQEKNLEICIRAKNNSWIIAKITQGRELYMVMEKANETLLYASDAVEKFSDRYCDGAFSLD >Ma05_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4685788:4689084:1 gene:Ma05_g06290 transcript:Ma05_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIDDMQLTAAAILGLDGSVWAQSATFPQLKPEEITAIMTDFEEPGSLAPTGLYLGGIKYMVIQGEPGSVIRGKKGAGGVTIKKTNLALIIGIYEEPMTGGQCSMIVERLGDYLYDQGF >Ma10_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17200550:17204176:1 gene:Ma10_g05830 transcript:Ma10_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEAPVGVVLDGGGLMALSCGHDGGVGAHRQLQHQPQIGTVAHLIAGGVAAAVSKTCTAPLAPLTILFQVTRCYYSSSLATEKSKPLALASRIVYEEGFSAFWKGNLVTIAPRLPYSSISFYAYEWYKNVLQLIPGLDKHRECVGADVCL >Ma03_p33060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34575933:34577180:-1 gene:Ma03_g33060 transcript:Ma03_t33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNLALMNPRGGGRYGYLLGSSSPDHDRRHPIERPVVPHPLQRRPEEDLFGPWRLFEERVRTGPFGLTRFPLQPHSPVRILVLQGGSDDRVNPGLMQFIRDSPPRIRGRWHLGQAPEAEEDPGLTEEEFNKAMKKLKKKVYNPPNHRKRAWKRGLFSSRTSSGGGAAAEGEKEDGKDCTVCLETFVANEPVLVTPCNHMFHRDCLEPWVRSHGKCPVCRYVLCERKENALVRINNGGFSANHVRNDARGLYDHDYVEDDDLISDIITLIRAMEEAFNWVNHAPAASYR >Ma05_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11359222:11361594:-1 gene:Ma05_g15270 transcript:Ma05_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTVTSGGRMLRAVGTQVGGGDLNGAGRAAKPTRVVVVSSPTATSPPGSPPWTSASARGWCSLPWFADGEDWERGDSGAADEIGVSERYVFETEPSKEEAEEAVSALQRFLVPVTLPQVAEDGSPISVQGVVEKEIVSIDEFERGCSAEFSTESESDWIEPAMHLFSSNSSQSKQCEKVYDAFNLLKISPSIQRMVVSLSSDKAIWDAVMKNEAVQELKKCFCEVGDDDGTASADGDPDIAIGSLRWILHSTKAKVREFIDHITRLMNEIFHSQGTDDKTDSFNDAVRSSFMLSVMVFIVVVVIRIQRS >Ma11_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25514709:25515421:1 gene:Ma11_g21300 transcript:Ma11_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTMNLLRCDSARLYNRFSPRPLAETDTSKPSAGRAAASTMWPSPPFYHAPSPLLLHSSRLSQASELFTMAVHGQSMEVNEPGTDPHTSGKTRKGGFRAAMFVFAMSGMENVGFIANMVSFVLYFMYVMHFDLAGSSTTLTNFVGATFLLPIVGGFISDTYMTRLNTALLFGFFEIAVT >Ma09_p28370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39144847:39158767:-1 gene:Ma09_g28370 transcript:Ma09_t28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFVEPVHSPQQNPKPPLRPHWKRMIAELEGRVPFCFRRQASQLLLDSLAEVRIFEHNYRTEPDGCPTHMARMANVANLDMPVSYLRQGTSGLDFDTKGIYLASVTKTGCLTVHDFETLYCTIYGPKSSSLEDETKDLLHIYTSKALDSVRWNPTNQDEVACASRQHDKVMLFDIGYISSEPVEVLEKGKSKFSHHTCELYNGLSEIMFASADKSRLLASGLDGAIYIWDKRLSNFPCLELTTNSHSQLNSIALDLEDRVVFGASKQGIIYAWDLRGGRPSYAFQSHNDASCSLLASLKVSSMLERITTLKAQSNIVSREIHSISFDPSCYHQLAFHLDDGWSGVLNVSSFNVTHVHCPPPAWLDGMDISTSSIMRRPSWLPTCSIYAVGSSSGNGLHLLDFYPGTSSACHVDFIEESQNTYKECRGAVKNQYVPVSQNILVCAVHPLNETIIAGTKESSLLVVSPRLQELQSTNSTT >Ma01_p21900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22123997:22180623:1 gene:Ma01_g21900 transcript:Ma01_t21900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTWKFQFAPLLPFFNYFVSLFFLLGPLAHYRSMVREGKLKYDTYQERVAFELEELLGKLQCYQKEMEDYHVKLATWEKNRENERRKLLLEEAELKQKDGVWIDTKNHKSSFIDKWISRRRRRQNVEPGVGKMVSYFNREKKLDSVVGVQPVPPTAPKGLYLYGNVGSGKTMLMDMFYSATKGIVKHRRRFHFHEAMLKIHEHMHEIWKNQAEEQSQQSSVFSWIDSLPFNAKVKEWLVGEERYKQELHSKHILASVADNFLIDRKADKTGASILCFDEIQTVDVFAIVALSGIVSKLLTTGTVLVTTSNKAPENLNQDGMQKDIFLSFLSKLEENCQKILIGSDIDYRRLIPNKRMDQVSFFWPSSTGACREYERMWCEVTRKAGGAITSTVIPVMFGRCLEVPESCNGIARFNFEYICGRPVGASDYIAVARHYHTVFVSDIPVMSMRIRDKARRFITLIDELYNHHCCIFCLAACSIDDLFQGTDEGTLFDLESFQFETETEGGKLRRDVLAAGSVGLGPAPSGITSLLSGQEEMFAFRRAVSRLIEMQTPSYRDGVQLFHPLFQQHNRQLTDHAPVSQLQPSL >Ma01_p21900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22123852:22180623:1 gene:Ma01_g21900 transcript:Ma01_t21900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFFSSSSSLFSRAFFHSRRTLAHEFSNHDRHRPLINARCFSGEPSQTSACLRPGPLAHYRSMVREGKLKYDTYQERVAFELEELLGKLQCYQKEMEDYHVKLATWEKNRENERRKLLLEEAELKQKDGVWIDTKNHKSSFIDKWISRRRRRQNVEPGVGKMVSYFNREKKLDSVVGVQPVPPTAPKGLYLYGNVGSGKTMLMDMFYSATKGIVKHRRRFHFHETVDVFAIVALSGIVSKLLTTGTVLVTTSNKAPENLNQDGMQKDIFLSFLSKLEENCQKILIGSDIDYRRLIPNKRMDQVSFFWPSSTGACREYERMWCEVTRKAGGAITSTVIPVMFGRCLEVPESCNGIARFNFEYICGRPVGASDYIAVARHYHTVFVSDIPVMSMRIRDKARRFITLIDELYNHHCCIFCLAACSIDDLFQGTDEGTLFDLESFQFETETEGGKLRRDVLAAGSVGLGPAPSGITSLLSGQEEMFAFRRAVSRLIEMQTPSYRDGVQLFHPLFQQHNRQLTDHAPVSQLQPSL >Ma01_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22123777:22180623:1 gene:Ma01_g21900 transcript:Ma01_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFFSSSSSLFSRAFFHSRRTLAHEFSNHDRHRPLINARCFSGEPSQTSACLRPGPLAHYRSMVREGKLKYDTYQERVAFELEELLGKLQCYQKEMEDYHVKLATWEKNRENERRKLLLEEAELKQKDGVWIDTKNHKSSFIDKWISRRRRRQNVEPGVGKMVSYFNREKKLDSVVGVQPVPPTAPKGLYLYGNVGSGKTMLMDMFYSATKGIVKHRRRFHFHEAMLKIHEHMHEIWKNQAEEQSQQSSVFSWIDSLPFNAKVKEWLVGEERYKQELHSKHILASVADNFLIDRKADKTGASILCFDEIQTVDVFAIVALSGIVSKLLTTGTVLVTTSNKAPENLNQDGMQKDIFLSFLSKLEENCQKILIGSDIDYRRLIPNKRMDQVSFFWPSSTGACREYERMWCEVTRKAGGAITSTVIPVMFGRCLEVPESCNGIARFNFEYICGRPVGASDYIAVARHYHTVFVSDIPVMSMRIRDKARRFITLIDELYNHHCCIFCLAACSIDDLFQGTDEGTLFDLESFQFETETEGGKLRRDVLAAGSVGLGPAPSGITSLLSGQEEMFAFRRAVSRLIEMQTPSYRDGVQLFHPLFQQHNRQLTDHAPVSQLQPSL >Ma01_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6508882:6514994:1 gene:Ma01_g09040 transcript:Ma01_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRKAYGALKDSTKVGLAKVNSEFKDLDIAIVKATNHEECPPKERHVRTIFAATSVVRPRADVAYCIYALGRRLSKTHNWMVALKTLIVIHRTLREGDPTFREELLIYSRRGNVLHISNFKDDSGPLAWDCSAWVRTYALYLEERLSCFRILKYDIEAERLMKSPQESAKGHSRTRTLCCPDLLEQLLALQQLLFRLIGCRPEGAACGNFLIQYALALVLKESFKIYCAINDGIINLVDMFFDMSKYDAVKALDIYKRAGQQAESLSEFYEFGKCLELARTFQFPTLRQPPPSFLATMEEYVREAPGVGSVSSKRLEYEDRKVLTYQQEEAAPAEDENPDEEDEKQPPEEEQPPEEEQPPEEQPPPEPEPEPITEEEAQPATSGDLLGLDEINPVAAELEQSNALALAIISPGDDTKPSSTHDLFGTDSSGWELALVTTASSNTSQLVESKLAGGFDRLLLESLYEDSARSQQIAGAYSSGGLDANPSDYNDPFAMSNSIAPPPSVQMALMAQQQQQQQQQQYYYYQQQPLQQEQYYQQQDTSMMVPYQPQQAQQQMASANPFGDPFAGFPQGATTQSNQHLL >Ma09_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2994764:2995058:1 gene:Ma09_g04640 transcript:Ma09_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSCKGFKYSSKRPTWTHKTAGWEVWFQYVKAVVLRIKAGRRALKAFQSIGKR >Ma06_p06600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4777509:4780563:1 gene:Ma06_g06600 transcript:Ma06_t06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLITHFSEFQLATIGSFLIHESVFFLSGFPSIFLERSGLFSKYKIQKKSNTAEAHKKCVMRLIMYHVCVNLPVMLISYPAFRYMGLRSSLPLPNWTVIVSQILFYFILEDFVFYWGHRILHTKWLYKHVHSIHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMALRVLETVEVHSGYHFPWSPSNFFPLYGGSEFHDYHHRILFTKSGNYSSTFVYMDWLFGTDKGYRKLKVEEIEGKDH >Ma06_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4776600:4780563:1 gene:Ma06_g06600 transcript:Ma06_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLESCWLYLITHFSEFQLATIGSFLIHESVFFLSGFPSIFLERSGLFSKYKIQKKSNTAEAHKKCVMRLIMYHVCVNLPVMLISYPAFRYMGLRSSLPLPNWTVIVSQILFYFILEDFVFYWGHRILHTKWLYKHVHSIHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMALRVLETVEVHSGYHFPWSPSNFFPLYGGSEFHDYHHRILFTKSGNYSSTFVYMDWLFGTDKGYRKLKVEEIEGKDH >Ma08_p34190.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44283421:44289577:1 gene:Ma08_g34190 transcript:Ma08_t34190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGRFFHLFDWNRKSRKKLFSSGNASSENTVQGNKIDDNVPASRFHLIDQDELEGVSSAKESSHHSGASTTDEEGNAFKTPGVIARLMGLDYVPTSGISEPYSTPLHGSRLLQDDNIHKRGTERFNNDNFYSVDKRSDIHSGKPESKSQKMPSSPIERFQTETLPPRMAKTIAITHHKLLSPVKNPGFISSKNASYIIEAAAKILESELHGASTGRVQPLKSPLNHSKVCESNGIIAIPKKISMLSESLEGIAGTGAPASVGGQTLKRNSKGLKDSTVGRPFPSAAEVDPSGAKGKGKLVSLATETKVNAQRRDGSSTKSGNTIMLKNKEECTLNKPSKSPSNDQKNNQHKRTSTVNGSHVLRQNNRKQNCASGKSKLPLPSSISEQQGIKILPGGASSENNKIVSKLPGNAKVGYMKKDLGTADLDKDKLPSGHKNFTRKKRLVEQRSSSIRCRPSDDIPLGSQGKQVQHNVVMDGHPGLHDDNTRSVTDVVSFTFTSPMKRPISGSQASNHEVKNQEKKNDYFSETREADSRMSSHLKLHAVDGDSLGILIERKLRELSSGAKSPYCTLFRGGSGLAYASVLDDSTSALNVPSVAAAEQKTESLNLSFSDELSGSFDSDSLASDQVECISHELQNVDRMEYQSSMMDDKKSNHQGQSAISCYSIEGWQSTHGLKMGISSSSIQARDMVDQICMNRTSLMEPELGISDAASSSVHGELVLEINACDHANPCKQELEYVKDILTNTGFTFKNLIPRPFDHSFEILDPILFDKLEETKTFAAYEGEEENLKTRRRMLFDSVNECLDLKCSHYFRAGYRSWARGVAVAKGLAEELYKEISGWKSGGDWMVDELVDKDMSTRLGSWTTFEIEAFEAGVEFETEVLSCLLDEVVADLS >Ma08_p34190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44283421:44289577:1 gene:Ma08_g34190 transcript:Ma08_t34190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGRFFHLFDWNRKSRKKLFSSGNASSENTVQGNKIDDNVPASRFHLIDQDELEGVSSAKESSHHSGASTTDEEGNAFKTPGVIARLMGLDYVPTSGISEPYSTPLHGSRLLQDDNIHKRGTERFNNDNFYSVDKRSDIHSGKPESKSQKMPSSPIERFQTETLPPRMAKTIAITHHKLLSPVKNPGFISSKNASYIIEAAAKILESELHGASTGRVQPLKSPLNHSKVCESNGIIAIPKKISMLSESLEGIAGTGAPASVGGQTLKRNSKGLKDSTVGRPFPSAAEVDPSGAKGKGKLVSLATETKVNAQRRDGSSTKSGNTIMLKNKEECTLNKPSKSPSNDQKNNQHKRTSTVNGSHVLRQNNRKQNCASGKSKLPLPSSISEQQGIKILPGGASSENNKIVSKLPGNAKVGYMKKDLGTADLDKDKLPSGHKNFTRKKRLVEQRSSSIRCRPSDDIPLGSQGKQVQHNVVMDGHPGLHDDNTRSVTDVVSFTFTSPMKRPISGSQASNHEVKNQEKKNDYFSETREADSRMSSHLKLHAVDGDSLGILIERKLRELSSGAKSPYCTLFRGGSGLAYASVLDDSTSALNVPSVAAAEQKTESLNLSFSDELSGSFDSDSLASDQVECISHELQNVDRMEYQSSMMDDKKSNHQGQSAISCYSIEGWQSTHGLKMGISSSSIQARDMVDQICMNRTSLMEPELGISDAASSSVHGELVLEINACDHANPCKQELEYVKDILTNTGFTFKNLIPRPFDHSFEILDPILFDKLEETKTFAAYEGEEENLKTRRRMLFDSVNECLDLKCSHYFRAGYRSWARGVAVAKGLAEELYKEISGWKSGGDWMVDELVDKDMSTRLGSWTTFEIEAFEAGVEFETEVLSCLLDEVVADLS >Ma08_p34190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44283421:44289577:1 gene:Ma08_g34190 transcript:Ma08_t34190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGRFFHLFDWNRKSRKKLFSSGNASSENTVQGNKIDDNVPASRFHLIDQDELEGVSSAKESSHHSGASTTDEEGNAFKTPGVIARLMGLDYVPTSGISEPYSTPLHGSRLLQDDNIHKRGTERFNNDNFYSVDKRSDIHSGKPESKSQKMPSSPIERFQTETLPPRMAKTIAITHHKLLSPVKNPGFISSKNASYIIEAAAKILESELHGASTGRVQPLKSPLNHSKVCESNGIIAIPKKISMLSESLEGIAGTGAPASVGGQTLKRNSKGLKDSTVGRPFPSAAEVDPSGAKGKGKLVSLATETKVNAQRRDGSSTKSGNTIMLKNKEECTLNKPSKSPSNDQKNNQHKRTSTVNGSHVLRQNNRKQNCASGKSKLPLPSSISEQQGIKILPGGASSENNKIVSKLPGNAKVGYMKKDLGTADLDKDKLPSGHKNFTRKKRLVEQRSSSIRCRPSDDIPLGSQGKQVQHNVVMDGHPGLHDDNTRSVTDVVSFTFTSPMKRPISGSQASNHEVKNQEKKNDYFSETREADSRMSSHLKLHAVDGDSLGILIERKLRELSSGAKSPYCTLFRGGSGLAYASVLDDSTSALNVPSVAAAEQKTESLNLSFSDELSGSFDSDSLASDQVECISHELQNVDRMEYQSSMMDDKKSNHQGQSAISCYSIEGWQSTHGLKMGISSSSIQARDMVDQICMNRTSLMEPELGISDAASSSVHGELVLEINACDHANPCKQELEYVKDILTNTGFTFKNLIPRPFDHSFEILDPILFDKLEETKTFAAYEGEEENLKTRRRMLFDSVNECLDLKCSHYFRAGYRSWARGVAVAKGLAEELYKEISGWKSGGDWMVDELVDKDMSTRLGSWTTFEIEAFEAGVEFETEVLSCLLDEVVADLS >Ma08_p34190.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44283421:44289577:1 gene:Ma08_g34190 transcript:Ma08_t34190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGRFFHLFDWNRKSRKKLFSSGNASSENTVQGNKIDDNVPASRFHLIDQDELEGVSSAKESSHHSGASTTDEEGNAFKTPGVIARLMGLDYVPTSGISEPYSTPLHGSRLLQDDNIHKRGTERFNNDNFYSVDKRSDIHSGKPESKSQKMPSSPIERFQTETLPPRMAKTIAITHHKLLSPVKNPGFISSKNASYIIEAAAKILESELHGASTGRVQPLKSPLNHSKVCESNGIIAIPKKISMLSESLEGIAGTGAPASVGGQTLKRNSKGLKDSTVGRPFPSAAEVDPSGAKGKGKLVSLATETKVNAQRRDGSSTKSGNTIMLKNKEECTLNKPSKSPSNDQKNNQHKRTSTVNGSHVLRQNNRKQNCASGKSKLPLPSSISEQQGIKILPGGASSENNKIVSKLPGNAKVGYMKKDLGTADLDKDKLPSGHKNFTRKKRLVEQRSSSIRCRPSDDIPLGSQGKQVQHNVVMDGHPGLHDDNTRSVTDVVSFTFTSPMKRPISGSQASNHEVKNQEKKNDYFSETREADSRMSSHLKLHAVDGDSLGILIERKLRELSSGAKSPYCTLFRGGSGLAYASVLDDSTSALNVPSVAAAEQKTESLNLSFSDELSGSFDSDSLASDQVECISHELQNVDRMEYQSSMMDDKKSNHQGQSAISCYSIEGWQSTHGLKMGISSSSIQARDMVDQICMNRTSLMEPELGISDAASSSVHGELVLEINACDHANPCKQELEYVKDILTNTGFTFKNLIPRPFDHSFEILDPILFDKLEETKTFAAYEGEEENLKTRRRMLFDSVNECLDLKCSHYFRAGYRSWARGVAVAKGLAEELYKEISGWKSGGDWMVDELVDKDMSTRLGSWTTFEIEAFEAGVEFETEVLSCLLDEVVADLS >Ma08_p34190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44283421:44289577:1 gene:Ma08_g34190 transcript:Ma08_t34190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGRFFHLFDWNRKSRKKLFSSGNASSENTVQGNKIDDNVPASRFHLIDQDELEGVSSAKESSHHSGASTTDEEGNAFKTPGVIARLMGLDYVPTSGISEPYSTPLHGSRLLQDDNIHKRGTERFNNDNFYSVDKRSDIHSGKPESKSQKMPSSPIERFQTETLPPRMAKTIAITHHKLLSPVKNPGFISSKNASYIIEAAAKILESELHGASTGRVQPLKSPLNHSKVCESNGIIAIPKKISMLSESLEGIAGTGAPASVGGQTLKRNSKGLKDSTVGRPFPSAAEVDPSGAKGKGKLVSLATETKVNAQRRDGSSTKSGNTIMLKNKEECTLNKPSKSPSNDQKNNQHKRTSTVNGSHVLRQNNRKQNCASGKSKLPLPSSISEQQGIKILPGGASSENNKIVSKLPGNAKVGYMKKDLGTADLDKDKLPSGHKNFTRKKRLVEQRSSSIRCRPSDDIPLGSQGKQVQHNVVMDGHPGLHDDNTRSVTDVVSFTFTSPMKRPISGSQASNHEVKNQEKKNDYFSETREADSRMSSHLKLHAVDGDSLGILIERKLRELSSGAKSPYCTLFRGGSGLAYASVLDDSTSALNVPSVAAAEQKTESLNLSFSDELSGSFDSDSLASDQNVDRMEYQSSMMDDKKSNHQGQSAISCYSIEGWQSTHGLKMGISSSSIQARDMVDQICMNRTSLMEPELGISDAASSSVHGELVLEINACDHANPCKQELEYVKDILTNTGFTFKNLIPRPFDHSFEILDPILFDKLEETKTFAAYEGEEENLKTRRRMLFDSVNECLDLKCSHYFRAGYRSWARGVAVAKGLAEELYKEISGWKSGGDWMVDELVDKDMSTRLGSWTTFEIEAFEAGVEFETEVLSCLLDEVVADLS >Ma08_p34190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44283421:44289577:1 gene:Ma08_g34190 transcript:Ma08_t34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGRFFHLFDWNRKSRKKLFSSGNASSENTVQGNKIDDNVPASRFHLIDQDELEGVSSAKESSHHSGASTTDEEGNAFKTPGVIARLMGLDYVPTSGISEPYSTPLHGSRLLQDDNIHKRGTERFNNDNFYSVDKRSDIHSGKPESKSQKMPSSPIERFQTETLPPRMAKTIAITHHKLLSPVKNPGFISSKNASYIIEAAAKILESELHGASTGRVQPLKSPLNHSKVCESNGIIAIPKKISMLSESLEGIAGTGAPASVGGQTLKRNSKGLKDSTVGRPFPSAAEVDPSGAKGKGKLVSLATETKVNAQRRDGSSTKSGNTIMLKNKEECTLNKPSKSPSNDQKNNQHKRTSTVNGSHVLRQNNRKQNCASGKSKLPLPSSISEQQGIKILPGGASSENNKIVSKLPGNAKVGYMKKDLGTADLDKDKLPSGHKNFTRKKRLVEQRSSSIRCRPSDDIPLGSQGKQVQHNVVMDGHPGLHDDNTRSVTDVVSFTFTSPMKRPISGSQASNHEVKNQEKKNDYFSETREADSRMSSHLKLHAVDGDSLGILIERKLRELSSGAKSPYCTLFRGGSGLAYASVLDDSTSALNVPSVAAAEQKTESLNLSFSDELSGSFDSDSLASDQVECISHELQNVDRMEYQSSMMDDKKSNHQGQSAISCYSIEGWQSTHGLKMGISSSSIQARDMVDQICMNRTSLMEPELGISDAASSSVHGELVLEINACDHANPCKQELEYVKDILTNTGFTFKNLIPRPFDHSFEILDPILFDKLEETKTFAAYEGEEENLKTRRRMLFDSVNECLDLKCSHYFRAGYRSWARGVAVAKGLAEELYKEISGWKSGGDWMVDELVDKDMSTRLGSWTTFEIEAFEAGVEFETEVLSCLLDEVVADLS >Ma10_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16991619:16992255:-1 gene:Ma10_g05660 transcript:Ma10_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRSLEEFWPVYVSQHSKPTTRRWHFAGTLSALLSLLLALVLARWPFLLLAPILGYGPAWCSHFFVEGNSPATFRHPLWSLLCDLKMFALMLTGQMNREIKRLGKRPVLHAF >Ma07_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11497561:11508814:-1 gene:Ma07_g15320 transcript:Ma07_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MAFVSATQPSCVRVPLRFDLRRASVPSASSLLPAAGAAASSSRLPVGDSRRCRRPIGVRWVKGTEQEDVSVADEVEKTNSLLHDEVDIDIVAASDPVASGSQGVLTDKTSSLKTVALWVFAAVTFGVCLGLKDGVEKASEFFAGYILEQSLSVDNLFVFVLVFKYFRVPVKFQNRVLSYGIAGAIIFRAVMILLGTATIQRFESVNFLLALILLYSSYKLFSAEAEETDLADNFIVKTCQKFIPVTDYYDGDRFFTIKDGVRKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAICGLRSLYVLISESMSELVYLQPAIGFVLGFIGTKMLFDLFGYHVPTEVSLGFVAVTLGAGVLLSIGKNQIE >Ma01_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10830386:10839591:-1 gene:Ma01_g14870 transcript:Ma01_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMIGDRRPDALGTLRVLPDETLCTILDLLSPTDLARLSCVSSVMYILCNEEPLWMSQCLRDGGLVEYRSNWKKTTLHRQNLYTKSEICEKPRQFDGFISWFLYKRWYRCFTTLTAYSFDVGDIERKKSLTLEEFQSEYDGKRPVLLTELAYTWAARTKWMVDQLSLNYGDVAFRISQRSSKKITMKFRDYISYMEVQHDEDPLYIFDEKFGEVAPSLLDDYSVPYLFQEDFFDVLDPDKRPAFRWLIIGPERSGASWHVDPALTSAWNTLLVGRKRWALYPPGRVPAGVTVHVNEEDGDINIETPTSLQWWLDVYPQLADHDKPLECTQLPGETIFLPSGWWHCVLNLETTVAITQNFVNKTNFEFVCLDMAPGHRHKGVCRAGFLAVENNFLRSVESDGFPKTSSLDDPDMPRKEKRLKGSGLGSKPFQFNDSWRAENALSPLHSKIQNESFSYDISFLSTFLEENRDHYNSVWSPSNSIGQREMREWLYKLWISKPAIRELIWKGAQIALDIDKWYARLIEVCTCHNLPPPLDDEKFPVGTGSNPVYLVSDYVIKLFAEGGLNSSIHSLGTELQFYHLLQHTNSSLKDHVPEVFASGLLSEENGFLKIFPWDGKGVPDVIANCKLIGDCMKDSFPFGIWSKKKIELADAGSIPCTKMWPYIVTKRCKGDIFANLRDTLSRDDALHLASFLGEQLRNLHLLPLPYFHYRNKLNVNNASTQVTSEDNNIPLDWELILMALNRRTRDVQKRLSVWGDPIPRHLIEKADAYIPHDLTMLLDLTKDDNGLYKVGVSPTWIHSDIMDDNIHMEPCQPIPCFEHSSCLALAVNGELDAHETEGRLRKWQPTHIIDFSDLSIGDPLYDLIPIYLDVFRGDEVLFKHLLRSYRLPLSKASIHGAHSCKVPENEAKFKRLSYRAMCYCILHDENVLGAIFSLWKELRTAASWEEVEETVWGELNNYQCSY >Ma06_p11270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7905151:7906898:1 gene:Ma06_g11270 transcript:Ma06_t11270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRGSHSKHEDMELLNRGPWTVEEDKLLTHYIACHGEGRWNLLARCSGLRRTGKSCRLRWLNYLKPDVRRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSSKFRDAVRCYWMPRLLQKFGSSQTMQYSLEANTNTTTSDQVQHRPCQEIINPSMQYCQLGSSSSHEPQAPENHSAVFPPLPVNMSEFPWRASDEIHGVAFNIFSSGFSMNNAYDLGTCDLTPVSASAPIYWDLDYGTDNNDCSNYNGDNLWSMDELCDMLKSYVSGADIKVPFSDHNS >Ma06_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7905151:7906898:1 gene:Ma06_g11270 transcript:Ma06_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYITWKLSPLHHSASSRLPIILAPGMSETRGSHSKHEDMELLNRGPWTVEEDKLLTHYIACHGEGRWNLLARCSGLRRTGKSCRLRWLNYLKPDVRRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSSKFRDAVRCYWMPRLLQKFGSSQTMQYSLEANTNTTTSDQVQHRPCQEIINPSMQYCQLGSSSSHEPQAPENHSAVFPPLPVNMSEFPWRASDEIHGVAFNIFSSGFSMNNAYDLGTCDLTPVSASAPIYWDLDYGTDNNDCSNYNGDNLWSMDELCDMLKSYVSGADIKVPFSDHNS >Ma03_p23530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28067547:28068607:-1 gene:Ma03_g23530 transcript:Ma03_t23530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNDHGEEKAYCDFHPMESVVGICALCLKERLLVLASEQRHHPLPRKTNRSLRVLRRKRIIRLPKVFALGPFLNLLELRYQGTKDDSDDEGSIASHEVKFDDDGHASWDSEGADNTEEIDESRGMEEQIEHDNALKWKKRIGQLLRVARWRTPDADLQGGAKGRRVWMRSLTRRRSTNAH >Ma03_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28067547:28068627:-1 gene:Ma03_g23530 transcript:Ma03_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNDHGEEKAYCDFHPMESVVGICALCLKERLLVLASEQRHHPLPRKTNRSLRVLRRKRIIRLPKVFALGPFLNLLELRYQGTKDDSDDEGSIASHEDSFITVKFDDDGHASWDSEGADNTEEIDESRGMEEQIEHDNALKWKKRIGQLLRVARWRTPDADLQGGAKGRRVWMRSLTRRRSTNAH >Ma11_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3804509:3807797:1 gene:Ma11_g04870 transcript:Ma11_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TENA-E protein [Source:Projected from Arabidopsis thaliana (AT3G16990) UniProtKB/Swiss-Prot;Acc:Q9ASY9] MGRIASWVKSHRPMYDRATQHPFVVGISDGSVDLSAFKRWLAQDYIFVKEFVPFLASVLLKAWKHSDDESDMEILLGGMASLSDELAWFRKEASKWDVKLVGIVPQKANLEYCRFLQSLMLPEVDYAVAITAFWAIETVYQESFSLCLDSSSKTPEELMETCQRWGSANFGHYCRSLQKIADRCLEKASSDIIRKAEEAFVCVLEHEFNFWNMSCGE >Ma01_p11640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8431219:8445498:-1 gene:Ma01_g11640 transcript:Ma01_t11640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALEEFVHEEEDVDHPVRYLPLGHVYSSSASCVNPSGSSSVVSKKVKARKIVENGDLGGGEEDGGGRNELFGLDPAGMCKSSARQPILVYQRRIKKPCVGSPKRRVESCSERSEEGSDRGRSNGVVDSLGDGQIAKKRRIMKYELLRLGSGSGSLNGNAAPRSRAGEGCKRANSAEPKKHVRGAQKDSSALGKVKRWVELEFKGADPQVLIGLVCKVFWPMDDDWYKGSVTEYNPLTTQHRVEYEDGEIEHLILSSERIKFHLSCEDLEHLHLKCGVPNLEKKGPNYNELLALALSFHDCQDLEPGELVWAKLTGHAMWPAVVVSESNFGPRGDLKPTRINQSILVQFFGTHDFARIKLKQAIPFLNGLLSSLHLKCKQARFYRSLDEAKMYLIEQQLPKSMLHLQKRIEADDIKSASGEDEGTKSDDDLSTGYIINAVELASTSPIEIGNLRVTSLGKIVCDSDYFHNKKHIWPEGYTAFRKFTSLEDPCSVMSYRMEVLRNPKVKARPLFRVTTDDGEQIDGSTPNACWKEIYSRIRNKQCDDTQTEVEGSGLQKSGSYMFGFSNPHIAQLIQELPNSRVCSKYFDSYGDMPMGYRAVRVDWKDLDRCGVCDMDEEYEDNLFLQCDKCRIMVHAKCYGELEPLDGVLWLCNLCRPGAPKFPPRCCLCPVIGGAIKPTTDGRWAHLTCAMWIPETCLVDVKRMEPIDGISRINKDRWKLLCSICGVSYGACIQCSHNTCRVAYHPLCARAAGLCVELEDEDKIHLMSLDEDDDQCIRLLSFCKKHRQPSNERPPADDSLRVPTQLGSSYVPASNPSGCARSEPYNFSGRRGQKQPQILGAASQKRLFVENKPYLVTGFRQNGSACGLSGNISAQAQCTLDDLKLGASQFEKHGSVCSMAEKYRNMKATFRRRLAFGKSKIHGFGVFAKLAHKAGDMVIEYIGELVRPTIADIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIEQWEELTYDYRFFSIDERLACYCGFSRCRGIVNDTEAEEQVAKIRVPLSELVQWKGE >Ma01_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8431204:8445498:-1 gene:Ma01_g11640 transcript:Ma01_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALEEFVHEEEDVDHPVRYLPLGHVYSSSASCVNPSGSSSVVSKKVKARKIVENGDLGGGEEDGGGRNELFGLDPAGMCKSSARQPILVYQRRIKKPCVGSPKRRVESCSERSEEGSDRGRSNGVVDSLGDGQIAKKRRIMKYELLRLGSGSGSLNGNAAPRSRAGEGCKRANSAEPKKHVRGAQKDSSALGKVKRWVELEFKGADPQVLIGLVCKVFWPMDDDWYKGSVTEYNPLTTQHRVEYEDGEIEHLILSSERIKFHLSCEDLEHLHLKCGVPNLEKKGPNYNELLALALSFHDCQDLEPGELVWAKLTGHAMWPAVVVSESNFGPRGDLKPTRINQSILVQFFGTHDFARIKLKQAIPFLNGLLSSLHLKCKQARFYRSLDEAKMYLIEQQLPKSMLHLQKRIEADDIKSASGEDEGTKSDDDLSTGYIINAVELASTSPIEIGNLRVTSLGKIVCDSDYFHNKKHIWPEGYTAFRKFTSLEDPCSVMSYRMEVLRNPKVKARPLFRVTTDDGEQIDGSTPNACWKEIYSRIRNKQCDDTQTEVEGSGLQKSGSYMFGFSNPHIAQLIQELPNSRVCSKYFDSYGDMPMGYRAVRVDWKDLDRCGVCDMDEEYEDNLFLQCDKCRIMVHAKCYGELEPLDGVLWLCNLCRPGAPKFPPRCCLCPVIGGAIKPTTDGRWAHLTCAMWIPETCLVDVKRMEPIDGISRINKDRWKLLCSICGVSYGACIQCSHNTCRVAYHPLCARAAGLCVELEDEDKIHLMSLDEDDDQCIRLLSFCKKHRQPSNERPPADDSLRVPTQLGSSYVPASNPSGCARSEPYNFSGRRGQKQPQILGAASQKRLFVENKPYLVTGFRQNGSACGLSGNISAQAQCTLDDLKLGASQFEKHGSVCSMAEKYRNMKATFRRRLAFGKSKIHGFGVFAKLAHKAGDMVIEYIGELVRPTIADIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIEQWEELTYDYRFFSIDERLACYCGFSRCRGIVNDTEAEEQVAKIRVPLSELVQWKGE >Ma06_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12970925:12974685:1 gene:Ma06_g18950 transcript:Ma06_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAQMIIRQHTCRCLEGFPKHVSLYRSPKQHLSTFFPTQIQIPSPPTLSQPMYSELLLSATKSLSLIHGKEVHAHIIKACFTPCMFLQNVLLNMYCKCGDMSLAQRLFDTMPTRDTVSWNSLITGYSQVGVCRKSLDVFNEARSVQIKLDHFTYASALSVCSRNGDLKMGKVIHGMVLVSGFYQRVFLTNSLIDMYSKCGGISDASLVFDSSSELDDVSWNSMISAYVRIGWDEETLRNFAKMHRLGIKPNPFALGGVFKSCSSLSNSVILGKMVHGCVIKVGLDSDVFVGSAMIDLYAKNGLLSEAVMVFNSVPDPNVVVFNSMIAGFSRLETDGNNEHNYEAVCLFSEMQRRGMRSSKFTFSSVLRACNFPHDFELGKQIHGQIFKNNLKRDEYIGSALIEMYSSSGSIEEGFKCFHSVLKQDIVTWTSMISGCIQVDHFEWALSLFHDLLGIGIKPDHFTLSCLMSACANLAVARSGEQIQCYAIKVGFNWFTIINNSQIFMYARSGDVDAANQTFQEMENRDVVSWSAMISSHAQHGCASDALMLFKEMEGCKVSPNHVTLLGVLTACSHGGLVDEGLRYFERMKVDYNLYPNAKHCACIVDLLGRAGRLVDAEKFILDSCFHDDPILWRALLGSCRVHKDTEMGTHAAERIMELEPHAPASYVLLYNMYLDAGRKSFAMRARDLMKERGVKKEPGLSWIEIGASVHSFVAGDNSHPESHAIYDKLEEMLSKIEKMGYIKMEALEINGSSPKQNGSFVNHHSEKLAVALGMIRLPQLAPIRVMKNLRVCVDCHTAMKLFSESEKREIVLRDPFRFHRFRGGLCSCGDYW >Ma06_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1146138:1149392:-1 gene:Ma06_g01420 transcript:Ma06_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGGKEEEEYLFKVVITGDSAVGKSNLLSRYARNEFNLHSKATIGVEFLTQTVDIDGKEVKAQIWDTAGQDRFRAVTSAYYRGAFGALVVYDVSRRSTFDSVSRWLDELNTHSYTTVAKMLVGNKCDLSNIREVSVEEGKCLAEAEGLFFIETSALDSTNVKTAFEIVIKEIYDNVSRKVLNSDSYKADLSINRVALFSDGANDQKQATSRFSCC >Ma07_p28190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34328455:34329297:1 gene:Ma07_g28190 transcript:Ma07_t28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAGLLLLVLTVSIFGGSDAAWCVWQAHVSTTALQKTMDYACGAGADCNPILQDGACYNPNTVLFDCSYGANSFHQRNGQAQTARDFSATAMLTCTDPSANGCLSCNSQVPIFSV >Ma02_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17458592:17459480:-1 gene:Ma02_g06450 transcript:Ma02_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEECNTNLSLAIDGGRQFMPGHRSKPTLHLQVLFPHHPKEEEEEEPIRIPRSKNTEEEEVISGRDTSNCSNDKLFGTRKKLKLTKEQVTLLEDRFREHNTLNTAQKQDLADRLNIQTRQVEVWFQNRRARTKLKKIEVDYECLKKWCESLSDENRRLKKELQELRSANPGSPFYMHLLKAATLTICPSCERMAAIGGGAAGKSTSAFDGMKGQLVPVKNGLLSRRN >Ma11_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12691114:12704469:-1 gene:Ma11_g11240 transcript:Ma11_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) UniProtKB/Swiss-Prot;Acc:Q9LVQ0] MPSNRVLRVATDGSGEFRTVQEAIDAVPLSNRVRTVIQVAPGLYREPVYVPKTKNFITLAASRPESTILSWDNTATRINHHQPSRVIGTGTFGCGSTIVEGEDFIAENITFENKAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHHGKQYLRDCYIEGSVDFIFGNSTALLEHCHIHCKSQGFITAQSRKSSQDSTGYVFLRCIITGNGGTSYAYLGRPWGPFGRVVFAYTWMDACIKPVGWHNWDKSENERSACFYEYRCSGPGCCLTNRATWSRELVDEEAEQFISHLFVDPDPSWPWLAQKMAIRVPHSA >Ma09_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12815567:12834005:-1 gene:Ma09_g17290 transcript:Ma09_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAATVRVEKATSDLLMGPDWTLNMDICDSVNSDHWQAKDVVKAVKKRLQHKNPKVQFLALTLLETMIKNCGDYVHFQVVDREILHEMVRIVKKKTDMQVRDKILVLLDSWQEAFGGAGGKYPQYYWAYADLKRSGVQFPERPPEATLIFTLAPVHAMPIIRNPPVGHGVPNNPALRLDEAMASEMSNLCLSDLASIQSVMELLSEMLKAVNPDDRAAVKDEVIIDLVNQCRFNQRKLMQSINSIRDEELLAQGLELNDNLQGLLAKHDAIASGSPLPAEVSDSVPSPGTPIIPQPAATSRYDAEVEDDDDDFAQLARRNTKINPAGGDSKSIAISDDLGSQNRGAIVLSTVAASTDGASSSAASNTLPDPPAPVKTATKEQDILDLLSITLPSNPSPPPTPPTPPIVSDQWGCSPPVQPVEQGYSHSPQSYAVNQSYIPQNSFISPWLQTRTHSPSPHRSHPQPETRLNSSGYPPPPWATAPADTNANAKANPFAPTAYPVLGADGSAAAYTSMQPSRPVQHYNSFGSRFNNVPATTAGTQTNASVGQMGQPAAPKPYVYTNRLFDDLRDLRNPNAGLKSSNLTSNLSGTSNQGMINGRK >Ma09_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29644191:29647385:1 gene:Ma09_g20600 transcript:Ma09_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLEFFVPRYLGKLAEFVEGEACKVLGAKKEINKFQSRLATIQLYLKDAEKKRHEDATVKSWVMRMKDVMYDADDVIDLCMFEGGKLLEARTSASSLGVCLPFHLLSSCFTCIRYRHQVSSRIKELNDRFRQIAEDSSIVATLVKSDQGSSSQSQDNMLRSRETHSLEVKSDIVGTQIEDATQNIINKIMQNDKSKCQIFGIVGMGGIGKTTLARKIFNDERIKNKFPKRIWLYVSKNYAEIDLLNKIIRSAGGNVIGGESTEQLVEQLVSLVSQDFFVVLDDVWGTDVWEKLLRDPMMSGVASSRIVVTSRYEDVVKRIGSRHIHHVDRMDTESGWALLCKIVFREEEDPEIGRLKEIGKKIVEKCDGLPLAIKAVGGVLRSKETSQAEWKKVVESDWWHMKQMEEEVPRALYLSYEDLPYHLKPCFLYCALYPSDNSRLLALLWVAEGFIAEQGERLIEDIALDCYRELIQRNLLQPDPYSVDQDIFVMHDLLRSLGKSLVEGESICMIDDKTSKMNSSMMKIRRLSMSTTREILTLPGEVMKQSDRLRTLILFDSHQTKTVEDDVLKRLRHLRVLDLAYTSIETIPDTIGKLIHLRYLCLAYTKIHEIPKTVGRLANLQMLMVEGCQQLHVLPKAIIRLHNLRCLALTGSPLTHIPKGIGRLKNLNSIMGFVVGYDESRSKPDEEWCDLEELKSLSKMRHLSIYRLQRATINGVSVLTSMSMLRELTLGWTMSVDPCSSEDQIQRAEKIFDQLSPPSSLQKLWIKGFPGRRFPSWMMSKTTPTGDSLPNLKFLSIWDLPSWVELPPVSRFPQLKWFDVNRAKAIKTIGPEFLGLGDAGGEPTCRKLEHTKFEDMPNWEEWVEKKVDGDDGGRALRLRPLSNLKSCRLQNCPKLRALPDVLRHAPNLERLVIWNNHFMREIDDLPFLADKLIVVQMEMLQRISNLPSVKELNIVLCPRLEFVENLNMLQHLRLECPPEAEQLPMWLADLIEQHRTAPSARRSFKIFELDCGLPLLSSCLKGEPNWHIIQQIPEVRIQTYDERNFLYAKDHDIYETNVGSE >Ma01_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9873624:9875065:-1 gene:Ma01_g13530 transcript:Ma01_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVSRTSRSLVTPCGVTPTGSLGLSAIDRVPGLRHMVRSLHVFRHGREPARVIREALSKALVKYYPFAGRFVDDPEGGGEVRVACTGEGAWFVEAKADCSLEDVKYLDLPLMIPDDALLPKPCPGLNPLDLPLMLQVTEFVGGGFVVGLISVHTIADGLGVAQFINAVAEIARGLPKPTVEPAWSREVIPNPPKLPPGGPPVFPSFKLLHATVDLSPDHIDHVKSRHLELTGQRCSTFDVAVANLWQSRTRAINLDPGVDVHVCFFANTRHLLRQVVLLPPEDGYYGNCFYPVTATAPSGRIASAELIDVVSIIRDAKSRLPGEFAKWAAGDFKDDPYELSFTYDSLFVSDWTRLGFLDVDYGWGKPLHVIPFAYLDIMAVGIIGAPPAPQKGTRVMAQCVEKEHMQAFLEEMKGFA >Ma01_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9306243:9309797:-1 gene:Ma01_g12700 transcript:Ma01_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLIWGSCPVKEAAAFADVLLKQSDAKPTNLSLSATATATAAALLSSTLHQVLLLYLSRLPLLLPLLPPPAEEALDMLSSLKSHEEGDQEHHRHSNPLHPNPQHGQISPSAHARQLLLSCAELAHRGDLPAAQRTASLLLATASPYGDSTDRLVHQFARALSLRVERLLPPVVDAASPEALQSSYLSFNQITPFLRFAHLTANQAILEAVDGRRQVHILDFDTSHGLQWPPLLQAIAERSDPKDPPSIRVTGTGSNLEVLRRTGDRLQTFADSLNLHFQFHPLLLPSTSSNPLSSTSADLTSSSFQIHPGEILAVNCVLFLHKLLKDGGGSDGSHDLRAFLQAVRAMNPAVVTVAEREASHNSPIFLQRFTEALDYYTAVFESLEATLPPTSRERVAVEQVWLSKEIEDVVSREGDGRRERHERFEWWEALMRRAGFTNLPLSPFALSQARLLLRLHYPSEGYQLQMVRDSFFLGWQKKSLFSVSSWH >Ma01_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7237903:7245595:1 gene:Ma01_g10110 transcript:Ma01_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] MVVVDVGEFGEEGFDPKRWINAALESRHPQDPLDRYLSDLEENLRTSADKIADALDRESADALRRVPLACRDVLRLRDDALSLRSLVSSILLALSKAEGTSAESIAAIAKIDSVKQRMEAAYETLQDAAGLTQLSASVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANVRKQLEVLEDRLEEMVQPRLFDALQNRKVDTVQDLRRILVRIGRFKSLELQYIKLGIKPLKKLWERFDSRQQAHKLETEKHHEYTNPISFSSWLPSFYDEILLYLEQEWKWCMNALPDDYFSLVPKLLMETMSDLNASFVACINLATGDVVPETKALSKGITDILSGDVPKGTKILSRHLESLIELHNMTGAFAKNIQHLFSESDLQILLKTLKAIYSPYETFKLKYGQMERAILSSEIAGIDIRGAVARGVGAQGIELSETVRRMEESIPQTIVFLEAAIERCINFTGGSEADELILALDDIMLQYLSNLQDTLKSLRTICGVDSPAQSDGLKKEGAARVVDMVSEEEEWSIVQGSLQILTVADCLTSRTSVFEASLRATLARIGTTLSLSVFGSSLEQSHVAVTGENDVNLASRAGLDVAALRLADAPDKARKLFNLLEQSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLSDVSRLPIWSSVEEQSSLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISSSESGTEEAQFFATEWMFKVAEGATALFMEQLRGIQYISDRGAQQLSADIEYLSNVLSALSMAIPPFLATFHTCLATPRDQLPAFLKSDGGSQLDIPTAHLVCKIRRIPLDQ >Ma10_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30491140:30494014:1 gene:Ma10_g20260 transcript:Ma10_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYGVKGRKLKKRKVEEPAPVEEEEEEVLSDEGEGVGVEEETGNGGEIAETEDEKRAEEAVNEMPGIPITAPVDKTKRPGVVFVLEKACLEVGKVGKNFQILNSDDHANYLKKQNRNPADYRPDIIHQALLAIFDSPLAKAGRLQAVYVKTEKGVLFEIKPYVRIPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVIKNPVTRHLPVNSRKIGLSYSSEKLANLCNYVAAASDDATLVFVVGAMAHGVIDKEYIDDFISISEYPLSAACCLNRICSAFEQKWRIT >Ma02_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19093697:19095336:1 gene:Ma02_g08840 transcript:Ma02_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSCCCHGHCCGLDATRLHLVLQRLNPLTCLYRLADGQGEGGGMGTITVPASLPSPEDDGHKLRKAFRGWGTDEKAIIDVLGHRTAAQRSAIAAAYASLYSESLLDRLHSELSGDFRNAVMLWTMDPAERDAKLVNKALKRKGERHLWVIIEVACASSPDHLIAVRQQYCSLFASSLEEDVAHKITPTEPLGLLLVRLLTSYRYPEEHVAVELAKHEAAQLFDAVQKKQLHQDEVIRVLSTRNKSQLKETFEQYNEGYGRHIEEDIEGADRSQFASMLKAAIWCIASPEKHFAEVVRRSVVGLGTDEDSLTRAVVSRAEIDMKKVKEEYKKRYNTTLIYDVVDDTSGDYMRFLLTLIGPADA >Ma10_p28370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35275671:35277177:-1 gene:Ma10_g28370 transcript:Ma10_t28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQTAVNGGNGAKPHFVLVPFLEQGHIIPMVDMAHVLAGRGAHVSFLTTRLNALRIKLIIERAEESRLPIEFVALCFPCAEVGLPEGTENADALPSYDHLDTFLRACAMLREPLTSHLRRHHLPPSCVISDAFYTWTGDMARELGVPRLVFNGYGCFTLLARYILHRENVYEQGIDESQMLDLPGFPHRLQISKAQVPGNFMGPRLQRFRCEVMEEESRADGVVVNTFDDIEAPYVESYQKAMGKRVWTIGPLLRLCGGDATHMAARGNKAAIDGNECIRWLDSMKPSSVIYVSFGSLVSSTLQQIIEIGMGLETWGRPFIWVIRPGKQAAEVERWLSEEFEEMVRSRGLVIRGWAPQMVILSHPAVGGFVTHCGWNSILEGMSAGLPMVTWPNFGDQFLNQKLVVQVLRIGVAVGAENSDVLVKREEVEKAVSELMGGGEEGEARRKRSRELKDSAKRAAERGGSSHDNIGMLIQQIQGSSIDGCAM >Ma04_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11744731:11749364:1 gene:Ma04_g15470 transcript:Ma04_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMPRSSKPTRLSPFFRDLASPISPHHRPVGRFATPGQAAAVSALWKENLAGSSADPPPPPVFTLDDRADLSPEVGLGELPPPSPVSPTSTRSRTPPPRPNQKGYPSPSPSLSSSSFVLRTRAEVIGSGVANGRGQSPEGSIWMASPKADGGEREMGQGSPVDGVVESGALMVLPPPLSREIARPEPQGYGVQNGGLDADEWVTVFGFSPDHTNLVLREFEKCGVIVKHVPGPSDANWIHILYQNPYDAQKALKKNGMRLNSLLIVGVKPVDPVHRQLLKEKINRSNHGGFMVSLPSKSAALNSSATSNSSGIVARSYQPKTSSNVTTGSFNRATGSIATPAKSVVSKVMDLMFGI >Ma00_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46147776:46148111:-1 gene:Ma00_g05370 transcript:Ma00_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVVSWPGNIGGGGRRSGRGALVGAGRRAAAAGGVAAASSSSRVSSFFFFFE >Ma03_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11702139:11734455:1 gene:Ma03_g14450 transcript:Ma03_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGTIAVSGATDDPVLKRKYELEKIHCELGGNITRIFLDFMTKTAKYEELVDVGKRFLIGFHGSIEKFRSSEFQKTSENVAVTIGANWNERMKAYVEAGYRHHQQSVQNISNLETLLHELVCLMEDANGVTQAANQNISALLDDTPSEEMLCLVLSFEEETISQVIIMRVISHMLKLDCDMQKNIVRALNLKTSSPELESYRLMWDLHPYINVDVMHLAWRLVPPQDQRFCH >Ma03_p14450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11702139:11734455:1 gene:Ma03_g14450 transcript:Ma03_t14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGTIAVSGATDDPVLKRKYELEKIHCELGGNITRIFLDFMTKTAKYEELVDVGKRFLIGFHGSIEKFRSSEFQKTSENVAVTIGANWNERMKAYVEAGYRHHQQSVQNISNLHICVQGLQDHLKKVETLLHELVCLMEDANGVTQAANQNISALLDDTPSEEMLCLVLSFEEETISQVIIMRVISHMLKLDCDMQKNIVRALNLKTSSPELESYRLMWDLHPYINVDVMHLAWRLVPPQDQRFCH >Ma06_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22756712:22759594:1 gene:Ma06_g24280 transcript:Ma06_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGWKKYLFHSKEGGDGDGGGGGVRWGRISASVCFLVLLSLAFVCFSAFYSGDYGWSAATANIGLGPAAEAAAAVADPSPTNLSHIVFGIGGSARTWSRRRGYSELWWRPGVTRGHVWLDKAPPEGAWPAMSPPYRVSANASRFRERASASRIARIVAESQRLHAGDAGVRWFVMGDDDTVFFVDNLVAMLGKYDHEEMYYVGAPSESVEQDVMHSYGLAYGGGGFAVSAPAAAELARALDGCLDRYAQLYGSDQRVHSCLSELGVPLTREPGFHQLDIRGDAYGLLAAHPVAPLVSLHHLDYLAPITPRGGDRLGALRTLMDAARLDPARILQQCFCYEAQRGFAWSVSVSWGYTVQLYPRVLAANDMEVPLRTFKTWRSFGDGPFTFNTRQVAPDRPCDRPLLYFLSSVKKKNGQDGSGSTMSEYSRYREDGRCKGLPGYGAALKVETVKVFAPKMAPAAWRRAPRRQCCRTRRSWWGRTLEVRIRNCRPGEITSPPQV >Ma06_p38270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37094132:37098005:-1 gene:Ma06_g38270 transcript:Ma06_t38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALTPVPTAAPLLPRSGGFSHSTEATNTVTSVSADTIKKARGLPKGFGKKLQMLALGSAGVGFTPHVITVKTGEDVSSEIMDNLKSYHYRDHFYSRRVVGGQHSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQVSIHCSLAGGKKESKQINDLDSASAPAKISPGGRSPAGSGPTSQDTLSESSCRPASPLRPGTLNNSNRQGFSNMHWK >Ma03_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24974953:24980030:-1 gene:Ma03_g19710 transcript:Ma03_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSHRRKAGGDQFDSSDADSVSSVSTGLSELTLGNETEYVNSQEFELEKYIDALYEKRGSTREKALSGLVDAFEGHVLHIFVENKYITLLSQYINSIKRGSTKEACLASRAIGLLAITIGAGNNAHELMEESVSQLSQALITGSDAVKKSSVLDCLAVVTFIGANDLSETELSLKTMWQVIYPKSGPNVAPVKKLPPAVLAAAVSAWSFLFTTIGSWRINPDKWKEPIMFLSTLLEDNDRSVRIAAGEAIAIFFELGILDQNDHVEIDGVDHEDSKRGVFGYMQSMKAKILYKANELSVEAGGKGTDKKNLNDQRDLFQKILDYVQTGECPEISLKILNKHSFLRASTWTQIIQLNFLKRFLGKGFLKHAQDNELLHDIFDFAPDKSRNLSRIEKKISRSEGEKGRTQKMNKDRKLARERKQCQFLPQEE >Ma06_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14152719:14155562:-1 gene:Ma06_g20100 transcript:Ma06_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAQTKAHDAAPAAEVVVSEAPAEEKVAVTEAPPAVTAPEAVVAEEAEKPAEEAAPEAGEKKPKAEEGAAPAETTEAPSVSLKEESTAVPDPVDPEKKALDDFKQLVQAALANNEFAPPPPPPSPPTPPAKEEESAPAKEEESKPEEPAAPATEEPKIETEEPPKPAETETPAPPVAAEEETVPPVKVEPLPPPPTPVEEKVVAADEDGAKTVEAIEETVVAVTAPPPATTEEVTPAAEEATKEQPAETPAPPPAEPPEEVFIWGVPLVGDEKCDTILLKFLRARDFKVKDAMAMLKNAVIWRKQFGIEALLEEDLGLPELEKAVFMHGVDKEGHPVCYNVYGEFQNKELYEKAFGDEEKRQKFLKWRIQYLEKGIRELLDFSPGGISSMVQVTDLKNTPRLGKHRQATKQAVTLLQDNYPEFIAKKVFINVPWWYLAFNRMISPFFTQRTKSKFVFAGPSKSAETLFKYIAPEQVPVAIGGLSKENDPDFTTADAVTEVTIKPSSKQSIEIPVPETTLLVWELRVLGWEVSYGAEFVPSAEDGYTVIVQKTRKLIATDEPVIKTSFKIGEPGKVVLNIENPTSKKKKLLYRSKAKSSAEST >Ma10_p25290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33519346:33521870:-1 gene:Ma10_g25290 transcript:Ma10_t25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLTRNANQPRRFRFVLLILGCLVVTVTFLVVSKPQALVLPKLGFTPSSPPPPSDHDLVNGDDHGIEGAADSEESLQGEKKEKVVDSTPKEDTSIHELTEESGARSDDLNSNQDELRDRLTLPTVSNYTIDDRTQADGEKSQAPERKPLCDVSDRRADICEMAGDIRISGSSSSVVLMESPRTEETEIWHVHPYPRKGDEACLKGVRKLAVKASSEAPRCTVNHDAPAVVFSTGGYTGNLFHDYSDVLVPLFLTARPFDGEVKLVVTDSKSWWITKYLPVLQKLSKYPVIDLDMDKEVHCFKQVTVGLRAHNEFHIDPKRSPNGYTMIDFAKFMRSALSSERETLLNIEDLAARKPRLLIVGRKQSRVITNTKEIVEMAEELGFEVVVDEADAGSDLARVARTVDSCDVMMGVHGTGLTNSVFLPLNAILIQIVPWGRLELKAMQDYGNPAKEMGLGYLEYSIAIEESSLKEKYPRDHPVFTDPLSFHNRGYHVMRATFMDDQNVKLDARKFKEVLWKALEHLIQ >Ma08_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6795959:6797621:-1 gene:Ma08_g09390 transcript:Ma08_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGSPPRLRLLLLVLSSCAVAAAADAFRCATPPDEGPTIRILHAFGPCSPLRQSARFDSWEDTVMDLMTRDESRLAYLASLATAGRSFVPVASGRQLLQIPNYVVRASVGTPAQPMLIALDTSNDAAWVPCTACAGCPSASPSFDPSRSTTYRPLPCGSPQCGQVPNPSCPAGATSCSFNLTYGASSLQAGLAQDSLALASDVVQSYTFGCLQKVTGNSIPPQGLLGLGRGTLSFLSQTKGLYGATFSYCLPSFKSLNFSGTLRLGPVGQPKNMKTTPLLSSPRRSSLYYVNMIGIRVGRRVLDIPPSAFTFDAATGAGTILDSGTMFTRLVAPAYAALRDEFRRRVKAAGPVTSLGGFDTCYNGAVTPPGITLMFTGMNVTLPPDNILIHSTAGSITCLAMAGAPDNVNSVLNVVANMQQQNHRVLFDVPNARIGFAREACTTA >Ma03_p05630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3842280:3869339:1 gene:Ma03_g05630 transcript:Ma03_t05630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEDQVSSPLVLDGSICSIKFSIATAEEIRTYSISDCPISHPSQLANPFLGLPLESGGCETCGTAEIGNCEGHFGYIELPIPVYHPSHVSELRDLLSLVCLKCLRMKKVKYSVGKGKISSMPCLYCLDLPSISIKEVKTADGATCLELRVSSRSRLQDGFWSFLDRFGYHYAGTFRRPLLPSEALKILEKLPEETQKKLAGKGYFLQNGFIMERLPVPPNCLCVPEISDGKCVMSSDISKSLLKKILGKIELIKRSRSGSPSFESYEVETNDLQSSIALYMNLRGTTKAPRDITRRFAFGNETNEYLTKQWLDKIRTLFIRKGSGFSSRSVISGDPYIGINVVGLPSEIAKRITFEERVTEHNITRLQNVVDSRLCITYKDGSSTYAISVGSKGHTNLKIGQVINRQILDGDIVFINRPPSTHKHSLQAFYVYIHDDHTVKINPLICAPLGADFDGDCVHIFYPQSLSAKAEVLELFSVEKQLLSSHSGSLNLQLVQDASLALKLIFKSGFIKKEVAQQLGMYVSSMLPPPAIVKATKCGPCWTILQVLQNVFPAFLDCSGERYFIRESEILELDTDQDVVQSLLTDIMTHIYHMKGPMEVLNFFNYIQPLLMEMLFMEGFSICLKDFILSKAVVSEIQGSIQKNSCILNQLRSRRDERAELQVQNHLRSMKDPIVKFILNSSALGNLIDSKSDSSMVKVVEQLGFLGLQLFDQGKYYSRALVDDCFLNFVSKHSAGEVDHPSEAYGLVKNSFFHGLNPYEMLVHAISSREVIVRSSRGLTEPGTLFKNLMAILRDVVICYDGSVRNVCTGSLVQLEYVDDEGVNSVNTPPAGDPVGVLAATAISNLAYKAVLDSSQSNNSSWELMKEILLCKVSYKNDVSDRRVILYLNDCCCQKRFCKENAAFTVLNCLKRVTLKDCAHEFLIEYQKQIGVCDSSVTTSGLVGHIHLDKMQLKLLNINPDDILHKCQAVIFSYGKKKGQLSHFFRRIFLSTCKCCSIKQPSDGNLCHLPCLQFSYADANASQNNISLERAIHVMSETICPILLDTIVKGDPRVHEVKIVWIGPDATSWVGSSCKTLKGDLGLEVVLGQDAVRQSGDAWRTVLDACLPVMHLIDTGRSIPYGIQQIQEVLGISCAFDQAVQRLSKSIKMVSKGVMKEHLLLVANSMTCTGKLIGFNTGGYKALFRSFKVEVPFTAATLFTPMKCFERAAEKCQVDSLASVVSSCSWGKNVAIGTGAPFQILWDKKQMAMNKDIGKGVYDFLELLRETSSGEATGRYLADVDELAEENGICLSPDLDGCMTFDDSDDVEYNFQKRIGVVNGKSGESSWEVDPVSVKSGNWEGWGDKESTHVDNCESPATKHNVWSSWDSIQVKQKTVESENLAGDTVPGEDMDAQKHSGTADESVAWGKWKADGNSTARDKLSHRNCWNEASKTKVDLKEGSPVWNRTASSPKSKHNQDSLFSTPGTWSSQSSGKPWGQDNANNTKRNIAQDGWRCAESPVTNIWDSTSTRNANVSDSQWSGNPSKNLDIQWDGNDSNKDLDSQWCGNVTSTSNTSEGRNQSWNSRGWGSANPPGRKNQKTFSARFPGKSASQKGWNSNRALASGRRLESLTAEEEKILAEVEPVMLTIKRILHDSSDGNRLSADDQKFILENVFKYHPDKQSKVSDQVDYIMVDKNMSFQDSRCFYVVSSDGTSADFSYLKCMEGYVKQTFSEHGESFCKKYFKRRRSGPADDKNQQQ >Ma03_p05630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3842192:3869339:1 gene:Ma03_g05630 transcript:Ma03_t05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEDQVSSPLVLDGSICSIKFSIATAEEIRTYSISDCPISHPSQLANPFLGLPLESGGCETCGTAEIGNCEGHFGYIELPIPVYHPSHVSELRDLLSLVCLKCLRMKKVKYSVGKGKISSMPCLYCLDLPSISIKEVKTADGATCLELRVSSRSRLQDGFWSFLDRFGYHYAGTFRRPLLPSEALKILEKLPEETQKKLAGKGYFLQNGFIMERLPVPPNCLCVPEISDGKCVMSSDISKSLLKKILGKIELIKRSRSGSPSFESYEVETNDLQSSIALYMNLRGTTKAPRDITRRFAFGNETNEYLTKQWLDKIRTLFIRKGSGFSSRSVISGDPYIGINVVGLPSEIAKRITFEERVTEHNITRLQNVVDSRLCITYKDGSSTYAISVGSKGHTNLKIGQVINRQILDGDIVFINRPPSTHKHSLQAFYVYIHDDHTVKINPLICAPLGADFDGDCVHIFYPQSLSAKAEVLELFSVEKQLLSSHSGSLNLQLVQDASLALKLIFKSGFIKKEVAQQLGMYVSSMLPPPAIVKATKCGPCWTILQVLQNVFPAFLDCSGERYFIRESEILELDTDQDVVQSLLTDIMTHIYHMKGPMEVLNFFNYIQPLLMEMLFMEGFSICLKDFILSKAVVSEIQGSIQKNSCILNQLRSRRDERAELQVQNHLRSMKDPIVKFILNSSALGNLIDSKSDSSMVKVVEQLGFLGLQLFDQGKYYSRALVDDCFLNFVSKHSAGEVDHPSEAYGLVKNSFFHGLNPYEMLVHAISSREVIVRSSRGLTEPGTLFKNLMAILRDVVICYDGSVRNVCTGSLVQLEYVDDEGVNSVNTPPAGDPVGVLAATAISNLAYKAVLDSSQSNNSSWELMKEILLCKVSYKNDVSDRRVILYLNDCCCQKRFCKENAAFTVLNCLKRVTLKDCAHEFLIEYQKQIGVCDSSVTTSGLVGHIHLDKMQLKLLNINPDDILHKCQAVIFSYGKKKGQLSHFFRRIFLSTCKCCSIKQPSDGNLCHLPCLQFSYADANASQNNISLERAIHVMSETICPILLDTIVKGDPRVHEVKIVWIGPDATSWVGSSCKTLKGDLGLEVVLGQDAVRQSGDAWRTVLDACLPVMHLIDTGRSIPYGIQQIQEVLGISCAFDQAVQRLSKSIKMVSKGVMKEHLLLVANSMTCTGKLIGFNTGGYKALFRSFKVEVPFTAATLFTPMKCFERAAEKCQVDSLASVVSSCSWGKNVAIGTGAPFQILWDKKQMAMNKDIGKGVYDFLELLRETSSGEATGRYLADVDELAEENGICLSPDLDGCMTFDDSDDVEYNFQKRIGVVNGKSGESSWEVDPVSVKSGNWEGWGDKESTHVDNCESPATKHNVWSSWDSIQVKQKTVESENLAGDTVPGEDMDAQKHSGTADESVAWGKWKADGNSTARDKLSHRNCWNEASKTKVDLKEGSPVWNRTASSPKSKHNQDSLFSTPGTWSSQSSGKPWGQDNANNTKRNIAQDGWRCAESPVTNIWDSTSTRNANVSDSQWSGNPSKNLDIQWDGNDSNKDLDSQWCGNVTSTSNTSEGRNQSWNSRGWGSANPPGRKNQKTFSARFPGKSASQKGWNSNRALASGRRLESLTAEEEKILAEVEPVMLTIKRILHDSSDGNRLSADDQKFILENVFKYHPDKQSKVSDQVDYIMVDKNMSFQDSRCFYVVSSDGTSADFSYLKCMEGYVKQTFSEHGESFCKKYFKRRRSGPADDKNQQQ >Ma03_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3842192:3869339:1 gene:Ma03_g05630 transcript:Ma03_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEDQVSSPLVLDGSICSIKFSIATAEEIRTYSISDCPISHPSQLANPFLGLPLESGGCETCGTAEIGNCEGHFGYIELPIPVYHPSHVSELRDLLSLVCLKCLRMKKVKYSVGKGKISSMPCLYCLDLPSISIKEVKTADGATCLELRVSSRSRLQDGFWSFLDRFGYHYAGTFRRPLLPSEALKILEKLPEETQKKLAGKGYFLQNGFIMERLPVPPNCLCVPEISDGKCVMSSDISKSLLKKILGKIELIKRSRSGSPSFESYEVETNDLQSSIALYMNLRGTTKAPRDITRRFAFGNETNEYLTKQWLDKIRTLFIRKGSGFSSRSVISGDPYIGINVVGLPSEIAKRITFEERVTEHNITRLQNVVDSRLCITYKDGSSTYAISVGSKGHTNLKIGQVINRQILDGDIVFINRPPSTHKHSLQAFYVYIHDDHTVKINPLICAPLGADFDGDCVHIFYPQSLSAKAEVLELFSVEKQLLSSHSGSLNLQLVQDASLALKLIFKSGFIKKEVAQQLGMYVSSMLPPPAIVKATKCGPCWTILQVLQNVFPAFLDCSGERYFIRESEILELDTDQDVVQSLLTDIMTHIYHMKGPMEVLNFFNYIQPLLMEMLFMEGFSICLKDFILSKAVVSEIQGSIQKNSCILNQLRSRRDERAELQVQNHLRSMKDPIVKFILNSSALGNLIDSKSDSSMVKVVEQLGFLGLQLFDQGKYYSRALVDDCFLNFVSKHSAGEVDHPSEAYGLVKNSFFHGLNPYEMLVHAISSREVIVRSSRGLTEPGTLFKNLMAILRDVVICYDGSVRNVCTGSLVQLEYVDDEGVNSVNTPPAGDPVGVLAATAISNLAYKAVLDSSQSNNSSWELMKEILLCKVSYKNDVSDRRVILYLNDCCCQKRFCKENAAFTVLNCLKRVTLKDCAHEFLIEYQKQIGVCDSSVTTSGLVGHIHLDKMQLKLLNINPDDILHKCQAVIFSYGKKKGQLSHFFRRIFLSTCKCCSIKQPSDGNLCHLPCLQFSYADANASQNNISLERAIHVMSETICPILLDTIVKGDPRVHEVKIVWIGPDATSWVGSSCKTLKGDLGLEVVLGQDAVRQSGDAWRTVLDACLPVMHLIDTGRSIPYGIQQIQEVLGISCAFDQAVQRLSKSIKMVSKGVMKEHLLLVANSMTCTGKLIGFNTGGYKALFRSFKVEVPFTAATLFTPMKCFERAAEKCQVDSLASVVSSCSWGKNVAIGTGAPFQILWDKKQMAMNKDIGKGVYDFLELLRETSSGEATGRYLADVDELAEENGICLSPDLDGCMTFDDSDDVEYNFQKRIGVVNGKSGESSWEVDPVSVKSGNWEGWGDKESTHVDNCESPATKHNVWSSWDSIQVKQKTVESENLAGDTVPGEDMDAQKHSGTADESVAWGKWKADGNSTARDKLSHRNCWNEASKTKVDLKEGSPVWNRTASSPKSKHNQDSLFSTPGTWSSQSSGKPWGQDNANNTKRNIAQDGWRCAESPVTNIWDSTSTRNANVSDSQWSGNPSKNLDIQWDGNDSNKDLDSQWCGNVTSTSNTSEGRNQSWNSRGWGSANPPGRKNQKTFSARFPGKSASQKGWNSNRALASGRRLESLTAEEEKILAEVEPVMLTIKRILHDSSDGNRLSADDQKFILENVFKYHPDKQSKVSDQVDYIMVDKNMSFQDSRCFYVVSSDGTSADFSYLKCMEGYVKQTFSEHGESFCKKYFKRRRSGPADDKNQQQ >Ma08_p31810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42617074:42618759:1 gene:Ma08_g31810 transcript:Ma08_t31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQQNAVGGGNKGRFRSICVFCGSRHGNRTSFSEAALDLGKKLVERKIDLVYGGGSVGLMGLISKTVFDGGCNVLGVIPTALLPSEISGETIGEVKTVADMHERKSEMAKNADAFIALPGGYGTMEELLEMVAWSQLGIHGKPVGILNVDGYYNDLLALFKKGVEEGFIEDSASQIVVSADNAEDLIRKMEERLGEKRMRETSKKRKIS >Ma03_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10817104:10822803:1 gene:Ma03_g13720 transcript:Ma03_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLRHLRPSPSSSPNGSHLISASVCAAGDSAAYQRNSCFGDDVVVVAAYRTAICKSKRGGFKDTYPEELLTVVLKALLDKTNLNPNEVGDIVVGTVLAPGAQRATECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKSGFYDIGIGAGLESMTTNSMSWDGSINPKVNAFQQAQNCLLPMGITSENVAHRYGVTRQEQDQAAVNSHRKAAAATAAGKFKEEIIPVTTKIVDPKTGEEKQVTISVDDGIRPETSISSLAKLKPVFKKDGSTTAGNSSQVSDGAGAVLLMRRDVAMQNGFPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLQIEDIDLFEINEAFASQFVYCCKKLELDATKVNVNGGAIALGHPLGATGARSVSTLLNEMKRRGKDCRLGVISMCIGTGMGAAAVFERGDATDGLTNARRMQSHNLLSKDAIS >Ma05_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35957271:35962129:1 gene:Ma05_g23820 transcript:Ma05_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGAMDGEIIGGLINSISRFIHLVACQTIKSAAIKDFRKIVGILKLLKPVLDEALDSELPLDGHLVKEFEELDVAVNDARELLEKAPQRMSKIYSVLQSEPMLLRVQKSASEICHFLSALQSSPFSASIQHCMQEVQYMEQDPISELIDHALKDLKENTIPSLDDVIKIMDTLSLASNQELLMESIALEKEKAKAELKSKTEIIDHINWITVLVSHICYCMEKLEQFGFVNGVPVPLHFRCPLSLQLMLDPVILASGQTYERSFIQKWLDNGLRFCPKTRQTLVHTNLIPNYTVKALIANWCEKNNIKLDVSAQPENISYPYSSIAAFEDIQHEDDHRHSTSRSSLESYDKTELHKVKISSGCGQQDCSNYSHHQTMADKVNLQGDALVEKNSCHSHSESISSVISSIEVMSKFDEKVSLPGDITYPSCLPLNKELSSSSWLCSNQHFGSKNGHGIDDKGQPLLQSSRLDDLTTAPHVQNIIDDLKSEAPELQTAAASKLRLLAKNNMENRVLIGKCGAIPSLVSLLYSNVKKVQENAVTALLNLSINDDNKVLIAEAGAVEPLIHVLECGTTEAKENSAAAFFSLSVMDEYKAKIGRSGAVKALVYLLETGSVRGKKDAATALFNLSIFHENKARIVQAGAVKYLIKLMELSTGMVDKSVALLANLSTIPEGRIAIAQEGGIPLLVEVVETGSQRGKENAASTLFQLCLSSQKFCSLVLQEGAVPPLIALSQFGTPRGKEKAQQILSHFRSQREGAARKKKS >Ma01_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8713976:8717669:1 gene:Ma01_g12030 transcript:Ma01_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSQQRQRSVLVTGGAGFIGTHTVLQLLKEGFLVTIIDNLDNSVPDAVDRVRLLAGPKLSQNLRFFIGDLRNNEDLEKVFSDTKYDAVIHFAGLKAVGESVAKPSLYYNNNLIGTINLYEHMAKYGCKKVVFSSSATVYGQPEKIPCVEDFEPRAMNPYGRTKLFLEEIARDIQKADPEWRIILLRYFNPVGAHESGQIGEDPIGIPNNLMPYIQQVAVGRLPELNVYGYDYPTKDGSAIRDYIHVMDLADGHIAALQKLFATEDVGCVAYNLGTGYGTSVLEMVAAFEKASGKKIPVKLCPRRSGDATAVFASTERAKKELGWSAKYGVEEMCRDQWKWASNNPYGYRPPQS >Ma05_p03800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2820584:2824592:1 gene:Ma05_g03800 transcript:Ma05_t03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPRLLLMGSPQALVLGCSFRPRPRFAITDPMRCNSIEPRPGRREVLLRSSEVAALAAIFHFRNYNPEDGRRKKPINKDEAIAELLQVVTSLKPDNFTPRVAERREDYIRVEYESPIMGFVDDVEFWFPPGKKSIVEYRSASRIGNFDFDINKKRIKALRSELEKKGWSSEGRF >Ma05_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2820572:2824592:1 gene:Ma05_g03800 transcript:Ma05_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPRLLLMGSPQALVLGCSFRPRPRFAITDPMRCNSIEPRPGRREVLLRSSEVAALAAIFHFSGTKPSYLGIQKNPPSLALCPATNNCISTSEEISDANHYAPPWNYNPEDGRRKKPINKDEAIAELLQVVTSLKPDNFTPRVAERREDYIRVEYESPIMGFVDDVEFWFPPGKKSIVEYRSASRIGNFDFDINKKRIKALRSELEKKGWSSEGRF >Ma09_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3672425:3675790:-1 gene:Ma09_g05710 transcript:Ma09_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLQQLRSKAVQASEFVSKHGCTYYKELMEENKRHVVQPPTIEKCQELSKQLFYTRLASIPVRYESFWKELDGMKHIWRNRKDIKVEDVGIAALFGLELYAWSCVGEIVGRGFTFTGYYV >Ma09_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8012038:8012548:-1 gene:Ma09_g11800 transcript:Ma09_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRKASWIAAASVGAVEALKDQGGLCRWNYAFRSLHQRAKNNMGSLSQAIRVSSSISDRSSEKAKQSEESLRKVMYLSCWGPN >Ma05_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:902648:907100:-1 gene:Ma05_g01480 transcript:Ma05_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNAAPLTPAFVSSGVSGTLETSGEFQSSPSFWNRPQLANYEFGDCSESGESGKMSSSGNSSVSFRLWNLNRSSEGEQIAAGWPAWLSAVAGEAIQGWVPLKADSFEKLEKIGQGTYSSVFRACEIETGRIVALKKVRFDNFDPENVRFMAREIQILRRLDHPNVIKLEGLITSRLSCSIYLVLEYMEHDLAGLSSCPDIKLSEPQVKCYMHQLLSGLQQCHSHGVIHRDIKCANLLVNNEGILKIADFGLANILDPKDKQPLTSRVVTLWYRPPELLLGSTDYEPSVDLWSVGCVFAELFFGEPILQGRTEVEQLHKIFKLCGSPPEEFWNKSSLPRESIFKPHPYENCIRETFRFLPDSAFKLLQTLLSIEPNKRGTASTALTSEYFRTKPYACDPSSLPKYQPNKEIDAKFREESQRRIVKSRSHIVEATRKPSRARKPSRESNALAKIASHKEGSRNAQGMNRSGKKREIPVANNNKRLLVDLQPTPSLTFRDEGRHVKQISQRGLPSSGLLDVSASTSFARTKRPKEDQRHIKSHTGSRSRQDELEKFDPSNVSQAKSTFKLNGVGNGDLQHVPYSSSKGHKPYELTRNATLKHWIHPDFQDSVYSFSAHRSQDLSEGAVMPRNRSLGYREEKVDLSGPLLLQSQRVDEFLEKHEWKIHKAVRKSWFQRDSQIMNYV >Ma06_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:829789:839699:-1 gene:Ma06_g01020 transcript:Ma06_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIPAKPYASDAPPSFPAVRHDALLPPAVADEDDDLYGRLKSLQRQLEFIDIQEEYVKDELKNLKREHLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSSSVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRSNVKKPDTDFDFYK >Ma09_p10360.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7052265:7055265:1 gene:Ma09_g10360 transcript:Ma09_t10360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKAHRAAVESCHRVLNLLSQSQDQVQSTILLAETGDAVSRFKRVVSLLSNSSGHGRVRIVNKVQSSSNHNLFSDNQLVSKMDRSPNPPHLLQRNILENRQVVLESSSRNPLQITQRSLLENQFGSQASSSSQCQFLQLHQQNDPRFQLHQQMKLQADMFRRSHSTINLKFESSSHTPSASTARSFLSSLSMDGSVASFDGKSFHLIGGPASSDPVNLHPPPKRRCVCRGEDGNGKCATSGRCHCSKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPTMLIVTYEGEHNHAKLLTQSAQT >Ma09_p10360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7052265:7055265:1 gene:Ma09_g10360 transcript:Ma09_t10360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKAHRAAVESCHRVLNLLSQSQDQVQSTILLAETGDAVSRFKRVVSLLSNSSGHGRVRIVNKVQSSSNHNLFSDNQLVSKMDRSPNPPHLLQRNILENRQVVLESSSRNPLQITQRSLLENQFGSQASSSSQCQFLQLHQQNDPRFQLHQQMKLQADMFRRSHSTINLKFESSSHTPSASTARSFLSSLSMDGSVASFDGKSFHLIGGPASSDPVNLHPPPKRRCVCRGEDGNGKCATSGRCHCSKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPTMLIVTYEGEHNHAKLLTQSAQT >Ma09_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7052265:7055265:1 gene:Ma09_g10360 transcript:Ma09_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKAHRAAVESCHRVLNLLSQSQDQVQSTILLAETGDAVSRFKRVVSLLSNSSGHGRVRIVNKVQSSSNHNLFSDNQLVSKMDRSPNPPHLLQRNILENRQVVLESSSRNPLQITQRSLLENQFGSQASSSSQCQFLQLHQQNDPRFQLHQQMKLQADMFRRSHSTINLKFESSSHTPSASTARSFLSSLSMDGSVASFDGKSFHLIGGPASSDPVNLHPPPKRRCVCRGEDGNGKCATSGRCHCSKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPTMLIVTYEGEHNHAKLLTQSAQT >Ma09_p10360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7052247:7055265:1 gene:Ma09_g10360 transcript:Ma09_t10360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKAHRAAVESCHRVLNLLSQSQDQVQSTILLAETGDAVSRFKRVVSLLSNSSGHGRVRIVNKVQSSSNHNLFSDNQLVSKMDRSPNPPHLLQRNILENRQVVLESSSRNPLQITQRSLLENQFGSQASSSSQCQFLQLHQQNDPRFQLHQQMKLQADMFRRSHSTINLKFESSSHTPSASTARSFLSSLSMDGSVASFDGKSFHLIGGPASSDPVNLHPPPKRRCVCRGEDGNGKCATSGRCHCSKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPTMLIVTYEGEHNHAKLLTQSAQT >Ma09_p10360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7052265:7055265:1 gene:Ma09_g10360 transcript:Ma09_t10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKAHRAAVESCHRVLNLLSQSQDQVQSTILLAETGDAVSRFKRVVSLLSNSSGHGRVRIVNKVQSSSNHNLFSDNQLVSKMDRSPNPPHLLQRNILENRQVVLESSSRNPLQITQRSLLENQFGSQASSSSQCQFLQLHQQNDPRFQLHQQMKLQADMFRRSHSTINLKFESSSHTPSASTARSFLSSLSMDGSVASFDGKSFHLIGGPASSDPVNLHPPPKRRCVCRGEDGNGKCATSGRCHCSKRRKLRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPTMLIVTYEGEHNHAKLLTQSAQT >Ma03_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1644890:1647421:1 gene:Ma03_g02410 transcript:Ma03_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSTAAVHCCPIPSVSKPRPSPLSSLMLQAKGFLLSSLAPLGLAIVLSSPLPSVAALPYPNPQSPPSSPATPYAQSQKLQLGLENGKIRACPSINPGCVSTNPNSSSFAFPWMIPDNFSGDVIQSLRDAILRTQRNVEFKVDEETPDGNYIQAEVDGGFGRDVMEFLL >Ma05_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:433070:433886:1 gene:Ma05_g00700 transcript:Ma05_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTIRLEVESSDTVENLKAKIREKEGIPQDEQRLIFSGKQLEDGRTLADYSIQKESTLHLILRLRGGMQIFIKTLTGKTTTLEVESSDTIENVKAKMQDKEGIPVDQQRLIFAGKQLEDGRTLADYSIHKESTLHLVMRLRGGGMQIFVKTLTGNTITLEVESSDTIANLKAKIHDKEGISPDQQRLIFAGKQLEDGRTLDDYSIQKESTLHLVLRLRGGQ >Ma04_p30230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30949534:30952080:1 gene:Ma04_g30230 transcript:Ma04_t30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAPREELSSPLIFPAHDDGHGLPLQASTPLPPVAADGTAHGTSGKLESILNDTSIPWLRRVGLASLIEMRLLLSLAAPAIIVYLINFVMSMSTQIFSGHLGNVELAAASLGNTGIQIFAYGIMLGMGSAVETLCGQAYGAHKYEMLGVYLQRSTVLLMATGVPLAVIYALSRPILVLLGESRDIARAASVFVYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVVHLLLTWVVVYKVGLGLLGASLVLSLSWCIIVGAQFVYIVTSRLCRSTWTGFTWQAFSGLPEFFRLTTASAVMLCLETWYYQILVLITGLLKDPALALDSLSVCMGINTGIFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTAVSFAVSVMAAMIVLSLRDYISYIFTEGETVARAVSDLCPLLAASIVLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPAGCLLGFKFGLGVKGIWCGLIAGTVNQTLILLVVTFRTDWNKEVEEAKKRLDKWEEKEEPLLS >Ma09_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35776262:35777994:-1 gene:Ma09_g24110 transcript:Ma09_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTVENRAMKGVRYLCENGITRIPPRYVLPLSDRPQLAPAVRKPNLQLPVVDIGQLLSPDRASVLETLDRACKEYGFFQVVNHDVDGEAIRRMIDVGKRFFELPFEERERYMTTDVRSAVRYGTSFNQTKDRVFCWRDFLKLSCHPHDTVLPHWPSSPTDLREEAASYAKHVKSLFLVVMAAVLESLGVGTSALDEFDAGSQLMVLNCYPACPQPDLTLGMPAHSDYGFLTFVLQDEVEGLQVLHRDEWITVEPVPNAFVVNVGDHLEIFSNGRYSSVLHRVLVNSSRSRLSVASLHSLPFDRVVRPSPELVNEGNPRMYVDTDFAAFLDYMSSCEPQRKNFVDSRKLTRRS >Ma08_p34600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44579881:44590209:-1 gene:Ma08_g34600 transcript:Ma08_t34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSNGGLLYHEMQESKLCAVHCVNTVLQGPFFSELDLAALASDLDQTERQMMLEGAGHGGASSGDFYSEVSHNVSMNGDFSIQVLQKALEIWDLQVVPLNSPVAELSKFEPELENAFICHLHDHWFCIRKVNGEWYDFNSLLPAPEHLSQFYLSAYIDTLKDSGWSIFLVRGNFPTDCPIPHDYSTGFGQWLTPEDAQQIAKSCNQMKFSTQESYSSRIDTMAEQEEEDLNAAVKASLMDYTNIQNRPDVIEDSTALGLKVDPPNYNSSFMDPCPHRTESGESSSDVHNGTRGVETPSSSHDDKVQ >Ma01_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3636267:3646117:-1 gene:Ma01_g05160 transcript:Ma01_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGRKQQEARGVRKRKDPPSHGQTIAADEEEQRQPQRQQEEGRKRRRKPKTCFVYGNYKSYYSYRIDRNVKEDPRLALFKREWFEGKDCLDIGCNEGLITISIAKKFFCQSILGIDIDAGLVETAYWNLRKSARMKRGNCTSMEASRIQDSECVNSLNTNADPASNGKPSSSQTSLPVDELLKRVSFRHENFVGSLRECSEKYDTVLCLSVAKWVHLNWGDDGLINMFVKIWRLLRPGGILLLEAQPWSSYKRNQNVSATARAQFKSICLRPHNFRELLLDKVGFRSAEVITDSLSGTVVGFDRPVVMFCK >Ma05_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24394488:24398116:-1 gene:Ma05_g18670 transcript:Ma05_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSKDIIGSGGSGTVYRLAIDDTTAFAVKRLNKGTTDRDCGFERELDAMGDIKHRNIASLNGYYIAPQFNFLIYELMPNGSLDALLHGNLSKVKPLDWPTRCKIAVGAARGISYLHHDCIPHIIHRDIKSSNILLDHNMEARVSDFGLATLMKPDQSHVSTVVAGTFGYLAPEYFDTGKATTKGDVYSFGVVLLELLTGRRPTDELFLEEGTKLATWVKCIVEEKREEHAVDTALSCFPVEDVKEVFRIAEKCLELDPSERPTMAAVHKMLEQVNTFHTLISGTLKGGAAADNTLLTTGSGYTCNNRLS >Ma05_p18670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24395311:24398116:-1 gene:Ma05_g18670 transcript:Ma05_t18670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTALALYITISCLAFVISKIVVSCLLYRRWTRKHKIIQDTLSGGRLVIFRSPTIQSLSSKIFVKKLMELSSKDIIGSGGSGTVYRLAIDDTTAFAVKRLNKGTTDRDCGFERELDAMGDIKHRNIASLNGYYIAPQFNFLIYELMPNGSLDALLHGNLSKVKPLDWPTRCKIAVGAARGISYLHHDCIPHIIHRDIKSSNILLDHNMEARVSDFGLATLMKPDQSHVSTVVAGTFGYLAPEYFDTGKATTKGDVYSFGVVLLELLTGRRPTDELFLEEGTKLATWTCTRKLNKAVDHRRK >Ma05_p18670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24394488:24398116:-1 gene:Ma05_g18670 transcript:Ma05_t18670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTALALYITISCLAFVISKIVVSCLLYRRWTRKHKIIQDTLSGGRLVIFRSPTIQSLSSKIFVKKLMELSSKDIIGSGGSGTVYRLAIDDTTAFAVKRLNKGTTDRDCGFERELDAMGDIKHRNIASLNGYYIAPQFNFLIYELMPNGSLDALLHGNLSKVKPLDWPTRCKIAVGAARGISYLHHDCIPHIIHRDIKSSNILLDHNMEARVSDFGLATLMKPDQSHVSTVVAGTFGYLAPEYFDTGKATTKGDVYSFGVVLLELLTGRRPTDELFLEEGTKLATWVKCIVEEKREEHAVDTALSCFPVEDVKEVFRIAEKCLELDPSERPTMAAVHKMLEQVNTFHTLISGTLKGGAAADNTLLTTGSGYTCNNRLS >Ma03_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11368319:11369503:1 gene:Ma03_g14220 transcript:Ma03_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPSPLPLNTSRTEILLQIKEKGLLRQPNPMKATHKDWSKYYRFHRDYDHDMEECRDLQNQIEDLIRRGHLGHYLKEPREVTPRPRGSVERQIDVISGGPVAGGNSSTAKKAYARSAIEKHPRPELEPEITFGAGEVERSHHDDALVISIRIANARVKRVMVDTESSADVLYLNAFKKLGLTKEDLNPIASALIGFTEDSISPLGTTIFPVTIGEEPRAKTIITTFMVVNLPSAYNVILGRSTLNKLKAVVSTYHRAIKFPTSVGIEEFRSDPGESRRCYLIAVTLSERSCPCQFSDPREGAMASMRLEPPEQLTEVPLKRNQPDLTMKIRMVLPEANQLQLIDFLRENVNVFTWSPREMPGINLGVTQHQLNIDLEARPVKQRPRKFAPG >Ma07_p15260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11467661:11468179:-1 gene:Ma07_g15260 transcript:Ma07_t15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCKSSLCCVDEREPVRASYQLLYKWPDSDVEFLKSMAAGKRAARGDLHSLNCCADLKEGRKQSSCPSVVDSYSCRQLYLRSYTFTKKETVPEKTRRCIMIVKQTAAVLPICCQSSDGGTSCVKSSDFSAPKSVTAERKREAAEGSAGTGKYSSIFRRLLLCTGSVEVVD >Ma08_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4444121:4454765:1 gene:Ma08_g06570 transcript:Ma08_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose nonfermenting 4-like protein [Source:Projected from Arabidopsis thaliana (AT1G09020) UniProtKB/Swiss-Prot;Acc:Q944A6] MFSSGTEFLQEVAGVSGSALVPTRFVWPYGGRRVFLTGSFTRWSEHLPMSPVEGCPTVFQAICSLTPGLHQYKFFVDGEWRHDECQPFVTGNDGIVNTIYLTREPNPVPALSSPGTPNSRMSMDIDHEAFQHVVAVSDGTMQDTALRISEADIKISRHHISTFLSAHTAYDLLPNSGKVIALDVNLPVKQAFHILYEQGIPVAPLWDSIRGRFVGVLSALDFILILQELGNRGSNLTEEELEIHTISAWKEGKHQTYGQLDEHGRPLRRRIVHAGPYDSLKDVALKILHNKVSTVPIIRSSAQDGSFPQLLHLASLSEILRCICRHFKHSSSSLPILLQPICKFPLGTWLPRIGDQSGRPITMLRANASLSLALSLLVQAEVSAIPIVDENDSLVDTYSRSDITALAKDTVYAKMHLDEMSIHQALQLGQDANSPYGIFNGQRCQMCLPSDPLQKVIERLANPGVRRVIIVEAGSKRVEGIISLGDVFRFLLG >Ma05_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31853729:31861743:-1 gene:Ma05_g20240 transcript:Ma05_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKDSKSAAYSDKSSRIIPMTLMLIVLCGFSFYLGGIFYSENNRFFKQNVAPAIQLRKQAVEAPHQIESVEVPECSSDYQDYTPCTDPKRWRKYGNYRLSFMERHCPPMVERKECLVPPPPAYKVPIRWPKSRDQCWYRNVPYDWINNEKSNQHWLRKEGEKFIFPGGGTMFPSGVGAYVDVMQDLIPGMKNGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFDGLYLLEIHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKADFEKLKKLLTSMCFKLYTMKDDIAVWQKSSDSCYDRLTLASFPPKCDDSMDPDSAWYIPLRTCLNAPSQKLKKSALESAPRWPERLHITPERIAMVPGGNSGGFKHDDSKWKVRIKHYKTLLSALGSDKIRNVMDMNTLYGGFAAALISYPVWVMNVVSSYGPNSLGVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAESHRCETKYVLFEMDRILRPNGYVIIRESNYYVDSIAAIVKGMRWGCQKHDTENNVEKEKLLICQKKLWHSKRSQQ >Ma01_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9482812:9484123:1 gene:Ma01_g12960 transcript:Ma01_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) UniProtKB/Swiss-Prot;Acc:Q9SZM1] MDSVRFTSLLLFVFFFRYSTVVAAAGISSTRNGDQEWRSATATYTRGTATATGAGQAGACGFGELSESGYGFNSVGVSSALFERGSACGGCFELRCVDHILWCLNGSPSLVVTATDFCAPNYGLPGDYGGWCNYPREHFEMSESAFLHIAKTTADVIPVQYRRVECHRKGGMRFTMTGKSYFYQVLITNVGSDGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTSGRGRATTSYNVAPWNWQFGQTFEGKQFLP >Ma00_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26752858:26754239:1 gene:Ma00_g03250 transcript:Ma00_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMERKRWDSLESWSMLLDPGNAENSEAKAGGEREEWMADLSQLFIGNKFASGSNSRIYRGIYKQRAVAVKMVRIPEQDEEKRATLEKQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLHKKEPYSLSTETILRLALDISRGMRYLHSQGVIHRDLKSHNLLLNDEMRVKVADFGTSCLETQCRESKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALVPYQGMTPVQAAYAASEKNLRPPLSTTCSPVLNNLIKSCWSANPAKRPDFSYIVSVLEKFDECLQEGLPVLVQQELRIGNSLLKLFKGCIAPASSIPVQA >Ma04_p25260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27041203:27041421:-1 gene:Ma04_g25260 transcript:Ma04_t25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPTWRLTSTWSPAGIDFSGWLAFHEDLSSKSDTRMKLYVHVSTKSDECWRIIKFHYMYLCFPLFPYINN >Ma05_p23590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35690520:35746883:-1 gene:Ma05_g23590 transcript:Ma05_t23590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDSGLLAGAEIHGFRTVADLDIEKLFEDASTRWFRPNEVHAILSNYTLFKIQPQPIDNPTSGRVLLFDRKMLRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEDRIHVYYARSEYDPNFYRRCYWLLDKNLERIVLVHYRQTSEDNVFQHIPASVECPEALSLKNRLHIDSPSTPMHSASGSAHSEVLGSAVISEEINSGEYHVSCTSSGISGLNNSTEFQNHELSLHEINTLEWEELVGSNVQNRAAINRDGDASSCDQQRSGFKNSMNNGCFLPSNGVAAVLSSHCPSNVKSGNDCGIDQSNGGYLQAAKDQDITPASFRAENLTQVGEFDMNDMVLSENTTTCTNDILLGENSFGSWNYINDYSLGSLDDRQLLASSSRGDEAMATSMGDHVFNITEISPGWSYSTEETKVVVVGHFCESKKHLMSSSIYCVVGEICVKAEMVQPGIYRCKVSPQPPGLVNLYLTMDGHTPVSQVLSFDYRCSPNIQLDGQIFPSEDDRNKLKWEDYQVQKRLAHLLFATSNNTSILSSRIPLKSLNEAKRFAMLTSSLIEKDWTNFLKLDSTNKFSSASTRENLLELVLRNKLQEWLLVKVAEGCETPGHDSQGHGVIHLCAILNYAWAVRLYSLSGLSLDFRDIHGWTALHWAAYCGREKMVATLLSAGANPSLVTDPTSETRGGCIAADLASKQGYEGLAAYLAEKGLTAHFQAMTLSGNITKHGMPTTNTLADSENVYPHKFSEQELCLKESLAAYRNAADAADHIQSAIRERALKLQTKAVQLVKPEMEASQIVAALKIQHAFRNHNRWKLMKAAARIQSHFHTWKIRRDFVNMRKKAIKIQAAFRGHRVRKQYRKIVWSVGVLEKALLRWRLKRKGLRGVQVKTTKTMNMTPESTGEEEFYRISRVQAEERMQRSVVRVQAMFRSYRAQQEYRRMKMAHEQAKLEFCDMGQLQ >Ma05_p23590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35690520:35735536:-1 gene:Ma05_g23590 transcript:Ma05_t23590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSASGSAHSEVLGSAVISEEINSGEYHVSCTSETKPVYCSGISGLNNSTEFQNHELSLHEINTLEWEELVGSNVQNRAAINRDGDASSCDQQRSGFKNSMNNGCFLPSNGVAAVLSSHCPSNVKSGNDCGIDQSNGGYLQAAKDQDITPASFRAENLTQVGEFDMNDMVLSENTTTCTNDILLGENSFGSWNYINDYSLGSLDDRQLLASSSRGDEAMATSMGDHVFNITEISPGWSYSTEETKVVVVGHFCESKKHLMSSSIYCVVGEICVKAEMVQPGIYRCKVSPQPPGLVNLYLTMDGHTPVSQVLSFDYRCSPNIQLDGQIFPSEDDRNKLKWEDYQVQKRLAHLLFATSNNTSILSSRIPLKSLNEAKRFAMLTSSLIEKDWTNFLKLDSTNKFSSASTRENLLELVLRNKLQEWLLVKVAEGCETPGHDSQGHGVIHLCAILNYAWAVRLYSLSGLSLDFRDIHGWTALHWAAYCGREKMVATLLSAGANPSLVTDPTSETRGGCIAADLASKQGYEGLAAYLAEKGLTAHFQAMTLSGNITKHGMPTTNTLADSENVYPHKFSEQELCLKESLAAYRNAADAADHIQSAIRERALKLQTKAVQLVKPEMEASQIVAALKIQHAFRNHNRWKLMKAAARIQSHFHTWKIRRDFVNMRKKAIKIQAAFRGHRVRKQYRKIVWSVGVLEKALLRWRLKRKGLRGVQVKTTKTMNMTPESTGEEEFYRISRVQAEERMQRSVVRVQAMFRSYRAQQEYRRMKMAHEQAKLEFCDMGQLQ >Ma05_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35690520:35746883:-1 gene:Ma05_g23590 transcript:Ma05_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDSGLLAGAEIHGFRTVADLDIEKLFEDASTRWFRPNEVHAILSNYTLFKIQPQPIDNPTSGRVLLFDRKMLRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEDRIHVYYARSEYDPNFYRRCYWLLDKNLERIVLVHYRQTSEDNVFQHIPASVECPEALSLKNRLHIDSPSTPMHSASGSAHSEVLGSAVISEEINSGEYHVSCTSETKPVYCSGISGLNNSTEFQNHELSLHEINTLEWEELVGSNVQNRAAINRDGDASSCDQQRSGFKNSMNNGCFLPSNGVAAVLSSHCPSNVKSGNDCGIDQSNGGYLQAAKDQDITPASFRAENLTQVGEFDMNDMVLSENTTTCTNDILLGENSFGSWNYINDYSLGSLDDRQLLASSSRGDEAMATSMGDHVFNITEISPGWSYSTEETKVVVVGHFCESKKHLMSSSIYCVVGEICVKAEMVQPGIYRCKVSPQPPGLVNLYLTMDGHTPVSQVLSFDYRCSPNIQLDGQIFPSEDDRNKLKWEDYQVQKRLAHLLFATSNNTSILSSRIPLKSLNEAKRFAMLTSSLIEKDWTNFLKLDSTNKFSSASTRENLLELVLRNKLQEWLLVKVAEGCETPGHDSQGHGVIHLCAILNYAWAVRLYSLSGLSLDFRDIHGWTALHWAAYCGREKMVATLLSAGANPSLVTDPTSETRGGCIAADLASKQGYEGLAAYLAEKGLTAHFQAMTLSGNITKHGMPTTNTLADSENVYPHKFSEQELCLKESLAAYRNAADAADHIQSAIRERALKLQTKAVQLVKPEMEASQIVAALKIQHAFRNHNRWKLMKAAARIQSHFHTWKIRRDFVNMRKKAIKIQAAFRGHRVRKQYRKIVWSVGVLEKALLRWRLKRKGLRGVQVKTTKTMNMTPESTGEEEFYRISRVQAEERMQRSVVRVQAMFRSYRAQQEYRRMKMAHEQAKLEFCDMGQLQ >Ma08_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3376674:3378691:1 gene:Ma08_g04870 transcript:Ma08_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSLFMCGSRRRLAKRDTQSGWEKEMEGTYPLGVIAASILAFLLLLGIHGRWGKGRKAAGKRDSGRVAAGFGGADVIVVGAGVTGSALAYALGKDGRRVHVIERDLAEPDTIVGEGLQPRGCLNLFELGLEDCVDEIDAQRVLGYVLYKNGRSAKLSIPLEKYHVDVAARCFHHGRFIQRLREKAASLSSVQLKQGAVTSLIKEDGIVKGVVYKTKSGKESKAFAPLTVVCDGCFSNLRHTLCSSK >Ma02_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19390411:19391866:1 gene:Ma02_g09320 transcript:Ma02_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGMASSSSSFFSPNYVIHVQTPHEEEHQLSSAVAALLHNTPCNSLQDLRVMAPMIGKRSLSFSGVENGDEMHADDDFSDDGMQTGEKKRRLTVEQVRTLEKNFEQGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQFEAMKSENEALRAQNQKLHAEISALKGREAPELINLNKETEGSCSNRSENSSEINLDISRTSVTESPLNPHQSLPFIHSIRPADMDQLLQSSSRPEMQSPKIETGATEGSFSNLLCGMEDQSASFWPWSDHPNFH >Ma09_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4775059:4779402:1 gene:Ma09_g07290 transcript:Ma09_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPMRVRVEFEDHRRLLTKSQRKDGLHRCWILLGPHLPTVADLAAHLARSFALHRSCPRGICLYMDEFVLPPFESTSIFRDKDIIRVRKKACKQRQLLGTYLPVNSRTNKRNKGEGSCDFQQNTTNIHAILSEETNLKKKEHMNKTRMLKRRKLNPSNTEKPIITAEPNENVLFEKNEHFVQKRSSLRRILNRKDGTSDGDGRCDSLISNRLHQAHANYCRSQPESHANGKAEQSEYPLLVDVGLLKAISTSDMQSDGNKMAAPANNWEVAVSVASAKESSTHVTEPMPNSDWGQMQQCLSERMKTRLQENRWDCWIANKISATPWPWAVSGRGAVGTADGSFRGKWRNRAPAKYVTNLKSSKS >Ma07_p24400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31781810:31785876:-1 gene:Ma07_g24400 transcript:Ma07_t24400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDQPSRLLYELCALLLEVLRTPHLAPLPADAPSRPPVDAATARRRVPTRMSPARFASLLLGASLALMLCGFVTFLIGFILMPWVVGLVILFYFVGIVSNLPGLGRAILSPGSGPSSPKEMPGRLDE >Ma07_p24400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31782394:31785876:-1 gene:Ma07_g24400 transcript:Ma07_t24400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDQPSRLLYELCALLLEVLRTPHLAPLPADAPSRPPVDAATARRRVPTRMSPARFASLLLGASLALMLCGFVTFLIGFILMPWVVGLVILFYFVGIVSNLPGLGRAILSPGSGPSSPKEMPGPLFSELPII >Ma07_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31781851:31785876:-1 gene:Ma07_g24400 transcript:Ma07_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDQPSRLLYELCALLLEVLRTPHLAPLPADAPSRPPVDAATARRRVPTRMSPARFASLLLGASLALMLCGFVTFLIGFILMPWVVGLVILFYFVGIVSNLPGLGRAILSPGSGPSSPKEMPGPLFSELPII >Ma06_p15530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10535205:10539319:-1 gene:Ma06_g15530 transcript:Ma06_t15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKALPEAGDYTIFMGLDKHENEELIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTIDDINEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWFNLKKTPSMDVGQVGFHNPKMVRTVKVEKRINEIVNRLNKTKVERKPDLKAEREAVNAVERAERKAQLRDKKKREELERLEKEKQSEIRSYKSLMVSEKMTSNKQIASANKSLQELEDDFM >Ma06_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10535205:10539334:-1 gene:Ma06_g15530 transcript:Ma06_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKALPEAGDYTIFMGLDKHENEELIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTIDDINEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWFNLKKTPSMDVGQVGFHNPKMVRTVKVEKRINEIVNRLNKTKVERKPDLKAEREAVNAVERAERKAQLRDKKKREELERLEKEKQSEIRSYKSLMVSEKMTSNKQIASANKSLQELEDDFM >Ma02_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17829300:17835788:1 gene:Ma02_g06990 transcript:Ma02_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSPFALLSILAVCSPLLVLDAAATAAASSRCKAWLVQSIPTNMPLLPRVPGVLSTGEVMRWLAGNATERLDIIAQYWQLLAQPGNPKSGDYGYSAVDMKKFGADEGRAVYTSLEDAADRNVSLRIIQHSGFSPDFDQESADLASGRSNVQNVTLVLGDWWGSGIVHAKVWISDGKDMYIGSANNDWKSLTQVKEVGIYLVGCPDIARRLEIYFNNLWTLSSLNSTAYTKNVWDKQWQAKRKMPCWSHFIRKKERCRSPLPLSVEIPHVNGYPALSDPFMFHIPFETPGTNFSSTSHHSSYLSFAPPELSFAKFQADEQGWVETIKSVRFGGIVRISTMDWLGQSQYLKQTVYWPSLSSAISEVIFSKHATVNILVAYWTHFIESTDQYLKSLLYSNVLCLSSKRNHCRGQVNIKYYKVPGYEKTGAALSKKNATGSVYPGYTRVNHGKYAVSDVRAHIGTSNLIWDYFYTTAGVSFGTYHPALVGQLQEIFDADWNSPYAVPVEPLLSSY >Ma02_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19525549:19535809:-1 gene:Ma02_g09630 transcript:Ma02_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEEFAKAVEEGLKLAKRVYAGKDRQLAAPPLPAAKMERTPESLLPGAPMVYAVISDPAIVDNPDIPSYQPHVHGRCNPPALIPLQMGEIGLEVDCLLGTAFVAVRGRWKVHCVMRHKSCDCRLVVPVGEQGSILGVEVEVGGRSYYTQVIELEDHNMENTAKSEGGGFLKPQMFFLTIPQVNGGADISIRIRWSQKLIYKDGQFFVTVPFNFPKYITPFAKIFLKKEKIYLNVNPGTGKEIMLHTTSHPLKEKNRQAGKLTFLCEADVESWSNKDFDFSFSIYSNNLFGGILLKSATTNDGDERDMFSLYLFPGSNQKRKVFKNEVVFVVDISGSMQGKPIENVKSALSTSLLELRPGDYFDIIAFNDELHSFSSCMEPATEDVVENAINWMNKFFVAEGGTDIMLPLNEAICLLSSMKNSIPHIFLVTDGAVEDERNICHTVRTHLANRDSIAPRISTFGIGTYCNHYFLKMLASIGKGQYDAAYDSDAIGKHMLRWFRRASSTILANITVDFFSDIDEFEVYPIHIPDLSAESPLIISGRCYGKFPETLKAKGILADMSYADIDLKVQDTKDLSLEKALAKQHIDLLTSQAWFSESKQLEEKVIKLSIQSSIPSEYTCMVLLQTETEKQEAVKKVKKRESRKHSGPDDNLSISVRSMVNGFGNVIATKENRPTGFAEAKEHETFEIYHKAVGCCNRIACCCCCPCCIKTCSKLNDQLVIAMAQLCTALSCLACSECCTECSN >Ma10_p03130.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11467620:11499339:1 gene:Ma10_g03130 transcript:Ma10_t03130.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MAGAGSAAASRSWLLLVLLLLSAFAAPAAGLGSAKKVYMREKVRKMFYHAYENYMVHAFPHDELKPLTKTFTDSLSELGNLKLERLPQNYNGSALTLVESLSSLVVLGNYTEFERGVLWLSDNLTFDVDARVNLFECNIRVLGGLVSAHILATDSKSRLEHGLYKNQLLDLARDLGQRFLPAFETPTGLPYAWINLKYGVMEDETTETSTSGCASGSLILEMGALSRLTGDPRFQAAALRALRKLWSMRSPLNLLGTTLDVVTGDWIEYSSGVGAGVDSFYEYLIKAYILFGNDEFWDMFHSSYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQILVGDIAAANSSHREFFYVWDKFGVLPERYLLDHGMLHPTEKYYPLRPELAESTFYLYQATRDPWYMEVGETIINSLNYYTRVDGGFASVRDVTTMELEDHQHSFFLSETTMSLLLRVTLCQSEVPGMRNFQRLTFLLTGLQ >Ma10_p03130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11467620:11499718:1 gene:Ma10_g03130 transcript:Ma10_t03130.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MAGAGSAAASRSWLLLVLLLLSAFAAPAAGLGSAKKVYMREKVRKMFYHAYENYMVHAFPHDELKPLTKTFTDSLSELGNLKLERLPQNYNGSALTLVESLSSLVVLGNYTEFERGVLWLSDNLTFDVDARVNLFECNIRVLGGLVSAHILATDSKSRLEHGLYKNQLLDLARDLGQRFLPAFETPTGLPYAWINLKYGVMEDETTETSTSGCASGSLILEMGALSRLTGDPRFQAAALRALRKLWSMRSPLNLLGTTLDVVTGDWIEYSSGVGAGVDSFYEYLIKAYILFGNDEFWDMFHSSYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQILVGDIAAANSSHREFFYVWDKFGVLPERYLLDHGMLHPTEKYYPLRPELAESTFYLYQATRDPWYMEVGETIINSLNYYTRVDGGFASVRDVTTMELEDHQHSFFLSETCKYLYLLFDDSFLAGQNYVFTTEGHPLPIRGSWHEKLPEAYIPANWTSVKNENQAIRLSALSQQVCPATTTGGSISLQIESACHIPDLHADHRCRTDDECGIDSTTCRKRVCSMAGFCGLWLFIP >Ma10_p03130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11467596:11499718:1 gene:Ma10_g03130 transcript:Ma10_t03130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MAGAGSAAASRSWLLLVLLLLSAFAAPAAGLGSAKKVYMREKVRKMFYHAYENYMVHAFPHDELKPLTKTFTDSLSELGNLKLERLPQNYNGSALTLVESLSSLVVLGNYTEFERGVLWLSDNLTFDVDARVNLFECNIRVLGGLVSAHILATDSKSRLEHGLYKNQLLDLARDLGQRFLPAFETPTGLPYAWINLKYGVMEDETTETSTSGCGSLILEMGALSRLTGDPRFQAAALRALRKLWSMRSPLNLLGTTLDVVTGDWIEYSSGVGAGVDSFYEYLIKAYILFGNDEFWDMFHSSYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQILVGDIAAANSSHREFFYVWDKFGVLPERYLLDHGMLHPTEKYYPLRPELAESTFYLYQATRDPWYMEVGETIINSLNYYTRVDGGFASVRDVTTMELEDHQHSFFLSETCKYLYLLFDDSFLAGQNYVFTTEGHPLPIRGSWHEKLPEAYIPANWTSVKNENQAIRLSALSQQVCPATTTGGSISLQIESACHIPDLHADHRCRTDDECGIDSTTCRKRVCSMAGFCGLWLFIP >Ma10_p03130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11467620:11499339:1 gene:Ma10_g03130 transcript:Ma10_t03130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MAGAGSAAASRSWLLLVLLLLSAFAAPAAGLGSAKKVYMREKVRKMFYHAYENYMVHAFPHDELKPLTKTFTDSLSELGNLKLERLPQNYNGSALTLVESLSSLVVLGNYTEFERGVLWLSDNLTFDVDARVNLFECNIRVLGGLVSAHILATDSKSRLEHGLYKNQLLDLARDLGQRFLPAFETPTGLPYAWINLKYGVMEDETTETSTSGCASGSLILEMGALSRLTGDPRFQAAALRALRKLWSMRSPLNLLGTTLDVVTGDWIEYSSGVGAGVDSFYEYLIKAYILFGNDEFWDMFHSSYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQILVGDIAAANSSHREFFYVWDKFGVLPERYLLDHGMLHPTEKYYPLRPELAESTFYLYQATRDPWYMEVGETIINSLNYYTRVDGGFASVRDVTTMELEDHQHSFFLSETCKYLYLLFDDSFLAGQNYVFTTEGHPLPIRGSWHEKLPEAYIPANWTSVKVFHASE >Ma10_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11467620:11499510:1 gene:Ma10_g03130 transcript:Ma10_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MAGAGSAAASRSWLLLVLLLLSAFAAPAAGLGSAKKVYMREKVRKMFYHAYENYMVHAFPHDELKPLTKTFTDSLSELGNLKLERLPQNYNGSALTLVESLSSLVVLGNYTEFERGVLWLSDNLTFDVDARVNLFECNIRVLGGLVSAHILATDSKSRLEHGLYKNQLLDLARDLGQRFLPAFETPTGLPYAWINLKYGVMEDETTETSTSGCASGSLILEMGALSRLTGDPRFQAAALRALRKLWSMRSPLNLLGTTLDVVTGDWIEYSSGVGAGVDSFYEYLIKAYILFGNDEFWDMFHSSYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQILVGDIAAANSSHREFFYVWDKFGVLPERYLLDHGMLHPTEKYYPLRPELAESTFYLYQATRDPWYMEVGETIINSLNYYTRVDGGFASVRDVTTMELEDHQHSFFLSETTMSLLLRVTLCQSEVPGMRNFQRLTFLLTGLQ >Ma10_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26758735:26759348:-1 gene:Ma10_g14240 transcript:Ma10_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAIVLVGVVLGLVSSGWAYEFHVGGSHGWVEHHHEKYNSWAERNRFQVNDTLLFKYNPGKDSVLVVTETAYKSCNVTSPIQSYTDGNTIFKFNHSGPFYFISGAAGHCNRGQRLIVVVLALRHHKSHRLPPPAVAPTPSTHGSPPPSSSASGSAVSRVYLGVMVAMALGRALLI >Ma01_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12743048:12745222:-1 gene:Ma01_g17380 transcript:Ma01_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLGPPLVIPEDALLPMPSPELNPLDVPLMMQVTEFAGGGFVVGLIFVHTIADCIGLAQFLNAVAEIARGLPNPTVESAWSREVIPNPPKLPPGGPPVFSSFKLLDATVDLSADHINHVKAQHLELTGQRCSTFDVAVSNPWQSRTRAINLDPGVDVHMCFFANTRHLLRQVLPPEGGYYGNCIYPMTATASSGRIASAELIDVNSIIRDAKARLPDEFAKWAAGDFKDDPYEFSFTYSSLFVTDWTRLGLLDVDFGWGKPSTLYRSRTWISWRSASSGRRRRRKRGLG >Ma10_p03840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14466236:14468375:1 gene:Ma10_g03840 transcript:Ma10_t03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEKKRGEAEIQRWLEVGSVEIPPADVRIVTSGGRRIPVHSTVLASASPVLESILGGPHKGRSRGREIPILGVPCDAVHAFVHSLYFARCVSATEEEMIGEHGMHLLALSHAYRVGWLKRAAERALSLRLTAEGVVDVLVLSQRCDAPRLHLRCMQLLSKDFAAVEQTEAWRFLQDHDPWLELHILQFLHHAHLRRRRQARRKAEQRLYAELHEAMDCLRHICADGCGEVGPSGRLLPADARPPCPNAVSCRGLRQLIRHFAACDRQKRQQHGCRRCKRLWQLLRLHASICDEVDDASCKVPLCIQFKQRMQEKEGELEEDEDERWRLLVKKVASARVMSHLAKRQSQVLV >Ma10_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14466236:14468375:1 gene:Ma10_g03840 transcript:Ma10_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEKKRGEAEIQRWLEVGSVEIPPADVRIVTSGGRRIPVHSTVLASASPVLESILGGPHKGRSRGREIPILGVPCDAVHAFVHSLYFARRAYDRCVSATEEEMIGEHGMHLLALSHAYRVGWLKRAAERALSLRLTAEGVVDVLVLSQRCDAPRLHLRCMQLLSKDFAAVEQTEAWRFLQDHDPWLELHILQFLHHAHLRRRRQARRKAEQRLYAELHEAMDCLRHICADGCGEVGPSGRLLPADARPPCPNAVSCRGLRQLIRHFAACDRQKRQQHGCRRCKRLWQLLRLHASICDEVDDASCKVPLCIQFKQRMQEKEGELEEDEDERWRLLVKKVASARVMSHLAKRQSQVLV >Ma01_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5070708:5075253:-1 gene:Ma01_g07040 transcript:Ma01_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MAFCYGSPCSGFRHNKLCAAEMVPSAYRRVATKSRALRISSDHSCIEVRRVNYRPPGTQHNLLNEVSLSLREKSFGLIFGRSGSGKTTLLHLLAGLSKPTSGSIIVQRYGYDGKPSDSPEPLAPERVGIVFQFPERYFLADTILEEMTFGWPRQKADFTLREQLALNLQYAVNSVGLNTISLDEDPHSLSGGYKRRLALAIQLVQTPQLLLLDEPLAGLDWKARADVVKLLKHLKKELTILVVSHDLKELSSLVDISWRMQMGGILKEEAFPL >Ma01_p07040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5070496:5075207:-1 gene:Ma01_g07040 transcript:Ma01_t07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MRGRDGTLRISEVRRVNYRPPGTQHNLLNEVSLSLREKSFGLIFGRSGSGKTTLLHLLAGLSKPTSGSIIVQRYGYDGKPSDSPEPLAPERVGIVFQFPERYFLADTILEEMTFGWPRQKADFTLREQLALNLQYAVNSVGLNTISLDEDPHSLSGGYKRRLALAIQLVQTPQLLLLDEPLAGLDWKARADVVKLLKHLKKELTILVVSHDLKELSSLVDISWRMQMGGILKEEAFPL >Ma06_p33030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33821849:33823011:1 gene:Ma06_g33030 transcript:Ma06_t33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYANGATSVRCSCCDTMNMTGSANQVAHLNCVQCHPILMYPYGAPCVKCAICNYITNAGMHNMRFPIHVAHRPNEPTPVPPSTSPSSRASNMTVVVENPMSVNENGKLVSNVVVGVTTRKK >Ma08_p28380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40323869:40325286:-1 gene:Ma08_g28380 transcript:Ma08_t28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWEAEERSKHGGGDRDLKPTHPLAAPPCTKRSRRSIQKRVVSIPIGDAEGVGSRNGGGEAFPPSDSWAWRKYGQKPIKGSPHPRGYYRCSSWKGCPARKQVERSRLDPATLVVSYSFEHNHPWPLPKNGRHHQNRPTPPAQLPVEEPAPSPPPSTQPCPPEPEEKCPVVIGEDEPLLLIDDGGFRWFSDVASPCSTSAGSDELLYGSVHFGGADAAVVPMLEEREAATAAGEEDSLFAGLEELPESSVVLRRGLASAMGTNG >Ma06_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2887025:2890421:1 gene:Ma06_g04020 transcript:Ma06_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGECTRRGEGQVETSEAVHRVAEPPPQSTVDKMKVRMKETFFPDDPFRRFKGQPLKRKWVLAAQYLFPVLDWAPSYSFSLFKSDLVAGLTIASLAIPQGISYAKLASLPPVVGLYTSFVPPLVYSVLGSSRDLAVGPVSIASLVMGSMLRQVANPNTDPYLFLQLAFTATFFAGLFQASLGILRLGFIIDFLSKATLVGFMAGSAIIVSLQQLRNLLGIVHFTKKMGVVPVMSSVFHNTNEWSWQTAAMGICFLAFLLLARHVGMRRPKLYWISVGAPLASVIVSTLVVFLLKAQNHGISTIGKLRCGLNRPSWDKLLFDGTHLSATMKTGLVTGIISLAEGIASGRTFASLRSYKVDGNKEMMAIGLMNIVGSCTSCYVSTGAFSRSAVNHNAGCKTAMSNVVMATTVMVTLLLLMPLFAYTPNVVLAAIIIAAVVGLVDVPAACNIWKLDKVDFLVCLSSFLGVVFVSVQQGLATAIGLSTFRILLQITRPKMIAVGNIPGTSIYRDMHQYKEAKGVPGFLILAIEAPINFSNTTYLNERITRWIENETNETTMEDKEASLRFLILDLSAVPTVDTSGITFLNELKKSTEKDGLEVIFVNPMGEVMEKLQRANKIHEFLGVGSLYLTIGEAVISLSPFIKESA >Ma08_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:562965:568564:1 gene:Ma08_g00590 transcript:Ma08_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHTYKLSLTCSARTPDPRAGLAFLRTLSPRIPVCSRHQPFHRFWGSSSASYLRRSWPRTQVIGEDVGRVWGSEGVGGVQLGLGHLREGGSHLSGPVSTPYKGGTFHIDIRLPIDYSFEPPKMWFRTKVCHPNISSQHGAICFDIRKDQWSPAFTSKIALLSPQALLSAPEPDDPKDAVVAQQYLRDHPANTIGEQLLASKKRYKQ >Ma01_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6036924:6042904:1 gene:Ma01_g08430 transcript:Ma01_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTPLFLRNSYWVLRHGKSVPNEKGLIVSSLENGTLEKFGLASEGFNQARLAGELLLKEIDEKKILLENVRICYSPFSRTTDSAKVVADVLGISFDSCQCKAMLELRERYFGPSFELLSHDKYAEIWSLDEKDPFLPPEGGESVADVVSRLAVALAAIEAEFQGCTVLVVSHGDPLQILQTILRATKKLASSNGIDILSRTKEIMVHSILSQHRKFALQTGELRPII >Ma11_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24660424:24665220:1 gene:Ma11_g20050 transcript:Ma11_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDMPYGSSAALPAGAISHPPLLSPSLHKSIFSSPGLSLALQTNLDAHRDRNLVPAVGGDGEDLDSARRRKQDENDSRSGSDNLEGGSEDDLELENPRKKKKYHRHTPQQIQELEALFKECPHPDEKQRMELSKRLCLEPRQVKFWFQNRRTQMKTQMERYENSILKQENDKLRAENLSIREAMRNPMCCNCGGPVVLGEISLEEQHLRIEYARLKDELDRVCALAGKFLGKPVSALAGPLALPTPNSSLELAVGTNGFAGLGSVAAATLPPLADFTSGTSSPLGTVITPGRAVGAGAIGGVDTSQEKFVFLELALAAMDELVKMAEMEEPLWIPSLDAGRETLNYVEYDRCFPRCVGAKPNGFASEATRETGLVIINSSALVETLMDAARWADMFPSVIAKATAADVISSGMAATRNGALQLMHAELQVLSPLVPVRDVSFLRFCKQLSEGAWAIVDVSIDGIRGNPSAPPAKMTCRRLPSGCVVQDMPNGYSKVTWVEHAEYDETTVHPLYRPLLRSGLALGARRWVATLQRQCQSLAILMSSSLSHDDNTAVTPSGRRSMLKLAQRMTDNFCAGVCASSAREWNKLGGGVNIGEDVRVMTRQSVADPGEPPGVVLSAATSVWLPLSPQRLFDFLRNEQLRSQWDILSNGGPMQEMAHIAKGQNTGNAVSLLRASAVSASQSSMLILQETCTDASGSLVVYAPVDTPAMHLVMSGGDSAYVALLPSGFAILPDGSGGGARKAGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTVQKIKAALNCEP >Ma01_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7042118:7052391:1 gene:Ma01_g09820 transcript:Ma01_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRRGGWELSSSASFCAALLLLHVAVVLPGVVSSQSLKNSNLTATSPWLTLSGGTPLVIAKGGFSGLFPDSSSFSYKFSYVAGSPDTISWCDVRLTKDGNGVCLPDIVLDNCTNIKLVYPEGKMNYIVNGVPTSGWFSVDYDIQNLSQVSLAQAILSRSNKFDASLFPILTVEDLVAQVKPAKLWLNIQHDIFYRQLNLDMRRYLLYLSKHDIPSYVSSPEVGFLSSIVGRFRTSKTKLIFRFLGEDTIEPSTNVTYGSLLKNLTFVKTFASGILIPKYYIWPVTSSGYLESYTSVVMDAHREGLEVFASEFANDALFSYNYSYDPLAEVLSFIDNGIFSVDGVVTDFPITASEAIGCYSHINMSNSDHGKPLIISHNGASGVYPDCTDLSYQQAITDGADYIDCPVQVTKDGILICMSSINLMEVTTVTTSPFSSLLSVIPEIHDGPGIFTFNLTWEEIQKNLKPAISNPEIQYNIYRNPLYKNDGNFMSLGDFLAFGRDKDLSGILISIENAAFLAEKLGYSVTDGVMRALQDSGYSNQTGQEVMILSKNSSVLIKFRQQTKYELVYMVDESISDMVNSSIMDIKQFAHMIAISKQSVYPVSQQFITGQTKLVQKLQSAGFAVYVYLFRNEFVSQPWDFLSDPTVEINMYVQGAAVDGIITEYPGTAAAYRRNTCSKLGDQAPSYMSPVPIGGLLQIMDPQALPPTLAPMPSLHAADVVEPPLPAVSPKPSSFGAGEESGLPPSQPSYGRHLVASIFLSLVMLCGSLLV >Ma02_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16153742:16155696:-1 gene:Ma02_g04750 transcript:Ma02_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESISYLVERIKEEMFSPSADMLSFLPPSPYETAWVAMVAGPQSPHRPMFPQCLEWIIRHQREEGYWGELGNPMDSLTSTLACVVALNAWDTGHANIEKGLGFLRANMVKLLMEHRGGIPQWFSIVFPGMLELALAKGLPVLPDGGSMPAVNNVFNRRETILTMEKYSGNDRHPPLTSFLEALPISCRPNHEVILRLQMEDGSLFHSPSATACAFMITGDRNCLEYLQTMMKRCSNVVPSVFPVDEDLIKLCLVDHLRRLGCGEHFAEEIRGVMDHTYRYGIVWLLQLVVNHYQIYIYIYIQLLQ >Ma11_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23168455:23171932:1 gene:Ma11_g18010 transcript:Ma11_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPPWCLAELDRDGSIINGTAGGPAVHRLASGPECSVDLKLGGLSDSGSSYKWKDQLRVSSMSVPSSGPLKKQRAMSNASQKASCLVDGCKADLSKCREYHRRHKVCEAHSKTPVVTVGGREQRFCQQCSRFHMLVEFDEAKRSCRKRLEGHNRRRRKPQPSSLNSGIRFSAYPQVHTTVTTEPNWTRIVRREEDTTVPVLIDRKTSNANSSHSYSEERKQFPFLQESETSLSNITPLITSRLSGISSSEMLSDGLTQVFHSDRAHSLLSSPTTQTSLINPCHMMRSADGIVMGQPLVSSVGGDDRARTIMVSEANGVGIHRQKVFCRRGEGSTALPFSWQ >Ma04_p33830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33277712:33280380:-1 gene:Ma04_g33830 transcript:Ma04_t33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGGAAYPSCNAIAIVPDASGPPGRDSWPPAGIDQLVWATDEDYRAWNGDPSADAGSNSAYDGRQSQSRAGSEQPPGKRARNSQGDSHGGNRTSSSRAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGIEELRKPPPNWQEIVAAHEEGSELREERQIPTVSSSIAIGDSERSHKGRRCKKFYTEEGCPYGDSCTFVHDEQSKARESVAISLSPTVGGSSGGYGGGANGSPQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHIYGGGLTENEVRDVSLATSDSKQAGTLTKAPMDTSVASSLPGPLSDLYHMDVPSQRSAGVIQRQVQRSVEKWKGPDKISKIYGDWIDDIE >Ma01_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6625070:6632207:1 gene:Ma01_g09180 transcript:Ma01_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPAVISLRPGGGGGGGNRGSRLLATRFDTGALGAAASLSSSDISALRPHGGVGLALSLKTGDSRFESRERIRYTKDQLLQVREHAETPEDILKIKQEIEADLSIEDQTWGHSENILPSQSQSHYYEQDNRDWRDRSGQLSSFRDENLDNKESNISSSRVEINQLNRQDQLNSHFSSKVQVSSTQAGKNTLIKAEVPWSVRRGNLSEKERVLKTVKGILNKLTPEKFDILKGQLIDAGITTPDILKDVITLIFEKAVFEPTFCPMYAQLCFDLNEKLLPFPPEEVGGREITFKRILLNNCQEAFEGADNLRAEIRKLNDPDQEIERRDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGHDSKSCPAEENVEAICQLFNTIGKQLDESPKSRRFNDAYFNRLKELTTNPQLVSRLRFMVRDVLDMRANNWVPRREEVKAKKITEIHSEAEKNLGLRPGATASLRNSRNAGSLGSVSPGGLPVSRPGTGGMMPGMPGVRKMPGMPGLDGDNWEVQRSKSMPRGDARSMQGPLAAKSSSINPKLLPQGSGGRIAGVTSALLQGNGPLSRPSGLVTAATGSTAQKLPLRPVGQVPTPLIPDKPVLTSKFNPNELHKKTVALLEEYFHIRLLDEALQCIAELNSPEYHPEVVKEAINMALEKGPSCVELVVKLLEYLIVEKIFAPRDLGTGCLLYAATLDDIGIDLPKAPIFFGEVVSKLVLAGGVDFKVVEEILKKVEDSRFQATIFDVVVKVVKASPNGQTVLSGQEAMVRACEKLLS >Ma02_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22846790:22850036:-1 gene:Ma02_g14940 transcript:Ma02_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLRAIGCRGSLVFTQNLKLTQLAKSGRIEEAIGVFRGMTNRNTVTYNSMISAYAKNGRVVEARRLFDQMPYRNLVSWNTMIAGYSHNGCVVEAAELFDRMHKRDVFSWTLMITCYTRNGELEEARRLFDRMPGEKSSVCYNAMISGYAKSSRFEDAIELLDSMPCKDLVSWNSVLSGYTQKGKMGMGSKFFDRMPEKDVVSWNLMVEGFVRVGDLVSAFECFRRIPDPNVISWVTLLNGYCKSGCIIEGRRIFDQMPEKNVVSWNAMLAGYIRCLRVDEASQLFMEMPERNSISWTTMIDGYVRIGKLSEARNLLNMMPFKDVVAQTALINGYVQRMKMDEAHHIFREISTPDVVCWNTMISGYAQCGRMDEALKLFMRMPKKDVVSWNTMIAGYAQDGQMDKAIQFFHEMVKKNRVSWNCVISGFIQNGFYVEALQHFKMMRKEGKDPDWSTFACALSGCANLVALQVGTQLHNLLLKSGHIIDIFAANALITMYARCGRILTARQVFDEMVSVDLVSWNSLIAGHALNGYAKAAISIFREMKKNGVAPDEVTFIGLLSACSHAGMVDDGLELFYSMSRDYPMTPVAEHYACVVDLLGRAGRLEQALKLVKGMPIKANASIWGSLLGACRMHKNPEVANVAAEKLFEFEPHNTSNYVLLSNIHAEAGSWAEVERVRVLMKERGVWKQPARSWIEIKNEVHSFSSDDSTEPRAAEVFMVLRVLNAQMRNIGHMSDSSLLGCG >Ma09_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9409984:9410838:1 gene:Ma09_g13880 transcript:Ma09_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPACDSARVARYECVVASGDHLAMLFVSHHTIALGAGGIKANVLQPRPQGGEGHDLLLQPFLLLHQPRLAVRGHGAGGTRRYRYRRPQGSALTVMEGVPLGLGEAERIIAQSIIEAHLDSDNPTITTSIPCLDFLNQKWLDKAAIQACNTRADEEGEDGGACNVSKAATVTQVEEV >Ma10_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14452652:14465524:1 gene:Ma10_g03830 transcript:Ma10_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSSSAQGVVASEPPPMEVPPWLKSLPLAPEFHPTLQEFQDPIAYILKIEKEAADYGICKIVPPLPSAPKKTAVANFNRSFAARDPGGGKPPTFTTRQQQIGFCPRRPRPVQKPVWQSGERYTLQQFEAKARQFERSYLRRTAGGGGRKAATAPALSPLEMETLFWRASADKPFSVEYANDMPGSGFAPLAAAAGRRCREEVPANLGESAWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHELHSLNYLHMGAGKTWYGVPRDAGLAFEEVVGVHGYGGDGNPLMTFAILGEKTTVMSPEVFVGAGIPCCRLVQNAGDFVVTFPGSYHLGFSHGFNCGEAANIATPEWLKFAKGAAVRRASIDCPPLVSHFQLLYALALSLCTRMPMCEGSEPRSSRLKDKIKGEGEEMVKNAFVQSVIQNNYLLSVLLDSGNSCVVLPQNAPESPLCSTSLVRSQVKVKPRKSLGICSHQEALEASQLLHSSDDGSGWNARIRDFNGLFSFKGNFTSTGNDKTVSLGTDNKFVNADHYSTSSDSQNLEGEKEGTKICDGLLEQGLLSCVTCGILSFACVAVVQPRETTAKYLMSADCSFLDDHVSGSGEASGISRDTNQRTNNNSLVADIVQVSDQSVEMISDVTCPSGASALDLLASIYEDSSDVEDEDVPHEKSRCSDKNDPEKDSSSCNANQPFVTTVEPQIIYSREVEHDKTYWHLADADNQTDMSIQSSQSADISDNLNGHISAAADDICQMESEFCSPDQPENGKLVSASYLEDNRTVANSGTTTKFVGEPRGAQCRELDGQNAEDHYSNLKMGNLTSVFKDLPVNRDICGNRIVPVKTALIHPELRNVDLKLMSSTALVMQGSDKDSSRLHVFCLEHAAEIEKQLQPIGGVHMMVLCHPDYPKIESEAKLLAKEQGIGYIWKNVKFREANEEDQERIRAAIEDEQVMPMNSDWTVKLGINLCYTANLSKSPLYSKQMPYNPVIYKAFGRDSTGNSPMKPKATRRCPGRQKKIVAAGRWCGKVWMSNQVHPYLAHKKETQEQEQTEGLYSFDTDQNPLIEIDIGHSSGLSSKRNSSGSNLAANNSGKKRKRPSKMAKSKKPLYSSTMADRNSKTDYVSAIPASPLGRTLRSSHLRHNDSSSQGKSSLKNESGGPGTHLKKRSSKSEELKNKLASKKQSTKRKAKNTQTASLAVKGKEEEYTCDIEGCSMSFSTKQDLALHKRDICPVKGCGKKFFSHKYLVQHRKVHMDDRPLVCPWKGCKMTFKWPWARTEHIRVHTGDRPYVCWESGCGQTFRFVSDFSRHKRKTGHSAKKGRRRSGL >Ma10_p03830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14452652:14465524:1 gene:Ma10_g03830 transcript:Ma10_t03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSSSAQGVVASEPPPMEVPPWLKSLPLAPEFHPTLQEFQDPIAYILKIEKEAADYGICKIVPPLPSAPKKTAVANFNRSFAARDPGGGKPPTFTTRQQQIGFCPRRPRPVQKPVWQSGERYTLQQFEAKARQFERSYLRRTAGGGGRKAATAPALSPLEMETLFWRASADKPFSVEYANDMPGSGFAPLAAAAGRRCREEVPANLGESAWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHELHSLNYLHMGAGKTWYGVPRDAGLAFEEVVGVHGYGGDGNPLMTFAILGEKTTVMSPEVFVGAGIPCCSRLVQNAGDFVVTFPGSYHLGFSHGFNCGEAANIATPEWLKFAKGAAVRRASIDCPPLVSHFQLLYALALSLCTRMPMCEGSEPRSSRLKDKIKGEGEEMVKNAFVQSVIQNNYLLSVLLDSGNSCVVLPQNAPESPLCSTSLVRSQVKVKPRKSLGICSHQEALEASQLLHSSDDGSGWNARIRDFNGLFSFKGNFTSTGNDKTVSLGTDNKFVNADHYSTSSDSQNLEGEKEGTKICDGLLEQGLLSCVTCGILSFACVAVVQPRETTAKYLMSADCSFLDDHVSGSGEASGISRDTNQRTNNNSLVADIVQVSDQSVEMISDVTCPSGASALDLLASIYEDSSDVEDEDVPHEKSRCSDKNDPEKDSSSCNANQPFVTTVEPQIIYSREVEHDKTYWHLADADNQTDMSIQSSQSADISDNLNGHISAAADDICQMESEFCSPDQPENGKLVSASYLEDNRTVANSGTTTKFVGEPRGAQCRELDGQNAEDHYSNLKMGNLTSVFKDLPVNRDICGNRIVPVKTALIHPELRNVDLKLMSSTALVMQGSDKDSSRLHVFCLEHAAEIEKQLQPIGGVHMMVLCHPDYPKIESEAKLLAKEQGIGYIWKNVKFREANEEDQERIRAAIEDEQVMPMNSDWTVKLGINLCYTANLSKSPLYSKQMPYNPVIYKAFGRDSTGNSPMKPKATRRCPGRQKKIVAAGRWCGKVWMSNQVHPYLAHKKETQEQEQTEGLYSFDTDQNPLIEIDIGHSSGLSSKRNSSGSNLAANNSGKKRKRPSKMAKSKKPLYSSTMADRNSKTDYVSAIPASPLGRTLRSSHLRHNDSSSQGKSSLKNESGGPGTHLKKRSSKSEELKNKLASKKQSTKRKAKNTQTASLAVKGKEEEYTCDIEGCSMSFSTKQDLALHKRDICPVKGCGKKFFSHKYLVQHRKVHMDDRPLVCPWKGCKMTFKWPWARTEHIRVHTGDRPYVCWESGCGQTFRFVSDFSRHKRKTGHSAKKGRRRSGL >Ma05_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31769512:31770800:-1 gene:Ma05_g20170 transcript:Ma05_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGIASIAAGSTTHPLDLVKVRMQLQGEALSPAVSALRPAVVLQGEAGVAAVPHHHPALPPPPRRPGPFAVGAQILRAEGPVGLFSGVSATVLRQALYSTTRMGLYDLMKKRWSAPGDGGSLPLHRKVAAGLIAGGIGAVVGNPADVAMVRMQADGRLPQAKRRNYKSVLDAIGRMVRQEGVGSLWRGSSLTVNRAMIVTASQLATYDQAKEAILRRRGAGADGLGTHVTASLAAGLVAAAASNPVDVVKTRVMNMRAEAGAPLPYVGAVDCVLKTVRAEGLMALYKGFVPTVCRQGPFTVVLFVTLEQVRKLLEYF >Ma03_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:508501:509458:-1 gene:Ma03_g00620 transcript:Ma03_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSFLMSAGSADPSSSDCPTKSCAVCRTTRTPLWRAGPSGPKSLCNACGIRYRKNRRVAAPGSKKEKIEVGAPLKLRMLGLWEHRSTIQKQSRRMGWRRSMLGEEEEAAVLLMALSSGLLYA >Ma05_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8150730:8154542:-1 gene:Ma05_g11280 transcript:Ma05_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERFMNFLRSCWGPSSRRHDHSGTDALGRQDGLLWYKDIGRLANGDFSMAVVQANSLLEDQSQIESGSLSLHGLGPYGTFVGIYDGHGGPETSRYINDHLFHHLKRFASEQQSISADVIRKAFKATEEGFLSLVTKQWPVKPHIAAVGSCCLAGIICSGTLYVANLGDSRVVLGRLVKATGEVLAVQLSEEHNAGIESVRQELHASHPDDSEIVVLKHNVWRVKGVIQVSRSIGDVYLKKTEFNREPLQAKFLLREPFQRPILSSEPSISVLPLQPQDQFLIFASDGLWEHLSNQEAVNIVQNNPCSGIARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFLDSSLTGWVSSHKGPTVSIRGGIDRPASSLTSYASAKLGNSY >Ma06_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8321487:8323368:-1 gene:Ma06_g11920 transcript:Ma06_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMDVYCGTPAFSSSDPLREALEPFINGAPASTFSSSSSSASPEPLCFPSFSSPFSSFLHQNPIFVPSLPLSSSPEMLPRRLMDQNGRGVDRLSPAEIRAQLQYQQQLMAASSHRRSPRNGNLLAPQPQPMKRSGSPPSPPKPNKLFRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEKAALAYDRAAFMLRGDAARLNFPELRRNGAHMGSPLHSSVDAKLQAICDTLSNPEKQESAPLISAATEEAGTNGEFGTSGLEDTKSDISSSLEEDESSSSGSSAVSAMQYLDFTEAPWDESDSFVLRKYPSWEIDWDSVLSSD >Ma06_p37820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36774182:36777533:-1 gene:Ma06_g37820 transcript:Ma06_t37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKGKKVEVAEVVEEKRSRFRKICVFCGNQPGRKAIYQEAAIELGRQLVESGIDLVYGGGNIGLMGIVCQAVHEGGRHVLGVISKSSMAGEYITSQTVGEVQIVSDMHERKAETVRRADAFIALPGGYGTLEELLEVVTWAQLGIHKKPVGLLNIDGFYDSLLFFVDMAVDEGFITRAARNIIISAPSAKELIRKFEEYVSEYETNLVWDTEKELLEVSHL >Ma05_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1366303:1367139:1 gene:Ma05_g02150 transcript:Ma05_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSGGSSSGPSADPCHKPRHPLHQIAESATHKLLLKQWMKEEEIVARRVALRESRVDAVRRQIAALYCLFFVLHSLVLLLLYHASASARPPSAACRRSWIPCLCSLVCSLAIVWAVRYKADTESVLERLLEREREDGLLLAKCVEELKRKGAEFDLLKEVDALRRAKSLRVEAKGGAAKVRKWSARDLATTVLLALSCGALGLTRFVLCDQEPRS >Ma04_p38540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36022315:36023796:-1 gene:Ma04_g38540 transcript:Ma04_t38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGYVIPRSWCFGSPIRVLVPSSSIHLTPKTVSISEGGRHGEQLEEDQAVPAAGPASQAIGNDSNNQHSPVGATGSQRGSE >Ma02_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26966465:26969956:-1 gene:Ma02_g21300 transcript:Ma02_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKVMSFSPWAPTAPTKAKRRRKCKVTVKVVGLEGLLPLPGVAAVEVGWRRPPKVGALSYLVGRKRPARSVSSRRPVEDGWAVRWDDDDESSRFETVCRLCDPNSVSGPAHDVSFSVLYGSGEEEGSKTNKLERIGTTNASLAEWAKESQSHKESGGAEKGFNQQLPITLRKEGSTSYGVLHVTVSFTEVRTSGVTKGASLGEDRIMLEQKGSQQVKSDDPGSFDKENMVLDHLFRLQDEEDILEDNSVQNHNASSSISSNSCQSSPGSESTSKGWFCWSKRSCKTPDLKEGERRKMSSCEDISSEHKKKESLDSDEDDDPKGSWRSKEFISRDKQTKIKIQTFFASIDQRDPSAGGESACTAIVAVLANALHNNELNTPTRSEFDTLIREGSSEWQKLCNNTSYIDRFPDKHFDLDTILEAKLRPVSVLPDKSFIGFFQPECFKSLHGAMSFDDIWHEITSDIVGDSKVFVVSWNDHFFMLKMEANAYYVMDTLGERLYEGCKKAYILRFDDSTEMFRHQENKGIDDCDELICRGKECCREFINRFLAAIPLQEELMLEKKGIETNTALHQRLQIEFHLTQASADTSNDDS >Ma02_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17109799:17111603:1 gene:Ma02_g06040 transcript:Ma02_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLQSFQDYFFILIWTPQLLTLTKHLPQLSTKDEEDACPICLEDYDAENPHVMTKCEHHFHCVAFLNGWRDETPVPSVTRSSICSWYNHAVFILQIMMINTRTVPEKQMWLEAI >Ma11_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:754077:755453:1 gene:Ma11_g01020 transcript:Ma11_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKGSKPKDGSQGRHRHLFTPLLQPMAMAFKMATEGMRVKQECLSSFMEMKWKKASRYVVYKIDEKSREVMVDKVGRPGDGYEGLAASLPLDDCRYAVFDFDFVTVDNCQKSKIFFITWSPTASRIRSKILYATSKQGLRRLLEGIHYEVQATDAAEMGLDVIKERAK >Ma02_p24290.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28960125:28974814:1 gene:Ma02_g24290 transcript:Ma02_t24290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCGLAVDEEILSSFVLVHMNIGLESNKAGASMSYEHGETHCKKVLSNGDVYVGDFDGLLPHGTGRYTWTDGTIYHGQWEESKITGRGKIFWLSGATYEGELCGGFLHGSGTLFGVDGSTYRGSWRMNKQHGKGIKLYSNLDEYDGLWREGLQEGIGTYRWRNGNTYSGNWKAGKMSGKGVMKWTNGDLFDGNWLDGLENGSGYYKYADGSIYVGIWSRGLKDGHGTFLPAGSRLPYQHRYSEYIVYGNKVQSLGPTSLFGKRRKKVNRWSMIGYLRNPKRISHRPSFLDGVWNIGGACESSLSENTPYALCSSTDEGRHGLQNDSVLVYDREYMQGVLITESMRYYDFRRSQKNKWHCKMKKQPRGPGETIYKGHRSYYLMLNLQLGIRYTVGKITPVPMREVRSSDFGTRARIRMYFPSKGSQFTPPHSSIGFFWKDYCPMVFRNLREMFKIDAADYMMSICGGDGLKELSSPGKSGSIFYLSQDERFVIKTLRKYELKILLKMLPNYYDHVGAYDNTLITKFFGLHRLTIKGKKVRFVVMGNMFRTELRIHRRYDLKGSSHGRSTNKHNINENTTLKDLDLTYVFHLEKSWRESLFRQISLDCEFLESQSIIDYSMLLGLHFRAPEHFKAYSESQSLLKRSANSQDDISDVQLCDEVRTPPKGLRLVAHEPSSVSSLPGSHMRGSTLRASAAGNKEVDLLLPGTGRLRVQLGVNMPAQASWKLLHGEGLDTTELDPADVYDVVLYFGIIDILQEYNMNKKIEHVCKSLKLDPVSISAVEPKMYKKRFIRFLEKVFPEQTV >Ma02_p24290.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28960125:28974814:1 gene:Ma02_g24290 transcript:Ma02_t24290.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCGLAVDEEILSSFVLVHMNIGLESNKAGASMSYEHGETHCKKVLSNGDVYVGDFDGLLPHGTGRYTWTDGTIYHGQWEESKITGRGKIFWLSGATYEGELCGGFLHGSGTLFGVDGSTYRGSWRMNKQHGKGIKLYSNLDEYDGLWREGLQEGIGTYRWRNGNTYSGNWKAGKMSGKGVMKWTNGDLFDGNWLDGLENGSGYYKYADGSIYVGIWSRGLKDGHGTFLPAGSRLPYQHRYSEYIVYGNKVQSLGPTSLFGKRRKKVNRWSMIGYLRNPKRISHRPSFLDGVWNIGGACESSLSENTPYALCSSTDEGRHGLQNDSVLVYDREYMQGVLITESMRYYDFRRSQKNKWHCKMKKQPRGPGETIYKGHRSYYLMLNLQLGIRYTVGKITPVPMREVRSSDFGTRARIRMYFPSKGSQFTPPHSSIGFFWKDYCPMVFRNLREMFKIDAADYMMSICGGDGLKELSSPGKSGSIFYLSQDERFVIKTLRKYELKILLKMLPNYYDHVGAYDNTLITKFFGLHRLTIKGKKVRFVVMGNMFRTELRIHRRYDLKGSSHGRSTNKHNINENTTLKDLDLTYVFHLEKSWRESLFRQISLDCEFLESQSIIDYSMLLGLHFRAPEHFKAYSESQSLLKRSANSQDDISDVQLCDEVRTPPKGLRLVAHEPSSVSSLPGSHMRGSTLRASAAGNKEVDLLLPGTGRLRVQLGVNMPAQASWKLLHGEGLDTTELDPADVYDVVLYFGIIDILQEYNMNKKIEHVCKSLKLDPVSISAVEPKMYKKRFIRFLEKVFPEQTV >Ma02_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28960125:28974814:1 gene:Ma02_g24290 transcript:Ma02_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCGLAVDEEILSSFVLVHMNIGLESNKAGASMSYEHGETHCKKVLSNGDVYVGDFDGLLPHGTGRYTWTDGTIYHGQWEESKITGRGKIFWLSGATYEGELCGGFLHGSGTLFGVDGSTYRGSWRMNKQHGKGIKLYSNLDEYDGLWREGLQEGIGTYRWRNGNTYSGNWKAGKMSGKGVMKWTNGDLFDGNWLDGLENGSGYYKYADGSIYVGIWSRGLKDGHGTFLPAGSRLPYQHRYSEYIVYGNKVQSLGPTSLFGKRRKKVNRWSMIGYLRNPKRISHRPSFLDGVWNIGGACESSLSENTPYALCSSTDEGRHGLQNDSVLVYDREYMQGVLITESMRYYDFRRSQKNKWHCKMKKQPRGPGETIYKGHRSYYLMLNLQLGIRYTVGKITPVPMREVRSSDFGTRARIRMYFPSKGSQFTPPHSSIGFFWKDYCPMVFRNLREMFKIDAADYMMSICGGDGLKELSSPGKSGSIFYLSQDERFVIKTLRKYELKILLKMLPNYYDHVGAYDNTLITKFFGLHRLTIKGKKVRFVVMGNMFRTELRIHRRYDLKGSSHGRSTNKHNINENTTLKDLDLTYVFHLEKSWRESLFRQISLDCEFLESQSIIDYSMLLGLHFRAPEHFKAYSESQSLLKRSANSQDDISDVQLCDEVRTPPKGLRLVAHEPSSVSSLPGSHMRGSTLRASAAGNKEVDLLLPGTGRLRVQLGVNMPAQASWKLLHGEGLDTTELDPADVYDVVLYFGIIDILQEYNMNKKIEHVCKSLKLDPVSISAVEPKMYKKRFIRFLEKVFPEQTV >Ma02_p24290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28960093:28974814:1 gene:Ma02_g24290 transcript:Ma02_t24290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCGLAVDEEILSSFVLVHMNIGLESNKAGASMSYEHGETHCKKVLSNGDVYVGDFDGLLPHGTGRYTWTDGTIYHGQWEESKITGRGKIFWLSGATYEGELCGGFLHGSGTLFGVDGSTYRGSWRMNKQHGKGIKLYSNLDEYDGLWREGLQEGIGTYRWRNGNTYSGNWKAGKMSGKGVMKWTNGDLFDGNWLDGLENGSGYYKYADGSIYVGIWSRGLKDGHGTFLPAGSRLPYQHRYSEYIVYGNKVQSLGPTSLFGKRRKKVNRWSMIGYLRNPKRISHRPSFLDGVWNIGGACESSLSENTPYALCSSTDEGRHGLQNDSVLVYDREYMQGVLITESMRYYDFRRSQKNKWHCKMKKQPRGPGETIYKGHRSYYLMLNLQLGIRYTVGKITPVPMREVRSSDFGTRARIRMYFPSKGSQFTPPHSSIGFFWKDYCPMVFRNLREMFKIDAADYMMSICGGDGLKELSSPGKSGSIFYLSQDERFVIKTLRKYELKILLKMLPNYYDHVGAYDNTLITKFFGLHRLTIKGKKVRFVVMGNMFRTELRIHRRYDLKGSSHGRSTNKHNINENTTLKDLDLTYVFHLEKSWRESLFRQISLDCEFLESQSIIDYSMLLGLHFRAPEHFKAYSESQSLLKRSANSQDDISDVQLCDEVRTPPKGLRLVAHEPSSVSSLPGSHMRGSTLRASAAGNKEVDLLLPGTGRLRVQLGVNMPAQASWKLLHGEGLDTTELDPADVYDVVLYFGIIDILQEYNMNKKIEHVCKSLKLDPVSISAVEPKMYKKRFIRFLEKVFPEQTV >Ma02_p24290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28960089:28974814:1 gene:Ma02_g24290 transcript:Ma02_t24290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCGLAVDEEILSSFVLVHMNIGLESNKAGASMSYEHGETHCKKVLSNGDVYVGDFDGLLPHGTGRYTWTDGTIYHGQWEESKITGRGKIFWLSGATYEGELCGGFLHGSGTLFGVDGSTYRGSWRMNKQHGKGIKLYSNLDEYDGLWREGLQEGIGTYRWRNGNTYSGNWKAGKMSGKGVMKWTNGDLFDGNWLDGLENGSGYYKYADGSIYVGIWSRGLKDGHGTFLPAGSRLPYQHRYSEYIVYGNKVQSLGPTSLFGKRRKKVNRWSMIGYLRNPKRISHRPSFLDGVWNIGGACESSLSENTPYALCSSTDEGRHGLQNDSVLVYDREYMQGVLITESMRYYDFRRSQKNKWHCKMKKQPRGPGETIYKGHRSYYLMLNLQLGIRYTVGKITPVPMREVRSSDFGTRARIRMYFPSKGSQFTPPHSSIGFFWKDYCPMVFRNLREMFKIDAADYMMSICGGDGLKELSSPGKSGSIFYLSQDERFVIKTLRKYELKILLKMLPNYYDHVGAYDNTLITKFFGLHRLTIKGKKVRFVVMGNMFRTELRIHRRYDLKGSSHGRSTNKHNINENTTLKDLDLTYVFHLEKSWRESLFRQISLDCEFLESQSIIDYSMLLGLHFRAPEHFKAYSESQSLLKRSANSQDDISDVQLCDEVRTPPKGLRLVAHEPSSVSSLPGSHMRGSTLRASAAGNKEVDLLLPGTGRLRVQLGVNMPAQASWKLLHGEGLDTTELDPADVYDVVLYFGIIDILQEYNMNKKIEHVCKSLKLDPVSISAVEPKMYKKRFIRFLEKVFPEQTV >Ma02_p24290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28960729:28974814:1 gene:Ma02_g24290 transcript:Ma02_t24290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCGLAVDEEILSSFVLVHMNIGLESNKAGASMSYEHGETHCKKVLSNGDVYVGDFDGLLPHGTGRYTWTDGTIYHGQWEESKITGRGKIFWLSGATYEGELCGGFLHGSGTLFGVDGSTYRGSWRMNKQHGKGIKLYSNLDEYDGLWREGLQEGIGTYRWRNGNTYSGNWKAGKMSGKGVMKWTNGDLFDGNWLDGLENGSGYYKYADGSIYVGIWSRGLKDGHGTFLPAGSRLPYQHRYSEYIVYGNKVQSLGPTSLFGKRRKKVNRWSMIGYLRNPKRISHRPSFLDGVWNIGGACESSLSENTPYALCSSTDEGRHGLQNDSVLVYDREYMQGVLITESMRYYDFRRSQKNKWHCKMKKQPRGPGETIYKGHRSYYLMLNLQLGIRYTVGKITPVPMREVRSSDFGTRARIRMYFPSKGSQFTPPHSSIGFFWKDYCPMVFRNLREMFKIDAADYMMSICGGDGLKELSSPGKSGSIFYLSQDERFVIKTLRKYELKILLKMLPNYYDHVGAYDNTLITKFFGLHRLTIKGKKVRFVVMGNMFRTELRIHRRYDLKGSSHGRSTNKHNINENTTLKDLDLTYVFHLEKSWRESLFRQISLDCEFLESQSIIDYSMLLGLHFRAPEHFKAYSESQSLLKRSANSQDDISDVQLCDEVRTPPKGLRLVAHEPSSVSSLPGSHMRGSTLRASAAGNKEVDLLLPGTGRLRVQLGVNMPAQASWKLLHGEGLDTTELDPADVYDVVLYFGIIDILQEYNMNKKIEHVCKSLKLDPVSISAVEPKMYKKRFIRFLEKVFPEQTV >Ma02_p24290.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28960125:28974814:1 gene:Ma02_g24290 transcript:Ma02_t24290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCGLAVDEEILSSFVLVHMNIGLESNKAGASMSYEHGETHCKKVLSNGDVYVGDFDGLLPHGTGRYTWTDGTIYHGQWEESKITGRGKIFWLSGATYEGELCGGFLHGSGTLFGVDGSTYRGSWRMNKQHGKGIKLYSNLDEYDGLWREGLQEGIGTYRWRNGNTYSGNWKAGKMSGKGVMKWTNGDLFDGNWLDGLENGSGYYKYADGSIYVGIWSRGLKDGHGTFLPAGSRLPYQHRYSEYIVYGNKVQSLGPTSLFGKRRKKVNRWSMIGYLRNPKRISHRPSFLDGVWNIGGACESSLSENTPYALCSSTDEGRHGLQNDSVLVYDREYMQGVLITESMRYYDFRRSQKNKWHCKMKKQPRGPGETIYKGHRSYYLMLNLQLGIRYTVGKITPVPMREVRSSDFGTRARIRMYFPSKGSQFTPPHSSIGFFWKDYCPMVFRNLREMFKIDAADYMMSICGGDGLKELSSPGKSGSIFYLSQDERFVIKTLRKYELKILLKMLPNYYDHVGAYDNTLITKFFGLHRLTIKGKKVRFVVMGNMFRTELRIHRRYDLKGSSHGRSTNKHNINENTTLKDLDLTYVFHLEKSWRESLFRQISLDCEFLESQSIIDYSMLLGLHFRAPEHFKAYSESQSLLKRSANSQDDISDVQLCDEVRTPPKGLRLVAHEPSSVSSLPGSHMRGSTLRASAAGNKEVDLLLPGTGRLRVQLGVNMPAQASWKLLHGEGLDTTELDPADVYDVVLYFGIIDILQEYNMNKKIEHVCKSLKLDPVSISAVEPKMYKKRFIRFLEKVFPEQTV >Ma08_p27660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39840257:39842567:1 gene:Ma08_g27660 transcript:Ma08_t27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPERSPPPPPHNTPERPESPEPAVTALAVAGRLSLDELAVMVSVVDGGEGGGRVSRKAENGLGAVMRAALGLRVSAALLCLVSFSVMVADNTEGWAGDSFGRYSEYRCLVSVTAIAFGYSAFHIYAKVHHVILKKYIIRSPINYYFDLTMDHILAYLLIAASSVAASRNDLWMSRFGSDEFMDMANVSIAISFLAFVALALSALISAHNFFRRSSGVTSQMEHL >Ma10_p27700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34857945:34859432:1 gene:Ma10_g27700 transcript:Ma10_t27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRAAPPPPNFGSVARTITKILRLRRSAASSTGGSADAAPDDYDAIHKFKLARDVRDYSGILTEPPDKGFHKPEDEKRQLQQQKSGSSGDLEAMESLLANLFASISAVKAAYAELQVAQSSYDSELIQSADGAVVAGLKHISVLKQSFFRNQFFVQLMVKEMDSAGWDLDTAAGSIQPDVLREKEPSHRTFAFESYVCLRMFSDLHHQNFGIGTLEECLAWDRRQFFNEFTRLKSIAMNQSLGGLPSGRGFPGSEFFAAFAEMSRRMWLLHCLFFSFEPDTERSIFQVGRGSRFSDVYMESVTAAESKDKGGVGGAEGAGSGRGTAVGFTVVPGFKVGRTLIQSKVYLITVDAKVNLRL >Ma08_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8825476:8826447:-1 gene:Ma08_g11840 transcript:Ma08_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTGLKPSRFFWSLIEKPPATIPEMLQRANQYIAGEALVAGRRTDGKKPRIEQPRPVTSMATTQPRRRPDHSEPRLPRPPPLPLNAPRTEIFLQIREKGLLRPPNPVKATHKDRSKYCRFHRDYGHDTEDCRDLQNQIEELIRRGYLGRYLKEPREATPRPRIPIERQIDVIFGGPAAGGSSSTARKSYARSMVEKRPRPELEPEISFGAEEVECSHHDDTLVISIQIANARVKRVMVDTGSSADVLYLDAFKKLDLSTEDLIPMSSALTGFTGDSISPLGTTTLPVTIGEEPRTKTIMTTFKASSAAQAEDRADSMSFESV >Ma06_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11902655:11907013:-1 gene:Ma06_g17540 transcript:Ma06_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >Ma08_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4004057:4008817:1 gene:Ma08_g05920 transcript:Ma08_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENIRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPDGVDIDILHDATRREARGG >Ma01_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:487194:489069:1 gene:Ma01_g00630 transcript:Ma01_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYSWLSNSSLEPYLVYEYGLLLTNNELEEEDIAYFDHSFLQSMGIAVAKHRLEILKLTNKDRRVPPLPVAKLVAALRQSKNCLARYLRTLSRAKSPAILVVPTTPYGDRWGGAMLRRKTKLALLRQGRLMITDRGMSIARVPSPSHSASPMYRSHHDQRNAAAAADDDGYWETAVGDMRWDAMFQNLKPT >Ma08_p03500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2523530:2527882:1 gene:Ma08_g03500 transcript:Ma08_t03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPAGGMVQPPPMAPSPMDQQPPPQQWTVMQPTLQPQYYQAPPAPPMWNQQPTQVPPPLPQPVPQQSQPQYQAPAPVPPPQMQYQAPAPVPAMAPQPASADEIRTLWIGDLPYWMEESYLYSCFVHTGEMVSVKVIRNKQTGQSEGYGFIEFVSRAAADRILQTYNGQVMPNTEQAFRLNWASCGAGERRGDGADYTIFVGDLGADVTDYLLQETFMTRYASVKGAKVVTDRLTGRSKGYGFVKFGDLSEQTRAMTEMNGIYCSTRPMRIGPAANKNTLGTQQQYPTNASYQNAQGAESENDPNNTTIFVGGLDTNVTDDHLRQVFSTYGEIVYVKIPVGKRCGFVQFASRANAEEALRMLNGTPLGGQNIRLSWGRSPANKQPQQDPNQWNGSYYGYAQNYNTYGYTPPQDPNMYAYAAYTGYGNYQQQQQPPPPQHPPPQVSAVGDANLI >Ma08_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2523523:2527882:1 gene:Ma08_g03500 transcript:Ma08_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPAGGMVQPPPMAPSPMDQQPPPQQWTVMQPTLQPQYYQAPPAPPMWNQQPTQVPPPLPQPVPQQSQPQYQAPAPVPPPQMQYQAPAPVPAMAPQPASADEIRTLWIGDLPYWMEESYLYSCFVHTGEMVSVKVIRNKQTGQSEGYGFIEFVSRAAADRILQTYNGQVMPNTEQAFRLNWASCGAGERRGDGADYTIFVGDLGADVTDYLLQETFMTRYASVKGAKVVTDRLTGRSKGYGFVKFGDLSEQTRAMTEMNGIYCSTRPMRIGPAANKNTLGTQQQYPTNASYQNAQGAESENDPNNTTIFVGGLDTNVTDDHLRQVFSTYGEIVYVKIPVGKRCGFVQFASRANAEEALRMLNGTPLGGQNIRLSWGRSPANKQPQQDPNQWNGSYYGYAQNYNTYGYTPPQDPNMYAYAAYTGYGNYQQQQQPPPPQHPPPQVSAVGDANLI >Ma10_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26729001:26740170:1 gene:Ma10_g14210 transcript:Ma10_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCACGKPFVIEDSRGSPRQRLPASRLPAAAAPLQADAPAKRMAAAVSSLMREEVVGVRVDAVAQVVAVDKRAGSGPIRANGMDADRRRVMERPAQRSHPMQVIGTVPKAVEGEQVAAGWPPWLAAVAGEAIRGWVPRRADSFEKLDKIGQGTYSNVYRARDLDNKKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIVKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGLKFSEPQVKCYMQQLLRGLDHCHCRGILHRDIKGSNLLIDNSGILKIADFGLASFFDPDQRLPLTSRVVTLWYRPPELLLGATNYGVAVDLWSAGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWKKSRLPHATIFKPQQPYRRCVADTFKDFPSAALALIEVLLSVDPADRGTAASALKNEYFTTKPLVCDPSSLPKYPPSKEFDAKRRDEEARRQGASRGKVHRPDLEKRGQKESRAIPAPDANAELASSMQRRQSQANSRSRSEKFSSQHEEVASGFPIEPPRVTPVLEVSEEPQNQFSNRSFHSGPLVHRSQLPKSWKNEDLPKVSAIADSSGLPGPATARRKVISNDGNEDFFAQLGGHPSGRLSESGNESDGKKCDQMCHQREDERSNIGQPTDHGPKGNKIHYSGPLLRPSGNVDQMLKEHDRQIQEVFRRARINKSKIRKVQGDGNQLGVKPSDFIAMPVYPSSRGSSVPVFTSSRGPAQ >Ma07_p02170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1679998:1683335:1 gene:Ma07_g02170 transcript:Ma07_t02170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSQFLDSGSKHHSYCRKQKSLGVLCSNFVSLYDRDGVELVGLDYAARQLGVERRRIYDIVNVMESVGVLARKAKNKYSWIGFSGIPKALKELKEEALRAISGSDGPCEKEVLEDEDDDSEGQNHDDGDEKFSKMDNASSSSGNHISKARSATDNRKEKSLGLLTRNFVKLFLTSDVDTISLDEAARLLLGDISDLSNMRSYNAAKVRRLYDIANVLSSMSLIEKIQVEARKPAFRWLGTEGKPKTDTGGTFAPPPIVKPLNKRAFGNEITNVDMKRSRLCCTVSKKPGKAQMRSDDLKECNLTAQKQLITKSGYVFGPFCPAGMTKVDGDVEGKGERSAQDWESLACSLRPQYHNQALNELFTHYMEAWKSWYSELAQGSYNL >Ma07_p02170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1679998:1683335:1 gene:Ma07_g02170 transcript:Ma07_t02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSQFLDSGSKHHSYCRKQKSLGVLCSNFVSLYDRDGVELVGLDYAARQLGVERRRIYDIVNVMESVGVLARKAKNKYSWIGFSGIPKALKELKEEALRAISGSDGPCEKEVLEDEDDDSEGQNHDDGDEKFSKMDNASSSSGNHISKARSATDNRKEKSLGLLTRNFVKLFLTSDVDTISLDEAARLLLGDISDLSNMRSYNAAKVRRLYDIANVLSSMSLIEKIQVEARKPAFRWLGTEGKPKTDTVSKKPGKAQMRSDDLKECNLTAQKQLITKSGYVFGPFCPAGMTKVDGDVEGKGERSAQDWESLACSLRPQYHNQALNELFTHYMEAWKSWYSELAQGSYNL >Ma07_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1679945:1683335:1 gene:Ma07_g02170 transcript:Ma07_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSQFLDSGSKHHSYCRKQKSLGVLCSNFVSLYDRDGVELVGLDYAARQLGVERRRIYDIVNVMESVGVLARKAKNKYSWIGFSGIPKALKELKEEALRAISGSDGPCEKEVLEDEDDDSEGQNHDDGDEKFSKMDNASSSSGNHISKARSATDNRKEKSLGLLTRNFVKLFLTSDVDTISLDEAARLLLGDISDLSNMRTKVRRLYDIANVLSSMSLIEKIQVEARKPAFRWLGTEGKPKTDTGGTFAPPPIVKPLNKRAFGNEITNVDMKRSRLCCTVSKKPGKAQMRSDDLKECNLTAQKQLITKSGYVFGPFCPAGMTKVDGDVEGKGERSAQDWESLACSLRPQYHNQALNELFTHYMEAWKSWYSELAQGSYNL >Ma02_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25585307:25586050:-1 gene:Ma02_g19400 transcript:Ma02_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKKPAEKKPAAAEKAPASDDKEEKSAEKSPVSAEKKPKAGKRLPSKEGVGAGGIDKKKKKAKKGSETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Ma08_p33180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43616091:43616843:1 gene:Ma08_g33180 transcript:Ma08_t33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHHQSPTALKDDVPPSFAPFFPSMSASRHGLAPCAHGRSKPHVELSPRCPRCGSCDTKFCYYNNYSLSQPRYFCKGCRRYWTMGGSLRNVPVGGSSRTNRRRMPSRVSGAPPVAREPSYGHQPQNHGTEGSVDLAALYATFSSRCPQLEPGLVVPGLPEDIDSVSGSIVDHAECQGSITEPIGEGFLDQMNQQCLIGELDPNNTFDTLPSPLEIANYYSSDLSNAPDFVSEANMYPSLDHNFPSSDWC >Ma06_p34700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34827074:34830193:-1 gene:Ma06_g34700 transcript:Ma06_t34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQQQQQRQRVLSFWSCRLVPLLAAVLLVSVLQVGGGEAVVFNFRTLTLGNLKLLGDAHLKNGSFRLSRDLPVPNSGAGRALYAEPVRLRHPATRVPLPFSTFFSFSIVNLNPSSIGGGLAFLLAPDDTSVGDAGGFLGLVNATASAAPGRASVVAVEFDTRMDVEFEDINDNHVGVNLGSLVSAQVADLDSVGIDLKSGDLINAWIEYGGGAAGWMLQVFVSYSTVRPADPVLSFPVDLGRYVDDFAFVGFSGSTQGSTEIHSVEWWSFSSPSLGASPPSTTTTAPPPPATLVFPFFGPSPPSLPPSAPVPVAAESPSEGTVRPSSTSSCQNNGLCRQGPAAVAGVATASAFVVAAVAGLGFWVFTRRTKSPKKWEHLAATSEIVNSPREFSYRELVVATRGFDSSRIIGHGAFGTVYKGIIPETGAMVAVKRCIQNGSDSGGQQARAEFLSELSIIAGLRHRNLVRLQGWCHEKGEILLVYDYMIHGSLDKALFDPKAPPLGWRHRKKILIGVASALAYLHRECENQVIHRDVKSSNVMLDEDYHARLGDFGLARQVEHDKSPDATVTAGTMGYLAPEYLLTGRATEKTDVFSFGAVVLEVACGRRPIDGDGDNNRIAGGAGSSTRRCSNLVEWVWGLHGEGRILDTVDRRLDGEFEEGEMRRALLVGLACSSPDPMTRPGMRNVVQMLSGEADPPFVPVAKPSMSFSTNQHLLLSLQDSVSDYNAMGLNLSMSSSSSSSLTSTLRACGGGGGGGGGEGT >Ma02_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16980024:16980275:1 gene:Ma02_g05770 transcript:Ma02_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIDSGGQVQRIPTVKLSVVWASNFFYIYQFNNVNDLLFNLRTKRLNNIFYWGTQMVSSMAIGYVLDFSFRSRRTRAKILV >Ma05_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4624603:4625665:1 gene:Ma05_g06210 transcript:Ma05_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPSSKPNPHPPQPLNGGGPAFPPTKSQVNSATRLPYRPQPKPRRSRRGLCCSCCLWFIMLLVVLVILAAIAGGVFYAIYRPHRPAFSVSVLRIAALNVSAAGHLSSRVDLNVTARNPNKKLIYFYDPISVSVLSGGVDIGDGSIPAFVQDAGSATVLSATTSSSGQTLDSAAGNDLRKSSSLPLEVDMDTKAGVKIGGLKTKKIGIKVRCAGIRAPVPKAKASAAVSPGDGCKVKLRIKIWKWTL >Ma11_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21028493:21029373:-1 gene:Ma11_g15350 transcript:Ma11_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDMNLVQQERQHLEFPMHRSRPSLAVPPRRLRPRRSQSGRAPPGATKPSRPVLTRSVNSVLSPSTPPAEDPKKPHPWTESPVCISSDLLAKLVLGAGGGDGVPDAATAPAVFERGRFYELYSARRNERLQRRRKDETTLAEEPGVAVDLENGRNPKRTERATRSVPADLSTREMKKPRHEAGVTATADCSAVVARSVRRL >Ma11_p09700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8940728:8961454:-1 gene:Ma11_g09700 transcript:Ma11_t09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPASVVRQAELLDANGKKYFEKRRFLAAVDAHTEAITLCPDVALYWANRAICYRKLNQWTKVEADSRKALELDSRSTKAHFMLGLTLVERQEYAEGIKELEKALNIEGLINPKSDMVMEIKQSLFEAKYNEWELLSSKREWMLQNLKDSCEKALTEYHFLHDSQDDYGPKEAENDVVGQLRVLNEVFSKTASVDTPTEDPVIAPSGITYERAMIIKHLQKVGNFDPITREPLEQHQLVPNLAIKEAVQAYLNDHPWAYGARL >Ma11_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8940728:8961454:-1 gene:Ma11_g09700 transcript:Ma11_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPASVVRQAELLDANGKKYFEKRRFLAAVDAHTEAITLCPDVALYWANRAICYRKLNQWTKVEADSRKALELDSRSTKAHFMLGLTLVERQEYAEGIKELEKALNIEGLINPKSDMVMEIKQSLFEAKYNEWELLSSKREWMLQNLKDSCEKALTEYHFLHDSQDDYGPKEAENDVVGQLRVLNEVFSKTASVDTPTEVPDYLCCKITLNIFQDPVIAPSGITYERAMIIKHLQKVGNFDPITREPLEQHQLVPNLAIKEAVQAYLNDHPWAYGARL >Ma04_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1049236:1057168:1 gene:Ma04_g01200 transcript:Ma04_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLSRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVNTSPTIGSNVEEVVYKNIRFEVWDLGGQERLRTSWATYYRGAHAVIAVIDSTDRARISIIKDELFRLLQHADLEHTVVLVFANKQDLKDAMSPAEITEALSLHSIKNHDWHIQACCALTGEGLYDGLGWIAERVAGKPTT >Ma05_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:805166:806073:1 gene:Ma05_g01370 transcript:Ma05_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFQQQQQLPPPPVYAYRSHGGSVGPVIAVLAAIAVLGVIAGILGRLCSGRTIMGYGHYDLEGWVERKCASCIDGRLEAPPQPRRPSANATGGSLPSPSAAAPQAKQSERRPDAPAGAAAES >Ma06_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2390886:2393896:-1 gene:Ma06_g03220 transcript:Ma06_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNQQTVDFPSFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFNCWDTAGQEKFGGLRDGYYINGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENVPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVESPALAPPEVQIDLAAQQQHEAELAAAAAQPLPDDDDDLFE >Ma03_p18500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24110177:24113425:-1 gene:Ma03_g18500 transcript:Ma03_t18500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKSWFDRVKRFFVSDSNAKTEKKERRRRWLVLRLKSKCSPALPVPSTMNARSLKEAEEEQSKHAMAVAVATAAAAEAAVAAAQAAAQVVRLTGNPPYHQRLETAAIKIQATFRGHLARKALRALKGLVRLQALVRGQAVRRQTSLTLQGLQSLMRIQSKACASRSRASEEQACELKEIVHARPKESDDLKLTLHKNSERRWDSSILSKEEINAILRSRREAAVKRLRALEYASSYQERRNAQRPSTPTGKEVQADDLNNRWRWLEEWVGAQPLDKDVVEINPLPVPEKGYRDQPSPVPGSLVLDKEKAEEAQLRDLARRSFIRSRTTSVRDDDSFSSSPSFPSYMASTASTKARFRSMSTPKQRAGAGDVCFDQFTPYSNKILSPFHSVVSDISLSSKSSKPPLAHQRSPRLKGQAAPVRSHRPSIDLSFDSQCPVANWDQHGAFR >Ma03_p18500.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24110177:24113425:-1 gene:Ma03_g18500 transcript:Ma03_t18500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKSWFDRVKRFFVSDSNAKTEKKERRRRWLVLRLKSKCSPALPVPSTMNARSLKEAEEEQSKHAMAVAVATAAAAEAAVAAAQAAAQVVRLTGNPPYHQRLETAAIKIQATFRGHLARKALRALKGLVRLQALVRGQAVRRQTSLTLQGLQSLMRIQSKACASRSRASEEQACELKEIVHARPKESDDLKLTLHKNSERRWDSSILSKEEINAILRSRREAAVKRLRALEYASSYQERRNAQRPSTPTGKEVQADDLNNRWRWLEEWVGAQPLDKDVVEINPLPVPEKGYRDQPSPVPGSLVLDKEKAEEAQLRDLARRSFIRSRTTSVRDDDSFSSSPSFPSYMASTASTKARFRSMSTPKQRAGAGDVCFDQFTPYSNKILSPFHSVVSDISLSSKSSKPPLAHQRSPRLKGQAAPVRSHRPSIDLSFDSQCPVANWDQHGAFR >Ma03_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24110177:24113425:-1 gene:Ma03_g18500 transcript:Ma03_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGFCDNYLMARRKSWFDRVKRFFVSDSNAKTEKKERRRRWLVLRLKSKCSPALPVPSTMNARSLKEAEEEQSKHAMAVAVATAAAAEAAVAAAQAAAQVVRLTGNPPYHQRLETAAIKIQATFRGHLARKALRALKGLVRLQALVRGQAVRRQTSLTLQGLQSLMRIQSKACASRSRASEEQACELKEIVHARPKESDDLKLTLHKNSERRWDSSILSKEEINAILRSRREAAVKRLRALEYASSYQERRNAQRPSTPTGKEVQADDLNNRWRWLEEWVGAQPLDKDVVEINPLPVPEKGYRDQPSPVPGSLVLDKEKAEEAQLRDLARRSFIRSRTTSVRDDDSFSSSPSFPSYMASTASTKARFRSMSTPKQRAGAGDVCFDQFTPYSNKILSPFHSVVSDISLSSKSSKPPLAHQRSPRLKGQAAPVRSHRPSIDLSFDSQCPVANWDQHGAFR >Ma03_p18500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24110177:24113437:-1 gene:Ma03_g18500 transcript:Ma03_t18500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKSWFDRVKRFFVSDSNAKTEKKERRRRWLVLRLKSKCSPALPVPSTMNARSLKEAEEEQSKHAMAVAVATAAAAEAAVAAAQAAAQVVRLTGNPPYHQRLETAAIKIQATFRGHLARKALRALKGLVRLQALVRGQAVRRQTSLTLQGLQSLMRIQSKACASRSRASEEQACELKEIVHARPKESDDLKLTLHKNSERRWDSSILSKEEINAILRSRREAAVKRLRALEYASSYQERRNAQRPSTPTGKEVQADDLNNRWRWLEEWVGAQPLDKDVVEINPLPVPEKGYRDQPSPVPGSLVLDKEKAEEAQLRDLARRSFIRSRTTSVRDDDSFSSSPSFPSYMASTASTKARFRSMSTPKQRAGAGDVCFDQFTPYSNKILSPFHSVVSDISLSSKSSKPPLAHQRSPRLKGQAAPVRSHRPSIDLSFDSQCPVANWDQHGAFR >Ma03_p18500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24110177:24113437:-1 gene:Ma03_g18500 transcript:Ma03_t18500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKSWFDRVKRFFVSDSNAKTEKKERRRRWLVLRLKSKCSPALPVPSTMNARSLKEAEEEQSKHAMAVAVATAAAAEAAVAAAQAAAQVVRLTGNPPYHQRLETAAIKIQATFRGHLARKALRALKGLVRLQALVRGQAVRRQTSLTLQGLQSLMRIQSKACASRSRASEEQACELKEIVHARPKESDDLKLTLHKNSERRWDSSILSKEEINAILRSRREAAVKRLRALEYASSYQERRNAQRPSTPTGKEVQADDLNNRWRWLEEWVGAQPLDKDVVEINPLPVPEKGYRDQPSPVPGSLVLDKEKAEEAQLRDLARRSFIRSRTTSVRDDDSFSSSPSFPSYMASTASTKARFRSMSTPKQRAGAGDVCFDQFTPYSNKILSPFHSVVSDISLSSKSSKPPLAHQRSPRLKGQAAPVRSHRPSIDLSFDSQCPVANWDQHGAFR >Ma03_p18500.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24110177:24113425:-1 gene:Ma03_g18500 transcript:Ma03_t18500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGFCDNYLMARRKSWFDRVKRFFVSDSNAKTEKKERRRRWLVLRLKSKCSPALPVPSTMNARSLKEAEEEQSKHAMAVAVATAAAAEAAVAAAQAAAQVVRLTGNPPYHQRLETAAIKIQATFRGHLARKALRALKGLVRLQALVRGQAVRRQTSLTLQGLQSLMRIQSKACASRSRASEEQACELKEIVHARPKESDDLKLTLHKNSERRWDSSILSKEEINAILRSRREAAVKRLRALEYASSYQERRNAQRPSTPTGKEVQADDLNNRWRWLEEWVGAQPLDKDVVEINPLPVPEKGYRDQPSPVPGSLVLDKEKAEEAQLRDLARRSFIRSRTTSVRDDDSFSSSPSFPSYMASTASTKARFRSMSTPKQRAGAGDVCFDQFTPYSNKILSPFHSVVSDISLSSKSSKPPLAHQRSPRLKGQAAPVRSHRPSIDLSFDSQCPVANWDQHGAFR >Ma11_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24411618:24417070:-1 gene:Ma11_g19630 transcript:Ma11_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDAMAMSGPSSYYMAHRGIPGPGAGSQPGLHGAAQPGIRSMLNPGTSLAVPSSGLGTAAFQVESPPAVSSHDGGGGDLGEGGSQVEPAKRKRGRPRKYGPDGSVALALSPVSSSAAPPGTVMASGSGAPTQKRRGRPPGTGRKQQLASLGEWFAGSAGSGFTPHIITIAEGEDIAAKILSFSQQGPRAVCILSANGAVSAVTLRQSATSGGTVTFEGRFEILCLSGSYMLTDNGGSRSRTGGLSISLSSPDGRVIGGGVAGQLIAATPVQVIVGSFIYAGSKAKNKAKASNETCAESELEVGDNQSTPYSALPNQNLNPSLVMGSWPGLRPLDTRNAHVDIDLTRG >Ma11_p19630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24411618:24417070:-1 gene:Ma11_g19630 transcript:Ma11_t19630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDAMAMSGPSSYYMAHRGIPGPGAGSQPGLHGAAQPGIRSMLNPGTSLAVPSSGLGTAAFQVESPPAVSSHDGGGGDLGEGGSQVEPAKRKRGRPRKYGPDGSVALALSPVSSSAAPPGTVMASGSGAPTQKRRGRPPGTGRKQQLASLGEWFAGSAGSGFTPHIITIAEGEDIAAKILSFSQQGPRAVCILSANGAVSAVTLRQSATSGGTVTFEGRFEILCLSGSYMLTDNGGSRSRTGGLSISLSSPDGRVIGGGVAGQLIAATPVQVIVGSFIYAGSKAKNKAKASNETCAESELEVGDNQSTPYSALPNQNLNPSLVMGSWPGLRPLDTRNAHVDIDLTRG >Ma05_p03610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2639131:2641662:-1 gene:Ma05_g03610 transcript:Ma05_t03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGGEEVPAADEMMVTMRLVKKKKKKKMGGGVENKEGKGEEEEEEPSIHTDKLSYEMFSVMEDKFLFGCDDHELWVPKAPPLPSPVKSQRGKVCVLCIDGGGGGGMRGILSGKALAYLEQAIRSRSGNTDARISDYFDVAAGTGIGGVFAAMLFATRDGARPIFHADDTWRLLADHGKILFPKDSPYACSSSRSFFRRIFRGGEEGRTAAAATAAMEKVMKQAFGERLTLRDTVKPVLIPCYDLRSSAPLVFSRADALESESFDFRLWEACRATCAEPGRFEPAEIRSVDRATACVGIDGGLAMSNPAAAAITHVLHNKKEFPFVRGVEDIMVLSLGCGASTVPELERLRRWSAKEWARPVARIAADSAADLVDQAVALAFGQCRSSNYVRIQANPSSMGRGGVDVDCDPSPANAKVLLEAAEEMLKQQNVESVLFSGRRIGEQTNMERLDWFAGELELEHRRRSCRVVAPTIAFKQAASTST >Ma05_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2639130:2641661:-1 gene:Ma05_g03610 transcript:Ma05_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGGEEVPAADEMMVTMRLVKKKKKKKMGGGVENKEGKGEEEEEEPSIHTDKLSYEMFSVMEDKFLFGCDDHELWVPKAPPLPSPVKSQRGKVCVLCIDGGGGGGMRGILSGKALAYLEQAIRSRSGNTDARISDYFDVAAGTGIGGVFAAMLFATRDGARPIFHADDTWRLLADHGKILFPKDSPYACSSSRSFFRRIFRGGEEGRTAAAATAAMEKVMKQAFGERLTLRDTVKPVLIPCYDLRSSAPLVFSRADALESESFDFRLWEACRATCAEPGRFEPAEIRSVDRATACVGIDGGLAMSNPAAAAITHVLHNKKEFPFVRGVEDIMVLSLGCGASTVPELERLRRWSAKEWARPVARIAADSAADLVDQAVALAFGQCRSSNYVRIQANPSSMGRGGVDVDCDPSPANAKVLLEAAEEMLKQQNVESVLFSGRRIGEQTNMERLDWFAGELELEHRRRSCRVVAPTIAFKQAASTST >Ma09_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2700508:2701085:1 gene:Ma09_g04130 transcript:Ma09_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTIENYKVGAEVYHGDHALCSKKSVQLLQELGLPKGLLPLEGIEEFGYNRAAGFMWLLQKKKKEHTFKKIKQHVSYATEVTAFVEERKMKKITGVKTKELLLWLSVVEVFIDDPSSGKVTFKTGTGLSDSFPGSAFELEE >Ma09_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28837460:28898698:1 gene:Ma09_g20240 transcript:Ma09_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein SKI3 [Source:Projected from Arabidopsis thaliana (AT1G76630) UniProtKB/Swiss-Prot;Acc:F4I3Z5] MHPEMSRPGDEDLLRQLQETLDSDPDNPSHHYNIGLFLWKKGEAVDDQADQSKQLRERAAEHFLASAKLNPSDGVAFRFLGHYYSTVSVDAQRSAKCYQRAVALNPHDSEAGEALCDLLDGEGKESLEIAVCREASEKSPRAFWAFQRLGYLQVHQRRWSEAVQSLQHAIRGFPACADLWEALGLAYHRLGMFTAAVKSYGRAIELENSRIFALVESGHIQLMLGSFRKGVEQFRCAMELAPHNISAHLGLASGLLDWSKDCIKSGAFGWAADLLQEASEAAKVSTCLSGNLYSAWKLHGDIKIAYAKCYPWGTGRIGYEIDEDLLKSSIISWKKTCYSAAISAKHSYQRALHLAPWQANIYTDIAISVDFIGYLEERNNNDLEIWQLPERMALGGLLLEGVNKEFWVLLGCLASSDALKQHALIRALQLDVSLSSSWAYLGMLYRNSGEKQLACQAFDRARSIDPSLALPWAGMSASYQDGLCSTNEAFESCLWAVQTLPLAEFQVGLCALAVLSGHLSSPLVIGAIGQAVQRAPYLPESHNLHGLVCESRTDYQSAIVAYQKARCALRMFPNFKSDLQSSFTDVSVNLARSLCKAGHAIDAAQECENLKKEGFLDSKGLQIYAVALWKLGQYNLALTVARKLAENVSTMKQTCAAASLGLICTLMYNISGYDSVVRTIRKFPSEFLQNTRMSLIVCALNALDTNKQLQSLLPTISQAAASHGIAIEIHSITAINKLITQESPQTVAIDRGVDYLRKALHMYPNSLLIRSHLGLLLLSSGDWMALQKAARCAVIPTGHRHPVKKGFRLPYEIHGAMAVACGSICSTNPKSSFPTCNDGLMHGARHLHLLQRWLHQEPWNQNARYLLALNVFQKAHEENFPQQLCIISKRLVLDALSTEEFLGDNKLSQSRSLLLLCASEISLQSGDSVGCIHHASNALGLLPTNSDMFFAHLQLCRGYAVQEDYSNLRNEYTKCLQMKTIHPICWILLKYFESRYSLQNNLDIIHTNFQACAARKGSSSNNWSANFELVCAQCYLWDQDYFHAEQTLARACVANMDSCLLLCHGAICMELVRQQAGLQFLSRAISSLTKAQKCSPMFLPIVSLLLAQAEASLGAKAKWEKNLSLEWFAWPAERRPAELYFQMHLLASQSSTVSNQDSGVGSTQSPERWILRAIHLNPSCLRYWRILHKCYS >Ma01_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:742234:743049:-1 gene:Ma01_g01060 transcript:Ma01_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFDAQESPPLAPIRTTGIDAKPAEEEVSESTDNGEAECVTPKSEQPVCQPALPPAPRKPRPAKRKLGPPPKGYYPVPSDLASVFIPLPCPGRKKIRAG >Ma04_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:743044:746761:-1 gene:Ma04_g00780 transcript:Ma04_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFVLFSSLFLNAYFYFCLFLHHHHHHQRPPSLGWTGSAATEAEAVAAINCSGHGRAFLDGVLAGGGLPVCECNKCYYGSDCSQLLHDCPADVDSGDPMFLEAYWQQQAASSAVVISGWHRMSYSTDGKDYLSIELEKHIRILHQVVGNAVTDGKFIVFGSGSAQLINALVYALSPSNASSSPASVVASVPYYPFYKKQTNLFETRAYGWGGTTSSWANASVSSATNFIEFVTSPNNPDGLFKQPVLGSSAVIFDYAYYWPHYSPILDPADEDVMLFTNSKISGHAGSRFGWAVIKDEGVFERTANYLSLNTMGVSRDTHLRVLKLIKAMIAEKGGKGDIFEFGHRTLKARWSKLNELVSSSSRFSLQQHSARYCNYFRTIRDPSPAYAWLKCEWKEEDVCHEALRKGSIISRSGALFEADSRYTRLSLIKTQDDFDLLLKRMQPLVSHEEMASS >Ma03_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2775066:2785823:-1 gene:Ma03_g04230 transcript:Ma03_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAPGDNALVISGPRPGTEWATVPHSSLQVQAPGPGGKQRTSSLESPIMLLTGHQSAIYTMKFNPAGTVIASGSHDKDIFLWYVHGECKNFMVLRGHKNAVLDLQWTTDGTQIISASPDKTLRAWDVESGKQVKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDLRQRGAIQTFPDKYQITAVSFSDAADKIFTGGLDNDVKVWDLRRNEVTMTLQGHSDMITGMQLSPDGSYLLTNGMDCTLRVWDMRPYAPQNRCIKIFTGHQHNFEKNLMKCGWSPDGSKVTAGSADRMVYIWDTTTRRILYKLPGHNGSVNETMFHPTEPIIGSCGSDKQIYLGEI >Ma03_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2430071:2431516:1 gene:Ma03_g03640 transcript:Ma03_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLLSFVLVLVVIAAAACVRGSSGCPTLDRDALLAFRSALSEKRLGIFSSWTGDDCCSQWFGVSCDPTTGRVAGISLRDESEDRIISRSGRSRGLMYGRISPEICRLDRLTTLILADWKQISGPIPPCLTSLSFLSILDLVGNRLSGPIPNDIGNLSRLTVLNVANNQISGSIPTSILALSSLMHLDLSNNQISGPIPGDFGNLRMLSRAMLSRNRISGSIPSSVGHMTRLADLDLAHNRISGEIPGSLGSVPVLSSLYLDSNRLTGQIPTALFSSRGLGILNLSRNAVEGEIPDVFGSHSYYTALDLSYNQLRGPVPKTLVTAAFVGHLGLSHNHLCGHIPTGSPFDHLEAASFANNDCLCGGPLPVCK >Ma03_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24899898:24900794:-1 gene:Ma03_g19620 transcript:Ma03_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGATRPSPRPLLSWSSPPRPPLSWRSHSTSLTPSSERSPRASRSCSTPPGSLRPASSPSSTSSSRSPSSPSSLLFLSRSRSWSQLKHPFTGSSAELLAAMQLAFSTSTHASRGLPSSIRCSFSRQMLLCCPFCSWPSTWWTCFTCLRATPPSPSPPPASSSTPSCSPMVTCNLSIVISVTDGSGGHLSILKALVLVKGRTATAITLTLPASLGMAGIEALFQYRVIRPYRVAGTLHVSVIWEAFSIIYMHSLLVVLDTIITCTFLESCQSGIRSSWRKSDDAVDMESEPKFSLHV >Ma05_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33557595:33560067:-1 gene:Ma05_g21760 transcript:Ma05_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRARNADTASFTRSPHGEASHLDFQVLRSF >Ma06_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20215609:20216989:1 gene:Ma06_g23080 transcript:Ma06_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDSPLSISSSPNPREMREEESEEEEEEEIQWRGWRDEEPWPRKSGEVMLEGYVDAKGGGEQATNGVARTKSLTDDDLEELKGCLDLGFGFSYEEIPDLCNTLPALELCYSMSQRFLDEKQQQNRSIDRSSSSESLDLSALPPSPPIANWKFSSPGDDPDEVKARLRYWAQAVAFTLRLCKK >Ma07_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9793398:9794531:1 gene:Ma07_g13050 transcript:Ma07_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWEAGGAQKGQVEAALQQQPAVSRRKLDRFDPRPPLPALSSSRTEIFLHEKGKGLLKDPHPMKNPRELADRSKYCRFHRQHGHDTEECRELKRQIEELIRRGHLGKYLLPDKKLSPRPEGPIERHIEVIAGGPASGGGSMLGRKAYARATPDVTPGHGPEHEITFPTGVSERPEHDDALVISARVANAQVRKIMVDTESSVDILYFDAFQKLGLARENMRSMCSALTGFTRDSISPLGAITLPLTLGTPPRLKTVMTTFLVVDLPTAYNAILGRSTLNKVRAVVSIYYQTIKFPTHAGVVEVTGSPRESSRCYLTTVSLHKRARIEPPLEDPRETKKPAPHPEPRGSTVDIPLQETRPDQTVKVGSELPERERGP >Ma03_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9299853:9303269:-1 gene:Ma03_g12030 transcript:Ma03_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQAVVQGRGGLVLGSLIPCALFYFLQLYIRRDRRSSSASNLPELAPMPRSLSRSLLSPRGSSGPARLSSRGASVARDDDNPYYVGLKRCAEDPYHHSSNPRGFMQLGLAENWLSLDMIRDWLVGNVKEPLLLDEEGELSIHGLATYQPYDGLMDLKMAVAEFMGQVMQGSVSFHPSQIVLTAGATPAVETLSFCLADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFSISIAALELAYNQAKKRGVKVCAVLVSNPSNPVGNLIDRETLYDLLDFVMEKNIHLISDEVFAGSTYGNDRFVSVAEVLDSENFDRRRVHIIYGLSKDLCVPGFRIGVIYSYNENVLAAASKLARFSSISTPTQCLLISILSNTKFITEYLKVNKEQLCDMHALFVDGLKRLGIKCASSSGGFYCWADMSMFIQSYNEKGELKLWDKLLNEAKINVTPGSSCHCIEPGWFRCCFTTLTKQDIPIVMERIHRITESN >Ma08_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36515279:36522692:-1 gene:Ma08_g23080 transcript:Ma08_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLSKASRSLTSSAIQELSHLAQRCGAINLAEGFPDFPAPPHIKRAAVAAINADLNQYRHVQGICDQLAVMMKQNHGLDVNALTDFVICCGQSEAFAATILATIDQGDEVLLFDPAYETYESCIILAGGIPVNVPLEPPHWTLNVDKFMKSFTSRTKAVVLNSPHNPTGKVFSKEELEAIAAACCQMDCLAITDEVYEYITFDLQKHISLASLPKMQERTIITSSLSKTFSLTGWRIGWACAPTTVASAIKNIHVRITDSAPSPFQEAALAALQSPPGYFKSLKAEYEARRDFVIQMLSDVGFQISFKPQGSVFVFAELPKNWLVSDIEFVKVLIEKAGVAAVPGCGFFHGDIDDQNLRTRYVRFAFCKGSDTLNAAARKLQDIVGSTGHLQL >Ma03_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2895924:2900878:-1 gene:Ma03_g04440 transcript:Ma03_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit 6A homolog B [Source:Projected from Arabidopsis thaliana (AT1G09100) UniProtKB/Swiss-Prot;Acc:O04019] MESVMEEDLILDDDLLSPMTTEDIIRASRLLDKEIRVLKDELQRLNLELESLKDKIKGNQEKIKINKQLPYLVGNIVEILEMNPEEEAEEDGADIDLNSQRKEKCVVLKTSTRETIFLPVVRLVDPDKLKLGDLVGVNKDNYIILDTLPSEYDSQLKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPLMHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTKATFLKLAGPQLVQKYIGEGAKLVRAAFQLAKDKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELVNQLDGFSSDECIKVIAATNRADILDPALMRSGRLDRKIEFTHPTEDARARILQIHSRKMNVHPDVNFEELARLTDGYNGAQLKAVCVEAGMLALLQDATEVTHEDFNEGIIQVQAKKKVGLNYYA >Ma06_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:774436:777791:-1 gene:Ma06_g00950 transcript:Ma06_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHPSLRKIDDEELATVTNYPSVWTVWKKSSMAFHGTDGFSIYDNKGRLAFRVDNYSRKHKCFEGELLLMDGNGKAVMALRPQILSMHDRWSGFKGEDDLRTSYKTHVFSMRRRSILQSCEEAEVFMDTPDDQSPVPSFRTEGCFKRRNCKILDSKGEEVAQISPKKVNKSVTLSDDVFNLIIQPAMDTELVMAFLVIMDRIC >Ma11_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4516310:4517077:1 gene:Ma11_g05790 transcript:Ma11_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGISPCFNPASKGGLVKLIFWGGATEFLAEKQLAGQLMFRFPDRIVCHADSFYIGHPVPVLSIDDELLPGQAYFVLPIDKFSCHDPLTAVSLASLSSGRTKPSLAGNGESPFEYVKGEDGRLLIKVLPEFITKVITSVEDGQKCGSDGGTLCTTPELRKHYSQLVGPRDHPWSPKLETISETKKRISAGRLSPVRLLGFERRSC >Ma02_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26959169:26965338:-1 gene:Ma02_g21290 transcript:Ma02_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFPSCGARRLNARPWLHAVRASASSPSPSASPLPPPPEIDALAAPPRSDSAAAVAPTPRSFFPKRGQTLELVCESLAFKGKGVCKVADTAFVVMCDRALPGERFLGRVTRKKGSYAEATKLKTITPHRDAVEAPCQYASYCGGCKTQNLSYEAQVRAKEQQVRDLIVHVGRFSDKDPDFVAIFKPIVPCDLQFHYRNKMEFSFGAERWMPRDVSSGKEEQMEIGYALGLHAPGFFDKVLHVDKCLLQTEATNKVLAVIQDSWRDPKLGLSPYNVHTHAGFLKHLMLRAGRDVNTSNPEVMVNFVTSSYEPNLLKPLVDKISAIPEVVSVMNNVNTSVGNTSVGEEEYTLYGKSTITEMLRGLTFQISANSFFQTNSHQAEVLYKLIEDCAGLRGDSSEIVLDLFCGTGSIGLSLARRVKHVYGYEVVAEAISDARRNAKFNGIHNATFVQGDLNKINDSFGNDFPKPDIVISDPNRPGMHMKLIKYLLELQAPRIVYVSCNPSTLARDLDYLCHGLAEKGIRGCYHLRSVQPVDMFPHTPHIECVCLLELC >Ma06_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10064675:10065296:1 gene:Ma06_g14720 transcript:Ma06_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRASRSLLLLLLLFSSMLLRASSQTNATASATHVEESTRREVAAVRELRDGSPGVNGGIGGRSPVTRGSGGAAAGRNGGHSAACSSYGRHVAITSLAFAIGAAIVNSM >Ma07_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7890072:7894434:-1 gene:Ma07_g10560 transcript:Ma07_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MCSEGKTTPKIVRGEGGYVLEDVPHLIDYLPDLPTYPNPLQDNPAYSVVNQYFVDVNDNVAQKIVVHKSSPRGMLFRRAGPHQRVCFESDEVHACIVTCGGLCPGLNTAIGEIVCGLYGMYVVRKVLGIEGGYRGFYSSNTTNLTQKSVNDIHKRGGTILCTSRGGHDTLKIVDSIEDRGINQVYIIGGDGTQKGASVIFEEIQRRGLKVAVAGIPKMIDNDIVVIDQSSGFDTAVEEAQRAINAAHVEAESIENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPGSPFRLEGKGELLEFIEKRLKENGHMVIVVAEGAGQDPTVESMRSVDHQDASGNKLLLDVGLWLSQKITDHFTRNKKMHINLKYIDPTYMIRAIPRNASDNIYCTLLAHSAIHGAMAGYTGFTVGPVNGRHAYIPFHRITGVQNVVVTDRMWARLLSSTNQPSFLSSKDVEDAKKGATDAAVGRGE >Ma10_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23589269:23591002:1 gene:Ma10_g09400 transcript:Ma10_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSQLFLPDRPEGDDDHHADTDDGDNDAADKRAAEAAESYDDVQYLVRRNRAAARKRLLARIKLIHNEIHKPLADAVRDHALFLLPASSILRLRAVSRSWARHVSSPIFAHTQSRSHRSIAGVFFGSSVDASVAYVPFPPAAAHVLPDPTLSFFPVSPIAVCSSSNGLLLCYAPSSGDFFVCNPATAAWAAVPRPPHNPGPEPAAVLIFVPGVYNFRSDYTIVIGFRIIGASSGIFGFQTFSSSAGGWWTSNEVCAAECLLPDSGIAGGGVAYWRTTMLTVVGYDPAKDSVRMVHWPMNYAVEVRWEIGQMGDGGRLFCTAVTEEVVQVHKLLPKSDQWALVASMDLKKRWNTNSEEEEVVEEEAWEVEAGGQLVFKKRPWPMRFQGGELEVLLWVEGRVVGVNLATKRVRVAAAFGWPTWDDVRGAKYVPYISTLAPVPPPAAAALTSS >Ma11_p06900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5516007:5521091:1 gene:Ma11_g06900 transcript:Ma11_t06900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMLPLLPAAASSSSFSSLNLLRRNPNRSRVPPRALQCSSYPDLDPESPPPRGEIRVNHAGVRLEERVEVGAEKTRIDSWISSRIRGVSRARIQSSIRSGLVAVNGRTVNKVSHIIKGGDMVSCMISKLLPLKAEPEDMPLDIVYEDEHVIVVNKPAHMVVHPAPGNANGTLVNAILHHCRLPIRAFANNIQISDTEECSESSDSDIEEVCADQSSMEEVGIENYEALVRPGIVHRLDKGTSGLLVVAKDDHSHAHLAEQFKKHTIHRVYMSLTSGVPNPSYGRIEIPIARDSNNRIRMVAVSGLNNSRHARYAASRYKVIEDFMGGGAALVQWRLETGRTHQIRAHAKYLGIPLLGDEVYGGTKDMALSLLRPRTPPTYHGHLSNIISKLQRPCLHAFSIGFKHPHTGEFLQFSCPPPDDFSKVLDQLRSISDCCAKI >Ma11_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5516007:5521092:1 gene:Ma11_g06900 transcript:Ma11_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMLPLLPAAASSSSFSSLNLLRRNPNRSRVPPRALQCSSYPDLDPESPPPRGEIRVNHAGVRLEERVEVGAEKTRIDSWISSRIRGVSRARIQSSIRSGLVAVNGRTVNKVSHIIKGGDMVSCMISKLLPLKAEPEDMPLDIVYEDEHVIVVNKPAHMVVHPAPGNANGTLVNAILHHCRLPIRAFANNIQISDTEECSESSDSDIEEVCADQSSMEEVGIENYEALVRPGIVHRLDKGTSGLLVVAKDDHSHAHLAEQFKKHTIHRVYMSLTSGVPNPSYGRIEIPIARDSNNRIRMVAVSGLNNSRHARYAASRYKVIEDFMGGGAALVQWRLETGRTHQIRAHAKYLGIPLLGDEVYGGTKDMALSLLRPRTPPTYHGHLSNIISKLQRPCLHAFSIGFKHPHTGEFLQFSCPPPDDFSKVLDQLRSISDCCAKI >Ma06_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10815626:10821433:-1 gene:Ma06_g15930 transcript:Ma06_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSLPYSVQDVHYDNAKFRHRSPFKVITQALVTSRIRYSCGGCSIGKFLMLLMIVGLTYLVMHRSPDHSASNVLSNGVENGEHKYVKEGVSRIRRIWRKPPRLPPQLPPDEEGNFRSSPEEQRLYWVSRQQKVKEAFIHAWSGYRDYAMGYDELMPLSRRGTDGLGGLGATIIDSLDTAIIMGADEIVIEAMSWIENKLMERIEKKGQVNLFETTIRVLGGLLSAYHLSGGDNVTTGDIGIPMTLKGVKSDILLEIAKNLGDRLLSAFTSSPTPIPFSDVVLHDRSAHPAPDGLSSTSEVSTLQLEFNYLSKVSGDPKYGSEAMKVLEHFRTLPKVEGLVPIYISPHSGQFNGENIRLGSRGDSYYEYLIKVWIQQKNSGSHLNYLYEMYEEAMRGVRHLLVRRSIPKGLVFVGELPYGSNGAFSPKMDHLVCFLPGTLALGATKGITRKKAVERNLLTPEDLENLKLAEDLAKTCFEMYAVTSTGLAPEIAYFHVEGDSEGGLDGGNKSSEYIDDILIKHNDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFEAFEKYTKADSGGYTSLDDVTVIPPRKRDKMETFFLGETLKYLYLLFGDEHVVPLDKFVFNTEAHPLPISWSKDSA >Ma06_p38860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37482137:37493631:-1 gene:Ma06_g38860 transcript:Ma06_t38860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSRVSFFSPTEGGFNIFHIFEKGKDTHVQSSEQVPEKKINWISSIFKVDRSKTKESEPEASATEALAHSDVRINATEQTTRDSEISDDRFSGPPGVSFEEQMDDQPELHDSVTQTISTSEAMIQTKELIYAGVKDNDGRMRDDSGVDATKITSQSDQIIQSSEATTTEVLAHSDVRINVLEQTARDTEISNDRLSGPSSVSFKGHMEDQSELHDPAQTITTLGAMFQIKESIDAGVKENDVRLRMMDDSGVDASEITFQNEQIIQDSETNATEVLTHSDVAINVAEQTARDTEISNYRLSGPSSVSYKEQTEDQPELQDPSTQTISTSDAMKQIKELIDDGVNDNDVRMKDDSGVDANKITSQSDQIIQGSETSTTEVLAHSDVRINVAEQTARDTEISNDWDILKSIVYGGLNESITSLAVVSSAAGADVSTLKIVALGLAKLIGGFLLITHELFELRTAQDEATEQKDEQGGRYWELLGWRANFRRHFPVATISYILFGLVPPVVYGFSFRRSDEKEFKLMAVAASSLLCTALLALCKAHVKPQKGYVKTMVYYLVLGVSACGPSYLAGMMIDKLLEKLGLFDHGTTLQSPPTLMCQDLREPSWTSY >Ma06_p38860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37482137:37501811:-1 gene:Ma06_g38860 transcript:Ma06_t38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERHWILAVEGGFNIFHIFEKGKDTHVQSSEQVPEKKINWISSIFKVDRSKTKESEPEASATEALAHSDVRINATEQTTRDSEISDDRFSGPPGVSFEEQMDDQPELHDSVTQTISTSEAMIQTKELIYAGVKDNDGRMRDDSGVDATKITSQSDQIIQSSEATTTEVLAHSDVRINVLEQTARDTEISNDRLSGPSSVSFKGHMEDQSELHDPAQTITTLGAMFQIKESIDAGVKENDVRLRMMDDSGVDASEITFQNEQIIQDSETNATEVLTHSDVAINVAEQTARDTEISNYRLSGPSSVSYKEQTEDQPELQDPSTQTISTSDAMKQIKELIDDGVNDNDVRMKDDSGVDANKITSQSDQIIQGSETSTTEVLAHSDVRINVAEQTARDTEISNDWDILKSIVYGGLNESITSLAVVSSAAGADVSTLKIVALGLAKLIGGFLLITHELFELRTAQDEATEQKDEQGGRYWELLGWRANFRRHFPVATISYILFGLVPPVVYGFSFRRSDEKEFKLMAVAASSLLCTALLALCKAHVKPQKGYVKTMVYYLVLGVSACGPSYLAGMMIDKLLEKLGLFDHGTTLQSPPTLMCQDLREPSWTSY >Ma04_p36770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35000707:35004807:-1 gene:Ma04_g36770 transcript:Ma04_t36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVETVNDGIQEFFVDFHGPSESLYQGGVWRVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCKKYAKPEDIGASPEDESSEEELSEDEYDSSDEQVVGKPDP >Ma07_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8090529:8090930:-1 gene:Ma07_g10910 transcript:Ma07_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARCMFVVAVALALALAVAVTVSLASPTTTATGVGHLLVERSLRAAATCSKFPRMCHAKGSPGPDCCSRRCVDVRTDSLNCGRCGRRCRYGWTCCRGRCVNIMYDAKNCGACRRRCPNGSFCRYGMCSYAS >Ma05_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3872802:3874012:-1 gene:Ma05_g05070 transcript:Ma05_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPSRYVSTSIRSFDPYPQIACSDPMMLWPWLHHDINMLLLSLCGEMPVIVSQPAHSLMVTAAGGCDIIRGSSICISLFDGDQTTIMEMLLCAKHMHVCIEQDGELMGCMHYKSTHSTFKLSSSFPLLLMQNTVTMHPGEIAGICYLSPSSSASLRAHYSMSQNNITSSQFSSLFGPYIAQQFQTEPTMHGFGAVGHQLSAAEERRKRRMISNRESARRSRMRKQKRLSELWSQVIQLRSANCHLLDELNRVRRERVQITHENDRLRVEETELHKRLGNLIPEFACAPRRADQSRFHGS >Ma06_p32900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33717939:33720265:1 gene:Ma06_g32900 transcript:Ma06_t32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRVSSHQTPVHKLGDSQMKLSPKFRLAMTPSPPPYHSPPASVEPAAAMESTALIPGLPDDIAFNCLLRLPVSSHVSCRLVCRRWHQLLANKECFFSQRKALGFHDPWLFTLAFHRCTGRIQWQVLDLTHLSWHTIPAMPCRERVCPRGFGCIAIPPDGTLLVCGGLVSDMDCPLHLVLKYEIYKNRWTVMSRMLAARSFFAGGVIDGRIYVAGGYSTDQFELDSAEVLDPVKGNWQPVASMGINMAAYDSAVLNGRLYVTEGCVWPFLSSPRGQVYDPEANNWEPMAVGMREGWTGSGVVIYGHLFVISEYERMRLKVHDVESDSWDTVEGSSMPERIHKPFSVTSVGSKIVVVGRGLHVAIGQVENKGYCNSDGKMKKQKFSIQWQEVDVPPEFCDLTPSSARILYA >Ma01_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10764132:10772254:-1 gene:Ma01_g14730 transcript:Ma01_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICYGKLASPENHDPSLSPTPKKKEEVSTKKEGEGEPGVTVAEERTSKPWTSPFFPFYSPSPAHSLFSKKSPSVASEGGGSATATPRRFFKRPFPPPSPAKHIRALLARRHGSVKPNEAAIPEDEGEEGRAVAGLDKSFGFSKGFTSKYEIGEEVGRGHFGYTCTAKLKKGESNGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALTGHNNLVHFYDAYEDNDNVYIIMELCEGGELLDRILSRGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFTELPWPSLSPEAKDFVKRLLSKDPRRRMTASQALCHPWTRNYNDIKVPLDILIFRLIKAYLRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPNKNGCITLENIKLALMKNATDAMKESRVQEFLLSLSALQYRRMDFDEFCAATLSVHQLEGLDRWEQHARCAYELFEKDGNRAIVIEELASELGLGPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRSVQSLAKAR >Ma09_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10236144:10237290:-1 gene:Ma09_g14910 transcript:Ma09_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSGLRRLSVVVLLFLTLAIAGPSSAATLAFYNKCRETVWPGIQPSAGKAVLARGGFQLLPSQAYSLRLPEGWSGRVWGRQGCSFDPATGRGRCATGDCGGVLYCNGIGGEPPATLAEITLGEGRQQDFYDVSLVDGYNLGMSMAPFRGSGQCGRAGCVSDLNEVCPAGLAVRAGSDHRVVACKSACSAFGSPRYCCTGSFGGPQQCKPTAYSRLFKAACPRAYSYAFDDPTSILTCTGASYLITFCPQR >Ma00_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9744102:9745946:1 gene:Ma00_g01590 transcript:Ma00_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKVVDSMMAATGSSSSSKDVDRIKGPWSPDEDAALQRLVQRHGPRNWSPISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTAEEDEIIIRAHRRFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCTPLFGDGGFQHYYNTIMAVKAGAEIDHEEAARPLKRASSDGSVLLSGRTGMCLNPGSPSGSDLSDSGHHSHSVTVTGAVMPPSSSPHQSHQMEQITAAITDTTTPLIIYEDPVTSLTLSLPLPLPGTAHMDTSSEPHHQRSTDNNNHQNPLQLLPISDHTPWPKPQRCSTTASAASAEEERRPEPFPLSAEVLAVMQEMICKEVKCYMAGLEHHEVRHAESVRDTDINRIGLTKIE >Ma00_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40451949:40452405:-1 gene:Ma00_g04680 transcript:Ma00_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERRAAAAAMAAAGGREEGEEDEQGRKKRLWPWLRLRLR >Ma06_p09910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6887937:6892192:-1 gene:Ma06_g09910 transcript:Ma06_t09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYELIKGDEATTPSTPNNELSNVVAAADNALLSPHEDDIEAGVRNATNTPQEKEEAPAARGSRLVSLDVFRGFTVALMIFVDYAGAFLPATNHSPWNGITLADVVMPFFLFIVGVALALTYKRVSSKAIATKKAVLRAMKLFIVGLIVQGGFFHGLHNLTYGVDILRIRWMGILQRIAVAYLLAAVCEIWLKNDDDDSDVYSGYYLIRRYRFQLLVSLILTTIYMVLLYGLYVPDWEYQIPVAGSKTKSFSVKCGVRGDIGPACNAVGMIDRQVLGIQHLYQRPMYERTKQCSINSPASGPLPSDAPPWCQAPFDPEGLLSSVMAIVTCLIGLQFGHAIIHFKVLVIY >Ma06_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6887630:6892192:-1 gene:Ma06_g09910 transcript:Ma06_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYELIKGDEATTPSTPNNELSNVVAAADNALLSPHEDDIEAGVRNATNTPQEKEEAPAARGSRLVSLDVFRGFTVALMIFVDYAGAFLPATNHSPWNGITLADVVMPFFLFIVGVALALTYKRVSSKAIATKKAVLRAMKLFIVGLIVQGGFFHGLHNLTYGVDILRIRWMGILQRIAVAYLLAAVCEIWLKNDDDDSDVYSGYYLIRRYRFQLLVSLILTTIYMVLLYGLYVPDWEYQIPVAGSKTKSFSVKCGVRGDIGPACNAVGMIDRQVLGIQHLYQRPMYERTKQCSINSPASGPLPSDAPPWCQAPFDPEGLLSSVMAIVTCLIGLQFGHAIIHFKGHKNRIIQWMIPSLFLLVFAFLLDFGFGIPAF >Ma05_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8545364:8546757:-1 gene:Ma05_g11700 transcript:Ma05_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEIAASGTHGKRRRRSCLLSCFRASLVLDTTEAKAAESLGVPGKGPRWWKFREKKKTVPVNVMGDATPTRGKEARKPGNSGFRHRFQKDNGTEGPNTTAHKVHQPQQPSAGAQNPRARHVTSRCKTRSEPASTYRVPDRTQIGTRTSHPGSPEPGRPAASTHVKPSMKGAGELDSAAGLLVVAVALALLLFCGRTCTVLCLCTLFNFLPRIRATSAARGAGTGGEGGCEIDVGSEEYKKMVVLKGLLERDGRRPSLTKLAGAQ >Ma06_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18569051:18575809:-1 gene:Ma06_g22550 transcript:Ma06_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 55 [Source:Projected from Arabidopsis thaliana (AT2G34260) UniProtKB/Swiss-Prot;Acc:O80775] MEINLGKLPFDLDFHPSSPLVAAGLINGDLHLYRYVADSQPQRLLEVHAHDESCRATRFVDSGRVILTASPDCSILATDVRTGKAIARLEEAHGDAINRLVNLTETTIASGDDEGYIKVWDTRQRVCCNTFHAHEDYISDITFVPDTMQLLGTSGDGTLSVCSLQKNKIQSQSEFSEDELLSLVIMKNGRKVICGTQTGTLLLYSWGHFKDCSDRFLGHPMSIDTLLKLDEDTLISGSEDGVIRLVGILPNRIIQPLAEHSEYPIERLAFSNDRKFLGSISHDQMLKLWDLQELLDNRQGTPEDQMVEADSDEETMDMDVKPHKSSKGTRRKKLDKGKSSNTSAADFFADL >Ma08_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3930429:3932746:-1 gene:Ma08_g05800 transcript:Ma08_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGRGSRGRGRKSYGLSKAIAGFFSSSNSREPPPLSCSDHFTPMLRMITLKLRRLCSLHRWPLKRRRAKTTVPIYRFGRPTQESEAVCGNRHQPAVRSGDLIGVPESTRPIRLATFNAAMFSMAPAVPKSGRCLGAEQELDMRCKAANDRPKSILKQPSLAKAKLRVSINLPDNEISVERSKQSSSRRQVGEETTAAWKGKAPVSHSFSMSAVHGLGKEPEKLRADRSILEVLREVGADVIGLQNVKAEEEKGMKPLSDLAEGLGMKYVFAESWAPEYGNAILSKWPIKQWKAEKILDDADFRNVLKATIEVPGAGEVEFHCTHLDHLDENWRMKQINSILRSSDRPHILVGGLNSLDETDYSAERWADIVKYYEEIGKPTPKVEVMKFLRGKQYLDAKNFAGECEAVVVVAKGQGDLQVWDESRLHPVLTILALQICAWFLRCALIQRHFGSSHCEGGHGDS >Ma08_p05800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3930429:3932799:-1 gene:Ma08_g05800 transcript:Ma08_t05800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAGRGSRGRGRKSYGLSKAIAGFFSSSNSREPPPLSCSDHFTPMLRMITLKLRRLCSLHRWPLKRRRAKTTVPIYRFGRPTQESEAVCGNRHQPAVRSGDLIGVPESTRPIRLATFNAAMFSMAPAVPKSGRCLGAEQELDMRCKAANDRPKSILKQPSLAKAKLRVSINLPDNEISVERSKQSSSRRQVGEETTAAWKGKAPVSHSFSMSAVHGLGKEPEKLRADRSILEVLREVGADVIGLQNVKAEEEKGMKPLSDLAEGLGMKYVFAESWAPEYGNAILSKWPIKQWKAEKILDDADFRNVLKATIEVPGAGEVEFHCTHLDHLDENWRMKQINSILRSSDRPHILVGGLNSLDETDYSAERWADIVKYYEEIGKPTPKVEVMKFLRGKQYLDAKNFAGECEAVVVVAKGQDVQGTCKYGTRVDYILSSPYSPYKFVPGSYGVLSSKGTSDHHIVKVDMVIAKTDGSSSSRHHPWKQRVVKMDASSSKGIWDTDL >Ma06_p36550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35900220:35902622:-1 gene:Ma06_g36550 transcript:Ma06_t36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPGSVGTTSSLSLRLGQALFSSASLLFMSVGVEFYSYTAFCFLVTIMGLVIPWSCTLAMVDVYCIFVGCSLRLPGLMVIVVVGDMVLSILSLAAACASAGVIDLLFHLDGSYCPPKFCGRYQLSTSMAFLSWFLTASSSLFNLWHVASW >Ma02_p08740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18996953:19001434:1 gene:Ma02_g08740 transcript:Ma02_t08740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAQQHNAKAWESAIRKAQQQLHQRRCICPLSPMSVAPAGDDTGSSSSPRGGGDDGSLEAEAEGEDEVYHAERSFPIGDLYIGQWSRGVPHGTGKYLWTDGCMYEGEWRHGKTTGRGKFSWPSGATYEGEFKAGFMDGFGTYTGASGETYWGSWSMNLKHGHGKTSYTNGDYYDGEWRSGLQDGHGRYVWRNGSEYVGQWRAGLIHGRGSLIWANGNRFDGGWDNGCPRGNGSFRWADGSLYVGEWSKENAKIEQNGVYYPSPAVTSPTARDPQEAFAVDLQECKIPSGETMSLLPSQKAINWPGIEVWRSPKVPESGATDRSRIQALVDNADHWLPPRRPNGRTHPVPWDMVDRSKVPVKGGSEVEPKLPMNPPHMAWPPPRPVKKHGETITKGHKNYELMLNLQLGIRHAVGKQSLSHMELKSSAFDPKEKVWTKFPPEGSKHTPPHQSCDFRWKDYCPLVFRLFKVDAADYMMSLCGNDALRELSSPGKSGSFFYVTNDDRYMIKTMKKSEVKVLLRMLPAYYNHVRKFENSLVTKFFGLHCVKLAGSSQKKVRFVIMENLFCSEYAIHRRFDLKGSSHGRMTSKPESQIDEYTTLKDLDLNFIFRLQRPWFQEFQRQVDRDCEFLEQERIMDYSLLVGVHFRISREMPLLEDLLVKGGAVDSKREATLQPPRDVDQFLYDPNRAAKIRLGVNVPARAELSVRRSYSDSQLIGEATGDFYDVVLIFGIIDILQDYDISKKLEHAYKSIHFDPASISAVDPKQYSKRFRDFIYRAFTEDA >Ma02_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18996953:19001434:1 gene:Ma02_g08740 transcript:Ma02_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAQQHNAKAWESAIRKAQQQLHQRRCICPLSPMSVAPAGDDTGSSSSPRGGGDDGSLEAEAEGEDEVYHAERSFPIGDLYIGQWSRGVPHGTGKYLWTDGCMYEGEWRHGKTTGRGKFSWPSGATYEGEFKAGFMDGFGTYTGASGETYWGSWSMNLKHGHGKTSYTNGDYYDGEWRSGLQDGHGRYVWRNGSEYVGQWRAGLIHGRGSLIWANGNRFDGGWDNGCPRGNGSFRWADGSLYVGEWSKENAKIEQNGVYYPSPAVTSPTARDPQEAFAVDLQECKIPSGETMSLLPSQKAINWPGIEVWRSPKVPESGATDRSRIQALVDNADHWLPPRRPNGRTHPVPWDMVDRSKVPVKGGSEVEPKLPMNPPHMAWPPPRPVKKHGETITKGHKNYELMLNLQLGIRHAVGKQSLSHMELKSSAFDPKEKVWTKFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLCRLFKVDAADYMMSLCGNDALRELSSPGKSGSFFYVTNDDRYMIKTMKKSEVKVLLRMLPAYYNHVRKFENSLVTKFFGLHCVKLAGSSQKKVRFVIMENLFCSEYAIHRRFDLKGSSHGRMTSKPESQIDEYTTLKDLDLNFIFRLQRPWFQEFQRQVDRDCEFLEQERIMDYSLLVGVHFRISREMPLLEDLLVKGGAVDSKREATLQPPRDVDQFLYDPNRAAKIRLGVNVPARAELSVRRSYSDSQLIGEATGDFYDVVLIFGIIDILQDYDISKKLEHAYKSIHFDPASISAVDPKQYSKRFRDFIYRAFTEDA >Ma01_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15284841:15304055:-1 gene:Ma01_g19640 transcript:Ma01_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFFMSRGWRGLEPNWEGLKVGLVSTALGFFGFGAGLTAGVVVGYYIFIHFQPSDVKDPKIRPLVEDDTQSLERMLPEIPLWVKYSDYDRIDWLNKIVELMWPYLDKAICRTAKQVAEPVIAENALKYKINSVQFETLTLGSLPPAFHGMKVYITEENELTLEPSLKWAGNPNVITVIKAYGLRLTIQMVDLQIFAAPRITLKPLVPSFPCFAKICMSLTEKPHVDFGLKLLGADLMAIPGLYRFVQETIKNQVANMFLWPRILEVPVLDVSRASKKPVGILHVKIIKAYKLRKLDFFGKSDPYVKLKLSDEKLPSKKTSVKHSNLDPEWNEEFKFVIKDPENQVVELNVYDWEKVGKHERMGMNVIQLNELTPDGPKTLTLNLLKNLESRDVQSDESHGQIVVEVKYKPFKEEDLSKDISENADAVEDSPDYGPSGGGLLVIIVHEAHDLEGKHHTNPYARILFRGEKRKTKHIKSNRDPRWEEEFQFVCEEPPVNDKMQVEVLSKAPIIGIYSKEILGYVTISLADVVNSKRTNNTYHLIDSNNGQIQIEMQWKTS >Ma07_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28884541:28889302:1 gene:Ma07_g20880 transcript:Ma07_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUR10 [Source:Projected from Arabidopsis thaliana (AT5G17420) UniProtKB/TrEMBL;Acc:A0A384LP57] MEASAGLVAGSHNRNELVLIRGHEEPKPLRALNGQVCEICGDEIGLTVDGDLFVACNECGFPVCRPCYEYERREGTQLCPQCKTRYKRLKGSPRVEGDEDEEDVDDIEHEFKIEEEQNKKQQQQQSNKHITEAMLYGKMSYGRGPDDEESNTPQFPPIITSSRSRPVSEEFQIASGHHHGDLPSSLHKRVHPYPVSEPGSARWDEKKDGGWKERMDEWKSKQGILGGDPDDADPDMALMDEARQPLSRKVAIASSKINPYRMVIVLRLVVLGFFLRYRILHPVHDAIGLWLTSIICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPSMLSPVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFNIEPRAPEMYFSQKVDYLKDKVQPTFVKERRVMKREYEEFKVRINALVAKAMKVPTEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQIGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVSCDCCPCFGRRKKLKYSKSGANEPAADAGLDEDKEVLLSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKSEWGLEIGWIYGSITEDILTGFKMHCRGWRSIYCMPQRPAFKGTAPINLSDRLNQVLRWALGSVEIFFSRHSPVWYGYKNGHLKWLERFAYVNTTIYPFTSLPLLAYCTLPAICLLTDKFIMPTISTFASLFFISLFISIFATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVIQGLLKVLAGIDTNFTVTSKATDDEEFGELYTFKWTTLLIPPTTVLIINIIGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFIIKSKGPDTRQCGINC >Ma04_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4242703:4245976:-1 gene:Ma04_g05690 transcript:Ma04_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVLFTNTEGNILVERFQGVPTEERLHWRSFLVKLGADNLRRAKNEELFVASHKSVYIVYTMLGDVSIYVVGKDEYDELTLSEVIYVITSSVKDACGKPPTERLFLDKYGKICLCLDEIVWKGLLENTDKSRIRRLTRLKPPTDV >Ma06_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3028525:3030979:1 gene:Ma06_g04160 transcript:Ma06_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELEMAKTSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Ma06_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7558084:7581309:1 gene:Ma06_g10860 transcript:Ma06_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MEGDEQHQVVLACTVCGVFFCVLSPLSFWILWAVNWRPWRIYSWIYARKWPDIIQGPQLSAVSNVLSFLAWVIVLSPIVVLITWGAIVIGLLRRNIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAYLLLLAVALLCAYELCAVYVTAGASASEQYSPSGFFFGVSAIALAINMLFICRMVFNGSGFDVDEYVRKSYKFAYSDCIEVGPLACLPEPPDPNELYMQKSRRVLHLGVLYLGSMISLVVYSVLYGLTAKEAHWLGAVTSVAVLVLDWNMGVCLFAFELVRSRVVALFVAGISRIFLICFGVHYWYLGHCISYAFVASVLLAAAVSRRLFVSNPLVERRDALRSTVIRLREGFRRKGQNSSSSSSEGCGSSVKRSSSSVEAGHHITSIEDICGSNSHCASGSSNWNSMLFGRSRSCQEDVNCDKNVDCGSASLALRSNSCRPVVHDSEMIRTAADRHLDHNSSLLVCSSSGLESQGCESSGSGATLINHAGLDLNIALIFQDRLNDPRITSILKRKAGLADHELASLLQDKGLDPNFAFMLKEKGLDPRILSLLQRSSLDADRDHQDAADVAVPDSGRLDSTVPNQVSLSEELRQRGLEKWLNISRLMMHQMAGTAERAWILFSLVFIVETVLVSVFRPKPVTVINATHEQFEFGFSVLLLSPAVCSIMAFLWSLHAEGMSMTSRPRKYGFIAWLLSTCVGLLLSFLSKSSLILGLALTFPLMVACLSVAVPIWKCNGYRFWISGDLENHADSRQSSRKERILLALSLFIFIGSVVALGAIISAKPLDDLGYKGWNVDQNSAYSPYTTSMYLGWALASAIALMFTAVLPIVAWFATYRFSLSSAICLGFFTIVLVTFSGASYWGVVSSREDRIPMKADFLAALLPLICIPAFLCLFTGLYKWKDDDWKLSRGVYVFVGIGFMLLLGAISALTCLITPWTVGVAFLLVILLILLAIAVIHYWASNNFFLTRTQMLFVCFLVFLLALAAFILGLFEGKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYIYDAHADCAKNVSHAILILYGIALATEGWGVIASLKIYPPFAGAAVSAITLVVAFGFAVSRSCLTCKMMEDAVRCLSKDTVVQAIARSATKTRNALAGTYSAPQRSASSAALLIGDPTVTRDRAGNFMLPRADVMKLRDRLRNEEIAAGLFFCGVKNGLMYHRESLSDADYKRKMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQVQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRQFEVLQESYIREKEMEEEILMQRREEEGKGKERRKALLEKEERKWKEIEASLLASIPNVGNREAAAMAAAVRAVGGDSVLDDSFARERISSIACHIRTAELARRAEQTGIQGAVCILDDEPRSSGRHCGQIHPSLCHSQRVTFSIAVMIQPESGPVCLIGTEYEKKLCWEILVAGSEQGIEAGQVGLRLISKGDRLTTVAKECSIGSASITDGRWHIVTVTLDAELGEATSYIDGGYDGYQSVSLLQGTSCIWEEGTSVWAGVRPPVDLDAFGRSDSEGVDSKMQIMDAFLWGRCLTEDEIAALHAAVGTAAYDLIDLSGDVWHLGDSPSRVDDWESEEAEVELYDREDVDWDGQYSSGRKRRSAHEAVTLDMDIFTRKLRKPRFETQEEINQRMLSVETAVKEALLAKGETHFTDQDFPPNDRSLYVDPVNPPQKLQVVSEWMRPTDLVKIRCISSRPCLFSGFVNSSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEFNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKRNELWVSVLEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRTAQAQIDLASGRLWSQLLHFKREGFLLGAGSPSGSDVHVSSSGIVQGHAYSILQVREVDGHKLIQIRNPWANEVEWNGPWSDTSPEWSDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRIYPPEMRYSVHGQWRGYSAGGCQDYESWHQNPQFRLRATGPEASFPIHVFITLTQGVSFSRKSNAFRNFQSSHDSSLFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREVSCELVLEPYSKGYTIVPTTIQPGEEAPFILSVFTKASITLEAI >Ma06_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5851915:5863457:-1 gene:Ma06_g08260 transcript:Ma06_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEEKATPCCSPSLLPPKASGAKGGAPRSEAAVGEWIAAADPKRPASAATAMSPLVPVEDVKDPPAKASLPGIPMMMRAQTRHPLDPLSAAEISVAVATVRSAGATPEVRDSMRFVEVVLLEPEKNIVALADAYFFPPFQPSLLPRTKGGPVILSKLPPRRARLVVYNKKSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPDVQPPMDAIEYAECEAAVKNYPPFIEAMRKRGVDDMDLVMVDAWCAGYHSDADAPSRRLAKPLIFCRTESDCPVENGYARPVEGIYILVDMQNNLIIEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDIKPLHILQPEGPSFRINGYFVEWQKWSFRIGFTPREGLVIHSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKRGCDCLGYIKYFDAHFTNYTGGIETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPTEAFNQVIEVNAKVEDPGQNNVHNNAFYAEEKLLKSELEAMRDCDPFSARHWIVRNTRTVNRTGQPTGYKLMPGLNCLPLAGPEAKFLRRAAFLKHNLWVTPYSRDEMYPGGEFPNQNPRINEGLVTWVKKNRSLEEADIVLWYVFGITHIPRLEDWPVMPVDRIGFMLMPHGFFNCSPAVDVPPSANEIDKDGGSPKLIQNGLLAKL >Ma01_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15019277:15023763:1 gene:Ma01_g19380 transcript:Ma01_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLWLKLLVLIGLFAFSGGREIKIEYEDDAPLYNRTLAKILVEYASAAYVTDLMTLFTWTCSRCNDLTKGFQMIELVVDVQNCLQAFVGIAHDLNSIIIAFRGTAGTSIRNWIADLFWKQLDLSYPGAEDAMVHHGFYSAYHNTSLQHGILSAVQRTRELYGNLHIIVTGHSLGGALASFCALDLTVNHGVELVHLMTFGQPRIGNAAFASYFSKFVPNAVRVTHENDIVPHLPPYYSYFPKKSYHHFPREVWLHDIKVDGVEDKGEKICDDSGEDPSCCRSVHGTSIRDHLKYYSVELRADTRESCSILVDSSVLQYDVGYNGDILSRDPTAPSHLKLSSRSDTASISV >Ma10_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31557460:31560812:-1 gene:Ma10_g22020 transcript:Ma10_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRATYYVQSPSRDSHDGDKSSSMQATPVYNSPMESPSHPSLGRHSRTSSASRFSGPFRSSSGRKGYRKRVNEKGWPECDVIQEEGSYDDLDDDKGLSRRCQIILALLSFILLFTVFSLIIWGAARPYKPDVIVKSLSMDDFYAGEGTDTTGVPTKMVTVNCSLKISVYNTATMFGIHVTSSPIQLMFSEITIATGEVQKYYQPRKSHRTVSAILHGEKVPLYGAGAGMALSSTGGQVPLTLDFDIISRGDVIGKLVRVKHRKHVSCQLVVDSSKNKPIKFARNSCTYV >Ma10_p22020.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31557460:31560800:-1 gene:Ma10_g22020 transcript:Ma10_t22020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRATYYVQSPSRDSHDGDKSSSMQATPVYNSPMESPSHPSLGRHSRTSSASRFSGPFRSSSGRKGYRKRVNEKGWPECDVIQEEGSYDDLDDDKGLSRRCQIILALLSFILLFTVFSLIIWGAARPYKPDVIVKSLSMDDFYAGEGTDTTGVPTKMVTVNCSLKISVYNTATMFGIHVTSSPIQLMFSEITIATGEVQKYYQPRKSHRTVSAILHGEKVPLYGAGAGMALSSTGGQVPLTLDFDIISRGDVIGKLVRVKHRKHVSCQLVVDSSKNKPIKFARNSCTYV >Ma10_p22020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31557460:31560818:-1 gene:Ma10_g22020 transcript:Ma10_t22020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRATYYVQSPSRDSHDGDKSSSMQATPVYNSPMESPSHPSLGRHSRTSSASRFSGPFRSSSGRKGYRKRVNEKGWPECDVIQEEGSYDDLDDDKGLSRRCQIILALLSFILLFTVFSLIIWGAARPYKPDVIVKSLSMDDFYAGEGTDTTGVPTKMVTVNCSLKISVYNTATMFGIHVTSSPIQLMFSEITIATGEVQKYYQPRKSHRTVSAILHGEKVPLYGAGAGMALSSTGGQVPLTLDFDIISRGDVIGKLVRVKHRKHVSCQLVVDSSKNKPIKFARNSCTYV >Ma10_p22020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31557460:31560920:-1 gene:Ma10_g22020 transcript:Ma10_t22020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRATYYVQSPSRDSHDGDKSSSMQATPVYNSPMESPSHPSLGRHSRTSSASRFSGPFRSSSGRKGYRKRVNEKGWPECDVIQEEGSYDDLDDDKGLSRRCQIILALLSFILLFTVFSLIIWGAARPYKPDVIVKSLSMDDFYAGEGTDTTGVPTKMVTVNCSLKISVYNTATMFGIHVTSSPIQLMFSEITIATGEVQKYYQPRKSHRTVSAILHGEKVPLYGAGAGMALSSTGGQVPLTLDFDIISRGDVIGKLVRVKHRKHVSCQLVVDSSKNKPIKFARNSCTYV >Ma10_p22020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31557460:31560797:-1 gene:Ma10_g22020 transcript:Ma10_t22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRATYYVQSPSRDSHDGDKSSSMQATPVYNSPMESPSHPSLGRHSRTSSASRFSGPFRSSSGRKGYRKRVNEKGWPECDVIQEEGSYDDLDDDKGLSRRCQIILALLSFILLFTVFSLIIWGAARPYKPDVIVKSLSMDDFYAGEGTDTTGVPTKMVTVNCSLKISVYNTATMFGIHVTSSPIQLMFSEITIATGEVQKYYQPRKSHRTVSAILHGEKVPLYGAGAGMALSSTGGQVPLTLDFDIISRGDVIGKLVRVKHRKHVSCQLVVDSSKNKPIKFARNSCTYV >Ma07_p26030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32996427:33004783:1 gene:Ma07_g26030 transcript:Ma07_t26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSTGDSAVTTIVNLAEEAKLAREGVKAPGHAILSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIQYNGTIQGLKYIWKSEGFRGLFRGNGTNCARIVPNSAVKFFSYEQASSGILWLYRRQSGNEDAQITPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFNALGTVYREEGFRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLVKSKPYGLVEDSELSVVTRLACGAVAGTIGQTVAYPLDVIRRRMQMVGWKDAASVVTGEGRSKAPLEYAGMIDAFRKTVHHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEMRISD >Ma03_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11219249:11220956:1 gene:Ma03_g14050 transcript:Ma03_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSAAAAAAAADLSLQISSLEATASSWRKPGDENMELGFRCRNLDSTTTTNKNRTAASAARATASELSLANPRAAVSAATSNDTFHRSQPRHYHHHLQYDHLQYHHHHPLHHQEQSWLEPITGIPIYQHPPFFPAVAPHQQHHLCGSPSSSNHALTHLVASQGLSRSRYLPPRFPAKRSMRAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMDVRDLTLAHVKSHLQMYRTLKNTDRPAVPSGQSDAIANGSMGENSDDNPVGIHNLHLSESSSQQRKAKVSVFGTNLVNKKLK >Ma11_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26404105:26405724:-1 gene:Ma11_g22740 transcript:Ma11_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPVFLDPPNWNQQQAHQQGNSGRGGGEASQLPPGLAAPRPDGGMAGLMRPGSMADRARLAKIPQPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSTAGGSSSKSSNTTTQTGASSSSSTATSSGVGGAIASTTLLASQLPFMASLHPLPDFAATNFGVSFSGIQPVDTLDYQLGGGGSSAPGGGVGMENLRLQQMQQFSLIGGLDLPQPPAPAPTPASGLFSFVGDGGGFIGGSSTGQAQTKPTNSGLITQLASVKMDDSQQLLGFPRPYLGVSRNDQFWSGGGSSSSTGGWMTDLSGFNSSSSNIF >Ma10_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21414914:21423926:1 gene:Ma10_g07080 transcript:Ma10_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAEETKKAGDGSPTGEAELEEEAGGNLPAVRAEAGEEESKEEEEQTEGGGDGPTEKVVVEKRKRGRRPRAEKSGDGEEGTPKKERKRRSVAREEATPVERPSRERKTVERFSEMALPKAPVPKTPSFKQGSGDKLKDIPNVSFKLSKRKVDENLQALHTVLFGRKSNARFLRRNILQFSGFVWSENEEKQRARIKEKLDKYNKERLLDFCDLLDIHVVKPSTKKEEVILNLVEFLESPCVTRDVVLTEKKGKRGRRTKGSTDATSGEGSSDRGSKKQRKGHKQSAEDENEDNDEGASVDTKEALNDDDDDDEDDGNSQEENGHDNSEEEAEDGEQEESAATNKRSAAKHTKKTSEPSKAKNKSSNASTKDKTTVRKDSAKVSKTASTSSPRKSVPVDDSDSGHPSISKSKQKGRRKGRASEKLPDNKENTSRKKSYKSDSNEKQGKVKASKAAKSGPSKEELHAVVSDILKEVDFNTATLADILRQLGAHFKMDLMDRKAEVKRIIEDVINSMSDDDDEDGEEDEGGAEDAKEEEDTKEDSDGDGDK >Ma10_p07080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21414914:21423926:1 gene:Ma10_g07080 transcript:Ma10_t07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAEETKKAGDGSPTGEAELEEEAGGNLPAVRAEAGEEESKEEEEQTEGGGDGPTEKVVVEKRKRGRRPRAEKSGDGEEGTPKKERKRRSVAREEATPVERPSRERKTVERFSEMALPKAPVPKTPSFKQGSGDKLKDIPNVSFKLSKRKVDENLQALHTVLFGRKSNARFLRRNILQFSGFVWSENEEKQRARIKEKLDKYNKERLLDFCDLLDIHVVKPSTKKEEVILNLVEFLESPCVTRDVVLTEKKGKRGRRTKGSTDATSGEGSSDRGSKKQRKGHKQSAEDENEDNDEGASVDTKEALNDDDDDDEDDGNSQEENGHDNSEEEAEDGEQEESAATNKRSAAKHTKKTSEPSKAKNKEINEQSSNASTKDKTTVRKDSAKVSKTASTSSPRKSVPVDDSDSGHPSISKSKQKGRRKGRASEKLPDNKENTSRKKSYKSDSNEKQGKVKASKAAKSGPSKEELHAVVSDILKEVDFNTATLADILRQLGAHFKMDLMDRKAEVKRIIEDVINSMSDDDDEDGEEDEGGAEDAKEEEDTKEDSDGDGDK >Ma08_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4462391:4464758:-1 gene:Ma08_g06590 transcript:Ma08_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDDGGGGRDEGTSESYGKGRWRRQKRNEQRLGGKGLSLEAFANAKSRPSGYNPALIKKQREFYRNAKYVTKYKKTQKQQNLTSDHMPTTSDDKDADEIEKLHMQRKPKKRSLRSLREEYEKKHTEDEKARLEREAIIQAKKKERAKAEAKRKIQREKMFKKTKSGQPVMKYRIEQLLEGLIESSQK >Ma06_p37470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36507155:36511289:-1 gene:Ma06_g37470 transcript:Ma06_t37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQLSNATSSTSSPAPQTSSSFSSLAAYGRALADTHRRLGRRAGSVTTSYEEMSRVRARSGADMARSLRWPDLVGLGLGGMVGAGVFVATGRAARICAGPAIVLSYAIAGLCALLSALCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAISVDTTAKWRITVVGLPEGFNQIDLLALAVVLLVSICICYSTKESSVLNMVLTATHIAFILFIIVVGFWRGETRNLRHPANPAKSNGGFLPYGIAGVFNGAAMVYLSYIGYDAVSTMAEEVRNPARDIPIGVSGSVALVTLLYCLMAASMSMLVPYDAIDEESPFSAAFRGSDGWGWVSNVIGVGASFGILTSLLVSMLGQARYLCVIGRSSVVPAWLARVHPKTATPVNASAFLGVFTAAIALFTDLNVLLNLVSIGTLFVFYMVGNAVVYRRYVAVGSTNPWPTISFLLSFSSVSITFTLIWQFAPAGLAKAVLLGGCTVVAVAVLQVFNYLVPQARKPEHWGVPLMPWIPAVSIFLNVFLLGSLDGPSYLRFAFFSAFTVLVYVFYSVHASYDAEENGGLVKVADSISHPAGKDCGGGGCGFQV >Ma08_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3874359:3878236:-1 gene:Ma08_g05690 transcript:Ma08_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRCDGDCGSLTRRLTQQRQMEDGDDDTVCLGESSFFFVNRDYELTTFSFGSHSLDLLCLRSASTDFDLTGQLVWPGAVLLNNYLSENAGILKGCSVIELGSGVGVTGILCSRFCHEVVLTDHNEEVLEIMRKNIELHSTSDTPTSAVLRAEKLEWGNSDHLSKILEEYPTGFDLVLGADICFQQSSIPFLFSTVEKLLRHRGGECKFILAYVSRAKLMDAMVVSEAIRHGLQIDEVDGTRSVVANLEGVIFEITLKRD >Ma06_p26060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26671312:26740153:1 gene:Ma06_g26060 transcript:Ma06_t26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MILAANAAVGVITETNAEKALVELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSSQLRVDQAILTGESCSVAKDLESTVATNAVYQDKTNILFSGTVVVAGRARAIVVGVGSNTAMGSIRDAMLRTVDEATPLKKKLDEFGTFLAKVIAGICVLVWVVNIGHFRDPSHGGFMRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVQSVHRGPITNDYAVTGTTFAPEGMIFDSAGMQLEFPAQFPCLLHIAMCSALCNESILQYNPDKKNYDKIGESTEVALRVLVEKVGLPGFDSMPSALNILSKHERASYCNRYWEHQFKKICVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESIITRCTHILCNEDGSSIPLTTDIRNELDERFKSFAGKDTLRCLALALKRMPMGQQTICHEDETNLTFIGLVGMLDPPREEVRNAILSCMSAGIRVIVVTGDNKTTAESLCRRIGAFEHLGDFTGYSYTASEFEELPPLQQTLALQRMVLFTRVEPSHKKMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKQDTDVMMAKPRKVSEAVVTGWLFFRYLVIGAYVGLATITGFVWWFVYSDKGPKLPYYELVNFDSCPTRETSYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNPWLLASIALTMLLHVVILYVEPLSMLFSVTPLSWTEWTIVMYLSFPVIIIDEVLKFFSRNSRGRRFGFRFRRPDALPREARDK >Ma06_p26060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26678049:26740153:1 gene:Ma06_g26060 transcript:Ma06_t26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MNDAYARSVSEVLEAFGVDPTKGLTDFQVAENAKIYGRNVLPQEESTPFWKRVLNQFDDLLVKILIAAAIVSFLLALINGETGLTAFLEPSVIFMILAANAAVGVITETNAEKALVELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSSQLRVDQAILTGESCSVAKDLESTVATNAVYQDKTNILFSGTVVVAGRARAIVVGVGSNTAMGSIRDAMLRTVDEATPLKKKLDEFGTFLAKVIAGICVLVWVVNIGHFRDPSHGGFMRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVQSVHRGPITNDYAVTGTTFAPEGMIFDSAGMQLEFPAQFPCLLHIAMCSALCNESILQYNPDKKNYDKIGESTEVALRVLVEKVGLPGFDSMPSALNILSKHERASYCNRYWEHQFKKICVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESIITRCTHILCNEDGSSIPLTTDIRNELDERFKSFAGKDTLRCLALALKRMPMGQQTICHEDETNLTFIGLVGMLDPPREEVRNAILSCMSAGIRVIVVTGDNKTTAESLCRRIGAFEHLGDFTGYSYTASEFEELPPLQQTLALQRMVLFTRVEPSHKKMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKQDTDVMMAKPRKVSEAVVTGWLFFRYLVIGAYVGLATITGFVWWFVYSDKGPKLPYYELVNFDSCPTRETSYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNPWLLASIALTMLLHVVILYVEPLSMLFSVTPLSWTEWTIVMYLSFPVIIIDEVLKFFSRNSRGRRFGFRFRRPDALPREARDK >Ma04_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11891201:11892237:1 gene:Ma04_g15610 transcript:Ma04_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPKVLVAVLVLALATVVPSVQAQAPAPSPTSDGTSIDQGIAYLLMLVALVLTYLIHPLDASPYKLF >Ma04_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2714909:2716759:-1 gene:Ma04_g03530 transcript:Ma04_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVIESPPECPGYMGRSYSDYNSDRCGEFPLAGSPSASAGGASTLRCLLVSSAADYSDEVVRGLISDLDSPSVESKRRAVMELRHLAKHSPENRLRIARAGAVAPLVSLLSHPDPQLQEHGVTAILNLSLCDENKAPIAAAGAVRHLVRILRCGTPAARENAACAILRLAQLDDLGAAIGRSGAIPPLVALLETGSSRGKKDAATALFALLAGKENRARAVEAGVVRPLLDLMADPESGMVDKAAFVLHRVLSEPEGRASAVAEGGVPVLVETVEVGSQRQKEVGMLSLLEICEESAAYRKLVVREGAIPPLVALSQSSSRKIRDKAEALIELLRQPATPGVNRAKAVE >Ma04_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2882676:2885168:1 gene:Ma04_g03740 transcript:Ma04_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGYEAARRLEGGEHKGGRMSKEAQQGHGHTHGRTAHNMSSSSLRKKSDLSLVSKVRCRFLRALLANLQEIFLGTKLFVLFPAVPLAVAASYFNFGRAWLFALSLLGLAPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIALFALREGKIEVVKCSLVGSVLSNLLLVLGTSLFFGGLANLHKEQFYDRKQADVNTGLLLLGALCHILLLMFGYAMNSGEHAAVDTVRRLALSRACSVVMLLAYVAYLFFQLKTHRQLFESKEGDEDHDDGVSEDEPVIGFPCAVAWLVGMTTVIAALSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVSLGSATQISMFVVPLSVLVAWIMGIEMDLDLKLLETGSLIMAILVIAFTLQDGTAHYLKGLVPLLCYIAIAACFYVYRSPNQTNKGAVITAA >Ma04_p03740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2882676:2885168:1 gene:Ma04_g03740 transcript:Ma04_t03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGYEAARRLEGGEHKGGRMSKEAQQGHGHTHGRTAHNMSSSSLRKKSDLSLVSKVRCRFLRALLANLQEIFLGTKLFVLFPAVPLAVAASYFNFGRAWLFALSLLGLAPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIALFALREGKIEVVKCSLVGSVLSNLLLVLGTSLFFGGLANLHKEQFYDRKQADVNTGLLLLGALCHILLLMFGYAMNSGEHAAVDTVRRLALSRACSVVMLLAYVAYLFFQLKTHRQLFESKEGDEDHDDGVSEDEPVIGFPCAVAWLVGMTTVIAALSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVSLGSATQISMFVVPLSVLVAWIMGIEMDLDLKLLETGSLIMAILVIAFTLQDGTAHYLKGLVPLLCYIAIAACFYVYRSPNQTNKGAVITAA >Ma04_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8521641:8524708:1 gene:Ma04_g11940 transcript:Ma04_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGNMSSWWWCGKEAAYEPLESRAKGVVGAVQSSTKALVVFFLVLLALVAFISSRWFDGTTFTMVTSWGQQPSRSHRRHHRSHSRRVTLTCPNQTTAQTQYCRRFTYPSPSVSPSPSPSPLPIASSEPPPSCPDYFRWIHEDLRPWRSTGITREMVESAQKLAAFRLLVIDGRVYVEQYHRVFQTRDLFTLWGFVQLANRYPGYLPDLDLMFNCEDVPTVKAADYKTSPPPPLFRYCKDDTTVDIVFPDWSFWGWPEINIKPWEPLSEEMKEANERVKWEKRKPYAYWKGNPGVSRQRQDLLKCNLSTGHDWNARVFAQNWEKETQNGFKESNLAEQCMYRYKIFVEGRAWSVSEKYTLACDSPALFVTTHFYDFMTRGLIPGRHYWPIRETAKCRSIKFAVDWGNKHQKKAQAMGKEGSRFILEEVKMDYVYQYMLHLLTEYANLLRYKPTLPEKATDLCLESVACREQGRVKEFLMQSMVKRTSDSEPCDLPPAYTSRELEELRSRKAKAVKQVEKWEQEAWH >Ma08_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5088725:5091914:-1 gene:Ma08_g07430 transcript:Ma08_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAVVGHGRDVAAADPNQLDAGALFVLKSKGSWMHCGYHLTTSIVAPALLSLPFALASLGWAPGVACLVVGAVVTFYSYNLLSLVLDHYAQLGRRQLRFRDMAHDILGPGWGRFYVGPIQFLVCFGAVVGQVLLGGQSMKTIYLIARPGGTMKLHEFVIVFGAFMLVLAQIPSFHSLRHINLVSLLLCLSYSACAAAGSIHAGTNAPQRDYSLPGNGQDRLFGALNAIAIIATTYGNGIIPEIQATVAPPVTGKMFKGLCLCYAVVVATFFSVAISGYWAFGNRAHGYVLANFDLEDGTTLVPKWFLAMTTSLTLLQLAAVGVVYLQPTNEVLEGLFADPRKDQYSARNVTPRLIFRSLSIVVATLLAAMLPFFGDINAVIGAYGFLPLDFAVPSVFYNLTFKPSKRGVVFWLNTAIAVISSMLALLGSISALRQVALDAKTYKLFANV >Ma03_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27612105:27615502:1 gene:Ma03_g22910 transcript:Ma03_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSKRNAGLEMRKGEGEEEGRRGWSDVRLAMEELSLFKTEEKKVSTLALLGASNLLLHVLDKIGPTLLVLRQDIQQNIERVEEMYMLNPNLYSSLVEMVKKEVDQRSSRNKDSCSRAILWLARSITFSVTLFQELDKNPEWSLAQVVEETYTDTLKPWHGWVASTAYKVAIKLVPEREIFIGLLMGQEQDYDALKEDIKIYVSAIHPLLDETYALLRTHKLDTLKSP >Ma10_p28290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35220271:35221879:-1 gene:Ma10_g28290 transcript:Ma10_t28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKQQQHFLVATFPFQGHINPVLHLAKHLAHSAAGPLVTFSTTLSAHRRMFPSAPDHHDVYDGLLSYVPFSDGFDDGDRGGFAGFNRFMAEFKVTGPRSLSSIVAGLAARGRPVTCIVYTMLLPWAADVAREYGIRSIHYWIQPATVFAVYYHYFHDYGAVVDAHRDDPSFTLTFPRLPPFKISDVPSFLTSPVDHPLSSVYLTLREAFAALDTEKAASSSKPRVLVNTFDELEPDALAAVDEIDMLTIGPLIPSWSFSGTAAPKDTETGAGGDIFKPDDKGYMEWLDSQPEWSVVYVSFGSLHHFTKRELEELSAGLEECGRPYMWVVRRDNREEGNLREGSGQGMVVEWCSQVKVLSHAAVGCFVTHCGWNSMLESLACGVPTVGAPRLSDQRTNARMADGAWGTGVTAELSEDGVVEAGELKRCVELVMGEGEGGKEMRKKAEQWKERARAAVSEGGSSDRNLTAFLEEIGKGS >Ma11_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23971341:23972914:-1 gene:Ma11_g18990 transcript:Ma11_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVQVPLRLSAPYFICAATAASEERIHCSTSRASPLTLAVAFCKSPRKLIKLFSSSKQRRKAHAGGRRWWCFFAKSKASEKIRFGSGSSPVDGEEDGTGSSSACQERSPKEPSPPSSISPTSVLEKKLYVDGDSNEDDDGVKKTIRFDSSESSISRNVSRKLQREVSSNVFDESTRDGKAFESVASTRVPLSSLLVYTIDESLEEEKDGTESHNSETSSCDGNTSTSLRPNAPSDILKTEAGDVTQQNVATSDSDEEAEQYEKLEMETETAQVSRTSKEIQAYEALPGNGDDPYLVMTLPKRTSSAPFDLLKTKMLHGMHTSRGLSSMALRFSAASRLSRKKQQRSDECAGDELWSKKILMGKRCQEPKADDCHSLDDGVELWKKKIKAGKQCQELTLYDFPDNGQWAKTPASEEDESDCPLISDAEKEMEAVEPILSCKELMELEAESFSNAVPFKGFVLSSLA >Ma01_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3164329:3179332:1 gene:Ma01_g04640 transcript:Ma01_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLIDGELGQTIEEEKQYDFDLFVIGAGSGGVRASRTSASFGAKVAICELPFHPISSEVIGGIGGTCVIRGCVPKKILVYGAQFRGEFEDCRNFGWELSDQVDFNWKKLLHNKTQEITRLNGIYKRLLSNAGVTMFEGEGKLIDAHLVEVTQADGTTKQYSAKHILIATGSRAQRVNIPGKELAITSDEALSLDELPKRAVILGGGYIAVEFASIWRGLGAEVDLFYRKELPLRGFDDEMRAVVAKNLEGRGIRLHPGTNLSELRNGEDGIRVLTDHGDEITADVVLFATGRLPNTKRLNLQAVGVEVDKTGAIKVDEYSRTTVPNIWAVGDVTNRMNLTPVALMEGTCFSKTVFGGQPTKPDYISIPCAVFCIPPLSVVGLSEQQALEQAKSDILVYTSSFNPMKNTISGRQEKTIMKLIVDSETDKVLGASMCGPDAPEIMQGLAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSITRRVAAGAKP >Ma09_p26520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37772737:37773988:1 gene:Ma09_g26520 transcript:Ma09_t26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDTKGVVLLDFWVSPFGQRCRIALAEKGVEYEFREENLGDKSPLLLKSNPVHKKIPVLIHDGKPVCESLIIVQYIDEAWPDCAPLLPADPYARAQARFWADFIDKKIYECGTRLWKLKGEGQAAAKEELIGILKLLEGELGDKKYFGGDAFGFVDIALVPFVSWFYTYETCAGFSIEEAAPKLVAWGKRCMERESVAKTLSDPHKVYEFVGLLKKRFGVE >Ma07_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1847811:1849222:-1 gene:Ma07_g02320 transcript:Ma07_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENYFLFPYHFSLDWDPHTFAVGDLHEQLMPSAVESPVSSQASTGYLQDAVAKWKDPSKQRRLAFLPVHDPSPTTMTTTNKAKELQDLLQLGFRDTDCHGHPLRDLNCMLQDNAVNPVQSPSNALRKPKTQVAALQLLPVQEPLASSSSYEEPQHIREGNGKKPCLVASKVKERAFQDCERRRFKKSKGKTDVVYPFAVVKPGGEEGDVTLDDINARILMRPRRPVRHPVGEYADGACVTPVGRGLSGKAVVSLTRIQTQGRGTITIVRTRG >Ma07_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9861881:9863349:-1 gene:Ma07_g13130 transcript:Ma07_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELMPELPEEIARECFIRVPFDAFRTVRAVCKLWKHDLESPSFHRLRKSAGLARPVVALAQCDPTPLPADKAKQQSSHSPLLYRISLFEPSTGAWSSPPPIPGRSHGLPLFCQLAAVGRELVVVGGWDPRTWATSDEVRIYDLVSGAWRRGARMPGPRRSFFACAGSEEHRTVFVAGGHDESKNALRSALAYDVAADAWVHLPDMERQRDECRGVFARGAFHVVGGYPTEAQAQFTRSAEAFEVAAWRWGAVEEGKLEEAGCPRTYVVGSDGRAYMCRQGGQVTVLREEDGGAWRRLPDLPGDLRAALQLVTWEGALMVLGLWAKGGAQVAYVLDLTEEGEGKRLQWRKVETPREFSGHVQSACCLEI >Ma11_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16245645:16247928:-1 gene:Ma11_g12360 transcript:Ma11_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSGSFPFKEIKNRLITWVVKGAIDVDIGANPSDEGGEEDESVDDSVVKVIDIVDTFRLQGQTSFNKKQFVTYMKHYIKLLMPKLEAEKQELFKKHIEQATKILLSMLSDLQFFVGESLHDNGSMVFAYYKEGATDPTFLYLAVGLKEV >Ma03_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15112133:15113084:-1 gene:Ma03_g15330 transcript:Ma03_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAPSQPCHVRSVSLPSRSHPAALRVEEELNQMRSSLASSSTAPQALCDGLKGLGGLYDSMEELIRLTSNQQTLNYPLQKKWVEEELDGSIRLLDLCSAVISSLAAMKERIHGLQLALRKREDAAIVSKVSDYVRFGRKAEKDMKSCFRSLKHMEDKRIDDDDDLPIRLLMEAKVATVSLLRLVSSFLSTQTRRPKSRRWSFVSKALSKRKVAASEEEEHGVESLRIFSWHASCDCNLCKGADDDRLLQAQSQLQTLEVSIEGIESGLECLFRQLIQSRVSLLNILSS >Ma06_p24350.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22869650:22875471:-1 gene:Ma06_g24350 transcript:Ma06_t24350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDSAAGPLPGGRRTFWRSASWSASRTSALDHPRDCASEEKSASNGQFKHRMVPPLTPRSQTQKARSCLPPLQPLAISRRSLGEWPKAGSDDIGEWPHPPTPGAKPDALKLGDSLKLDLSSLRSQGKRDQIAFFDKECSKVADHIYLGGDYVARNREILRQHGITHVLNCVGFVCPEYFKSDLVYKTLWLQDSPTEDITSILYDVFDYFEDVRQQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYDSLHLVPKMLNDPSPAALDSRGAFIIHVLSSIYVWIGKICESIMEKDAKAAAFQVVRYERVQGPIVMIEEGKELLDFWEKFLSAIPSDDGTKIKKVQIESATKTGIGKRRVESYDVDFELFYKALSGGIVPPFSSSGHEYEMHLPTRESNWSVLRRKFIPGNISRVFLDTASSKDMDTHSDRLRSLNAETLISPPYSSPSSHSSDSSTCSKSSLDSPSVSPSACSTPLVLSPESHDMPDTLLSSTGPSPQILSTIKSSKPSFRAICSSKHLAQSIAERRGGFSPLKLPTLCKGPSLISKKVLNTSSACDSTQEVIDKSNISETTGNDAIGCTSSVRLESDVKCLGESTISSSENYADNKGNHRQQDDQFLQGSSRLVDIRSENASPKLPLVYRWPSMEKLATFSTEGLDSKAVFLFLIPSTRSGDTGSSVHLWIGSEFEQANGQTQLRSSRDNLIVSIDWHQVGRDFLSSVGLPKDLPIKVVKEEETVRLLELLNST >Ma06_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22869650:22875471:-1 gene:Ma06_g24350 transcript:Ma06_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDSAAGPLPGGRRTFWRSASWSASRTSALDHPRDCASEEKSASNGQFKHRMVPPLTPRSQTQKARSCLPPLQPLAISRRSLGEWPKAGSDDIGEWPHPPTPGAKPDALKLGDSLKLDLSSLRSQGKRDQIAFFDKECSKVADHIYLGGDYVARNREILRQHGITHVLNCVGFVCPEYFKSDLVYKTLWLQDSPTEDITSILYDVFDYFEDVRQQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYDSLHLVPKMLNDPSPAALDSRGAFIIHVLSSIYVWIGKICESIMEKDAKAAAFQVVRYERVQGPIVMIEEGKELLDFWEKFLSAIPSDDGTKIKKVQIESATKTGIGKRRVESYDVDFELFYKALSGGIVPPFSSSGHEYEMHLPTRESNWSVLRRKFIPGNISRVFLDTASSKDMDTHSDRLRSLNAETLISPPYSSPSSHSSDSSTCSKSSLDSPSVSPSACSTPLVLSPESHDMPDTLLSSTGPSPQILSTIKSSKPSFRAICSSKHLAQSIAERRGGFSPLKLPTLCKGPSLISKKVLNTSSACDSTQEVIDKSNISETTGNDAIGCTSSVRLESDVKCLGESTISSSENYADNKGNHRQQDDQFLQGSSRLVDIRSENASPKLPLVYRWPSMEKLATFSTEGLDSKAVFLFLIPSTRSGDTGSSVHLWIGSEFEQANGQTQLRSSRDNLIVSIDWHQVGRDFLSSVGLPKDLPIKVVKEEETVRLLELLNST >Ma06_p24350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22869650:22875471:-1 gene:Ma06_g24350 transcript:Ma06_t24350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDSAAGPLPGGRRTFWRSASWSASRTSALDHPRDCASEEKSASNGQFKHRMVPPLTPRSQTQKARSCLPPLQPLAISRRSLGEWPKAGSDDIGEWPHPPTPGAKPDALKLGDSLKLDLSSLRSQGKRDQIAFFDKECSKVADHIYLGGDYVARNREILRQHGITHVLNCVGFVCPEYFKSDLVYKTLWLQDSPTEDITSILYDVFDYFEDVRQQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYDSLHLVPKMLNDPSPAALDSRGAFIIHVLSSIYVWIGKICESIMEKDAKAAAFQVVRYERVQGPIVMIEEGKELLDFWEKFLSAIPSDDGTKIKKVQIESATKTGIGKRRVESYDVDFELFYKALSGGIVPPFSSSGHEYEMHLPTRESNWSVLRRKFIPGNISRVFLDTASSKDMDTHSDRLRSLNAETLISPPYSSPSSHSSDSSTCSKSSLDSPSVSPSACSTPLVLSPESHDMPDTLLSSTGPSPQILSTIKSSKPSFRAICSSKHLAQSIAERRGGFSPLKLPTLCKGPSLISKKVLNTSSACDSTQEVIDKSNISETTGNDAIGCTSSVRLESDVKCLGESTISSSENYADNKGNHRQQDDQFLQGSSRLVDIRSENASPKLPLVYRWPSMEKLATFSTEGLDSKAVFLFLIPSTRSGDTGSSVHLWIGSEFEQANGQTQLRSSRDNLIVSIDWHQVGRDFLSSVGLPKDLPIKVVKEEETVRLLELLNST >Ma06_p24350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22869650:22875473:-1 gene:Ma06_g24350 transcript:Ma06_t24350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDSAAGPLPGGRRTFWRSASWSASRTSALDHPRDCASEEKSASNGQFKHRMVPPLTPRSQTQKARSCLPPLQPLAISRRSLGEWPKAGSDDIGEWPHPPTPGAKPDALKLGDSLKLDLSSLRSQGKRDQIAFFDKECSKVADHIYLGGDYVARNREILRQHGITHVLNCVGFVCPEYFKSDLVYKTLWLQDSPTEDITSILYDVFDYFEDVRQQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYDSLHLVPKMLNDPSPAALDSRGAFIIHVLSSIYVWIGKICESIMEKDAKAAAFQVVRYERVQGPIVMIEEGKELLDFWEKFLSAIPSDDGTKIKKVQIESATKTGIGKRRVESYDVDFELFYKALSGGIVPPFSSSGHEYEMHLPTRESNWSVLRRKFIPGNISRVFLDTASSKDMDTHSDRLRSLNAETLISPPYSSPSSHSSDSSTCSKSSLDSPSVSPSACSTPLVLSPESHDMPDTLLSSTGPSPQILSTIKSSKPSFRAICSSKHLAQSIAERRGGFSPLKLPTLCKGPSLISKKVLNTSSACDSTQEVIDKSNISETTGNDAIGCTSSVRLESDVKCLGESTISSSENYADNKGNHRQQDDQFLQGSSRLVDIRSENASPKLPLVYRWPSMEKLATFSTEGLDSKAVFLFLIPSTRSGDTGSSVHLWIGSEFEQANGQTQLRSSRDNLIVSIDWHQVGRDFLSSVGLPKDLPIKVVKEEETVRLLELLNST >Ma06_p24350.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22869650:22875471:-1 gene:Ma06_g24350 transcript:Ma06_t24350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDSAAGPLPGGRRTFWRSASWSASRTSALDHPRDCASEEKSASNGQFKHRMVPPLTPRSQTQKARSCLPPLQPLAISRRSLGEWPKAGSDDIGEWPHPPTPGAKPDALKLGDSLKLDLSSLRSQGKRDQIAFFDKECSKVADHIYLGGDYVARNREILRQHGITHVLNCVGFVCPEYFKSDLVYKTLWLQDSPTEDITSILYDVFDYFEDVRQQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYDSLHLVPKMLNDPSPAALDSRGAFIIHVLSSIYVWIGKICESIMEKDAKAAAFQVVRYERVQGPIVMIEEGKELLDFWEKFLSAIPSDDGTKIKKVQIESATKTGIGKRRVESYDVDFELFYKALSGGIVPPFSSSGHEYEMHLPTRESNWSVLRRKFIPGNISRVFLDTASSKDMDTHSDRLRSLNAETLISPPYSSPSSHSSDSSTCSKSSLDSPSVSPSACSTPLVLSPESHDMPDTLLSSTGPSPQILSTIKSSKPSFRAICSSKHLAQSIAERRGGFSPLKLPTLCKGPSLISKKVLNTSSACDSTQEVIDKSNISETTGNDAIGCTSSVRLESDVKCLGESTISSSENYADNKGNHRQQDDQFLQGSSRLVDIRSENASPKLPLVYRWPSMEKLATFSTEGLDSKAVFLFLIPSTRSGDTGSSVHLWIGSEFEQANGQTQLRSSRDNLIVSIDWHQVGRDFLSSVGLPKDLPIKVVKEEETVRLLELLNST >Ma10_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33060266:33061672:1 gene:Ma10_g24470 transcript:Ma10_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVGGSGGAPTDLLVCFPSRAHLTLMPKPICSPSRTAEAGKRHQARSGTRGQVSPLFRTKSKSMSSEIAEPTSPKVTCAGQIKVRPKSTTRPPPSGGGDKNWLSVVEQIERLHKQRKRAHWLDTGSLKKDIMHVIGALRGLRFNMRCFGAFQGPVDCITDEEDGEGCEEEQEEEEAETSTASRTMFSKWFMLVEENQGTGCKKEGKEEVQEEEAEDEEEEEEEEEEEEAERDECKAPPSSAPPPNALLLMRCRSAPAKGWLKRSGEEETEDEAGEEVTAQMTKEEEKDKERLVLMSYAPDFFKISTDIAKETWVVGSMDPLARSRSWRR >Ma06_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21383461:21384473:1 gene:Ma06_g23400 transcript:Ma06_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSIRCCISCIFPCGGLDVVRIVHASGRVEEISGSVSAGEVMRAYPKHVLRKPPSASLDGVVSPKAVILPPSAELQRGKIYFLMPVSSDKAVPQTTTSATKRKSWKKKKEGKEGEGSWETSTDKKRLLPEVLQEKASAQKNRRRGRVGVWRPHLESISEVSIDL >Ma02_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25652051:25654016:-1 gene:Ma02_g19500 transcript:Ma02_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTTATGALSPLPIDTSFRLPSPLPSWPPGGEFAEGRIDLGGLEVRQVSTFTKVWAVRGGGQDDLGATFFRPSPVPPGFSVLGYYAQPNNRPLFGWVLVATDTGNGDALAKPSDYTLVWSSESSTIKQDGRGYFWLPTPPQGYAAVGLVVTNSSEKPSVEEIRCVRSDLTDQSQSDAYVWSTDGFSVNGLRPSTRGIKALGVSVGTFIAQANGATTATSLACLKNRASNFTSMPNMMQAEALMKAYSPWIYYHPDEEYLPSSVSWLFDNGALLYQKGNQNPTPIGSDGSNLPQGGSNDGAYWIDLPVDGGRRDKVKKGDLSSMKVYLQIKPMLGATFTDVVIWIFYPFNGPAKAKVQLLNIPLGKIGQHVGDWEHMTLRISNFNGELWRVYFSQHSSGAWVDASQLEFQEGNKPVGYSSLHGHAMYAKPGLVLQGDSKLGIGIRNDTAKGSGIDSGGSFEVVAAEYMGSAVSEPSWLNYMRQWGPTVSYDIANELKNVEKLLPKNLRSKLENIIKSLPAEVLGEEGPTGPKEKNSWAMDEK >Ma05_p18130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22811675:22816889:1 gene:Ma05_g18130 transcript:Ma05_t18130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPAPLPPRCPFQSKGVPRRASDSAPQTPQNDTGSYAQNLMDEPPSWVDDLLAEPASGHRGISLRRSSSDPVSLLEVATSFQGPISPANEEDALSDSLLHESLEAAGASEVDGGFEAGSWVFGPNSPRQRSKLTDSESSIVTALLENVPSNPLQYLTVDYPSTSVTSKPHGMEDDYTPLANPDSHKLQRRHSGQRSRVRKLQYIAELERTVDMLQTLGADLATRVASLFQYRLALSMENKKLRQQIASLRQEKNIKDGQHQSLKNEAERLRMICGRHRRSKSVAACFEMDPSELDPSRINWQMLDLGKLNLGGSVFLWQRSKTTLSRRVVSFTWNCEQGKMLNYDVKVCTLADVISTATSHDLSNYYLFSEIHAFAHNGNYHLICL >Ma05_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22811675:22816889:1 gene:Ma05_g18130 transcript:Ma05_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPAPLPPRCPFQSKGVPRRASDSAPQTPQNDTGSYAQNLMDEPPSWVDDLLAEPASGHRGISLRRSSSDPVSLLEVATSFQGPISPANEEDALSDSLLHESLEAAGASEVDGGFEAGSWVFGPNSPRQRSKLTDSESSIVTALLENVPSNPLQYLTVDYPSTSVTSKPHGMEDDYTPLANPDSHKLQRRHSGQRSRVRKLQYIAELERTVDMLQTLGADLATRVASLFQYRLALSMENKKLRQQIASLRQEKNIKDGQHQSLKNEAERLRMICGRHRRSKSVAACFEMDPSELDPSRINWQMLDLGKLNLGGSVFLWQRSKTTLSRRVVSFTWNCEQGKMLNYDVKVCTLADVISTATSHDLSNYYLFSEIHAFAHNGNYHLICL >Ma11_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1082816:1091776:-1 gene:Ma11_g01530 transcript:Ma11_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTVGISQQAPEGEEKRCLNSELWHACAGPLVCLPTAGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPSLPPQLFCQLHNVTMHADVETDEVYAQMTLQPLSLQEQKDAYFPIEMGVVSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIARDLHDVEWKFRHIYRGQPKRHLLTTGWSVFVSAKRLIAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTVFYNPRASPSEFVIPLSKYLKAVFHTRVSVGMRFRMLFETEECSVRRYMGTITGLSDMDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHAPFPHDTKEDELNAVMWPRSGTGEQGLHPFNLPSLGVGSWMPQRLEPLLLGNELNQYQAMSAAALEDLRGVDILKQQFLQSQQPFQFLQQPGTTSHSFHGQDFPQTPQRQAIGSQSPRLLESQPNSAPHQQLQQLANEQQKQQQVQHTHAYADTFQVPNNHVQQPSSLPSRFYDKPDFPDPCLVFSSIAPSSSVQGILGSAYPEGNSNPLNCSQLGQSMVSKQSQQSPGPRRIMSQVTPFGPGVLLPSFAEKDSSGGNKKCPGTQSQAPSLLSIADPSLTMHATVGDASATPYVASCIQNSLYGYLDESSSLLQNSGEVGPQSQTFVKVYKSGSVGRSLDISRFNNYDELRVELGHMFGIEGLLEDPRRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDVHKMGKQGAEAIA >Ma02_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14831900:14832689:-1 gene:Ma02_g03450 transcript:Ma02_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYHVAVLLLLAHFASFPHSLACPYCSTPTTPPKQSPPPPPPPSPCPPPPRSVGPVPPSPKTPSPPSSGTCPIDTLKLDACVDLLGGLVHAVIGQDTQDKCCPVIQGLADLDAALCLCTTIKAKALDINVLLPIALELLVDCGKHVPSDYQCPA >Ma09_p30470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40578777:40581391:1 gene:Ma09_g30470 transcript:Ma09_t30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 4 [Source:Projected from Arabidopsis thaliana (AT3G54540) UniProtKB/Swiss-Prot;Acc:Q9M1H3] MVRKAATDDHRSSASAVSSSRPGKSKESAAVPKKEKISVSAMLASMDKPKPSSSAASKKSKPKPKPSSYIDGIDLPPSDDEEEEMAEDEVAKRKARSAVTDLAAATFSDKELKKREKKDLLVAQAAELARQEVLKDDHDAFTVVLGARASALDPDGQDAAADANVRDITIENFSVSARGKELLKNASVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPRNIDVLLVEQEVVGDDRTALEAVVSANEELVRLRKEVAALSEKPEGGNNDDDDDSGEKLAELYDRLQILGSDAAEAQASKILAGLGFTKEMQRRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCSEIIHLHELKLNVYRGNFDDFESGYEQKRKETNKKYEVYEKQMKAAKKTGSKARQDKVDERAKFAAAKAAKSKAKGKVEDDDAPPPEVPKRWRDYSVEFHFPEPTELTPPLLQLIEVSFRYPNREDFRLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMDENAVQYLLRLHPEQEGFSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALQEFTGGVVLVSHDARLISRVCEDEENSEIWVVEEGTVRKFPGSFEEYKEDLLKEIKAEVDE >Ma09_p30850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40760981:40769754:-1 gene:Ma09_g30850 transcript:Ma09_t30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLAFSGGGASSTDPDGPDGVRMTWNSWPRSKVEASKCIVPVAASVTPIRASPSLVVLPYAPLRCKPPCAAVLNPFARVDFAAKIWICPLCFSRNHFPPHYAGISETNVPGELYPECTTVEYAPPPLDAAAPPPLPVFLFVLDTCLIEEELGYVQSAMRRAIDLLPDHALVGFITFGTQVHLHELGFADMSKIYVFRGTKEISRDQILEQLGLSLAGVRHGAVGGAPAYLKGPQGNGLHPSTSVNRFLLPASDCEYTLNSLLDELQRNQWPVEPGNRDLRCTGVALSVAAGLLGACTGGTGARIIALVGGPCTVGPGLIVSNDQSEPVRSHKDLDKDAAPYFHKAVKFYDNLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHPVFKDSFKRIFEDGEQSLGLSFNGTLEINCSKDIKIQGIIGPCTSMEKKGALCADTIVGQGNTTSWKMCGLDRSTCLTVFFDISPSERSSQPGIPNPQLYIQFLTNYQNPEGQMRLRVTTITRAWVDGSNTEELVGGFDQETTAVVLARYISLKMEMEEAFDASRWLDRSLIRLCSQFGNYRKDDPASFTLHPNFSILPQFMFNLRRSQFVQVSNNSPDETAYFRMLLNRESITNSVVMIQPSLLSYSFNSPPAPALLDVTSISADRILLLDAYFSVVIFHGMTIAQWRNMGYHNQPEHQAFAQLLQAPHDDAQLIITDRFPVPRLVICDQHGSQARFLLAKLNPSATYNSASHEVVPGSDVILTDDVSLQVFCEHLQRLAVQS >Ma05_p25370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37330604:37338333:1 gene:Ma05_g25370 transcript:Ma05_t25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVEMSFERAAASESHFSPDDLNEDDVYVPGKENSSDSFALPSIPIYSTVSYGIGTPDDALASGKPHLLHNARLEKSSSSEIPTTSVRKAVNNNDANLTTFSTPKLSTSSPLVNGTFSSNIKEMAFTSKTEVDESVLSGPSGLKATASLDHTSNKHSEITGEDQIASPWTVHDETYIGVDSTSEISSHVSASSKAKSQSENKKMQASNKEESGSVANGMKTEVQSLKSKACRPLASASDQLSTNGGAHPVAIHKTSKIGNASREPIRLSDSSGAIANGLSKYAKRLVKQSTSPKVSRHYPSETMLFPYDLFIKLYNSDNIELRPCGLINCGNSCYANAVLQCLAFTRPLTAYLLEGLHSKRCPKKDWCFTCEFESLVMNTKQGKSPLSPIGILSHLHNIGSNFGHGKEEDAHEFLRYAIDIMQSVCLKEAGAKPDDVLAEETTLIQQTFGGYLQSKIRCYRCKSNSERCERMMDLTVEIHGDIATLDEALSCFTSPEILDGKNKYECDRCKSRERARKRLSILEAPNVLTIVLKRFQSGKYGKLNKAVRFPEYLNLAHYMSGDDASSMYQLYAVIVHKDVMNASFSGHYVCYVKDTQGKWYKIDDSEVKPVELKKVLSKSAYMLLYARCSPHAPSLVRKAISHDPLQARKIRSKEASGKSGGSSITEQRSHFYPQPMMGDRANFQSSDLFRPSLNDSSSDNSSLFDEGSSCSTESTRDSASTEENWECMSGESDCINSNSLRGSKESNGLTHSPLFSRHSSKKVLHDDSGRNASSSGREIDHVEVGRLGPMKHQGGRTTCSEGSKSSSFLYHDKSQICRVTEHCSTVEIDWINPSEVKSGVVLRRPSREITAQTFY >Ma04_p18930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21374964:21401124:1 gene:Ma04_g18930 transcript:Ma04_t18930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPHGGGPVFRFTQNEVLEMEACLQEVNNAIPPREIICALADKFSATPERVGKIVVQPKQVWNWFQNRRYAQRAKLGKAPNKLTILPMARDESPPFTNVAAPISAPSGRNSTDNALVEFEAKSARDGAWYDVSAFLSHRMFDTGDPEVRVRFSGFGVEEDEWINVRRCVRQRSLPCEAAECVAVLPGDLILCFQVLSAILCLEGKEQALYFDAHVLDVQRRRHDIRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQMLQASKVSGGPVDLQAAARDLTPSSSKDFGLQRTRKQRKLMDVNTDEVTMVALSHPNDPASLQGDNPAAAIENSSSTPSNVMMEDAAVNMESIDS >Ma04_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21374964:21401115:1 gene:Ma04_g18930 transcript:Ma04_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPHGGGPVFRFTQNEVLEMEACLQEVNNAIPPREIICALADKFSATPERVGKIVVQPKQVWNWFQNRRYAQRAKLGKAPNKLTILPMARDESPPFTNVAAPISAPSGRNSTDNALVEFEAKSARDGAWYDVSAFLSHRMFDTGDPEVRVRFSGFGVEEDEWINVRRCVRQRSLPCEAAECVAVLPGDLILCFQEGKEQALYFDAHVLDVQRRRHDIRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQMLQASKVSGGPVDLQAAARDLTPSSSKDFGLQRTRKQRKLMDVNTDEVTMVALSHPNDPASLQGDNPAAAIENSSSTPSNVMMEDAAVNMESIDS >Ma10_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15692477:15695308:-1 gene:Ma10_g04990 transcript:Ma10_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRKPRASSAEKALSYQEQQTKINEVRCLLGQLAERLPNFCSDASILRYLRARNWDVQKSGKMLKETLKWRLECKPETIRWEDVVHEAETGKIYRANYLDKYGRAVLVMRPGFQNTSSAKGQIKYLVYCMENAILNLAADQEQMVWLIDFQGWTMASTSVQATRETARVLQDYYPERLALGILYNPPRIFESFSKVVKPFVDQKTYKKVKFVYSDDAESQKIMTDLFDIDKLESAFGGHNQDGFDISSYAEQMKEDDKKMSDFLNSGGSVLSKEPSLLSILQKPEPSTSEALSQGSSDSFSSGDEESPRSLHVKNSNQNLTAPQVNRSDSGAAKSEAQHELMIQMRESA >Ma10_p04990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15692477:15695113:-1 gene:Ma10_g04990 transcript:Ma10_t04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRKPRASSAEKALSYQEQQTKINEVRCLLGQLAERLPNFCSDASILRYLRARNWDVQKSGKMLKETLKWRLECKPETIRWEDVVHEAETGKIYRANYLDKYGRAVLVMRPGFQNTSSAKGQIKYLVYCMENAILNLAADQEQMVWLIDFQGWTMASTSVQATRETARVLQDYYPERLALGILYNPPRIFESFSKVVKPFVDQKTYKKVKFVYSDDAESQKIMTDLFDIDKLESAFGGHNQDGFDISSYAEQMKEDDKKMSDFLNSGGSVLSKEPSLLSILQKPEPSTSEALSQGSSDSFSSGDEESPRSLHVKNSNQNLTAPQVNRSDSGAAKSEAQHELMIQMRESA >Ma09_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3598921:3599331:1 gene:Ma09_g05590 transcript:Ma09_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFEEADILWPDYDRDEDELTEEWHAGLGTRRRTSSPVEIPSRPQPVRSWTLGFASSDRVQGDDDDKDDGGQTHNVPPHVMVTRRIADKTSFSVCVGNGRTLKGRDLRQVRNSILRMTGFLER >Ma04_p32140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32255445:32257569:-1 gene:Ma04_g32140 transcript:Ma04_t32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYFVLSPLFFSFSGALAATFTLANNCEYTVWPGVLSSAGTAALPTTGFALQKGETRSLDAPAAWSGRFWGRTHCATDSSGKFSCATGDCGSGSVECSGAGAAPPATLAEFTLDGSGGMDFYDVSLVDGYNLPVLVVPQGGSGGGCSSTGCPVDLNGVCPSDLKVVLSTSDGGSESVACKSACEAFGSPQYCCSGDYGSPNTCKPSSYSQFFKNACPRAYSYAYDDATSTFTCASANYLIMFCPSTTSQKKSSDSNSDAASMPLSNDTMVYVGGEQVSHAAPTLPHMAAMLLPISLAVLTLRLGF >Ma01_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7912925:7943485:-1 gene:Ma01_g11040 transcript:Ma01_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVHPDLHLLEDFGQRVDLTRRIREILINYPEGTTVLKELIQNADDAGATRICFCLDRRSHGAESLLSPNLAQWQGPALLAYNDAVFTEDDFISISRIGDSKKQSQTWKTGRFGVGFNSVYHLTDLPSFVSDKYVVLFDPQGEYLPNVSAANPGKRLDYVSTSAISLYKDQFLPYCAFGCDMKKPFPGTLFRFPLRNADQVAASRLSRQAYLEDDISVMFLQLYKEAVFSLLFLKNIIAIEMYEWEAGLDEPRKLHSCSLSSPDETISWHRKALVRFSRCADSSNMQIDSFSLDFLSESFSGTYSEKKSATFFIVQAMASPSSRIGTFATAAAKEYDLHLLPWASVAACISDDSPENSLLRQGQAFCSLPLPVSTGLSVQVNGFFEVSSNRRSIWYGSDMDKGGKLRSDWNRFLLEDVVAPVFNELLLCLRKLVGPTKVYFSLWPSGSYEEPWNILVEHIYKILCSSPVFYSEFEGGRWISLGEAFAHDEKFFQSKELGEALVLLGMPVVHLPDVLVHKLFQFYHSFQDRIVSPVTVRHFLKKCVTLAMLSRTYRLILLEYCISDLDDADVGKYANGLALLPLANGEFGVIHEASKGASYFVCNDLEYKVLTLAPDKIIDKSIAPDLYRRLSKIANSSKTNIRFLDDQSLLEFFPRLFPAGWKYKNRVSWNPELGTTFPTDDWFVLFWQYLRDQPYSLSLLSEWPILPSTSGYLYRALKFSKLVNAELLSDRMKELLAKIGCKLLDTKYGIEHQELSLYVNDGSAAGILNSIFEVLSSNNYQLQMLFEGFSFHEKNELCQFLLDPKWYYAGSLSDLHIKNCKKLPIFQVYSRDQTTIQFSDLESSKKYLPPKGIPKCLLDGEFIFCISEYDEDILLRFYGIEQMKKTVYYKQNVFNRIDELQPDVRDMVMLSVLQDLPQLCLEDSLFRESLKKLKFVVTINGSLKSPQSLYDPRVGELFALLEESDCFPCGPYSESSVLDMLLLLGLRTSVSTDAILQSAHQIESLMHKDQPRAHMRGKVLLSYLEVHAAKWLYNVPNHNFRKVNMVFSKVSLALRHHDVTLEDDLEKFWNDMRMICWCPVLITAPHPSLPWPSVTSMVAPPKVVRLQGDMWLASASTRILDGECSSSALSSNLGWSSPPSGSVIAAQLLELGKNNEIVTDQLLRQELALTMPKIYSLLTNLIGSDEIDIVKAILEGCRWIWVGDGFATADEVVLDGHLHLAPYIRVIPVDLAVFRELFLDLGVKELLKPVDYANILFRMAARKKCSPLDGQELRTAVLLVQHLVEVQSQDLKVQVYLPDASCRLLPSTDLVFNDAPWLLVSSESSFGDTSTLAFDAKREVYNFVHGNISNDLAEKLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEALTTRLKHIVEMYADGPGILFELVQNAEDAHASEVFFLLDKTQYGTSSILSPEMAEWQGPALYCFNNSVFSSKDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPSFVSGENIVIFDPHASYLPGISPSHPGLRIRYMGRRILEQFPDQFSPFLHFGCNLQEPFPGTLFRFPLRSESAASRSQIKKEKYAPEDVEKLFLSFSETVSQALVFLRNIEKITIFVKDGTDQQMQLIYSVTRHKVSGLVKQPHQLHSMLNFIHGDLRSGMDMDQFLKKLSKTEDKDLPWYCQKIAVVEQNSVGHVLHFWFISETIGGGRARKKCLSLDSRSHKLIPWASVAAYMCSIDLKDVKELNKVLNQPVSGVIQDRKKFEGRAFCFLPLPVTTGLPVHVNAYFELSSNRRDIWFGNDMAGGGKARSEWNICLLEDVIAPSLGRLLDVLAQEIGPCDLFFTHWPTAVGVEPWSSVVRKVYASIADLGLTVLYTKARGGQWISAKQAIFPDFDFPKAVELAEALSEAGLPIISFSKSIVENFVEVCPSLHFLNPHLLRTLLIRRKRGFKNKETVIMTLEYCLSDMTGSTFYNKLQGLPLVPLANGSFTTINRHGEGERIFITYQHEYDLLKDSIPHLLVDCTIPDEAFKTLYSMANSGQSNIHVLTCFSLVELFPRILPTEWQLSKQVSWTPGFQGQPSLQWMGLLWSYLRESCSDLSIFAKWPILPVGNGCLLQLIENSNVIKDEGWSENMYSLLQKLGCSFLRSDLPIDHPQLKNYVQDATANGILNALQAISCQQQNLSDLFDSASVGEKHEFRSFIFQSKWFSGNHLCTRNIDTIKLLPVFECYRSRELTSLVSPVKWLKPEGVHEDLLDANFIRTESEKERSILRSYLRIREPTKFEFYKDHVLNRIPDFLSQPSILSSILLDVKLLVEEDITIKAALSDIPFVLAADGSWQHPSRLYDPRVPGLQNMLHKEVFFPCDKLIKAEMLDSLVSLGLKRSMSFTSLIDGARTVSILHDSGNGDALAYGRRLLEFLNFLGFQLSQSSVNEKDDRCDSLILSKSDSFAFGDSQVEAPLDGLCRSNQGEFDIFSNFVHDQSEDEFWTELATIAWCPVYVAPPVNGLPWFISENCVASPNATRPKSQMWIVSSKMRILDGDCCSLYLQQKLGWKDKPNIEVLCSQLIELSRSYDKLKTQSEEEPSVDTVLTREIPSIYLYLQEFVGTDRFKVLKEYLDGVPWVFIGDNFVFPRLLAFDSPVKYHPYLYVVPSELSEFRVLLSELGVKLTFEAIDYVHVLQCLNRDVSEEPLSAEQLSFVRRVLEAFADCYIEKRIPDALLNSLLIPDSSGILMPTLSLVYNDAPWMKNNSPGEKHLVHPSINDELARTLGVQSLRSLSLVDEEMMRDLPCMDYATICELLALYGDSEFLLFDLVELADKCKAKKVHLIYDKREHPRQSLLQQNLGDFQSASLTIVLEGPTLSMDEICNLHLSPPWKVQGSALHYGLGLISGYFICDLMTIVSSGYFYIFDPLGLALAAHSNGGPSARLFSLIDTDLTKRFNDQFSPMLINKETSVSSSNSMVIRMPLSSKCRKEEESDCLRVKHIFDRFMHHASSSLLFLKSVLQVSLSTWDEGSLHPSLNYSISVDPSFAISRNPFSEKKWRKFHISRLFSGSGAATKINVIDVQVINGGSISVDKWLVVLSLGSGQTRNMALDRRYLAYDLTPIAGVAAQISKNSHPINAHTSSCVLSPLPLSGALSMPVTALGCFLVCHDGGRYLFSRPHETTFPELQLETRNHIIEAWNRELMLCVRDAYVELILEFQRLRKEPISSTIEPNLARSVCSILQAYGDKIYSFWPRSKQQFVISSELDVAASGSSSSKKIEADWQSLIEQVIRPFYMRLVDLPVWQLYGGNAVKADEGMFLSQSGNGDDSNLPPTNVCSFIKEHYPVFSVPWELVREIQAVGIKTKEIKPKMVRDLLKSSSSVLVRSIETYIDVLEYCLSDIQLQQSFGLLRTDGSGEGSSLQIESIIPSNTNVLRSHQNAAQNSSNSGGDALEIVTYFGKALYDFGRGVVEDIGRTGNTLSYIPATAGTGPYADRLLPSVVAELKGIPFPTATKHLVRLGVTELWIGSKEQQSFMHPLTDGFVHPLCLEKHILTALLSDKNIQRYLKLRGFSAHLLSSNLKFLFNEQWVSQVMSSNRAPWVSWNTNTDPPGDGPTREWIQLFWKTFTALKGELSLIADWPLIPAFLNGPVLCRVKELHLVFVPPISDLNLVNGTSGTNSEEVGLLDSSVDNIPNLELNKLYYSAFELTKSKYPWLFCLLNQFNVPVYDVSFLEYGVPNNILPAHSETLCQVVVSKLLAAKVAGYFSVPVDLSNEDRDKLFALFALDVKSFNGCPYKREELDLLRELPIFRTVLGTYTRLFSPDQCILSPSTFFRPRDERCLSNTMDANALFHALGINELRDQDVLVRFALPDFERKTSGEQEDILLYIYLNWKDLQLDSTVVNSLKETSFVRNANELCSELFKPRDLLDPHDCLLTSIFSGEHNKFPGERFITDGWLQILKKTGLRTFLQADTIIECARQIEKLGNEHIGDRQDADDFEADFSGNQNDVSFEVWNLAVSLVETILANFASLYDNSFCENLGKISFIPAEKGFPSIGGKKGGKRVLTSYSDAVLLKDWPLAWTIAPILVKQNVVPPEYSCGAFRLRSPPLFSTVLKHLQVVGRANGEDTLAHWPTSTGMMTVEDAFLDILKYLDKIWGTLSSSDILELQKVAFVPVANGTRLVTVNSLFVRLMVNLSPFAFELPSLYLPFVKILKEIGMQEVLTVSYARELLLNIQKSCGYQRLNPNELRAVIMILNFMCSEVVLSTSSELDWLSDAIIPDDGCRLVLARSCVYVDYYGSQFLSNIDTSRLRFAHPELSESIFMAFGVKKLSDIVIEELDGPKLQVVSQIGSVSLSRVKEKLFSKSLQEAVLMLLGNISNHYPSLEDLGLSQIRHLLEHIAENLQFVQWLHTRFLLLPKLLDITRITKHSTIVEWDDSVKHRTVYFIDKSKDHILIAEPPSFMTVYDVVATVTSQVLGAPVTLPFGPLFACQDGSEKAVLRALKLGSEHGTIKRESKNNSLVGKELLSQDALQVQFLPVRPFYSGEIVAWKTGREGEKLRYGRVPEDVKPSAGQALYRFPVEIAPGETQVLLSSQVFSFKSVSMSNVACMPSLREDNEGINRNRMLHGQTSKDSGNEKMKSQTSKELQYGKVSAQELVQAVHDMLWAAGINMDAEKQTLLQTTLTLQEQLKESQVALLVEQEKVDAAVREADAAKTAWSCRVCLSAEVNITIVPCGHVLCLRCSAAVSRCPFCRTQVSRTMKIFRP >Ma04_p18710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20814853:20849536:1 gene:Ma04_g18710 transcript:Ma04_t18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGPLPDLAQLQSTMVAIERACSLIQMHMNPAEAEKIINPLRQSSMPYQTCRFILENSEVPNARFQAAGAIGDAAIREWGMLTNENRKSLILFCLHYVMEHASAADAYVQLKVSAVAAQLVKRGWFDFIEAEKIGILIEVKQAILGFQGSDMQSAGISFLESLVSEFSPGTSTGMGLPKDYHEQCHSSMELNYLKEFYCWAKSAALNVADKIVSCYANISEEKVCSRALRFMFQVLNWNFKSSPSALDNSSSKSNSGSFGIRPDMGLLKKFERSLLEPGPLWHDALLSSGHMLWLLNFYAIVRQKHSSDMLWFDSPLAVSARQLIVQLCSLTGTIFPSDDGEMHIKHLTQILSAVIEWVEPPNIISGALRSGRSESEMIDGFHALLSMATLTSTMLFDNLLRSLRPFGTIHLLATLTCEVVQTYVIKNDDEQTWSSEALDIMLEIWTVILGRSGNDMKISAEGISSASNLFSTIVESHLKAAAESAFEDDSEADYFHVSVSRRDETLYAYALIARAAVETTVPFLTRLFSERFALLSQNNERMDPTQTLEELYWLLLITGHVLTDSGEGETVLVPEAIQDGFSGVTEELQHPVIVLSWSIISFAKQSLDPKMRNAYFSPRLMEAVIWFLARWVDTYLMPIDAAKGQLGISGHDEGLQQHPQSSKKVLLSFAGQHSQGETILDTIIRISMTTLTSYPGENELQALTCWKLLVVLVRRRHVCIHLVALESWRDLARAFANERTLFSLNARLQRSLAETLVCAASSFKDLETSNQYVRDLMGPMTAYLVDISSRNDLKAVAQQADAIYMVSCLLERLRGASRATQPRTQKSIFEMGCAVMNSLLTLLELYKNQGEVAFLSAKETSILVNFCLQLLRIYSSHNIGKISLSLSTSLQSEAQTEKYKDLRALLQLLTNICSKDLVDFSSFSNDAESTDIAEVIYVGLHIVTPLISLELLKYPKLSCDYFALISHLLEVYPEKVAQLNKEAFDHIVRTLDFGVCHQDVDIVDKCLRAVNALASYHYKEKIAGKEGLGAKALSSEESNGEFQQSILSYFLRLLIQLLLYQDFRMELAGSAADALFPLVLCEQDLYQRLVQEILEKQSNPAIKTRLASAFYALTSTNQLSSSLDRNNRFRFRKNLQVFLIEVSGFMRII >Ma04_p18710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20823503:20849536:1 gene:Ma04_g18710 transcript:Ma04_t18710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAGISFLESLVSEFSPGTSTGMGLPKDYHEQCHSSMELNYLKEFYCWAKSAALNVADKIVSCYANISEEKVCSRALRFMFQVLNWNFKSSPSALDNSSSKSNSGSFGIRPDMGLLKKFERSLLEPGPLWHDALLSSGHMLWLLNFYAIVRQKHSSDMLWFDSPLAVSARQLIVQLCSLTGTIFPSDDGEMHIKHLTQILSAVIEWVEPPNIISGALRSGRSESEMIDGFHALLSMATLTSTMLFDNLLRSLRPFGTIHLLATLTCEVVQTYVIKNDDEQTWSSEALDIMLEIWTVILGRSGNDMKISAEGISSASNLFSTIVESHLKAAAESAFEDDSEADYFHVSVSRRDETLYAYALIARAAVETTVPFLTRLFSERFALLSQNNERMDPTQTLEELYWLLLITGHVLTDSGEGETVLVPEAIQDGFSGVTEELQHPVIVLSWSIISFAKQSLDPKMRNAYFSPRLMEAVIWFLARWVDTYLMPIDAAKGQLGISGHDEGLQQHPQSSKKVLLSFAGQHSQGETILDTIIRISMTTLTSYPGENELQALTCWKLLVVLVRRRHVCIHLVALESWRDLARAFANERTLFSLNARLQRSLAETLVCAASSFKDLETSNQYVRDLMGPMTAYLVDISSRNDLKAVAQQADAIYMVSCLLERLRGASRATQPRTQKSIFEMGCAVMNSLLTLLELYKNQSAVVYLILKFVVDFVEGEVAFLSAKETSILVNFCLQLLRIYSSHNIGKISLSLSTSLQSEAQTEKYKDLRALLQLLTNICSKDLVDFSSFSNDAESTDIAEVIYVGLHIVTPLISLELLKYPKLSCDYFALISHLLEVYPEKVAQLNKEAFDHIVRTLDFGVCHQDVDIVDKCLRAVNALASYHYKEKIAGKEGLGAKALSSEESNGEFQQSILSYFLRLLIQLLLYQDFRMELAGSAADALFPLVLCEQDLYQRLVQEILEKQSNPAIKTRLASAFYALTSTNQLSSSLDRNNRFRFRKNLQVFLIEVSGFMRII >Ma04_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20814853:20849536:1 gene:Ma04_g18710 transcript:Ma04_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGPLPDLAQLQSTMVAIERACSLIQMHMNPAEAEKIINPLRQSSMPYQTCRFILENSEVPNARFQAAGAIGDAAIREWGMLTNENRKSLILFCLHYVMEHASAADAYVQLKVSAVAAQLVKRGWFDFIEAEKIGILIEVKQAILGFQGSDMQSAGISFLESLVSEFSPGTSTGMGLPKDYHEQCHSSMELNYLKEFYCWAKSAALNVADKIVSCYANISEEKVCSRALRFMFQVLNWNFKSSPSALDNSSSKSNSGSFGIRPDMGLLKKFERSLLEPGPLWHDALLSSGHMLWLLNFYAIVRQKHSSDMLWFDSPLAVSARQLIVQLCSLTGTIFPSDDGEMHIKHLTQILSAVIEWVEPPNIISGALRSGRSESEMIDGFHALLSMATLTSTMLFDNLLRSLRPFGTIHLLATLTCEVVQTYVIKNDDEQTWSSEALDIMLEIWTVILGRSGNDMKISAEGISSASNLFSTIVESHLKAAAESAFEDDSEADYFHVSVSRRDETLYAYALIARAAVETTVPFLTRLFSERFALLSQNNERMDPTQTLEELYWLLLITGHVLTDSGEGETVLVPEAIQDGFSGVTEELQHPVIVLSWSIISFAKQSLDPKMRNAYFSPRLMEAVIWFLARWVDTYLMPIDAAKGQLGISGHDEGLQQHPQSSKKVLLSFAGQHSQGETILDTIIRISMTTLTSYPGENELQALTCWKLLVVLVRRRHVCIHLVALESWRDLARAFANERTLFSLNARLQRSLAETLVCAASSFKDLETSNQYVRDLMGPMTAYLVDISSRNDLKAVAQQADAIYMVSCLLERLRGASRATQPRTQKSIFEMGCAVMNSLLTLLELYKNQSAVVYLILKFVVDFVEGEVAFLSAKETSILVNFCLQLLRIYSSHNIGKISLSLSTSLQSEAQTEKYKDLRALLQLLTNICSKDLVDFSSFSNDAESTDIAEVIYVGLHIVTPLISLELLKYPKLSCDYFALISHLLEVYPEKVAQLNKEAFDHIVRTLDFGVCHQDVDIVDKCLRAVNALASYHYKEKIAGKEGLGAKALSSEESNGEFQQSILSYFLRLLIQLLLYQDFRMELAGSAADALFPLVLCEQDLYQRLVQEILEKQSNPAIKTRLASAFYALTSTNQLSSSLDRNNRFRFRKNLQVFLIEVSGFMRII >Ma06_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16162682:16165116:1 gene:Ma06_g21770 transcript:Ma06_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERPSEVAVHITGFGRFLGVPENPTEGIVAGLEHFMQEKGLPQGLRLGSCSVLETAGEGALAPLYRVLESAVPQTSTDSGRVIWVHFGVDGSSSCFAVEKQAVNEATFPCPDELGWKPMRVPIIASDGGISQVRQTTLPVDDIVKALAKTGYLARTSLDAGSFVCNYVYYHSLWFAEQHGFDSLFVHVPPFETIHKEIQMKFVACLLDVLASLP >Ma01_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8838411:8841862:1 gene:Ma01_g12170 transcript:Ma01_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYAGMGGDAPDLVCQLDCAQGMVDALSSVRWKRHQDAVVEISEHGVVLIVEESGCLQAKVYLKRELFLVYEYTAEGRPRFGLSLGLFVDCLNTFSTPGHAPVIEIRYPGPDMQLLLKTVDSPDACIYAEIRTRIPDTISWDYNFQPTGNVHATFTVRSAVLKEAVDDLEWPGSSIEIVLQPDPPSVILRGEGHGDLQVEFPYYANTELLIAFQCDHRVSYRYKYKFLRATTSHIPNSILKDNRGSKVTIGRGGMLKIQHLVSVKRAGGQHSHGDTSGGIQQLSRIAYIEFFVKPEEDENPVNDT >Ma07_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29290085:29295403:-1 gene:Ma07_g21230 transcript:Ma07_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescence phenotype 173 [Source:Projected from Arabidopsis thaliana (AT1G16720) TAIR;Acc:AT1G16720] MALRQIYHHETGLRPSSSSSLVGIPVPNPGRLRFKPSPALPLARPRSELGGGEEQGKAEKGDGDAKKGRRKEGVTLDDVNPVGLGRRSRQIFDEVWRKFSGLGQISRSLTDDDDGLLLIRGPMCEFTVPEAQDTTVLVVGATSRIGRIVVRKLMLRGYKVKALVRKADPEVIDMLPRSVSIVVGDVGEPSTLRAAVQGCNKIIYCATARSAITGDLNRVDYQGVCNVTKAFQDYNNQLAQLRAGKSSKSKLLLAKFKSAESLEGWEVRKGTYFQDVVAAKYDGGMDAKFEFTETGDAVFSGYVFTRGGYVELSKKFSLPLGSTLDRYDGLLLSVGGNGKSYILILETGPLADTSQSKLYFARINTKVGFCRVRVPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQKLVEAPGANKQDPRSFKLIMEYIKALPTGIETDFILVSCTGSGIESSRRDQVLKAKKAGEDALRRSGLGYTIIRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSVLEKNT >Ma11_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1984685:1987418:1 gene:Ma11_g02710 transcript:Ma11_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRILAFLLPLFLPSALFVSTTAFNPDTSHYLNCGSAPGIVITTDVPSRNFTDDSPFLSDSAKYPSLSNPSVAASSSSLYSTARVFTSSASYRFTINTHGTYVLRLHFFPFSGNSYNLSSARFGVNALQRGVVLLEDFSAPISTAPTIKEYFLWVDSDELVVTFAPSPSSSLAFVNAVEVFTAPANLIINEDSQPDIAQLSRQALETVHRINMGGPRVNDSLWRTWIADDEYLLNEVASLSNHTDPDKITYQQNESDDVAPRTVYSTARTMNISSSLRANPSFNFNVTWSFPVVAGYKYLVRTHFCDFISPAINNDISFYLYVGNLRVKEIRASDRVQFLAQAFYIDCDLEVPSSRTINISIGRDVDRTTALNANAILNGLEIFKEIDGTSNLLSNSSNGVPIAAIVGVVVGGVLLVSLLIIFVMVFIRKRRRSKPLPLLPNESWSPFRETPRGNSVGRSSKSTEGTALAASLRVNLGLYIPLLDIKAATNDFDESLVVGSGGFGRVYRGVLADGTKIAVKRAMPGSKQGYPEFQTEILVLSGIRHRHLVSLIGYCDEQSERLLVYEYMEKGTLRNYLYGSDKPCLSWKQRLEICIGAARGLHYLHTGYSHTIIHRDIKSTNILLDENYLAKVSDFGLSKLGPSFGETHVTTGVKGTFGYFDPEYFKTQKLTDKSDVYSFGVMLFEVLCARPVIDRSLSMEQLNLAEWALHWQRRGQLEKIIDQRLVGKINTNSLRKFGETAEKCVAEYGIDRPAFADILWNLEYALQLHVTELKREPHEDSGAVESQMSVAAMRDVDTASLNFDEANDRSRMARQGESDLMASIVFSQLVTDEGR >Ma05_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6718611:6719740:1 gene:Ma05_g09240 transcript:Ma05_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKTFLIFLLLILLLASSSCQAGRTQTGKEPAYLVARMNSRKALLETTLDYDYGGANPKHDPKKGKPGIGGKP >Ma06_p34190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34546395:34550153:1 gene:Ma06_g34190 transcript:Ma06_t34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRPPDLPQSANPNPKFLPNRPAADTAAPSSMFHRLNPTPCAPPQGIRHRRARSELAFRVPEDLDHSSGDFFAVGGADKVGSEDDLFCTFMDVEQVEGSGSASEVGVWGDWSAESVEDRKISDAAGAAPSRPKHRHSASVDVSSMLSSVPLMGEEVFAEAIEAKKAMTSEQLAELAAVDPKKAKRCLIFVRILANRRSATRSKERKARYISELERQVQNLQTEATTLFAQFTVFQRDTTGLSAENTELRLRLQAMEQQAQLHDALNMALKQEVERLMLATGEVSNPPSGAYNGGLQHIPYDPSFASSQQQPVHHQAIQLQPKFQQSQPGASCNHLPAHQNSLPAMMEQDPIGGLQGLDISKSSIVKLEEGSSVSADGTRNV >Ma06_p34190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34546395:34550153:1 gene:Ma06_g34190 transcript:Ma06_t34190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRPPDLPQSANPNPKFLPNRPAADTAAPSSMFHRLNPTPCAPPQGIRHRRARSELAFRVPEDLDHSSGDFFAVGGADKVGSEDDLFCTFMDVEQVEGSGSASEVGVWGDWSAESVEDRKISDAAGAAPSRPKHRHSASVDVSSMLSSVPLMGEEVFAEAIEAKKAMTSEQLAELAAVDPKKAKRILANRRSATRSKERKARYISELERQVQNLQTEATTLFAQFTVFQRDTTGLSAENTELRLRLQAMEQQAQLHDALNMALKQEVERLMLATGEVSNPPSGAYNGGLQHIPYDPSFASSQQQPVHHQAIQLQPKFQQSQPGASCNHLPAHQNSLPAMMEQDPIGGLQGLDISKSSIVKLEEGSSVSADGTRNV >Ma00_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44108787:44111624:-1 gene:Ma00_g05090 transcript:Ma00_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDYTSLNNACPKDCYPLPKIDQLVDATADHARLSFMDAFSGYNQIKMAPEDQEHTAFLTEQGIYFYKVMPFGLKNAGATYQRTVNRIFAHQIGRNMEIYVDDMIVKSRTAEAHPSDLAETFDTLRRFGLRLNPAKCAFGVTSGKFLGFIIHERGIDANLEKIQAIIDMQPPRTIRDLQRLNGRLVALSRFLSRSGDRCLPFFQALKDPKNFRWTTECERAFERMKQHLANLPRLTSVSPGEKLSLYLAASQHAVSSVLVKENSSDQLPVYYVSHMLSGLEERYPPIEKLALALVLSARKLRPYFQAHTIEVITDQPLRLVLSKFDVAGRLLKWAVELGEHDIQYIPRTAIKAQAVADFIAELTPSTGEELEPPRETWTLHVDGSANAKGAGAGLVLETPDGRSIERSFRFGFRATNNEAEYEALLAGLQLALEMRVTDIRVITDSQLVARQLDGGYEARDPTMAKYLAQVKSLATKFAHFELSNVPNSENQRADTLAKWASGSAPWARPETEVLPHRAIEVIATVTGGAPATWVQEMLRFKRDGALPDNETTARRLRRTQAWYVEEEGRLYKRSFSRPLLRCLEPNEARTILSDMHEGACGVHIGERALAHKILRQGYYWPTMRQDAKAFVWRCGSCQEHARTARRPAVLFTPVDCAWPFAQWGLDILGPLPPASGQRKYIIVGVDYFTRLVEAEPLATITESQVERFVWRNLITRFGLPQSIVTDNGPQFADRRFQEFCAKHKIQLRFSSVAYPQANGLAEVTNRSIVDDLKRRVSAARSAWIDELPSVLWALRTTPKTPTGESPYSLTFGTEAVLPSEVAIPTPRTADYGEEASGEGLRFNLDLLEERRADAHQKALSYKRAVARVYNRRVRPRSIKLEDLVLRKIEVNRPTQARGKLTPKWEGPYRVIGVSRPGTFRLATMDGDPVPRTWNIQNLRKYFV >Ma04_p31660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31920555:31921139:1 gene:Ma04_g31660 transcript:Ma04_t31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDFQVESGTVMYNFADDGWRGRLWLRTHCTTDNHGIFSCLTGDCGSEVQSCEGKPAKAPVTLDFLNFSGNDTQYTYDVSLMHGFNVPAMVYPQNSSCEPTGCPADINAICPSDLRVKDSAGKTIACNSACDAYRDPKLCYINEYGSRAKCQPSSQAKVFMQACPLAHTWTYDGRAFACWGSDFNITLCPKV >Ma06_p35390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35244417:35245214:-1 gene:Ma06_g35390 transcript:Ma06_t35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEDEIHEHATRSPPSTGLDAGGGETSIDITALDGIVNVNAILTLAIFVGLAWNPSSSGAGDEGLSPPICVAGAQVEKDLVSFHVFAFASFLFSSLVALCLKQAVHLAGPHGRSARVNRALLRAGIVASAVGSVFGCGFLMLALVNVVQIKLGTLGCSGPALGAVVPLVTLIPAAMLIYSAIVFYAFTR >Ma11_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10226253:10229880:1 gene:Ma11_g10640 transcript:Ma11_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSASDPPSVSSRQSYVFPLRMMRLWPGGRGGLMEKGSEEAGAEDEEKREEAEEDEEAEEGAVLGEKRGNWVQNILRVGSIWPRKEAAAATDNREEEAAVVEEGDVCVGAGCAHGFEGCVVGGEEAERMAFDRESFSRLLRRVTLAEARLFGKMAYLCSLAYITPNIKPKGLLKRYALRFVTSSFDQRGKSSASDKKQTPVQDQELEEITSAASYLHGENEEKGTIKSLKEMSFVATTNSVTAVVAGKEEMKDAVAKDLNSAKNSPCEWYICDDDSSSTRYFVIQGSESLASWQANLLFEPIQFEVMPIDDALDVLVHRGIYEVAKGMYQQMLPEIKAHLESHGDSATLRFTGHSLGGSLALLLNLMLIIRGEAPASSLLPVITFGSPSIMCGGDSLLHKLGLTRSHVQAITMHRDIVPRAFSCHYPDHVAKILKAVNHNFRRHPCLMKQAIMLSLYQSNFFSTFSQQKLLYAPMGRLLILQPEDEFSPYHHLLPPGSGLYVFGNSSTDSDDSERLLQAARSAFINSPHPLEILSDRTAYGSEGAVSRDHDMRSYLTSVRAVIRHELILIRKVKRERCRRKVWWPLLIAVGSDTTKRHSGFSSFFLGERNQ >Ma07_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4114936:4115418:1 gene:Ma07_g05650 transcript:Ma07_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHKRLLAWDAESPPSEEMELEELRRGPWTVEEDIVLVEHVTEHGEGRWNALARRAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEHLLILDLHSRWGNR >Ma05_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11730063:11736695:1 gene:Ma05_g15550 transcript:Ma05_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVDSNSKIDIRYDKRRWFGNWGKRIGNHKQGKKKVVPQRSSLYIEEKKYYLKYIFYHLKLLSYHYQISKRSATSLANGYRSKVSIRKVHGLICGSLSSFSNSDRRATATARYSCKQGQGNSSSEGSSYNETPSWNISSQGCNSRCSYYPRPGYLC >Ma04_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14733821:14791028:-1 gene:Ma04_g16080 transcript:Ma04_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MAHRMAAAAMAVAVVLTALAPWPVAPTSPGPHITDLNVLLPPRMTHSVEYRLQGSGGCFAWSWDHHDVLRVQPEYNVSSRCSTSARLISISRYSGRKETAVYATDLHSDITIRCKVIIDTISRIQIFHHAVKIDLDELSTLRIRAFDSEENVFSSLVGLQFLWKLFPKSLESDSINHLVHIPLKETPLSDCGDLDLQIELEDRGVGSDLYVVKGVAIGHEVVSAQLLEPQLEHVMDQIILTVAEAMSLDPPSPVFVTVGALLCYSLRVIHLKTAKVVDLPSPHHRWYVTNSSVAHVDIMMGVVHALNLGITDIIVEDTRVSGHAQTSTMHIVIPDKLCLYIVPVTNDSTPLEGMAPISSSDVWYVFPGQEYIVHIKVFSKGPDANEILVTENNGLRLESNTSKYWDLYSVSKDVTSIYNRENSRLLIPISQGKGTLTAALTYQRENLEMVEVLSIVQEVNVCSKVKLILEEEHDYNFGTIHLPWAPGIDQEFKIKATGGCGKYLQDYKWFSSNEAVVSASGFGSLQAKRPGHVIIKVISVFDSANFDEVAVEVSVPAAMVILPIFPVEVVIGTQLHAAVTLRTSNGNYYSRCDAFSTSIRWKVSSESGSFKFMNTTDLLSTDIFRHVDDSKPQYGFPCAWTSLFAFGVGRAVLHASLSIESVPYFQSLDQIITLKAVSSIAAYYPLIAYQAGNGDQFGGYWVDLSKTDATFQDLDGKGLDELYLVPGSMMDVLLLGGPERWDQKVEFIETVGVLGEQNLSVVQLHETSSGRRLYKVVCQTFGKFKLLFSRGNLVGDDHPKPAIANLELTVLCGFPSSIVMIVNEPASKLDVIEAAINADRNPARLRVSPISVSNGCTIRISAVSIHATGRAFANSSSLCLRWELSGCEELAFWNDTNSVVQFDGAKWERFLVLKNASGLCIVHVTVIGFSEEFNSHRYEEASSLLEVAALTDAMPLQLVASLRVLPEFALIAFYPEAEVNLSITGGTCFLDAYINDTQVAGIVQPPESTECSHFTVGARGLGMALVIVRDSGLSPPASASALVKVASVDWIKIISQEEISLMEGTTKSFDILAGTEDGSIFDSSQYMYMKIKVHLEDGILEPVDEYHSSRTGNWLVREPNFSVRAAKLGIATLFVSVSQQSGYEIVSQFVKVEVYGPLRLHPEYLYLLPGVSYLLTVKDGPRIGAFVEFTSLHEEIVVVQKPSGKLFAKSIGNATVRAAVYGNGDSLICEAYAKIEVGIPPAMGLNLQSDQLCVGCKMPVFPSFPEGDLFSFYEVCQEYKWTIGNEKVLSFRIDSCEQDGYPCHSVDSDGAFINVLTGRSAGRSEVSIFMSCDVVLSGSPQQLSYTASKSLEVVPSPPLALGIPITWILPPFYMTSEILPRLSDSYGQLDSRKSITYSILRVCGRNDVLKQEGMTIDGGKIRTKQSKENICIQANDHATGRAEIACCIKVAEVSQVWVTTTEALLHVAYLAVNSKLELDIGYSDYLGYPFAEAHGVVPLEVETNHPDVLSIFMSSKDNNSTHGNEHVLIEAKKPGNALVRISINRNPRNADFILVSVGAQLYPRNPVLHVGQYLNFTVVGDGIDGLQSGKWLSGNGSVLLVNRITGEGYARGEGATQVIFVGSNLKLQTTVAVMKVGQLSVYAPAKTLTNIPFPTKGYMFCVKYSEPVDYKLEATGNNEAPFDCRVDPPFVGYSKPYINNVTGYSYCLFFPYSPKHLLSVMSKSSIRQQGNANSEGSVSVSIIASLKETPNVIGSAHAAFVGGFVLDTEKLNLTPKVNKSIIAIMGNTDVEISWNAKDLLSVNPLNIVSFGMVGIIEYEVKVLRSQKFKDKIAIVLPATGQRTEIDVTYEPGEGTSASGVSNITWTAVLICAAVLMVTVGVFMRLLERPDRSLLSRQAGPTSSAVAGPVTTDSISTGNFQSSPRTPQPFMEYVRRTIDETPYYNREGRRRFDPRYTY >Ma03_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17579532:17583629:-1 gene:Ma03_g16030 transcript:Ma03_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGEVVSMDGLVGASSSEGGNLFSSSLTSQDTQVSRQRGFFGSIFHKHDRPAEPEDCDWRSLKMARTEALVAAPTKTPHFLRSDSHHLFPDGEHMLSFSSTSKQSDMAVSGDATLPYHIRPSAPSPTGCYLRNAGLFSATSHASMQGVLARVRGPFTPSQWLELEHQALIYKYLVVNVPIPATLLVPIRRSLNASGFPSLSSGSFDSVGWGQFHVGFSGNADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGHTGHAAKAMPAIASSQSASAVPDGTLSASLTISQHENKKLQSNITDPHPVQLNGVLMSKEDRNDCLRNLKGLSMLNPANQNSLNNSFSLSKQHNPFGETSSRTDFGLISADSLLNPSVSSFSDNIGLIPNTKLNDQQSNSHAFRHFIDVCPKSQSDHSTVTWPEIEETQSDRTQLSISIPMSCSDFSSSSSSNHDKLALSPLKLSREHDPIPMGLGVGLLNEVCHRQASWRPISWEASMAGPLGEVLTSTNSSTPKDQSKNCSSSLNLLCDNWDSSRQLESSPTGVLQKASFGSLSSSNGSSPRVENLKIHESTGKLCNELLGSAVVNTPTIPSL >Ma03_p16030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17579532:17583630:-1 gene:Ma03_g16030 transcript:Ma03_t16030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGEVVSMDGLVGASSSEGGNLFSSSLTSQDTQVSRQRGFFGSIFHKHDRPAEPEDCDWRSLKMARTEALVAAPTKTPHFLRSDSHHLFPDGEHMLSFSSTSKQSDMAVSGDATLPYHIRPSAPSPTGCYLRNAGLFSATSHASMQGVLARVRGPFTPSQWLELEHQALIYKYLVVNVPIPATLLVPIRRSLNASGFPSLSSGSFDSAVGWGQFHVGFSGNADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGHTGHAAKAMPAIASSQSASAVPDGTLSASLTISQHENKKLQSNITDPHPVQLNGVLMSKEDRNDCLRNLKGLSMLNPANQNSLNNSFSLSKQHNPFGETSSRTDFGLISADSLLNPSVSSFSDNIGLIPNTKLNDQQSNSHAFRHFIDVCPKSQSDHSTVTWPEIEETQSDRTQLSISIPMSCSDFSSSSSSNHDKLALSPLKLSREHDPIPMGLGVGLLNEVCHRQASWRPISWEASMAGPLGEVLTSTNSSTPKDQSKNCSSSLNLLCDNWDSSRQLESSPTGVLQKASFGSLSSSNGSSPRVENLKIHESTGKLCNELLGSAVVNTPTIPSL >Ma08_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37493112:37494299:1 gene:Ma08_g24240 transcript:Ma08_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKEIYAATNNLRALNFIGQGIAGKVYKGVLPDGCHVAIKHIKDGYAETFMREVTSLSHIRHPNLVALQGYCEEEDECFLVYELCTNGNLSEWLFGKDKTLSWTQRLEIAIGSACGLWFLHTYPEGCIVHRDVKPTNILLGADLEAKLSDFGLSKVVDVGESHVSSEVRGTFGYVDPEYRQNHRVNAAGDVYSFGIVLLQLLSGKRVVNLNVMRRPTSSSRMPKILTRGEELSDFADPRLRGEYSVEAFELSLKLALSCVAHKQQRPSMEQVVASLETALQISTTTESYSLSIY >Ma03_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12143963:12149798:-1 gene:Ma03_g14460 transcript:Ma03_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCHPDITLGDLMQLIKGFVDILILANGYQSSGLPAVWDAPSIKNAVRWGLFFQDGLVHLTSTSLSRARDLVIECLVQSHIMGAKHLTSLLTAVIEMDVNDLNGTWNANSTVYTDILMLQMESLNLVSMDRENFVKTRAASTPSTSKISGVSRAGSCNPAMPEPMDYSFAHHSCFLIHEILQRQASILCITSAETGLDSILNLFMTEHMLVPEKNSLEGKPSTGNSPKSMSELFLWSQWRSRCLSYLVDNRTIRLLSGANLIFSTPKVHWLQVLDPLKVLSDSINDHLLEIMEISLLGFISSRWTNLIGQFMSHSCDYLTISEQYSVLHCLLQENTQCAHSKMEALSSKEKDILGYMTTFLDCHYHKLWLLPPVLVAAAIPSWSILFRAFWNELNKRFVGASSDVRCYDCGQDGKEHRDCEVAERIRCLYAFHI >Ma03_p14460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12143963:12149812:-1 gene:Ma03_g14460 transcript:Ma03_t14460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCHPDITLGDLMQLIKGFVDILILANGYQSSGLPAVWDAPSIKNAVRWGLFFQDVFKRINDSCHFDNSMKELDAALRNLMSNPFCPQGLVHLTSTSLSRARDLVIECLVQSHIMGAKHLTSLLTAVIEMDVNDLNGTWNANSTVYTDILMLQMESLNLVSMDRENFVKTRAASTPSTSKISGVSRAGSCNPAMPEPMDYSFAHHSCFLIHEILQRQASILCITSAETGLDSILNLFMTEHMLVPEKNSLEGKPSTGNSPKSMSELFLWSQWRSRCLSYLVDNRTIRLLSGANLIFSTPKVHWLQVLDPLKVLSDSINDHLLEIMEISLLGFISSRWTNLIGQFMSHSCDYLTISEQYSVLHCLLQENTQCAHSKMEALSSKEKDILGYMTTFLDCHYHKLWLLPPVLVAAAIPSWSILFRAFWNELNKRFVGASSDVRCYDCGQDGKEHRDCEVAERIRCLYAFHI >Ma03_p14460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12143963:12149798:-1 gene:Ma03_g14460 transcript:Ma03_t14460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCHPDITLGDLMQLIKGFVDILILANGYQSSGLPAVWDAPSIKNAVRWGLFFQDELDAALRNLMSNPFCPQGLVHLTSTSLSRARDLVIECLVQSHIMGAKHLTSLLTAVIEMDVNDLNGTWNANSTVYTDILMLQMESLNLVSMDRENFVKTRAASTPSTSKISGVSRAGSCNPAMPEPMDYSFAHHSCFLIHEILQRQASILCITSAETGLDSILNLFMTEHMLVPEKNSLEGKPSTGNSPKSMSELFLWSQWRSRCLSYLVDNRTIRLLSGANLIFSTPKVHWLQVLDPLKVLSDSINDHLLEIMEISLLGFISSRWTNLIGQFMSHSCDYLTISEQYSVLHCLLQENTQCAHSKMEALSSKEKDILGYMTTFLDCHYHKLWLLPPVLVAAAIPSWSILFRAFWNELNKRFVGASSDVRCYDCGQDGKEHRDCEVAERIRCLYAFHI >Ma06_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10146991:10147790:1 gene:Ma06_g14870 transcript:Ma06_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEDSSSSSYSCSSSSSGLSTAWAPGQSPKRKAGRKKFRETRHPVYHGVRERNGGKWVSEVREPRKKSRIWLGTFRTPEMAARAHDVAVIALRGASASLNFPDSAWALPRAESAAAEDVRRAAVEAAEMFGPWEPKSPPKPAPEAACRPPAVFVDEEALFNMPEDMARGLPVTPPAVFVDEEALFNMPELLEDMARGLLVTPPAMQKEFDWDRVADCHTDLRLWAD >Ma09_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9614039:9618780:1 gene:Ma09_g14110 transcript:Ma09_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSPAPGNVGVVRSIDDKIYVGLRPLSTRTYVAVARGSKARPFAVVRASSEKEVSVKSSGLSIEECEAAAVAGKFPDPPPLYRPQGPKGTPVVQPLPLSRRPRRNRKSPALRAAFQETTLSPSNFVHPLFIHEGEEDVPIGAMPGCFRLGWRHGLLEEVYKARDVGVNSFVLFPKIPDALKSQTGDEAYNDNGLVPRAIRLLKDKYPDIVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCRQAVSQARAGADVVSPSDMMDGRILAIRMALDAEGFHDVSIMSYTAKYASAFYGPFREALDSNPRFGDKKTYQMNPANYREALVETEADEAEGADILLVKPGLPYLDIIRLLRDSSSLPIAAYQVSGEYSMIKAGGVLKMIDEEKVMMESLLCLRRAGADIILTYFARQAASVLCGMKGN >Ma06_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8752430:8753118:1 gene:Ma06_g12660 transcript:Ma06_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAAGGQAAVALGLVVLCLLLAHVQVAEAATYTVGDSGGWSFNMASWSRGKRFRAGDVLVFKYNPSVHNVVAVNAAGYNGCSTPRGSRVFTSGNDRITLARGRNYYICNFTGHCQSGMKIAIVAA >Ma09_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4158189:4162750:-1 gene:Ma09_g06470 transcript:Ma09_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKASAKSKRAHTQHGRANHPSPSAIAQRKKHGNSKDKNPTQGGGGDGEPKRSRVPALPSNLDRYGEEEEEELNGAGNPAATEVAPKSKGADFGYLLEQARTQQQERRNLAARGLSASSSSEEFPFGLMQGLSSMLSVRGKALLSLCEDDNFIIDDDDDTSSSYEVPFLSIDLHAIDAQLSKLKLSERLFIESDLFPEEQCVDESNEKQISEQPEDSVASDIKHDYVPSTSMNVDGQNNPSNHYRGSEIDEGALLKKHHSQLLSENITKLHPTKELRSQEFSPSRITQGSNSNLSIYAVSNPMENTTSKFEMAAAEAELDMLLDSLSGTSFSGAASDDLINDVSGPSNATSYSSANTLPLSARKGSDSSINVPTSSIDDAIDDLLAVTSLSLTDKNYNGSATEEGALHSKVHAPPAFGSKSADQSSSLRTEARTALDDAIDDLFGEKSVFSEDQKQEPSAITLPLRPPFGSKPMDDFDSWLDTL >Ma08_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34147328:34147794:-1 gene:Ma08_g20250 transcript:Ma08_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVGAWGGNGGSAWDMGAAYRITNIKIRAGEVIDSIVITFTRNGLRDTESFGGTEGKLYEIPLQEDEYLVGVDGSVDTILGITLVRNLTMRTNKKSYGPFGTSGGKPFSVPVVSGKIIGFFECAGTMRWSAW >Ma06_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2418072:2420431:-1 gene:Ma06_g03270 transcript:Ma06_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISFSFPEVDDDAKDESSKAILNDNVRPALRSLSFKGRDSNHSTVKTLQPGKLVVKGSLSFNNTRQMRPYHFETMISLVNPTTEDDNSKSSNAPALSRFTVLKDRPKHEAAVKLQKVYKSFRTRRQLADCAVIVEQQWWKLIDFALLKLSSVSFFDIEKEESAVSRWSRARTRAAKVGKGLSKDQKAQKLALQHWLEAIDPRHRYGHNLHFYYDYWLHSESRQPFFYWYVCRVPLVVENGVLKAVWPHSGHYQPTEENFKEFMSFLQEIGIDLTDVRRSPTEGDDLPWRGHRSSYSDINLPDDITIDPQPEEQLSHASTGEISNDARTGSSNDDLQRKGVLRDTEEEAGEETNNPRESKSISSEEEKPEGCERDFSDAAQQKEEETSIPSELILRRINSKKGLTSCQLGKQLSFKWATGTGPRIACVRDYPSELQCRALEQVNLSPRTAATSRFASPRTTASQSPKMSN >Ma06_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20678585:20678695:1 gene:Ma06_g23170 transcript:Ma06_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRYPLPRIVQWGHRRNCSLLHAVRPTDFNVRVP >Ma06_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2912047:2917544:1 gene:Ma06_g04040 transcript:Ma06_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDYLKKGYFIDTHKNLNRKYLNYKILHFYLRNNSDIKDWTNIYTNIKMNKNTKTYKKKNFDCMGMNQNYTIISNLEPSLIKFFFFDWMRMNQKYSIISNLEPSFFLESVLPFDAYKIKQLITLIKLLLSNI >Ma08_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35100832:35104758:-1 gene:Ma08_g21110 transcript:Ma08_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEHDRDEKWIFWKIIGDWSTLKSLGKTLQTK >Ma07_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8787348:8790208:1 gene:Ma07_g11840 transcript:Ma07_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGSKPRSVRNANQSRRFRFVLLIVGCLVVTMTFLVVSRPQTLFLSNIGLGPSLLPPQSDHDAVNGDDRGIQGSHSAPVGKEDAQSSQVLESNKEKAEGGEKNVIVVDSTPNEETNIHKLQETKTETEENGMSITSDDPSSNQQETHDRLALPTISNYTINDRTQGDGTVVLEHSDGGEQIQTPERKPLCDDSDRRTDVCEMYGDVRIPGNSSSIIFVEASKTEQKELWQIHPYPRKGDEACFKGVRELAVEAGSEAPVCTVNHDAPAIVFSTGGYAGSLFHDFSDLLVPLFLTARPFDGEVQFVVTDFKNWWITKYLPVLQKLSKYPAIDFDKDKEVHCFKQVKVGLRAHNEFHIDPARAPNGYTMLDFTKLTRSAFSLARETLVNIEDLSVRKPKLLIIARKQSRAFTNINEIVEMAEGLGYEVVVEEADPGSDIARMAGIVNSCDVMMGVHGSGLTQMVFLPLSATLIQIVPWGGLEGKAMLDFGNPAKEMGLHYVQYSITIDESSLTEQFPRDHPVFTDPMSFHSRGFQVLRSTFMDNQNVKLDVNKFRDVLWKALEHLIQ >Ma10_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4041787:4068683:1 gene:Ma10_g01140 transcript:Ma10_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVAASKMLTAVSTSAASLAHRRSLTCRATASAGTASRLVPHPSDLIRWVRREGGFVHPNLRIADGDPYGLGVVATNEIPPGSELIALPSHLLLRFDRSPESDGASDGPHSTLVELARRVPDELWAMRLGLKLLQERATARSIWWPYISNLPETFCIPIFFSGDDIKNLHYAPLIHQVNKRCRFLLEFEKEIKNILDNVSLRNHPFGGQDVNSSSLGWAMSAVSSRAFQLYGEIPYSDKPKNIPMLLPVIDMCNHSFAPNARIVQEQNMNKQNMSIKVVADTQIKQDTHVLLNYGSLSNDFFLLDYGFVIPSNPHDHVELKYDEALLDAASLAAGVSSSSFLSPSDWQQDILSRLNLRGDEALLKVTLGGPGLVDGRLLAALRVLLSSNKETVQRHDLDTLMSLSEEAPLGMSTEVAALRTVISLCVVALESFPTKIMQDESIFKTAISYSTELAVRFRMQKKLTIIDVMRKLTQKVKKISKMELTAQN >Ma11_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23050869:23053364:1 gene:Ma11_g17880 transcript:Ma11_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAEAPPGDPNAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVVWEESTLYDYLLNPKKYIPGTKMVFPGLKKPKERADLIAYLKQSTAS >Ma04_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4219116:4229557:1 gene:Ma04_g05650 transcript:Ma04_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPCSGSPMNGILSRCSINDEKSPILGKYVVGEKDDMPDEVSKEDSRKSRSQLVSSKGSDECMGTEIDDNRKFQPRDTIKGRATNEQADKQFNQVPSQPNSECEASAEIEADVKVCDICGDSGLEELLAFCSRCSDGAEHTYCMQVRLDTVPESEWICEECRLKEAQNEMIGKFESQLEAIEAVCSSENSQSIESTSKSLPGVENKAVDLGTTKDNKELDKLALSKRTEEKFDVTKEKISEACGASTGTTVSRKPTLVVCDNTINKSDLVKVKLPALVTSCCQSEGISRPGANAQSSSYSNSYKLQTHFELTKGSLSKSVSFNKSKVPKVKQLLENIPHKQKMTREYSLSSMRKGGPSQAITKSASFRSESSGFSNVSTVGDVLLPNPPPCEDLRDVKQVKEKSMTDKRLFMSDRPFTSLSVAATSVSSVKIAPKVLQYEATPEVMLDPSKLSNNRGSKEATKFAKELKQLPISPISQTSGSTSSVRSCKNEDQKPLHHGAELIHKDDKTKDHTFLSNIRQAASVDNRLARCQRCNESGHSTQFCAVDKLHMSAMKPSLKRNSKDVDYRSGKWKDAVDVFTLESGTKRTARSPDQSMEVSMSSGDVHSEATSKDFPSSLISSRNLAFMEHASVAQDFSNTANAIHVKQKVEDRKKYTFLPRKVTPLDFADDLNMQPVIQTLPDQVSMPLHLLRASVIPELDCIWEGVFEVLKIAKPPAFLDGIQAHLSSYVSPKALELVKKFPCKVQLEEVPRLSAWPFQSHENSPKEDNIALFFFAKDTESYEKSYLNLLEDMLKNDLALIGNIDAVELLILPSNLLPANSQCWNKLFYLWGVFRGRNISCFTDLPDLEKKPSVSSLNLEPTVQDQSIPDFSGLCSSYEIYDENSQELSRFDKFPKAKAIISSSCSNIQDVPCSGNKDRILNIKQIPPVQNLHLAVCGDKVLTEQTSCSCSASCPYTNVSQLPNVPVAYPEPKLQIDIEQLPLEMENDLTDLGKLAGDSEGSKDSEHHANASSTSISNCEEPVFLVLFNCQQGNARNVQKIKQKEKFITSEAVPDDQVSDAIKLDDLSWESRHNKKRRLPSSAETIIKPTADRMLWKDEASCTSMNDTELKKMRLDNGGHAACSSREETLSSGLPSKIHPLPSGCLNDGIGCDTMCESSKNAERFFPIDLGTATSTKADNLIYVLSSDDEDSPESMAPDLELALWGKKRPPKTDSSPWLSPNVGIKRNPDKPLAPAVDDRDDMLASLSLSLAFPATEKPRSDKPMTQDEQLLPDKPCINTSLLLFGGYTDT >Ma04_p05650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4218417:4229557:1 gene:Ma04_g05650 transcript:Ma04_t05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTISDVKGGPYGNMLSRKEDRSSCIAASGPAIKRRACYTQKNLSSETGHFLCYGSIHDSSLKNDKSKEMSKASVAYDASKNVVISPKVILDEVTYNKLVREETSLTARSPFSSHGIKTSDLCQRKASDIAKEKHREECHTKNDLHISGFKEANSAVHVCLGQLHKKLNECSSGLTDSSRARNDIEEIQNEAAYDCNNSELQENQTEFKENGEFSLENRTIHSIIDDVSCHKTDSTEHPPSSSNVSPMSQSPCSGSPMNGILSRCSINDEKSPILGKYVVGEKDDMPDEVSKEDSRKSRSQLVSSKGSDECMGTEIDDNRKFQPRDTIKGRATNEQADKQFNQVPSQPNSECEASAEIEADVKVCDICGDSGLEELLAFCSRCSDGAEHTYCMQVRLDTVPESEWICEECRLKEAQNEMIGKFESQLEAIEAVCSSENSQSIESTSKSLPGVENKAVDLGTTKDNKELDKLALSKRTEEKFDVTKEKISEACGASTGTTVSRKPTLVVCDNTINKSDLVKVKLPALVTSCCQSEGISRPGANAQSSSYSNSYKLQTHFELTKGSLSKSVSFNKSKVPKVKQLLENIPHKQKMTREYSLSSMRKGGPSQAITKSASFRSESSGFSNVSTVGDVLLPNPPPCEDLRDVKQVKEKSMTDKRLFMSDRPFTSLSVAATSVSSVKIAPKVLQYEATPEVMLDPSKLSNNRGSKEATKFAKELKQLPISPISQTSGSTSSVRSCKNEDQKPLHHGAELIHKDDKTKDHTFLSNIRQAASVDNRLARCQRCNESGHSTQFCAVDKLHMSAMKPSLKRNSKDVDYRSGKWKDAVDVFTLESGTKRTARSPDQSMEVSMSSGDVHSEATSKDFPSSLISSRNLAFMEHASVAQDFSNTANAIHVKQKVEDRKKYTFLPRKVTPLDFADDLNMQPVIQTLPDQVSMPLHLLRASVIPELDCIWEGVFEVLKIAKPPAFLDGIQAHLSSYVSPKALELVKKFPCKVQLEEVPRLSAWPFQSHENSPKEDNIALFFFAKDTESYEKSYLNLLEDMLKNDLALIGNIDAVELLILPSNLLPANSQCWNKLFYLWGVFRGRNISCFTDLPDLEKKPSVSSLNLEPTVQDQSIPDFSGLCSSYEIYDENSQELSRFDKFPKAKAIISSSCSNIQDVPCSGNKDRILNIKQIPPVQNLHLAVCGDKVLTEQTSCSCSASCPYTNVSQLPNVPVAYPEPKLQIDIEQLPLEMENDLTDLGKLAGDSEGSKDSEHHANASSTSISNCEEPVFLVLFNCQQGNARNVQKIKQKEKFITSEAVPDDQVSDAIKLDDLSWESRHNKKRRLPSSAETIIKPTADRMLWKDEASCTSMNDTELKKMRLDNGGHAACSSREETLSSGLPSKIHPLPSGCLNDGIGCDTMCESSKNAERFFPIDLGTATSTKADNLIYVLSSDDEDSPESMAPDLELALWGKKRPPKTDSSPWLSPNVGIKRNPDKPLAPAVDDRDDMLASLSLSLAFPATEKPRSDKPMTQDEQLLPDKPCINTSLLLFGGYTDT >Ma09_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8697737:8704509:1 gene:Ma09_g12890 transcript:Ma09_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGKKIGCGSFGELHLGVNIQSGEEVAIKLESVKAKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLTLADQMINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYMLMYFLRGSLPWQGLKAGNKKQKYDKISEKKMLTSVEVLCRSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLYIREGHQFDYIFDWTILKHPHIGTNPRTRPNGRTSGAIGPSMERAERTSVGQEVRDRISGAVEAFARRNASGSGHHGEHSKHKIPDDAHISSKEVLESEKVRPSSRSGSTSKRAIFSSSRPSSSVERSETQHSRTSRLFSSSRRPASAQRVQQPIIDSRSSSISRSAAAARGSRNEPLLRSFERLSFGSEKRK >Ma06_p28730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30338661:30340714:1 gene:Ma06_g28730 transcript:Ma06_t28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLITKKSHDIMIKTCPSCGHRIQYEQQQASSSIQDLPGLPAGVKFDPTDQELLEHLEGKTGSDPHKLHPLIDEFIPTIEGDDGICYTHPEKLPRVRQDGLVRHFFHRPSKAYTTGTRKRRKVHTDARGGETRWHKTGKTRPVSVGGKLKGYKKILVLYTNYGKQRKPEKTSWIMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGSGAKDSYGRNVKAAVDDDDDDGAATAAAVVVLGEGSAVGDYYAPSLIGYNQGGQNRVSSPHLLPNFAMHTGGASFLS >Ma06_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10652778:10655335:1 gene:Ma06_g15730 transcript:Ma06_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVQRKGRSRKALKNLSPSDINIPAGESSSPLGEVGKESRDGLSQLLSPKNSKKVPPKPKSSKATGKSFADELQELQGQLQMLQLEKEKTEELLKQRDEVLKQKDEEIENRGKEQERLQDELKKLQKLKEFKPTMSLPLVKSLREKDQEKNEKKKNHKNKTGVEKTKKPCPAYISWCKDQWNEAKKENPDADFKEISNVLGARWKALSAQEKKPYEDKYQQEKEAYLQVVKQEKRENEAMKLLEEEQLQKTAMELLEQYLQFKQEADKEGNKPRKEKDPLKPKQPMSAFFLFSKERREALLQENKNVLEISKIAGEEWKNMTGEKKAPYEEVAKKQKEDYNREMELYKQRKLEEAATLEKEEEEQRKVRKQEALQLLKKKEKTENIIKKTKEDRHKKKKNKEEQNADPNRPKKPPSSFLLFSKEARKQLMEEHPGIAYSTLNAMVSVKWKDLGEAERQTWNEKAAEGINAYKKELEEYSKVVAMASKTTTPEHES >Ma11_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25894271:25895615:1 gene:Ma11_g21930 transcript:Ma11_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVAPRYTSPGCNHATVIEEINRAHELTSQLQAFLLPLLPSSSWSVLAIDHLTEMIKCYNSALSKLRAAGGCRSTLLDASGHCADDQKRKRFEGKDWPVVPKKRRNENCRPIVTSVLYDGYQWRKYGQKGIHGATHPKSYFRCTYSKDQGCPATKTVQRDESDADPPKYRVVYRMAHTCKNNMDANFSYAMESSSNDTTSVVIQHQHFCPPSAATPNPSQSSCLSNGDQELMHLFSPEEVPGTGLDMKGEILSLPWSWESLELDAYLKTMMDSMY >Ma10_p07190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21546442:21549150:1 gene:Ma10_g07190 transcript:Ma10_t07190.3 gene_biotype:protein_coding transcript_biotype:protein_coding METPNARNQSSSDDGILSLHVRSERKKAMASHQLEGLLSCPKNQQQQERKPRPHPEQALKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKNKRSFSSSSSRKAQDQDLITATNSFNPLPSPTFIPPLSSDLTLAFAGLHKQPPNHGHSLLLGHPNNESLPAVTTNHGFLDILRSDSIDSINPSGLDNYLYYGFGVSGNVEVGGGANGEDDGFLSFHGGLGGATATAAASQGSCKDMDEGETKVLMGGDGNLAVDSGREWTAMGSSWHGLINSSLMSGAGIDVSSTLGDAHAFF >Ma10_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21546301:21549148:1 gene:Ma10_g07190 transcript:Ma10_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQLEGLLSCPKNQQQQERKPRPHPEQALKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKNKRSFSSSSSRKAQDQDLITATNSFNPLPSPTFIPPLSSDLTLAFAGLHKQPPNHGHSLLLGHPNNESLPAVTTNHGFLDILRSDSIDSINPSGLDNYLYYGFGVSGNVEVGGGANGEDDGFLSFHGGLGGATATAAASQGSCKDMDEGETKVLMGGDGNLAVDSGREWTAMGSSWHGLINSSLMSGAGIDVSSTLGDAHAFF >Ma10_p07190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21546442:21549149:1 gene:Ma10_g07190 transcript:Ma10_t07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPNARNQAMASHQLEGLLSCPKNQQQQERKPRPHPEQALKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKNKRSFSSSSSRKAQDQDLITATNSFNPLPSPTFIPPLSSDLTLAFAGLHKQPPNHGHSLLLGHPNNESLPAVTTNHGFLDILRSDSIDSINPSGLDNYLYYGFGVSGNVEVGGGANGEDDGFLSFHGGLGGATATAAASQGSCKDMDEGETKVLMGGDGNLAVDSGREWTAMGSSWHGLINSSLMSGAGIDVSSTLGDAHAFF >Ma06_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2976920:2977230:1 gene:Ma06_g04120 transcript:Ma06_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIASWDEFVERSVQLFRADPHSSRYAMKYRHCDGKLVLKVTDNREVC >Ma08_p29040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40798056:40798536:-1 gene:Ma08_g29040 transcript:Ma08_t29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERVLGPLPSNMLRLAAQDAERYVRRGRLNWPEGATSRESVKAVLKLPRLQNLVMQHTDHSAGDFIDLL >Ma00_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:34241356:34241535:-1 gene:Ma00_g04070 transcript:Ma00_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQEPVDGKPPLYGHVTFYSLATLLRVLNGNVKVKFMTQGKHLWVRRFIPKKKKNQG >Ma06_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23948089:23973398:-1 gene:Ma06_g24760 transcript:Ma06_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSACLAVLMVIAVAVTAVEASKSKHKACDKGWECSGSIYCCNETISEYFVVYQFENLFSKRNAPVAHAVGFWDYQSFIIAASVYEPLGFGTAGDKQTKMKEVAAFLGHVGSKTSCGYGVATGGPFAWGLCYNHEMSPSQDYCDPNYLYPCTEGVQYYGRGALPVYWNYNYGLIGEALKVDLLNHPEYLEQNATLAFQAAIWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLSKRLPGFGLTMNILYGDIICGQGYIDPMNNIISHYQYYLDLMGIGRQFSGDNLDCAEQVVFNPSYKPATS >Ma09_p27580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38536332:38539555:-1 gene:Ma09_g27580 transcript:Ma09_t27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVSPRKSIREVVMQTVLAHHSQQLLTRKRKRLSSGASAQGVGDDDDIGFWEEEEGTMEMTQIGAERTKNVLILMSDTGGGHRASAEAIRDAFRIEFGDEYKVFVKDLFMEHAGWPLNDMERSYKFMVKHVQLWRVAFHGTSPRWIHNVYLAAIAAFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQNLQKRVVFVTVITDLNTCHPTWFHTDVSRCYCPSEEVAQRASLDGLEPSQIRVFGLPIRPSFCRAVLVKAELRKELEMDSELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLVVICGRNRTLSSALQDVQWKIPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSKQTASLVARWFGPEPEVLKKMSQNALKLAQPDAVFDIVKDIHQLTEQQGPLSQISDSLTSSFSLTSFSRPV >Ma10_p31320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37497101:37500561:1 gene:Ma10_g31320 transcript:Ma10_t31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLLQKRLLCLFPCNNSSIYLSSYQLCSLFNFSTAKDQSSEHRSNFLVVKPLQTCELSSEKAAKTPKYHTCRKNSSNLFIEFFKQNGWSDVQVMKLTQKAPRLLRAKVETTLKPRMRSLQDMGFSVTEIVQLVSTCPTILLHNIQPQLNFLRSLLGSNERLLKACRRNRFLLTSSLAQKIEPNISLLRECGISDERIARMVVMMPGFVVRKNIFIKEVIEHVEELGVPRDCAMFPHALLAVLNISRSKCDATFATLKSFGWSQPDIVAILRNNPCVWKLSKKNISDKMTFLMKEAGCELQYIICYPGILAYSLEKRLRPRHEVVNFLEQNKFLDKGHGLSYVMRLTEQKFMNKYLFPYKEKFTALYSSYVAAVQGKHHNKFLDKGHGLSYVMRLTEQKFMNKYLFPYKEKFTALYSSYVAAVQGKHHVVS >Ma03_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2940865:2942401:-1 gene:Ma03_g04510 transcript:Ma03_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRKQGKVLCFCVALNEEGRSRRKEEEAAEETRIVDCLQESNEGEEESERGVKRRELFLCLPPSSASAATPTAYSPRSLISRFLLSLHRTSPTRSGGGGGGEGSGFRVRFPISSSNRRRTKERRMTEEEEEEAKVEEPAVTASMEGKDIASSESNLAIDAGKQSDAVSLNLGMGVGLVFLLTRSATEINKMEQLRVQMEILLKDIKDEMHNKGVSSHVAESNNVASSASNSYMEPEAETRSTCATFTRNTARLSMHQMEAEMEVELQRLQCAVGRKLSSLPPHRMLAAVNADAPETFHGSFREAYEGGSGSGGIQCGVSAHELTRKLNQLVQARQQEGIAELESSFNCTGDSHIGEDDGEVTQVHEEDGGNYRGVSARELERRLHELLETRQRERIAELESALECAERQLRARESEVCWWRDTARLVSQHKSEAVHR >Ma08_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3437160:3439043:-1 gene:Ma08_g05010 transcript:Ma08_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASPCYSDMGVDQSFFRQWELSAFDLLGAQQLEAAFGRDLDQSPSSESYTSYPSFHPAASTERPKKIAKTSSRSSCTTSCPRILSFGNPESPICLAATVKPKEEMEALILGQGAKKVSTGAKPAAQNQEHIMAERKRREKLSQRFIALSAVVPGLKKTDKASVLGDAVEYLKRLQEKVKSLEDRVSKRNVEAAVLVKRSQLCADDDDGSPGDESFIEGQGGQSLPEIEARVCEKAILIKIHCENRKGVLVKALSEIETLHLRVMNTSVVPFSGSSLDITVVTQMEEGFSMTAKDLVKKLNSAFRQFMR >Ma05_p28230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39299398:39300264:1 gene:Ma05_g28230 transcript:Ma05_t28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPPRVPPRPFAPPPPKVSPSIPPPPNRRSPPPPSPSKQAPPPPPRGRLPPPPPTRRSPPPPPPPKQAPPPPPRKMAPPPPKLPPLQPPPAPVRPPPPAPPPPSPHHTVIIVVFASLGGLLLLAFLAAALFCCIKKRKKKMAAKSEAVDVEDHVHVHETAVPGPHGQQLATLSIDEDIKVHEVFKKGTVTGEASLSEPASGKQRSSSRTGGAGPSVTSRHHLL >Ma04_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26356579:26359021:-1 gene:Ma04_g24320 transcript:Ma04_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQKPQSEKPRSVDQAMASCRKKKSEEASFLEDLRDHMDEFINASMDEHKSCFKKTIQKMFGMSKSVAQRTSETKEVESSVPLETTVVQ >Ma04_p24320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26356579:26359013:-1 gene:Ma04_g24320 transcript:Ma04_t24320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQKPQSEKPRSVDQAMASCRKKKSEEASFLEDLRDHMDEFINASMDEHKSCFKKTIQKMFGMSKSVAQRTSETKEVESSVPLETTVVQ >Ma04_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3747202:3749407:1 gene:Ma04_g04920 transcript:Ma04_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEEEKNPAVAADGGREKEREAAAVAVAGEREHDVDDLIRELKVIIDSVAAFGDYRRTQRKESFNLVRRMKLVTPLLEEIQELEGPIPDAAYARLCSLLKAFTAAKKLLRCCHDGSKIYLALEGEAVMGRFHMVYEKLNHALDGMPYEEIGVSDEVKEQVELMSVQLRRAKRRTDTQDMELAMDLMVVISKRDDRNADGAIIERLAKNLELQTLPDLRAETMAIKKLIKERCGQNGESTQQIIDLLNKFKQTAGIEDTNGLSDVTLPKYLEKCPSLMIPNDFLCPISLEIMMDPVIVASGQTYERRSIQMWLEAGHRTCPKTRQTLAHLSLAPNYALRNLILQWCERNKVELLKREPEQDPDHSERKEEIPSLVGDLSSIHLDAQRRAVKKLRMLSKENPDNRVAIAKHGGIPALVSLLPYPDSKIQEHTVTALLNLSIDEGNKRLIAKEGAIPLIIEILKSGTVEAQENSAAALFSLSMLDENKVTIGSMNGIPPLVNLLQNGTIRGKKDAATALFNLVLNHRNKARAIEAGVVAPLRQVLDDKNLGMVDEALSIFLLLASHPDGRAAIGQLPFIEALVRLIKDGTPKNRECALSVLLELGSHGSSFLLAALQFGVYDHVREVEKSGTNRGQRKAKSLLQLMRKCEHV >Ma01_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4583675:4591325:1 gene:Ma01_g06400 transcript:Ma01_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSSLFHRPGLLFSTLPVQTSSPRTLLPCPLILPPTSRFALIHTSRQAASPPAATLRVVFTGGGTGGHIYPAIAIAEAIKDASPDAEILFIGSGAGLEAAAVPSAGFTLSTIPPARPSRPLLSPSNLLLPFRLILAVAASSRILRQFRPLVVVGTGGYVAAPVCLAAALSGIKIVIQEQNSHPGLVNRLAAPCAETIFVAFNACVKHFDRKKCLVYGNPVRVALRRYVSKAVARSHFFPKAGPKSGEDKAQVVLVLGGSCGAEAINIAVLNMYYEMLLQHKNRLIIWQTGADGFNEMESLVKVNRRLHLTPFLHEMDLAYAAADVVVSRSGAMTCTEILTTGKPSILVPLPNAADDHQTKNAYIMADIAGSKVLTEDELDSSSLEEAIDDVLGNESLMAEMSERALSAARPDAASDIAQCILSLVNPSSSK >Ma03_p26980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30499573:30502307:-1 gene:Ma03_g26980 transcript:Ma03_t26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGSEPYFDPDYDSLVERINPRVCIDNETCEDCTLVKVDSANRHGILLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVADQLGNKLTDPTTLRYIQQSLVSGWKGTRSTVEVRTCLGKLLGPGQLASGYPAIEITATDRPGLLSEIAAVLAELHCHVACAQAWTHNSRAAVILYVTDEPARTPIADADWLAHIEQQVDSVVEAHHGPGERRRVKVSGPTPGRVHTERRLHQLMYEDGDYEAGPPPPPVNGDHFADANLEARRGNVLFLSSPSSSSSSSASSAVIKTRASIDSWKKRGYSVVNIQSRDRPKLLFDTVCTLTDMQYVVFHAAVGSHGPLAVQEYYIRHMDGCTLDSERDRQKVSRCLVAAVERRVSHGLRLDVSARDRPGLLSDVTRVLRENSLSLTRAECAARGERAVGTFYVTDASGGGDVDPKRVNAVRQEMGDSVTVEVSNDATREWSSAKSSNNGSSSSRLTSSSAGGTRSRSMTSLGSSLGSLLWAHIERLSSNFGSIRS >Ma03_p32890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34494156:34500589:1 gene:Ma03_g32890 transcript:Ma03_t32890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNRSGFLRCDEKPGLLVSQRRRMPLAPAGVRCAARGGGELNGRGITVDNTVKELRGEAEPVLEPKSAGGVVEDAHGEDGDVEEQFIMPWTVSVASGYSLLRNPQHNKGLAFNEKERDAHYLRGLLPPACVTQELQEKKIMHNLRQYQVPLQRYVSMMDLQERNEKLFYKLLIDHVEELLPIVYTPTVGEACQKYGSIFGHPQGLYISLNERGKILEVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGLRPSACLPVTIDVGTNNEQLLKDEFYIGLRRRRATGQEYAELMHEFMTAVKQRYGEKVLIQFEDFANHNAFELLAKYRKTHLVFNDDIQGTASVVLAGLFAALNIVGGTLAEHSFLFLGAGEAGTGIAELIAREMSKQTKAPLEETRKKIWMVDSKGLIVSSRKESLQHFKKPWAHDHEPVGNLLDAVKAIKPTVLIGSSGVGRTFTKEVVEAMATFNEKPIILALSNPTTQSECSAEEAYTWSKGRAIFASGSPFDPVEYDEKIFVPGQANNAYIFPGFGLGLVISGATRVHDDMLLAASEALAQQVTQENLDKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRLPCPKDLVKYAESCMYNPVYRSYR >Ma03_p32890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34494156:34500589:1 gene:Ma03_g32890 transcript:Ma03_t32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNRSGFLRCDEKPGLLVSQRRRMPLAPAGVRCAARGGGELNGRGITVDNTVKELRGEAEPVLEPKSAGGVVEDAHGEDGDVEEQFIMPWTVSVASGYSLLRNPQHNKGLAFNEKERDAHYLRGLLPPACVTQELQEKKIMHNLRQYQVPLQRYVSMMDLQERNEKLFYKLLIDHVEELLPIVYTPTVGEACQKYGSIFGHPQGLYISLNERGKILEVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGLRPSACLPVTIDVGTNNEQLLKDEFYIGLRRRRATGQEYAELMHEFMTAVKQRYGEKVLIQFEDFANHNAFELLAKYRKTHLVFNDDIQGTASVVLAGLFAALNIVGGTLAEHSFLFLGAGEAGTGIAELIAREMSKQTKAPLEETRKKIWMVDSKGLIVSSRKESLQHFKKPWAHDHEPVGNLLDAVKAIKPTVLIGSSGVGRTFTKEVVEAMATFNEKPIILALSNPTTQSECSAEEAYTWSKVNLVILVATCPISAVIRDFSWGSIFCLKTGLPHSQGRAIFASGSPFDPVEYDEKIFVPGQANNAYIFPGFGLGLVISGATRVHDDMLLAASEALAQQVTQENLDKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRLPCPKDLVKYAESCMYNPVYRSYR >Ma05_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1136826:1137510:1 gene:Ma05_g01850 transcript:Ma05_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEDPPCEGQGPTTRRRPTTQMPRPFHRLEVPPNSGAFLPFSHFLLFPALPLAETTMMSVVGPAPSPDGFGKAVSPEELRRLRRTISNRESARRCRLRKQRRLEELRERASVLRSENRDLSDRLGGIASRCLLVHRDNNRLLAEVSALGRRLADLRRVLALRHLRRLAAPLPLAGDYFEQAWA >Ma04_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24470723:24473574:1 gene:Ma04_g22080 transcript:Ma04_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFNRTYEIPITSGPVKPRPPPPPAASLPKSDPSKPKQALSSVGRAPSSAKIGPVLGNPMVDVTSLYALDKELGRGQFGVTYLCMEKSTGRKYACKSVSKRKLVTKRDMEDMRREVLILQHLTGQPNIVEFKGAYEDKDSVHLQGYIDFESQPWPTISQSAKDLIRKMLTKDPKQRITAAQALEDPWLREGGEASDKPIDSAVLTRMKQFRAMNKLKKLALKVIAKNLSEEDLMGLQHTFNNIDTDRSGTITLEELKTGLRRLGSKLTEDEIKQLMDAADVDKNGTIDYIEFMAATMHRHKLEKEEHLLKAFEHFDKDHSGYITRDELKHAMSQYGMGDEATINEVLDDVDTDKDGRINYEEFVAMMRNGHT >Ma03_p24680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28944396:28948993:-1 gene:Ma03_g24680 transcript:Ma03_t24680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLPHMLPSNRSRSQPRAVRPWPLSGMDYSDSRRKPHILAKLLMVVILTAFCVLILKQSPSFSGTSVFSRHEPGVTHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNLGAIKVLQQMFPEPGRLQFIYADLGDARAVNHIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVILEAMAAHGVKTLIYSSTCATYGEPEKMPITEETPQLPINPYGKAKKMAEDIILDFSKRSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTSDGTCIRDYIDVTDLVDAHVKALDKAKPSKVGIFNVGTGKGRSVKEFVEACKKATGVNIKVDYLERRPGDYAEVYSDPSKINHELNWTARYTDLQESLSIAWRWQKSHPNGYGTRSVMAV >Ma03_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28944396:28949934:-1 gene:Ma03_g24680 transcript:Ma03_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSNRSRSQPRAVRPWPLSGMDYSDSRRKPHILAKLLMVVILTAFCVLILKQSPSFSGTSVFSRHEPGVTHVLVTGGAGYIGSHATLRLLKDSYRVTIVDNLSRGNLGAIKVLQQMFPEPGRLQFIYADLGDARAVNHIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVILEAMAAHGVKTLIYSSTCATYGEPEKMPITEETPQLPINPYGKAKKMAEDIILDFSKRSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTSDGTCIRDYIDVTDLVDAHVKALDKAKPSKVGIFNVGTGKGRSVKEFVEACKKATGVNIKVDYLERRPGDYAEVYSDPSKINHELNWTARYTDLQESLSIAWRWQKSHPNGYGTRSVMAV >Ma01_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2763293:2766394:-1 gene:Ma01_g04210 transcript:Ma01_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.10 [Source:Projected from Arabidopsis thaliana (AT4G03400) UniProtKB/Swiss-Prot;Acc:Q9ZNS2] MPVEGAPAMAAEDAGDRDIVEWFEAAAETAGAVQSQTLHRIVESNLGSEYLRRWLGGDLRVHDLSPAELESLFTSAVPLASHADLEPYIQRIAHGDASPVLTQQPITMLSLSSGTTDGRPKYVPFTRFSSQSTLQIFRLAAAYRSRVFPIRSGGRILEFIYSSKQFQTKGGLMAGTATTHYFASQEFETKQRTTKCFTCSPFEVISAGDYKQSTYCHLLFGLLFHNEVEFVASTFAYSIVQALTAFEDLWEELCEDIRQGTLSSNITLPTMRKAVLEHLMPNPSLASKIERDCEELRSSGWCGLIPHLWPNAKYIYSIMTGSMQPYLRKLRHYAGEVPLVCAEYGSTESWIGVNLEPLNPPERVAFTVIPTFSYFEFIPLYKQQKQDSSTLVTPDDFVEGQPVPLCKVSVGQQYEIVLTTFTGLYRYRLGDVVEVAGFYKGAPQLTFVCRRKLILTVNIDKNTERDLQLAVEKGSGLLSRTKAELVDFTSHADVAHHPGHYIIYWEIKGEVEEGVLRECCREMDAAFVDQGYVVSRKTSSIGPLELRIVETGTFRKIMEHFIGNGTAMSQFKTPRCTTNQVLLSILDCCTVKSFRSTAYAP >Ma05_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6665197:6666685:-1 gene:Ma05_g09130 transcript:Ma05_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTVETLPPPLFPGQISAALSEILLSGTNALDSIFSHLPPPLPAAQSSAVLPLGSAVYFRQTELLRRLSSQCHGHDARLDYRHCGADAAERGKKTLYRGVRQRQRGKWVAEIRLPQSRMRIWLGTYRSPESAAYAYDRAAYKLRGEYARLNFPNLQQAGDCPERLKLLRSAVDSKIQAICQRLGRRRPARRSTAANVVKEKEKDTQAGASQTSSSASASTSCVSEMDGHCSLARMPSYDPELIWEVLAN >Ma04_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8180221:8184688:-1 gene:Ma04_g11570 transcript:Ma04_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLMPPYGTPPPPYVMYPPGGLYSHPSIPPGAHPFSPYAMASPSSNIEASGTAPGVDIDGKNNSEPGKLSGQPANGAFSQSGESGSESSSEGSDANSQNDSHPKTTGGHESLGAEASQSGSQNGVTRTPSQAMLSHPMSMVPMPATAPGAVAGPTTNLNIGMDYWGAPSSSPIPMHGKIPATAVGGAAVPGAPSDIWLQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRAEALREDNASLRAELTRINKDYEHLLSQNTLLKEKLGEAKQGTEDQTLDRKEQHSGDGPSRNLDTEAQTAETEQVRSGV >Ma02_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21285979:21289089:-1 gene:Ma02_g12660 transcript:Ma02_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSPLLVIVISLSVLCIDLGISDGFSMIRCQETERKALMEFKQRVRDPSRRLSSWVGEDCCSWEGIRCSNVTGHVIEIHLRNRHRSDVSYIDCSETDFDDDDVGCRWALHGGITPSLLSLQHLNHLDLSGNNFEGNRIPEFLGSFGRLTYLNLSGAGFGGKVPDQLGNLSTLHQLDLSYNFYSEDDFYKFLYIENIGWISRLTSLQHLNMNWVSFRNVSNWLQALNVLSSIQVVELAYCGLRAFPPSLPHVNFTSLTTLNLYDNLINSTVPDWLFNITSLEVLSLGWNYLYWHTPDSIGKLTNLSYIDLNKGDDWIPPFQLHGLNMTFCQIVPRPHFPKWLRLQTTLRDLSLSSTSIKERIPNWLPSSLEYLDLSNNEIIDDVPQYLPNLMYMDLSNNSLSGHLPPRITNIMPHLQYLDLSNNSFSSQLPLRISNTMRYLYWFDLSMNHLSGSIPLSFCQKRFLQVLRLSKNNLSGELPNCWKNSSSLFILDLSNNKFQGRIPDSLSNLQSLQSLHLSNNNLVGQIPLSLKGCTNLVTLDLAYNNFNGNIPAWIGESLPYLKTLSLRSNALTGRIPELSHLTSLQILDLSNNNLSGAIPHTFSNFSSLKRSSSSSDLYFNNYSYDDEMWLFIKGSELEYTTRQLSFDKVIDLSNNSLSGFIPEELGNLHGLRSLNLSRNYLTGEIPSNINGMQQLEILDLSRNNLSGAIPSTLAALNFLSYLNLSYNNLSGRIPTGSQLQTLTDPSIYAGNSDLCGSPLAKICTDDMPTEGKEEEENENSKDRLKSIWLYMCRAVGFIVGFWTICGSILLNSRWRIAYFRAIDSICDRLYVVLVLNVAMFKRKLLVGGQVD >Ma11_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5140626:5145014:1 gene:Ma11_g06380 transcript:Ma11_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWLARAAMQRLAHQPMVRSFSSMMPAATSEALPVAGFVVPCGRGDKKTKRGKRFKGSFGNSRGKRKKMIQRIKDRVEVPRSTPWPLPFKLI >Ma07_p04010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3010101:3015297:1 gene:Ma07_g04010 transcript:Ma07_t04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNLLRACWLPSDRYVHTGSDAVGRHDGLLWYKDSGQHTNGEFSMAVVQANSLLEDQSQIESGPLSLLDSGPYGTFVGVYDGHGGPETSRYINNHLFQHLKRFATEQQSMSADVIRKAFQATEEGFVSLVTNQWPTNPQIAAVGSCCLVGVICGGMLYTANLGDSRVVLGKLVKATGEVLAVQLSAEHNAGIESVRQELQSMHPEDKQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYPKFRLREPFKKPLLSSEPSISVQPLQPQDQFLIFASDGLWEHLNNQEAVDIVHNNPRSGSARRLIKAVLQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDPNLASRASSHRGPALSLRGGGINLPANSLAPSS >Ma07_p04010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3010101:3015297:1 gene:Ma07_g04010 transcript:Ma07_t04010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNLLRACWLPSDRYVHTGSDAVGRHDGLLWYKDSGQHTNGEFSMAVVQANSLLEDQSQIESGPLSLLDSGPYGTFVGVYDGHGGPETSRYINNHLFQHLKRFATEQQSMSADVIRKAFQATEEGFVSLVTNQWPTNPQIAAVGSCCLVGVICGGMLYTANLGDSRVVLGKLVKATGEVLAVQLSAEHNAGIESVRQELQSMHPEDKQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYPKFRLREPFKKPLLSSEPSISVQPLQPQDQFLIFASDGLWEHLNNQEAVDIVHNNPRSGSARRLIKAVLQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDPNLASRASSHRGPALSLRGGGINLPANSLAPSS >Ma07_p04010.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3010101:3015297:1 gene:Ma07_g04010 transcript:Ma07_t04010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNLLRACWLPSDRYVHTGSDAVGRHDGLLWYKDSGQHTNGEFSMAVVQANSLLEDQSQIESGPLSLLDSGPYGTFVGVYDGHGGPETSRYINNHLFQHLKRFATEQQSMSADVIRKAFQATEEGFVSLVTNQWPTNPQIAAVGSCCLVGVICGGMLYTANLGDSRVVLGKLVKATGEVLAVQLSAEHNAGIESVRQELQSMHPEDKQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYPKFRLREPFKKPLLSSEPSISVQPLQPQDQFLIFASDGLWEHLNNQEAVDIVHNNPRSGSARRLIKAVLQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDPNLASRASSHRGPALSLRGGGINLPANSLAPSS >Ma07_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3009900:3015297:1 gene:Ma07_g04010 transcript:Ma07_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNLLRACWLPSDRYVHTGSDAVGRHDGLLWYKDSGQHTNGEFSMAVVQANSLLEDQSQIESGPLSLLDSGPYGTFVGVYDGHGGPETSRYINNHLFQHLKRFATEQQSMSADVIRKAFQATEEGFVSLVTNQWPTNPQIAAVGSCCLVGVICGGMLYTANLGDSRVVLGKLVKATGEVLAVQLSAEHNAGIESVRQELQSMHPEDKQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYPKFRLREPFKKPLLSSEPSISVQPLQPQDQFLIFASDGLWEHLNNQEAVDIVHNNPRSGSARRLIKAVLQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDPNLASRASSHRGPALSLRGGGINLPANSLAPSS >Ma07_p04010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3010101:3015297:1 gene:Ma07_g04010 transcript:Ma07_t04010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNLLRACWLPSDRYVHTGSDAVGRHDGLLWYKDSGQHTNGEFSMAVVQANSLLEDQSQIESGPLSLLDSGPYGTFVGVYDGHGGPETSRYINNHLFQHLKRFATEQQSMSADVIRKAFQATEEGFVSLVTNQWPTNPQIAAVGSCCLVGVICGGMLYTANLGDSRVVLGKLVKATGEVLAVQLSAEHNAGIESVRQELQSMHPEDKQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYPKFRLREPFKKPLLSSEPSISVQPLQPQDQFLIFASDGLWEHLNNQEAVDIVHNNPRSGSARRLIKAVLQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDPNLASRASSHRGPALSLRGGGINLPANSLAPSS >Ma04_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5132747:5137984:-1 gene:Ma04_g07070 transcript:Ma04_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVLALLLCSAVASASASDDRAGGRRVLHQPLYPIQWTPPPPPQEFVDPPPDESSSFFPGVPSTPAVAASSSASNKVFVIAFSASVPALVVLFLLGFLLYRLKFRSSPEAGKLVGPDGSGDRWVDRKPASAAPDFLYLGIMDTSAVSGGRQNGSSYGNIATERVLEMHHPSPELQPLPPLSSTASLRGMVPPPMTLSDEDTFYTPQRSVVSATSESPSSPASRRSPPSINSGEQQLLAVAAADTAPRFAVKQMILPMDQQPPPPSPPQPPPSTETMGSIEQPIKAPATPVAASSRRRLLNPLPPEATRINIPLPPTKRGVGIAASSSHQVHEMVEELEGDSKPKLKPLHWDKVSANSNRAMVWDQMKSSSFQMNEDIIETLFVNITSSSLPKATSRRQRVLPLDEEKRVLDPKKSQNIAILLRALNVTREEVHDALLDGNPECLGAELLETLIKMAPTKEEELRLHDYMGDISKLGSAERFLKAVLDVPFAFKRVDAMLYRANFETEVKYLIKSFGTLEAACEDLTSSRLFLKLLEAVLRTGNRMNVGTNLGQAKAFKLDTLLKLTDVKGTDGKTTLLHFVVQEIIRSEGTGTEPLTVHNPTNSSREEQFKKQGLKVVAGLSNELGNIRKAAGMDSDVLSSYVLRLDVGLQKIKSVLQLEKSCTQGMKFFDTMKVFLEEAERKIDHVKAEEKRVMNIVKETTEYFHGDAAKEEAHPLRIFMVVRDFLSVLDNVCKEVGRLQERTSMGSARSFRISVNASLPVLQRYEQRRVVQSDDDDDSSSSQY >Ma02_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19429967:19430427:1 gene:Ma02_g09460 transcript:Ma02_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYLEYLIGTTDHTVTYNILVADEHENEICESVLISSPASGCKTALQGCERAQVFLTHNNGIASDTRYTNSLRFLKDSSLPVCAQLLQPMSNLKFKVEYYFTSGLFGSMS >Ma10_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31478351:31482505:-1 gene:Ma10_g21900 transcript:Ma10_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRVLLAISALWLAISSAGVGAGSLAKDKQALLDFLAAAPHGRGLNWSRATTVCGLWTGITCSADGSRVVAVRLPGIGFRGPVPPNTLSRLSALRILSLRANILSGPFPADFANLTALTGLHLQLNRFSGPLPSDFSRWKNLTVLDLSFNDFNGSIPASISNLTHLTALNLSNNSFSGQIPDLDLPNLLFLNLSGNHLNGTIPKSIQGFPNSSFSGNDLSPVYPLTPASLPAPTPLPAPSPSPVSSSITMRKLSESGILGIIVGGCALLFVMLALFLYLCCSRGKEENFVSGKASKGDLSPEKSVSRNQGMNNRLVFFEGCTFDFDLEDLLRASAEVLGKGTFGTAYKAVLEDATTVVVKRLKEAGVGKKEFEQQMEVVGRIKHENVAELRAYYYSKDEKLMVYDYYTQGSLSSLLHGKRGQDRTPLDWETRLKIALGAARGIARIHIENNGKLVHGNIKSSNVFLNNQQYGCVSDLGLPSIINPMVPPVSRSAGYRAPEVVDTRKASQASDAYSFGVLLLELLTGKSPIQIVGGGDEVIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMSCVVRMPDRRPKMPEVVRMIEGMRRFDSGNLPSTEGRSEGSTSTPVQDTQAMSTPQ >Ma05_p27550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38809085:38809435:1 gene:Ma05_g27550 transcript:Ma05_t27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVFLLRVRPQTPKPPSPSSIRHQEGRRGHRPTLHCNHIYGISVSLTVYGPWDRPDMAYFSFTESIPSEKPITLFRMPDGTAVQRDFTYIDDVVVKGCLCALNTAEKRGPAQLRI >Ma04_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2325846:2328118:1 gene:Ma04_g02850 transcript:Ma04_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSMALSVLVERLWSLGWGVAWLLLLVCAVWALNHAWWRPRRQDRLLRAQGLQGTPYRFLRGDLKEGKRLLEEALSKPMPLSHHIIPRVEPFLHAAMNDLGRRFFSWFGPVPRVMIMDPELVREILLNKFGHFERTTLSPLGRAVATGLLSYNGGKWAKHRRILNPAFHVEKLKRMLLAFSASCGDLVGRWENLVGQEGSCELDVWPEFQYFTGDVISRAAFSSNYEEGRRIFQLQLELGQLVVQAIHSGYIPGYRFLPTPKNNRIKAINKEIRSLLRGIVRKREEAMKTGEASGQDLLGLLMESNIKQFQEHGNKNAGMTIDEVVEECKLFYLAGQETTAVLLTWTMVVLGMHPEWQERAREEVLQVLGKDKPEFDGLNRLKIVTMILYEVLRLYPPLVLIHRRTYKTVEIGNVSYPPGALLALPIAFLHHDQILWGEDASEFKPERFAQGIAKASRDQIAFFPFGGGPRVCIGQNFALLEAKMGLSAILQRFWFELSPSYAHAPHSVVTLRPQHGAQLRLHRLGVVS >Ma11_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23291231:23297110:1 gene:Ma11_g18170 transcript:Ma11_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREGFFLLMLIITWASTDARSSVTFDIMEIGTGTPQSRSKISKAVKGNDQLCTLCENFTAQATQYIGENKTQTELLETFHQACSEMKPFEEQCILLVDFYASLFFAEISKIHPEEFCKKFDLCEEMDSINLRTSDDSCSLCHDVVAKVFIKLKDPDTQFEVIKMLLKECNEVENYVKECKKLVLQYGPLILVNGEKFLENTDVCTAIHACKTSKVELISTVLVAEY >Ma11_p18170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23291226:23297110:1 gene:Ma11_g18170 transcript:Ma11_t18170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALREGFFLLMLIITWASTDARSSVTFDIMATEIGTGTPQSRSKISKAVKGNDQLCTLCENFTAQATQYIGENKTQTELLETFHQACSEMKPFEEQCILLVDFYASLFFAEISKIHPEEFCKKFDLCEEMDSINLRTSDDSCSLCHDVVAKVFIKLKDPDTQFEVIKMLLKECNEVENYVKECKKLVLQYGPLILVNGEKFLENTDVCTAIHACKTSKVELISTVLVAEY >Ma08_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33719095:33720925:-1 gene:Ma08_g19850 transcript:Ma08_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRSFCFSPMQLFLFFSGLLLIPTLSSSSSSTSDRKALEIGIGIGIGGGPPPPPQPPEAQPRRPPSSPQQPQPCDFENILQYRAYFVIQRFKRTITCDPLNKTDTWSGFKLCNNDGDGYQGFYCETPPNRKNMRTIASVDFNGFKLGAPTVSRFVDQLPDLALFHANSNNLGGTVPYIRTLPFFYELDLSNNDFSGGFPVNVLPLVNLSFLDLRYNGFASPLPPSVFLIQTQVLFLNNNKFYQHIPDNLGSTPAAYITFAYNDFTGPIPSSIGKACETLIEILFLGNRLSGCLPFEVGLLKKATVFDAGSNQLTGPIPLSFGCLEKVEQLNLAGNQLCGEVPDVVCRLAKYGKLVNLSLSDNYFTSLAPSCISLIHSNVLDVRKNCIPWLPHQRSPEECAWFLKLPKVFCPNAHYIPCHLPWEGRDELDSSASSSPAVDGGRDKSPASGYTTYQALHHHDKP >Ma00_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9991020:9993079:-1 gene:Ma00_g01650 transcript:Ma00_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLWASSTANALRISCSSSRPAFPAFSIFRSFSSVIEGLKYTGSHEWVKQEGSVATVGITDHAQGHLGEVVFVELPESGAAVAKGSSFGAVESVKATSDVNSPVSGEVIEINTRLTETPGLINSSPYEDGWMIKVRPSDPSEVKSLMGSKEYTKFCEEEDAH >Ma08_p29270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40931723:40932525:1 gene:Ma08_g29270 transcript:Ma08_t29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAAMAILTARCPAPNLLTSSKPTLTKPVSLLSLQNLPKGLAAAAPKTTITTTPSSLSATAIAGAIFASLSSSDAAFAAQQIADVAEGDNRGLALLLPIVPAVLWVLYNILQPALNQLNRMRTEKAVVVGLGLGGGLAAAGFMSTQGASADEIMTVANAASSDDSRGLLLLFVVAPAILWVLYNILQPALNQLNRMRSG >Ma08_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15239630:15241608:-1 gene:Ma08_g15230 transcript:Ma08_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLLMQEAQQNMVWLSHNRKRTASHRASYSFRAFGRSRSLPLSSSPYLDLFLSLSLPSLAPRLSLPCLSELVMAIPGPYSGVSTLAFVARASAFTFGTVYGSIKLSYLRAKAKSKKKADAKGHH >Ma05_p17950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21952090:21987927:1 gene:Ma05_g17950 transcript:Ma05_t17950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRTDCTHDTAGISGQVLIATKFVWPYGGRTVSLIGSFTGWTDRYPMFPAEDCPNIFQAVYSLTPGIHQYKFFVDGEWRHDDQQPHVVGNYGIVNTLILPQESNPILTALGLEKPSNRINMDVDNWNVRHVETTLRVPEADIGLFRDHIFELLSTHTAYELLPDSGKVIALDSNLPVKQAFHILYEQGIPVAPLLDTYKGEFVGVLSPLDFILILKALSNHGSNLAEEELESHTISAWKEAKQHLSRQIVMHQRQSQRQLIHAEPYDSLKDVALKILQNQVATVPIISSRGESFPHLLYLASLSEIMKCICRHFRHSSVSLPILQQAICTIPVGTWIQRIGESNGKHLAMLRPNESLSSALALLVQAQVSSIPIIDDHDCLLDAYSRSDITSLAKDNAYARIHLDDMRIYQALQLRQESNSHYGFYKGQRCEMCLHSDSLQKVMEQLANPGVRRLFVVEAGSNRVEGIISLSDVFRFFFSLA >Ma05_p17950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21952132:21987927:1 gene:Ma05_g17950 transcript:Ma05_t17950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRTDCTHDTAGISGQVLIATKFVWPYGGRTVSLIGSFTGWTDRYPMFPAEDCPNIFQAVYSLTPGIHQYKFFVDGEWRHDDQQPHVVGNYGIVNTLILPQESNPILTALGLEKPSNRINMDVDNWNVRHVETTLRVPEADIGLFRDHIFELLSTHTAYELLPDSGKVIALDSNLPVKQAFHILYEQGIPVAPLLDTYKGEFVGVLSPLDFILILKALSNHGSNLAEEELESHTISAWKEAKQHLSRQIVMHQRQSQRQLIHAEPYDSLKDVALKILQNQVATVPIISSRGESFPHLLYLASLSEIMKCICRHFRHSSVSLPILQQAICTIPVGTWIQRIGESNGKHLAMLRPNESLSSALALLVQAQVSSIPIIDDHDCLLDAYSRSDITSLAKDNAYARIHLDDMRIYQALQLRQESNSHYGFYKGQRCEMCLHSDSLQKVMEQLANPGVRRLFVVEAGSNRVEGIISLSDVFRFFFSLA >Ma05_p17950.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21952132:21985882:1 gene:Ma05_g17950 transcript:Ma05_t17950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRTDCTHDTAGISGQVLIATKFVWPYGGRTVSLIGSFTGWTDRYPMFPAEDCPNIFQAVYSLTPGIHQYKFFVDGEWRHDDQQPHVVGNYGIVNTLILPQESNPILTALGLEKPSNRINMDVDNWNVRHVETTLRVPEADIGLFRDHIFELLSTHTAYELLPDSGKVIALDSNLPVKQAFHILYEQGIPVAPLLDTYKGEFVGVLSPLDFILILKALSNHGSNLAEEELESHTISAWKEAKQHLSRQIVMHQRQSQRQLIHAEPYDSLKDVALKILQNQVATVPIISSRGESFPHLLYLASLSEIMKCICRHFRHSSVSLPILQQAICTIPVGTWIQRIGESNGKHLAMLRPNESLSSALALLVQAQVSSIPIIDDHDCLLDAYSRSDITSLAKDNAYARIHLDDMRIYQPPVNH >Ma05_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21952132:21987927:1 gene:Ma05_g17950 transcript:Ma05_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRTDCTHDTAGISGQVLIATKFVWPYGGRTVSLIGSFTGWTDRYPMFPAEDCPNIFQAVYSLTPGIHQYKFFVDGEWRHDDQQPHVVGNYGIVNTLILPQESNPILTALGLEKPSNRINMDVDNWNVRHVETTLRVPEADIGLFRDHIFELLSTHTAYELLPDSGKVIALDSNLPVKQAFHILYEQGIPVAPLLDTYKGEFVGVLSPLDFILILKALSNHGSNLAEEELESHTISAWKEAKQHLSRQIVMHQRQSQRQLIHAEPYDSLKDVALKILQNQVATVPIISSRGESFPHLLYLASLSEIMKCICRHFRHSSVSLPILQQAICTIPVGTWIQRIGESNGKHLAMLRPNESLSSALALLVQAQVSSIPIIDDHDCLLDAYSRSDITSLAKDNAYARIHLDDMRIYQALQLRQESNSHYGFYKGQRCEMCLHSDSLQKVMEQLANPGVRRLFVVEAGSNRVEGIISLSDVFRFFFSLA >Ma05_p17950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21952090:21987927:1 gene:Ma05_g17950 transcript:Ma05_t17950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRTDCTHDTAGISGQVLIATKFVWPYGGRTVSLIGSFTGWTDRYPMFPAEDCPNIFQAVYSLTPGIHQYKFFVDGEWRHDDQQPHVVGNYGIVNTLILPQESNPILTALGLEKPSNRINMDVDNWNVRHVETTLRVPEADIGLFRDHIFELLSTHTAYELLPDSGKVIALDSNLPVKQAFHILYEQGIPVAPLLDTYKGEFVGVLSPLDFILILKALSNHGSNLAEEELESHTISAWKEAKQHLSRQIVMHQRQSQRQLIHAEPYDSLKDVALKILQNQVATVPIISSRGESFPHLLYLASLSEIMKCICRHFRHSSVSLPILQQAICTIPVGTWIQRIGESNGKHLAMLRPNESLSSALALLVQAQVSSIPIIDDHDCLLDAYSRSDITSLAKDNAYARIHLDDMRIYQALQLRQESNSHYGFYKGQRCEMCLHSDSLQKVMEQLANPGVRRLFVVEAGSNRVEGIISLSDVFRFFFSLA >Ma09_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11430300:11438260:1 gene:Ma09_g16120 transcript:Ma09_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAKYVDPAFQGVGQKVGTEIWLIEDFQPVPLSKSDNGKFYSGDSYIILQTSSGKSSGYLYDIHFWIGRESSQDEAGTAAIKTVELGAVLGGHAVQHRELQGFESDKFLSFFKPCIIPLEGGFASGFKKPEEEKFETRLYVCKGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKVLEVIQYLKDKYHEGTCDVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKVVSEDDIILEAGPAKLYSCLLSISSLKLEENTLSKAMLENYKCYLLDCGAEIFIWVGRVTQVEERKAASKAAEDFIINQNIPKTTRITQIIQNYETHSFKSNFESWPAGTGTGTSSGEGGRGKVAALLKQQGVDLKGISKGSPISEEVPSLLEGSGKLEVWRINMVAPRTQFLRKRLVNFIVVIAISCFTHIILVRRKRTTFWPVGWERIVFG >Ma06_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:934257:935215:-1 gene:Ma06_g01160 transcript:Ma06_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQLCVFIFCVKRTLCNYNDIFYFCFSNAIYTRRRNASLVKIIMTIMPLKQKETLVFY >Ma02_p07700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18274651:18280235:-1 gene:Ma02_g07700 transcript:Ma02_t07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAQSVATLFDSTTSKIQQLQQAFAELERHSAISLNLKWKELEEHFHGLEKSLKTRFDELEDQEKEYVTEVTEAQQMLEKQETAVVAKELASLERLQEKRDAALSVLFDKHGTSSLEPVVKGVNNSMTDPILKENSGVGAAKSGMEDVCPAEKGSVCIEPPTELMKLCEEMDAQGLHKFISDNHKNVISIREEIPVALKRAANPFSLVLDSLKGFYAGEILSSDGKKDVSLLGLRRTCLMLMESLEQLLADGVPDSLFDNQRLTSDIKEKAKVIAKEWKPKLDQLDIEATSGNSLEAHAFLQLLATFDIDSQFDQDEICKLIPAVTRRRQTVNLCRSLGLSHKMPGLIEVLLNSGRQIEAVNLAYAFKLTEQFPPVPLLKAYLKEAKKVSQVKAGSMSPGAQNEMNERELSALKAVIKCIEEHKLEEQYPVDPLQKRILLLEKAKADKRRAAEAAKPQTKRPRANGSIYPRINIMPDKSFHRSPFERYPYAYDRQYVYAAETHHPTPMGSAPYTIPRTHSTFYGNVHQVQYQTAYLY >Ma02_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18274651:18280137:-1 gene:Ma02_g07700 transcript:Ma02_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAQSVATLFDSTTSKIQQLQQAFAELERHSAISLNLKWKELEEHFHGLEKSLKTRFDELEDQEKEYVTEVTEAQQMLEKQETAVVAKELASLERLQEKRDAALSVLFDKHGTSSLEPVVKGVNNSMTDPILKENSGVGAAKSGMEDVCPAEKGSVCIEPPTELMKLCEEMDAQGLHKFISDNHKNVISIREEIPVALKRAANPFSLVLDSLKGFYAGEILSSDGKKDVSLLGLRRTCLMLMESLEQLLADGVPDSLFDNQRLTSDIKEKAKVIAKEWKPKLDQLDIEATSGNSLEAHAFLQLLATFDIDSQFDQDEICKLIPAVTRRRQTVNLCRSLGLSHKMPGLIEVLLNSGRQIEAVNLAYAFKLTEQFPPVPLLKAYLKEAKKVSQVKAGSMSPGAQNEMNERELSALKAVIKCIEEHKLEEQYPVDPLQKRILLLEKAKADKRRAAEAAKPQTKRPRANGSIYPRINIMPDKSFHRSPFERYPYAYDRQYVYAAETHHPTPMGSAPYTIPRTHSTFYGNVHQVQYQTAYLY >Ma05_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33463304:33464765:-1 gene:Ma05_g21700 transcript:Ma05_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLQYVSCNGGVQVYTPPIKVGRVKLSHFIIPNYKVHHCVFGKTTEMGWSKVSRTLLCCLCLAALLTSVSSADFGDLFQPYWAADHIVAMDGEPLKLTLDNTSGCGFESKKKYLFGQTSMQIKLVEGDSAGTVTAFYMSSDGPNHNELDFEFLGNVTGEPYLVQTNVYVNGTGNREQRHSLWFDPTADFHTYSILWNRYHIRFLVDGVPMRMFANKEAAGVLYPKDQAMGVYASVWNADDWATQGGRVKTNWSHAPFVTAFRGYEIDACEAEEATGGCAGGGVFWWDAPAMSGLSPHQRRQLRWVQRRHLVYDYCKDRPRFHEMPGECLS >Ma06_p23730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21890981:21893608:1 gene:Ma06_g23730 transcript:Ma06_t23730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRVIIGTLVSCQRNLVAGCLASNVPIFEGANFVGRNNLNVSDKRVSRKHISLQASFDGSAEVVVEGPNPIIFRCGGQRKKLSSQEKAKLDDDSSVLKIEKRQCDGETSVIKRKRQADEYEFSSSTLQVSS >Ma06_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21890981:21893606:1 gene:Ma06_g23730 transcript:Ma06_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRVIIGTLVSCQRNLVAGCLASNVPIFEGANFVGRNNLNVSDKRVSRKHISLQASFDGSAEVVVEGPNPIIFRCGGQRKKLSSQEKAKLDDGDIIELIPGRYMFKYVKAGTEHASSSKIDSSVLKIEKRQCDGETSVIKRKRQADEYEFSSSTLQVSS >Ma06_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15046002:15046961:1 gene:Ma06_g20930 transcript:Ma06_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLILQSLVLDFIQGKEDISSEVGAPKARSLGFLPRKRASRHRGKVLSFPRMNQQNPCKLTAFLGYKSGMTTRTVSVC >Ma08_p32550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43236967:43247966:-1 gene:Ma08_g32550 transcript:Ma08_t32550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRFRAIIRATSGRRKRSPTDVKSFSHELNSKGTTHQMPIRKLQGLSSPEEIVTVIRTKFKRLKEEVNAELGVFAGDLVDILENATVQHPDWRMPLEDLLVICQGCAEMSPDELWSKCEGIVQNLDEQRQELAMGTLKQAHTRILFILTRCTRLIQFQKEGGYGGNEHVLGLYQLSDLGFYSESGDGGLKISSSAKDMKERMIRKRFQEHMYLSQDFTGHCTDSTASRARISSWKKLPSSTEKNQKKDHDEKDEFPSKKVLDSLPPIGKPTLGAHGDTESLDTTELCSKILGSSTGQLSERKDSADYSGDQRIPAHVKPKMICRICDYEIPTIYAEGHFRACTVADKCDSKGLTIDERLERVAEILEKLLVSCTLKRSDISEIHHGVVKVGASCLTEGSEVPSQCQYHSSSPSDADIIYREFAGNLIANNLNEQPAMLGNSRSALSSGSMTPQSPLMTPRTGQLDLLLSGTKAFADHENFQQIESLLDIVHCIARIKTYNYNSLEKMCSYLEDLNAVIDTRKVDALVVETFGRRITKLLQEKFIHLCGQIDDGNKDVSDMMVDEEGSLRNGITSISGTNPLGAKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDIFAIKVLKKADMIRKNAVKSILAERNILISTRNPFVVRFYYSFTCRENLYLVMEYINGGDLYSLLRNLGCLDEDMARTYVAEVVLALEYLHSMNVIHRDLKPDNLLIAWDGHIKLTDFGLSKVGLINSTDDLTGPDVSGSVLLGNDEPLPVAQRALKREQRQKQSAVGTPDYLAPEILLGMPHGPTADWWSVGVILFELLVGIPPFNAEHPQKIFDNIMNRDIPWPQVPEEMSLEAYDLVDKLLIRNPVQRLGATGAGEVKSHPFFKSINWDMLARQKAAFIPSTEGDDDTSYFASRLPWNAVDEQLYTESHEYDDMTDTDSMSCYSSAHSSDLDEDGDECGSMADFGPTLSVKYSFSNFSFKNLSQLASINYDLITKCSQDSGYTSQP >Ma08_p32550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43238126:43247966:-1 gene:Ma08_g32550 transcript:Ma08_t32550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRFRAIIRATSGRRKRSPTDVKSFSHELNSKGTTHQMPIRKLQGLSSPEEIVTVIRTKFKRLKEEVNAELGVFAGDLVDILENATVQHPDWRMPLEDLLVICQGCAEMSPDELWSKCEGIVQNLDEQRQELAMGTLKQAHTRILFILTRCTRLIQFQKEGGYGGNEHVLGLYQLSDLGFYSESGDGGLKISSSAKDMKERMIRKRFQEHMYLSQDFTGHCTDSTASRARISSWKKLPSSTEKNQKKDHDEKDEFPSKKVLDSLPPIGKPTLGAHGDTESLDTTELCSKILGSSTGQLSERKDSADYSGDQRIPAHVKPKMICRICDYEIPTIYAEGHFRACTVADKCDSKGLTIDERLERVAEILEKLLVSCTLKRSDISEIHHGVVKVGASCLTEGSEVPSQCQYHSSSPSDADIIYREFAGNLIANNLNEQPAMLGNSRSALSSGSMTPQSPLMTPRTGQLDLLLSGTKAFADHENFQQIESLLDIVHCIARIKTYNYNSLEKMCSYLEDLNAVIDTRKVDALVVETFGRRITKLLQEKFIHLCGQIDDGNKDVSDMMVDEEGSLRNGITSISGTNPLGAKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDIFAIKVLKKADMIRKNAVKSILAERNILISTRNPFVVRFYYSFTCRENLYLVMEYINGGDLYSLLRNLGCLDEDMARTYVAEVVLALEYLHSMNVIHRDLKPDNLLIAWDGHIKLTDFGLSKVGLINSTDDLTGPDVSGSVLLGNDEPLPVAQRALKREQRQKQSAVGTPDYLAPEILLGMPHGPTADWWSVGVILFELLVGIPPFNAEHPQKIFDNIMNRDIPWPQVPEEMSLEAYDLVDKLLIRNPVQRLGATGAGEDR >Ma08_p32550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43236967:43248038:-1 gene:Ma08_g32550 transcript:Ma08_t32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRFRAIIRATSGRRKRSPTDVKSFSHELNSKGTTHQMPIRKLQGLSSPEEIVTVIRTKFKRLKEEVNAELGVFAGDLVDILENATVQHPDWRMPLEDLLVICQGCAEMSPDELWSKCEGIVQNLDEQRQELAMGTLKQAHTRILFILTRCTRLIQFQKEGGYGGNEHVLGLYQLSDLGFYSESGDGGLKISSSAKDMKERMIRKRFQEHMYLSQDFTGHCTDSTASRARISSWKKLPSSTEKNQKKDHDEKDEFPSKKVLDSLPPIGKPTLGAHGDTESLDTTELCSKILGSSTGQLSERKDSADYSGDQRIPAHVKPKMICRICDYEIPTIYAEGHFRACTVADKCDSKGLTIDERLERVAEILEKLLVSCTLKRSDISEIHHGVVKVGASCLTEGSEVPSQCQYHSSSPSDADIIYREFAGNLIANNLNEQPAMLGNSRSALSSGSMTPQSPLMTPRTGQLDLLLSGTKAFADHENFQQIESLLDIVHCIARIKTYNYNSLEKMCSYLEDLNAVIDTRKVDALVVETFGRRITKLLQEKFIHLCGQIDDGNKDVSDMMVDEEGSLRNGITSISGTNPLGAKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDIFAIKVLKKADMIRKNAVKSILAERNILISTRNPFVVRFYYSFTCRENLYLVMEYINGGDLYSLLRNLGCLDEDMARTYVAEVVLALEYLHSMNVIHRDLKPDNLLIAWDGHIKLTDFGLSKVGLINSTDDLTGPDVSGSVLLGNDEPLPVAQRALKREQRQKQSAVGTPDYLAPEILLGMPHGPTADWWSVGVILFELLVGIPPFNAEHPQKIFDNIMNRDIPWPQVPEEMSLEAYDLVDKLLIRNPVQRLGATGAGEVKSHPFFKSINWDMLARQKAAFIPSTEGDDDTSYFASRLPWNAVDEQLYTESHEYDDMTDTDSMSCYSSAHSSDLDEDGDECGSMADFGPTLSVKYSFSNFSFKNLSQLASINYDLITKCSQDSGYTSQP >Ma08_p32550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43236967:43248045:-1 gene:Ma08_g32550 transcript:Ma08_t32550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRFRAIIRATSGRRKRSPTDVKSFSHELNSKGTTHQMPIRKLQGLSSPEEIVTVIRTKFKRLKEEVNAELGVFAGDLVDILENATVQHPDWRMPLEDLLVICQGCAEMSPDELWSKCEGIVQNLDEQRQELAMGTLKQAHTRILFILTRCTRLIQFQKEGGYGGNEHVLGLYQLSDLGFYSESGDGGLKISSSAKDMKERMIRKRFQEHMYLSQDFTGHCTDSTASRARISSWKKLPSSTEKNQKKDHDEKDEFPSKKVLDSLPPIGKPTLGAHGDTESLDTTELCSKILGSSTGQLSERKDSADYSGDQRIPAHVKPKMICRICDYEIPTIYAEGHFRACTVADKCDSKGLTIDERLERVAEILEKLLVSCTLKRSDISEIHHGVVKVGASCLTEGSEVPSQCQYHSSSPSDADIIYREFAGNLIANNLNEQPAMLGNSRSALSSGSMTPQSPLMTPRTGQLDLLLSGTKAFADHENFQQIESLLDIVHCIARIKTYNYNSLEKMCSYLEDLNAVIDTRKVDALVVETFGRRITKLLQEKFIHLCGQIDDGNKDVSDMMVDEEGSLRNGITSISGTNPLGAKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDIFAIKVLKKADMIRKNAVKSILAERNILISTRNPFVVRFYYSFTCRENLYLVMEYINGGDLYSLLRNLGCLDEDMARTYVAEVVLALEYLHSMNVIHRDLKPDNLLIAWDGHIKLTDFGLSKVGLINSTDDLTGPDVSGSVLLGNDEPLPVAQRALKREQRQKQSAVGTPDYLAPEILLGMPHGPTADWWSVGVILFELLVGIPPFNAEHPQKIFDNIMNRDIPWPQVPEEMSLEAYDLVDKLLIRNPVQRLGATGAGEVKSHPFFKSINWDMLARQKAAFIPSTEGDDDTSYFASRLPWNAVDEQLYTESHEYDDMTDTDSMSCYSSAHSSDLDEDGDECGSMADFGPTLSVKYSFSNFSFKNLSQLASINYDLITKCSQDSGYTSQP >Ma06_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8552217:8554986:1 gene:Ma06_g12310 transcript:Ma06_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQEKVIIRARGINRLALAGLSRLRFETTPLSRATLYLPDCPNPDSIRTESEFDPIQTQTQTQHVKDKRKERRMKLVLAGASASLLLLLVVGAVATSNYEDSGNSNLNTRAMHSSSKSVKMICSSTDYQRACESSMSKVVTSDTSDPKVLLKAAVTVVLDEVSKAFAHSKLLKSNDSRVRGAVEDCQQLYENSKAEINATLQSIVASGIDHLPSRSHDMRTWLSAVMTYQQTCIDGFPEGEVKTKMHEAMKSSKELTSNALAMIGQASSFLSLINLPGSNRRLLSASEEHEAVTSGLKKNGYPSWVGEDDRRMLKEHLRVKLTPNVTVAKDGSGKYKTISEALAAMPKKHKGRYVIYIKAGVYEETVNVTKKMANVTMYGDGSTRTIVTGSRNYIDGTRTFQTATFVAMGDRFVAMAMGFRNTAGAAKHQAVALRVVSDRSVFVNCRMEAYQDTLYAHTNRQFYRGCVIAGTVDFIFGDASAVFQNCLLVVRRPLPNQQNIITAQGRLNRRETTGFVLHQCRIKADNRLNDASLPPIRSYLARPWKEFSRTIIMESQIDGFIHAEGYMPWEGDFALSTLYYGEYNNDGPGANASGRVTWPGVHVIKKEEAERYTVENFIQGSSWIPATGSLVRLGLRS >Ma11_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6321155:6323492:1 gene:Ma11_g07940 transcript:Ma11_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRERMEEVGKKIKREADGITDRIGRQVGPVGTLNTITPCAACKLLRRRCAQDCPFSPYFSPHEPQKFALVHKVFGASNVSKMLMEVPEPQRADAANSLVYEANLRLRDPVYGCMGAISALQQQVQALEAELQAVRAEILKHKYGQAGGNIIPTSHAALLPPSAAVSVAAPPPVPLPSLSPVTDAASSSNYTSLPSSSTNYSSITTNHNVAYFG >Ma11_p07940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6321155:6322467:1 gene:Ma11_g07940 transcript:Ma11_t07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRERMEEVGKKIKREADGITDRIGRQVGPVGTLNTITPCAACKLLRRRCAQDCPFSPYFSPHEPQKFALVHKVFGASNVSKMLMVGHSQLLLFLLMSLQVLMQTLCVVVVVVKQEVPEPQRADAANSLVYEANLRLRDPVYGCMGAISALQQQVQALEAELQAVRAEILKHKYGQAGGNIIPTSHAALLPPSAAVSVAAPPPVPLPSLSPVTDAASSSNYTSLPSSSTNYSSITTNHNVAYFG >Ma04_p13130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9942260:9944952:1 gene:Ma04_g13130 transcript:Ma04_t13130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSLEPFSVTLNSSSRSASAPKATHNFAQPFQTSQDSSVNYVQHQQHVASKSTGPEAVVPVAHTTQIGGGQSTWQPPDWAIEPRSGIYYLEVVKDGEVIDQINLEKRRHIFGRQIPTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRSYILRKNTAALFPTPALPAGVDLPSPPDPADEDAVVAYNTILNRYGVSKLDLSSKSKSPSRSALSGTDERQHQGRPSKRSRKNRVAFIDQVGGELVEVVGVSDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQIAQNDEGASPRGVTDKLQYILNKVKSTPKSGIYDDLYGDALSGKVGSSWAYRSDGQVGSTKGVEEKPLSSAGEKENASSADDSDDLFGDS >Ma04_p13130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9942296:9944952:1 gene:Ma04_g13130 transcript:Ma04_t13130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSLEPFSVTLNSSSRSASAPKATHNFAQPFQTSQDSSVNYVQHQQHVASKSTGPEAVVPVAHTTQIGGGQSTWQPPDWAIEPRSGIYYLEVVKDGEVIDQINLEKRRHIFGRQIPTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRSYILRKNTAALFPTPALPAGVDLPSPPDPADEDAVVAYNTILNRYGVSKLDLSSKSKSPSRSALSGTDERQHQGRPSKRSRKNRVAFIDQVGGELVEVVGVSDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQIAQNDEGASPRGVTDKLQYILNKVKSTPKSGIYDDLYGDALSGKVGSSWAYRSDGQVGSTKGVEEKPLSSAGEKENASSADDSDDLFGDS >Ma04_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9942258:9944952:1 gene:Ma04_g13130 transcript:Ma04_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSLEPFSVTLNSSSRSASAPKATHNFAQPFQTSQDSSVNYVQHQQHVASKSTGPEAVVPVAHTTQIGGGQSTWQPPDWAIEPRSGIYYLEVVKDGEVIDQINLEKRRHIFGRQIPTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRSYILRKNTAALFPTPALPAGVDLPSPPDPADEDAVVAYNTILNRYGVSKLDLSSKSKSPSRSALSGTDERQHQGRPSKRSRKNRVAFIDQVGGELVEVVGVSDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQIAQNDEGASPRGVTDKLQYILNKVKSTPKSGIYDDLYGDALSGKVGSSWAYRSDGQVGSTKGVEEKPLSSAGEKENASSADDSDDLFGDS >Ma05_p31640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41477737:41478131:1 gene:Ma05_g31640 transcript:Ma05_t31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETIKNIGKHRQHNNIVQICPYPLVVQDISLSRRQGGFDFPWEFYERKWLTDYQ >Ma08_p29660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41173333:41177204:-1 gene:Ma08_g29660 transcript:Ma08_t29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVACRISAAGAMLFSASPRPHRNHPVIIPHTLPRRRLTTLRSPDRIACKATEVSEVEGGEPAVAAAKDADGGMNWVPVVPLAALPKGERRVIIQDGETILLLWYKDDVVAIENRSPAEGAYTEGLFNAKLTQDGCIVCPTTDSTFELRTGEIKEWYPKNPVLRVLTPPLRKLYVYPVKLDGENIYISMRGGSGGSAEIVFSGRAQPGMTASDVNVEEVRMVVDEDSEGFGFTGKNELLNGKAAIIGFLLLIDFELLTGKGLLKGTGFLDFIYSVSKAFESF >Ma05_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16701400:16703360:-1 gene:Ma05_g16470 transcript:Ma05_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGIPSNYRYMGRSYSDGGDSSGAFSDCNSDRSGEFPSYGSPTSSSSSSSGGGGLQRLLVACAADYSDEVVRGLISDLESPAATVESQRRAAMELRLLAKHNPENRLRIVAAGAVGPLVALLSHPDPLLQEHGVTAILNLSLCEENKTLIAAAGAIRPLVRALRTGTPAARENAACALVRLAQLDDLRAAIGRYGAIPPLVALLESSAPRGKKDAATALFTLLASRENKIRAVEAGIVRPLLDLMADPESGMVDKAAYVLHAVVEVAEGRAAAVVEYGVPVLVEMVETGTPRQKEIAVRSLFEICRESAIYRKMVTHEGAIPPLVALSQSGTKKAKEKAEALIELLRQPRTAGVSHY >Ma10_p11200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24804423:24808707:-1 gene:Ma10_g11200 transcript:Ma10_t11200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANVAGQFGDTTYTKVFVGGLAWETQRDTMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACVDPSPVIDGRRANCNLASLGVHRSKPPTLLHGGSRNFRVMKSFQAGLQGGVGTAFPSPAAATFPHYAIQQGLPYYVYGFSPYSAEYNYATSDYQSYYNMYGGAASQYPLYGGAANGVVAGTTGYYPYFQFGQGGGGGGGGGGAAAYAQGQGYGLQYPPMLQYSAVTTTAGVTGFAAQLYGGPMSLAPTPTAQAGMTMAVTSPSLPSPTAHPYRLIPAHFAAPSAPEQPLA >Ma10_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24805623:24808707:-1 gene:Ma10_g11200 transcript:Ma10_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANVAGQFGDTTYTKVFVGGLAWETQRDTMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACVDPSPVIDGRRANCNLASLGVHRSKPPTLLHGGSRNFRVMKSFQAGLQGGVGTAFPSPAAATFPHYAIQQGLPYYVYGFSPYSAEYNYATSYYNMYGGAASQYPLYGGAANGVVAGTTGYYPYFQFGQGGGGGGGGGGAAAYAQGQGYGLQYPPMLQYSAVTTTAGVTGFAAQLYGGPMSLAPTPTAQAVSFALKQA >Ma10_p11200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24804423:24808707:-1 gene:Ma10_g11200 transcript:Ma10_t11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANVAGQFGDTTYTKVFVGGLAWETQRDTMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACVDPSPVIDGRRANCNLASLGVHRSKPPTLLHGGSRNFRVMKSFQAGLQGGVGTAFPSPAAATFPHYAIQQGLPYYVYGFSPYSAEYNYATSYYNMYGGAASQYPLYGGAANGVVAGTTGYYPYFQFGQGGGGGGGGGGAAAYAQGQGYGLQYPPMLQYSAVTTTAGVTGFAAQLYGGPMSLAPTPTAQAGMTMAVTSPSLPSPTAHPYRLIPAHFAAPSAPEQPLA >Ma10_p11200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24805623:24808707:-1 gene:Ma10_g11200 transcript:Ma10_t11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANVAGQFGDTTYTKVFVGGLAWETQRDTMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACVDPSPVIDGRRANCNLASLGVHRSKPPTLLHGGSRNFRVMKSFQAGLQGGVGTAFPSPAAATFPHYAIQQGLPYYVYGFSPYSAEYNYATSDYQSYYNMYGGAASQYPLYGGAANGVVAGTTGYYPYFQFGQGGGGGGGGGGAAAYAQGQGYGLQYPPMLQYSAVTTTAGVTGFAAQLYGGPMSLAPTPTAQAVSFALKQA >Ma06_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14550852:14580719:-1 gene:Ma06_g20480 transcript:Ma06_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 18 [Source:Projected from Arabidopsis thaliana (AT1G12470) UniProtKB/Swiss-Prot;Acc:F4IDS7] MDAGGSGGGLFSVDPLERHAARGRGVITSMAAGNDVIILGTSKGWVIRYDFGVGDSQDLDFSGGRGGDQLVHRVFVDPRGSHCIATVLHAAGAETYYIHAKWARPRVLSRLKGLVVNAVAWNRQQITEGSTKEVILGTENGQLFEMAVDEVDKKEKHVKLLFELTELPEAIMGLQMETAALSNATRFYVMAVTPTRLYSFTGIGSLETVFASYSDRAVHFMELPGEIPNSELHFFIKQRRAQHFAWLSGAGIYHGDLNFGAQHSSTNGDENFVENKGLLDYSKLGEVGEANKPRSFAVSEFHFLVLIGNKVKVVNRISQQIVEDLKFDHTPESSKGIIGLCSDATAGLFYAFDENSIFQVSVQDESRDMWQFYLDMKEYATALAYCRNPIQRDQVYLVQADAAFSTRDYYRAASFYAKVNYIKSFEEISLKFVMADELDALRTFLLRRLDNLTKDDKCQVTMISMWAVELYLDKINRLLLEDDTGKVGNVASEANKTEYESIVLEFRAFLSDCKDVLDEATTMDLLERHGRIDELVFFAGLKEHYEIVVHHYIQQGETRKALEVLQRPNVPIDLLYKFAPDLIMLDAYETVESWMVTNKLNPMKLIPAMMRYASEPHAKNETHEVIKYLEFCVHNLHNEDPGVHNLLLSLYAKQEDESALVRFLQFKFGRGRPNGPEFFYDPKYALRLCLKEKKMRACIHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMIAKHVIEQEKGVKRENIRRAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKQEMNDATHGADNIRSDISALAQRYTVIDRDEECGVCKRKILTAGGAPRLARGYTSIGPMAPFYVFPCGHAFHAQCLIRHVTECTSETQAEYILGIQKKLSLLGEKATNDSSVSANDESITSMTPLDKLRSQLDDAIANECPFCGDLMIREISLSFVLPREAEEMTSWEIKPHITSQKILPMTI >Ma08_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5869930:5873645:1 gene:Ma08_g08450 transcript:Ma08_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPNHIPIKGLSSLDHPSVLQLLQEQTPTESGTSIVQNDEGRVHISAINRTKWWFAKHFLHPDIVAEYKHIFLWDEDIGVENFHPGRFVEIMVPVFPKAAWQCA >Ma05_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5516357:5522911:1 gene:Ma05_g07590 transcript:Ma05_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQIGPQARLSRPTPLSMRTLCSAFRSKVLSAALNHRGFCASALGFSSAEQLLGSGRRGSGLALSARVRTRASDALLKEEWLDSLSFPFSENFRQIVPVADEEIESDSDAEWVVGIDPDISGAVALLKPDGSGYSAQVFDTPNLQVLVGKKVRKRLDARSMIRLLQSFGAPLGTTAFIEQSIPYPQDGKQGWWSGGFTYGLWIGILVASGFSVVPVACRVWKDHFNLYRSSSNKEDSRRTASDLFPSLDSSLKRKKDHGRAEALLIAAYGRSLKLH >Ma05_p29660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40275335:40282749:1 gene:Ma05_g29660 transcript:Ma05_t29660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDLPAADNKTSSPVLPAARARTPRMKETPRVDSANGGSPGLKARPKPASVDASGTNVARKSILFNKLRPAINGVGDPKERNREEAKVVGSHDVEQYARLRRRADTSCRGSEDGASTKMRELQTRLDESERLLRDSQSEVLALRAQIEKLQVLNVELESQKKKLEESLSAAEAKIKVLEKHDQVESVIKSGFSNVMEVVQKKSQNVKDKIQFSVLKPPTKAVGVQSKALVKKPVPPLPTSQAPIAGPPPPPPPPPHAAGRSNAMHKPSALVELYHSLSKRDGKQGSMVNGGASPLSNNARNSIVGELQSRSSHLLAIKSDVETKGHLIKHLMEKVLSASFSNMEDALNFVDWLDGELSTLADESAVLKHFDWPERKADALREAAFEFRDLKRIEAEAASFKDDTSLPCEATLKRISNLLDKLERSVGRLIKLRTASMLLYRDCRIPTDWMLDSGMISKMKQVSVKLAKVYMRRVSMELESVWHSERESAQEALLYEGVRFAYRAHQFAGGLDSETMFIFEELKTRVESQCRGQ >Ma05_p29660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40275334:40282815:1 gene:Ma05_g29660 transcript:Ma05_t29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYHRFGHRTSTSGNRTAFPHNATNSGGKRLSSLPHTQCYRAYIDLSPRLLQMMPKPSSPSLFADGNPIPIADSLALCFRNLEPGLHPRRAMKQDLPAADNKTSSPVLPAARARTPRMKETPRVDSANGGSPGLKARPKPASVDASGTNVARKSILFNKLRPAINGVGDPKERNREEAKVVGSHDVEQYARLRRRADTSCRGSEDGASTKMRELQTRLDESERLLRDSQSEVLALRAQIEKLQVLNVELESQKKKLEESLSAAEAKIKVLEKHDQVESVIKSGFSNVMEVVQKKSQNVKDKIQFSVLKPPTKAVGVQSKALVKKPVPPLPTSQAPIAGPPPPPPPPPHAAGRSNAMHKPSALVELYHSLSKRDGKQGSMVNGGASPLSNNARNSIVGELQSRSSHLLAIKSDVETKGHLIKHLMEKVLSASFSNMEDALNFVDWLDGELSTLADESAVLKHFDWPERKADALREAAFEFRDLKRIEAEAASFKDDTSLPCEATLKRISNLLDKLERSVGRLIKLRTASMLLYRDCRIPTDWMLDSGMISKMKQVSVKLAKVYMRRVSMELESVWHSERESAQEALLYEGVRFAYRAHQFAGGLDSETMFIFEELKTRVESQCRGQ >Ma04_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26295694:26297877:1 gene:Ma04_g24250 transcript:Ma04_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFLLLTLALALFGGSDAAWCVCRSDVSTSALQKSLDYACGAGADCSPVLQNGACYNPNTVLAHCSYAVNSYYQRKGQAQGSCDFAAAATLTSTDPGGNGCTYPATPSAAGTSSTPTSTSSTPTSTSTTTPTTFTPNTGTTGSTGGVLGGLGPSGTTSSLDGSHGGMLMRAELSSFLSALLLSSLILLSM >Ma07_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5993665:5995968:1 gene:Ma07_g08040 transcript:Ma07_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKSPVAARPAGGAGVHPSAHPCFATVRLDKHPSRHTVPVPLLPPDHADANPPAAAASLAAGFHLSKADLDRIAGRSLFSAASASGAGTAKLKVAIYSGRMGTTCGVRSGRLLGKVSLPLDLREAAEGTAVVFHSGWIGLGKGAAKAQMYLTVKAEAEPRFVFEFDGEPECSPQVFQVQGNRRQPVFTCSFSCRHNFGDWNWRSRSTQSEPSSPRRWRSSFGSERERPGKERKGWSVKVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPVDGTWQPWGRLEAWRERGGPAGDGLGYRFELLPDTAVGPGVSLAESTISASKGGKFAIDLTGAGGNPLARTSSSSGRGGKLGRGLSLSPSPSPAYRGFVMSSTVIGEGRSGRPAVEVGVQHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRRGLSSPALLR >Ma03_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4629187:4633146:1 gene:Ma03_g06740 transcript:Ma03_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKIQIKKIDNTAARQVTFSKRRRGLFKKAAELSVLCDADVALIVFSSTGKLFEFCNSSMKKIIDKHSTHSKNLEKHDQPFLDLNLDNNNYASLKKQVAEASLQLRQMRGEALEKLTVEELQQLEKTLEAGLGRVMDRKGAQFTQQINSLQQKAAKLAEENVRLRRRVLEMPNMGKQVMADKDNVVNEDGQSSESVTNASHSGGPQECDDSSVTSLKLGLPYC >Ma07_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3281609:3284362:1 gene:Ma07_g04410 transcript:Ma07_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDKAIGDGTGDGKGVSMLPGLGGNGRYGRIDADLSDDGPRRGIESNRYIFACAIFASLNSVLLGYDVGVMSGCIIFIQKDLHITEVQQEVLVGCLSILSLVGSLAAGRTSDAIGRKWTIGLAAIIFQTGAAIMAFAPSFQVLILGRLLAGVGIGFGVMIAPVYIAEISPTVSRGSFTSFPEIFINLGILLGYISNYVFSGLSEHISWRIMLGIGILPSVFIGFALFVIPESPRWLVMQNRLEEARSVLMRINENEKEVENRLREIEEAAGVVEGEKYVEKAVWREFLRPSPTLRRMLIIGIGIQCFQQISGIDATVYYSPTIFRDAGIKSDSKLLAATVAVGIAKTTFILVAILLIDRVGRKPLLYVSTIGMTVCLFCLGLALSLLGNNLVYPRVGILVAILSVCGNVAFFSVGIGPICWVLSSEIFPQRLRAQAVALGTVGNRVSSGLIAMSFLSMCRAITVAGTFFIFSAISAVSVIFINMYVPETKGKSLEQIEMLIDTRREWQPIEVELGDAEHLVQKD >Ma04_p30320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31018600:31019672:1 gene:Ma04_g30320 transcript:Ma04_t30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLENPLASADDDEQQRRRASVSALFAAELDHSIASTIDIAVRRDAVSLIVQAQFTCNDADPFLTYLAVNYVDRFLSKRQIPREKPRIVRLLSVSCLSLASKMTETHFPLAHFQGEEGSPFDSRTIFRMEMLILGALDWRMRSITPFSFLRFFVTFFSPTHPSLLRALRSRASLTILRAQDEIKMMEFKPSVIAAAALLSAANVLFPSQFPAFRSAISSCEFINRVRSKSKGIKISPRLFPFYSFPFVC >Ma10_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22704586:22716724:1 gene:Ma10_g08280 transcript:Ma10_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEGSSKGGGSADVVLQNYKLGKTLGIGSFGKVKIAEHLLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETHSDIYVVMEYVKSGELFDYIVEKGRLQEDEARRFFQQIISGVEYCHRNMVAHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKSGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHPWFQMRLPRYLAVPPPDTMQQARKIDDDIIQEVIKMGFDKNQLVESLHSRISNEATVSYYLLLDNRFRAMSGYLGGDFQETMDYGVPPMSSSENSEFAHRLPGYMDPQGIGLRSQLPVERKWALGLQSRAHPREIMTEVLKALQELNVRWKRIGHYNMKCCYIPGFSDHAESMLNNSPHANHSFSDESAIVESDNIVKFEIQLYKTREEKYLLDLQRVSGSQLLFLDLCAAFLAQLRVL >Ma10_p08280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22704043:22716724:1 gene:Ma10_g08280 transcript:Ma10_t08280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSKGGGSADVVLQNYKLGKTLGIGSFGKVKIAEHLLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETHSDIYVVMEYVKSGELFDYIVEKGRLQEDEARRFFQQIISGVEYCHRNMVAHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKSGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHPWFQMRLPRYLAVPPPDTMQQARKIDDDIIQEVIKMGFDKNQLVESLHSRISNEATVSYYLLLDNRFRAMSGYLGGDFQETMDYGVPPMSSSENSEFAHRLPGYMDPQGIGLRSQLPVERKWALGLQSRAHPREIMTEVLKALQELNVRWKRIGHYNMKCCYIPGFSDHAESMLNNSPHANHSFSDESAIVESDNIVKFEIQLYKTREEKYLLDLQRVSGSQLLFLDLCAAFLAQLRVL >Ma10_p08280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22704054:22716724:1 gene:Ma10_g08280 transcript:Ma10_t08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEGSSKGGGSADVVLQNYKLGKTLGIGSFGKVKIAEHLLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETHSDIYVVMEYVKSGELFDYIVEKGRLQEDEARRFFQQIISGVEYCHRNMVAHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKSGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHPWFQMRLPRYLAVPPPDTMQQARKIDDDIIQEVIKMGFDKNQLVESLHSRISNEATVSYYLLLDNRFRAMSGYLGGDFQETMDYGVPPMSSSENSEFAHRLPGYMDPQGIGLRSQLPVERKWALGLQSRAHPREIMTEVLKALQELNVRWKRIGHYNMKCCYIPGFSDHAESMLNNSPHANHSFSDESAIVESDNIVKFEIQLYKTREEKYLLDLQRVSGSQLLFLDLCAAFLAQLRVL >Ma09_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27941474:27942606:1 gene:Ma09_g20130 transcript:Ma09_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFAIMIFLSLGSVEGFSTKTPLSFLLGTITSVISGFLGMKIATYTNARTTLEARKGVGKAFIAAFHSGAVMGFLLAANGLLVLCIVINLFKLYSGDDWEVLFEAITGYGLGGSSMALFGRVGGGVIFWFYSSILNCFLLLCNFFCLHIIHSVPKQHVCTDNVGDIAGMGSDLFGSYVESSCAALVVASISSFGINHELTAMLYPFISSMGIIVCLIATLFATATDFFEIRAVKEIEPALKRQLIISTALMTVGIAIVSWMALPSTFTIFNFGAQP >Ma10_p27320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34659059:34663014:-1 gene:Ma10_g27320 transcript:Ma10_t27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSLPRRNSSASLLLFLLLCFLSLFISSDAQQLVPSQSRTLLRLQRLLEYPQALAGWSSATAFCSLPPSLSLSVACSGDRIVELVIVGDRPASPGGRNALSPAFSSDSLFTTLSRLPSLTTISLVALGLWGPLPGKVDRFPSLKTLNLSSNYFAGAIPVEIMTMSSLQNLVLSGNSFNGSVPDLKSLTALVELNVGRNRLGPDFPSLSSDLVALVLKDNSFRGKIPASLASFRQLQKLDLSSNRLAGWIPPSLFSLRSIRYLDLSHNTFTGEIPSKVSCGEELGFIDITNNHLVGGLPSCMRSNTSTRVVLSSGNCLNAGDLRHQHSDAYCNDAAVAAVLPPASKISGSKSNVGVILGIAGGVVVGAAWLLLLVFLAFRRARVEEPKAIALPKPVATKSLAQDSTRTPAEAGHMYGAARTETLGSIPYRVFSMEELQVATNGFDPSNLLEDSARGQFYKGWLPDGSLTTVRSLRLNQKFSPQSLPQYLDLISKLRHHHLASILGHCIFGSQDGSNTTTMVFLVSEHVTNGTLRSHITEWRKREMLKWPQRLAAVTGVARGIQFLHTVTVPGIIGNDLNIENIMLDKTLTAKISNYNLPVFPKNKNSKVLQGGYERPFVAADDRDLGSIHNLEQGEKEDIYQLGLILLEIITGKPTGSKNEVDSLISLIQKTLTDSPSDLKEIADPTIRGTYAVDSLRTAIEISLNCASRYPNQRPSIDDVLWNLQYSAQIQDGWASSENLSIQV >Ma02_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19923430:19924811:1 gene:Ma02_g10300 transcript:Ma02_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNADSENPSWAELLGSNHWAGLLDPLDYSLRCLLLKFGDVCQVTTDSYITALDSKKYSGNCSYSMDRLLDQTFFTYAADYHVVQYLIANSQGWMGYVAVSNSAHADGSGVREIYVVWRGTEGTKEWCDDIPKTLVPFDDSTSDVPMVMKGWFEIYTVVADVQTKESAREQLLAKIKELVEQYKDESLSIVCLGHSLGGALAILSAYDIVRSGLSKIGEKEEFPVCTMVFGSPRAGNQAFSDSWAKLPNLRALRVLNKDDLDIPNFPPTSDGYVDIGTVLTVDSRKSPCLKTNHDRHNLQVNLHTVAGWTGENGDFDCTIVKRSLALVNKHGGYLSINPALQSWWAEKNKRMVRGEDGLWSELPPES >Ma03_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11575860:11577256:-1 gene:Ma03_g14410 transcript:Ma03_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQSDKDYKEPPPAPLFEPGELTSWSFYRAGIAEFMATFLFLYITILTVMGVVKSNSKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGYQKGLYESNGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKHAWDDHWIFWVGPFIGAALAAIYHQIVIRAIPFKSRP >Ma02_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15524922:15530120:1 gene:Ma02_g04060 transcript:Ma02_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQIWFALGTVILALLFACVLADDDVFVLTEANFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKSVLIGKVDCDEHKGLCSKYGVSGYPTIQWFPKGSLEPKRYEGPRTAESLAEFVNTEGGTNVKLASIPSNVVVLTSETFDQIVLDETKDVLVEFYAPWCGHCKHLAPTYEKVGSAYKLEEDVIIANLDADKHKDLAEKYGVSGYPTLKFFPKGNKGGEDYDGDRDLDDFVKFLNEKCGTSRDANGQLTSQAGIVASLDALVKEFVSASDDERKTILSRMEEEVEKLTGSSARYGKTYLKAAKSCIEKGANYATKEIERLQRMLAKSISASKADEFIIKKNILSTFSA >Ma08_p26630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39138634:39143864:-1 gene:Ma08_g26630 transcript:Ma08_t26630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPPPKQDELVPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGTRLPAVMGGSYTFVMPTISIILAGRYSDIVDPHEKFLRIMRGTQGALIVASTLQIIIGFSGLWRNVTRFLSPLSAVPLVALAGFGLYELGFPGVAKCVEIGLPVIVLLVIFSQYLPHAMHSERHVFDRFAVIFSIVIVWLYAYLLTVGGAYRHSPPKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGTFMAVARYASATPVPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQLSAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFLLDNTLHRHDSAVRKDRGFHWWHKFRSFMGDTRSEEFYSLPCNLNKFFPSV >Ma08_p26630.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39138635:39143863:-1 gene:Ma08_g26630 transcript:Ma08_t26630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPPPKQDELVPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGTRLPAVMGGSYTFVMPTISIILAGRYSDIVDPHEKFLRIMRGTQGALIVASTLQIIIGFSGLWRNVTRFLSPLSAVPLVALAGFGLYELGFPGVAKCVEIGLPVIVLLVIFSQYLPHAMHSERHVFDRFAVIFSIVIVWLYAYLLTVGGAYRHSPPKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGTFMAVARYASATPVPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQLSAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFLLDNTLHRHDSAVRKDRGFHWWHKFRSFMGDTRSEEFYSLPCNLNKFFPSV >Ma08_p26630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39138635:39143863:-1 gene:Ma08_g26630 transcript:Ma08_t26630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPPPKQDELVPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGTRLPAVMGGSYTFVMPTISIILAGRYSDIVDPHEKFLRIMRGTQGALIVASTLQIIIGFSGLWRNVTRFLSPLSAVPLVALAGFGLYELGFPGVAKCVEIGLPVIVLLVIFSQYLPHAMHSERHVFDRFAVIFSIVIVWLYAYLLTVGGAYRHSPPKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGTFMAVARYASATPVPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQLSAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFLLDNTLHRHDSAVRKDRGFHWWHKFRSFMGDTRSEEFYSLPCNLNKFFPSV >Ma08_p26630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39138635:39143863:-1 gene:Ma08_g26630 transcript:Ma08_t26630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPPPKQDELVPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGTRLPAVMGGSYTFVMPTISIILAGRYSDIVDPHEKFLRIMRGTQGALIVASTLQIIIGFSGLWRNVTRFLSPLSAVPLVALAGFGLYELGFPGVAKCVEIGLPVIVLLVIFSQYLPHAMHSERHVFDRFAVIFSIVIVWLYAYLLTVGGAYRHSPPKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGTFMAVARYASATPVPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQLSAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFLLDNTLHRHDSAVRKDRGFHWWHKFRSFMGDTRSEEFYSLPCNLNKFFPSV >Ma08_p26630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39138635:39143863:-1 gene:Ma08_g26630 transcript:Ma08_t26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPPPKQDELVPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGTRLPAVMGGSYTFVMPTISIILAGRYSDIVDPHEKFLRIMRGTQGALIVASTLQIIIGFSGLWRNVTRFLSPLSAVPLVALAGFGLYELGFPGVAKCVEIGLPVIVLLVIFSQYLPHAMHSERHVFDRFAVIFSIVIVWLYAYLLTVGGAYRHSPPKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGTFMAVARYASATPVPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQLSAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFLLDNTLHRHDSAVRKDRGFHWWHKFRSFMGDTRSEEFYSLPCNLNKFFPSV >Ma08_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10533265:10533884:1 gene:Ma08_g13320 transcript:Ma08_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIQDMLLPMHKVADALEFLHREMEVYPIWLCPHRLFKLPVKTMVYPEPGFELDPKQGDTSFAQMFADIGVYYAPGPVLRGEEFDGAEAVHRLEEWLIQNHGFQPQYSVSELSEDNFWRMFDRELYDHCRRKYKAIGTFMDVYYKSKKGKKTEKEVLEAEAAIAETEYAVAEKEN >Ma05_p15840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12578504:12582116:1 gene:Ma05_g15840 transcript:Ma05_t15840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISAMDLKEHKFFAAGFLFLATLVLAKLVAAALAPKSRKPLPPIVAAVPVIGGLLRFMRGPILMIREEYAKLGSVFTVNVVNRKITFFIGPEVSGHFFKAPEAQLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVTKLRSYVDHMVVETEDYFSKWGESGTVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHRRRDRARARIAEIFSTIISSRKTSGKSEDDMLQCFIDSKYKDGRATTEGEITGLLIAALFAGQHTSSITSTWTGAYLLRFRKYLSAALEEQREIMRQHGDKVDHDILSEMDVLYRCIKEALRLHPPLIMLLRYSHSDFTVTTREGKEYDIPKGHIVATSPSFANRLPYIFKDPDTYDPDRFLPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPENDWNAMVVGVKGEVMVRYKRRKLSVDN >Ma05_p15840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12578507:12582116:1 gene:Ma05_g15840 transcript:Ma05_t15840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAMDLKEHKFFAAGFLFLATLVLAKLVAAALAPKSRKPLPPIVAAVPVIGGLLRFMRGPILMIREEYAKLGSVFTVNVVNRKITFFIGPEVSGHFFKAPEAQLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVTKLRSYVDHMVVETEDYFSKWGESGTVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHRRRDRARARIAEIFSTIISSRKTSGKSEDDMLQCFIDSKYKDGRATTEGEITGLLIAALFAGQHTSSITSTWTGAYLLRFRKYLSAALEEQREIMRQHGDKVDHDILSEMDVLYRCIKEALRLHPPLIMLLRYSHSDFTVTTREGKEYDIPKGHIVATSPSFANRLPYIFKDPDTYDPDRFLPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPENDWNAMVVGVKGEVMVRYKRRKLSVDN >Ma05_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12578507:12582116:1 gene:Ma05_g15840 transcript:Ma05_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAMDLKEHKFFAAGFLFLATLVLAKLVAAALAPKSRKPLPPIVAAVPVIGGLLRFMRGPILMIREEYAKLGSVFTVNVVNRKITFFIGPEVSGHFFKAPEAQLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVTKLRSYVDHMVVETEDYFSKWGESGTVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHRRRDRARARIAEIFSTIISSRKTSGKSEDDMLQCFIDSKYKDGRATTEGEITGLLIAALFAGQHTSSITSTWTGAYLLRFRKYLSAALEEQREIMRQHGDKVDHDILSEMDVLYRCIKEALRLHPPLIMLLRYSHSDFTVTTREGKEYDIPKGHIVATSPSFANRLPYIFKDPDTYDPDRFLPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPENDWNAMVVGVKGEVMVRYKRRKLSVDN >Ma05_p15840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12578507:12582116:1 gene:Ma05_g15840 transcript:Ma05_t15840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISAMDLKEHKFFAAGFLFLATLVLAKLVAAALAPKSRKPLPPIVAAVPVIGGLLRFMRGPILMIREEYAKLGSVFTVNVVNRKITFFIGPEVSGHFFKAPEAQLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVTKLRSYVDHMVVETEDYFSKWGESGTVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMQPISVIFPYLPIPAHRRRDRARARIAEIFSTIISSRKTSGKSEDDMLQCFIDSKYKDGRATTEGEITGLLIAALFAGQHTSSITSTWTGAYLLRFRKYLSAALEEQREIMRQHGDKVDHDILSEMDVLYRCIKEALRLHPPLIMLLRYSHSDFTVTTREGKEYDIPKGHIVATSPSFANRLPYIFKDPDTYDPDRFLPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPENDWNAMVVGVKGEVMVRYKRRKLSVDN >Ma05_p11540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8368531:8372348:-1 gene:Ma05_g11540 transcript:Ma05_t11540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRPMSFFEAREDEHRMQAMQQQQSSSVPAPSTAAPVKKRRNLPGNPYPNAEVIALSPRTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLRQKDPKEVRRRVYLCPEPTCPHHDPSRALGDLTGIKKHFCRKHGEKKWNCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCEALAHENGRLPSGLHTLGGSHIYGNSNMFFSLPRMSSHTTSLHGQHIISPPKPLTSGVPRSPPSSSTFHLDGSSDKGISEGTQSHQSLPQCKTSHGLMQLPELLGNTAASTSASAAAASADLFNHSFFSNNSTICRNPIADQIYDSNRINEPTACFAGNLVDEQMEGGIISRYSTLMNNEPVMLPQQLSATALLQRAAQLGATSSRGSSFLACFSGNHGSVIDGFGPQMENDTHFQYLMNSLARGGIDLVGSSAGMATFEGGCTTTGGRHGQENTGVGTFNVNNIDEPKYNLPSSDGLTRDFLGVGSTTRSMGGGISQREQHHGIAMSSGSSSQYSFAGGSLQ >Ma05_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8368531:8372348:-1 gene:Ma05_g11540 transcript:Ma05_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRPMSFFEAREDEHRMQAMQQQQSSSVPAPSTAAPVKKRRNLPGNPYPNAEVIALSPRTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLRQKDPKEVRRRVYLCPEPTCPHHDPSRALGDLTGIKKHFCRKHGEKKWNCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCEALAHENGRLPSGLHTLGGSHIYGNSNMFFSLPRMSSHTTSLHGQHIISPPKPLTSGVPRSPPSSSTFHLDGSSDKGISEGTQSHQSLPQCKTSHGLMQLPELLGNTAASTSASAAAASADLFNHSFFSNNSTICRNPIADQIYDSNRINEPTACFAGNLVDEQMEGGIISRYSTLMNNEPVMLPQQLSATALLQRAAQLGATSSRGSSFLACFSGNHGSVIDGFGPQMENDTHFQYLMNSLARGGIDLVGSSAGMATFEGGCTTTGGRHGQENTGVGTFNVNNIDEPKYNLPSSDGLTRDFLGVGSTTRSMGGGISQREQHHGIAMSSGSSSQYSFAGGSLQ >Ma05_p11540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8368531:8372348:-1 gene:Ma05_g11540 transcript:Ma05_t11540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRPMSFFEAREDEHRMQAMQQQQSSSVPAPSTAAPVKKRRNLPGNPYPNAEVIALSPRTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLRQKDPKEVRRRVYLCPEPTCPHHDPSRALGDLTGIKKHFCRKHGEKKWNCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCEALAHENGRLPSGLHTLGGSHIYGNSNMFFSLPRMSSHTTSLHGQHIISPPKPLTSGVPRSPPSSSTFHLDGSSDKGISEGTQSHQSLPQCKTSHGLMQLPELLGNTAASTSASAAAASADLFNHSFFSNNSTICRNPIADQIYDSNRINEPTACFAGNLVDEQMEGGIISRYSTLMNNEPVMLPQQLSATALLQRAAQLGATSSRGSSFLACFSGNHGSVIDGFGPQMENDTHFQYLMNSLARGGIDLVGSSAGMATFEGGCTTTGGRHGQENTGVGTFNVNNIDEPKYNLPSSDGLTRDFLGVGSTTRSMGGGISQREQHHGIAMSSGSSSQYSFAGGSLQ >Ma06_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10740593:10741031:-1 gene:Ma06_g15830 transcript:Ma06_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSTGGSPAAMANKLHRLLTIPWKREIAQPGLGLGLRFPGARSLASSVPTPEEAARFAPSPTPSKPEVEEEDSASTKGEEGTTVEEEDDGVHTNKLTGEVGGPKGPEPTRYGDWERGGRCSDF >Ma10_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22347634:22349949:1 gene:Ma10_g08090 transcript:Ma10_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g50420 [Source:Projected from Arabidopsis thaliana (AT3G50420) UniProtKB/Swiss-Prot;Acc:Q9SCT2] MASTSLPFYHNCEGPLASVAASLLQGCASSTGGTLRKARQLHALIVVATPRGSPPFLFNNLLSLYVKCGAISHARKLFDAMPSRNLVSYNAMIAAYSWYPPGAHSAFQIFRESRLAGLGPGASTLSSLIRAAAALREPLLSRALHSVVVRHGLLNNVCVQTALLGMYSDSGSPEAAESIFSEMGEGDVVAWNAIILSNVKHGKIEQGLRHFSRMIETGLVPDNSTFSIVFNACGRLENPNRGRIVHAKMIKSEMEPDIPLQNALISMYSGCGDMTTALLVFERIEKPGLVSWNSVIAGYSDVGEGEKAMEVFIELQTLSFYGGPCPDEYTVATVVSATATLPSICYGKPLHAHAIKSGLEFSMFVGNTLINMYFINDDPDSARKLFNCIQIKDVIIWTEMVVGHSRLGEGELAMKYFYHMLEEEHKVDSFSLSSALNSSADLAVLKQGEMIHSQVVKAGYEANLCVCGSLVDMYAKNGNLEGARSVFYRIKDPDLKCWNSLIGGYGNYGNAEQAFELFNKMVKKGLQPDHVTYLSLLSTCSHSGMVERGRFYWFCMTADGIMLAFKHYTCMVNLLSRSGLLQEAEGFVMRSPFSKSPELWRILLSSCVIFRNLEIGVQAAEKVLILEPDDSPTYILLSNLYASVGRWDAVAGMRKQFRGLMVEKEPGLSWIEIKNAVHAFSADDNTHVHINECRNELLRLQGNLVGSKSPDLQLFYSMIC >Ma02_p21940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27422078:27427593:-1 gene:Ma02_g21940 transcript:Ma02_t21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDWLRTLISRKKKNKERPKQARKTLGSLRRTQKLQAFTYHNSVKKQTTNTLIHVHAWSKIQAEIRARRANMVAEGRIRQKKHDNQLKLEAKLHDLEVDWNGGSETKEEIIARIQQREEAAVKRERAMAYAFSHQWRANSGMNQGPFVFELAKGNWEWSWVDKWIAAQPWETRPSTLTKVVNRVGKGAKLPSSVKHASIDGKEFAKKPSKQSDEETTNQESNTKAANSGHSRTKTT >Ma02_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27422078:27427593:-1 gene:Ma02_g21940 transcript:Ma02_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDWLRTLISRKKKNKERPKQDSADQPLKLKSRSHNDPNKLLNGALNGNSQDCGMTIEIIAAIRIQTAFRGFKARKTLGSLRRTQKLQAFTYHNSVKKQTTNTLIHVHAWSKIQAEIRARRANMVAEGRIRQKKHDNQLKLEAKLHDLEVDWNGGSETKEEIIARIQQREEAAVKRERAMAYAFSHQWRANSGMNQGPFVFELAKGNWEWSWVDKWIAAQPWETRPSTLTKVVNRVGKGAKLPSSVKHASIDGKEFAKKPSKQSDEETTNQESNTKAANSGHSRTKTT >Ma09_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15136433:15139258:1 gene:Ma09_g18000 transcript:Ma09_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MATFATLPALPSPLHSSSPVPVNRSKGSLPSRSRLIFPAAVKCDAAEEFRSDIGRFPSKRRELLLQIFLASLSLPFVATNASAETEWLVGAGDANGIKSVTGFYPEESSDSNVSIVITGLGPDFTRLESFGNVDAFAETLVSGLDRSWKRPPGVTAKLISSKSTNGLYYVEYTLQNPGERCRHILTALGVASNGWYNRLYTVTGQYMEDEAEKYQPQVVKMVSSFRLI >Ma09_p18000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15136433:15139258:1 gene:Ma09_g18000 transcript:Ma09_t18000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MATFATLPALPSPLHSSSPVPVNRSKGSLPSRSRLIFPAAVKCDAAEEFRSDIGRFPSKRRELLLQIFLASLSLPFVATNASAETDLQESFRIYEDEMNKFRISIPKEWLVGAGDANGIKSVTGFYPEESSDSNVSIVITGLGPDFTRLESFGNVDAFAETLVSGLDRSWKRPPGVTAKLISSKSTNGLYYVEYTLQNPGERCRHILTALGVASNGWYNRLYTVTGQYMEDEAEKYQPQVVKMVSSFRLI >Ma05_p12600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9104709:9108294:1 gene:Ma05_g12600 transcript:Ma05_t12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLLSAVSDYVSIPESYVRPESQRPRLNEVIRGANIPTIDLGSEDELQIIAQVADACRSFGFFQVVNHGVPLESMQKMMAVASEFFRLPPEEKAKHYSDDPAKKMRLSTSFNIKKETVRNWRDYLRLHCYPLEEFVPGWPSNPASFRDVVSTYCREVRRLGFRLLRAISLSLELEEGYMAKVLGEQEQHMAVNYYPKCPEPELTYGLQAHTDPNALTVLLQDPNVAGLQVLKDGKWIAVNPQPDALVINIGDQLQALSNGRYRSVWHRAVVNSERERISVASFLCPSSSVVISPPEKLVGDRARPVYRTYTYDEYYKKFWSRNLDDDHCLKLFEC >Ma04_p15240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11549115:11553556:-1 gene:Ma04_g15240 transcript:Ma04_t15240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRRSWREDSRHGDDAWGRPRNIPWTQPDPPPQPWGGWGCPPHSVPLWEKIYLSDVCGMPWERICMIRRCMSVSRHEGVFRWDDSAALEAFQNSKTRLWAEYHGRPCDIPLPDPDMFIDEVDHDAVIDPELIADLEMKPADSADLGDGRVNKLPSATDNSGGRLPTANWDVYLAQEVRPTGWGDVPEPNSWNQQGKGVVGNSWNCVGRGWDQQATQDDQWRGRGRDNYVGYNDRMSIPWETWEITNRNFEPGRRNGRTRDEGGHWGPRHTRPKYQTNAYQSNDDRRRNYKGKNRMTNRCYKETPA >Ma04_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11549115:11553556:-1 gene:Ma04_g15240 transcript:Ma04_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRRSWREDSRHGDDAWGRPRNIPWTQPDPPPQPWGGWGCPPHSVPLWEKIYLSDVCGMPWERICMIRRCMSVSRHEGVFRWDDSAALEAFQNSKTRLWAEYHGRPCDIPLPDPDMFIDEVDHDAVIDPELIADLEMKPADSADLGDGRVNKLPSATDNSGGRLPTANWDVYLAQEVRPTGWGDVPEPNSWNQQGKGVVGNSWNCVGRGWDQQATQDDQWRGRGRDNYVGYNDRMSIPWETWEITNRNFEPGRRNGRTRDEGGHWGPRHTRPKYQTNAYQSNDDRRRNYKGKNRMTNRCYKETPA >Ma07_p21600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29667892:29674378:1 gene:Ma07_g21600 transcript:Ma07_t21600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASRSGRGFDDEDDDDQEFAKREGFFFSHKDLTIKADGKGSGTDDLPTTPRSKHSAMEQRRRNKINDRFQILRELIPHSDQKRDKASFLLEVIEYIQFLQEKVQKYESSYPGWNQDNAKLMPWVKVYYRSFWKNAQNNNQTPVDGLSDPSQVIRNGSAPPASVFAGQFDENDIPAAPAMLSNAPNPTQLDMTTGVPYKIMETATGFAIADNMPSQAQPHWLGSSSPADCAVSSEMLNEQEELIIDEGTINASASYSQGLLTSLTQTLQSSGVDLSQANISVQINLGKRATNRRPTATTALSNYKDPDDPASTNQAVGRSMMGITNQESSQAAKRHKADNC >Ma07_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29667913:29674379:1 gene:Ma07_g21600 transcript:Ma07_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASRSGRGFDDEDDDDQEFAKREGFFFSHKDLTIKADGKGSGTDDLPTTPRSKHSAMEQRRRNKINDRFQILRELIPHSDQKRDKASFLLEVIEYIQFLQEKVQKYESSYPGWNQDNAKLMPWVKVYYRSFWKNAQNNNQTPVDGLSDPSQVIRNGSAPPASVFAGQFDENDIPAAPAMLSNAPNPTQLDMTTGVPYKIMETATGFANNMPSQAQPHWLGSSSPADCAVSSEMLNEQEELIIDEGTINASASYSQGLLTSLTQTLQSSGVDLSQANISVQINLGKRATNRRPTATTALSNYKDPDDPASTNQAVGRSMMGITNQESSQAAKRHKADNC >Ma06_p26400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28249687:28258547:1 gene:Ma06_g26400 transcript:Ma06_t26400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKKTVSVTPALDSSGVLRDHREGSEAVSASSSRCRAGQGCREEEQEGEAAGCTQGDPGKASAISGSLQSLRLGNFHKYIEGEQVAAGWPAWLSAVAGEAIQGWVPLKADNFEKLEKIGQGTYSSVFRAQDLDTGKVVALKKVRFDNFEPESVRFMAREILILRRLDHPNVVKLEGLITSRLSCSLYLVFEYMEHDLAGLSACPDIEFSEAQVKCYMQQLLSGLEHCHLRGVIHRDIKGANLLVNNDGVLKMADFGLANFCSTKHKQPLTSRVVTLWYRPPELLLGSTNYEVSVDLWSVGCVFAEMFLGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATIFKPHHPYESCLQDMFKNLPATAINLLETFLSVEPYKRGTASSALASEYFRTKPYACEPSSLPKYPPNKEIDAKSREESHRRRIERRRHGPEAITRQPGAYRASREPSNAPGKIAESQEINRHGVTRSKVKQEHIRVNGEARLLSQEDAAFSGPLDVSASSGFAWAKRQREACAYDRSHSSSSSKSHVSGAVDPSNTVPDKAVLELGTHKNGDADETSAGSKGHDTYEQAKCKILKKWATLGRPDSFDSSDIHHSQDFSKVLFRGDPISAKRNFLGRQDEGDRVEFSGPLLSQSHKVDELLQKHERYIRQAVRRSWFQRVAGRRQRK >Ma06_p26400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28249687:28258547:1 gene:Ma06_g26400 transcript:Ma06_t26400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKKTVSVTPALDSSGVLRDHREGSEAVSASSSRCRAGQGCREEEQEGEAAGCTQGDPGKASAISGSLQSLRLGNFHKYIEGEQVAAGWPAWLSAVAGEAIQGWVPLKADNFEKLEKIGQGTYSSVFRAQDLDTGKVVALKKVRFDNFEPESVRFMAREILILRRLDHPNVVKLEGLITSRLSCSLYLVFEYMEHDLAGLSACPDIEFSEAQVKCYMQQLLSGLEHCHLRGVIHRDIKGANLLVNNDGVLKMADFGLANFCSTKHKQPLTSRVVTLWYRPPELLLGSTNYEVSVDLWSVGCVFAEMFLGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATIFKPHHPYESCLQDMFKNLPATAINLLETFLSVEPYKRGTASSALASEYFRTKPYACEPSSLPKYPPNKEIDAKSREESHRRRIERRRHGPEAITRQPGAYRASREPSNAPGKIAESQESQINRHGVTRSKVKQEHIRVNGEARLLSQEDAAFSGPLDVSASSGFAWAKRQREACAYDRSHSSSSSKSHVSGAVDPSNTVPDKAVLELGTHKNGDADETSAGSKGHDTYEQAKCKILKKWATLGRPDSFDSSDIHHSQDFSKVLFRGDPISAKRNFLGRQDEGDRVEFSGPLLSQSHKVDELLQKHERYIRQAVRRSWFQRVAGRRQRK >Ma06_p26400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28249687:28258547:1 gene:Ma06_g26400 transcript:Ma06_t26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKKTVSVTPALDSSGVLRDHREGSEAVSASSSRCRAGQGCREEEQEGEAAGCTQGDPGKASAISGSLQSLRLGNFHKYIEGEQVAAGWPAWLSAVAGEAIQGWVPLKADNFEKLEKIGQGTYSSVFRAQDLDTGKVVALKKVRFDNFEPESVRFMAREILILRRLDHPNVVKLEGLITSRLSCSLYLVFEYMEHDLAGLSACPDIEFSEAQVKCYMQQLLSGLEHCHLRGVIHRDIKGANLLVNNDGVLKMADFGLANFCSTKHKQPLTSRVVTLWYRPPELLLGSTNYEVSVDLWSVGCVFAEMFLGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATIFKPHHPYESCLQDMFKNLPATAINLLETFLSVEPYKRGTASSALASEYFRTKPYACEPSSLPKYPPNKEIDAKSREESHRRRIERRRHGPEAITRQPGAYRASREPSNAPGKIAESQESQINRHGVTRSKVKQEHIRVNGEARLLSQEDAAFSGPLDVSASSGFAWAKRQREACAYDRSHSSSSSKSHVSGAVDPSNTVPDKAVLELGTHKNGDADETSAGSKGHDTYEQAKCKILKKWATLGRPDSFDSSDIHHSQDFSKVLFRGDPISAKRNFLDEGDRVEFSGPLLSQSHKVDELLQKHERYIRQAVRRSWFQRVAGRRQRK >Ma09_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18247074:18247739:1 gene:Ma09_g18620 transcript:Ma09_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPTLHPVTFEQDNSKEGLRANLDLLEERRVEAHLRTLAYKKATTRLNNRRGKLTPNWEGPYRVYNVVREETYRLETMGGSPLPRSWNASNLRRFYL >Ma02_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8972691:8975834:1 gene:Ma02_g01240 transcript:Ma02_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSQTMDIPEGVTVKVNAKIVEVEGPRGKLTRDFKHLNLDFDLIESGKKLKVDAWFGSRKTTAAIRTAISHVQNLIAGVTKGYRYKMRLVYAHFPINASIPNNNTSIEIRNFLGEKKVRKVGMLDGVRIIRSEKVKDELVLEGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVTEE >Ma02_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19697085:19699558:1 gene:Ma02_g09950 transcript:Ma02_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIKCRAAVSWEAGKPLVIEEVEVAPPKAMEVRLKILYTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPIFTGECRECAHCKSEDSNMCDLLRINTDRGVMISDGQSRFSINGKPIYHFLGTSTFSEYTVLHVGCVAKINPAAPLDKVCVISCGISTGYGATVNVARPPKGSTVAVFGLGAVGLAAAEGARASGASRIIGVDLNPKRFEEAKKFGVTEFVNPADHKKPVQEVLAEMTNGGVDRSVECTGNINAMISAFECVHDGWGVAVLVGVPHKDAEFKTHPVNFLNERTLKGTFFGNYKPRTDIPGVVEKYMNKELELDKFITHSVPFSEINKAFDLMLKGESLRCIIRMDG >Ma02_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23263019:23263198:-1 gene:Ma02_g15600 transcript:Ma02_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDYSTAQDLCCKDHHKWNGTEHCLGAADNDACNTWCMSDCRGGECNVRAGLHYCHCYC >Ma10_p30260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36618079:36619696:1 gene:Ma10_g30260 transcript:Ma10_t30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLGTSDNSLIHNCSSGEDQEDVYGGDFQPIMEGLDEDDGGGDEELCAATTAGGGAKKRRLSTEQVRALEKNFEVENKLEPERKVRLAKELGLQPRQVAVWFQNRRARWKTKQLEHDYAALRTSYDALRLDFDSLHRDKESLLSQIDDLKAKLAETEGLSLSFSSSAKEGPAVPETAAKAPESEDPPAAICKDGSSSSDSSAVVNDAAIANDENSPQLTTASDMFSAATAGASGELGSDSSMLLNSDSRPRFFCQHHEVLKMEEEELLCEPCCSFFSDEQAATLNWYYSDHWN >Ma06_p33260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33949757:33954155:1 gene:Ma06_g33260 transcript:Ma06_t33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMFRCFGFKDNNDERRSHPVPSSSASTSKIDQGYLVPKRQLGSLFLDEDSPPEQVTIPSAKKNGVKDGLCGEFKHEADFLKACGAILQTPVEIKKTSVGTVQAPHEHGVSSSYNSWLPGTSCKKLLWDEKRELSQCPIQMQDFAGFSQSNSQSCIFEGHQTQHCKCSFPSTNWSNSVDLGVVQNESAVEPKLDIHTPDISHQHKSQTRNCASKCSPFPTPLKVTNEMETPATVYPANRENVRTGRNTTIQTQYVCPVINGVENLSRWKLLDEDSSEKLQSYDNLDKKTNHSPDTGDKMQQMQLISAPEDSQLSVTHRLTSPSDKRRQNDEEVYTDKYVSGKTMNKLEAPSDDRDKTILSLKYPEQVVTSLSQWLKPPIKKDGLHNELHEKSCSGKSSDADRPILGMVAAHWKDEEPEPKLSKRWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDENFIPQRNLHHGKLIELEGEGELSDTAAS >Ma06_p33260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33949757:33954155:1 gene:Ma06_g33260 transcript:Ma06_t33260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMFRCFGFKDNNDERRSHPVPSSSASTSKIDQGYLVPKRQLGSLFLDEETDSPPEQVTIPSAKKNGVKDGLCGEFKHEADFLKACGAILQTPVEIKKTSVGTVQAPHEHGVSSSYNSWLPGTSCKKLLWDEKRELSQCPIQMQDFAGFSQSNSQSCIFEGHQTQHCKCSFPSTNWSNSVDLGVVQNESAVEPKLDIHTPDISHQHKSQTRNCASKCSPFPTPLKVTNEMETPATVYPANRENVRTGRNTTIQTQYVCPVINGVENLSRWKLLDEDSSEKLQSYDNLDKKTNHSPDTGDKMQQMQLISAPEDSQLSVTHRLTSPSDKRRQNDEEVYTDKYVSGKTMNKLEAPSDDRDKTILSLKYPEQVVTSLSQWLKPPIKKDGLHNELHEKSCSGKSSDADRPILGMVAAHWKDEEPEPKLSKRWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDENFIPQRNLHHGKLIELEGEGELSDTAAS >Ma04_p12380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9352940:9358493:-1 gene:Ma04_g12380 transcript:Ma04_t12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNGGAAGERGGAQTAAESSVEEKGGDSIDWLALPYRKVPHTHNCCGREIRSIVAAADSAPTRSSKLFNHFSSSHRFKMTSKTTASIQRVQKLNHVRQEGPNWVLIAGSALLSTLTVSLGCKLKKAFQIRRQDTAHKDVHTEYRKSASKKSSGACQLHSSLYHFNQDEEVCCYCLSGTSEGRVDVKQPKNPVAHEADVSLTLVEIPAAEQNKDVGSVMWISSPDRLEMPRKPLHHSNSSGSPCISESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQITDLQNSLNIQLSQSAHLQAQLDCTGRDLFNSEREIQQLRKVIADHCVAEVVSPEKPIEARQWHHEATNGFMNGYPDNVDGMEVNCVGAEKAKAERERIEMLKREVQELKEVIDGKDFLLQSYKEQKTELCSKIKELQLKLASQVPNIL >Ma04_p12380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9352940:9358493:-1 gene:Ma04_g12380 transcript:Ma04_t12380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNGGAAGERGGAQTAAESSVEEKGGDSIDWLALPYRKVPHTHNCCGREIRSIVAAADSAPTRSSKLFNHFSSSHRFKMTSKTTASIQRVQKLNHVRQEGPNWVLIAGSALLSTLTVSLGCKLKKAFQIRRQDTAHKEYRKSASKKSSGACQLHSSLYHFNQDEEVCCYCLSGTSEGRVDVKQPKNPVAHEADVSLTLVEIPAAEQNKDVGSVMWISSPDRLEMPRKPLHHSNSSGSPCISESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQITDLQNSLNIQLSQSAHLQAQLDCTGRDLFNSEREIQQLRKVIADHCVAEVVSPEKPIEARQWHHEATNGFMNGYPDNVDGMEVNCVGAEKAKAERERIEMLKREVQELKEVIDGKDFLLQSYKEQKTELCSKIKELQLKLASQVPNIL >Ma04_p12380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9352940:9358493:-1 gene:Ma04_g12380 transcript:Ma04_t12380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNGGAAGERGGAQTAAESSVEEKGGDSIDWLALPYRKVPHTHNCCGREIRSIVAAADSAPTSHRFKMTSKTTASIQRVQKLNHVRQEGPNWVLIAGSALLSTLTVSLGCKLKKAFQIRRQDTAHKDVHTEYRKSASKKSSGACQLHSSLYHFNQDEEVCCYCLSGTSEGRVDVKQPKNPVAHEADVSLTLVEIPAAEQNKDVGSVMWISSPDRLEMPRKPLHHSNSSGSPCISESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQITDLQNSLNIQLSQSAHLQAQLDCTGRDLFNSEREIQQLRKVIADHCVAEVVSPEKPIEARQWHHEATNGFMNGYPDNVDGMEVNCVGAEKAKAERERIEMLKREVQELKEVIDGKDFLLQSYKEQKTELCSKIKELQLKLASQVPNIL >Ma04_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9352940:9358493:-1 gene:Ma04_g12380 transcript:Ma04_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNGGAAGERGGAQTAAESSVEEKGGDSIDWLALPYRKVPHTHNCCGREIRSIVAAADSAPTSHRFKMTSKTTASIQRVQKLNHVRQEGPNWVLIAGSALLSTLTVSLGCKLKKAFQIRRQDTAHKEYRKSASKKSSGACQLHSSLYHFNQDEEVCCYCLSGTSEGRVDVKQPKNPVAHEADVSLTLVEIPAAEQNKDVGSVMWISSPDRLEMPRKPLHHSNSSGSPCISESGSDIYSKREVIQKLRQQLKRRDEMIMEMQAQITDLQNSLNIQLSQSAHLQAQLDCTGRDLFNSEREIQQLRKVIADHCVAEVVSPEKPIEARQWHHEATNGFMNGYPDNVDGMEVNCVGAEKAKAERERIEMLKREVQELKEVIDGKDFLLQSYKEQKTELCSKIKELQLKLASQVPNIL >Ma06_p38770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37406041:37415341:-1 gene:Ma06_g38770 transcript:Ma06_t38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGFLEIQPTELKFPFELKKQSSCSMQLINKTDHFVAFKVKTTNPKKYCVRPNTGMVLPRSTCDVTVTMQAQKEAPPDMQCKDKFLLQSVIAEHGATTKDITSEMFNKEPGKVVDEFKLRVVYVPAGPPSPVHEESEEGSSPRSSTFENGTQSLQTLDSVSRSNEPSKEKSPEALAMISKLTEEKNSAIQLNQKLRQELDLLRKERGMHHGGFSVTFVVLVGLLGALIGYIIKKS >Ma04_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8054389:8055046:1 gene:Ma04_g11410 transcript:Ma04_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDHQFSDSSPPSAPLSFSQRLCSSIRSSCCWIGGGGGSGGDGEDERSASLMCSSATWFRWQNLVDWVTAPRHHHYHSRRASVDFRYDPFSYALNFDDGHYDDDNDLTGGGDYFRYRSFSSRLPPSLPHPAAVGDNS >Ma05_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1491625:1498415:-1 gene:Ma05_g02340 transcript:Ma05_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVSGKEAGKGQQAAAAGMDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYMRQQLHNAPVATNDTSCESVVTSGQHHHQQNPTPQRPQKDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVADILKDRPSWYCDCRCLEVLTVIPTGNGGNIELIYMQTYAPTTLAAARDFWTLRYTTGLEDGSLVICERSLTPVTGGPAGPPAPNFVRAEMLSSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTVAALRHLKQIAQETSGEVPYGSGRRPAVLRTFSQRLSRGFNDAVNGFVDDGWSLLGSDGVEDVTVAINSSPNKILSSHANTSAVFSTLGGGVLCAKASMLLQNVPPAILVQFLREHRSEWADCGVDAYSAASLTANPYAVPAVRASGGFLGSQVILPLAHTVEHEEFLEVIRLEGHGFNQDDVILSRDMYFLQLCSGVDENAVGACAQLVFAPIDESFADDVPLLPSGFRVTPLDPKTDSPAATRTLDLASTLEIGSGVTARAVNETASSTYNLRSVLTIAFQFTYENHLRDSVAEMARQYVRNVVASVQRVAMAIAPSRPGSQIGVKHPPGSPEAHTLAQWISGSYRAHTGGDLLRVDSQASDLLLKLLWHHSDAIMCCSLKASPVLTFSNQAGLDMLETTLIALQDIALEKILDDSARKVLCSEFPKIMQQGLAYLPAGICLSSMGRPVSYEQAVAWKVLNEEDTTHCLAFMFVNWSFV >Ma04_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10581185:10582728:-1 gene:Ma04_g13990 transcript:Ma04_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDTGVMSGAMIFIQEDLKATDTQIQVLAGVLNACALVGSLTAGRISDFIGRRYTIVVGAAVFFLGSLLMGLGLNFAMLIAGRCIAGVGVGYALMIAPVYSAEISTTSSRGCLSSLPEICISIGILFGYLANYLFRKLPLIYGWRVMLGVAMVPSVALALSVILMPESPRWLVMQGRIKDARGVLLRVSNSKEEAERRLGDIKAAVGIDKGCTDDLVHVTSKHHGEGVWKDLLLCPTPPVRRILTATVGIHIFQHATGIEAVLLYSPRIFKKAGLMTKNQQFLATIGIGVFKTVFILVAILLVDKAGRRKVLLSSLTGMILSLVGLGVVLTVVEHSPHRLIWAQILAVVFVLSFVSSFSSGIGPVTWVYCSEIFPLRLRAQGASLGVAINRLMNSAMSMSFISLYKAITIGGAFFLFAGIGVVAWVFYFCCLPETKGRALEEEMEEVFTKRGDKKPSKQHAVEMEKVEI >Ma08_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4336537:4339483:-1 gene:Ma08_g06420 transcript:Ma08_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTKSSMGAMEGAVLDDVIHRLLEGRRSGRQVQLSEAEIRQLCLEAKNVFLAQPNLLDLHPPIKICGDIHGQYLDLLKLFEIGGFPPNSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKFFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKTFCDCFNCLPMAALIDKKVLCMHGGLSPELNSLDQIREISRPIEIPDYGLLCDLLWSDPDPKNQGWGESNRGVSVTFGADKLAEFLEKHDLDLVCRGHQVVEDGYEFFGERKLVTLFSAPNYCGEFDNAGALLSIDESLCCSFEILKPHDPISTPGSSNTTKAAPKKGLSKD >Ma06_p03440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2514907:2523544:-1 gene:Ma06_g03440 transcript:Ma06_t03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGPVNGASAGNPCEGEKTTINKELWHACAGPLVALPPVGSLVVYFPQGHSEQVAASMQKDIDAHIPNYPNLPSKLICLLHNVTLHADPDTDEVYAQITLQPVNTYDKEALQTSDLALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRTSPSEFVIPFAKYQKAIYSNQVSLGMRFRMMFETEESGTRRYMGTITEISDLDVVRWKNSQWRNLKVGWDECAAGERPSRVSIWNIEPIAAPFFICPPPFFRKRPRQPDDESSEMENLFKRAIPWLNEEICIKDSQSQNAIMPGLSLAQWMSMQQNPSLANSAMQNECLRSLSGPVVQNLGSSDFSRHLQAQILQQNNIHFGALGLPRQSQVDQLPKLSGSLNQSSVVSRPQQQLQDFSLQQRQHMLTQASPLTQNQASLLQSQSLVQPSVQQQCPVIQNPQVLQTSLLQTQPQRPEQPVPLQQQQSRIPVDIANQPNKQLQVSDPRIQFQLVQKLQQQPSLLSQPKVQETQLHQIQEQQKTLLEVSQQLLDSPRSLAQPHVTPRQGAKMTSPGVQLPQSLQTQSQQKLQQQQVLLADLPGITFPATSATNPILANGSSLLATGGAHSVVTDDIPSCSTSPSANNGVVLPQSTLNWTRLRNPVSTEKNQLVTMLSPSSFEASVANLNIAKELPKAVQDLKPSVPISKVQSQGAVDPQAYINDAVQLDYLDTTSSATSVCLSQTGTFPLTSFNQPSMLRDIPPDDDVQGTDPRSSALMGVNVDGPLGIAIATDASLATSIASEKYQNHIPGNMISNYNISNDVHQELSSSLVSHSFGVPDLAFNSIDSTINENSLLNASCWAPAPPLQRMRTYTKVHKRGAVGRSIDITRYSGYDELKHDIARMFSIGQLEDLQKIGWKLVYVDHEKDVLLVGDDPWEEFVNCVQCIKILSPQEVQQMSLTGDLANNILPKQACSSSEGGNGWMGQRDHNSGSYDHLE >Ma06_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2514907:2523544:-1 gene:Ma06_g03440 transcript:Ma06_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGPVNGASAGNPCEGEKTTINKELWHACAGPLVALPPVGSLVVYFPQGHSEQVAASMQKDIDAHIPNYPNLPSKLICLLHNVTLHADPDTDEVYAQITLQPVNTYDKEALQTSDLALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRTSPSEFVIPFAKYQKAIYSNQVSLGMRFRMMFETEESGTRRYMGTITEISDLDVVRWKNSQWRNLKVGWDECAAGERPSRVSIWNIEPIAAPFFICPPPFFRKRPRQPGIPDDESSEMENLFKRAIPWLNEEICIKDSQSQNAIMPGLSLAQWMSMQQNPSLANSAMQNECLRSLSGPVVQNLGSSDFSRHLQAQILQQNNIHFGALGLPRQSQVDQLPKLSGSLNQSSVVSRPQQQLQDFSLQQRQHMLTQASPLTQNQASLLQSQSLVQPSVQQQCPVIQNPQVLQTSLLQTQPQRPEQPVPLQQQQSRIPVDIANQPNKQLQVSDPRIQFQLVQKLQQQPSLLSQPKVQETQLHQIQEQQKTLLEVSQQLLDSPRSLAQPHVTPRQGAKMTSPGVQLPQSLQTQSQQKLQQQQVLLADLPGITFPATSATNPILANGSSLLATGGAHSVVTDDIPSCSTSPSANNGVVLPQSTLNWTRLRNPVSTEKNQLVTMLSPSSFEASVANLNIAKELPKAVQDLKPSVPISKVQSQGAVDPQAYINDAVQLDYLDTTSSATSVCLSQTGTFPLTSFNQPSMLRDIPPDDDVQGTDPRSSALMGVNVDGPLGIAIATDASLATSIASEKYQNHIPGNMISNYNISNDVHQELSSSLVSHSFGVPDLAFNSIDSTINENSLLNASCWAPAPPLQRMRTYTKVHKRGAVGRSIDITRYSGYDELKHDIARMFSIGQLEDLQKIGWKLVYVDHEKDVLLVGDDPWEEFVNCVQCIKILSPQEVQQMSLTGDLANNILPKQACSSSEGGNGWMGQRDHNSGSYDHLE >Ma06_p03440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2514907:2523544:-1 gene:Ma06_g03440 transcript:Ma06_t03440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGPVNGASAGNPCEGEKTTINKELWHACAGPLVALPPVGSLVVYFPQGHSEQVAASMQKDIDAHIPNYPNLPSKLICLLHNVTLHADPDTDEVYAQITLQPVNTYDKEALQTSDLALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRTSPSEFVIPFAKYQKAIYSNQVSLGMRFRMMFETEESGTRRYMGTITEISDLDVVRWKNSQWRNLKVGWDECAAGERPSRVSIWNIEPIAAPFFICPPPFFRKRPRQPGIPDDESSEMENLFKRAIPWLNEEICIKDSQSQNAIMPGLSLAQWMSMQQNPSLANSAMQNECLRSLSGPVVQNLGSSDFSRHLQAQILQQNNIHFGALGLPRQSQVDQLPKLSGSLNQSSVVSRPQQQLQDFSLQQRQHMLTQASPLTQNQASLLQSQSLVQPSVQQQCPVIQNPQVLQTSLLQTQPQRPEQPVPLQQQQSRIPVDIANQPNKQLQVSDPRIQFQLVQKLQQQPSLLSQPKVQETQLHQIQEQQKTLLEVSQQLLDSPRSLAQPHVTPRQGAKMTSPGVQLPQSLQTQSQQKLQQQQVLLADLPGITFPATSATNPILANGSSLLATGGAHSVVTDDIPSCSTSPSANNGVVLPQSTLNWTRLRNPVSTEKNQLVTMLSPSSFEASVANLNIAKELPKAVQDLKPSVPISKVQSQGAVDPQAYINDAVQLDYLDTTSSATSVCLSQTGTFPLTSFNQPSMLRDIPPDDDVQGTDPRSSALMGVNVDGPLGIAIATDASLATSIASEKYQNHIPGNMISNYNISNDVHQELSSSLVSHSFGVPDLAFNSIDSTINENSLLNASCWAPAPPLQRMRTYTKVHKRGAVGRSIDITRYSGYDELKHDIARMFSIGQLEDLQKIGWKLVYVDHEKDVLLVGDDPWEEFVNCVQCIKILSPQEVQQMSLTGDLANNILPKQACSSSEGGNGWMGQRDHNSGSYDHLE >Ma06_p03440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2514907:2522269:-1 gene:Ma06_g03440 transcript:Ma06_t03440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDIDAHIPNYPNLPSKLICLLHNVTLHADPDTDEVYAQITLQPVNTYDKEALQTSDLALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRTSPSEFVIPFAKYQKAIYSNQVSLGMRFRMMFETEESGTRRYMGTITEISDLDVVRWKNSQWRNLKVGWDECAAGERPSRVSIWNIEPIAAPFFICPPPFFRKRPRQPGIPDDESSEMENLFKRAIPWLNEEICIKDSQSQNAIMPGLSLAQWMSMQQNPSLANSAMQNECLRSLSGPVVQNLGSSDFSRHLQAQILQQNNIHFGALGLPRQSQVDQLPKLSGSLNQSSVVSRPQQQLQDFSLQQRQHMLTQASPLTQNQASLLQSQSLVQPSVQQQCPVIQNPQVLQTSLLQTQPQRPEQPVPLQQQQSRIPVDIANQPNKQLQVSDPRIQFQLVQKLQQQPSLLSQPKVQETQLHQIQEQQKTLLEVSQQLLDSPRSLAQPHVTPRQGAKMTSPGVQLPQSLQTQSQQKLQQQQVLLADLPGITFPATSATNPILANGSSLLATGGAHSVVTDDIPSCSTSPSANNGVVLPQSTLNWTRLRNPVSTEKNQLVTMLSPSSFEASVANLNIAKELPKAVQDLKPSVPISKVQSQGAVDPQAYINDAVQLDYLDTTSSATSVCLSQTGTFPLTSFNQPSMLRDIPPDDDVQGTDPRSSALMGVNVDGPLGIAIATDASLATSIASEKYQNHIPGNMISNYNISNDVHQELSSSLVSHSFGVPDLAFNSIDSTINENSLLNASCWAPAPPLQRMRTYTKVHKRGAVGRSIDITRYSGYDELKHDIARMFSIGQLEDLQKIGWKLVYVDHEKDVLLVGDDPWEEFVNCVQCIKILSPQEVQQMSLTGDLANNILPKQACSSSEGGNGWMGQRDHNSGSYDHLE >Ma03_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7346361:7347311:1 gene:Ma03_g09870 transcript:Ma03_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKEGNLEGIPLRSSPIPEALRSPHKGADYFPPMDHKLSSPIEQYQLAFQCSSSATSTNTISEAAAGGDGPTASSGRKGKGKGGPENRKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFSTAEDAAKAYDRAALVLYGPRAQLNLQRPSTAAAGHHLNVTATTSYSSSTTTLRPILPRPSTIHFPVLPHPNIPTSNTYPPLFLHADMTSSPIVAPEPVVEPPSVEVETVAAASEPAVMSGPIHPSFSDPTAFKEINSFAGSMTSSFSVSCPPVMTTESSGSAPLVSSPLWGYDDYDVEASCLWDDTDPFFFDI >Ma07_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30951474:30953174:1 gene:Ma07_g23230 transcript:Ma07_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQPQKSEAEMRLFSSPPSPSSRKGLPDIVAQSERDERGMSSGRRDHGQAKLCVRGHWKPSEDAKLMDLVARYGPQNWNFIADKLNGRSGKSCRLRWFNQLDPKINKTAFSEEEEEKLVASHRIYGNKWALMARLFPGRTDNAVKNQWHVLMARKEREQGSGCRRRKALPQRTEVSRGHNNAWSGESSITSSRDESSSTCTGSRAMPCFLKDFGMAQQQQPLQLCFGSGYSFGNETSEGSAVDHCSNGFLPSETDGDRKKKCIPFIDFMGVGV >Ma00_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28165960:28166925:-1 gene:Ma00_g03300 transcript:Ma00_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSGDGGERVDSTARLPSQVARPVPLTKQHQSRPRSRSRPPALISNEPRPWTSLFKAPIGSPDLSLEFFAPEVQAEKKIAVYEIDDSAELIETWSMAIVGYVVGLKISFFPLSSFIKTRWGTSAFDLHMLENGFFVCKLYSEEDLQRVLEGFWTIRGHPMILRRWSPDVRLELDSLQSIPLWVSFQGLPLHLWSRRFIAKLCSTLGQPLYIDKTTAAQTRLTFARACVLVSSDEDLPNEVFYHDLEGNTRKVHVSYSWKPQRCKSCLSFGHANGACQQTPKPINKIYRPRQMPQQQGEPPLMVVEPVVTQTSEHFDSQG >Ma07_p21580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29647999:29649850:1 gene:Ma07_g21580 transcript:Ma07_t21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIRLRFLFLALLICSVTLADSIASARSVLTEKNGGAMGVDEEVLPGSTTSRSRWLWGRKMSAERLEKKDTVETGPAKISEEKMLGSTTKMHDKDSRRISIPKPRPKATVKKHTKGSSGEALKPKATAQAASHGSSHDPFQRIQPKKQLDAVTEMFNMLHKDYQTKARRRPPINNDTPLKHLDAKP >Ma07_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29647999:29649850:1 gene:Ma07_g21580 transcript:Ma07_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIRLRFLFLALLICSVTLADSIASARSVVEVLTEKNGGAMGVDEEVLPGSTTSRSRWLWGRKMSAERLEKKDTVETGPAKISEEKMLGSTTKMHDKDSRRISIPKPRPKATVKKHTKGSSGEALKPKATAQAASHGSSHDPFQRIQPKKQLDAVTEMFNMLHKDYQTKARRRPPINNDTPLKHLDAKP >Ma01_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7527477:7529856:-1 gene:Ma01_g10450 transcript:Ma01_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAKKIEIAKPVASRPFASFRPLPELLARATSSSPTPSSAERTVAIKPRTMRFKSSSYDSAAEAVPPPDDASEKRSVATMGSDSVSSFIYKPTAKLVSRTLNLGNFDQVLDQVKTSNSRPQNSASASQSEPNQAYDPSKSVTAGELDTRNQQSADRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPTCPVKKKVERSLDGQIAEIVYKGEHNHPKPQPPKRPSSGSQVADEHGRETGNPPWSNSLDNHDGLIPTYHFSHDHVLATADDSSTRVVKVGGRAAAVDHDKLDCKRRKNDDRASGGNSVGEGAAEPHSVMQASSSVGSDISGDGYHWRKYGQKVVKGNTFPRSYYRCTNPKCPVRKYVERSSEDSAHLVTTYEGRHNHEMPVRRASRAASDPETAAAPDGLQL >Ma05_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14625987:14631145:-1 gene:Ma05_g15900 transcript:Ma05_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKKTPVRVRFRVTAKKRGCDAGASCSGKRGRQRDCVNSVRKLQRREIGALPRMARGAASDAAEKFRNIQLQEEFDTYDHNVHWFVKLQFLKKRSKIIEIVSAKDIIFALAQSGLCAAFSRTTNKRICFLNISPDEVIRSLFYNKNNDSLITVSVYASDHFSSLKCRTTPIEYIKRSQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYNFLYAISDKNVQEIKISPGIMLLIFNRTPSYVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRDSELTEVSRTEFMTPSAFIFLYENHLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAEEGCGDEGEVSAVGSINMSNILTGKCIAKISASDPALQISPRRRCETGRSSIRSTVREALEDVTALFYDEERNEIYTGNKQGLIHVWSN >Ma10_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15983347:15985059:-1 gene:Ma10_g05250 transcript:Ma10_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEEEDTCQSLLRSKLEASLQRISFLEMENEQLRRENALLRAQDADRRSTPRKKTQAFVGSISSSQEKQMYQTGTDDGLQCENSISRTELFGTSVAARTRSPRVPNPPPSPKSSSPTAKPSKETGLPPPPPPPPLPLPLSSSRSSCRSIKAVRRVPEVLELYRSLTRKEGKSDTRAGSLGVPAATNAREMIGEIENRSAYLLAIKSDVETQAEFIGFLTREVENAAHGDISEVEAFVKWLDDELSYLVDERAVLKHFPKWPEKKADAMREAAVGYRELKNLEGELFSFRDDKRQPTSVALKRMQALQDKLERSVHNIERVRESASKRYRDLKIPWQWMLDSGIIAQLKLGSMNLAKEYMNRVVTAVKLDAFSDDEEVMLQGVRFAYRVHQFIGGFDEECKHAFQELRKVACD >Ma06_p37540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36553991:36565335:-1 gene:Ma06_g37540 transcript:Ma06_t37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANSHNIADLKSSYLARCPSPRRPCGRRARQFSPAALRRDTAVGDGEGRAVLAANRREFLLLPSLAMAAGFLHSVAAAASATATEEKAPEPVPSASAPPSVVDVEKGNNRKKEKKEEGQPEILSRVYDATVIGEPQAVGKDKRRVWEKLMGARVVYLGESEMVPDRDDRVLELEIVKNLRNRCLEQQRTVSLALEAFPIDLQQQIDQFMDERIDGGSLRSYTSHWPPERWQEYEPLLNYCRDNGIKLIACGTPLKVLRTVQAEGIRGLSKNERKLYAPPAGSGFISGFSSISGRSMIDNISSNQSVLFGPSSYLPAQARVIDEYTMSQSIMKAINSGSSADMLIVVTGASHVIYGSRGTGVPARISKKLQKKNQVVILLDPERQQIRREGEVPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGQRREALPQDLQKGLDLGIVSPEILQNFFDLEQYPLISELIHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKGCFLEEIDYVLTDTIRGSVVDFFTVWLPAPTLSFLTYADESSSFEDFEILKGLLGSIPDNAFQKNVVGKDWTFSHRFASVFFGGLKLAGVGFISSIGAGVASDVLYFIRKNLRPALSVNSRRKRSPILKSAMIYGSFLGTSANLRYQIIAGIVEHRLSDYLISYNNGPLLVNALSFTVRTINSYWGTQQWVDLARFTGLQTNKKGAIPDPIAETPDIPLLECSSTKLNNIDESNNQSGDTSP >Ma06_p33530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34132710:34133750:1 gene:Ma06_g33530 transcript:Ma06_t33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVSLKGKRKAGKGSKGPEERSACKCFKEWSTWAMKKAKVITHYGFIPLIITIGMNSEPKPQLYQLLSPV >Ma10_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32212915:32217904:1 gene:Ma10_g23130 transcript:Ma10_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFFVGASVSLLSISTTLLIGAGCFALGFLFAHKETKRGLPFPFSWIRSLADNKRVAVLADDGPGEPKFVERAVQKKPALLEIENLAEILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHKAPKALSRWEDCGQVKVVLKIESEEDLLVLQGRAKSLKLPTHVTIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >Ma03_p20170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25349892:25359822:1 gene:Ma03_g20170 transcript:Ma03_t20170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTVVAPPETTGSPPSPGVPPIDLSDDHMEELLRFTLSLAASPTADDPHLALSLSPDYCSRLLQPEPSPLSPLPLDGSENTCGGAPPYPLYKRLARAIRRCIHSRSFSRSAGSVVASIPLDESVKMKESDWDALILDKGSELLSMFNAVDFELHVQEPFFSQLRACLKTVEGRCAVGNYNRMVPGSLILFNKCLLLEVQHVNRYSSFSEMLQAETLAKVLPGVATIDDGVQIYRKFYTEEKEMSNGVLAISVSKPTTQPYDSLAKLLSGLSYDGIAGLLGMAHTVGTVPDALPPPRSLLISSSMQPTRPTVEGCSLTDAARALSKHVNRSSSGWWGVLSGSESNKNRLALEAVNHLLNNCCWMNVHLIQPHGPVFEIRVPEGFGARWSKDGLKFIGFLEPYTEEGFSKGWKH >Ma03_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25349892:25359369:1 gene:Ma03_g20170 transcript:Ma03_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTVVAPPETTGSPPSPGVPPIDLSDDHMEELLRFTLSLAASPTADDPHLALSLSPDYCSRLLQPEPSPLSPLPLDGSENTCGGAPPYPLYKRLARAIRRCIHSRSFSRSAGSVVASIPLDESVKMKESDWDALILDKGSELLSMFNAVDFELHVQEPFFSQLRACLKTVEGRCAVGNYNRMVPGSLILFNKCLLLEVQHVNRYSSFSEMLQAETLAKVLPGVATIDDGVQIYRKFYTEEKEMSNGVLAISVSKPTTQPYDSLAKLLSGLSYDGIAGLLGMAHTVGTVPDALPPPRSLLISSSMQPTRPTVEGCSLTDAARALSKHVNRSSSGWWGVLSGSESNKNRLALEAVNHLLNNCCWMNVHLIQPHGPVFEIRVPEGFGARWSKDGLKFIGFLEPYTEEGFSKGWKH >Ma03_p20170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25349892:25359369:1 gene:Ma03_g20170 transcript:Ma03_t20170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTVVAPPETTGSPPSPGVPPIDLSDDHMEELLRFTLSLAASPTADDPHLALSLSPDYCSRLLQPEPSPLSPLPLDGSENTCGGAPPYPLYKRLARAIRRCIHSRSFSRSAGSVVASIPLDESVKMKESDWDALILDKGSELLSMFNAVDFELHVQEPFFSQLRACLKTVEGRCAVGNYNRMVPGSLILFNKCLLLEVQHVNRYSSFSEMLQAETLAKVLPGVATIDDGVQIYRKFYTEEKEMSNGVLAISVSKPTTQPYDSLAKLLSVEGCSLTDAARALSKHVNRSSSGWWGVLSGSESNKNRLALEAVNHLLNNCCWMNVHLIQPHGPVFEIRVPEGFGARWSKDGLKFIGFLEPYTEEGFSKGWKH >Ma11_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10036575:10037313:-1 gene:Ma11_g10590 transcript:Ma11_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQEGQGGPPHGVLLAVVVGVVVAAPFLVGGGGEAITGAISDMLSPAGLLLLPVLLVLVIRFLSSDRGAILSDIFAAGSPDSIHRVGGSPVGVALLLLLILFLLYYRFSIFGGDDDTDD >Ma00_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9681818:9731605:-1 gene:Ma00_g01560 transcript:Ma00_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFLIGNGSLLTQSLVKLRNLNSSDSTAAAVSSSAAVFAALPRRPLLLGSSLGSQRWRRASGIARAEDKAKGSSSSSSSSPCSPLLPGKDRGDYRELIDGSGNCDPLCSVDEVSSQDFEASYQPKTDLLKALTILATALAGAAAINHSWVGANQDLALVLVFAIGYAGIVFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTEIAVSELTHASAEVSEIVFFLLGAMTIVEIIDAHQGFKLVTDNITTRKPRILLWVVGLVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKFLGAVVVIAANAGGAWTPIGDVTTTMLWINGQISTLQTMQDLFIPSALSLAVPLALMSLTSEVNGTSEKQSNVLASEQMAPRGQLVFAVGIGALLFVPVFKAITGLPPYMGMLLGLGVLWILTDAIHFGDSGRQKLKVPQALSRIDTQGVLFFLGILLSVSSLEAAGVLRELANYLDAHIPSVELIASAIGVVSAIIDNVPLVAATMGMYNLTSFPQDSEFWQLVAFCAGTGGSMLIIGSASGVAFMGMEKVDFFWYLRKVSGFAFAGYTAGIVAYVVIHNLPFSLPTSLAELPFLSGP >Ma06_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17168746:17170247:1 gene:Ma06_g22130 transcript:Ma06_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDKSLRKPPSYLSKISPFRALNGCLPFSSRYYQNQRSERASSMASVCASSSAVAAVASSQKNGAALAATKASFLGGGRKVRQGKWATKTARRAFSVSAAAVPERPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNQQAEIVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGQLEYFTDTTTLFVIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGFWFDPLGWGSGSPEKVKELRTKEIKNGRLAMLAVMGAWFQAIYTGTGPIDNLFAHLADPGHATVFAAFTPK >Ma06_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12380371:12383949:1 gene:Ma06_g18210 transcript:Ma06_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFDSKADAGASKTYPQQAGTIRKNGYIVIKGRACKVVEVSTSKTGKHGHAKCHFVGIDIFNNKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFLSLLTENGNTKDDLKLPTDETLLAQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPK >Ma06_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21659525:21663287:1 gene:Ma06_g23580 transcript:Ma06_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRLTCFGLLLLVLLLVCSNFDGCEGRKGKHWSLKKPSYSSLARKKGRGKHGGGHSHGGGGGGHQSPAPSPKPSPPPKTGYTTKAAATFDVLDFGAKGDGVTDDTKAFQAAWAAACKVGGSTMVVPEEYEFLVGPISFSGPYCQPNIVFQLDGMIIAPTDARYWGSGLLWWIEFTKLRGITIQGSGVIEGRGSVWWTNGESDVDPINEELSKNLPQIKPTALRFYGSYNVTVTGITIQNSQQCHLKFDNCEAVQVFNMTIASPGSSLNTDGIHLQNSRDVMIHHTNMSCGDDCISIQTGCSNINIHRVDCGPGHGISIGGLGRDNTKACVSNITVRDVNMHNTMTGVRIKTWQGGSGSVQNIIFSNIGVSEVQTPIVIDQFYCDRSSCKNQTSAVTLSGIAYENIRGTYTVKPVHFACSDASPCSDISLTKIQLEPLQEHYHMYQPFCWQAFGELYTPTVPPIVCLQNGKPTSNHILSDRDLC >Ma04_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22847530:22850563:1 gene:Ma04_g20150 transcript:Ma04_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGAPGSDGPDYYEILGVAKSASQDELKQAYKKAAMKNHPDKVGDAEKVRPSSQAYGVLSDPKKREIDDKYRKDALMGGGDTSHYPFEIFEHFFWRWDLWRISWSRNVLCPKCIGYVVYQTYGKSETCHSCQGRGLKIVTRMLGLGMIQQMQHTYEQYSSSGEIISRKDKCPLPFFSCCLNTADKIQILQPDTVTGHIIVIVQLQEHPQFKRAFGDLYVEHWLSLAQAFCAFHNLL >Ma07_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9208788:9210632:1 gene:Ma07_g12320 transcript:Ma07_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTHNKLPSPHPASAASRSRVRKNMAALLATVTISLLFATTSIPTITAGAGEAVIFAFGDSLSDVGNNNYLPFSLAKSDYPWYGIDYYNGRPTGRFTNGRTIGDIISAKLGVPSPPPYLSLSMNDDAILRGVNYASGGAGILNETGIYFIQKLSFDDQISCFETTKVAITRKIGKLAAKKLCNDALYFIGLGSNDYINNYLQSVLADGHIYTLPQFEDLLIDTLEAQLTRLYKLGARNVVFHGLAPMGCIPSQRATAADGQCLEFVNDYVLQFNSRVKKLLVGLNSKLPGAQMAFADCYDIVLDLINHPEQYGFKVSHTSCCNVDTTVGGLCLPNSRLCSDRKEYVFWDAYHPTDAANEVIANELFADPDVGRVHPAFGIAPSPSPL >Ma02_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23173451:23181783:1 gene:Ma02_g15490 transcript:Ma02_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSTDSSMEKILERYEYYSYAEKALMSSDQDYQGNWCQEYGKLKAKVEALSKSQRHLMGEQLEALNLKELQQLEHQLEISLKHIRSRKNQVMFDSIAELQKKEKALQAANKTLEQELMEKQKIKAITQQAHWEQAQTSSSSPPPLIAEPQPTLNIGCYQGMALAVREEASRLPVRISNSLLPPWMLRHLNG >Ma10_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11360813:11370514:1 gene:Ma10_g03110 transcript:Ma10_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MFLSHPVPISLSSTSSSSKAPFFQSPPFLPPFTPRSALRSQNPRKRLKEVHLRTSNPTPETLAKSAIQRISEKLRSLGYLENGPATAADRPATGRGSAGEIFIPTSREIPSRRVGYTIDSSWSTPEHPVPEPGSGVTINRFGDLWRREKERQAAARATKDAAAPPMVAELTIPPEELKRLRREGVRLAKRLKVGKAGITEGIVNGIHERWRRSELVKIKCEDLCRMNMKRTHEILERKTGGLVIWRSGSIIILYRGVNYKYPYYYDGDKKNEIFDEVSLPSGLYNEETNKQEVNSPKMISANSSAESPTAPARSLLVVGVGSPKKVRVQLEGEVQLEEEADRLLDGLGPRFTDWWGCDPLPVDADLLPAVVPGFRKPLRLIPFGIKPKLTDREMTILRRLGRPLPCHFALGRSRNLQGLAVSMIKLWERCEIAKIAVKRGVQNTNSIMMAEELKQLTGGTLLSRDKEFIVFYRGKDFLPPAVSIAIEERRNYGSNKQKRNSDENHPVASINVSETKISKITLPDEPKEGAEQIRNFALESRKTSVNVAFQRMETRLSQAIKKKEKAEKFISELEPLVEPPKFEVDKEAISEEERYMLRKIGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIISKDRCMKNIETAARILEAESGGILVAVERVSKGYAIIVYRGKNYQRPVTLRPTTLLNRREAMIHSLEAQRCESLKLHVLNISRNLNQMKHQMVQDDSLIDSVAVDKCMTSSNVIATTDETGFGEMEDNNSVDCEGVHDTDSEPLHVGESSDITNDMKQSVSTAFDEMDYSSESSSKDKLIDLKHKDNHSDTKVAQFVLEQRAPVSSSVMGDSPVAEDTSVKEAYVEVPFKAAPLSNRERLVLRKQALKMKKRPVLAVGRNNIISGVAKTIRTHFMKYPLAIVNIKGRAKGTSVQELIFELEQATGSVLVSREPNKVILYRGWGEGESPGGVRERDAKPSGVQEIVSPQLIEAIRLECGLHSTT >Ma08_p32230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42927392:42928079:-1 gene:Ma08_g32230 transcript:Ma08_t32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVRQNCNSASIIVFGEAILSLVHYRHYIVRAVKGCDTTIMKCFWPWLKVSIYLSTAIDRLSILMLLGHRK >Ma02_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17740759:17748792:1 gene:Ma02_g06840 transcript:Ma02_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPERANPSPLELISAILTGGEGAPPDAELAVILATSIAVLLGCTFIFLWRRSSVQKASKPAEPLKPLSVKVDREPEADYGRKKVTIFFGTQTGTAEGFAKALAEEAKARYDKATFKVVDLDDYAADDDEYEDKLKKEPLALFFLATYGDGEPTDNAARFYKWFTEGKEHGNWLENLQYSVFGLGNRQYEHFNKVAKVVDEILADQGAKRLLSVGLGDDDQCIEDDFSAWRELLWPELDQLLRDEDNVSGASTPYTVAIPEYRVVFINREDVANLEKSWSLANGHAVHDMQHPCRANVAVRRELHTPASDRSCIHLEFGIAGTGLVYETGDHVGVFTENCTDTVEEAERLLGYSSDTYFSIHADKEDGTPLSGGSLSPPFSSPCTLRTALTRYADLLNSPKKSALLALAKYASDPSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMSVFSSAKPPLGVFFAAVAPRLQPRYYSISSSPKMAPTRIHVTCALVYEKTPTGRIHKGVCSTWMKHSVPLEESQECSWAPIYVRRSNFRLPSDPSLPIIMIGPGTGLAPFRGFLQERLALMEAGMQLGHATLFFGCRNRKMDFIYEDELKNFVGTGALSELILAFSREGPTKEYVQHKMAEKASDIWNIISQGGYIYVCGDSKGMAKDVHRALHTIIQGQGSLDSSKTESMVKGLQMDGRYLRDVW >Ma11_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12761042:12761366:-1 gene:Ma11_g11330 transcript:Ma11_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRRIADDELVSKLQSLLPAEYIKSLQREMDDLSDRLSVLMVTMDVNSPEAEIIRSLLGGSREEKVFFSSNI >Ma01_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2011536:2016027:1 gene:Ma01_g03070 transcript:Ma01_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIGGEEMARWEKDEGGTKEERIVVSVRMRPLNAKEMEKSDPSDWDCIDDTTVVFKNSLPERSVFPTAYTFDRAFGCDCNTRRVYDEGAKEVALSVVSGINASIFAYGQTSSGKTYTMAGITEYTMEDIYDYIKKHEEREFVLRFSAMEIYNEAVRDLLSSDGSPLRLLDDPERGTVVEKLTEETPRDQWHLRELLSTCAEQRQVEETSLNETSSRSHQILRLTIESTARASKGRDSSSSTLLAAVNFVDLAGSERASQSSSAGNRLKEGCHINRSLLTLGTVIRKLSKGRTGHIPYRDSKLTRILQPFLGGNARTAIICTMSPARSHIEQSRNTLLFASCAKQVVINAQVNVVMSDKALVKHLQRELARLEHELRYPGSASCTHHSDALRDKDTQIKKMEREIKELMQQRDLAQSRLEDLLHAVVDEQSSRQWEDSSQSSASHARGECEDALSISAASAIAYQIPDFYSSRFDVSKESNEHSKRHIELPDKMEPPRWSISSPTLHEQIQQQGNDDTEEHCKEVRCVEIHALSTTRSEDFNLLLNDESGSDLLPLTDEDRLGDPAPGSEGDAHLRAAVEQSIDIETKTTENVVKPCPQELNSVQKAMSSRELILTRSRSCKASLMNGSILSWLEHVERDNKTPPKIFREKSPGRPEEDERRLPATDYDVESEKLSAEVTSSDMPKTETVGGEVDDDRQKQLPSDQEAQGVLSEGHGAEKSIENVGMDAVLCALESPSRWPREFERKQREIIQLWHACDVPLVHRTCFFLLFDGDPADSFYMEVECRRLSFLRNTFSRGNAGDLVASSSRCLRREREMLCKQMQKKVSPEERESLYAKWGVALSSKQRRLQLARRLWTATDLEHVAESASLVAKLTGFAERGQAMKEMFELSFTPQQTHKRSFGWMHGKSLLM >Ma05_p32070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41757235:41763140:-1 gene:Ma05_g32070 transcript:Ma05_t32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTCATLPSRVSASASASACFPFSYSLNPSLNPQPKARKPPPPITISANPSHVDPFQLRDLLAAANHSCHRFPALTPDGRAESADPEKLRVALHHSSVVVSVFCRSKFLAEDGCGEGRYDVSPFTFEKLFDRVMPAERDRRLVGFGRAVSDGGLTASIHDVVGRGIGRKIVERITRIITGKGIYDISALCSENESLFLKACGFGEDCLGSTTMMYTRTATGFLTNNQAIQPAGRMLLLVPPARVPCHCK >Ma05_p32070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41757235:41763140:-1 gene:Ma05_g32070 transcript:Ma05_t32070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTCATLPSRVSASASASACFPFSYSLNPSLNPQPKARKPPPPITISANPSHVDPFQLRDLLAAANHSCHRFPALTPDGRAESADPEKLRVALHHSSVVVSVFCRSKFLAEDGCGEGRYDVSPFTFEKLFDRVMPAERDRRLVGFGRAVSDGGLTASIHDVVVIPSLQGRGIGRKIVERITRIITGKGIYDISALCSENESLFLKACGFGEDCLGSTTMMYTRTATGFLTNNQAIQPAGRMLLLVPPARVPCHCK >Ma09_p29180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39697112:39701390:1 gene:Ma09_g29180 transcript:Ma09_t29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLPISQPRHFSAPRRPSPSPFSAFLGTHRLLLLRRPRVVAGNWLRLRALVVKATTTEMPKRQTPGRAGGFVDEMRAVAMKLHTRDQAKEGEKEPDSPPVSKWEPSVDGYLRFLVDNKLVYDTLETIVQKAAYPCYAEFRNTGLERSENLVQDLEWFKDQGHTIPEPSVGASYAHYLEELSEKNPQAFICHFYNIYFAHSAGGRMIGRKVAEKILDSKELQFYKWDGDLSQLLQNVRDKLNRVASSWSREEKDHCLEETEKSFKYSGEILRLILS >Ma09_p28360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39138307:39143039:1 gene:Ma09_g28360 transcript:Ma09_t28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAGGGADGIHRQDIQAAIVKAAELRALHAALLHGGTGGSPAVVRLPAGASPSFSRGANQLAVPEDYPVFTPSYEEDPLPGYHYLRAENRSLSQTWSAIGLDGEGTDTETVVFDSKSVNKLSTSNSEPNISSVIEHLSNRTSCTNRMPMSQATLGADILKSSSRRTGSGECRTGITNEARKRETVNMEVDGDQKNLKNVKSTVAPYNPDQSVKMHTKHRGPVLSWLFPKSKKKPKPEMSPKTMESEDMSQLLKEWGVLSLESLKKELLEANENRDAALAKVSEMRLSLGELQQKLVSLEAYCEELKTALKQATHTKSSQSLERSNLSKRMKSIGGNKDNSMPVSQEVMVEGFLQIVSEARLSIKQFCKMIIQQTEETSDDLSEKLNLLLQPHQMTLSSKYSKGVIYHLEALINQSLYQDFENCAFHKNGSPKFLDPRQECRENFSSFVALRNLSWNEVLQKGTKYYSEDFSRFCDQKMSCLVSLLNWSRPWPEQLLQCFFIAAKCIWLLHLLAFSFSPPLMILRVEENRDYDPIYMEDIPLDRHRAQAPARVKIMVMPGFYVKDRVLRCRVLCRHSSVA >Ma10_p29080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35758500:35764230:-1 gene:Ma10_g29080 transcript:Ma10_t29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVVAQRVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFSDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEETEGSMIEEYAFSFSYSSLNSDEVSMKVSRNGNKKNGATFKSDVTDVTPDQMRSSACKMIRTLVSLMRTLDQMPEERTILMKLLYYDDVTPADYEPPFFRKCSENEAPNDWTKTPLKLQVGNVNSRHVVLSLKVKSVLDPCEDENDGLPEDEEASLGDDSGQGNDSSSDSEVRPSLADRFIVAPIAGKKHPREDNCTNSDDETQDAAHEDEQTSRVRDWINSGHNSTIYLADILANFPDISLVLAEDIVERLMKEGIISKAGKDSYTVNKGINSKACTVKEEAEMEGITNFEKTCNNFEEDFMYMKALYYALPMDYVSIPKLQSKLAGVANHSTVRKLIDKMEQDGYIKNTGNRRLGKRVIHSESSNRKLLEVKQFLEQKTMEIDTNCQTFEFNNSEFPPSDKIMNNGSTFGGLHSIGSDLTRTQARSKPHQSGQLLNDQAAHTNDQERGNTPTSNPAPIASLESGVAGERPKAMTLCAGDVSHSTEDKRLRKASTVEEPILQYVKRQKSQA >Ma05_p29780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40356881:40360218:1 gene:Ma05_g29780 transcript:Ma05_t29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAGDATAVYARDAMIAWFRGEFAAANAIIDELCGHLAQIEGGEEYEAAFAAIHRRRLNWIPVLHLQKYFSIADVSAELRLVVANRAAAASFAPDDPPAEEEPAAPEAVVSDPKVAVEEEATAAAEAAAEAAAEEKPSGDSSDQRGVEDGEAYGGPQEAPASSDKADVKAEVDGSVRPERIKISKGFVAKESVKGHMVNVVKGLKLYEDIFTDSELLTLSEFVDELRLAGRGGELPGETYIFFNKEMKGNKREIIQLGVPLFQSTTEAASNIEPIPSALQTVIDHLVQWRLIPETRKPDSCIINFFDEDEHSQPYFKPPHLDNPISTLVLSETTIAFGRSLVSDHEGNYKGSLTVPIKQGSLLVMRGNSADMARHVVCASPNKRVIVTFVKVRHAAARQAAASPTALQPTKAMTVWQPGTAQTTTTPQKVATAGVIAWPHRVIPAAWGLALHSPVVMVAPRRAMVVGPGKKAPRNGTGVFLPWTVGPKKHTKHLPPRFQKGRLPSPLEAQA >Ma08_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33881650:33887785:1 gene:Ma08_g20030 transcript:Ma08_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGSPSLPVSNSRPRPRRNRGASLDSGRMKAISMPKRLASPRFGPIGYRHIRCLADSPKEIGSAKRPSDLVVAAATAQEPEVRTGMWNWRGYRVRYQSAGDTGPSLVLIHGFGANSDHWRKNISVLAKSNRVYSIDLIGYGYSDKPNPREIGVNSFYTFETWADQLNDFCADVVKDQAFFICNSIGGVVGLQAAVMQPRICKGIILLNISLRLLHITKQPWYGRPFIRSFQSLLRNTAVGKLFFKAVATPDSVRSILCQCYHDTSMVTDELVQIILQPGLEPGAADVFLEFICYSGGPLPEELLPKVKCPVLVAWGDKDPWEPVELGKLYAEFGVVEDFVILPDVGHCPQDEAPDLVNPLIQSFVERHTP >Ma09_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3159017:3160626:-1 gene:Ma09_g04930 transcript:Ma09_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAVNLRGADMGFFPPVASPFPAVAGGDDKSNSQGGEEEGGDGSPHGNEQQHRLCARGHWRPAEDAKLKELVSQFGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPKINRNAFSEVEEERLLAAHRLYGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSNAYRRSRRPSVSPSCALLPQPLPNNNNNNNACSGESTITSTRDESASTGADLSLSSFTSRIVPSVPDGHIPSQILLNGKRCVGRFGDSGGGFSPRVPRMLLPGVVDEPGCTGSASEEVSVAYHMSNDLPRGETTHGSGLTFIDFLGVGAT >Ma10_p28740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35517044:35520358:1 gene:Ma10_g28740 transcript:Ma10_t28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVGTEMTPIPSQDPSRNETPVGAMTPARTPLSSIPSSPKKGAQASSAAEANTHDRSRKSENSGNRELSDKELQLKTRREIAALGIQLGKMNIASWASKDDMAHASPPLDQEKIKTEYEARAAAWEESQKSEYTARHRREEAKIQAWENHQKAKYETKLRRVEAQAELMKARAQDKLVEKLSLTRRRVEHKQAVAEANRNRQAARTAEQVEQIRQTGRVYTPHIWCCSWFF >Ma05_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6412551:6422307:-1 gene:Ma05_g08680 transcript:Ma05_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVFVDDYLEYSSTLAVELQRLLSTMRELDERAHGIINQTREQTRYCVGMPSHNPKKVSHDDEEAEFEKMKKEIEASQENALSLCTEKVLLAQQAYDLIESHLKRLDEDLNQFMEDLKQEGKIPPHEPAILPPVPIVYKDEKRKGGYTTPQPRKFRERDWGREQDMDIEFMPPPSSHKKNIPASVDVDQPIDPNEPTYCVCHQVSFGDMIACDNENCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNQQ >Ma06_p28950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30495519:30497471:-1 gene:Ma06_g28950 transcript:Ma06_t28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRLLSVILLLLLGSHHGVEAAGGFIETRGLHFVLNGNPFFANGFNAYWMMTLASDPSRRDKVSSALSDASRHGLSVARTWAFSDGGSNALQNSPGAYSEQIFQGLDFVVSEARNYGIKLILSLVNNYDSFGGKKQYVQWARDQGENIGSDDEFFTNPVVKGYYKSHIKTVVTRVNTITGVAYKDDPTIFAWELMNEPRCPSDLSGKSIQEWITEMAAYLKSIDNKHLLEAGLEGFYGESSPQKQSNPGFQVGTDFISNNQIPGIDFATVHSYPDQWIPDSNDRSQLSFLKNWLDIHLHDACDVLQKPLLVTEFGKSCKDPGFSNDERDAMFRTVYSKVYLSARTGGATAGSLFWQLLAQGMDSYRDGYEVILGESPSTTRVITLQSHKLRTIAKLKRAKAMKGKH >Ma09_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6978097:6984800:1 gene:Ma09_g10240 transcript:Ma09_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHRLENKRYVLKKIRLARQTDRCRRSAHQEMELISKVRNPFIVEYRDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGHLFPEEKLCKWLVQLLMALDYLHKSHILHRDVKCSNIFLTKDQNIRLGDFGLAKILTSDNLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFNAFDMQALINKINKSIVAPLPSSYSGAFRGLIRSMLRKSPEHRPSAAELLKHTHLQPYVFEVNLKSSPTRNMLPIHLSTSNIRRIRFQDDEDDSMYDDKEKRKSLGNERILKLSKHLEQGSIYSTQTIKDFPNYLDQGIKDLSIGISQFGEISDKRISEKHSGTLKTPMYKPAKTFMTPRMLVEPSESLHTGPRHQSLAFLSPSDGIGKPSRRASLPLATFESHPTCNLSILRRAESPDVSVNSPRIDRIAEFPLASSSEDPFLSIRKLSSAHGSSSATPHHADHSITKDKCTFQTFRTEGDNGSDSSDRNPTAADASSRGSSDARQRRFDTSSYQQRAEALEGLLEFSAQLLQQERLEELGVLLKPFGPGKVSPRETAIWLAKSFKETIL >Ma11_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27408210:27417859:-1 gene:Ma11_g24450 transcript:Ma11_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESSRRSAMDRSREPGSKRPRLVAEDAVVRDRAAFDRDRLIPPARAGNQPLVSRLPRARERQERDDAAAPVGSHQELVSQYRTALAELTFNSKPIITNLTIIAGESLHAAKEIAAVICANVLEVATEQKLPSLYLLDSIVKNIGRDYIRYFASRLPEVFCKAYKQVDSSIRPSMRHLFGTWRGVFPPASLQLIEKELGFPPVINVSSGSVSSKLDSQPQRPAHSIHVNPKYLEARQKLHSSRAKDISNDEVSGVVSTFDDAESSDKIVMAGKSRQWTNLPTKMPNMQHSQRVTVNNVINEKKGLKDARDHEYSSDISREADLGIPRVSERLKDQDGHGKPYYGVGITATQAQLSERNGFDVNHSYGTYGKSGPMRANSQQTSVCDTDRMKLESSRSWKNSEEEEYMWDDIKTRPTDYGGTNNSIKGGWTSGNADKSASLQRGKWIPLETEHAKTNLNTVDAFSHLVETSKTESRIPLFKDFGEHILPSRAKHETDSVLKTSSNSLLQQRASSENSSSFWSRRDVPASEVGINDKSSRVGQQLIPSGGGLSTHDNSSLPLPGLQSSVLSSRLSPHANTPVPGATSEQQRQHLSQPPLSLSSHLPPPEPIQHLKPHDLTDQNLLLFNSLSQVGRKPLQPVGSLDIFPVKNRAQPFDSLSGSIESQSDTYQQLEGLLDSATSASLNHLPLIKQSRHNLSQQQAETQPLSRTEAQTQPSLKIKTQSQPSHQTEKLPPLPMDLGIHQTGKDSGRSMSHANNPVVEASSQSSTSSLLAAIMNSGLFSNNSVSNFQKMSVQPPLPVGPPPVQVFTSAAPLSTPLTFTPAFSLGSIPDLKPPHSGDVVPPLPPGPPPSSSSVDVNSENSKTSGPTLSPLSGILSSLVAKGLIASPPTVLTTTSAAQLPDKVRDQCTNNSLEQVSLSLTTPGVAPPLEDQPAASVSTASAGLVQSSATELKEHLGTEFKSEIIRGSHPSVVRSLFDDLKLQCHKCGLRFRLQEQLQWHLDWHVSKESETSNFNGRSRKWFSDMRYQQSSSEVAISLEEVGSSEKDSELMVPADESQSICALCGEPFEDVYSEVRDEWMYKGTVYLDLSKKQDDASNTNGTPGQLLIVHAHCMSQRFCQNMDVAEHDKVDQTHVPIGLF >Ma10_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27235409:27235543:1 gene:Ma10_g14980 transcript:Ma10_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNLSRTSSIALSSLWLSSIIFSDVSSS >Ma08_p33190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43618740:43619987:1 gene:Ma08_g33190 transcript:Ma08_t33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEIPSYFLCPVSLQLMKDPVTLSTGITYDRDSIERWIFAARKTTCPVTNQTLPDCELTPNHTLRRLIQAWCTANADVGVERFPTPKAPVDKAQIEMLVGDAKLPQSQLGSLRKLRAFVSESERNKRCVEAATGAVDLLASIVDRNSSGEDIDDDCLESTSAACDEALHILCSLQISEEGLRDLVAKNAGMVESLTTILRRSNYNSRAHATLLLNQILRVMSQDQLINLSDQLFQEIVSVIHDRISHQATKAALHVLVDACPRGRNRIKAANAGAVHVLVELLLEEPDRRVCELVLVAMDRLCGCAEGRAELVGHAAGIPVVSKKILRVSQVASERAVRVLHSVAMHSATPGLLQEMMQVGVVSKLCLMLQLDCNVKTKEQASEILRLHSRVWKTSPCLSPQFQVSYPSPYIR >Ma11_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23963348:23970814:-1 gene:Ma11_g18980 transcript:Ma11_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCDCIEPQWPADELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTVHSKTLAIVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRDTILKTTLVELGRTLGLEECALWMPSRNGSSLYLSRTLHHQLPTGSTVPINLPVVNQIFSSNHAMIIPHTCQLAKIRPSSGRYVPPEVAAVRVPLLHLSNFQINDWSELSAKSYAVMVLILPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDYARQEAELAIRARNDFLAVMNHEMRTPLHAIITLSSLLLETELNPEQRSMVETVLKSSSLLATLINDILDLSKLEDGSLELEIGTFNLHSVFREVVNFIKPIAAVKKLSVSLMLSPDLPLCAIGDEKRLMQILLNIVGNAVKFTKEGHISLTASVARADYLRDFRASEFYPVSSDGHFYLRVQVKDTGCGISSQDLPHLFTKFAHGRNVASRGFSGSGLGLAICKRYVSLMQGHIWLESEGIGQGCMATFVVKLGICENPDGSQQKIVLLPWTKHGQEDTSSSRGMPKDPKAFIPLKPRYQRSV >Ma07_p26200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33092144:33096374:-1 gene:Ma07_g26200 transcript:Ma07_t26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLSLRYALFSSLPLSPSHAANEAAPPSVIASRPSRTAATLRPQPIRALSSSSSSACSSGRLVALARASFPKISSGIDEDPATEKFLRNNSISDFMRFKKGQVGGHSGELQTAVVSYRKRFPWSLLHPFLEVDLVSTIHIADRQYFETLQRGLEYYDCVLYEMVASRESLENRINSKSKGKLKSKRSKGFNIIGFIQRQMARILSLDFQLDCLDYESQKWQHADLDYETFKLLQDERGESFFTLAKEMTLRSTKTLVQPVSTTGDLGPWKSKLLWASRVLPMPLVGLLIISSVCSPFESYSTEYSELEALSRLDIGAALKIFLAKRLTSEFTEITAAIEEKSVIIGERNRVAADELRRAIDNGHQRIAVLYGGGHMPDLGRRLREEFDMVPSHVQWITAWSIKNKKLDSQSLPFLKALAKLLGWPLNRYQTLALLIFSSLLALDLWFWELFVQTAINLASSAAFEVGLSTNIM >Ma06_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14972508:14973346:-1 gene:Ma06_g20830 transcript:Ma06_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTQLFLPSSLPMLSSHHDMLPCTPPQPVDVELANSCPRCGSSDTKFCYYNNYSLSQPRYFCKACRRYWTKGGSLRNVPIGSGYRKSRRGRSSARLSSAVSVAAGGPDANSNRRAPQSPIRPDLLTNEVAAPIAINLEALYAKYMNRSPEMESGVAIAAFDTETTSRSSSCHCQMFSPVGDTTPLNQVNDRPFGNGDYNLYRELSRSITLPVEPVQSYISLDCQEEFESTTACSMDHQQDRANIDDWSLLDYSSLEAFY >Ma11_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26767577:26769029:1 gene:Ma11_g23420 transcript:Ma11_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFAPVAPPFVHGLTGEHENHGKVEEDDQRQHKLCARGHWRPAEDAKLKELVSQHGPQHWNLIAEKIAGRTGKSCRLRWFNQLDPRINKKAFSEEEEERLFVAHRLYGNRWALIARIFPGRTDNAVKNHWHVTMARKQREQCSCAYRRRRKPFLSSARSPPSQHSLPNVACSGESTITSTRDESASARADLSLGSFTSSTGPSNGMLNGSDERLVSANDGFCDKLGNSGGGFLPHVNPMLMPVPGFGQSGCTCSTPRASAAAEPPASHKTLPHGKTDHGRKNTELPFFDFLGVGAR >Ma01_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2255111:2257309:-1 gene:Ma01_g03410 transcript:Ma01_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVACPFLLFFLCLATASGGGQWELLQPSIGVSAMHMQLLSNDRVIVFDRTDFGPSNLSLPGGRCRNDPRDWTLTVDCTAHAAEYDVPSNAVRPLTVLTDPWCSSGTVSPDGRLIQTGGANDGERAVRYLDPCHDRLCDWEEDAAGLAAPRWYATNHVLPDGRAVVVGGRSQHNYEFVPKLGAADAGAVSLPFLRETRDAEYNNLYPFVYLNVDGNLFVFANNRAILLDYKTNSIVRTYPTVPDGHPRNYPSTGSSVLLPLQPSGSEAEVLICGGAPEGSFTKASTQKVFVGALNTCLRIRINDPSPSWSVETMPTPRVMGDMILLPSGDEVLIINGAAAGSSGWELGREPVLTPVSYRHGSPAGSRFDVKTPATIPRMYHSTAILLRDGRVLVGGSNPHAFYNFTGVDFPTDLSLEAYSPAYLEAANSNARPTVTWAGTPVELNYAKPFSLRFSVAAMSEKGVLVTMVAPSFATHSFSMNQRLLILESELAAAADAESSLYVVDAMAPSSTFMAPPGYYMVFVVNGGIPSEGVWVHIQH >Ma08_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12702867:12703088:1 gene:Ma08_g14390 transcript:Ma08_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNSVRVERSTGDDVGHRSASTPPNHYLLLLVVPLYIMQCNTLDPTLVRSLSAEEIKSLRRRNMACDRRAA >Ma10_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16533774:16540849:-1 gene:Ma10_g05410 transcript:Ma10_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRIRGLEMRLKPFLSTSTHLLNQSRSLQTLPAYGHNRGVSYIASLVFRCRAAAGCARSPRLFFSVSSHQELEWREEQRQPLSSFIKDDRQDRFRLQQDKPGRFVPVRAFFLSTSIDLRSLQFQNSFDIIPPASRATNYVILRFYDVKSDPHVMELDFANESNCHYMVVFQYGSVVLFNVSEHEADGYLKIVEKHASGLLPEMRKDDYVVVEIPTLKTWMQGGLDYIMLKNLSIDGIRIIGSVLGQSIALDYYIGQVDGMVAEFTDINHEMKKIGTFTVKKEKLFQLVGKANSNLADVILKLGLFERSDIAWKSAKYAQIWEYLRDEYELTQRFGSLDYKLKFVEHNIHTFQDILQNRKSVFMECLIIVLIALEILISLYSVYTH >Ma09_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8298684:8304336:1 gene:Ma09_g12300 transcript:Ma09_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAKSPLDPPCSSKVPAPKTGETVSEKLASRERDPILGQTPTPNFSIRDYVFTSRSKGIETSWPFTQHFLQLCLKHGVKDPLPPFEPPDLVRVQCWSKGVESQQSVIRPEIEQIPVHIGPQEKAVNIRRDLCSPIETQPPDGRSATCQRFRTDELTHSGADIGSIITRDQVEGTSAAIGGISCSFAVNRDVFEAPSDIDVVEPTKKLGSSREPSEKKCRLIVRLGVISDSSRAEDIKSSSSTVSDPMASKVCPVCKMFSSTSNTTLNAHIDQCLSMESNTKCCSSKVVKPKAKPRKKRLMVDIYTTAPRCTLEDLDKRNGSHWAVELAFAAAPSVEVDTETKKPKLVLVGSGEGIKEGAVYVDSNGVKLGILSKLSSTSQSKEELKLQEHDKVVRTGKSFLIGKKKHFKAKYSKKMKMKSQRKKLNSFMLLKAKMQTSVEGDCDGETHHENGESLLHISDPGNLANSRPACLRQWICSRRSDLPKKLASKNAHSASDNTALVTRSMLTENSRPDAYISSVSLSHHLKFSRLSEDLTSSPGSKNIDILSKTVHPMDDGMKVSEKLTISASRWSSESTEKNSLLPRVSKSSGNFESSSRTEAKETAPSIQHRPDTSSDRTNIPSIHCPSAKDQIVSTLMKNDLVRRSPFNLQARKGDLSVKPDTCKKFRKHRSILRSGKIRAKFQSATNGVHNKGVLAPAADIARASKTLGSCELNHSCVVGSGTGEMTNDALPGTKDVPEFDKKDEGSTLEEQKHSNHLETKYHGPDVQNLDMQVEVLDSGNHVRKPSSETAGGNLLSNDTVCSESLQAASDCQSISKSEVNTGQLMQISEKQLVECTVETMSIQESSGCSTSHGNAGHEIRQKSSSITSVRTTTNEELVGDGKPCGSPDSTASTISLPSPKDLKYTDSDANVNLPAEISGCMVDDKTFCCSCRESLSREFQILQPNATHRTPKVKQVSKLFARPRVSSSFNSCQNHRINTTVISNLQAAGQPTTSKGLSDCAVKVPTCSVLGSAIPSSQSQNRSISNPILRLMGKNLMVMNNEEFVQPQRTVLEYPPNVNFLSPLGFALNTNHSKQENFRYHHKIFSGPPAFDATASVGEHQFPICMPSAPMAGFSVTPLHTAFVPRLDHHTWQKNACRRSNSSPASCIMNEVLVIDDPIEFERRPVTSLSSPISTLPFATSGLNPLSQRPFSCVSSQCQIRYLRGGSRPLLPKPSTGINANLTKSGSITEGHGPLPPSPFLLQTATAAHMQSSVYYSQLHRS >Ma09_p07420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4879233:4882938:1 gene:Ma09_g07420 transcript:Ma09_t07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSYFPLRWESTGDQWWYASPIDWAAANGHYDLVRQLLHLDANLLIKLTSLRRIRRLETVWDDDAQFVDAARCRSTVARRLLHECEGRNGKNSLIRAGYGGWLLYTAASAGDMGFVQELLHREPLLVFGEGEYGVTDIFYAAARSKSSEVFRLLFDSAVSPRRSIGGGGGADSGFRWEMVNRALHASARGGSLEFLKELLVDCSDVVAYRDIQGSTILHTASGRGQVEVVKYLLASIDMIDSRDKKGNTALHVAAFRGHLPVAEALMAASPSSSRSINEAGDTFLHMAVAGFRTPGFRRLDRQMELVRKLIDGNAASVQEIVNVRNKDGRTALHMAVLGNVHADLVELLMMVRSIDLNVRDGDGMTPLDVLRQHPRSASSEVLIKQLISAGGMANSKDYRTRSAIASHLKMQGVAKSPGTAFRVSDAEIFLYTGIQASDASARPSSCSSASKSEVSHFSAGSDRRKRQQIGSIGNVARHLRVLLGWPRRRGETAETLKKVVGDDESLESFKKLVDRGEAPTPLRQQFSKSTWMMMMMASNKRPLSVRSAVPSPATRKKFAASSAMEKRKGICSENENDGASCSSSSMNYSAVENATPRWSLVNGKPMSQYFCFGAQHTEADEQQSNHASKPSLVVSAV >Ma09_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4878981:4882937:1 gene:Ma09_g07420 transcript:Ma09_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSYFPLRWESTGDQWWYASPIDWAAANGHYDLVRQLLHLDANLLIKLTSLRRIRRLETVWDDDAQFVDAARCRSTVARRLLHECEGRNGKNSLIRAGYGGWLLYTAASAGDMGFVQELLHREPLLVFGEGEYGVTDIFYAAARSKSSEVFRLLFDSAVSPRRSIGGGGGADSGFRWEMVNRALHASARGGSLEFLKELLVDCSDVVAYRDIQGSTILHTASGRGQVEVVKYLLASIDMIDSRDKKGNTALHVAAFRGHLPVAEALMAASPSSSRSINEAGDTFLHMAVAGFRTPGFRRLDRQMELVRKLIDGNAASVQEIVNVRNKDGRTALHMAVLGNVHADLVELLMMVRSIDLNVRDGDGMTPLDVLRQHPRSASSEVLIKQLISAGGMANSKDYRTRSAIASHLKMQGVAKSPGTAFRVSDAEIFLYTGIQASDASARPSSCSSASKSEVSHFSAGSDRRKRQQIGSIGNVARHLRVLLGWPRRRGETAETLKKVVGDDESLESFKKLVDRGEAPTPLRQQFSKSTWMMMMMASNKRPLSVRSAVPSPATRKKFAASSAMEKRKGICSENENDGASCSSSSMNYSAVENATPRWSLVNGKPMSQYFCFGAQHTEADEQQSNHASKPSLVVSAV >Ma06_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10913308:10913511:-1 gene:Ma06_g16090 transcript:Ma06_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLVAKKGLLHSDQELFNNGTQDSLVRLYGVNARAFARDFAAAMVKMGAISPLTGTNGEIRLNCRNVN >Ma05_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8145741:8148342:1 gene:Ma05_g11260 transcript:Ma05_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g20230 [Source:Projected from Arabidopsis thaliana (AT1G20230) UniProtKB/Swiss-Prot;Acc:Q9LNU6] MATSLHLLLQRSSPSLPQTQQAHAGLLKCGLVPVDAHPTTKLLTLYARHLRFDDAALLFRSVPRPDPFSFSVLISALVRSRHFSLALSLLPSMLSLRLAPDPFVIPAALKACAALPSIALGRQLHSLSLVAGISGDPFVASALVHMYLKCGAMADAHVVFDRMAEKSIVTWSAMIAGYATYGHVDEALRMLERMRSSGTEPNPITWNGMIVGFNRSGRPYDTVLLMRRMHSEGFEMDAVGISSTLSAVGDMEDVVIGSQIHGYVTKTGLGADSSVVSALVDMHGKSGRPEEMVRVFDEAGQRDVGSCNALVAGLSRNGRVDDALKAFSNFEAQGVELNVVSWTSIVACCSQNGKDMEALEFFREMQIVGVEPNAVTIPCLLPACANIAALMHGKSAHSFALRKGILADVFVGSALADMYAKCGRIRDARVVFDAMPSRNVASWNAMIGGYAMHGKAKDAIELFLLMKRSRRKPDHITFTCVLSACGQAGLTEAGERYFGEMQEEHGITARMEHYACMVSLLGRAGRLDEAYGLIRKMQLEPDGCVWGALLSSCRIHNNVELAEIAAEKLFQLEPGNAGSYVLLSNIYAAKGMLEGVDRMRDVMKNMGVRKDPGCSWIEIKNKVHMLLAGDKSHPQMSQIAERLEKLSVEMKRLGYLPSTDFVLQDVEEQDKEQILCGHSEKLAVALGLISTPTGTTLRVIKNLRICGDCHAAIKFISSFEGREILVRDTNRFHHFKDGSCSCGDYW >Ma08_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2418623:2421212:1 gene:Ma08_g03270 transcript:Ma08_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLLALLLLHKLIASLYGTSVCFRNLQHYHIFVRSHCMIALQGIKDLR >Ma09_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10248222:10248386:-1 gene:Ma09_g14930 transcript:Ma09_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEENKLDLITQEAASYKLDHGHEEEAFQLYKKLVESHVNIEALLGLVMTTDEI >Ma04_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15614453:15618294:-1 gene:Ma04_g16440 transcript:Ma04_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYWHPFTEDALHQIKKDKITKLVVLPLYPQFSISTSGSSIRVLQSIIREDVYFTRLPISIIESWYQREGYINSMVDLIENELLIFSKPEEVMVFFSAHGVPLSYVEDAGDPYRDQMEECIALIMDELKSRGIHNHHILAYQSRVGPVQWLKPYTDEVIVELGRRGIRSLLAVPVSEHIETLEEIDMEYKHLALQSGIENWGRVPALGCTSSFISDLADAVIEALPCASRSSTRSAPSQCETETEPVIDLFFGSIFAFVLLLSPSLDVKGMICQSHTQASIPGTKRYTNDDEGCLSTRPVCLVLICPIYALLGIQTDV >Ma06_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1106714:1108465:1 gene:Ma06_g01380 transcript:Ma06_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGSSKLPQEVPNFHLQSDKSEPTTPPATAAAESRDLSASAGLERGRQVVVAGKDEQRRQLAPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASAIAAAASASMSLPGATVVAGLHQKLDDSGQGAARPTWAVMGTANLSRSHPGLWPPPVGGLNSGFLHAGAAAPSSSNLATGGGGDWSMGGFMPRIGLHGLEFPGGNLGAVSFAAMLGGHGQQLPGLELGLSPEGHIGAMNPQGLSQFYQQMGQGRGGVGGGGSGQLHHHHHQQQQHQQQARSENDSQGSEQ >Ma07_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29840487:29841028:1 gene:Ma07_g21770 transcript:Ma07_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEALPANILCSSNHPHLRYLQLWGPLERLHMDNIHHDAPFLPNLASLFLAMTSRGERLVFPKGGFPRLQYLSLGTLQDLEEWRVEEGAMPCLRELRLWYCNKLRMLPEGLRGLTQLEILTLYGMQVFHKRMQKDIGDDYYKIQHVPSIQIEA >Ma08_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6680220:6681781:1 gene:Ma08_g09220 transcript:Ma08_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGSGGGAEGGGGDGGVVRKVLLSYAYVAIWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVLLVRVLRLVDPPSSPPMTRDLYVASVIPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKESFKTSSMLNMLSISLGVAVAAYGEARFVAWGVALQLGAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCFAFLLVPWFVVELPLLRNRSSFHPDLLVFITNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAEKKAQQADEEAGKLLQEMKGDRQNDS >Ma07_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4773987:4778235:-1 gene:Ma07_g06660 transcript:Ma07_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKREAGRSPGSSTTPAKRGRPFGSTAAAAAAAAAAAAAAAASSASFAAAGDANSPASLLGPSLHVPNSFADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDDLRRDSTALAKIPGLLDALLQVVDDWRDIAFPRDYTKLARVRTLGVNSVVTGFGNEFEATSSLDTTSHPGHKSSSNMEASSGKKHRSMDWWFEDDGLFNVDEEGRAEKQQCAVAASNIIRNFSFMPDNETIMAQHRHCLETMFQCIEDQNTEDEELVTNALETVVNLALLLDLRIFSSSKPSFIAMTEKRAVQAIMGMLGSSVKAWHCAAAELLGRLIINPDNEPFLLPSAPEMYKRLVDLLSLPTVDAQAAAIGALYNLAEVNADCKVRLASERWAIDRLFKVVKTPHPVPDICRKAAMILESLVSEPQNRHVLLAHESSFAEILMSDGRHSDTFARILYELTSRPNSKVSTTRGVWGM >Ma02_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21804389:21806247:1 gene:Ma02_g13370 transcript:Ma02_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACEKPKVSYKKGLWSPDEDQRLRDFILKHGHGCWSSVPARAGLQRNGKSCRLRWINYLRPGLKHSDFTPEEEGIVMKLHTLLGNKWSQIAMHLPGRTDNEVKNHWNTHLKKKLVKIEGSSSHASMTKSLESDSQCPKLEKLIDENSNQISLSESSDSLKSVSPTPCRSIHVTNHAPFPKILFADWLPMFRGNDQSSSAPESDRVNWQQESTLNSEVLSPKLMQFDTIFTEVFLHGFEDASICGGFKLQFEPVEQIFGFHDQAYTGLELNHDMFVNL >Ma05_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4594612:4598489:1 gene:Ma05_g06170 transcript:Ma05_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQAVRVLYCGVCGLPAEYCEFGPDFEKCKPWLRRHAPDLYPDLLLKEENETDADKAARQLQSVAISGSGDGAGGDASSAPSGSASASKQDEVKRLPGGKIKKKEKQEVVIEKIVRNKRKCVTVVKGLELFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVEFITETWPAVPETAIFFIEDGRKVAAA >Ma00_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12371753:12372168:1 gene:Ma00_g01800 transcript:Ma00_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKNVLQLTPEEDEEAFLYALNLAGGSVLPMTLMVAVELKLLEAIVSAGPGAALSAAEIAAQLPTENPQTAAMVDRILRLLAAFRVVSCTVEAGDGGRSFRKYGAAPVCKYLTKNEDGVSITPLGLMVHDKVFMDC >Ma03_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4194533:4203282:-1 gene:Ma03_g06080 transcript:Ma03_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSCANLLEMGADDLIDFSMSIKSLPRVMTTPGIINDADEGACTDEDSCTTTSLFCDRKIIVANFLPLNSMKDQMTGEWCFTWDEDALLLQLKDGFSYDTEVIYVGCLKADIDVREQEVVSQKLLEEYGCMPTFLSPDLYKKYYHGFCKKQLWPLFHYMLPIGLHKGDLFDHSLFQAYVSANKKFADKVLEVINSDDDYVWIHDYHLMLLPTFLRKRLNRIKIGFFLHSPFPSSEIYRTLPVREEILKALLNADLIGFQTYDYARHFLSCCSRMLGLHYESKRGYIGLEYYGRIVSIKILSVGVHIGRLHSVLNHPHTISKLLAFELLLERSPYLRGNIVLVQIVNPARSTGKDVKEAREEAISIAERINSSYGTPGYDPVVLIDKPIPFYERIAYYVAAECCIVNAVRDGMNLVSYDTLIVSEFVGCSPSLSGAFRVNPWSVEDVADALHQSIILGESERQLRHEKHYRYVSTHHVAYWAHSFSQDLKRACKDYYSQRCWALGFGLNFRNTYRRAIFLDYDGTIMPESSVNKTPSQETISILNGLCSDPNNTVFIVSGRGRDSLSEWFSSCENLGIAAEHGYFIRWRKDVEWESNSVVADSDWMKIAKPVIQLYTDTTDGSFVEVKESAIVWHHQYADHDFGPCQAKELLDHLESVLANEPVVVKRGQHIVEVKPQGVSKGLVVEKLLGTLSNCGKPPDFVMCIGDDRSDEDMFESINNTASSNLFPSVPEVFACTVGQKPSKAKYYVEDTSEVLRLLRGITAVSTQNQKFTHYQVSFESSKIVSAAVSNAASALLKRLWSLKSTPKTAISGHRPLMKFEGGYTVETVFDGSKLGIEPYSVEVTQNGELLLLDSVNSNLYRIAQPLSRYSRPKLLAGSPEGYVGHVDGRPREARMNHPRGFTVDGRGSIYIADTTNMAIRKISDAGVTTIAGGKWSRGGHSDGPSEDAKFSTDFEVVYIASSCSLLVVDRGNQAIREIQLHFDDCAHQYETGFPLGVAVLLAAGFFGYMLALLQRRVGVMVSSNNDFVQEPQTPTKASMPPYQKPMKPSMRPPLIPIGSEAENIDDEGLFSSMGKLLFGAWSSTAEIFAAMFPIFRKKPKTTRYQQQQRKANTRPMPESFVIPNDEMPPPVETKAPTPHKTYAFMSKEPERIHHIRQARTYFTGWDAEPQPQQRIHRQQHLQQHQHHSSGPQTYYEQSCDTTNEIVFGAVQELNCKRKSVEIKTVNYGDPVYEQYGLRFPNSYVGYDNY >Ma02_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20455186:20457424:1 gene:Ma02_g11130 transcript:Ma02_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSVGSAPAPPPLHPAIAPLSYLLGRWRGQGEGGFPTINSFAYGEELIFSHSGKPVIAYSQKTWKLASGEPMHAESGYWRPKPDGSIEVVIAQSTGLAEVQKGTYDAENRIVTLQSELVGNASKVKEITRVFKVVNGELSYVVQMATVITSLQPHLKALLKKV >Ma05_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3725763:3731997:-1 gene:Ma05_g04850 transcript:Ma05_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLPLRPLFGSKDVSVSAAFSSPSKPGYSRAQSKKGSGLVLVSSGTPSPTMVSNGYLAGRAVKSEARSYRRLGSCLVIPPPAGRKPRAVVKFLGGAFVGAVPEVTYSFLMEWLAKEGFLVVSVPYNVTFDHEKAAKEVYERFHCCMDSLFASGIPDTGITALDISSLPLYSVGHSNGALLQMLIGSYFDEKIAKANVIISFNNRPAAEAVPYFEQFGPMVSQVIPIIEESPVYSMARNASGDAWKYLLDTAGLLIQDYDQEAVVSLTKFIDQLPSVINQVTQGTSEFKPTPPENREFFKKSYSVPHTLLVKFSVDAIDETDLLEDILKPRVESIGGMVEKITLSGNHLTPCLQDLKWQVGYQYTPADALAQALKSLSLNETRVLARTVTNWLKDLNHK >Ma03_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24454879:24458107:-1 gene:Ma03_g18970 transcript:Ma03_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQISDAAGDVIKEETSRGLNPGLVVLLVVGGLILLFLLGNYALYMYAQKTLPPRRKKPISKKKSKRERLKRGVSAPGE >Ma11_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20723801:20723905:-1 gene:Ma11_g15000 transcript:Ma11_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSKSFKFAKINMFTKLTNKVGKFSAQDFCRM >Ma04_p36140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34629849:34630567:-1 gene:Ma04_g36140 transcript:Ma04_t36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKKASVLLLLLLSLLLIASEEMVAVEGRTCESASTRFKGTCVRSSNCASVCQGEGFPDGKCEGVRRRCMCRKPC >Ma04_p32610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32558254:32559164:-1 gene:Ma04_g32610 transcript:Ma04_t32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQYTPSDVEKSWYTWWEASDLFTIDSSSSKAQFAMILPPPSVTGALHIGHGLTAAVQDTIVRWRRMSGYNVLWVPGMDHAGIATQVVISF >Ma09_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:311223:317589:-1 gene:Ma09_g00370 transcript:Ma09_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRILNQLYTFNGQHVVDAQKIDCGSKCAYRCSKSSRPNLCNRACNTCCSRCNCVPPGTDGNREVCPCYANMKTHGGRPKCP >Ma08_p25880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38575277:38575899:-1 gene:Ma08_g25880 transcript:Ma08_t25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLGAFPDTSPAANRTRPPVVDDHGGGHGGSDTSMVIILAALLCALVFALGLNSIMRCTLRCGRRLAVEAAEQARLKKRALRRLPVAVYGSGADIPATDCPICLGDFADGETVRVLPECRHAFHVGCIDKWLASHSSCPTCRRSLLGDGTGDGAEAVNRSDRAEGAAMDIPAS >Ma01_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8894443:8897593:1 gene:Ma01_g12280 transcript:Ma01_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 18 [Source:Projected from Arabidopsis thaliana (AT4G01730) UniProtKB/Swiss-Prot;Acc:Q9M115] MRRHGWQLPLHPLQFVGMAVFCFLVISFFVFLGPFLGNRILKNTILTLFFFAALSVAILYTRCTAIDPSDRTAAKKRRRSKSAGLPKLNYRFMLWQVVVRFFRKVEGRILRRCIRRKYLDPWHPNVQMQPLLSFPLVFLEDAATMPDRKDDDITFCTLCDLEVKKHSKHCRSCDRCVDGFDHHCRWLNNCIGRKNYTTFILLMLLTLLMLIIEGGTAFVVFVRCFTNGKEIASESKEILHAEIPKGVLAAISMFLALLTAYSTAALGQLFFFHVVLIRKGMRTYDYILAMREQSQSVDPFDELYSSSDESDDLDSPERPTLLSRFRCHKSEKSQSTQRLSIRIEKDSDSTPNKKTEFHIDPWKLIKMSKEKTLMAAERARERMRQKLTPMVATGLSISPLKPLPLEMKQGPLMNPEAKKVILRDTTPVVPRGWFPSSPSRRLSSPRRRFSGSPSPRPQKYRSSFDPKLTEVSRELETYISKQVLCAVLKQGEDDESSPR >Ma07_p00760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:635318:637586:-1 gene:Ma07_g00760 transcript:Ma07_t00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQASSRNQRNKGLRLTNVLQICLLAAVCFWLLYQLKHSYDKKKALDEQNPRILNNVEDSQPGFIDLGRKDLPRDKMMISGDKIHNKEEENDEIEEDEDRDAQRVMEDEEAKGVGDDGIDEEDNEQVDEQDDQERGDEETEDGEDSMNEENKEDQVDEAEFLDGREHEEGSSQEAHEESYKRDDASSAVHRENLVTGTEDKNDSVDEEQLKNDEIEVETIHTTSRKDDGLNDHNNMSVALVVAISNDTIQNNSLTINSAAAAAERIVQELSSADNQTRLQANSMIESASYHQVKLQTDSPIDVADNAAEGKTYTLLLENGTLIRSSNDDQNITTGLGSPEEDNSNLKSVVEEQPKKSNTNIGQDNLEELSTVSLAVNENGDAVEGDSAGSSHRMVIDEERDARIDLSTLPDVQIDVKNIEDEAAE >Ma07_p00760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:635318:637586:-1 gene:Ma07_g00760 transcript:Ma07_t00760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQASSRNQRNKGLRLTNVLQICLLAAVCFWLLYQLKHSYDKKKALDEQNPRILNNVEDSQPGFIDLGRKDLPRDKMMISGDKIHNKEEENDEIEEDEDRDAQRVMEDEEAKGVGDDGIDEEDNEQVDEQDDQERGDEETEDGEDSMNEENKEDQVDEAEFLDGREHEEGSSQEAHEESYKRDDASSAVHRENLVTGTEDKNDSVDEEQLKNDEIEVETIHTTSRKDDGLNDHNNMSVALVVAISNDTIQNNSLTINSAAAAAERIVQELSSADNQTRLQANSMIESASYHQVKLQTDSPIDVADNAAEGKTYTLLLENGTLIRSSNDDQNITTGLGSPEEDNSNLKSVVEEQPKKSNTNIGQDNLEELSTVSLAVNENGDAVEGDSAGSSHRMVIDEERDARIDLSTLPDVQIDVKNIEDEAAE >Ma07_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:635318:637586:-1 gene:Ma07_g00760 transcript:Ma07_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQASSRNQRNKGLRLTNVLQICLLAAVCFWLLYQLKHSYDKKKALDEQNPRILNNVEDSQPGFIDLGRKDLPRDKMMISGDKIHNKEEENDEIEEDEDRDAQRVMEDEEAKGVGDDGIDEEDNEQVDEQDDQERGDEETEDGEDSMNEENKEDQVDEAEFLDGREHEEGSSQEAHEESYKRDDASSAVHRENLVTGTEDKNDSVDEEQLKNDEIEVETIHTTSRKDDGLNDHNNMSVALVVAISNDTIQNNSLTINSAAAAAERIVQELSSADNQTRLQANSMIESASYHQVKLQTDSPIDVADNAAEGKTYTLLLENGTLIRSSNDDQNITTGLGSPEEDNSNLKSVVEEQPKKSNTNIGQDNLEELSTVSLAVNENGDAVEGDSAGSSHRMVIDEERDARIDLSTLPDVQIDVKNIEDEAAE >Ma03_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7170177:7173929:1 gene:Ma03_g09610 transcript:Ma03_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLFTKKALTRASGLGPLGAAAGDRGLGSGLGQARGLTAASLPDLPYDYGALEPAISGEIMRLHHQRHHQAYVTNYNNALEQLEAAVAKGDAPAVVRLQGAIKFNGGGHINHSIFWNNLKPEGGGEPPHSALGWAIDTDFGSLEALVQKMNAEGAALQGSGWVWLALDKGSKKLSVETTANQDPLVTKGLHMVPLLGIDVWEHAYYLQYKNVRPDYLKNIWDVINWKYASEVYEKETA >Ma09_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:845667:857498:-1 gene:Ma09_g01140 transcript:Ma09_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLARRMIRRPPATLFLLRSNGHVRHFSHQILLGGSICAKPMREATTFLPRASSYLLWSRSFASESGDLVDAVVPFMGESITDGTLATFLKKPGDRVEVDEPIAQVETDKVTIDVASPEAGIIQKFIAKEGDTVTPGTKVAVISKSAPADTHVAPSDEKVGKDTTSPAKKENINKQMPKVEAPIKEKPKTPSLPPPKASPSEPQLPPKERERRVPMPRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYIDVSIAVGTPKGLVVPVIRNADRMNFAEIEKEINTLAKKASNGTISIDEMAGGTFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNIVLRPMMYVALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDL >Ma04_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19491689:19516734:-1 gene:Ma04_g18070 transcript:Ma04_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDAAAADEEKCLADGMGRLQHNAFYMHRALDSNNLKDALRYAGQMLSELRTSSLSPHKYYELYMRAFDELRKVEMFFVEETKRGSYSVTDLYELVQHAANILPRLYLLCTVGSVYIKSKEAPTKDVLKDLVEMCRGIQHPVRGLFLRSYLSQISRDKLPDIGSEFEGGANTDNDAIEFVLQNFTEMNKLWVRMQYQGPTGEKAKRGKERSELRDLVGKNLHVLSQLEGVDLDMYKETVLPRILEQVVNCKDELAQHYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPTVDIKTVLSLLMDRLSNYAVSSTEVLPEFLQAEAFAKLNSAVGEVIEAQVDMPISGVITLYVSLLTFTLRIHPDRLDYTDQILGACVQRLSGKTKLEDSKATKQIIALLSAPLEKYKDISTALNLPNYPRVMDHLDNATNKVMSAIIIQNIMKNNTCISTADKVDTLFELIKGLVKETDEAQDDELDEEDFKEEQNSVARLIHMLCTDDAEEMFKIICTVRKHILLGGPKRLAFTVPPLVFSALKLLRHLRNQDGDVNGQEVSVTQKKIFQLLHQTIEALSSVPSPELALRLYLQCAEAANDCGLEPVSYEFLTQAFILYEEEMADSKVQVTAIHLIIGTLQRMNVFGIENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVNEQDGVKDGERVLLCLKRALRIANAAQQMNATRGSSGHVTLFIEMLNKYLYFLEKGNPQITSSVIHDLIDHIRTEIQSDNTTSDPSDNAFFSSTMRYIEFHKQKGGSISESYEQIKV >Ma03_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13108339:13120069:-1 gene:Ma03_g14500 transcript:Ma03_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSTFEIQNAKANQTPGKKSSQEIPTPQFNTVESYERDYSRTFIQTASYIRGRGARAEIGEFVEYDLDNEDEDWLEGFNNERKILSSEKFETLLFKLEVLDHKARERAGVITPTFGAPILVLLSLDAAAEALESLSIRFAILQSVYNYWKAKREQWQKPILRHLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENSIQSFEKLRQVRRSLDQAKKVVEALIKREVKKRELIECEVNLQRAQMKYKHEAQIVEDKIALPGLQVTCKLASSEDDYMDSDDTTNGHPHAGLASIHSKYADSKLVMVPTGRIKRELKQRPMSNGWLQKRDTDEPILLFTRPLDPHKLAAVGIMQPPENGPVAPTYRFHGRIGRGGRIIFDRCNPLLRDPIGQQSFPHVSYPRSPPPNG >Ma03_p14500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13108253:13121569:-1 gene:Ma03_g14500 transcript:Ma03_t14500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPVVKSVKDFEDDEAPTITTSTRNSLPHRLAANNDNEANQTPGKKSSQEIPTPQFNTVESYERDYSRTFIQTASYIRGRGARAEIGEFVEYDLDNEDEDWLEGFNNERKILSSEKFETLLFKLEVLDHKARERAGVITPTFGAPILVLLSLDAAAEALESLSIRFAILQSVYNYWKAKREQWQKPILRHLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENSIQSFEKLRQVRRSLDQAKKVVEALIKREVKKRELIECEVNLQRAQMKYKHEAQIVEDKIALPGLQVTCKLASSEDDYMDSDDTTNGHPHAGLASIHSKYADSKLVMVPTGRIKRELKQRPMSNGWLQKRDTDEPILLFTRPLDPHKLAAVGIMQPPENGPVAPTYRFHGRIGRGGRIIFDRCNPLLRDPIGQQSFPHVSYPRSPPPNG >Ma09_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2288693:2291834:1 gene:Ma09_g03400 transcript:Ma09_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSEIILPIGTQKHDPAWKHCLMVRSAGRTKLRCVYCMKQFLGGGIHRIKEHLARHKGNASCCPKVPLEVQVAMQQSLDGSAARRKKKLKPAEEVSRADPIQADGGDVDAGLHLIQLPEMVDTGAVQVEVKEEDVVTKLPERGRKKRARYTSPPLLPPPLSLQTAAPVSDVGKPFIGGTINKDQVCMAIGRFLYEAGVPLEAVNCASFQPMVDAIASAGPGLGMLSYHDFRGWILKRSVDELNNTLEQYKATWSRTGCSVLADEWTTTTGKTLINFMVYCPEGTMFLKSVDASHIVTSADTLYELLKHVVEGVGERNVVQVITSYSEIHVAAGSKLAETFPTLFWTPCASQCIEEILEDIGKLEAISEVIENAKAITGFIYSNAVVLNMTRKYTNGKDLILPCDSRSAMNFITLKSLISLKEDLTMMVTSGEWLDSPYSRKPGGLAVSELVCSPPFWSSCAAIVRITEPLLQVYKLVESDKKPAMGYIHVAMYQVKQAIRKELLKKADYMIYWEIIDWKWNRHPPSPLYAAAFFLNPRFFFSIQEDVCNEISSGVLDCIERLIPEANIQDKIQKELSLYKSSSGDFGRKMATRARNTLLPAEWWSTYGGACPNLMRLAIRILSQTCSARGCERVHLPFEQIHNHRMNYLEHQRLCDLIFVHYNLQLQQRKILRHKPFDPISVDNIDVVGDWIVEKNDLLSADADHSNWMTLNQPVATQLQSEYINDEETETFLAGIDDEVIQAAGKNVEDDGDIKEDDEVQEDTAFT >Ma07_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3905694:3906467:1 gene:Ma07_g05360 transcript:Ma07_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRQLRLLLLLLSVAVAFVSATDHIVGGHLGWNPNINYTLWANNQTFYVNDLISFRYQKNMYNVFEVNKTGYDNCTMDGLAGNWSSGKDFIPLDQAKTYYFICGNGFCFSGMKVSVTVVHLLNASSAAPPNASTHGAAGSAAPGLRPWPSNPAALLVAAVASMVIGSGVGI >Ma11_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26506123:26510654:-1 gene:Ma11_g22950 transcript:Ma11_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPASPGGGGGSHESGEHSPRAGAGVREQDRFLPIANIIRIMKKALPANAKIAKDAKETMQECVSEFISFVTSEASDRCQKEKRKTINGDDLLWAMATLGFEEYIEPLKLYLQKYRELEGGEGSVRRELGGAQQSGTSTGMEYQTLPQQGSYTQGMDYMNPQFHNGDL >Ma00_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29739934:29745088:1 gene:Ma00_g03510 transcript:Ma00_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGDSFLDWDWDLVAEEELRAIEAVYYSSAKKRRISSDLDADDPAPLHSRPSGRRLPDWGRADATESQCHGGHGGGVATAIADRPPSSCPTNGAWRVSPLRCPGNFKVRYPTMAYRGSIIYCRTAPEVEQASMELLNKIRSMKQCMDHVSLGFDIEWRPVFKRGEAPRKAAVMQICLENARCYVMHIIHSGIPPGLKCLLEDTVSVKVGVCIANDAWKMTNDYNVCVEPLEDLSSLANLKLGGVPKRWSLASLTEMITCKQVL >Ma10_p23410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32355303:32365581:-1 gene:Ma10_g23410 transcript:Ma10_t23410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPGEASTSSVIRDGAVSGVLPETAVFAVHYPGYPSSTARAIETLGGLPEIAKVRSSETGNLELRFRPEDPYSHPAFGELRSSTGLLLRLCKPRGGEHASRGGACEGGHSAEPPPAESLSAEVVARVNHAYHFEGMVDYQHVLGVHAAEARRKKRPWAPDEASDPENAGTMDMDGADVMMMVPPLFSLKDRPEKIVLNPPANLFSKNIQRGVMEHKWEINIERCLAIPFDIERIPEKFNWEDHISRDNPEWEWQVAVSKLFDEKPIWPRWSFHERLLDDGQQVSENQLKRLLFRAGYYFSTGPYGRFWVRKGYDPRTDPESRIFQKVDFRVPPQLRNLEDKIAKTELKQTQKELCHFKVWPSKSFICLQLFELDDDFIQQEIRKPTRQTACSHTTGWFSGAILRTLRLHVSIRFLSLYPNDAAKQLTNYTRELFERSKKNGALSRLQKSEKEDQLVNRDTTCSAEVTSIQSNEHGHMDPDRTDNCEIEDEEEEEELDGYESPPACEDGFFPDGGNLGEQISNDYLEELLRGFPFNKESKDRRPDDAAGAGDSDGEYQIFEQDSDDNENFLDDDDGFS >Ma10_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32355303:32365581:-1 gene:Ma10_g23410 transcript:Ma10_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPGEASTSSVIRDGAVSGVLPETAVFAVHYPGYPSSTARAIETLGGLPEIAKVRSSETGNLELRFRPEDPYSHPAFGELRSSTGLLLRLCKPRGGEHASRGGACEGGHSAEPPPAESLSAEVVARVNHAYHFEGMVDYQHVLGVHAAEARRKKRPWAPDEASDPENAGTMDMDGADVMMMVPPLFSLKDRPEKIVLNPPANLFSKNIQRGVMEHKWEINIERCLAIPFDIERIPEKFNWEDHISRDNPEWEWQVAVSKLFDEKPIWPRWSFHERLLDDGQQVSENQLKRLLFRAGYYFSTGPYGRFWVRKGYDPRTDPESRIFQKVDFRVPPQLRNLEDKIAKTELKQTQKELCHFKVWPSKSFICLQLFELDDDFIQQEIRKPTRQTACSHTTGWFSGAILRTLRLHVSIRFLSLYPNDAAKQLTNYTRELFERSKKNGALSRLQKSEKEDQLVNRDTTCSAEVTSIQSNEHGHMDPDRTDNCEIEDEEEEEELDGYESPPACEDGFFPDGNLGEQISNDYLEELLRGFPFNKESKDRRPDDAAGAGDSDGEYQIFEQDSDDNENFLDDDDGFS >Ma10_p23410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32355303:32365581:-1 gene:Ma10_g23410 transcript:Ma10_t23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPGEASTSSVIRDGAVSGVLPETAVFAVHYPGYPSSTARAIETLGGLPEIAKVRSSETGNLELRFRPEDPYSHPAFGELRSSTGLLLRLCKPRGGEHASRGGACEGGHSAEPPPAESLSAEVVARVNHAYHFEGMVDYQHVLGVHAAEARRKKRPWAPDEASDPENAGTMDMDGADVMMMVPPLFSLKDRPEKIVLNPPANLFSKNIQRGVMEHKWEINIERCLAIPFDIERIPEKFNWEDHISRDNPEWEWQVAVSKLFDEKPIWPRWSFHERLLDDGQQVSENQLKRLLFRAGYYFSTGPYGRFWVRKGYDPRTDPESRIFQKVDFRVPPQLRNLEDKIAKTELKQTQKELCHFKVWPSKSFICLQLFELDDDFIQQEIRKPTRQTACSHTTGWFSGAILRTLRLHVSIRFLSLYPNDAAKQLTNYTRELFERSKKNGALSRLQKSEKEDQLVNRDTTCSAEVTSIQSNEHGHMDPDRTDNCEIEDEEEEEELDGYESPPACEDGFFPDGGTSCNLGEQISNDYLEELLRGFPFNKESKDRRPDDAAGAGDSDGEYQIFEQDSDDNENFLDDDDGFS >Ma09_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36412100:36425260:1 gene:Ma09_g24800 transcript:Ma09_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVPEGSVRNILEQETLKWVFVGGKGGVGKTTCSSILSILLAQVRQSVLVISTDPAHNLSDAFQQRFTKIPTLVNGFSNLYAMEVDPKVEGDDLSDEGLDGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLEKVMALKNKFGGIMNQATRLFGLGDEFSTDAMLGKLEGMKDVIEQVNRQFKDPDLTTFVCICIPEFLSLYETERLVQELTKFEIDAHNIIINQVLFDDEAVESKLLKARIKMQQKYIDQFYMLYDDFHITKLPLLPEEVCGVQALRKFSQHYVSPYKPDLTRGTLEEVQQRISILKLQLEEAKLELETLQKGKQDA >Ma01_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7227359:7227984:1 gene:Ma01_g10090 transcript:Ma01_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMAVHTESAGRQGLDLGKAAPASEVEELKRRNSELEQEVRERRAREEEVRSELEQTRARLRVAEEAEENLCAQLGELEAEAVAHARACYLRIKELSDQLELARRAILTRSSSSLSSFSDGS >Ma01_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11079671:11080694:1 gene:Ma01_g15320 transcript:Ma01_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 1 [Source:Projected from Arabidopsis thaliana (AT1G10460) UniProtKB/Swiss-Prot;Acc:P92998] MSLHPSSQTSASMERVVAPSQAILIVVLLLLLLSLSPSRPDPDLLLDYCVADVAAQTFHLNGRPCIDPTLARSAHFATSALSQPNGAAATALFGFSVTTTNATTLPGANAQGLAMARVDIVGGGLVPPHAHPRASEAALLLRGTLLVGFVDTSHRLYTQQLRPGDTFLFSRGLVHFLYNLDPTTPAVVLSGFNSQNPGAQLASTTLFRSDPRFPEEVLKKAFKISGQDVQRIQRNLGG >Ma09_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4144471:4145232:1 gene:Ma09_g06460 transcript:Ma09_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAIPYGSARPSFAPPASNGARAFVADRPPPARDGSSASPTPSPSSSSSSSSSSWGSEGGGMRRMVAENPVVVVGRRGCCMCHVARRLLLGLGVNPTVCEFGDEAAGEAVSLVEEAAVFEAGGGDVRRLAILPVVFVGGRLLGGLDRLVAVHITGELVPILKEAGALWL >Ma06_p30160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31499176:31520432:1 gene:Ma06_g30160 transcript:Ma06_t30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKASVATLPLILDVEDFKGDFSFDALFGGLVNELLPSFQEDDVDAADGAGGQDMFPNGTLRGPQGAATPMFPAVEELLALFKDSCKELVDLREQIDGRLQNLKKDVEIQDSKHRKTLAELEKGVDGLHHSFQRLDTRISSVGQTAAKIGDHLQNADSQRKTASQTIELIKYLMEFNSSPGDLMELSSLFSDDSCVAEAASIAQKLRSFAEEDVGTHGINMPSPMGAANASRGLEVAVANLRDYCNELENRLLSRFDAASQRRELSTMAECAKILSQFNRGTSAMQRYVALRPMFIDVEVMNTDINLVLGDQGLQAGANNIARGLSTLYKEITETVRKEAATIMAVFPSPNDVMSILVQRVLEQRVSAILDRLLEKPSLVNLPPVNQGGLLLYLRIFALAYEKTEDLARELQSVGCGDLDAEGLTESQFLSHKDKYPEYEQASLNQLYQSKLEELRAEAQQQSESMGTISRSKASISPSASQQISVTIVTEFVRWNEEAISRCTLFSSQPATLASNVRSMFACLLEQVSQYLTGGLERARESLNEAAALRDRFVIGASVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAILQQYFSKVISRLLPPDAHAASLEEMGTAVSNVEGAAQKGLQQCIDTVMAEVERLLSSEQKTTDYRSPDDGNAPDHRPTNACMRVVAYLSRVLESAFSALEGLNKQSFLTDLGNRLHKGLLSHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDETFELLGIMANVFIVAPESLASLFEGTPSIRKDASRFIQLRDDFKTAKLTAMLNSFMSDS >Ma11_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23064019:23064873:-1 gene:Ma11_g17890 transcript:Ma11_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSTCASLGVGDPTCLSDDLLMDILVRLPLRSLIRCNSVCRSWRRLISDGGGYLQTRLPLIASAIFYRCGVAEIDDKPRYACTTSGGALECNSLCFFPFHWESAIVDCSNGLILCRSSLGSTLHVANPTTRRWIELPKPRRRSQLSILAFDPCHSAEYRVVSFTGWLAQGAKMEVFASHRRSWVEHQVQWGLPSDAMSTTIRYFDGVLYILAYPDQVVGIDLTTMACRKIELPEATKQEGRVGRSSGRLCYSHRDGDQLKIWVLGDPNGGDHSKAGATC >Ma04_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4175856:4176947:-1 gene:Ma04_g05590 transcript:Ma04_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRCKRCYRRFAHGRALAGHMRSHHVVPVRFPCPLPSPSVASSSSPVAAMEAEEDGKGPATYAIREKRVKSFCLADPGFSFTSAFEDRESDTESFLHRWPKRRRSVPAEAPAAEPLSSVSDVSSEEAVARWLMLLSRDAWSKYEAEERKSNGWDADEAEKYVEEDGIGSSSRRRRSRFRCGTCRKVFRSYQALGGHRASHKRERAERVPTAAVMRIHSEDFSGASAAHHDAKLWRCPYCYRVFGSGQALGGHKRTHLSSAVATSPAPHLPHPPSPFAAAHRNNGGRDLNLPAPLEEEAELSQQSSHASDFLSPIRSPSETKKTPFWRQFESNPKARGSTKLHSRSLLFEASIGNEDSIPSS >Ma04_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17620591:17621688:1 gene:Ma04_g17360 transcript:Ma04_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSSSSSSASFVDLDLLPQSCCPAASHASDAATTRGHFDGNSPTHPPLLSPSPPYSSSAPSNYCLSRSSSSRSLPLHHHHGFVHFHPLPFLSSLQNHHRQQQPPLTLLTSSSPPPTSRNFIDSDAGPVRHVLSTGNIQGMGGVLASGEKYNQEGREIVGRVGRYSGQERKERIERYRSKRNQRNFHKKITYACRKTFADSRPRVKGRFARNGDREAETEPEASQSSYVGNDSCEQAQGCSGVGDDSDWSREMQAELAADEEAKFFTDEDLWVVFSVNLLE >Ma06_p30430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31696113:31706267:1 gene:Ma06_g30430 transcript:Ma06_t30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREEGKELIMSVDGEDTRWMCGSSGVVNLHRVSSMVREIGEPCLYHSPAKRNKMLKPEKWQTNFDTDGKVVGFQKALKLIVLGGVDPSIRAEVWEFLLGCYALSSTAEYRRQLRMARRERYADLIKQCQMMHSSIGTGNLAYIVGSKVMDVRTLSKDNRDGKSVTTSGYASNDTTIKLDSFNDMDNDYMDTLYSYRKKSSGNSIELVSTRMSTDSAACNYCSRKSESEINEPQYDSESFYDFPPLPVTNLFEKDGGDESESKKHKKHGDKTFVFEGTTRLGDQHMYSFQINNNEDLIMESDFPSASNNESNRFNSDGQKCVEGTDEMVFEEDVVNGLRILDVPQAGAMGETPTNALVTNEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLYEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQTLWKILELIDGEMFGHLTAIGAESLHFAFRMLLVLFRRELSFDEALRMWEMMWAADFDEDLSQQLNSNCLKPLSLQLRKSSSGEIRLDQTESSKRKLKKTRLHCRNGDFFAQYSNGARSISIRPFCGLSTANCWAENDQLQACSMSVSTRNSDDELPVFCVAAILIINRQKIMRETHSIDDVIKMFNDNMLKINVKRCIRMAIKLRKKYFYKLIKQTSLGCSEQ >Ma06_p09440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6613386:6617632:-1 gene:Ma06_g09440 transcript:Ma06_t09440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRCCGICGNPHGPIRKHGLMCCRQCFCSNAKEIGFIKIVQYH >Ma06_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6612377:6617632:-1 gene:Ma06_g09440 transcript:Ma06_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRCCGICGNPHGPIRKHGLMCCRQCFCSNAKEIGFIKLHPPGTVCASDSSSHYWHSCPSLGCDGLRHNLFFYQFSFIHLPNE >Ma06_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3712192:3712933:1 gene:Ma06_g04960 transcript:Ma06_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYERLHLKCELTNIKLTKLNSPDFVGNLFIRCYVNAGGGRRIMIDSCDVPPTGDPTWDRMASVECSGSSRHVRDLLERHGIVFELRGRRSDRRQAAEAASCSELLGTAEVPWRDICGPTETSVHRHVSLVMAGGAHVGDTPPEVVVYMAVRVSKVGKVGKRMEWEEGCEWSVGEEDVFAAAAAAVVDDAF >Ma08_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2515019:2519793:-1 gene:Ma08_g03480 transcript:Ma08_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGREILQKMKVKAGFASSSETGKGKTKVSGRCIRHGYHLMKGKSYHPMEDYLVAEYKKVNNYELGLFAIFDGHLGHAVADYLRSHLFENILREPEFWSDIESAIRKAYERTDSKILEKQAELGRGGSTAVTAILIDGVKLVVANIGDSRAVISKSGVAIQLSVDHEPSKERQLIEGKGGFVSNIPGDVPRVDGRLAVARAFGDRSLKAHLSSEPDVADEIIDEDAEFLILASDGLWKVMSNQEAVDFIKDVKDPQTAARNLTEEAVARKSKDDISCIVVRFN >Ma02_p11040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20393531:20394402:-1 gene:Ma02_g11040 transcript:Ma02_t11040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAVAAVQPVAVKGLAGSSFNGNKLALKPSRRIASRANLSRPGAAVVAKYGEKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFVAPFTKRGLLLKFLLLGGGFSIAYLGSTASGDILPIKKGPQLPPTPGPRGKI >Ma02_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20393531:20394425:-1 gene:Ma02_g11040 transcript:Ma02_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAVAAVQPVAVKGLAGSSFNGNKLALKPSRRIASRANLRPGAAVVAKYGEKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFVAPFTKRGLLLKFLLLGGGFSIAYLGSTASGDILPIKKGPQLPPTPGPRGKI >Ma07_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28166551:28168184:1 gene:Ma07_g20220 transcript:Ma07_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIRVWLLLMSIMALAVPEACSELNGLRLELAHVDSNGNFSKLELLQRAALRSNHRMARLTAAASGNKVQAPVHAGSGEFLMDLAIGTPGLAFSAIIDTGSDLIWTQCKPCVECFSQPTPVFDPSSSSTFTKLPCSSNLCQALPTFRCGASGCEYLYSYGDSSSTQGVLAGETFTFGTANPTSVSNIAFGCGDTNQGSGFSQASGLVGLGRGPLSLISQLGLGKFSYCLTSLDESKNSPLLFGSLADLGATAVRSTPLRKNPTQPSFYYVSLEGITVGGTRLQIPSSTFALQEDGTGGLIIDSGTSITYLELAGYRQLKKAFLSEMQLPVADGSETGLDLCFSLPSGSSTVEVPKLTFHFDGADLDLPAQNFMIMDSTTGLLCLTIMASSGLSILGNFQQQNIQILYDLKKEVLSFVPTQCDQL >Ma04_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21650015:21650665:1 gene:Ma04_g19090 transcript:Ma04_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGADYSLQLSSVDERAMESEGEEPSLNGVAMYREGAASSESERSYGKFWRQFRLPNNVDLNFVSAKLEDGVLIVALPKLAPKKIRGPRVVSIAGGDDARDMEKLQWSSNEDKKVDL >Ma03_p27870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31105645:31114226:1 gene:Ma03_g27870 transcript:Ma03_t27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSLATQVSGLRREVLSRESAPPTALFLRSVHSRLRLPSIRRPHRGVVAMAGTGKFFVGGNWKCNGTKDSITKLVADLNDAKLENDVDIVVAPPYIYADQVKQSLTDRIEISAQNCWVGKGGAFTGEISAEQLIDIGCKWVILGHSERRHIIGEDDQFIGKKAAFALSQNLKVIACIGEKLEEREAGKTFDVCFQQMKAFADSISNWMDVVIAYEPVWAIGTGKVATPQQAQEVHAALRDWLKKNVSAEVASFTRIIYGGSVNGSNCSELAKQEDIDGFLVGGASLKGPEFAIIVNSVTSKKVAA >Ma06_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4460024:4477219:1 gene:Ma06_g06070 transcript:Ma06_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPREEEGSRATEEKESENYVEEEAGGDDEDEAQEDAPSHLPLAPCSEILDIPTTVDPSYIISLIRRLLPHNSREGTQSQVTENSATSVMGCEMKRELRNEERSVVLDDRQSLTEDTKDPWEDCGCVLWDLAASKSNAELMVNNLILEVLLTNLHVTDSFRVVEICLGIIGNLACHENLSNAIVSTNGLVETIVDQLFRDDSACLSEAFRLLAVSLQTSNFISFAEALQPEQILSRILWIIGNTLNSTLLEKSIDFLLAIIYNQEVRVILLQPLVKLGLPNLIVSLLASETNKSTEVNQPERFFIVDLILRLVEALSTADNYSDVISSNGDLFRLVCEVVKLPDKFEVASLCVSAVIIIANTLADEQHLILGILNDFQFLQGLFDVLPFVSDDSQARNAFWCILARLFQQVDENVSTTSNLHQFVLLLLDKSFLIEEDLDSHLVDNPGDNSGSCVVEGKLYAVTTCLKRIARILEKWNKDGAANLEKDDSWDGNHGGKARKLLSYCLKYSS >Ma04_p12680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9563447:9579926:-1 gene:Ma04_g12680 transcript:Ma04_t12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSSSGRWRHLHPGYYMKRPAEVSRLYDELNELQHQLREVGGHLGDGTEILSGTKEEMAKNNNVWKAEIDLVNSQRREKVKEAMLHAWNSYVKYAWGQDELQPQSMNGVNSFGGLGATLVDSLDTLYIMGLEDEFQKAREWIATSLDFNKDYEASVFETTIRVVGGLLSAYDLSGDKIFLEKARDIADRLLPAWDTPTGIPYNRINLAHGHAHNFGWTGGQSILADSGTEQLEFIALSQRTGDPRYQQKAEKVITQLQKTYPSDGLLPIYINPHSGVAASSSSITFGAMGDSYYEYLLKVWIQGNKTGTVKHYREMWEISMEGLLSLIRKTTQSSLSYICEKTGDSLSNKMDELACFVPGMLVLGSSDYDPEKAEKFLSLAKELAWTCYNFYQSTPTKLAGENYYFNGGEDMNVGTSWNILRPETVESLMYLWRFTGNKTYQDWGWNIFQAFEKNCRIDSGYVGLRDVKTGEKDNMMQSFFLAETLKYLYLLFSPPSLISFDEWVFNTEAHPLRIVTRKDGQDTEPTRISVAKPLGRKQGNEEQN >Ma04_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9563447:9579299:-1 gene:Ma04_g12680 transcript:Ma04_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNNVWKAEIDLVNSQRREKVKEAMLHAWNSYVKYAWGQDELQPQSMNGVNSFGGLGATLVDSLDTLYIMGLEDEFQKAREWIATSLDFNKDYEASVFETTIRVVGGLLSAYDLSGDKIFLEKARDIADRLLPAWDTPTGIPYNRINLAHGHAHNFGWTGGQSILADSGTEQLEFIALSQRTGDPRYQQKAEKVITQLQKTYPSDGLLPIYINPHSGVAASSSSITFGAMGDSYYEYLLKVWIQGNKTGTVKHYREMWEISMEGLLSLIRKTTQSSLSYICEKTGDSLSNKMDELACFVPGMLVLGSSDYDPEKAEKFLSLAKELAWTCYNFYQSTPTKLAGENYYFNGGEDMNVGTSWNILRPETVESLMYLWRFTGNKTYQDWGWNIFQAFEKNCRIDSGYVGLRDVKTGEKDNMMQSFFLAETLKYLYLLFSPPSLISFDEWVFNTEAHPLRIVTRKDGQDTEPTRISVAKPLGRKQGNEEQN >Ma04_p12680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9563447:9579926:-1 gene:Ma04_g12680 transcript:Ma04_t12680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSSSGRWRHLHPGYYMKRPVRFAAVLTAFVLTTFVVWDRRSLLRDHEAEVSRLYDELNELQHQLREVGGHLGDGTEILSGTKEEMAKNNNVWKAEIDLVNSQRREKVKEAMLHAWNSYVKYAWGQDELQPQSMNGVNSFGGLGATLVDSLDTLYIMGLEDEFQKAREWIATSLDFNKDYEASVFETTIRVVGGLLSAYDLSGDKIFLEKARDIADRLLPAWDTPTGIPYNRINLAHGHAHNFGWTGGQSILADSGTEQLEFIALSQRTGDPRYQQKAEKVITQLQKTYPSDGLLPIYINPHSGVAASSSSITFGAMGDSYYEYLLKVWIQGNKTGTVKHYREMWEISMEGLLSLIRKTTQSSLSYICEKTGDSLSNKMDELACFVPGMLVLGSSDYDPEKAEKFLSLAKELAWTCYNFYQSTPTKLAGENYYFNGGEDMNVGTSWNILRPETVESLMYLWRFTGNKTYQDWGWNIFQAFEKNCRIDSGYVGLRDVKTGEKDNMMQSFFLAETLKYLYLLFSPPSLISFDEWVFNTEAHPLRIVTRKDGQDTEPTRISVAKPLGRKQGNEEQN >Ma06_p02200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1730882:1735044:1 gene:Ma06_g02200 transcript:Ma06_t02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSLPPAPLFLPRHSSSSSPATWSPRHNSKRRSPRSCLVQEEPDRAVEPEREPPPRRVSTQLNRWSRARSIRSGRRIDWPALRKKTAPPPSPAPPPSSPPSEAGVRPAVAGEGTEGDGEDDESELMEGKAIYMVSDGTGWTAEHSVNAALGQFEHCLVDRICPVNTHLFSGVEDMDRLMEIIKQAAKEGALLLYTLADPDMAEYAKDACKVWGVPSADILRPITEAIATHLDVAPSGLPRGAAGRSAPLSAEYFKRIEAIDFTIKQDDGAKPQNLDHAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVDLPKTLFEINQEKIFGLTINPVILQTIRKARAKSLGFGSETRSNYSEMDHVRAELEYANKIFTQNPTWPVIEVTGKAIEETAAVVVRMYHDRRQKCLMPRISKRFVVLSGHMR >Ma06_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1730882:1734564:1 gene:Ma06_g02200 transcript:Ma06_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSLPPAPLFLPRHSSSSSPATWSPRHNSKRRSPRSCLVQEEPDRAVEPEREPPPRRVSTQLNRWSRARSIRSGRRIDWPALRKKTAPPPSPAPPPSSPPSEAGVRPAVAGEGTEGDGEDDESELMEGKAIYMVSDGTGWTAEHSVNAALGQFEHCLVDRICPVNTHLFSGVEDMDRLMEIIKQAAKEGALLLYTLADPDMAEYAKDACKVWGVPSADILRPITEAIATHLDVAPSGLPRGAAGRSAPLSAEYFKRIEAIDFTIKQDDGAKPQNLDHAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVDLPKTLFEINQEKIFGLTINPVILQTIRKARAKSLGFGSETRSNYSEMDHVRAELEYANKIFTQNPTWPVIEVTGKAIEETAAVVVRMYHDRRQKCLMPRISKRY >Ma04_p29920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30711165:30714365:-1 gene:Ma04_g29920 transcript:Ma04_t29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGEEEQDGLSVHSPGQAPPSSASSLPKEQSQVELELRVLEALEIYPPWRLQGIHRHFVLYGLMEYLRRSFDRHFSAEEVLQLLDRFYNLELLKPDDEEAEIFREDDFSLPQSFFIKDEQ >Ma01_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8322343:8323248:-1 gene:Ma01_g11490 transcript:Ma01_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRCGQRSHLLSRLGLEGAAVVVSSRKQRNVDEAAEKLMSKGIEVMGVVCHVSNPQHRHDLIEKTVQNMLWSVAS >Ma01_p07820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5653907:5656958:-1 gene:Ma01_g07820 transcript:Ma01_t07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVTSRNQRSKGFTMKNALQVCLLVVVCAWILYQIKHSHDKKKGYDERGSKVSYMRHPESNANFHRKELPFTGSSDAVTQTKIDGGTKDGDEDITQELKHKQVGNGDETNGRIEEPRVVDNQTYEDASHKAREKSFTGDDASSEVVPTTPEVENQVASHAAEKGSLKDDVVSSSVDHVTESEDVGIRNLDENLMESVKKKDEEAKNEASESLDRDLEGSDSGASEQLDFSEANNPDVGLKGNQTWPSESEIRIPTPENRFQENTTRDEEPSTHIGQHPVLTTASAIDDQAELRPSSNNQMNLTTTKSASVSHNKSDLTRADPKEVNGATNWLHSVQGQNATTETGNIWEKSSFEYGQTNESEKSKTTNRPEEQERSSKTFSTPDGMAKTVSVESADTLLGMIMQEQEKAKSGNQDAAE >Ma01_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5653907:5656323:-1 gene:Ma01_g07820 transcript:Ma01_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVTSRNQRSKGFTMKNALQVCLLVVVCAWILYQIKHSHDKKKGYDERGSKVSYMRHPESNANFHRKELPFTGSSDAVTQTKIDGGTKDGDEDITQELKHKQVGNGDETNGRIEEPRVVDNQTYEDASHKAREKSFTGDDASSEVVPTTPEVENQVASHAAEKGSLKDDVVSSSVDHVTESEDVGIRNLDENLMESVKKKDEEAKNEASESLDRDLEGSDSGASEQLDFSEANNPDVGLKGNQTWPSESEIRIPTPENRFQENTTRDEEPSTHIGQHPVLTTASAIDDQAELRPSSNNQMNLTTTKSASVSHNKSDLTRADPKEVNGATNWLHSVQGQNATTETGNIWEKSSFEYGQTNESEKSKTTNRPEEQERSSKTFSTPDGMAKTVSVESADTLLGMIMQEQEKAKSGNQDAAE >Ma07_p11050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8204399:8213506:1 gene:Ma07_g11050 transcript:Ma07_t11050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTGAGGEKRWWWNRNVIMVLWVMLSVGFCIGLHCHMRTESMRKAEETLTSMCEERARMLQEQFAVSVNHVHALAILVSTFHYQKSPPALDQETFADYTASTAFERPLLNGVAYAQRVVHAERQLFENQQGWMIKTMKRDPSPVQDEYAPVIYSQETVSYIEALDMMSGEEDRENILRARATGKAVLTRPFRLLESNHLGVVLTFPVYLLDLPDDATAEERVRATAGYLGGAFDVESLVENLLRQLAGNQEIMVNVYDVTNASEPLIMYGTNPPDDHMLLSHVSMLDFGDPFRKHQMKCRYREKPPVSLSSITTPSGIFVICMLVGYIGYAAWSHYDNVKEDFRKMEELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTNLNLTQKDYAQTAQVCGRALISLINEVLDRAKIEAGKLEIESVPFDLRSILDDVISLFSAKSREKGIELAVYVSERVPSILMGDPGRFRQIITNLVGNSVKFTERGHVFVQVHLVEHVNMAMNATAETGLNGHVNEVNKKSATTVFNTLSGLEVADSRNTCESFKLLLSHGASLSCTFGGGSVPESIADKVTLMVSVEDTGIGIPVHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISQPHVGSTFMFTAVLQKCGKSAGVDTKRSLSEVLPTCFRGMRVTLVDRRAVRAAVTKYHLQRLGIIIEDVSTVNEVLCALSGQNDCLNSSQPGKQPSILLIEKDSWDPKVDVHIQNQLLEYKEAGRIQVVPKVVLLLTIESDKTRAGSHVDAIIVKPLRASTIATCFQQMLGMGKCQNKDTLSGSTSLRGLLDGKNILVVDDNKVNLRVAAAALKKYGAKVVCVESGKSALSLLQPPHKFDACFMDVQMPEMDGFEATRQIRLMENKANEEAPLEEGSVKAKWRLPILAMTADVIQATHEECVKCGMDGYVSKPFEEAQLYQAVARFVVSQPIPDS >Ma07_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8204399:8213506:1 gene:Ma07_g11050 transcript:Ma07_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTGAGGEKRWWWNRNVIMVLWVMLSVGFCIGLHCHMRTESMRKAEETLTSMCEERARMLQEQFAVSVNHVHALAILVSTFHYQKSPPALDQETFADYTASTAFERPLLNGVAYAQRVVHAERQLFENQQGWMIKTMKRDPSPVQDEYAPVIYSQETVSYIEALDMMSGEEDRENILRARATGKAVLTRPFRLLESNHLGVVLTFPVYLLDLPDDATAEERVRATAGYLGGAFDVESLVENLLRQLAGNQEIMVNVYDVTNASEPLIMYGTNPPDDHMLLSHVSMLDFGDPFRKHQMKCRYREKPPVSLSSITTPSGIFVICMLVGYIGYAAWSHYDNVKEDFRKMEELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTNLNLTQKDYAQTAQVCGRALISLINEVLDRAKIEAGKLEIESVPFDLRSILDDVISLFSAKSREKGIELAVYVSERVPSILMGDPGRFRQIITNLVGNSVKFTERGHVFVQVHLVEHVNMAMNATAETGLNGHVNEVNKKSATTVFNTLSGLEVADSRNTCESFKLLLSHGASLSCTFGGGSVPESIADKVTLMVSVEDTGIGIPVHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLVELMGGKINFISQPHVGSTFMFTAVLQKCGKSAGVDTKRSLSEVLPTCFRGMRVTLVDRRAVRAAVTKYHLQRLGIIIEDVSTVNEVLCALSGQNDCLNSSQPGKQPSILLIEKDSWDPKVDVHIQNQLLEYKEAGRIQVVPKVVLLLTIESDKTRAGSHVDAIIVKPLRASTIATCFQQMLGMGKCQNKDTLSGSTSLRGLLDGKNILVVDDNKVNLRVAAAALKKYGAKVVCVESGKSALSLLQPPHKFDACFMDVQMPEMDGFEATRQIRLMENKANEEAPLEEGSVKAKWRLPILAMTADVIQATHEECVKCGMDGYVSKPFEEAQLYQAVARFVVSQPIPDS >Ma04_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3772251:3773337:1 gene:Ma04_g04960 transcript:Ma04_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYGKPQAVCPQRVMHCLVEKGVPFEFVLVDIDAMEQKRPEYMEKHPFGQVPFIADGDFELFESRAIVRYFAAKYADRGPNLLGRTAEERAKVEQWLDVEAISYSPCAFTIVFNLFLLPIRGLPGNKGEAVAAMEKLDKVLEVYEKQLSRTKYLAGDEFTLADLTHIPVTRYIVEHCGLAYLLDDKPHAKAWWEDVSRRPAWKKVMSFVASGASFYSP >Ma04_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3865265:3866400:1 gene:Ma04_g05130 transcript:Ma04_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWPTSSMEQVWQDISLSSSLREEDVPSTPSPSLYGASTAAASCSFGGNLKETSDRILPAPLSLSFGCLDSSIDGVGSGSVVDWCPKKHAMEQRWNGSFSSGTDVDRRKKRMIKNRESAARSRARKQAYTIELEQAVDHLLYENRFLKRQCEELKRATVHQLPVATKSSTLQRTLTAPF >Ma10_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25383535:25384166:1 gene:Ma10_g12140 transcript:Ma10_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTLKAQVLILCSIAYLRENCRKFIRLLPRASPYQMWSRSFSSENGNLVDAVVPFMGESIND >Ma08_p32040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42770386:42771643:1 gene:Ma08_g32040 transcript:Ma08_t32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIALANPVYEAARNADAGGSLWHSMSDEELLRRASAVPRAVESRRKAKVAFMFLTRGRIPFRVLWERFFRGHDGLFSVYIHASPDLEEEPPEESVFYRRRIPSKPVEWGRPSMVDAERRLLANALLDASNERFVLLSESCIPLFDFPTIYNYLIGSALSFVSSFDDTGKAGRGRYSRRMHPTVTLAEWRKGSQWFEVQRGLAVGIVSDRRYYPVFREHCRPPCYVDEHYLPTAVAKLAPGLNANRSVTWVDWSRGGSHPATYKRRDVSLGLMERMRSGSKCVYNNRTTTSSCFLFARKFEATALGRLLLIADVTKRWNRH >Ma02_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17806001:17813985:1 gene:Ma02_g06960 transcript:Ma02_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRCWLVSLGRDGRIFGGDRSPAQKALIGWLPTLAPQRIGNQSQSCCVTQSVSVSLSLSRSSSWPLRRIAYCPLTPRWISDRFFVGRTGTTSGSLDLLDRWFLIHYHLLIGDHRTQFSWHPRPRSSSRASMSLVVGPLFMSGTGFS >Ma08_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10834596:10845257:1 gene:Ma08_g13720 transcript:Ma08_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1A [Source:Projected from Arabidopsis thaliana (AT1G76400) UniProtKB/Swiss-Prot;Acc:Q9SFX3] MAMVSRFAFFLLWISVLSSTTHSELVISRIDRRVDLSSHIVRALFSMKVENVGSKVVSEVLLAFPNMQAKNLAAIKTLYNEGRGKVKASSVSLPTAVVEPEGMPPELTFYSVSLPKGLEKGGTVSLDVLAVFAHSLQPFPEEITQADGQLVVYQDSAYYLSPYTVKVQTLGIRLPGGRVESYTKFPNAKLVDSEITYGPFENLPAFSYSPVIVHFENNYPFAVAHELVREIEISHWGNVQITEHYNLVHGGAKTKGGFSRLDYQARSSVRGASSFSHLIFRLPPRAHSIYYRDEIGNISTSHFWGDSRKTQLEIEPRFPIFGGWKTSFTIGYGLPLQDFLFKVDGKRMLNITFGSPMDEVIVDNLIVKVVLPEGSNGISASVPFPTKQGEEIKYSHLDIVGRPVLVLEKSNVVPEHNLYFQVYYHFNNLSLLGEPMMLISGFFLLFVACIVYMHTDMSISKSSASYLAKIQLEEVQATVQQIQNIINQCLAVHDKLDASLRDLSRTGDVQSCKIARKTADAQLKELVKELKPLVTFLHHSPQASHIWPKVEELVAKEKDMQEKMFLRHSTVVDCFEKKLGGREIENRVASQQQKLAALRQEVDDLLEFLDEI >Ma06_p29540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30897696:30898301:-1 gene:Ma06_g29540 transcript:Ma06_t29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSVEKVNGCLMIYLAKTSRGKTYTMWGPPNALLEDAWYRTNRGLTLCAYERLFSCIDEEQLKHSDKQLNFQSYCSFLEAIVLTLLDIPSISSFIKVDVSTGICVDYLTEEFVRMMM >Ma04_p32540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32456468:32462570:1 gene:Ma04_g32540 transcript:Ma04_t32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFTTLIVDMVKQAGLFATQGGPIILAQIENEFGNVETSYGDAGPRYVNWCSQMADSLSIDVPWIMCQQADAPQPMINTCNGFSGCDAFTPNNENSPKIWTENWTGWFKNWGSPDPHRPAEELAFQVARFFQTKGTLQNYYMYHGGTNFGRTSGGPYIVTSYDYDAPLDEYGYTRQPKWGHLKELHASIKLMEKALTYGEVEEVNLDNELTITKYSGDGVNPACFLSNQNSNLNATIDYEGSTYFLPAWSVSILPDCKSEVYNTAKVKTQTSLMVKKRNTAMEASEVLYWSWRPERLGISAKGFGSTFTVNNLLEQKSVTLDESDYLWYTTSVDVGEKEEFTLSVNTTGHILHAFVNDRLVGSQYGLAGQLNFTFERKVWFNPGRNVISLLSATVGLQNYGAYYDLAPTGIVGGPVKLIGENTTLDLSNYTWSYKIGLDGELDQRAVKWHSGMFPTNRPFTWYKATFQAPLGSEAVVVDLLGMGKGTAWVNGNSIGRFWPNYTASADGCHECDYRGTFSSNKCQTGCGEPSQRWYHVPRSFLKLGEPNTLMLFEEAGGNPLQVNFQTVTVGAACASASEGDTLSLSCLRGRTISSVDFASFEEPEGTCGAFEGGKGCSSDEAFAVIKDSCLGKESCSIEITEEFGKSCGTLPSPRKLAVQVTC >Ma09_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1011440:1014156:-1 gene:Ma09_g01380 transcript:Ma09_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSGDVLKDYGNGGTCNCLSGRPSLGEDLHRRRRSQYEPFKARSLRLEVEELIDGGNGGGGNAAMEELLGGLLTIGTLGSGTGPIEDKEEEEEEEEENEAGSEDDEKQLTVSAQEEEVVVTPAALEAIAEKEAESTTETDLLVVSAELEKVLTAEAEKGGGRISSARSSYAGSAACPLQGFLFGSPIEVAETMATAADARKERRASLGELFMISRITEEEGGGGSGTAEQVKFAGGHDEDGKSTAEICLMKKKMTKRRGGKGSDGGGPSNGSTVETKFQKILQFFHKKVHPESSIMSKKPSKTGKNEKKEYAHPVGGSEPAGTDGCTAASAKVGPCRKEHIPDLNFCYNPPAHAFGGDDSNGSREHWIKTDADYLVLEL >Ma11_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23593052:23600839:-1 gene:Ma11_g18570 transcript:Ma11_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLAMSIASPATPVPAVRRNFALRLRRASHVCCLGSSSSSSASPSSTHSKSHGESITKIAADPGGNVVVRIAPASESTIERVIFDFRFLALLAVGGSLAGSVLCFLNGCVYIVDAYKVYWSSCVKGVHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNVPPGIPSGIDRALKGSSLFGMFALKERPKWMQISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGQDLLSYSICIFLSSASLYILHNLHRPE >Ma02_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20519591:20519889:1 gene:Ma02_g11280 transcript:Ma02_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSPPSSPALLLDDDMVSECGLEEIDTAGTGFKREACDPPQSAVLACPKNPLRRHEGWNANASDH >Ma05_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29294061:29296658:-1 gene:Ma05_g19820 transcript:Ma05_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g15340, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G15340) UniProtKB/Swiss-Prot;Acc:Q9LXE8] MLIIDGGEGALFLELVPCYRSLLRSCAQGRTHPCAGYGLHGAAIKSGLLLLLSAPLFHMYAALRPSPATPILLHAFADVPRSARSAPEWTALISALPPSSLSLLLFRSMLRDAVAPDTVTLLALLSASARLANPVAGASGHLLFLKLGTPFSIPARNAALHMYATCGRMPDARRLFLEMPQPPTVVAWTALLAGALRWEGLSSGQEIFDKMPHKNEVSWTVMVSACIESGLPKEALSLLAQMLFSGDDYYLAIRNLNHITLCSLLSACSQAGDLRVGRWIHAHFTKAGGILDGKDNDLVKVGTALVDMYSKSGKVDLAHRAFEMMPRKTIVTWNAMLSGLSMHGMAAEVLTLFNRMVVHEAQQPDDITFVNILTACSRSGFVDQGRKIFHDLYPVYSLKPKLEHFSCMVDLLGRAGQLEEAEALVRKMPFQPNVVILGSLLASCVLHRRLELGQHLMDELVQIDPYNTEYHMLLSNMYTSSGRHAKADNLRMTVKKNGRRRYPGISYIEIDGHVHCFSAGDRSHPRTEELYMMLDEVVQRLQSAGYIPDAASQVSCVPDNYLENGDGQEEREQVLLAHSERLAICYGLISTKPGMPLLIFKNLRICTDCHVAIKLIADIYNRVITIRDRNRFHCFKEGACSCSDYW >Ma00_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1791125:1796085:1 gene:Ma00_g00620 transcript:Ma00_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDIDGILKELPNDGRVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETIDNLRIAMQNTQILCAVMLDTKGPEIRTGFLKDGKPIKLKEGQEVTVTTDYSIKGDENMISMSYKKLPVDVKPGNTILCADGTITLTVLSCDPDAGTVRCRCQNTATLGERKNVNLPGVVVDLPTLTEKDKEDIMGWGVPNNIDMIAVSFVRKGSDLVTVRQFLGPYARHIKLMSKVENQEGVINFDEILKETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLLGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICVEAESSLDYEAIFKEMIRSTPLPMSPLESLASSAVQTANKAKAQLIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWSVSDESPARQSLIYRGLIPLLAEGSAKATDSESTEVILHAALKSAVERQLCKPGDSVVALHRIGVASVIKICIVK >Ma05_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36391165:36391344:-1 gene:Ma05_g24130 transcript:Ma05_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRSTLMLAKEQKGYQTFTTKKRFYYTGFWSLLLLVYVMTFRKHPLISDPSSRCMCI >Ma02_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26349584:26355420:1 gene:Ma02_g20340 transcript:Ma02_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLAAALPAVSRVAVIGAGAAGLVAARELRREGLRVVVFERDAAIGGTWVYTPATESDPLGLDPGRDIVLSSLYESLRTNLPRECMGFLDFPFSSRRAPDGGDARRFPGHREVLRYLQDFARDFDLYGLIRFRREVERVERDHDGRWQVDSRSVDEAGGDGGDESEVFDGVVVCNGHFTEPRIAEIPGIDVWPGKQLHSHNYRVPEPFTDQVVLIIGSAASAVDISRDIVRYAKEVHISNRSLPDEPPRKQLGHDNMWLHSMIASTHSDGTVVFRDGSSVQVDVIIHCTGYNYHFPFLKTNGIITVDDNCVGPLYKHVFPPSHAPSLAFIGIPWKVAPFLMFELQSKWVAGALSGRIALPTKEEMLEDVKAWYSELESAGWPKRYTHNMSNKQFEYHDWLAKQCELPPVVEWRNLMYEAAGKNREARPESYRDEWDDDHLISQAEEDFTKFLCHSSISSIY >Ma09_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6069363:6077425:-1 gene:Ma09_g09140 transcript:Ma09_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGPLVDIGSAAETVAAVEEEEVASVAPLHQIESLCMRCGENGTTRLLLTRIPHFREIVLMAFECPHCNERNNEVQFAGELQPRGCCYRLEVPAGRSEILNRQVVKSDSATIRVPELDFEIPPESQRGRLSTVEGILVCAADELESLQEERKKVDPVTAEAIDEFLTKLRSLVSGNAAFTFILDDPAGNSFIENPLAPSLDPLLSIKFYERTKEQQASLGFLVESSPEEEPERQVDRDALAEENNVNVVQREPHGSVGALAGRRAIAQGKAEDVAAALCRYSAPEEVDTLPSTCGACAAGCVTRFYSTKIPYFREVVVMATTCDMCGYRSSELKAGGEIPEKGKRITVYVQNIEDLSRDVIKSDMASVKVPELDLELASGTLGGIVTTVEGLITKISENLERVHGFSLGDSSDDWKRKKWLDFKSSLAKLTSLEEPWTLIIDDALASSFVSFTTDSVEDDKRLVVEEYERTWEQNEELGLNDMDTSSADNAYSRTD >Ma03_p25820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29785442:29790186:1 gene:Ma03_g25820 transcript:Ma03_t25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVAFAADSPPLSVIAAARVAGVALSTDPSLPSGSDPVLRLASGETLQGTNSLLRYIGQFAALPNFFGQDAFEEEQINKWLEFSSTFLSGSEFENACAHVDGHLTFRTLLVGFNLSIADIAIWSALAGTGQRWESLRKSKRYQNLVRWFNSILTEHGDALNEVTAAYVGKRGLGKFPVGNTKGIVSSGSSKETNVRSLPKETAGGFEVDLPGAKVGDVCLRFAPEPSGYLHIGHSKAALLNKYFAERYQGRLIIRFDDTNPSKESNEFVDNVLKDIETMGIKSEKVTYTSDYFEDLMKMAEKLILEGKAYVDDTPREQMQKERMDGIESRCRNNSVDVNLALWKEMIAGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNLVSHHRIGSKYKVYPTYDFACPYVDSIEGITHALRSSEYHDRNAQYYRILEDMGLRNVQIYEFSRLNMVYTLLSKRKLLWFVQNGKVDGWDDPRFPTIQGIVRRGLKVEALVQFILQQGASKNLNLMEWDKLWTINKKIIDPICPRHTAVLEERRVILILENGPEKPFVRIVPRHKKYEPAGQKATTYTKRIWLDYADASSITEGEEVTLMDWGNAIVKEIKKEDGIITQLVGVLHPEGSVKATKLKLTWLPEIEELVHLALVEFDYLIKKKKLEEGEDFLDNLNPCTRWEIPALGDANMRNLKQGEVIQLERKGYYRCDVPFLRPSKPIVLFAIPDGRQQAS >Ma05_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11702157:11704419:1 gene:Ma05_g15540 transcript:Ma05_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSCIRLSNHVTATHPRKFRRSTTGCRNFLSPSVAVPKELSRKNDAPHTPPPPPPTPRPPKAPAEHPTPISTVAPFNPVQIVVARTIDAIESGIIVELEKRRPLPRSADPAVQISGNFAPVPESLPEYGIEVVGRIPPDLHGVYLRNGANPMFTPTGGHHLFDGDGMIHAVTLSGPTEASYACRFTRTSRLSQEAAIGRAVFPKAIGELHGHTGIARLAFFGLRAAAGIVDLTKGSGVANAGLTYFGGRLLAMSENDLPYHVRLTPDGDLETAGRFDFSGQLNSTMIAHPKIDPLTGELFALSYDVARKPYLKYFHVDSKSGKKSADVAINLKQPTMIHDFAITENYAIIPDQQVVFDLKQMLHGRSPVQCDGRKTPRFGVLPRYDDDVSRIQWIDVPGCFCFHLWNAWEEPSCGGDGRTVVIIGSCMSPPDAIFSDEDDSRPTRSVLSEIRLDLGTGQSSRREIANGMNLEAGQVNRDRLGRRTRFAYLAMAEPWPRCSGVAKVDLETGEVRRFEYGHGRFGGEPTFIPARRGSEEDDGHVVGFVRDERRGESELVIVNGSTMELEATVRMPSRVPYGFHGTFVRADELRGQK >Ma02_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20348630:20361440:1 gene:Ma02_g10960 transcript:Ma02_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLLGFRGGSAASSPSSSTGKEMEPSPTSDPRGPSSSVAVASPEPGRPLRLVYCDDKGKFRMDPEAVAALQLVKGPIGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSTPLKRTALDGTEYNLLLLDSEGIDAYDQTGSYSTKIFSLAVLLSSLFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTVSELGQFSPVFVWLLRDFYLDLVEDNRKITPREYLELALRSVQGGGRDISAKNEIRESIRTLFPDRECFTLVRPLNNENDLQRLDQIPLDRLRPEFRSGLDALLKYIFMRTRPKQVGATVMTGPILAGITQSFLDAINSGAVPTISSSWQSVEEAECRKAYDSAAEIYMSSFDRSKPPDETVLRETHQEAVEKALNAFNSGAIGSGLARQNYEKLLQNFFKKAFEDYKRTALLEADLHCSKVIQGMETKLRAACHAPDAKLDDVIQLLGSLLVGFESSTHGPGKWKKLAAILQQSLQGPILDLFRRQLNCVESERNSLKSRCSLSEDKLDLLKKQLEANEKHRSEYLKRYEEAISDKEKISKDYTGRIADLQSKYSKLEERCLSLSNALELARRESSDWKNKYNGSSIELKAEEDKFKAQVAALQARIGAAEGRLTAVREQAASAQEEALEWKRKYDVAVGDAKTALERAAVAQERTNKKVQAREDTLRAEFAEQLAKKDEEIRNLTAKIDHSEKQANTLVLRTEAAEAELKSRESESSVLKEEIRHLLENLESVKTMAQSHERQVKILEQEKNHLQEKYLTECKKFDETDKRCKDAERDARKATELADVAHAEVVAAQKEKSEFQRLAMERLALIEKAERQVENLERDRNKLIDEIEGLRQSEIYAIDKAALLESRVQEREKEIEEMLSQSNEQRSNTVQVLESLLATERAARAEANNRAESLSLQLQVTQGKLDSLQQELTSVRLNETALDTKLRNSRGKRPRVDDNIGTESVHDMDIDEEVAKGRKRSKSTTSPFNYARSEDGGSIFRGEEDNNPSQGNQESETEDYKRFTVVKLKQELTKHGFGAQLLELRNPNKKDILALYEKHVIHK >Ma11_p06540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5305646:5317703:1 gene:Ma11_g06540 transcript:Ma11_t06540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLMKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSEVAAAYIEAQQIKAREHQQQLQMQQLQLMQQRHAQLQRNNPTHPSLMSPVNAVNSDGILGPSTASVLAAKMYEERMKHPHSMDTETSSQLLDPNRMALPKSATTHAGQLIQGNPGSISATLQQIQARNQQTADIKMEGNLNMPQRTLPMDPSSIYGQGLIQSKSALNVAGLNQGVSGFPLKGWPLTGIDQLRPNLGPQVQKPVISAPAQFQLMSPQQQQQFLAQAQVQGNLGSSSSSGDMDPQRFRTLPRGSLSGKEGQPTGTDGSIGSLIQSTSPKIRQDQAEYIMKMQQSSSQKPQEHLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTLGHSPNSPSSTPFTHTIGDRVGMAGNLHHVSSMPKSSMMYGTDGPGLASSSNQMDDLENFGDVASLEDNVESFLSHVDGDARDIFAALKKGPSDQNQGSSKGFTFTEVNCICTSNSKVVCCHFSSDGKFLASAGHEMKAVLWNMDTYKTESTPEEHSLIITDVRFMPNSTRLATSSFDRHVKLWDATQPNYCLHTFSGHNSQVTSLDFHPKKTDLMCSCDGNGEIRLWDLSQYTLSRVSKGGTVQVRFQPNVGQLLAAAAENVVSIFDVETDRKKHTWQLHKKDVQSVCWDNTGELLASVSQDCVKVWSLAKGECIHELSSNGNKFHSCIFHPSYANLLVIGGYQTLVLWNMGENQTMSVQAHDGLIAALAESPAAGMVASASHDKSVKLWK >Ma11_p06540.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5305658:5317703:1 gene:Ma11_g06540 transcript:Ma11_t06540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLMKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSEVAAAYIEAQQIKAREHQQQLQMQQLQLMQQRHAQLQRNNPTHPSLMSPVNAVNSDGILGPSTASVLAAKMYEERMKHPHSMDTETSSQLLDPNRMALPKSATTHAGQLIQGNPGSISATLQQIQARNQQTADIKMEGNLNMPQRTLPMDPSSIYGQGLIQSKSALNVAGLNQGVSGFPLKGWPLTGIDQLRPNLGPQVQKPVISAPAQFQLMSPQQQQQFLAQAQVQGNLGSSSSSGDMDPQRFRTLPRGSLSGKEGQPTGTDGSIGSLIQSTSPKIRQDQAEYIMKIVQMQQSSSQKPQEHLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTLGHSPNSPSSTPFTHTIGDRVGMAGNLHHVSSMPKSSMMYGTDGPGLASSSNQMDDLENFGDVASLEDNVESFLSHVDGDARDIFAALKKGPSDQNQGSSKGFTFTEVNCICTSNSKVVCCHFSSDGKFLASAGHEMKAVLWNMDTYKTESTPEEHSLIITDVRFMPNSTRLATSSFDRHVKLWDATQPNYCLHTFSGHNSQVTSLDFHPKKTDLMCSCDGNGEIRLWDLSQYTLSRVSKGGTVQVRFQPNVGQLLAAAAENVVSIFDVETDRKKHTWQLHKKDVQSVCWDNTGELLASVSQDCVKVWSLAKGECIHELSSNGNKFHSCIFHPSYANLLVIGGYQTLVLWNMGENQTMSVQAHDGLIAALAESPAAGMVASASHDKSVKLWK >Ma11_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5305658:5317703:1 gene:Ma11_g06540 transcript:Ma11_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLMKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSEVAAAYIEAQQIKAREHQQQLQMQQLQLMQQRHAQLQRNNPTHPSLMSPVNAVNSDGILGPSTASVLAAKMYEERMKHPHSMDTETSSQLLDPNRMALPKSATTHAGQLIQGNPGSISATLQQIQARNQQTADIKMEGNLNMPQRTLPMDPSSIYGQGLIQSKSALNVAGLNQGVSGFPLKGWPLTGIDQLRPNLGPQVQKPVISAPAQFQLMSPQQQQQFLAQAQVQGNLGSSSSSGDMDPQRFRTLPRGSLSGKEGQPTGTDGSIGSLIQSTSPKIRQDQAEYIMKIVQMQQSSSQKPQEHLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTLGHSPNSPSSTPFTHTIGDRVGMAGNLHHVSSMPKSSMMYGTDGPGLASSSNQMDDLENFGDVASLEDNVESFLSHVDGDARDIFAALKKGPSDQNQGSSKGFTFTEVNCICTSNSKVVCCHFSSDGKFLASAGHEMKAVLWNMDTYKTESTPEEHSLIITDVRFMPNSTRLATSSFDRHVKLWDATQPNYCLHTFSGHNSQVTSLDFHPKKTDLMCSCDGNGEIRLWDLSQYTLSRVSKGGTVQVRFQPNVGQLLAAAAENVVSIFDVETDRKKHTWQLHKKDVQSVCWDNTGELLASVSQDCVKVWSLAKGECIHELSSNGNKFHSCIFHPSYANLLVIGGYQTLVLWNMGENQTMSVQAHDGLIAALAESPAAGMVASASHDKSVKLWK >Ma11_p06540.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5305646:5317703:1 gene:Ma11_g06540 transcript:Ma11_t06540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLMKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSEVAAAYIEAQQIKAREHQQQLQMQQLQLMQQRHAQLQRNNPTHPSLMSPVNAVNSDGILGPSTASVLAAKMYEERMKHPHSMDTETSSQLLDPNRMALPKSATTHAGQLIQGNPGSISATLQQIQARNQQTADIKMEGNLNMPQRTLPMDPSSIYGQGLIQSKSALNVAGLNQGVSGFPLKGWPLTGIDQLRPNLGPQVQKPVISAPAQFQLMSPQQQQQFLAQAQVQGNLGSSSSSGDMDPQRFRTLPRGSLSGKEGQPTGTDGSIGSLIQSTSPKIRQDQAEYIMKIVQMQQSSSQKPQEHLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTLGHSPNSPSSTPFTHTIGDRVGMAGNLHHVSSMPKSSMMYGTDGPGLASSSNQMDDLENFGDVASLEDNVESFLSHVDGDARDIFAALKKGPSDQNQGSSKGFTFTEVNCICTSNSKVVCCHFSSDGKFLASAGHEMKAVLWNMDTYKTESTPEEHSLIITDVRFMPNSTRLATSSFDRHVKLWDATQPNYCLHTFSGHNSQVTSLDFHPKKTDLMCSCDGNGEIRLWDLSQYTLSRVSKGGTVQVRFQPNVGQLLAAAAENVVSIFDVETDRKKHTWQLHKKDVQSVCWDNTGELLASVSQDCVKVWSLAKGECIHELSSNGNKFHSCIFHPSYANLLVIGGYQTLVLWNMGENQTMSVQAHDGLIAALAESPAAGMVASASHDKSVKLWK >Ma11_p06540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5305646:5317703:1 gene:Ma11_g06540 transcript:Ma11_t06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLMKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSEVAAAYIEAQQIKAREHQQQLQMQQLQLMQQRHAQLQRNNPTHPSLMSPVNAVNSDGILGPSTASVLAAKMYEERMKHPHSMDTETSSQLLDPNRMALPKSATTHAGQLIQGNPGSISATLQQIQARNQQTADIKMEGNLNMPQRTLPMDPSSIYGQGLIQSKSALNVAGLNQGVSGFPLKGWPLTGIDQLRPNLGPQVQKPVISAPAQFQLMSPQQQQQFLAQAQVQGNLGSSSSSGDMDPQRFRTLPRGSLSGKEGQPTGTDGSIGSLIQSTSPKIRQDQAEYIMKMQQSSSQKPQEHLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTLGHSPNSPSSTPFTHTIGDRVGMAGNLHHVSSMPKSSMMYGTDGPGLASSSNQMDDLENFGDVASLEDNVESFLSHVDGDARDIFAALKKGPSDQNQGSSKGFTFTEVNCICTSNSKVVCCHFSSDGKFLASAGHEMKAVLWNMDTYKTESTPEEHSLIITDVRFMPNSTRLATSSFDRHVKLWDATQPNYCLHTFSGHNSQVTSLDFHPKKTDLMCSCDGNGEIRLWDLSQYTLSRVSKGGTVQVRFQPNVGQLLAAAAENVVSIFDVETDRKKHTWQLHKKDVQSVCWDNTGELLASVSQDCVKVWSLAKGECIHELSSNGNKFHSCIFHPSYANLLVIGGYQTLVLWNMGENQTMSVQAHDGLIAALAESPAAGMVASASHDKSVKLWK >Ma11_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23325941:23330705:1 gene:Ma11_g18220 transcript:Ma11_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEAIGFCRAGVGMYETRHRERSAERALTVGNGYVEIDPTCRYGRFDEVLGKGATKTVYKAFDELNGTEVAWNQAKICNVLQSPDVLQRMYSEVHLLSSLHHESLIRFHTSWIDVENRTFNFITEMFSSGTLREYRKKYPRVDIRAIKSWARQILKGLVYLHGHDPPVIHRDIKCDNIFVNGHLGEVKIGDLGLAAVLKGSQSAHSVIGTPEFMAPELYEEEYNELVDIYSFGMCVLEMLTSEYPYSECFNPAQIYKKVTSGKLPDAFHRIKDPEAKRFIGRCLENVAKRSSAKELLLDPFLALDDHHVPMLPLKNIPVHVVKDMRSRGHDHLHLLEAGDVTCTARRTDMTITGKMNPEGDTIFLKVQIADREGQVRNIYFPFDVVSDTPMDVANEMVKELEITDREPSEIAGMIAQEIAVLVPDWKARGGHSDLHHVYNYADDAEDGCNHPFYNLSSPASSPGSAFGAGQYMGVLGLQQHPHQEGWLRGGLFSDDDDMSSTHSGKYSALNYTSGNEQESEMSSHHSESSHNATKFCADERHREDTSLANQMEKKCNVSSPEPSRKSRSRTKGVERPSENRRLSRNLSMVDMRSQLLHRNLVDQLKKRLFKTVGAIEDIGFQMPSDGSRRPPSSSSSRCDGRKHQQQGHARGRR >Ma06_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5884798:5893437:-1 gene:Ma06_g08300 transcript:Ma06_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AITKGLSSLFASSSSRLIPLLYLKAPPAPFSFNLRRGFSFTATPYPLYYELVRYRPARRRRPNAHEGPDHLPSTSPDDAAGSAAPERPAMDRSKRRYYRKRQRRMYGGSDSEDDGRGGADDGFVELKPEVIDFPRLHAREEELYFYDALAYPWEKEKHYRMVYQLEKKYFPQYSLDKAFIDPSAEPVPSASSEEGAEKKGRKSGKSKKEKDDREERDERALIFFEETEEQKEKPAARGVTEKKVEEFFKCYSKVPKAGDRQKAVATTDTKDQGEPHLVSRKTEFPPRWDGPSGTVVLVDKPKGWTSFTVCGKLRRLVKVQKVGHAGTLDPMATGLLIVCVGKATKLAERYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKVAASFLGEILQVPPMFSAIKVGGEKMYEKARRGEMIELSPRRISIYDFAIARSLDDRQNLIFRVICSKGTYIRSLCADFGKALGSCAHLTALRRDSIGEFSVDDAWSFEELQEHIVKGYF >Ma04_p39430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36595577:36598300:1 gene:Ma04_g39430 transcript:Ma04_t39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESSSKLVPGTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSRTIKVPEGFDYELYNRNDINRILGPKAACISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKEINALEQHIKNLLTPSTPHFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNQRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLRLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEEVIPFFQSAVLSKDCTTIQKCYIELSKQVREKLGKIDPYFNKLADAMVTWIEAWDELNPPKEAAGVPNGTVKGK >Ma01_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23989529:23990616:-1 gene:Ma01_g22210 transcript:Ma01_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGAQLHIFIILLEKNSYTYNFFFCVEHIKEFSPDGWWPYWPKLLSEAILAKVAFPRNQHATKEKADCNETGPTIRCSSEMDIFLYVVHIFVITV >Ma08_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1871952:1874401:-1 gene:Ma08_g02380 transcript:Ma08_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDSLPEEDKIRMSAMIDQLQIRDSLRMYNTLVERCFSDCVDTFRRKSLDKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAPTPD >Ma08_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34423314:34426408:1 gene:Ma08_g20320 transcript:Ma08_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDLVLGNPGLALGQSHDLVISEDHGLGLGQRHGLVLGHPHDHDLGLGQAHSHDLMLGHSHESQLVLGHHHHHHDHGHDDGEELALGHSHDPDQDALAAQNHDLGLSDNHELALADTHDLGVDHNLDQLSVDQEQDLALGLTQEMLQGQLVVPQVLQARAVIVNPDHQLSVGQEFGDVKSCRRAIRDAAIACHFEIQTVKSDKTRFTAKCSAEGCPWRIHAAKLPGVPNFTIRTIHETHTCNGINHLGHQQASVEWVANSVEERLRENPHYKPKEILEEIHRMHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPQYCEQIKRTNPGSIASVYRNYDDNCFQRLFISFYASIYGFVNACRPLIGLDKTVLKSKYLGTLLLATGFDGDGALFPLAFGVVDEASDENWIWFLSELHALLEANTENMPRLTILSDRQKGIVDGVDFNFPTAFHGFCMHHLSESFRKEFSNSVLVNLLWGAAHALIAIDFEAKILEIEEISPEAAFWIRRIPPRLWATSYFEGTRFGHLTANIVESLNNWILEASALPIIQMMEYIRRQLMTWFNERREASMQWTTILVPSAEQRVSDAIERARGYQVGRANEAEFEVVSSHEGSVIVDIRNRCCYCRGWQLDGLPCAHGVAALLSCRQNVHRYTESFFTVANYRKTYSQTIHPIPDKSLWKESSESNQNGENQIAIVVNPPKSLRPPGRPRKKRVRPEDHGRVKRIVHCSRCNQTGHFRTTCAAPV >Ma08_p20320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34423315:34426408:1 gene:Ma08_g20320 transcript:Ma08_t20320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDLVLGNPGLALGQSHDLVISEDHGLGLGQRHGLVLGHPHDHDLGLGQAHSHDLMLGHSHESQLVLGHHHHHHDHGHDDGEELALGHSHDPDQDALAAQNHDLGLSDNHELALADTHDLGVDHNLDQLSVDQEQDLALGLTQEMLQGQLVVPQVLQARAVIVNPDHQLSVGQEFGDVKSCRRAIRDAAIACHFEIQTVKSDKTRFTAKCSAEGCPWRIHAAKLPGVPNFTIRTIHETHTCNGINHLGHQQASVEWVANSVEERLRENPHYKPKEILEEIHRMHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPQYCEQIKRTNPGSIASVYRNYDDNCFQRLFISFYASIYGFVNACRPLIGLDKTVLKSKYLGTLLLATGFDGDGALFPLAFGVVDEASDENWIWFLSELHALLEANTENMPRLTILSDRQKGIVDGVDFNFPTAFHGFCMHHLSESFRKEFSNSVLVNLLWGAAHALIAIDFEAKILEIEEISPEAAFWIRRIPPRLWATSYFEGTRFGHLTANIVESLNNWILEASALPIIQMMEYIRRQLMTWFNERREASMQWTTILVPSAEQRVSDAIERARGYQVGRANEAEFEVVSSHEGSVIVDIRNRCCYCRGWQLDGLPCAHGVAALLSCRQNVHRYTESFFTVANYRKTYSQTIHPIPDKSLWKESSESNQNGENQIAIVVNPPKSLRPPGRPRKKRVRPEDHGRVKRIVHCSRCNQTGHFRTTCAAPV >Ma08_p30510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41739125:41753416:-1 gene:Ma08_g30510 transcript:Ma08_t30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MAFSTSDLLRSVQPAHRFDEAALLRYAAANVAGLPAAPAKFSVSQFGYGQSNPTFCLEVASHGSPGVVKRYVLRKKPPGALLESAHAVEREFQVLKALGVHTDVPVPKVFCLCNDTSIIGTSFYIMEYLEGRIFMDNKLPGLSPERRKVIYQATAKTLASLHKVDVDSIGLQKFGRRDNYCKRQVERWGKQYLASTGQGKPDRNPKMLDLVVWLRENIPAEDSLGASGTGLVHGDYRIDNLVFHPVKDQVIGILDWELSTLGNQMCDVAYSALLYIVDVALGECESYGLEFSGIPEGIPSLVEYLAVYCSAARRPWPVREWKFYMAFSLFRGASIYAGVYHRWTLGNASGGERARYAGKAANVLVDSAWAYITRESVLPDQPPLGILSQGATERLEDDHSLSMKEQGCSVPSQKVLELRKKLLKFMQDHVYQNESEFYRLSQSSQRWTVHPDEEKLKELAKQEGLWNLWIPLDSAARARKLLEEEKYFSTGASNSNLLGAGLSNLEYGYLCEIMGRSIWAPQIFNCGAPDTGNMEVLLRYGTKEQLIEWLIPLLEGKIRSGFAMTEPQVASSDATNIECSLTRQGDFYIINGKKWWTSGAMDPRCKVLIVMGKTDFSAPMHKQQSMILVDVNTPGVHIRRPLLVFGFDDAPHGHAEITFENVRVPVKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQMTVDRALRRRVFGKLIAEQGSFLSDLAKCRVELEQARLLVFEAADQLDRLGNKKARGTIAMAKVATPSMALKVLDFAMQVHGAAGLSSDTVLAHLWATSRTLRIADGPDEVHLGTIAKLELRRAKL >Ma05_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4027435:4028296:-1 gene:Ma05_g05270 transcript:Ma05_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFRKLHELLRYLDDAKYEFEARIVQKKWSYCCPIGSNSSQVQLERLRRSLPCCIPLFKGFLRFYGVIQESMVSRNNSSPKLASASDSSMPHSSIGVSDAACLSYKKTDDKTARPQVIYHHNSLAGNR >Ma10_p30730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37010919:37022099:1 gene:Ma10_g30730 transcript:Ma10_t30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MGDDSQKVKRVPHLPWMRNPVDIDQFEERPLGFLPSLDPRLKEALQKMGIQSLFPVQVAVWQETIGPGAFERDICVNSPTGSGKTLAYALPIVQMLAHRKIRCLRALVVLPTRDLALQVKEVFSAIAPAVGLRVGLAVGQSSIADEISELIERPKLGSCSPFDPEDVYMELQTAVDILVATPGRLMDHINMTKGFSLEHLCYLVVDETDRLLREAYQSWLPNVIQLTQSNDQTCHATSIHVIPGSLTTIRRCGVEKGFKGKVYPRFVKMILSATLTQDPSKISQLDLHHPLLLTSGDKRYKLPKRLESFKLVCRSKLKPLYLVALLQTLGAEKSIVFTSSVESTHRLSTLLKFFGELPFKISEYSRRQCQPVRSKKLKAFREGKTQVLIATDAMTRGMDVEGIRNVINYDMPAFVKTYIHRAGRTARAGQSGRCFTLMRKDEVKRFNKLLEKADNNSCIIHSLPTDSVESLRPLYSSALEKLKEHEQSWTARKSRISFKSVRASKRKIVQKK >Ma10_p30730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37010919:37020836:1 gene:Ma10_g30730 transcript:Ma10_t30730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MGDDSQKVKRVPHLPWMRNPVDIDQFEERPLGFLPSLDPRLKEALQKMGIQSLFPVQVAVWQETIGPGAFERDICVNSPTGSGKTLAYALPIVQMLAHRKIRCLRALVVLPTRDLALQVKEVFSAIAPAVGLRVGLAVGQSSIADEISELIERPKLGSCSPFDPEDVYMELQTAVDILVATPGRLMDHINMTKGFSLEHLCYLVVDETDRLLREAYQSWLPNVIQLTQSNDQTCHATSIHVIPGSLTTIRRCGVEKGFKGKVYPRFVKMILSATLTQDPSKISQLDLHHPLLLTSGDKRYKLPKRLESFKLVCRSKLKPLYLVALLQTLGAEKSIVFTSSVESTHRLSTLLKFFGELPFKISEYSRRQCQPVRSKKLKAFREGKTQVLIATDAMTRGMDVEGIRNVINYDMPAFVKTYIHRAGRTARAGQSGRCFTLMRKDEVKRFNKLLEKADNNSCIIHSLPTDSVESLRPLYSSALEKLKEHEQSWTARKSRISFKSVRASKRKIVQKK >Ma10_p30730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37010919:37021855:1 gene:Ma10_g30730 transcript:Ma10_t30730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MGDDSQKVKRVPHLPWMRNPVDIDQFEERPLGFLPSLDPRLKEALQKMGIQSLFPVQVAVWQETIGPGAFERDICVNSPTGSGKTLAYALPIVQMLAHRKIRCLRALVVLPTRDLALQVKEVFSAIAPAVGLRVGLAVGQSSIADEISELIERPKLGSCSPFDPEDVYMELQTAVDILVATPGRLMDHINMTKGFSLEHLCYLVVDETDRLLREAYQSWLPNVIQLTQSNDQTCHATSIHVIPGSLTTIRRCGVEKGFKGKVYPRFVKMILSATLTQDPSKISQLDLHHPLLLTSGDKRYKLPKRLESFKLVCRSKLKPLYLVALLQTLGAEKSIVFTSSVESTHRLSTLLKFFGELPFKISEYSRRQCQPVRSKKLKAFREGKTQVLIATDAMTRGMDVEGIRNVINYDMPAFVKTYIHRAGRTARAGQSGRCFTLMRKDEVKRFNKLLEKADNNSCIIHSLPTDSVESLRPLYSSALEKLKEHEQSWTARKSRISFKSVRASKRKIVQKK >Ma05_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4761023:4762169:1 gene:Ma05_g06370 transcript:Ma05_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLTLGQVIGDVLDPFSRSVSLGVLYKNKLVINGSDFKSSAVVDKPKVEVGGDDLRTFYTLVMVDPDAPNPSNPTLKEYLHWLVTDIPATTNASFGRELVCYESPRPTAGIHRMVFVLLRQMGRGTVFAPQMRHNFSTRRFAQQYYLAPVAATYFNCQREAGTGGRRFRGDD >Ma10_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21805928:21808520:1 gene:Ma10_g07390 transcript:Ma10_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGPKAVVPESVLKKRKREEQWALAKKQELDAKKKKARENRKLIFGRAQQYAKEYGSQEKELIRLKREARLKGGFYVSPEAKLLFIIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATMNMLQRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIPLTDNSIIEQGLGKHGIICIEDLVHEVMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >Ma10_p07390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21805928:21808520:1 gene:Ma10_g07390 transcript:Ma10_t07390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGPKAVVPESVLKKRKREEQWALAKKQELDAKKKKARENRKLIFGRAQQYAKEYGSQEKELIRLKREARLKGGFYVSPEAKLLFIIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATMNMLQRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIPLTDNSIIEQGLGKHGIICIEDLVHEVMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >Ma10_p07390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21805928:21807709:1 gene:Ma10_g07390 transcript:Ma10_t07390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGPKAVVPESVLKKRKREEQWALAKKQELDAKKKKARENRKLIFGRAQQYAKEYGSQEKELIRLKREARLKGGFYVSPEAKLLFIIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATMNMLQRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIPLTDNSIIEQGLGKHGIICIEDLVHEVMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >Ma10_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23827946:23834467:-1 gene:Ma10_g09750 transcript:Ma10_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAAYASPNQVTTDIIQQYLDENKQLILAILDNQNAGKADECAENQAKLQRNLMYLAAIADSQQQVPTIAQFPPSTVMQSAPRHVQYQQAQQMTPQALMAARSSMLYAQSPISALQQQQQAALHTQLGVSPGGNSGFNMLHGEASVGGNGLPAAGVFSDFGRSSSAKQETGIALTTEGRGNNSGRQNGDGTEPLYLKGSEEEAN >Ma02_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23771276:23772117:-1 gene:Ma02_g16380 transcript:Ma02_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKCTSKNSSSSSSRKKLLGFHVSEEEKTRLRSESYSSSTSTMATAPAYGGGDGYGADVRKYQCQYCRREFANSQALGGHQNAHKKERQQLNRAHMHHHGGGFWAPTGQMCPANPMVSAIAPQPHLLPVPAGWVCISRPPPFHVSHACAVPSSSVPPIVPPAVPYSTAGGGARLLEQDAGLFTRFSATVPVTKRKDSSDSGESSGLDLQLRLAPTGS >Ma03_p29980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32785209:32787631:1 gene:Ma03_g29980 transcript:Ma03_t29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTCCRSILIPSVISLVLLLLLAATAPAISSSEGRTLLERLNKRSMKTIRSPDGDLIDCVPSHLQPAFDHPMLKGSKPLDPPARPRCHTDDGMADDVVQLWTTSGETCPEGTVPIRRTKEEDILRIERFGRKPFAGASQRTNLSDHEHSYGSVKGEQYYGAQALFNVWAPRVANRGEFSLSQLWIISGNFGTDLNTVEAGWQVYPELYGDILPRFFVYWTNDSYQNTGCYNLYCSGFVQTSSSVAVGAVITTTSTYNDTNLQHAIRITVWKDQKTGNWWLQQGSTVVGYWPRFLFSNLAISATIVEFGGEIINTRPSGFHTTTQMGSGHFAEEGFGRAAYISSIRVADRYNTLIPARNLRYNAEAPNCYDIKGGVDNSGEGYFYFGGPGRNARCP >Ma07_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7648007:7648897:-1 gene:Ma07_g10190 transcript:Ma07_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVNFPCASPASAAICTSVGRRSMVRGSAGRAIDRHTPHLGDPRRAKAALDSVSHAPTRTKSHFQTSRESPREPTGRSSHPGSSRGLLDDDAFDVFPSVGTAAPVTSADPSSLQGAKKDESAVFKPPPSPPAASKEQVVHLRVSLHCKCCERKVRKHVSKMEGVTSFNIDLATKKVTVMGEVTPLGVLSSVSKVKNAQLWRSPPRSSSSSRSKLASLGRR >Ma10_p26790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34304788:34305689:-1 gene:Ma10_g26790 transcript:Ma10_t26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVFETSTGRYFTIEIGFFDTVLEIKEKVQKYQGYPVPTQKLVFQGQELADDRDTEHYNILQNSRIHLALAADAADGKLPESGDGRARITVAVSTPTSRRQLTLEADAADTVARLKERVRDLSGVPPNRFVLFHGGVELQDHRRLADYVVADHSRMNLVMRPSLGAKKLRLLLLPKHGAKTIPVEVNASDNVSELRKEVQRLHGVLNFDLPPEGYFFIYKQNAMEEDKSFRWHDVRPGDTIEIFHASVKDSP >Ma10_p29090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35765030:35768927:-1 gene:Ma10_g29090 transcript:Ma10_t29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERSSVPQPRAKGGAAPPPDSDRAAGGASKYLTGLPSRGLLSSAVPSSNLGGIRVYVCDHETAPPDGQLIKTNTTNILIRALQINKQKSDLKDVTAKAATESSTGKRSAGRTSEGRTPKKTKTSSGSTSSHQGNSSNGYSEKTLQMMTVERLRALLKERGLSPKGKKDELIARLKDKS >Ma08_p32500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43208186:43215763:-1 gene:Ma08_g32500 transcript:Ma08_t32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSSVSSFSRLSSSHRPAMLAKASSLMASFSCSSPRRMRGQPSIWTNMRKQWFGKKKLYGFGTLIVKPVKVLHGANHLLDLPPSFCNITSMASSLQIELVQCLQDNYAYLLHDIDTGTVGVVDPSEAVPVINALERKNQSLTYILNTHHHYDHTGGNMELKARYGAKVIGSAKDKDRIPGIDIALHDGETWMFAGYQVHVLETPGHTKGHVSFYFPGCKAIFTGDTLFSLSCGKLFEGDPDQMFSSLRKIVSLPDDTDVYCGHEYTMSNAKFALSIEPNNEALQEYAADVARLRSKKLPTIPTTIKKEKQCNPFLRTFSPEIRQKLNIPLAASDAQALGIIRRAKDNF >Ma01_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6313184:6314301:1 gene:Ma01_g08800 transcript:Ma01_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRAQGSFVATQWSPAHATFYGDDSASGTMGGACGYGDLYSTGYGTNTAALSSVMFSDGYGCGQCYEIRCSGAPACYAGSPIVAVTATNLCPPNWALPSDNGGWCNPPRVHFDMAKPAFNQIADWNAGIVPVMYRRVACQKTGGMRFQLQGNAYWLLVFVTNVGGGGDIAGMWVKGEKTDWISMSHNWGASYQAFSCLGGQVLSFKVLSYTSQETLVAYTAAPANWVAGLTYEATTNFT >Ma08_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14859503:14868364:-1 gene:Ma08_g14920 transcript:Ma08_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSVATSATRGGMASDSGDVVVTLDQVPRWSDADQRYYGGGDSSSPFSYFSDPLTSPSGADSGVTSRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNESLDEAHSSPGLHVAAGPGLAEECASLGGCRTGMAKITNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIATGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKITAVVFCTTTSSDTEIYKRLLPLYFPRDKLEEEIAVSKLPADVGDENGETIIDERKIRIKPLPAVSASTPKPSTASLDLPVSDVGLTLRRKNSFSLESYLDPAFMSLIKDPDQRRKEQQEKAAQTQSGFNCAKLLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSDIAEMKIVYRGGVDSEGRPVMVVIGAHFLLRCLDLERFVLYVIKEFEPLIQKPYSIVYIHSAASLQVQPDLGWMKRLQQILGRKHKRNLHAIYVLHPTLGLRTAVFALQLFVDGEVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGFIVDPRTKYIYQRTPA >Ma08_p14920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14859503:14868364:-1 gene:Ma08_g14920 transcript:Ma08_t14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSVATSATRGGMASDSGDVVVTLDQVPRWSDADQRYYGGGDSSSPFSYFSDPLTSPSGADSGVTSRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNESLDEAHSSPGLHVAAGPGLAEECASLGGCRTGMAKITNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIATGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKITAVVFCTTTSSDTEIYKRLLPLYFPRDKLEEEIAVSKLPADVGDENGETIIDERKIRIKPLPAVSASTPKPSTASLDLPVSDVGLTLRRKNSFSLESYLDPAFMSLIKDPDQRRKEQQEKAAQTQSGFNCAKLLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSDIAEMKIVYRGGVDSEGRPVMVVIGAHFLLRCLDLERFVLYVIKEFEPLIQKPYSIVYIHSAASLQVQPDLGWMKRLQQILGRKHKRNLHAIYVLHPTLGLRTAVFALQLFVDGEVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGFIVDPRTKYIYQRTPA >Ma04_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9695397:9698239:1 gene:Ma04_g12840 transcript:Ma04_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVAAPRPALVALPPRPAAVIRSLEQMRWRSLSMLLLPTRRAKRGMAVRMAPEEEKMTRRSPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPTPLPTDPPEEDEEENEEEEKEEDPDKEEPEEPEVST >Ma06_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12386000:12390764:1 gene:Ma06_g18230 transcript:Ma06_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGAGSGGGGAGGGDGMAAAAAVGAGKPPRPTIMLPPRSSMASLFHEGGGGASEVSPGPLTLVSSFFADDPETECRSFTQLLVGAMNSPVAAARRPAGISWEQEKVAAGGSEDGGAEGGGIGGDGGVSRLNRVKQNRPASLTVSQPQAFTIAPGLSPSSLLDSPGFFSSGLGTFVMSHQEALAQVTAQASQSQFKMLSQAEYPSEFVTTPPSSQQLIQETPTRKRNNSVFESAEGSLSDQISHPTAIVVDKPASDGYNWRKYGQKMVRSSEYPRSYYKCSHPNCPVKKKVEHSADGQITEIIYKGQHNHQRPTPNKRFKEGDSFLSGSNEITESLDNPSTPESGFHGNHGNLRRSNGMTAATSASKRDREFDYGAPEQLSGSTDREEVSETQTDGRDNHDADAKRMNVSASSQTTSAEPKIVVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYLGCNVRKHVERAPTDPKSVITTYEGKHNHDVPTSRNSSRNLACAGVASSIVQSNSQPSLRTTNFRNNDQQPVAVLRLKEEREIT >Ma09_p29810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40184784:40191248:-1 gene:Ma09_g29810 transcript:Ma09_t29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFESKEGAQLGRGNESDRKREEQPMVAPRVEKLSLGNDDRKRTPNSLNTQKESLGEKRGAEFSISAQTFTFRELAVATGNFRSECFLGEGGFGRVYRGRLESTGQVVAVKQLDRDGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGEQRLLVYEFMPLGSLEDHLHDLPPDKSPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKASNILLDKGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYALTGQLTVKSDVYSFGVVLLELITGRKAIDSTKTHAEQNLVSWVRPMFKERRKLPGLADPKLQGRFPMRGLYQALAVASMCIQEEAASRPVIADVVTALSYLASQAYDPGAASAVNTRPSGERTSRSGGEEGAKLTVRVNNIDPGHMQQMISEDSSKETVAILRHNFDRERALAEAKMWGQNWREKTQAKANVEGSFDVANAIG >Ma06_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1140491:1143898:-1 gene:Ma06_g01410 transcript:Ma06_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHVQYTPLALDDMDEGHGMLKEEDLRFAYNPKALDRVPWKSITLALFLLALGSALIIMSFFIFTGHMEGERSQAYGLLTLGILAFLPGFYETRIAYYSWRGAPGYRFSSIPN >Ma05_p29800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40368879:40386957:-1 gene:Ma05_g29800 transcript:Ma05_t29800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MAFHDFKHCPGPSAPPSSQVPVIFPSGPSTPKTNIFHEKGQFPSAQINQPRQRQGKVSSRAQSQLNEPVRLGHPTNQGRVLLGATHGTASHVEAKFPSQFSNYHVPGRTRSPVLPSHDAANLMNHYPTADHIRNPVTYSFVSQLNEPLRLGHPTNHDRLLPGATHATASHVEAKFPSQFSNYHVPGRTNSPTVPSRDDVNLENYNPTADHRRNPVTSSFVSQLNEPLRLGHPTNHDRHLLGATHVTASHVDAKFPSQFSNYHVPARTRSPTLPSRDAANLVNHYPTADQGRHSITSSSFGNPLDEPLGPGHPTNQDRPWHAQEHFTGSQDVEAKFPSQVSNYRVPGRTRSPTLPSRDAANLVNHHPTADHRRSQIHTNVHIGNANSDNSNITLPSFSFDEEAKRHDITPPRVTNQRKPPVQHSNSPPPERLKMVDYSDIYGTGVDASSKHSFSKEPKRARSPYPPSAAVVGSSSVQHDFEREMQAKARRLARFNFELTQPAENLLDANRKTPENKLSQASVDKWDADKPAEARDSLNRENLLEIESSESSPVVVGLCPDMCPESERGERERKGDLDKYERLDGDRNQTSKYLAVKKYNRTAEREVDLIRPMPVLQMTVDYLLNLLNQPYNEDFLSIYNFLWDRMRAIRMDLRMQHIFGRQAMVMLEQMIRLHIVAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKQGISVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEMRCTQEIVFARNVARSCRIGNFIAFFRLARKATYLQACLMHAHFAKLRTQALASLHGSLQNNQGIPVTHIMSWLGLEEEDVESILEYHGFVLKKFEESYMVKEGPFLSSDKDFPTKCSQLVHLKKSPRIIDDIYSGPPISHITEGRETGVYNEFDMVDQRADASEVDTREISSNDVIHDYEANITQRAITQSRKLLEEQPIPLLNRETDAKVAEAFLPSSTSLVDHNVSNHAQRIEDAQMIELENDATMDQTILPKHEVNMVRDLASVSSPAPVSSLAPVSSLLNNVGDSSASQMDIERELDNEDQLLVLHHKNKVAEEKLRLILRKWKHQATKKRETREQKNTLANAALCSLSVGVPFRQSQHIPRLAYSELNINHALRARYEKLSKSWSTINVSELVAPVLHTRNPDASCLCWKLLIPVQPLLKEGQISRWLLSKVMGSSKENHKPIVSMPHLSIWSWISTQLSPFYNCCLSIIQEVVFDNNDMIPEDDIVSGTSAVVFLVSESIPWEIQKVRLHNVLASIPSGSKLPLLILSSDVYAEENTDSSHSIIRRLGLHDADMTRIYSFSVVFLCDNDPQVKSNGFLKDDKLRGGLLWLAKHSPLQPTVCPVETHGVVLSYLRSSLEFLENGDTSYFGPNHFISIFNAALDGLVEGISAAASTNVNHWPSPEVDLLEKSSNERIFVDRYLPSIGWSSPVRIQSLIRLIKGCKLPPFVNEMPWLKEGSHMGLKIPDQKLALQECLISYMTQSCQMLNADLAAIEAEILVQTAAYPELHGSCYYIIPRWSAIFRRIQNWRLINLKTGGCSVAYLLEQHLDRLTAIDYTHSIGAAMPLNSSSMMQVLERKIGEEEYRTMHSFSTKPSFDEIVEICCNIPLAEQPMSPPEPLDSSPMVHETGDALKSENLAVDEDEDLKCNKSGNGENGRSAISFFKMDDKLSMLLEKCTRLQDTIDEKLAFYF >Ma05_p29800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40368879:40386957:-1 gene:Ma05_g29800 transcript:Ma05_t29800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MAFHDFKHCPGPSAPPSSQVPVIFPSGPSTPKTNIFHEKGQFPSAQINQPRQRQGKVSSRAQSQLNEPVRLGHPTNQGRVLLGATHGTASHVEAKFPSQFSNYHVPGRTRSPVLPSHDAANLMNHYPTADHIRNPVTYSFVSQLNEPLRLGHPTNHDRLLPGATHATASHVEAKFPSQFSNYHVPGRTNSPTVPSRDDVNLENYNPTADHRRNPVTSSFVSQLNEPLRLGHPTNHDRHLLGATHVTASHVDAKFPSQFSNYHVPARTRSPTLPSRDAANLVNHYPTADQGRHSITSSSFGNPLDEPLGPGHPTNQDRPWHAQEHFTGSQDVEAKFPSQVSNYRVPGRTRSPTLPSRDAANLVNHHPTADHRRHDITPPRVTNQRKPPVQHSNSPPPERLKMVDYSDIYGTGVDASSKHSFSKEPKRARSPYPPSAAVVGSSSVQHDFEREMQAKARRLARFNFELTQPAENLLDANRKTPENKLSQASVDKWDADKPAEARDSLNRENLLEIESSESSPVVVGLCPDMCPESERGERERKGDLDKYERLDGDRNQTSKYLAVKKYNRTAEREVDLIRPMPVLQMTVDYLLNLLNQPYNEDFLSIYNFLWDRMRAIRMDLRMQHIFGRQAMVMLEQMIRLHIVAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKQGISVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEMRCTQEIVFARNVARSCRIGNFIAFFRLARKATYLQACLMHAHFAKLRTQALASLHGSLQNNQGIPVTHIMSWLGLEEEDVESILEYHGFVLKKFEESYMVKEGPFLSSDKDFPTKCSQLVHLKKSPRIIDDIYSGPPISHITEGRETGVYNEFDMVDQRADASEVDTREISSNDVIHDYEANITQRAITQSRKLLEEQPIPLLNRETDAKVAEAFLPSSTSLVDHNVSNHAQRIEDAQMIELENDATMDQTILPKHEVNMVRDLASVSSPAPVSSLAPVSSLLNNVGDSSASQMDIERELDNEDQLLVLHHKNKVAEEKLRLILRKWKHQATKKRETREQKNTLANAALCSLSVGVPFRQSQHIPRLAYSELNINHALRARYEKLSKSWSTINVSELVAPVLHTRNPDASCLCWKLLIPVQPLLKEGQISRWLLSKVMGSSKENHKPIVSMPHLSIWSWISTQLSPFYNCCLSIIQEVVFDNNDMIPEDDIVSGTSAVVFLVSESIPWEIQKVRLHNVLASIPSGSKLPLLILSSDVYAEENTDSSHSIIRRLGLHDADMTRIYSFSVVFLCDNDPQVKSNGFLKDDKLRGGLLWLAKHSPLQPTVCPVETHGVVLSYLRSSLEFLENGDTSYFGPNHFISIFNAALDGLVEGISAAASTNVNHWPSPEVDLLEKSSNERIFVDRYLPSIGWSSPVRIQSLIRLIKGCKLPPFVNEMPWLKEGSHMGLKIPDQKLALQECLISYMTQSCQMLNADLAAIEAEILVQTAAYPELHGSCYYIIPRWSAIFRRIQNWRLINLKTGGCSVAYLLEQHLDRLTAIDYTHSIGAAMPLNSSSMMQVLERKIGEEEYRTMHSFSTKPSFDEIVEICCNIPLAEQPMSPPEPLDSSPMVHETGDALKSENLAVDEDEDLKCNKSGNGENGRSAISFFKMDDKLSMLLEKCTRLQDTIDEKLAFYF >Ma05_p29800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40368879:40386957:-1 gene:Ma05_g29800 transcript:Ma05_t29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MAFHDFKHCPGPSAPPSSQVPVIFPSGPSTPKTNIFHEKGQFPSAQINQPRQRQGKVSSRAQRNPVTYSFVSQLNEPLRLGHPTNHDRLLPGATHATASHVEAKFPSQFSNYHVPGRTNSPTVPSRDDVNLENYNPTADHRRNPVTSSFVSQLNEPLRLGHPTNHDRHLLGATHVTASHVDAKFPSQFSNYHVPARTRSPTLPSRDAANLVNHYPTADQGRHSITSSSFGNPLDEPLGPGHPTNQDRPWHAQEHFTGSQDVEAKFPSQVSNYRVPGRTRSPTLPSRDAANLVNHHPTADHRRSQIHTNVHIGNANSDNSNITLPSFSFDEEAKRHDITPPRVTNQRKPPVQHSNSPPPERLKMVDYSDIYGTGVDASSKHSFSKEPKRARSPYPPSAAVVGSSSVQHDFEREMQAKARRLARFNFELTQPAENLLDANRKTPENKLSQASVDKWDADKPAEARDSLNRENLLEIESSESSPVVVGLCPDMCPESERGERERKGDLDKYERLDGDRNQTSKYLAVKKYNRTAEREVDLIRPMPVLQMTVDYLLNLLNQPYNEDFLSIYNFLWDRMRAIRMDLRMQHIFGRQAMVMLEQMIRLHIVAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKQGISVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEMRCTQEIVFARNVARSCRIGNFIAFFRLARKATYLQACLMHAHFAKLRTQALASLHGSLQNNQGIPVTHIMSWLGLEEEDVESILEYHGFVLKKFEESYMVKEGPFLSSDKDFPTKCSQLVHLKKSPRIIDDIYSGPPISHITEGRETGVYNEFDMVDQRADASEVDTREISSNDVIHDYEANITQRAITQSRKLLEEQPIPLLNRETDAKVAEAFLPSSTSLVDHNVSNHAQRIEDAQMIELENDATMDQTILPKHEVNMVRDLASVSSPAPVSSLAPVSSLLNNVGDSSASQMDIERELDNEDQLLVLHHKNKVAEEKLRLILRKWKHQATKKRETREQKNTLANAALCSLSVGVPFRQSQHIPRLAYSELNINHALRARYEKLSKSWSTINVSELVAPVLHTRNPDASCLCWKLLIPVQPLLKEGQISRWLLSKVMGSSKENHKPIVSMPHLSIWSWISTQLSPFYNCCLSIIQEVVFDNNDMIPEDDIVSGTSAVVFLVSESIPWEIQKVRLHNVLASIPSGSKLPLLILSSDVYAEENTDSSHSIIRRLGLHDADMTRIYSFSVVFLCDNDPQVKSNGFLKDDKLRGGLLWLAKHSPLQPTVCPVETHGVVLSYLRSSLEFLENGDTSYFGPNHFISIFNAALDGLVEGISAAASTNVNHWPSPEVDLLEKSSNERIFVDRYLPSIGWSSPVRIQSLIRLIKGCKLPPFVNEMPWLKEGSHMGLKIPDQKLALQECLISYMTQSCQMLNADLAAIEAEILVQTAAYPELHGSCYYIIPRWSAIFRRIQNWRLINLKTGGCSVAYLLEQHLDRLTAIDYTHSIGAAMPLNSSSMMQVLERKIGEEEYRTMHSFSTKPSFDEIVEICCNIPLAEQPMSPPEPLDSSPMVHETGDALKSENLAVDEDEDLKCNKSGNGENGRSAISFFKMDDKLSMLLEKCTRLQDTIDEKLAFYF >Ma05_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1417329:1420879:1 gene:Ma05_g02230 transcript:Ma05_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYVPDWTMEDDSGRLTDLLPMTNQRKPMGPDNELVELLWRNGHVVMHSQTHRRTPVGEFKQASKPDPVQKYEQSLGDSSNLIQEAEAASWFQFPLDDSFEKEFCSEFFPEITGTDTVVSEKISKDFTAEEEDRYLKFGFTDDGTAFTAPAPKQSVPHPQDNIMPPPRSHVMGSTPQSSSLENSNSGVLNFPHFSKQVKADLGSLSCRLGHRGSGSNSKAGAQESSMMTVGSSACGSNQIQAQTDPSNNISNDAADIVTRLREGTGMRLLSERMQSKAHEGTVTSSSGGSGCSYGRSAQQNESNHSHKRRKARDVEESGCQSEEAEYESIDEKKQATRPTSKRRSRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKTLQLQVQMMWMGSGMASMMFPCVQQYISGMGMGIGMSHASMSAIHSAVQLPRVPFVNASVAPVSSANQASFLPSPAISAANFPSQMQNIHLPESYARYLGFHNFCAYGSHMVQHNQSAASPDTTLPSAAGGPTSIRNNKSD >Ma10_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24454274:24454710:1 gene:Ma10_g10580 transcript:Ma10_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYVVKEEIQESINKLQVTFQQWEQTPSNTERVYTLQNSLLSAVRA >Ma11_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19005476:19046397:1 gene:Ma11_g13870 transcript:Ma11_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRASSSPLLHVVLILLSLLCFDSSYCGVLAAGDASQGAESASASPSCNLTSQDVKVNNWLNGDERPSLDGLSARFGALLPTSVSKALKLPAVLANPFNSCNNLSLKLSDSIVVVKRGDCTYATKARIAESSGAAGLLVINDDEDLTEMVCSKNETLLNITIPVVMIPKSAGENITASLSSGGKVDVLLYAPTRPIIDISVVFLALMSVGSIISASLWDDFSAHDQVDEHYNQLRRKDQPSAEMNEEDSEKETVEIKAVGAISFVIVASAFLVLLFFFMSYSFVLLLIVLFCIAGSQGLHACLLSIILRVFKGCRQMRINIPIFGEVTILSIVLLPFCFAFAISWAINRHSPHSWIGQDILGISLMITVLRVLQLPNIKVASLLLCCAFFYDIFWVFISPLIFHESVMIAVATGNKAGGESIPMLLRMPRFFDPWGGYQMIGFGDIILPGLLIAFSHRFDKSTKKGILNGYFVWLIIGYAFGLSLTYLVFFLMNGHGQPALLYLVPCTLGLTVVLGGIRGELSNLWNYGEKQSEVSPAGQV >Ma03_p11370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8825210:8829112:1 gene:Ma03_g11370 transcript:Ma03_t11370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIMEWAGRAEHLGGIPRALVVLAVGAFAKTVTSCLNSTSVHNPQALLHLVKSRPPGVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKFARWVLAAEDICFKNKLFSYIFRLGKCIPIIRGGGIYQEHMNEALEVLNHGGWLHTFPEGKVCQDKAPIRRLKWGTASLIVRAPITPIVLPIIHSGFEKVMPEKSFFGRRPPLPLCNKEIRIVIGEPIEFDLPSLKQEAIAATQDGCLQTLGWPKTFPDGLGEAAQRWIYTNISDRLRSVMESLRIFTSDKFNL >Ma03_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8825210:8829112:1 gene:Ma03_g11370 transcript:Ma03_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIMEWAGRAEHLGGIPRALVVLAVGAFAKTVTSCLNSTSVHNPQALLHLVKSRPPGVPLLTVSNHMSTLDDPLMWGFKGFPSMDAKFARWVLAAEDICFKNKLFSYIFRLEGKVCQDKAPIRRLKWGTASLIVRAPITPIVLPIIHSGFEKVMPEKSFFGRRPPLPLCNKEIRIVIGEPIEFDLPSLKQEAIAATQDGCLQTLGWPKTFPDGLGEAAQRWIYTNISDRLRSVMESLRIFTSDKFNL >Ma10_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14937707:14940404:1 gene:Ma10_g04250 transcript:Ma10_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITLSKSNEGESNSKSGSGRQNLGLPLSLIEKEENDEDDVELYTMGDDTSSIICGACGNRHPSLRRAAHGAVRHGYLQGLRPTGIVAQAVEERSQHKNWAMTLTRLRTLLALEGRTVIDLDGYTTPSELLQMLPAKSTIRGKDVGPQIGPNNPKFALGMQALLDLIFAVEGSVSETAKILGCIAYGSFLYPCISA >Ma07_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29362311:29367894:1 gene:Ma07_g21260 transcript:Ma07_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENITTVDDGVIAEVGDTIPTRERHHSELLFPLDQMRNYECQQDSITDALPPPVPQKLAIATEKNHKKEIDADAKPTRYIPIDIVDSILMRMNPKHAMRLSLMEEMIVNKAFATVMDENITMVDDDRVTVEMANKTPLDHMTTSKHQQDLDTNALPLISPKLAVAIDKNHKRENDDNTKKIRYIPIDIVESILTRMNTRDIMRLSVVCKDWRAISVRFDPVIRKIPWLISTRILKAAYHLRSVVDDEDTFKIKFPEIPLDRTLFYNCSHGWLVIEPDHYSPMILLNPFSSVWLQLPACKPVPNSFVYMSSAPTNLDCILLAGDYSNHLCVWRPRDESWTLEEGMLEPFETIISFKGQFYTWDHQSMFLTIFQVLPLRLRKLVVPCPFDSSSSHVRNVSLVESCENILLVCIMKHPLQPLVIFLFRLDLENKVWIKMESLGDQALFMAIPRNQVISVSAHEVGCSTNCIYLTGAWQPFPVGEFHVYNMDSHIIESFPKFVGHNRPHYGCNRLWITPSLS >Ma08_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1844597:1846704:1 gene:Ma08_g02340 transcript:Ma08_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSILFTLLFSLSLSAAAQQDEFTYIGFSGGANGGGSNISLNGVAEVDNSGILRLTNETSRLIGHAFYPAALRFRNATSGAAFSFSTAFAFAIVPEYPKLGGHGLAFTIAPTKELPGALPSQYLGLVNASDVGNPTNHVFAVEFDTVQDFEFGDINDNHVGVDIYGLVSNSSASAAYYGGDGAKKDLNLKGGFTIQAWIDYDGGEKVLNVTISPFSSKPSTPLLLFRVDLSPVLLDDMFVGFSASTGLLASSHYLFGWSFKMKCVSRSLDLSSLPSLPLPKKKNITLAIAASITAFVLLITAIAAAAAFIFYKIKNADVIEQWEFSCGPHRFSYEELKRATHGFRDRELLGLGGFGKVYRGTLPGSRAEVAVKRVSHESRQGIREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDKLLFCDDPCGQPPPLISWPQRFRILRGVASALLYLHEGWEQVVIHRDVKASNVLLDAEFNGRLGDFGMAKLHDHGANPSTTRLVGTVGYLAPELTRTGRASTSSDVFAFGALVLEVVCGRRPIEPKASPEELVLLDWVRERWSAGRWADVVDPRLDGEYEREEAAVAIKLGLWCSHPSAAARPGMREVVRYLDGGDVAELPPFPRPPESNGGLDGLAPFYPSSAEKVSTGSTSLGEEAVGSAHSSLSLHSHDPMPEQGLDHCRPP >Ma04_p34100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33432872:33439095:1 gene:Ma04_g34100 transcript:Ma04_t34100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKDSKPGAYHDKRCRVVPMTLMVIALCGISFYLGGIYYSEKNRIVKKDARPAVQSSKETAVASLQIKAVEFPECSTDYQDFTPCTDPKRWRKYGNYRLSFMERHCPPMNERKECLVPPPDGYKPPIRWPKSKDQCWYRNVPYDWINNQKANQHWLRKEGDRFFFPGGGTMFPNGVSVYVDLMQNLIPGMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFDGIYLLEIHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKADFDKLKKLLTSMCFKLYNKKDDIAVWQKSADNSCYDQLTASSYPAKCDDSMDPDSAWYTPLRTCLTIPSQTYKLGLTSAPKWPDRLRVPPERTSTVPGGNSGGFKHDDSKWKVRIKHYKTLLPALGSDKIRNVMDMNTLYGGFAAALISSPLWVMNVVSSYGPNSLGVVYDRGLIGMYHDWCEPFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPDGYAIIRESSYFLDAIATIAKGMRWNCNKHSTEYNLDKEKVLICQKKLWYAKQSQQ >Ma04_p34100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33432872:33439095:1 gene:Ma04_g34100 transcript:Ma04_t34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKDSKPGAYHDKRCRVVPMTLMVIALCGISFYLGGIYYSEKNRIVKKDARPAVQSSKETAVASLQIKAVEFPECSTDYQDFTPCTDPKRWRKYGNYRLSFMERHCPPMNERKECLVPPPDGYKPPIRWPKSKDQCWYRNVPYDWINNQKANQHWLRKEGDRFFFPGGGTMFPNGVSVYVDLMQNLIPGMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFDGIYLLEIHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKADFDKLKKLLTSMCFKLYNKKDDIAVWQKSADNSCYDQLTASSYPAKCDDSMDPDSAWYTPLRTCLTIPSQTYKLGLTSAPKWPDRLRVPPERTSTVPGGNSGGFKHDDSKWKVRIKHYKTLLPALGSDKIRNVMDMNTLYGGFAAALISSPLWVMNVVSSYGPNSLGVVYDRGLIGMYHDWCEPFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPDGYAIIRESSYFLDAIATIAKGMRWNCNKHSTEYNLDKEKVLICQKKLWYAKQSQQ >Ma09_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31409713:31413665:1 gene:Ma09_g20960 transcript:Ma09_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRTVKRSDSIADALPEALKQSRYQMKRCFARYVSKGRRLMKNQQLMDELESTMDDKVEKSKLMEGFLGLVICWTQEAVVLPPFVAFAVRQHPGIWEYVKVNAEDLFVDEITASEFLKFKETIYDERWANDEDALEVDFGAFDLSTPHLSLPSSIGNGMQFISKFLSSKLSENPKNAKPLLDYLLALNHRGEKLMINGFLDTVSRLQSALILAEVFVSNLPKNMPFEKFEQRFQEWGLEKGWGDTAERVKETVNSLSEVLQCPDPVNIEKFLGRVPAIFNIVIFSPHGYFGQADVLGLPDTGGQVVYILDQVRAFEEELLLRIKRQGLTITPRILVVTRLIPEARGTKCNQELEAILNTKHSHILRVPFRTETGVLHQWVSRFDIYPYLERYARDAAAKVLDILEGKPDLIIGNYTDGNLVASLVASKLGVTQGTIAHALEKTKYEDSDVKWKELDPKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKDRPGQYESHNAFTMPGLCRFASGINVFDPKFNIASPGADQSVYFPHTQKHRRLTSFHPAIEELLYSKQDNDEHIGFLADKRKPIIFSMARLDTVKNITGLVEWYGKNSRLRELVNLVVVAGFLDPSKSKDREEISEIKKMHSLIDKYQLKGQLRWIAAQNDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIADGVSGFHIDPTKGDESSNKIADFFAKCREDSSYWNRVSTAGLQRINECYTWKIYATKVLNMGTFYGFWRQLNKEEKQAKQRYVKLFYNLQFRKLAKTVPAVDSTSEAVPVSSKPLTRPSSQITRRRTQSRIQRVFF >Ma04_p32830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32717932:32719801:1 gene:Ma04_g32830 transcript:Ma04_t32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQAGQCGNQIGGKFWEVVSDEHGIDPKGDYVGDSRLQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDALRTGPYGQLFRPDNFVFGQNGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFTPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMIAVQNKNTSYFVEWIPNNVKSSVCDIPPTGLSMSATFMGNSTSIQEMFKRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAMADDEEEEEEYGDEAEEN >Ma10_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23610631:23612082:1 gene:Ma10_g09450 transcript:Ma10_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKREKAGLQQGITVEEEEGKDVVLPGFRFHPTDEELVGFYLRRKVAKKAFSIDIIKEIDIYKHDPWDLPKVMSSAGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRSICSAGRCIGLKKSLVYYTGSAGKGTKTDWMMHEFRLPCTNTDNTCPTMQEAEIWTICRIFQRSIAAPKRSWRDSISKKKSDDGNDEFRFDASSDCQTHASQRNSVAQAPMAALHSDVGPTPYANDFFPDGNWDELGRMVEFMTVQNVFSYCK >Ma04_p38350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35912168:35912737:1 gene:Ma04_g38350 transcript:Ma04_t38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYSNGELNFWLCAKKSLEGHAQDKKNKTPNSSRAVTCLHGYVEREREREREREREQNTKQLYVSHAKSGRLEHFYTCKGLGEVSR >Ma10_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30300447:30301187:1 gene:Ma10_g19940 transcript:Ma10_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVEHKRRRGEEEGFPESSSPAAKRLHDDLLLDDDDAGAREQDLASVMKSLEEEISLPSPMPPQSQAAVWTDQPDLGYLCEASDDELGLPPPPSVPSSSVEGGEAPELEASTVATEGGATEAVEIGQMWGFDDEFNGYGWPDEVFGIGSECWVVAESENRMLFEDADAADCSPADFADIPWRSETLPAV >Ma06_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10111006:10112343:-1 gene:Ma06_g14810 transcript:Ma06_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 36 [Source:Projected from Arabidopsis thaliana (AT3G54260) UniProtKB/Swiss-Prot;Acc:Q940H3] MVPCMGILDVDSFEWREEKEDDVNEVQSHQGSSPRDCNLSVGEWVFDPSYPLYAPGCPYLSTQVSCRRNGRPDSDYERWRWKPKQCSIPRFDALDFLGRIRKKRVMLVGDSIIRNQWESLVCLVEAVIPSELKTVSSNGPSIAFHAMGYEASIEFSWAPLLVELKEEAENRRVLRLDSIEENAKYWLGVDVLVFDSAHWWTHSGKWSSWDYFQEGARLFTNLNPMVAYEKGLTTWAKWVDLNLDPRRTRVVFRSVSPRHNRENGWQCYKQKEPLVFLGYSPRVPGQLVVLREVLKKMSFPVYLMDVTSMSALRRDGHPSIYAEEGRDREGPASDCSHWCLPGVPDAWNEMLYALL >Ma05_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15473400:15473958:-1 gene:Ma05_g16160 transcript:Ma05_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKPVVFFFLFLAYVEFAVSLVLYCLGFYVPSEPLTPPWEENVFYFPGAATDTTSSAKSPSAVAPSSIKQQLRVVEFSSLPRRCRLDDPTCVICLGALEARHMVRELGNCGHGFHQECIDKWVDAGHVTCPLCRVRLLPAAKQEGRWRRFLWVW >Ma09_p23040.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34944214:34952342:1 gene:Ma09_g23040 transcript:Ma09_t23040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSSFQPFCEAFEYDRASGSSSSVMDQQMFWNNLLFNSVENHDLPSNPLSPSGTNISRGNVVCQGSASLNIWDPVGTSSSMPSLDQGSNDEIKQECGWTFSLSNRDSGPRISDGRSEGSHTLSFQNTDAELNGNHANNGEPFSQFLNFRELPHNLDYGSAHVGMSSQVLESGQHQEPYNPGLMQYQPVSFSGSSSGTFESSSRAIDFLSNNDRNRQRVALDDQSFSHKRKNIERFHGESSASGSSSNFNEGVKHAEEEINAGIGTSTRVAASEHQYFGSAAVNDESFQRNIRTRVNHADLTNATIPNPLHQENYITQYNLWPAQQPSLTIPSNQPSNSRLVGSHMGPRRQPLVQTIPGLSPDLYPFPQSGTSTREANSSSSSPAISVDGASRELNSMSVSSNLVEQLFIPPPNTRQLVQNQTNRGLTIGNTTLSGNGVPTSQVGTNSGVYQSPGANWVPHHQHRRLSETILGSFLSSVSESRGWNMSLPPRNGHSSTSQEVGRHQPGAGPRNHQQLYMRFPNMLHRQNDGALSNPLSMRTLAAARERRSRTSEIRNVFDLIRRGDTLVLEDFLSFEQSGYVGGTNFHDRYRDMRLDVDNMSYEELLVLGERIGYVNTGLSEEKILNCLRQRKYVSIASEPSGEVEPCCICREEYMEGAELGRLDCGHDFHTACIKQWLMIKNLCPICKTTALST >Ma09_p23040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34944214:34951570:1 gene:Ma09_g23040 transcript:Ma09_t23040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSSFQPFCEAFEYDRASGSSSSVMDQQMFWNNLLFNSVENHDLPSNPLSPSGTNISRGNVVCQGSASLNIWDPVGTSSSMPSLDQGSNDEIKQECGWTFSLSNRDSGPRISDGRSEGSHTLSFQNTDAELNGNHANNGEPFSQFLNFRELPHNLDYGSAHVGMSSQVLESGQHQEPYNPGLMQYQPVSFSGSSSGTFESSSRAIDFLSNNDRNRQRVALDDQSFSHKRKNIERFHGESSASGSSSNFNEGVKHAEEEINAGIGTSTRVAASEHQYFGSAAVNDESFQRNIRTRVNHADLTNATIPNPLHQENYITQYNLWPAQQPSLTIPSNQPSNSRLVGSHMGPRRQPLVQTIPGLSPDLYPFPQSGTSTREANSSSSSPAISVDGASRELNSMSVSSNLVEQLFIPPPNTRQLVQNQTNRGLTIGNTTLSGNGVPTSQVGTNSGVYQSPGANWVPHHQHRRLSETILGSFLSSVSESRGWNMSLPPRNGHSSTSQEVGRHQPGAGPRNHQQLYMRFPNMLHRQNDGALSNPLSMRTLAAARERRSRTSEIRNVFDLIRRGDTLVLEVSFHYLASSLCFYTFFSFPF >Ma09_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34944214:34951570:1 gene:Ma09_g23040 transcript:Ma09_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSSFQPFCEAFEYDRASGSSSSVMDQQMFWNNLLFNSVENHDLPSNPLSPSGTNISRGNVVCQGSASLNIWDPVGTSSSMPSLDQGSNDEIKQECGWTFSLSNRDSGPRISDGRSEGSHTLSFQNTDAELNGNHANNGEPFSQFLNFRELPHNLDYGSAHVGMSSQVLESGQHQEPYNPGLMQYQPVSFSGSSSGTFESSSRAIDFLSNNDRNRQRVALDDQSFSHKRKNIERFHGESSASGSSSNFNEGVKHAEEEINAGIGTSTRVAASEHQYFGSAAVNDESFQRNIRTRVNHADLTNATIPNPLHQENYITQYNLWPAQQPSLTIPSNQPSNSRLVGSHMGPRRQPLVQTIPGLSPDLYPFPQSGTSTREANSSSSSPAISVDGASRELNSMSVSSNLVEQLFIPPPNTRQLVQNQTNRGLTIGNTTLSGNGVPTSQVGTNSGVYQSPGANWVPHHQHRRLSETILGSFLSSVSESRGWNMSLPPRNGHSSTSQEVGRHQPGAGPRNHQQLYMRFPNMLHRQNDGALSNPLSMRTLAAARERRSRTSEIRNVFDLIRRGDTLVLEVSFHYLASSLCFYTFFSFPF >Ma09_p23040.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34944214:34951570:1 gene:Ma09_g23040 transcript:Ma09_t23040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSSFQPFCEAFEYDRASGSSSSVMDQQMFWNNLLFNSVENHDLPSNPLSPSGTNISRGNVVCQGSASLNIWDPVGTSSSMPSLDQGSNDEIKQECGWTFSLSNRDSGPRISDGRSEGSHTLSFQNTDAELNGNHANNGEPFSQFLNFRELPHNLDYGSAHVGMSSQVLESGQHQEPYNPGLMQYQPVSFSGSSSGTFESSSRAIDFLSNNDRNRQRVALDDQSFSHKRKNIERFHGESSASGSSSNFNEGVKHAEEEINAGIGTSTRVAASEHQYFGSAAVNDESFQRNIRTRVNHADLTNATIPNPLHQENYITQYNLWPAQQPSLTIPSNQPSNSRLVGSHMGPRRQPLVQTIPGLSPDLYPFPQSGTSTREANSSSSSPAISVDGASRELNSMSVSSNLVEQLFIPPPNTRQLVQNQTNRGLTIGNTTLSGNGVPTSQVGTNSGVYQSPGANWVPHHQHRRLSETILGSFLSSVSESRGWNMSLPPRNGHSSTSQEVGRHQPGAGPRNHQQLYMRFPNMLHRQNDGALSNPLSMRTLAAARERRSRTSEIRNVFDLIRRGDTLVLEVSFHYLASSLCFYTFFSFPF >Ma09_p23040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34944214:34951570:1 gene:Ma09_g23040 transcript:Ma09_t23040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSSFQPFCEAFEYDRASGSSSSVMDQQMFWNNLLFNSVENHDLPSNPLSPSGTNISRGNVVCQGSASLNIWDPVGTSSSMPSLDQGSNDEIKQECGWTFSLSNRDSGPRISDGRSEGSHTLSFQNTDAELNGNHANNGEPFSQFLNFRELPHNLDYGSAHVGMSSQVLESGQHQEPYNPGLMQYQPVSFSGSSSGTFESSSRAIDFLSNNDRNRQRVALDDQSFSHKRKNIERFHGESSASGSSSNFNEGVKHAEEEINAGIGTSTRVAASEHQYFGSAAVNDESFQRNIRTRVNHADLTNATIPNPLHQENYITQYNLWPAQQPSLTIPSNQPSNSRLVGSHMGPRRQPLVQTIPGLSPDLYPFPQSGTSTREANSSSSSPAISVDGASRELNSMSVSSNLVEQLFIPPPNTRQLVQNQTNRGLTIGNTTLSGNGVPTSQVGTNSGVYQSPGANWVPHHQHRRLSETILGSFLSSVSESRGWNMSLPPRNGHSSTSQEVGRHQPGAGPRNHQQLYMRFPNMLHRQNDGALSNPLSMRTLAAARERRSRTSEIRNVFDLIRRGDTLVLEVSFHYLASSLCFYTFFSFPF >Ma05_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34512019:34513168:-1 gene:Ma05_g22730 transcript:Ma05_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVVHGEEEQLLSAQEKQEEEEQQLQEQQQGERKEDDAPIVEDVKEDDSDNEDDDDDDDDGGGTEGELAHTLSRTPSSPLCHIFPEILDLNLDGIDLAVAEMVLGMVVTVYIQTVVMLMDFNTFHAKDKINKASSYTSPEYLQSNQPNVKQHSMFHR >Ma10_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24355146:24360735:-1 gene:Ma10_g10400 transcript:Ma10_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAERRGDERHEVKAGGAREESLSPSSALKANVHPVVSPQGASNLHVEPTLEASHPWFVIPADSIREESSSSSSSASKRFVFLWFAPHVDVVSTSSESMGISDSGPKSDLWGPKWVMDVCNAAPLCARNKDRGYRNDDNLCVQYPGFSSDVALLDEQPLLLDDLLTEPEISPGGVSLRRSASDPLAFLEVASSLHGLSPVKEEDALSDGVLHESLESEGTSEVGSGFEAGNCVYGRNSPRQRSKLTDSESWMVSSLLENVPSNPQQYLTMDYPSTYVVNEPNGKEVDCVPSGYLDSEKISKRCSGQRSRVRKLQHIVQLEITIDILQTLGTDLVARVASLFQYHLALSVGNKNLRQQIASLRQEKIIKDGERQSLKNEAERLKMMLRHHQRSKSMASCFEKGTYVADPSTLNWQMLDLENLTLGGSQVPLKHSLRHR >Ma07_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7564966:7568193:1 gene:Ma07_g10120 transcript:Ma07_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESSREESVYMAKLAEQAERYEEMVEFMEKVVKTIRGEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRGNEEHVALIKEYRGRIEAELSKICDGILKLLDSHLVPSASAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEIKEAPKKESGDGQ >Ma10_p16910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28464824:28465472:-1 gene:Ma10_g16910 transcript:Ma10_t16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHSSSHLYFLLVFFLLLAAATAFPVTSEFELGRQSRIHFRVYFHETFLGPDNTTVTVVNMRLPNTFGDVDIFDAVLRTGPSKRSTEVGRAQGVSFHASQRDESSLIPLVLVFTAGDFRDSTLTVIGRLDTSGKADRAIVGGTGVFQFAWGNVVSKLVTSDKAGLVAAFDIYVVYYDDVRLTAIA >Ma10_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28448194:28448905:-1 gene:Ma10_g16910 transcript:Ma10_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPNSFTHLYFLLVFFLLLAAATAFPVTSEFELGRQSRIHFRVYFHETFLGPDNTTVTVVNMSLPNTFGDVDIFDAVLRTGPSKRSTEVGRAQGVSFHASQRDESSLIPLVLVFTAGDFCDSTLTVIGRMDTSGKADRAIVGGTGVFQFASGNMVSKLVTSDKAGLVAAFDIYVVYYDDVRLTAIA >Ma10_p26150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33986309:33989911:1 gene:Ma10_g26150 transcript:Ma10_t26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFSRSDSASGKSVRIHHRLPFPISVKLQRIMMKSSSFPTNSSLSLVNEERGRVEHKVGEHDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYQIVEPAHMELAKPSINDAFRLCMQKGAKRVIISPFFLSPGRHWLQDIPALVADASKEHSGISYIITAPLGLHELMVDVMNDRIKHCLTHVAGDADECSVCAGTGKCRLYQNQ >Ma10_p26150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33986275:33989911:1 gene:Ma10_g26150 transcript:Ma10_t26150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSATTPSQFPVTWFSRSDSASGKSVRIHHRLPFPISVKLQRIMMKSSSFPTNSSLSLVNEERGRVEHKVGEHDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYQIVEPAHMELAKPSINDAFRLCMQKGAKRVIISPFFLSPGRHWLQDIPALVADASKEHSGISYIITAPLGLHELMVDVMNDRIKHCLTHVAGDADECSVCAGTGKCRLYQNQ >Ma05_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11326950:11328730:-1 gene:Ma05_g15220 transcript:Ma05_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNSPRHLSKLPPQALSTPTSMTQHLSRKFNSSYLHKAVFFSVIIALVSLFPSRAPEFVNQSILSRTWELLHLLFVGIAISYGLFSRRNADFDAGKETLVKAENHDSYLSHVLHGSSVFDDDEFYGMQEILGETKTRTWSSQYRRNEPVVVIANESTESSHATGRPLFLPVRSLKSHIQDDMDAPSVSIDGTDETVEAGEAGVVPSPVSWRSSSGRMEMMDEPGSITGMVVPPCSSSSLPPPYLDDHPNLSKTPSFRSSKTPSPNRSSLSPQPRAKSDEEMRNTMAFYKFSPPPPPPPPPPPPFTSHGCPSTAHKEIIRKTFKDELEDLSRRVRTGGQASTDIVFDLFESATKPKNSVDGSSVGRCLRTTIDPATKIDSEQFLGHEAEKVVVPPADSAAAAAAESSAKEHAFSSAAQPRGANENEVDKKADEFIAKFRKQITLQRSESAQLAAAKC >Ma10_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28950543:28955443:-1 gene:Ma10_g17620 transcript:Ma10_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATPSSGLRRIQFLRVQDRRKPLKRLASAATKDGSATSSEAEEDKGVSVELRPAPRFPQLETSSSQPNLAPRIPRIEASSNGSNLRFDRLQPSDEEFSCEHRRAFGRFVAREALLDEELWTAAWLRAESQWEDRSDVRYVETYKRQFADQEFNALKKRCSRQHAEKCVCIVAIRKDEKNVKRTVLKSIVGTLDLSVRQLLCGETFPGECLKPPASSNFYRADQPRYAYVANLCVAKHARRQGIATNMLLLAIDAAVSYGTHRIFVHVHKNNTGAQKLYDRIGFQMVKKAVPHLLADDKHLLCLKVKSDSSS >Ma06_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22730837:22746180:1 gene:Ma06_g24260 transcript:Ma06_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGRKIGLALDFSKSSKAALRWAIDNLLRKGDTILLLHIMPDKGDEAKHPLWIQSGSPLIPLTEFRQPDVMKHYELDVNMEVLDELDTASRQKGAIIVAKLFWGDAREKLCQAVGDLGLDSLVMGSRGVSPIRRILLGSVTNYVLTNATCPVTVVKN >Ma02_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27754586:27759416:-1 gene:Ma02_g22410 transcript:Ma02_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G14110) UniProtKB/Swiss-Prot;Acc:P43255] MDLSPIQNAVASGSYATIADACDELMLQVASRGIAYQEDWPFTVHLLGHIFANDLNSARFLWKSIPQGLKESKPELVAAWRIGQCLWTRDYAGVYDAIRGFEWSPEVAGLITAFSENYTKKMFQLLSSAYSTIGVADVAHFLGMSEDEATNYALQHGWTLDSSSKMLTVKKPKIITEQKLDPSKLQRLTEYVFHLEH >Ma09_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4478085:4479203:1 gene:Ma09_g06970 transcript:Ma09_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGKIHPSPPPHDALAVLGLLPAAILALTAALREEDKEVLAYLLTRSIDGPAAAAEERRHCRRPGSATQHLPVFGCGCFDCYTGFWSRWDCSPDRELIHQAIEAFEEHLASAEKKGARGRRAERKAAERAVKGKGKKGKEKEKVRRLAVEADKAQKQPEEAEKPLEVTTPGGSEGFASEEAPVGAGAGAATKTAAAEEEEEGVEAEAANGERRRGWADVMGMFHSRLWSLWGPGA >Ma07_p16980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15967466:15971245:-1 gene:Ma07_g16980 transcript:Ma07_t16980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEKSSVEKEKRVAENGDMKTRSASFNKEMNEGKDEQYEYTLDGSVDIRVNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQVIFVFGLVLLSLSTYLFLLKPSGCGEEHFICGPHSSMDTGVFYLSIYLIALGNGGYQPNIATFGADQFDAKDPDESHSKVSFFSYFYLALNLGSLFSNTFLNYFEDKGMWALGFWVSAGSAVVALLLFLCGTSRYRHFKPIGNPLSRVCQVVVAASRKWRMKMPTNGGDDLYEAEEKQVSAAHGSRRILHTEGFRFLDRAAVIDPENDFTIQQGKSGCSRDPWRLCPITQVEELKCVLRLIPIWLTTILYSVVFTQMASLFVEQGAAMDGTVAGFRIPPASMSAFDIVSVAAFILFYRRFLNPLVSRLRKDARGLTELQRMGAGLILAIMAMASAGTVEVQRLRSVCRGCDDDSSLSILWQIPQYALIGASEVFMYVGQLEFFNGQAPHGLKSFGSALCMTSISLGNYVSSLLVTAVMEITGKGDRAGWIPANLNRGHMDRFYFLLASLTAADFAVFVTCAIWYTSTKLEDSDEDEDENCNV >Ma07_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15967466:15971245:-1 gene:Ma07_g16980 transcript:Ma07_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEKSSVEKEKRVAENGDMKTRSASFNKEMNEGKDEQYEYTLDGSVDIRGRPAAKGKTGGWVGGVLVLVNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQVIFGLVLLSLSTYLFLLKPSGCGEEHFICGPHSSMDTGVFYLSIYLIALGNGGYQPNIATFGADQFDAKDPDESHSKVSFFSYFYLALNLGSLFSNTFLNYFEDKGMWALGFWVSAGSAVVALLLFLCGTSRYRHFKPIGNPLSRVCQVVVAASRKWRMKMPTNGGDDLYEAEEKQVSAAHGSRRILHTEGFRFLDRAAVIDPENDFTIQQGKSGCSRDPWRLCPITQVEELKCVLRLIPIWLTTILYSVVFTQMASLFVEQGAAMDGTVAGFRIPPASMSAFDIVSVAAFILFYRRFLNPLVSRLRKDARGLTELQRMGAGLILAIMAMASAGTVEVQRLRSVCRGCDDDSSLSILWQIPQYALIGASEVFMYVGQLEFFNGQAPHGLKSFGSALCMTSISLGNYVSSLLVTAVMEITGKGDRAGWIPANLNRGHMDRFYFLLASLTAADFAVFVTCAIWYTSTKLEDSDEDEDENCNV >Ma07_p16980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15967466:15971245:-1 gene:Ma07_g16980 transcript:Ma07_t16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEKSSVEKEKRVAENGDMKTRSASFNKEMNEGKDEQYEYTLDGSVDIRGRPAAKGKTGGWVGGVLVLVNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQVIFVFGLVLLSLSTYLFLLKPSGCGEEHFICGPHSSMDTGVFYLSIYLIALGNGGYQPNIATFGADQFDAKDPDESHSKVSFFSYFYLALNLGSLFSNTFLNYFEDKGMWALGFWVSAGSAVVALLLFLCGTSRYRHFKPIGNPLSRVCQVVVAASRKWRMKMPTNGGDDLYEAEEKQVSAAHGSRRILHTEGFRFLDRAAVIDPENDFTIQQGKSGCSRDPWRLCPITQVEELKCVLRLIPIWLTTILYSVVFTQMASLFVEQGAAMDGTVAGFRIPPASMSAFDIVSVAAFILFYRRFLNPLVSRLRKDARGLTELQRMGAGLILAIMAMASAGTVEVQRLRSVCRGCDDDSSLSILWQIPQYALIGASEVFMYVGQLEFFNGQAPHGLKSFGSALCMTSISLGNYVSSLLVTAVMEITGKGDRAGWIPANLNRGHMDRFYFLLASLTAADFAVFVTCAIWYTSTKLEDSDEDEDENCNV >Ma06_p24230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22707972:22711489:1 gene:Ma06_g24230 transcript:Ma06_t24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKDEYTQDGTTDIHGNPAIKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLHQGNVTAANNVTNWSGTCYIMPLLGAFIADAYIGRYWTISSFMIVYILGLTLLTMTASVKGLKPSCQNGVCDPTRAQTAAVFTALYLIALGTGGIKPCVSSFGADQFDDSDESEKKRKSSFFNWFYFSINIGALIASSVLVWIQTDVGWGWGFGIPAVVMAIAVVSFFMGTRLYRHQKPGGSPLTRIAQVMVASLRKAGVKVPADKSLLYEITQKESAIQGSRKLDHTDQFKFLDKAAVETQEDKARSPVNPWRLCTITQVEELKSILRILPVWASGIVFSTVYSQMSTMFVLQGNTLDRHMGPHFQIPSASLSIFDTISVIVWVPIYDRIIVPAVRRSTGRERGFTQLTRMGIGLVISIFSMVAAGILEVARLRTVARHGLYDVTSGYVPMSIFWQVPQYFIVGAAEIFTFIGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTSITTRNRKLGWIPDNLNRGHLDYFFWLLAVLSLLNFGVYLLIAKCYTYKKTVEDEKTTTNDVELQ >Ma06_p24230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22706400:22711489:1 gene:Ma06_g24230 transcript:Ma06_t24230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKDEYTQDGTTDIHGNPAIKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLHQGNVTAANNVTNWSGTCYIMPLLGAFIADAYIGRYWTISSFMIVYILGLTLLTMTASVKGLKPSCQNGVCDPTRAQTAAVFTALYLIALGTGGIKPCVSSFGADQFDDSDESEKKRKSSFFNWFYFSINIGALIASSVLVWIQTDVGWGWGFGIPAVVMAIAVVSFFMGTRLYRHQKPGGSPLTRIAQVMVASLRKAGVKVPADKSLLYEITQKESAIQGSRKLDHTDQFKFLDKAAVETQEDKARSPVNPWRLCTITQVEELKSILRILPVWASGIVFSTVYSQMSTMFVLQGNTLDRHMGPHFQIPSASLSIFDTISVIVWVPIYDRIIVPAVRRSTGRERGFTQLTRMGIGLVISIFSMVAAGILEVARLRTVARHGLYDVTSGYVPMSIFWQVPQYFIVGAAEIFTFIGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTSITTRNRKLGWIPDNLNRGHLDYFFWLLAVLSLLNFGVYLLIAKCYTYKKTVEDEKTTTNDVELQ >Ma06_p24230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22707972:22711489:1 gene:Ma06_g24230 transcript:Ma06_t24230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKDEYTQDGTTDIHGNPAIKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLHQGNVTAANNVTNWSGTCYIMPLLGAFIADAYIGRYWTISSFMIVYILGLTLLTMTASVKGLKPSCQNGVCDPTRAQTAAVFTALYLIALGTGGIKPCVSSFGADQFDDSDESEKKRKSSFFNWFYFSINIGALIASSVLVWIQTDVGWGWGFGIPAVVMAIAVVSFFMGTRLYRHQKPGGSPLTRIAQVMVASLRKAGVKVPADKSLLYEITQKESAIQGSRKLDHTDQFKFLDKAAVETQEDKARSPVNPWRLCTITQVEELKSILRILPVWASGIVFSTVYSQMSTMFVLQGNTLDRHMGPHFQIPSASLSIFDTISVIVWVPIYDRIIVPAVRRSTGRERGFTQLTRMGIGLVISIFSMVAAGILEVARLRTVARHGLYDVTSGYVPMSIFWQVPQYFIVGAAEIFTFIGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTSITTRNRKLGWIPDNLNRGHLDYFFWLLAVLSLLNFGVYLLIAKCYTYKKTVEDEKTTTNDVELQ >Ma06_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22707972:22711489:1 gene:Ma06_g24230 transcript:Ma06_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKDEYTQDGTTDIHGNPAIKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLHQGNVTAANNVTNWSGTCYIMPLLGAFIADAYIGRYWTISSFMIVYILGLTLLTMTASVKGLKPSCQNGVCDPTRAQTAAVFTALYLIALGTGGIKPCVSSFGADQFDDSDESEKKRKSSFFNWFYFSINIGALIASSVLVWIQTDVGWGWGFGIPAVVMAIAVVSFFMGTRLYRHQKPGGSPLTRIAQVMVASLRKAGVKVPADKSLLYEITQKESAIQGSRKLDHTDQFKFLDKAAVETQEDKARSPVNPWRLCTITQVEELKSILRILPVWASGIVFSTVYSQMSTMFVLQGNTLDRHMGPHFQIPSASLSIFDTISVIVWVPIYDRIIVPAVRRSTGRERGFTQLTRMGIGLVISIFSMVAAGILEVARLRTVARHGLYDVTSGYVPMSIFWQVPQYFIVGAAEIFTFIGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTSITTRNRKLGWIPDNLNRGHLDYFFWLLAVLSLLNFGVYLLIAKCYTYKKTVEDEKTTTNDVELQ >Ma09_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32242722:32253318:1 gene:Ma09_g21320 transcript:Ma09_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVHTVPPPDLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTAGMAWTVVNLFHFAVTYYFFHWKKGTPFAEDQGIYNNLTWWEQMDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTVSVAVLVIAKFPNMHKVRIFGING >Ma01_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7778523:7779389:1 gene:Ma01_g10820 transcript:Ma01_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFDSSLWRTIDLRILWSDLLIRVDTELRLSQALMTIVAYGHGNITSIVFHPNLPTKDEHLNIISRGCPHLKRLVMPCWDSISVTAMSDAINNWGELESITMPSLTPSFRTMLTIDGSCERLSQLKVVGVVHDGFLSSPFGNFPFELKVLSLQCCLVPLGGLLTIMDMHLHLEVLNLSHVLLHLDERMNPVPLMKLFDNPVGMLILEKAFRLSSFFYCEDYKSCNECRRMMNGHTRHSDGWWRLDEVASLNLRESDDI >Ma11_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10719930:10753685:1 gene:Ma11_g10790 transcript:Ma11_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLSSSPTAAATTAAGGAAHRFLYPHRPCLHRAGKNFVLLSFVPCRASSVARGLIRGMADVETQKAASPSILAGHKQALISLSDKRDLSLLGDGLQGLGYSIVSTGGTAFALEEAGVSVTKVEEITHFPEMWVIGGHGNPTGHHHEKE >Ma04_p37830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35548604:35551413:-1 gene:Ma04_g37830 transcript:Ma04_t37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MSVTSAFGCGAAFLRHLSAGSRSGSTLILLRAGGAKPRPYSSSLARCAAPEPPDVSRLADTARISLTPEEVEQFAPKIRQVIDWFGQLQAVDLETIEPSLRADTDANTSQREDAPETFGNREAIIAAVPSYDNPYIKVPKVLNKE >Ma05_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7198527:7201220:-1 gene:Ma05_g10020 transcript:Ma05_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRANSCPPLDPTIPSLLLLSLSLLLPQAKGQVPAPQLSDGVRCSADRSIYPCQAYALYRAEPTEVPLSVDLASVGDLFGVSRAMIARTTNLTVADSTLPLRQGQLLLVPLTCSCDRNRSYSPAYYQIKADDTFYLVSTVTYGNLTAYPAVELVNPTLVPEDLQIGVIVNFPIFCQCLKNNTINGKNILGLVTYVLQPSDTYASVAASFATDVQTLTDLNGPENMTFSDIFVPLYQIPPPLLRTNVLSEAPASPPTASAPVVEKNENKGVIAGLAGGLGALCALQLLLLAWCWRRSNRKGEEVGKGGNYSSMERSGKGGGESRMGKSASGDGKLITDISEWLDKYKVFDVEELRDATSGFDDSRLIKGSVYKGTIGGEVFAVKKMKWNACDELKILQKVNHTNLVKLEGFCIDAKEGTTYLVYEYVENGSLDDWLGNPASARKLDWRTRLRIALDVASGLQYIHEHTWPRVVHKDIKTSNVLLDGNLHAKIANFGLASTGCNAITTHIVGTQGYVAPEYLTDGLVTTKMDVFSFGVVLLELITGREAVNEDGEALWSEAGRLFQSTAGRLEESLLQWVDAALAGQSCSVESVVSVMNVARACLHKDPSKRPSMMDAAYMLSKADEHLSDFSIDGLSVGGSDVAGR >Ma01_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:730461:731459:-1 gene:Ma01_g01040 transcript:Ma01_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDCNTSLSLAIGGGRQFTPSTSLHVLFPHHPKQEEEEEEDTHRHVGSVSRWKELDEEEEEEDGVSGKDDSSNLSDDKPVGTRKKLKLTIEQVRLLEDSFRHRSTLNTTQKQDLAHRLNIRPRQVEVWFQNRRARTKLKRIELDYECLRMHCDRLIDENRRLKKELQELRSATPASPFYVQLLEAATLIMCPACERIAAAGKRKSSALEDGMKRPPQLVPVNTALLRLQN >Ma04_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7762640:7769157:-1 gene:Ma04_g11010 transcript:Ma04_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAARRRLESIGRHLLPPRILSRDLHLNPVSLTESNAVGSSPVIIGGMILDIHAKPFTDPVPGTTKPGEVQYVSGGVARNIAECMSKLGTRPFMISAVGFDMAGEMLLRYWKSAGLSTEGILQSKSIRTPVVSIVFDSSGELAAAVASVEAVEKFLTPEFIKQFQSYICSAPMLILDANLHPKSIEFACQIAAAAGIPVWFEPVSVKKSTRIATVVNYITCASPNEKELIAMANALSSRKQFKFHCESTKGQRQSVESLFQMLKPAICFLLDKGIKLLVVTLGPDGVFLCIREWPRFKSHDLKSSKLDGLGRMSHEFVDESCSLKQNCTTISGQLGFKSYAFHFPALHASVVSLTGAGDCLVAGILASFSSGFDMMRSVAVGIAVAKAAVESETNVPAEFFLHNIAGEAKIILSGVKQLPL >Ma04_p11010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7763462:7769157:-1 gene:Ma04_g11010 transcript:Ma04_t11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAARRRLESIGRHLLPPRILSRDLHLNPVSLTESNAVGSSPVIIGGMILDIHAKPFTDPVPGTTKPGEVQYVSGGVARNIAECMSKLGTRPFMISAVGFDMAGEMLLRYWKSAGLSTEGILQSKSIRTPVVSIVFDSSGELAAAVASVEAVEKFLTPEFIKQFQSYICSAPMLILDANLHPKSIEFACQIAAAAGIPVWFEPVSVKKSTRIATVVNYITCASPNEKELIAMANALSSRKQFKFHCESTKGQRQSVESLFQMLKPAICFLLDKANWTVLGGCHMNLWMKAAP >Ma07_p06870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4951046:4952639:1 gene:Ma07_g06870 transcript:Ma07_t06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIITPRRFVVGYALAPKKQQSFIQPSLVGLARERGIDLVAIDASRRLADQGPFDCVIHKLYGEDWKAQLEDFEARNPSVPIVDPPLAIERLHNRISMLQVVSELEIPQVRETIGIPSQVVIYDSGALSNSGVVGALHFPVIAKPLVADGSAKSHKMSLVFHRDALLKLKPPLVLQEFVNHGGVIFKVYVVGDYVQCVKRKSLPDVSEEKLECSEGSVTFSQVSNMTTQDPTEVEYYMHLNEPEMPPLSFLTEIARGLRQAMGLRLFNFDVIRDVKIGNRYLVIDINYFPGYAKMPSYEKILTDFFWNIVYENKEQDPGGLAVGDNDKESKVLVGNHR >Ma07_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4951046:4952639:1 gene:Ma07_g06870 transcript:Ma07_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIITPRRFVVGYALAPKKQQSFIQPSLVGLARERGIDLVAIDASRRLADQGPFDCVIHKLYGEDWKAQLEDFEARNPSVPIVDPPLAIERLHNRISMLQVVSELEIPQVRETIGIPSQVVIYDSGALSNSGVVGALHFPVIAKPLVADGSAKSHKMSLVFHRDALLKLKPPLVLQEFVNHGGVIFKVYVVGDYVQCVKRKSLPDVSEEKLECSEGSVTFSQVSNMTTQDPTEVEYYMHLNEPEMPPLSFLTEIARGLRQAMGLRLFNFDVIRDVKIGNRYLVIDINYFPGYAKMPSYEKILTDFFWNIVYENKEQDPGGLAVGDNDKESKVLVGNHR >Ma06_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21516213:21518029:1 gene:Ma06_g23500 transcript:Ma06_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDGELYHHDHGFFQDRDEFAFQDERDDLSSLFAQNQGGGGAANSLQGLDPYAVSPFLSFDDFLDGSAVRHGTLASAFDASYLHPDVFGNGEITLVDSTAVKSGNDLAPLTGCGGGDGTSPVSPNSSVSSSSTEAAVEDDSGRRKKDQLKQEVDEEEEEEIKQLKRQGKAGEEAERDKAKKQNKPRKKGEKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSLKCPVKKRVERSYQDPTVVITTYEGKHTHQSPATARASAQQLLAPPPPAAASTSFRNHLLMQQPRAPSAIQQHNANVSVNLPGFQSPLQQPQVADYGLLQDIVPSFTQYSEP >Ma08_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32775940:32777794:-1 gene:Ma08_g18990 transcript:Ma08_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSGGSGSGGGGSGAGKMPTNFLKSIRGRPVVVKLNSGVDYRGILACLDPYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGV >Ma04_p06930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5046040:5049566:1 gene:Ma04_g06930 transcript:Ma04_t06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPERIRPSPSSLSSPTPSSQEEQQQHAPGLGMNHLTVETDDFSSSLLELAANDDVDAFRQSLDRDPSAINEAGLWYSRRKGSNQMVLEHRTPLMVAATYGSLSVLKLIVSLPSVDVNRVSGPGNATALHCAASGGSSDAVDAVKILLAAGADPTLVDANGNRAADVIAVPPRLPDVKNAIGQLLGRCSDISGGGFDRHHPPLSVTTSSSNSTSPPRSLSPDEEGSPSARSTSSPPTAKYPDLTVTVSERKEYPVDPTLPDIKNSIYATDEFRMFSFKIRPCSRAYSHDWTECPFAHPGENARRRDPRKYHYSCVPCPDFRKGSCRRGDMCEYAHGVFESWLHPAQYRTRICKDGTSCARRVCFFAHTDDELRPLYMSTGSAVSSPRASTASVEMAAALGFMPGSPSSVSAGMSAFTPPMSPLANGIGHSSLAWPQPNVPMLNLPVSNLQASRLRSSLSAREMPVDDQLVMSEFDKQQLLNDWCQSRLSSSTANHSVRTKAMNPSNLDDLFSAEITSSPRYNSDQGCIFSPSHKTAILNHFQQQQNLLSPINTGVPSVKATDAQQLPVHSSLLQASLNISSPGFMSPRSMEPVSPLSSRLAVLSQRERQQQTLRSLSSRDFGPISSPILDSPTNLSWSKWASPSGIPDWGVNGEELGLLRRSSSFELRGTGDEPDLSWVHSLVRDTPPEKMVSAAVAPAGPSDLPAIGVESSNSKGEMDGHDQAVVLGAWLDNMQLDQIIT >Ma04_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5046040:5049566:1 gene:Ma04_g06930 transcript:Ma04_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPERIRPSPSSLSSPTPSSQEEQQQHAPGLGMNHLTVETDDFSSSLLELAANDDVDAFRQSLDRDPSAINEAGLWYSRRKGSNQMVLEHRTPLMVAATYGSLSVLKLIVSLPSVDVNRVSGPGNATALHCAASGGSSDAVDAVKILLAAGADPTLVDANGNRAADVIAVPPRLPDVKNAIGQLLGRCSDISGGGFDRHHPPLSVTTSSSNSTSPPRSLSPDEEGSPSARSTSSPPTAKYPDLTVTVSERKEYPVDPTLPDIKNSIYATDEFRMFSFKIRPCSRAYSHDWTECPFAHPGENARRRDPRKYHYSCVPCPDFRKGSCRRGDMCEYAHGVFESWLHPAQYRTRICKDGTSCARRVCFFAHTDDELRPLYMSTGSAVSSPRASTASVEMAAALGFMPGSPSSVSAGMSAFTPPMSPLANGIGHSSLAWPQPNVPMLNLPVSNLQASRLRSSLSAREMPVDDQLVMSEFDKQQLLNDWCQSRLSSSTANHSVRTKAMNPSNLDDLFSAEITSSPRYNSDQGCIFSPSHKTAILNHFQQQQNLLSPINTGVPSVKATDAQQLPVHSSLLQASLNISSPGFMSPRSMEPVSPLSSRLAVLSQRERQQQTLRSLSSRDFGPISSPILDSPTNLSWSKWASPSGIPDWGVNGEELGLLRRSSSFELRGTGDEPDLSWVHSLVRDTPPEKMVSAAVAPAGPSDLPAIGVESSNSKGEMDGHDQAVVLGAWLDNMQLDQIIT >Ma03_p25940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29845999:29850152:-1 gene:Ma03_g25940 transcript:Ma03_t25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCPLSLLLLVIALASALHPPQQVFAVKPMPRLRTDSMILQNSIIQKINANPNAGWKASMNSRFENYTIGQFKHILGVKPMPHNEVMDIPTKTYTKSLKLPKQFDARTAWPQCSTIGRILDQGHCGSCWAFGAVESLSDRFCVHFGMNISLSVNDLLSCCGFMCGDGCDGGYPIRAWRYFVENGVVTDECDPYFDDIGCAHPGCVPLYPTPQCAKKCQVKNLLWDESKHFAVNAYRVKSDPPAIMTEVYTNGPVEVSFTVYEDFAHYKSGVYKHLTGDEIGGHAVKLIGWGTSDEGEDYWLLANQWNRGWGDDGYFKISRGTNECGIEEDVVAGMPASKNLIVDYTTSDPKAAASA >Ma03_p27260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30703403:30706873:1 gene:Ma03_g27260 transcript:Ma03_t27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALGGQADSSSSSSAPPRTLTLDGSVKCLHGRLPPPEILERYQSLEHLSIANVGVSSLEKFPRLRNLQRLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQFLEDLAPLAHLRLVSLDLYECPVTRTKDYRSRVFGMIRTLKYLDKMDADENERPESDEEEEEEEDDDEEDEEEDPGSGEVDGDDQAGRLVNGVGSGARGGGIVDVDEEDESDAEEEDAETVRRADSNASLQRYHLANGFRVAPVGVSSLDGEEDEDEEEDVEDDDDEEEDNDEDLGEEIDAEDGDEEDVVEVHDVGDSDEDIDGVEEDGEEEVDEEEEDDEDGSGEEDQEDVEDEEDDGVPGSSGRLINAEGEIDGHEQGEGDEDENGEIGEEDEQGVDDDRFSEEGDGEDDDEDDDIGGEYLVQPIAQPPTVRRDFDACNDEEEDEVDDEEDDPHRNTTLPHQPSSSQPNKRKRDEEDDIDDQNLRSSKHP >Ma03_p27260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30703403:30706669:1 gene:Ma03_g27260 transcript:Ma03_t27260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALGGQADSSSSSSAPPRTLTLDGSVKCLHGRLPPPEILERYQSLEHLSIANVGVSSLEKFPRLRNLQRLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQFLEDLAPLAHLRLVSLDLYECPVTRTKDYRSRVFGMIRTLKYLDKMDADENERPESDEEEEEEEDDDEEDEEEDPGSGEVDGDDQAGRLVNGVGSGARGGGIVDVDEEDESDAEEEDAETVRRADSNASLQRYHLANGFRVAPVGVSSLDGEEDEDEEEDVEDDDDEEEDNDEDLGEEIDAEDGDEEDVVEVHDVGDSDEDIDGVEEDGEEEVDEEEEDDEDGSGEEDQEDVEDEEDDGVPGSSGRLINAEGEIDGHEQGEGDEDENGEIGEEDEQGVDDDRFSEEGDGEDDDEDDDIGGEYLVQPIAQPPTVRRDFDACNDEEEDEVDDEEDDPHRNTTLPHQPSSSQPNKRKRDEEDDIDDQNLRSSKHP >Ma04_p35110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34041222:34041551:-1 gene:Ma04_g35110 transcript:Ma04_t35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFHAMKKGVLTSAAGGNDGPDRGSVANVAPWMLVSAASTIDRRIIDKLVIGSEQRPIEGASINTFPAEKRSYPFMFLGN >Ma05_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32937006:32939783:1 gene:Ma05_g21270 transcript:Ma05_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVSSESAVTVDVHAAKGFVDSGHKYLDVRTVEEFKKGHPWNALNVPYVFFTPQGKLLKNPEFLEQVSSICDKDDHIVVGCQSGVRSLQATEELLKAGFKHVKNMGGGYVAWVENGFLVKALQEEL >Ma01_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5753188:5753813:1 gene:Ma01_g08020 transcript:Ma01_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSALVRVLDCLSLAVSPGSCVCMNTWEEEEEDGFEEKSLIKSHVEQVLKIKDVLDGGKTTLAFHLEPKTVVLRVSMHCNGCARKVEKHISKMEGVTSFQVDLANKKVVVVGDITPFEVLKSVSKVKFAELWLT >Ma09_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34668035:34668869:-1 gene:Ma09_g22770 transcript:Ma09_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHTTLLATLLLAALVATASAEPRATRRLLADNVAAVCQYVTVPQLCTSLAARSGATSFQALTVAAVNEALSTAEEAKATVDRIVAAPITDEKLKAQLGVCLENFGYAVDTLKKAATDLQTGAAHSQSVSHISASVTYVGNCNDAFSENPGLVSPVADITGILKKLVSNSLGLAIGVHMQASRN >Ma07_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2551054:2551983:-1 gene:Ma07_g03290 transcript:Ma07_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLSLSRPSPRRELQGPRPTPLQVRKDSHKIRKPPAAAPPQTRQPVIIYTVSPKVIHASRSEFMSLVQRLTGAASSSADPTAAASRSRGDSAGGAPLSPAARLAVFERTSHPASNRDVLDQLEIDGPMTSSRAGLFPGILSPVPSSLPPVSPNLFSASTVPSELNLFNELSPAFHGGKSYVENPFLPSPNNFLSTPILPSPGAFWDLFNQNEEC >Ma06_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2188231:2191311:-1 gene:Ma06_g02910 transcript:Ma06_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRINIYRDGLVTIPLRGRNARLVSMRKRAASASAAAKSVAKGKSTGHDAFFTSPDPSSKPYHFDLPSFPTATAASSSLNTTPASYLRHPPSPPPSAKDKTTTLATISDLKALASSRIDSLKRHLDLCRSDILRDFDASNTRLSKRFKIQTKACLQLTEEAEKDYKKIADRISEHTEIIKASYAEVISEAHSTASRVCKVSIPELIQSTEKAIEGLRSRYKIPATPI >Ma04_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2825907:2826559:-1 gene:Ma04_g03650 transcript:Ma04_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLFAVAFSAAPLTLYVPPMRSLNPFVEAVEMFAREAAVYTRQAFPQLRLGVRRILAVASARLGISRS >Ma06_p25310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25591394:25593878:1 gene:Ma06_g25310 transcript:Ma06_t25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQGEILEWSSGHTTLAWGYPLGFPFVDTHGLARTATWPGDLFENKKAEGTKLLWGSSAGAWPPASKAEKQLIDAAMSHSLHLPPLLRGLQLCGPVPRRRPDHLRSRSRQANHPRSRRRSHQKQQHPGPGRRSHIQGSRQGPRDTRTYYPCARRRRRQSAHGGRANLGSSSARAGDIPARAASDDVASAGGFPHPIDPSPRRGAAEARRDARPDSEQEEEEEEGEEGRRGPRSEPPGSGRRVSRGFVRGHLPGSQRRRRRNEWCTDSERLYLRRAGPAPGACRHPCLDRDMIHNSSFLSLVSLLCCRIVVGSEREGPPPVVVMHSLGFFFFCLERFCVEDYYLPH >Ma08_p30660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41845510:41846909:-1 gene:Ma08_g30660 transcript:Ma08_t30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUCHI [Source:Projected from Arabidopsis thaliana (AT5G18560) UniProtKB/TrEMBL;Acc:A0A178URC3] MSSSKASDNTTVKAQGYTPPMMDFCQGKPYHSSSLSSPSSSSPVAGTSSGERRGRRKPTEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAAMAYDRAALAMKGTQARTNFVYADTPLTTFHAVTPFHSQSFIPQPMPPLPGQPHQLVGAATAIQPSNHSNFSIQSHRHSISAPSRRDVDSLLFRSSRDATNMPAFGSPAEMDMSNDFLFSDDTRSGYLSSIVQESRLRSSRKHSSANRGNSSSSESNAQVLSPSNQVQCQVSTSSCGATNGDPLGGGVGSMSSEDFPCLAEMSKGFWMDEPVWELSACGFPDANDINMDNLGASLPQVSVSVSTSPSVSSLTDVFDLGYPLF >Ma10_p31370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37548286:37554646:-1 gene:Ma10_g31370 transcript:Ma10_t31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLCDFCTERRSVVYCRSDAASLCLSCDRNVHSANALSRRHSRTLLCDRCTTQPAIVRCTEENASLCQNCDWNDHGRSALTSEHKRQTINCYSGCPSAAEFSRIWSFFEFPDMTEPDCEQGLMTINENSAINCWRPPEDSSTINIGSTLETNDNRTNPESSAVNAFSCAADLPAGSMNSTSKISCPGTEEIALCKDDFYEDLAVGDVDMTFENYEEFFGVSRDQTGDLFDDAGIDSYFDMKENSTSNSICDGEFAEEVKQMQATCRNVLSADSVMSNPGGHEDSSLVITGHQVQSTITFSFSGMTGESSAREYQDCGMSGMLVIGEPPWYHTGRESSSLPASSRESALMRYKEKKKARKFEKKIRYALRKARADGRKRVKGRFVKAGEAYDYDPLSQTIC >Ma09_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10356702:10357805:-1 gene:Ma09_g15050 transcript:Ma09_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCEKVGLKKGPWTAEEDRKLVNFMLNHGKCCWRAVPELAGLLRCGKSCRLRWTNYLRPDLRRGLLSDAEEKLVIDLHSQLGNRWSKIASHLPGRTDNEIKNLWNTHIKKKLRKIGIDPLPHMPIIPSANEQTHQQPKQQRRHVDAWSNGDQKAEEATSKYCCEVDEVPEAEEKSAVSTSDRAPDIADASLSSCPEFCTDEVPIIQPHEILIPCGSSSSSSSSSSSCSSTGSSAKAGPIEPPSFMELPESTYLWGVDDLTVWSSIHGEELWKHDLF >Ma09_p31550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41229025:41248617:1 gene:Ma09_g31550 transcript:Ma09_t31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDFVIDEREQAETLGLGAADNPLHQFPDEPTFRLAPDLSFLHPSERNVIQRLISLGFYYRELDHFATISRNLSFIQSTKDFSLPHSPELLKGKPRKGSVYRRAIANGIVEILSVYRAAVLQVEQNLLSDPLPILATVTQGLNKFEVLLPPLYELIVEIEREDIRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVMYNQLTYWMVYGILQDQHGEFFIQRQDRKTEGHELSHLDDITNLMQKSTDDGALTDWHLGFHISLEMLPEYINMRVAESILFAGKAVKVLRNPTSSFRLQESSVRQSVLKGSCRVQGFLGTFGLEREIPQSSNLIAEDLLPQSEADKIDAMLKELKQSSEFQKRLFESAISSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTVSDEDKYFPRVSLRMPSFGINMTATQVDFPKLKSNADGNLVPLQGRTLVESSLDGWDGVALEYSVDWPLQLFFTQEVISKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHIDFANNRRDCKNNSIPQHRRRRSLPMWRVREHMTFLIRNLQFYIQVDVIESQWNVLQAHLQDSRDFTELVGFHQEYLAALISQSFLDIGSVSRILDSIMKLCLQFCWIIEQYESNPNISELEHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFEQTARGVLNVVKARPAIPFVQQE >Ma09_p31550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41229025:41248616:1 gene:Ma09_g31550 transcript:Ma09_t31550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDFVIDEREQAETLGLGAADNPLHQFPDEPTFRLAPDLSFLHPSERNVIQRLISLGFYYRELDHFATISRNLSFIQSTKDFSLPHSPELLKGKPRKGSVYRRAIANGIVEILSVYRAAVLQVEQNLLSDPLPILATVTQGLNKFEVLLPPLYELIVEIEREDIRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVMYNQLTYWMVYGILQDQHGEFFIQRQDRKTEGHELSHLDDITNLMQKSTDDGALTDWHLGFHISLEMLPEYINMRVAESILFAGKAVKVLRNPTSSFRLQESSVRQSVLKGSCRVQGFLGTFGLEREIPQSSNLIAEDLLPQSEADKIDAMLKELKQSSEFQKRLFESAISSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTVSDEDKYFPRVSLRMPSFGINMTATQVDFPKLKSNADGNLVPLQGRTLVESSLDGWDGVALEYSVDWPLQLFFTQEVISKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHIDFANNRRDCKNNSIPQHRRRRSLPMWRVREHMTFLIRNLQFYIQVDVIESQWNVLQAHLQDSRDFTELVGFHQEYLAALISQSFLDIGSVSRILDSIMKLCLQFCWIIEQYESNPNISELEHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFEQTARGVLNVVKARPAIPFVQQE >Ma09_p31550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41229025:41248128:1 gene:Ma09_g31550 transcript:Ma09_t31550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDFVIDEREQAETLGLGAADNPLHQFPDEPTFRLAPDLSFLHPSERNVIQRLISLGFYYRELDHFATISRNLSFIQSTKDFSLPHSPELLKGKPRKGSVYRRAIANGIVEILSVYRAAVLQVEQNLLSDPLPILATVTQGLNKFEVLLPPLYELIVEIEREDIRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVMYNQLTYWMVYGILQDQHGEFFIQRQDRKTEGHELSHLDDITNLMQKSTDDGALTDWHLGFHISLEMLPEYINMRVAESILFAGKAVKVLRNPTSSFRLQESSVRQSVLKGSCRVQGFLGTFGLEREIPQSSNLIAEDLLPQSEADKIDAMLKELKQSSEFQKRLFESAISSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTVSDEDKYFPRVSLRMPSFGINMTATQVDFPKLKSNADGNLVPLQGRTLVESSLDGWDGVALEYSVDWPLQLFFTQEVISKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHIDFANNRRDCKNNSIPQHRRRRSLPMWRVREHMTFLIRNLQFYIQVDVIESQWNVLQAHLQDSRDFTELVGFHQEYLAALISQSFLDIGSVSRILDSIMKLCLQFCWIIEQYESNPNISELEHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFEQTARGVLNVVKARPAIPFVQQE >Ma09_p31550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41229025:41243105:1 gene:Ma09_g31550 transcript:Ma09_t31550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDFVIDEREQAETLGLGAADNPLHQFPDEPTFRLAPDLSFLHPSERNVIQRLISLGFYYRELDHFATISRNLSFIQSTKDFSLPHSPELLKGKPRKGSVYRRAIANGIVEILSVYRAAVLQVEQNLLSDPLPILATVTQGLNKFEVLLPPLYELIVEIEREDIRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVMYNQLTYWMVYGILQDQHGEFFIQRQDRKTEGHELSHLDDITNLMQKSTDDGALTDWHLGFHISLEMLPEYINMRVAESILFAGKAVKVLRNPTSSFRLQESSVRQSVLKGSCRVQGFLGTFGLEREIPQSSNLIAEDLLPQSEADKIDAMLKELKQSSEFQKRLFESAISSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTVSDEDKYFPRVSLRMPSFGINMTATQVDFPKLKSNADGNLVPLQGRTLVESSLDGWDGVALEYSVDWPLQLFFTQEVISKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHIDFANNRRDCKNNSIPQHRRRRSLPMWRVREHMTFLIRNLQFYIQFIAGRCDRVSVECSTSSSARFS >Ma01_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8499094:8502861:1 gene:Ma01_g11700 transcript:Ma01_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDDSGNKADTSDVIEGNKVPGLQEQHEDSERKARVEEVWKQMNSGLPVKVPKPFVNKSNSTELTTTKKTIPDWMFTLGLAPVKMAATKDPLGKRPAIIQNGTSEQAKKLATAAISAVKDVASAATAERGKVEITEVHDFAGEEIEVKKLVEADSKEAAEKARVAGAPSSALDTILEQIKKKPKLSVLDKTKKDWGEFKEENQGMEEELDAYKKSSNQYLDKVSFLQRTDHREFERERDARLAMQAKRRPAMKEDDL >Ma01_p11700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8500517:8502861:1 gene:Ma01_g11700 transcript:Ma01_t11700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCNGTFHKYTLSGSCAFLRTCSILDNVFIDLSLNFKRKARVEEVWKQMNSGLPVKVPKPFVNKSNSTELTTTKKTIPDWMFTLGLAPVKMAATKDPLGKRPAIIQNGTSEQAKKLATAAISAVKDVASAATAERGKVEITEVHDFAGEEIEVKKLVEADSKEAAEKARVAGAPSSALDTILEQIKKKPKLSVLDKTKKDWGEFKEENQGMEEELDAYKKSSNQYLDKVSFLQRTDHREFERERDARLAMQAKRRPAMKEDDL >Ma02_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7852348:7853239:1 gene:Ma02_g01090 transcript:Ma02_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIHPHQQTTATAPRLPTRAEPSKGGERRKMQSLSFLTAQPILPIPQRRRLASPKPLVFFSSFSSSSTTCIISLSQGNPKCQNASARSQLKRLTPLASTGAGAVLDDSSDASRVEEAEQAEEKQKLGMVVKPPENPRLVLRFMWMEKNIGLALDQVIPGHGAIPLSPYYFWPRKDAWEELKSKIEAKPWISQKRMIILLNQATDIINLWQQSGGNL >Ma11_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21102385:21103254:-1 gene:Ma11_g15370 transcript:Ma11_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLCGRRPGTRHTEQHFGKVAGSTRGDITNNILV >Ma03_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2058114:2060601:-1 gene:Ma03_g03040 transcript:Ma03_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPSTPPYTRSSAEPRLRSKPASRLAPALDGGEGHNDTQIVPFLATPPSRKKSPLSASPLHLSSHSPVPLKDLLLLSPSPRHKPKGRLAVEESLEVAAAGGTPRRKGKSRAAAVAAMGLMGCASPRNARRARRRLEKEIVREEREIGPAEDDGGRVRRRRQSRSKVANKERLSLLPSVPSSPGPAAVGGSDGRSSVDGLQEHIIELVMWKNVAKSTLWFGLGSMFFLSSCFSKDFSFRHDIISAMSHLGVIILGVAFFKDSVPHRHQLKTTRKLQLTEADVVRAAQVILPVANAALAKTQEIFCGDPLRTLQACFRLVAPVLLFGAMYGHLITLRRLLATGFFLSFTLPKLYSCYSQQIHNKVESTISRVQEAWRSCPRKKLIAASAATMFWNLFGVKSRIFAAFISLVILRYHHQQVEDNGKRERKEEEQQEAELQPEQDDQL >Ma04_p26750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28103021:28103621:1 gene:Ma04_g26750 transcript:Ma04_t26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLPLSSKDIEKEVRKEVDDAIALAKESPMPDPSELFTNVYVKGFGAEVFGADRKEVKAVLP >Ma11_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25831435:25835064:-1 gene:Ma11_g21840 transcript:Ma11_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSSPDSWNKGSVQGEFRNRSMAQILKGLWERVQGKWHLKIICSHVFNQYAGNKPLMALEKLHVATLRVFDSLNKNLLGPHKQPPSASAIANKEKEYRKTKTEINENEFYETILEWTSKDLRIYTVNKIILACLASPALTIVTKNAGKRVPRIGHVVEKIPAPVLFSAYSALLVFLPDIRVE >Ma09_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10574371:10595901:1 gene:Ma09_g15270 transcript:Ma09_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKIPLLLVALALLLAPPYLSIAGATDALESVPDLQSAMYRNIKSYPCVRLLNLSGEIGCSNPGQEKVIAPIVRFKHSSDELTRLSAVLLPLEELEGLFLRISKDMDFAQKVAGVLVESDGYPSKSLGFSPAEKFPQAAFAPYKNINYEWNLAGSGIMSNQYNFPVFLLSPETKSFVQELVGKNAGGTDLYQVIVAEFDLVMQTTKSHTHDSGSCLKEQTCLPLGGFSKYLAFVRYLEHHIESPAVLEIGSVGKGLDQATRATTFYAHARGDSSVTKDMLTALQSASDSLGSDNVKIKAADVSNPGIPPSSLMTFLRKNSSAAGIVLEDFDSSFTNKFYHSHLDSPSNINSSSIAAAAALVARTLYILASGDLRVNLMTLNSIKVNVSLVEELVGCLLSCEPGFSCSIVRNFISPSNTCPSHYVGVFLDSPDTRYPEYADDTSRFVWNFLADKTSALKGSTSSCTGKCNSIDQVCIGAEIEGKGRCVISTTRYVPAYSTRLKIEDNLWHVIPASTLDPMGSVDPVWTESFWNTIGLRVYMVQSGTYDRLILVGGVGVTVASYIVTIVTKSFLAKAVKND >Ma02_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28648640:28649798:-1 gene:Ma02_g23760 transcript:Ma02_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTGPAMGGVGRPSLTATTGIMVGGVAASFALFVLVFFLYLRAKHYWGAIPVSVGGRDRFAEPAAVPQRRGLDAASVAALPSVVVRAGDCKEGLECAVCLCELSEGEASRLLPRCGHAFHLHCIDTWFSSHSTCPICRSPAVVDKPGDSEFVSALVPGDPHPEETSPEIPAHVLRCASEDSESQEGSSGSSASSSGTPLGVHAPNSPLPASMPSEEDIRSLPTATLRSLRRLLIRGSRPGGASCGPRGCDVEQGRLPVSKAPTSS >Ma05_p25910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37738518:37744300:-1 gene:Ma05_g25910 transcript:Ma05_t25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGFRGVRDDLSELSRHLLDVACFLSPLLPLPHHDSPPPSPRPAAPTPRPSARALAGILSDLAEIGGGFRSGLSRLSGAFRGPSDRDRGCSGDARTVGVSEEVLEFVGDLVKCPESWLEFPVTLDDEFQISSIQKEHISTVEHFIPDLQSLRVSLCPTYMSEESFWRIYFALLHPKLRKHDCELLLTYQIVDSLRRMAKEPCNRRTKSENQDSESLPSLLSEKLGGIQQEDNESWEDALITMMRSQQSIDRWSEVTSAVDTSIGTTKLGPDDISPRDTSQGNLFVMEKYMDSLLTEEQVCASHSFRRKHASAGEKNMATRKMPKLKMSSDEESSDWQAVEDSSDFEILEKSSIDKST >Ma03_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2722500:2723102:-1 gene:Ma03_g04160 transcript:Ma03_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-6 [Source:Projected from Arabidopsis thaliana (AT5G47670) UniProtKB/Swiss-Prot;Acc:Q84W66] MPIANVIRIMRRVLPAHAKIADDAKEMIQECVSEYISFITSEANERCQREQRKTVTAEDVLWAMNKLGFDDYIEPLTLFLHRYRELEGDHRGSVRGEALQLIKHRAVPTAVPDIPTAASQFFTTAPVPPPNFVMPPPATAAPQHHNLLSESMVSYFLGMYGSGEGSSASGSYSHVNGMPNFDHPYPPYK >Ma00_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45904899:45905342:1 gene:Ma00_g05300 transcript:Ma00_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFVWRNLVTRFGLPKTIITDNGPQFTGRRFREFCASHGIQLRFSSVAHPQTNVLAEVTNRSILDGLKRRVSAARSAWTDELPSVLWSLRTTPKTATGESPYSLTFGNEAVLPPEVAIATLRTRKPRTRDFVPASTCSRSDAPMHT >Ma09_p28910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39543636:39550633:-1 gene:Ma09_g28910 transcript:Ma09_t28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPSRSNDPKDELLIEKLKLLNKPAVKSIKSEDGDIIDCVDIYMKPPLDHPLLKAHHIIKMRPDDEHSEERDGASSNPTNGSLTLVWKPWIGVKRLVVRLNNSGPLGYGAENSHAYGGLLATGDDILGAKAIINLWNLSVQQDSEFSSAKIWLRNRPTDRSNNIEAGWMVNPSVSNDRTSRIFAYWAADSGRTTTGCFNLLCPGFVQTSDKIALRASFTNVSTAIFC >Ma04_p36920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35088906:35089787:-1 gene:Ma04_g36920 transcript:Ma04_t36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQLGMAHHHERKEKAATEAGAPPRGCMAVRVGPEGEEQQRFVVPVAHLSHPLFAELLDEAAAEYGFNQAGPIAIPCGIEHFRHVQDAIDREIGGGAGHHHHHHNHHHHHHHHHHHHHLPHFAGCFGA >Ma04_p21260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23846561:23854039:-1 gene:Ma04_g21260 transcript:Ma04_t21260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRHKEADREFRRSVEELLRRGGRGDGCVGEEADEAGAGEGADQLARRRRRSDLEGDDLAETSAAARRHSRILSRWAARQAEEMITTIERRNRESELMALARLHAVSMLDASFLRESRRAQSSVERPVAARASSVLQRWRELEDESAARDRRRDSSSRIDASSNHRTAPETQVSVVPRDTSEVTSESNDNEDSEWPDEPSGLRRQGVEVEDAEERGSSREQSPDIGDGGDREMERERVTQIVSGWMTEIAMADTAQVLRGSGSSRSEWLGERERERVRLVREWMQTVSQQRDVRASRREEQARDGVITNREDRRPECTQRNLLRLRGRQARLDLIMRNVRERERELQDLSQHRPVSHFGHRIRIQSVLRGRFLRNGRSVEDGQQHSVAARELGQLRQRQTVSGFREGFRSQESSIVTDQASSQSADGDSVNISGNDISVTNALEVSDVTYDQFQASDDDIDIHQTAEVDTTVQMESNMQSSDMGGREYAIQDDNWPEDDAEHGQGDWQQPIEVGLSVQHDGPVEEPDRNWHENVDQEWLHETPEDEDRQGTLLLEAHQHWHSDNSQATEANWQDGPSDSLNDQHSFRVIRNGVISSDDDNVYSMELQELLSRRSVSNLLHSGFRESLDQLVQSYIQRQGRDAFQWDMQSAMPDHVSPEEDQRQQRDDLIQSQQDSVTRPLHTPPTPPTPPPLPLWHSELHNSWSRQHIRRTDIEWDIVNDLRADMAKLQQVMCHMQRMLEACLDMQLELQRAVRQEVSAALNRSVGEHGEVGESSEDGIKWSNVRKGICCVCCDNQIDSLLYRCGHMCTCSGCANELAQGGGKCPLCHAPIVEVVRAYSIV >Ma04_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23846561:23854039:-1 gene:Ma04_g21260 transcript:Ma04_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRHKEADREFRRSVEELLRRGGRGDGCVGEEADEAGAGEGADQLARRRRRSDLEGDDLAETSAAARRHSRILSRWAARQAEEMITTIERRNRESELMALARLHAVSMLDASFLRESRRAQSSVERPVAARASSVLQRWRELEDESAARDRRRDSSSRIDASSNHRTAPETQVSVVPRDTSEVTSESNDNEDSEWPDEPSGLRRQGVEVEDAEERGSSREQSPDIGDGGDREMERERVTQIVSGWMTEIAMADTAQVLRGSGSSRSEWLGERERERVRLVREWMQTVSQQRDVRASRREEQARDGVITNREDRRPECTQRNLLRLRGRQARLDLIMRNVRERERELQDLSQHRPVSHFGHRIRIQSVLRGRFLRNGRSVEDGQQHSVAARELGQLRQRQTVSGFREGFRSQESSIVTDQASSQSADGDSVNISGNDISVTNALEVSDVTYDQFQASDDDIDIHQTAEVDTTVQMESNMQSSDMGGREYAIQDDNWPEDDAEHGQGDWQQPIEVGLSVQHDGPVEEPDRNWHENVDQEWLHETPEDEDRQGTLLLEAHQHWHSDNSQATEANWQDGPSDSLNDQHSFRVIRNGVISSDDDNVYSMELQELLSRRSVSNLLHSGFRESLDQLVQSYIQRQGRDAFQWDMQSAMPDHVSPEEDQRQQRDDLIQSQQDSVTRPLHTPPTPPTPPPLPLWHSELHNSWSRQHIRRTDIEWDIVNDLRADMAKLQQVMCHMQRMLEACLDMQLELQRAVRQEVSAALNRSVGEHAGEVGESSEDGIKWSNVRKGICCVCCDNQIDSLLYRCGHMCTCSGCANELAQGGGKCPLCHAPIVEVVRAYSIV >Ma04_p21260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23846561:23854039:-1 gene:Ma04_g21260 transcript:Ma04_t21260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRHKEADREFRRSVEELLRRGGRGDGCVGEEADEAGAGEGADQLARRRRRSDLEGDDLAETSAAARRHSRILSRWAARQAEEMITTIERRNRESELMALARLHAVSMLDASFLRESRRAQSSVERPVAARASSVLQRWRELEDESAARDRRRDSSSRIDASSNHRTAPETQVSVVPRDTSEVTSESNDNEDSEWPDEPSGLRRQGVEVEDAEERGSSREQSPDIGDGGDREMERERVTQIVSGWMTEIAMADTAQVLRGSGSSRSEWLGERERERVRLVREWMQTVSQQRDVRASRREEQARDGVITNREDRRPECTQRNLLRLRGRQARLDLIMRNVRERERELQDLSQHRPVSHFGHRIRIQSVLRGRFLRNGRSVEDGQQHSVAARELGQLRQRQTVSGFREGFRSQESSIVTDQASSQSADGDSVNISGNDISVTNALEVSDVTYDQFQASDDDIDIHQTAEVDTTVQMESNMQSSDMGGREYAIQDDNWPEDDAEHGQGDWQQPIEVGLSVQHDGPVEEPDRNWHENVDQEWLHETPEDEDRQGTLLLEAHQHWHSDNSQATEANWQDGPSDSLNDQHSFRVIRNGVISSDDDNVYSMELQELLSRRSVSNLLHSGFRESLDQLVQSYIQRQGRDAFQWDMQSAMPDHVSPEEDQRQQRDDLIQSQQDSVTRPLHTPPTPPTPPPLPLWHSELHNSWSRQHIRRTDIEWDIVNDLRADMAKLQQVMCHMQRMLEACLDMQLELQRAVRQEVSAALNRSVGEHDLLNPTSNAAGEVGESSEDGIKWSNVRKGICCVCCDNQIDSLLYRCGHMCTCSGCANELAQGGGKCPLCHAPIVEVVRAYSIV >Ma11_p02680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1965070:1969401:-1 gene:Ma11_g02680 transcript:Ma11_t02680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKREMKRIENATSRQVTFSKRRKGLLKKAFELSVLCDVEVALIIFSSGGKLYEFASSSMLSAIERYRAHSREDSISTKMEQDIEGGEYEAAWISKRIELIEASKQKLLGKNLGSCSLDELHELEGKLEESLHSIRERKYHLLQEQMAQLKEKERSLTKENESLREKYLKCKGLAKSPTATLGEGVAHNGAVQHSDVETKLCIRCPGRGTYS >Ma11_p02680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1965093:1969401:-1 gene:Ma11_g02680 transcript:Ma11_t02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKREMKRIENATSRQVTFSKRRKGLLKKAFELSVLCDVEVALIIFSSGGKLYEFASSSMLSAIERYRAHSREDSISTKMEQDIEGGEYEAAWISKRIELIEASKQKLLGKNLGSCSLDELHELEGKLEESLHSIRERKYHLLQEQMAQLKEKERSLTKENESLREKGKV >Ma11_p02680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1965070:1969412:-1 gene:Ma11_g02680 transcript:Ma11_t02680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKREMKRIENATSRQVTFSKRRKGLLKKAFELSVLCDVEVALIIFSSGGKLYEFASSSMLSAIERYRAHSREDSISTKMEQDIEGGEYEAAWISKRIELIEASKQKLLGKNLGSCSLDELHELEGKLEESLHSIRERKYHLLQEQMAQLKEKERSLTKENESLREKYLKCKGLAKSPTATLGEGVAHNGAVQHSDVETKLCIRCPGRGTYS >Ma11_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1965070:1969401:-1 gene:Ma11_g02680 transcript:Ma11_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKREMKRIENATSRQVTFSKRRKGLLKKAFELSVLCDVEVALIIFSSGGKLYEFASSSMLSAIERYRAHSREDSISTKMEQDIEGGEYEAAWISKRIELIEASKQKLLGKNLGSCSLDELHELEGKLEESLHSIRERKYHLLQEQMAQLKEKERSLTKENESLREKCKGLAKSPTATLGEGVAHNGAVQHSDVETKLCIRCPGRGTYS >Ma01_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5269360:5274536:1 gene:Ma01_g07290 transcript:Ma01_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMSSKHEAKHTPGYEDPVILASETAFTVNEVETLYELFKKLSCSIIKDWLIHKEEFQLALFRNSNRKNLFADRVFDLFDIKNNGVIEFGEFVRSLSIFHPNAPKAEKIAFAFKLYDLRQMGYIGREELKEMVLALLNESDVVLSDDIVQTIVDTTFVQADLNGDGKIDLDEWREFVKKNPSLIRNMTLPYLKS >Ma04_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:691864:697004:-1 gene:Ma04_g00710 transcript:Ma04_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGSLEISNADSATDDDRSRNLQDYDRAALSRQLDETQQSWLLAAPGDPSGKKKKRYVDLGCVVVSRKLFLWTVGSVLGIGLLIGFIMLIIKTVPHHHRPPPPPDEYTQALHKALMFFNAQRSGPLPKHNNVSWRGNSGMKDGLSDDSVKKNLVGGYYDAGDAIKFNFPMSFAMTMLSWSVIEYSAKYEAAGELDHVKNIIKWGTDYLLKTFNSSADTIDRVVAQVGQGDTSKGPGPNDHYCWVRPEDIDYPRPVYECHSCSDLAGEMAAALAAASIVFKDSKTYSEKLAHGARTLFKYGRDQRGRYSPGGSDPSLFYNSTSYWDEYVWGGAWLYFATGNSSYLQLATAPGLAKHAGAFWGGPDYGVFSWDNKLAGSQVLLSRLRLFLSPGYPYEEILRTFHNQTGNIMCSYLPYFASFNRTKGGLIQLNHGRPQPLQYVVNAAFLASVYSDYLDAADTPGWYCGPNFYSTDVLRDFAKTQIDYILGNNPQKMSYVVGYGKRYPKHVHHRGASIPKNGVKYSCKGGWKWRDTKKPNPNTIVGAMVAGPDRHDGFKDVRTNYNYTEPTLAGNAGLVAALVSLSGVTTGIDKNTIFSAVPPMFPTPPPPPSAWKP >Ma09_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2201025:2204895:-1 gene:Ma09_g03240 transcript:Ma09_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKTAKQGQMAAVKVMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMQEFERQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVKAPSTAVAKAEASKKVAQAEAAGSEDGGIDNDLQARLDNLRKM >Ma02_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20790144:20790482:1 gene:Ma02_g11760 transcript:Ma02_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKSFLSLPLKQPPAGAWLVLLLVAVAEKSQVAWSSPSQQTCSANLGQLAACARFVVPGQVTGPPGEQCCASLGRVDHGCLCDTLSIIARLSARCNLPWITCSMLSAHRC >Ma06_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6974898:6986009:-1 gene:Ma06_g10050 transcript:Ma06_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MMGKGTKISRKAVRSSAKNHSTNNAFREDDIDDEIDAFHKQRDVVPLDVNGEIGDDCGESEDEDLIEPVFDFEGIDEDDSDNSRSDDDGEESDGINDNIKDKGFAAKIARQAKYLRQKFGGDDDEMDDHEEEKEEERKAIWGRRKSLYYNADNVDYELQSSDEDLPMEEEAEVLKIQREKAKTLSMEDFGLENNEQDESDSDRQNDMHQDALVGKTAMGRRSAVDDDNSYQNYEEIKKDFTDLSKEEQMDVVYSSAPELVGLLAELNDALDQVREVKPIVHKVGETTERGRVGMHFLEVKQVLLLTYCQSISFYLLLKSEGHPVRDHPVIARLVEIKNLLEKQMKQIDVNLHPQLEDMAINSLKNVLTRGNVPLEVKPVTTGQQPKPIKDFEKSKSSQDVHNASVSQKKEDVQMGLQSLEMLKVRENLEAKLKKKGIYNLTKPKDVRKAVNGQLETLDDFDDEIKHTAVKNFESLSESRKLSQLVGTKANKSKIVSGDDDLPKRDDIGERRRRHELRVLARAGAVDDSDLMEDEDGGLGTRSMDVKDQDIDETGESEDEFYKEVKRQRVEKLRAKSEKYSGTLAVPSFVEAETDGKRQITYQMEKNRGLTRPRKKLLKNPRKKYRHKHQKQLIRRKGQVRDIRKPTGPYGGEATGINTSVSRSIRFKS >Ma11_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17395050:17395319:-1 gene:Ma11_g13130 transcript:Ma11_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYFHQAMHAARMYIAETSPTQIRGRLLSLKEFSIVFGMLLGYISGSIYVDLIGGWPLYACH >Ma07_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25133151:25135369:1 gene:Ma07_g18350 transcript:Ma07_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAASVPFHQQIPHLLTRCGFCHLDQIHGLLITTSLFRSPTLSSLLLRRATDFGRMDYAEHLFFYFSAAAPHVLLYNSMIRGYAYSGPHESSLHMFEEMLQRGLKPNNFTYPYVLDSCTRLGNNGYGKKSHCQVIKTGYDTVASVASSLLSFYIEMERSRLAVGALVDARRVFDGMMTKTIGLWNRMVSEYIGFGDVESAKRLFDDMPQRDVVSWNSMLSGYLRSLDKKRALDFFRRMPVTDVVSWTSMMMALSKAGDLRAARRLFDEMPERNVVSWNCMLSSYTSHGEYQQACKLFSQMQSQGVAPDGYTFVSALSACAHLGDLETGKWIHFSLIRDGLQLGAIVGTALIEMYAKCSDIDSAFKVFIKMVEKDVFCWNVMIKAFATHGRIKDSLKLFDLMIRKGLKLNGVTFLSVLFACSHGGLVEEGRQIFNSMEKDFGIQPRIQHYGCLIDLLCRNGQLEEAQALITEMPYKPDIAVWGALLGGCRTRSDLKSAEQAMEGMQELETDESGVYVLVSNMYATSSQYNEALKAREMMEQRNIWKTTGCSTVIKETDVVQFEPEFSANCFHTRSL >Ma04_p28450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29514307:29515302:-1 gene:Ma04_g28450 transcript:Ma04_t28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFENVQKKRFFPTMPYKDELPTFQVQQQQQDTHLIGLRKRISSFSVKIQPLSSVSTEWAIRRCKSMPSIGEFAGGPLRRWWGSWWGWILSRKPVFAQDIEMNEEETAMLGWHSKGSWRHIFHRVRSEIRKLMRSNSLPTTQGFRYDSVGYAQNFDDGKPEELS >Ma10_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30598539:30599980:1 gene:Ma10_g20440 transcript:Ma10_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRQEQEEVYNPTPLQQSAFTRPLPSSSTSLLYVRGGGREGAGDARNGLGSLIQKPTPISAAPAVSGALGGGGGGNRTHSTAAAAAIAATESDTALRYRECLRNHAASLGGHILDGCCEFMPRSDDALRCAACGCHRSFHRKDNETDCALCDLQNGTHGRVPLLLPPPHLPPPPLPHHHALKPSGLLLHAGNSAGASVGAATESSSEELMAGAPPQQFIASKKRFRTKFTAEQKESMLAFAETVGWKMQKQDDAAVQQFCRDVGVSRQVLKVWMHNNKNSSRKQQQQQPPPQQEEEEDEEEQPQHEMPED >Ma02_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17879627:17882774:-1 gene:Ma02_g07040 transcript:Ma02_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTKRRVVMRSAVVVVGSASRTTMKMDEARGGIKVFNGKESHKNDSNADQGGERGCRRLRRNQSDSVTPCKPSKENALIERSAVKIRKASSDLSNSPTTSTKQEQVLLLGDGNKGNGGEGKVEKEVEIEREEGDKSFVDKKMDQPEEKPMSVREQEEEDEVNYENQDIPVPSQDAEKDQLPVSVSSMNVVESKQNPVTEHKAMNPDPAVLPHPEAAEEIFGSNSAKNNRMQSIANLVMWRDVSKSAFVFGSGTFFLVSSSYTNDINFSLISASSYVGLFYLGFAFLCKSILRRGETMECDERDERYMVGEEEAIWLLKLLLPYVNELLLKLRSLFSGDPATTLKLAVLLFVMARCGSSITIWSLAKLMFFGVFTIPKICSSYSTQLAKFGKFWLERLGDGWESCTHKKAVATAIFALVWNISSTVARIWAFFMVVVAVKLYQQCAAEHRWCGQEEEGQEDAVAGQSQGLGSHQHRGRNQVKREEKGVKVGDPRGGVGKRSK >Ma02_p07040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17879627:17882408:-1 gene:Ma02_g07040 transcript:Ma02_t07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTKRRVVMRSAVVVVGSASRTTMKMDEARGGIKVFNGKESHKNDSNADQGGERGCRRLRRNQSDSVTPCKPSKENALIERSAVKIRKASSDLSNSPTTSTKQEQVLLLGDGNKGNGGEGKVEKEVEIEREEGDKSFVDKKMDQPEEKPMSVREQEEEDEVNYENQDIPVPSQDAEKDQLPVSVSSMNVVESKQNPVTEHKAMNPDPAVLPHPEAAEEIFGSNSAKNNRMQSIANLVMWRDVSKSAFVFGSGTFFLVSSSYTNDINFSLISASSYVGLFYLGFAFLCKSILRRGETMECDERDERYMVGEEEAIWLLKLLLPYVNELLLKLRSLFSGDPATTLKLAVLLFVMARCGSSITIWSLAKLMFFGVFTIPKICSSYSTQLAKFGKFWLERLGDGWESCTHKKAVATAIFALVWNISSTVARIWAFFMVVVAVKLYQQCAAEHRWCGQEEEGQEDAVAGQSQGLGSHQHRGRNQVKREEKGVKVGDPRGGVGKRSK >Ma02_p07040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17879627:17882774:-1 gene:Ma02_g07040 transcript:Ma02_t07040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTKRRVVMRSAVVVVGSASRTTMKMDEARGGIKVFNGKESHKNDSNADQGGERGCRRLRRNQSDSVTPCKPSKENALIERSAVKIRKASSDLSNSPTTSTKQEQVLLLGDGNKGNGGEGKVEKEVEIEREEGDKSFVDKKMDQPEEKPMSVREQEEEDEVNYENQDIPVPSQDAEKDQLPVSVSSMNVVESKQNPVTEHKAMNPDPAVLPHPEAAEEIFGSNSAKNNRMQSIANLVMWRDVSKSAFVFGSGTFFLVSSSYTNDINFSLISASSYVGLFYLGFAFLCKSILRRGETMECDERDERYMVGEEEAIWLLKLLLPYVNELLLKLRSLFSGDPATTLKLAVLLFVMARCGSSITIWSLAKLSKIRHGRQNVLWSLHHPKDLLLLLHSAGKIWQVLVGAARRWMGVMHPQESSGHCNLRPRLEHLLHGCSHLGIFHGGGGCEALPAMRSRAQVVWAGRGGTRRRCGRTKPGTWITPTQR >Ma05_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5304167:5310314:-1 gene:Ma05_g07300 transcript:Ma05_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFAAASAPPARRRWKGPAVAVLALVVVVFSLLVPLAFLLGIHSHFRSGKLAGDLLPSEVKNNHITNFSSLGVQSTSKRNSTTSNFRPVNVTFHEEKDTRSHHKSEDVTSQMKAYSTSQLAPSEPLPTLSARNNDSSTENKFGSLLGYESGRPCQPKFGSYCLWSIENREAMKDSYVKRLKDQLFIARAYYPIIAKLHGQEKLTREIKQNIQDHEHMLMVAILDTDLPPAVGKKIDRMDQTIARAKTCTTDCNYPEKKLRQILDSTEDEANFHMMQSAFLYQLGVHTMPKSLHCLSMRLTVEYFKSSSRDMEHLQPSKTGSSKLFHYVIFSRNVLAVSVAINSTVVNSKVTRNIIFHIVTDAQNYYAMKVWFARHSFEEATIHVINLEEPNLQNLKEGLVQLSLSEEFRVSIHKKDQPAAHIRTEYISVFGHSHFLLSDIFRSLKKVVVLDDDVVVQRDLSPLWSLNMEGKVNGAMEFCGMRLGQLQAYLGKNNHNATSCVWMSGLNVIDLEKWREHNVTGMYLQLLQNLQTNGEVSWRAAALPASLLAFSNLIYPLEKKWILSGLGYDYGIGENAIQNAILLHYNGNMKPWLDLGIPKYKWYLKKFLAPGGRFMDECKVIL >Ma09_p30140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40419919:40421629:1 gene:Ma09_g30140 transcript:Ma09_t30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDERQCWRRRYKSPICGRSAARDLGTTGNVARTTEMMERGGTPTIYVEKADMSFGFLNLHQRKMAKSAPKFNLWLRGWTPLKWW >Ma10_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28696430:28705731:1 gene:Ma10_g17230 transcript:Ma10_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYYSVLSLFYIKQQGSSIVLLDADVIFDSIKAHGQSDGRYRYDSDNSEPSTHAAGIALETLAGVLSLADSDMDQSKIEVVKNDIVKLFDSIKSYDDGTLYFDEKRIGDTEYRGPLATTASVVHGVTAFAAIVSGKLEINGDKMAGLAKFFLSIGIPGRTNDIYIQLESLSCLENNRISIPLILSFPAAVLSLSSKDRLKADVTTVFGSAAPPLTVNLVQVFSPDSEDVPILENKELQCDPENSIHYLDLLPLKVDVGKYILFFEISLHDPDHLNIYATGGRIKAFFFFTGIIKADKAAVGIFDTDAENAVALQKLDLSRDNTVSLAANHLKKMHLNFQLLTPLGHTFKPHQVFLKLRHESKVEHIFALESSAGKYKVLLDFLGLVEKFYYLSGRYDIKLAVGDAAMENSFLRAIGHIDLDLPQSPEKAAPPPPQPIDPYLRFVPKQEISHIFRAPEKRPPEELSSAFLVLTLLPLVGFLIGLLHLGVNLKGFPSSSVPVFCSILFHGGIGAILLLYVFFWLKLDLFTTLEALGLLGVFLIFVGHRTLSHHASTPTKLKTT >Ma10_p17230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28695310:28705731:1 gene:Ma10_g17230 transcript:Ma10_t17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPSGMAWKLRFFGFPGLICACLSISVASVIRPVSDAHRSAALELFVPVDGSFPSLEEAYQALRTFQILGLERTYDISHATCPIISEILGSSSKPEDLFHALRVNSILGCQIGTQIFEDVASRLLVGIKEANLLMDFYYSVLSLFYIKQQGSSIVLLDADVIFDSIKAHGQSDGRYRYDSDNSEPSTHAAGIALETLAGVLSLADSDMDQSKIEVVKNDIVKLFDSIKSYDDGTLYFDEKRIGDTEYRGPLATTASVVHGVTAFAAIVSGKLEINGDKMAGLAKFFLSIGIPGRTNDIYIQLESLSCLENNRISIPLILSFPAAVLSLSSKDRLKADVTTVFGSAAPPLTVNLVQVFSPDSEDVPILENKELQCDPENSIHYLDLLPLKVDVGKYILFFEISLHDPDHLNIYATGGRIKAFFFFTGIIKADKAAVGIFDTDAENAVALQKLDLSRDNTVSLAANHLKKMHLNFQLLTPLGHTFKPHQVFLKLRHESKVEHIFALESSAGKYKVLLDFLGLVEKFYYLSGRYDIKLAVGDAAMENSFLRAIGHIDLDLPQSPEKAAPPPPQPIDPYLRFVPKQEISHIFRAPEKRPPEELSSAFLVLTLLPLVGFLIGLLHLGVNLKGFPSSSVPVFCSILFHGGIGAILLLYVFFWLKLDLFTTLEALGLLGVFLIFVGHRTLSHHASTPTKLKTT >Ma05_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19475547:19476759:1 gene:Ma05_g17170 transcript:Ma05_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGQCVAQGDGVSHCHFCPYHIQFLPQSYASSSLLQHRDCQQTKQQIVNSQSQCVTEPVLYEQWQPPQNKQQKQQVEGAHANTQAFPMHSNHVQQQSHFPPSLFESSSIHYSSLGFSSIPTASSVQDILGFAYCEENAGGSNYSHLGQSMLNHPNWQSWKPKFTKTQPISFDDVALLPSLPAKNCTAGNEVFRVNLINTLFSFGKDSSSSPTNAVPNLEETDLQSQYFVKVYKSGSVGRSPDISRFSNYDELREELGQMFGIEGLSEDPLRSGWQLVFVDRENDVLLFGDDPWE >Ma08_p33050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43542614:43543358:1 gene:Ma08_g33050 transcript:Ma08_t33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHYIILFALYRVYCDLIFGDLLFWSKGKQISWLSWSSSKRMVIDQTKITSLTMTLSWCKLHNSYHCNHATDLTPIRLNRHVTFHSNHDCAR >Ma05_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4628927:4631874:-1 gene:Ma05_g06220 transcript:Ma05_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQQHQLVDETMSNLTSASGEASVSSNQQSSFASPNPNPTKKKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKEVRKKVYICPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAIAANPLANHHTILFPQPAASHEPSLLQQTILQRQFPQLVRATEANASNINGHGIQQELSLKREHQHQHLNLRSDMPPWLACQAPISLAHLDLPSSVCSTRLDRECSRENPAPHPPLPQAFQAPASSPHMSATALLQKAAEMGATMSRPPHLGQMATHTANAVAVPNSASGFGLGLSSHQYMNGGGGGSFGNVSPPPPLLQDMVMNSLTSTPGFDGSFDDAFGGMLGSEREPGNSNMQSSTRRHGMAEEAGGVGGGNDGKTRDFLGLKAFPHRNILNLTALDPCMSSTPFEHHQQR >Ma06_p37680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36666118:36672401:-1 gene:Ma06_g37680 transcript:Ma06_t37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAATILIYATAALLTLLLLASVPPRTPRRHRQHHHRRLKLSHNTSSASSHHQIPFDPIIADIERRREARQWEGDHFRLPHHEESQPEWDDFFDPKDYVDGEDRFNITHRIVVLFPRIDVGPADGFVSSTELAEWNFQQAAHQAIHRSGRELELCDSNGDGFVSFEEYRQSVKASPVDETGWWKEDHFNASDTDGNGLLNLTEFNDFLHPADSGNPKLIQWLCKEEIRQKDGDEDGKLNFKEYFVGLFDSIRDDDGPYNHSATLGQEKMLFSRLDQDNDGFLSGDDLVGVIGKIHHSERYYAKQQADYALSEADSDRDSQLSLKEMIEHPYVFYSSIFSDDEDEDFDDFDYHDEFR >Ma08_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5601541:5604996:1 gene:Ma08_g08100 transcript:Ma08_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFYLSHGSPTLSIDESLPARPFLQSWRSKVLQAAPRAVLVVSAHWETASPTVNVIDGPNDTIHDFYGFPKPMYQLKYPAPGAPKLAKRVRELLQNAGFSHVKEEKTRGLDHGAWVPLMLMYPEADIPVCQLSLQTEKGPTYHYNMGKALTPLRDEGVLIIGSGSATHNLSIALLDDGPIEKWALDFDTWLKESLINKRHDDLNNYEEKAPDARMAHPSPEHLYPLHVALGAAGEKAKAELIHHSWTERTLSYASYRFTAAE >Ma01_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5232467:5247302:1 gene:Ma01_g07250 transcript:Ma01_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLLKALLDSITKFGHVSSSSNVKPALVQKYCQIIDQILEHFKSVCDEIATSEISLDEQLVKGLGELDALANEARELVMSWYPMMSKIYFVLQIETIVMKICTSAFRICQLVTSLLQSPIDSATAKCIEEIEYMNKEQISVIIEKAIRDQTEKDMPRSEHLDMISNSLSLSSNQELLAEVVALEKLKVEVGCSENQAEMENIDHMIALITYMHDCLVKVKQLHSINGVPIPADFCCPLSLELMSDPVIVASGQTYERAFIWKWLDQGFNVCPRTRQTLGHTNLIPNYTVKALIANWCESNNIKLPDPMKSTSLNLHSSFLKPTDANINDFVTHSAHATRNHSRSSESHARLVTSQSDLHASNGVHQATYLNEKPVSSPHHSSSGSLPVQIANGSEANVPRLSLESAEGNNESSMDQRLVSSSNQTVNQPKQDSEPFSAAEQFPGHNWTDSASLAVSSINHLQGPEDANLVSRVSSDLTHCSSDAMGEIAQDSASSTSQRESEFPSALEDARFRSQSLWRRPSAPRIISSQFMDSRPDISGVEAQVRKLIEDLKSESLDLQITATAELRLLAKHNMENRIVIANCGVISLLVDLLHSTESKIQENAVTALLNLSINDNNKIAIANAGAVDPLIYVLETGNSEAKENSAATLFSLSVIEENKVRIGRSRAIKPLVELLANGTPRGKKDAATALFNLSIFHENKLRIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQAGGIPVLVEVVELGSARGKENAAAALLQLCTNSGRFCSLVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRNQRHGGAGRR >Ma01_p07250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5234196:5247302:1 gene:Ma01_g07250 transcript:Ma01_t07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLLKALLDSITKFGHVSSSSNVKPALVQKYCQIIDQILEHFKSVCDEIATSEISLDEQLVKGLGELDALANEARELVMSWYPMMSKIYFVLQIETIVMKICTSAFRICQLVTSLLQSPIDSATAKCIEEIEYMNKEQISVIIEKAIRDQTEKDMPRSEHLDMISNSLSLSSNQELLAEVVALEKLKVEVGCSENQAEMENIDHMIALITYMHDCLVKVKQLHSINGVPIPADFCCPLSLELMSDPVIVASGQTYERAFIWKWLDQGFNVCPRTRQTLGHTNLIPNYTVKALIANWCESNNIKLPDPMKSTSLNLHSSFLKPTDANINDFVTHSAHATRNHSRSSESHARLVTSQSDLHASNGVHQATYLNEKPVSSPHHSSSGSLPVQIANGSEANVPRLSLESAEGNNESSMDQRLVSSSNQTVNQPKQDSEPFSAAEQFPGHNWTDSASLAVSSINHLQGPEDANLVSRVSSDLTHCSSDAMGEIAQDSASSTSQRESEFPSALEDARFRSQSLWRRPSAPRIISSQFMDSRPDISGVEAQVRKLIEDLKSESLDLQITATAELRLLAKHNMENRIVIANCGVISLLVDLLHSTESKIQENAVTALLNLSINDNNKIAIANAGAVDPLIYVLETGNSEAKENSAATLFSLSVIEENKVRIGRSRAIKPLVELLANGTPRGKKDAATALFNLSIFHENKLRIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQAGGIPVLVEVVELGSARGKENAAAALLQLCTNSGRFCSLVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRNQRHGGAGRR >Ma11_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19815458:19816029:-1 gene:Ma11_g14470 transcript:Ma11_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVPPLAVLIWVVVWVGHGVAVWVVVLVCLFVSSFAWSWGPLGWLIPSETFPLATRTAGYAFAVSSNMLFTFVIAQAFLSMMCHLRAGIFFFFGAWIVVMGLFVIFLLPETKNVPIDEMTEKVWKQHWFWKRFMDEEEDKKYFV >Ma02_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21954068:21955861:-1 gene:Ma02_g13660 transcript:Ma02_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOC1 [Source:Projected from Arabidopsis thaliana (AT2G45660) UniProtKB/TrEMBL;Acc:A0A178VZL4] MVRGKTQLRRLENATSRQVTFSKRRNGLLKKAFELSVLCDADIALIIFSARGKLYEFATSSMQEILDRYRGQAKERTSGSVIEHDKQPCRYEAANMVKKMEHLESSICYNISYHLLLPRKLAYPVNILMSRQSFALDAPEDEGETV >Ma04_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6130589:6131746:-1 gene:Ma04_g08580 transcript:Ma04_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETDPLVVGRVVGDVLDPFARSVALSVRYGSREVANGREFKPSQVVNQPRVDVGGNDLRTFYTLVMVDPDAPSPSNPTLREYLHWLVTDIPATTGSSFGQETMCYENPRPSSGIHRLVFVLFQQLGRGTVFAPVWRQNFNTREFAELYNLGSPVAAVYYNCQREAGSGGRRMYLFQN >Ma05_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8185908:8189366:-1 gene:Ma05_g11330 transcript:Ma05_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKATVVLLCGCCFLAMLVGAEDPYRFFDWNVTFGDIYPLGIRQQGILINGQFPGPDIHSQTNDNIIVNVYNSLTEPFLISWNGVQQRGNSWQDGVSGTNCPIPPGKNFTYVMHLKDQIGSFFYFPSLAFHKAAGGFGGIRILSRPRIPVPFATPADDFTLLIGDWYKAGHSVLKARLDRGKRLPFPDAILINGRSSNGATFAVDQGKTYRLRISNVGLQNSLNIRVQAHTMKLVEVEGTHTLQNDYSSLDVHVGQSLSVLVTADQPVRDYYIAVSTRFTNPVLTATATLHYRNSKQKASGSIPAGPTGQIDWSLEQARTIRTNLTASGPRPNPQGSYHYGSINVTRAVRLANSAAQVNGKQRYAVNSVSFVEADTPLKLADHFKIGGVFRLGSIADYPPGAKEHLDTSVMAADFHAFAEIVFENKESIVQSWHLDGYNFWVVGMDGGKWSPDRRRDYNRIDAVSRCTTQVYPKSWTAVYVALDNVGMWNLRSEFWGRRYLGQQFYLRVYSPVESVRDEHPIPNNALLCGRAADRSTRPL >Ma02_p10420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19997316:20003899:1 gene:Ma02_g10420 transcript:Ma02_t10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGDGPDFVKWSEQFISQERGRRIVHYYLEDASGDSHLAVVGTERSLRHMLYVVSEKFCQVYGSNKLGLSSLKWRSRREVVDWLASFLPAKACDSHISKLPKCMKHDLGVEMEINRCGDTGDHLVENMDDSNRSDIIWSGASWTCGKQLRHYQAFCRNGTTVIVHSFVLVMSEEENRYLAYLEDMYENKKGEKKVKVRWFHQNQEFACAIPAPAPHPSEVFITPFSQVISAECVDDIAAVLTPEHYEKCLDSLSSAAGVRLCFRQYIKNKFKLFDLRTLRGYFNQAILSRLGICDESGKGEAEIGYSPIKEHVGHRRTRFVKVQEKFLAQHFGTEISGLLGHITTCQPAYQNLEYDLLVRRPLSMKFVGPHNWLMPPFEVGEKIELLCQDSGIRGCWFKCTVLRLSLKKLKVQYDDVQNVDGYGNLEEWVPAFRTAAPDRLGMRCSGRLTIRPCPKCIYLLNNIDLKKGMAVDVQWNDGWWEGIIVELANSRDDNVQVYLPGEDIFLICELKRLRISKDWVGDQWVDINAKPDILSAASSVSPRTKLASCSVIIKGAESGSSVMSDQEFITAQPNLVEDKQAEASLSCRNSVQLDNESQSNPRKRHREENAQDGCGVGTGIGDAES >Ma02_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19997316:20003899:1 gene:Ma02_g10420 transcript:Ma02_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGDGPDFVKWSEQFISQERGRRIVHYYLEDASGDSHLAVVGTERSLRHMLYVVSEKFCQVYGSNKLGLSSLKWRSRREVVDWLASFLPAKACDSHISKLPKCMKHDLGVEMEINRCGDTGDHLVENMDDSNRSDIIWSGASWTCGKQLRHYQAFCRNGTTVIVHSFVLVMSEEENRYLAYLEDMYENKKGEKKVKVRWFHQNQEFACAIPAPAPHPSEVFITPFSQVISAECVDDIAAVLTPEHYEKCLDSLSSAAGVRLCFRQYIKNKFKLFDLRTLRGYFNQAILSRLGICDESGKGEAEIGYSPIKEHVGHRRTRFVKVQEKFLAQHFGTEISGLLGHITTCQPAYQNLEYDLLVRRPLSMKFVGPHNWLMPPFEVGEKIELLCQDSGIRGCWFKCTVLRLSLKKLKVQYDDVQNVDGYGNLEEWVPAFRTAAPDRLGMRCSGRLTIRPCPKCIYLLNNIDLKKGMAVDVQWNDGWWEGIIVELANSRDDNVQVYLPGEDIFLICELKRLRISKDWVGDQWVDINAKPDILSAASSVSPRTKLASCSVIIKGAESGSSVMSDQEFITAQPNLVEDKQAEASLSCRNSVQLDNESQSNPRKRHREENAQDGCGVGTGIGDAES >Ma04_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3780992:3783214:1 gene:Ma04_g04990 transcript:Ma04_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHGCAQGGAQSLDASGFSGPLPWVGLYAAAASAACALAMASDAISALRRRQLWFPSFLFSLNATTLTLLSVATKLPLDLSSPMPSLPDQLAKLSGSALVATATANLLPSLASAPDAASAAADLIALAILVITVAANVSIQIATGVIYTFVPEHLAVLILALALVIILCSSALAVPTTKQLLEEQFDDKFGSASDPDGGNNFDINSLRESVKRYWLMAHTSSPQYVLGRTATCTASGAFGLLTCLILVEASMRSVIKDPHGLSFCSGTSDYQWSTTVVFFSQVVAVVVGTIAPAWRWFNAVSFRGPSQRRWSCRDEVRVERYWIQRLIEWKQDASSTFLVDGSHRSRKMVHELKNVVLVLLTRAQIVVVVICKVVRLASVLPTSWIRRCCKCWSLRWGLVSIPSSLSTASGASRTPSGLEHLSNFVLHLEGEEHLVNLMMRSEREDTERWIRKGTKNQPAHLIQLISNHATFSQGFQGVCEFDSRSIPSLVTEEPPNCWELPLVTLTTVAVALPYIKPDLVKSLRCAVNEGLRYVRLVDEHLDTKGLHNMRKAGDNLWLGVDLYDKWLDKDLHELVSEEKSGKKIIEKLGEISRECVSSFEQKMRDGTEDRRSPLEWPADVFASNSMYRVSSTILQEYDGKFGTDDKLFLWLQTIISDIFCACLTNIPRVIYMECICSSVEVREKRVREAAFLLGEATSILEILGNPEVTCFYPKSKQYIEDWCMTRQDQNLSLPLSSS >Ma08_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37756246:37758256:1 gene:Ma08_g24650 transcript:Ma08_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYTCSTRCSRCAFVIGRTWITSSLASNISASNTSYKRSNFPHRIASQLRFREKMKERNFDKKIWYAVWKEGCRGTGGSSDHQNQSLRMQLWVSQIARECNIRVQLKVDLHQQLLVIIVASVQIKHQ >Ma08_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32712283:32719462:-1 gene:Ma08_g18940 transcript:Ma08_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPSSAERSPLSAQVVGNAFVQQYYHILQQSPELVYRFYQESSKLGRPDAHGAISLVTTTNAINEKILSMGVVRAEMKTVDAQESLGGGVLVLVTGYLTGEDSIKRDFTQSFFLAPQDKGYYVLNDILRFVEEADHQQGHQGLANGTTEPHVVEHDLPPEQEQHAPDQTISLPVGDEEMNGGEVDNPEDNGEIVEEEDPSCEVIDEVPNTSQAVVVESNVNTAQEEAPKKSYAAILKAMKDKASAPVTVYAPSRPVSIKTEPPALPVPPATQATDMPTSSSAAESSNVPETDADGYSIYVKNLPLDATPAQLEEEFKKYGPIKPDGVQVRSNKLQGYCFGFVEFEVASAVQSAIEASPVTIGGRQVYVEEKRATSSRGKFSNRGRFPPGRGGGFRNDWRGRGSYGGRGYSRGDFNTRPEFGVRGNGRGASSNRGSDVGYHRG >Ma01_p01370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:962836:967536:1 gene:Ma01_g01370 transcript:Ma01_t01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALIGFPLKPSLLNPFPRGFTSSPPGRRDLRKLAAVAPKKSILVPKDHLDDGVGRENEKEELSRESYNALIQSHCAKGRLDRSLHLLSRMEAAGMRPSTACYARLVYALGRTGRTLEADAVFREMKWSGHRLTSKEYNALLGGFLRKGQLLMADRLLVEMGKEGIDRNRETYLLLLDSYVHSGRLEDTWWVLGEMKEKGFWLDSFVYSKIIRLYRDNGMWKKAMSLVVEMHELGIEPDVRMYNGMIDTFGKYGQLDEAVKIFEKMQSTGLKPDIMTWNALIRWHCKAGDLKHALGFFAKMQEAGLYPDPKIFVNIINRLGERGRWDEMKKLFEGMKYRGLRESGIIYSILVDIYGQYGTFHNAEECVAALKAEGMQVSASIYCVLANAYAQQGLCEQTLRVLQLMEADGIEPNLIMLNLLMNAFGIAGRHFEAMAVFEHIKESGISPDVVTYCTLMKAFMRGKKYEQVPIIYKEMEHAGCTPDRKAREMLHNASSICEQRGGFSTNSKTFL >Ma01_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:962836:967536:1 gene:Ma01_g01370 transcript:Ma01_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALIGFPLKPSLLNPFPRGFTSSPPGRRDLRKLAAVAPKKSILVPKDHLDDGVGRENEKEELSRESYNALIQSHCAKGRLDRSLHLLSRMEAAGMRPSTACYARLVYALGRTGRTLEADAVFREMKWSGHRLTSKEYNALLGGFLRKGQLLMADRLLVEMGKEGIDRNRETYLLLLDSYVHSGRLEDTWWVLGEMKEKGFWLDSFVYSKIIRLYRDNGMWKKAMSLVVEMHELGIEPDVRMYNGMIDTFGKYGQLDEAVKIFEKMQSTGLKPDIMTWNALIRWHCKAGDLKHALGFFAKMQEAGLYPDPKIFVNIINRLGERGRWDEMKKLFEGMKYRGLRESGIIYSILVDIYGQYGTFHNAEECVAALKAEGMQVSASIYCVLANAYAQQGLCEQTLRVLQLMEADGIEPNLIMLNLLMNAFGIAGRHFEAMAVFEHIKESVPIIYKEMEHAGCTPDRKAREMLHNASSICEQRGGFSTNSKTFL >Ma01_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9600887:9601593:-1 gene:Ma01_g13130 transcript:Ma01_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGKCSKIRHIVWLRQMLRRWRLRAAAVEALRRGRGGAGAASDVPAGHVAVCVGSSSRRFVVRASQLNHPAFRELLRQAEEEFGFSSRPGPLSLPCDEALFEDVLRFVSSSSSRFTHNTLEHLTKLPHDIPSSSCCCDVGRWLHAADSMPLLHAHCIADKPV >Ma00_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3577024:3583512:1 gene:Ma00_g00980 transcript:Ma00_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRIHRTSSVEWKPSAVVALATSADGSQVAAAREDGSVEIWLVSPGSVGWHCQLTVQGDPSRRISSLVWCRSSSKSAGPGRLLSSRIDGSISEWDLYSLNEKIALDSIGVSIWQMAVEPSVDSLQSEKTDSGLVPNGCTNHDGQSDSESCLNDDDDESDELHTVASQTSSQRLAVACDDGCIRMYSVSDKDGLTCSRSFPRVSGQILSVTWSQNAELIFSGSSDGFIRCWNVTSFHETYRITVGLGGLGSGPDLCVLSLLFLRSGTLISGDSTGSVQFWDSHHGTLVQALTYHKGDVNALAAVPSQNRVFSAGSDGQVILYKLSTDMISTEKEGLPKEEMVKWTYVGYIRAHTHDVRALAMTVPINREDTLPDEKVHKVRRQEKPISFSYHRWAHLGVPMLISGGDDAKLFAYSAREFTQFSPHDICPAPQQPLIKLVNNTVVHGASTMLVQSSGALDVLHVKLNGKEVATQLLARVKSKGSRKIVCSAISTSGMLFAYSDQVKPYLFELRKQKVGKSKWSIVKIKLPKRLLHAHTMIFSADSSRLMLAGHDRNIYVVDIKGSELVHTFVPQRKLDNLKFAPSEPPVTKMFTSADGQWLAAINCFGDIYIFNLEIDRQHWFISRLNDASVTAAGFPPKNSNVFVVTTSCNEVFVFDLEAKQLGEWSKHHSHHLPRRFQEFPGEIIGLTFLPFSLSSSVIIYSARAMCFIDFGLPVDQDDESPIHSDLPLEKNDYNKIFGGKRKRKYLEQKSKPFNKKNFDFFAFRDPALFVSHMSDNSLLLIEKQWMEVVRDFDAPIHRHIYGT >Ma00_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12390960:12391437:-1 gene:Ma00_g01810 transcript:Ma00_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADDVAKKALNGIKSGQFVVACNFEGYLLHVATVGLSPQRSYFMAFVEILGVGFMRFVALCYQWSWFTSIEKWHAKMKSG >Ma09_p09610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6322487:6327914:1 gene:Ma09_g09610 transcript:Ma09_t09610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKLRRNDTIIKGAIIFDSNSIITISPINLHGLQKYDGCCFYIGTPQKKEYFLCAETPGAAKAWVATLHATQLVLHAHKEAVTNLSGSGSVKLGTIATVVATANSTAMEASKEIEAAMRISMKAALGLLTNKANEGQLDDLTIMKETIRVKDEELQQLAKDICARDSTIKDIADKLMETAEAAEAAASAAHAIDGERRIACLEIEHLTYDAQKQLETAQLKLREYEEKVMALATERELLLKQRDSAFQEAHQWRSELAKAREHAVILEAAVFRAEERARALEVDAGARVNDAVEKALTAAKEKEDLLALVNVLQTQVQRQQSNTKQVCEERSEARSTTDVTTKHVDLSEDDVDKACLSDPRVLLDSADTEVQLGVDGVEICSIGDAEWGNFQSTAARIADVREISLESEESSLDIPVVGTPIDDHQHGGRSLQP >Ma09_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6322303:6327914:1 gene:Ma09_g09610 transcript:Ma09_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSAARTGDVESSLEKIKRQLMSGSGKYLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKLRRNDTIIKGAIIFDSNSIITISPINLHGLQKYDGCCFYIGTPQKKEYFLCAETPGAAKAWVATLHATQLVLHAHKEAVTNLSGSGSVKLGTIATVVATANSTAMEASKEIEAAMRISMKAALGLLTNKANEGQLDDLTIMKETIRVKDEELQQLAKDICARDSTIKDIADKLMETAEAAEAAASAAHAIDGERRIACLEIEHLTYDAQKQLETAQLKLREYEEKVMALATERELLLKQRDSAFQEAHQWRSELAKAREHAVILEAAVFRAEERARALEVDAGARVNDAVEKALTAAKEKEDLLALVNVLQTQVQRQQSNTKQVCEERSEARSTTDVTTKHVDLSEDDVDKACLSDPRVLLDSADTEVQLGVDGVEICSIGDAEWGNFQSTAARIADVREISLESEESSLDIPVVGTPIDDHQHGGRSLQP >Ma09_p09610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6322303:6327914:1 gene:Ma09_g09610 transcript:Ma09_t09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSAARTGDVESSLEKIKRQLMSGSGKYLLQGPLLKRSETVWPLISRSFFIEQLRKWNERWVILDPTTGKMEYKLRRNDTIIKGAIIFDSNSIITISPINLHGLQKYDGCCFYIGTPQKKEYFLCAETPGAAKAWVATLHATQLVLHAHKEAVTNLSGSGSVKLGTIATVVATANSTAMEASKEIEAAMRISMKAALGLLTNKANEGQLDDLTIMKETIRVKDEELQQLAKDICARDSTIKDIADKLMETAEAAEAAASAAHAIDGERRIACLEIEHLTYDAQKQLETAQLKLREYEEKVMALATERELLLKQRDSAFQEAHQWRSELAKAREHAVILEAAVFRAEERARALEVDAGARVNDAVEKALTAAKEKEDLLALVNVLQTQVQRQQSNTKQVCEERSEARSTTDVTTKHVDLSEDDVDKACLSDPRVLLDSADTEVQLGVDGVEICSIGDAEWGNFQSTAARIADVREISLESEESSLDIPVVGTPIDDHQHGGRSLQP >Ma07_p01250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:941072:957120:-1 gene:Ma07_g01250 transcript:Ma07_t01250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRPPRTVSDPKVRQVGFVTPGAAPPARYLSEAPTAAAASSPPSGDTAPPAISTSPVMIPPPRHSPSAPLAVPNPSRRDSLQIQVGGYNPPEVLLESPPLTSPSSRMDDAVSQFSEDPSISPCNGRSEAAKVASSFPGSSGEMMVMKAGIVGGGSNTPKSSWTTASVAKTRPGISEKERGAVVGMQNDGAGVSKTLKEKTTKAERRALQEAQRSAKAAAKESGAGVKLSAAPGGAVPANTKQGKVVKPPAQKKDGPQVANPSVSSEKKVVDRPPEKDRKKDVPPPRMQFDDIHRVEKAKRRAVVYQFEAKNRVELFRHLPQYIHGTQLPDLETKFFQLDPMHPSVYKVGLQYLSGNISGGNARCIAMLLAFREAIRDYSTPPEKALVRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPDTMPESEVKSSLQSDIDRFINEKIVIADKVIIRHAVTKIRDGDVLLTYGLSCVVEMLLVYAHEVGKQFRVVIVDSRPKLEGQVLLHRLVAKGINCTYTHVNAISYIMHEVTLVFLGAASVLSNGTVYSRVGTACVAMVANAFRVPVLICCEAYKFHERVQLDSICSNELGDPDVISKVPGRKDLNHLDNRADIENLQLLNLTYDATPSDFVSMIITDYGMLPPSSVPVIVREYRRENLWI >Ma07_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:940679:957120:-1 gene:Ma07_g01250 transcript:Ma07_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRPPRTVSDPKVRQVGFVTPGAAPPARYLSEAPTAAAASSPPSGDTAPPAISTSPVMIPPPRHSPSAPLAVPNPSRRDSLQIQVGGYNPPEVLLESPPLTSPSSRMDDAVSQFSEDPSISPCNGRSEAAKVASSFPGSSGEMMVMKAGIVGGGSNTPKSSWTTASVAKTRPGISEKERGAVVGMQNDGAGVSKTLKEKTTKAERRALQEAQRSAKAAAKESGAGVKLSAAPGGAVPANTKQGKVVKPPAQKKDGPQVANPSVSSEKKVVDRPPEKDRKKDVPPPRMQFDDIHRVEKAKRRAVVYQFEAKNRVELFRHLPQYIHGTQLPDLETKFFQLDPMHPSVYKVGLQYLSGNISGGNARCIAMLLAFREAIRDYSTPPEKALVRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPDTMPESEVKSSLQSDIDRFINEKIVIADKVIIRHAVTKIRDGDVLLTYGLSCVVEMLLVYAHEVGKQFRVVIVDSRPKLEGQVLLHRLVAKGINCTYTHVNAISYIMHEVTLVFLGAASVLSNGTVYSRVGTACVAMVANAFRVPVLICCEAYKFHERVQLDSICSNELGDPDVISKVPGRKDLNHLDNRADIENLQLLNLTYDATPSDFVSMIITDYGMLPPSSVPVIVREYRRENLWI >Ma06_p30930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32140096:32142468:-1 gene:Ma06_g30930 transcript:Ma06_t30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKAYVHSISGAGRLLPSAGRWNSIEVDFGLIPTSSYSKEASPLMYTKSYDFRLSVQDKSHLKRFIYIVISLILVAAAAALLTALLTRKHAGGGSLSGLPLALDNALLFFDAQKSGFLPKSNPVKFRGNSGLHDGEWKQDNTSLVGGFYDSGNNIKFSFTTAYTITLLSWTVIEYSHKYAAIGQLEHVMDIIKWGSDYLLELLITSTSSSEPESLFSQERGANDDDKVDNDIACWQRPEDMTYPRPVFKCKSSTSDLAGEIIAALAAASLVFDQEDSNYSTRLAQTSQTLYEFATKNHIKGTYSEDKDCGVQAANLYNSSSYKDELVWGATWLFLATGNFTYLSYATENFEAAVEEALPSDSGVFYWNNKVAANAVLLTRLRYLRDPGNPYEPTLKTCSDMANMIICSYLSTPNKFSMTPGGLIILQPNKSAPLHFAATAAFLSKIYGDYLNIINIPGASCGSEFFSRERLQNFARSQVNYMLGDNPMKMSYLVGFGDHFPEHVHHRAASIPWDGHNYSCSQGKRWRDAKESNPNVLLGAVVAGPDEDEGFLDIRNRPEYTEPTIAGNAGVVAALVALVDEPITPRTNGVIGGMDRDKIFSKINS >Ma08_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32078218:32085079:1 gene:Ma08_g18560 transcript:Ma08_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAPQRFDIFRVFSRYCDIVSRNHLSSSKELLATLLTSLEYSVLTRETLFNDTYRLMSCLDLSVDSRQFSSFYDFVFFICRENGQKNITVNKAITAWRLILNGRFLMLDQWCNFVEKHQRHNISEDTWQQLLAFSRCVNEDLEGYDPKGAWPVLIDDFVEHMFSINQSSDCGAQDLCCFGDFEAQPSISSTFSGNKPKPRAEDPGLKRKYSSYFEKHIEHIPKSMEISKCSDFPAQTKRSKQTSFPGNRGHMDSDISMSMVDGTWDYQDGMNKHNSHNCLHPSLCAVEDCLSKGSEGYLSFGCCFHFDQKNRVYS >Ma10_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23603254:23603352:1 gene:Ma10_g09430 transcript:Ma10_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNEIGVVQPLEEIGRICREKGVVFHTDAA >Ma05_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35622101:35622478:1 gene:Ma05_g23520 transcript:Ma05_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTSLALPISSAESDERSTAAAAPSSLSRGIYSSLRQHKPRGSMTCDKFPRVCRAGHHCCRKQCVNVMTDNQNCGQCGKKCCFGQVCCGGSCVNVMYDPKNCGGCNKRCKKGSFCQYGMCSYA >Ma11_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27240169:27241969:1 gene:Ma11_g24120 transcript:Ma11_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFVFLTCIVASSGGLIFGYDIGISGGVTSMDSFLSRFFPSVYKKQMADSSTNQYCKFDSQLLTLFTSSLYVAALLSSFLASTVTRMFGRKWSMFAGGITFLLGSAINCAAMNVLMLILGRVLLGIGIGACVQAVPLYLSEMAPADLRGTLNIGFQFMITIGIFAANLINYGTASIKGGWGWRVSLGLAAVPALFITIGSLVLPDTPNSLIERGHDEEAKAMLRKIRGTEDVRDEYDDLVAASDEAKSVDHPWSNILQRKYRPQLTIAILIPFFQQLTGINVIMFYAPVLFKTIGFGSEASLASAVITGIVNVFATFVSIATVDKLGRRALFLQGGTQMLLSQFLVGTLIAFKFGISGVATDVTKNYASIIVLFICFYVAAFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMFFTFLIAQVFLTALCHLKFGLFYFFAGWVAIMTAFITFFLPETKSVPIEEITLVWKKHWFWSKFISDEDVHVGNSESVDDRIEDA >Ma03_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5267426:5271996:-1 gene:Ma03_g07490 transcript:Ma03_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVERALTCLGRGFDVSCDFRPEYCRGKERLVVINEDEKRELAVPGFGLCKDVSVDVKCDKGDRVRYQSDVLEFNQMSELFNHRSSLAGKIPSGLFNYAFAFDGSTWAQDASNTKFLAMDGYFITLFELRIEHQPLALVDHVVKAVPSTWDPCAIARFIENYGTHIIVGLSVGGQDVVYVKQDQSSSLPPSELKQQLDKLGDQLFTGSCALPPFYWKSKEHKLKVPEAFNVFDLHKNGVKGVAPVVCKDGVTVMCCKRGGDTSASSHGEWLLTVSSVPDIINFTFLPITSLLKGVPGYGFLSHAINLYIRYKPPLSDLHYFLDFQSHKFWAPMHNDLPLGPISNRSMPTPALTFSHMSPKLYVNTYQVTVGRRPVTGMRLHLEGKKNDRLAVHLEHLSHTPAFLGAQPDQAPLWRGTDVTADERYYEPVQRKRFARVCTAPVKYDPRWATDGRGATAFVVTGAQLAVEAHESTSVLHLRLLYSEVRGFVVGRSQWQRGPSCLSQKLGFFSSVSGSLSGGLDREKQPGPEAVVDSAVFPSGPPVPVGKQKLLRFVDTSHLCQGPQHSPGHWLVTGAKLDVAKGKIRLNVKFSLLASIS >Ma08_p32420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43119526:43124492:1 gene:Ma08_g32420 transcript:Ma08_t32420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRSLLPPSLRRLWTSGSHGKNKELVERLQLYGIIKSKKVAEVMEAIDRGVFVPPGSAPYVDSPVPIGYNATISAPHMHAACLELLEEHLQPGMKALDVGSGTGYLTACFAMMVGPQGRAVGVEHIPELVDFSINNIKNSAASTLLKEGSLSVHVTDGRLGWPDLAPYDVIHVGAAAPEIPQPLIDQLKPGGRMVIPVGTIFQDLQVVDKKMDGSVSIRSETPVRYVPLTSKAAQLHNN >Ma08_p32420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43119526:43124492:1 gene:Ma08_g32420 transcript:Ma08_t32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLWTSGSHGKNKELVERLQLYGIIKSKKVAEVMEAIDRGVFVPPGSAPYVDSPVPIGYNATISAPHMHAACLELLEEHLQPGMKALDVGSGTGYLTACFAMMVGPQGRAVGVEHIPELVDFSINNIKNSAASTLLKEGSLSVHVTDGRLGWPDLAPYDVIHVGAAAPEIPQPLIDQLKPGGRMVIPVGTIFQDLQVVDKKMDGSVSIRSETPVRYVPLTSKAAQLHNN >Ma10_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26440544:26445149:-1 gene:Ma10_g13760 transcript:Ma10_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKATAVVDGRALVERMAEAAEEISAISDYRNAYRKQFCDLSRRMKLLEPMFQELKESKDPIPEQAVRSLVLLKRALDSARELLRLGNEGSKIFLVLEREKTTKRFLEVTVQLEQALSEVPFDMLNISDEVREQVELVHAQFKRAKERVDMIDMDLHRDLLSAYNMSTDANVDQSTLRRLAEKLQLLTISDLKQESLALHEMVVASDGDPGEIIEKMSMLLKKVKDFMQTQNPEMGTPTNKGPLPSNERAKPPVIPDDFLCPISLELMKDPVIVATGQTYERESIEKWLQAGHDTCPKTRQRLSNKSLTPNYVLRSLIMQWSEANGFDPPKLPAQTAKSRPTCSSGEHAKVLDLISKLSSRSIDDQRSAAAELRLLARRSAENRLCIAEAGAIPLLVKLLSTLDVHTQENAVTALLNLSIFEDNKERIIISGAVPGIVHVLRRGSMEARENAAATLFSISVVDDYKIRIGNLGAIPPLVSLLREGSPRGKKDAATALFNLCIYQGNKGKAVRAGVVPILVGLLMDPEAGMMEETLAILAILSSHSEGKAAIAAAEALPVLVEVIKSGSPRNKENAAATLLHLCSGEQQQQHLAEAHEKGLMGLLQEMAENGTDRGKRKAAQLIQRMNRFLEQKRVAQAQAQALVKQSGNPALPDFDYR >Ma10_p05100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15834570:15837043:1 gene:Ma10_g05100 transcript:Ma10_t05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAELALMKPINPISKFRKGGTLRPRSSQLRVLASSQSGATAAAAPKKKKSGKTEINETLLTPRFYTTDFDEMEQLFNTEINKKLNQAEFEALLNEFKTDYNQTHFVRNPEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEFQLYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINEKLVAVGESQDIPVVKNLKRIPLIAGLVSELVAAYLMPPVESGSVDFADFEAQIVY >Ma10_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15834570:15837043:1 gene:Ma10_g05100 transcript:Ma10_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAELALMKPINPISKFRKGGTLRPRSSQLRVLASSQSGATAAAAPKKKKSGKTEINETLLTPRFYTTDFDEMEQLFNTEINKKLNQAEFEALLNEFKTDYNQTHFVRNPEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEFQLYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINEKLVAVGESQDIPVVKNLKRIPLIAGLVSELVAAYLMPPVESGSVDFADFEAQIVY >Ma08_p14910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14851056:14855226:-1 gene:Ma08_g14910 transcript:Ma08_t14910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPMKDNDKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQSAVDFGTSPRIPPLILCRVTAVKFRADKETDEVFAKIQMVPISNSESDYGEGDGLGLSMNEFDAQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSTDPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSIFVNQKKLVAGDSIVFLRAENGDLCIGIRRAKRGGFGDGPETLGWNSPSESAISGYDSFSAFLREEESKLMRGTGGNFASSGGMRGRGRVRADAVVGTASLAASGQPFEVVYYPRAGTPEFCVKAVAVRAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISSVQVSDPVRWPISPWRLLQVTWDEPDFLQNVKCVNPWLVELVSHMPAINLAHFSPPRKKPRIPQHPDLPFEGQFNTPMVARIPLRSGGSPFCCYSDSAPAGIQGARHANFGLRNLHLNKLQMGLFHAGLHRVDQATPNSRISMGVIVGNPTVDDDLSCLLTIGHPSQNLKKSCNGKPPQLVLFGQPILTEQQVSLSKSENGVSLGVTGKSSTGGDLKKTTYASGGSGYAIDRKGSPEAFPSYRDHRASELGLESGHCKVFMESDDVGRTLDLSVFGSYEELYVRLSDMFGIEKLKMMNHVFYKDVAGAVKHTRDEPFR >Ma08_p14910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14850541:14855226:-1 gene:Ma08_g14910 transcript:Ma08_t14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPMKDNDKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQSAVDFGTSPRIPPLILCRVTAVKFRADKETDEVFAKIQMVPISNSESDYGEGDGLGLSMNEFDAQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSTDPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSIFVNQKKLVAGDSIVFLRAENGDLCIGIRRAKRGGFGDGPETLGWNSPSESAISGYDSFSAFLREEESKLMRGTGGNFASSGGMRGRGRVRADAVVGTASLAASGQPFEVVYYPRAGTPEFCVKAVAVRAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISSVQVSDPVRWPISPWRLLQVTWDEPDFLQNVKCVNPWLVELVSHMPAINLAHFSPPRKKPRIPQHPDLPFEGQFNTPMVARIPLRSGGSPFCCYSDSAPAGIQGARHANFGLRNLHLNKLQMGLFHAGLHRVDQATPNSRISMGVIVGNPTVDDDLSCLLTIGHPSQNLKKSCNGKPPQLVLFGQPILTEQQVSLSKSENGVSLGVTGKSSTGGDLKKTTYASGGSGYAIDRKGSPEAFPSYRDHRASELGLESGHCKVFMESDDVGRTLDLSVFGSYEELYVRLSDMFGIEKLKMMNHVFYKDVAGAVKHTRDEPFSDFMKTARRLMILTDSGSR >Ma08_p14910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14850541:14854470:-1 gene:Ma08_g14910 transcript:Ma08_t14910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPMKDNDKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQSAVDFGTSPRIPPLILCRVTAVKFRADKETDEVFAKIQMVPISNSESDYGEGDGLGLSMNEFDAQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSTDPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSIFVNQKKLVAGDSIVFLRAENGDLCIGIRRAKRGGFGDGPETLGWNSPSESAISGYDSFSAFLREEESKLMRGTGGNFASSGGMRGRGRVRADAVVGTASLAASGQPFEVVYYPRAGTPEFCVKAVAVRAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISSVQVSDPVRWPISPWRLLQVTWDEPDFLQNVKCVNPWLVELVSHMPAINLAHFSPPRKKPRIPQHPDLPFEGQFNTPMVARIPLRSGGSPFCCYSDSAPAGIQGARHANFGLRNLHLNKLQMGLFHAGLHRVDQATPNSRISMGVIVGNPTVDDDLSCLLTIGHPSQNLKKSCNGKPPQLVLFGQPILTEQQVSLSKSENGVSLGVTGKSSTGGDLKKTTYASGGSGYAIDRKGSPEAFPSYRDHRASELGLESGHCKVFMESDDVGRTLDLSVFGSYEELYVRLSDMFGIEKLKMMNHVFYKDVAGAVKHTRDEPFSDFMKTARRLMILTDSGSR >Ma08_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14850541:14855226:-1 gene:Ma08_g14910 transcript:Ma08_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPMKDNDKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQSAVDFGTSPRIPPLILCRVTAVKFRADKETDEVFAKIQMVPISNSESDYGEGDGLGLSMNEFDAQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSTDPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSIFVNQKKLVAGDSIVFLRAENGDLCIGIRRAKRGGFGDGPETLGWNSPSESAISGYDSFSAFLREEESKLMRGTGGNFASSGGMRGRGRVRADAVVGTASLAASGQPFEVVYYPRAGTPEFCVKAVAVRAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISSVQVSDPVRWPISPWRLLQVTWDEPDFLQNVKCVNPWLVELVSHMPAINLAHFSPPRKKPRIPQHPDLPFEGQFNTPMVARIPLRSGGSPFCCYSDSAPAGIQGARHANFGLRNLHLNKLQMGLFHAGLHRVDQATPNSRISMGVIVGNPTVDDDLSCLLTIGHPSQNLKKSCNGKPPQLVLFGQPILTEQQVSLSKSENGVSLGVTGKSSTGGDLKKTTYASGGSGYAIDRKGSPEAFPSYRDHRASELGLESGHCKVFMESDDVGRTLDLSVFGSYEELYVRLSDMFGIEKLKMMNHVFYKDVAGAVKHTRDEPFSDFMKTARRLMILTDSGSR >Ma09_p22570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34485354:34491399:-1 gene:Ma09_g22570 transcript:Ma09_t22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEGAIICRPDIRAHYTGLSASLVTNNTTKAIRPQSRIWGFKTRCKSIIQFSGLSLQPCSKRQWRVHCSFSSSSDGNGSMAGNFSANDEEYVNSSVMEAVQVRSGLDGFMIKMRDGRYLRCVHNNPQGGHLPDYAPHPAIVLKMEDGSDLLLPIIVLEMPSVLLMAAIRNVRIARPTIYQVVKDMIEKMGYAVQLVRVTKRVNEAYFAQLYLSKVGNEKDTISLDLRPSDAINMAVRCKVPIQVNRNLVYSDAMRVVEPSKSTMQAPQSDGMLFMELDRPDGQPCLEAEEFGLIRNMLIAAVEERYRDAAQWRDQLHQLRSKRKNWT >Ma09_p22570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34485341:34491399:-1 gene:Ma09_g22570 transcript:Ma09_t22570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEGAIICRPDIRAHYTGLSASLVTNNTTKAIRPQSRIWGFKTRCKSIIQFSGLSLQPCSKRQWRVHCSFSSSSDGNGSMAGNFSANDEEYVNSSVMEAVQVRSGLDGFMIKMRDGRYLRCVHNNPQGGHLPDYAPHPAIVLKMEDGSDLLLPIIVLEMPSVLLMAAIRNVRIARPTIYQVVKDMIEKMGYAVQLVRVTKRVNEAYFAQLYLSKVGNEKDTISLDLRPSDAINMAVRCKVPIQVNRNLVYSDAMRVVEPSKSTMQAPQSDGMLFMELDRPDGQPCLEAEEFGLIRNMLIAAVEERYRDAAQWRDQLHQLRSKRKNWT >Ma09_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34485354:34491406:-1 gene:Ma09_g22570 transcript:Ma09_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEGAIICRPDIRAHYTGLSASLVTNNTTKAIRPQSRIWGFKTRCKSIIQFSGLSLQPCSKRQWRVHCSFSSSSDGNGSMAGNFSANDEEYVNSSVMEAVQVRSGLDGFMIKMRDGRYLRCVHNNPQGGHLPDYAPHPAIVLKMEDGSDLLLPIIVLEMPSVLLMAAIRNVRIARPTIYQVVKDMIEKMGYAVQLVRVTKRVNEAYFAQLYLSKVGNEKDTISLDLRPSDAINMAVRCKVPIQVNRNLVYSDAMRVVEPSKSTMQAPQSDGMLFMELDRPDGQPCLEAEEFGLIRNMLIAAVEERYRDAAQWRDQLHQLRSKRKNWT >Ma09_p22570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34485341:34491399:-1 gene:Ma09_g22570 transcript:Ma09_t22570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEGAIICRPDIRAHYTGLSASLVTNNTTKAIRPQSRIWGFKTRCKSIIQFSGLSLQPCSKRQWRVHCSFSSSSDGNGSMAGNFSANDEEYVNSSVMEAVQVRSGLDGFMIKMRDGRYLRCVHNNPQGGHLPDYAPHPAIVLKMEDGSDLLLPIIVLEMPSVLLMAAIRNVRIARPTIYQVVKDMIEKMGYAVQLVRVTKRVNEAYFAQLYLSKVGNEKDTISLDLRPSDAINMAVRCKVPIQVNRNLVYSDAMRVVEPSKSTMQAPQSDGMLFMELDRPDGQPCLEAEEFGLIRNMLIAAVEERYRDAAQWRDQLHQLRSKRKNWT >Ma07_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12045015:12046854:-1 gene:Ma07_g15840 transcript:Ma07_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGYAKMDDQIAIQEAAAAGIRSMEHLISRLSQQQQLDCRDITDHAVSKFKKVISILNRTGHARFRRSPTAPPPAEPSVEPSLPEAAKTLTLAPIPLRVKPPHHPLPPPSRPLTLDFTEPVATCEVSAPSRFSKECFSISKPMSSATSSFMSSVTGDGSVSNGRPGASSFLLPPPAAAVSAGKPPFSSTISRRCHEHGHTNSEHVAGKYAIPGSRCHCSKRRKSRVKRTIRVPAISSKLADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTLRGCPARKHVERAPDDPTMLIVTYEGEHRHTPSAATVAAPEPVTASASAI >Ma05_p30050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40583579:40587112:-1 gene:Ma05_g30050 transcript:Ma05_t30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQASTGMRPKTKIVCTLGPASRSVEMLERLLKAGMNVARFNFSHGSHAYHQETLDNLRAAMENTGILCAVMLDTKGPEIRTGFLEDGKPIQLKKGQEITVTTDYTIKGNENMISMSYKKLAEDLKPDSVILCADGTITLTVLSCNKESGLVCCRCENSALLGERKNVNLPGVIVDLPTLTEKDKEDILRWGVPNKIDMIALSFVRKGSDLVEVRKVLGAHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIQKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKAPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLEAESYLDYGSVFKGMTAAAPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVAKYRPAMPILSVVVPELRTDSFDWFCSDEAPARHSLIFRGLIPVLSSATAKASDTESTDEAIESAIDHAKSLGLCKSGESVVALHRIGVASIIKILTVN >Ma01_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4820990:4828096:1 gene:Ma01_g06710 transcript:Ma01_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAGSDTFVGNADDANWMPKVDMEFKTDEEAYQFYNNYAKVVGFSVRKAWINRRASGVVISRTYVCHKEGYQGNRRDESEVKKPRQNERTGCLAHLTIKITENGTYRISEFHPMHNHELVAPGKAHALKSHRVAKRSRAAVAGMMASQKAKIEYLVRQAGGYRQVRFFSVEDAANKSWAPKVDMEFENDEKAYQFYNEYARRIGFDVRKAWINRKASGVIISRTYVCYKEGFHGNKKNESQVQQHRLRSSERTGCHARMTIKLAKNGRYRVSEFYWDHNHEFVTARTAHTLKSHRTANRARFHVVDYEDDSRVEPIAKDENLSELTVANQQGDLLPSHCKNYLHAKRKDTPKPGDVGAMLQYLQGRQVDDPAFYYAIHLDSEDQVTCFFWRDGRSAVDYGYFGDVVCFDTSYKINNYGRPLALFYGVNHHKQIVVFGTALMYDDTEECFKWLFETFKSAMNGKEPNVVLTDQNMAITNALDLVWHGTKHRGCAWHMYQNATKYLAQVFQGSRTFASDFRKCLYDCEDDEEFASEWIKMLEKYDLKENIWLKKLFEERDNWSVACGRGMFCADMSSTQRTESLSSMLKEHLRQENDLLQFLGNYERVLYGRRYEELLADHHATESNSLVASSRMLRQAANIYTPAVFDIFQKEFELSLDCMVYNAGMVETTYEYKVTSEGSLKVHLVRLNPLDGTLVCSCKKFEFVGIQCRHVLKALDVTNIKELPLRYFLKRWRKDAKVISLRDMHGLATDNELKSTRVKRFSSLCHIFSIITSKAAETVEGCKFIESQSDQLLVSVYQILQTQLEGPQFA >Ma03_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2838757:2840203:1 gene:Ma03_g04310 transcript:Ma03_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGGGGGREMLLGKRQRPPMRRTTSMTEFAADVVLTDVEATQAPFDHEKTSDVLHHQWNPWMEPQRAAELPAQRRASGVANWLEARYHGSLVLSPRTGGHRKNSADLAVVETAPFLRACGLCKRRLGPGRDTFMYRGDIAFCSLECRQQHINLEERKEKCSLTSIKDAVPAANGSENAGNGETVAAA >Ma02_p11600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20690543:20692203:1 gene:Ma02_g11600 transcript:Ma02_t11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTRRVTRSQAAAAAANSHRTEKSKHEEWHPRPRNGGDRAALLDITNDSPVVGLATGCFLVEKTPSSSAVKSRVIARRTPGSGEEVLRGQVRTLLQKVEEDTELVNKLPFGHPPPPPPQRFPSLLGLSRSPIHLLAPTPANTPQIPNMNCSKEGYTSTGFASPCVVPVEDDHPKVVAALNREEAHPQECLINRALMFDSPEKSDMSDISTISSSLTFQCSSQSSRQEASPDDDDNSIWSVQVHASANSDRDHHELLEEEEEEEEEEGIDSKVTEAAEEEEEEGEANDEELLGDLCEGMKKMSMLDDEPRLLEFTGKHTRFIYNSDDEMEGEEEAMGGAAVSPSVLVLKGLPAPQGKHLRFKEEDD >Ma02_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20690543:20692202:1 gene:Ma02_g11600 transcript:Ma02_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTRRVTRSQAAAAAANSQKSKHEEWHPRPRNGGDRAALLDITNDSPVVGLATGCFLVEKTPSSSAVKSRVIARRTPGSGEEVLRGQVRTLLQKVEEDTELVNKLPFGHPPPPPPQRFPSLLGLSRSPIHLLAPTPANTPQIPNMNCSKEGYTSTGFASPCVVPVEDDHPKVVAALNREEAHPQECLINRALMFDSPEKSDMSDISTISSSLTFQCSSQSSRQEASPDDDDNSIWSVQVHASANSDRDHHELLEEEEEEEEEEGIDSKVTEAAEEEEEEGEANDEELLGDLCEGMKKMSMLDDEPRLLEFTGKHTRFIYNSDDEMEGEEEAMGGAAVSPSVLVLKGLPAPQGKHLRFKEEDD >Ma09_p24670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36323117:36326191:1 gene:Ma09_g24670 transcript:Ma09_t24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLLSRALLMVFGYVYPAYECYKTVELNKPEIEQLRFWCQYWILVASLTVLERVGDTFIAWLPMYSEAKLAFYIYLWYARTKGTTYVYENFFRPYIAKYETEIDRNLLELRKRVGDVMVRFWQKAASYGQTRFFEFLQYVASQSQAPREQGVQQIQQQLQAPSASSVAQQKQLDLSQQTSTVLSRPTSSGGVHPAVSPAQPQAPVLNLASKEQMQVKIIGSPANEDSDRTSQGTVIEDVIRMARTKLRNRTAVSSSSRWEKSEEKSIAG >Ma09_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36323734:36326191:1 gene:Ma09_g24670 transcript:Ma09_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLLSRALLMVFGYVYPAYECYKTVELNKPEIEQLRFWCQYWILVASLTVLERVGDTFIAWLPMYSEAKLAFYIYLWYARTKGTTYVYENFFRPYIAKYETEIDRNLLELRKRVGDVMVRFWQKAASYGQTRFFEFLQYVASQSQAPREQGVQQIQQQLQAPSASSVAQQKQLDLSQQTSTVLSRPTSSGGVHPAVSPAQPQAPVLNLASKEQMQVKIIGSPANEDSDRTSQGTVIEDVIRMARTKLRNRTAVSSSSRWEKSEEKSIAG >Ma07_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11420603:11421991:1 gene:Ma07_g15200 transcript:Ma07_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCRTAHRHPFHLPLFSKPLLSSPPLLKPFPCSSSSTKPCPSLRASCSSKSSSNSLAPVIKIASVAAATSIAAAALFLARLCPPALAAAAAAAPPAPAVQSDALPSDASSETTPLTEAEKERILEERLDSHPDDVRSLRALMELKVKAGKLAEAIGIVDRLIALEPAEKDLPLLKAHLQSYDGNTETAKRGFEELLEKDPFLVEAYHGLIMATSQSEDDGDLDAILKRVEDAMELCKKARRKEDLRDFKLLMAQIRVIEGRYEDSLKIYKELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKQFQTYRRLVPKGHPYAQYFDDNMIAMKVFGQMDENRRKAALKN >Ma05_p31340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41300354:41302367:1 gene:Ma05_g31340 transcript:Ma05_t31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLWPNLDREDGLDTVLEVPIPEEMFLSGDSSKSSKTLCTNVKAWVRPHVDRSPPSPIGRGAELQLMLGVIGAPLVPLPVQAYKSTLIRDMKEDPIEVSMAKYIVQQYIAASGGEQALNAINSMYAMGKVRMTASGLPKGNGGGHGDATDGKKAKKCGGAGEMGGFVLWQKKPDLWCLELMVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPRCTANLFANSVCLGEKTINGEDCFVLRLDAEAATLRARSSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLRIKSAVQESVYWETTMESLIEDYRPIDGVNIAHAGRTSVSLFRFGETSDGHTRTRMEETWTVEEVDFNIWGLSMDCFLPPADLKEEKEGGDVGGVAAAATKSARPPFRVQQAVARIGISQVAAVDVDESDSTSTEEEDGSQ >Ma09_p01020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:728463:744704:1 gene:Ma09_g01020 transcript:Ma09_t01020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRAGSPVYARQFSVDSAPSSPAHPLSFEAANGKRTSRAAAANARLAQVMMRKRPDYDAYEEEEDDSIPSIVGGGGRYRSSSGASVAARNPSPMLGRNIMETASTNRSTSAGRSTLSVRTTPVVPQTKTTIRTPSPVPAIEPPVDRRRDKRFFPDMGHLNSREPGDLREASALQDQLDMLEEENYNLLEKLQLAEERCKEAEARSRELEKQVANLGEGVSLEARHLSRKEAALRQREEAMKAAMRTKDDKDEELTALRQEVQSAKDETANTVDQLQDAELEVKALRSMTHRMILTQEEMEEVVLKRCWLARYWALAVHHGIYPEIAAAKHEYWSSFAPLPLEVVSSAGQKTKDDSWSTGYDDTDRRNQLDRDISDITAEGNIENMLAVEKGLRELASLKVEDAIMLVLAQHRRPNLIRQSASDLRSPGDPKWDEFELSQEEAEDVLFKQSWLTYFWRRAKTHGIEEDIAEEHLRFWIGRMGQPPTSHDVVDVERGLIELRKLGIEQQLWEACRMEFDANQKPEADAENS >Ma09_p01020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:729969:744704:1 gene:Ma09_g01020 transcript:Ma09_t01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRAGSPAYSRQGSGGSSGSGSSSPGMSPGHHRSASASGISGIRRTQNLAAKAANARLAQVMASQAAAEEEDDDLLPARAGAGFVGGVRFGLPRPVPSSNGGGGASLFGRSARSPSPALGRNIMETASTNRSTSAGRSTLSVRTTPVVPQTKTTIRTPSPVPAIEPPVDRRRDKRFFPDMGHLNSREPGDLREASALQDQLDMLEEENYNLLEKLQLAEERCKEAEARSRELEKQVANLGEGVSLEARHLSRKEAALRQREEAMKAAMRTKDDKDEELTALRQEVQSAKDETANTVDQLQDAELEVKALRSMTHRMILTQEEMEEVVLKRCWLARYWALAVHHGIYPEIAAAKHEYWSSFAPLPLEVVSSAGQKTKDDSWSTGYDDTDRRNQLDRDISDITAEGNIENMLAVEKGLRELASLKVEDAIMLVLAQHRRPNLIRQSASDLRSPGDPKWDEFELSQEEAEDVLFKQSWLTYFWRRAKTHGIEEDIAEEHLRFWIGRMGQPPTSHDVVDVERGLIELRKLGIEQQLWEACRMEFDANQKPEADAENS >Ma09_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:728463:744704:1 gene:Ma09_g01020 transcript:Ma09_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRAGSPVYARQFSVDSAPSSPAHPLSFEAANGKRTSRAAAANARLAQVMMRKRPDYDAYEEEEDDSIPSIVGGGGRYRSSSGASVAARNPSPMVTSYNPSLGRNIMETASTNRSTSAGRSTLSVRTTPVVPQTKTTIRTPSPVPAIEPPVDRRRDKRFFPDMGHLNSREPGDLREASALQDQLDMLEEENYNLLEKLQLAEERCKEAEARSRELEKQVANLGEGVSLEARHLSRKEAALRQREEAMKAAMRTKDDKDEELTALRQEVQSAKDETANTVDQLQDAELEVKALRSMTHRMILTQEEMEEVVLKRCWLARYWALAVHHGIYPEIAAAKHEYWSSFAPLPLEVVSSAGQKTKDDSWSTGYDDTDRRNQLDRDISDITAEGNIENMLAVEKGLRELASLKVEDAIMLVLAQHRRPNLIRQSASDLRSPGDPKWDEFELSQEEAEDVLFKQSWLTYFWRRAKTHGIEEDIAEEHLRFWIGRMGQPPTSHDVVDVERGLIELRKLGIEQQLWEACRMEFDANQKPEADAENS >Ma08_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33985739:33988668:1 gene:Ma08_g20150 transcript:Ma08_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINPFPSSLKSSVGSKTTTVGCGTGGGREGGSFNHHDERRGTLCSSWVSRLHPRSAAPPPPARRKLPFPEDPGAPINVGDGSGVVSYNKHTTSTDESRTPASISTLHSSFFARRYPSPFERSPAGVIPPRIAYYDGGDRSVSGFGPYRIRSRSAPIRRRGQRGGREVGDERNLGRRDHGEGGGFCPPFRRMPRLGEESDGERGILGSCLGRQLGSVHAEIKVDQVNVFFPSRRTSDVPNQVKLSPFFQFRSSFYSDCSIDRSKGTMAHPMDGGNTKILDNRNQMRPPSNENWHPPLPMSCFPPREVVDDFSSSRSYEEHIPVDPWCACDSVQQVSRSRGAHLRDGADAAAPVRTSYDPVGSHQTTTTNLLGEATVCMNEPKERFSFWNIGRFERRNTGSSVSPTITMASNANVKRSAKFDESIPPKYRKLGNKD >Ma06_p35140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35099747:35103486:1 gene:Ma06_g35140 transcript:Ma06_t35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIRKALGTVKDQTSIGLAKVSSNMAPELDVAIVKATSHDDEPANEKYLREILSMTSYSRGYVSACIATVSKRLGKTRDWVVAVKALVLVHRLLVDGDPAFQHEILYATRRGTRLLNMSDFRDEAHSNSWDHSAFVRTYALYLDQRLECMVYERKQGGGNSRSNESTTDRWRSPPPNRSDYGDHDPYAHGNYGRSSYSYPPRDGGAGYDERQNGEDKKPPTPLRDMRPERVLGRMHHFQQLLDRFLACRPTGNAKFSRMILIALYPIVRESFQLYADICEILAVLLDRFFDMDYADCVKSFEAYASAAKQIDELCTFYAWCKDTGVARSSEYPEVQRITEKLLETLEEFMRDRARRPKSPPREAIPAATMDREREPEQDMNSIKALPAPSDYKEEEPEPAKVGVEPVKQQPQQQQTDLVDLREDGSSTDDQSNKLALALFQGPVAGSGANGSWEAFPSSNGDSGVTSAWQTPAAEPGKADWEIVLVETTSNLSKQQASLGGGLDPLLLDGMYDQGVVRQQVNAQINGGSASSVALPVPGRGAAPVLALPAPDGTVQTIGQDPFVASLSIPPPSYVQMADVEKKQQLLVQEQVLWQQYAKDGMQGQSSLAKLNNIFIPNPTMPYGMPTAYNPAGGYYYPTY >Ma07_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9118010:9119921:1 gene:Ma07_g12220 transcript:Ma07_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEESWEVNGTSTTPSTAEKLLVHHKENHFTAGEVVRDVILGVSDGLTVPFALAAGLSGANAPSSLILTAGLAEVAAGAISMGLGGYLAAKSEADHYMRELKREQEEIVTVPDIEAAEIGEILAQYGLEPHEYSPVVNALRNNPQAWLDFMMKFELGLEKPDPKRALQSALTIALSYVVGGLVPLLPYVFIPIAQKAMLTSIGVTLAALLFFGYVKGQFTGNYPLSSAVQTAFIGALASAAAYAMAKVIQST >Ma06_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6955424:6957635:-1 gene:Ma06_g10020 transcript:Ma06_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGSRRKLFQVCKPYAAMISLQFGYAGMNILTKFSLNQGMSHYVLVVYRHAFATLSIAPFALILERKVRPRMTFAIFMQIFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVLAVICRMEKLDLKKVRCQAKVVGTLVTVAGAMLMTLYKGPIMEMVWTKHMHAQAHQAVDVPVAADSADKDWFKGCIFLIIATLAWASLFILQAAALRRYNAPLSLTSLICFVGTLQAIAVTFVTEHRLSVWRIGWDMNLLAAAYAGIVTSSIAYYVQGLVIQDKGPVFASAFSPLMMILVAIMGSFILSEKIYLGGIIGAVLIVVGLYSVLWGKHKENKEKTTEADIPVSIKGTQGDGQVMEIIELDEVELEKAKSGNKVIDAADVAIIPVNASVPANHMKVNMEARYHARLKGITERERERETE >Ma06_p38220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37067334:37073718:-1 gene:Ma06_g38220 transcript:Ma06_t38220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELLLWLLAFAAVIALIGLSAYQLICLSDLEFDYINPYDSSSRINAVVIPEFLVQGILCILFLLTWHWFPFLIMAPITYYHIKLYMKGKHLIDVTEIFRLLNGEKKYRVIKLAFYCSIFIVVIYRLVTTSVLLLIEEDDQALESGIF >Ma06_p38220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37067371:37073702:-1 gene:Ma06_g38220 transcript:Ma06_t38220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELLLWLLAFAAVIALIGLSAYQLICLSDLEFDYINPYDSSSRINAVVIPEFLVQGILCILFLLTWHWFPFLIMAPITYYHIKLYMKGKHLIDVTEIFRLLNGEKKYRVIKLAFYCSIFIVVIYRLVTTSVLLLIEEDDQALESGIF >Ma06_p38220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37067369:37073702:-1 gene:Ma06_g38220 transcript:Ma06_t38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELLLWLLAFAAVIALIGLSAYQLICLSDLEFDYINPYDSSSRINAVVIPEFLVQGILCILFLLTWHWFPFLIMAPITYYHIKLYMKGKHLIDVTEIFRLLNGEKKYRVIKLAFYCSIFIVVIYRLVTTSVLLLIEEDDQALESGIF >Ma03_p29960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32769396:32769557:-1 gene:Ma03_g29960 transcript:Ma03_t29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILSEILLSGFMINITLRRRTHQAQSFSVVFLYWFCVFS >Ma04_p31980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32139927:32146437:-1 gene:Ma04_g31980 transcript:Ma04_t31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLIFSWSIADVLNNDLFKNKVEMIPKTFDTTESYFSSFTYPLMEEVRAEVCSSLESISQAPFVKIEHLRCTKRKKHIYSILIAPPFHTASAGSNAIYSPHKGDILVLSEFKPSDVSDLTKSGQSYRLVSVFKDEFDDLPPNTYVIRASEEIDEAKYNSSDNNKQRSNLFAFYLVNAITYNRIWRAIDVGLAAKGNLSLVLKVLQVDPKDAEDCRDSLSNVVRRIQGIDFGWCLSKLNLNESQTDAILSCISARQRGNNKSINLIWGPPGTGKTKTISGLVWLLDLLRCRTLICAPTNTAVKEVALRLLKLVKQFSGNSRCRLGDVVLFGNEERMRISDDLKNVFLDFRVKKIQESFALKTGWKHCLSSMLEFFEDGVSLHQKFLHDRKVSECTKDISKEKQDGYEDKMFSDDELGDDEEAFLSFARRNFRVLSKQICSCFEMLYLHIPREALSESNCKDILILFDLLKEFENLLFKVDAGSKLKEIFQSRVEKVEVSSSGEMLNFGGATSFMIRRTRASCCKILRALEKSLKQLPPISSKRAIKSFCLQNANIILCTASTSAGLNKLETKKPFEMVVIDEAAQLKECESLIPLQILWLNHAVLIGDECQLPAMVRSKAAENSLFGRSLFERLSSLGHKKHLLNMQYRMHPQISLFPNTNFYDKKIMDAPNVIGKNHERKYLPGPMYGPYSFINVDHGMESFDSLGRSRKNEVEIVVILQILRNLHKASSRTQKELSVGIICPYTAQVLAIRGKLGKMYQSNSFMSVKVNSVDGFQGSEEDVIILCTVRSNADGSVGFLSNLNRANVALTRARHCLWVVGNGPTLISSGSIWAKLVFDAKSRQCFFNATEDKDIASAIFRSDPWSVDSLNMDGLYISRKSTKNDKVTGTSNSLPASSSKPQVSKGKGLATSHNSVKHNDDSVAYLRKQEPQRNNESLKFVYRPVSSGRNLPGENSLGSVNLGRNVNIAGLTTT >Ma02_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22549981:22551196:1 gene:Ma02_g14510 transcript:Ma02_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSEDQIMEFKEAFCLFDKDGDGCITLEELATVIKSLDQNPSEEELQEMIRNVDLDGNGTIDFGEFLNLMARKMKETDEEEELKEAFKVFDNDQNGYISASELRNVMMNLGEKLTDEEVDQMIREADLDGDGQVNYEEFVQMMMTT >Ma02_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22118207:22126456:1 gene:Ma02_g13950 transcript:Ma02_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERKQSELIDHFVKRATAVDAAAPLADLILEATAHPSLFAFSEILSVPDLAKLKGTQYASSLYVLRLFACGTWSDYKCNAGSLPALVPDQVRKLKQLTVLTLAETEKVLPYDQLMEELDVSNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPEQLDNMIQTLSDWLGTSDSLLHLIQEKIKWADIMSETNKKHKKEIEDKVEEVKKSLKADLDLRGHEESFSESGGMMDYEEDRVRPKRRRQPMHKEG >Ma02_p13950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22118207:22126456:1 gene:Ma02_g13950 transcript:Ma02_t13950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERKQSELIDHFVKRATAVDAAAPLADLILEATAHPSLFAFSEILSVPDLAKLKGTQYASSLYVLRLFACGTWSDYKCNAGSLPALVPDQVRKLKQLTVLTLAETEKVLPYDQLMEELDVSNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPEQLDNMIQTLSDWLGTSDSLLHLIQEKIKWADIMSETNKKHKKEIEDKVEEVKKSLKQADLDLRGHEESFSESGGMMDYEEDRVRPKRRRQPMHKEG >Ma02_p13950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22118207:22126456:1 gene:Ma02_g13950 transcript:Ma02_t13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERKQSELIDHFVKRATAVDAAAPLADLILEATAHPSLFAFSEILSVPDLAKLKGTQYASSLYVLRLFACGTWSDYKCNAGSLPALVPDQVRKLKQLTVLTLAETEKVLPYDQLMEELDVSNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPEQLDNMIQTLSDWLGTSDSLLHLIQEKIKWADIMSETNKKHKKEIEDKVEEVKKSLKLNTLSRPTWTYEATKRAFLNLEE >Ma01_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9020112:9025901:-1 gene:Ma01_g12420 transcript:Ma01_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGDGHGGGAGGGGFHRNEAISAVQDEEQFYGEDDDYDDLYSDVNVGDGFHQNFQGGDDAEGFQAGDERRSEPQPPPPPPLPIPAPQQPVAETSERVQIPGIAGEPKIERVADRSAGFQDPGFRGGAEPTVGVRQAAPLPPPPMPVVTGAGRTDLGQASGSFSQIQSNNRNNSFPNEGFQRQGGGFGNEGFQRQGGGGSVVVPAGNANGGGDAGGGGGAGAGVGGTTLFVGELHWWTTDADLEEELCKYGQVKEVKFFDERASGKSKGYCQVDFYDSMAASACMDGMNGHIFNGRPCVVALASPYTVRRMGENQVNKNQQAMGQSQPPAPAQKGRGGSGSSAGGNFGRGGGVGGGSGGNWGKGGGMGNRGQMGNMRNRMGPLGGRGIMGNGGMVAPPPPVLHPGAMLGQGFDPMGYGAAMGRMGAGFGGFPAGAAGAPFPGMMPSFPPVVAPHVNPAFFGRGLAPGGVGMWSDPNMGGWGGEDQSSYGEDATSDQQYGEGSHGKDRMAERDRYGAPERRHEKEKDMGSGQEWPERRHRDEKERETGRDKEPGRERDRERERERDRERDRERERELDRERDRYRDDRDRHGDHYRHRDREPERDDDWARGRSSRPRSKSHEVEHSKRRRPSHE >Ma03_p26560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30243417:30244757:1 gene:Ma03_g26560 transcript:Ma03_t26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLATKTTRQTRTRTRRSRCPALPVPVCSSLLVEFIGN >Ma02_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13380666:13382775:1 gene:Ma02_g02260 transcript:Ma02_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMASDAGFDVGDRLPASSGWEFVCDFEVDYGSEEHAKIVYATLTVDKELQPDKVKRQMSISDGKLKVHFEAVEARFLRASFSAFVDLMILTTQIIEEYN >Ma02_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27617862:27623327:-1 gene:Ma02_g22210 transcript:Ma02_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILIDQDSDAHATIVWLSFGDRLGALLDTMRELKDLGLDVTKGTVTTEDAIVKTQFFITQQGCKVEDPEILERIRLSIIDNLLKYHPESSERLAMGEVFGNKPPKKLDVDDTTHVLLQDDGPKRSLLYIETADRPGLLLEIIKIILDISIDVESAEIDTEGLVAKDMFHVSYRGAALSNTLSQVLINSLLYYLRRPGTEEDSY >Ma02_p22210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27618298:27623327:-1 gene:Ma02_g22210 transcript:Ma02_t22210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILIDQDSDAHATIVWLSFGDRLGALLDTMRELKDLGLDVTKGTVTTEDAIVKTQFFITQQGCKVEDPEILERIRLSIIDNLLKYHPESSERLAMGEVFGNKPPKKLDVDDTTHVLLQDDGPKRSLLYIETADRPGLLLEIIKIILDISIDVESAEIDTEGLVAKDMFHVSYRGAALSNTLSQVLINSLLYYLRRPGTEEDSY >Ma02_p22210.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27618298:27623327:-1 gene:Ma02_g22210 transcript:Ma02_t22210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILIDQDSDAHATIVWLSFGDRLGALLDTMRELKDLGLDVTKGTVTTEDAIVKTQFFITQQGCKVEDPEILERIRLSIIDNLLKYHPESSERLAMGEVFGNKPPKKLDVDDTTHVLLQDDGPKRSLLYIETADRPGLLLEIIKIILDIRPGSKGHVSCELQRCSTEQYFVTGSDQQLAILPSKAWNRGR >Ma02_p22210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27617862:27623327:-1 gene:Ma02_g22210 transcript:Ma02_t22210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIILIDQDSDAHATIVWLSFGDRLGALLDTMRELKDLGLDVTKGTVTTEDAIVKTQFFITQQGCKVEDPEILERIRLSIIDNLLKYHPESSERLAMGEVFGNKPPKKLDVDDTTHVLLQDDGPKRSLLYIETADRPGLLLEIIKIILDIRPGSKGHVSCELQRCSTEQYFVTGSDQQLAILPSKAWNRGR >Ma03_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7843666:7847266:-1 gene:Ma03_g10440 transcript:Ma03_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g47680 [Source:Projected from Arabidopsis thaliana (AT5G47680) UniProtKB/TrEMBL;Acc:Q9FGI9] MDEAGVEERNATAAAPDAGPALSKSARKKLAKQQRLEARKAERKAAEKERRRRDVERRRREWEETLAAATEEERAQMIGSRREARRERVERRAEEREMRALRLRRAAEVGPKVVLDLEFSDLMTPNEIHSLVQQIMYCYAVNGRCASPAHLWLTGCQGELDTHLQRLPGYYKWIIEKESRSYIEAFQEQKDNLVYLTADSETTLEEIDQKKIYIIGGLVDRNRWKGVTMKKANEQGIHSAKLPIGNYLKMSSSQVLTVNQVFEILLKFIETKDWKDAFFQVIPQRKRGELEAQTYEGEDDETLATAIGKLSEDEVELESAEEDGIVLKKPRTIEAEVAATTDGVDQQQ >Ma04_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:320159:321312:-1 gene:Ma04_g00320 transcript:Ma04_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKVETSELYLDLGLTIHRVKEAKARAEEAQDMAIATKDEATESVAKGEERVCGGDFKVLADRCYQFVRVGGSPVLQSHPVGDIPCVCKHTTKPMDRFIGMKKVVLVSKCFGRPLERGTKCGSK >Ma09_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5360048:5363171:1 gene:Ma09_g08150 transcript:Ma09_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPVAVTVRSISALSGPRKTRMHRLIEEEGIVLMPGIYDALSAAVLQSLGFRAGFISGYAVSAARLGMPDIGLLTPPEMADAARAICAAAPNVAFIVDADTGGGNALNVQRTVKDIIATGAAGLFLEDQVWPKKCGHMQGKQVIPAEEHAAKIAAAREAIGDADFFLIARTDARATAGGLPEAIARANLYMEAGADACFVEAPRSDDELREVCKKTNGFRAANMLEGGFTPLHTPKELEEMGFHLIVHSTTAVYASARALIDVLKVMKEEGTSRDQLHKLTTFEEFNSLIGLKTYNEIGARYEKFRVPSN >Ma04_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16337538:16339878:-1 gene:Ma04_g16650 transcript:Ma04_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISNHFRAAGLDPLFLPIFAGLATAFVFHPFGLHLREKWSAKLSAILIAQFVLHGFGGLVLFSKSIYMMLGMKKTSPAIASAMSNLAPGLIFIVAVCLRLEKFEVEYWYSRAKVGETFVCFSGSVAMSCFRSNSNSPNLKSKEPKLLMKHLDKDTYSDWILGCFYLLAAVVLQLQVISFQIKLLLLETSSSSCVITSVLASALTALMQVLTQGKIHVGDTAIYTWFLVMIGSIASRLVFCFSSLQMWCLNRKGPPLVAIFSPIQTLCAASYSFSHPLRADHKFRKVGSGIQF >Ma08_p31430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42342302:42342643:-1 gene:Ma08_g31430 transcript:Ma08_t31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQTTTCDLKGLMQKFTPEMIGKEIEKATTSIFPLPNVYIRKVQILKAPKFGLGKLMEVRDD >Ma01_p19970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16155136:16158596:1 gene:Ma01_g19970 transcript:Ma01_t19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSRDGSGSGGDLQLVAVAPKTVVSTDAAVAGGGRDGAIVEYAKSGPVLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDADYQKRKEIAEYNMRREERLKAAEERTAKKRLKRQKKKQRKKEKKISPNSGAVPDETRKEESSHDEDSDDGDEPKN >Ma01_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16155136:16158535:1 gene:Ma01_g19970 transcript:Ma01_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSRDGSGSGGDLQLVAVAPKTVVSTDAAVAGGGRDGAIVEYAKSGPVLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDADYQKRKEIAEYNMRREERLKAAEERTAKKRLKRQKKKQRKKEKKISPNSGAVPDETRKEESSHDEDSDDGDEPKN >Ma11_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25987085:25989215:-1 gene:Ma11_g22090 transcript:Ma11_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRRSSSSKEESREDEAVVPFAVGKTEEGCDVFAGEWVYDELSRPHYGEEDCPYIQPQLTCQTHGRPDSGYQHWRWQPHRCSLPSFDATLMLEMLRGKRMMFVGDSLNRGQFVSMVCLLHRVIPEHAKSMESFDSFMVFTAKDYNATIEFYWAPFLVESNSDNALVHRIKERIVRPGSIMKHARHWKGADIVVFNTYLWWMAGRKMKILRGPFGGDPKNITDMVTEDAYRLALWRMLKWVEKNMDPRSTRVFFTSMSPSHHSSEEWGGEPQGNCYNETTPIEDATYWGTSTSKSMMQVIGEVFDATKVPITLLNITQLSEYRKDAHTQIYKKQWSPLTPEQLANPRSYADCIHWCLPGLQDTWNELLYAKLFFP >Ma11_p22090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25987008:25989305:-1 gene:Ma11_g22090 transcript:Ma11_t22090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFPHRRRNLRTRLFVFSFVAFVIVLYGEDFTCILGTPFRRPDDPPPQQQQQGRVPREKDEAVVPFAVGKTEEGCDVFAGEWVYDELSRPHYGEEDCPYIQPQLTCQTHGRPDSGYQHWRWQPHRCSLPSFDATLMLEMLRGKRMMFVGDSLNRGQFVSMVCLLHRVIPEHAKSMESFDSFMVFTAKDYNATIEFYWAPFLVESNSDNALVHRIKERIVRPGSIMKHARHWKGADIVVFNTYLWWMAGRKMKILRGPFGGDPKNITDMVTEDAYRLALWRMLKWVEKNMDPRSTRVFFTSMSPSHHSSEEWGGEPQGNCYNETTPIEDATYWGTSTSKSMMQVIGEVFDATKVPITLLNITQLSEYRKDAHTQIYKKQWSPLTPEQLANPRSYADCIHWCLPGLQDTWNELLYAKLFFP >Ma03_p29040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32063907:32065719:-1 gene:Ma03_g29040 transcript:Ma03_t29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGSGSFQDGRIASKRLMMLVALLLVSCCLGHGFGDEDHVEVEGIGSSCMENERRALLAIKSDMYDPGDRFSSWTGKDCCGWRGVACDNTTGHVTKLDLRYPYTYTYTYTYTYDMWDVLYDVETIGASKVNPSLQELKYLKYLDLSMNNFSHAPVPKMIASLVHLEYLNLSNAMFDGPIPPPFENLSNLHYLDLQGWYYDDFLHVDDLDWLSRIPSLKYIDMSFVNLSKAINWFYVINSIPALEVLRLSYADLPYVPSPLPPFNLTAIATLDLSWNSNITSAMLRWLSNATSLENLLLSGCGSLTIESLQVALGALSNLKELDLSFNSLEGEIREILNNVSSRGLKHLDLSSNQLSGDIPPGSLRDLEYLDLSWNSIVTLHILASLGNLTNLRHLDLGYNLISGEIPPTVGDSVRLEYLDLSNNGINGKIPQAIGNLSNLLELHLSGNKIVGWIPPSIGNLTNLVYLDLSYNNIVGWIPPSISNLTNLVTLDLSRNNIVRWIPPSIGNLTNLVYLSLSRNNISGYIPETLGTLIHMEELFLSNNNLSGQIPMTIGKLHYLQNLDMSYNNLSGQIPTTIGKLHYLQNLDMSYNNLSGQIPKKI >Ma11_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15091964:15092482:1 gene:Ma11_g11870 transcript:Ma11_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQKPSSSRSHSRSSSFSFLRMEELEKIFARFDVDGDGRISTADLSGFIRALGSDASPDEIRDMIAEMDADRDGFVDLQEFAAVCHGGGGGVTEEELKDAFSVYDLNNDGRITVKELHQVLNGLGEKCTMKECIQMIGAVDSDGDGCVSFEEFKMMMTGSAQTTRLQAKK >Ma03_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5186285:5188713:-1 gene:Ma03_g07390 transcript:Ma03_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLMLLPLVILLSLFGGGAPDDLASDRAALLAFRAAVSGATRRWNASELSPCSWHGVTCAAGRVSELRLPGSSLLGRIPPGTLGNLTALRTLSLRYNLISGTLPPDFAALASLRYLYLQDNRLSGEIPTVVFALRQLGRLNLADNSLVGAILPAFDNLTRLSTLLLERNRLSGEIPDLRLPNLLQFNVSFNQLNGSIPARLRSLPASSFSGNSLCGGPLGPCAGVHSPSPAPSSSSPNGGIDSNGGSKKLSAGAIAGIAIGSAVGFLALLLFLVPCYRKKRNGEAESKASGSMGPEAEMALRGKREVADNAGGTAAAVAGGASGGGKKLVFVGKVQRIYDLEDLLRASAEVLGKGTSGTTYKAMLEMGMVVAVKRLRDVNLPEKEFRDRMEVIGAMDHPNSVTLQAYYYSKDEKLLVYEFVPNGSLSSVLHGNKSSGRTPLDWKTRLEIALGAARGIEYIHLKSSGLSHGNITSSNIVLAKFNEALVSDFGLNSLGSTPMPSQRAAGYRAPEVTDIRRVSQKADVYSFGVLLMELLTGKPPTQALNNEDGVDLPRWVESVVREEWNSEVFDLELLRYQNVEEAMVQLLQLAIDCAVQFPENRPSMSEVVARIEEICRGSSMRNQQQGGTIIDTAGAISNSD >Ma08_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10848426:10849210:1 gene:Ma08_g13730 transcript:Ma08_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSARILQTSSGLLPVSEPPEPLSVDSDVVVILAALLCALICVVGLALVARCAWLRRASPGARPAPPSKGLKKKALRALPKVSYGAATAAGGRLAECPICLAEFAEGDEIRILPQCGHGFHVGCVDTWLVSHSSCPSCRRVLVVAADAAQPSRCQRCGASSSDAAAVAATAAAEEVAKAREDGDANRFLP >Ma10_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29044321:29044626:-1 gene:Ma10_g17820 transcript:Ma10_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASCCGIRKKIKAVLFCWLVVNTSVSMEAAAAAVSLGTTPVFVSNKPIKCLHLEAKHLKESRTHHTFSLFLCCQSCRLFLFLCSIFSILRAARGCRFGY >Ma11_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10075755:10080855:1 gene:Ma11_g10600 transcript:Ma11_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSPLLLSSPPPCWRRPVRQGGGVATLLAAAGCVAGRGRRPCRWAPPWVGAPAGGAALASAMPAGAVPRRAATPTGGVCSQEQWSQAPLPCGASAHGHYCRRRLAPWCLGSRVQHPQASPLCPHAECLMPYSPAAIAVATLLAAACAARRGRCPRLQAARPLAALLLRVGAPAGGSAGGTEPAGGAALAGAAASAGGSARGATPVGGAVPPGGRPCGGVSPAGATAAGAAALRRLTPREKRPQAPLPAGCQPH >Ma02_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20963435:20966524:1 gene:Ma02_g12120 transcript:Ma02_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding IELSYCDLGTFPRSLSHANFTSLTTFDLGGNDINSTIPDWVFNITSLEFLYLGGNHLYGFFPDSLQILNLRYELYLGGTQLSGFFPDWLGNIKKLKSLDLSFNSLCGSVPASIGNLSLLQHLYLESNELKGTISKGIGQLKSLVDLDLSNNSLSLSEDDLANLSSLKYLDISAGIKDMFFDRLPSSLESLDLSNNEITYDAQQYFPNLIILDLRNNSLSGHLPPKILNMMPRLKYLYLSNNRITGEMNMILDGHPSSLEQLDLSYNSLHESQLVSLGNLSMLRSLDLRSNNLNGMLPEGIKRLKGLTDLDLYNNSLRLSEDDLANLPKTIPNWLPSGLQYLDLSDNKITGEIPQFFPKLKYLFLSNNSFSGNLSPRITNTMPSLQWFDLSTNNMSGEIPFSYYSSNLLLLDLSSNKLVGGVPESLCNLQMLDLRSNAFNGSIPRLSSLPSLRILDLSNNNLSGTIPQSFGDFRSEIKYTTSKQLSIDTLIDLSNNYLSGNIPEELGNLHGLRSLNLSGNYLIGQIPRSIDVMKQLEVLDLSRNNLSGAIPSGLATLNFLDHLNLSYNNLSGSIPTGNQLQTFTDPSIYIGNPYLCGPPLPINCTVNIAKAIEEEQNEDSSESKMETLWLYTSITLGFITGFWLICGSLLLRRTWRITYFRAIDNMIDKLYVVMVVTVAKYRRKL >Ma10_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25373445:25376694:-1 gene:Ma10_g12110 transcript:Ma10_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTALTLHGFGCCRRAKANLFICPERERERERERRAREDEKEAEETCNVPLAMWKHGRKSAAGDVLPSAKMRLVFPFPLPMIRAFACGDRRGLEGGSEREGVKKVDKQMVDPTMEPFKRHKTEK >Ma11_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1597403:1598975:-1 gene:Ma11_g02230 transcript:Ma11_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGERQHVVLFPFMAQGHITPFVALAELLRRRCPTLIVTLVSTPLNIQKIKSSSCPPSLSSIRLRSIPFSPAAHGLPPEAETTGAIPFHQIVTLLHASRSLQPAFEQLIEDITQEDGRPPLCIIADNFFAWSVHVARRFGAFHSIFFTSGAYGTTVDSSLWTHLPHRKTNSDEFPLPEFPDTIIHRSQLPKHLLMADGTDPWSDFLQGQISLSSETDAVIINTVEEVEKTGLRMLRKVLPCPVWPVGPVLSSPSSASAGNDHIMKWLDSQPPASVLYISFGSQNTIAAPQMKELAMGLEASRTRFLWVIRPPVGFDVKGEFKAEWLPEKFEERMRDEGTGVLVHGWAPQLEILSHASTGGFLSHCGWNSVLESLSRGVPIVAWPLSGDQLYNAKIMEEELGVCVEVARGNMESSKADRVVVEKVVKEVMHGGQRGKEIRRRVEEVRGLMKEAWRDGPGSSVKGLSEFFRAAASMRGAGLKM >Ma08_p28100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40141379:40145075:1 gene:Ma08_g28100 transcript:Ma08_t28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSVSPAGDCAFSAGGYQRVSPDVLPLGNGRKPILRTSNEDDADHSSRNANHSPSEGKSTRARSVSVSAGPSPSRDHQFPRIPAPSDSPTSAAATHSERQPPPSASPKSQSRQHHDAVNGVGSDVILQWGHNKRSRRPRASGDETSAHLRQLLKIPRRSPASSSAAMPPPPCGGSCPRAGHLRTSVPVRDAKKGVEDQSGGPARSEKRSPPAPPDKAPRAAADAPANPSGPKQPPADQESAPVAIAEKLSLDRLEWPKIYLSLSRKEKEDDFLAMKGTKLPQRPKKRAKNIDRTLQYCFPGMWLSDLTRGRYEVREKKCAKKRRRGLKGMESVDSDSE >Ma08_p28100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40141379:40144560:1 gene:Ma08_g28100 transcript:Ma08_t28100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSVSPAGDCAFSAGGYQRVSPDVLPLGNGRKPILRTSNEDDADHSSRNANHSPSEGKSTRARSVSVSAGPSPSRDHQFPRIPAPSDSPTSAAATHSERQPPPSASPKSQSRQHHDAVNGVGSDVILQWGHNKRSRRPRASGDETSAHLRQLLKIPRRSPASSSAAMPPPPCGGSCPRAGHLRTSVPVRDAKKGVEDQSGGPARSEKRSPPAPPDKAPRAAADAPANPSGPKQPPADQESAPVAIAEKLSLDRLEWPKIYLSLSRKEKEDDFLAMKGTKLPQRPKKRAKNIDRTLQYCFPGMWLSDLTRGRYEVREKKCAKKVHSILPHSPPSHFPVTNNLGSSNY >Ma05_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5336439:5337995:-1 gene:Ma05_g07350 transcript:Ma05_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGRERDAEAELNLPPGFRFHPTDEELVVHYLCRRAAGQRLPVPIIREVDLYKYDPWDLPEMALFGQREWYFFTPRDRKYPNGSRPNRTAGRGYWKATGADKPVSPPGSSRPLGIKKALVFYQGKAPKGVKTDWIMHEYRLADTDRSANKKGSRRLDDWVLCRLYNKKNNWEKTQQKKAVAASFGRTMDSFVATDDTRSDSLWTTESDIEHDASLEFGDPCQPGSNPSQASVGLHHGRAATVSSNFQIVEGMKEEADDWFTDLNLDEFQGALAAIGPTTSVADISDQDYYSSILGSPYLNQSQINMPPFWS >Ma06_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25117515:25118735:-1 gene:Ma06_g24960 transcript:Ma06_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVLFFAIFFLLLHPLAATSHNISAVFAFGDSTLDAGNNNQLHTIARADHVPYGRELPGHLPSGRFSDGRLITDFIVSALGLKDLLPPYSEADRLPLSNIATGVSFASAGTGLDDLTASQSQVMTMAEELSNFAAYTKRLTAALGKDKAQEIIGGALFVIGAGSNDWMINYYISPIRSWTYSKTDYSRFLIGKLRSVVEEIYHRGGRKFAISGLPPLGCLPLQITLNAMVPINHATQRSCVVAQNNDAAAYNSLFKHSINALSASLVEGKFVYVDIYTPLINMIHNPKRYGFESTTLGCCGTGTVEMGPLCNAMTPVCSAPSSFMFWDSVHPSEATYKALAKEMIKDVLPKFG >Ma02_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21355771:21356142:1 gene:Ma02_g12730 transcript:Ma02_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVSLKHAPLYYGGPVRFQTLPLVSLIRKAKEGYTEIVKGVYFGNPVVTRQVIEEIKLKEESPDDYWFFLGFSSWGYDQLFQEITEGAWRLTGDPIEHLDWPEN >Ma04_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22600495:22605998:-1 gene:Ma04_g19930 transcript:Ma04_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53580) UniProtKB/Swiss-Prot;Acc:Q56Y42] MALRWPAAATGAHFGCRASKKGTMRPPPPSPSPAAKLFKLPPRIGPLFWPWEKVKVGPLVVSPMGFGTWAWGNQLLWGYKEEMDALLQETFDLALRNGITLFDTADSYGTGRLNGQSERLLGKFIREFQGRNIGDNIVIATKFAAYPWRLTPGQFVKACKSSLERLQLDQIGIGQLHWSTANYAPLQEQALWDGLVAMYEEGLVRAVGVSNYGPKQLIKIHDYLESRGVPLCSAQVQFSLLSMSDAQMELKEVCDSLGIRLIAYSPLGLGMLTGKYTTSSLPRGPRALLFRQVLPGLDPLLNSLKDISEMRQKTMSQVAINWCICKGTIPIPGVKSVKQAEENLGSLGWRLSSDEIFELESAAKSSPKKMIQNIFQTR >Ma04_p31450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31748095:31760855:-1 gene:Ma04_g31450 transcript:Ma04_t31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYPLATASLHDPYFSPHANHQSPHAYPHPVSFGPNSPSFHHHHYHYHHHHVPAPVTGLPLRSNSFSTDEPSQHPALSAQSSMPSSSLAANPLADVLEKIRVPDRCSDASPLAASQQHLMVHGHLTNTFHGSFSSPHSTPPGSPFGSSYHGASFGRGVSLIVPYARSQKGQASLKVLLLHGSLDVWVCEARYLPNKDQFSKTLGDMIGPRLSKTLSGKMRNLSSMTSDPYVNIMVCGATIGRTYVLNNTENPVWMQHFNLAVAHHTAEIRFLVKDNDIVGAQLIGTVSIPAEWIYSGERVEGVYPILDSNGKQCKPGAVLRLLIQYFPVGRLSMYHHGISAGPEYCGVPGTYFPLRKAGKVTLYQDAHVPDGCLPELKLENGQNYVHGKCWHDIFDAISQAHRLIYIIGWSVFHMVRLVRDTGYGSSPNIGDLLKSKSQEGVRVLLLLWDDPTSRSILGIRTNGVMGTHDEETRHFFKHSSVQVLLCPRSAGKRHSFVKQQETGTIYTHHQKQVIVDTDAGNNKRKITAFLGGIDLCGGRYDNPEHPLFKTLQSLHKDDYYNPTFLDSDNSGPRQPWHDLHARIDGPAAYDVLINFEERWLKASKRYRIKNLKKLSEDALLKIERIPHIIGVNDSMYLNDNDPETWHAQVFRSIDSNSAKGFPKDPREATRKNLVCGKNILIDMSIHTAYVNAIRAAQHFIYIENQYFLGSSFNWDSHEDLGANNLIPIEIALKIANKIKANERFSAYIVVPMWPEGGPTSAPIQRILYWQKKTMQMMYETVYTALKEVGLDDTYEPQDYLNFFCLGNREAPDLAITSQNATANTPQPNTLQARAKKNRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAIGAYQPQYTWARKLSGPRGEIYGYRMSLWAEHIGFLEECFTQPESLECMRRVRDLGKQNWKQYVADEITEMKSHLLKYPVFVDRKGRVKPLPGCETFPDIGGNICGSFLGFQENITI >Ma04_p31450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31748095:31760855:-1 gene:Ma04_g31450 transcript:Ma04_t31450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYPLATASLHDPYFSPHANHQSPHAYPHPVSFGPNSPSFHHHHYHYHHHHVPAPVTGLPLRSNSFSTDEPSQHPALSAQSSMPSSSLAANPLADVLEKIRVPDRCSDASPLAASQQHLMVHGHLTNTFHGSFSSPHSTPPGSPFGSSYHGASFGRGVSLIVPYARSQKGQASLKVLLLHGSLDVWVCEARYLPNKDQFSKTLGDMIGPRLSKTLSGKMRNLSSMTSDPYVNIMVCGATIGRTYVLNNTENPVWMQHFNLAVAHHTAEIRFLVKDNDIVGAQLIGTVSIPAEWIYSGERVEGVYPILDSNGKQCKPGAVLRLLIQYFPVGRLSMYHHGISAGPEYCGVPGTYFPLRKAGKVTLYQDAHVPDGCLPELKLENGQNYVHGKCWHDIFDAISQAHRLIYIIGWSVFHMVRLVRDTGYGSSPNIGDLLKSKSQEGVRVLLLLWDDPTSRSILGIRTNGVMGTHDEETRHFFKHSSVQVLLCPRSAGKRHSFVKQQETGTIYTHHQKQVIVDTDAGNNKRKITAFLGGIDLCGGRYDNPEHPLFKTLQSLHKDDYYNPTFLDSDNSGPRQPWHDLHARIDGPAAYDVLINFEERWLKASKRYRIKNLKKLSEDALLKIERIPHIIGVNDSMYLNDNDPETWHAQVFRSIDSNSAKGFPKDPREATRKNLVCGKNILIDMSIHTAYVNAIRAAQHFIYIENQYFLGSSFNWDSHEDLGANNLIPIEIALKIANKIKANERFSAYIVVPMWPEGGPTSAPIQRILYWQKKTMQMMYETVYTALKEVGLDDTYEPQDYLNFFCLGNREAPDLAITSQNATANTPHARAKKNRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAIGAYQPQYTWARKLSGPRGEIYGYRMSLWAEHIGFLEECFTQPESLECMRRVRDLGKQNWKQYVADEITEMKSHLLKYPVFVDRKGRVKPLPGCETFPDIGGNICGSFLGFQENITI >Ma06_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7508714:7511620:-1 gene:Ma06_g10790 transcript:Ma06_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRSTHPVPRHYSFGLWLTSILLFTAATTPTTKGCVEGEKDSLLDFKTGIVKDPSSRLSSWRGRVDCCRWSGVVCDNRTGHVVELNLQNSDPDNDEMSIGGEIRPSLLLLTHLERLDLSNNDFGGIQIPEFFGSLTKLTYLDLNGSYFGGAIPPQLGNLSRLRYLDLNSLHDLTIDGLHWLSRLSSLRYLYMGGVNLSVASHDWLQAVNMLSSLEGLHLHDCGLTDIPSSLSHVNLTALATLDISDNLFNSTIPNWLWKLHRLSYLDLSFSMFHGAIPAGIGNLADLRELHLSDNSLSGPVPTEIGILNSLELINLTNNLLSGSLPTEIGNLSNLNILSLSSNSLDGTVSELHFARLTKLSELDLSENSLVISVDYNWVPTFQLQSIQLKSCKLGPAFPRWLRSQNSIEDLDLSNTSIEDVLPDWFWNISAFSINLSQNQINGTLPTFLEQMTNLATLKLSINLLEGPIPRLPPYLRYLYLYNNSFSGSLSSISLPLELELLDLSHNHISGNITSFICNLTQLRILDLSSNQISGEIPWCWQETNIIIYINLADNKLSGEIPSSIEKLTQLRSLHLNNNSLHGHLPPSLKHCSGLVFLDLGDNKFSGSIPTWIAQNFQKLEVLRLCSNMFFGNIPTELGQLHHLHIIDLANNNLSGPIPRSFGNLNATKIYRQRKLTSQYNRLTAQYISYAALNVGRPFRSLNFDGTYDDSITLTIKGNSLIFSIIVYLVNIIDFSNNNLTGEIPVEIGSLSTFQTLNLSRNNFVGQIPATIGGMKSLETLDLSFNKLSGGIPQSLSDLYSLNHLNLSYNNLSGVIPSGNQLQTLNDSSIYIGNAYLCGAPLTKSCYDLKSNNVTKEDNKDESFTPSYYLSIILGYLVGLWSVFIIMLFKKNWRVFYFQMFDKIYDRAYVVIKIRINRLTTD >Ma02_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:1282087:1283956:1 gene:Ma02_g00130 transcript:Ma02_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRIEVLTYTLGDVNNVVGTSTESLA >Ma11_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10233614:10238632:-1 gene:Ma11_g10660 transcript:Ma11_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLVSVSPSLSLSSPPPLPRHERVHGIPRFRILCSPAGSQQIDMPRSEYKPGAFDALLLQFFRKKMVQEVGWDSEKPGYVGLIEVANHLMIKGKSISETERSAVRVLVSLFPPLLLDLFKMLIAPINGGKVASMMLARATAMLCQWLMGPCSVNSVDLADGSSCSSGVFVERCKYLEESKCLGVCVNTCKLPTQTFFRDYMGVPLYMEPNFSDYSCQFNFGVPPPPPASDKALQEPCLAICPNASRRRELSGREIEQCPKV >Ma07_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4374139:4374684:1 gene:Ma07_g06050 transcript:Ma07_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDLGNLNPQPDHKTSLGGGTPRCPHGEGGLLPFHACGSRLPSATSTSGCATK >Ma04_p28000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29155334:29159006:-1 gene:Ma04_g28000 transcript:Ma04_t28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g13650 [Source:Projected from Arabidopsis thaliana (AT4G13650) UniProtKB/Swiss-Prot;Acc:Q9SVP7] MPAASSSLLLPRPLCSTSLFPKDRIFKLFVGKVDRVGLARYSRYAVCHEIDESSDGETEGRKMEALPKRDAFSVLNVVDRGARADCSTYASLLGRILNSGSLFDARRIHSRILKLAFHGEDHLCNRLLALYLAFGEVRDAIKLFDDMSHRGVGSWNRMIAGFLERKEHHKVLTFFTRMVGQCGHPDPITIAIALRACSGHDRYWHVVQQIHAKIIKYGFFGDSNVGNPLIDLYSKNGYVDSARVVFEELWLKDNVSWVAMVSGFSQNGFGAEALRLYNGMHHSGILPTPYVLSGVLSACTKSDLFEHGELIHAQVIKQGFSSETFVGNALVTLYSRCGSLTLAEKIFSEMPCHDRVTYNTLISGHARNGNSESALRIFEQMQWLGLKPDSVTISGLLTACGSIGDVQRGKQLHSYVLKAGLSSYYIIEGSVLDLYVKCADIETAHEFFNATDRENVVLWNVMLVAYGQMGDLRKSFDLFYQMQVEGMRPNQYTYPSILRTCTYVGAVDLGEQIHTLTIKTGFELNVYVSSVLIDMYSKCGNLAMAKEILERLTEKDVVSWTAMIAGYAQHDFCLEALRTFEEMQICGIRPDNIGLASAISACAGIRAIKQGLQIHAQSCISGYATDISIGNSLINLYARCGRIEDAYSVFKIVEVKDEISWNGLISGFAQSGNCEEALKVFELMDKSGIEANLFTFGSALSAAANMADIKQGKQIHARIIKTGYDSEIEAGNALVSLYAKCGCIEDAKIEFFGMPERNEVSWNAMITGYSQHGHGRDALKLFEQMKLEKFKPNHVTFIGVLAACSHVGLVDEGLDYFRSMREEYGLLPRPDHYACVVDILGRGGWLNRAREFIEEMPIAPDSMVWRTLLSACTVNKNVEIGELAAKHLLDLEPDDSASYVLLSNIYAVARKWDYRDQVRQMMKDRGVKKEPGRSWIEVKNVVHPFFVGDRLHAQADAIYKFLEELNNRAVEIGYKQDKYYLLHDMEQEQKDPSAYIHSEKLAVAFGLISLSPEIPLRVMKNLRVCHDCHTWMKFVSRIAGRTIVLRDPYRFHHFEGGSCSCGDYW >Ma10_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19068672:19070421:-1 gene:Ma10_g06400 transcript:Ma10_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFKCCLFQFWKRQLSVAKDARRVDVLCYRIFLSYRLLDGTSCFKELHQIVEEAKAKLEKEVGPVDGISAKMARGIVSRLSVAGDVQNLCFAAIEKAGEWMRLRSTDLFKQNHKDSLPAACRFQFNEITSSSLIIVIKETPSTAFDAIKGYKLWYCKTRDQSDKKEPVVFPRSQRRILFTNLQPCTEYAFQIISFTEDEDFGHSESKVFY >Ma03_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4001781:4007867:-1 gene:Ma03_g05820 transcript:Ma03_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRVPTMDGLRLSPATPSATPRRPSLRRPAGASSIRASVVAAAPRRETDPKKRVVITGMGLVSVFGNDVDAYYEKLLEGESGIGPIDRFDASKLPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCLVSGKKALESAGLGVGSQAISKIDKVRAGVLVGTGMGGLTVFSDGVQALIEKGHRKITPFFIPYAITNMGSALLAMDIGFMGANYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDTGRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIRKSLEDAGVAPEEVSYINAHATSTLAGDLAEVNAINQVFKNPSGIKMNATKSMIGHCLGAAGGLEAIATVKAITTGWLHPSINQFNPEPAVEFDTVANKKQQHEVNIAISNSFGFGGHNSVVVFAPFKP >Ma05_p02070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1304170:1323866:1 gene:Ma05_g02070 transcript:Ma05_t02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGKGGEQQSSGVVTEATRVRIGKVLEEFRTSEAEVYTFESGLSKQERAAIHEMCRKMGMISKSSGYGERRRLSVYKSKKKNGSIKKEEEMLTCLQFSEEVKEVLQDLFLRYPPDDVEKREDAVNNSSVKVGKGQWKQDSSFCKPAMRKSDIAKKVEQLASRINNSSQLRKIVEDRAKLPIASFKDGITSTLETNQVVLISGETGCGKTTQVPQYLLDYMWDKGEACKIICTQPRRISAISVAERISYERGETVGETVGYKIRLESKGGKQSSIMFCTNGVLLRLLISRGSNLSSAEAGRRQMEDCFQGITHVIVDEIHERDRFSDFMLAIIRDLLPSYPHMRLVLMSATIDAERFSNYFNGCPIIQVPGFTYPVKIFYLEDVLTILKSVDGNHLNHVAVGESQESSPLTEEYKDDLDEAINLAFANDEFDPLLELISTEQTPGIYNYKHSLTGISPLMVFAGKGRVGDVCMLLSFGADCSLCDNDGGSALDWAQRENQLQVYEIIKKHMQKDISKSAEEEELLNEYLASINPEHIDTVLIERLLRKICNDSAEGAILVFLPGWDDINQTKERLVASPYFRDQSKFLIFSLHSMIPSAEQKKVFKRPPAGARKIILSTNIAETAVTIDDVVYVIDSGRMKEKSYDPYNNVSTLHSSWVSKASARQREGRAGRCQPGTCYHLYSKFRAASLPDYQVPEIKRMPIEELCLQVKLLDPSCRVADFLHKTLDPPVPETVRNALIVLQDIGALTHDERLTDLGKKLGSLPVHPSTSKMLLFAILMNCLDPALTLACAADYREPFILPMAPDGRKKAAIAKLELASLYGGYSDQLAVVAAFDCWRKAKDRGQESQFCSRYFVSSSTMNMLCSMRKQLQNELAKNGFIPADMSSCSLNAHDPGILRAVLMAGSYPMVGRLLPRRKNDKRAIVETPSGAKVRLHPHSSNFNLSFGKAAGCPLIIYDEITRGDGGMYIKNCSLIGPYPLLLLAMEMVVAPGNENDDESDDDLDGSSLEEDEMETTISPGQCGEEIMSSPDNNVSVVVDRWLRFESTALDVAQIYCLRERLSASILFKVKYPQAVLPPALGTSMYAIACILSYDGLPSVLADAVLEPQPSGRDAADPGRPFQGRRLMGFIPPGGFLRSLISDKVQGSPSRKDRKANLISPVSAHSISHSPVRSPFPGPGSGSAAPRIRSFKRRR >Ma05_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1304209:1323866:1 gene:Ma05_g02070 transcript:Ma05_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGKGGEQQSSGVVTEATRVRIGKVLEEFRTSEAEVYTFESGLSKQERAAIHEMCRKMGMISKSSGYGERRRLSVYKSKKKNGSIKKEEEMLTCLQFSEEVKEVLQDLFLRYPPDDVEKREDAVNNSSVKVGKGQWKQDSSFCKPAMRKSDIAKKVEQLASRINNSSQLRKIVEDRAKLPIASFKDGITSTLETNQVVLISGETGCGKTTQVPQYLLDYMWDKGEACKIICTQPRRISAISVAERISYERGETVGETVGYKIRLESKGGKQSSIMFCTNGVLLRLLISRGSNLSSAEAGRRQMEDCFQGITHVIVDEIHERDRFSDFMLAIIRDLLPSYPHMRLVLMSATIDAERFSNYFNGCPIIQVPGFTYPVKIFYLEDVLTILKSVDGNHLNHVAVGESQESSPLTEEYKDDLDEAINLAFANDEFDPLLELISTEQTPGIYNYKHSLTGISPLMVFAGKGRVGDVCMLLSFGADCSLCDNDGGSALDWAQRENQLQVYEIIKKHMQKDISKSAEEEELLNEYLASINPEHIDTVLIERLLRKICNDSAEGAILVFLPGWDDINQTKERLVASPYFRDQSKFLIFSLHSMIPSAEQKKVFKRPPAGARKIILSTNIAETAVTIDDVVYVIDSGRMKEKSYDPYNNVSTLHSSWVSKASARQREGRAGRCQPGTCYHLYSKFRAASLPDYQVPEIKRMPIEELCLQVKLLDPSCRVADFLHKTLDPPVPETVRNALIVLQDIGALTHDERLTDLGKKLGSLPVHPSTSKMLLFAILMNCLDPALTLACAADYREPFILPMAPDGRKKAAIAKLELASLYGGYSDQLAVVAAFDCWRKAKDRGQESQFCSRYFVSSSTMNMLCSMRKQLQNELAKNGFIPADMSSCSLNAHDPGILRAVLMAGSYPMVGRLLPRRKNDKRAIVETPSGAKVRLHPHSSNFNLSFGKAAGCPLIIYDEITRGDGGMYIKNCSLIGPYPLLLLAMEMVVAPGNENDDESDDDLDGSSLEEDEMETTISPGQCGEEIMSSPDNNVSVVVDRWLRFESTALDVAQIYCLRERLSASILFKVKYPQAVLPPALGTSMYAIACILSYDGLPSVLADAVLEPQPSGRDAADPGRPFQGRRLMGFIPPGGFLRSLISDKVQGSPSRKDRKANLISPVSAHSISHSPVRSPFPGPGSGSAAPRIRSFKRRR >Ma06_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6634928:6642292:1 gene:Ma06_g09480 transcript:Ma06_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MAAGRALLSSQNGLAAVAPLSSIGYRARGLASSLPLLRRRCPSAFASSSARCCSRPHSEGAGERVMKPEKDEAFVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLGKKVVFITGTDEHGEKIAAAAEASGRSPREHCDTISQSYRILWRELDIAYDKFIRTTDSKHEAIVKEFYSRVLNNGDIYRADYEGLYCVNCEEYKDEKELLENNCCPMHLKPCVRRKEDNYFFALSKYQKTLEDYLTSNPDFVKPLFRLNEVQGWIKSGLRDFSISRSSVEWGIPVPNDDKQTIYVWFDALLGYVSALLEEGEQTNLEQAVCSGWPASLHLIGKDILRFHAVYWPAMLLSAGLSVPNMVFGHGFLTKDGMKMGKSLGNTLEPKDLVQRFGADAVRYFFLREVEFGNDGDYSEDRFINIVNAHLANTIGNLLNRTLGLLKKNCQSMLAFDSIIAAEGNSFKDSVDQLVEKAKYHYENLSLSSACESVLDIANAGNLYMDERAPWSLFKQGGASSEMAAKDLVIILEVMRIIATALSPITPSLCSRIYSQLGFSKEQFEAATWDDTKWGGLKAGQIMAEPKPVFARIENIDDGNEVVQNKNKDKKKASHRQGLVEA >Ma08_p27040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39431878:39432195:-1 gene:Ma08_g27040 transcript:Ma08_t27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEECSKDDILLYQGATAPLPNGIPTYTVQILNACPTRCSVADIHVRCGWFSSARLINPLLFRRLRFDDCLVNDGAALASGESLSFQYANTYRYPLSVSSVACC >Ma03_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18496293:18498269:1 gene:Ma03_g16220 transcript:Ma03_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREGEPPYSAATAGGGGGIKPWVLMLLFSVLILLFFPPWLSSSSRISRPIVTRKGWDALIFLLVLLFVLCGVLGRRSGGDGPERSPRQALDDWLRFTSPTAQVRYSRPLQTSPTAGFNRMRSSSYYPDLRPDVMSLGSGAAAAADADGWRCYDDIQHYRRGPESRGWQRQNFGDIKSKTIPVDTLVLRRSPSPISRSSPSPPSPPPQRQLRRSVYKLPGREVDEDGIFGLQMPHPPSPPPQRQSRRRSVEEFPEGREVDKDEIFGSEKPQLPSPPRKRQSRRRRSVEKFPEERKVDKDEIFGSEKPHPPPPCRQRQPRRRNLEKLPEREAEQSINLEIERHPLSPQAPARSRRRRRRSVGNTLKWEVKQDHNLLGLEKPHKSPPASPPPHLLPPPPPLPQEEEKRRHEKRSGGGAKDSAAAAITLFDQQKKGIKAMRSPDDISLHYEVSASSHSPPPAPPPLFHHVFSHKKGESKKCQINSFSAAPPPPPMLIRQSREQVLHPPPSAPSQSEGKEKTDHNKVPFPRLSSLPPRPQKSQLSKHPLPPLSPKIPPPPSDEMVRRHSSKDHEGETKARETEVGVGVGVAVFCPSPDVNNKADLFIARCHANWKLEKQNSRRDKERRKLQGGESSVATRQSNEDRVQAIPPSPAGSVKASP >Ma08_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1841961:1842482:1 gene:Ma08_g02330 transcript:Ma08_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKLEENGDRATGARSFDCSFCKRGFSNAQALGGHMNMHRKDKAKLKHPSRCGNPFPSRNAVPSSLPIVGGYTSPASHESACISTWRWSFPGAEDDGYRFGSLVDSARRSTLSSRANGQGSRSGKVVEMHQTRHGVVEADIDLELRLGRSVTYLSSEDKTQQGASFTERIL >Ma09_p30160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40426466:40427071:-1 gene:Ma09_g30160 transcript:Ma09_t30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLVPCFVCFLVLCLRDDDEGMMVEPFKAIGHEIFKNDLLLLLSVWDFVQSISANLRFLTCLQNDLLLL >Ma10_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:6101140:6102020:1 gene:Ma10_g01990 transcript:Ma10_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKVGLVWFLATSTFNLKLMRRLRPPTTLRRSSIVALILSPTSISAGTFNGFDQITRGFMLIQAPATVQLRAPHGFSTQELQE >Ma04_p35220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34092471:34094631:1 gene:Ma04_g35220 transcript:Ma04_t35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYNVYKDHITLKDYEIHDGMGLELYYS >Ma06_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17777445:17787019:-1 gene:Ma06_g22270 transcript:Ma06_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSIFLGGFSNGDLLDFRPSVLKRLFFSDIANDKMAVPLLTKKIFEKPVKKLKTPQSDRKICVKQIELLTLLKCGELGNRNWSWKLSLRIDPRNTDAKVGAGSITQIHHIWVSSSRHKQENKKTAIPWPSSKQDPEDCCTHEDDGLRDTEVEEFLHSRFKNGMKLVHIFHRHVLIKDGDSPSHDVRVKEEWENHVIGPEILMNSDSESITWFLFPRW >Ma05_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8505899:8509234:1 gene:Ma05_g11630 transcript:Ma05_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALFCTKVNILILILALCSPCKFIQSPMDFGPSNLLETKSSASLDFGRIVFNSPSAVLRPQSPRDISLLLTFLSASSFSKVTIAARGAGHSIYGQAQALDGIVIEMDSIPSDIYIHKKRDDGSGFSYADVGGGALWVELLEESLKHGLAPRSWTDYLYLSIGGTLSNGGISGQTFKYGPQISNVLQLDVVTGKGDLITCSPTSSSELFYAVLGGLGQFGIITRARILLQDAPQKVKWIRAFYDDFDTFTGDQELLVSMPELVDYVEGFIVPNEQSLLSTSVAFPVHLGFMPEFHHVSSAKVYYCIEFAVHDFQAEGTSAEQVVEKISKQMSYMPSLMYSVEVSYFDFLNRVRMEEMNLRSRGLWEIPHPWLNMFVPKSGIKEFKDLLLENISPNDFEGPILIYPLLRDKWDANTSVPLPDAPTGGDGVEQVVYIVGMLRSANPASCAAGCLDDILRRNRRIAEAASAGRIGGKQYLAHHPSLLHWRDHFGRHWNRFAARKNLFDPLGVLAPGQGIFPRVHASTL >Ma08_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2844280:2848234:1 gene:Ma08_g04040 transcript:Ma08_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVAVEGEKGMECTMEAAAAMGVEKASRFRRICVFCGSQCGKKPSYQEAAVELGKELVKNGIDLVYGGGSIGLMGLVSHAVHDGGRHVLGVIPKSLMPKELTGGTIGEVRAVSDMHERKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNSLLSFLDMAVDEGFISQAARHIIISAHSAKELLRKLEEYVSEYECTLVWDAEKKPLNFAPEPESGVAS >Ma08_p04040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2844889:2848234:1 gene:Ma08_g04040 transcript:Ma08_t04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHPAPRITPPPVYMSFPSHVFSSGVSWLSYFSFDLFLFNLRVKNGIDLVYGGGSIGLMGLVSHAVHDGGRHVLGVIPKSLMPKELTGGTIGEVRAVSDMHERKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNSLLSFLDMAVDEGFISQAARHIIISAHSAKELLRKLEEYVSEYECTLVWDAEKKPLNFAPEPESGVAS >Ma00_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16916112:16916390:1 gene:Ma00_g02210 transcript:Ma00_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLTTKRGLRSWLGILKYARNYIPNLGRLLGPLYSKTSPTGEKRFNEQDWKLIKNIKRLVKNLRDLEVPPEECFIILAWIFVLRVSINMPY >Ma05_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33942582:33944958:-1 gene:Ma05_g22210 transcript:Ma05_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMLLLVALLLKSAMPASGGSFEEEELYHNERNDLIQLRDSLSSAMSLHSNWTGPPCHNHRSRWLGITCFNSNVVGLALHGIQLTGSLPSTALQNVLQLASLNFSDNALHGNLPSLQGLVHLRAVSFARNRFSGSIPAEFVALPNLARLELQDNVLSGAVPPFDQRTMAVFNVSYNFLEGSIPNTSVMQGFPSTAFDHNTQLCGRPLAKPCPTAAAPPPPPSVSPVPPLGSAGGTFSPPATSSSSKTLRPWILVLVAIVTATIAFMVMFCFLYYSKRYSKKAKQTAHVPEKEAKSSESVTEPKKIVNLMFLDKKKATFDLDDLLSSSAEVIGKGLLGSTYKATLGSGAVVAVKRLKTMHGMSKKEFAHQMQLLGKLRHENLVDIISFHYSKEEKLVIYEYVRGESLFQLLHDNRGEARVPLKWAARLNIVQGIARGLAYLHQCMPSHRVPHGNLKSSNVLILHRSMNYYSKLTDYGFHHLLPSSHSHRLAIGKAPEFSHGKKLALKADVYCFGLVLLEVITGHAAGDGEEDLPGWVKLVVNNDWSTDILDLEIVAEKESHGDMLKLTEIALHCTELEPERRPTMSDVVRIIEEIRETSSGRR >Ma06_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8464861:8466629:1 gene:Ma06_g12180 transcript:Ma06_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRDSPTAATIKLFGKTITLQQIMFVTQDHQKEEDEPRWQEKNETSSTWTSEIHKPAATAAHDDASTKKTTPLEQQPDDARSSRKKPDKILPCPRCKSLDTKFCYYNNYNVNQPRHFCKHCQRYWTAGGTMRNVPVGAGRRKSKKGAWHQRRLPEFESVLQTLHHPSLKPNGTVLSFGSDSAPRPAEKTTSCNKNGVRDNSGRPSEASPVPCFSRSPWPYPWTPPVPFYPAAACWSIPWLSPVACLPSAESSSPALDEHSREGHMVKNGSLQKEDSILVPRTMRIDDPEEAAKSCIWTMVGIKSSKNSAIGSGGLLGYFQPKGDIKNRAGEAASLLHANPAALSRSLNFQETS >Ma00_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35755003:35758481:1 gene:Ma00_g04220 transcript:Ma00_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATLKPLAAALPPPPSLNHRILTATTVPHFKQIHAQILRSGLDISAPFLSRLLALPLASSPSSLDYALSVLLHSPSPDFRLANRALRALSRAADPRRTLVAYGRLRRAGLALDRFSFPTVLYCQSGCYNEALQLFDEMKSSGVIPDRVILATILSACARTRNLTSGGAVHSYIVESNLSIDAHLQSALISMYSNCGSMDTAQRLYDDTSAKNLVASTAMVFGYAKLGKIAVARSIFDQMTDKDLVCWSAMISGYAESDQPNEALKLFNEMHLLGVKPDQITMLSVISACANMGARDQAKWVHIFVDKNGFHQILSIRNALIDMYSKCGSLVDARTIFDETAFKDVITWTSMITGFAMHGNGRSALAVFDHMISEGVKPNGVTFISLLYACSHAGLVDEGRRIFESMIQDYRLEPKHEHYGCMVDLLGRARLLQEALEFIESMPFAPNVVVWGSLLGACRIHGDVKLGELVARRLLELDPNHDGAYVLLSNIYAKASRWEDVREVRNLMKNKGVIKEAGFSWIELNGHVHEFMMGDKCHPRSSEIYGKLDEVVKELELVGYSPDTATVLVDLQEEEKREAILLHSEKLALSLGLIDSKKGSSIHIAKNLRVCDDCHTFMKLASKVFEREIVLRDRTRFHHYKDGVCSCGDFW >Ma09_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18285295:18292958:-1 gene:Ma09_g18640 transcript:Ma09_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTICIEDQLSKLHPCFPVQTRIAIVGAGPSGLSAAYALAKLGYGNVTIFEKCQSVAGMCESVDIEGKIYDLGGQVIAANSAPVISHLAKEVGAEFEEMDSHKLAFIDSRSGKFEDMKVADDYASLISMTLKLQDEATRSGHIGIHALSGIASDSTLDFLKLHGLSSLPKSVAYGYTASGYGFVQDMPYAYIQEFTRTSMAGKIRRFKGGYMSMWQKLSLSLPFEVLCNTEVLMVKRNISGVRVTVKNADDEQKLMKFDKIIFSGNLTFKNGRTYRSSFLTTGENEVVDLNDLEKQLFCKVRTIDYYTTVLKIKGFEHISVGFYYFGEFMEDPATIGHPVAMQRFFADTNIFLFWSYGNSSNIRSPTVTSLLINVVRTMGGIIEKVVLQRRFKYFPHVDSEEMKNGFFEKLETQLQGFQNTYYLGGLMAFELTERNSSYAMALVCNYFARRGEMPLIPYVKRLFPLVSSQEPYFQRELDELAGVEFPDLPSLDSYLSFWGTHPVTVSKTLYTWITEEGQVVDQRTYSELHANACHIAQNLLTSKKPTFKPGDRVLLVYLPGLEFIDAFFGCLKAKIIPVPLLPPDPLKRGGQALLKIHNISKLCNTVAILSTSSYHRAVRTGFVMNVISLTNSNSRSSAFWPDLPWIYTDSLIKKYRSQSRKLKDLESEAISSESQPDDICFLQFTSGSTGDPKGVMITHGGLIHNVKTMRRKYRSTSRTILVSWLPQYHDMGLIGGLFTALVSGGSSILFSPMTFIRNPLLWLQTMSDYQATHSAAPNFAFELVIRRLESDKVKNHAYDLSSVVFLMVAAEPVRQKTLKKFIDISRPFGLCQEVLAPGYGLAENCVFVSCAFGEGKPVLIDWQGRVCCGYVNPNDSDVDITIIDPETLKEHEEFGKEGEIWISSPSAGIGYWGDKDMSQKTFFNELDNHKGKRYTRTGDLGRIIDGKLFITGRIKDLIIVAGRNIYSADVEKTVESSSKALRPGCCAVIGVPEETLTEKGISVPETSDQVGLVVIAEVKEGESVNEEIVEEIKARVTEEHGVSVASVKLIKPRTISKTSSGKIRRFECLKQFTDDTLILVKKPNISKKILSRSFTTGSSIEGRRLHLPLDKSPNFQPRNAGKNVGEIINFLKRLVAEQTGLEVEKISATESLVSYGIDSIGVVRAAQKLSDYLGVPVGAVDIFTATCISDLASFSGNLLLESQPGSMTTTSYLPEVEAEFLGHDINPSTIWKLTIWLLQLLAITYISFILVFPAYVSSSMFMKLHPLILIEEMSFASSLISLVLAPLAWISYIFLTCLFLSLFGNSFLQLNYVLTPEVSIWSVDFVKWWALSKAQELAAKFLAVYLRGTAFLNHWYRMQGARIGSSVLIDTVDITDPFLVSFGEASVIAEGVMIQSHEVKNGVLSFHSVQVGRNSTIGPYAILEKGSVVGDGAEVRPLQKVEGVNPIIRSEKASKLCKKELDEEIPKTLVTLYHLLGIYAVGFLSSFSGAIVYLSYVYLTRTSFLLHYFMLACVAGAFHWLPAVVAACASIITEISSGPATSASFLALAYFCHGLVLSLLSGILNRCLAGDRGTQRSHIKTWFLYRVNIACHLRFAKLLTGTEAFCTYLRLFGAKVGRHCSIRAINPVCSPRMISIGDGVHLGDFSRIVTGFYSSDGFTCGEIQVQKNSVVGSQSLILSGSNIHEDVVLGALSIAPVGSVLERGGIYMGCQTPILVKNTLHALDERIEEMDEKYKKIVGKLAGNLAITTMKAKSRYFHRIGVGGKGVLNIYQDLSGLPNHNIFGPGKCFPVVIRHSNSLSADDDARIDARGAALRILSEESRRVPLLDLTLKTGKAFYARTIEDFATWLSCGLPAREQQVERCPHIRDAVWSSLRNTNSYTELHYYSNICRLLRFDSGEEMYVKFKLRPVDRDIGEVSGHVAPKGILPPETGAIPREENDTRPLLFLADDFRRRVDSSGHVRYIFQLQCRPVPSDGEEREWALDCTRPWDETEYPYMDIGEITIDQNLTMEESERLEFNPFLRCNEVDIIRATSASQSASIDHGRSLVYEICQHLRNGTPLPMAWRSFLEQSGAKVDLSGCPMAATAAMLTKEFGDRRVTLARTRCQTLWATCCQPLLQTLLPYFVLGMVIFLLLRWMLIVKSTLELPLHWLLPPFWVASGIMAGLICAGAKWVLVGRKKEGEAVLIWSRVVFMDTVWQALRTVVGDYFVEMITGSVLFGVWMQLMGSSIEVDDGVYVDSMGAVLNPEMVEIRSGGAVGRDALLFGHSYEGEEGRVKYGKIKVGEGGFVGSRAVVMPGARVESGGCLGALSLAMKGEVVKSR >Ma03_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2694086:2720187:1 gene:Ma03_g04140 transcript:Ma03_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLQQQLKEVGSKLENPPASKDALIKLLKQAANCLSEIDQSPVPSVLDSMQSCLNAIAKKELLTHQDRDVKVLVATCVCEITRITAPQAPYSDDVLRDIFHLIVGTFAGLGDINSPSFGRRAVILETLAKYRSCVVMLDLECNDLIHEMFRTFVSVVSDDHPQNILTSMQTIMMLILDESEDIQENLITTILSALGHKRNVCSMAARRLAMNVIEHCAGKLEPYIKQLLVSSLSGDNSYLNCSVDHHEVIFDIYQCAPEILSGIIPYITGELLTDKLDIRLKAVQLLGDLFSLPEVPISEAFHSVFLEFLKRLTDRLVEVRLSVIEHLKNCLISNPSRPEAAQIIEALSDRVLDYDENVRKRVVAAVYDVACHSLKAIPPETASLVAERVRDKSLTVKKYTLERLVDLHRLYCLKSSDGSTNIDDCKWIPGKLLRCLYDRDFRSEVIELILCGSLFPPEFSVKDRVKHWVTIFSVFDKFEVKALEQILAQKQRLQQEMQKYLSLRQAYQEDATEIHKRTFGCFKSMSRLFNDPVKAEENFQFLNQLKDANIWKMLTTLLDPSTSLHQAWSCREDLLRILGEKHPLFDFMVTLSLKCSYLLFNKDYVKEIISEADARQSVGDVKLISSCMNLLTVIASYSPLLLSGCEEDLVCLLKGDNELIKEGIAHVLAKAGGTIREQLMLTSSSIELLLERLCLEGTRKQAKYAVQAIAAITKDDGLKSLSVLYKRLVDTLEDKTHLPAILQSLGCIAQTALPIFETREDEIIEFITSKILHDSNADEISLDSTEWSERSELCLIKIFGIKTLVKSYLPAKDAHLRPGIENLMEILKNILSYGEIAQGIRSSDVDKAHMRLASAKAVLRLSRHWDHKIPANVFYSTLRISQDAYPQSRKLFLNKVHQYIKERLLDAKYACAFLLNINDCHYPEYEECKQCLLELMQICQQVKIRQLSAQSDMNSATTYPEYILAYVVHVLAHDPSCPNVDECMDVQAYETTYWRLSLFLSLLLHADEGCQSDAFLNRRKDSYNAILSILQSIKNSEDVDGVKSNTIHAICDLGLLITKRLVSDVTEVSGFDAVPLPCKLYKPVDKSMDEDIMDDDKKTWLSSDSALAHFEALKLERKSKGDSGAAKDGMVLEENDENDNEVPLGKIMEILRSQGARKKKKKKPVKKDNLPSDLENIENEFDVLGVVREINLDNLEREQIMETGKLVTDSGCRSGKMTDKSNDEKETVFPKRKHDGTSTEVVVATPKRKRSNSMHRSNSAKGQKENRKISLSRSFAKDETAHSLVERSLYEDMAETTTSDLLVSCSPGISFKRVRKVTDRLHVEKAMNSTPEKLSLPEDNKKKDDRSKSLSSSTKKRKRRSIAVLEKCSSQSNQLSDAELVGSRIRVWWPLDKRFYEGVVRSYDSGKKKHTILYEDGDMEVLQLGKEKWEIVSNTDTPRKQAKSQHPLAFKDKSLDFVNYRSDHSDSGQSKETKKKSSSFKAKKRGTSKKDAGENSKIVLESKISADSSLDSRGDSDLSDIHPRSEFNDVKSDKITQKKVSPASEVGKQTKTKLNELAKSSKEESRDFSSSAGREDSDDEPISTWKLRAGKSA >Ma09_p02060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1507134:1511001:-1 gene:Ma09_g02060 transcript:Ma09_t02060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTSGSSSSLPFQTIVDPSLSLVPPLQRTFKRVQRHCFGDSNPGEFPLAETPSIVLIILTNCDLEPRDLANLEATCTFFRKPSNFAPEVQLSITELAALDMCQERAIFKPMNSEEKELLKQRCGGSWKLVLRYILAGEICCRREKSQAIAGPSHSIAVTSSGSVYSFGSNSSGQLGHGTLEEEWRPRLIRSLQGIRIIQAAAGAGRTMLISDAGQVYAFGKESFGEAEHTIEGSKVVTTPRLVKSLKDIYVVQAAIGNFFSAVLSREGRVYTFCWGNESKLGHRTEPSDLEPHPLLGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSMTDEKYPRLIEHFQTLNLQPRVVAAGAWHAAVVGQDGRTCTWGWGRYGCLGHGNEESESVPKVVESLDNIKAVHVATGDYTTFVVSDTGDVYSFGYGESSSLGHSSVIDGQGNRHANVLSPKLVTSLKNINERVVQISLTNSVYWNAHTFALTDSGKLYAFGAGDKGQLGTELPAQQTERAMPEQVNINLS >Ma09_p02060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1507134:1511163:-1 gene:Ma09_g02060 transcript:Ma09_t02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTSGSSSSLPFQTIVDPSLSLVPPLQRTFKRVQRHCFGDSNPGEFPLAETPSIVLIILTNCDLEPRDLANLEATCTFFRKPSNFAPEVQLSITELAALDMCQERAIFKPMNSEEKELLKQRCGGSWKLVLRYILAGEICCRREKSQAIAGPSHSIAVTSSGSVYSFGSNSSGQLGHGTLEEEWRPRLIRSLQGIRIIQAAAGAGRTMLISDAGQVYAFGKESFGEAEHTIEGSKVVTTPRLVKSLKDIYVVQAAIGNFFSAVLSREGRVYTFCWGNESKLGHRTEPSDLEPHPLLGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSMTDEKYPRLIEHFQTLNLQPRVVAAGAWHAAVVGQDGRTCTWGWGRYGCLGHGNEESESVPKVVESLDNIKAVHVATGDYTTFVVSDTGDVYSFGYGESSSLGHSSVIDGQGNRHANVLSPKLVTSLKNINERVVQISLTNSVYWNAHTFALTDSGKLYAFGAGDKGQLGTELPAQQTERAMPEQVNINLS >Ma09_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1507134:1511001:-1 gene:Ma09_g02060 transcript:Ma09_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTSGSSSSLPFQTIVDPSLSLVPPLQRTFKRVQRHCFGDSNPGEFPLAETPSIVLIILTNCDLEPRDLANLEATCTFFRKPSNFAPEVQLSITELAALDMCQERAIFKPMNSEEKELLKQRCGGSWKLVLRYILAGEICCRREKSQAIAGPSHSIAVTSSGSVYSFGSNSSGQLGHGTLEEEWRPRLIRSLQGIRIIQAAAGAGRTMLISDAGQVYAFGKESFGEAEHTIEGSKVVTTPRLVKSLKDIYVVQAAIGNFFSAVLSREGRVYTFCWGNESKLGHRTEPSDLEPHPLLGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSMTDEKYPRLIEHFQTLNLQPRVVAAGAWHAAVVGQDGRTCTWGWGRYGCLGHGNEESESVPKVVESLDNIKAVHVATGDYTTFVVSDTGDVYSFGYGESSSLGHSSVIDGQGNRHANVLSPKLVTSLKNINERVVQISLTNSVYWNAHTFALTDSGKLYAFGAGDKGQLGTELPAQQTERAMPEQVNINLS >Ma01_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5341604:5352946:-1 gene:Ma01_g07390 transcript:Ma01_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSQHAAAPGSSLIRGGKRRRGRSKGSRAKKKQKRLDSICDAPGPATPAGPQSPGDDRALIRRSSRVRRAPAFLDSSPAPARRRKKRRLRDSPIRSGSGVGGGRRRKKKRRKRRDDGDDLEEGNPVSLQEKDGSPTQEIKMSEEEAEDWRSRLRSRVGKRKGKSRSFEEVAMRKEKESAKPVTSGSVLSSQAIRSSRRGRRRGFGDEVSVIAEETGYQGEVLSSNDHEDSRDKASHGEEPKIVTDSPVFSEPNQEIVAPLPSEEGKENADRTNVADKEDLEQSEEGTAIPNLQLDDVDPGNCLATSLSEHVDDKPVKSEDILKEDKPKPPIFDDKIARKHVKEGRRCGLCGGGTDGRPPKRLVHESSGSDNEAYEGSSASEEPNYDVWDGFGDEPGWLGRLLGPINDRFGIPRIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGKALKCSRCGRPGATIGCRVDRCPKTYHLPCSRADGCVFDHRKFLIACYDHRHLFQPQGAEYAQQVKKMKTKKLKLEMRKLSHDAWRKDLEAEEKWLENCGEDEEFLKREGKRLHRDLLRIAPIYIGGSENEKNFQGWESVAGLQDVINCLKEVVILPLLYPEIFNSLGLTPPRGVLLHGYPGTGKTLVVRALIGACSRGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRSRHQDQTHNSVVSTLLSLLDGLKSRGSVIVIGATNRPDAVDPALRRPGRFDREIYFPLPKLKDRSAILSLHTKSWSNPVSGPLLSWIANQTAGYAGADLQALCTQAAMNALKRNCALQALLSSAEKGFGGGKLPSLPLFMVEERDWLTALAAAPPPCSRREAGMAANDVVTSPLHSHLIPCLLKPLSKLLISFYIDERLWLPPAILKASESLKSVITAALEQKRLHIGFWWSHFDSLINQPSVANEIERTLCHYGLVTARSGYDHSYMLDDVNFDFEKFDSYRSKLSEFSDPSKSKIKLVELGQSSGFRALIAGTPRSGQQHLASCLLHGFSGHLEIQKVNLATMSQEGHGDIIHGLTQILLKCMKRGRCMIYMPRIDLWAIETLRKEPEYNDSGPETCKLSAVSVVNDVIRIASEAWNLFVEQVDSVTAPASLIIMATCEMQIHSLPVGIKKFFTNYVPDDAGSIPLEHTVPRFSVDVDGKFNHDLLISSCAAKLSEDLVQHYIQLIHHHTHLFNSHDVNETFQTMEAHSEPQTHCERQATLVTNKQMDPNQKASGVGDQDQQHVAGDQVWPLPSTLRGHDEIGNQHHSHQDSIPKTLHKGVKGGSVLSIATFGYQILRCPHFAELCWVTSKLKEGPCADVNGPWKRWPFNSCVMNTCSSPEKVVTGVNSNPKDRELSGTVRGLIAVGLLAYRGIYTSVREVSFEVRKVLELLVGQIRARISGRKDTFRYLRILSQVAYLEDVVNSWAYTFRSLPAESHRTAPNAKPTILGDAAMDIGLNENYILGNRSSVPIVPEKGCNELQDMLARGNPDEFVNDGEDNNLIQGLASQSVSTSDVCVLEKGELFPSAPCPSGLYQSSEAAGALPSGNGMSRFESPIVKSPETKDQSSGLEKTESNLPSVTNIYNDDSVVKDTTSYSTRFSNPCNDSVNVLSSNNAGFVTDELATATNFAHGSSSSLSTVSGISCLYCCCCRCLQTLFVLVRGILSDSWRSCGHCSRIDDIHDILASCSLNIVATIRQCFCSPSSHGNEESFGREQYVRMQSEHCACEKHSDKQLQKVPGHCSSSEVESVPAECVYHLRNKNETGTTDYESDSLAPVLKFFLKDGVLMPADPQIGAALHCRFDKLCLSSIVQMILLNKQHLD >Ma11_p23700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26960311:26966952:-1 gene:Ma11_g23700 transcript:Ma11_t23700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTNAVGVDNTFRRKWDREEFLQKARERERQEEEGRFKSKEKAPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCAVCECVVKDSANYLDHINGKKHQRALGMSMRVERATLQQVQERFEVLKKRKAPEGFTEQDLDERIRKQQEEEEERKRLRREKKKEKKKEKAAQEEVDDVDPDVAAMMGFGGFRSSKK >Ma11_p23700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26960311:26966952:-1 gene:Ma11_g23700 transcript:Ma11_t23700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTNAVGVDNTFRRKWDREEFLQKARERERQEEEGRFKSKEKAPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCAVCECVVKDSANYLDHINGKKHQRALGMSMRVERATLQQVQERFEVLKKRKAPEGFTEQDLDERIRKQQEEEEERKRLRREKKKEKKKEKAAQEEVDDVDPDVAAMMGFGGFRSSKK >Ma11_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26960311:26967050:-1 gene:Ma11_g23700 transcript:Ma11_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTNAVGVDNTFRRKWDREEFLQKARERERQEEEGRFKSKEKAPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCAVCECVVKDSANYLDHINGKKHQRALGMSMRVERATLQQVQERFEVLKKRKAPEGFTEQDLDERIRKQQEEEEERKRLRREKKKEKKKEKAAQEEVDDVDPDVAAMMGFGGFRSSKK >Ma11_p23700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26960311:26967133:-1 gene:Ma11_g23700 transcript:Ma11_t23700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTNAVGVDNTFRRKWDREEFLQKARERERQEEEGRFKSKEKAPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCAVCECVVKDSANYLDHINGKKHQRALGMSMRVERATLQQVQERFEVLKKRKAPEGFTEQDLDERIRKQQEEEEERKRLRREKKKEKKKEKAAQEEVDDVDPDVAAMMGFGGFRSSKK >Ma11_p23700.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26960311:26967124:-1 gene:Ma11_g23700 transcript:Ma11_t23700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTNAVGVDNTFRRKWDREEFLQKARERERQEEEGRFKSKEKAPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCAVCECVVKDSANYLDHINGKKHQRALGMSMRVERATLQQVQERFEVLKKRKAPEGFTEQDLDERIRKQQEEEEERKRLRREKKKEKKKEKAAQEEVDDVDPDVAAMMGFGGFRSSKK >Ma11_p23700.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26960311:26966952:-1 gene:Ma11_g23700 transcript:Ma11_t23700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTNAVGVDNTFRRKWDREEFLQKARERERQEEEGRFKSKEKAPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCAVCECVVKDSANYLDHINGKKHQRALGMSMRVERATLQQVQERFEVLKKRKAPEGFTEQDLDERIRKQQEEEEERKRLRREKKKEKKKEKAAQEEVDDVDPDVAAMMGFGGFRSSKK >Ma01_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3313038:3319041:-1 gene:Ma01_g04830 transcript:Ma01_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAMASLASRARVAGSSSKQIGSRVGWSRNYAAKDIRFGVEARALMLKGVEELADAVKVTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFKDRIKNMGASLVKQVANATNDVAGDGTTCATILTKAIFAEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITVADGKTLDNELEVVEGMKFDRGYISPYFITDPKNQKCELEDPLILIHEKKISSINAVVKVLELSLKRQRPLLIVAEDIESDALATLILNKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGSLITEELGLNLEKVELDMLGTCKKVTISKDNTVILDGSGEKKAIEERCDQIRSAIELSTSDYDKEKQQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDELDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESVIVELPKNEDAPAMGAGMGGMGGMDY >Ma11_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25722983:25724664:1 gene:Ma11_g21660 transcript:Ma11_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKNPRSPSSGAMNGSCCKLEDGFPALQLFGQGVSYTYDDVILLPGYIDFPADAVDLSTRLSRRRPLAIPCVASPMDTVSEAAMSVAMAALGGAAIVHCNNAPDSQAAIVRAAKARRIPFATDPVFLSPSDSVSDFGPAAYAIVTESGTSKSRVVGVVAKSDWEGLANRNTLVSEYMRHAPVSAPASYDFEKVASFLAGGGLEYAPLVAEDGEVVDLVTKEDVERIKGFPRLGVPSLGADGRFVVGAAIGTRETDKERLEHLVKAGTNVVVVDSSQGNSIYQIEMIKYAKSMYPELDVIGGNVVTVAQAQNLIKVGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASFAKEHDVPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSSEAPGVYEYL >Ma07_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2455642:2458901:1 gene:Ma07_g03190 transcript:Ma07_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVLEPPPKDGRREVHEDRANKTASGYLNNLELRLGMSLVNGQEVGDGKRGSCAGGTIPRYITTQDGIMQHQKNRHSLGGAKRCFSDTTGGFVDPWSLAARQETAALEQAHQKLGPFAISRSTHPPQVVGWPPVCSFRKNLGNQNQSNSTMSSEANPEKIKVAKDEKVNNELQERPTMFVKVNMEGCTVGRKIDLKLHNGYDSLSRALQKMFHNLFPANYLNNPKQDEKEEVLSPSYILLYEDGEGDRMLVGDVPWELFIHSVKRLYITLDPRAHKCG >Ma11_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21501208:21505190:1 gene:Ma11_g15880 transcript:Ma11_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVIYGDGHAPLVASPHAHLHSFASRASCGFLSLRAPPDPNESENDRVIRELSQLLDAYDMYMKKYGNTDMNEDLKDSSVPTISKRFMDLRAAILTTCPDVGSFAANLGFSVLQISELIGQTPTGVEPPEVSDNLIRVFELLKLLGFSGGDVLEKYEFDLVILHIKPCDQLRDEKGSTVIKTDTDFLNKLVGGVMHAAQPGSKIACRLHFSIILGYGTVSDGDQNCSLILNSSAETSSDVLLLRPHQSYTMKSGNILTDIRHHHPMLIAQWQEGVTRQDTANKFGFEEFKERGGNFAILADRFLHEVAFKLWKAPKYGA >Ma03_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3645139:3648189:-1 gene:Ma03_g05560 transcript:Ma03_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSTSQLLTLGYAALLGAGGVMGFVKSGSQKSLVAGGVSAALLCHVYTQLPERPLYASSLGLGTSVTLLAAMGSRYKKSGKVFPAGVVSLVSLIMAGGYLHGILRSSKTL >Ma08_p29750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41234633:41236844:1 gene:Ma08_g29750 transcript:Ma08_t29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDVNTLLEQDEYKESYPPMREVVCCKSYHPKCH >Ma09_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8514314:8527058:1 gene:Ma09_g12670 transcript:Ma09_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLARLFDSSRRANGGYSLEALTNDPKIMSSNDELKMGKIAMKSIFGKRKLKKDGSEGKLITLAPVDVLQREERISWISYSALDSISTFKLFDNLKAKLELEAWTLDDFVRGTMYDFYEEYWRPFGVLLVQMESEGMLVDRSHLLEIEKLAITEKQIASDKFRKWASKYCPDAKYMNVGSDAQIRQLFFGDTLRRNDTNKCENDQNKCLSKPKSFKVPNTENVIEDGRKSPSKYRTIVLNKICEELQTDMYTDSGLPSVCGDALKVFAGKVSNNQNFLIDDASYQSDSDEEVNDDHDSTKETEMSRVLTHDKDTSNYGTAYKAFGEGKEGRAACQAIAALCDVCSIDSLISNFILPLQGNDISCVNGRVHCSLNINTETGRLSARRPNLQVSLLSLSLSPPLPLSMNPHMHVSVKEAKDTVSLWYKERKEVLHWQEERKKEAIKSKCVRTLLGRSRHFPSVETARNAQRRHIERAAINTPVQGSAADVAMCAMLEIDRNIRLKELGWKLLLQVHDEVILEGPTESAELAKSIVVECMSKPFYGTNFLKVALVVDAKCAQNWYAAK >Ma01_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10816354:10817051:-1 gene:Ma01_g14840 transcript:Ma01_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVPQMARTKQTARKSTGGKAPRKQLATKAAGKSIAGTGGVKRPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVKEIAQDFRTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRITIMPRDIQLARRIRGERA >Ma10_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26129879:26133381:-1 gene:Ma10_g13270 transcript:Ma10_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNRRSILFLRLLLLLLLVAWSPASWWGGRGWGATAVGVNWGTSSSASHPLPPSVVVPGLLQANRVSRVKLPDADPSVLASLAGSGIAVAIGVPNEMLRSLSSSKSAAVSWVHDNVTRYVSSTGGGSVRIEFIAVGDEAFLLSYGQTFQPHVVGAATNIQRALTAANLANTVKVIVPCSSDVYQSESNLPSKGHFRPDLNKTMIELLSFLDKHGSPFVVDINPFLTFQQKKNLSLDFVLFQTNSHALSDGPNKYRNHFDMSIDTLVSALSKVGYAEMDIIVGKIGWPTDGAMNATPAVAQIFMQGLVDHLQSKAGTPLRPKRPPRETYIFSLLDEDQRSIKTGNYERHWGIFTFDGQAKYNVDLGQGSKALASAHDVDYLAQKWCVVNNNKDISNVSGSASEACSAADCSALSPGGSCSGIGWPGNVSYAFNNYFQLHDQSADSCDFGGLGLITTVDPSVGDCRYTIALRTSFSTSIHQTLVARWSMMIQGGTFFAFLLLFVWC >Ma02_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25328631:25332583:1 gene:Ma02_g19020 transcript:Ma02_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVRLPLVCFFFFLRCFLDVPCSALPQTERNALLKLRASLAVRAKDWSLQADPCSSWTGVVCRSNRVEELNLTGLRPNRFARAGVVYPRLAPDAVLNLTHLTSLDASGFPLPGPIPDDLGNVSSLSVLSLAGTGASGLIPSKLGWLGHLTALDLSGNFLTGSIPVEFSGLHNLTHLDLSSNSLSGAVPPSLGELRQLNALNLSSNMLSGSLPAELGGLSSLVTLDLSFNWLSGSLPEVLFLRLPYLRSVKLGHNNFSSSLPTSLWALAQLVHLDVSFNNLTGMLMVDAVARNSSAKGGVFNLSNNLFYGSISSESSRILQRFEEVDLSTNYFDGSEPVGFSNASVAFNCFSSARDQRKPADCETFYTERGLRLALSSGRRRKCRWWYAVVAVVCGVALLAVVVAVLVSYLTRCAARSAGQKDTGSKPAPEEEAAVSPSPPPSAADTVNLSASNGALTGTTLPLSVAAPVNPLSSDDGVTNTTPSPSAAVMVNLPAPGDAFTYEQLLRATSGFSSMNLIKNGHSGDLYHGVLEDWVEVVVKRIGLLAVRREAFSTELKLFEDCSGGRLVPLLGHCLENDTEKLLVYKYMPNCDLSTALHKKSELEDGLQSLDWIKRLKIAVGAVEALCFLHHDNNPPLVHRDIEASSILLDDKYEVRLGSLNEVCVQQIDVRQNVLTRIFRSAQTSRQVVSGLSTATSAYDIYCLGKVLLELITGKPGLSGSNNAATNKWMEQTLAYITPFDKELVSKIMDPSLIVDEDHMEEVWAMAVVAKSCLDPKPSKRPLARHVLKALENPLKVVREVNCSNSFTSSSGSWHSALLGGWRHSLSSISLVRPVEEDRMSSKQATTTRSHRGGDDHSFPQSKMSREIHPEPAGPAQDRS >Ma10_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32423320:32424474:1 gene:Ma10_g23550 transcript:Ma10_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISFPAISVSRAATGAFVLLLTVSLIAVIFLVVYPNDLRLQSIVTGGCEPRPLRAPRFVGAVASPSPPSPDLRILLGVLTVPDAYERRSLVRRAYLLQQPSLIGKALVDVRFVLCNLTKEEQRVLVAMEIMLYGDIMILNCTENVNDGKTYNYFSSLPRTLGGADDRRPYDYVIKTDDDTYYRLGNLAETLRTLPREDLYLGLRVPCHRTEEGFMSGMGYLLSWDLVEWISTSELARRKMMGPEDIMVGVWMNEAGRGRNRIDTNPRMYDYPEGPDNCFRHAFIPDTIAVHKLKDNMKWAKTLQYFNVTHNLETSDLSPY >Ma03_p30590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33180079:33183241:-1 gene:Ma03_g30590 transcript:Ma03_t30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSPSPLSLLCLLCAASLLLVVNGLNGEGAALLSFKAGIREDPSGSLASWNSSDQDPCSWNGITCRGVSVVALSLPKKKLVGYLPSALGSLRSLRHVNLRNNRLFGNLSAGLFAARALQSLVLYGNFLSGSLPPEIGQLLYLQNLDLSGNLFAGSLPTSLIQCKRLKALVLSHNNFTGPLPLGFGSSLAGLGKLDLSYNGFDGPIPSDVGNLTRLQGTLDLSHNRFSGSIPPSLGNLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFIGNPDLCGPPLKNLCPSGAPPSNPFLPNNYSPPAPEGNGTYNGNSSKGVSKAAIIAIVVGDVVGIVLIALVFFYFYCKATASLSFKEDGGNSDTRLKGRKECMCFRKEESETLSDNIEQYELVPLDRHVTFDLDGLLKASAFVLGKSGIGIVYKVVLDDGPTLAVRRLGEGGSQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSIDEKLLIYDYIPNGSLSDAIHGRAGTRNSAPLSWEVRLKIMKGIAKGLAFLHEFSPKKYVHGDLKPNNVLLGLDMEPYISDFGVGRLANIAGGSPFLQSDRIADEKTQSQQSDVAFGPVISRGSCYQAPEALKTLKPSQKWDVYAYGVILLELISGRSPLVLLETMEMDLVCWIQFCIEEKKPLLDVLDPFLAQELDREDEIITVLKIALACVQADPEKRPSMRHATDTIQRLINKN >Ma04_p36620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34903632:34907119:-1 gene:Ma04_g36620 transcript:Ma04_t36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGHKHSPARYGTPPEHKHATCAASKKEKPQFVVFLRFKPEREGERSSHVPPSPARPDPSSRRPPLRRGKALVHRPPGGAVGDAAFLDAWRNVAAPNIDPPKTPLAFMKPRPPTPSSVPSKLTVNFVLPCQFEISNKEVDMVIVPATTGQPGVFPGHVATIAEARAWGSSCTRRE >Ma03_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7187906:7188742:1 gene:Ma03_g09650 transcript:Ma03_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSDLPKSSLQIKQDDKFYTRILSKESSVANPSFRVYYGMATGAVPFYWESQPGTPKHISSTTALPPLTPPPSYYYSPRNKSSKKPSKSSFVHAILPKISLMRKSPSSSVSLSSSSVSSSSSSTASGRSNHQRRPSSPQSSFQSRGDDEESDDESPTSTLCFRSCQSVVPVKCALLSAVRHGSGHATSA >Ma09_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10817356:10821164:-1 gene:Ma09_g15500 transcript:Ma09_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGNRNQKTFRPKKNAPSGNKGAQLKRHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNILYGTLAEFCTPTSCPTMSAGPKFEYRWADGVQIRKPIEVSAPKYVDYLMDWIEAQLDNESIFPQRLGVPFPPNFREVVKTIFKRLFRVYAHIYHSHFQRIVSLKEEAHLNTCFKHFTLFTCEFRLIDEGELAPLRDLIESIIQAH >Ma03_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24537353:24537900:1 gene:Ma03_g19130 transcript:Ma03_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCLPLSLSLSLSLCVRRGVPRKRCSGGDPRRLHPPRRSRDQVQWGSRSLSCSVASSRRRK >Ma08_p34000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44123431:44127760:1 gene:Ma08_g34000 transcript:Ma08_t34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPWLKLLVLIGLFAFSEGREIKLADEDGASLYNRTLAKMLVEYASAAYITDLTALFTWTCSRCNDLTKGFEMLELIVDVQNCLQAFVGVAHDLNSIIIAFRGTIENSMRNWIEDLFWKQLDLNYPGVQGAMVHHGFYSSYHNTTLRHGILSAVRKIKESYGKIHIIITGHSLGGALASFCALDLTVNHGVQNVQLMTFGQPRIGNAAFATYFNKHVADAVRVTHENDIVPHLPPYYSYFSQKTYHHFPREVWLRDIKVDGLEDMVEKICDESGEDPSCCRSVYGRSIWDHLKYYGVELQADTWGSCRMLMDNSVLQYYIEYNGGIILSRDPSTPSHLKLNSPPDTSRSTM >Ma09_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8051186:8051912:-1 gene:Ma09_g11880 transcript:Ma09_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSFAFASTAETPSPVTAKVITLDGSLSEFSTEVKVYEVLGRHHPSCFICSSDELYCNTYIPALGSKESLQLGQLYFMLPVSKLEYPLSGSDMAALAVKASMAIQPLASRHRDHGRRTVQVMPIAAELAGLDALENNGDDYRSRSLEKKKTMPKRSASNRAKLGQRRRGMERMSTIEEDAE >Ma06_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:812455:819935:1 gene:Ma06_g01000 transcript:Ma06_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYTHSFSPARVVSPQIRSTSDVDSQYLAELLAEHQKLGPFMQVLPICSRLLNQEIMRVSGMVSNQGFGDYNQLHHRSPSPMATSTLISSSGVTGYGGWSALPQERLGFPQEVAMNWQGTSTFPSSCIVKKILRLEIPVDAYPNFNFIGRLLGPRGNSLKRVEASTGCRVYVRGKGSIKDLGQEEKLRGRPGYEHLNDPLHILVEAELPANVIEARLRHAQEVIEELLKPVDESQDYYKRQQLRELAVLNSGLRDDSPHPTGSVSPFNNGMKRAKTAR >Ma05_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33720757:33725924:-1 gene:Ma05_g21990 transcript:Ma05_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MQKRGREEERERSEAKTGHRLVGARSGSEMKVMVAVKRVVDYAVKIRVKPDKSGVETSNVKMSMNPFCEIALEEALRIREAGTAAEVVAVSVGPAQCVDTLRTALAMGADRAIHVDAGAAPVLPLSVAKILKALVQVEQPGLLILGKQAIDDDCNQTGQMIAGLLRWPQGTFASKVVLDKEKQVATVEREVDGGIETLSLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPAELNVELKPDLEVVQVAEPPKRKAGVIVSSVDELIHKLKNEARIL >Ma06_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9323668:9324583:1 gene:Ma06_g13610 transcript:Ma06_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSNQAAALLVLLCYIGPSAAVLFSSLPQTLRVTASPSPGQVLHAGVDEITVTWALNQSLPAGTDSGYKKVKVTLCYAPVSQTDRGWRKTDDHLKKDKTCQFKMATRPYAATGTVTYTVERNIPTATFFVRAYVLDASDTEVAYGQSTDPKKTTNLFDIAGITGRHASLDIAAACFSAFSVVALAFFFVIEKRKAKK >Ma07_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11462661:11467010:1 gene:Ma07_g15240 transcript:Ma07_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLEKGEKDLEFGSTSLSSISPTASAPPAPALVLSNSGKRMEQAASAAASPTAAPALVLSNSGKRIDPSVKKKYVKQVTGRHNDTELHLAAQRGDLAAVRQILGEIDAQVTGTPGEAEFDAEVAEIRAAVVNEVNEVEETPLFIAAEKGFLDIVVELLKYSNRESLSRKNRSGFDALHVAAREGNREIVQVLLDHDPTLIKTFGQSNATPLITAAIRGHTEIVNLLLERDASLIELPKANGKNALHFAARQGHVEIVKALLEKDPQLARRTDKKGQTALHMAVKGTHCEVVNALVDADPAIVMLPDRAGNTVLHVATRKKRAEIVKVLLHLPDTNVNALTRDHKTAFDIAEGLPLSEESAEIKEWLTRYDAVRANELNQPRDELRKTVTEIKKDVHIQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGTQEDGTAIVVHSASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASVCTTVAFIASSFIVLGRHIRWAAILVTLIGGLIMTGVLGTMTFYVVKSKHSRAMRKRTKSRSGSNSWQHNSEISDSEIDRIYAI >Ma11_p25210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27923091:27924320:-1 gene:Ma11_g25210 transcript:Ma11_t25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKHVMLLPYTTTLGMEAIVEDTNLATPSSGSYILSSELNATQQPFLIAKKFAALKSTDDGLQVSLSDGDLQAFPDNQREVEMAMHYGLESLDEFSFQHNSTTDVRQVGKFQPRNKSQPKKGAAKSVSFMLPDASVTGPPPMGSFSEITNPSSVQAKIDIHVDNPLHSSIITFAFWSILNFLFFSLHFC >Ma02_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4086418:4089233:1 gene:Ma02_g00290 transcript:Ma02_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTAEEDEILVKYIAANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDLKRGNITRDEEDIIIKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRKIQSFRRTGMDSKAAVLDLSKLRGGGGRQQGACIDRSAMKKTNTMNREIRRDKQDMADIRPPASVVQSDEGHIMVSDPDQNQQASSVTFDGITMDPNEEMVSELWDTDADMEHVLFGPSEESMIGWGYTQADSGVMSTSRVELASKSEDEMGSWQREKLLEWDLGANEEAGEMWPWMWDSGNGELALRGVDDSGYQEGSLDDGWLI >Ma07_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10645581:10657554:-1 gene:Ma07_g14210 transcript:Ma07_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLGKRAKEAFVDDNFDLALDLYTQALDLESGNADILADRAQANLKLGNFTEAVADANRAIELDPSMTKAYLRKGIACIKLEEFQTAKTTLEAGVLLAPGDSRFTKLINECDYFIAEETNGLRKEVRRNVLPITSSPSSNRTTTEVVNGSQSTPVLPDLVKEVTNKPKYRHDYYNTPTEVVLTIFAKGIPAKNVSVDFGEQILSVIIDIAGKEQYNLQPRLFGKIIPEKCRFEVLPSKVEIRLLKAEAITWTSLEFSDKKTVPQKINTLPASKEQRPSYPSSKSKIDWDKLEAQVKKEEKEEKLDSDASLNKFFQDIYQDYDDDVRRAMAKSFVESNGTVLSTNWNEVGSKKMESTPPDGMELKKWEY >Ma10_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22285807:22287132:1 gene:Ma10_g07930 transcript:Ma10_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHKTVAHPPQELGCSESTSTVPPMPGYSRRQPKNPDEILRDFHSAHPAHAFCATFSGGAALACLGPRAPPPSLLHRRWFCSFDEVYCMFVGSLDNLSSLVRQYGLCGKSTNEALLVIEAYRTLRDRGPYPADQVVKDLGGSFAFVVYDNKNGAVFAALSSDGGVPLHWGIAADGSVVICDDREIMKGSCGKSYAPFPAGCMFHSEGGLRSFEHPTKKMQAMPRVDSEGMMCGASFKVDAFSKIATMPRVGSAANWTSWDDSY >Ma10_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29307403:29309007:-1 gene:Ma10_g18350 transcript:Ma10_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIHTIRLSFLLLVCEVRFDSAFDVCQAEVGVPWDAQCSCLSNYLVFCFCSS >Ma02_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24917403:24919625:-1 gene:Ma02_g18430 transcript:Ma02_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWLKSFIGLKKQEKDDNEKSGGSDNGKSRKWKKLWRSSSGEHLSLWRSSKGGSHRSVASEASDVSSLADAFTAAVATVVRAPPKDFKVVSQEWAAIRIQTAFRAFLARRALKALKGIVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQRMLEAHRSKQDLLKDAEEGWCDSQGTLEKIRTRLQMRQEGALKRERAIAYAMSQQVHMQFKLTVKEGLNQTSSSLKLYDLDKNNGNWSWLERWMAAKPWENRLMEEKAQNDHSQAQSKSCEDMHGICSEPGSVKIKKNNMSTRISAKPPTTPFNPSCRTQSTSSPPTELHFNESSASSSSICTSTPLPSSNVSAAENSEGSHRSRPSYMNLTESIKAKQKTCSTQTTIQLQRKALSHINTRSNLCSNHSAFSSKMVTARDKRSMAKENYSCDEQHNYVN >Ma02_p18430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24917403:24919625:-1 gene:Ma02_g18430 transcript:Ma02_t18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWLKSFIGLKKQEKDDNEKSGGSDNGKSRKWKKLWRSSSGEHLSLWRSSKGGSHRSVASEASDVSSLADAFTAAVATVVRAPPKDFKVVSQEWAAIRIQTAFRAFLARRALKALKGIVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQRMLEAHRSKQDLLKDAEEGWCDSQGTLEKIRTRLQMRQEGALKRERAIAYAMSQQVHMLTVKEGLNQTSSSLKLYDLDKNNGNWSWLERWMAAKPWENRLMEEKAQNDHSQAQSKSCEDMHGICSEPGSVKIKKNNMSTRISAKPPTTPFNPSCRTQSTSSPPTELHFNESSASSSSICTSTPLPSSNVSAAENSEGSHRSRPSYMNLTESIKAKQKTCSTQTTIQLQRKALSHINTRSNLCSNHSAFSSKMVTARDKRSMAKENYSCDEQHNYVN >Ma06_p37810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36769656:36773627:-1 gene:Ma06_g37810 transcript:Ma06_t37810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFCCIQVDQSTVAMRETFGKFDHVLEPGCHFLPWCLGKQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYNAIADQASDAFYRLSNTKEQIQSYVFDVIRASVPKLNLDDVFEQKNDIARAVEDELGKAMSSYGYKIVQTLIVDIEPDNHVKKAMNEINAASRMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIASQVRDGLLQGSAIH >Ma06_p37810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36769655:36773627:-1 gene:Ma06_g37810 transcript:Ma06_t37810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFCCIQVDQSTVAMRETFGKFDHVLEPGCHFLPWCLGKQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYNAIADQASDAFYRLSNTKEQIQSYVFDVIRASVPKLNLDDVFEQKNDIARAVEDELGKVICLSTAVFYVFTISSAFCLCDQAMSSYGYKIVQTLIVDIEPDNHVKKAMNEINAASRMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIASQVRDGLLQGSAIH >Ma06_p37810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36769654:36773627:-1 gene:Ma06_g37810 transcript:Ma06_t37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFCCIQVDQSTVAMRETFGKFDHVLEPGCHFLPWCLGKQIAGYLSLRVQQLDVRCETKTKDNVFVTVVASIQYNAIADQASDAFYRLSNTKEQIQSYVFDVIRASVPKLNLDDVFEQKNDIARAVEDELGKVICLSTAVFYVFTISSAFCLCDQAMSSYGYKIVQTLIVDIEPDNHVKKAMNEINAASRMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIASQVRDGLLQGSAIH >Ma05_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23864457:23865647:1 gene:Ma05_g18420 transcript:Ma05_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEGQKLISYIRTHGEGCWRSLPKAAGLFRCGKSCRLRWINYLRPDLKRGKFTEEEDELIIELHGLLGNKWSLIAGRLPGRTDNDIKNYWNTHIKRKLLSRGIDPQSHGPASGNAAPRRQGITTMAQDMILSEAPVDCCDKTISSVGASQDDDRCVDLDLGLSMSLPGRSPERLPQTSVAPATAAASSCAQPLRLCCHLGFQSGEACGCPATKNPRLLRANTS >Ma04_p30250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30958481:30966121:1 gene:Ma04_g30250 transcript:Ma04_t30250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNAKHKKILEGLMKLPENRECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVTFIQTMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRHGSSRSPSRAPEEITSEFQQRHNGTVGCKFTNNLNSVEEQRKPSQLNAMKNNPVAPEVPSQVSSVTKGEAIMHKTDSSQSPAQSKADVPHKVDTPAPPPKVDYVSDLFHMLTVETPSENSLESSSKDDNSWAGFQSAEVTTAADQKCSTKPVENPSQSISGIEDLFKDSPPLMVSSSSVKSQASIKNDIMSLFEKSNMVSPYSVHQQQLAFLSQQQALLMAVAKSGTVNPTLSGSSNQPAVTGLHAPNINVLYQNWPNIGYQAPGVTPPDGQKGFNNFSQLGNLMQGHPSGNYSHIHTSGMYSRGSPSVINGAATSGTSKAVASPASVVTSNKSAKEYDFSSLTHGMLPKH >Ma04_p30250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30958481:30966095:1 gene:Ma04_g30250 transcript:Ma04_t30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNAKHKKILEGLMKLPENRECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVTFIQTMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRHGSSRSPSRAPEEITSEFQQRHNGTVGCKFTNNLNSVEEQRKPSQLNAMKNNPVAPEVPSQVSSVTKGEAIMHKTDSSQSPAQSKADVPHKVDTPAPPPKVDYVSDLFHMLTVETPSENSLESSSKDDNSWAGFQSAEVTTAADQKCSTKPVENPSQSISGIEDLFKDSPPLMVSSSSVKSQASIKNDIMSLFEKSNMVSPYSVHQQQLAFLSQQQALLMAVAKSGTVNPTLSGSSNQPAVTGLHAPNINVLYQNWPNIGYQAPGVTPPDGQKGFNNFSQLGNLMQGHPSGNYSHIHTSGYTDHPPIRMYSRGSPSVINGAATSGTSKAVASPASVVTSNKSAKEYDFSSLTHGMLPKH >Ma05_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36039922:36041509:1 gene:Ma05_g23870 transcript:Ma05_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEPSESLTCKTWVLRVSIHCEGCKKKVYRILKSIRGVYDTDIDAGQHKVTVKSIVDAETLIRKLEKSGKHAELWPVKKPSNQKPSNVNTSNKESGESSKPEEPSRKSEKKPIPSKPNPAASSATDTTVAKLSDAEKTQVKTKTTAEPSESATKEPQGSGTKKADASTQQPKKPAATAGGEANGDNGCAKKKGKKAQKEISEEAGKNPNAGSVSSLPPQHMYSYPTHPPPPPPPYVMSYNMAEPSVTQAYYASPKPPASDGFVYMPYPPPPEFYPGPSDPSSPVLMQPNMFSDENSNSCNLM >Ma09_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5775567:5786506:1 gene:Ma09_g08720 transcript:Ma09_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARPPAVEPEPSHTMSASKPHQLRHLSVSSRLSFLSPSNSKHHRRADGCGRRCAVIALAAVFLCLFIVTRGPDSRKYAIILDGGSTGTRIHVFAYRIGWGSMPTLDLGLTASMKVSPGLSSYAADPENAGQSLVELLEFAKERVPGELWEDTEVRLMATAGLRLLDVAVVERILESCRKVLWSSGFQFQHDWAAVISGSDEGIYAWVAANYALGSLGGEPKKTTGIFELGGASIQATFVSSEPLAPELSHVLQFGKITYNLYSNSFLYLGQNVAYDSLHNLLSSGDLRSSAAFIQEETYIDPCIPRGYMHGGGPGKLSTSLLNSKTTYRSSRAIGNFSECRLAALKLLEKEKEKCLSQICHFRLTSMPKLQGRFLATENFFHTSKFLGLGPTPLLSDLIMAGEQFCGEDWLRLKRKYDTYDEEDLLRFCFSTAYIVALLHDTLGFPMDDGRVVFANQVGNIPLDWALGAFITQKALRASAESSDWIFAVLGDDPSAFFYLFVSIMLIFTAWSVLKWMKPKLKIIYDLERGRYILTPVNR >Ma11_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24306213:24309604:-1 gene:Ma11_g19450 transcript:Ma11_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTSSIALFLLFNVLFWVLTSACGYCPTPTPKPKPKPKPKPKPTPSPAPSGKCPVDTLKFAACSDVLKLINVEIGKPPKKPCCSLVEGLADDEAALCLCTALKANVLGANLNIPISLSLLVNYCGKKVPEGFQCP >Ma06_p04910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3664761:3669895:-1 gene:Ma06_g04910 transcript:Ma06_t04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGNLTPEQLDFFNTNGYLVLESFSSPEEVREMRDRMAELLDGFDGSIASIFSTKNQQHTDDYFFESAEKISFFFEEKAFGEDGHLKQPKELSINKVGHALHEIDPIFKKFTSSDKISGMLYSLDYKRPVVIQSMYIFKQPGIGGEVVPHQDNSFLYTEPPSCTGLWLALEDATITNGCLWAIPRSHKNGLRRRFIRDENGVHFDHPSPSYDDKEFVPVEVKEGSLVVIHGDLIHQSFENKSPNSRHALSLHVVDTDGHVWAKDNWIQRKVEPEPLYACRC >Ma06_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3664761:3669891:-1 gene:Ma06_g04910 transcript:Ma06_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGNLTPEQLDFFNTNGYLVLESFSSPEEVREMRDRMAELLDGFDGSIASIFSTKNQQQHTDDYFFESAEKISFFFEEKAFGEDGHLKQPKELSINKVGHALHEIDPIFKKFTSSDKISGMLYSLDYKRPVVIQSMYIFKQPGIGGEVVPHQDNSFLYTEPPSCTGLWLALEDATITNGCLWAIPRSHKNGLRRRFIRDENGVHFDHPSPSYDDKEFVPVEVKEGSLVVIHGDLIHQSFENKSPNSRHALSLHVVDTDGHVWAKDNWIQRKVEPEPLYACRC >Ma01_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1879440:1882659:-1 gene:Ma01_g02890 transcript:Ma01_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSSRCSLSSPAGAVARALAPPRMTLACRFQSSVPMPLGSMAVRSVASRNSSLSAVAPETSAKVIDGKLVSGQIKNEVAAEIARMKDTIGIVPGLAVILVGSRKDSQTYVRNKKKACKAVGINSYEVNLPEDCTEEEVLKHIAIFNDDSSVHGILVQLPLPRHMKEENILNAVSIEKDVDGFHPLNIGRLAMQGRDPLFVPCTPKGCMELLHRYDIEIKGKRAVVIGRSNIVGMPVALLLQKANATVSMVHSYTKNPEEITSQADIIVSAAGVANLVRGSWIKPGAVVIDVGINPVDDAESPRGYRLVGDICYEEACEVASAITPVPGGVGPMTIAMLLSNTLESAKRIHNFK >Ma02_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26791175:26796480:-1 gene:Ma02_g21040 transcript:Ma02_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAETQRVQTLVEAGVAHLPARYVQPPELRPHLSRRRDATADCGGIPVVDLGPSGGDPVPAIGRACREWGAFQVVNHDVRPGLLEEVRAMGSSFFRAPMEAKLRFACDPRSPASEGYGSRMLAKDDGVLDWRDYFDHHALPESRRNPSQWPDFPSNYRDVVIEYSNNMKKLAQTLLCMISQSLGLPPLYIEEAVGEVYQNITISYYPPCPQPDLALGLQSHSDMGAITLLIQDDVEGLEVLKDGEWVQVQPLSDAVVVILADQTEVISNGEYKSAVHRAVVNAHHPRLSVATFYDPCKTRKIYPAMQLITKQSPLKYREVLYGDYVSSWYSKGPEGKRNIDALLINQ >Ma08_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:423965:462892:1 gene:Ma08_g00480 transcript:Ma08_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH4 [Source:Projected from Arabidopsis thaliana (AT5G13960) UniProtKB/Swiss-Prot;Acc:Q8GZB6] MVGDAGECVPVALSVAPTVAPAAGEAAPPEVSPAVKASRRCSARYEGKQRPYFGTKRPRPDTAPKKEIVKKRARMDLGLMQASTSDVNGVPGEFSADGEVGCHDQQNGEVKSGFVQVKETLRAFNSHYLHFVQEEEQRVKQVEAKLSEHPKDSKKKTVGDTEGEVKRASKRPDLKAISKMIENGSVLCHEKRIGHLPGIGVGQQFYSRAEMVVLGVHGHWLNGIDYLGGSYAKQEQYKGYTFPLAVCIVLSGMYEDDSDNAEDIVYTGQGGHDLLGSKQQIRDQKLERGNLALKNSCECGSPVRVVRGHESQNSYCGKVYTYDGLYKVVKYWAEKGVRGFTVYKFNLKRLEGQPHLTTNQVYFGRAQAPRSISDLRGLVCEDISGGQENIPIPVTNVVDDPPVPPTGFLYQKSMQLAKSLKLPANFLGCQCEGDCTNPRTCACARLNGYDFPYVRRDGGRLIEAKAVVFECGPNCRCSLSCVNRISQQGLKYHLEVFRTPKKGWGVRSWDTIPSGAPICEYTGILTKTDEIDNVEENNYIFEIDCLQTMKGLDGRERRPGDVSLLINLDDKKSEVAEYCIDAGSVGNVARFINHSCQPNLFVQCILSSHHDIKMAKVMLFAADTIPPLQELTYDYGYALDSVVGPDGSVVKLPCHCGAVDCRKWLY >Ma11_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5392288:5393187:1 gene:Ma11_g06700 transcript:Ma11_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFKVLPCTRAYVHDWTACPFVHPGETARRRDPIKYRYAAWFPCPAFRRGGCTRGDLCRFAHGVFERWLHPTQYRTVMCRDGGRCARRVCFFAHTSEQLRAAPVLLRLPGLHDFYATGIHIPFGESSAQLIDQTHLVPPFAADISSPTRYDSDRLSPRPPQQQQHHSLYPSIDANVSKFPSSLQGSALPITPPDVSFRLGARDHQSPSPIFSWSSKWAAPCEVDEDEVLGGLLGRSPWAEEPDVSWVQSLVGPPEVKVRNETRSSSAGTDTDECMTSCICEAWLQECMQIEPPPPPKH >Ma10_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32689735:32690863:1 gene:Ma10_g23950 transcript:Ma10_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWIHQSSPSLDLRVGPRRSPDQAPVGVLEAMLNQISEENRRLTGMVSDMYKNHSALRRRLSYLASVSPCEKGSASPKRKRVDCEKHAASDDEHGCKRSREDAMTKTSKVYVRTDPSDPSLVVMDGYQWRKYGQKVTRGNPFPRAYFRCSFAPSCPVKKKVQRSAEDKSLLVATYEGVHNHKHPSPDEVYTVKCPSHINSSAPSIDPVVTRQPDVDRGFGEIESPKFDRALVEQMVATLTKDPNFTAAVAAAISERFLRDPPA >Ma06_p35110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35091912:35092937:-1 gene:Ma06_g35110 transcript:Ma06_t35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASDDAVVIQLANGTGGAERHHRQSPRPDRPRQRSLPHSPRVRPLHHQRRSGRNRLSFSSLPFEVVLCRSKRIVARCHSSSL >Ma01_p18760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14051148:14062691:1 gene:Ma01_g18760 transcript:Ma01_t18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASELVLEAFLRPDGGDGGERNPPRPPPSSLEELLLPGALDFGFVDRVGVSGHSTGGGRQLLSRESQAWSLTPRHSNISVNMDTQSSICDGTPTSSHKLLAKANQTLGGTSGSEQSDEESLEIEGGPCEQSTDAIDIKRLRREGQSRLLFMKKGINQPVFIHEGVTRRMVSNRESARRSRKRKQAHLADLESQVDLLRGENESLFKQLTDANQEFTEAVTNNRVLKSNVEALRIKVKMAEDLVTRGSLACSLDHLLQSSVGSPQFLNPQLPCEESSDFLPTIEFQGDDSSYIGIPTDGRAQNVGMETGNAKTAALRSGLSNASIGSLHNRIPSEVASCVTDIWACDSNTGTMLK >Ma01_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14051148:14062691:1 gene:Ma01_g18760 transcript:Ma01_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASELVLEAFLRPDGGDGGERNPPRPPPSSLEELLLPGALDFGFVDRVGVSGHSTGGGRQLLSRESQAWSLTPRHSNISVNMDTQSSICDGTPTSSHKLLAKANQTLGGTSGSEQSDEESLEIEGGPCEQSTDAIDIKRLRRMVSNRESARRSRKRKQAHLADLESQVDLLRGENESLFKQLTDANQEFTEAVTNNRVLKSNVEALRIKVKMAEDLVTRGSLACSLDHLLQSSVGSPQFLNPQLPCEESSDFLPTIEFQGDDSSYIGIPTDGRAQNVGMETGNAKTAALRSGLSNASIGSLHNRIPSEVASCVTDIWACDSNTGTMLK >Ma02_p16330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23753785:23756847:-1 gene:Ma02_g16330 transcript:Ma02_t16330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDHLLTESTLEAAIGNGKQAQIAADSASSGDLGSNSTPDTSVGHRTPSGKLVECRICQEDDHDSNMEIPCSCCGSLKYAHRVCIQRWCNEKGNTMCEICLQQFKPGYTALPKLFHYGRAPMNFRGSWDVSSQDLHDPQILTVVPSGVIESNYYDQLVSRLRSAICCRSVTIIFMILLVLRHTLPLIISGAEQYSFTLFSLLVLRTAGILVPIFFIAGTLTTFHHSRRRQATRRILSASSSQAENM >Ma02_p16330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23753785:23756847:-1 gene:Ma02_g16330 transcript:Ma02_t16330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDHLLTESTLEAAIGNGKQAQIAADSASSGDLGSNSTPDTSVGHRTPSGKLVECRICQEDDHDSNMEIPCSCCGSLKYAHRVCIQRWCNEKGNTMCEICLQQFKPGYTALPKLFHYGRAPMNFRGSWDVSSQDLHDPQILTVVPSGVIESNYYDQLVSRLRSAICCRSVTIIFMILLVLRHTLPLIISGAEQYSFTLFSLLVLRTAGILVPIFFIAGTLTTFHHSRRRQATRRILSASSSQAENM >Ma02_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23753785:23756847:-1 gene:Ma02_g16330 transcript:Ma02_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDHLLTESTLEAAIGNGKQAQIAADSASSGDLGSNSTPDTSVGHRTPSGKLVECRICQEDDHDSNMEIPCSCCGSLKYAHRVCIQRWCNEKGNTMCEICLQQFKPGYTALPKLFHYGRAPMNFRGSWDVSSQDLHDPQILTVVPSGVIESNYYDQLVSRLRSAICCRSVTIIFMILLVLRHTLPLIISGAEQYSFTLFSLLVLRTAGILVPIFFIAGTLTTFHHSRRRQATRRILSASSSQAENM >Ma09_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10176630:10177160:1 gene:Ma09_g14860 transcript:Ma09_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSLTVRVGLEGDEGGFRKFVIPISYLHHPLFQRLLESAQEVYGYCSSGPLKLPCSVDDFLHLRWRIEREPHHSNGHHRQSLYSC >Ma03_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8198591:8199046:-1 gene:Ma03_g10860 transcript:Ma03_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSQFASTRFREFCANYGIQLRYSLVAHPQTNGLAEVTNRSILDRLKRRVSAARSAWVDELPSILWSLRTTPKTATGESPYSLSYGTEVILPPEVVFPTPRTEKYDETTSAQGIRAGLDLLEERRAVAHLRDLSYKRVVARIYNRKVRP >Ma04_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3506460:3514584:-1 gene:Ma04_g04590 transcript:Ma04_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRISGGWGHRRSQSAMDARNTLSPNMEEGSSVPAAVSHGFEATVEFKPVEHPSEPLVCDQPVTCPLPEPSILNDRRIWKERRTSATAHAKADLPVVKDGSHLQSQDGRANPTPNPAKRLISPTLSAPEHNIITLLEECNTSEDHAIN >Ma10_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26673204:26675067:1 gene:Ma10_g14140 transcript:Ma10_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTTTTAAGAVSVSMASPHEKNPLLPPGHIYLTLVPVSKPSSHNNKSPALEAPQKPTITTTTQKSLLPLPSPSETLQEAGSLFRLSFPIALMALLIYSRSVLSMLFLGSLGDLPLAAGSLAIAFANITGYSVLSGLSLGMEPLCSQAFGANQPHLLALTFHRSVLFLLCSSVPIALLWFHMSRILLFLGQDPEITALAQAYLLFALPDLLSFSLIHPIRIYLRSQGVTQPLTTAAAFAAAVHLPANYLLVTRLRLGAPGVAAAAAASNLALLLCLIPHAPRGPTASCLTGWGPLARLAAPSCVSVCLEWWWYELMILLCGLLPEPRPAVASMGVLIQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARVSASVSVIVAAVMGFAAMFFTAGVRDRWGQMFTDDGEILRLTAAALPVVGLCELGNCPQTVGCGVLRGSARPARAAHVNLGAFYLVGMPVAVGLGFWLGLGFVGLWMGLLAAQVCCAGLMLHAVGTTDWESQARRAQMLTCAEAAPPPVLEEVKVEPAAAKLVEEEEEVAAKGVTCCYEPLISIKVCDLER >Ma10_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31652191:31653406:1 gene:Ma10_g22190 transcript:Ma10_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAFGRCDDSFSATSLKAYLAEFISTLLFVFAGVGSAIAYGKLTSGAALDAAGLVAVALCHGLALFVAVAIAANISGGHVNPAVTFGLALGGQITILTGLLYWVAQLLGAVVGAFLLKFATGLDTPTHSLGVGAVEGVVMEIIITFALVYTVYATAVDPKRGSLGTVAPIAIGLIVGANILAAGPFSGGSMNPARSFGPAVASGDFADLWVYWVGPLIGGGLAGLVYTYAYMCTDHTPLPQ >Ma10_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25031902:25035266:1 gene:Ma10_g11540 transcript:Ma10_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MPPKSDSVEGIVLGFVNEQNRPLNSQNVADALQKYNLKKTAVQKALDTLADNGQISYKEYGKQKIYLARQDHFKIPNNQELDQMKKDNSKLQDELGAQKKTISEVEAEIRVLHSNLTLEEIRDKEAKLIGEVEEMEEKLSTLRSGVVLVKAEDKKVIEETYAEKINQWRKRKRIFKELWDAITENSPKDLKEFKEELGLEYDEDVGVSLQSCSELINPGKRRKTAR >Ma07_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6149406:6150984:-1 gene:Ma07_g08250 transcript:Ma07_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGCSRSISGLLCQEDATGIDLDLDSPTDDSELSQAFLVLKATDLCEEEYIEKLVSKESSFERQIGEFCLVPATESWLKRARSDAIKWILKTRARFGFGFRTAFLAATYFDRFFARRRIDNEKPWALRLLSMACLSVAAKMEEYRAPLLSELQIQGYAFDSNGVQRMELLLLDTLQWRMDCVTPFEYLSYFRCKFRCDADDPEESLRKVTALIFAAVDAINLASYCSFTIAAAAILAASSSMYTKESMETKMSAIPLFGSSSEKEHVFSCYNIMTQDPPKNMRSPKRLASPGASESCSSITGVIDGASFGLPRNKRRRLQLPDTH >Ma09_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1871353:1872587:-1 gene:Ma09_g02680 transcript:Ma09_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVFMGVFLMANGSRFSPQRRDAIRDLANNVSVMAVLVATVAFAAAFTLPGGYKSDESNDPGMPILLKRTAFKVFLIFDTLAMSTSFLVLLLLLQVDLGSKIYKNRYLPEARLTLQISLLALMAAFASGLYPLIAGECFWLSILICVFLSIFVLDGMLDSMYYLSDSRIQLVSMEYKCQPLQNSCLDCQSLLMIL >Ma08_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11014271:11014458:1 gene:Ma08_g13860 transcript:Ma08_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSSATSLSLHVFFLLLCYLSLSLSKYIYM >Ma04_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6160743:6162719:1 gene:Ma04_g08630 transcript:Ma04_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASARLHLPLARLEPSLLRPPPTSACNCYFSVSYSLSLKPSSSSIFLKPLLLPRSLRSKPLKSRAPRSSAFTCLFTGIVEEMGHVERLGPSGDDDGGIEMRIAASTVLEGVQLGDSIAVNGTCLTVSHFDPGASTFTVGLSPETLRRTALGEVGLGSPVNLERSLQPISRMGGHIVQGHVDGTGEIASFVPEGDSLWVKVRATPELLRYVVPKGFIAVDGTSLTVVKVYEEEGCFDFMLVAYTQQKVVIPLKKVGDKVNLEVDILGKYVEKLLRGRFDDHSAAITS >Ma04_p08630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6160743:6163161:1 gene:Ma04_g08630 transcript:Ma04_t08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASARLHLPLARLEPSLLRPPPTSACNCYFSVSYSLSLKPSSSSIFLKPLLLPRSLRSKPLKSRAPRSSAFTCLFTGIVEEMGHVERLGPSGDDDGGIEMRIAASTVLEGVQLGDSIAVNGTCLTVSHFDPGASTFTVGLSPETLRRTALGEVGLGSPVNLERSLQPISRMGGHIVQGHVDGTGEIASFVPEGDSLWVKVRATPELLRYVVPKGFIAVDGTSLTVVKVYEEEGCFDFMLVAYTQQKVVIPLKKVGDKVNLEVDILGKYVEKLLRGRFDDHSAAITS >Ma09_p28980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39593858:39595828:1 gene:Ma09_g28980 transcript:Ma09_t28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPHNGGGDAHPPQRRRHLNLLILLLRLVTFGFSLAAAISIVTNSSPSWLRFQSFRFVFAANVIVAAYSLFEMCASVHEILKGATLFPEPIQLCFDFTHDQVFAYMVVAAGAAGAADARRLRAGGACAAEGGFCVLADVAVALGFAGFAFLALASLVSGFRLACYVTTGSGLHIW >Ma04_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24433601:24434530:-1 gene:Ma04_g22010 transcript:Ma04_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKTWPLFLFKRARVGATTEGRRQICRCGRPERSLI >Ma07_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9083933:9086984:1 gene:Ma07_g12180 transcript:Ma07_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g33170 [Source:Projected from Arabidopsis thaliana (AT4G33170) UniProtKB/Swiss-Prot;Acc:Q9SMZ2] MHFALYSCRTHTLLKLTARSSSPFRIPCSHFSTAPLLHPTWLPALRSAIAIGDLRLGRRTHAVIITSGAAEDRFLANNLLTVYSKCGSLPCARRLFDQMPHRDTVTWNSLLSAYALHGLSADGIHLFRLLLRCPTVAPTRLTFTPLLKLCSASADLFPTSQSLHSFAIKIGLGSDAMVSSALVNVYSKFGFLQEAQHIFDGMDERDVVLWNIMIKGYAQLGFLQDAFFMFSELHRSETLHLDETSVRCILMIGESGELSEQVLAYGIKTCLLDDFADVQSWNKIMSEHVRNGDYDAALECFLEMKRLNFGYDNVTFVIALSAVTSGEYFEAGKQLHAMVTKAGLCSDVSVSNSLINMYAKMGSLAYARHVFEDMKEFDLVSWNTMISNCAQNSLEAESVELFIKMLRLGVLSDQFTMASTLRACSGITIGYLMLEQVHCFALKQGIFMDIFVLTALVDAYAKKGKMEEAELLFSRMAWFDLALCNALIAGYVINGYPNKALDLFSSITRSGEKPNDFTLATVLKACSGLVAFENGMQVHSHAIKVGYDSDLCVCSGILDMYIKCGNVKDASAIFSNISKPDDVAWTAMISGCVEIGDEEHALNLYLQMRQSGAMPDEFILASLIKACSCLAALGQGRQIHGNAIKFGCASDPFVGTSILDMYAKCGNIEDSYSLFKRMNVTNTASWNALVLGLAQHGYGKEALKVFKNMMSQGVQPDKITFVGVLSACSHSGLVSEAYSHFDTMRVDYCIEPEVEHYSCLVDALGRAGLLHEAEKIIETMPYDPSASMYRALLGACRIRGNMEVGQRIATRLLGLEPLDSSAYVLLSNTYAAANRWEDVHKARKTMANRNVKKDPGYSWIEIKNKVHLFVVDDTSHPESTAIYQELEDLIRKIKGEGYIPDTDYVLLDLQEEEKERTLYYHSEKLAIAYGLISTTPASRIRVIKNLRVCGDCHNAIKYITKVVAREIVLRDASRFHCFKDGACSCGDYW >Ma05_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3012838:3015269:-1 gene:Ma05_g03990 transcript:Ma05_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKASDRFNINSQLEHLQAKYVGTGHADLSRFEWAVNIQRDSYASYIGHYPILAYFAVAENESIGRERYNFMQKMLLPCGLPPERDED >Ma04_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24200074:24200755:-1 gene:Ma04_g21700 transcript:Ma04_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYESWLVERAKEELEMLESQHPTRFHHLKLELKSLISQPNSGAQLFPRAVDDDAFGPPLASAAVPTQASSTRKRKTETERRSLHQKNKAQKSSSASRQTGGRDGSRKKSDSSVEAAIRRAQACLRRIQQVKQTLLFAS >Ma07_p26240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33119557:33120071:1 gene:Ma07_g26240 transcript:Ma07_t26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIAFEDNEPFVFSSRLKPHSNLLRLLSSPPLRPPPPDQEAAVADPIALILPERWQSLGWIPTSIRRLCLP >Ma04_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23931806:23932571:1 gene:Ma04_g21370 transcript:Ma04_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVHDECKLKFLELKAKRNFRFIVFKINEKLQQVTVEKLGQPDDSYDDLTASLPPNECRYAVFDFDFVTDENCQKSKIFFISWAPDASKVRSKMLYASSKDRFKRELDGIQVELQATEPSEMSIDIVKGRAL >Ma04_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26082082:26086035:1 gene:Ma04_g24010 transcript:Ma04_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGLLKIHPSELKLPFEVKKQSSCCMQLTNKTDHYVAFKVKTTSPKKYSVRPNMGVVLPKSTISITVTMQAHKEAPPDLQCKDKFLVQSVVADDGATTKDITAEMFNKAPGKDVEEFKLRVVYIPANPPSPVPEESEEGISPRSSVLENETQSSTLFDAVSRSFNEASTEQSHEEELIISKLTEEKNHAIQQNKKLRQELELLSKQSRKSSGGFSIMFIVIVAILGVIFGYIIKET >Ma06_p26080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26757442:26759239:-1 gene:Ma06_g26080 transcript:Ma06_t26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHELHPLVLVPGLSGNQLEARLMEDYLPPLPALQAPQAAGWFRIWMDRSLFLDSAAQRCFAHQLSLFYDPDADDFRNVPGVDTRVPFFGSTEGLRFLDPDRKDSSICMDTLVKSLEEIGYQDSLNLFGAPYDFRYSLAGGGHPSKVATRYLQDLRELVEQASKMNGDKPVIILTHSYGGLLTLHLLNRNPSWWRRKFIKHFIALSAPWGGIVAEMFTFISGDTLGMPLVDPLTIREQFRRTESNLWLLPFPKTFGNRPLVVTRDRNYSATNMAEFLQAIGFQEGVKPYVSRILPLLEEMAAPGVPVTCIIGVGVDTPETFLYNGEGKFDEPPEVVSGDGDGLVNLASLLALESEWSDAPEQELKVIKIPNATHAGILTQEFAVTEIIRRVLDVNSVPA >Ma09_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18838446:18840294:-1 gene:Ma09_g18740 transcript:Ma09_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CUP-SHAPED COTYLEDON 3 [Source:Projected from Arabidopsis thaliana (AT1G76420) UniProtKB/Swiss-Prot;Acc:Q9S851] MEEVEVLWELIGDDVSEQGLPPGFRFHPTDEELVTFYLASKVFNGGFCGVDIVEVDLNRCEPWELPEVAKIGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVHSATSGALVGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGEYYCRLACKEEWVMCRIFHKSAGDHRKSQYYPNHAFLLDPSSSSNPCFPSFLDLPHLQDPIHSLLQSGKLPPFNFYQEPNSLFPLLPLPSTTTTLPSLHETRCSSNDDRSFQPPQQEETGIPSWLDPYLQNPLLYEMGLPPLGLGGVVHHDLTFMDETAAGESGPLVS >Ma03_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26653544:26654174:1 gene:Ma03_g21720 transcript:Ma03_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKQIPTSNDHVIFLGTEEINFQLSQTLSCLLHLRKGLQEPSVQFKKLNHGNASTCDVEMRMNHILGLVGGRAFA >Ma07_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3864412:3867297:1 gene:Ma07_g05290 transcript:Ma07_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPAPLPLPCSWILGLFLFMSLAEADAEGSPALSPLSSLRNQAFPLSSSFLAPAPAVMQIHHHHYHKELTVAIVLASVAVLAIISSTFCAWFFWRRSRQMLDSKDIESSDAAGGLTFGPVLSKLNSFKMTNKKTLLPTIDYASLESATNKFSVSNILGEGGFSHVYKATFNREVFAAVKKLDGCGQDCEREFANEIDLLGRVRHPNIVPLLGYCVHGETRLLVYELMQNGSLETQLHGPSHGSALTWHLRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKISDFGLAVLSRNHNKGTLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKLESSQCQSIVTWAMPQLTDRSKVPNIVDPVIRDTMDLKHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLVPVELGGMLRVIQPILTTNQE >Ma02_p21530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27118484:27122897:1 gene:Ma02_g21530 transcript:Ma02_t21530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSIASGAKTAARAFDFGRTHVVRPKGRHLATVVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVGDLSEDGPDDVEGMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCCAHGKYGNGKPYPVNLSVVVGLSGWLPCARSLKRKLEGSQDAARRASSLPILLCHGNGDEVVLYKHGEKSAQVLKSSGFENVALKTYNGLGHYTVPGEMNDVCKFLTTVLGLDGSHS >Ma02_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27118161:27122897:1 gene:Ma02_g21530 transcript:Ma02_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSIASGAKTAARAFDFGRTHVVRPKGRHLATVVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVGDLSEDGPDDVEGMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCCAHGKYGNGKPYPVNLSVVVGLSGWLPCARSLKRKLEGSQDAARRASSLPILLCHGNGDEVVLYKHGEKSAQVLKSSGFENVALKTYNGLGHYTVPGEMNDVCKFLTTVLGLDGSHS >Ma07_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6980903:6981742:-1 gene:Ma07_g09320 transcript:Ma07_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGETLIASYPRNKPDVPQRPRRISMEGLQRAISDLSFQLGKEAVETALPPISEVEDAKCECCGMSEECTPEYIGRVREKFSGKWICGLCSEAVKEEMVKNGGKQQKALEAHMSVCVRFNRIGRTHPVLYQADAMRELLRKSSRAGRAQSSSPRDREGVKKGGITRSSSCIPAITKEINKCTALTDRLKP >Ma01_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2901561:2904471:-1 gene:Ma01_g04370 transcript:Ma01_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIRPLTMRNSRHLEGMLNDYVVGKSSKMKTPKLASFRLVAALTCLQFAFAMYATFLLYHMSSSVGERSGADFSWASRIAHHWTQFVEHSHAPSSTQEVPAGDFLAEVCEHEEIDFVQKKSDDALMIKLKRELYDEVLAFQEESSGTETLAELMRMESKWSPKGPSIPKITVILNHFKRKTLCAQLDSLLNQTLPFHHVWVLSFGSPSELSLRRIVESYNNSRISFISSSYDFKYYGRFQMALQTEADFVYILDDDMIPGKKMLEILSHVAGTDKYRNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSADLVKTLFVETPFTFMTGEDLHLSYQLQKYRNAGSYVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRALSTGYITQWAAMNPQKIDALFYAHSLDEVKALSPLLEKFRKTVGRKAYIAVSGGGSSCSCEGAVAVLGWPKVVCKERRFKIFDLEVGAISGVSNSEVPVVQAVYSSLKGLLKIHNPSLLIAVDGIDANVKNALRMAAEGSANGTALVLLPKTAVSKALWMADLRPTALPNWNRMRITVNIITQNRASSLQRLLRSLQQAHYLGDEVRLSFNMDSKVDEETLKVVGSFRWAQGPKLVRRRIIQGGLIRAVSESWYPSDDDEFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPKWNATEFFKRIHPNTPYLHQLPCSWGALFFPKHWREFYAYMNARFTDDAKNNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPSQASFSTNHMEPGAHISANGNVVKHNKEDFEVPLMGDDFTSLLPAGKMPPASKLPVLNLFNQAASLKGLKAAGAKLGQDVIGCDKAEIVVVDGSTGLPGNCSRF >Ma09_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8116726:8126344:1 gene:Ma09_g11990 transcript:Ma09_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPTVPFPLLQTPIESKYRVCTIPYRFPSDNPRKATPVEIQWIDLFLNSAPSFRQRAENDPTVVDAPIKAEKFAQRYTAMLEDMKKNPESNGGPPDCVLLCRLREQVLRELGFRDIFKKVKDEENAKAISLFEGVVRLNDAIEDDSKRVENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDDFKMKWTTKTWKKAVIFVDNSGADIILGVLPFARELLRRGTTVVLAANDLPSINDVTYPELVEIISKLKDENGQLMGVDASGLLIANSGNDLPVIDLSNVSPDLAYLASDADLVILEGMGRAIETNLYAQLKCDSIKIGMVKHPEVAQFLGGRLYDCVFKYHEVLNY >Ma05_p27210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38611775:38613127:1 gene:Ma05_g27210 transcript:Ma05_t27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDHHSAEEFPTMQGSLQKKRKSRDVICQSSEQSNEDTEERKGCRQKKSTEETSTPDRKRQRTQIAGKILLLDEIINYVLSLQRQVEVLSMKLASMDLKFRDLFTESIGYVNQQQETGSIPRLSVPVQSVLRSSAIQQVPFDEATGNSMSHLLLHGGGATALPQDNGACLPMQVADQRHELFNEVVLNNISFFPVN >Ma04_p12600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9504401:9507872:-1 gene:Ma04_g12600 transcript:Ma04_t12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSMPEETTFRSKLPDIDINNRRPLHAYCFERLADFADRPCIIDGASGAVMSYADVDIAARRAAAGLHRLGVGRGQVIMILLRNSPEFVLAFLAASHCGAVATTANPFYTPAEIHKQAAASGARVIVTESCYVDKVREFAQERGVTIVCADGPSEGCRHFSELLDADERDLHEVDIDPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGDNPNLYFHKEDVLLCVLPLFHIYSLNSVLLCGLRVGAAILIMRKFEISAMLELVQRYRVTVAPLVPPIVLDFVKSPLVDSYDLSSIRTVMSGAAPMGKELEDKFMAKLPNATLGQGYGMTEAGPVLSMCLAFAKEPFPVKSGACGTVVRNAELKIVDPDTGASLGHNKRGEICIRGAQIMKGYLNDPEATRNTIDKEGWLHTGDIGLVDDDDEIFIVDRLKELIKYKGFQVAPAELEALLITHHDIADAAVVPMKDELAGEVPVAFVVRCNGSQVTEEEIKQYVSKQVVFYKRINKVFFTEAIPKAPSGKILRKDLRAKLASPFPSA >Ma08_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34064355:34066587:-1 gene:Ma08_g20210 transcript:Ma08_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAGGTCGGAGDGDGLKLDPCRFAVRVVRGRWFMLFSSFLIMAAAGATYIFSIYSKDIKSSLGYDQSTLNTLSFFKDLGANVGVLSGLINEVTPPWVVLAMGAGMNLFGYLMIYLAITGRTAPPHVWLMCLYICVGANSQTFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIMTQLYYAIYGDDSKSLVLLIAWLPAAISIVFVHTIRIMKVETQGKTPKPFFCFLYISIALATYLLIAIVIENKVTFSHSEFGISAAVVMLLLVLPLAVVVKEEFRVFKQTKQDLQNPQPLAITVEKPSPPEPLPLPESKQSPPTTATAGTKHKNHVVSCVGDMFRPPDRGEDYSILQALVSIDMIILFFATICGVGGTLTAIDNMGQIGESLGYPKRSIATFVSLISIWNYAGRVASGFASEIFLTKYKFPRPLMLTAVLLLSCVGHLLIAFGVSNSLYFASVVIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGGVASPIGSYILNVRVAGYLYDREALKQNNGVRGPNGTDLTCIGVECYKLSFIIITAVTVLGALVSLVLVWRTKDFYKGDIYAKFREQMAVAEIDMAAGNFSLDRIDGEEEATQKKKKKNATSQDEEEEVVVNGNGKRA >Ma07_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1852721:1854884:1 gene:Ma07_g02330 transcript:Ma07_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTTRVDTGEPLYCTFASRYVRASLPRFKMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDRLIMSAINKNYVDMDGYPVTTELQNRCVNMIAHLFNAPIGEDEIAVGVGTVGSSEAIMLAGLAFKRKWQNERKAEGKPHDKPNIVTGANVQVCWEKFAKYFEVELKEVKLREGFYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDIKLLNHLLTEKNRETGWSTPIHVDAASGGFVAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWIVWRNKEDLPEELIFHVNYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYRSIMENCMENAKALKEGIQATGRFEMVSGDVGVPLVAFSLRDSSRYTVFDVSESLRRFGWIVPAYTMPADAEHMAVLRVVIREDFSRSLAERLVSDIRKAVADLDGRWARTAIVAEVKAEDKPGGVVVYRKSVGETTQQQEIYGHRRGRVEQKKTSGVC >Ma11_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3639253:3648247:1 gene:Ma11_g04670 transcript:Ma11_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MPVLLPSSATTEAHAMVSVSSSIARPSSVRLLPLPAPRFPPVASKCFFHCAASGTTTRVCELKIWMLEKLKWRKNFRTHGWEKKPRMLQVQDSVEETTSNSEVAESSPPNVQPIIPKYLESSMESSSSSAYGNSVDRVPSLCIAVVGATGELARNKIFPALFALYYSGFLPENVGIFGYSRKDLSDEDLRNIVAATLTCRVDHHENCGDKLDAFLDRTYHINGGFNNKDGMKMLNRRMEQIEGEHEANRIFYLSVPQEALLDVSVSLADNSQTKRGWNRIIIEKPFGFDALSSHKLTQCFLSKFQEKQLYRIDHRLGKDLIENITVLRFSNLVFEPLWSRTYIKNVQVIFSEECGMEAQGRYFGHYGVIRDIVHSHILQTIALFAMEPPVSLDGEDIRNEKVKVLRSIRKLNLDDVVLGQYKNSADKSSCYMNSLTPTFFAAALYIDNARWDGVPFLVKTGVGLIKHRVEIRIQFHHVPGNLYRECISHNIDLTTNELILRDQPDEAILLKVNNKVPGLGLQLDASELNLLYRDKYNVEVPDSYEHLLLDVIDGDNHLFMRSDELEAAWNILTPILDKIEENKVVPELYEIGGRGPVGAYYLGANHGVRWADD >Ma10_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1606305:1607760:1 gene:Ma10_g00390 transcript:Ma10_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSAEATGEHEKTEMMSMMIGRGSCYHNATVHVPPWASFDDPTAGDVLQDEAALAALHLCVGREEEAGEEDLSLVDAYASDEFRMYEFKVRRCARGRAHDWTECPFAHPGEKARRRDPRKHRYSGTSCPDFRKTTGCKRGDACELAHGVFECWLHPDRYRTQPCKDGTTCRRRVCFFAHTPDQLRVVPPQHRKNSPSTATAADSYDGSPPRRHSSLHSYLPKNIAASPTSTLISPPNSPPTESPPISPDGAKLRRGSWHAGSSVNEIVASLRQLQLSRAESAPISWGSRVGSVGFASPRGASFAGFNAGFCGLPSTPTAPAMTCGDSRWLEEEEPAERVESGRALRAKMFERLSKGSTFEKAEAAPDVGWVTELLK >Ma04_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2870121:2873995:1 gene:Ma04_g03710 transcript:Ma04_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGFSVSASAGSEFDAKITPIVIISCFMAATGGLMFGYDVGISGGVTSMDDFLIKFFPEVYRRKHETKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRNLGRRLTMLIAGVFFIVGVILNGAAQDLPMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFASLVNYGTNKIHPWGWRLSLSLAGIPALLLTVGALLVVDTPNSLIERGRLEEGKAVLKKIRGTENVEPEYNEILEASRIAHQVKHPFRNLLQRRNRPQLIIAIFLQIFQQFTGINAIMFYAPVLFNTLGFKSDAALYSAVITGAVNVLSTVVSIYSVDRVGRRVLLLEAGVQMFLSQVVIAVVLGLKVSDHSDNLGRGYAIFVVLMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYGIFAFFSAWVVVMSVFVLFFLPETKNVPIEEMAEKVWKQHWFWKRFIADDDDKTNGADGAVKLARP >Ma11_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1589755:1590524:-1 gene:Ma11_g02220 transcript:Ma11_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSLPSLVIVLLLSTVVLSYVQSCSHSGYLTGTTSKCNEEKGAECCKAGKKYRQYRCSPPVTAATKAHMTIYDFSEGGDSAAPSKCDGEYHSDHEMVVALSTGWYEDGSRCGNTVRIDANGRSVLAKVVGECDSVNGCDEEHNFQPPCRNNIVDASRAVWKALGFYKSHNGDYDITWSDA >Ma01_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6546477:6547894:1 gene:Ma01_g09090 transcript:Ma01_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLPDAGAHQRLSDALVPHHLRTHLQENLFFDGAAGELSSRFAVLRIRFYDADSRCVISLKAKARLAGGVSRVEEDEEDIDPALGRACAAETWRLADLAGSSRIMRRVVEEFGSEGKMGSFVCLGGFRNVRAVYGWKEGLMLELDETQYDFGTSYELECETIDPERTKELLERFLKENGVPYSYSEASKFAVFRAGKLLP >Ma06_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24889208:24891232:-1 gene:Ma06_g24940 transcript:Ma06_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYIYKAKTKTKGTRYRCIWGKVTRPHGNSGVIRAKFKSNLPPKSMGGKVRVFMYPSNI >Ma04_p30130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30860923:30861710:1 gene:Ma04_g30130 transcript:Ma04_t30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVHDDGGEEDEEVAPGGEAAEKDKKRRPSSSVATKRGSSSGSGGGVSPPCCQAEKCAADLAEAKRYHRRHRVCEAHSKAAVVIVAGLRQRFCQQCSRFHEVSEFDDSKRSCRRRLAGHNERRRKSSSDSQGDGLSRFRQPDEDGRMQMSDSGNSTHKHFQIK >Ma01_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6388179:6394043:1 gene:Ma01_g08910 transcript:Ma01_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYMVIKEVGDGTFGTVWRAINKQSGEVVAIKQMKRKYYSWEECLNLREVKCLRRLNHPNIIKLKEVIRENDVLYLVFEYMECNLYQLMKDRNKPFTEDEIRDWCFQIFRALAYMHHRGYFHRDLKPENLLVTKNVLKIADFGLAREALSKPPFTEYVSTRWYRAPEILLQSSVYDSAVDMWAMGAIMAELFTLRPLFPGSSEADEIYKICSILGPPNQNSWAQGMQLADAMKFQFPQLASVSLSLLIPSASRDAIDLISSLCSWDSIRRPTAAKVLQHSFFQPCFYIPPSPRLRSEGVQKTPSSVGTRGALASDTARRNSVGVLSNTRPVYNFSSTSVNESFRMTGIQRRLELGHQEYQKERLARNEVKPYVRQPTTRDFTGLPGRDSQRTCNVVEKLGHLTLSSNRGSDICGKLPQLKPQLGRQPPPAMKAGAWHGHSAFPGRPHDIPSAPGYYTRKVAG >Ma02_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23012565:23013263:1 gene:Ma02_g15220 transcript:Ma02_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVESGEGRRRPAEGELPAVAATAKERCPRCESRDTKFCYYNNYNMSQPRHFCKSCRRYWTLGGSLRNVPIGGASRKRLRPSPSPSTASALRPGLALPALSAPPPPSAPELVAAPDGPPPPSHGSLIPGGPVQAGLLGLDEPFLPGRAGFGLGLGLGLGCSARAAEEMGFGLGSTLLWPHSLLDEPGDAWRVGAGVGGGDCFAAPGPATSAWTDLAISAPADGGAAPAREFR >Ma07_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12940880:12944314:1 gene:Ma07_g16190 transcript:Ma07_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLFLSLVLSAFAMASAAAPLQGASRDRAALLSFASAISSDPSGALAGWVSLGSGACNWTGVTCHRLKKRVVQLDLSGRGLRGVISPAIGNLSFLVVLDLSGNSLSGTIPPEIGCLSRLQQLSLSKNFLVGSIPVELGFLRQLIYLDLDSNRLSGRSPRTLFCNCTSLQYVDLSNNSLSGKIPLDNECRLPDLRFLLLWSNNFEGPIPTALSNSSMLAWIDFESNYLSGALPSTIFDKMPFLQFLYLSYNNLSSHDTTTDLTPFFASLRNCSHLQELELAGNNLRGHIPPLIGDISINLVQLHLDDNAISGPIPPNISNLVNLTYLNLSYNYLNGSIPPDLSRLRKLERVYLSNNLLSGDIPPSLGGIPRLGLLDISANRLTGSVPDSLSDLSQLRRLMLHKNHLSGRIPASLGNCINLEILDLSYNRLTGRIPSDVAALSSLKLYLNVSSNLLEGPLPMELSKMDMILALDLSSNNFSGAIPSQLGSCVALEYLNLSGNALQGTLPSSVGALPYLQVLDLSSNRLSGTMPESLQASASLKHFNISFNNFSGAIPTEGLFASLTADSFRGNPGLCGSIVGMPSCGTKPARWSSALPILLTVIGTTCIICLLAYSLVRKSRTCWCSHRTSHRQSLIASEEQKQHHPRISYRQLVEATEGFAESNLVGGGRFGHVYKGTLPDETRIAVKVLDPKSGVEISGSFKRECQVLKRTRHRNLIRVITACSKPDFKALVLPLMPNGSLEKYLNPPIESTPGLTLIQVISILSDVAEGMAYLHHYAPFRVIHCDLKPSNVLLDEDMTALVSDFGISKLVSGVNDDCIDDPESSGFHSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVLLLEMITGKRPTDVIFHEGLTLHDWVKGHYPRNIEVITSRAPLGQYRSTSADHKELPRDVMAELIELGLVCTQLSPSMRPTMIDVAHEICLLKQDLARHGVEDDTGCCSTPNSSF >Ma08_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16302977:16304872:1 gene:Ma08_g15930 transcript:Ma08_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLTFTVRRQKPVLVAPAGSTPHEFKRLSDIDDQDGLRFHIPVIQFYRNDPSMGGRDQAKVIREALARALVFYYPFAGRLREAAGRKLVVECTGEGILFIEADADVRLEQFGDELQPPFPCLEELVYNVPGSDGVLDCPLLLIQVTRLLCGGFIFAIRLNHTMSDAPGLVQFMNAVAELARGAAAPSVPPLWSREILEARSPPRATCKHREYDDVPDTRGTIVPLDDMVHRSFFFGKREVAALRRRVPPHLRNSSTFEILTACLWKCRTIAISPDADEEVRMICIVNARGKSDLGLPVGYYGNAFVFPVAVSKAGKLCANPLGYALDLVRKAKSDVTDEYVRSVADLMVLRGRPHFTVVRSYLVSDVTKAGFGDVDFGWGKAAYGGPAKGGVGAIPGVASFYIPFRNGKGEDGIVVPVCLPGPAMEKFTMEMESLIEEPVAAEQHHSLTLIMSRV >Ma09_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:145037:147289:1 gene:Ma09_g00150 transcript:Ma09_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGATLGPIREDLALSTCYSNGAGGPPCSPELITIYLAVAGAPVIPMRVLESDSIASVKLKIQSCKGFAVKKQKLVFDGREMARNDCLIRDYGVSDGKVLHLIIKTSDLRVITVKTASGKKLKFRVEQGRTVHYVKKQVAKRNPSFVHLQDQNFLFEGEAAEKVEDRREIHDICTNNNAFLHLFVRRSAQVRTKPMEDSEPSVEAPVTVDKGCVDGSQAFTRNTPCRGASIEPVIVNPKVELPLVIKNLLCATLSGLEKGNPPIMSTEGTGGAYFMQDISGNEFVSVFKPSDEEPLAKNNPRGLPLSTNGEGLKRGTRVGEGAIREVAAYILDHPISGHRSFSHVDFGFAGVPPTVLVQCMHGGFKHPAGCEQAAMNFKVGSLQMFVKNFGSCEEMGPRVFPVQEVHKICVLDIRLANADRHAGNILVRKEGGEGRIVLVPIDHGYCLPENFEDCTFEWLYWPQSRRPFCSETLEYINSLDAEQDIALLKFYGWEMSLECSRTLRISTMLLRKGAKRGLTPFDIGSILCRETIKKESRIEEIIREAKDAVIPGTSETAFLESISEIMDRYLDQLTI >Ma11_p15480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21187344:21192542:1 gene:Ma11_g15480 transcript:Ma11_t15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQADRVRRTSHLFSDDLLDTAATIPISSSATSLASSSSSDSLPRVNYIEHRVSKMDTLPGVAIKYGVEVADIKRLNGLTTDIQMFARKTLQIPLPGRHPPSPCLSNGSFANGSYSRQHTSPHRPSNNVLDLFHSLELKTPPSKVSPAMSSLQAYYGLTPPKKGADTAETEMAVYRKGCCLADELKEPPFSDPLPGRHRKSICLANGFPLGNGEITKGKNILETADNNESEKPIRRRQKNDASPSLGATELLLEDNSSDLISVRKGKGLAMRPKLGSRTDMDMGHPKASPHGDSVMTDGFVSVRKSSSTSSLQDSEDHSSIWLTSKWTLNPELLARPLFDGLPKPIIVRKNKAALD >Ma11_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21187343:21192543:1 gene:Ma11_g15480 transcript:Ma11_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADRVRRTSHLFSDDLLDTAATIPISSSATSLASSSSSDSLPRVNYIEHRVSKMDTLPGVAIKYGVEVADIKRLNGLTTDIQMFARKTLQIPLPGRHPPSPCLSNGSFANGQHTSPHRPSNNVLDLFHSLELKTPPSKVSPAMSSLQAYYGLTPPKKGADTAETEMAVYRKGCCLADELKEPPFSDPLPGRHRKSICLANGFPLGNGEITKGKNILETADNNESEKPIRRRQKNDASPSLGATELLLEDNSSDLISVRKGKGLAMRPKLGSRTDMDMGHPKASPHGDSVMTDGFVSVRKSSSTSSLQDSEDHSSIWLTSKWTLNPELLARPLFDGLPKPIIVRKNKAALD >Ma10_p29780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36242818:36248522:1 gene:Ma10_g29780 transcript:Ma10_t29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFFRTRLRPACLSSFRVLLSRPHPQLVLGFRLPLVPSPSPSPSSPWLPPRLFSFSTTATSSPQTLAPDPIATDGDPPPDPAAVYRELRAAQDGSAKLSRSEWEALVGVFRSFAKSPWTSDQALALYIPSSFFPTAARRFRLFFLRRCPPVAFRHLSALGPSLAADRFLFPIFAEFCLHEFPDELRGFRSLMESADLTRPHTWFPFARAMRRRVIYHCGPTNSGKTHNALVRFMEASSGIYCSPLRLLAMEVFDRVNATGVYCSLHTGQEKKTLPFSNHVACTIEMVSTEECYDVAVIDEVQMMADPTRGYAWTRALLGLKADEIHLCGDPSVLKVVENVCKETGDDLEVNRYERFKPLVVEAKTLLGNMTNVRSGDCIVAFSRREIFEVKMAVEKLTKHKCCVIYGALPPETRRLQASLFNDQDNEYDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDKMVPVPASQVKQIAGRAGRRGSVYPDGLATTFILDDLDYLIECLQQPFEEVKKVGLFPFFEQVELFAAQFPKATFCELLDKFRENCRLDGYYFLCQHDSVRKVANMLEKIPVLSLQDRFNFCFAPVNIRDPKAMYHLLRFASHYSQNRPVTIAMGMPKGSAKNDAELLDLETKHQVLSMYMWLSHHFKEDTFPYARKAETMATDIADLLGQSLAKVCWKPESRPQGRPRAPEQDVHDEAIPVSKDEYERSISLVQTFTMGKHNQSGQSNRSKGFVI >Ma02_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29281683:29281978:1 gene:Ma02_g24850 transcript:Ma02_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFGISGGRIGACGDDKRARLFIENMSDSDVDISRMRRKKGSTGQCACLVDAGGNRTMRPCLSTAVMFPVRRR >Ma05_p25280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37238675:37245684:1 gene:Ma05_g25280 transcript:Ma05_t25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSFLISLAVASCLAWGARSFGLSSELVHRFSDEARTVAASRGGCGRFPVRRSEEYYRMLARSDIRRLGARYKMLFPSEGSEAVSLGNDFGWLHYTWINIGTPNVSFLVALDAGSDLLWIPCDCIQCAPLSGYHGSLDKDLGLYNPAESRTSRYLSCSHELCSLRSSCGNLKQHCPYNIKYYSENTSSSGLLVEDILHLASTEDRASVQASVIIGCGRKQSGGYLDGIAPDGLLGLGFGDISVPSFLARNGLIQNSFSLCFRDDNSGRLLFGDEGLSSQQSTPFVPLDGKYITYIIAVESLCIGSQCPGKTSFHALVDSGSSFTFLPDNVYKRVTMEFDTQVNVSRLATDNESPWEYCYEASPLGMPAVPTVKLIFGGNKSFTAVNPIFLVYDNEGELTAFCLALQSSQETLGTIGQNFMTGYHMVFDRENLKLGWSQSDCRDLDNTRRMPLSSPPHNRPENPLPTNEQHSSPNTHAVSPVVAGRAPLANSTSVSKLIDAWYCLLLLLTQLAVFAIG >Ma02_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24660374:24663967:-1 gene:Ma02_g17940 transcript:Ma02_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGALYLLSYNSLQSLGWALALARVLSSYFSTKSVNGAYAFAGDLICFLQTISFVEVVHAAAGLVPSGVMLALMQWGGRTHFLLAILRQIPEVQGLPSVFITFMSWSMTEVIRYPHYALSCIGISLSWLTYLRYTAFIILYPIGIAPGEMWLMYQALPFIKERNLYADFFDRLSISYYHFVLAVLICYPALWLKLYLHLFKQRRSKLGKHQTKKKV >Ma10_p27080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34481063:34483902:-1 gene:Ma10_g27080 transcript:Ma10_t27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFADQFLRPVPAGLRRPPAALKPAGTFLHLRRPPARPVTRSVGPRRGCAVKSESVEKVGTDLKDLVEFLYDDLPHLFDEQGIDRTMYDDRVRFRDPITRHDTIDGYLFNIRLLKLLFRPDFYLHHVRQTGPNEITTRWTMVMRFTLLPWKPELVFTGISVMGVSPLTQKFCSHVDLWDSIQNNDYFSLEGLIDVLKQLRIYKTPELETPKYLILKRTANYEIRKYEPFVVVETEGDKLSGSSGFNNVAGYIFGKNSSTEKIPMTTPVFTQAVDDKLSKVSIQIVLPMDKDLGNLPSPNAEAVNLRKVEGGIAAVTKFSGKPSEEIVLSKERELRSAILKDGLRPQQGCLLARYNDPGSTNSFIMRNEVLIWLNDFTLE >Ma03_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9898955:9899820:-1 gene:Ma03_g12840 transcript:Ma03_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCDGGRERGLVEKREMEMEMEGLLPSKKAATRGKERPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTALFYLRGRTARLNFPDDISVDDGDAARGAAAAMSAALIRKKAAEVGAKVDALQLSGAAVFGAREDRRGEGDQKRFKNPDLNQAPSPESSGDDWSSSSGGDSSH >Ma07_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3072669:3076390:-1 gene:Ma07_g04080 transcript:Ma07_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGARLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISSNAFPGNKGPKKDSSSIVA >Ma07_p04080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3072669:3076383:-1 gene:Ma07_g04080 transcript:Ma07_t04080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGARLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISSNAFPGNKGPKKDSSSIVA >Ma07_p04080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3072669:3076378:-1 gene:Ma07_g04080 transcript:Ma07_t04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGARLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISSNAFPGNKGPKKDSSSIVA >Ma05_p25820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37642069:37643522:-1 gene:Ma05_g25820 transcript:Ma05_t25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNKRRSAAATTSSSPLPPPSAAKKRKPQPTPFTSEELMPLLRLLASAISGPLRFLSSADHLLLPSQSLSLDSSIESAAFSLSGLLSLLPPDSFPLDPPPPHSPWFSRFVAAFPADDRRWVESFRMSKLSFDGLLQSLSHSLQSDPSAAAAVPPDVKLGAALFRLAHAAPYRAVAWRFGLPSPDAACRAFYEVCKAITDRLVSLFELSSDLRRVVRGFEQLSLPNCCGVLGFARFAVEGPSRGGSVIAQGLVDSEGRFLDVSVGWHGSMLPAQIITRTKLYKAQALVLGLGSPMELNGGSVPQYLLGGSCCPLLPRLLTPFRGVDSSLNSSKAASFNNVHARGMELVYRSFGKLRARWQLLQTSWKKECADALPYVIVASCLLHNFLVKCGEPMDDEIETSMGELDFPDFEGKGDEDGERIREMLASHLSLVSSE >Ma09_p17960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:14643021:14650742:-1 gene:Ma09_g17960 transcript:Ma09_t17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGRNGNKRFHQRLAPLDRANNNVCYHWRAGRCNRHPCPFLHSELPPHQQQQQSVAPDGGAIPKRNNVWRNPGAASGPPSKWGKGRGGGAVGRPPGRASDRICHYFLAGNCTYGENCRFLHSWFISDSFSLLTPLQGHQKAVSGIALPSGSDKLYSGSMDESVRAWDCQTGQCVGVINMGGEVGCMISEGPWLFIGVRNAVKAWNTQTATELSLDGPSGQVYSLVVGNELLFAGTQNGRILAWKFSAVGNCFEPAAFFDGHQLSVVSLVVGALRLYSSSMDNTIRVWDLATFQCIQTLTDHTSVVMSVLCWDQFLLSCSLDKTIKVWVTTESGNLEVTYTHTEEHGVLALCGMHDAQAKPILLCSCNDNSVRFYDLPSFSERGKIFSKEEVRAIQIGPGGLFFTGDATGELKVWKWLTNEVANS >Ma09_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:14643021:14650742:-1 gene:Ma09_g17960 transcript:Ma09_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGRNGNKRFHQRLAPLDRANNNVCYHWRAGRCNRHPCPFLHSELPPHQQQQQSVAPDGGAIPKRNNVWRNPGAASGPPSKWGKGRGGGAVGRPPGRASDRICHYFLAGNCTYGENCRFLHSWFISDSFSLLTPLQGHQKAVSGIALPSGSDKLYSGSMDESVRAWDCQTGQCVGVINMGGEVGCMISEGPWLFIGVRNAVKAWNTQTATELSLDGPSGQVYSLVVGNELLFAGTQNGRILAWKFSAVGNCFEPAAFFDGHQLSVVSLVVGALRLYSSSMDNTIRVWDLATFQCIQTLTDHTSVVMSVLCWDQFLLSCSLDKTIKGVLALCGMHDAQAKPILLCSCNDNSVRFYDLPSFSERGKIFSKEEVRAIQIGPGGLFFTGDATGELKVWKWLTNEVANS >Ma01_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1171766:1172742:1 gene:Ma01_g01730 transcript:Ma01_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELPKLLLHLLFLFAHLRRLINWVFHCLGLGALLESEAQWHDTDAHAHYHQTGVCSLPAELIQESSPAIRFQELVTAQRGHRCLPESCAVCLYEFEGADEVRRMGNCRHVFHRHCVDRWLQHGQCTCPLCRAPLVPGELHADGSLSYDEGHLCSFPLPPAVSALRPLRLPAS >Ma08_p26380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38949527:38952866:-1 gene:Ma08_g26380 transcript:Ma08_t26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQGVGRRNTRELAAMASSSALRTLLLPLFLSFLSTLGSSSESDLLLTFKSSIEDPTLALSNWSPNSTTHCNWTGITCSNATSLVTSVDLHNLNLSGDISPSICHLPQLSHLNLANNFFNQLIPLQLSECAGLVTLNLSNNLLWGTLPDQIVLLSNLTTLDLSRNRIAGQIPLSLGSLGSLQVLNLGSNLFSGILHPPLFRNLSELVLLDLSQNPSLASELPQEIGRLAKLRWLLMQRSGLYGAIPQSFLGLRELKVLDLSQNNLTGKIPLGFGLAFLKLTTLDLSQNMLSGSFPTDVCYGKSLIELSLHDNSFTGPIPNIIEKCSSLERFQVHDNSFAGELPSGLWSLPELKLFRAENNQFSGELPDLVGVSSRLEQVQIDNNSFTGRIPRGLGLVHTMYRFSASLNGFSGNLPESIFDSPVLSIINLSHNSLTGSIPEFRNCRKLVSLSLADNSFTGNIPPSLGHLPVLTYIDISNNKLSGEIPLELQNLKLALFNVSFNQLSGSVPPSLVSGLPASFLQGNPGLCGPGLPNPCNVPPGRQRFNTNRLIWAVIVMSIAVGFMVLTAGLYVVYRLSRSKSNPCTWKSVFFYPLRITEEELLMALVEKNAIGEGAFGKVHVVQLPGGEFVAVKRLLNSSNLSFRKVKSEIKILAKARHRNLTKLLGFCYSKGTILLIFEYQRKGSLGDALRRPGFSFEWSLRLKLAIGSAQGLLYLHKDYVSQILHRNMKSNNILIGDDFEPKVSDFGLDRVIGETSYKSSVASELGSYCYMPPEYGCSSKKPSEEMDVYSFGVVLLELITGRPAEQPEAREMLDVVKLVRRKVNMTNGAPQILDPKISGTAQRDMLEVLELALRCTAILPEKRPSIIEVVRSLQSLEPIIYPPMCSGELLPSGQQ >Ma08_p26380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38949527:38952866:-1 gene:Ma08_g26380 transcript:Ma08_t26380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQGVGRRNTRELAAMASSSALRTLLLPLFLSFLSTLGSSSESDLLLTFKSSIEDPTLALSNWSPNSTTHCNWTGITCSNATSLVTSVDLHNLNLSGDISPSICHLPQLSHLNLANNFFNQLIPLQLSECAGLVTLNLSNNLLWGTLPDQIVLLSNLTTLDLSRNRIAGQIPLSLGSLGSLQNPSLASELPQEIGRLAKLRWLLMQRSGLYGAIPQSFLGLRELKVLDLSQNNLTGKIPLGFGLAFLKLTTLDLSQNMLSGSFPTDVCYGKSLIELSLHDNSFTGPIPNIIEKCSSLERFQVHDNSFAGELPSGLWSLPELKLFRAENNQFSGELPDLVGVSSRLEQVQIDNNSFTGRIPRGLGLVHTMYRFSASLNGFSGNLPESIFDSPVLSIINLSHNSLTGSIPEFRNCRKLVSLSLADNSFTGNIPPSLGHLPVLTYIDISNNKLSGEIPLELQNLKLALFNVSFNQLSGSVPPSLVSGLPASFLQGNPGLCGPGLPNPCNVPPGRQRFNTNRLIWAVIVMSIAVGFMVLTAGLYVVYRLSRSKSNPCTWKSVFFYPLRITEEELLMALVEKNAIGEGAFGKVHVVQLPGGEFVAVKRLLNSSNLSFRKVKSEIKILAKARHRNLTKLLGFCYSKGTILLIFEYQRKGSLGDALRRPGFSFEWSLRLKLAIGSAQGLLYLHKDYVSQILHRNMKSNNILIGDDFEPKVSDFGLDRVIGETSYKSSVASELGSYCYMPPEYGCSSKKPSEEMDVYSFGVVLLELITGRPAEQPEAREMLDVVKLVRRKVNMTNGAPQILDPKISGTAQRDMLEVLELALRCTAILPEKRPSIIEVVRSLQSLEPIIYPPMCSGELLPSGQQ >Ma10_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16491040:16495217:1 gene:Ma10_g05390 transcript:Ma10_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPFGDTTLTKVFIGGLAWETQKEALREHFDKYGDILEAVIISDKTTGRSKGYGFVTFKEPEAARKACEDAAPMINGRRANCNLASLGAKRGSLRPSPPTTPTPLPAHGYHPPPGMAVESRGVSTVPPAAPWSYPHTSGTPTPLSPFQLHHWHGVLPFYDAAAYGYPPNYVTDLGYNAFDEQKLGIIGGGRYFQGQFPYPAQGGMVVPNGMMPIYPLYHLQYHQGMGVHYSHCFPTTNAATGAAMTTIPTIAKPTTVPPPITAVCVGMERVSGGSHMVE >Ma10_p05390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16491040:16495217:1 gene:Ma10_g05390 transcript:Ma10_t05390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPFGDTTLTKVFIGGLAWETQKEALREHFDKYGDILEAVIISDKTTGRSKGYGFVTFKEPEAARKACEDAAPMINGRRANCNLASLGAKRGSLRPSPPTTPTPLPAHGYHPPPGMAVESRGVSTVPPAAPWSYPHTSGTPTPLSPFQLHHWHGVLPFYDAAAYGYPPNYVTDLGYNAFDEQKLGIIGGGRYFQGQFPYPAQGGMVVPNGMMPIYPLYHLQYHQGMGVHYSHCFPTTNAATGAAMTTIPTIAKPTTVPPPITAGMERVSGGSHMVE >Ma06_p30330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31637002:31637825:1 gene:Ma06_g30330 transcript:Ma06_t30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPLIYRTIKRKKSRRYYRCLSSGDAAAAFGVAESGSDGSTCMIPPPETHKAALEMAQLDIDKRTSTTIPVPSDKLWGSDQGRSHGHHRRYASLQEFSHVSFMETPPPPTRFSRARSHRVLACISGG >Ma11_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25465934:25470465:-1 gene:Ma11_g21220 transcript:Ma11_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASASLPSSKPFLGGSRTDGLSGLAFRLPSTVDQISIRRRISPAPRRLEVRAGGDKFGKHFEVTTYGESHGGGVGCIISGCPPRIPLSEEDLQFELDRRRPGQSRITTPRKETDTCRILSGLFEGTTTGTSICVFVPNTDQRGHDYSEMSIAYRPSHADATYDFKYGLRAIQGGGRSSARETIGRVAAGALAKKILKMYAGTEILAYVSQVHKVVLPEGVVDNETVTLDEIESNIVRCPDPEYAQKMIEAIDAVRVKGESVGGIVTCIARNVPRGLGCPVFDKLEADLAKAMLSLPATKGFEFGSGFAGTFLTGSEHNDEFYMDELGNVRTRTNRSGGIQGGISNGETIYMRIAFKPTSTIGKKQNTVTRDRHETELIARGRHDPCVVPRAVPMVEAMVALVLLDQLMAHTAQCGLFPSNPALQQQIVPAAPDGSLLTQETA >Ma07_p00500.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:451883:455712:1 gene:Ma07_g00500 transcript:Ma07_t00500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQVSVGTAQVEGRCVVVQKETQNLTDEMVEMIADIGIRYSDLTIAEEVGTGDIEEQLKELEKKILLRDSDTLPIQDQVLPQVSEYLKDVNEVWELGGVLRLLCLSNEDEKHNELLNFAEITLQMAMERLEDEFVQLLTQCCKPLEPDSMSLHSAEEDSMDNFSNSSFDEESVEAMSHSDTARESENVVIDLINHGLISDITAIANFMCLCNYEKECCQAYVIVRKDAVEECLSVLQFDRFNIEEVLKMGWNVLHRTIEKWKQAMQVFVRVCLARERHLCDLVFGELPGSIREPCFVDISNSSILQLLSIAMAIALAPRKPERLFQTLNTYEVLSDLLVDMEHFLPEDYGSGILTECHEVLLRLKESVSGTLEEFKYNIQSSISYTAFPGGGVHHLTKYVMNYIKALSAYAETLGSVLEGQQGTDQSSVMEDGDRETSSNQSPLVWHLKSVTKILEANLAHKSQLYSDVSLQSIFMMNNVCYMVDKVKQSDLRNFFGDEWIRAHIVMFQKHARDYERASWTSVLSFLKEEGIRRTSSRNPSSTVLKDRFRGFNHAFEEVYNAQTAWSVPNAGLRDDLRISVSTKLIQAYRIFESRHAGYLDGERHREKYIKYSPDELEEYLLDLFAGSPRSLQSQRR >Ma07_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:451883:456080:1 gene:Ma07_g00500 transcript:Ma07_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQVSVGTAQVEGRCVVVQKETQNLTDEMVEMIADIGIRYSDLTIAEEVGTGDIEEQLKELEKKILLRDSDTLPIQDQVLPQVSEYLKDVNEVWELGGVLRLLCLSNEDEKHNELLNFAEITLQMAMERLEDEFVQLLTQCCKPLEPDSMSLHSAEEDSMDNFSNSSFDEESVEAMSHSDTARESENVVIDLINHGLISDITAIANFMCLCNYEKECCQAYVIVRKDAVEECLSVLQFDRFNIEEVLKMGWNVLHRTIEKWKQAMQVFVRVCLARERHLCDLVFGELPGSIREPCFVDISNSSILQLLSIAMAIALAPRKPERLFQTLNTYEVLSDLLVDMEHFLPEDYGSGILTECHEVLLRLKESVSGTLEEFKYNIQSSISYTAFPGGGVHHLTKYVMNYIKALSAYAETLGSVLEGQQGTDQSSVMEDGDRETSSNQSPLVWHLKSVTKILEANLAHKSQLYSDVSLQSIFMMNNVCYMVDKVKQSDLRNFFGDEWIRAHIVMFQKHARDYERASWTSVLSFLKEEGIRRTSSRNPSSTVLKDRFRGFNHAFEEVYNAQTAWSVPNAGLRDDLRISVSTKLIQAYRIFESRHAGYLDGERHREKYIKYSPDELEEYLLDLFAGSPRSLQSQRR >Ma07_p00500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:451883:455332:1 gene:Ma07_g00500 transcript:Ma07_t00500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQVSVGTAQVEGRCVVVQKETQNLTDEMVEMIADIGIRYSDLTIAEEVGTGDIEEQLKELEKKILLRDSDTLPIQDQVLPQVSEYLKDVNEVWELGGVLRLLCLSNEDEKHNELLNFAEITLQMAMERLEDEFVQLLTQCCKPLEPDSMSLHSAEEDSMDNFSNSSFDEESVEAMSHSDTARESENVVIDLINHGLISDITAIANFMCLCNYEKECCQAYVIVRKDAVEECLSVLQFDRFNIEEVLKMGWNVLHRTIEKWKQAMQVFVRVCLARERHLCDLVFGELPGSIREPCFVDISNSSILQLLSIAMAIALAPRKPERLFQTLNTYEVLSDLLVDMEHFLPEDYGSGILTECHEVLLRLKESVSGTLEEFKYNIQSSISYTAFPGGGVHHLTKYVMNYIKALSAYAETLGSVLEGQQGTDQSSVMEDGDRETSSNQSPLVWHLKSVTKILEANLAHKSQLYSDVSLQSIFMMNNVCYMVDKVKQSDLRNFFGDEWIRAHIVMFQKHARDYERASWTSVLSFLKEEGIRRTSSRNPSSTVLKDRFRGFNHAFEEVYNAQTAWSVPNAGLRDDLRISVSTKLIQAYRIFESRHAGYLDGERHREKYIKYSPDELEEYLLDLFAGSPRSLQSQRR >Ma07_p00500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:451883:455332:1 gene:Ma07_g00500 transcript:Ma07_t00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQVSVGTAQVEGRCVVVQKETQNLTDEMVEMIADIGIRYSDLTIAEEVGTGDIEEQLKELEKKILLRDSDTLPIQDQVLPQVSEYLKDVNEVWELGGVLRLLCLSNEDEKHNELLNFAEITLQMAMERLEDEFVQLLTQCCKPLEPDSMSLHSAEEDSMDNFSNSSFDEESVEAMSHSDTARESENVVIDLINHGLISDITAIANFMCLCNYEKECCQAYVIVRKDAVEECLSVLQFDRFNIEEVLKMGWNVLHRTIEKWKQAMQVFVRVCLARERHLCDLVFGELPGSIREPCFVDISNSSILQLLSIAMAIALAPRKPERLFQTLNTYEVLSDLLVDMEHFLPEDYGSGILTECHEVLLRLKESVSGTLEEFKYNIQSSISYTAFPGGGVHHLTKYVMNYIKALSAYAETLGSVLEGQQGTDQSSVMEDGDRETSSNQSPLVWHLKSVTKILEANLAHKSQLYSDVSLQSIFMMNNVCYMVDKVKQSDLRNFFGDEWIRAHIVMFQKHARDYERASWTSVLSFLKEEGIRRTSSRNPSSTVLKDRFRGFNHAFEEVYNAQTAWSVPNAGLRDDLRISVSTKLIQAYRIFESRHAGYLDGERHREKYIKYSPDELEEYLLDLFAGSPRSLQSQRR >Ma07_p00500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:451883:455332:1 gene:Ma07_g00500 transcript:Ma07_t00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQVSVGTAQVEGRCVVVQKETQNLTDEMVEMIADIGIRYSDLTIAEEVGTGDIEEQLKELEKKILLRDSDTLPIQDQVLPQVSEYLKDVNEVWELGGVLRLLCLSNEDEKHNELLNFAEITLQMAMERLEDEFVQLLTQCCKPLEPDSMSLHSAEEDSMDNFSNSSFDEESVEAMSHSDTARESENVVIDLINHGLISDITAIANFMCLCNYEKECCQAYVIVRKDAVEECLSVLQFDRFNIEEVLKMGWNVLHRTIEKWKQAMQVFVRVCLARERHLCDLVFGELPGSIREPCFVDISNSSILQLLSIAMAIALAPRKPERLFQTLNTYEVLSDLLVDMEHFLPEDYGSGILTECHEVLLRLKESVSGTLEEFKYNIQSSISYTAFPGGGVHHLTKYVMNYIKALSAYAETLGSVLEGQQGTDQSSVMEDGDRETSSNQSPLVWHLKSVTKILEANLAHKSQLYSDVSLQSIFMMNNVCYMVDKVKQSDLRNFFGDEWIRAHIVMFQKHARDYERASWTSVLSFLKEEGIRRTSSRNPSSTVLKDRFRGFNHAFEEVYNAQTAWSVPNAGLRDDLRISVSTKLIQAYRIFESRHAGYLDGERHREKYIKYSPDELEEYLLDLFAGSPRSLQSQRR >Ma05_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20028116:20031759:1 gene:Ma05_g17330 transcript:Ma05_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAVSSSAAAALPSLSLSNLSNPNSNPNGSVDASKRKKAAAAPKRFVKSQIPESILSDPAINSAAAILPSNYDFEVHKTVHRLASAASRRPALQLPDGLLMYALPLADILLSASTLRLDDVLILADPTYGACCLDDYAASALAADQLIHYGHSCLVPVPSSRLPVLYVFVSIRVDVDRLVDAVLSTFPASSKLALAGTIQFVSAVQAAKSLLSAEGFDITVPQAKPLSAGEVLGCTAPTIPRSKGIEAIVFVADGRFHLEAFMIANPGVPAFRYDPYLGILVLEEYDHKGMKTARKDAILAAREAKRWGVILGTLGRQGNSRVLDRVVEHMEEKALEWTVVLMSEISPARIALFGDSVDAWVQIACPRLSIDWGEGFTKPMLTTFELDIALGYVPGWWEKERMRVSDNFDGEPVARKEETCSTSGCCRPNSSGCNCKSDDLQADYPMDYYSQDGGDWNSSYAKRKPQNSVAKLQNKGGQLELRT >Ma11_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4773462:4778402:-1 gene:Ma11_g05990 transcript:Ma11_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVRGVLKVINEKGVGGFIKHLREEGYMRCLLDGNLLQTKLHNIGATLIGVDKFGNLYYEKLDVQYGRHRWVEYAEKGRYNASQVPPEWHGWLHYVTDHTGDELLMLKPKRYGEEHRENFSGEGDAYIYHSKGHALNPGQRDWTRYQPWQPAKS >Ma03_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17869825:17870436:1 gene:Ma03_g16140 transcript:Ma03_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVQSFTWKNIITRFGIPRAIITDNGAQFNNAKFKAYCQSYGIQLKFSSVEHPQTNSQTEVMNQAILEGLKKRITGVHGAWVDELPSVLWAMWTTPKTASGESPFSLAFGIEAVLPPEVVFSTLRTSGYEQAGSEEGLRAHLDLLEERRAEVHLRTLAYKKAVARIYNRKVHPRPIKVRDLVLRKAEVSDPTRARGKLAPN >Ma10_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33187844:33189406:-1 gene:Ma10_g24710 transcript:Ma10_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLGYSDHLIPTGEERIMFQPFIDGVEDDEFMDEEMCSGGPRGAKKRRLSADQVRALEKNFEVDNKLEPERKVRLAQELGLQPRQVAVWFQNRRARWKTKQLEHDYAALKASYDQLRLDCDALRRDKESLLAEIKELRAKLAEDGSLSFCLGKEDPVASDAEQKATAPQEPPPLICKDGSSDSDSSAVLNDAILNDDDSPRGLSSSASAPNILSVAAIGYGTFSSFPASPPPLLNLDFRTLKPIGGLNHQNHAMKIEELLGVVEPCSGFFSDDQTPSPNWFY >Ma02_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25392349:25394654:-1 gene:Ma02_g19090 transcript:Ma02_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSGGAAADPAGSRSWLRDPAVEEEEEEEVAAEGIDFFDQLPDSLVLTVFNLIGDVKDLGRCCVVTRRFHDLVPLVDSVLVRVDCVISDGPSPSSPGGDKARGVFSHLARVVLGGLVKPLQALGQILGPSSAVTSSRRYSPSSSSSEVSHHSPAEVLKNFKEIRRLRIELPAGELGVDDGILLKWKAEFGSSLDSCVILGAASVVPSSSVSPTKPSSLDPKPCFQDACGDDDSGVIPESFYTNGNLKLRVFWTISSLIAASARHYLLQPIIADHETLESLELTDVDGQGVLTMNHEQLHEFRVKPVSASGSSQRTLVPALSIRLWYAPHLELPGGVVLKGATLVAVRPSEEKDRDAAIVGDCGGPVGSSDRCWISNAFEEPYRSAARMLVKRRTYSLEMNSF >Ma01_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19441278:19445292:-1 gene:Ma01_g20750 transcript:Ma01_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMAGGVSSSSMASSDTELSRQSVLLGSSFQHNGSGSEAAAHDMRCVKMAPVLIPNPGPLFPDGEQMLSFSSASGQASMMLNLDRTLPYHQHHPSASTSSTSSFLRNAGLDSGNFDVNMHGVRGPFAPSQWLELERQALIYKYIVAYVAIPPNLLIPIRTSLSPSGFSPFSVGSFGSSTLGWGSYHQRYTGNDDPEPGRCRRTDGKKWRCSKDAVADQRYCERHLNRGRHRSRKRVEGCTRHGSEAIAIVPLQSASAISGSRTSDNLPTSQHQAANLETNINGCCPAQFDRMPMSKGNVNERAQESEGLSMRGFLRSRPMSDLFPVSEEHNPFEETPSGVKLGHVSMDSLFNDSSSTSSDNISSYITTANLNDQQKRPHPLQCFNDESDHSNISWSGVEMQSDRTQSSISFPMACSPCNSSAEYDPINVGLRVGVPCKVSKCQTGWLPISPESSMAGPLGEVLNRTSSTIKQQINFLTDTCDFSPWLESSPTGVLQKVLFGSVSSSTGSSPGAENSKAHKSNGSLCEDVFGSTLEDLHTIPS >Ma06_p19210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13136557:13152535:-1 gene:Ma06_g19210 transcript:Ma06_t19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGSQHRCVFVGNIPYDATEDQLKQLCEEVGPVVSFRLVYDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNSDRNREQGHGGPGLAPSADVQKQFSGAPVLGDSTLHQPVGLPLAATAASVMAGALGGAQISNAQSVLPGQSGTGSDPLTHYLSRISRHQLHEILSEMKALATQNKALARQLMQASPQLAKALFQVQIMVGMAAQPVMQIANSGQSSISNPQNSSNVGLTSSQALGGKFPRPPESHIAVTSQSLGILHQATLPLQQVLVQPQYQLPLLPQGQVSQGTVVEKSGVATIPSRWPQSIGGVPHQPSLLSTSKGLISESEPLLPQQPLSTAIASLAHHPQLSLPNTALQQSILPHSLTSQTGSSNDPLLSAGLETLPKRVSTSSAIEDLTWHSRFRTQNLGVGLADQTRLTGNVSEPSNYHSKLRRLEDGSGVTQIVNGNSGINNSSLQALGTGIVAGSQMVVGDAVQHSKKQMPQLSPEVESALLQQVLSLTPEQLSSLPQEQQQQVLRLQQMLSAGKIV >Ma06_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13136557:13152535:-1 gene:Ma06_g19210 transcript:Ma06_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGSQHRCVFVGNIPYDATEDQLKQLCEEVGPVVSFRLVYDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNSDRNREQGHGGPGLAPSADVQKQFSGAPVLGDSTLHQPVGLPLAATAASVMAGALGGAQISNAQSVLPGQSGTGSDPLTHYLSRISRHQLHEILSEMKALATQNKALARQLMQASPQLAKALFQVQIMVGMAAQPVMQIANSGQSSISNPQNSSNVGLTSSQALGGKFPRPPESHIAVTSQSLGILHQATLPLQQVLVQPQYQLPLLPQGQVSQGTVVEKSGVATIPSRWPQSIGGVPHQPSLLSTSKGLISESEPLLPQQPLSTAIASLAHHPQLSLPNTALQQSILPHSLTSQTGSSNDPLLSAGLETLPKRVSTSSAIEDLTWHSRFRTQNLGVGLADQTRLTVAGSQMVVGDAVQHSKKQMPQLSPEVESALLQQVLSLTPEQLSSLPQEQQQQVLRLQQMLSAGKIV >Ma06_p19210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13136557:13152535:-1 gene:Ma06_g19210 transcript:Ma06_t19210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGSQHRCVFVGNIPYDATEDQLKQLCEEVGPVVSFRLVYDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNSDRNREQGHGGPGLAPSADVQKQFSGAPVLGDSTLHQPVGLPLAATAASVMAGALGGAQISNAQSVLPGQSGTGSDPLTHYLSRISRHQLHEILSEMKALATQNKALARQLMQASPQLAKALFQMQIANSGQSSISNPQNSSNVGLTSSQALGGKFPRPPESHIAVTSQSLGILHQATLPLQQVLVQPQYQLPLLPQGQVSQGTVVEKSGVATIPSRWPQSIGGVPHQPSLLSTSKGLISESEPLLPQQPLSTAIASLAHHPQLSLPNTALQQSILPHSLTSQTGSSNDPLLSAGLETLPKRVSTSSAIEDLTWHSRFRTQNLGVGLADQTRLTGNVSEPSNYHSKLRRLEDGSGVTQIVNGNSGINNSSLQALGTGIVAGSQMVVGDAVQHSKKQMPQLSPEVESALLQQVLSLTPEQLSSLPQEQQQQVLRLQQMLSAGKIV >Ma09_p30820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40743812:40749215:-1 gene:Ma09_g30820 transcript:Ma09_t30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFSAPSFSLGLDLDLADLPTEGEEEEEEQDRSPLPNPLSGIESPTLELFRDQRLEEREAIGPGIASDQEPIWGTPLPALKRLRRGPPPPRCRSNSLVAHSSLDDGGGELRDDSILLDDDIEEFSSPENNPSRDKSSSVRNHIAGSFSKFSLQNHGVLTGQSTTKLMVPKISRPFDVLPSAVSHEICNKKVFQNLSTPIRKIHLLSSDSDDSASEDKYKYKNKVVASKLRHQNLITENEQQRSLKYRKHLEDSFWKYFNPVNNINLATPALDEFCEEYFKSKKDPRPGQHREEDLTFCSSRVSGTKALADEIEGSHQQISISRNTKPQCNLTNPEPPAFQYFYHDDMRIQTLVKSRLCYFVPLGAELHGAEKQPGTENLNYMNQFSTTDVRSRACKTNKQGLNRSFRKGKRPKDASPKKSSDATGDWMTPKNCTAILKDAGKRRVHASGHQSGHWFTDQNGRKAYVTKNGQELTGRVAYRQYKKDSGGFRKPRKKSAAKKKTK >Ma03_p29450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32360254:32361891:1 gene:Ma03_g29450 transcript:Ma03_t29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDGSHAAMSRDIGRRKRTNRSAKLKQCKLDARREQWLSQVKSKDCVVRSRAPSDSPPLPHPTLSKELGRRPKEEEEEEEHRDEVGLDGSSFHGSEEGSPTHRSPLPGCPNNSISSGSSFGSSSRSISDAEVEEDDSEERGEDKGEVEDWEAFADALSADEPNPNPVATIPESTAAPGNTIKDRGEGLEKPDAKQAVHRAWRPDDAFRPRSLPNLLKQRSFPASMERHYAAAGWAQHGILSTPSSCPICYEDLDPTDSSFLPCNCGFRLCLFCHKRILEVDGRCPGCRKHYAPAVGGEVGTVRGMPPSTLRLSRSCSMSSRT >Ma11_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27825094:27828505:1 gene:Ma11_g25080 transcript:Ma11_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAPSPPEAYHSSSNKTEKEKSIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVVCPDCKSIKLTYFIMIFASVHLVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKQAKVEYGYKARSTAGTVLNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYIGVALCYIPVALVGYWAFGNGVDDNILITLEKPRWLIAMANMMVVVHVIGSYQIYAMPVFDMIETVLVKKLHFPPGLTLRLIARTVYVAFTMFVAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWTTNWICILLGVMLMILSSIGGLRQIVLQAKTYRFYS >Ma08_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3499754:3500971:1 gene:Ma08_g05110 transcript:Ma08_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVESLSAAYDAFVLAAEAVMEAKEQSDGRTAPATGVALEAFKQRWEMFRVACDEAEAFIESMKQRIGSECLVDEATGAACLQPGHPDAAPGIPPISAVRLEQMSKAVRWLVIELQHGSGAASATASASAAAHSHASAPFDARSPEDAGQ >Ma07_p26710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33389331:33390959:1 gene:Ma07_g26710 transcript:Ma07_t26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAKKTTSDQERRGKNGKDLLLGRFEVGKLLGAGSFAKVYVARNVLTDKLVAIKVLDKDKIVKGGYMGHIKREIAVLRRVRHPYIVQLFEVMATKTKIYLVMEYVRGGELFSRVNKGRLPEDNGRPYFQQLISAVAFCHARGVFHRDLKPENLLVDERGDLKVSDFGLSAVAEQKRADGLFHTFCGTPAYLAPEVLSRRGYDGAKVDVWSCGIILFVLMAGYLPFTDRSLLTMYRKIYMGVFRCPRWFSDDLVHFLHRLLDVNPQTRITIPEIMANPWFTKGFRRVEFYIEDNQLHSLGDPEDEQTQSNDEPYESGSESDCSVASCPASFSYEQREPRGPQSLTAFDIISFSKSFNLSGLFEETGETRFLSKEPVSEIVAKLEDIAKAMSFKVRRKACRISLEGTREGEKGPLTIGVEIYELTPSIVVVEVKKRAGDGQEYEEFCSKELKPGMQHLICESPPVAKTTSSS >Ma02_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20517303:20518558:-1 gene:Ma02_g11270 transcript:Ma02_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHVKWTSVESKISTNAAPISSSSSRSPSMASSSGAGTAVENKRARDIRKHPVYRGVRMRAWGKWVSEIREPRKKSRIWLGTFATPEMAARAHDAAVLSVKGAPAAANVLNFPELAGSLPRPASLSPRDVREAAARAAAMDMPRAAAAALVVEEDELEEIVELPRLGQDLFGSAHLAGEFVFHDSWDLWVYTPPRTEGEEDH >Ma09_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8557547:8569161:1 gene:Ma09_g12750 transcript:Ma09_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMHLARLFDSSRRANGGYSLEALTNDPKIMSSDDELKMGKIAMKSIFGKRKLKKDGSEGKLITLAPVDVLQREERISWICYSALGSISTFKLFDNLKAKLDLESWTLDGTFRGTMYDFYEEFWRPFGVLLVQMESEGMHVDRSYLLKIEKLAITEKQIASDKFRKWASKYCPDAKYMNVGSDAQIRQLFFGDTLRRNDTNKCLSKAKSFKVPNTENVIEDGRKSPSKCHTIVLNKICEDLQTDMYTAGGLPSVSGYALKVFAGKVSNNQNFLIDDASYQSGSDEEVNDDHDSTEEMTEMSYVLTHDEDTSDYGTAYKAFGGGKEGRAACQAIAALCDVCSIDSLISNFIVPLQGNGISCVNGRVHCSLTINAETGCLSARRPNLQVSVEEAKDTISLWYEERKEVLRWQKERKKEAIKSKCVRTLLGRPQHFPLVETVSNAQMGHILQAAINTPVQGSAADVAMCAMLEIDRNIRLKELGWRLLLQVHDEVILEGPTESAELAKAIVVECMSKPFYGTNFLEVDLVVDAKCAQSWYAAKC >Ma08_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1869838:1871234:-1 gene:Ma08_g02370 transcript:Ma08_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPTNKESAKGSAHPGEDESAASAENAYANFQGLLALARITGSGSNEARGACNKCGRVGHLTFQCRNFLSAKDAAAADKDKDAAEAAATAAFEQIKKTNGLKAESSEEEEEEDSDSSDSDVDPEIEKIIAARFDKKSKKRTADKREGDSEDNKHSQRRRGRSKKRSDKKRSGGDSESDEEDVKRKENRKRRHRGSYDDDEDDEEKRGHRHRKSRKDKKRRRSHRRRDDSDDYDDDSEEESNRHHRHHHRRRHGRRDDSGSDSGDEDSESSDDRKRRHHERRRKRRASSESGSESTRSEDSRRRKGKKHSKDKSRNHKHEEKN >Ma03_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15022195:15025103:-1 gene:Ma03_g15200 transcript:Ma03_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPLLRKEAAPDWAALPPELLALVAERLHHSVDYVRFRSVCSRWRAAARRIPFPPLLALPFDPDAAALPFFDPSEIASSAAVHSLPLPEARHQALLGSSRGWLFLIDEAGSVSLLNPFTRARFCLPPVTESLARASSLSVSKVRDRWVLDHRNGKHPVTLYHMRSTFISEATLSSSPSEGDECAVIIVAVLASSTKLAFCRIGDREWTLFNTALHNFVSSVAYCDGRFYAVDAFGEVCVCDVGISTRATYISSLMVPPERDSFRIIGLKKELLLVAHYMDGDFPHFKHHYEIYRTDIKRRMKWYRVDTIGGRTVFLSVHFNSNAIGGAFYGCKSNAMYFSEPIRGGREPSRSQIHKMAVMDVTNGCLEVISCNCNVQFSREVFWFTPCLY >Ma11_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7517172:7523715:1 gene:Ma11_g09310 transcript:Ma11_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDGTVGEKSWVVEIDGQSKKIDPLIENKQWSKQSIYRVPACIKKLNREVYTPQIVSFGPYHHGENDLMPMEEHKHRALLHFLKKAKKPFHEFMTAMEGEFERLQEAYQSLDERWKDKESFLRLMILDGCFMLEIMRVKTKKSSDHGYAYDDPVFSESGIHHNVPYIKRDMMMIENQLPLLVLDQLVLVEGLHRTAEKYVDELMQRFWEWNSTASLGQRLHPLGVLHSVLLKPCGQKNRDPKTPKMDTIIRSAVELHEAGIRFKTSESDSLLDIWFDNGVLSLPKLTVDDNTKYMFLNLMAFERLHVRAGNDVTSFVCFMDHIIDSAKDINLLHYKGIILNAVGSDEAAAELFNRLTKDVVLDPNSSFDK >Ma05_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:922906:925407:-1 gene:Ma05_g01520 transcript:Ma05_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVVQLPKRSHSDDAASIAVRMWSCGSRAIAGSLGPRSNLMKSSQATHPDCSDEDASPCASEEVGLECPICWEFFNIVENVPYVLWCGHTLCKNCILGLQWAVIKFPTLPIQLPLFISCPWCHHLSFRLVYRGQLKFPRKNFFLLWMVESMNSENARWCSAYIQDCHSVSNSNSRLSAESSDGSHRRNIRRTPQDMHSYHSHRTYHLNVGNHHQSSLRKSLAFLAYLTAKLPLVVIFLLIVLYAIPASVAILVLYILVTVLFGLPSFLILYFAYPGLDWLVREITT >Ma05_p01520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:922906:925407:-1 gene:Ma05_g01520 transcript:Ma05_t01520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCGSRAIAGSLGPRSNLMKSSQATHPDCSDEDASPCASEEVGLECPICWEFFNIVENVPYVLWCGHTLCKNCILGLQWAVIKFPTLPIQLPLFISCPWCHHLSFRLVYRGQLKFPRKNFFLLWMVESMNSENARWCSAYIQDCHSVSNSNSRLSAESSDGSHRRNIRRTPQDMHSYHSHRTYHLNVGNHHQSSLRKSLAFLAYLTAKLPLVVIFLLIVLYAIPASVAILVLYILVTVLFGLPSFLILYFAYPGLDWLVREITT >Ma05_p01520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:922906:925398:-1 gene:Ma05_g01520 transcript:Ma05_t01520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVVQLPKRSHSDDAASIAVRMWSCGSRAIAGSLGPRSNLMKSSQATHPDCSDEDASPCASEEVGLECPICWEFFNIVENVPYVLWCGHTLCKNCILGLQWAVIKFPTLPIQLPLFISCPWCHHLSFRLVYRGQLKFPRKNFFLLWMVESMNSENARWCSAYIQDCHSVSNSNSRLSAESSDGSHRRNIRRTPQDMHSYHSHRTYHLNVGNHHQSSLRKSLAFLAYLTAKLPLVVIFLLIVLYAIPASVAILVLYILVTVLFGLPSFLILYFAYPGLDWLVREITT >Ma11_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26621338:26621769:1 gene:Ma11_g23140 transcript:Ma11_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRVVHLDGQVEEYSRHVSAREVLAANPNHVLTNPRSQSVVRQILIVSPDSELKRGHFYFLVPASTLPEQKKRSRYTASPVTRSQSDDNYPTGILSEKRSVHRRRRNGRVGVWRPHLESICEDS >Ma01_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13358464:13361737:-1 gene:Ma01_g18060 transcript:Ma01_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGDMLSHIVGGGSHGVKVRGTVVLIRKNVLGFNDFGGTVIDNVLELLGRCISFQLISATVGDPNNGNRGVVGEEAYLEQHITLLPSLAAGETAYHVTFHCEEKNGIPGAVIVKNNLGSEFFLKTLTLEDFPGKGRIHFVCNSWVYPAGKYKYDRVFFANTTYLPGDTPLPLKLYREEELCNLKGDNVAGKLQEWDRVYRYDYYNDLGSPDKSKDLARPILGGTPEHPYPRRGRTGRPPTKTDPKSESRLPQLDLNIYVPRDEHFGHLKMADFLTYALKGVVAGVLPVLQAIADVTPKEFDSFEDVLKLYEGGLPVPHTPLLEELRQRVPFEMIRELLRVEGGQGLLKLPKPQVIQVDKTAWRTDEEFTREMLAGLNPVVIRRLEEFPPTSKLDPCKYGDHTSTITAAHIEHHLDGLTVHQALEQNKLFILDHHDAYIPYLNRINALAVKVYASRTLLFLRQDSTLKPLAIELSLPHPDGEQHGAVSKVYTPAESGVEGSIWQLAKAYAAVTDSGYHGLISHWLNTHAVMEPFVIATHRHLSVIHPIHKLLSPHYRDTMTINALARQTLIPAGGIFELTVFPGRYALELSSTVYKSWNFREQALPADLIKRGVAVKDRDDKLCLLIEDYPYAVDGLQIWHAIETWVGEYCAIYYPTNDVVKADAELQAWWKEVREVGHGDKKDEPWWPAMLTTSELIEACTTIIWIGSALHAAINFGQYPYAGYLPNRPTMSRRFMPEPGTPEYEELKKNPDKVFLKTITSQLLTVLGLSTIEILSNHASDEVYLGQRDTPEWTSDETAVKAFEGFGERLKAIEAEIMKRNGDPSLKNRNGPAKMPYTLLFPSSGVGITGRGIPNSISI >Ma11_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1189195:1194142:-1 gene:Ma11_g01690 transcript:Ma11_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAASAEKRYFCHQCNRTFTAAAAGGGLSCAHCHGDFVEEFDLSGPDPNPNPNPEPDPDRDLHFSFDDADAFSAIPSLLSALIDLAAPGGDRALESPPAEPGAEPMSPVAALRELIQTLSLGGASGAGGGGHRLVGNIGDYHVGPGLEQLIQQLAENDPNRYGTPPASKAAVEILPDVEVGEELLASDDAQCLVCMDPFEIGTVAKQMPCSHIYHKQCILRWLDLHNSCPVCRYELPTDDPEYEHYKAPRANVVNPGGASAAGAVTGEHEGNSSTPTAESSDIHGDPASHGL >Ma06_p07570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5378955:5392830:1 gene:Ma06_g07570 transcript:Ma06_t07570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDSLLEKVLSMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKTLWTWYEPYIKDDEEFSPGSNGRLTTMGVYVRDLLLGQYYFDTLFPRVPVPVVRQIVANLEKLKLPTKHCGVTGETSRQGSDDIARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRNLGPIHERSDGDDHRRSSPSIRRSSSRDRHDRDRSDRDRDHDRDHRDRDRRDHHRDRDHSERDRGRDSERRHEHERRGDRDRDSHRSKHSERDSGRRDHERSGRDADEYRHSNSRRSRSRSRSRSRSIHTRGADRPSPFGDENKEKTKAVSSNLAKLKDLYGDGSDKKSNEAADRLRKDTSTEEVIRLGGSTWR >Ma06_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5378955:5392830:1 gene:Ma06_g07570 transcript:Ma06_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDSLLEKVLSMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKTLWTWYEPYIKDDEEFSPGSNGRLTTMGVYVRDLLLGQVIHFGKCPTCSYEILGLHFLMEYSLRDQYITSLSTIKFKYYFDTLFPRVPVPVVRQIVANLEKLKLPTKHCGVTGETSRQGSDDIARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRNLGPIHERSDGDDHRRSSPSIRRSSSRDRHDRDRSDRDRDHDRDHRDRDRRDHHRDRDHSERDRGRDSERRHEHERRGDRDRDSHRSKHSERDSGRRDHERSGRDADEYRHSNSRRSRSRSRSRSRSIHTRGADRPSPFGDENKEKTKAVSSNLAKLKDLYGDGSDKKSNEAADRLRKDTSTEEVIRLGGSTWR >Ma10_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33110893:33112034:1 gene:Ma10_g24580 transcript:Ma10_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPVSKTCIAVLVLVSLAQVSMGSRKLASLVQQASDLLTYHNGEVMQGDIAISITWYGTFTPIQKSIISDFLLSLTPSSQTQPQPSTPSVRQWWNTIDRLYLEKAGKRKKKTNVVLANQVSNDKCSMGKSLKTSQIPELAAEAGPKKGGIALVFTAEDVAVEGFCMSRCGLHGSDRKTDSVYIWVGNSAAQCPGQCAWPFHQPAYGPQTPPLVAPNGDVGADGMVINLASMLAGAVTNPFGDGFFQGPREAPLEAATACPGVYGKGTYPGYAGGLLVDPITGASYNANGVHGRKYLVPALFDPSTSTCSTLV >Ma02_p24160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28869357:28870745:-1 gene:Ma02_g24160 transcript:Ma02_t24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKGAVQECDPSCSSAQNLHGGVGEAMEVAGRRKRRCGRSIKNREEVESQRMAHIAVERNRRRQMNEYLAVLRSLMPSFYVQRGDQASIVAGAINYVKELEQLLRSMEVEKRLKRRTDAAAVASAFAGFFSFPQYSSFFSGGGGGNGNDDNSGKRHGISHSGAFYRNTGEIENQTATANIEVTMLESHANLKLLSRRRPRQLLRLVAGLQSLRLVPLHLNVTSVDRIVMYSFSLKVYRALRLQSTSLRFRISSEPALFGFDRQVEDDCRCTSADEIAEAIHRMLIRIEEEANL >Ma02_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28869357:28870745:-1 gene:Ma02_g24160 transcript:Ma02_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKGAVQECDPSCSSAQNLHGGVGEAMEVAGRRKRRCGRSIKNREEVESQRMAHIAVERNRRRQMNEYLAVLRSLMPSFYVQRGDQASIVAGAINYVKELEQLLRSMEVEKRLKRRTDAAAVASAFAGFFSFPQYSSFFSGGGGGNGNDDNSGKRHGISHSGAFYRNTGEIENQTATANIEVTMLESHANLKLLSRRRPRQLLRLVAGLQSLRLVPLHLNVTSVDRIVMYSFSLKVEDDCRCTSADEIAEAIHRMLIRIEEEANL >Ma02_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25332848:25333632:-1 gene:Ma02_g19030 transcript:Ma02_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARWLWTNLYKKSFLKNICQCSLIKDKQGHQYTPREFYQQFHKVWGQLMKTGYQSSCLAHQVERFAYLYTRQVTNLSLHSLDKYYSPNEDYMPHEFDILGPV >Ma05_p31760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41546694:41547164:-1 gene:Ma05_g31760 transcript:Ma05_t31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQAQAFRWHYDDLDDKNFHVHGGALLNLILLISVSVLLSSTLLCLLVRWAYRHSRRTAETGSVSMAPAAGLEPQIIGSFPVHLHRASGTGEEAQCSICLSSVLEGDKMKVLPSCGHGFHVECIDEWLRAQTSCPLCRASLGESSQAAEQEVVA >mito2_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:592992:594706:1 gene:mito2_g00110 transcript:mito2_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPTCILLHWALQTKTILCPPSSNCLLFTR >Ma09_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34786023:34786706:-1 gene:Ma09_g22910 transcript:Ma09_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRKSLVKRPRLILELLQEKLDSGSHTLSIDAKTLRFYRIADSDSERPLPSAR >Ma06_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10159519:10160961:1 gene:Ma06_g14900 transcript:Ma06_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATMEPGAFERLSPSRFVSFVFPNPQPGDTYADPLRVAVLDSPLPTPAPPPCTAAMLVPPGREDDWIFSTAAGHLQLLLSSSSDDRPLARLLLVGDVPSSSAKPYFRPQSDADSDRLLRFQQRLLPLILALSPKAAFCDGLPDIPFLSFEDDVLRLTPVEMLVGPVAGEMLVEDVELDRSPSAMPELRRRLRFKRMPNLVQSQVRLVLDSSSSSSSPGSFRPETGSLVQPYLKPMVAGLSLIAPAVGRQVRSGLMPRALCVGVGGGALLMSLRSSFGFDVVGIEADDVVLNVARQHFGLADDDFLKVGVGDGLVLIKYLSTLKTGKDLNSSYGVSDHNFIALLGDHSSGFDAVMVDLDSEDPGSGVYAPPLEFPERSILIDVRTILKDHGIVVVNVIPPCPSFYKQMIDIFGKVFAELYEIDVGNGENYVLVASVSPVEIAASLTEDPFLNKLKEVVGDRYVGAVRRIC >Ma05_p03930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2940703:2947431:1 gene:Ma05_g03930 transcript:Ma05_t03930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKSPSDPSCSFNLPALTTDESAPQSLAIPEETPTPNFSIRDYVFASRSKSIGTSWPFKQHFLQLCLKHGVKDLLPPFEPPGLVRFRCCRKGIEPGRPVACSAVEPILPHVDAQGDDPGIRQDPCSLLENPLPDGNSIACQGISHDHVDAEIGLITSDDHVNVTSGEIGGLSCSLAVNRGASEAHSEIDIVEPTKRLESSREVLGKRCKLVVKLGIISENSRAEDVISNSSTVSDPMASKVCPVCKTFSSTSNTTLNAHIDQCLSMESNTELVSSKFLKPKVKPGKKRLMVDIYTTAPHCTLEDLDKRNGTNWAVELAFATAPTAAIDIETKKRKLLPTDSSDDLNEGAVYVDSNGIKLRILSKPSDTAQPKEELKVRIHEKVTETSKSIFNSKKKHVTAKYSKKMKMKAQSKKLSSFKLLKKQIRTASKGDHNTETHPDNVESLLHISDPGELTKCSRSASLRQWICSRRSHVSKKLVRKNVHSTTDAAVPVTRSRLAECSQLDAGNSFAAISHHLKLSRSSEDLANFQKSNKNNLQFKMVHSMDVGMEKSESSPISSSGWSPNNSVENGRLLRISKSSVNLVATSRRKTNEIHMGIHHQSDSFSEKTNMTAEICSTSMNVHTVSNLKKNNSLRRSPLNLESRKVDLSEKLSSCKRFRKHRSIFRSGRSGAEFQSTASGLHGSGVDILGTRESPGSCELDHSKSVTVSRVREMMNSESPSRKDLPESTERDDRSTTEEWKNSTLKKPWPGTECTGPDVQNLDMQVEVLGNENYVSEPSTRVNSGNPLSNDTVTSENLQAACGSKLEPPPLVEHVQSTSKSEVHVERFVQRSEKQELSCGDISRQENNGHNIQIADKMELTRGKDTCVVYPTNCTVDTMSIQDSSGCLTSHGDMESEIPEKSTSITSVRTIEKGAMNLASDNEPCGSPVSTASTISLPSPKNSNCLDSVAEPFASAINAQDKFGSVVPITENTIVVAEGRDNERKNQELKVNLPANEPDRSVDDKPFCWSCRESLSRDSQLLRQSTTLRTARGKQVSNLFARPRVSSSFSSYQNCKSNNMVSSGLQPSALSTSAGKVPTCSDLGSGTPSSHSENQSNSNPILRLMGKNLMVGNNEEFVRPQSAVLDYAPRATILSSLGYASTNNLLEQENFRCHHQIFGGSAAFDPAVSMGGHQFPVFMLPSTRVAGFSVTPLHTAFVPRPDRQTQQKNGYRRPNTSQASHMMNEVIVIDDSPETDNKPVTSLRSPTSTLPFATSGLNHLSQRPMSYFSSRSHIRDLPGGPRHLLPNPYTGVNASLMKRGGTLEGHSGLPPGPFVFQSATTAHMRPSVCYSRTLR >Ma05_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2940724:2946922:1 gene:Ma05_g03930 transcript:Ma05_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKSPSDPSCSFNLPALTTDESAPQSLAIPEETPTPNFSIRDYVFASRSKSIGTSWPFKQHFLQLCLKHGVKDLLPPFEPPGLVRFRCCRKGIEPGRPVACSAVEPILPHVDAQGDDPGIRQDPCSLLENPLPDGNSIACQGISHDHVDAEIGLITSDDHVNVTSGEIGGLSCSLAVNRGASEAHSEIDIVEPTKRLESSREVLGKRCKLVVKLGIISENSRAEDVISNSSTVSDPMASKVCPVCKTFSSTSNTTLNAHIDQCLSMESNTELVSSKFLKPKVKPGKKRLMVDIYTTAPHCTLEDLDKRNGTNWAVELAFATAPTAAIDIETKKRKLLPTDSSDDLNEGAVYVDSNGIKLRILSKPSDTAQPKEELKVRIHEKVTETSKSIFNSKKKHVTAKYSKKMKMKAQSKKLSSFKLLKKQIRTASKGDHNTETHPDNVESLLHISDPGELTKCSRSASLRQWICSRRSHVSKKLVRKNVHSTTDAAVPVTRSRLAECSQLDAGNSFAAISHHLKLSRSSEDLANFQKSNKNNLQFKMVHSMDVGMEKSESSPISSSGWSPNNSVENGRLLRISKSSVNLVATSRRKTNEIHMGIHHQSDSFSEKTNMTAEICSTSMNVHTVSNLKKNNSLRRSPLNLESRKVDLSEKLSSCKRFRKHRSIFRSGRSGAEFQSTASGLHGSGVDILGTRESPGSCELDHSKSVTVSRVREMMNSESPSRKDLPESTERDDRSTTEEWKNSTLKKPWPGTECTGPDVQNLDMQVEVLGNENYVSEPSTRVNSGNPLSNDTVTSENLQAACGSKLEPPPLVEHVQSTSKSEVHVERFVQRSEKQELSCGDISRQENNGHNIQIADKMELTRGKDTCVVYPTNCTVDTMSIQDSSGCLTSHGDMESEIPEKSTSITSVRTIEKGAMNLASDNEPCGSPVSTASTISLPSPKNSNCLDSVAEPFASAINAQDKFGSVVPITENTIVVAEGRDNERKNQELKVNLPANEPDRSVDDKPFCWSCRESLSRDSQLLRQSTTLRTARGKQVSNLFARPRVSSSFSSYQNCKSNNMVSSGLQPSALSTSAGKVPTCSDLGSGTPSSHSENQSNSNPILRLMGKNLMVGNNEEFVRPQSAVLDYAPRATILSSLGYASTNNLLEQENFRCHHQIFGGSAAFDPAVSMGGHQFPVFMLPSTRVAGFSVTPLHTAFVPRPDRQTQQKNGYRRPNTSQASHMMNED >Ma05_p03930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2940724:2947405:1 gene:Ma05_g03930 transcript:Ma05_t03930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKSPSDPSCSFNLPALTTDESAPQSLAIPEETPTPNFSIRDYVFASRSKSIGTSWPFKQHFLQLCLKHGVKDLLPPFEPPGLVRFRCCRKGIEPGRPVACSAVEPILPHVDAQGDDPGIRQDPCSLLENPLPDGNSIACQGISHDHVDAEIGLITSDDHVNVTSGEIGGLSCSLAVNRGASEAHSEIDIVEPTKRLESSREVLGKRCKLVVKLGIISENSRAEDVISNSSTVSDPMASKVCPVCKTFSSTSNTTLNAHIDQCLSMESNTELVSSKFLKPKVKPGKKRLMVDIYTTAPHCTLEDLDKRNGTNWAVELAFATAPTAAIDIETKKRKLLPTDSSDDLNEGAVYVDSNGIKLRILSKPSDTAQPKEELKVRIHEKVTETSKSIFNSKKKHVTAKYSKKMKMKAQSKKLSSFKLLKKQIRTASKGDHNTETHPDNVESLLHISDPGELTKCSRSASLRQWICSRRSHVSKKLVRKNVHSTTDAAVPVTRSRLAECSQLDAGNSFAAISHHLKLSRSSEDLANFQKSNKNNLQFKMVHSMDVGMEKSESSPISSSGWSPNNSVENGRLLRISKSSVNLVATSRRKTNEIHMGIHHQSDSFSEKTNMTAEICSTSMNVHTVSNLKKNNSLRRSPLNLESRKVDLSEKLSSCKRFRKHRSIFRSGRSGAEFQSTASGLHGSGVDILGTRESPGSCELDHSKSVTVSRVREMMNSESPSRKDLPESTERDDRSTTEEWKNSTLKKPWPGTECTGPDVQNLDMQVEVLGNENYVSEPSTRVNSGNPLSNDTVTSENLQAACGSKLEPPPLVEHVQSTSKSEVHVERFVQRSEKQELSCGDISRQENNGHNIQIADKMELTRGKDTCVVYPTNCTVDTMSIQDSSGCLTSHGDMESEIPEKSTSITSVRTIEKGAMNLASDNEPCGSPVSTASTISLPSPKNSNCLDSVAEPFASAINAQDKFGSVVPITENTIVVAEGRDNERKNQELKVNLPANEPDRSVDDKPFCWSCRESLSRDSQLLRQSTTLRTARGKQVSNLFARPRVSSSFSSYQNCKSNNMVSSGLQPSALSTSAGKVPTCSDLGSGTPSSHSENQSNSNPILRLMGKNLMVGNNEEFVRPQSAVLDYAPRATILSSLGYASTNNLLEQENFRCHHQIFGGSAAFDPAVSMGGHQFPVFMLPSTRVAGFSVTPLHTAFVPRPDRQTQQKNGYRRPNTSQASHMMNEVIVIDDSPETDNKPVTSLRSPTSTLPFATSGLNHLSQRPMSYFSSRSHIRDLPGGPRHLLPNPYTGVNASLMKRGGTLEGHSGLPPGPFVFQSATTAHMRPSVCYSRTLR >Ma05_p03930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2940654:2947405:1 gene:Ma05_g03930 transcript:Ma05_t03930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKSPSDPSCSFNLPALTTDESAPQSLAIPEETPTPNFSIRDYVFASRSKSIGTSWPFKQHFLQLCLKHGVKDLLPPFEPPGLVRFRCCRKGIEPGRPVACSAVEPILPHVDAQGDDPGIRQDPCSLLENPLPDGNSIACQGISHDHVDAEIGLITSDDHVNVTSGEIGGLSCSLAVNRGASEAHSEIDIVEPTKRLESSREVLGKRCKLVVKLGIISENSRAEDVISNSSTVSDPMASKVCPVCKTFSSTSNTTLNAHIDQCLSMESNTELVSSKFLKPKVKPGKKRLMVDIYTTAPHCTLEDLDKRNGTNWAVELAFATAPTAAIDIETKKRKLLPTDSSDDLNEGAVYVDSNGIKLRILSKPSDTAQPKEELKVRIHEKVTETSKSIFNSKKKHVTAKYSKKMKMKAQSKKLSSFKLLKKQIRTASKGDHNTETHPDNVESLLHISDPGELTKCSRSASLRQWICSRRSHVSKKLVRKNVHSTTDAAVPVTRSRLAECSQLDAGNSFAAISHHLKLSRSSEDLANFQKSNKNNLQFKMVHSMDVGMEKSESSPISSSGWSPNNSVENGRLLRISKSSVNLVATSRRKTNEIHMGIHHQSDSFSEKTNMTAEICSTSMNVHTVSNLKKNNSLRRSPLNLESRKVDLSEKLSSCKRFRKHRSIFRSGRSGAEFQSTASGLHGSGVDILGTRESPGSCELDHSKSVTVSRVREMMNSESPSRKDLPESTERDDRSTTEEWKNSTLKKPWPGTECTGPDVQNLDMQVEVLGNENYVSEPSTRVNSGNPLSNDTVTSENLQAACGSKLEPPPLVEHVQSTSKSEVHVERFVQRSEKQELSCGDISRQENNGHNIQIADKMELTRGKDTCVVYPTNCTVDTMSIQDSSGCLTSHGDMESEIPEKSTSITSVRTIEKGAMNLASDNEPCGSPVSTASTISLPSPKNSNCLDSVAEPFASAINAQDKFGSVVPITENTIVVAEGRDNERKNQELKVNLPANEPDRSVDDKPFCWSCRESLSRDSQLLRQSTTLRTARGKQVSNLFARPRVSSSFSSYQNCKSNNMVSSGLQPSALSTSAGKVPTCSDLGSGTPSSHSENQSNSNPILRLMGKNLMVGNNEEFVRPQSAVLDYAPRATILSSLGYASTNNLLEQENFRCHHQIFGGSAAFDPAVSMGGHQFPVFMLPSTRVAGFSVTPLHTAFVPRPDRQTQQKNGYRRPNTSQASHMMNEVIVIDDSPETDNKPVTSLRSPTSTLPFATSGLNHLSQRPMSYFSSRSHIRDLPGGPRHLLPNPYTGVNASLMKRGGTLEGHSGLPPGPFVFQSATTAHMRPSVCYSRTLR >Ma08_p34470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44436761:44441028:1 gene:Ma08_g34470 transcript:Ma08_t34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEHGFLEELLSLRRDAWDSFPAGMGEFFSCEGNMDCFQQSSALVPPSFTAFDGGVAMTVDPNFDCLSELYCPLGGGVYTAATTAAPEIQTSSVRSTLDDGELGLVHGEWQSACKVEVAQSGEAPSMFELSGCVERKQKKKLEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIKSLQEEIDGSPEQASLLSIFKELNSNEALVRNSPKFEVERRDNDTRIEICCAAKPGLLLSTVSTLEALGLEIQQCVVSCFSDFGMQASCSEDMDQRAVVSAEDVKQALFRNAGYGGRCL >Ma08_p34470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44439340:44441028:1 gene:Ma08_g34470 transcript:Ma08_t34470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEHGFLEELLSLRRDAWDSFPAGMGEFFSCEGNMDCFQQSSALVPPSFTAFDGGVAMTVDPNFDCLSELYCPLGGGVYTAATTAAPEIQTSSVRSTLDDGELGLVHGEWQSACKVEVAQSGEAPSMFELSGCVERKQKKKLEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIKSLQEEIDGSPEQASLLSIFKELNSNEALVRNSPKFEVERRDNDTRIEICCAAKPGLLLSTVSTLEALGLEIQQCVVSCFSDFGMQASCSEDMDQRAVVSAEDVKQALFRNAGYGGRCL >Ma10_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29751615:29753210:1 gene:Ma10_g19030 transcript:Ma10_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMDVYSSMSAFLSTDPSREALEPCIHGAPTSSFSSTASSTFPQPLCFPSLSSSPPFSSLFHQNPIFFLSSPLSSSAQMVPQHVQAQFQYQQQLMAASSDRRSLRSGNLLAPHSRSMKRAGAPPSLPKPTKLFRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDRAAFKLRGDAARLNFPELRRDGAHVGLPLHSSVDAKLQAICETLKISRKQGSTSPSSVIRYQAGTNDELGDSGLEDIKSESSLSLEEDESSSGSSAASAIQYLDFSEAPWDESESFVLHKYPSWEINWDSILSSY >Ma07_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31829001:31832285:-1 gene:Ma07_g24460 transcript:Ma07_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMSATSGRGSSLHLRRPWPVKKGEASSATATAVVGWRRKGKVKGLCAEVSGGGRKQVSVDRVNGRKVNGVVHGSEAPSSMGTGTAVFGAGDGGGMVSVNAFKLGRFVEDRFVYRQTFVIRSYEIGPDKTATMETLMNLLQETALNHVMSSGLASDGFGATHEMSLRKLIWVVTRINIQVDKYSRWGDVVEIDTWVAASGKNGMRRDWIIRDYSTQQIITRATSNWVMMNRETRRLSKTPEQVREEVKPFYLDRRVFLYGSCDNEKINKLTKDTAENIRSGLEPRWSDMDVNQHVNNVKYIGWILESVPMNVLEDYHLTSMTLEYRRECRQSNLLESLTSMTTGETEEYPTSISSCKAVLGSTHLLRLQEDKAEIVRARAEWQRKDCI >Ma09_p30270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40480713:40481576:1 gene:Ma09_g30270 transcript:Ma09_t30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKQGHLNGAYYGPPVPPTQSYHSVGSRSSCGPCCLLSTLFKFIISIVIIVGIIVLVVWLVFRPHEVKVYVGTASLTQFAFSSNNNSLQYNLNLDMSIRNPNKRISIYYDYVEARALYDGSRFDYDVLPTFYQGHKNTTMLYPAFQGTNLLLGDSVATTYNREKQEGYYYVDVKLYTRLRLKVWIFKIHYNKQKIDCSLKLPVPGSSGKFEGTKCDVDLF >Ma05_p25220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37215688:37217053:-1 gene:Ma05_g25220 transcript:Ma05_t25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRILSCFGGGESPSSTAAGPSLTTSIYETRLGLAALTWSRGVLGVTLCADLYLSDEDEEPLRFRVRPWLLWKRRGSRRFRLRDHPPHRFVEFAWDLSRASFRPSGAGGWPEPVDGFFFAVAVDGEMLLVSGDLAEEAYQKIRAQRPKYPFRNPAPAARRERVVLGDSCGRRSYRTMVRFGGRAREISIDLGAKGREREAGMAVAVDGESVLHVRRLRWKFRGSEKVETECGARMQVSWDLHDWLFHPKDNAASSGGAAPATAESERAVFVFRFAEDPKPAEGHFGTAFGGRQEGHFGDSVYKGTLHGGNSGKTRNWSESSSSNGGSVAERMTRRRRRKSLLKTSSMSSSTSSASSASNSTVMEWASQEEVELQKPDGFSLLVYICKS >Ma02_p21000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26768329:26772732:1 gene:Ma02_g21000 transcript:Ma02_t21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKEFENKLGIKITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIQFHNAHGGEATILVTQVNEPSKYGVVVMDEETGKVERFVEKPKAFVGNKINAGIYLLNPSVLDRIQLRPTSIEKQVFPQIAADQKLFAMVLPGFWMDIGQPKDYITGLRLYLDALRKKSPSKLAAGSHVVGNVLVHETAVVGEGSLIGPDVSVGPGCVVEAGVRLSRCTVMRGTRIKKHACVSGSIIGTHSTVGQWARIENMTILGEDVHVGDEIYSNGGVVLPHKEIKSSILKPEIVM >Ma02_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26768333:26772732:1 gene:Ma02_g21000 transcript:Ma02_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKEFENKLGIKITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIQFHNAHGGEATILVTQVNEPSKYGVVVMDEETGKVERFVEKPKAFVGNKINAGIYLLNPSVLDRIQLRPTSIEKQVFPQIAADQKLFAMVLPGFWMDIGQPKDYITGLRLYLDALRKKSPSKLAAGSHVVGNVLVHETAVVGEGSLIGPDVSVGPGCVVEAGVRLSRCTVMRGTRIKKHACVSGSIIGTHSTVGQWARIENMTILGEDVHVGDEIYSNGGVVLPHKEIKSSILKPEIVM >Ma07_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4360289:4362773:1 gene:Ma07_g06020 transcript:Ma07_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGKKRREKNYRSAHGGDTRLPPPPSVKEMEAIPSKLRKIMELKNLNSSSAKPGPAPTPKDAGKEKRKERSAVEKKVNTKEEPSSEFSSVTKEGIQGMKDKDIDDKDATTTPSLGNERKRKRKKNAPKDLRFQELDQAAATTRKKKRKEYLEARRKKNKKAKTDGVVDFPGREEIKFGEVVEAPPKLSVPKKSLKIPHDAFHERIRLEAIEAYRNQRGWTSRPGVQIPVLQNPSS >Ma07_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30931923:30933477:1 gene:Ma07_g23180 transcript:Ma07_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSQCCDKVGIKRGPWTQEEDTVLVSYIQKHGPGNWRSVPANTGLMRCSKSCRLRWTNYLRPGIKRGNFTKSEEGLIVHLQSLLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKIEKHQLATTGCTTSSDSNNGCPEIMTSRCHNNNASGNSCHSNLPSSDLSSSSYASSTHNISRLLQGWTRCSPKVKPTAPDQDLTCHGIQMKVDQESCHPLFNEELKQLLPAWEEPSAEASFYGSQSTPACADGKKRFDAQNPPLAALENWLLDEAARQVDLSVGYIDSISHAFI >Ma03_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26739746:26743005:1 gene:Ma03_g21820 transcript:Ma03_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAAAAPRGSSSKTESYVDTKRRDDVRQANILAARAVADAVRTSLGPKGMDKMIASANGEVIITNDGATILNKMEVLQPAAKMLVDLSRSQDAAAGDGTTTVVVLAGSLLRCSLSLLSAGVHPTAVSDALHRLALRTVDILHGMAIPLELSDRDALIKSAATSLNSKVVSQYSSLLAPLAVDAVLFVVDPAHPDLVDLRDVKIVKKLGGTVDDTELVKGLVFDKKVSHSAGGPTRVENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILREERNYILGMVKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFVTKTLNCLPIANIEHFREEKLGFANCVEEVSVGDGKIVKITGIKDMGRTTTVLVRGSNQLVLDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIEMSRQLGAWAKELQGMESYCIKEFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINTGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIITVR >Ma08_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17129356:17131132:1 gene:Ma08_g16170 transcript:Ma08_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWLSFTVFRDRCHRRAFLAAGLRPSATALADGATVHCWVPARPDPSRSPLLLLHGFGATAIWQWSAHLRPLLGAGFDLYVPDLLFFGVSAAPGPDRSESYQAQCIMAAMEAAGVRRFGLVGVSYGGFVAYRMAAMYPTAVERVVLCCAGVCLEERDLAAGLFVVSDLREAIEILLPQRPEKLRQLVRLSFVRPQLVMPSVFLRDYIQVMCKDYVKEKTELIQAVFNERKHSDLPKINQPTLIFWGEQDQIFPLELAHRLQRHLGDNSQLAVISNAGHAVNLEKPKELCEHIIAFFLDSPQGSHNGQDVPSPNSQKNINFNQD >Ma02_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20659541:20659928:-1 gene:Ma02_g11540 transcript:Ma02_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGLKYFSPVSLFDEESTISWIPCGRKLACSYPGIEFFYRPDTCYSIEVSVSETDGEFDRLDELIYVERHPSNLSTKFYGEVSRPMVRHAELASSGRSLG >Ma11_p01850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1327517:1332056:1 gene:Ma11_g01850 transcript:Ma11_t01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVGGLAKPQSLPERTPMASKKINIGHFSAHKESQWSSSPEAMENNISISHSLETNFSLAPNAANEIMMLPEENHNNLFTNQAAIAWNEMRREWVGDQSKRSHMAPREPTISWSTTYEDLVSTTQPFPQPIPLSEMVDFLVDVWHEEGLYD >Ma11_p01850.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1327517:1332056:1 gene:Ma11_g01850 transcript:Ma11_t01850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKINIGHFSAHKESQWSSSPEAMENNISISHSLETNFSLAPNAANEIMMLPEENHNNLFTNQAAIAWNEMRREWVGDQSKRSHMAPREPTISWSTTYEDLVSTTQPFPQPIPLSEMVDFLVDVWHEEGLYD >Ma11_p01850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1327517:1332056:1 gene:Ma11_g01850 transcript:Ma11_t01850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVGGLAKPQSLPERTPMASKKINIGHFSAHKESQWSSSPEAMENNISISHSLETNFSLAPNAANEIMMLPEENHNNLFTNQAAIAWNEMRREWVGDQSKRSHMAPREPTISWSTTYEDLVSTTQPFPQPIPLSEMVDFLVDVWHEEGLYD >Ma11_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1327517:1332056:1 gene:Ma11_g01850 transcript:Ma11_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVGGLAKPQSLPERTPMASKKINIGHFSAHKESQWSSSPEAMENNISISHSLETNFSLAPNAANEIMMLPEENHNNLFTNQAAIAWNEMRREWVGDQSKRSHMAPREPTISWSTTYEDLVSTTQPFPQPIPLSEMVDFLVDVWHEEGLYD >Ma11_p01850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1327517:1332049:1 gene:Ma11_g01850 transcript:Ma11_t01850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVGGLAKPQSLPERTPMASKKINIGHFSAHKESQWSSSPEAMENNISISHSLETNFSLAPNAANEIMMLPEENHNNLFTNQAWNEMRREWVGDQSKRSHMAPREPTISWSTTYEDLVSTTQPFPQPIPLSEMVDFLVDVWHEEGLYD >Ma03_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5201216:5201449:1 gene:Ma03_g07420 transcript:Ma03_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKQSNGGGRQQGIEQRHCVPIHSQVRKIKQEDEKMEDHLRPKMFERRPAVFQELRRQRSRSPLGMVSREISVGE >Ma10_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14315215:14319351:-1 gene:Ma10_g03720 transcript:Ma10_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLFAFVALLVALAAVVFPPAAANQCTNVVYSFVPMAAHYKQATLGHNNTLKTEFLSRYHLNPSDEVTWMKLLPRKATPPEVTELDWAMLGRGVRQPGLTSAAGVGSSKFLKEMSLHDVRIDPKSVHGRAQQTNLEYLLLLNVDRLVWSFRKLAGLPTPGRPYGGWEEPTGQLRGHFVGHFMSATALMWAATKNETIRERMNAVVEALDECQRKIGTGYLSAFPTSEFDIYEEVRYVWAPYYTIHKIMNGLVDQYVNANNVKALKMAVWMAKYFGNRVANNIKKRSIAWHWAAMNEETGGMNDVLYRLYTITRNKKHLVLAHLFDKPCFLGPLAVQADLLSGLHGNTHIPIVIGAQRRYEITGDILYKEIGMTFMDIVNSSHGYATGGTTVDEHWKEPKRLASYLQTNTEESCTTYNLLKVSRNLFRWTKEMAYADHYERALTNGVLSIQRGTEPGIMIYFLPMNPGGSKAVSAQGGWGTPTASFWCCYGTAIESFSKLGDSIYFEEEGDTPTLYIIQFISSTVNWLSGELVLQQKAQQVSSLDRYFRVQYTVSTTNKVVSKNSTLNIRIPVWTYNHDAVATINGHTVAVPPPGNVLSVNKSWSRKDQLTLSLPIGLRTEAIQDDRPQFSSLKALLFGPYLLVGLSCGTWDLRTQQANHRLSDWILPVPHDHRTRLVSLTQETSDATLFLSNLNASTYDKKRMLTMEASPQLGTNAAAQATFRLVFGNQKASRFPSRKSIIGKIIMLEPFDLPGKVVQHQGPGKRLVVAVTSRNSNTRKASKFLVVEGLDGNSNTISLESASMPGCFVHHDRSSSNGVRLLCQAKKAGRRGAALGRLASFTLRQGLSKYHPISFTARGTRRSFLFQPLLGLRDETYTTYFNIII >Ma05_p28920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39737597:39739421:-1 gene:Ma05_g28920 transcript:Ma05_t28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTIVLTSGAKEHPGKMTLFVFLTCLVASSGGLIFGYDIGISGGVTSMDSFLSRFFPSVYRQQMADSSTNQYCKFDSQLLTLFTSSLYVAALISSFLASTVTRVFGRKWSMFAGGITFLLGSAINGAAVNVLMLILGRVLLGIGIGFANQSVPLYLSEMAPAKLRGMLNIGFQLMITIGIFAANLINYGTASIEGGWGWRVSLGLAAVPAVIITVGSLVLPDTPNSLIERGYDEEAKAMLRKIRRTEDIQAEYGDLVAASAEAKSIDHPWSNILQRRYRPQLCMAILIPSFQQLTGINVIMFYAPVLFKTIGFGSEASLASAVISGIVNVFATFVSIATVDKLGRRKLFLQGGTQMLVSQLLVGTLIALKFGTSGVATDMSTNYASIIVLFICFYVAAFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSVNMLFTFIIAQVFLTALCHLKFGLFYFFAGWVVIMTVFVALFLPETKNVPIEEIVLVWKKHWFWGKFISDDDIHVGNLEVAKHTIEAA >Ma04_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6056374:6061425:-1 gene:Ma04_g08470 transcript:Ma04_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVAAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEIRKKIEYSMQLNASRIEVLQAQDDLVSSMKEAAAKELLLVSVNQKAYQKLLKELIVQSLLRLKEPAVLLRCRKDDLHLVESVLNPAKEEYTKKANVHPPEIVVDNKTFLPPAPSHHNAHGPYCSGGVVLASIDGKIVCENTLDARLDVVFRKKLPEIRKLLFDQVIA >Ma08_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35106163:35108017:1 gene:Ma08_g21120 transcript:Ma08_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARAVGRSGNGSGGLWRLADELNPPEVGCRAMEAECIRRFHRHEPMENQCSSCVLKHIKAPVQLVWSLVRRFDQPQRYKPFVSRCIVQGDFAVGCLREVNIKSGLPATTSTERLEQLDDNEHILSIKIVGGDHRLQNYSSVITAHPEMIDGEEGTLVIESFVVDVPDGNTKDDTCFFVEALIKCNLKSLAVISERLADQDLAESMAL >Ma04_p09060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6451707:6453751:-1 gene:Ma04_g09060 transcript:Ma04_t09060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCCFEGSFIIWYSSSRKMLLLNNWVPCGSPTLPLLRPSLSQANLIHRYRPPLPLKKRCGRGVLSCSLRHFARSMEGERRPTTAEEGEEGVPSMKLLFVEMGVGYDQHGQDITTAAMRACRDAISSNSIPAFRRGSIPGVNSDQMKLVIKLGVPRSTQHLLDIEMVKSVFPYGEIIKVEVVDGGMICSSGVYLEAMGDKNDDCYIVNAAIYVGY >Ma04_p09060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6450811:6453751:-1 gene:Ma04_g09060 transcript:Ma04_t09060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCCFEGSFIIWYSSSRKMLLLNNWVPCGSPTLPLLRPSLSQANLIHRYRPPLPLKKRCGRGVLSCSLRHFARSMEGERRPTTAEEGEEGVPSMKLLFVEMGVGYDQHGQDITTAAMRACRDAISSNSIPAFRRGSIPGVNSDQMKLVIKLGVPRSTQHLLDIEMVKSVFPYGEIIKVEVVDGGMICSSGVYLEAMGDKNDDCYIVNAAIYVGY >Ma04_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6451538:6453751:-1 gene:Ma04_g09060 transcript:Ma04_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCCFEGSFIIWYSSSRKMLLLNNWVPCGSPTLPLLRPSLSQANLIHRYRPPLPLKKRCGRGVLSCSLRHFARSMEGERRPTTAEEGEEGVPSMKLLFVEMGVGYDQHGQDITTAAMRACRDAISSNSIPAFRRGSIPGVNSDQMKLVIKLGVPRSTQHLLDIEMVKSVFPYGEIIKVEVVDGGMICSSGVYLEAMGDKNDDCYIVNAAIYVGY >Ma07_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4869519:4875303:1 gene:Ma07_g06780 transcript:Ma07_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFCRSCLAFALKFLNFLQTFVGVSVLIYSIWVLNCWHRHGHLVLDLRDVSAPWFVCASMGVGISLCLISFTGYVAAEAVNGCCLCFYAVLSTMLIVLEAALMGDILLNKHWEEDLPYDSTGELKNLREFVEDNMDIFKWVAVSVIAIQALCLLLTLVLRALVQPRNDAYDSDEDFVVIRRPLLHPQGGGPSYGTTSIDNKGIHSDTWSSRMRHKYGLNQNELPPNAVDLKPPVP >Ma06_p02680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2047209:2053050:-1 gene:Ma06_g02680 transcript:Ma06_t02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVRKRDRLRWSLLYTFACARPAVLADDEQTPFLQGPGYSRIVHCNQSQLHGKKPLDYSSNYISTTRYNAITFLPKALFEQFRRVANIYFLLAAIISITPVSPFNPMSMIAPLAFVVGLSMAKEALEDWRRFYQDMKVNSRKVSVHNGQGQFGYKPWQKIRVGDVVKVEKDRFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLALEDDEAFRDFTATLRCEDPNPNLYTFVGNLEYERQVYALDPNQVLLRDSKLRNTSYIYGVVIFTGHDSKVMQNATESPSKRSRIEKKMDKIIYILFTVLVLISLVSSIGFAVMTKYDMPNWWFLEPNNTTSLYDPSKPVLSGVFHMVTALILYGYLIPISLYVSIEVVKVLQATFINQDVLMYDEEIGKPARARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGVSYGVRASEVEIAAAKQLASEASGSPEHHDDTEELGEDNAGFYGTSEIELANGITCMVEKSHKPAIKGFSFEDDRLMHGNWTNEPAASTILMFFRILALCQTAIPEHNKETGGFTYEAESPDEGAFLVAAREFGFEFCKRTQSSVFIREKYSPSEDPVEREFKILNLLEFNSKRKRMSVIVRDEGGQIILLCKGADSIIFDRLSKNGRLYENDTSKHLNEYGEAGLRTLALAYRMLDESEYSAWNTDFLKAKTTIGPDREAQVERVSEKMERDLILVGATAVEDKLQRGVPQCIDKLAQAGLKIWVLTGDKIETAINIGFACSLLRQGMKQISLSITNIDLLTHDANKGVRLHLMTDQAAKENLSMQITNAFQMIKLEKDPDAAFALIIDGKTLTYALEDDLKNQFLSLAVDCASVICCRVSPKQKAMVTRLVKEGTGKVTLAVGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIALMICYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRDLFFGWYRIIGWMFNGLSASIIIFLLNIAIFYHGAFCAGGQTADLAAVGTTMFTCIIWAVNVQIALIMNHFTWIQHLFVWGSVVAWYLFLVAYGLSSPTISGNSHQILSEALGPAPVYWSATLLVTAVCNIPYLVHISFQRTFNPLDNHVIHEIKHYKKDVEDQHMWKREKSKARQKTKIGFTARVDAKIRQLRGKLHRKVSSLTIHTVS >Ma06_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2047209:2053082:-1 gene:Ma06_g02680 transcript:Ma06_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVRKRDRLRWSLLYTFACARPAVLADDEQTPFLQGPGYSRIVHCNQSQLHGKKPLDYSSNYISTTRYNAITFLPKALFEQFRRVANIYFLLAAIISITPVSPFNPMSMIAPLAFVVGLSMAKEALEDWRRFYQDMKVNSRKVSVHNGQGQFGYKPWQKIRVGDVVKVEKDRFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLALEDDEAFRDFTATLRCEDPNPNLYTFVGNLEYERQVYALDPNQVLLRDSKLRNTSYIYGVVIFTGHDSKVMQNATESPSKRSRIEKKMDKIIYILFTVLVLISLVSSIGFAVMTKYDMPNWWFLEPNNTTSLYDPSKPVLSGVFHMVTALILYGYLIPISLYVSIEVVKVLQATFINQDVLMYDEEIGKPARARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGVSYGVRASEVEIAAAKQLASEASGSPEHHDDTEELGEDNAGFYGTSEIELANGITCMVEKSHKPAIKGFSFEDDRLMHGNWTNEPAASTILMFFRILALCQTAIPEHNKETGGFTYEAESPDEGAFLVAAREFGFEFCKRTQSSVFIREKYSPSEDPVEREFKILNLLEFNSKRKRMSVIVRDEGGQIILLCKGADSIIFDRLSKNGRLYENDTSKHLNEYGEAGLRTLALAYRMLDESEYSAWNTDFLKAKTTIGPDREAQVERVSEKMERDLILVGATAVEDKLQRGVPQCIDKLAQAGLKIWVLTGDKIETAINIGFACSLLRQGMKQISLSITNIDLLTHDANKAAKENLSMQITNAFQMIKLEKDPDAAFALIIDGKTLTYALEDDLKNQFLSLAVDCASVICCRVSPKQKAMVTRLVKEGTGKVTLAVGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIALMICYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRDLFFGWYRIIGWMFNGLSASIIIFLLNIAIFYHGAFCAGGQTADLAAVGTTMFTCIIWAVNVQIALIMNHFTWIQHLFVWGSVVAWYLFLVAYGLSSPTISGNSHQILSEALGPAPVYWSATLLVTAVCNIPYLVHISFQRTFNPLDNHVIHEIKHYKKDVEDQHMWKREKSKARQKTKIGFTARVDAKIRQLRGKLHRKVSSLTIHTVS >Ma06_p02680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2047209:2053050:-1 gene:Ma06_g02680 transcript:Ma06_t02680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVRKRDRLRWSLLYTFACARPAVLADDEQTPFLQGPGYSRIVHCNQSQLHGKKPLDYSSNYISTTRYNAITFLPKALFEQFRRVANIYFLLAAIISITPVSPFNPMSMIAPLAFVVGLSMAKEALEDWRRFYQDMKVNSRKVSVHNGQGQFGYKPWQKIRVGDVVKVEKDRFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLALEDDEAFRDFTATLRCEDPNPNLYTFVGNLEYERQVYALDPNQVLLRDSKLRNTSYIYGVVIFTGHDSKVMQNATESPSKRSRIEKKMDKIIYILFTVLVLISLVSSIGFAVMTKYDMPNWWFLEPNNTTSLYDPSKPVLSGVFHMVTALILYGYLIPISLYVSIEVVKVLQATFINQDVLMYDEEIGKPARARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGVSYGVRASEVEIAAAKQLASEASGSPEHHDDTEELGEDNAGFYGTSEIELANGITCMVEKSHKPAIKGFSFEDDRLMHGNWTNEPAASTILMFFRILALCQTAIPEHNKETGGFTYEAESPDEGAFLVAAREFGFEFCKRTQSSVFIREKYSPSEDPVEREFKILNLLEFNSKRKRMSVIVRDEGGQIILLCKGADSIIFDRLSKNGRLYENDTSKHLNEYGEAGLRTLALAYRMLDESEYSAWNTDFLKAKTTIGPDREAQVERVSEKMERDLILVGATAVEDKLQRGVPQCIDKLAQAGLKIWVLTGDKIETAINIGFACSLLRQGMKQISLSITNIDLLTHDANKGVRLHLMTDQAAKENLSMQITNAFQMIKLEKDPDAAFALIIDGKTLTYALEDDLKNQFLSLAVDCASVICCRVSPKQKAMVTRLVKEGTGKVTLAVGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIALMICYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRDLFFGWYRIIGWMFNGLSASIIIFLLNIAIFYHGAFCAGGQTADLAAVGTTMFTCIIWAVNVQIALIMNHFTWIQHLFVWGSVVAWYLFLVAYGLSSPTISGNSHQILSEALGPAPVYWSATLLVTAVCNIPYLVHISFQRTFNPLDNHVIHEIKHYKKDVEDQHMWKREKSKARQKTKIGFTARVDAKIRQLRGKLHRKVSSLTIHTVS >Ma06_p02680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2047209:2053050:-1 gene:Ma06_g02680 transcript:Ma06_t02680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVRKRDRLRWSLLYTFACARPAVLADDEQTPFLQGPGYSRIVHCNQSQLHGKKPLDYSSNYISTTRYNAITFLPKALFEQFRRVANIYFLLAAIISITPVSPFNPMSMIAPLAFVVGLSMAKEALEDWRRFYQDMKVNSRKVSVHNGQGQFGYKPWQKIRVGDVVKVEKDRFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLALEDDEAFRDFTATLRCEDPNPNLYTFVGNLEYERQVYALDPNQVLLRDSKLRNTSYIYGVVIFTGHDSKVMQNATESPSKRSRIEKKMDKIIYILFTVLVLISLVSSIGFAVMTKYDMPNWWFLEPNNTTSLYDPSKPVLSGVFHMVTALILYGYLIPISLYVSIEVVKVLQATFINQDVLMYDEEIGKPARARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGVSYGVRASEVEIAAAKQLASEASGSPEHHDDTEELGEDNAGFYGTSEIELANGITCMVEKSHKPAIKGFSFEDDRLMHGNWTNEPAASTILMFFRILALCQTAIPEHNKETGGFTYEAESPDEGAFLVAAREFGFEFCKRTQSSVFIREKYSPSEDPVEREFKILNLLEFNSKRKRMSVIVRDEGGQIILLCKGADSIIFDRLSKNGRLYENDTSKHLNEYGEAGLRTLALAYRMLDESEYSAWNTDFLKAKTTIGPDREAQVERVSEKMERDLILVGATAVEDKLQRGVPQCIDKLAQAGLKIWVLTGDKIETAINIGFACSLLRQGMKQISLSITNIDLLTHDANKGVRLHLMTDQAAKENLSMQITNAFQMIKLEKDPDAAFALIIDGKTLTYALEDDLKNQFLSLAVDCASVICCRVSPKQKAMVTRLVKEGTGKVTLAVGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIALMICYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRDLFFGWYRIIGWMFNGLSASIIIFLLNIAIFYHGAFCAGGQTADLAAVGTTMFTCIIWAVNVQIALIMNHFTWIQHLFVWGSVVAWYLFLVAYGLSSPTISGNSHQILSEALGPAPVYWSATLLVTAVCNIPYLVHISFQRTFNPLDNHVIHEIKHYKKDVEDQHMWKREKSKARQKTKIGFTARVDAKIRQLRGKLHRKVSSLTIHTVS >Ma01_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20983124:20986798:-1 gene:Ma01_g21350 transcript:Ma01_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFRLKCFGLILLVILVMCSNFDGCEGRKGRRWRKQKPPSSSLARKKVKNGGGDNHGSGGRGDYLSATLSPTPITEYPMKTAMFNVLDFGAKGDGITDDTEAFQAAWAAACKVEGSTVVVPAEFEFLVGPISFSGPYCQPNIALQLDGMIIAPTDAKRWGSGLLWWIEFSKLQGISIQGSGTIEGQGSVWWTTMESDVDPINAELSMKLPQIKPTALRFYGSYNVTVTGITIQNSPQCHLKFDNCEAVQVFNMTINSPGSSLNTDGIHLQNSRDVMIHHTNMSCGDDCISIQTGCSNINIHSVECGPGHGISIGGLGRDNTKACVSNITIRDVNMHNTMTGVRIKTWQGGSGSVQSIKFSNIRVSEVQTPVVIDQFYCDRSSCKNQTSAVALSSIAYENIKGTYTVKPVHFACSDSSPCSDISLTEVELEPLQEHYHIYEPFCWQAFGELYTPTVPPIVCLQNGKPTSKPILSDDDLC >Ma10_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29743103:29750218:1 gene:Ma10_g19020 transcript:Ma10_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYFNNDLDDIVEDYFETGDFDYFDDEFELDDQQAHGNDSELENVDDLATQKNDTSASEYRNGKDMQGIPWERLIFSRDEYRATRLKMYTNYENVSRPRDGLEKECKNVDHENTFYDFHYNTRLVKSTIVHFQLRNLVWATSKHDVYMVQNYSVMHWSSLLRRGKEVLNVAGKVVPTQKHCGSSPQQLSRVHISTMSVKGNLLVAGGFQGELICKSLDQPGVTFSTKLNDDGDITNAVGIHRSSSGSIQVVTCGNDCFVRMFDAETFVQLSRLSFSWSVNNMGISPDGKLLAVLGDDIDCLMVDTQSGKVIENLKGHLDYSFASAWHPDGHILATGSQDKTCRLWDVRNTSESLAVLKGKIGAIRSITFSTDGRFMAMAEPADFVHVYDAKADYWRAQEIDLFGEIAGLSFSPDADALFVGVADHTYGSLLEFSRRKHDHYLNAFL >Ma10_p19020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29743135:29750218:1 gene:Ma10_g19020 transcript:Ma10_t19020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYFNNDLDDIVEDYFETGDFDYFDDEFELDDQQAHGNDSELENVDDLATQKNDTSASEYRNGKDMQGIPWERLIFSRDEYRATRLKMYTNYENVSRPRDGLEKECKNVDHENTFYDFHYNTRLVKSTIVHFQLRNLVWATSKHDVYMVQNYSVMHWSSLLRRGKEVLNVAGKVVPTQKHCGSSPQQLSRVHISTMSVKGNLLVAGGFQGELICKSLDQPGVTFSTKLNDDGDITNAVGIHRSSSGSIQVVTCGNDCFVRMFDAETFVQLSRLSFSWSVNNMGISPDGKLLAVLGDDIDCLMVDTQSGKVIENLKGHLDYSFASAWHPDGHILATGSQDKTCRLWDVRNTSESLAVLKGKIGAIRSITFSTDGRFMAMAEPADFVHVYDAKADYWRAQEIDLFGEIAGLSFSPDADALFVGVADHTYGSLLEFSRRKHDHYLNAFL >Ma10_p19020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29743998:29750218:1 gene:Ma10_g19020 transcript:Ma10_t19020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIPWERLIFSRDEYRATRLKMYTNYENVSRPRDGLEKECKNVDHENTFYDFHYNTRLVKSTIVHFQLRNLVWATSKHDVYMVQNYSVMHWSSLLRRGKEVLNVAGKVVPTQKHCGSSPQQLSRVHISTMSVKGNLLVAGGFQGELICKSLDQPGVTFSTKLNDDGDITNAVGIHRSSSGSIQVVTCGNDCFVRMFDAETFVQLSRLSFSWSVNNMGISPDGKLLAVLGDDIDCLMVDTQSGKVIENLKGHLDYSFASAWHPDGHILATGSQDKTCRLWDVRNTSESLAVLKGKIGAIRSITFSTDGRFMAMAEPADFVHVYDAKADYWRAQEIDLFGEIAGLSFSPDADALFVGVADHTYGSLLEFSRRKHDHYLNAFL >Ma10_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25309442:25311618:-1 gene:Ma10_g11980 transcript:Ma10_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPGAAIAPSNPSLWPFSAASRLLVPFPKPLLSLSPSHRNVRPISASADRHQTPSAAASTAATTAVPLLRNFAPDEPRKGSDILVEALEREGVTDLFAYPGGASMEIHQALTRSPSITNHLLRHEQGEIFAASGYARSTGRPGVCIATSGPGATNLVSGFADALLDSVPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLNVDDIPRIIKEAFFLASTGRPGPVLVDIPKDIQQQLAIPVWDPPLRLPGYISRLPKPPSRCLLDQIIRLVSESHRPVLYVGGGCLNSSEELRRFADLTGIPIASTLMGLGVYPTDAELSLKMLGMHGTVYANYSVDKADLLLALGVRFDDRVTGKLEAFASRAKIVHIDIDPAEIGKNKQPHVSLCADVRLALQGMNALMEESGIHQKFDFSTWRKELDQLKKAYPLSYKTFGDLIPPQYAIQVLDELTNGEAIISTGVGQHQMWAAQYYSYKRARQWLTSGGLGAMGFGLPAAAGAAVGNPGVTVVDIDGDGSFQMNAQELAMIRIENLPVKMMVLNNQHLGMVVQWEDRFYRSNRGHTYLGNPANESEIFPDFLKITEAYGIPAARVTKKSEVREAIRKMLKTPGPYLLDVIVPHEEHVLPMIPSGGAFKDMILDGDGRTPPH >Ma04_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10794019:10800436:-1 gene:Ma04_g14250 transcript:Ma04_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGGGGVVRGGKAAVPGPGTLPRPTITLPPRSSFESLFHGGAGGASEVSPGPLTLVSSFFAEESESDCCSFTQLLVGAINSPVAAAKRTVASSGEQGKEAEKRSGGREAESGGGLVRLGQSRPPGLAISQPQMFTVPLGLSPAGLLDSPAFLSSGLGNFAMSHQEALAQVTAQAAESQFRMQSEAEFPSSFLAATTSSSSQQPILETPTIKPTYAAEESADGSQSDRRLESNTAIVNNNAHDGYNWRKYGQKVVKGSEYPRSYYKCTHPKCPVKKKVECSVDGQVTEIIYKGQHNHQRPTPNKRAKEANSFPSGLNEMNESLDNPKPGSLPHHGHFSKRNDITAAPISRRDQESDHGTPEQLSGSSDGEEGAEIRTDEGDDDDERDPKRRNMAASSHRTLNEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYAGCNVRKHIERSPTDPKAVITSYEGKHNHDIPAARNSSHNTVSVGTASSNAVSDQASLASSNFRNQHRRPVAVLQLKEEHENT >Ma07_p27610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33926229:33928027:1 gene:Ma07_g27610 transcript:Ma07_t27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPDLFSASSNLLLCAENADDVASWDGEEQDVEGGAATVAATADKEWVPPALADDRAAIAALLAAEPDHLPRDDYLGRLHARAIDATARQDAISWILKVSEFYRFRPVTACLSVNYLDRFLSSHSLPGQNGKGGWPMQLLSVACVSVAAKMEESHVPLLLDLQLRDPTYVFEPRTIQRMELLLAAALRWRLRAVTPFDFLHHLAASPAVAALSPSSSSALFSRAARLVLSTHRVVDFLVYRPSVMAAAAFLCAANEMTESSATGTGDWSSCFDAWVSKGVVNRCRQLMEERVIGTCPSSRRGNTTECRGRPEPPRSPVGVLDSAACTSCDTGPRSEDGPEPRPAKRLRLGDHPCTDRVFTGLDGELL >Ma05_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31777833:31778518:1 gene:Ma05_g20190 transcript:Ma05_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLSAMSLMLGTLLLALASISTTSLAARVIVGDSAHWTFGYNYTEWANKSSPFYQNDTLVFMYDPPNSTTFPHSVYLMKNLRSFIACDLRKAKLVANVLQGGGDGFEFVLKKRKPHYFACGERNGIHCSVGLMKFAVLPLRRCHG >Ma06_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2035014:2040253:1 gene:Ma06_g02660 transcript:Ma06_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANREVVAALLLSCLLYIVLPSARAFYLPGVAPRDFQKDDELQVKVNKLSSTKTQLPYDYYFLDYCKPPKIMNSAENLGEVLRGDRIENSVYAFKMRRDESCKVACLIKLSSEAAKNFKEKIDDEYRVNMILDNLPVAVPRLRRDGSQDTSYEQGFHVGYKSKDGKYYLSNHLSFKVMYHKDPESDGARIVGFEVIPSSVKHESGNWDDKNPKVTTCNSDTKITPGSNALQEVAADTYVVFSYDVTFQPSNIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMLRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRPPVNSGLLCVYVGTGVQFFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGSEWKRITLKTAFMFPGIVFAIFFVLNALIWGEKSSGAVPFGTMFALVLLWFGISVPLVFVGSYIGYKRPALEDPVKTNKIPRQIPEQAWYMQPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSAFYLFAYSAFYFFTKLEITKVVSGILYFGYMLIGSYAFFVLTGTIGFYACFWFVRKIYSSVKID >Ma08_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35568392:35572927:1 gene:Ma08_g21790 transcript:Ma08_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDGNLQEMEDIVKKMLKLIETNADSFAKRAELYFKRRPELISFVEDAYKAYRSLADRYDHISGELHKANHTIATAFPERVQYAMLDEEEDTIPKAITPIDPSKINRRTVEGLMNKKKESESSTRKARKKSSPSPIDKEKAQEDISKLQKGILVLQTEKEFIKSSYESGIAKYWEIEKQIMEMQEEVCCLQDEFSTSAVIEDEEARAIMTSTVLKSCDDTIVNMQEQRKKSLEQAKVESERTKIAKAKVKALRGEYCQSEVESTEMSDENTEMTFAAENMEEDYSLNKMRTELQSVCEKIKEHFKMNPESSVIEIAEKIEELANKVITLELTVSSHAVQINRLTSENDELEKCIQSFKEDKSILIDDPNELSKRFKEAEDEIGRVQAIKKIVHDEEANFCENYVEAQHSLNGVLEKLQPPKPLENACVGDASPEEEVSAKIHDMKGDLVEEIHTSQELGSCLEHPSQVEAGSRLESASEDSKKGNALEEKELSKVDLPNCLTSTKEIMLDGEEDTLNLQQLILSGLEGKENILLSECTSILQNYKETKRRLSEVEKKNEDYLQETMALIGKLKNDIAMKDEEIRLLRQTSASAKISRNGSVNTPVEESWHGQRRPEITRSSAMVTEHSKHQAFEVSEDLNIESAAARASSVVFRETRNPLSNDVDAQCINEPKSISPVEEKFRRDINSLLDESLEFWLKFSTSFHLIREFKAKYEDLQADISKLKGNKIKECTDNVSDDQASKPESARITTRLRELKTELHVWLEQGALLSGELQGRLSSLCDLQEEISSVVNMKPESGEALFTPFQAGSFQGEVMNMKQENGKVASELQVGIEQLRELQAEIEEQLSDLYENFQPFMLKSSSNDDLELALSRNTVPLRNFLFGVKPKKPSLLSRIQHSKLRAGRRKVDDRSKSSHC >Ma02_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19718637:19721044:-1 gene:Ma02_g10000 transcript:Ma02_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MLLLLVNRRFRELVLCYPKNLAFSHTQKSNYVDVKMKWMKDPLYDSVNILLKSKELRPLISLKNIISKEPTGCIPISAVSKQNRILEVSGKVAGFLRRYPAVFEEFTSPKYNLPWFKLTQEAADLHHRECKVYAAHRSEIVDRLRRLILMSRERSLPLRTVQGMLWYLGLPEDYLKNSEEISKGYFQIVDMGDGEQGLSASICSNEQVFSVLQRNAMKSNGILHSPPSVIDFPLFPSKGFRLKRKIEQWLEEFQKVEYVSPYETFSSLDPNSDISEKRVAGVLHELLSLFVDNSAERRRLLCLKKHLGLPQKFHMVFERHPHIFYLLLKSKTCFVVLKEAYCAGSETAIERHPLLGVREGYVRLMIESEAILRSRRSTKPLEEIS >Ma06_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4978300:4980798:1 gene:Ma06_g06960 transcript:Ma06_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRCALLFRCYVFFLFFHGFALLVSEGQLLPIVDDQGGNPNGLQTYIVHVEKPEGIEFLSSEDLQRWHESFLPNTTLDSGEPRLLYSYREAISGFAARLTAAEVRTMEAMDGFVYARPDKVRRIQTTYTPEFLGLSQWNGTWRTTSWGEGIVIGVIDTGIFPSHPSFSDDGMARPPKKFKGSCSSKSGVKCNHKIVGARAFQKGKKVSAIDDDGHGTHVASIAAGNFVFDAEVLGMALGTASGMAPRAHLSIYKACFRGLCHDCDILAAIERAIKDKVNIISMSIGKETPDNFTDDPVAQGSFAALRHQISAVTCAGNYGPKNSSLSHEAPWVLTVGASTTDRRISAIVKLGDGTELAGESAYQPSSFNSSDLKPIVIPGATGGFLARYCVNGSLDFIDVSGKIVVCFTGEIENIEKGKVVYKAGGAAMIIINRKNEGYTTDAEAHVLPASHLTYEDGLKVLEYYLAAMDSAMATIVFEDTVFGQRPTPAVACFSSRGPAVTNGGILKPDVLAPGVNILAAWPFKVGPYPTNIVDPTFNFLSGTSMAAPHVSGIVALIKTKHPEWSPSAIQSAIITSAKNLDLDGNYIVDEYTNTTAVIFAVGAGQVNPSGAVDPGLLYEINTNDYTGYLCGLGYSNKEVTVLVGRKVKCSNVRPIHAQQLNCPSLAVRLSRNNREVITLKRTAKNVGDVAEDYRAQITAPPGVTVELSAYELRFWRPGQEESFQIRVSVNSTESAGNSSFSGGKLEWISDKHVVTCPMAISWT >Ma01_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12515150:12519746:1 gene:Ma01_g17110 transcript:Ma01_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRVAREKLEREQRARKERAKAKLERERRAKAEAARQRDAIEATQRSKRLDAARAQIEAEQRMEESMLLGKGVIFCRTLEAIPFNGFGDKIKLPPSCFQELSDQGALDKGPMYFRLSVIDELVPSVSDATDEVEHRATHSGVLEFTAREGSVELPSHVWSNLLSGVSLDVPLVEVHYVSLPKGTYAKLQPEGMGFSDIPNHKAVLETTLRRHATLSQGDIITVSYGELNYKLRVLETKPASSVSVLETDIEVDIEGHDSAQESSRNQPVLAPLVIGKVEEGIVEEGTFNYYKFSVEAAIIDEVASGRMNIEVKIEADAGDADTNVYLSRHPLIFPTQHRHEWSSHEMGSKVLTVRPKDPNLVAGTYSIGVFGFKGVTKYRISVAFKDNVKQQIGGHATASSPIDMESVECQNCKHFISSRTILLHEAYCFRHNVLCQHNGCGVVLRKEEAASHVHCNKCGQAFQQEQIEKHMKVFHEPVHCPCGVILEKEQMIEHQSSTCPLRLIMCRFCGDMVQAGSIPADARDRLRGLVEHESICGSRTAPCDSCGRAVMLKEMDIHVIAVHQKS >Ma06_p03130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2304084:2325261:-1 gene:Ma06_g03130 transcript:Ma06_t03130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIRYDWRHPILQIQEQGSVFGRLFLGSLGMPLYIFYSFKSCYVVWQQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMQKIREGAQLQVMEKDHIIICGVNSHLTFILKQLNKFHESAIRLGTATVRKQRILLLSDLPRKQIEKLGDSITKDLDHIDLLTKSCSLSLTKSFERAAVDKARSIIILPTKNDRYEVDTDAFLSLIALQPLPNVASVPTIVEASSSTTSELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKVYRHLLNYRKNVFNLCSFSCLIDSKYKYARQAIQEAVVCGLFRSGKIYFHPNDDEVITQTDKLLLIAPVYGEKMPQMLLPDASNLQHTSNYQNLECSETEVCFDTKIRKSRLENIVKRPSKSSSKTSDWHLGPRECIFMIGWRPRVADMIREYDYYLGPGSTLEILSEASISERNSIVNPVLQSQLKNVKVSHRMGNPMYYETLKEAILNIRNSSLKGDDVPLSIVVISDKEWLAGDPSQADKQTTYSLLLAEIICKKHGIKVENLVAEIVDTRLGKQISRIRPSLSFIGAEEVMSLVTAQVAESAELNEVWKDILNAEGDEIYLKDISFYMKEGEMPSFLELSERAILRREVAIGYVKGNKQVINPRNKTEPLFLEKTDLLIVISELEGEQPLIV >Ma06_p03130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2304084:2315381:-1 gene:Ma06_g03130 transcript:Ma06_t03130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQFRNNMQKIREGAQLQVMEKDHIIICGVNSHLTFILKQLNKFHESAIRLGTATVRKQRILLLSDLPRKQIEKLGDSITKDLDHIDLLTKSCSLSLTKSFERAAVDKARSIIILPTKNDRYEVDTDAFLSLIALQPLPNVASVPTIVEASSSTTSELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKVYRHLLNYRKNVFNLCSFSCLIDSKYKYARQAIQEAVVCGLFRSGKIYFHPNDDEVITQTDKLLLIAPVYGEKMPQMLLPDASNLQHTSNYQNLECSETEVCFDTKIRKSRLENIVKRPSKSSSKTSDWHLGPRECIFMIGWRPRVADMIREYDYYLGPGSTLEILSEASISERNSIVNPVLQSQLKNVKVSHRMGNPMYYETLKEAILNIRNSSLKGDDVPLSIVVISDKEWLAGDPSQADKQTTYSLLLAEIICKKHGIKVENLVAEIVDTRLGKQISRIRPSLSFIGAEEVMSLVTAQVAESAELNEVWKDILNAEGDEIYLKDISFYMKEGEMPSFLELSERAILRREVAIGYVKGNKQVINPRNKTEPLFLEKTDLLIVISELEGEQPLIV >Ma06_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2304083:2325261:-1 gene:Ma06_g03130 transcript:Ma06_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLRWPVTCSWPSLRPLPRTLPTCAIRRCRNSLHFQCHCTQSSVTCASYLQKYSSGKTMAKSATRLDNIRTLSLHILCFRSLITNSANNTSKNIIFDKNYIVFQVKVLLRCVSFYFLSRLAWSNTIHLVLRTICTLPWCGSTLPFACLSNSSSINKPIPLQLNVSFPSFQELKWSIARLYYLFNIQLERNIGMFFVALLVACFSFVMIGGILFYKFRNKDQSLEDCFWEAWACLCTSSTHLRQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMQKIREGAQLQVMEKDHIIICGVNSHLTFILKQLNKFHESAIRLGTATVRKQRILLLSDLPRKQIEKLGDSITKDLDHIDLLTKSCSLSLTKSFERAAVDKARSIIILPTKNDRYEVDTDAFLSLIALQPLPNVASVPTIVEASSSTTSELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKVYRHLLNYRKNVFNLCSFSCLIDSKYKYARQAIQEAVVCGLFRSGKIYFHPNDDEVITQTDKEILSEASISERNSIVNPVLQSQLKNVKVSHRMGNPMYYETLKEAILNIRNSSLKGDDVPLSIVVISDKEWLAGDPSQADKQTTYSLLLAEIICKKHGIKVENLVAEIVDTRLGKQISRIRPSLSFIGAEEVMSLVTAQVAESAELNEVWKDILNAEGDEIYLKDISFYMKEGEMPSFLELSERAILRREVAIGYVKGNKQVINPRNKTEPLFLEKTDLLIVISELEGEQPLIV >Ma06_p03130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2304083:2325261:-1 gene:Ma06_g03130 transcript:Ma06_t03130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLRWPVTCSWPSLRPLPRTLPTCAIRRCRNSLHFQCHCTQSSVTCASYLQKYSSGKTMAKSATRLDNIRTLSLHILCFRSLITNSANNTSKNIIFDKNYIVFQVKVLLRCVSFYFLSRLAWSNTIHLVLRTICTLPWCGSTLPFACLSNSSSINKPIPLQLNVSFPSFQELKWSIARLYYLFNIQLERNIGMFFVALLVACFSFVMIGGILFYKFRNKDQSLEDCFWEAWACLCTSSTHLRQKTRVERILGLVLAIWGILFYSRLLSTMTEQFRNNMQKIREGAQLQVMEKDHIIICGVNSHLTFILKQLNKFHESAIRLGTATVRKQRILLLSDLPRKQIEKLGDSITKDLDHIDLLTKSCSLSLTKSFERAAVDKARSIIILPTKNDRYEVDTDAFLSLIALQPLPNVASVPTIVEASSSTTSELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKVYRHLLNYRKNVFNLCSFSCLIDSKYKYARQAIQEAVVCGLFRSGKIYFHPNDDEVITQTDKLLLIAPVYGEKMPQMLLPDASNLQHTSNYQNLECSETEVCFDTKIRKSRLENIVKRPSKSSSKTSDWHLGPRECIFMIGWRPRVADMIREYDYYLGPGSTLEILSEASISERNSIVNPVLQSQLKNVKVSHRMGNPMYYETLKEAILNIRNSSLKGDDVPLSIVVISDKEWLAGDPSQADKQTTYSLLLAEIICKKHGIKVENLVAEIVDTRLGKQISRIRPSLSFIGAEEVMSLVTAQVAESAELNEVWKDILNAEGDEIYLKDISFYMKEGEMPSFLELSERAILRREVAIGYVKGNKQVINPRNKTEPLFLEKTDLLIVISELEGEQPLIV >Ma03_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6400623:6405064:-1 gene:Ma03_g08690 transcript:Ma03_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPDEEPERHPMEDQGSFGDDAVKKCEGSKGRRKRKRSREDDDANEKRGVCYLSRVPPRMDPSHVRQILSQYGEIQRIYLVPEDPTSQVQRKQSGGFRGKEFSEGWVEFAKKNVAKKVARMLNGEQIGGKKRSAFYYDIWNIRYLSKFKWDDLIGELAGKKRECEEKLKLEISAAKRERDFYMSKVEQSRALKFMRERKEKKQRFEGQDSEGAQETKVIRQHPQNRPVADGGLQSKPRLSKDLLAGVFGRSSS >Ma06_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22712115:22713930:1 gene:Ma06_g24240 transcript:Ma06_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGVISPSDIEGGHHLPPSDESDHDSDDEAGHSPHDPSCEISASPEPCRKSCVSVSDGSLEGDLESGISESKTASPERGGRDCRICHLSLEKASPDAGAPIELGCCCKDDLAAAHKRCAETWFKIKGNKTCEVCGSIAKNVVGSGETEPTEHWNEAATASPPPSSSSSSSSSETQSFWQGHRFLNLLLACLVFAFVISWLFHFNVPG >Ma06_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9717086:9718655:-1 gene:Ma06_g14180 transcript:Ma06_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVVGLRRFLGALALLLLAHQAAAAEPPAFVGRSGSKLVVNGSPFLFNGFNAYWLMNVASEDQAKVSKTLSDAAAAGLTVCRTWAFNDGGNPSLQSSPGVYNEKMFQGLDFVVSEAKNHSIRLILSLVNNFKDYGGRSQYVQWARDAGESIQTDDDFYTNAKVKQYYKNHVQKVLTRVNTITKVAYKDDPTILSWELMNEPRCEVDYSGQTVTAWVKEMAAYTKSIDSKHLLQVGFEGFYGNSTPDKIKLYNPNGYQLGTDFITSNQVNEIDYTTIHAYPDIWLQGQSEEARKTFLQQWFSSHWNDSVKVLGKPLVFAEFGKSKTAPGYSQKVRDDFFSYVYDVIYSDAETSGGSFSGGLVWQVMGDGMESYYDGYEVVLSQDSTTTAVIKKQSDAMAALEKRLSGSHH >Ma07_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7992105:7995581:-1 gene:Ma07_g10710 transcript:Ma07_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRLRIRSVSSLIKVGRQEPVMVLRVDRDKGYIDLSKRRVSVEDIQACEERYNKSKLVHSIMRHVAETLDIDLEELYIHIGWPLYRKYGHAFEALKIIVTDPDSVLDALTREAKEVGADGQEVTKVVRAVTPEVKDALVRNITRRMTLQPLEIRADIEMKCFQFDGVLHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGISILNNAIKACTEEIERHKGKLTMKEAPRAVSERDDKLLAEHMAKLQSTNTEVDGDEGSEEEVDT >Ma10_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21354383:21355838:1 gene:Ma10_g07000 transcript:Ma10_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGLRRSLTLPEQQAVVLSCNLGDLLKVQDEDEVRPSSAVAACAKRGASSASGGGGGGRTLLDIMREEPGPNAVVVGRSSGNGIKWKSFKDRLHLRLRRAGAAWAVSCTQFNPMSYPELFVSVHTNPGFSRPVSRSTSIRNSELPVPASISGTENNPAATDAAAVDAPSPPEEHPSGAAGNGESSDHGSTTTAAEEEPVRVSLMALLEQTDRQWSSAGEGSPPAAALAALSEEEPAAAEDVGGGILHVCCVCMVRHKGAAFIPCGHTFCRMCSRELWVNRGSCPLCNGNILEILDIF >Ma10_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29360792:29365761:1 gene:Ma10_g18460 transcript:Ma10_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLPADEGDELGRCRRLLASLSAAASDAQSFRSRWTSVSAAVARLSAALDDLPALPTNPLAADLLRSLAQTLAPALDLAAHCRSPEPPAARLRTQSDIAAASAALHQLAADADLLLRSGTLPEPPSPPPEAAGGSSRRELVRLEARSLVTRLQIGSSASRISALDSLLDLLREDDKNVVVVAAHGVVPALVRLLDSTAVAASCHEARDKAAAAIARISAVPSCRHLLLAEGATLLNHLARVLESEGGAAKEKACVALQTLTLTRENAIIIGSRGGIAALLEICRAGTPSAQATAAAVLKNLATVQELRQNFLEENGVPVLLRVLASGIALAQENAVGCLCNLSAGEESQSIKLSIFKEGALECIKNYWEAGGSADDRNLEPAIGLLRNLASFRYIAEIIVTAGFLPLIIAALEDSKPGTRTEAAKSVAELGLVIRRARKELGDAVSRLVRMLEAKAVEEKEAATRALASLMSFPGYQRLLRKEEKGIVNVVQLLDPLVLDFDKRYAISVLRSILQSRKCRKQMVAAGACGYLQRLVEMEVDGAKKLLENLGRGKILGVFPRT >Ma01_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5996128:6004995:1 gene:Ma01_g08380 transcript:Ma01_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSTATSTCPCSRHAASPTWTCSPSTSAAASPHAALSPPPTPASPPGATVARTRVIHNSQNPIWNERFKIPVAHSAAALVLHVKDNDVFGSQLIGTVSIPVARIASGESVEHRHGIAGDPDKRGVSDAYFPVRKGCLVTLYQDAHVRDGELPEIRLEEGAIFEHNKCWEDICHAILEAHQLIYITGWSIYHKVKLVREPTRPLPTAGQLTLGELLKYKSQEGVRVCMLVWDDKTSHHNFFIKTEGVMQTHDEETRKFFKHSSVICVLAPRYASSKLSFVKQQAFGTLFTHHQKCVLVDTQASGNNRKITAFIGGLDLCDGRYDTPEHRLFRELDSVFLNDFHNPTFALETKGPRQPWHDLHCRIEGPAAYDVLENFEQHWCKATKWREFGLRFKKKVSHWHDDALIKLERISWIISPSPTVPNDDPSLWVASEEDAGPWHVQIFRSIDSGSVKGFPKNPQEALRKNLVCQKNLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPFYKNSGADNLIPMELALKIAGKIKAKERFAVYVVIPMWPEGVPTTSSVQEILFWQGQTMQMMYEIIAKELKAVNFEDSHPQDYLNFYCLGNREELSKDELQSNGHSSERSPPIPNHLSFVFTQKSRRFMIYVHAKGMIVDDEYVIMGSSNINQRSLAGSRDTEIAMGAYQPHQTWAEKERHPHGQIYGYRMSLWAEQLGSVDERFEQPDSLECVRLVNKIADDNWCRYAAKEVSSLTGHLLKYPIKVEADGKVGALPDQQCFPDVGGKILGDPTSLPDTLTM >Ma05_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6948982:6951305:1 gene:Ma05_g09600 transcript:Ma05_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSSSYASGATRRPVEFGRSYVVRPKGRHQATIVWLHGLGDTGASCSHLVETLPLPNIKWICPTAPTRPVAMFGGFACTAWFDIGEISEDGADDVDGMDASAAHIANLLSSEPPDVKLGIGGFSMGAAAALYSATCFTHGKYGNGSVYPITLSAVVGLSGWLPCSRSLKTKVDSSQDAARRAASLPLLLCHGRGDGVVPYAHGERSAEVLRMSGFRNLTFKTFNGLEHYTTPEEMDAVCKWLTARLRLDASRS >Ma03_p30440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33062441:33068584:-1 gene:Ma03_g30440 transcript:Ma03_t30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNQSETSLNLSPRNPAHALHSESPVPGNMMYLSFSNSGSYADALAGSTQTQQNRNELPVTTTIISQGMAVGNSNEVTSLHGDHAYNTWKDGRNEMLFMHSVEGSINGADVLIHPDDHQVSLQTQLGIINGQSSSLQHSNVSTMQNQALSLSLSTQMPVPSIQYHPTSLDISFIDSHQLTSGTVGPLREESFQNKSMHGNVSPNELSSLTSLIPNSKYLRPAQELLDEVVNVRKALRPKTDRSQSLYASAGAMTNKDANTGSKSEVMASNPQEAAANCSSGLSASEKEDLQNKVSNLLQMLDEVDKRYAQYYHQMQIIVSWFDAIAGCGAAKPYTVLALQTISRHFRCVRDAISGQIQATRKSLGEPEDLSGSSSGLSRLRYIDQQLRQQRTLHQYGLMQQHSWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKLVLARQTGLTRSQVSNWFINARVRLWKPMIENMYKEEFGDTEIDSNSSLSNPPKLNEDIQPSEDHED >Ma06_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12477672:12485962:-1 gene:Ma06_g18370 transcript:Ma06_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYVGFPLGFLLVAWGLGLGLWAPPAAGRFVVEKNSLMVISPSELKGKHDSAIGNFGIPQYGGSMAGAVVYPKENARACDQFSRSDLFKPKAGALPNFVLIDRGDCLFAKKVWNAQNAGASAVLVVDDKNEPLITMDLPREDDEAAKYIENITIPSALIDKKFGEQLKKAVRSGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGTKCDMLMDFLKAFKGVAQLLEKGGYSQFTPHYITWYCPQAFIISKQCKSQCINNGRYCAPDPEQDFSTGYDGKDVVIENLRQLCVFRVANESKRPWIWWDYVTDFHIRCPMKEKKYNKDCAETVMKSLGLDIKKVDQCMGDPNADSENPILKMEQDAQVGTGSRGDVTILPTLIVNNRQYRGKLEKKAVLKAICAGFEENTEPPACLSDDIETNECLDNNGGCWQDKASNITACKDTFRGRVCECPAFGGVQFKGDGYNNCEAIGPGRCRINNGGCWQESRDGKTYSACQESGDGKCQCPAGFEGDGVKACEDINECKKKTACQCPDCSCKDTWGSYDCTCSGDLLYIKEHDTCISKKASEAKVTWAAVWVLLIVLAVAAFGAYAIYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHSHEEDHA >Ma04_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:796821:803159:-1 gene:Ma04_g00870 transcript:Ma04_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVFNPIVEMDGDEMTRVFWKSIKEKLIFPFLDLDIKYFDLGLPNRDATDDKVTIESAEATLNYNVAIKCATITPDEARVKEFNLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDTVIKGPGKLKLVFEGKDEEVELEVFNFTGAGGVALSMYNTDESIRAFADASMATAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYETEWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNARLLDFTEKLEAACVGTVESGKMTKDLALLIHGSSVTRAQYLNTEEFIDAVASELRARLSA >Ma04_p00870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:796820:803153:-1 gene:Ma04_g00870 transcript:Ma04_t00870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVFNPIVEMDGDEMTRVFWKSIKEKLIFPFLDLDIKYFDLGLPNRDATDDKVTIESAEATLNYNVAIKCATITPDEARVKEFNLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDTVIKGPGKLKLVFEGKDEEVELEVFNFTGAGGVALSMYNTDESIRAFADASMATAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYETEWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNARLLDFTEKLEAACVGTVESGKMTKDLALLIHGSSVTRAQYLNTEEFIDAVASELRARLSA >Ma01_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10792761:10794689:-1 gene:Ma01_g14780 transcript:Ma01_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPH3 domain containing protein [Source: Projected from Oryza sativa (Os02g0568200)] MAGKLMYCSFRYVTDDNPSDLLIRVGGTNFHLHQNPMVSRCGTVKGIIEDSSGGSELRVIELDDIPGGPDAFMLAAKFCYGFAIDLTPSNIAGLRCASEYLDMTEDLQEGNLAVKTEAFLSYVVFSSWKNAVAVLKSCESLSPWAENLQIVRRCSESIAWKACARPKEMKRSSSTWSSSSEERSPRSDLLQAPTHWWFEDVSTLRDDHFAKVVTAMELKGMRSDLIGAAIMNYASTCLPDLCKRAAINADGNPNAQSRDRRLIVESLVAMIPATKNCVPCTFLLQLLRTAKTLQVEPAAIVELEKRVGMQLEQANLPDLLLPSDRESEALYDIDLMQRLVEHFVDQERTEMSASEKHGYGVCSGPIRTSSKAKVAELLDGYLAEVSTDRNLPLAKFKVLARALPESARTCDDDLYRAVDSYLKVHPALAAHERMRLCQVMDCRKLSVDACAHAVKNERLPIRFAIQLLFSKQVTMTDSIAGSWIEGAHSMIPTKQRLLLQRDPRTQCSQEGSVAAAEVNKLSFELENMKAKHSELRRDMDGLHRALEEMSSSSSKPTTHSSVWARVGRKLGIRVGLSSM >Ma11_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3837844:3839432:1 gene:Ma11_g04940 transcript:Ma11_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFLRELFLCVALYYILRHVVSRLLSCFSPLPPGPRGFPVVGALPLLGSAPHVTLAGMAKRYGPVMHLKMGQFGMVVASTPDAARVFLKTLDPYFSNRPVDAASVRLAYGGQDLVFAEYGPKWKLLRKLCKLEMLGNKALESWAAVRRDEVGRMLRSMHASGRKGEPVMLGGMLIYTIANMIGRVILSGRVFETKGSEANEFKDMVVELMTLAAQVNISDFLPAVAWMDLQGLEARMKKLHKKFDRVLSRMVAEHEASKGEREGRPDLLDAVMAIRDGPAEEKLTNDNVKALLLNLFTAGTDTSKGTIEWAMAEMQLNPSILRRAQAEMDGIIGRNRRLEESDIPNLPYLQAICKESFRKHPSTPLNLPRISTQACEVNGYYIPKNTKLFVNVWAIGRDADVWEHPLEFNPDRFMTAKGAKIDPRGNDFELIPFGAGRRICAGVRAGVVLVQYMLGSLIHSFDWSLPEGSKPDMGETFGIALQKTVPVAAMVSPRCAPSVYD >Ma04_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2994259:3000645:1 gene:Ma04_g03880 transcript:Ma04_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVDPPNGVGNQGKHYFSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINHETNEKVAIKKIHNVFGNRVDALRTLRELKLLRHIRHENVVALKDVMMPAQRRTFRDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYIHSANILHRDLKPGNLLVNANCDLKICDFGLARTSSGKGQFMTEYVVTRWYRAPELLLCCDYYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIISVLGTMSDADIGFIDNPKARKYIKCLPYTPGIPLAHLYPKANPLAIDLLQKMLVFDPSKRISVTEALEHPYMSSLYDPSANPPAEVPIDLDIDENLGEDMIREMIWKEMVFYHPEASAN >Ma06_p31150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32324051:32328555:-1 gene:Ma06_g31150 transcript:Ma06_t31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAAWSAGAPTIDLRVLRARGPLAAALCCASVAASRLWSSTPFRPIFASISASASPTAVKKEAVQTEKAPAALGPYSQAIKVNNLVFVSGVLGLVPETGKFVSNNIEEQTEQVLKNMGEILKASGATYASVVKTTIMLADLQDFKKVNEIYAKYFPSPAPARSTYQVAALPMNARIEIECIATL >Ma04_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23119820:23140176:1 gene:Ma04_g20420 transcript:Ma04_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRGKAYIFSISIVIEGCSTMGVWGPYCNQSVNMIPCFQSSIDKHSRKLLDLNMYSWGSLNHMAQAGEDKEANYHLSSKWMTMKYKQVDNNASMFVTAENLMTCNNTIELSCLRNGELNIYAVDIVSITSQFVVSLTDLRFNQTSSTENLGNFSEILLMSYARYNAMPLKSLHDYSTDISRAPLIVNSPKVGRWYIAFQAVNQTEASGTMQETFLNGSLCFSFMLQVPECSSGKAGFNCTWEAHTLQRASKNSAVPFASYYLPVSELGSTDAGFSLDNLLSNSSVEQTAWTYFFFDIPTGAAGANMHVRLSSDTKLNYGVYTKFSGLLTMDNWDYFANSTSRSNDSMFLASDDSSGKNIDFYVLSAREGTWCIGLEHPLNANNKYQTTMSIWLEGCPNHCSHHGACRNSIDESGLTFYSYCACDRDHGGFDCSNELVTHKGHIWQLIFLVASNAAAILPAFWALRQKAFAEWVLFTSSGISSGLYHACDRGTWCVLSFHVLQFLDFWLSFMAVVSTFIYMATIDEASKRAIHTSISILTALLAVTGATRSANIAIVVAIGTAGLLLGWFLEFSVHRAIHCPLRFDLNMPERWQNLRSWLQNLIRTLQKRFHWLYLLLGFFTLALAAACRTLEANESYWIWHSFWHITIYTSSFFFLYSMRTNESNEQQEPAYELTRQDSLPRTE >Ma04_p20420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23112508:23140176:1 gene:Ma04_g20420 transcript:Ma04_t20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNLRLLVLLCSWAMATVGFSACQAPSVVSSFSRPKVWLGPYDWTYLRVELPPWFSSMAINFVSNVNIDKEQAKQYPKSNLPLVCFRGGSPPIPDVSGIHLDDSLSKFVLYGSFGGASNLSFIDQCIPFQKNLSVTLTNELISPGIWYFGFFNGLGPARTQSKMINRGKAYIFSISIVIEGCSTMGVWGPYCNQSVNMIPCFQSSIDKHSRKLLDLNMYSWGSLNHMAQAGEDKEANYHLSSKWMTMKYKQVDNNASMFVTAENLMTCNNTIELSCLRNGELNIYAVDIVSITSQFVVSLTDLRFNQTSSTENLGNFSEILLMSYARYNAMPLKSLHDYSTDISRAPLIVNSPKVGRWYIAFQAVNQTEASGTMQETFLNGSLCFSFMLQVPECSSGKAGFNCTWEAHTLQRASKNSAVPFASYYLPVSELGSTDAGFSLDNLLSNSSVEQTAWTYFFFDIPTGAAGANMHVRLSSDTKLNYGVYTKFSGLLTMDNWDYFANSTSRSNDSMFLASDDSSGKNIDFYVLSAREGTWCIGLEHPLNANNKYQTTMSIWLEGCPNHCSHHGACRNSIDESGLTFYSYCACDRDHGGFDCSNELVTHKGHIWQLIFLVASNAAAILPAFWALRQKAFAEWVLFTSSGISSGLYHACDRGTWCVLSFHVLQFLDFWLSFMAVVSTFIYMATIDEASKRAIHTSISILTALLAVTGATRSANIAIVVAIGTAGLLLGWFLEFSVHRAIHCPLRFDLNMPERWQNLRSWLQNLIRTLQKRFHWLYLLLGFFTLALAAACRTLEANESYWIWHSFWHITIYTSSFFFLYSMRTNESNEQQEPAYELTRQDSLPRTE >Ma04_p20420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23112459:23140176:1 gene:Ma04_g20420 transcript:Ma04_t20420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNLRLLVLLCSWAMATVGFSACQAPSVVSSFSRPKVWLGPYDWTYLRVELPPWFSSMAINFVSNVNIDKEQAKQYPKSNLPLVCFRGGSPPIPDVSGIHLDDSLSKFVLYGSFGGASNLSFIDQCIPFQKNLSVTLTNELISPGIWYFGFFNGLGPARTQSKMINRGKAYIFSISIVIEGCSTMGVWGPYCNQSVNMIPCFQSSIDKHSRKLLDLNMYSWGSLNHMAQAGEDKEANYHLSSKWMTMKYKQVDNNASMFVTAENLMTCNNTIELSCLRNGELNIYAVDIVSITSQFVVSLTDLRFNQTSSTENLGNFSEILLMSYARYNAMPLKSLHDYSTDISRAPLIVNSPKVGRWYIAFQAVNQTEASGTMQETFLNGSLCFSFMLQVPECSSGKAGFNCTWEAHTLQRASKNSAVPFASYYLPVSELGSTDAGFSLDNLLSNSSVEQTAWTYFFFDIPTGAAGANMHVRLSSDTKLNYGVYTKFSGLLTMDNWDYFANSTSRSNDSMFLASDDSSGKNIDFYVLSAREGTWCIGLEHPLNANNKYQTTMSIWLEGCPNHCSHHGACRNSIDESGLTFYSYCACDRDHGGFDCSNELVTHKGHIWQLIFLVASNAAAILPAFWALRQKAFAEWVLFTSSGISSGLYHACDRGTWCVLSFHVLQFLDFWLSFMAVVSTFIYMATIDEASKRAIHTSISILTALLAVTGATRSANIAIVVAIGTAGLLLGWFLEFSVHRAIHCPLRFDLNMPERWQNLRSWLQNLIRTLQKRFHWLYLLLGFFTLALAAACRTLEANESYWIWHSFWHITIYTSSFFFLYSMRTNESNEQQEPAYELTRQDSLPRTE >Ma04_p20420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23118946:23140176:1 gene:Ma04_g20420 transcript:Ma04_t20420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKGCRALKDKEQAKQYPKSNLPLVCFRGGSPPIPDVSGIHLDDSLSKFVLYGSFGGASNLSFIDQCIPFQKNLSVTLTNELISPGIWYFGFFNGLGPARTQSKMINRGKAYIFSISIVIEGCSTMGVWGPYCNQSVNMIPCFQSSIDKHSRKLLDLNMYSWGSLNHMAQAGEDKEANYHLSSKWMTMKYKQVDNNASMFVTAENLMTCNNTIELSCLRNGELNIYAVDIVSITSQFVVSLTDLRFNQTSSTENLGNFSEILLMSYARYNAMPLKSLHDYSTDISRAPLIVNSPKVGRWYIAFQAVNQTEASGTMQETFLNGSLCFSFMLQVPECSSGKAGFNCTWEAHTLQRASKNSAVPFASYYLPVSELGSTDAGFSLDNLLSNSSVEQTAWTYFFFDIPTGAAGANMHVRLSSDTKLNYGVYTKFSGLLTMDNWDYFANSTSRSNDSMFLASDDSSGKNIDFYVLSAREGTWCIGLEHPLNANNKYQTTMSIWLEGCPNHCSHHGACRNSIDESGLTFYSYCACDRDHGGFDCSNELVTHKGHIWQLIFLVASNAAAILPAFWALRQKAFAEWVLFTSSGISSGLYHACDRGTWCVLSFHVLQFLDFWLSFMAVVSTFIYMATIDEASKRAIHTSISILTALLAVTGATRSANIAIVVAIGTAGLLLGWFLEFSVHRAIHCPLRFDLNMPERWQNLRSWLQNLIRTLQKRFHWLYLLLGFFTLALAAACRTLEANESYWIWHSFWHITIYTSSFFFLYSMRTNESNEQQEPAYELTRQDSLPRTE >Ma11_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7247068:7248455:1 gene:Ma11_g09070 transcript:Ma11_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRERRLPHLNLTLEIPDPASASGCGLRFPLPPPPPTTTMIPCSTSSSSSAPAPAPAPSAEFRISDFEKLRVLGHGNGGTVYKVRHRRTAAVYALKVVHTDAALRCQVYREVDILRRVAESNHVVRFHAVVPTPSGDVALLLEHMDGGSLDALLRRRPFPEPAVAAIARQALLGLAELHSRNIVHRDIKPANLLINAAGEVKIADFGVGKVLRRSLDPCDSYVGTCAYMSPERFDPESHGGDYDPYAADVWSLGLAVLELHRGHFPLLPEGARPDWATLMVAICFGEAARAVTERAASGEFRGFIECCLQKESGKRWSVAELLGHPFVAGADGVESERALRDLLREDSDES >Ma07_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4180579:4186863:-1 gene:Ma07_g05740 transcript:Ma07_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVFSLLIALLLFASPIVQVARCQSDEDVAATEVIEGSNLGIVGDDTQVFGDGTLGPAPGIDTVCVFPKNAARLVPAGEETELLVGLHNEGESTLEVVAIRASLHLPFDHHMFVQNLTLQEFYNASVPVSAQATFPYVFAVSKYLQPGSFDLVGTIVYEIDQQPYQSIFYNGTVEVVEAGGFLSIESVFLVTLGVALIGFLGLWAYGQIQQFSKKTKRSPKVEVGTGTTDANMDEWLEGTAYAQSLSSKSKKKK >Ma09_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11808914:11817310:1 gene:Ma09_g16430 transcript:Ma09_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3138 [Source:Projected from Arabidopsis thaliana (AT5G18570) UniProtKB/TrEMBL;Acc:A0A178UG16] MATAAFALSSLRFRPLSAAGARPKAGSPKRPSRPKPNLKSRKVSGGPPSYRSSSGGGGEATTYTRLPPKGDFSLDPSVVPFSSATSGEIRLRESPVPALNSSKEKHSDRHRSRGYDKKAKGSFSVEVIEDEDELLTFEPDGKFSLDPSLGFHARGSGEFRVQQDIEGSDDEFLEFESDEDEVIVGYGSTHPEVEASDLDLESESDGELEGYLDGDNEFGNGEVKEKGVPAIMRCFDSAKIYVKAGNGGNGVVAFRREKFVPFGGPSGGDGGKGGDVYVEVDGAMNSLLPFRKNVHFRAGRGGHGQGRKQAGAKGEDVVVKVAPGTVIREAFKGGMLGEVLLELMHPGQRALLLPGGRGGRGNASFKSGTNKVPKIAENGEEGAEMWLDLELKLVADVGIVGAPNAGKSTLLSAISAAQPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGFGLGHEFLRHAERCSVLVHIVDGSGLQPEYEFDAVRLELELFSPGLAEKPYIVVYNKMDLPEAYESWDSFKEHLQARGIQPFCSSAMNRQGTHDIVVAAYELLREERKSKRESEEWTGPLNLNHVADTIQKQRSSSMNEFEIFYDSSSNTWHVDGAGLRRFVQMTNWQYLESLRRFQHVLEACGVNKSLIKRGIKEGDTVIISEMEMVWHDEIEKNDSSSVRKRATGSVKWPQLY >Ma06_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:146300:150937:-1 gene:Ma06_g00200 transcript:Ma06_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACEACRSVVGGISRRSARIAYCGLFALSLVVSWVLREVAAPLMESLPWINHFRKTPDREWFETDAVLRVSLGNFFFFTLLAVLMIGIKDQKDPRDRLHHGGWMAKIVCWCIVVFLMFFVPNGIVSFYETISKFGSGLFLLVQVVLLLDFVHAWNENWVSKDEQFWYMALLIVSLVCYVATFSFTGLLFHWFTPSGHDCGLNTFFIVLTLIFVFVFAVVALHPKVNGSLLPASVISLYCTYLCYSGISSEPRDYECNGLHNHSKAVSTGSLTLGLLTTVLSVVYSAVRAGSSTTLISPPSSPRAGSEKPLLPFDKLEEQEDKKKDEAKPVSYSYAFFHLIFSLASMYSAMLLTGWSTSVGGSGKLVDVGWPSVWVRIITGWATASLFIWSLIAPLIFPERVF >Ma10_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4526434:4529296:1 gene:Ma10_g01360 transcript:Ma10_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSLSLLARSSGSSSSSSRICLLLLVAGLAAVAAASYSPAEQQEQDRVARLPGQPRVDFAQYSGYVTVEARAGRALFYWLVEAPPSAQPAPLVLWLNGGPGCSSIAYGASEELGPFRIDSDGKTLYLNPYAWNTVANVLFLDSPAGVGFSYTNTSSDLHTSGDHRTAVDAYNFLVKWFERFPQYKHREFYIAGESYAGHYVPQLSQLVYRKNAGVENATINFRGFLVGNAVTDDYHDYVGTFEYWWTHGLISDATYRILRVRCDYQASEHPSDACVNALDTADSEMGNIDPYSIYTLPCNDHPRSLKRNLRGHYPWMSRAYDPCTERYSRIYYNRADVQRAMHANVTGIPYGWDTCSDTISENWGDSPKSMLPIYRELIAAGLRIWVFSGDTDAVVPLTATRYSIDALRLRTLEKWYPWYDHGKVGGWSQIYKGLTYVTVTGAGHEVPLHRPRQALMLFEHFLKNKPMPAQP >Ma08_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35357539:35358317:1 gene:Ma08_g21500 transcript:Ma08_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNMVVFSLVLMVAAAFFASATTTASSSHPELRSALSTKGHEEDGEGVGERSRQRRTWPCCDRCGGCTKSTPPQCQCQDMVRSCHPSCRHCVRSPLSVSPPLYQCMDRIPNYCRRRCTPEPLLAQ >Ma09_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9212095:9213769:-1 gene:Ma09_g13580 transcript:Ma09_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGKLAMLTLAAVACTYAAKHLFPGQSPFLLSLPLLLFFLPFVFSRSGSNGAPPGPVSFPIFGNWLQVGNDLNHRNLVNMAKKYGNVFLLRLGVRNLVVVSDPKLATEVLHTQGVEFGSRPRNVVWDIFTDSGKDMVFTEYGDHWRRMRRIMTLPFFTNKVVQQYRGMWEEEMDMVLRDLRGDRAAQSEGIVVRRRLQLMLYNIMYRMMFDARFESVSDPLFQQATRFNSERSRLAQSFEYNYGDFIPILRPFLRSYLNKCRDLQSRRLAFFNNNYVEKRRKLMAEREGDRLRCAMDYILEAEMNGEISSDNVIYIVENINVAAIETTLWSMEWAIAELVNHPNAQTRLRKELRDVLGDEPVTETNLHRLPYLQAVVKETLRLHSPIPLLVPHMNLEEAKLGGYDIPKRTKVIVNAWWLGNNPEWWNKPEEFRPERFLDEETEVEALVGGKVDFRFLPFGVGRRSCPGIILALPLLGLIVGKLVKEFEMVPPPGTDKIDVTEKGGQFSLQIAEHSTIAFHPIAP >Ma10_p30280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36626465:36632727:1 gene:Ma10_g30280 transcript:Ma10_t30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFRCLPSNKSTIHLSSHQLCNLFNFSTAKDQSSEHSSNFIVVDSLHSCELSSEKAAKKAKYHTCHKNSSSLSIEFFKQSGWSDAQVMKLIQRSPLLLRTKVETVLKPRMRSLKDMGFSDTEIVQLVSSCPSVLLIRDIQPKINFWRSLLCSNERLLKASRRNLFLLTSSLARKIKPNISLLRECGISDKLIANMVVTGPAILGRSNKCMKEAIKYVEELGVPRNCRMFPYALKIVAGMSRSRFDATFATLMNFGFSQSDIIDVFRKQPTVWVFSKKNICDKMTFLMKEAGCELTYIISHPAILIYSLEKRLKPRYEILNFLEQNKLLDKVNGLITMIMLSEKKFRNKFLFLLREEKYIALYDSYVAAVHGKRHVVVEN >Ma05_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2919830:2926355:1 gene:Ma05_g03910 transcript:Ma05_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGSGLTFKLHPLVIVNISDHHTRVRAQIQHSASAAPLAEGGGGRVFGCVIGVQRGRTVEIFNSFELLYDPLTHSLDRSFLEKKQELYKKVFPNFYILGWYSTGSEAQESDLQIHKALMDINESPVYVLLNPLINHAQKDLPVTIYESELHIIDGIPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVVHQYLVAMQKGDIPLDNSFLRQVSSLLRRLPAMESEKFQDDFLMEYNDTLLVAYLAMLTNCSSTLNELVDKFNTTYDRNSRRGGRTAFI >Ma10_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4320017:4323622:-1 gene:Ma10_g01250 transcript:Ma10_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGFAKEVLPPSLDSTSKPPAIFDGTTRLYISYVCPYAQRTWIARNYKGVQENIKLVPIDLENKPAWYKEKVYPANKVPSLEHNNKVKGESLDLVKYIDKYFEGPALLPDDPAKQQFAEELLSYNDSFNKAMYSAMPSNADVDDDAGAALDKLEDALSKFDDGPFFLGQFSLVDIAYAPFIERFQTFFEEVKNYDITKGRPKLTLWIEELNKIDAYTHTRRDPQELLMRTKKRFGIA >Ma03_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19014196:19017634:-1 gene:Ma03_g16440 transcript:Ma03_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENELELSLGLSFGGSSGKSKSRSIPSDSMVEEGSISQSMGRSVTISDVPFKNFFQNNGGNQDQSGKQAVSPPQENFWKDIGKCSAPTADGYEIAQSSQSQFTRNKEIQIANNRSNETEEENSGMKKRRLHSEETNFQKKHEKVAGHADVVGKGPDDVTVPKHSHRSIAVDNASTGENEDVAESETEVSSSWLVSQHVDIAKRPDLLKGTAKPALSDPSRIGFQGQIQQNYSGNISDVELPKVTYGTPSSLKPIAVSMVPYSVPAKPTTAVASIAASYPSPCVVQATLPTNNDHTMVQGTNTDGQQLVFGYSAVQLPTLETNSSWAFGSQPQVVSSSTVGTSNSQLVEVEAKRSNVPIQIHSSTNLGYEKKLAGVGKGNGKHVMETGTSSSSHAEEGKGINSIFRQKEIAKSPIVEGFLHDVSSIKPGVASNLKFGGCGSFPDLPWVSTTGPGPKGKTISGVTYKYSENQIRIVCACHGSHMSPEEFIQHASADPINPENNTSLASFTSSPSGSAQN >Ma03_p16440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19014196:19017303:-1 gene:Ma03_g16440 transcript:Ma03_t16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENELELSLGLSFGGSSGKSKSRSIPSDSMVEEGSISQSMGRSVTISDVPFKNFFQNNGGNQDQSGKQAVSPPQENFWKDIGKCSAPTADGYEIAQSSQSQFTRNKEIQIANNRSNETEEENSGMKKRRLHSEETNFQKKHEKVAGHADVVGKGPDDVTVPKHSHRSIAVDNASTGENEDVAESETEVSSSWLVSQHVDIAKRPDLLKGTAKPALSDPSRIGFQGQIQQNYSGNISDVELPKVTYGTPSSLKPIAVSMVPYSVPAKPTTAVASIAASYPSPCVVQATLPTNNDHTMVQGTNTDGQQLVFGYSAVQLPTLETNSSWAFGSQPQVVSSSTVGTSNSQLVEVEAKRSNVPIQIHSSTNLGYEKKLAGVGKGNGKHVMETGTSSSSHAEEGKGINSIFRQKEIAKSPIVEGFLHDVSSIKPGVASNLKFGGCGSFPDLPWVSTTGPGPKGKTISGVTYKYSENQIRIVCACHGSHMSPEEFIQHASADPINPENNTSLASFTSSPSGSAQN >Ma04_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3017285:3022269:-1 gene:Ma04_g03930 transcript:Ma04_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRGPFSIHVVVVVLVLLQLLAAGAPVVSASAANNTLRPGEELPKYRRIRAHLKRLNRPSLKTIQSPDGDLIDCVPSHLQPAFDHPMLKGSKPLDPPERPKGHKAGVPTDDNIQLWRTSGETCPEGTVPIRRTKEEDILRASPARRFGRKPVSRTRRDSEGSGHEHAVGYVAGDQYYGAKASLNVWAPRVASSSEFSLSQIWVISGSFGSDLNTIEAGWQVSPQLYGDSSPRFFTYWTTDAYQATGCYNLLCSGFVQTSNRIAVGAAISPTSRYNGVQFDISLLIWKDPKHGNWWLEFGSGVLVGYWPSSLFSHLAGQATMVQFGGEIFNTHASGFHSSTQMGSGHYAQEGFGRAAYFRNLQVVDWDNSLIPAANLKVLADHPNCYDVQARLNTAWGNYFYFGGPGRSIRCP >Ma04_p39380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36545166:36551772:-1 gene:Ma04_g39380 transcript:Ma04_t39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKFLHTFADEDPEMKRQIGCMTGIFQIFDRQRLLTGRRLSGHSHQGVSSGKVLSNRSSVGTEGNRCSPHIVLERSLSKSLNENQRISVESSRTSYSSSSCSSFSSLDCNKSSQEEHPSVERICCTERSVKDSPKLKSHEVHAKPICCELQGNPPNVSIQTDFRSLDFQDVVKDSIYKDTQPLSVRTSLKEVTKNHTLKHKDSPRPILLSKSMDASHTTEIDGRSRVHIDLGESLRVLAKLKKAPWYFSEANEPPRKSYEAKDTSFYPLSKEAPRFSYDGRDSRSSLDSRESSKISSKLRELPRLSLDGRECSNTTLKEFDRSSINRRADVILEHQQEPGSCKRPHSIVAKLMGLEAEPNSQEQVVLPDTNSNKKFDNFNRQKNIGFASKQLTNTQDCKEDLLSCSHKCFIKDPAIPLQKRPTSIIKPVFQSRVPIEPAPWRHNDKICIPQKMTFVPRECQIKKQSESVYSEIEKRLKELEFQQSNKDLRALKHILDAMHAKGLLETKNTADQPSKTSVSSSPSGSAQNVGTIDAQNTIGSHPTFTKGDKTSRAFDSPIVIMKPAKSFNRLDISPSSVIPLEGLSGLQRLRTSNLVDKKKASVSMTLHKDQTPKACRETACQPPLYEDKKFRKEENGTQKNCMRMSQVSPRLQGALREDFGSPVKASNSLSPRLQLKKSEMEKRSRPPLPSSPSNMPPKQPANRYSSESVSPRGRLRRKPAQAQQNNDQLNDTSSETRSRNDQYDKISLKPDGHISLISQADTEVIRSNHSDDPCIFRQGNQSPSGRGAKSASSAMYRKKNSHSSKEDGLAVEIETAVPKQPIPIPVLHASLNQDDLPPKEISSKSFEDDEIHASSVDCRNPTGLPDAPSPNLSSGFNQKKLANIEHLVQKLRQISSKDDEASTTDHIALLCEKQSPDHRYVSEILLASGLLMRDLTSGPISTVPIQLHPSGHPINPDLFLVLEQTKSPCLAKPVTVSQNIVQLKSDPEKLQRKLVFDVVNELLIQKLKLASPGPRPDPLLQVRKAKFPSGQRLLKEICSDIEHLKAESFVAGSLYGDNSFMAGEDMLRHSEGWTDSGKELPTIVLEIERSIFKDLIDEVISGQGATGFQSKASRRQTVRR >Ma07_p01160.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:893734:905563:1 gene:Ma07_g01160 transcript:Ma07_t01160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEENNHACKRSRVVGNGSQAAKIGRKEHQDEDEDDGERKGGGADVGRVAPWQHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAATAAINELPPLDGFPKLLQPSGDDQMKADPVVEPAYNQQQHPPTKSGCSSNSDTSKGSVSSLSRSESRVMARERARERAAKDKEKDRDDSGHIMASHHQNLNPRLNPQTPSFTELLTGGSGNNGGSNISAVAAGGENPGHNCIQKQISTADYFGQAGLFTQSQKSHQLPSGFSSQPHFGNSSPMGILPFNIAAAGDHQEMQQFPFLHEHFFPVSAVAATGDHNLNFSISSGLAGFNRGTLQSNSPAQLPQQQHHSHNHLQRLSSTVDGSNLQFFFGAAAGSAAAATNTENQFQSGFDGRLQLCYGDGYRQSDLKGKGKS >Ma07_p01160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:893734:905563:1 gene:Ma07_g01160 transcript:Ma07_t01160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEENNHACKRSRVVGNGSQAAKIGRKEHQDEDEDDGERKGGGADVGRVAPWQHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAATAAINELPPLDGFPKLLQPSGDDQMKADPVVEPAYNQQQHPPTKSGCSSNSDTSKGSVSSLSRSESRVMARERARERAAKDKEKDRDDSGHIMASHHQNLNPRLNPQTPSFTELLTGGSGNNGGSNISAVAAGGENPGHNCIQKQISTADYFGQAGLFTQSQKSHQLPSGFSSQPHFGNSSPMGILPFNIAAAGDHQEMQQFPFLHEHFFPVSAVAATGDHNLNFSISSGLAGFNRGTLQSNSPAQLPQQQHHSHNHLQRLSSTVDGSNLQFFFGAAAGSAAAATNTENQFQSGFDGRLQLCYGDGYRQSDLKGKGKS >Ma07_p01160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:893734:905563:1 gene:Ma07_g01160 transcript:Ma07_t01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEENNHACKRSRVVGNGSQAAKIGRKEHQDEDEDDGERKGGGADVGRVAPWQHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAATAAINELPPLDGFPKLLQPSGDDQMKADPVVEPAYNQQQHPPTKSGCSSNSDTSKGSVSSLSRSESRVMARERARERAAKDKEKDRDDSGHIMASHHQNLNPRLNPQTPSFTELLTGGSGNNGGSNISAVAAGGENPGHNCIQKQISTADYFGQAGLFTQSQKSHQLPSGFSSQPHFGNSSPMGILPFNIAAAGDHQEMQQFPFLHEHFFPVSAVAATGDHNLNFSISSGLAGFNRGTLQSNSPAQLPQQQHHSHNHLQRLSSTVDGSNLQFFFGAAAGSAAAATNTENQFQSGFDGRLQLCYGDGYRQSDLKGKGKS >Ma07_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:893734:905563:1 gene:Ma07_g01160 transcript:Ma07_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEENNHACKRSRVVGNGSQAAKIGRKEHQDEDEDDGERKGGGADVGRVAPWQHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAATAAINELPPLDGFPKLLQPSGDDQMKADPVVEPAYNQQQHPPTKSGCSSNSDTSKGSVSSLSRSESRVMARERARERAAKDKEKDRDDSGHIMASHHQNLNPRLNPQTPSFTELLTGGSGNNGGSNISAVAAGGENPGHNCIQKQISTADYFGQAGLFTQSQKSHQLPSGFSSQPHFGNSSPMGILPFNIAAAGDHQEMQQFPFLHEHFFPVSAVAATGDHNLNFSISSGLAGFNRGTLQSNSPAQLPQQQHHSHNHLQRLSSTVDGSNLQFFFGAAAGSAAAATNTENQFQSGFDGRLQLCYGDGYRQSDLKGKGKS >Ma07_p01160.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:893734:905563:1 gene:Ma07_g01160 transcript:Ma07_t01160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEENNHACKRSRVVGNGSQAAKIGRKEHQDEDEDDGERKGGGADVGRVAPWQHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAATAAINELPPLDGFPKLLQPSGDDQMKADPVVEPAYNQQQHPPTKSGCSSNSDTSKGSVSSLSRSESRVMARERARERAAKDKEKDRDDSGHIMASHHQNLNPRLNPQTPSFTELLTGGSGNNGGSNISAVAAGGENPGHNCIQKQISTADYFGQAGLFTQSQKSHQLPSGFSSQPHFGNSSPMGILPFNIAAAGDHQEMQQFPFLHEHFFPVSAVAATGDHNLNFSISSGLAGFNRGTLQSNSPAQLPQQQHHSHNHLQRLSSTVDGSNLQFFFGAAAGSAAAATNTENQFQSGFDGRLQLCYGDGYRQSDLKGKGKS >Ma05_p29420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40109943:40113795:-1 gene:Ma05_g29420 transcript:Ma05_t29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVSGAAAKAGKKKGSTFVIDCAKPVEDKIMDIASLEKFLQERIKVAGGKAGALGDAVTVSRDKSKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEAEEED >Ma09_p26360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37655506:37656588:1 gene:Ma09_g26360 transcript:Ma09_t26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVNAHRRLLPSSSPVTPRAGVAPSAAGIPVAPNRKHPVILKQTTRPGARCAEVAGGTAADCVAVCCLGPCLVVNLLVLTAVRLPAVACRRALRAREKRRQRARKRKETALLGPKAGFGGDGSSSDAQEDSNGDVDGLRREAGPSPVELEREMLAQFQGMGFWRSPSNGDRAPTYDDGACNVSQR >Ma11_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27259804:27264334:-1 gene:Ma11_g24180 transcript:Ma11_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGLMIPARQPPSMIGRNTGTGYGSSSVLSLSQPNLLEGQQIPLQHQHHNQFVEIAQATTAESEMARAREDDFESKSCSENIEGASGDDQDQNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRNELSRQLGLEPLQVKFWFQNKRTQMKNQHERQENSQLRADNEKLRADNLRYKEALSNASCPNCGGPAALGEMSFDEHNLRIENVRLREEIDRISGIAAKYVGKPVASYPLLSPAIPSRSPLDLGVGGLGVQQGIGSEMFGAGELLRSVSGLPEIEKPVVIELAVAAMEELIRMAQLSEPLWIPGLDGAAETLNEDEYVRTFPRGIGPKLLGLKSEASRETAVVIMNQMHVVEILMDVNQWANVFSGIVSRALTLEVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRESLFVRYCKQHADGTWAVVDVSLDSLRPSPVLRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYRPLVNSGLAFGAKRWVSTLDRQCERLASVMASNIPSGDIGVITTPEGRKSMLKLAERMVISFCGGVGGSASHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGGVQEMAHIANGRDHGNCVSLLRVNSTNSSQSNMLILQESCTDSTGSYVIYAPVDVIAMNVVLNGGDPDYVALLPSGFAILPDGPCGGQGGEMVDGVGSGGSLLTVAFQILVDSAPTAKLSLGSVATVNSLIACTVERIKASAGGESAH >Ma07_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5073199:5075952:-1 gene:Ma07_g06990 transcript:Ma07_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHKIKLLLGFLCSLLALLVLLALCHYFRKRLRWRGRRKSESLEVGDERGGAGAEEEEEEEELEAEGLVKFAGAESLTVHDILEAPGEVVGKSSYGTLYRAKIERSGSVLLLRFLRPSSAGRTGEVLLVARALGAVRHPNLVPLRAMYVGPRGEKLFLQPFYAAGSLKQFLRAGVAEAHRWDIILKLSLGIAKGLDHLHTGLEKPIVHGNLKTNNILLDADYQPHLADFGLHLMLNPAAAQEMLKASAAQGYKAPELVKLKDASKETDVYSLGIILFEMLAQKDPININFLQSKDFHLPISLRNLVLDHKISDVFDSELLSQSLDKNSGKAHGLLMLFQLAIACCSPSPASRPDTKHVISRLEVIA >Ma06_p32380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33314713:33315690:1 gene:Ma06_g32380 transcript:Ma06_t32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKENAAKRALRMTGQDVQPAVHFLVEELGKYSGNRRIFSDKERFCIALYFAREYCVRHLSDCSLVSRINTCTLLVNLFCSFDRDLAAQALRVNENEVQKALDLLTNPESPSESTWKLGVSDSSGADVEELVSMGYNRSSVVDAVQRSLTKEDVLKLPVGANSEDSQHATINQPKDD >Ma01_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7292540:7314319:1 gene:Ma01_g10170 transcript:Ma01_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGSHRVKFNAAKEIESPRFRAILRATSGRRKRAPTDVKSFSHELNSKRTHHIPIRKLRGLSSPEEIMGVIRSKFKRLKEEVDSELGVLAGDLVGILEKDTDAHPEWREPLEDLLVVTQNCAEMSPDEFWSSCEGIVQNLDDRRQELPMGTLKQVHTRILFILTRCTRLIQFQKEGAYREHEHILGLHQLSDLGFYPESRDGSFKISLGSKDTKERIIMKRIQEHRFLNHDFSSQQIDSPSSRARISSWKKLPSANEKNQKRFHDKNEYPSKKVLDSLPPIERAKLASSTEDLGVLASSTEPLPEAEEVASADYSGDQQIPADVKPKMICRICDFEIPTIYAEGHFRVCTIADRCYSKGLTIDERLDGVAEILERILASCTPKNTDIVEIHEIVDRVVVGNLIASNLNELPVTSSNSRSALSSGSMTPQSPIMTPRTSQIDLLLLGTKAFADHENFQQIESLLDIVRCIARIKTCNYSSLEDLSSCLEDLNAVVDTRKVDGLVVETFGRQIAKLLQEKFIHLCGQIDDGIKDVSSVIVDDGSLGNGRTLGAKLKDRTSIEDFEIIKPISRGAFGRVFLARKRVTGDLFAIKVLKKSDMVRKNAVESILAERNILISARNPFVVRFFYSFTCRENLYLVMEYINGGDLYSLLRNLGCLDEDMARTYVAEVVLALEYLHSLNVIHRDLKPDNLLIAWDGHIKLTDFGLSKVGLINSTDDLSGPDVSGSAILGDDEPIPAEQRALKREQRQKQSAVGTPDYLAPEILLGMQHGRTADWWSVGVVLFELLVGIPPFNAEHPQKIFDNIMNRDIPWPQVPEEMSFEAHDLIDKLLSQNPVQRLGATGAGEVKSHPFFRTINWDMLARQKVAFIPSTEGDDDTSYFASRHPWNAADEQLHGTSREYDNMSDACSTSCCSSPHSSILDEDGDQCGSMADFGPTLSVKYSFSNFSFKNLSQLASINYDLITKCSKDSAEASQP >Ma07_p23010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30771411:30777215:-1 gene:Ma07_g23010 transcript:Ma07_t23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKVKRRVGKYELGRTIGEGTFAKVKFARNVETGEPVAIKILDKEKVLKHKLVEQIKREIATMKLIKHPNVVRIYEVMGSKTKIFIVLEFVTGGELFDKIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLFHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFEDSNLMILYNKISAADFTCPPWLSFGAMRLLARILDPNPMTRITIPEILEDDWFKKGYKPPVFKEKYETSLDDVDAVFKDAEEHHVTEKKEEQPTTMNAFELISMSKGLDLGNLFDTQQEFKRETRFTSTCPANEIVSKIEEAAKPLGFDVQKKNYKMRLENVKAGRKGNLKVATEVFQVAPSLHMVEFYKNLSNSLKDVVWIPEEDVKDQAAQSKNT >Ma07_p23010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30771411:30777215:-1 gene:Ma07_g23010 transcript:Ma07_t23010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKVKRRVGKYELGRTIGEGTFAKVKFARNVETGEPVAIKILDKEKVLKHKLVEQIKREIATMKLIKHPNVVRIYEVMGSKTKIFIVLEFVTGGELFDKIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKDDGLFHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFEDSNLMILYNKISAADFTCPPWLSFGAMRLLARILDPNPMTRITIPEILEDDWFKKGYKPPVFKEKYETSLDDVDAVFKDAEEHHVTEKKEEQPTTMNAFELISMSKGLDLGNLFDTQQEFKRETRFTSTCPANEIVSKIEEAAKPLGFDVQKKNYKMRLENVKAGRKGNLKVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSNSLKDVVWIPEEDVKDQAAQSKNT >Ma07_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30771411:30777215:-1 gene:Ma07_g23010 transcript:Ma07_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKVKRRVGKYELGRTIGEGTFAKVKFARNVETGEPVAIKILDKEKVLKHKLVEQIKREIATMKLIKHPNVVRIYEVMGSKTKIFIVLEFVTGGELFDKIANHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLFHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFEDSNLMILYNKISAADFTCPPWLSFGAMRLLARILDPNPMTRITIPEILEDDWFKKGYKPPVFKEKYETSLDDVDAVFKDAEEHHVTEKKEEQPTTMNAFELISMSKGLDLGNLFDTQQEFKRETRFTSTCPANEIVSKIEEAAKPLGFDVQKKNYKMRLENVKAGRKGNLKVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSNSLKDVVWIPEEDVKDQAAQSKNT >Ma09_p27630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38585874:38587795:-1 gene:Ma09_g27630 transcript:Ma09_t27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSKINQIQAPTFGNLITILSIDGGGIRGIIPATILSFLESELQKLDGEDARIADYFDVISGTSTGGLVTAMLTAPDDNNRPLFAAKDIKSFYLEHSSKIFPQIGGLLAGVRRMFQAVWGPKYNGKYLHSLIKEKLGGVRLHQTLTNVIIPTFDIKQLHPIIFSSYEVKRNRILDARLSDICIGTTAAPTYLPAHYFQTKCSKGSSREFHLVDGGVAANNPALVSVGEVTKELHKKNPDYFPYKPMDYRKILLISLGTGSAKVEGKFSAKRASKWGVLGWLLSSGSNPLFDVFMQSSADMVDIHLSVVFQALQSKSNYLRIEDDTLSGTVSSVDISTKENLADLVNVGEQLLKKPVSRVNLETGIYEPVGNGEGTNEDALKRFARILSDERRLRELRSPSVKSP >Ma05_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7082995:7085759:-1 gene:Ma05_g09860 transcript:Ma05_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:Projected from Arabidopsis thaliana (AT3G23920) UniProtKB/TrEMBL;Acc:A0A178VNG4] MALHLSHQIGTLCGTPVVSETAAAAGGDQSSAAAVSTAAMRKPQTTGAPNLRCRIQRASGQGELDSVSPPMSPCRSPALAATRPDLSVACQALVADVDPATADEKVVREYLGGGGEGGAGKGKGVPVYVMLPLDTVRPGGGLNRRKAMNASLMALKSAGVEGVMVDVWWGLVERERPGEYEWGGYDDLMEMARRIGLKVQAVMSFHQCGGNVGDSCTIPLPQWVLEEMDKDPDLAYTDQWGRRNYEYVSLGCDMLPVLKGRTPIQCYADFMRAFRDHFRHLLGSTIVEIQVGMGPAGELRYPSYPELHGTWKFPGIGAFQCYDKYMLSSLKAAALEAGKPEWGHGGPTDAGGYNNWPEDTTFFRHDGGWNGPYGEFFLSWYSQMLLEHGERILSSATSVFDSTGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIARMLGRHGAVFNFTCVEMRDGEQPAEACCRPEGLVNQVAAAAKEAGVALAGENALPRYDEMAHEQIVNTATAEEGGEEKMAAFTYLRMGPELFQPENWRRFVAFVKKMAEGREGVGPCRELVEREAERSVHATCPLVQEAAVALMSG >Ma02_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17372315:17374212:1 gene:Ma02_g06350 transcript:Ma02_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKEVVQQLSPGEEEEEARMLAMRLVTGSCLPMILNVAIELELLEIIVKAGPGAKLSPDDIATQLPTENPQAADMVDRILRLLAANGVVSFSVESGDDGRPSCKYGATPVCKYLTKNEDGVSMAAFCLLIHDKVTMESWYYMKDAVLEGGIPFKKAHGMTAFEHHGGDPRFNKLFNDSMRNHSTILIKQLLETYRGFDDVKVLVDVGGGTGATLHMITSRHPHIKGVNFDLPLVIASAPTNPDVEHVSGDMFESIPGGGDAIFMKWILHDWTDEQCARILKNCWKALPEEGKVIVVEYLLPVIPEPDSRSQGVFFLDIGMMIHTGGRERTQEEFEAMAKEAGFTGFKATYISLYSWVMEFTK >Ma04_p39840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36851454:36852584:1 gene:Ma04_g39840 transcript:Ma04_t39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVCADQRHHLLCEKKALSDLDLPHRKLLQRPRLSVLLPDVEDDSGDGAVDEVVDVYSSDEFRMYEFKVRRCMRGRSHDWTDCPFAHPGEKARRRDPRRYHYSGAVCPEFRRSGSCPKGDACELAHGVFECWLHPARYRTMPCKDGRRCRRKICFFAHFPRQLRILPSHKDDETNYSQSCYGFCSANDNSAAASGFSPTSTLMSFSPPISPSAGEMHYDSKQMNFGLINRYIGNNTGRVIDYDVVCEELMNSLDAMELSASPATSPAAAAPTDARGEWAVKSGNSSSSMCWPDLEWVNELLM >Ma09_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36397355:36398408:-1 gene:Ma09_g24780 transcript:Ma09_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDNLKATELTLGLPGTDAPEKPSPASTTGANKRANEECCLPVAKKQVVGWPPLRSYRMNSIRIRKMDAEDNTGIYVKVGMDGAPYLRKVDLRVYTGYKELMEALNDMFKCFSLGGAEGHEESGYAITYEDKDGDWMLVGDVPWEMFISSCKRMRIMKGSEARGLSSST >Ma09_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5082182:5083521:-1 gene:Ma09_g07760 transcript:Ma09_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREKRERGTEREEFYKALLPGLPDDIALDCLARVPLRFHPGLRLVCCRWRDLVTGPSFHRHRERIGTAEDLIFLVQAVVPVEKCSDSEEEKEGGAVCQPPVYGLSAYNATLGSWHRVAMPAPVPLFAQVAAVGREVVLLGGWDPASMEPTTEVRVLDPATGGWRRGAAMVAARSFFACAALAGRVYVAGGHDGQKNALRSGESYDPAADAWAGAAACVGVAGGRMWSVGCGGGTGGVREYEGSGRGWKEVAPLPVGMRPGPSPCAAAAVALGGAGEKVLVMAVEKDGDGGDDRGGHGAWVLEVGSRRWTHVETPIGFTGFVFSATAVRL >Ma01_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5704077:5705602:-1 gene:Ma01_g07920 transcript:Ma01_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLGALLDRQAVMRSGAPCEAAAASFRAISRPEIVDRCCSLTQDFGLSDDLPVISNISHDEDVISAIFPDSENFCNLSCTGNVSSGESENHGSFDDLKWNRDEMASRVSPDPLLPTAKRKPNSSPEEEGLRKNPRSEKHSSSVAIETVAEAKEMIYRAAALRPLTLGMEEAAAAEKPKRKNVKISSDPQTVAARRRRERISERLRVLQRLVPGGSKMDTATMLDEAANYLKFLKSQVRALETLGERHGHATNSAIHPFPLALNQAFRMQR >Ma06_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19559247:19567436:-1 gene:Ma06_g22830 transcript:Ma06_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGDTGGMVESSESEKEITEISEVEQMNAAEEEELTPLIDNPLVENDSGLQAEVLPEFSQELVSSEPTGGNDEIPQISEANNADPATMTRVEDAPDLVAASDANIGENSEKTEVKNEQLENGSSFMVTDKKEDISEEHQATEASVKQERGNEEYQGGVIEGSNQSSDFDSFLDGYDSGTEEQQSAFMKELDNFYKERSMEFKPPKFYGEGLNCLKLWRQVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRIFYEKALLEYEKHKIRTGELQVPTSSLAEPIISEHQASGNQSSVSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKSSFQLTKRDKHLKSIGGLKRKKPSGLDRAVKFARTKVIKSQDDSMVFDVGTPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVISGEPEQPDNPWGVTPFKKVITLPSRIDPHQTSAVVTLHGQLFVRVPFEHSDI >Ma06_p22830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19559247:19567430:-1 gene:Ma06_g22830 transcript:Ma06_t22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGDTGGMVESSESEKEITEISEVEQMNAAEEEELTPLIDNPLVENDSGLQAEVLPEFSQELVSSEPTGGNDEIPQISEANNADPATMTRVEDAPDLVAASDANIGENSEKTEVKNEQLENGSSFMVTDKKEDISEEHQATEASVKQERGNEEYQGGVIEGSNQSSDFDSFLDGYDSGTEEQQSAFMKELDNFYKERSMEFKPPKFYGEGLNCLKLWRQVTKLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRIFYEKALLEYEKHKIRTGELQVPTSSLAEPIISEHQASGNQSSVSGRARRDAAARAMQGWHSQRLLGNGEVGDPIIKDKSSFQLTKRDKHLKSIGGLKRKKPSGLDRAVKFARTKVIKSQDDSMVFDVGTPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVISGEPEQPDNPWGVTPFKKVITLPSRIDPHQTSAVVTLHGQLFVRVPFEHSDI >Ma02_p14980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22864393:22873542:1 gene:Ma02_g14980 transcript:Ma02_t14980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSNADGRRELTVDPSPHQTVKDMQGSDNSIPLSPQWLISKAVDKELGSHHDNRPDAVKALGAGEDLSNTGKRNDVFRPVLHNLEPGRRDRWHDEERETSSAIRRDRWREGDKEPGDARRIERWSENTFRHSGETRRIPSERWNDSGNKESDQRRESKWNTRWGPGDKESDSWREKWSDSGKGGNGTPDKGTPAPYLSGHGKDINNHGKETEGDDHYSRSWRSNYTLGRGRGDSSHHQLQTPVKQPNMIGYGRIRAENGISSAPIGRGRFNSSMSSTNSDASRSLHLGFSHEKSDGASGDLSTIRYTRMKLLDIYRTTDIKSFRLSLDEFVEVPSLTQVEPLEPLAFSAPTSDESVIIKGIDKGEIVSSGASQLIKESSIGRTNTDAVSSRQSKLDLDVVLPSADEMITKEVTRMESSSHYVVPQKSQSAGDHKYGSKFDRKDFSLEVASVESDMSSSHLQKDVDFKHNTAITSLLYRDGQWQDTDRVCFHSDTKSDSNNKRQSTEVMKESDSLISKDVLIARKLQSPSPEDLSLYYKDPQGQIQGPFAGSDLIGWFEAGYFGIDLQVRLAGSPADAPFSSLGDVMPHLRMKAGPPPGFGVVKHSVTLDESLKGKIVNPGSIHSGLGENVLKSGQRNMHDTATESQNRFLESLMSGNMSGSPSESFSLSRGMQEFGGSTSGRLPSVVGESGNDMNYLFAQARLLERQRSLLNPRSYWSEGDVSSIASKTDIISDSSVPHSKFMPSAGGSPPQILQSPQHVDLLSLLHPGADKPPSRSVNSGVPFHPNFLEAPTVNSPICGGVEFPADMLNMHYNQPMPSQIRLGVQQQGLQSANQPPLPHLITQHGDLSSCLVPPEKMLTSEINQDPRLSNLLQQQHLLSQLQLHSQIPAAQLPSLEKILLLQQQQKQEQQQLLMLQQQQQQQQHMLSKVLSSHQSHQQFGDPSYGQAPAAMSAGNAAVNHLMLQRTHEVLQMNQQRPVAYECSEQPSYYPNVNMQGTLDVNSVSSGSLSMCLPHQVFDQMSCSKESDTQFSLDNDDIPNPTTVEKPVMAESLTFLEAMKTSEELTSDLQKIDQSLGDAETDHKPPSISQTQAVPPFGSEALNNLDSVEGGQTSHDFVSSISDQVQNINISGHEVKEMVAEAQVKKASEKKLKKQKKSKTKISADPGKGLPKMVSSQRSSTDIEIVGTNANEVKSEVQADAEESLFGPSSGTGVEGSVASSTEHSESLRSQLLSSVNLVTAESKTEGEAESGVVGPLTSNSKVTSSQWAWKSSPGLKPKSLLEIQQEEQLRAQKETLLSEIDAVPTSARSPLPAPWSGLVTNLENKLNGDTKQAATSSVVNSENNLKSKSRKSQLHDLLAEEVLTKSSKEDTELFVSRAEGLLLPSPTPAGSDIDTSAVDDDDFVEAKDTRKARKKASKSKASGVKIPQSVGMAELSADPSPTEKAKGTCQVQQEKELLPALPKGPSLGDFVLWKGDQVSSAPPPAWSLDSRKLHRPMSLRDIQMEQEKRSGTVQQQISIPTPAKLQSNHASRGNGSSRQDSGSFPSNTASSIHLVSQVSTQTKSRSEDDLFWGPSEQSKPEPKKQLVKGTPSKGASGAGSGQKPSGNRPVDHSLSASPGPVVSVSKGRSVATTKNSEAVEFRDWCVNEWIRLTGTSDTSFLEFCVKQSTSEAEVLLRENLGSLDRNHEFIDNFLNYKEFLAPDVLEIAFQPQKSRNTSVHNTSLRSSNTATDAEEGLEDGLDGPSKGRGKKKGKKGQKMNPSILGFNVVSNRIMKGEIQSIDD >Ma02_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22864392:22873542:1 gene:Ma02_g14980 transcript:Ma02_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSNADGRRELTVDPSPHQTVKDMQGSDNSIPLSPQWLISKAVDKELGSHHDNRPDAVKALGAGEDLSNTGKRNDVFRPVLHNLEPGRRDRWHDEERETSSAIRRDRWREGDKEPGDARRIERWSENTFRHSGETRRIPSERWNDSGNKESDQRRESKWNTRWGPGDKESDSWREKWSDSGKGGNGTPDKGTPAPYLSGHGKDINNHGKETEGDDHYSRSWRSNYTLGRGRGDSSHHQLQTPVKQPNMIGYGRIRAENGISSAPIGRGRFNSSMSSTNSDASRSLHLGFSHEKSDGASGDLSTIRYTRMKLLDIYRTTDIKSFRLSLDEFVEVPSLTQVEPLEPLAFSAPTSDESVIIKGIDKGEIVSSGASQLIKESSIGRTNTDAVSSRQSKLDLDVVLPSADEMITKEVTRMESSSHYVVPQKSQSAGDHKYGSKFDRKDFSLEVASVESDMSSSHLQKDVDFKHNTAITSLLYRDGQWQDTDRVCFHSDTKSDSNNKRQSTEVMKESDSLISKDVLIARKLQSPSPEDLSLYYKDPQGQIQGPFAGSDLIGWFEAGYFGIDLQVRLAGSPADAPFSSLGDVMPHLRMKAGPPPGFGVVKHSVTLDESLKGKIVNPGSIHSGLGENVLKSGQRNMHDTATESQNRFLESLMSGNMSGSPSESFSLSRGMQEFGGSTSGRLPSVVGESGNDMNYLFAQARLLERQRSLLNPRSYWSEGDVSSIASKTDIISDSSVPHSKFMPSAGGSPPQILQSPQHVDLLSLLHPGADKPPSRSVNSGVPFHPNFLEAPTVNSPICGGVEFPADMLNMHYNQPMPSQIRLGVQQQGLQSANQPPLPHLITQHGDLSSCLVPPEKMLTSEINQDPRLSNLLQQQHLLSQLQLHSQIPAAQLPSLEKILLLQQQQKQEQQQLLMLQQQQQQQQHMLSKVLSSHQSHQQFGDPSYGQAPAAMSAGNAAVNHLMLQRTHEVLQMNQQRPVAYECSEQPSYYPNVNMQGTLDVNSVSSGSLSMCLPHQVFDQMSCSKESDTQFSLDNDDIPNPTTVEKPVMAESLTFLEAMKTSEELTSDLQKIDQSLGDAETDHKPPSISQTQAVPPFGSEALNNLDSVEGGQTSHDFVSSISDQVQNINISGHEVKEMVAEAQVKKASEKKLKKQKKSKTKISADPGKGLPKMVSSQRSSTDIEIVGTNANEVKSEVQADAEESLFGPSSGTGVEGSVASSTEHSESLRSQLLSSVNLVTAESKTEGEAESGVVGPLTSNSKVTSSQWAWKSSPGLKPKSLLEIQQEEQLRAQKETLLSEIDAVPTSARSPLPAPWSGLVTNLENKLNGDTKQAATSSVVNSENNLKSKSRKSQLHDLLAEEVLTKSSKEDTELFVSRAEGLLLPSPTPAGSDIDTSAVDDDDFVEAKDTRKARKKASKSKASGVKIPQSVGMAELSADPSPTEKAKGTCQVQQEKELLPALPKGPSLGDFVLWKGDQVSSAPPPAWSLDSRKLHRPMSLRDIQMEQEKRSGTVQQQISIPTPAKLQSNHASRGNGSSRQDSGSFPSNTASSIHLVSQVSTQTKSRSEDDLFWGPSEQSKPEPKKQLVKGTPSKGASGAGSGQKPSGNRPVDHSLSASPGPVVSVSKEAVEFRDWCVNEWIRLTGTSDTSFLEFCVKQSTSEAEVLLRENLGSLDRNHEFIDNFLNYKEFLAPDVLEIAFQPQKSRNTSVHNTSLRSSNTATDAEEGLEDGLDGPSKGRGKKKGKKGQKMNPSILGFNVVSNRIMKGEIQSIDD >Ma02_p14980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22864394:22873542:1 gene:Ma02_g14980 transcript:Ma02_t14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSNADGRRELTVDPSPHQTVKDMQGSDNSIPLSPQWLISKAVDKELGSHHDNRPDAVKALGAGEDLSNTGKRNDVFRPVLHNLEPGRRDRWHDEERETSSAIRRDRWREGDKEPGDARRIERWSENTFRHSGETRRIPSERWNDSGNKESDQRRESKWNTRWGPGDKESDSWREKWSDSGKGGNGTPDKGTPAPYLSGHGKDINNHGKETEGDDHYSRSWRSNYTLGRGRGDSSHHQLQTPVKQPNMIGYGRIRAENGISSAPIGRGRFNSSMSSTNSDASRSLHLGFSHEKSDGASGDLSTIRYTRMKLLDIYRTTDIKSFRLSLDEFVEVPSLTQVEPLEPLAFSAPTSDESVIIKGIDKGEIVSSGASQLIKESSIGRTNTDAVSSRQNLDVVLPSADEMITKEVTRMESSSHYVVPQKSQSAGDHKYGSKFDRKDFSLEVASVESDMSSSHLQKDVDFKHNTAITSLLYRDGQWQDTDRVCFHSDTKSDSNNKRQSTEVMKESDSLISKDVLIARKLQSPSPEDLSLYYKDPQGQIQGPFAGSDLIGWFEAGYFGIDLQVRLAGSPADAPFSSLGDVMPHLRMKAGPPPGFGVVKHSVTLDESLKGKIVNPGSIHSGLGENVLKSGQRNMHDTATESQNRFLESLMSGNMSGSPSESFSLSRGMQEFGGSTSGRLPSVVGESGNDMNYLFAQARLLERQRSLLNPRSYWSEGDVSSIASKTDIISDSSVPHSKFMPSAGGSPPQILQSPQHVDLLSLLHPGADKPPSRSVNSGVPFHPNFLEAPTVNSPICGGVEFPADMLNMHYNQPMPSQIRLGVQQQGLQSANQPPLPHLITQHGDLSSCLVPPEKMLTSEINQDPRLSNLLQQQHLLSQLQLHSQIPAAQLPSLEKILLLQQQQKQEQQQLLMLQQQQQQQQHMLSKVLSSHQSHQQFGDPSYGQAPAAMSAGNAAVNHLMLQRTHEVLQMNQQRPVAYECSEQPSYYPNVNMQGTLDVNSVSSGSLSMCLPHQVFDQMSCSKESDTQFSLDNDDIPNPTTVEKPVMAESLTFLEAMKTSEELTSDLQKIDQSLGDAETDHKPPSISQTQAVPPFGSEALNNLDSVEGGQTSHDFVSSISDQVQNINISGHEVKEMVAEAQVKKASEKKLKKQKKSKTKISADPGKGLPKMVSSQRSSTDIEIVGTNANEVKSEVQADAEESLFGPSSGTGVEGSVASSTEHSESLRSQLLSSVNLVTAESKTEGEAESGVVGPLTSNSKVTSSQWAWKSSPGLKPKSLLEIQQEEQLRAQKETLLSEIDAVPTSARSPLPAPWSGLVTNLENKLNGDTKQAATSSVVNSENNLKSKSRKSQLHDLLAEEVLTKSSKEDTELFVSRAEGLLLPSPTPAGSDIDTSAVDDDDFVEAKDTRKARKKASKSKASGVKIPQSVGMAELSADPSPTEKAKGTCQVQQEKELLPALPKGPSLGDFVLWKGDQVSSAPPPAWSLDSRKLHRPMSLRDIQMEQEKRSGTVQQQISIPTPAKLQSNHASRGNGSSRQDSGSFPSNTASSIHLVSQVSTQTKSRSEDDLFWGPSEQSKPEPKKQLVKGTPSKGASGAGSGQKPSGNRPVDHSLSASPGPVVSVSKGRSVATTKNSEAVEFRDWCVNEWIRLTGTSDTSFLEFCVKQSTSEAEVLLRENLGSLDRNHEFIDNFLNYKEFLAPDVLEIAFQPQKSRNTSVHNTSLRSSNTATDAEEGLEDGLDGPSKGRGKKKGKKGQKMNPSILGFNVVSNRIMKGEIQSIDD >Ma01_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6350294:6360792:1 gene:Ma01_g08850 transcript:Ma01_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKEAVHKLEQESGFYFNMKHFEDLVQAGEWDEVEKYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEDLFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLTFPPFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAIPTNGARGPPPTNGPLVGPIPKSGAFPPLSAHSPFQPVVSPPASAIAGWMTNANPSLSHAAVAQGPPGLVQPQTTAAFLKHPRTPTSTPGMDYQTADSEHLMKRLRTGQSDEVSFSGSSHPPNVYSQEDIPKTVARTINQGSNVMSLDFHPIHQTILLVGTNVGDVSIWEVGTKERIAHKTFKVWDIGSFTVPLQSALMKDAIVSVNRCLWSPDGTILGVAFSKHIVHTYVFSLNGELRQQLEIDAHVGGVNDIAFSHPKKSLSIITCGDDKTIKVWDAATGQQQYTFEGHETPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSSDGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKRSLGVVQFDTARNRFLAAGDEFMIKFWDMDNINILTTTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLVRMMEGRGCEGSRGPSQQISTNSKPPIINALGAVSNVSSPIIATPERPDRTLPAVSMSSLAVVESSRTTDVKPRIPEDTEKIRSWKLADIVDSAHLKALRLPDSMTASKVVRLLYTNSGFAVLALGSNAIHKLWKWVRNERNPSGKSNASVAPQLWQPSNGALMTNETTDTNPEEVTPCIALSKNDSYVMSGSGGKISLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKKITGLAFSQTLNVLLSSGADAQLCMWSIDGWEKKKSRFIQAPASRASPLVGDTKVQFHNDQTHFLVVHESQLAIYDSKLECLCLWSPRDVLSAPISSAIYSCDGLLVYAGFSDGAIGVFEADSLRLRCRVAPSAYLSLSIQSSAAVFPMVIAAHPSEPNQIALGMSDGAVYVLEPSDADLKWGSAPPQENGARPSISNPASNSNQTSEPPPR >Ma08_p28690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40510117:40512527:-1 gene:Ma08_g28690 transcript:Ma08_t28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTASEIAGFGVGALLLCATIAAPRVDAFISASQRRSLDMCKRCGNLRMIACSQCKGIGSVRKGGAFGFRVLEEIYESLEDRNTPKQLVPCIKCQSKGRLPCPNCSKLP >Ma03_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7294462:7296176:1 gene:Ma03_g09840 transcript:Ma03_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB35 [Source:Projected from Arabidopsis thaliana (AT3G28470) UniProtKB/Swiss-Prot;Acc:Q9LSI7] MGRPPCCDKSTVKRGLWTAEEDAKLLAYTSTHGTGNWTTVPKKAGLKRCGKSCRLRWTNYLRPNLKHEGFTLEEEERIVALHATIGSRWSIIANQLPGRTDNDVKNYWNTKLSKKLCQKGIDPVTHRPISEIIQSIEHLQHETAAAAASRFNSNFRYKPATAGARIGCLNRDLRSVFLSRPAPTVNSASGSSSSPNLNLFAQTQVGSPIPTYFSPSEASSSSTAATTPKATQPPSSPEFKWTDFLIEDDDDAYAKNKAKEVVTWSDVAAKHNAAVDEGTSHRAGACYGSSSFVDAILEQERELVSKFYEEFLSYPNDLPW >Ma08_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37911497:37911963:-1 gene:Ma08_g24840 transcript:Ma08_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFRHPAPCASGVVDRVRPGDSADGSEAPELTREDVETVMEIITGMPCGADGEQLEELRGVFEGEEPSLEEVAEAFSVFDDDGDGVIEPLDLHRVLCKLGFPEGAALEACRRMIAAYDENDDGRIDFKEFIKVVEGSFFD >Ma03_p29860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32715490:32716374:1 gene:Ma03_g29860 transcript:Ma03_t29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSISSTQFLLLYLVLLFVPSPTVAFRWQVCSTSAGNFTANSTYESNLNLLLSSLVSNGSAPGFFTDTVGRIPDQVQGIVLCRGDTNPTTCGSCLSNVTVEILRLCAYNKDAVVWEEECLLRFSNLQFLNTLDNDPTAALPNPIQVSDEADRFNKVVNELLDSTADWAAYNSTKRYATGQAFNVTQAVPTIYGLAQCTPDMSTSDCRQCLKGVLQGLPQRRMGARNQGVRCNIRFEVTRFYEGTPIIWLLSPLTNATTPAENATAPPSAPAAHPAVGPTGKEGKDAPLAQLIN >Ma07_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2036662:2049573:-1 gene:Ma07_g02560 transcript:Ma07_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGATFFFARSAFPPTAVTAVKDARLFLASGGRWRRPSVLPVVAAALDKGPGASGNGGKKTGGGGVPNSNYVVPLDLTPSFIRPLKEILRDLNKRVPDNIINAADNSVPWYHANRMLSFYAPGWCGEVRAIEFSDNHVTVVYRVTVRGLDGEIHRESTATVSLNDGRFEDPLAAAEELAFCKACARFGFGLHLYHEETPKNI >Ma07_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1595257:1602477:1 gene:Ma07_g02070 transcript:Ma07_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGESAPKPLQQLSGQICQICGDDVGLTVDGDLFVACNECAFPICRTCYEYERREGNQVCPQCKTRFKRLKGCPRVAGDDEEDGVDDLENEFNFVGGHKQESQYMADAMLQGHMSYGRWGDINAPNMAHNAPQVPLLTNGEMVDDIPPEQHALVPSFMGGGGKRIHPLPFSDPALPVQPRSMDPSKDLAAYGYGSVAWKERMENWKQKQEKMHMTRNDGGGRDWDNDGDESDLPLMDEARQPLSRKLPISSSQINPYRMIIIIRLVVVGFFFHYRIMNPAVDAYPLWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRYEKEGQPSQLSPIDIFVSTVDPMKEPPLITANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNVEPRAPEWYFQQKMDYLKDKVHPSFVKERRAMKREYEEFKVRINALVSKAQKVPEEGWTMQDGTLWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNVDCDHYFNNCKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNIVFFDINMKGLDGIQGPIYVGTGCAFRRQSLYGYSAPKSKKPPTRTCNCWPKWCCCACCCSGTRKKKTAKAKQEKKKNSSKRGDNEAPEFALESIEEGKQGNGSEKPHLMSEEKLEKRFGQSPVFVASTLLENGGTPKGATPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCVPTRPAFKGSAPLNLSDRLHQVLRWALGSVEIFLSKHCPLWYGYGSGLKWLERMSYINATVYPWTSIPLLAYCTLPAVCLLTGKFITPELSNVASLWFLSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTTKAGDDEEFSELYTFKWTTLLIPPTTLLIVNFIGVVAGVSNAINNGYESWGPLFGKLFFSFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Ma11_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25435459:25439095:-1 gene:Ma11_g21190 transcript:Ma11_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTTAKKEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Ma06_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4879587:4886560:-1 gene:Ma06_g06800 transcript:Ma06_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSPPPPSQQQRRRKWVVPLLASFFLSSLVISAFVFSSSSSSSAFASASLSRQALLLLSFSQISPAGGEPLFVESKLHHPPPTPAAASDRPVRGLAYLISGSAGDGGSLRRTLRALYHPANQYVLHLDLEAPAAERLELATAVRDDPVYARFGNVRVVARANLVTYRGPTMVANTLHAASILLKEGGDWDWFINLSASDYPLVTQDDLLYTLSSLPRQLNFIEHTSDIGWKEYHRAKPLIIDPGLYSLQKTDVFWVTEKRSLPTAFKLFTGSAWMMLSHQFMEFCLWGWDNLPRTVLMYYANFLSSPEGYFHTVICNAPEFRNTTVNHDLHFISWDNPPKQHPHFLTLSDFPRLVSSNTPFARKFGRDDPVLDKIDKELLGRDPDGFVPSAWFDALKSNTTINDLQYTVRSVSDLRPGPGAERLKTLITGLLSADGFDEKHCI >Ma08_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5076422:5081763:1 gene:Ma08_g07390 transcript:Ma08_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDMVIDSAPNGDASSGAASAVVPPAPTAPAAPAASAPPPSTARFAQVSESLRLEHQFARVPLEHLKKTIRTNYRLAEKEVAAVISSVAEAADRHDAVSRDDALTQLSSLVSRLQGLKRKLEEGSRAENLQTQRCRARLEHLDSAANTDKLAEWKDVRLRRILVDYMLRMSYYDTAKNLAETSKIQELVDIDVFLEAKRVIDSLQNKEVAPALVWCAENKSRLKRSKSKLEFQLRLQEFIELVRADENLRAISYARKYLAPWGATYMKELQRVVATLAFRHDTECTTYKVLFEPTQWDYLVEQFKQEFCRLFGMTNEPLLNVYLQAGLTALKTPLCYKESCSKEDPLSQEGFRKLAEPLPFSKLHHSKLVCYITKELMDHENPPLVLPNGYVYSTKALEEMANKNDGKITCPRTGEVCNFTELVKAYIS >Ma03_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3334098:3334226:-1 gene:Ma03_g05110 transcript:Ma03_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLAWQMRMCLNRIESNLLICCLRPLTKIIGPMASKKDRNI >Ma04_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2270147:2275655:-1 gene:Ma04_g02720 transcript:Ma04_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKPEEITHPPMDQLQGFEYCIDSNPSWGEAIVLGFQHYILALGTAVMIPTLLVPLMGGSNDDKVRVVQTLLFVTGVNTLLQTLFGTRLPTVVGGSYAFVVPIISIIHDSSLTRIVDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMVPVVSLVGFGLFDKGFPVVGRCVEIGIPMLILFIASSQYLKHLHVRRLPVLERFSLLITITIIWVYAHLLTVGGAYKYRPERTQFNCRTDRANLISSAPWIKIPYPLQWGAPTFDAGHSFGMMAAVFVSLIESTGTYKAAARLASATPPPAHVLSRGIGWQGIGILLDGLFGTVTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVLFGVVAAVGLSLLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYTMSAQHGPAHTKASWFNDYMNTIFSSPPTVALIVAVFLDNTLDFKDTGKDRGMPWWARFRTFNGDSRSEEFYTLPFNLDRFFPPS >Ma03_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7671864:7672076:1 gene:Ma03_g10230 transcript:Ma03_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRYASKSFCGLCFGRSRNRDEEVDGEKRYYARKMRPSDEDRGRWVGEHDVDKKASDFIARFYASIMV >Ma11_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1345302:1347121:-1 gene:Ma11_g01880 transcript:Ma11_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVGEPGSSMHGVTGREPTLAFEVTSPSVPTDTTAKFALPVDSEHKAKAFKLFSFAKPHMRTFHLSWVSFFTCFVSTFAAAPLVPIIRDNLNLKKGDIGNAGVASVSGAIFSRLLMGAVCDLLGPRYGCAFLIMLSAPTVFGMALVSSAGGFITMRFLIGFSLATFVSCQYWMSTMFNSQIIGLANGTAAGWGNMGGGATQLLMPLVYDLIRKAGATPFTAWRISFFVPGLMHVVMGILVLVLGQDLPDGNLSTLQKKGDVAKDKFSKVLWYAITNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRTAGIIAACFGMANILARPIGGFTSDFGARRYGMRARLWNLWILQTLGGAFCLWLGRAESLPVSIFAMVCFSICAQAACGATFGVIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSTKYSTGTGLSLMGVMIMACTLPTTFVHFPQWGSMFLPPSSDVVTSTEEHYYASEWSKAERERGMHQASLKFAENSRSERGKRYVASAPTPPEASPASV >Ma08_p32980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43514543:43519135:1 gene:Ma08_g32980 transcript:Ma08_t32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLRTFKRWMRSHGIDCSDALKLDDAGSESGDGISVRAVCDLTEGDLVATIPKSACLTIRTSAARDMIESAGLAGCLGLVVALMYERSRGPASPWQGYLQLLPERECVPLVWTWREVDTFLCGTELHKAVKQDKTILCEDWRECIEPLILSGEWKLDQDNFGVEQYFSAKSLVSSRSFEIDDYHGYGMVPLADLFNHKTGAENVHLTSVCSPSSSDDEGDDHASDEASDDKLLIDESNTSSSGEDNTALEMIIVRNVEAGFEVFNTYGSMGNAALLHRYGFTEADNPFDIVNIDLALLVRWCSSTFSNRYARARISLWRRLNYSGCTSQHTEYFEISYDGEPQLELLVLLYIIFLADDAYEKLTYMVDAFEGPDESTNIVNLIKITRNKFRKVKGHKKPEDIKELLVSENVCSALISLADLRESLYGTSSLDEDMNMLKRCCPVKDRKLYHSLILRTSERMILARLRDYALKRSTGKKA >Ma02_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16863580:16864306:-1 gene:Ma02_g05600 transcript:Ma02_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPARFLQSSDHAEPPSSLLIDSDIVVILAALLCGLISVVGLALVARCAWHRRSATAPPNKGLKRKAVQALPTLSFDSSSSNTAASPDAATGGVKLVDCAICLAEFADGDVVRVLPQCGHGFHTGCIDTWLRSHSSCPSCRRILVVTTSPSRCQSCGATHKAPYGGAESTFLA >Ma02_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20174631:20176239:1 gene:Ma02_g10690 transcript:Ma02_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCFQSKRRQRVPGIASDKATTVESNAHVPAQEKTEGSAEIVEPAVPPLAVERKLKIFIVFYSMYGHVEALAKQIKKGVDGTEGVEGVLYRVAETLPSDVLEKMLAPPKDPAIPVIAASELADADGILFGFPTRYGCMAAQIKAFFDSTGQLWREQKLAGKPAGLFVSTGTQGGGQETTAWTAITQLAHHGMLFVPVGYTFGADMFKMDELRGGSPYGAGTFAGDGTRKPTEVELALAEYQGKYMASIVKRLNYT >Ma07_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1474634:1478103:-1 gene:Ma07_g01900 transcript:Ma07_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGWRRAFCTSVRRDPEVTVATRMEVGEKQQRTPSVSPGPSPRSCAKRGFFSGSNPSTPRLALAAGLRCQTKSKSPDYPNLQCDTPTSAVSATAAAAATANSTPRSRSPALFRRKAFSTPSSPRSPSRFALFKHLSRSRCRLCSQILKASQETPVFTAECSHAFHFPCIAAHVRGHGSLACPVCSAAWRQAPFLSALHRREEDAAAIEQGTARETENRNPNRRTTSGGSRNASKDGERQPGENRKAAVAKVYDDDEPLLLVPKPNQGGGVRFNPIPEATNEDKDEDEYGEGEDEFHGLLATPRSRSPGLRADGGVPCRSTPRSTASGVQVSVMPQAALLSEGRRHRNYVVALKVKAPPIRSSAPLLDPAPGRAPIDLVTVLDVSQGMTGEKLQMLKRAIRLVVSSLGPADRLSMVAFSASAGAKRLLPLRQMSKQGQRAARQIVERLVVVGGAAKAGGASVGDALRKATKVLEDRRERNPVATIMLLSDGRQPQQTSDPEKKDNNNNNNSDHHDHKPLRSPRGTGGGDIRRHALSTTTTAAATTRFAHLEIPVEDAGFVGAAEPSPMKQEDAFIKCVGGLVSVVMQDVRLQLEFPSGEISAVYPCGGGSGCGDVAIGGENSVLWLGDLYAEEEREVLVELRAPVAATGPQSGHHHLSVKCNYRDPATQELAFGAEQILILPLLQYDREPGSSCRSTTALRLRNIFVATRAVAESRRLGDLSDYATAHHLLSSARALLLQSASDAHGHHLVQNLDAELADLQRRRRCLTQHQLHHDEQQEEHLSPSGRRSRREGPAEVRGEPLTPTSAWRAAEQLAKVAIMRKSLSRVSDLHGFENARF >Ma06_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12854037:12867164:1 gene:Ma06_g18800 transcript:Ma06_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MAVAVAVAVAQRNLARWPPAVASTAAPRPRTFPFSANTIRFSANFIVPIVYPPLFAEPRPVLRLARAVGGFDQVGGSGNQSSEDSVSQKASTWAEPLLDFVATNFLPLALLSGIALGLVNPTPGCLAHKLSLSRFSTCGIFFISGIMLHSRELGAAVEAWPAGLFGLGSILLITPFFSRLVLQIQLTPHELITGLAAFCCMPTTLSSGVALTQLVGGNSALALAMTVLSNLLGILIVPFSLSKLIGAGAGISVPTAQLFKSLIMMLLVPLVIGKVIRDSSKSVAEYVDRNRRSFSMISAILLGLVPWMQVSRSRSLLLTVKPAIFAIAVGMGILLHFVLLAFNTIAVRSLSVVSGGDQSVFSKKENLRAVIIVASQKTLPVLVAVVEQLQGALGEAGLLVLPCVALHINQIIIDSFLVNWWLRRDQISAKSKEV >Ma02_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15408187:15412187:-1 gene:Ma02_g03970 transcript:Ma02_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEEDEGPEYWLRWQVPACAAILAVPAAVSVAVISRSRAVDPPRSSDLWSPCWKKLSPLWLLGLRALALSILFWLLCRAVLLDGAFAFYFYTQWTLSLVIIYFLIGTFISAHGCWIYAKRATENDEADTFFNRDFGEELPANSTGSNQNTKSSKFHNVYEWVDNEQKAGFWGYLMQIAYQTSAGAVTLTDIVFWGLLVPFLSAEHFKLDMLMGCMHTLNLVFLLLDTALNSLVDYPFPWFRMAYFVLWSCVYVIFQWILHICGFSWWPYSFLELSTPWAPFWYLCLALVHIPCYGLYSLIVKAKISLIPKIFHRIYIRP >Ma02_p03970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15408187:15412187:-1 gene:Ma02_g03970 transcript:Ma02_t03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEEDEGPEYWLRWQVPACAAILAVPAAVSVAVISRSRAVDPPRSSDLWSPCWKKLSPLWLLGLRALALSILFWLLCRAVLLDGAFAFYFYTQWTLSLVIIYFLIGTFISAHGCWIYAKRATENDEADTFFNRDFGEELPANSTGSNQNTKSSKFHNVYEWVDNEQKAGFWGYLMQIAYQTSAGAVTLTDIVFWGLLVPFLSAEHFKLDMLMGCMHTLNLVFLLLDTALNSLPFPWFRMAYFVLWSCVYVIFQWILHICGFSWWPYSFLELSTPWAPFWYLCLALVHIPCYGLYSLIVKAKISLIPKIFHRIYIRP >Ma08_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8871802:8872515:1 gene:Ma08_g11880 transcript:Ma08_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSASASPAPAASRMTTKTRPFSASELAHEAKREAAPSVERRSWAAIGANCCLLLVGVGGGALLAWWALSFHHSNQQLWMVPVGLVLLGTPIFAWLSVFVSGVGRSLELLWAKPTAPPPPDLDGER >Ma10_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26831387:26834985:1 gene:Ma10_g14330 transcript:Ma10_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKPKSRQSGVWSTIKPFVNGGASGMLATCVIQPIDMVKVRIQLGQGSAVQVTKNMLANEGFGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLLQKAAIGLTAGAIGASVGSPADLALIRMQADATLPAAQRRNYKNAFHALYRIIADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSIELFRDSLGFGEVSTVIGASAVSGFFASACSLPFDYVKTQIQKMQPDATGKYPYTGSLDCVMKTLKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKAQQAVGL >Ma05_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11419349:11422029:-1 gene:Ma05_g15360 transcript:Ma05_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRERFWRAAAAVLVLSFAAAEGGRRHAYAAMMYMGTPRDYEFYVATRVMMRSLARLGVDADLVVIASADVPFQWVQTLKEEDGVKVVTVENLQNPYEGQGNFNPRFKLTLNKLYAWTLASYDRVVMLDSDNLFLHRTDELFQCGDFCAAFINPCVFHTGLFVLRPSIAVFKDMLHELEIGRENPDGADQGFLVSYFPDLLDRPMFHPPANGTKLEGTYRLPLGYQMDASYYYLKFRWNVPCGPNSVITFPSCPWLKPWYWWSWPVLPLGLQWHEQRRTNLGYSAEAPAILIQAVMYLGVAAITRLARPRRVKLCYNRRPEKSIPFVRAMLKMAALWSMFAAHTIPFFLIPRTVHPLLGWSLYLLGAAALSTVVMSVFLLPPLPVLTLLLGILGSLFVMAFPWYSDGIVRALAMFGYAFCCAPVVWASLAKVLCSLQSPQERETFFTRLGESTPLSDSSKLY >Ma08_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15427474:15430289:1 gene:Ma08_g15350 transcript:Ma08_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAALLHPLLSLPTPSPRIPKPRVCLSSSFLPFASISRAPPLAFGSATIRRCKESRAAVPKASSEEEGAVGDLEAGPEEEGAPGNETAEENSEDSEAEAEENPPRRPIIKLGDVMGILNKRAIEASEKERPVPDIRTGDIVEIKLEVPENRRRASIYKGIVISKQNAGIHTTIRIRRIIAGVGVEIVFPVYSPNIKEIKVVSHRKVRRARLYYLRDKLPRLSTFK >Ma11_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12551693:12566991:-1 gene:Ma11_g11190 transcript:Ma11_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHFPSKLFRFSVELLYRQRHSGLFQSHSFSSLVDCIRAFSSNDRPTAESIVGTFYRMVSVPSLTGASWYSCIHNVGRLVSESTRSSSCVLFERNMAFSCNSLPGGAEWVTHHQNWKMLGSFLSFDAYFSHGNFDYCSRSCKTLRIQELSNSTAVYRHIWSSAIGESFNLFSPNGIKVLSSSFFTSFSTGAASDMSLGGSPQEEQLDNSSSSSDSDQKKPSNRALKLLSGSCYLPHPDKEETGGEDAHFIWDEQAIGVADGVGGWADHGVDAGQYSRALMSHASDAIEEESKGSIDPLRVLEKAYLRTKAQGSSTACIIALTDQGIRAVNLGDSGFIVVRDGCTIFRSPVQQHDFNFTYQLESGNASDLPSAAQVFTFPVESGDVIVAGTDGLFDNLYNSDITAVVVHGIRAGLGPQVMAQKIAALARQRAQDKNRQTPFSTSAQEAGYRYYGGKLDDITVVVSYITAFGN >Ma11_p11190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12551693:12566991:-1 gene:Ma11_g11190 transcript:Ma11_t11190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHFPSKLFRFSVELLYRQRHSGLFQSHSFSSLVDCIRAFSSNDRPTAESIVGTFYRMVSVPSLTGASWYSCIHNVGRLVSESTRSSSCVLFERNMAFSCNSLPGGAEWVTHHQNWKMLGSFLSFDAYFSHGNFDYCSRSCKTLRIQELSNSTAVYRHIWSSAIGESFNLFSPNGIKVLSSSFFTSFSTGAASDMSLGGSPQEEQLDNSSSSSDSDQKKPSNRALKLLSGSCYLPHPDKEETGQYSRALMSHASDAIEEESKGSIDPLRVLEKAYLRTKAQGSSTACIIALTDQGIRAVNLGDSGFIVVRDGCTIFRSPVQQHDFNFTYQLESGNASDLPSAAQVFTFPVESGDVIVAGTDGLFDNLYNSDITAVVVHGIRAGLGPQVMAQKIAALARQRAQDKNRQTPFSTSAQEAGYRYYGGKLDDITVVVSYITAFGN >Ma11_p11190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12551693:12566991:-1 gene:Ma11_g11190 transcript:Ma11_t11190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHFPSKLFRFSVELLYRQRHSGLFQSHSFSSLVDCIRAFSSNDRPTAESIVGTFYRMVSVPSLTVYRHIWSSAIGESFNLFSPNGIKVLSSSFFTSFSTGAASDMSLGGSPQEEQLDNSSSSSDSDQKKPSNRALKLLSGSCYLPHPDKEETGGEDAHFIWDEQAIGVADGVGGWADHGVDAGQYSRALMSHASDAIEEESKGSIDPLRVLEKAYLRTKAQGSSTACIIALTDQGIRAVNLGDSGFIVVRDGCTIFRSPVQQHDFNFTYQLESGNASDLPSAAQVFTFPVESGDVIVAGTDGLFDNLYNSDITAVVVHGIRAGLGPQVMAQKIAALARQRAQDKNRQTPFSTSAQEAGYRYYGGKLDDITVVVSYITAFGN >Ma10_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16837515:16838425:-1 gene:Ma10_g05520 transcript:Ma10_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCRSSLSCVDARVPVRATYVNLYKWPESDAEFVKSVTRGGGDGGGGGGGGRVDDRVGSTSFDGRRRWSAEPTVVDSYSCRQIYLRSYTFSRKESVPEKTLRCLGRVKERAAVFPFLQQKNEDAAGSIDGDTSSKKNIDKRKTKTKTKRKKKKKKKACVTARKLREMSYSTLCFIFHRLLSCTSSVDVVDRG >Ma04_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24517410:24517559:1 gene:Ma04_g22140 transcript:Ma04_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCNRPWIMYRCLCCVVHPNIIACVMSFLLFICFIESMCIFFCWLGLC >Ma11_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23584453:23588872:-1 gene:Ma11_g18550 transcript:Ma11_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14S [Source:Projected from Arabidopsis thaliana (AT5G27550) UniProtKB/Swiss-Prot;Acc:F4K4C5] MEEEAAVTPSSSLPPVEAPAEEAQVTTEQGSTNIIEALDDDCFVTSPILKAVPGPDLSSTLLLLGSKYNNLVKSHQALRSDCEVLRKKCSEVCTPRYEILKKKYTDEREERKRLYNEIIELKGNIRVFCRCRPLSLEEVAKGYSCVVDFDPAQDMELQITCSDSSKKQFKFDHVFGPKDDQDAVFTETLPIVKSVLDGYNVCIFAYGQTGAGKTFTMEGTPENRGVNYRALEELFRISDQRSSITRYEFYFSMLEVYNEKIRDLLAGTSDQLLKRLDIKQAADGTQDVPGLVEAQVCSVDEVWEILKNGGRNRSVGSTSANELSSRSHSLVRVTIKSENFVDGQKNRSKLWLVDLAGSERVAKIEVEGERLRESQFINKSLSALGDVISSLASKNPHIPYRNSKLTHLLQSSLGGDCKTLMFAQISPSSADLGETVCSLNFASRVRGIENGPVRKLTDPSESFKLKQMAEKLLQEEKEIAKLNESLQLMHLKYASRENVYKALQEKIRDAEQSCRSYQQKVRDLENQLADEKKANKDTAKFSKPPLAPLKQRPPLRRINNMLPPPGPQTVKITNSTADKENDLIANRTSGRDLVKPLNKARRISLATSVRNVPMQNKRASIAVVPDVTERSQTLPGVRQWNHMTGTTQLRHRRSSLSTFMSLTATPLEAASPEVRGKFSAFASNSKHRSPPLVQALWKSRIPGIASPRKRLRLMSSPATSKNLNAAQSSANKLCFSVQKRVIVGSPAPTRPVMNPGSMIYNQLLRDKDLVGRFGTAQRVLCKNRRQSVI >Ma05_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1853486:1857610:-1 gene:Ma05_g02750 transcript:Ma05_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPAPPADQTTDLMQRLSLDPKNKGNDASDVAKQPSGVQYGSANGGEVPIAPIPTYERSLTPLLQEHMDASMCYLPNGYTSSFYYGGYNGLMTEWEDYPRYANPDGAEVPPPGVYGDMYHHGYGYASYGPYPSPGSPIPTLGQNGQLYGSQHYHFPATYYQPPTPTSAPYMTAQTSGSIGEVSTSAAADIPPIPADTAKAISDGTTKASSNSNNGSAKTKPFSPCCSFDKGALPGGRPPAGFQDPRFGIDGMWSPVPCSDGSIFPDGQKRPPISTASPTISHTGSTTSTINQNLRPLPHLMGIHGSRPATPGMVNKMYPNHRIYDHHANGYRSGQGFRSGMHDSRMNGRWGMSMDSKYKPRGRSSNFYGYGNENLDGMNELNKGPRSGHFREQKGLGPTITLAIRGQSLPVNVNSHDTDGVPEKNQYNKADFPETYSDAKFFIIKSYSEDDIHKSIKYSIWASTPHGNKKLDAGYQESKQKTSGCPVFLFFSVNTSGQFVGVAEMVGQVNFNKTLDYWQQDKWVGCFPVKWHIVKDVPNSVLKHITLENNDNKPVTNSRDTQEVKLDQGLQLLKLFKELVSKTSILDDFNFYETRQKMMQEKRTKHQQLKKQVMDGKPVDFEEKDDEEAYRNAGLQKPSEVVTILKKESGQGGLPPVEHVLSEKNGVAAAAGVAPKDVKPATEKQLAANGVVNSC >Ma09_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8753307:8753543:-1 gene:Ma09_g13010 transcript:Ma09_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKCSSSSSLQHEAPLGYTVEDIRPNGGIKKFQSAAYSNCVRKPS >Ma03_p07550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5306783:5312317:1 gene:Ma03_g07550 transcript:Ma03_t07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRLLSGKEKKIKRKEVLEKKKAIDEIIKKASSVKDHLVSFPPFCHYERNGLSVCLESGLGDQLAPPVKKYIQNLLKVNMEGPYGPEWQMEEKVKRREMVSPEAHYIFVRQSTNTTSNENLSTKSEETTYPGQTGDRNRLVGFVHYRFIVEEDIPVVYVYELQLETCTQRKGLGKFLMQLIELIARKNHMGAVMLTVQKANVLAMNFYTTKLRYVISNISPSRVDPLIGAEKSYEILCKTFDSEARAKLEEKQQDDG >Ma03_p07550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5306783:5312317:1 gene:Ma03_g07550 transcript:Ma03_t07550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRLLSGKEKKIKRKEVLEKKKAIDEIIKKASSVKDHLVSFPPFCHYERNGLSVCLESGLGDQLAPPVKKYIQNLLKVNMEGPYGPEWQMEEKVKRREMVSPEAHYIFVRQSTNTTSNENLSTKSEETTYPGQTGDRNRLLETCTQRKGLGKFLMQLIELIARKNHMGAVMLTVQKANVLAMNFYTTKLRYVISNISPSRVDPLIGAEKSYEILCKTFDSEARAKLEEKQQDDG >Ma03_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5306783:5312317:1 gene:Ma03_g07550 transcript:Ma03_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKHVNWKRNGVQHHMVLEKKKAIDEIIKKASSVKDHLVSFPPFCHYERNGLSVCLESGLGDQLAPPVKKYIQNLLKVNMEGPYGPEWQMEEKVKRREMVSPEAHYIFVRQSTNTTSNENLSTKSEETTYPGQTGDRNRLVGFVHYRFIVEEDIPVVYVYELQLETCTQRKGLGKFLMQLIELIARKNHMGAVMLTVQKANVLAMNFYTTKLRYVISNISPSRVDPLIGAEKSYEILCKTFDSEARAKLEEKQQDDG >Ma03_p07550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5306783:5311219:1 gene:Ma03_g07550 transcript:Ma03_t07550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRLLSGKEKKIKRKEVLEKKKAIDEIIKKASSVKDHLVSFPPFCHYERNGLSVCLESGLGDQLAPPVKKYIQNLLKVNMEGPYGPEWQMEEKVKRREMVSPEAHYIFVRQSTNTTSNENLSTKSEETTYPGQTGDRNRLVGFVHYRFIVEEDIPVVYVYELQLETCTQRKGLGKFLMQLIELIARKNHMGAVMLTVQKANVLAMNFYTTKLRYVISNISPSRVDPL >Ma06_p26000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26608686:26617124:1 gene:Ma06_g26000 transcript:Ma06_t26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVEPGSVGSRVRFQERVTDSEAGSVDGNGDREAVTGGLPVQRFELREDPSFWKDHNVQVVIRIRPLSSAEISLQGHNRCVRQDSCQTITWTGHPESRFTFDLIADEHVSQENLFKVAGVPMVENCVAGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEKEARRDEKLRFTCKCSFLEIYNEQILDLLDPSSVNLQIREDSRKGVHVESLSEFEVSSARDVMQQLIQGAANRKVAATNMNRASSRSHSVFTCVIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGDRLKEATNINKSLSTLGLVIMNLVSTSSKKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSNCCGLETLSTLKFAQRAKFIRNNAIVNEDASGDVLSMRLRIQQLKKEVNRLRGLVSAGPDNTETDGLSACPTGSPCSFKWDGGHGSFSPLTFDKRLSQRKEYEAALVAAFRRDKDKEEALKAMTAEKQATQQLVTQRTEEVRSLKMRLRFREERIKRLEAVASAKLSAETHLVQEKEELLKEIEALCNQVDRNPEVTRFAMENLQLKEELRRLQLFVEEGEREMMNEQITVLQDKLLEALDWKLMHEKDSDVVQNLSSSWDSFGNEENEFLHLQAIQNQREIEALRKNLSSCLEAKEKLERRVDELGSQLEEQRKSTHAPYVGVELPQANCDITSGTGKLSDDQIELKTMVDAIAVASQREVEAHETAIALAKENEELRMKFSVLIEDNNKLIELYENAIAEGGNNDAGSLNKFEKSLVQVDMSAEFNENRHEEFNQEFHHSGKKDIESLEHQLYEMHEENEKLMGLYEKAMKERDDFKRMLASMESSISLTKEEIVCPEKLVEMDEETGCQKQETEELTEHLAEVPEKVQHLVRNNLELVRDKLAAVRTDLRYFGILEKNITDVKELTENVEEVEPGIQLKEQEIEELKRVLSQTQERKTVLDKKFLALKLALGSFSSEAHYWEQRETRARTRLNVCFEHLEQKKEELRCLQTRKDETSTALSKAQHSESQLRCNIDCLKSKLHDSETQRKKTERVLFAIDNMDTVDVVPVQKNLSFGKASELLKCEEERSKLSVEMKKLQEQLAVVQKEIASFMKKIDAIDTKMKSYENGINTGLLSLQEAEVGLQQVMEEKNMLSEMREAGKAELANLLLEFQECIFVLDLKEGEIQLCQETMQQESTKLEEIKSKRDLATHNLNQFLENNRSAMVISDEGVCSGIVSEKLEHELSCVQMYLDEVITTC >Ma06_p26000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26608686:26617124:1 gene:Ma06_g26000 transcript:Ma06_t26000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVEPGSVGSRVRFQERVTDSEAGSVDGNGDREAVTGGLPVQRFELREDPSFWKDHNVQVVIRIRPLSSAEISLQGHNRCVRQDSCQTITWTGHPESRFTFDLIADEHVSQENLFKVAGVPMVENCVAGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEKEARRDEKLRFTCKCSFLEIYNEQILDLLDPSSVNLQIREDSRKGVHVESLSEFEVSSARDVMQQLIQGAANRKVAATNMNRASSRSHSVFTCVIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGDRLKEATNINKSLSTLGLVIMNLVSTSSKKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSNCCGLETLSTLKFAQRAKFIRNNAIVNEDASGDVLSMRLRIQQLKKEVNRLRGLVSAGPDNTETDGLSACPTGSPCSFKWDGGHGSFSPLTFDKRLSQRKEYEAALVAAFRRDKDKEEALKAMTAEKQATQQLVTQRTEEVRSLKMRLRFREERIKRLEAVASAKLSAETHLVQEKEELLKEIEALCNQVDRNPEVTRFAMENLQLKEELRRLQLFVEEGEREMMNEQITVLQDKLLEALDWKLMHEKDSDVVQQNLSSSWDSFGNEENEFLHLQAIQNQREIEALRKNLSSCLEAKEKLERRVDELGSQLEEQRKSTHAPYVGVELPQANCDITSGTGKLSDDQIELKTMVDAIAVASQREVEAHETAIALAKENEELRMKFSVLIEDNNKLIELYENAIAEGGNNDAGSLNKFEKSLVQVDMSAEFNENRHEEFNQEFHHSGKKDIESLEHQLYEMHEENEKLMGLYEKAMKERDDFKRMLASMESSISLTKEEIVCPEKLVEMDEETGCQKQETEELTEHLAEVPEKVQHLVRNNLELVRDKLAAVRTDLRYFGILEKNITDVKELTENVEEVEPGIQLKEQEIEELKRVLSQTQERKTVLDKKFLALKLALGSFSSEAHYWEQRETRARTRLNVCFEHLEQKKEELRCLQTRKDETSTALSKAQHSESQLRCNIDCLKSKLHDSETQRKKTERVLFAIDNMDTVDVVPVQKNLSFGKASELLKCEEERSKLSVEMKKLQEQLAVVQKEIASFMKKIDAIDTKMKSYENGINTGLLSLQEAEVGLQQVMEEKNMLSEMREAGKAELANLLLEFQECIFVLDLKEGEIQLCQETMQQESTKLEEIKSKRDLATHNLNQFLENNRSAMVISDEGVCSGIVSEKLEHELSCVQMYLDEVITTC >Ma08_p32020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42762839:42769184:-1 gene:Ma08_g32020 transcript:Ma08_t32020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDQAEDARREAALACTPLFQPNFRPSKATQAQLDKLKELHKKRLQLKEKEEVKRLKGSSQRRGKICEEDTDVMNSTNSSASSADGSSSVSPLEQRSCLDQRAPTLVSNKRRKLHWGLDAKERWERKANM >Ma08_p32020.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42761130:42769184:-1 gene:Ma08_g32020 transcript:Ma08_t32020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDQAEDARREAALACTPLFQPNFRPSKATQAQLDKLKELHKKRLQLKEKEEVKRLKGSSQRRGKICEEDTDVMNSTNSSASSADGSSSVSPLEQRSCLDQRAPTLVSNKRRKLHWGLDAKERWERKANM >Ma08_p32020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42762955:42769184:-1 gene:Ma08_g32020 transcript:Ma08_t32020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDQAEDARREAALACTPLFQPNFRPSKATQAQLDKLKELHKKRLQLKEKEEVKRLKGSSQRRGKICEEDTDVMNSTNSSASSADGSSSVSPLEQRSCLDQRAPTLVSNKRRKLHWGLDAKERWERKANM >Ma08_p32020.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42762955:42769184:-1 gene:Ma08_g32020 transcript:Ma08_t32020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDQAEDARREAALACTPLFQPNFRPSKATQAQLDKLKELHKKRLQLKEKEEVKRLKGSSQRRGKICEEDTDVMNSTNSSASSADGSSSVSPLEQRIRELPLWCQIKEESYTGALMQRKDGKERQICDEDTSETGKLGLECLEYCFH >Ma08_p32020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42761130:42769184:-1 gene:Ma08_g32020 transcript:Ma08_t32020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDQAEDARREAALACTPLFQPNFRPSKATQAQLDKLKELHKKRLQLKEKEEVKRLKGSSQRRGKICEEDTDVMNSTNSSASSADGSSSVSPLEQRIRELPLWCQIKEESYTGALMQRKDGKERQICDEDTSETV >Ma08_p32020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42762394:42769184:-1 gene:Ma08_g32020 transcript:Ma08_t32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDQAEDARREAALACTPLFQPNFRPSKATQAQLDKLKELHKKRLQLKEKEEVKRLKGSSQRRGKICEEDTDVMNSTNSSASSADGSSSVSPLEQRIRELPLWCQIKEESYTGALMQRKDGKERQICDEDTSETGLKALVRLITTNNNCLMIQG >Ma09_p31240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41045080:41053651:1 gene:Ma09_g31240 transcript:Ma09_t31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLFEIHLPLNMQGGIHSLIFWSAYDIFALNILNHLQVVLFIDLHNIFLHILKCEFVIFIVCVAVQWLERRSKAFEPTYLYTTEKGYFLLSEGAKSRHDIRTVNATISAQSTCFGNRWQQLLINSFVGYDTILMNSLLSSPGHGYLYNFQTKEFYDLNYGQETSDGPTRFGNYFVTKCGVLIMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARNHLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTTISMQFFPRFFLLYFLVFHIYFFSYPYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFMRARAQLQQQTGVQITSSTIYTSTLHIARVSLPNGDVADNEPRATAQTSAGSNPAGVPDPSGPFGVNSEPREVSNLNSHQTADFFDDWRRGCEFWHMLHIHTDMSARG >Ma10_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29292276:29300846:-1 gene:Ma10_g18330 transcript:Ma10_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEAQTIGNLLPDDDDDLVSGVIDNIGYIGRPSNGNEIDDDIFYSGGGMELESDDNINGNRTSESVWGGTSNGQQEEPNGPFAGEHPYGEHPSRTLFVRNINSNVEDGELRALFEQYGDIRMLYTTCKHRGFVMISYYDIRAARNAMRALQNKPLRRRKLDIHFSIPKDNPSDKDMNQGTLVVFNLDSSVTRDDLRQIFGIYGEIKEIRETPHKHHHKFIEFYDVRAAEAALRALNRSDIAGKKIKLEPSRAGGARRCSLMQQLSPELVQEELTGRWQGSPKNSLPGCFGLSSLGTIIPNSLENGAIQGLNSAVRAPFTPLMGATFHGISSSVPQNLSPPVKITSISDHTNQATHADVNHSMGQINFGFQGIAGFHPHSVSEYHNGVTNFFPSNSNTMSPVGIGVISRPSEGIDKRQLHKLGSGSFEGHSFDPNEAFGVSSNGSCPLHGHQHVWNDTNAYHQKTPSTMLWSNSPFISNIPSHHPSQIHGIPRAHSHTLNTVLPLHHHIGSAPLVDPSLWNSRPAYSGDSIKPPAFHPASLGSMGLSTISQLKSLELASCNIFSHSGGNCLDPCISPAHVGIASPQQRGQMFHGRNPVVHMPASFDGPDRIKNRRNDTNTNQCDNKKQYELDIECIICGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIRFYQSFNGKKWEKFNSEKVASLAYARIQGKMALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPVGTSIRSRSGSSRTVSGGEENHQGCLSTSTNGEASCVASAAPGSTKDSE >Ma10_p18330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29292276:29300845:-1 gene:Ma10_g18330 transcript:Ma10_t18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEAQTIGNLLPDDDDDLVSGVIDNIGYIGRPSNGNEIDDDIFYSGGGMELESDDNINGNRTSESVWGGTSNGQQEEPNGPFAGEHPYGEHPSRTLFVRNINSNVEDGELRALFEQYGDIRMLYTTCKHRGFVMISYYDIRAARNAMRALQNKPLRRRKLDIHFSIPKDNPSDKDMNQGTLVVFNLDSSVTRDDLRQIFGIYGEIKEIRETPHKHHHKFIEFYDVRAAEAALRALNRSDIAGKKIKLEPSRAGGARRCLMQQLSPELVQEELTGRWQGSPKNSLPGCFGLSSLGTIIPNSLENGAIQGLNSAVRAPFTPLMGATFHGISSSVPQNLSPPVKITSISDHTNQATHADVNHSMGQINFGFQGIAGFHPHSVSEYHNGVTNFFPSNSNTMSPVGIGVISRPSEGIDKRQLHKLGSGSFEGHSFDPNEAFGVSSNGSCPLHGHQHVWNDTNAYHQKTPSTMLWSNSPFISNIPSHHPSQIHGIPRAHSHTLNTVLPLHHHIGSAPLVDPSLWNSRPAYSGDSIKPPAFHPASLGSMGLSTISQLKSLELASCNIFSHSGGNCLDPCISPAHVGIASPQQRGQMFHGRNPVVHMPASFDGPDRIKNRRNDTNTNQCDNKKQYELDIECIICGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIRFYQSFNGKKWEKFNSEKVASLAYARIQGKMALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPVGTSIRSRSGSSRTVSGGEENHQGCLSTSTNGEASCVASAAPGSTKDSE >Ma09_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36291635:36292658:-1 gene:Ma09_g24640 transcript:Ma09_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCERAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGKLPGRTDNEIKNYWNTHIRKKLLSMGVDPATHRPIHDSAATITAVSVGRTEEKAAGFGREQERSGEEETSARRQHHRPPKCPDLNLELCISPPFQQAPLHPI >Ma10_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14521913:14527715:-1 gene:Ma10_g03930 transcript:Ma10_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIHRLGALAARSLARRAFSPPPMHRRSFGAAALAEVEYWTEWEEEEHGRYAAAAATDACGEREPRRVQWVFMGSPGVQRHVYATRVAELLDVPYISMGSLVRQELNPNSSLYKKIANVVNEGRLVPEEIIFGLLSKRLEEGYQRGEIGFILDGIPRTRIQAEILDQIANIDLVVNLQCRDDCLVKKHFGADICSHCGKTFDSSNSESTSLNLCLATRTRHAQLKSSAAVDMKDSCMEKFRVYSEQIKQLEEYYMKQKKLLDVQVTGGPGETWQGLLAALHLQHMDTATSQKLTV >Ma00_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17148710:17158127:1 gene:Ma00_g02340 transcript:Ma00_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRSFSFLARLTLTRSVSLSQTRSLASDALVELRPGEIGIVSGVPREHLRRRVIIYSPARTATQQGSGKVGKWKINFVSTQKWENPLMGWTSTGDPYANVGDAGLAFDSEEGAKAFAEKYGWDYVVRKPHTPLLKPKSYSDNFKWKGPPKTEER >Ma01_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5076037:5076721:1 gene:Ma01_g07050 transcript:Ma01_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKGAEIVMQIMLVVFAIAGLGTGYTIGSFPTMMLTFAGGVVLTALITVPNWPFFNRHPLKWLDPSEAERHPKPQVDVAAASKKKAPKHK >Ma09_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9035110:9040008:-1 gene:Ma09_g13410 transcript:Ma09_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKKKPPSMSSVAMPYTGGDVKKSGELGKMFDIPTDKSRSRKSGPITSTPAKTETFGHAASFSGPIVPNSGNRSNHSSGPVSSTGVTGTGSSNRLKSNSGPLNKQNDSMKKSSGQQSGGANLMAGQSSGPLPLRATGLITSGPVSSGPLNSSGTPRKVPGPLDFAGSAKIHIPSTVHKQAVTTIIREDKSSSKIALFIWNACWGRRAMIRLIAHYPDAELRTAKDGQYVKVSGIVTCGNVPLESSFEKIPRCVYTSTSLYEYRGWKSKPANPQHRCFTWGLRSMERHVVDFYISDFQSGLRALVKTGYGAKVTSYVDESIVFNVNPSKKDLSPEFLGWLAQRNLSSDDRIMRVKEGYIKEGSTVSVMGVVRRNENVIMIIPPSEPVSTGCQWTNCFLPVSLNGIVLRCEDTSKLDVVPV >Ma03_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9175955:9179276:-1 gene:Ma03_g11810 transcript:Ma03_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIHFNSPICYIVVCDVNTSQSRYFISFLPALIPSASLCSVARGTMSQDKGRPLPKFGEWDVNDPASAEGFTVIFKKARDEKKTGSNARETDSPVKEETAFRNEPNATKPSTKKWFCCMQQSAET >Ma04_p27390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28573940:28579317:1 gene:Ma04_g27390 transcript:Ma04_t27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGWPVTGRRDLTNGRQDSTQAVAAGLFQITTAVRNFERLVNTIGTPKDTPELRQKLCNTRLQIGQLVKDTCAKLEQVSETDHRLEVSANKKVADAKLARDFQHILKEFKNLQRLAAERETAYMPLVPQAVLPSSYAAIEADSNSNNTLEQRAVLAESRRQEVLLLDNEIIFNEAIIEEREQGIQEIQQQIDQMTSTLTSRTPTRQLHKEKPN >Ma04_p27390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28573916:28579317:1 gene:Ma04_g27390 transcript:Ma04_t27390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGWPVTGRRDLTNGRQDSTQAVAAGLFQITTAVRNFERLVNTIGTPKDTPELRQKLCNTRLQIGQLVKDTCAKLEQVSETDHRLEVSANKKVADAKLARDFQHILKEFKNLQRLAAERETAYMPLVPQAVLPSSYAAIEADSNSNNTLEQRAVLAESRRQEVLLLDNEIIFNEAIIEEREQGIQEIQQQIDQVNEIFKDLAVLVHDQGVVIDDVNTHIENSHAATAQGKTQLKKAAKTQKSNSSLMCLLLVIFGIVLLIVITVIAA >Ma09_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5697771:5701932:-1 gene:Ma09_g08600 transcript:Ma09_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGVRSDRDEGAPTATADARDSGGGSRDADDAVRTGESAAVGAGPRSELQVVRWERFLPRRSLRILVVEHDDSTRHIVMALLRKCSYNVVAVADGLKAWEVLKEKRYNFDLVLTEVDIPSLSGIELLSKIMAAEQCKNIPVIMMSSRDSISVVHKCMLNGAVDFLVKPVRKNELRNLWQHVWRRRWSSRSTAASDNIAAINHVSVNSGNGSKTGENSDRGDAQISGSKPEMENKSVQKNMPKAEDPGNGTEVITNQSKNYDDHETRDEASEPKAQVNQSIQEALSLGHKEDKKILYNTSMSREEELVFLRLKNREDIEPKPYCQPDATTDILQNVINFIEPRASRRCMSAALGKVSFRDDVPCETPTSSHGKSTYEFGSSQLHELSLRRPQINGCVSLEFKEKHVLNHSNASAFSRYGDKKMHHSSQKPVSSLFIHTRESIDKPQPHVSTNSSFNEKDGTIFPSHPVRENASVGHSSDGANSFFRHPQSGILSVPVSVGEIPYQSMCAGYHTILQPMFYPESSQPQNLSASEDPGATSCTPLKKSNQSASSNQDIYKVCGKNDCDKTTEAAANAVNSLENWNDIFIQNSGREGLDCDRAHREAALIKFRLKRKDRCFEKKVRYHSRKTLAEQRLRIKGQFVRKKATNSATATESED >Ma11_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25899589:25900696:1 gene:Ma11_g21940 transcript:Ma11_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTLSLKDLIEGQESATQLHTILQDILPLLKSGSASTAATLMTRILSSFSRPISLLEVQGMVEGLPNTRVSDERRLDASSDKRKLHQPGKSGYRRRAHPCTNTRVVSETMDDGHVWRKYGQKNICTSKFPRSYYRCGHKYDRGCQATRQVQRSSEDPSMFVITYMGQHACVGGSTSPSPPSPCIISFGSNAVQEKSFPSPIPSRDEEVPNDSTPWTSPTELIFPDFPVYFDSASTATSGCHASADSLNLEFNPEALEFGGVFCFGQGEPLHQI >Ma03_p32090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34059187:34064528:1 gene:Ma03_g32090 transcript:Ma03_t32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPLSTIANDLLNRCAETLDTSVDSLIEEFEQGLKPAVDNYTRRLVEFCCSKTIKNICPRVGERISDGSISRFTYDMMLAWQNPSTTGSEPSSERLAKEKEDKRIPLEGNVGQIDDDIPLFYSDIMPLLVNEEPSVGEDAFVWFACLIPLVADVVNARFTFETLTAPTASQLHFPAYDRFLKEIDKCIEYLQKQETPTGVDLSENEFILHVEGTSKTHRVVRHIGATSWPGRLTLTNQALYFEASGIVSYETALKIDLSRADVGHQLKETSTGPWGAPLFDKAIAYESSELSEPLVLEFPEMTSSTRRDHWLTLIKEIILLHQFTSKFNIEHPVHVWEIHARTILGVLRLHAARELLRISPPAPANFLIFSLYEDLPKGDYVLAELANAVKQATGLSPCTATSILKGLNISHSVSLSNKKDASEEETSSQADTLKSLETTIDQVREEVKEVSVAKATVEEMKEEGISDSLLILVELASSIKNVVPWLQVVVSQERPTITLFMIGLVLVVIYKEWVGFAIAVVLMLVVGTMLWARRNRIGERHKEIVVSTSSDKTTMESIVAAQHSLKNLHEIVKTTNIAILRIWSILIGKSPKQANVVMWAMIGIAVLLMVVPFKYILMWLALCFFIANSKIAKYMSSEQGNRRLREWWESIPIIPVRTISSPP >Ma03_p32090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34059187:34064528:1 gene:Ma03_g32090 transcript:Ma03_t32090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPLSTIANDLLNRCAETLDTSVDSLIEEFEQGLKPAVDNYTRRLVEFCCSKTIKNICPRVGERISDGSISRFTYDMMLAWQNPSTTGSEPSSERLAKEKEDKRIPLEGNVGQIDDDIPLFYSDIMPLLVNEEPSVGEDAFVWFACLIPLVADVVNARFTFETLTAPTASQLHFPAYDRFLKEIDKCIEYLQKQETPTGVDLSENEFILHVEGTSKTHRVVRHIGATSWPGRLTLTNQALYFEASGIVSYETALKIDLSRADVGHQLKETSTGPWGAPLFDKAIAYESSELSEPLVLEFPEMTSSTRRDHWLTLIKEIILLHQFTSKFNIEHPVHVWEIHARTILGVLRLHAARELLRISPPAPANFLIFSLYEDLPKGDYVLAELANAVKQATGLSPCTATSILKGLNISHSVSLSNKKDASEEETSSQADTLKSLETTIDQVREEVKEVSVAKATVEEMKEEGISDSLLILVELASSIKNVVPWLQVVVSQERPTITLFMIGLVLVVIYKEWVGFAIAVVLMLVVGTMLWARRNRIGERHKEIVVSTSSDKTTMESIVAAQHSLKNLHEIVKTTNIAILRIWSILIGKSPKQANVVMWAMIGIAVLLMVVPFKYILMWLALCFFIANSKIAKYMSSEQGNRRLREWWESIPIIPVRTISSPP >Ma03_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2141846:2143184:1 gene:Ma03_g03160 transcript:Ma03_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYWKSKVLPKIKKVFDRNGNKAAAAEACKSFDDSKEDITKELEEKKVDLQPKVVEIYEASAVTIKTLVKKPTESGLKKGSTVVVKFIEELVKIEFPGSKPVSEAATKYGPALVSGPVIFIFEKVCTFLPAEEPPAPSEPEPAATAAVESASKEITPEAAEEIKKEEAEKVEETPAPPAPAEEAPAPPEPEPAKPDEPAPEPAKA >Ma10_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31205969:31209505:-1 gene:Ma10_g21370 transcript:Ma10_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVPVEELTSGASGRIIPVFRNIRRSVPSPASLLRLLLFLHALAMWFLLFIRRRSPISWRSMAASASSRRRTGVGTWSAAAEEEDVLRRRALAERVEMVPLSEEGGGEVACRCGTFLFLGPRRTALYCRSWLPASGDLRGILVIIHGLNEHSGRYSHFAKQLMACNFGVYAMDWIGHGGSDGLHGYVPSLDYVVEDTGKFLEKIKSENPGVPCFLFGHSTGGAVVLKAASYPHIKAMVEGIILTSPALRVKPAHPIVGAVAPIFSLVLPKFQFKGANKRGIPVSRDPAAMSAKYSDPLVYTRPIRVRTGHEILRISSYLLQNMKSITVPFFVLHGTADRVTDPLASQDLYNVAASRHKDIKLYEGFLHDLLFEPERDEVGGDIINWMLKMLQLQNM >Ma07_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11079814:11080500:-1 gene:Ma07_g14760 transcript:Ma07_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLLSSDFLTGPVFEVHLSSESRALCQTSTLLSNLVKLSLGSHAPRLVGDLGQVNGLGQEAIRPILFSAPSSRFLLPRPPRPAAHRSLFLLSPPFKAAEEIRRPRRGNGSSIPWETRGRGTRRGGEALRGLRCRPRLPRRTASTTERSSRSKSMSPSSPSPREPCSSLV >Ma01_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1941853:1957028:1 gene:Ma01_g02990 transcript:Ma01_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVELPSRLAILPFRNQVLLPGAIIRIRCTSPSSVKLVEQELWQKEEKGLIGVLPVRDTEATGVGSMIAPGMGSDSSGRGLKGVADVVGDSQKQDAKNPQEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVQELSARGTYYVARVNRLDLTKAELEQAEQDPDLILLSRQFKATAMELIAVLEQKQKTVGRTKALLETVPVHRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQSAGMPPNIWKHAQRELRRLRKMQPQQPGYSSSRGYLELLADLPWQKVSEEPELDLKAAQESLDQDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFVRISLGGVKDEADIRGHRRTYVGSMPGRLIDGLKRVAVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRVQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSEFLQIPEGMVKLIIQRYTREAGVRNLERNLAALARAAAVKLAEQKDCVVQLSKDVHPMTTSLLDTRLADGSDIEMEVIPMSINRQDISNAFASPLTLVVDEAMLEKVLGPPRFDDRETADRVASPGVSVGLVWTSFGGEVQFVEATTMVGKGDLHLTGQLGDVIKESAQIALTWVRARTADLKLSAAGETNLLENRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSQKKVRADTAMTGEMTLRGLVLPVGGIKDKVLAAHRYGIRRVILPERNLKDLAEVPSAILAGMEILLVKRIEDVLEQAFEGGCPWKPQSKL >Ma03_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28276428:28277421:1 gene:Ma03_g23840 transcript:Ma03_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPSDPLVVGMVIGEVIDSFSPSVKMTVTYNSNKLVCNGHEFFPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHVHWIVSDIPGTTDASFGKEMVSYESPRPNIGIHRFVFVLFQQKRRQSVTLPASRDHFSTRQFAQENDLGLPVAAVYFNAQRETAARRR >Ma02_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27746432:27747882:1 gene:Ma02_g22390 transcript:Ma02_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATGLYSSSLSRDQVRVMDAGASKQQEMLLLDFQRCVADLCVDLSDAAAPGAHDFLSLSWVRRLLDAFLMCHAEFRALLQESRTLVVRSPLDRLVADFSDRAVKALDICNAARDGIDQIRRWRTHLEIVVAALGPDAGTSRHGISEGQLRRARKALADLADLMLDDQDAGAALTRRHRSFGRSGSSFSLPKSGRHLSSLRSLSWSASRPWSASRLLQAIGSNVAAPRSHEVVATAGFAVPVYTMSAVLFLTMRALVAAIPCQDRGLQAHFSVPRTFAWGVPMTSLHERMMEESRKDRKSRAGLLKEIQQIERCTNRLTEQIESIRLPMSAEEEKGLRQRVEELAQVCEALKEGLDPLERQVREVFLRIVRSRTAGLDCLS >Ma09_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:993269:996997:1 gene:Ma09_g01350 transcript:Ma09_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPKLPSRDFAEVEQNAELHMASLVASCGGLGSRSSGRDCSVDLKLGGSGDFRSPASLGSQPTMSMAVASSGPSKRARAPGNAGQIASCSVDGCTSDLSNSREYHRRHKVCEAHSKTPIVMVGGQEQRFCQQCSRFHLLVEFDEVKRSCRKRLDGHNRRRRKSQPESINPARLFPNHQGRKCLVYPHVLPAPIQELNWAGIIQPEDMLYRNHLPLHVGDEQPFSGSFCSYRKGRQLPFIQDDATAFGITTMAQSTCLQPLLKNTPPSAESSSKILCDRIQQVHSDCALSLLSSSTQNPGIALSQMLPAGRIPMHQSLLSSLPYGNLGLHSSSQASSYVSPASFSCSGVENEQVGMVFACGADTDLHCQSVFHVPEGSSEGVSQTFPFCWQ >Ma07_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:181075:183212:-1 gene:Ma07_g00160 transcript:Ma07_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVEILTGRFFHVEVEDDATVGSLKKAIASKEMFQEQRLVLMLSNGRLLRDDQCALAECGVVDGSIIYLFFTSVGNPNWLTFFEDFVTFFDADPNKTPRGFGVIDRVKEDKACPWTVLALVARDVVVLDLVVLSALILPTTQRGSSFLKMDPGSFTTFDTGYLLLAAVEARGAVHL >Ma02_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9713113:9713290:1 gene:Ma02_g01350 transcript:Ma02_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVINVPWKEGDVAALTVGEFRQLLVSLVSTYR >Ma06_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9602663:9603900:1 gene:Ma06_g14030 transcript:Ma06_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYVTSTGNRWQLRKLVNLVTDAKAIKFAASCPLLL >Ma03_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28601391:28602224:1 gene:Ma03_g24350 transcript:Ma03_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSEGLLLICLALLSAMAGATQFRVGGSKGWSVPDPDAVSYNQWAEKNRFQVGDSLLFVYPPVEDSVLLVDKDAYDACNTNSFIDKRDDGNTVFTLNRSGPFYFISGVEANCMRNESVVIVVMADRTNRSSSSSSPPPSPSAASSPPPPPESAEATPAPAPAPAEEEPNSSPPPPNAASSRVVGFMGSVGCFIGSIILVL >Ma03_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8973954:8975928:1 gene:Ma03_g11570 transcript:Ma03_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIFLAVCLQKDLKSENARTINA >Ma09_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9116148:9117928:1 gene:Ma09_g13510 transcript:Ma09_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAMKKVEKIRQIVQLKQVMRRWRALSLRRHGGEANKAEGEAGSGRPVRSGFLAVYVGPERRRFVIPTRFLNLPVFAALLQRAEEEYGFPPAGGLALPCDPAFFRWVLDALERDEPRLGSLSLKDFYTLFADLGAATASPCREPVAYNGFSPLLPKTKAR >Ma07_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28273118:28274485:-1 gene:Ma07_g20400 transcript:Ma07_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKLFQHHYLQQQQQQQQQQRQPQQQKSLSDEVDSGRSSGESKKPKLDEQKEQTRGDGSTIEVVKRPRGRPPGSKNKPKLPVVITREAEPSAAMRPHVLEIPAGHDVVDSLAGFSRRRNLGICVLSGTGAVANVTLRQPHLGGAPLSAGAPATIVFRGRFEILSISATFLPPAMEALCPAAAGGLSISLAGPQGQIVGGTVAGPLVAAGMVVVVAAAFSNPTFHRLPVEDDVSVSVSVSGGGGGDMEEHEQHMYTQQQPQRQQQQHDHSQRRHQGPSPTAAVSSGMSLYSSHLPSDVIWAPTARPPPPPPY >Ma06_p33980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34401590:34403391:-1 gene:Ma06_g33980 transcript:Ma06_t33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPASLPPGFRFHPTDEELILHYLRNQASSVPCPVSIIAEVDIYKFDPWDLPAKAMFGEQEWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHRSGGNEHIGVKKALVFYKGRPPRGRKTNWIMHEYRLAEAHHGNTYKPMKFKNASMRLDDWVLCRIYKKNHNLQSVPPLVDQEQEDSGSGDIYLSSFRNTEQPSGLRLQKSFSVSDFLENYSAPPSHLFDNLPEMQGSELALLMAQPSTNQLKADNSNCSNDNHTFAPYKIREESAAPVAANPTKRQRTTESYLDGMNDLLHPWKKPSSDATIYTTEFSDQFDGTQCNLLNQRFFNQQILLNPQLGLH >Ma11_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7686650:7687128:-1 gene:Ma11_g09430 transcript:Ma11_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGALGRRREEEEEEEEEEEEEERRIAAARLQRGGVWRWGGKGKRRRREGEEERKERDEGEEQEMAAARAAAPLFPAGGNRGEGVCDAGEEGLVVAAMAAAAGREEEEEDEQGRKKRLRPWLRPRLQ >Ma05_p05360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4075971:4076638:1 gene:Ma05_g05360 transcript:Ma05_t05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAATSEVAFEDSGDSEAPPSSFDTSRKRRGTTRSSELGSTAKTTRRSTADEGAPWEAEIDEFFATAERDASRRFAEKYNYDVIDDVPMEGRYDWARI >Ma05_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4075971:4076638:1 gene:Ma05_g05360 transcript:Ma05_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAATSEDSGDSEAPPSSFDTSRKRRGTTRSSELGSTAKTTRRSTADEGAPWEAEIDEFFATAERDASRRFAEKYNYDVIDDVPMEGRYDWARI >Ma09_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35214904:35218044:1 gene:Ma09_g23340 transcript:Ma09_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLPRVRAPITHVIFDMDGLLLDTEPFYTLVQEKILARFGKTFDWSLKAQMMGKKAIESARIFVRESGLDGLLTPEAFLEEREGMLQDLFPTCQQLPGVKRLVSHLHAKGIPMCIATGSHKRHFALKTQNHGEIIAMMHHVVMGDDPEVTKGKPSPEVFLAAAKRFEGSVDLSKVLVFEDAPSGVAAAKNAGMNVIMVPDPCLDASHQKEADQVLGSLMDFEPKEWGLPPFDPSNE >Ma11_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1322964:1324505:-1 gene:Ma11_g01840 transcript:Ma11_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLIPLLVFLVLRTADAQPSPGYHPSSSVSPIRFYEGYSNLWGPQHQTISPDQYSTTIWLDTSSGSGFKSKNSYRNGYFGASIKLQSGYTAGVNTAFYLSNNQAYPGFHDEVDIEFLGNIEGRPYILQTNVYVRGSGDGRIIGREMRFHLWFDPTASFHHYAILWDSDEIIFLVDDVPIRRYARKVEATFPDRPMWVYGSIWDASSWATDNGKYKADYRYQPFVAKYTDFKLGGCTGSAPPSCRPVPSSPSGHGLSPQQYAAMRWVQNNYMVYYYCEDSSRDRSLTPEC >Ma08_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4538217:4539581:-1 gene:Ma08_g06700 transcript:Ma08_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MEFFGAMTTSTSSFPSSSLLSLHRSGSPLAHPRRQHLLFPSCRATVQLTSVPASEPSPTNPGLHAVGRREASLALAGLLFTRLLDSPARAADEQPGSPGCALTVAPSGLAFCDRVVGSGPEATQGQLIKAHYVGRLENGTVFDSSYSRGRPLTFRVGVGEVIKGWDQGILGAEGIPPMLAGGKRTLKLPPELAYGIRGAGCKGGSCLIPPNSTLLFDVEFIGKA >Ma08_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7556370:7562520:1 gene:Ma08_g10340 transcript:Ma08_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLQKRRVQLLLFIFGLIVLSMTAEKFRELVGEEAASKSGKFTFMNCFDMRSGSLACVSKEGVKLYVYNIRNAHVERVRQRATEIALSEALTGGVSTSVAVKQAQKAGAKAAKVASRQAKRILGPIISSGWDFFEALYFEGTMTEGFLRGAGTSFGTYAGGYFGEQRMGRFGYLMGSQLGSWVGGRMGLMVYDIINGMDYLLHVVQPASSSTDTWDSGSEDAY >Ma04_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20231114:20239856:1 gene:Ma04_g18370 transcript:Ma04_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAHISGFKRFSSALSLAILEWILMLLLLFDAWFSYMVTKFSRICKLQTPCIFCSRLDHIFGSEKLDFYLDLICETHRSEISSLALCHAHGKLADVHTMCKACLLSLKPETYRSLVGNLGRHFDYRENIQYVDGDGLHVRDEDDLVNVPFLKKDETNCSPVKIICSCCAEPLQHKLHAIRLLEDESIEVDVSEIDISLSSLTGDGMRKTREKTLVSPTSDHLRNQGLDEFSHIVHSEVKVTTDSDSEVQHIDDGKGKSLAHGAENAKDDLMYQNVEPENATDIGSVSDNKTIGKLNYSDPVSISDNKALQKLIHSAPDIDEPSESVSEKQKCVGELHDVLEISSSGAAGHIPKDSNWNQIEINAKPPQSKFVSKDPQEVPVEDSNVKDKLEQSDAACVNTTYVDDVKDWCAKDIDLGISHDASDPGQSMSNHMDLNDAYKLAVGAKGSLPSPRFADVIMGKDSSRVQEDLKLLISQISASRGLESPWHEMTPSPRVYGQDDESVLQNITKTLSLERNESGLESLDGSFVSEVEGESAFERLKRQVELDRKSINLLYKELEEERSASAIAANQAMAMITRLQEEKAAMQMEALHYQRMMEEQAEYDHEALQKCNELLTQREKEMQDLEAEMEIYRKSFTDKLSNDQAVELNGNFHDKELESCNKSRENHVMHRDSRWSNFDSLKNPLSCFEDEEAYLSNCLVKLEKKLHLFSNHGVFDDGSSLLVNDDENGFPENTCTDIQGEDFIQRNRVSEGGVGTNGWYSDKIASAGPEHLYQKDGPLENSQVGGILMDEKLSRKPSSSFQGNHEDSFDIDKYLKVVNKSDLVALEDEVSCLSQRLEALESDRNFLEHAINSLRNGDAGVRFLQQIACDLHELRKIGITRQEHHIA >Ma06_p31770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32813013:32815001:1 gene:Ma06_g31770 transcript:Ma06_t31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACVNDAAPPPDTAFLDFAPACPVYGWLSPRISFSRDLADGSGPDPEPVAAAVTETPDADDPGKDLPDFEFRLEDPVAMLPADELFSDGKLVPLQISAVRPAVELAEGIPSPEAEKPRGEAEVIGSESCEKSPKAPRCSNRWRDLLGLKKLQNLKAESQKTASVPCKGLNSSTRSLRNLLRQPKPSSSADSSLSVPLLRDSESELASISARRSLSSSGADHEELPRLSLDSEKPAQAPPRVRLSRPRGTTPEGVPNAGRSPVRRGAEVDSPRMNASGKVVFQGLERSSSSPGSFHGGGHHHHHRVKPYRGMERSYSANVRVAPVLNVVPVGSLRVGLGQLFSPIKKERDVNSHNGGGSSSRRKIIDKEKA >Ma04_p39540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36648330:36651298:1 gene:Ma04_g39540 transcript:Ma04_t39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAGAPMEDDDARGIISDGPAADPITDDHSRRATTSPPSHQLFNCWEDDNGLGIKSDGPAAVPIADDPRRRGTTSPPFLQLFDCFDGPAADPITDDHRCRATTSPPALQLFNCCEDDNALGIISGGPAAVPIADDHRRRGTSSPPSLQLFDCCKDDNALGIIGSGPAADPFADDPRRRGESSMSALDDTDYSVNGIGDGESSDCHQVHAGESSRGDLYDEESSDGFDVYELIGRDLRRALNFGEPSSSRGSDDLESSVPPSVEPPASVAYPSLFYSYPSDVASTDSQDRLPSHSVPDVAYYYDIFCPHLNPYPSSHYYISRPRAKELVRQSLLYYESIDLSAVMATSSCFLCSGRPIVTREVREQPPCSRCFQEFLDGREPEITQKVLAGFLRCSVYDMMMDCRGSDFILKLLRVADDVQRRQMEDYVRKDHRMLLKVAKDSSGSECVKELVKILKFPVGKALKCHVKQLMTCKNGKSVVDCCLEHLNYEANKVFIQAALNSFTELASDKCGCLALVSCMDYSMNPHRNLLLKKTTDDAIGLSCHIYGNYVVQCALNMNVAWAAETICADLAGSLMELSVHKNGSYVVQRCLESSGRHHIIRGLDCLSQGELEDMLQHYYANYVLKTALAFFKVKPLSPHNPSLAPLKLSLTAIFLQRGCPFYRRLLDRLERLVLPYKNHIHASNVYKCIVDIRKRSV >Ma08_p32330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43011273:43034054:-1 gene:Ma08_g32330 transcript:Ma08_t32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCLSTAVCHLSSGCRMLIRRTDLQKDSLTSSVLCSASKKRGFGNDTTKKEKSKNAKNLKESSHSTSETRNSASGELKTRSQKAPQLTSGTSRNSSNAVLDQQFLEKVEAVRSVSPSEDASTIGKTLTEEEKSTFKTKLEEYKEILSKSPEDPTALEGAVVTMEELGDYEQASSLLEKLTKERPNNADVYRLLGDVKFELKDYDGSASAYKNSLSASGIIDFEVLRGLTNSLLAAKKPGTAVQELLKYREQLNEKYLRSSNVSVDGKVNLDKENLNADPIQVDLLLGKAYSDWGRVSDAVVVYDQLISKHPDDFRGYLAKGIILKENGSVGDAERMFIQARFFAPEKVKALVDRYSGK >Ma08_p32330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43011273:43034049:-1 gene:Ma08_g32330 transcript:Ma08_t32330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCLSTAVCHLSSGCRMLIRRTDLQKDSLTSSVLCSASKKRGFGNDTTKKEKSKNAKNLKESSHSTSETRNSASGELKTRSQKAPQLTSGTSRNSSNAVLDQQFLEKVEAVRRSALEQKKAEENKNYQAIDYDPSIEPEQNTIGLGTKVGVGAAVLVFGLVFAFGDFLPYGSVSPSEDASTIGKTLTEEEKSTFKTKLEEYKEILSKSPEDPTALEGAVVTMEELGDYEQASSLLEKLTKERPNNADVYRLLGDVKFELKDYDGSASAYKNSLSASGIIDFEVLRGLTNSLLAAKKPGTAVQELLKYREQLNEKYLRSSNVSVDGKVNLDKENLNADPIQVDLLLGKAYSDWGRVSDAVVVYDQLISKHPDDFRGYLAKGIILKENGSVGDAERMFIQARFFAPEKVKALVDRYSGK >Ma10_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24287564:24293549:-1 gene:Ma10_g10280 transcript:Ma10_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEELSLAAGRLSSWWDEINESDRWQDGVFYFLCSAYALVSCVALVQLIRIQLRVPEYGWTTQKIFHFMNFIVNGVRAVEFGFHAQVFLFRPRVFTLTLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTNKLRIAYICVNIVIYVIQVCLWIYLWINDNSIIELVGKIFIAAVSFIAALGFLVYGGRLFLMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFMVGLSAFDAEASLVVLDHPILDLIYYMLTEILPSALVLYILRKLPPKRVSAQYHPIR >Ma10_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11970352:11973003:-1 gene:Ma10_g03220 transcript:Ma10_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEGVGDKELPPILEQRCILQSEALSQYIMETSVYPREPEALRELRQMTATDLRNVMATGPDEMQFLSMLLKLMNAKKTLEIGVFTGYSLLATALSLPHDGEIIAIDTNRKNFELGLPFFEKAGVAHKVDFREGLALPILNELTKEEKYKGWFDFVFVDADKSNYMNYHERVVEMVRVGGIIGYDNTLWNGSVVAEAMAERPFPWYIMESRDAILEFNAYLAADSRVEICHLSIADGLTLCRRLR >Ma10_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30682451:30683896:1 gene:Ma10_g20590 transcript:Ma10_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIDAFRKAQRPQGPATIMAIGTANPPNLYEQSTFPDFYFRVTNSDHMPELKEKFRRICGKTMIKKRYMHLTEEVLKQKPGMCSYMDPSFDERQEIVVEEVPRLAKEAAAKAIEEWGRDKSGITHLVFCSTSGIDMPGADYRLVKLLGLPLSVNRIMLYSQACHIGAQILRIAKDIAENNKDARVLVVACELNTLIFRGPDERDFLSLAGQAAFADGAAAVIVGADPIQGVEKPIFEMMSAAQVTVPDCERAVGGHLKEIGLTFHFMNQLPMLISNNLENCLLEAFKPLGITDWNEVFWVSHPGNWGIMDAIEKKVGLKQEKLRSSRHVFGEYGNMMSATVLFVMDDVRRRSAAEGRATTGDGLEWGVLFGFGPGLTIETVVLRSVAI >Ma11_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3992443:3994253:-1 gene:Ma11_g05180 transcript:Ma11_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYTFAFFLLFSSSHLFVRPTRSSILPSVPVDRSTACNLTLDPDFCNTVLPHRGFHNLYDYGRLSLAKSLSSAKEMLDSINTFLARPSVLPPNAILALQDCRLLSGLNVDFLTAVVNTLNDTGCLLDHQAQKLHAILSAILTNHQTCYDGLQVAASAWFVRNLGTVPLSVCSKIYGLSLSLFKNWIPKQTCSSHKGQAHSRSPHRRALLFHEVGVGRHGELPLRMSAPKRELFERWSGRRLLQATDSVLVHDVVVVSPDGSGNFTTIGDAVKSAPNNLKSSNGYHLIYVAAGVYREYVVIPNHTTYLMMIGEGINQTVITGNHSVADGWTTFHSSTFAVMGEGFVAMNMTFRNTAGPIKHQAVAVLNGADLSTFYGCSIEGYQDTLYTLSMRQFYRDCDIYGTVDYIFGNAAVVFQSCNVYSRLPLHRQSNAITAQGRTDPNQNTGTSMQFCRFLAAGDLTSSDGTTKTYLGRPWKKYSRTVIMESFMDSLIDPAGWLPWNGKFALDTLYYAEYNNTGKGSDTDNRVTWPGYHVISSDDAMNFTVRNFIQGDNWLPHTGVAYDSGLF >Ma06_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7085417:7086766:-1 gene:Ma06_g10240 transcript:Ma06_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGTSSGSSQLLTSGSEEDLQAVMDQKKRKRMISNRESARRSRMRKQKQLADLTAEVMRLRRENDQAVVALNLTTQSYSVVEAENSVLRAQAMELTYRLQSLNEIVDNLNENSISPWNFLCMNRPIMASAENMLYY >Ma06_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12797751:12800552:1 gene:Ma06_g18720 transcript:Ma06_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDLADDLESMSFGSSDRSGSSALSTLSGSLSSSSSLSGTIHKSRPTGDPVLDAVRRLKSSSAPPAGGGDLLSMSDLRFVRRLGSGDIGSVYLAELKCAGAEGLLLAAKVMDKKELEGRSKEGRARTEREILESIDHPFLPRLYACAENDRWSCLLTEFCPGGDLHVLRQRQPGKRFDDAAVRFYASEVVVALEYVHMMGIVYRDLKPENVLVRADGHIMLTDFDLSLKCDSATPTAAQIVSDQNPLPLPPQSSAAGAGGGEFSAASCILPSCIVPAVSCFHQPRRKRKKKPGRRGPCLEFVAEPVDLRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGVFVFELLYGVTPFKGPDNELTLANIVARALEFPKEPAVSASARDLIAGLLVKDPERRLGSTMGAASIKRHPFFNGVNWALLRCAQPPYVPPPFSLVGLSRDASDDSCPGTPVEYY >Ma04_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5399296:5402827:-1 gene:Ma04_g07490 transcript:Ma04_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQTPMLGILFLLCWTATIQAEYMKYKDPNQPVNVRVKDLLKRMTLAEKIGQMTQIEREVSTPQVLKDYFIGSILSGGGSVPSPRASAKDWVDMIYKFQKVCLSTRLGIPMIYGIDAVHGNNNVYNATIFPHNIGLGATRDPDLVKKIGVATALEIRATGIPYAFAPCIAVCRDPRWGRCFESYSEDHRVVQAMTQIILGLQGDVPANYTKKFPYVAGKNNVAACAKHFVGDGGTHKGINENDTLVDFSGLLRIHMPAYYDSIKMGVSTVMLSFSSWKGVKMHAHRFLVTDFLKKKLGFKGFVISDWTGIDKLTTPTKANYTYSVEKSINAGLDMVMVPDDYLGFINNLTTLVNAKVIPKSRINDAVRRILRVKFAMGLFENPLPDYSLVDQLGKKEHRELSREAVRKSLVLLKNGKSSKKPLLPLPKKAGKILVAGSHADNLGYQCGGWTIEWHGSSGNITVGTTILEAVRATVDPSTKVVFSENPDAGFVKSNNFSYAIVVVGEPSYSETAGDSLNLTIPEPGPSTIQSVCGAVKCVVVIISGRPVVIEPYVPSMDALVAAWLPGSEGQGVADVLFGDFGFTGKLPRTWFKSVDQLPMNVGDENYDPLFPFGFGLTTKPRSTVMGL >Ma02_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15158252:15169624:-1 gene:Ma02_g03750 transcript:Ma02_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGGENHQFVAAGTSKLNRIGKKSLEWDLNDWRWDGDRFVASPLNSIPEDCRNKQLHQDTAITLVSNCSSSSSEGVDYRLVDKEKGEAEKRRRIVVGEEDESFGGAGSLCLKLGAHAYPVVEADLTNWEGKTGKKSILLGGNSSHPACQVEGCDADLSDSKDYHRRHKVCEMHAKASTAVVRNASQRFCQQCSRFHLLEEFDEGKRSCRRRLAGHNRRRRKTQLDVNVNGNSLIDEQACGYLLISLLRILSNLQSNSDQSQDQELLTNFLGNLATFANSFDPSGLSRLLQASQDPQKLVTSSGISTDVVITSVPNGVREQESGNPLCSTAVMTCITGTQDPLRQTDHVTSVSVTTVDVPSKERVASPEHVTDRVIMDFDLNNAYSDTRDCEEGRMNPATLLSTRMDSSNCPSWLLQSSHQSSPPQTSGNSDTCNQSQTSSHGGAQCRTDRIIFKLFGKDPNDLPLALRTQILDWLSSGPTDIESYIRPGCIVLTIYLQQAESAWVQLSHDLSSNLSRLLHDSNDFWTTGWIFARVQNCAVFINDGQVVLDMPFPIGDFNHCQGLSVTPIAVACSTKVKFTVKGFNLVQPTSGLLCSFDGKYLVQETTQALVEGTGRDAGHDLSQCLSFTCLLPDVTGRGFIEFEDCGLCNGFFPFIVAEEDVCSEIRMLENAINIASCDGQLQERTDAANARNQALDFINELGWLLRKNHMRSASEGTKFSQNTFPLRRFRHLMSFAMSREWSAVVKKLLDILFSGTVDADRQSPTELALSENLLHSAVQMNSRPMVELLLRYAPVKASKETDVDRFLFRPDMLGPLGITPLHVAASSNGAESILDALTDDPELLGIKAWNNVRDCIGFTPEDYALAQGHDSYIRLVQKKIDKQHHQSQVVLNIPGVVSYELVDALKSGKPNLFQITKSCLSRERQPYCNRCSQKIAYPNSVARTILYRPVMLSLVGIAAVCVCMGLLFKTPPQVFYVFPSFRWELLDYGFM >Ma02_p03750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15158252:15169624:-1 gene:Ma02_g03750 transcript:Ma02_t03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIGGENHQFVAAGTSKLNRIGKKSLEWDLNDWRWDGDRFVASPLNSIPEDCRNKQLHQDTAITLVSNCSSSSSEGVDYRLVDKEKGEAEKRRRIVVGEEDESFGGAGSLCLKLGAHAYPVVEADLTNWEGKTGKKSILLGGNSSHPACQVEGCDADLSDSKDYHRRHKVCEMHAKASTAVVRNASQRFCQQCSRFHLLEEFDEGKRSCRRRLAGHNRRRRKTQLDVNVNGNSLIDEQACGYLLISLLRILSNLQSANSDQSQDQELLTNFLGNLATFANSFDPSGLSRLLQASQDPQKLVTSSGISTDVVITSVPNGVREQESGNPLCSTAVMTCITGTQDPLRQTDHVTSVSVTTVDVPSKERVASPEHVTDRVIMDFDLNNAYSDTRDCEEGRMNPATLLSTRMDSSNCPSWLLQSSHQSSPPQTSGNSDTCNQSQTSSHGGAQCRTDRIIFKLFGKDPNDLPLALRTQILDWLSSGPTDIESYIRPGCIVLTIYLQQAESAWVQLSHDLSSNLSRLLHDSNDFWTTGWIFARVQNCAVFINDGQVVLDMPFPIGDFNHCQGLSVTPIAVACSTKVKFTVKGFNLVQPTSGLLCSFDGKYLVQETTQALVEGTGRDAGHDLSQCLSFTCLLPDVTGRGFIEFEDCGLCNGFFPFIVAEEDVCSEIRMLENAINIASCDGQLQERTDAANARNQALDFINELGWLLRKNHMRSASEGTKFSQNTFPLRRFRHLMSFAMSREWSAVVKKLLDILFSGTVDADRQSPTELALSENLLHSAVQMNSRPMVELLLRYAPVKASKETDVDRFLFRPDMLGPLGITPLHVAASSNGAESILDALTDDPELLGIKAWNNVRDCIGFTPEDYALAQGHDSYIRLVQKKIDKQHHQSQVVLNIPGVVSYELVDALKSGKPNLFQITKSCLSRERQPYCNRCSQKIAYPNSVARTILYRPVMLSLVGIAAVCVCMGLLFKTPPQVFYVFPSFRWELLDYGFM >Ma03_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1780766:1783786:1 gene:Ma03_g02630 transcript:Ma03_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAINTENANCE OF PSII UNDER HIGH LIGHT 1 [Source:Projected from Arabidopsis thaliana (AT5G07020) UniProtKB/Swiss-Prot;Acc:Q9FL44] MACTTQSIISANSCVFPSPRTFRKPRWVRPHTKLFAVNASSGSDDSDCNAEECAPDKEVGKVSMEWLAGEKTKVVGTFPPRNRGWTGYVEKDTAGQTNIYSVEPAVYVADSAISSGTAGTSTEGSENTLAINAGLALISIAAASSILLQVSKSQPQVQITEYSGPPLSYYIDKFKPVQIVEASAPAAPQTSAPVEASVPAESSSSSTVEALVPTSESPLSTTIEDGSKPEAVPEVQVAQSASASSVS >Ma05_p32110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41792513:41793543:-1 gene:Ma05_g32110 transcript:Ma05_t32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSDQIRPTTTDDSLEVWQNVTTAYNIGIFHWRPTDAAKRLAKEWKDILLSDDQKWDQAGFNDLVHQVLGPSLEGESGLFYAYDGTLKLGLLPASIFCSGHTYFVQVVPFDCLCCC >Ma02_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19628787:19631371:1 gene:Ma02_g09830 transcript:Ma02_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRMCFSLLTTFFTSHKRTLKCLPCLVVYPLLWYSISELGIYPAVDPLDSTSRMLSPHMLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVACARKIQRFLSQPFHVAEVFTGAPGKYVELKESVHSFQGVLDGKYDDLPEQSFCMVGGIEEVVTKAETISKEAAA >Ma05_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8870948:8873270:1 gene:Ma05_g12220 transcript:Ma05_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRPCTFGCCPASSRHMKLLPRRAHLRHHHDKALLLKKYYKWILWSALSIYLFFSTSSSSSSSSLFRPLPLRTSLPLKTTPRALAEAQQLSSFTTSSAAAALRIYVYELPSSYNRDWLSNPRCRNHLFASEVAIHEALLEYPGRVFDPNEADFFFVPVYVSCNFSTPNGFPALHHARPLISSAVRFISAGLPFWNRSRGRDHVFVASHDFGACFHAMEDVAIADGIPEFMRESIILQTFGVSSPHPCQKAENVLIPPYVTPDIEEQWPAPEKAKRDIFAFFRGKMEVHPKNISGRFYSKRVRTEILRRYGGNKKFYLQRKRFDGYRAEIARSVFCLCPLGWAPWSPRLVEAVALGCVPVVIADGIRLPFPEAVRWPEISLSVAEADVGRLEEVLDRVAATNLSAVQRNLWDPARRNALLFHQPMAAGDATWHALRALEGKLGRSWRRRRPPGDAAWR >Ma04_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26929177:26932371:1 gene:Ma04_g25100 transcript:Ma04_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCESIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAAAQPLPDDDDDVFD >Ma09_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31967095:31968426:-1 gene:Ma09_g21190 transcript:Ma09_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRAKRPSVVRNQNIGEQQRSVDPPPVPPPVLVRNQTGKSNSAALDIRLLKPRVLIGETTVAAVAAASQTPRKRMRKEPAAAATTAAAAQVNATATSNNPGYGYSSAPMMMSCPLQLPPRPPSLSTGFKLFGEQNQHQVFNHFSPLPPSSSQDFEEIAAIVKKYEGEVQGFLRAQADQFRRTLLEKWRRHCQILLCAAEVEATRRIREKEAEAEQALRRCAQLREQLAHVKVESIAWQAKALEAQHNANILRAQLERETATPPERTGESAGDGGCLAMVEDAQSSNVPSCTGSHGSCRVCLWRSTTVAVLPCGHLCLCADCAAAGVAPACPSCGQLSSGIFHVVFC >Ma04_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11751599:11757740:-1 gene:Ma04_g15500 transcript:Ma04_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPVASVAVRSSFSVVGEAFFSTFISFNGITSSTILVFVRVHQLYIGPIIYNKMCFPMYILYGIIMTKVSDGRFMCQLGVLGDFKKEPDGNSSLRFSSGDAMTVATDLPSPFGQLGVFLSDAELRQTAYEIFVAACRANGGKPLTYTPQSDRTKGSLGQESIPVKSSKKPASVGELMRVQMGISEQLDARIRRGLLRIAAGNLGKRMESMVLPLEFLQQFKASDFPDQQEYKDWQARNLKVLEAGLLLHPLLPLDKSDAASQKLHQIMHGASDGPIETGKNSESMQILRSAVTSLAYRLLDGIGSDACHWADGFPLNLHLYQMLLEACFNNSSEEGSTVDEFDEVLELIKKTWAILGINQMFHNLCFTWTLFLRFVTTGEVEVGLLIAADAQLTEVASDAKATQDPTYSKILSSTLSYIMDWTEKKLFAYHDMFNSSNIELMETVVSFGVTAAKILVEDFSTEYHRKRREETDVARSRIDAYIRSSLRAAFAQKMKQTASSKLSSEDQSTPLLSILAEEIEELANKEKELFSPILKKWHPLAAGVAVMTLHSCYGTELKQFLSGVKELAPDVLQVLRAADKLEKDLLDIVVEDSVDSDDGGKSLIREMPPYEAESAIADLVRAWIKSRLDQLKEWLDRTLQQEVWNPRANKENRSPSSVEILRMVDETLDEYFDLPIPMHAVLLPDLLKGLDRSLQHYASEAQSGCGARNDFMPALPELTRCTVSSKLRKKKDKPQNSTKRRSQLCETTAYRMIFHDLDHVLWNSLYVGEAASSRIDPFLKELDLILEVVLNTVHMRVRHQLITELMKASFDGLLLVLLAGGPSRGFSCQDSQIIEEDFKSLRELYLADRDGLPEELFDKAAAEVNKVLPLFRTDTETLIEKFKHMIAETYDPAAKSKYPIPPNPGNWGPTEPSTILHVLCHRNDVAATKFLKRTYNFPKKL >Ma06_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10926694:10928774:-1 gene:Ma06_g16130 transcript:Ma06_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSNGLLAVAILSLLASAAHAQLSPAFYAVTCPDLESVVRSVMAQVVGQDPRMGASVIRLFFHDCFVNGCDASVLLDDTPTMRGEKNAMGNMNSLRGYEIIDAIKSRVEASCRATVSCADIVALAARDSVSLLGGPSWTVLLGRRDARTASVDAANANLPPASDNISSLVTKFAAKGLDLRDLTALSGAHTVGAARCSSFRPHVYSDANVDPAFAMFRRRICPATGGDSNLVPLDSTSPNRFDVSYYRDLMVRRSLLHSDQELFNNGPADDLVRLYSSSGSAFNRDFTAAMVKMGNISLLTGSDGEIRLDCRRAN >Ma03_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27670096:27675206:1 gene:Ma03_g23020 transcript:Ma03_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILGCMLILIVGEVANFAAYAFAPAILVTPLGALSIIIRHSLNQHNRLHIFGILGCALCVVGSTTIVLHAPQEREIESVTEVWDLATEPAFLFYAATVLLAAFILIFHFVPKYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLAYSQTWVFTIIVIVCILTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHRTKDMADGLSSSMSGRLPKHTDEDGYSLEGIPLRSQDSFRLP >Ma06_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6596169:6597261:-1 gene:Ma06_g09400 transcript:Ma06_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGMASELNWSSFDPSFSVEETEEAMAQLFGSQHMFWSDSDYDGCYSSNPDMAVTSTPMNSSVGGEGNAAPWFPLGAYDQHDRPISVNEETSRDELADAVVKPLPPPPPPPPADASQGTKRRLRGGDIQIEVSRKKWKKAQNSAATADEEDSSADINPRSSYCYGSEDDSNGSRELKGAVSMSSSSKGSAALNPNPQSLYAKKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDEMWMYAPIAYNGVSLGFDLKDPSIVSAEENDSGI >Ma09_p29280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39768909:39774734:-1 gene:Ma09_g29280 transcript:Ma09_t29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLSTWNCRNDRLANCLNPKVADPMSTAWGVSGGFGGGAGAGVGIAGGGGIGGGGGGVGGGVGGGGGVGGGFGGGAGAGVGIAGGGGIGGGGGDVGGGIGGGGGVGGGFGGGAGAGVGIAGGGGIGGGGGFGGGGGISGGAGGGLGGFGGGAGGGWGIGGGAGGGFGGDGEAGGGFGAGGGEGSGAGGGLGRGGGSGGRLGGGGKKHHHGKIGGGKGIGGGGRSVGGGLGGSLEQGKPHQLTKP >Ma05_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11659784:11660585:1 gene:Ma05_g15520 transcript:Ma05_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTWILSAAFFSAILFPAASSTTFTVKNNCPFTIWPATLTGGRSALLPQTGLELAYGESQSLDAPAGWSGRFWARTRCTTDSTSGRFSCASGDCGSGSIECNGAGGAPPTTLVEFTLQGDGGKDFYDVSCVDGFNLPVSVTPRSGQGCSSISCPADINAGCPPELQERARDGAVVGCKSACLAFDTDWYCCRGAYGTPSACKPTSYSMLFKNACPQAYSYAYDDATSTFTCVGANYLITFCP >Ma09_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7339919:7341077:1 gene:Ma09_g10790 transcript:Ma09_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSLIRASFMAAMVVASASANFLSDVDITWGDGRGKMLDNGELLQLTLDRASGSGFQSKQAYLFGRFDMKMKLVPGNSAGTVTTYYLSSQGPAHDEIDFEFLGNTSGEPYVLHTNVFAQGKGDREQQFYLWFDPTLAFHTYSVLWNPRRIVFYVDGTPVRVFRNSEGAGVAYPKSQAMRVYASLWDADDWATRGGLVKTDWSQAPFVASYRGFVADACVAASGRPSCSASKAGWWDQGLDSGGARKLKWVRDNYMVYDYCRDAKRFPGGFPPECSQPLD >Ma04_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3023571:3025710:-1 gene:Ma04_g03940 transcript:Ma04_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGCRPSLPIHAVVSLVLLLLAAAATCLPASAANSPKQSNKPSLKTVQSPDGDIIDCVPSHLQPAFDHPKLKGLKPLEPPERPKGRKAKSMAGGVTQLWRASGETCPQGTVPIRRKALRVRKYERKLVEGAGESPFTNHEYAVGTVKDENLYYGAEATYNVWAPAVANNGEFSLSQIWLTSGTYATNLNTIEAGWQVLYGQSYPRFFIYWTADAYKSTGCYNLQCAGFVQTDTNIVLGGSISPTSTYNGKQIEVEILVWKDKKDGNWWLEWDSTVVGYWPSSLFSHLADNATTVQFGGEIVNKGPSGVHTATHMGSGYFPEEGFGRAAYIHNLQVVDATNTLVPVQSLSLTAGKPNCYNITKGVNSDWGMYFFFGGPGRSDVCP >Ma04_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6321971:6323713:1 gene:Ma04_g08860 transcript:Ma04_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERDESSSSSGIPPWGLLVLLAIFILLFLPSFLSASFRGFRPTAIKSGWDTVNFVLVLFAILCGVLGRWNAGDDADSTPSASSPNQWFTNQAAQVSYPDPAAADHAAGIRRMRNSSSYPDLRQEAAWGGPSVAEGWRCYDDIQLYRRKPDSRGWERHSFREQEAKTIPVDTFVLRRSPSPGLRSPPSPPPLAAAQRLPRRRSMHKLPESVAEKDAIFGSGKPQPQSPPPTTQTRHRLRRGRSLEKLPAREVERDTNHETRHRRRRSLEDLPNWEVEQDWSLGPQRSNRPPRAPPPPPPPLPPPPSHEKNSHKKKNGGGAKDIAAAIASFYQKKKKKGSKTKRNHSDISLYFETSSSPPPPPPPPPPPSSSVFHSLFSHKKSKNRRIHSLSASPPPPPPPPPPPLSSTRRSKKQTSSPPSPPPPPPTRRSERQVLLPPPPPPPAPRGNLYKKKATCNHSDFPFPPPSPLNPPPSPPPPPPAAASVIQEEVVKSKSKRFGGANATVGERGAVEGTAVFCPSPDVNNKADLFIARFRAGLKLEKLNSIREKHQQQEQQQQEEEFMVIGSLFDDDDDCLVSS >Ma06_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21281709:21282613:1 gene:Ma06_g23350 transcript:Ma06_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLYLHISSLQSNPSLQAPLIIGCDVRSGFDVRSMTKETLANHGNEEVIDVNQDPLGVQAKKVRMDGDHENHRSPMGRHRSSTKHGCGIQRSLEACDTGERFVNELRAPPCLQDVLVDASYTIEKE >Ma01_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:587471:591396:1 gene:Ma01_g00830 transcript:Ma01_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRISGSRRRPVEERLTRPQRILRQPTDLDYKKLRKLILARKLAPCFDALDEVPHHPRDLEECPICFFYYPSLNRSRCCSKGICTECFLQMKPSDASRPVQCPFCKTSWYAVEYRGARTEEEKDLELAEEQKVTEAKLRMQHEYEIVGQVIPSGAQNIREMSERGASLMQGNDLDGPFRTCNNRNENLSVNLEEVMVMEAIWDSLHVIDSRLQKSAANQISGSSNMVGFGNAEHEIVDATCLQSSGEVSSTDTMPVEAAVCISRLPDQNLLQAQHPEPDCESQAKLKPHGSSAEESNIRVSLVECLATSFDSDEEHT >Ma03_p15260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15060890:15063212:1 gene:Ma03_g15260 transcript:Ma03_t15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVWPSSRSASPADTTPTSPGRSTPKTGSSTTTTGKLSSPSSSSTFGQSTGSSSASIDEVFPDGRILEAPNLRIFSFAELRTATRNFKPEMVLGEGGFGKVYKGCVEEKTLNPAKSGVGIVVAVKKLNPESMQGLEEWQSEVNFLGRLSHPNLVKLMGYCWEKELLLVYEYMPKGSLENHLFRRGAAFEPLSWSIRLKIAIGAARGLAFLHSSEKQVIYRDFKASNILLDANYNAKLSDFGLAKHGPTGSDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLETLSGQRTLDPNRPSGQHNLVDWARPMLADRRKLARLMDPRLEGQYSSKGALQAAQLTLRCLAGDPKSRPSMKEVVETLEHVEAIKRGSREPRNTPQRSVAAEAPCRAHGRAAPPLHPGGGAARQPHSAR >Ma08_p30770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41911388:41924059:-1 gene:Ma08_g30770 transcript:Ma08_t30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIVGIFVPLIASLMFRKSNNGKKRGLPVDVGGEPGYAVRNYRFTSPVESLWEGVTTLAELFEQSCKCFAYRPLLGSRKLITKAIEVSQDGRSFEKLHLGSYEWVSYGEAFRAVCSFASGLVQLGHKKDERIAIFADTRAEWFIALQGCFRQNITVVTIYASLGEDALCHSLNETEASTVICGHKELKKLIDISQQLDTVKRVIYIDEDGVSSEVSLAKKSTSWMIASFGEVETMGREKPVDAVLPLAADIAVIMYTSGSTGLPKGVMMTHRNVLATVSAVMTIVPSIGTKDIYMAYLPLAHILELAAENVMVAAGIAIGYGSPLTLTDTSNKIKKGTKGDASVLRPTLMTAVPAILDRVRDGVRKKVGATGGLSKKLFDVAYGRRLAAINGSWFGAWGLEKVLWDLLVFRKVRAVLGGHVRFLLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWAEGGYLVTDSPMPRGEIVIGGPNVTLGYFKNEEKTKEVYKVDERGMRWFYTGDIGRFYPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALIVSPYVDNIMLHADPFHNFCVALVVAAPHALEEWALKREISYSDFSDLCQKEETVKEVHGSLIKAAKQAKLDKFETPAKIKLLPEPWTPESGLVTAALKLKREVIRKAFADDLANLYA >Ma10_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23692140:23697252:1 gene:Ma10_g09600 transcript:Ma10_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMVGQSLEESQPVDLSLHPSGIVPRFQNIVSTVNLDCRLDLPAIAIQARNAEYNPKRFAAVIMRIRDPKTTALVFASGKMVCTGAKSEQQSNLAARKYARIIQKIGFPVKFENFKIQNIVGSCDVKFPIRLEGLAYSHQSFCTYEPELFPGLIYRMKQPKVVLLIFVSGKIVLTGAKVRDDIYTAFESIYPVLKEFMKISTTQSGQ >Ma11_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1941558:1942758:1 gene:Ma11_g02660 transcript:Ma11_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQLEAEPSIFHLEISIPSLVCALSVFIDKRKEKRRELKVKRQQPERETILNAIAVERSSAFPSNLLMGLRLTPHLLFLLVISLSSPSHQLQDPALIDRIVRDSAFRSYLTDHRKTAVVYNVSLPPSVSGATVETVRYRTSSLRRHGASVNEFHVAPGVVVHPHSKRLILVIQNLGNLSSVYGSYRNISRFQLVSPVLGLLFYRAASIRNSSIPPELEILVTKRPITVDFSGLGQSTKGQRVLCALFELDGKLSLSNVTRSNACSARSQGHFALVVETIDNAGGGEEMKLSGWKVVVVSVAAGAFSAVLLGLIVVAMVTAKWKRLRTAEMERRAYEEEALQISMVGHVRAPTAAMVRTTPRLENEDAPSW >Ma02_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13921222:13941131:-1 gene:Ma02_g02650 transcript:Ma02_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKGTNEDDDVQFQRPRPKTSKKSLKRLVSSSRREEAGVADTDASVGNDGSTARLISKTRDNMVPSPPSLVRDAGKKVLPEVERTGKGAGHQRRATVDARANGVEPTSMVIGAGTVEGNSKVISHVPNGFKLEHVAAGWPSWLTNVAGEAVKGWLPRRADSFEKLHKIGQGTYSSVYKARDLETGKTVALKKVRFVNVDPESVRFMAREIHILRRLDHPNVVKLEALVTSKMSCSLYLVFEYMEHDLAGLVAIPGIKFTEPQVKCYMQQLLHGLEHCHMKGVLHRDIKGANLLIDNNGILKIADFGLATIYNPDNKQHLTSRVVTLWYRPPELLLGATEYGVSVDLWSTGCILAELLSGRPIMPGRTEVEQLHKIFKLCGSPSEEFWRTSKLPHATSFKPQQPYLRRVRETFKDFPPPALALLDHLLSVDPANRGTATSALESEFFTTKPFACDPSSLPKFPPSKEYDAKLRNEEARRQRAAAMKGHGVENGNRKEMPVPDGNMEPQTRKIHGSHRIGSDKYKSREEVSSGLQMDLSRGTAENGFLHSGPLMHSGRLSTTGLPIGPEMKTHVLPHGRTADLPITSGPVVARSNTARLDRRQSAKHMHMPGNQASSKYFHRDIADSSKQEWTHHLLDGPSASHRKDDRTGDKESTIGHGPKKNRIHYSGPLMPPGGNIEEMLKEHEQQIQQVVRRARHDRTKPRNHGDRAQLEALLCASRNGRSDH >Ma00_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5295624:5297619:1 gene:Ma00_g01240 transcript:Ma00_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMIRLFVLVLVSLLFQECLAATFTLANNCDYTVWPGVLSSAGDAALATTGFALETGQSKSLDAPATWSGRFWGRNLCSTDSAGRFSCGTGDCGSGEVECSGGGAAPPATLAEFTLGGGGGGMDYYDVSLVDGYNLPMLVAPQGGSGGDCGSTGCVMDLNGVCPSDLKVVARSSAGAGEGVACKSACEAFGSPQYCCSGVYSNPGTCTPSPYSQLFKNACPRAYSYAFDDATSTFTCSSADYLITFCPSTTSQKSTAQNPDATGRQHSSDDGGCPMLILGDDFSGAAPAVARAAALAATSLASLALRGQFEPPYP >Ma01_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7908548:7912392:-1 gene:Ma01_g11030 transcript:Ma01_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSHERKSDAGASFPSSISSSLSPSPSPSLCSSNSSGAKYIEHNVSKLDTLAGIAIKYGVEVADIKRINGLVMDLQMFAHKSLLIPLPGRHPPSPPIRSNGFVDNRDRTPICRPQRDVLDSPQPLKSKSQQHREISSAMCSLRRYYDLPQHERSPTAEGTEMTLYKTGGALNSKDEPLPKVSPASNSQPRRSRGFINSFLSEEGDLMQELLVSRSGDSSDGENSKTDKPVRRRQRADADPFGISEAVVKDESQSLLGRMVRGLTPKQLLVSYNDTESARQSTSSLEESLVANGFLAVRKSSSTPSLQESENDSVWTSSSKWTSKPDTVTRPIFYGLSKQISVWRSKAALD >Ma06_p26950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28965441:28970576:-1 gene:Ma06_g26950 transcript:Ma06_t26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISEDSLISSRNSPAASLLLRRGRSLVSGGRVSAEGDGLELDLFSRSRIAGPPIGSASGSDVQEGSNKFVGEPKIGRIGMDDLLDADIGKHDYDWLLTPPGTPQAAPLVSSESQLPTVAPKRSSTARSSSTTRASRLSVSQTENGHSVRPARSSSVTRASVSSSYVSNNNRTSVLNTSSASVTSRPSTPSKRPVTPSAAKSSTPVSRPVPIRSSTPQKTRPTSVSPGLKSEPSQNSRPATPTSRSQISTSVNSNSSSIVARSTSRPSTPTRQPIARTTTPTSTVGRSPSVGRLPVSNGRVPHSTSSSRPSSPNPRPRAPVNSASSSRPSSPNPRPRAPANTVSSSRPSSPNPRPRAPVRPINLPDFPNDVPPNLRTKLPERPLSAGRARPGMALTIRASSNSEPVVPSVTNRRLSLPIISRSKFPENPPKAPLHSNGHYANSSDNQKPVGSEAGPRRNIKPVSSTENTGFGRTISKKSLDMAIKHMDIRQSLGGIRGASIFPHSIRSAVPKGRTARVSETIVPVTNDEILAENGSYDGTSGDFNGGVLYNMNTSTKSPDRENLMRRERMNDIDLYGSYRYDAMLTKEDLKNASWLLSADDKSDQGSLFDHRFEPLPEPF >Ma06_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7914014:7915173:1 gene:Ma06_g11290 transcript:Ma06_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAETAPRLPEPSVESASAVETGATPSPGVTQGSLLHNFSFPILKTWGRHRVLRCMSVNGKGEAVAGGRRRSAPCPKPAWPRIRASAESGDGDDPGIEEVREKLLVHLREAADRMKLVVPLLPKSGNLKAAPEPTPEPEVRVDPEADTSSAPAAVPWNLRKRRGVARATMEIERHLGSSPPGAAEKRTVRLRSEDSERRERPKFSISLTREEIDEDIYAVTGCRARRRPRKRARVVQKRLDALFPGSWLSEIAADAYRVPD >Ma06_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14054354:14055451:-1 gene:Ma06_g19970 transcript:Ma06_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPPGFQFFPTDEELVVHFLYRKASRLPCQPDVVPFLDLRRFDPWQLNGKALQGGNHCYFFSHATVNKVSPSGYWMAVGAKETVTSGDKDVGTKTTLVFHIGEAPLGVKTSWVMHEYRLLEDGAAVPSSRTNRNSSSSASRARGNKRPDLNLAICRVQEASFFGVEETELSCLDEVFLSLDDLDEISMPY >Ma04_p31690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31927875:31932588:1 gene:Ma04_g31690 transcript:Ma04_t31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G44880) UniProtKB/Swiss-Prot;Acc:Q9FYC2] MASFLYLSTSNCLFQRPPPSLFPASAISPSSSSSSSSYKPLLPRRRRRSRLCVATPSSPAAPTPAVEESQEAEEASSSSPSESSFSWRDHWYPVSLIEDLDPRVPTPFQLLNRDLVLWKDPNSGDWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGSCVRIPQASSEGPEARAVRSPRSCAVKFPTLVSQGMLFVWPDENGWEKAAATNPPMLPAEFDDPNFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRARPLPFKLESSGAWGYAGSDAGNPRISAKFVAPCYVMNKIEIDTKLPFLGDQKWIIWICSFNIPMAPGKTRSIVCSARNFFQFSMPGPSWWQLVPRWYEHWTSNKVYDGDMIVLQGQEKIFLSKMMESSTDVNQQYTKITFTPTQADRLVLAFRNWLRRYGNGQPNWFGHASHQPLPSTVLSKRQMLDRYEQHTLKCSSCKGAYEAFQMLQKLFIGTTIVFCATAGIPSEFSIRLLLAAAAIASAAVAYALRELQKNFVYVDYIHANID >Ma11_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5980373:5981751:-1 gene:Ma11_g07520 transcript:Ma11_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRIAGFLGLTREEDEAEEDADARHQNGGGEEGGRTGAGPPRAAARGFSVHVPVAVERPSVGPVLVPCDPGEGGVQGFRWYSRRLRMDEDGDVADEFLSEVIAQMPPTHNQIAPPKFQVKYNTRPTAMAMRKQVIVADGNVHQSLEYQGRLQWV >Ma07_p27450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33828038:33831270:-1 gene:Ma07_g27450 transcript:Ma07_t27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSSLPATVALPPPSNYAFSLSAKPQSLSSALRCSSPPASLRSRAPVAAGKAFRSEQTLDATEVDEIPAVAAIPLDVNADADKFIFSGESAGFLKRPIIQISSSQIEVSSASQQKVVIRNTHGENLVGILHEAGSWELVVLCHGFRSSKESKTIMNLADVLVSENVSVFRFDFAGNGDSDGSFQYGNYWREVEDLRAIIQYFSRQNRGVHAIVGHSKGGNVVLLYASKFHDISTVVNISGRFTLERGIDDRLGKDFMERMKRDGFIDVIDKMGKLAYRVTEQSLIDRLTTDMHTACLSIDKNCRVLTVHGSEDDIVPPEDASKFDKLIMNHKLHIIEGADHRFISHQFHLARILLEFIRPSQDEDGAAAKEQA >Ma00_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44933306:44936001:1 gene:Ma00_g05180 transcript:Ma00_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSMMVTRRTKSLSQRRRRPESDGSYAGRRVLRLLPGSPPSPHSLSAVSTSSRSSASKTTSSWRRSSPPTRSASPGFDSRNYYADEHYLPTLLSMVDPIGTQDITFELPKKISSIDESYHVTIDEKKVVTVKLCLWNGMKRLCYLFARKFYPEALHNLMQLFSNYTLI >Ma02_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26811306:26817536:-1 gene:Ma02_g21070 transcript:Ma02_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIFLATHVDTFEIVAVKIESTRSKHPQLLYEAKLYNILQGGSGIPNIKWCGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLLLADQMLSRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYKENKSLTGTARYASCNTHLGIEQSRRDDLESLGYVFLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFEQRPDYGFLKRLFHDLFNREGYESDYIFDWTILKYQKAQRTKSQLRFSPVACGMTSLRATPMDVDKHQVTNAHNVPRPHEVTEHIGPSSSACPTVQMQFKLAADNTLSSDNQQIDKLRVGISSEKAQAPSASFAFPGAPKKNVISSKQSGPTDTSHLGSSSSWISMIHRNTSTK >Ma07_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10977621:10977869:-1 gene:Ma07_g14520 transcript:Ma07_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNIECISVSDGMVDDDEVAHVPHPFLKPHNGGSGTIIGCAGGFPTPVISPVTRVHQLLECPVCTNSMYPPIHQVRVALF >Ma02_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22991470:22994771:-1 gene:Ma02_g15190 transcript:Ma02_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPDLSLHISPPSISVDGASAMGVFFGAHNSSAPTNSGSSESNMSLDQAFLNRDRGRETGHAEPTLSLGLQAPASSLTNHHDCHHHHHLHHPQIYGFKRNPRPARGKKSLRAPRMRWTTTLHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRGAAAAQGQADMGFSQRTGLEEVEGDVPSGKAGDDITPCYDFPITPTPATPLPKSSRELHPSTAGSGWNPSNKQNSSSYLYFRNDNLLSNDNQVLGQDHLLTQSQVVVPNVELISMPTAHTDLRAFSKLPDLEITLGRHGWQMECL >Ma09_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:859537:861372:1 gene:Ma09_g01150 transcript:Ma09_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSRRGGGGGGNRGRDLFEEAGGGLPRPSKFSALMHSEPLVRSTSEEEFPTRHSNGSAASPGYYSDHSSSTTLTQTSSADSSPFRLSPWNHEPATNLFFDSSGGPPAPMATTPTDLFNSGTGLVGSLVREEGHIYSLAATGDLLYTGSDSKNIRVWKRQKEFSGFKSASGLVKAIIISADHRVFTGHQDGKVRVWKVSPKNPRVHKHIGTLPRLKDLLKSSMNPSNYVEARWRHRRSAVWIRHIDAVSCLCLSEDQSLLYSGSWDRTLKVWRVEDLRCIESVNAHDDAVNAVAVGFDGLVFTGSADGTVKVWRKEEVPKPDKKKGWKKPGGLTRHEHALTLVRQDMAVTALVVNATAGVVYGGSSDGGVSWWDRRGVNGAGRCGGVLRGHKVAVLCLAVARSLVFSGSADKTICVWRREGEGGHVCLSVLTGHTGPVKCLAVEPDADDGGAGMWVVYSGSLDKSVKVWKVADSHPPPRRMQWDSDAASPYEELDAPRFDTY >Ma10_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25158050:25160873:-1 gene:Ma10_g11760 transcript:Ma10_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEARNQKPRQQTIDSDGKEILIEDRQAWLNLTLGGRTSSTDESSSSLQSTPSRKMFSCNFCKRKFFSSQALGGHQNAHKRERGATRRSHQSQNMGFPLYAPSLKSLMVHSHSIVHEQHPERGMSTVARCYHNISNIQETRLPFALDEVRNTKWPGSFQRDSQPTNQPLEQQKLDLSLRL >Ma07_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26073140:26073860:1 gene:Ma07_g18510 transcript:Ma07_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFVNGFPCKDPTNVTPDDFYISGLDKVANTDNDLGANITLVNVNRLPGLNTLGVAMSRIDYAPFGLNPPHSHPHSSEILHVAEGTLFAGFVRSNTEEGNILIAKKLNTGDAFVFPQGLMHFQFNLGDTNAVAFAAFGSQSPGLVTIANALFGSKPPIPDYILSQAVQLSKTTVDWLQQQDWVDIAREY >Ma03_p25720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29678951:29693947:-1 gene:Ma03_g25720 transcript:Ma03_t25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIPEICRLLNDTLSPEKAVLASATDGLDRLSRFPHFPLSLLAVATGGDSQGLRLAAAAYLKNFVRSCMDDDPQSLELQRFRNQLAQALLQAEPAVLKVLVEVFRLIVVKNFVKENTWPELVPELTSVIQRSNLIIQDKNAQWSTLNALTVLQTILRPFQYFLNPKVRNESVPVQLEIIAQEILVPLQATFHDFVNKTLSFQDPFQDQVQSKLEEIILIICKCMYFSVRSYMPSALGPILPSFCHDLFRILDSLSLDGASDDGSLLRLKIAKRGLIIFSALVTRHRKHVDRLIPSVVDCAFKIAKQSGNTCNLDCISERIISLAFDVISYILESGPGWRVVSPHFSSLLDNAIFPALVLNQKDILEWDEDAEEYIRKNLPSDIDEISGWAEDLFTARKSAINLLSVIAMSKGPRIATATTKRKKADKSKGKQKESSIGELLVIPFLSKFPMPYHGDKASSKIVHNYYGVLMAYGGLPDFLRERNSEYTTTLVRNRVLPLYSSCPFVPYLVATANWIIGELASCLPQAMSSDVYDSLIKALTMPDINGINCYPVRASAAGAIIRLLENEYVPPDWLSVLEVVVNQIANGEKNESSFLFHLLGTAVEAGQNIISAHIPMLISSVVGAIVNHIPPIPDPWPQVVERGFAALAAITKTWEASSAGEALEHDDRKWESAQAVIARTFATLLYQAWAVSVQSIDSADRSTSPPLSCLDDASTLLGLILKSATKKNEIEELKIPELLALWSDLISDWHGWEEMEDLAVFDCIQEAVNLQRRCDSTNFLLTRISSRVSPGVDQSIIEGVSAFVTKGIMAYPSATWRACSCVHELLHVPSFSFQMQCVKQSIITSFTQAAFSHFKDLRNKPTGLWKPLLLVISSCYILCPEIVEQVLDRDEDNGFMIVACGLAHVSSRSFDSGISSVSEIKLAVITLEKFVERLVAFPLEDGNKVLQDCLVSLMEAFLHLKEVEEKEAEESDSEVIDDEYSDEEISDNEDSEDDDQEETQEEFLDRYAKAADELSEVVAGDIEDGVQDLELGPVDEIDVREEVLSLIRRHHQVLLKGQVLSSGLIQQMLDAFPECTPLLQVH >Ma06_p04710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3519213:3525088:-1 gene:Ma06_g04710 transcript:Ma06_t04710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWIKILVMALAGAVVFLFLPLLFWCRLRRRLVPRTAEASPPQSLQSGIARLQLAHGSNTSGKVGRLFHHHLHHRPSQGQDQDQVPTPFCWNDHPGLVVEAVENGWSRFVFAAGRSPDHLHPRCAPVWGLCVVCDGESDSVETSWEVPVGSADFLQTVRLNPRARRSGSTGSSPLLHGESISIARMLLPLPGPSLRVSSFPQDAYFEITILYLKPHQQQQSSSRASRRAKADAGGESERAKLIAGKFLESPSDATAHDTVTPTVIDINSPNHGSKSTKEEGGKQRHSSLLSLGLTHGGSLPSRPSPGTYRGSIGFHSDGSLHLDGMKLVFESEKAEWAEVNRVIGCGFDPSKKKVFFTVDSELKHVIHCNSDTYKAPLFPLISANADAMLLVNLGQSKFKYEPANARRTPNPCFIRSSSVDGGASTIGYEDSRELFSMGRIDSEWVDAVKKSQSSSSSKKSNVNYNNDGSTVIDVDADSDLFEISLHI >Ma06_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3519213:3525088:-1 gene:Ma06_g04710 transcript:Ma06_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWIKILVMALAGAVVFLFLPLLFWCRLRRRLVPRTAEASPPQSLQSGIARLQLAHGSNTSGKVGRLFHHHLHHRPSQGQDQDQVPTPFCWNDHPGLVVEAVENGWSRFVFAAGRSPDHLHPRCAPVWGLCVVCDGESDSVETSWEVPVGSADFLQTVRLNPRARRSGSTGSSPLLHGESISIARMLLPLPGPSLRVSSFPQDAYFEITILYLKPHQQQQSSSRASRRAKADAGGESERAKLIAGKFLESPSDATAHDTVTPTVIDINSPNHGSKSTKEEGGKQRHSSLLSLGLTHGGSLPSRPSPGTYRGSIGFHSDGSLHLDGMKLVFESEKAEWAEVNRVIGCGFDPSKKKVFFTVDSELKHVIHCNSDTYKAPLFPLISANADAMLLVNLGQSKFKYEPANARRTPNPCFIRSSSVDGGASTIGYEDSRELFSMGRIDSEWVDAVKKSQSSSSSKKSNVNYNNDGSTVIDVDADSDLFEISLHI >Ma06_p04710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3519213:3525088:-1 gene:Ma06_g04710 transcript:Ma06_t04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWIKILVMALAGAVVFLFLPLLFWCRLRRRLVPRTAEASPPQSLQSGIARLQLAHGSNTSGKVGRLFHHHLHHRPSQGQDQDQVPTPFCWNDHPGLVVEAVENGWSRFVFAAGRSPDHLHPRCAPVWGLCVVCDGESDSVETSWEVPVGSADFLQTVRLNPRARRSGSTGSSPLLHGESISIARMLLPLPGPSLRVSSFPQDAYFEITILYLKPHQQQQSSSRASRRAKADAGGESERAKLIAGKFLESPSDATAHDTVTPTVIDINSPNHGSKSTKEEGGKQRHSSLLSLGLTHGGSLPSRPSPGTYRGSIGFHSDGSLHLDGMKLVFESEKAEWAEVNRVIGCGFDPSKKKVFFTVDSELKHVIHCNSDTYKAPLFPLISANADAMLLVNLGQSKFKYEPANARRTPNPCFIRSSSVDGGASTIGYEDSRELFSMGRIDSEWVDAVKKSQSSSSSKKSNVNYNNDGSTVIDVDADSDLFEISLHI >Ma09_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3872513:3873925:-1 gene:Ma09_g05990 transcript:Ma09_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQTNHSQLDEERGRGGGDGGEDAALESREAANHRSTSNHFLLAATYASLILGSLSSSLLSRFYFVHGGSNRWVSTLVQSAGFPLLLPLIYLTHSPSSRPFSCFSPRLLYLSLFLGLLLGVNNLLFSCGVSYLPVSTSSLLLSSQLGFTLILSALLIRHPLTFSNLNCVVLLTLSSVLLALNSSGDHSAGVDRGHFFLGFAATLGAAGLFAVYLPVMQLVYRGVNGYRTAVEVQLLMEASATALAAAGMVASGGWRREDAWDLGAAHYWVVVGTTAASWQLCFMGTAGMVFLTSSVHSGICMTALLPVNVLGGVLVFGDEFGGSKAVAMLLCLWGFASYLYGTRTNKEETVMAMTGRKETGKTEGTGGSGVDENT >Ma08_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2639131:2639689:1 gene:Ma08_g03670 transcript:Ma08_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERVVTVEYLEPTMSRELLGKFPDNSAFDFDYSQSGIWSPLLPRGIDDVRKKLLAGSPVTLRRVKAKLAYKKRKQKRPSAIRKSLDFSPVPSPKLGWKRVLRAAAKRFKVHARSPLQMMLPTL >Ma09_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9319244:9339768:-1 gene:Ma09_g13750 transcript:Ma09_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDGAGTRIAGKPDASSFYLHKFRLYETRSKFYMIGRDKKRTLWRVLKIDRSELSELNIREDATTYSESECNELLNRIDEGNRSTGGLKFVTNCYGIVGFVKFLGPYYMLLITRRRQIGAIYGHTIYAVTKSEMIALPNSTISSNLTYSKNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNISDSQTGQALYESMFVWNEFLTRGIHNQLKNTLWTVALVYGFFKQAKLSVSGKIFRLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDIHGGIPTHICSVVQNRGSIPLFWSQETSRLNLKPDIVLHRKDTDYEATRLHFENLVKRYGNPIIILNLIKSEEKKPRESILRSEFANAIEFINKDLPNENRLKFLHWDLHKHSRSKAKGVLTLLGKVATYALNLTGFFHCEVTPALGFDVALGGPVILKDHAGLWSFNFNNNVNNYINNTDGYTTNRDGSQEDNMMGDGTQEDKMVRDASQEAKQGTAENKDGNCGICLTKPIKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALSLIRVPKIDLDAPLADDLMSFYETMGDTLAHQYGGSAAHNKIFSERRGLWKAAVQSQEFFRTLQRYYSNAYMDAEKQAAINLFLGHFQPLQGKPAIWELDSDQHCSVGKSGHAFADECSRSYIKRSLSDGNILCESDSPFSSRTIGQRKPSTLSGRIQQENEKNLCCSTPEISTCGSDVSYSRYTPTMSRRQLFADGEHNFVYEHGESNFLDLDWLSSSGNSCDEEIYDRLNSPIENLLTENINGMNAETISPLCEDGSGIKRKQISGKELAYDPVRNYDILSEFSDSFIRWVIHGETLCH >Ma04_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8156351:8158879:-1 gene:Ma04_g11550 transcript:Ma04_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYHNPGNNFVDERAPPKLDNIRKVSVIPLVFLIFYEVSGGPFGIEDSVQAAGPLLAILGFLIFPFIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGLLRTLAVLILTVALTYMNYRGLTIVGWMAVFLGIFSIAPFILMGLVAIPKLRPSRWLVVDINKVNWNLYLNTLFWNLNYWDSISTLAGEVDNPKSTLPKALFYALILVVFGYLYPLLAGTGAIPLDRELWTDGYFSDVAKILAGVWLRWWVQGASALSNMGMFVAEMSSDSYQLLGMAERGMLPEFFGKRSRYGTPLVGILFSASGVLLLSWMSFQEIVAAENFLYCFGMILEFVAFIKLRLIYPNAPRPYKIPLGTAGCILMIIPPTILICVVLALATLKVMVVSVTAMLIGFILQPCLKHVEKKRLLKFSVNSDLPDFREAQCENTVESSLID >Ma08_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33144768:33145558:1 gene:Ma08_g19350 transcript:Ma08_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDNSSSSSSSSVSTSSSSSSTTRNQHQPAPSPKRKAGRKKFRETRHPVYHSVPESRGGRWACEVREPRRKSRICLGTFSTPEMASRAHDVTAMALRGESAQLNFPDSAWTVPRARSAVPEDIRRAATQVAEGSAPSEPSPPVEAPTTSRRTPGRDARAAESEAAAPRPAPVFVDEEAVFNMPGLMEDMARGMLVTPPSMQRGVDWDGAGDECVWDLSLWTDEL >Ma09_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:531756:533983:-1 gene:Ma09_g00780 transcript:Ma09_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPGILMKLLDGMKTGTRERRSALLQVTDIVPADLDEKDLWPKRGFYIKLSDSSHSIYVTLPFDQDELVLSNKLQLGQFIHVDRLQPASPVPVVVGAKPLPGRHPLVGTPEPIVRVRGRGDEKSSAKHTAASSVHRRGSWEQNHVPSPMIVKPTALDFGERTPMKDRFQSNVVLSPPASARLGKEVSSAFAVASSVSGALLSRMADAREAVGSGLLRKSCSISKFSRSKCVAEREAKTPRSSSSFPAEKNATKTPLLKSRGDAREEDSSWTSDEHSSSTAIDNATAANHISSERLSLPGKINTLGKEALEQRKAAQRVALQALRDASATETIVRVLKMFSELSSSAKPEEPAACFDQFLCFHQEIVQAAAGIEAVQAATTSVAEESTKEQDDKDDSSVLQARDHNADNQHGQSIKRRAASAVSKTDENKVGLGKHPRSDSNHKDKKEQDEAKLPTSTSSLGRSIELAKQIRTEAGKWFMEFLEATLESGFKTTKGAVGGGGHRSKSVCCCPQSLILRVINWVELERCEGGKRPLHPRTAQIARKLRIKAKNP >Ma04_p00390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:368683:372940:1 gene:Ma04_g00390 transcript:Ma04_t00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIEKLQRSRILACVQALRCARHARKDDVPCQIEEGLFLGSVGAALNKSALKDLNITHLLTVAKSLDPAYPNDFIYKKIDVLDTPSTELDKYFDECFSFIDEARSAGGGVLVHCFAGMSRRLIVTVVVAYVMKKHWMSLSDALSLVRSKRPNIAPNQGFLIQLENFEKSLGEFKSEKETQIVKPFYHLDNGAE >Ma04_p00390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:368691:372940:1 gene:Ma04_g00390 transcript:Ma04_t00390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIEKLQRSRILACVQALRCARHARKDDVPCQIEEGLFLGSVGAALNKSALKDLNITHLLTVAKSLDPAYPNDFIYKKIDVLDTPSTELDKYFDECFSFIDEARSAGGGVLVHCFAGMSRRLIVTVVVAYVMKKHWMSLSDALSLVRSKRPNIAPNQGFLIQLENFEKSLGEFKSEKETQIVKPFYHLDNGAE >Ma04_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:368691:372939:1 gene:Ma04_g00390 transcript:Ma04_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIEKLQRSRILACVQALRCARHARKDDVPCQIEEGLFLGSVGAALNKSALKDLNITHLLTVAKSLDPAYPNDFIYKKIDVLDTPSTELDKYFDECFSFIDEARSAGGGVLVHCFAGMSRSVTVVVAYVMKKHWMSLSDALSLVRSKRPNIAPNQGFLIQLENFEKSLGEFKSEKETQIVKPFYHLDNGAE >Ma05_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6183991:6184809:1 gene:Ma05_g08370 transcript:Ma05_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGTASFKNIDDDAPTPSSPKASKKKASKNPYSTRGLDKFSTVLGDLEARRRKIMEKAGPQGAVSMVKFMYSNSTDWIPVVVRRRDRKDDDAKMSADEQQHKVPIASGGVEEAAPAMGRPKAVPEEKVKSRLAWGAAEEVKGWVTRNWRGSYYWPLVVLVALVCLVTFGRVFAICCMLVCWYLMPVVHGEGGDVRRSVNKIKNYGRRMSNTRISATSKVPHSSHSKKTGGVQV >Ma08_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3699994:3703813:-1 gene:Ma08_g05410 transcript:Ma08_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYWKFGLHFNKDLQHVRQTILPRGPC >Ma04_p39180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36368849:36372585:-1 gene:Ma04_g39180 transcript:Ma04_t39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLSQLSPSSLNAHRVLKSPRPSLLFCNGTAQRLRLGGSPLSVSIRSGTKLHSIQSSISPSSVDKERVDQSAILTLESIRHSLIRQEDTIIFNLLERALYCYNADTYDQNASFMDGSHDSLVEFMVRETEKLHAQVGRYKSPDEHPFFPDGLPEPMLPPMRYPKVLHPIADSININKKIWDMYFCNLLPRLVREGSDGNCGSSAVCDTICLQALSKRIHYGKFVAEAKFRESPDVYEPAIRAQDSNQLMHLLTYESVETAIKQRVEAKVMIFGQEVTVGEKNGAPPEYKIKPSLVAELYGNWIMPLTKEVQVEYLLHRLD >Ma02_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26623781:26634892:-1 gene:Ma02_g20780 transcript:Ma02_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MAAAAGSRPPPTPDPASQLIFSDSDASGSDGDEAAGRGAALEDSIFAAYLQISGRASPDLSKIRSFLASAAGRGPLVSCLICLERVRPGDPTWSCASGCHALFHLICIQSWAQQSSHRPPSATSPAAAAAASSDWPCPKCRLPYPRTQIPRSYLCFCGKVEDPPADPWILPHSCGEVCDRPLRGQCGHRCLLLCHPGPCPPCPKLVSSRCFCGSREDVRRCAQKLFSCNKPCPKPLPCQTHRCPERCHEGPCPPCQVREVYSCACGKTKEERECSEREFRCDARCTGMLGCGKHVCDRGCHSGPCGDCPLQGRRTCPCGKKEYKGVSCDMDVPTCGSTCEKKLSCKIHRCHERCHRGPCVETCRMVVMKSCRCGGLKKEVPCYQDLVCERKCQQVRDCGRHACKRRCCDGDCPPCPEICSRKLRCNNHKCPSPCHRGACAPCPLMVSISCSCGETLFEVPCGTEKNQKPPKCSRPCRISRLCRHKSECRSHKCHYGACPPCRLECGDELSCGHKCKERCHGPVPPPNPEFTLKPTKKKLNKHLECAPGSPCPPCKEVIWVPCFGRHIGEERAMLCHSKRRFSCQNLCGNLLSCGNHYCTKPCHVLKNQTSNLDQYGQGDSNTEKQDQLLLNAVTKQGEPCEDCFLPCQKVREPSCPHPCPLPCHSSECPPCKVLVKRSCHCGTMVHAFECIHYNSLSNEEQQRIRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDHCNKKVNVRCACNNLKKEWLCQEVLKAYRSSGRDPKDIPKNQFGVGILACSTECENKANVVKYELQHRKANETKEHPIVQVASVSKRRRRRERIQEARQASKFQIIKSTIQRGLIISLIFMVIIASVYYGYKGLFLLSDWMNEMEEQRLHRRTAVPRF >Ma08_p33670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43931378:43932227:-1 gene:Ma08_g33670 transcript:Ma08_t33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYALLLAALLALASSPTMARDPGALQDLCVADNTSNVFVNGFVCKDPKLVKAEDFFFSGLDEPRDTTNKVGSNVTLLNVNRIPGLNTLGISMARVDYAPFGLNPPHIHPRATEIQTVLEGSLYVGFVTSNPDNRLVTKVLRKGDVFVFPQGLIHFQFNYGTSKAVALSGLSSQNPGVITIANSVFGSKPAISDDILAKAFQVDKKIIDRIQAHF >Ma01_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10976580:10980340:1 gene:Ma01_g15130 transcript:Ma01_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGVEIAFFDVETSVPGRGGGGNGFSLLEFGAIVLCPRRLVEVASYSTLIRPADLGVISTASVRCNGITRDAVATAPYFRDVADNVFNVLHGRVWAGHNILRFDCPRIREAFSEIGRPAPEPRGIIDTLPLLTKNFGRRAGDMKMASLASYFGLGKQTHRSLDDVRMNLEVFKYCATVLFLEASLPDVFSMASLEYNHTASGTEANGRTSADQSPNSSVVPFSRKQKSDLSQVILEPNDRAGSSMPISKGVPSDLASHMEQMKIDSLQTEPACNSEMPCATTPASESSSSHAGFLKPDEVLPQQISAPVIQGSRKTILLHRDCPLQLCCMGMRIHFGVSSKFMDYAGRPKLSIVVDAPENLCKVLDVCDQVAQISSENSGSNSEWRTLLKRNDYSNTFTIRLHIPTVANGDGAVSSTDIYQKDPRGNMQKLELSKLDAAELDQIFLSGTAVDACFSTDIYDYQQNAGIRLVARRLVVNSR >Ma08_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33524415:33527092:1 gene:Ma08_g19710 transcript:Ma08_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASSMTCSGKAPIGARSSWRLNFHVCYGGPNETTVTVVSTPGNGTFGSIWVGNNILKEGPESSSMLIGRTYDLTVQASIESPSAYLYMLNFVFTTGKYDGSSISIVGNEVVGETMERAIVGGTGKFRMAWGYTISRLISSTGTTELLLVHEHDAYIYHY >Ma08_p05520.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3747364:3755139:-1 gene:Ma08_g05520 transcript:Ma08_t05520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAPLLGHRPLCCRMRSLRRLGSGGGIGENVRPHFSRSASSHLNPNAKNQKYERNATKYWDDFYKRHQGKFFKDRHYLEKDWRRYFASSGESGQASMDPKVVLEENGASVSDRLNAFICDVTKDDLSKIIMPSSVDVVTMIFMLSAVSPMNMPAVLQNVRTILKPSGYVLFRDYALGDFAQIKLANKGQTISENFYVRGDGTCSFYFSEDTLSNLFERTGFNTLDISVYCRQIVNRSRDIVMDRRWIRATFCT >Ma08_p05520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3747364:3755139:-1 gene:Ma08_g05520 transcript:Ma08_t05520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAPLLGHRPLCCRMRSLRRLGSGGGIGENVRPHFSRSASSHLNPNAKNQKYERNATKYWDDFYKRHQGKFFKDRHYLEKDWRRYFASSGESGQASMDPKVVLEVGCGVGNTLFPLLAAFPNVFIHACDFSPHAVAFVKENGASVSDRLNAFICDVTKDDLSKIIMPSSVDVVTMIFMLSAVSPMNMPAVLQNVRTILKPSGYVLFRDYALGDFAQIKLANKGQTISENFYVRGDGTCSFYFSEDTLSNLFERTGFNTLDISVYCRQIVNRSRDIVMDRRWIRATFCT >Ma08_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3747364:3755139:-1 gene:Ma08_g05520 transcript:Ma08_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAPLLGHRPLCCRMRSLRRLGSGGGIGENVRPHFSRSASSHLNPNAKNQKYERNATKYWDDFYKRHQGKFFKDRHYLEKDWRRYFASSGESGQASMDPKVGCGVGNTLFPLLAAFPNVFIHACDFSPHAVAFVKENGASVSDRLNAFICDVTKDDLSKIIMPSSVDVVTMIFMLSAVSPMNMPAVLQNVRTILKPSGYVLFRDYALGDFAQIKLANKGQTISENFYVRGDGTCSFYFSEDTLSNLFERTGFNTLDISVYCRQIVNRSRDIVMDRRWIRATFCT >Ma08_p05520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3749241:3755139:-1 gene:Ma08_g05520 transcript:Ma08_t05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAPLLGHRPLCCRMRSLRRLGSGGGIGENVRPHFSRSASSHLNPNAKNQKYERNATKYWDDFYKRHQGKFFKDRHYLEKDWRRYFASSGESGQASMDPKVVLEVGCGVGNTLFPLLAAFPNVFIHACDFSPHAVAFVKENGASVSDRLNAFICDVTKDDLSKIIMPSSVDVVTMIFMLSAVSPMNMPAVLQNVRTILKPSGYVLFRDYALGDFAQIKLANKGQTISENFYVRVFFLLF >Ma06_p11070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7780051:7787685:1 gene:Ma06_g11070 transcript:Ma06_t11070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGVGKTARRCHISREDSDVKSVGDFGELDPWTAWAYKPRTISLLLIGTCVLVWASGALDPESSASNDIVTSVKRGVWAMIAVFLAYFLMQAPSTVLIRPHPAIWRLVHGMTVVYLVALTFLLFQNRDDARQFMKYLHPDLGVELPERSYGADCRIYVPENPKSRFINVYETLFDEFVLAHIFGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIIGKVKRTLSQFTPARWDKDEWHPLLGPWRFIQVLCLCVVFMTVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPAKKVGAFCWLSLAICIVELLICVKFGHGLFPNPMPPWLITFWTTVGTTLMIFLLVWSWQIHQSVTKKMV >Ma06_p11070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7779854:7787685:1 gene:Ma06_g11070 transcript:Ma06_t11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGVGKTARRCHISREDSDVKSVGDFGELDPWTAWAYKPRTISLLLIGTCVLVWASGALDPESSASNDIVTSVKRGVWAMIAVFLAYFLMQAPSTVLIRPHPAIWRLVHGMTVVYLVALTFLLFQNRDDARQFMKYLHPDLGVELPERSYGADCRIYVPENPKSRFINVYETLFDEFVLAHIFGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIIGKVKRTLSQFTPARWDKDEWHPLLGPWRFIQVLCLCVVFMTVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPAKKVGAFCWLSLAICIVELLICVKFGHGLFPNPMPPWLITFWTTVGTTLMIFLLVWSWQIHQSVTKKMV >Ma06_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7780050:7787685:1 gene:Ma06_g11070 transcript:Ma06_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGVGKTARRCHISREDSDVKSVGDFGELDPWTAWAYKPRTISLLLIGTCVLVWASGALDPESSASNDIVTSVKRGVWAMIAVFLAYFLMQAPSTVLIRPHPAIWRLVHGMTVVYLVALTFLLFQNRDDARQFMKYLHPDLGVELPERSYGADCRIYVPENPKSRFINVYETLFDEFVLAHIFGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIIGKVKRTLSQFTPARWDKDEWHPLLGPWRLVLWWLIAIPTIREYNTYLQDRKPAKKVGAFCWLSLAICIVELLICVKFGHGLFPNPMPPWLITFWTTVGTTLMIFLLVWSWQIHQSVTKKMV >Ma04_p36180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34645548:34650283:-1 gene:Ma04_g36180 transcript:Ma04_t36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADNGGGSGGGSGSLETRPGVLLVGSPNVGKRTLFSRLLSIEFPDTSDLSTGVFCQGWTIDTKYYTADLSIWTAHLDEEFSFRSLPISKQLDALVMVFDMSDESSFVALQDWVAKTDIQKFEILLCIGNKADLVTGHYAHTEYRRCMQKCGESSSDPHPEYVDYGIDETEACSLLENEEPSLEIRKSCLDWCIEHNIEYIEACASNADFDKCLSVDGDMQGVDRLYGALSAHMWPGMILKSGNKIINPSLVEKEESTDDESEYEIEYEALSHGSDEEWSGYSDAVVTRTHNETADGINQDPKHEMSNIHEAEVETSSSTPAPGIPSVFPNTSALERGIVIHEPSAEINIIENIRGKQEGEISVEQKPGSNFEEQEELGSDVVNINDLDEDAHYGLDDLERLMFEIGNMRDNLRLMPDFQRREMAANLAMKMAAMFGDVSDDENGL >Ma08_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2640263:2640816:1 gene:Ma08_g03680 transcript:Ma08_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRSSNLSLLFVCLTTVIPMASSLSFNFSGFDNETTSRIEFQGDASLLDKEIHLTSSPMQYSVGRLRLWDATTRELADFTTHFSFVINSSDPLTPHGDGLAFFLTAYPPTLPAYSRGAFLGLFSNSSVDGSSVSTVAVEFDTVPNAWDPLADHVGIDIDSITSSATLQ >Ma09_p25640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37033801:37037131:1 gene:Ma09_g25640 transcript:Ma09_t25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELSVSTMILFTCALAILAATTSVSSCSGGSALSAGNVTSGAGPCPGSSGVGAQAFGIIALEIACGRRPVEPAEQPNKVRLVEWVWDLFGRRAILEAAYEKLHGNFDEEPWVAHGCRAVVCSSGLESAAIDEASNLETPLSQLPPKMPVPMYCMPSADEIQSLDTSLEVK >Ma09_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8712739:8712981:1 gene:Ma09_g12910 transcript:Ma09_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELIFGTRRPVPLVTIISRVAARMHQAIIELVFLLEVG >Ma01_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10520656:10521811:-1 gene:Ma01_g14400 transcript:Ma01_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVAEKEGIARAARGPVADCPAASPIPPLNSRPRRLHNFSFPTLSWRGQRFLRCSKLPSGATVELPGSADRDNRTSQMKSSPPRPLPGFRDSKEGERIGSEGREAERDTSCAAAGAEATRPWNLRTRRAACNAPTERALAMEKINSPVKTMRLRSDESEKGETRKFSISLSRPEIEEDFFAIKGRKLPRRPKKRAKIVQRELDSLFPGLLLLSEITTDSYKIVE >Ma10_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4873509:4880797:-1 gene:Ma10_g01570 transcript:Ma10_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSLPLPPSPARRRRPLPGPQRASRQRLRSALIEARPVPAAKTKGGGSAGRGHYAMELAVETQAPAAPLSIISTDRTVDMQAEVRALARAANATVYSPQVLAAKYASRPLKVLLRMMEIITALGTFALKLSVDQRRGQLDQRKRQRAVELTKTLTRLGPTFVKIGQGLSTRPDICPPEYLEELAELQDALPTFPNEEAFACIERELGMPLDSLYSAISAEPIAAASLGQVYKAQLKCSGQVVAIKVQRPGIEEAIGLDFYLLRGIGFLINKHVDFISTNVVALIDEFAKRVYQELNYVQEGQNARRFKKLYADKKEVLVPDIYWDYTSAKVLTMDWVNGVKLSEQEAIEKQGLKMLDLVNVGVQCSLRQLLEYGFFHADPHPGNLLATPEGKLAFIDFGMMSETPEEARSAIIGHVVHMVNRDYDAMARDYYALNFLTCDVDVSPIVPALRNFFDDALNSTVSELNFKTIVDGLGNVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIDLLFKDGRFRWNRLENLLVQGRKDKDFTTKEALQPVLKLLLGPDGEELRVLLVKEAVCVTEAIVLGTMIDAYKSIPDFMKGLINNGNTSGPFKLSDGEQARMLELRDRVLRIWSLLRSSDDFDPSLLQPILQVLEEPEARNLGGRVFGGITQRLAARLLQQVLRYPTTTSVPR >Ma00_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17043103:17044758:1 gene:Ma00_g02280 transcript:Ma00_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEHGFLEELLALRRDDWDSDSFPAGMGEFFFPCDGGLDCFQQISPHALAPLSFPPAFDVTVEPNFDGLSDVCCPIAGPYSAPAPEIQSSSLDDGELGLARKVEPAMFRLDGCVERKKKKKKKLEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELMERVKRLQQEMDVGPEQPNLLSTNEILARKSPKFDVERRDSDTRIEICCAAKPGLLLSTVNTLEALGLEIQQCVVSCFSDFGLQASCSEGIDRWAVVGAEDIKQALLRNAGYGGRCL >Ma10_p26190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34003139:34003697:-1 gene:Ma10_g26190 transcript:Ma10_t26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQNLAFKAGQAAGHAQVKKDEMMQKCQETTEQAGGLMQQTGDQIKSMAQGATDTMKNAMGMGGSNPKQ >Ma06_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1992137:1994443:1 gene:Ma06_g02580 transcript:Ma06_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKHTTRGPNARRRSVFASRAFPQGSSPIFRDASGPSDSGSCLDEATPEALVCPEIPPVSNGAVSSESPTEGSDGVDACGEVERPIPKAADYPAVLCKDGHLESAAVSKVKNLDGESTTEAESESKSRNLNTHEATVKPAAIIGETGERNVTSGSVSGETADAERKKRSLLEQQSRSGKKAGDNQKRELSEDRDQDAFNIKKQNHGDGSCQAPGKMVIILGLMAAPNCPWRNGRKARISKP >Ma09_p26600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37811883:37812865:-1 gene:Ma09_g26600 transcript:Ma09_t26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKGLMLLNFWPSPNGMRCRVALAEKGLEYVYREEESILECKSRLLAKSDPVRMRVPVLLHDGKPVCESLVIVQYLDEVWPDRAPLLPADPYGRAKARYWADFIDKEIFGCGLKLWKLEGEKQEEARDQFIRALKVLEGELDAKKYFGGDTFGFVDIILVPVVAWYRTYELFGIFRTEIQAPRLMAWGKRCMKRESVAMSSLPDPHKVYEFVCFLRKKNPGLQPF >Ma04_p28420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29502057:29502575:-1 gene:Ma04_g28420 transcript:Ma04_t28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFFAERRGPQWKHGWREQTLASLSLPPPQLIAIFAIVILFLSVSWYGDYKTQVRRTETSFRFLLFLLPAALIVVARYVVRDSWFVFRLPRARRESIHPAGSSPWGVLLLVLVLLVMVSYQSSFHAQWFRPLWRVD >Ma09_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11312646:11316863:-1 gene:Ma09_g15950 transcript:Ma09_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVNHQFAGLRCPPLSSSHLSKPSSAASSKAPVRPSFCSAAVIAGTYALTSAQKERQKLKEVFEEAYDRCRTAPMEGVAFTVEDFHSALEKYDFDSEIGTKVKGTVFMTDMNGALVDITAKSSAYLPVQEACIHKIKHVSEAGIYPGLVEEFVIIGEMNADDSLILSLRSIQYDLAWERCRQLQAEDVIVKGKVVGGNKGGVVAIVEGLRGFVPFSQISAKSTAEELIDKELPLKFVEVDEEQSRLVLSNRKAMADSQAQLGIGSVVLGSVQSLKPYGAFVDIGGINGLLHVSQISHDRVSDISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLNSEGILGPLTSDLPAEGLDLSEIPPADD >Ma02_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21665227:21666872:-1 gene:Ma02_g13150 transcript:Ma02_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIGPNGGGGGGGGGGSGGGGGGGPCGACKFLRRKCVTGCIFAPYFNSEQGAAHFAAVHKVFGASNASKLLLHIPAHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLHAELSCLQAHLATLELPTPPPSLASPIPFSMSDLSSSSTLPSTADLSTLFDPPLLPSWPLQQQQRPTLFMQQRSTSTRSPSESSGCGGGDLQELARELLGRHCLGDVTAEPGVQESKSI >Ma04_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6388272:6389635:1 gene:Ma04_g08950 transcript:Ma04_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNPTTTFMSCSPSEPQQHLELESVREVPDSHAWPALDDHPTVYEPVPVVDLAGPDASVAHLARVCESWGAFQVTGHGIRSDLLERLESETRRLFALPMDQKLKAARPSNGISGYGLARISCFFSKLMWSEGFTISGSPLDHALKLWPDADPSRFCDVMQEYSDEMKQVAGRVVRLMLLSMGLTPEEMKRAEEGTRVDQLSAVLQLNSYPPCPDPNRAMGLAAHTDSSLVTLLFQSGTSGLQLLRPQDQHGPARWVTVPPRPGALIVLAGDLLQILTNGRYKSVAHRAVVNRNHHRVSVAYICGPPPHHKLSPVGKPASPAPCLAYRAVSWADYLGLKAELFDKALASIMVAEDSRGDEGICC >Ma11_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6301875:6303198:1 gene:Ma11_g07900 transcript:Ma11_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSSVDLDLTIGSLRFDGEKLSVEENKKEALEAELGRANEENKRLKEMLSDMAASYSTLRSHMTGVVMPKTSSCKRDDARSSSSEESSKRVKEEERKPKVSKLYVLTDPSDSSLVVKDGYQWRKYGQKVTRDNPCPRAYFRCSFAPACSVKKKVQRSAEDASMLVATYEGEHSHGQSSRPEAPHASGRSAPAPPRPQEVEPPNPQRSLVEQMAVSLTNNPDFRAAMAAAISGRMSETSPPPTK >Ma09_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10163022:10163453:-1 gene:Ma09_g14830 transcript:Ma09_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQPRRHRRHHSSSSFRRPPLSTLPSSSWSTGEPSSPRVGCTGHIKRSKTMRLTPAADATLHDGKLLKWARSFWRRSSSVGRRTCAEAAEVTDLADLDPPRPVVKHVRRSTDAVSLWNRRSGGEELKVLQMHRQFVHWSSAA >Ma04_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4486870:4487233:-1 gene:Ma04_g06050 transcript:Ma04_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCSILNKLTPEKFDVLKGQLINAGITTPNILKDVITLIF >Ma07_p22450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30387587:30391032:1 gene:Ma07_g22450 transcript:Ma07_t22450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDELTGTLAPIVVYWLYAGMYQLLPPLDQYRLHTRKEEEQKNLVPLSSVIKGVLLQQLVQATVAGLMFLVTAKPSIAGSLIQPPVHVQLIQILVAMFIMDTWQYFIHRYMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLISGMTARTSVFFFCFAVIKTVDDHCGLWLPGNIFHVIFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDRILGTYMPFSLVTRQEGGYEARILKKTS >Ma07_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30387587:30391032:1 gene:Ma07_g22450 transcript:Ma07_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDELTGTLAPIVVYWLYAGMYQLLPPLDQYRLHTRKEEEQKNLVPLSSVIKGVLLQQLVQATVAGLMFLVTAKPSIAGSLIQPPVHVQLIQILVAMFIMDTWQYFIHRYMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLISGMTARTSVFFFCFAVIKTVDDHCGLWLPGNIFHVIFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDRILGTYMPFSLVTRQEGGYEARILKKTS >Ma07_p22450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30387567:30391046:1 gene:Ma07_g22450 transcript:Ma07_t22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDELTGTLAPIVVYWLYAGMYQLLPPLDQYRLHTRKEEEQKNLVPLSSVIKGVLLQQLVQATVAGLMFLVTAKPSIAGSLIQPPVHVQLIQILVAMFIMDTWQYFIHRYMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLISGMTARTSVFFFCFAVIKTVDDHCGLWLPGNIFHVIFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDRILGTYMPFSLVTRQEGGYEARILKKTS >Ma07_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:132924:134821:1 gene:Ma07_g00100 transcript:Ma07_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEMDQEEEGKVAPKAQQPEGISLHRATELINLLISCSYSVRSFLAKWQLIRDKLEQIHSSLAAAAEGDNSATNSDLVGLLQAIKSTASETQVLANRCNDESYGGGKLHLRSDLDVIASKLHILMRRLEEIYASGILTSSQAIVVSRPGVGASWEDMRFYVKDLISRLKIGDSEMRLRALSALKQVLCDDDKYVRILVAKVAQGVALLVSFLESQSEGVQEEAAEAISVISGFDSHKGALVMAGAVAPLIRTLEMGSELAKERAAGALKKLTENSDNAWSVSAQGGVSTLLKIRGDASSSGELIRSACGVLKSLSGVEEIRRFMVEEGAVSIFVELSRSKEEASQIQAIEFLTILACEDAAIKQKVMVEGVLGSLVPHSSKAKEVALRAIENLCFSSPSSMNYFMSSGFLDCVLYLLRSGEISIQESALKAVARLSGLSEAIKKAMGDAGFIPELVKLLEARSFQVREMAAETLSGMISIQRNRRRFVREDENVDRILQLLDPEEKSVTKKFLLTVLVSLTDRKMMTSGYSKHLEKLAETEAADAKKIIKKLSTSRFRSMFTGIWCS >Ma06_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3595557:3599746:1 gene:Ma06_g04840 transcript:Ma06_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSFGDEGAAASVPLAKWRSDFSRVFQHYLDRSTPHTVGRWLGTTAVALIYSLRVYFVQGFYIVTYGLGIYLLNLLIGFLSPMVDPELEASDGLSLPTRGSDEFKPFIRRLPEFKFWYSITKALCVAFVMTFLSVFDVPVFWPILLCYWIVLFVLTMKRQIVHMIKYKYVPFNTGKQRYGGKKGPGSSSTSKD >Ma03_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24373313:24374120:-1 gene:Ma03_g18880 transcript:Ma03_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDTTTASATTTILATKTTPPPFSPSLSSSANSAPPPHPTALSPCAACKSLRRRCTVSCLLAPFFPPTETLKFTTAHRVFGAGNIIKLLQDLPESRRADAVNSMVYEANARLRDRVYGCAGVICHLQKQVDELQEQLARAQAKHVILQAQHAQLLASTATARSQQQRPGEATDGVVADSCMLQNGGYCVDDCGLGSVWEEPRWA >Ma09_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34323062:34325755:1 gene:Ma09_g22380 transcript:Ma09_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALAASSAEEGRGGWSREDDKAFENALATIAPPPKEEEEEEGGSEGGESGGGDWWELIAARVPGKTAAEVRRHYEALVEDVNAIEAGRVPVPRYVGEEAPSGSTPTAVTHSSKEKQPQHHQDHNSHHGSADRKRGGFEIGGQGKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNGVDVSSPQGPITGQANSNPVAVGSTMKHPSQANVPGVAMYGPPVGHPVTGHVVSAVGTPVMLPHGHAPYVMPVAYPVPPPSMHP >Ma09_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3103565:3104644:1 gene:Ma09_g04810 transcript:Ma09_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMAAHRQLPTPAPTLGRPSPSFRLRTESLNTLRLRRVFDLFDDNADGEITVKEIALALDRLGLAADPAELSSTVAAYIPPGHAGLAFDDFEALHRALGDALFGAPNATGEEEEAAEEEVEEDMREAFRVFDEDGDGFISAAELQAVLSKLGLPEGRSIARVREMICSVDQNSDGQVDFGEFKHMMQGITVWSA >Ma09_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7187944:7189424:-1 gene:Ma09_g10580 transcript:Ma09_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFSRTSSGLVITIHSKGKWNQHWQSHLASNKLMVIDFSSSNCGPCRFIKPEINAMAARYTEIEFVKIDVDKLMEVAEKWDVEVVPTFVLVKRGKEVGRVVGADKKELEETIQQQQQHQQRY >Ma07_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:533075:533690:1 gene:Ma07_g00620 transcript:Ma07_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCWVPKKREEGHREARASMIKWWERLVVFPVRRVWIGVATRLGIRRTGLRKLRKEVRSCDYEDVHVMWELLRKADPEIGGRPFGCRAIQRGRRRWRRGGGELWASLCHWAPWNLCRNL >Ma03_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4171079:4173120:1 gene:Ma03_g06040 transcript:Ma03_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTHLLQHTLRSLCNHKSSQWIYAVFWRILPRNYPPPKWDLHGGVYDRSRGNRRNWILAWEDGFCNFAASSCEQAMTEEECQMKGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWVFKEPQDHKMALLSTWNNPADSQPRTWEAQFQSGIETIALVAVREGVVQLGSVNKVMEDLSLVILLRKKFDYLESIPGVLLPHPSSSACPMRIEACTLPQNWSAFPPVEFYDHFSPQMRVVTPSMSSLDALLSKLPPVGLPPPTPAVSSPGYFEMPVLVQPQKPSSGVMEMERVAKEEMEEEYGQESGVLEMGGECSSSMSYYVNVAKADEGF >Ma05_p26600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38157369:38165067:-1 gene:Ma05_g26600 transcript:Ma05_t26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATAFFVPLYASPSPHGASRSFFHRSAYFSFGLPRSAFRALKHSFPLHKEPGFVPKPVRAPGVAYATAATEKSIHDFTVKDIDGKDVSLSKFKGKVLLIVNVASKCGLTTSNYTELSHIYEKYKTQGFEILAFPCNQFGGQEPGSNSEIKQFACTRFKTDFPIFDKVDVNGPKTAPVYQFLKSSAGGFLGDLIKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLLAA >Ma07_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4496122:4499875:-1 gene:Ma07_g06240 transcript:Ma07_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLAKALFWGFFLERCLLFVDSAIGVNWGTLSSHKLPPSVVVDLMRENKIGKVKLFDADPEVLWALRGSGIEVMVGIPNDLLAALASSIAASDQWVGQNVSRYLVKGGVDIRYVAVGNEPFLTNYQGRYQSLVLPAMLNLQQSLARANLAGYIKLVVPCNADAYQSASVPSQGVFRPELTQIMTQLVSFLNSNGSPFVVNIYPFLSLYQSADFPQDYAFFEGSSHPVVDGQNVYYNAFDGNFDTLVAALSKIGYGQMPVAVGEVGWPTEGAPSANLSAARAFNQGLISHVLSNKGTPSRPGIPPVDIYLFSLLDEEQKNILPGNFERHWGIYSFDGQAKYPLNLGLGNGWLKNARNVPYLPSRWCIANPSQDLTGVTNHMKLACSFADCTTLYYGGSCNTIGEKGNISYAFNSYYQLQKQESKSCDFDGLGIVTFLDPSVGDCRFLVGISDSSGCCLGCGILCALWFVSLWVIIYLRVVDSL >Ma09_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5209771:5210217:1 gene:Ma09_g07930 transcript:Ma09_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHGGEAPQRCRTTFVQTDAATFKELVQRLTGPHEQPPDAAPFAPAKVAGLKRLHERRRGSRLKLPVMKPAVGPAVLSPSLMTALVSPSTGFAGLGICDELNDEEEEKAIKERRFYLHPSPRSRSQNAEPELLPLFPLTSPKPHDR >Ma08_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4409699:4432965:1 gene:Ma08_g06540 transcript:Ma08_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MDGNDVATDYMVAKLIDMGFEFAKATEAIEVVGPSLDDAVEFILNGSCKSNNNGRAYHFLSCSTSQSFDEEYGPSHAPKRMKQSNITDHLPPLCGTDKNAPHNASGVSFSGTGGTGRSKCRKLDQQTISNVCAASELYSASESAQQVPENDVNDTELVSHGNRRSQSRSLFNQEVELDWEQKIGDILKKHFGFFSLKGFQKKALEAWLANRDCLVLAATGSGKSLCFQIPALLTSKIVIVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSTVEYKAMCGMYKIVYVCPETILRLIEPLRRLAVNPGIALFAIDEVHCVSKWGHDFRPDYRRLRMLRENFNSCNLKSQQFDIPLMALTATATIPVRKDIIESLHMSKETEIILTSFFRPNLRFSVKHSRTSSVSSYAKDFKDLIRNYMVPKMTSRKGHKNISYEENDNSENYSSGYDMSAEDESSLLDSEEDEDDNICDNYKVNLTEDSSSLKENQLTVEYLEDDLDIPYVVDDLDVSCGEFPGTSAAENLKTPGTSELYDIQGSLEEGPTIIYVPTRKETLKIAEYLCKSGVRAAAYHAKLAKTHLRHVHDEFHQGSLQVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCTLYANLSRIPTLLPSQRSDEQTKQAYKMLSDCFRYAMNTTTCRAKKLVGYFGEEFCHDGCHLCDICVAGPPKTQNMKAEAVIFLGVLKAESGHTSDGYVYDTGNKMLKGRSNLRVVISRIREQSHKFATVDRLWWQGLARILENMGYIRERDDMVHVSIRFPELTELGLRFLHSESEKDFFAHPEADMLLATKEDQPYSTFSEWGRGWADPEIRRQRLQGKRHRRRKGRKRSQKYNAHNPSTVRGRLAAKLSIRTKH >Ma08_p06540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4409675:4432965:1 gene:Ma08_g06540 transcript:Ma08_t06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) UniProtKB/Swiss-Prot;Acc:Q9FT69] MDGNDVATDYMVAKLIDMGFEFAKATEAIEVVGPSLDDAVEFILNGSCKSNNNGRAYHFLSCSTSQSFDEEYGPSHAPKRMKQSNITDHLPPLCGTDKNAPHNASGVSFSGTGGTGRSKCRKLDQQTISNVCAASELYSASESAQQVPENDVNDTELVSHGNRRSQSRSLFNQEVELDWEQKIGDILKKHFGFFSLKGFQKKALEAWLANRDCLVLAATGSGKSLCFQIPALLTSKIVIVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSTVEYKAMCGMYKIVYVCPETILRLIEPLRRLAVNPGIALFAIDEVHCVSKWGHDFRPDYRRLRMLRENFNSCNLKSQQFDIPLMALTATATIPVRKDIIESLHMSKETEIILTSFFRPNLRFSVKHSRTSSVSSYAKDFKDLIRNYMVPKMTSRKGHKNISYEENDNSENYSSGYDMSAEDESSLLDSEEDEDDNICDNYKVNLTEDSSSLKENQLTVEYLEDDLDIPYVVDDLDVSCGEFPGTSAAENLKTPGTSELYDIQGSLEEGPTIIYVPTRKETLKIAEYLCKSGVRAAAYHAKLAKTHLRHVHDEFHQGSLQVVVATIAFGMGIDKSNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCTLYANLSRIPTLLPSQRSDEQTKQAYKMLSDCFRYAMNTTTCRAKKLVGYFGEEFCHDGCHLCDICVAGPPKTQNMKAEAVIFLGVLKAESGHTSDGYVYDTGNKMLKGRSNLRVVISRIREQSHKFATVDRLWWQGLARILENMGYIRERDDMVHVSIRFPELTELGLRFLHSESEKDFFAHPEADMLLATKEDQPYSTFSEWGRGWADPEIRRQRLQGKRHRRRKGRKRSQKYNAHNPSTVRGRLAAKLSIRTKH >Ma05_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26005254:26006504:-1 gene:Ma05_g19070 transcript:Ma05_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSSTSPYYCCLLLLLSFFSVKTGAFSIHNHSNHNCNSNDLGALYGFSRSLDTQIHEWPVAITNPTNCCSWPGVHCALFSTYPTSSSSSFDSSLVSNARVVGLDLPGKGLEGVLSPSLSGLDKLSFLNLSLNSFRGPIPPELFDLKLLAVIDLSNNHLSGEMPPGIGNLSSLSLLDISNNRFTGMIPDVFHGLQKLEGFSAESIGFVGRLPTSLSSCSMLTSLDLRNNSLNGSIDLDFGRLLRLTVLNLGWNRLHGLIPESHSSCKALQILNLNRNNLSGLVPQKLRNLRFLSFLALEQNNLSNISNALAVLQGCHNLTVLSLTQSFRNEQMPTNGIRGFGSLRALSMANCGFKGSMPLWLRKCRELRAINLAWNHFSGEIPSWFGGFDHLFVMDLSNNSFYGEIPISLMQLRS >Ma08_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8231541:8233870:1 gene:Ma08_g11160 transcript:Ma08_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTECAASIREFTIKDAKGNDVDLSTYKGKVLQIVNVASRCELANSNYMELSQLYEKYKRNASKELDKLAQEITPSFNDRAANWLISKFWPSHAFSLEGQEPGSNGEIVEFACTHFKAEYPIFEVDVNGDNATPIYKFLRSNKGGILEDSIKWNFAKFLIDKDGHVVMSRIKL >Ma09_p31410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41149025:41152609:-1 gene:Ma09_g31410 transcript:Ma09_t31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDFVDKDKLILRGLQFHGFHGVKLEEKKLGQKFVIDVDAWMDLSNAGKSDDISDTVSYTAIYRIVKEVVEGTSQNLLESVAHLIANTTLLQLPQISAVRVKVEKPHVAVRGTIDYLGVEILRYKEASSVD >Ma09_p31410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41149013:41169639:-1 gene:Ma09_g31410 transcript:Ma09_t31410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSSSLARRNCSSSMGDRDFVDKDKLILRGLQFHGFHGVKLEEKKLGQKFVIDVDAWMDLSNAGKSDDISDTVSYTAIYRIVKEVVEGTSQNLLESVAHLIANTTLLQLPQISAVRVKVEKPHVAVRGTIDYLGVEILRYKEASSVD >Ma11_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2607289:2610402:-1 gene:Ma11_g03520 transcript:Ma11_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMMPSAVAGSRPPPNYADALRKCLLFYEGQRSGKLPPTQRVTWRKDSGLKDGQDAGVDLVGGYYDAGDNVKFGFPLAFTGTVLAWSIVEFSAELGPELFHAHEALRWLTDYLLKATTKPNRIFVQSGEPYSDHSCWQRPEDMDTPRPSFQVNDTHPGSEVAGETAAALAAASIAFRSSDPAYANTLLSRAKQVYDFAYRYQGSYANSIGQWVCPFYCDFSGYEDELVWGAAWLNRATNSSDYTKHIIHGIRKIQTQAKPRVNGYDSQFSWDNKDAGNYILLLLMQKTDDDYSKYAQNFACSLLPQSPYKSTEYTPGGLIHRASMANTQAVTAVSFLLLVYGRHLRNVKGTVTCGNSQFPPSALIDLAKRQVDYILGDNPLNMSYMVGYGNKFPQRIHHRAASVPSVDQHPQHLYCKDGTPYYVTNAPNPNLLVGAIAGGPNDGTDNYLDYRPWSNQSEPATYVNAPFVGLLAYFSRHR >Ma09_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34578261:34583277:-1 gene:Ma09_g22710 transcript:Ma09_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGCKGKSICSKHVTMLTVVIMSFGVISCCRGHGEGDRLRAEGKSCIESERRALLDIKSDMYDSGERFSTWIGEDCCRWRGVACDNTTSHVIKLDLHYLDTHNFYTYDLDDEDDMCFMLEGMGASKVNPALRDLKHLKYLDLSMNNFSGSHIPHMIASLVDLEYLNLSNAMFDGLIPPQLGNLSNLHYLDLGGCGLSDLRADDLDWLSQIPSLKYIDMSFVNLSKATNWLHQVNWIPSLNVLRLKWANLPYVPSPLPPFNLTSIIKLDLSGYSNLNTTILRWLSHASSLVYLDLSHCSLVDIESLQVTLGALSNLKELDLQYNDITGEIFRIKMNVSRSFKHLDLSWNSLSGDIAQILWSLGPLEYLALDFNELTGHISEIVKNFPSSLRYLSLRSNHITGEIPQMIENLTNLVYLDLSDNNIIGGIPTVFGELINLESLRLSGNNISGQIPETIGNLTNLKYLDLSNNNIIGGISTVFDDLINLENLRLQKNKFSRQIPETIGNLSNLEYLDLSFNNIAGDIPMTFGNLGKLESLSLLNNNISGQIPETIGNLTNLKYLDLSSNNITRGIPIAFGDLIKLEKLILLGNKISGQIPETIENLTNLKYLDLSFNNITGGIPMTFGNLINLERLLLQKNYISGQIPKTIGNLINLEYLDLSYNNIIGGIPMNFGDLINLESLDLSQNKISGQIPETIENLQNIRSLYLYDNFIIGQIPETFNRLYNLQFLDVSRNHLTRLVAETLNGLCNLSFIDLSHNHIGGELSYLIDSLLHCEQRATLYLSISGNNLSGIVPSSMGQLSALQVLDLSSNLLEGNITEAHFSKLTNLEHLDISYNSLNVILPDDWFPPFNANLIIMSSCHLGTRFPVWIQTQTNLKYLSLSEVGLSGNLPTWFSDISTSLWYLNLSSNNLNGPLPTAPPGEVIDLSNNSFVGPIPLSFANGPYLQILSLSHNNINGSFFSFFCNLNSLRVLDLSNNNLSGTVSKCHKSFPTSLQSLHLNHNNLSGRFPSFLKHCEQLVTLDLGENNLFDEIPIWMGENLLSLRVLSLKSNLFYGTIPVHIANLTSLQVLDLSSNNLSGSIPSSLGNFNAMVEIQHDTTSLLHLVDGGYYGESIVITAKGYDIQYTTILSLVTSIDLSNNNLSGEIPRELTKLHGLHFLNLSKNHLRGTIPEKIGSMEQLESLDLSMNNLTGDIPSSFSSLNFLSHLNLSHNNLSGRIPTAGGQMSTFIDDPSIYDGNEYLCGTPLPECPGDAAHQSPPHEQEEKNGDRLETVWEITSIVMGFVVGFWSFVGTMIMKQSIRIAFFRFFDKAYDWCYVQLAVGCARLKSKRQSVT >Ma07_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8584111:8588681:1 gene:Ma07_g11570 transcript:Ma07_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDCDGEEKDEETRRWSPGQGGSSSSSSRGGGSGTSASSPFKNRCVRGRVDQPPPFSGQVLENVLENVLQFLPCRRDRNASSLVCRSWYRAEARTRLELFIGNCYAVSPARALGRFRCARALVLKGRPRFADFNLVPVGWGARFSPWVAAMAAAYPWLERVCLKRMTVSDADLALLARSFSSFRDLTLICCDGFGTPGLAAVAELCRNLRVLDLIENDVEDEDEEVVDWISRFPETDTRLESLSFECVNCPVNFAALEALVARSPSLRRLRVNQHVSVGQLRCLMVRAPQLTHLGSGSFETVPVADGAAELDVAELESSFVASKSLVCLSGFRMVAPEYLPAIYPVCAGLVSLNLSYAMTTAEQLKPVILRCHNLQTFWVLDTVGDEGLRAAAKTCKHLRELRVFPFEATEDSESAVSDAGLVAISEGCQKLRSILYFCQRMTNAAVVTMSKNCQDLVVFRLCIMGRHRPDHITGEPMDEGFGAIVMNCKKLTRLAVSGLLTDKVFEYIAKYGKLVRTLSVAFAGNSDLSLRYVLEGCHKLQKLEIRDSPFGDAGLLSGIHHYYNMRFLWMNSCKLSLRGCKEVAQRLPRLVVEVFGDQNKELDGDAVEKLYLYRSLVGPRDDVPSFVKIL >Ma07_p20470.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28352500:28357424:-1 gene:Ma07_g20470 transcript:Ma07_t20470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDRWRGGSEETTKGSSFDSFWLVMARQGSKKSSLRALWSPVGPRLLITTMEVIHCSVLASGFLMVLLLLFRLSHSSVDGFSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVALQKYVSAADGGGGNVTIDRDVASSWETFKLWRVSEVEFQFSCFGGQFLTSNNGGSSISATADSPAMNETFYIERNNTKIHIKLLNGNYVQATVNNQLNGNHQGDLGWDDNEATFEMTVVANNLHGDFQLANGFGHEKAQEVLTNHRNNFITAEDFAFLSRHGINTVRIPVGWWIAKDPEPPAPFIGGSLAALDRAFSWAKTHDLKCIIDLHAAPGSQNGMEHSASRDGSVDWPTPDYISQTLDVIDFLSARYANHPALLGIELLNEPSAAAVPFDVLVSYYTRGYHIVRNYSSTVYVIVCQRIGNADPMELYQANIGVSNIVVDLHYYNLFDPYFSNMNATENIQYIYDKRVPQLQSLNSANGPLVYVEMTSFSRLAF >Ma07_p20470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28351784:28357424:-1 gene:Ma07_g20470 transcript:Ma07_t20470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIHCSVLASGFLMVLLLLFRLSHSSVDGFSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVALQKYVSAADGGGGNVTIDRDVASSWETFKLWRVSEVEFQFSCFGGQFLTSNNGGSSISATADSPAMNETFYIERNNTKIHIKLLNGNYVQATVNNQLNGNHQGDLGWDDNEATFEMTVVANNLHGDFQLANGFGHEKAQEVLTNHRNNFITAEDFAFLSRHGINTVRIPVGWWIAKDPEPPAPFIGGSLAALDRAFSWAKTHDLKCIIDLHAAPGSQNGMEHSASRDGSVDWPTPDYISQTLDVIDFLSARYANHPALLGIELLNEPSAAAVPFDVLVSYYTRGYHIVRNYSSTVYVIVCQRIGNADPMELYQANIGVSNIVVDLHYYNLFDPYFSNMNATENIQYIYDKRVPQLQSLNSANGPLVYVGEWVNEWNVTNASQSQYQMFGMAQLDAFDDASFGWSYWTLKNDRMHWDFEWNIKNHYLLFGSSSIKKPNSLLFLSLVCAVTLLNYLV >Ma07_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28351784:28357424:-1 gene:Ma07_g20470 transcript:Ma07_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDRWRGGSEETTKGSSFDSFWLVMARQGSKKSSLRALWSPVGPRLLITTMEVIHCSVLASGFLMVLLLLFRLSHSSVDGFSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVALQKYVSAADGGGGNVTIDRDVASSWETFKLWRVSEVEFQFSCFGGQFLTSNNGGSSISATADSPAMNETFYIERNNTKIHIKLLNGNYVQATVNNQLNGNHQGDLGWDDNEATFEMTVVANNLHGDFQLANGFGHEKAQEVLTNHRNNFITAEDFAFLSRHGINTVRIPVGWWIAKDPEPPAPFIGGSLAALDRAFSWAKTHDLKCIIDLHAAPGSQNGMEHSASRDGSVDWPTPDYISQTLDVIDFLSARYANHPALLGIELLNEPSAAAVPFDVLVSYYTRGYHIVRNYSSTVYVIVCQRIGNADPMELYQANIGVSNIVVDLHYYNLFDPYFSNMNATENIQYIYDKRVPQLQSLNSANGPLVYVGEWVNEWNVTNASQSQYQMFGMAQLDAFDDASFGWSYWTLKNDRMHWDFEWNIKNHYLLFGSSSIKKPNSLLFLSLVCAVTLLNYLV >Ma07_p20470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28351784:28357424:-1 gene:Ma07_g20470 transcript:Ma07_t20470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIHCSVLASGFLMVLLLLFRLSHSSVDGFSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVALQKYVSAADGGGGNVTIDRDVASSWETFKLWRVSEVEFQFSCFGGQFLTSNNGGSSISATADSPAMNETFYIERNNTKIHIKLLNGNYVQATVNNQLNGNHQGDLGWDDNEATFEMTVVANNLHGDFQLANGFGHEKAQEVLTNHRNNFITAEDFAFLSRHGINTVRIPVGWWIAKDPEPPAPFIGGSLAALDRAFSWAKTHDLKCIIDLHAAPGSQNGMEHSASRDGSVDWPTPDYISQTLDVIDFLSARYANHPALLGIELLNEPSAAAVPFDVLVSYYTRGYHIVRNYSSTVYVIVCQRIGNADPMELYQANIGVSNIVVDLHYYNLFDPYFSNMNATENIQYIYDKRVPQLQSLNSANGPLVYVGEWVNEWNVTNASQSQYQMFGMAQLDAFDDASFGWSYWTLKNDRMHWDFEWNIKNHYLLFGSSSIKKPNSLLFLSLVCAVTLLNYLV >Ma07_p20470.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28351784:28357424:-1 gene:Ma07_g20470 transcript:Ma07_t20470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIHCSVLASGFLMVLLLLFRLSHSSVDGFSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVALQKYVSAADGGGGNVTIDRDVASSWETFKLWRVSEVEFQFSCFGGQFLTSNNGGSSISATADSPAMNETFYIERNNTKIHIKLLNGNYVQATVNNQLNGNHQGDLGWDDNEATFEMTVVANNLHGDFQLANGFGHEKAQEVLTNHRNNFITAEDFAFLSRHGINTVRIPVGWWIAKDPEPPAPFIGGSLAALDRAFSWAKTHDLKCIIDLHAAPGSQNGMEHSASRDGSVDWPTPDYISQTLDVIDFLSARYANHPALLGIELLNEPSAAAVPFDVLVSYYTRGYHIVRNYSSTVYVIVCQRIGNADPMELYQANIGVSNIVVDLHYYNLFDPYFSNMNATENIQYIYDKRVPQLQSLNSANGPLVYVGEWVNEWNVTNASQSQYQMFGMAQLDAFDDASFGWSYWTLKNDRMHWDFEWNIKNHYLLFGSSSIKKPNSLLFLSLVCAVTLLNYLV >Ma07_p20470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28351784:28357424:-1 gene:Ma07_g20470 transcript:Ma07_t20470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIHCSVLASGFLMVLLLLFRLSHSSVDGFSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVALQKYVSAADGGGGNVTIDRDVASSWETFKLWRVSEVEFQFSCFGGQFLTSNNGGSSISATADSPAMNETFYIERNNTKIHIKLLNGNYVQATVNNQLNGNHQGDLGWDDNEATFEMTVVANNLHGDFQLANGFGHEKAQEVLTNHRNNFITAEDFAFLSRHGINTVRIPVGWWIAKDPEPPAPFIGGSLAALDRAFSWAKTHDLKCIIDLHAAPGSQNGMEHSASRDGSVDWPTPDYISQTLDVIDFLSARYANHPALLGIELLNEPSAAAVPFDVLVSYYTRGYHIVRNYSSTVYVIVCQRIGNADPMELYQANIGVSNIVVDLHYYNLFDPYFSNMNATENIQYIYDKRVPQLQSLNSANGPLVYVGEWVNEWNVTNASQSQYQMFGMAQLDAFDDASFGWSYWTLKNDRMHWDFEWNIKNHYLLFGSSSIKKPNSLLFLSLVCAVTLLNYLV >Ma07_p20470.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28351784:28357424:-1 gene:Ma07_g20470 transcript:Ma07_t20470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIHCSVLASGFLMVLLLLFRLSHSSVDGFSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVALQKYVSAADGGGGNVTIDRDVASSWETFKLWRVSEVEFQFSCFGGQFLTSNNGGSSISATADSPAMNETFYIERNNTKIHIKLLNGNYVQATVNNQLNGNHQGDLGWDDNEATFEMTVVANNLHGDFQLANGFGHEKAQEVLTNHRNNFITAEDFAFLSRHGINTVRIPVGWWIAKDPEPPAPFIGGSLAALDRAFSWAKTHDLKCIIDLHAAPGSQNGMEHSASRDGSVDWPTPDYISQTLDVIDFLSARYANHPALLGIELLNEPSAAAVPFDVLVSYYTRGYHIVRNYSSTVYVIVCQRIGNADPMELYQANIGVSNIVVDLHYYNLFDPYFSNMNATENIQYIYDKRVPQLQSLNSANGPLVYVGEWVNEWNVTNASQSQYQMFGMAQLDAFDDASFGWSYWTLKNDRMHWDFEWNIKNHYLLFGSSSIKKPNSLLFLSLVCAVTLLNYLV >Ma02_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22576405:22580165:-1 gene:Ma02_g14550 transcript:Ma02_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPSTAAMMSAIYEESKDEDGFLYMTYSGENTFGSM >Ma06_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23157018:23178111:1 gene:Ma06_g24650 transcript:Ma06_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MRPNVVTEARLPTRLHQWWATVPFITSGVIITCGVIYLVCLLVGYDSFYEICFLPSAVVSQFQVYRIYTSALFHGSLLHVLFNMLALVPLGMELERIMGSVRLLYLMLFLATTNSIFHLIIAVVVAGNPLHPLPFLMNQCSIGFSGIIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQLLASNVSLLGHLCGILSGFAYTYGFFNYLLPGPSVYSAIESFSILSLCVRRPGFILCTGGTTYGQLPTHSSTATMSNGLSSGNLWRNISSWMPQRAASTAQDPRFPGRGRTLGSTGNQSLTPADSDLSLHARLLDNSTNRPLETTTLSTETWLPDARHSTLDTEAVAGLVTTNQGVDIFEEELKKLVAMGFEKTEAEVALAAADGDPSVAIEILMSHQQQG >Ma06_p24650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23157018:23178111:1 gene:Ma06_g24650 transcript:Ma06_t24650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MRPNVVTEARLPTRLHQWWATVPFITSGVIITCGVIYLVCLLVGYDSFYEICFLPSAVVSQFQVYRIYTSALFHGSLLHVLFNMLALVPLGMELERIMGSVRLLYLMLFLATTNSIFHLIIAVVVAGNPLHPLPFLMNQCSIGFSGIIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQLLASNVSLLGHLCGILSGFAYTYGFFNYLLPGPSVYSAIESFSILSLCVRRPGFILCTGGTTYGQLPTHSSTATMSNGLSSGNLWRNISSWMPQRAASTAQPAQDPRFPGRGRTLGSTGNQSLTPADSDLSLHARLLDNSTNRPLETTTLSTETWLPDARHSTLDTEAVAGLVTTNQGVDIFEEELKKLVAMGFEKTEAEVALAAADGDPSVAIEILMSHQQQG >Ma02_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14437821:14456423:1 gene:Ma02_g03080 transcript:Ma02_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKEFSFPQQEESILRFWSSIRAFETQLKRTELMPEYIFYDGPPFATGLPHYGHILAGTIKDVVTRYQSMNGHHVTRRFGWDCHGLPVEFEIDTKLGIRTRDDVLAMGIANYNEECRAIVTRYVNEWEEVITRTGRWIDFKNDYKTMDLKFMESVWWVFAQLWKKELVYRGFKVMPYSTGCKTPLSNFEAGLNYKDVSDPAIMVSFPIVGDPHNAALVAWTTTPWTLPSNLALCVNADFVYVKVREKSSGATYVVAESRLSQLPSKKSKSESGGAVVSAQDASLPNSKSKGTTNSKAKSGVDAGSYQLMEKITGASLVGLRYVPLFDYFMNCSETAFRVVSDNYVTDDSGTGVVHCAPAFGEDDYRVCVASGIMKDVDLFVPVDADGCFTKEITDFCGRYVKDADKDIINAVKVKGRLVSNGSIMHSYPFCWRSETPLLYRAVPSWFVAVEKIKSQLLESNKQTYWVPEYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWTSEDGKEKRVIGSIEELETLSGAKVTDLHRHNIDHITIPSEHGSEFGVLRRVDDVFDCWFESGSMPYAYIHYPFENVEVFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFEKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPTEVINDYGADALRLYLINSPVVRAEPLRFKKDGVYGVVKDVFLPWYNAYRFLVQNAKRLEVEGFAPFVPIDCETLQNSSNVLDQWINSATESLVHFVRQEMDSYRLYTVVPYLLKFIDNLTNIYVRFNRKRLKGRTGEEDCRISLSTLYNVLLTTCKVMSPFTPFFTEVLYQNLRKVSVGSEESIHYCSFPSATGKREERIERSVMRMMTVIDLARNIRERHSKPLKTPLKEMIVVHPDSDFLEDITGKLREYVLEELNIKSVVPCNDPLRYASLRAEPDFSILGKRLGKAMGAVAKEVKSMSQADILLFEQTGEATFSGHCLKQNDIKVLREFKRPADVTEKEIDAAGDGDVLVILDLRADESLFDAGVAREVVNRIQKLRKKAGLEPTDIVELYYESLEKDEKILEKIVNSQGEYIKDALGSPLLHKSWAPSEAVIFCQEEFQVQFSHEEITSLSFIIIIAKPALVFNAEAIVALYSGNNKYAENLQTYLSSRDLYNLKSEFQAGNGKIKVDCIENQPSVDLELGKHLFLSVADFYLSRKTG >Ma02_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16710730:16713984:-1 gene:Ma02_g05380 transcript:Ma02_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSIIPLVSGTLHPPATEPVRQQEPPAQAQAQPLELPTSPRVPSSPLTDRVTTNRRGHTQPEAFPSASMDSLRAQLLLVGQRLDEVQKEVRRSRGELGEDIHQGSPFVPEIRDQTVPQNFRLPSLDTYDGSTDPADHIAAFRTQMTLYGTSDALMCRAFPTTLRGPARTWYGSLRTGTIASFDQLARDFELHFLASARPKPFVALLLELHQREDESLSHFVNCFATQIWGLSDAHPSLLMHAFMTGLRPSRFFWSLIERPPSAVPEMLQRANQFIAAEAWMAGKQEKHTRVRPEQVRGQQPATTRRRLDQSDLPAPRPPLPPLGTSRTEIFLQIKERGLLRAPVPMKNPRELADQSKHCSFHRQNGHDTEDCRELKRQIEELVRAGHLSRYIRRNGESSPLSEGPVERHIDVISGGPAVGGTSMSGRKAYARSARIDAPQRGPDPKLAFPLEDVKPPEHDDALVIMARITNAQVQRIMIDTGSSADVLYLDAFQKLGLTKESLKPIYSALMGFTGDSVSPLGTVTLPLTLGASPRTKTVMPTFLVVDLPATYNAILGRPTLNKIIAVVSTYHQMVKFPTPAGTGEVWGSPRESRRCYLTVVSLHKKARTDQPLEDPREEKRPTPHPEPMAPIRDVRNHSCAACSPEFSSSAVAACVLFDLKLAKLIDIKNVPSSLYQALAA >Ma06_p38190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37057742:37059798:1 gene:Ma06_g38190 transcript:Ma06_t38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRDDVIVAMIPSDVVDYKILPRLPYKSLSRFKCICKKWHHLISHDVIFAHEQSCHGSPISFGCVYQYKHSINFIPIDTPGELNVCITSSSFFSLPDGTERIRITAAVNGLLLLFVQRKRDEQNDSMCSTNYQDAICEFHYVWNFVTKEGHIIPENDHRGWFVGLAFDPSITPACYRLVNLVQQQKGLQEEFSFEIYSSRTRKWTMSNHKIMIPRGKRISWDIFCAGRIIYWNCNPYALWFDVDEDVGGYTLLPQAENSGSQHVLGVTDDGVLTSTRFSKNDTITIWMMGKDGDWIKKFYLEKQSYMKISVKKQIQQLRLELLGG >Ma06_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4597106:4597450:-1 gene:Ma06_g06310 transcript:Ma06_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQRSVTTFRRSGSSGMVWDENFLPGDLSRIKKKEEEGVAGFKEETMRHSKSMGSTGNMGRRSSNGGSRQVVFPAGFVSPAADPPSPDVPRCLCCGFFSQNRSANRFKPRRR >Ma06_p37420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36457172:36464428:1 gene:Ma06_g37420 transcript:Ma06_t37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKAVLGALSAVLVAAVIGAASDDSLVASSKSVTDICASTDYADVCERTLNAAINGSASPKEIIQASFMAAIREIEAASHLSNNVSLKATDSMNKDGFDICRRLFEDATEELQAAFSETHDLDGLARRTDDIKCWLSAVISYQQTCLDGITQTDLHSTMKDGLVTASQVTSNAIAIVDGLSSLFKNFQVPINVTNIASRRLLSQGSDAKGYPTWFSAHDRKLLAVNARPLVIQNCCIVLDKRLFPDRLKIPSYLGRPWKAYSRTIIMDSTIGDLIKPEGWLPWDGDQFLKTLFYAEYGNRGPGAVTSGRVNWPGFHVINRQTAQAYTMRMKGIEAKGQVVVGITSRGEVIKLEDMVLWGGIAIVLDGVAIVSIADALDELIEGDVLSIVLIDVSSGLWWPSRLSWSISSISTIEKDRWSN >Ma06_p30250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31564724:31568212:1 gene:Ma06_g30250 transcript:Ma06_t30250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRYLFTVIFWVVHIIHVARRCPALSSDGVSLLAFKAAVSDDPWAFLAGWNEEDEDPCRWPGVSCVNFTGFIHPRVVGVSVSGKNLTGYIPPELGALPFLRRLNLHGNRLSGAIPPQLFNAYSLRSLFLYDNFLSGPFPAAACVVPRLQILDLSQNAFSGPLPLALRGCRQLQHLLLEENRFSGEIPTGVWAEMSKLVQLDLSSNEFEGPIPPDLGELDSLGGTLNLSRNRLSGAIPSELGNLPSTVILDLRYNNLSGEIPGAGSLANQYPTAFLDNPGLCGFPLTITCEGPVGAPSAAEEEEGRRGMKAGLIAVISVADAAGVALVGLVLVCAYWKMKNQQKGRAKLGHGGAGLGRRWCLFPCGAAMAEKKDGTSSSEEEDDQGGGVEGELVAMDKGFKVELEELLRASAYVLGKGGKGIVYKVVVGDGMAVAVRRLGEGVGGKYKEFAAEVRAMGRVRHPNVVRLRAFYWAPDEKLLITDFISNGNLAAVLRGRSGQPNLSWAVRLRIARGAARGLAHLHDCSPRKLVHGDLKPSNILLDADFNPYISDFGLLRLLPLTSSAATNPTSAPSYSSTAGLFGPVPSSVQPTLLDRPNPYRAPESRATGHPTHKSDVYSFGVVLLEILTGKQPEMPSSSAEQVAGLVKWVRKGFEEARPPSELVDPALLQDVRAREEVVAAFHVALACTETDPDVRPRMKAASEDLDRIGSGRK >Ma06_p30250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31563941:31568212:1 gene:Ma06_g30250 transcript:Ma06_t30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRYLFTVIFWVVHIIHVARRCPALSSDGVSLLAFKAAVSDDPWAFLAGWNEEDEDPCRWPGVSCVNFTGFIHPRVVGVSVSGKNLTGYIPPELGALPFLRRLNLHGNRLSGAIPPQLFNAYSLRSLFLYDNFLSGPFPAAACVVPRLQILDLSQNAFSGPLPLALRGCRQLQHLLLEENRFSGEIPTGVWAEMSKLVQLDLSSNEFEGPIPPDLGELDSLGGTLNLSRNRLSGAIPSELGNLPSTVILDLRYNNLSGEIPGAGSLANQYPTAFLDNPGLCGFPLTITCEGPVGAPSAAEEEEGRRGMKAGLIAVISVADAAGVALVGLVLVCAYWKMKNQQKGRAKLGHGGAGLGRRWCLFPCGAAMAEKKDGTSSSEEEDDQGGGVEGELVAMDKGFKVELEELLRASAYVLGKGGKGIVYKVVVGDGMAVAVRRLGEGVGGKYKEFAAEVRAMGRVRHPNVVRLRAFYWAPDEKLLITDFISNGNLAAVLRGRSGQPNLSWAVRLRIARGAARGLAHLHDCSPRKLVHGDLKPSNILLDADFNPYISDFGLLRLLPLTSSAATNPTSAPSYSSTAGLFGPVPSSVQPTLLDRPNPYRAPESRATGHPTHKSDVYSFGVVLLEILTGKQPEMPSSSAEQVAGLVKWVRKGFEEARPPSELVDPALLQDVRAREEVVAAFHVALACTETDPDVRPRMKAASEDLDRIGSGRK >Ma08_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32622186:32623486:1 gene:Ma08_g18850 transcript:Ma08_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRDRRLPHLNLTLELPDTGATADCRLRFALPPLLPPCSMSSAFSSASASASAATPPSAEFRFSDFEKIRVLGHGNGGTVYKVRHRRTAALYALKVVNTDAADVSLRRQVYREVDILRRAVDSDHVIRFHAVVPTSSGDVALLLEHMDGGSLDVLLRRRGRPFPEPALAAIARQALLGLAELHSRQIVHRDIKPANLLINAAGEVKIADFGVGKVLRRSLDPCDSYVGTCAYMSPERFDPVSHGGDYDPYAADVWSLGLAVLELHRGHFPLLPEGARPDWAALMVAICFGEAACAVPEGAASGEFRGFIECCLQKESGKRWSVAELLGHPFVAGADRVDSERALRDLLLEGSDES >Ma08_p25350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38233404:38235160:-1 gene:Ma08_g25350 transcript:Ma08_t25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMESSSEVTAEGEECDSSQSGWTMYLATPMHDGGQVVADGEHDDDDSLASDASSGSVTHGSEVTDQLEVDEEETCSQYPSRE >Ma03_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1868294:1872490:1 gene:Ma03_g02730 transcript:Ma03_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSSSSSSSANFNPGDLCSDPPPPLRLSREQHELCSEALAFFKRRLRTPAKIAQAFDRLQEMRLTKDEMMRKCSVALRDANLVKNRYMDVLPFDNNRIILDSTKGNTSSANGYINASFIGIGTGEKVSRFIATQGPLPETSGDFWEMVFQHRCPVIVMLTLVDNPKMMRKCADYFQADDGLRGFGKISVETKYTRICASSLVLRCLEVKHKELVKPTLPVLHIQYPEWPDHGVPADTASVREILKRIYHVPPSIGPIVVHCSAGIGRTGAYCTIHNTIQRVLVGDMSSLDLVRTVAEFRSQRIGMVQTVVSYLSFFLLFCYLFKFINIQGWAT >Ma03_p02730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1868294:1873822:1 gene:Ma03_g02730 transcript:Ma03_t02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSSSSSSSANFNPGDLCSDPPPPLRLSREQHELCSEALAFFKRRLRTPAKIAQAFDRLQEMRLTKDEMMRKCSVALRDANLVKNRYMDVLPFDNNRIILDSTKGNTSSANGYINASFIGIGTGEKVSRFIATQGPLPETSGDFWEMVFQHRCPVIVMLTLVDNPKMMRKCADYFQADDGLRGFGKISVETKYTRICASSLVLRCLEVKHKELVKPTLPVLHIQYPEWPDHGVPADTASVREILKRIYHVPPSIGPIVVHCSAGIGRTGAYCTIHNTIQRVLVGDMSSLDLVRTVAEFRSQRIGMVQTVEQYFFCYAAIVDELEELVSKSKY >Ma02_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20668117:20670506:1 gene:Ma02_g11570 transcript:Ma02_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRARGLCRLTPHRAFESPRAFSIQSPDSSRLQLCPEIVESTVSSCPSDTIALSFFLWCARQPNYFHDSCSFDRMIPVVLRLTDRFGSVREIVREQQAVGCSVKAQTFMVLIRVCWRGNFYGRALEAFDEMIKQNYVPNTYARNMVLDILFKVHYFDMALKFFRDTRFPNFISYNIVLSNLCKSSDWLGARDVIREMVKKGFHLNTGSFSVVLDCFCKAGRHMELLQLLALMIVSGKQLTVAIWTILIESLCQAGQVDRASTLLGKMVEHGCSPTVMTYTSLIRGLFQAQKFNEVSMLLETMISNKCSPDLVFYNVLIDCFSKARRFDDAIDVFLCLGDSRLHPDAYTMSSLICTLCSSGKLRLLPKLIAGSDVTADLVACNSLINALCKAGFPFQAVDAFVNMVNRGFSPDDYSYAGLLNGLCMSGSIENAVNVYNAIVVNNPNVDAYVHTVILNGLVKRRKYHMAIRLIRKAALQNYCLDVVSYTIAINGLFRGHRFDEAWHLFEQMKHFDIVPNLYTYNVMLSGSCLARNMGAVKQLLKEMEITGVDMDHTSFNVIICLLIKLHRYNSALLLCRRMCDLGMVPNRITCSLLLNGLVNISVEELYDLYPKLAYDFKNPYFMDNSPSDLQSDLLVCSAN >Ma11_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10022450:10035231:1 gene:Ma11_g10580 transcript:Ma11_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGGGGGGGDEPEELSDKQKAEIAKWFLANAPAGEIQYVAKDVRSILGDDKIYEMAAAEAFPLHNKAHLLALEMPDRSGDVLITTYGELDKINYFDPRTAQVATVDHVKQVCTNVRPANDDELPSPYIEDFRSTLDAELSKYVGETYPKGVCAVYCVSGKDAEGPGADFEFVVVISAVKHSPQNFCNGIWQSIWNIEFKDDLQFVEIKGKIQVGAHYFEEGNVQLDAKLECKDSTIFQSPEDSAVSITNIVRHHETEYLASLEASYVNLPDTTFKDLRRKLPVTRTLFPWQNTMQFSLTRDITKEMGIGK >Ma11_p10580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10022450:10035229:1 gene:Ma11_g10580 transcript:Ma11_t10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGGGGGGGDEPEELSDKQKAEIAKWFLANAPAGEIQYVAKDVRSILGDDKIYEMAAAEAFPLHNKAHLLALEMPDRSGDVLITTYGELDKINYFDPRTAQVATVDHVKQVCTNVRPANDDELPSPYIEDFRSTLDAELSKYVGETYPKGVCAVYCVSGKDAEGPGADFEFVVVISAVKHSPQNFCNGIWQSIWNIEFKDDLQFVEIKGKIQVGAHYFEEGNVQLDAKLECKDSTIFQSPEDSAVSITNIVRHHETEYLASLEASYVNLPDTTFKDLRRKLPVTRTLFPWQNTMQFSLTRDITKEMGIGK >Ma07_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1165756:1166700:1 gene:Ma07_g01480 transcript:Ma07_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPALLLSGRIAIVTGASRGIGRSIASHLASLGASLIIGYASSSAAADRLAAELNSSTSSSTNQKPRAVAVRVDVSDPDNVKSLFDAAESAFGGPAHILVACAGVLDDKYPTVSATAVEDWDATFAVNTRGAFLCCREAANRLARGGGGRIVCITSSTTASLRPGFGAYVASKAAVEAMVKVMAKELKGTAITANCVAPGPVATDMFFAGKSEELVRRIVEENPMGRLGETEDIAPVVGFLCTDAGQWVNGQVIRVNGGYI >Ma06_p13950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9559025:9562710:-1 gene:Ma06_g13950 transcript:Ma06_t13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTPVAIQNENFHIHIGKDVDKVKGVLPKPAKSGRPDRKALKDLSNTGKPPASRPVKVLALKEKSAPRGRETIKNAPKSTSLTDEEIKRCHQWAKEGIEQAHFTGNDIQRLEKDINEERINKKVLKVVSDLHEWLSTSYDLGLPEKELSKDITDVKTMELETELLPCITKSPSPGYEEVGNLLESEVDHLQFLERPIELELKEED >Ma06_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9559025:9562710:-1 gene:Ma06_g13950 transcript:Ma06_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTPVAIQNENFHIHIGKDVDKVKGVLPKPAKSGRPDRKALKDLSNTGKPPASRPVKVLALKEKSAPRGRETIKNAPKSTSLTDEEIKRCHQWAKEGIEQAHFTGNDIQRLEKDINEERINKKVLKVVSDLHEWLSTSYDLGLPEKELSKDITDVKTMELETELLPCITKSPSPGLFPFLPSYPVMHIRYEEVGNLLESEVDHLQFLERPIELELKEED >Ma09_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5561727:5563303:1 gene:Ma09_g08410 transcript:Ma09_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVEEEQKLLYFAWLIQNGIKLGLGDIGLTLEGYFVCEISFAQELSTTAEDALMF >Ma09_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26561395:26562078:1 gene:Ma09_g19840 transcript:Ma09_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPWELADHSKYCRFHRQHGHDTEQCYELKRQIEEFILRGHLGQYLRPHKEQSPRPEGPVERHIDVIAGGPASGGVSMLGRKAYARAAPDEASEHEPEPEITFPTGAAERPDHDDALVISARVANAQIRRIMVDTGSSADILYFDAFQKLGLARENLSPMCSALTGFTGDSISPLGAITLPLTLGTPPRSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYY >Ma01_p23130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26591339:26600845:-1 gene:Ma01_g23130 transcript:Ma01_t23130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTTATVASSSSYVASISRVLCRDTGSQSRHAMAAKCCSFSSYIARCVPQPPHALGSGSPMPNGGRGRNEAVACSMASPIIPVLRSRQVLCKAEANASGDFPNNPVAEMSQYENIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPLLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIVGVLSHEYFPKFTERIITLTPLIGVILTTLLCASPIGQVAVVLKAQGAQLIIPVALLHAAAFALGYWCSRLSSFGESTSRTISIECGMQLGGSALAVFWRNRPIPVDDKDDFKE >Ma01_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26591339:26600678:-1 gene:Ma01_g23130 transcript:Ma01_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGGRGRNEAVACSMASPIIPVLRSRQVLCKAEANASGDFPNNPVAEMSQYENIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPLLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIVGVLSHEYFPKFTERIITLTPLIGVILTTLLCASPIGQVAVVLKAQGAQLIIPVALLHAAAFALGYWCSRLSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVFMALGGSALAVFWRNRPIPVDDKDDFKE >Ma01_p23130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26591339:26600845:-1 gene:Ma01_g23130 transcript:Ma01_t23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTTATVASSSSYVASISRVLCRDTGSQSRHAMAAKCCSFSSYIARCVPQPPHALGSGSPMPNGGRGRNEAVACSMASPIIPVLRSRQVLCKAEANASGDFPNNPVAEMSQYENIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPLLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIVGVLSHEYFPKFTERIITLTPLIGVILTTLLCASPIGQVAVVLKAQGAQLIIPVALLHAAAFALGYWCSRLSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVFMALGGSALAVFWRNRPIPVDDKDDFKE >Ma08_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32788445:32790827:-1 gene:Ma08_g19010 transcript:Ma08_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMNSGRGGGGGGGGGAKGTVEEGELSLPILLAERVATAAREAESFKSECTDAGKKADHLAGLLRSVARVAASAPAPSYDRPVRRILAESSKTLDRVLALVRRCRRAGLLRRVVTITTGSTDLRKALALLDASAADLRWLLSIYSDEDGGGGGFAISLPPIASTDPILAWVWSYAATVQSPARSPADRAHAAQALASLALDNDRNKKIILEEGCVPPLLALLRDGPAVESQIAAAVALSNLANAADNVSVILSELAVPVIVHVLSDSRMRLQTQVAGLVSRLAAHHPYAQEEFARENAVRPLVSILSFEVPLDELRPAPKKPSSIHSLVKGMGVPSTGNSSLSGDGSSSSSRSSSLLRDYYHHHRKDRENESPEVKLALKVACAEALWMLAKGCLSNCRKITETKGLLCLSKIIELDKGELLHHCMMAMMEIAAAAEIDADLRRSAFKMNSPAAKSVVEQLIRVAQQGSSIVLQIAAIKAIGCLARTFPAKETKVLSPLVLQLGHWNPEVAAEAARALGKFAEPDNFLCVEHSKTIIEFAAVPALMRLHRSGEKAQLPAVILLSYLALHVPKSEALERAKVLGALQSVARSPLAQDPSLRELLPRAIYQLELYQVGLHADRQSYEV >Ma10_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15453580:15464262:-1 gene:Ma10_g04800 transcript:Ma10_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLAVGGGGAAVAPDPDGPDGVRMTWNSWPRSKVEASKCVVPVAASVAPIRSSPSLVVLPYSPLRCKPPCAAVLNPFARVDFAAKIWICPFCFSRNHFPPHYAGISEANVPGELYPQCTTVEYAPPPLDAAAPPLPPVFLFVLDTCLIEEELGFVKSAMRRAIGLLPDQALVGLITFGTQVHLHELGFADMSKIYVFRGTKEIPKEQILDQLGLSASGVRHGAVAGAPGYPKGPQANGFHPSTSVNRFLLPTSDCEYTLNSLLDELHMDQWPVEAGNRPLRCTGVALSVAAGLLGACSAGTGARIIALVGGPCTIGPGMIVSKDLSEPVRSHKDLDKDAAPHFHKAVKFYDNLAKQLVNQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHSVFKDSFKQIFEDGEQSLGLSFNGTLEINCSKDIKIQGIIGPCTSLEKKGAVCADTVVGQGNTTSWKMCGLDRSTCLTVFFDISPSERSNQPGIPNPQLYVQFLTNYQNPEGQMRLRVTTITRTWVDGSNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGNYRKDDPSSFTLHPNFSILPQFIFNLRRSQFVQVFNNSPDETAYFRMLLNRESVSNSVVMIQPSLISYSFNSPPTPALLDVASISADRILLLDSYFSIVIFHGMTIAQWRNMGYQNQPEHQAFALLLQAPNDDAQMIIRERFPVPRLVICDQHGSQARFLLAKLNPSATYNSAQEVVPGSDVIFTDDVSLRVFCEHLQRLAVQS >Ma08_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29600880:29601456:-1 gene:Ma08_g18280 transcript:Ma08_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYSWLLQTNLDPDLVYEYSLLLASNEVEEDDVAHFDHEFLQSMGISIAKHRLEMLKLAKRGKTKSSSRPVSLLLVAVTKTKNCIARYFRSFINHDASPIVVVPRSSYFDGGGPKSDMLKRNKRMSRIKQGRVTLYMAPQAMAYKESKDRKEDSHRGSSGEETRWESMFQDLKPT >Ma11_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26241304:26247159:1 gene:Ma11_g22480 transcript:Ma11_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MPFASSSLRPRFLRREADSDEESETSSSGGEDDLEEDFPEEAQVEEDTADEVAPGKRKKPPPITISLKRVCKVCKQSGHEAGFRGATYIDCPRKPCFLCKTPGHTTMSCPHRVAMEHGVIPAPARNTKTPLDYIFMRQLKGKIPKIKPVYVIPDQVDCGLIRFHSRRVTCLEFHPTKSNILLSGDKKGQLGIWNYDKQHERTIYGSIHSCIVNNIKFSLGNDAMAYTSSSDGTVSCTDMETGISTLLLDLNPDGWNGPASWRMLYGMDVNTEKGVLLVADNFGYLYLLDVRSNVKIGEPILVHKKGSKVVGLHCNPVQPDVLLSCGNDHFARIWDARRLGTESCLASLAHGRVVNSAYFSPLTGNKILTTSQDNRIRVWDSIFGNLEYPSREIVHSHDFNRHLTPFRAEWDPKDPSESLVVIGRYISENYDGVALHPIDFIDTSTGKLVAEVIDTDVTTISPVNKLHPQDHILATGSSRTLLIWKARQEDWEAKKQPEKRPKQFIFRRNEKKSNGKFDSDSGGDSDYDSNGKKRKAKKCQGKYKKLVC >Ma04_p27820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28985342:28993225:-1 gene:Ma04_g27820 transcript:Ma04_t27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSESRRLYSWWWNSHISPKNSKWLQENLADMDGKVKAMIRIIEEDADSFAKRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHQTMAVVFPNQIPLEISDESPSGFPAAEVEPVSSEMLMELIDANGSRLDVSGVPSFYDAMKMSGAFPEVIDAAFAEVIMTKGFNSQEDDGKDSELKLLREENSRLSQENLDFKNQIKLESVRANGAETEVQQLKEAFSKENEDALCRYHESVARASYLETEISCIQEDLKKLNDEMLIQTERLTSAEEQRIVLEKANQSLELELDMLKQKTREQQEEISIKGEELAKLEISLHDEHVKSMKNEIDFWSLEKQYTESLEEMRVALEKLKEMKLTKLSLEDEVLKIREENNRLNEQKLSSTLKIIDLQDEIILLRDLKGKLEDEVNHCGEQKEVIHLELCQLKEDRNNIQKRHQVLKEQIQAMSLEMESLQAMIKELMNENSDLKETIKKHELEEVLYLQNTEHMEKQYTESQEEVRGLHEKLKEMELTKWNLEEELQKIKKKNNRLHEQKLPSTLKIISLQDEIIFLKNLKGKLEDELKLCREEKDILHLELCQLKEDKNNLEERHHVLNNQTYAVTMEMETLQALMKELKNSNNDLKEIIKKHEHEKILYVQNMKHIQTMSERNAILETSLSDANDELKRLQEKLKASEDSCKNLQRMVSLNQTEKAVLISHMDTAAQNIEKLLNKNTFLQNSLSDVNAELDSLKEKLKSLDESCRSLHDQKSTLLSEKGTLVSQVESISWSLRNLENSYTELEDKCSNLEWEKASILHHVAELQQLLRQEKDKHTALIDSSKNQLSALEDQIYHLEKQGRQREEELEVEQHRIMNAQIEIFILQRCLCDMEEQSLSHSVGFQRHEENLRSAEKLIVELEQECLMNKKKMESLVEHNEKLREWILRIVKLLEIDLKYVSFDDVKDDFLLQLILCEVRHLLKSISEAYDEKQILILEKSVVVTLLEQFGLYVSDLRAEMMALDRKSKTRTEEFTALKDKNDEILQVNKQLREKLQASNQREELLNAEVDTLFRQLLQLQEAHCKLQSETSKMFEGNQFLSKKLHELRKTKEKLEEENSAMLAEIMALDYLSVALRNSSAERGLELQILSDERDYLCKARNDLVQEITQISEKVEVLELENKHLKVSFTKLEECRRYLLRLDNDLNMARNVINVCEQLNLQSHTGNNLVLEKDAESSQVKNNRDRNLKDLKINTNEAEIVREEMEKKISSSEGYGCKRNELACLHQANEIMKSEMDRMNEHADKLRIKEDNLTTELPKRTDETKSSEVEILSLLNDVQCATITATLFREKVIELILTCESIERSALLNRKVLHEELMHRDARVDELKEKVNALEGENGRLKEELNAYLSLLGNFWDDIAILEEQTLYLGKHHPSPTNQGKQEKQTRSAHQRSQELSQTCTARSPPGILELQKLQAKVKALQKLVRKTRSLLDLERLGTHAGLEAACREIEAVKSKDKLDTDMRKMKYERIMRDIQLDIVLNSSRHGSSNIHSHGANKRENASEASGQPLELWGTTSEGNCSNETQKSPPVIEELSAARHLIEEMEGNHPSSEPVSEKELGVDKLEVAKKAESPQEWSRRVMEMLRSDARRLMVLQATTQELHKNMEELEKINHPTRSDLDAIKLQLQEAESATSKLSAINSKLTEKAASFSEPPDQTGEKKDAENRSRRQISDRAEKLSEKIGRLELDLQKAQSTLQKIEEEHRSKKTRSVQKSGVLLKEYIYGKRDSVKKKKKRGACGCMRPKAKGD >Ma06_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10580493:10586113:-1 gene:Ma06_g15620 transcript:Ma06_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGTWISLLIAFGCLFGALNASAGDADSLYRNCVGQCEKTGDIGDQSIRHCQFQNSDVPLDSSWYMQEPLYLQWKQLNCRSDCRYYCMMQRENQQEKLGQHPVKYHGKWPFKRVFIFQEPLSAVLSAFNLLMHFIGWLTFFILLRYKLPVRPQSRRTYYEYSGLWHIYGVLSMNAWFWSAIFHTRDFDLTEKLDYSSAVAVLGYSLILCLLRVFDVKDEASRVMFAAPILAFVTTHILYLNFYEMDYGWNMKVCLLMGVSQLLMWAIWGGVTRHPSRFKLWAVVFGCSLAMLLEIYDFPPFHGYADAHALWHATTIPLTYLWWSFIKDDAKFRTSALVKKVK >Ma05_p31740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41536995:41541610:1 gene:Ma05_g31740 transcript:Ma05_t31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEAREEKELDLTSPDVVTKYKSAAEIVNKALQLVTSKCKPKAKIVDLCEQGDAFIREQAGNIYKNVKRKIERGVAFPTCISVNNTVCHFSPLASDDAVLEENDIVKIDMGCHIDGFIAVVGHTHVIQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVIISVTNPETRVDEFEFEENEVYAIDVVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKSSRFIFSEISQKFPIMPFSARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHPLQQLLPSKMIDDNAEIKAWMALGTKTKKKGGGKKKKGKKGDAQDDAVESEPKDSASNSTAA >Ma05_p31740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41536989:41541610:1 gene:Ma05_g31740 transcript:Ma05_t31740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEAREEKELDLTSPDVVTKYKSAAEIVNKALQLVTSKCKPKAKIVDLCEQGDAFIREQAGNIYKNVKRKIERGVAFPTCISVNNTVCHFSPLASDDAVLEENDIVKIDMGCHIDGFIAVVGHTHVIQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVIISVTNPETRVDEFEFEENEVYAIDVVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKSSRFIFSEISQKFPIMPFSARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHPLQQLLPSKMIDDNAEIKAWMALGTKTKKKGGGKKKKGKKGDAQDDAVESEPKDSASNSTAA >Ma07_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8901923:8908137:-1 gene:Ma07_g11990 transcript:Ma07_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESPTAERKVVVHLRATGDAPILKQAKFKISGSDKFSKVIEFLRRQLHRDTLFVYINSAFSPNPDELVIDLYNNFGFDGKLVVNYASSMAWG >Ma07_p11990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8901923:8907858:-1 gene:Ma07_g11990 transcript:Ma07_t11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTMTYLLPCYITELGVLSKNIFQISGSDKFSKVIEFLRRQLHRDTLFVYINSAFSPNPDELVIDLYNNFGFDGKLVVNYASSMAWG >Ma03_p24550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28844880:28853867:1 gene:Ma03_g24550 transcript:Ma03_t24550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGLGNQQQEDTEEQRCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEIDSLPSYRSLPPQLVCQLHNVTMHADVESDEVYAQMTLQPLNPEEQKEPYLPTELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIAMDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLIAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGIGDLDPVHWPNSHWRSVKVGWDESTAGERQPKVSLWEIEPLTTFPMYPSPFPFRLKRPWPPGLPSLHGGKHDDFSLSSPVTWFRNGGNPGLQSLNFQGTGVTPWTQPRFDTTILGLPPDMYLTMAADGIQETRTMDPTKQVSSAMLQFQQIQNTASMYSPMPPSQLLHHGQSQLPQAFLQNIQLNQVQSQSQSEFFQHQLQQVYPFGKQNQQQILQQQQALQHQETQQQKFLSDHQQVPSEASVFSQPISEPQSQSATMQNISLISQSRSFSDSNTNCVSTSDASPLHNILSQFSPGEASHLLSWPRTNQLVTSGPRPSKQVAVDSILPSGAQCMIPRVEQLGVSEPNISQRSVMLPPFPGRECAVNQDGNMDARNQLLFGVNIDSSSLLMQNGMTSLCNVGRESDSTGMHYDASNFVGSSVHDFALSQALTGSGGLEETGFLQSSQNADQLNQKGGTFVKVSKSGSFGRSLDITRFSSYNELRSELGRMFGLEGQLEDPLRSGWQLVFVDRENDILLVGDDPWQEFVNNVWCIKILSPQEVQQMGKHDVNFLNSGSIKMLPTNGCDDYISRQDSRNLSTRVASMGSLEH >Ma03_p24550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28844554:28853867:1 gene:Ma03_g24550 transcript:Ma03_t24550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGLGNQQQEDTEEQRCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEIDSLPSYRSLPPQLVCQLHNVTMHADVESDEVYAQMTLQPLNPEEQKEPYLPTELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIAMDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLIAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGIGDLDPVHWPNSHWRSVKVGWDESTAGERQPKVSLWEIEPLTTFPMYPSPFPFRLKRPWPPGLPSLHGGKHDDFSLSSPVTWFRNGGNPGLQSLNFQGTGVTPWTQPRFDTTILGLPPDMYLTMAADGIQETRTMDPTKQVSSAMLQFQQIQNTASMYSPMPPSQLLHHGQSQLPQAFLQNIQLNQVQSQSQSEFFQHQLQQVYPFGKQNQQQILQQQQALQHQETQQQKFLSDHQQVPSEASVFSQPISEPQSQSATMQNISLISQSRSFSDSNTNCVSTSDASPLHNILSQFSPGEASHLLSWPRTNQLVTSGPRPSKQVAVDSILPSGAQCMIPRVEQLGVSEPNISQRSVMLPPFPGRECAVNQDGNMDARNQLLFGVNIDSSSLLMQNGMTSLCNVGRESDSTGMHYDASNFVGSSVHDFALSQALTGSGGLEETGFLQSSQNADQLNQKGGTFVKVSKSGSFGRSLDITRFSSYNELRSELGRMFGLEGQLEDPLRSGWQLVFVDRENDILLVGDDPWQEFVNNVWCIKILSPQEVQQMGKHDVNFLNSGSIKMLPTNGCDDYISRQDSRNLSTRVASMGSLEH >Ma03_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28844965:28853867:1 gene:Ma03_g24550 transcript:Ma03_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGLGNQQQEDTEEQRCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEIDSLPSYRSLPPQLVCQLHNVTMHADVESDEVYAQMTLQPLNPEEQKEPYLPTELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIAMDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLIAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGIGDLDPVHWPNSHWRSVKVGWDESTAGERQPKVSLWEIEPLTTFPMYPSPFPFRLKRPWPPGLPSLHGGKHDDFSLSSPVTWFRNGGNPGLQSLNFQGTGVTPWTQPRFDTTILGLPPDMYLTMAADGIQETRTMDPTKQVSSAMLQFQQIQNTASMYSPMPPSQLLHHGQSQLPQAFLQNIQLNQVQSQSQSEFFQHQLQQVYPFGKQNQQQILQQQQALQHQETQQQKFLSDHQQVPSEASVFSQPISEPQSQSATMQNISLISQSRSFSDSNTNCVSTSDASPLHNILSQFSPGEASHLLSWPRTNQLVTSGPRPSKQVAVDSILPSGAQCMIPRVEQLGVSEPNISQRSVMLPPFPGRECAVNQDGNMDARNQLLFGVNIDSSSLLMQNGMTSLCNVGRESDSTGMHYDASNFVGSSVHDFALSQALTGSGGLEETGFLQSSQNADQLNQKGGTFVKVSKSGSFGRSLDITRFSSYNELRSELGRMFGLEGQLEDPLRSGWQLVFVDRENDILLVGDDPWQEFVNNVWCIKILSPQEVQQMGKHDVNFLNSGSIKMLPTNGCDDYISRQDSRNLSTRVASMGSLEH >Ma03_p24550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28844880:28853867:1 gene:Ma03_g24550 transcript:Ma03_t24550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGLGNQQQEDTEEQRCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEIDSLPSYRSLPPQLVCQLHNVTMHADVESDEVYAQMTLQPLNPEEQKEPYLPTELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIAMDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLIAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGIGDLDPVHWPNSHWRSVKVGWDESTAGERQPKVSLWEIEPLTTFPMYPSPFPFRLKRPWPPGLPSLHGGKHDDFSLSSPVTWFRNGGNPGLQSLNFQGTGVTPWTQPRFDTTILGLPPDMYLTMAADGIQETRTMDPTKQVSSAMLQFQQIQNTASMYSPMPPSQLLHHGQSQLPQAFLQNIQLNQVQSQSQSEFFQHQLQQVYPFGKQNQQQILQQQQALQHQETQQQKFLSDHQQVPSEASVFSQPISEPQSQSATMQNISLISQSRSFSDSNTNCVSTSDASPLHNILSQFSPGEASHLLSWPRTNQLVTSGPRPSKQVAVDSILPSGAQCMIPRVEQLGVSEPNISQRSVMLPPFPGRECAVNQDGNMDARNQLLFGVNIDSSSLLMQNGMTSLCNVGRESDSTGMHYDASNFVGSSVHDFALSQALTGSGGLEETGFLQSSQNADQLNQKGGTFVKVSKSGSFGRSLDITRFSSYNELRSELGRMFGLEGQLEDPLRSGWQLVFVDRENDILLVGDDPWQEFVNNVWCIKILSPQEVQQMGKHDVNFLNSGSIKMLPTNGCDDYISRQDSRNLSTRVASMGSLEH >Ma07_p08490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6315720:6328715:-1 gene:Ma07_g08490 transcript:Ma07_t08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEC1 [Source:Projected from Arabidopsis thaliana (AT2G26910) UniProtKB/TrEMBL;Acc:A0A178VNA5] MWNATEAVFSRSSSFRECGEDDEEALRWAALERLPTYSRVRRGIFRAAAGDYSEVDVARLSSGDRTALIDRLLGDPGDAEHFFRRIRQRFDAVNLEFPKIEVRFQELKVDAYVHVGSRALPTIPNFIFNMTEAFMRYLRIFRGRRRKLTILDSIGGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGNNLQMSGNITYNGHQLNEFVPQRTSAYVSQQDWHAAEMTVRETLEFAGRCQGVGIKYDMLMELSRREKSAGIKPDEDLDLFMKALALEGKQTNLVVEYILKILGLDICADTLVGDEMIKGISGGQKKRLTTGELLVGPARVLFMDEISTGLDSSTTYQIIKYLKHSTHALDGTTIITLLQPAPETYELFDDIILISEGQIVYQGPRDAAVEFFSYMGFRCPERKNVADFLQEVTSKKDQQQYWCLDDCPYQFIPVSKFADAFNSFHVGKRLSEELAVPYNRHNNHPAALSTSRYGERRFDLLKVNFAWQLLLMKRNSFVYVFKFIQLLLVALITMTVFFRTTMHRNSVDDGIVYVGALYFALIMILFNGFTEVSLLITKLPVIYKHRDLNFYPAWTYTIPSWILSIPTSLMETGMWVAVTYYVVGYDPQITRFLSQFLLLFFLHQMALALFRVMASLGRNMIVANTFGSFAMLVVMILGGFIISKDSIPSWWIWGYWISPLMYAQNAISINELLGHSWDKKVAKYNITLGESALKEYGMFTESYWFWIGICALFGYTILFNILFTLFLTYLNPLGKQQAVVSKSELQEREKRRKGEKLVIELRSYLSPNIQTGTNGKELQKGMVLPFQPLSMSFSNINYYVDVPLELKQQGILEDRLQLLVNVTGAFRPSVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSITISGFPKNQATFARISGYCEQNDVHSPCMTVIESLLFSAWLRLPSHVDPDTRRVFVKEVMELVELTSLSGALVGLPGINGLSTEQRKRLTIAVELVANPSVVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKHGGELIYAGPLGPKSRKLVEFFEAIPGVPKIKDGYNPAAWMLEVTSLMLENRLGMDFADYYRKSKLFRQNKDLVENLSKPNSETKELSFPTKYSQSFLAQYRACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWGFGSKRQKQQDIFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSALPFAFAQVSIEFPYVLVQTLVYGTIFYCMGSFEWSLAKYLWYIFFMYFTLLYFTFFGMMTIAITPNHTVAPIIAAPFYTLWNLFSGFMITHQRIPGWWRWYYWADPISWTLYGLLTSQFGDVDAPMNLSDGIHSMSIKLFLKHHFGFRHEFLGVVAVMVVGFCVLFAVVFALAIKYLNFQRR >Ma07_p08490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6315720:6328703:-1 gene:Ma07_g08490 transcript:Ma07_t08490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEC1 [Source:Projected from Arabidopsis thaliana (AT2G26910) UniProtKB/TrEMBL;Acc:A0A178VNA5] MLASNWNSPTLASRRLGVDAGFRMWNATEAVFSRSSSFRECGEDDEEALRWAALERLPTYSRVRRGIFRAAAGDYSEVDVARLSSGDRTALIDRLLGDPGDAEHFFRRIRQRFDAVNLEFPKIEVRFQELKVDAYVHVGSRALPTIPNFIFNMTEAFMRYLRIFRGRRRKLTILDSIGGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGNNLQMSGNITYNGHQLNEFVPQRTSAYVSQQDWHAAEMTVRETLEFAGRCQGVGIKYDMLMELSRREKSAGIKPDEDLDLFMKALALEGKQTNLVVEYILKILGLDICADTLVGDEMIKGISGGQKKRLTTGELLVGPARVLFMDEISTGLDSSTTYQIIKYLKHSTHALDGTTIITLLQPAPETYELFDDIILISEGQIVYQGPRDAAVEFFSYMGFRCPERKNVADFLQEVTSKKDQQQYWCLDDCPYQFIPVSKFADAFNSFHVGKRLSEELAVPYNRHNNHPAALSTSRYGERRFDLLKVNFAWQLLLMKRNSFVYVFKFIQLLLVALITMTVFFRTTMHRNSVDDGIVYVGALYFALIMILFNGFTEVSLLITKLPVIYKHRDLNFYPAWTYTIPSWILSIPTSLMETGMWVAVTYYVVGYDPQITRFLSQFLLLFFLHQMALALFRVMASLGRNMIVANTFGSFAMLVVMILGGFIISKDSIPSWWIWGYWISPLMYAQNAISINELLGHSWDKKVAKYNITLGESALKEYGMFTESYWFWIGICALFGYTILFNILFTLFLTYLNPLGKQQAVVSKSELQEREKRRKGEKLVIELRSYLSPNIQTGTNGKELQKGMVLPFQPLSMSFSNINYYVDVPLELKQQGILEDRLQLLVNVTGAFRPSVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSITISGFPKNQATFARISGYCEQNDVHSPCMTVIESLLFSAWLRLPSHVDPDTRRVFVKEVMELVELTSLSGALVGLPGINGLSTEQRKRLTIAVELVANPSVVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKHGGELIYAGPLGPKSRKLVEFFEAIPGVPKIKDGYNPAAWMLEVTSLMLENRLGMDFADYYRKSKLFRQNKDLVENLSKPNSETKELSFPTKYSQSFLAQYRACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWGFGSKRQKQQDIFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSALPFAFAQVSIEFPYVLVQTLVYGTIFYCMGSFEWSLAKYLWYIFFMYFTLLYFTFFGMMTIAITPNHTVAPIIAAPFYTLWNLFSGFMITHQRIPGWWRWYYWADPISWTLYGLLTSQFGDVDAPMNLSDGIHSMSIKLFLKHHFGFRHEFLGVVAVMVVGFCVLFAVVFALAIKYLNFQRR >Ma07_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6315720:6327136:-1 gene:Ma07_g08490 transcript:Ma07_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEC1 [Source:Projected from Arabidopsis thaliana (AT2G26910) UniProtKB/TrEMBL;Acc:A0A178VNA5] MTVRETLEFAGRCQGVGIKYDMLMELSRREKSAGIKPDEDLDLFMKALALEGKQTNLVVEYILKILGLDICADTLVGDEMIKGISGGQKKRLTTGELLVGPARVLFMDEISTGLDSSTTYQIIKYLKHSTHALDGTTIITLLQPAPETYELFDDIILISEGQIVYQGPRDAAVEFFSYMGFRCPERKNVADFLQEVTSKKDQQQYWCLDDCPYQFIPVSKFADAFNSFHVGKRLSEELAVPYNRHNNHPAALSTSRYGERRFDLLKVNFAWQLLLMKRNSFVYVFKFIQLLLVALITMTVFFRTTMHRNSVDDGIVYVGALYFALIMILFNGFTEVSLLITKLPVIYKHRDLNFYPAWTYTIPSWILSIPTSLMETGMWVAVTYYVVGYDPQITRFLSQFLLLFFLHQMALALFRVMASLGRNMIVANTFGSFAMLVVMILGGFIISKDSIPSWWIWGYWISPLMYAQNAISINELLGHSWDKKVAKYNITLGESALKEYGMFTESYWFWIGICALFGYTILFNILFTLFLTYLNPLGKQQAVVSKSELQEREKRRKGEKLVIELRSYLSPNIQTGTNGKELQKGMVLPFQPLSMSFSNINYYVDVPLELKQQGILEDRLQLLVNVTGAFRPSVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSITISGFPKNQATFARISGYCEQNDVHSPCMTVIESLLFSAWLRLPSHVDPDTRRVFVKEVMELVELTSLSGALVGLPGINGLSTEQRKRLTIAVELVANPSVVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKHGGELIYAGPLGPKSRKLVEFFEAIPGVPKIKDGYNPAAWMLEVTSLMLENRLGMDFADYYRKSKLFRQNKDLVENLSKPNSETKELSFPTKYSQSFLAQYRACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWGFGSKRQKQQDIFNAMGSMYAAVLFIGITNATAVQPVVSIERFVSYRERAAGMYSALPFAFAQVSIEFPYVLVQTLVYGTIFYCMGSFEWSLAKYLWYIFFMYFTLLYFTFFGMMTIAITPNHTVAPIIAAPFYTLWNLFSGFMITHQRIPGWWRWYYWADPISWTLYGLLTSQFGDVDAPMNLSDGIHSMSIKLFLKHHFGFRHEFLGVVAVMVVGFCVLFAVVFALAIKYLNFQRR >Ma03_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8868658:8869742:-1 gene:Ma03_g11410 transcript:Ma03_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATSFPMMTSTTFSIATAFSFPVPFVYSFYVTNSTTSTITATSTTAASSSSAAIATATTIYASSTIATATATTFTTSAAVATATTNSASSTADATAAASSSPPAIATATTISASSASSTTATAAATTFTTSAPASSSSVAIVTAASTTTTASASAATTSATNAAAATASASATTTTTTLPAAAAASIIAATSAAAAVTTPTSSSPTATTTTPPPSKTSITATPTSSAIITRAGSGVNLIVHDTVAEKCLISEKVSHGGVLMMR >Ma02_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28418024:28421959:-1 gene:Ma02_g23390 transcript:Ma02_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCLRPLERCLGRRISGADGLLWDVDLKPHTTGEFSIAVVQANSSLEDQGQVVNSPFATFVGVYDGHGGPEASRFVSNRLLPYVESFASEEGGLSVEVIKKAFDATEKDFLHLVKRSWLLRPQIASVGSCCLVGAIAGDALYVANLGDSRAVLGRRGLDGRGAVAQRLSTDHNVSVEEVRKEVAELHPDDKQIVVHARGAWRIKGIIQVSRSLGDIYLKKPEFSRDPLFQQLVSPLPLKRPVITAEPSIRVHKLKPHDLFLIFASDGLWEQLSDEAAVDIVFKNPRAGIAKRLVRAALNEAARKKETRYDDIKRIGKGIRRHFHDDITVIVVYLDQHRQGGHSGFNSSNHDCTSAPIDIFSFNTDGAEDPLGPVESMQSADLLLQKMGF >Ma06_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4451900:4451986:-1 gene:Ma06_g06050 transcript:Ma06_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQLHFNHPESWIDFVCSFLLYELKL >Ma01_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4859398:4860270:-1 gene:Ma01_g06760 transcript:Ma01_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 19 [Source:Projected from Arabidopsis thaliana (AT1G15190) UniProtKB/Swiss-Prot;Acc:Q5Q0H2] MAKSNPVPLFLLLLLVFLCSSNTVAPISENEVDSAIAALRSSGYALFGNGIAVSDLLFDLLHHGPNASFTLFAPTDAALFALDMAYPAAAYVRVLRQHVAVRHLTHHSLRSIPSGTPVPTLLLSRDLIISHRRDPVGGEGLDVATVDGVDVVLPGIFHCKDLAVHGLNGILAPRKSEASDGIPHEQPGSPDKHLPPDGFDHTPDSWTPAASPAMDLSPIVPDAAAPVPMPAYPYPITLPPSFLDEIYPPTSKSGWKGRHRGTGKVGASPTSSPAAGRPLSPPQQGIVDAP >Ma00_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:185785:188716:-1 gene:Ma00_g00170 transcript:Ma00_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQQRQQQQAAGGSKRKPVFTKVDQLKPGTTGHTLTAKVLTSKTVLHKGRAGAAAADLRPIMISECLIGDETGAIVFTARNEQVELMKPGTTVILRNSKIDMFKGSMRLAVDKWGRVEVTEPASFTVKEDNNLSIVEYELVNVAEE >Ma03_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6252743:6279943:1 gene:Ma03_g08520 transcript:Ma03_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAAPMFERHRAAAPNTVFKSGPLFISSKGIGWKSWKRRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAESLEDLFEWKTALEHALAQAPNAALVMGHNGIFRNDNADTFEGSFQYWRDKRPIKSLVVGRPILLALEDIDGGPSFLEKALCFLEKHGAKVEGILRQAADVEEVDRRIQEYEQGKTEFAADEDAHVVGDCVKYVLRELPSSPVPASCCTALLEAYRLEHQEARVNAMRSAISETFPEPNRRLLQRILKMMQIIASHTAENRMTPSAVAACMAPLLLRPLLAGECELDDDFDMNGDSSAQLLAAANAANNAQAIITSLLEEYESIFDEGNSQRCSLSSDSQIGDTGSEESTDDVNTDVKDNGYHDVENDAEPDVDDDNDERVLSGKLSESSGYDGSDLYEYKEFAGDDSDPESPHNNQSSATKTELDVAKVQHNEQASAHKSTEKSLTEKDASSVLATQESPLSMGEILSSLHPGTSFPAHVTEYNVDRFSSKVNGSHTHMKRTNFWGRNNTRKNQPLEQVDSSGEEELAIQRLEITKNDLQIRIAKEAKGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQISSSRTMDSKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQNHYGSMPHACDRYQQLPAHLSQQKIVQQDFDMSLAFCNHEKKQKSEESSSATDWRSIKPQIPPYAGSKQLSRKHSADKASLSDLKGMEMEASTSMPSEANPGMANSSPKMIEGAEHPRQPSVASSTLVELTTRLDFFKERRSQLMEQLHSLDLSHGASSQSLAYKPSPPWNNPR >Ma01_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2047494:2050571:-1 gene:Ma01_g03130 transcript:Ma01_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWRNCVIVYPLSRCSPPPSHGEITDRGRWNLISPLHSPASSSLLGPRNIISNFPHDFRLLKPNPKSNNLSTSPLRGRSGANSKGTEEKLLRRAREALSGSRRLEGLESEGGGLAAAVTAKPLELGTESSISSSIDGAVCASENFFMFLAAAIAFDLCFKMMVYKSFSY >Ma08_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15803665:15803766:-1 gene:Ma08_g15620 transcript:Ma08_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVERERERERERERREREREREREREREREREXE >Ma02_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28213131:28213643:-1 gene:Ma02_g23050 transcript:Ma02_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMQPPAWSCQECKTPTPWPDHNDYRLRTHASCYDDERNQRVYLSFP >Ma03_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26526322:26528694:-1 gene:Ma03_g21530 transcript:Ma03_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDRGPAMTTTAVGLCLLPAELLQEIFFRLALPDLFRLRSVSRDLGSLVSGGDFRRLYNLRSGRGGGWLFVYKKRPPRDSVLRGFNDRSGRWFHIPVAGILAPAVPPGEDLYFLAASGGLFLFASNGRRELLVVNLATRAVRRIPPSPLGPRGTSSWRRAGLKLVADPYGADRFRFLFAEMVGNRPVLFEYSSDVDAWRAIQASEGATGGGSVGGRDVCLNLVHIGGESVVLSCAGKGGGRDERPPPVAHRPRFPEGFQGGPPVGMTTSDRFHVYGDGNVAVVRSAAADVAGGGGSSATTRARVVTSVQLLGLSEIGSEWELTSTAPAAVVEEALRRRPYGVMMGCVVEREGVVGIVLMSNCRGSWGLAWLSYHRAQRKWACVPVPDCGTKGLNMAGIALSSTFSRSLWPSLCSSSSSSPSEQAD >Ma07_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1965292:1966208:1 gene:Ma07_g02460 transcript:Ma07_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVPESASSPSSPRPAITLSQPKKKRPAVKNVKAIRAIRSLFRSFPILTPSCRFHATLPHCSRAADGHISGATRTTGTLFGHRKSRITLAIQENPRGVPILLLELAMPTGKFMQEMGSDHLRVALECEKKAADKTKLLEEPLWTAFVNGRKIGYGVKREPSEKDLEIMQLLYTVSTGAGVLPDHMTDAVEGETTYMRAYFDKVVGSKDSETLYMLNPDGNSGPELSIFFVRV >Ma06_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13172529:13175123:1 gene:Ma06_g19230 transcript:Ma06_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEHGRHGGGGGGGGGGRGGRVPTNSNSSSGTSSSRSTSEHHQQQHYFGHHHQQQQQGSNSRPSTLDKVAFESRGSVAPFMGSIIHHGASGRGVVDASLAISTAKPDPGGAVGPSSSSPAAEASKKLAVAGTPAKRSSKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNISLRSSGSSFSAPASKSAPLSFHALALAHHRPDFELVTDPGAAAMLGFHQLHHHPHQHQLLSADQIGEGLQGGVGSGGGDSTDTYLRKRFRGDLFKDEQQTPPQHQEGGGGAGPSSPSSVSKSMRAGSGLQLQQRSQQQDAAAAGLTRPPGILPAAAMWAVAPNSAAGGAFWMLPMSAGSAAPAVAAATGSSEPSIWTFPAMAGQYRGGISGGSTIQAPLQFMSRVNLPGAADFQGGRALPLGSMVLQQPTSGVAAQHLGLGTSETNLGMLAALNAYNRGGGLSMNSEHHHPMDHHHQAHTHQQQQSADSDEDNHTSSQ >Ma04_p32170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32278001:32278565:1 gene:Ma04_g32170 transcript:Ma04_t32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASFATVAGTTQCLDCMQNNIKSEDAVKGLSVAIKCKLSNGESETKATAAVEEEGSFNVQIPSKLRSECFPQLHDALVVPRPGEDGLRPSKLVIKSKEEGRHTFVAASGKLSFSLATRASAFSWPLFEHPAEWHRLHMHFLPWRKPWHRFPPYALPHKPCV >Ma02_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5967541:5968676:1 gene:Ma02_g00630 transcript:Ma02_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRKIFYKLRISIFLRVLNGYVNMPLHKKFA >Ma10_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31940472:31945660:1 gene:Ma10_g22640 transcript:Ma10_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MAGQSDPHLSVFTPPEVEFLAEDEKVEIIPKLSLGSLHMICGDFGPFRPNIASEVPLWLAVALKERGKCDIRAPDWMSVDKLMQILEAERESPREFQPLPFHYIEISKLLFKHAHDNIQDIYMVRSLIEDIRDVRFHKVESGLQTISGRTHAVKLKNLSAMEVNIVRPFMIRTLQAFYKHDSPHIIQQPEKSGSRRPQAPDRGPRPLQKKVTFPT >Ma01_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6844394:6845038:1 gene:Ma01_g09500 transcript:Ma01_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITMSSFPSKSLPLHCGRIFRSNLLSKSQIGLGWICCELRVKRKIDGWLYGSIDRQWIESKGDLNFTSGSLQLEDLACLVGVNIAMSSCYIY >Ma11_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11058272:11060532:1 gene:Ma11_g10970 transcript:Ma11_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIQIKAVTTATSSTPTRLSSLFSSSPPITQPAPASPCRRPPPPTFMSRLYCSICASPCPSPARLRTSPERPPPAPSLLEPPLSLQLRRLRREPGLRLPAETLGTMIHYFVRNRRIAEAQALVLRLVRRRSASRAEVVAALATSYDRCEGPGSAVFDLLIRTYVQARKPREAAEAFRLLKARGLFVSVNACNLLLAGLVRMDWVDMARDIYGEIVEMGVHPNIYTLNIMVNAFCKDRRFDQVNSFLLEMAKRGIFPDVVTHNTLIDAHCRAGHLEESLQLLKSMGDNGLKPDVVTYNAVLNGFCKNGLYDKAKELLGEMLDAGLVPNASTFNIFLSGFCKIGNTSEAMRIYDKMVGSGLVPDIVSFSSVIDLFSKKGDMYRALAYFGDMKTIGLVPDNVIYTMLISGFCRIGLITEALKMRDEMVDHGCLPDIVTYNTILNGICKEQRLSDADKLFHEMVERGISPDFCTFTTLIDGYCKGGLVEKALRLFDKMLESNLKPDIVTYNSLIDGCCKEGNMERVNELWDDTCRRGMLPNHITYSILIDNHCSKGQVEEAFRFLDEMVENRIAPNVVTYNSIIKGYCRSGDAKKAEKFLEKMIDDSVIPDIITYNTLIYGFVKEEKMHKALHLINKMENKGVPPDVISYNVILSAFCEQGEMQEADSVFKRMVSRGVQPDGSTYTTLINGHVAVDNLKEAFRLHDEMLQRGFVPDDKF >Ma07_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31608967:31614125:1 gene:Ma07_g24170 transcript:Ma07_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTFTGMSPMLWLLLSLSVQLRCGLSADQESDRIGQLPGQPAVAFEQYSGYVTVDPRRGRAIFYWLVEAPPAAQPAPLVLWLNGGPGCSSVGYGASEEIGPFRIRPDGWTLDVNPYAWNNVANLLFLESPAGVGFSYSNTSMDLYTAGDMRTSMDAYAFLVDWFQRFPQYKDRDFYIAGESYAGHYVPQLSQLIYRRNKGIQNPFINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDGTYHDLNATCNLQSSEHPSVECVKNLNAATAEQGNIDPYSIYTQPCNSSRSLKSSLNGRYPWMSRAYDPCTDRYAKVYYNRPEVQRALHANVTGIQYPWDTCSDIVGNYWADSPRSMLPIYQELIAAGLRIWMFSGDTDAVVPVTATRYSIDALNLQTLISWYPWYDKGKVGGWSQVYKGLTFVTIMGAGHEVPLHHPRQAMILFRQFLKNRPMPR >Ma07_p24170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31608967:31613493:1 gene:Ma07_g24170 transcript:Ma07_t24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTFTGMSPMLWLLLSLSVQLRCGLSADQESDRIGQLPGQPAVAFEQYSGYVTVDPRRGRAIFYWLVEAPPAAQPAPLVLWLNGGPGCSSVGYGASEEIGPFRIRPDGWTLDVNPYAWNNVANLLFLESPAGVGFSYSNTSMDLYTAGDMRTSMDAYAFLVDWFQRFPQYKDRDFYIAGESYAGHYVPQLSQLIYRRNKGIQNPFINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDGTYHDLNATCNLQSSEHPSVECVKNLNAATAEQGNIDPYSIYTQPCNSSRSLKSSLNGRYPWMSRAYDPCTDRYAKVYYNRPEVQRALHANVTGIQYPWDTCSDIVGNYWADSPRSMLPIYQELIAAGLRIWMFSGDTDAVVPVTATRYSIDALNLQTLISWYPWYDKGKVGGWSQVYKGLTFVTIMGAGHEVPLHHPRQAMILFRQFLKNRPMPRCQLRLARK >Ma10_p30990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37217913:37238716:1 gene:Ma10_g30990 transcript:Ma10_t30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGCTRRELLDRWSAIQEELDGDDPSPSRERRILRTKEEWFSDSFNFLVDLPAENHIWCDYSDLMGPLLETFHNFFRDTNSVSPLKLLWKRVSRELGHCTQCICQHHQAQEYYNVEYETDSVDPLLTVLHRLDEERVTEHLKDINMRIRCKEYDPESHGTEVVSVMFEVLMFPILLDDQSLVNEFQFFIEAVDESHEVTLSSNQQYPGIYALLFLKGGRARAVGFRLAGCMGKLRRATDLEPLQPLLRKYIGFLEAEILPSTSEVLRPRVQLERINVWNGIKTLLGFLEAEALEDGILEKYPVFLSFVLNHVSDDTPEFSFAVACLRTLFEMLGCKLWLRTTLSPSMMRNTLLGQCFHTLNEKSHKDIFDLFLPFLQSLESLQDGEHEKQRRHFLYFLLHQVTQSRNFSNLMRKNACKIALLIIQRGYSMNPPCPPFECAHMWGPSLVSSLKDSSLHSSLRQPAFDLINTIIISDASALMSLKSKFNDAFHIRANVPQISLDDEDDQLFSYDVEEKDNSCWSEFGIQNKLTSLVCAEWACIPMLWFEALTKVDPSMLPISFSKAVFWALSHISLLEFGSIIEFSSSIEEFLSLNAREILSSFGWEIPTGSDDGGDGKESRNSVRASSMASFLTKTLKRLAAHFVLQIEQHELQKQWTWEPRMAESLILLIIDPDDSIRQADRVILEHVSRTRGLTSGLQFLCSSASSLSTMFSGLRYALQQVPVHLLVTNFHNLHHLFFILRKVLKEVVTSDKKSVKMDTKSTNPLFEGGFLHQPYFENLPDRPPGSSGTIVDMKSWEKFSCFLSAVVWPTIVQCLEEGKELVNSKNCQMTCVRLLETLPVVYEKLSFSLSELSGNLACFTHDIFDLKWFLCLVEWGRSSLIVVSRHWKQCILSLVNYLKSSHTIKTSCNLGAIEAIVSHDTVAVDKLKEKVLQLKISLAEDVVQFYDQKVLRPKTLLSEPSYVKKSSVSETYVCNDQVCSGATFPPQTIGNQDVIILSDDEIEKKVSRDLVITGALPDNHLDSTCLSEDGLKNVPSLKSSGNSQVPSQRANKDVVINSSVSSMVESAVCEGTSSMILPKSIETDGVSQSCNTSDVVSSLKKAKLSSQPFLHQLSSQNYSSELRKDDAVIKELIRQDDDVLERALDRSRHAKLLPAKHSISVPKRQIVQLQLPTKNKFGSLNKTDLGARRLKPPKLDDWYRPILELDYFVLVGLTTDNEDGKSALTNLREIPLCFQSSSHYVEIFRPLVLEEFKAQLHSSYIENSGDDMSCSSICLLSVERVDDFHLIRGCLDGTDTVASRVCAENDLVLLTKEPLQNAAQHVHVLGKVERREKSDKSRSIVLVIRLYLPSSSSRFNKARRLLTERSKWFSSRIMSMTPQLREFQALSSLHDIPMLPIILNPVNHSAGHLASKKVQLDKLSRYMQKMFISSYNDSQIQAISTAIGSSEPKKTLELSLIQGPPGTGKTRTIVAIVSAWLALQKVHKSHCFKTPSAHSIHDKNESSHSKGLISQSAALARAWQDAAFAKQLMKDAEKDSSVPTERPSRGRILICAQSNAAVDELVSRISEGLYGSDGKVYKSYLVRVGNSRTVHPRSLPFFIDTLVEQRLTEEMNNQISGKNDKDVESSSSLRAKLEKVVDSIRLYESKRAKIEENEMNTSNSINNKPSQKGDPLEISDAAIGAKLNILYGQKKAICADLATAQARERKVSEESRSLRHKIRKSILKEAEIVVTTLSGCGGDIYGVCSESASSNRYGKFSEQNLFDIVIIDEAAQALEPATLIPLQLLKSNGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLNEQYRMHPEICRFPSMHFYENKLLNGAEMEDRSALFHENFCLGPYMFFDIVDGHEHHGKNSGSVSLYNEAEVEVAVEILKFLKKRYPSEFTSRRIGIVTPYRSQLSLLRSRFSMAFQSDVVSEIEFNTIDGFQGREVDILVLSTVRASGSGSELPKSISKGIGFVADARRMNVALTRAKISLWIVGNARTLQRNVNWAALIENSKERNLFRSFVRPYGHVFAKNLSSYSESIDSSKLASRSTHRKHSERDNNVGCGTHEARTNAKTGSKIKSKLGRNLDIQTSSNSRDSGLTCSIGSPNLGGSSLSAGESPLQVHACSKDIISKKASRKNKTKKPQKQHEESAHTENILQEQPTCDRLQDETNGNVPLEMDSSIGCLIKKATAARRFSEHAPSSTSSQSSNSPSSRETKQLTSKMAKISSSDTQEPKDLIARRKRQREDIEALLPSALISSKKPGAS >Ma11_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16235737:16243800:-1 gene:Ma11_g12350 transcript:Ma11_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQVQQSHAGSSAGLYDHAAGGDAGDAVMARWLQSAGLQHLASPMASSDQRFLPGLMQGYAPQTAEEKHKLLRLLRNMNFSGESVSESYTPTALSMGQQDGSYSPEIRGEFGAGLLDLHAMDDSELLSEHVFSEQFEPSPFLLTKGVENNESDTTSAWQQEPPDINTREGDSKREISTKESNVAKIKVVVRKRPLNKKEISRKEDDIVTVHDNAYLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTYTMQPLPLRAVEDMVRMLHQPFYHNQRYKLWLSYFEIYGGKLFDLLCDRRKLLMREDGRQQVCIVGLQEFEVSDVQVVKEFIEKGNAARSTGSTGANEESSRSHAILQLAIKQHKEVSDTKRQKEGSGKGGKIVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSKKDQVAASLVSSGKESASLLPFSVEPEDLVQIQDSKAVELPRKNSERISYNSTLDLDRNSYGTRNGKEIPPASVSIEMEKVDLKTSHESVRYKLYSVQNSFDFQEEEKVTKVSPPRRKAFREEKSEKRSNWSKRDGGPQLTATGHNPQQMYDTASDNASRKYDQESSCNDEEIEAILEEEEALIAAHRREIENTIEIVREEMDLLAEVDKPGSFIDDYVAKLGFVLSRKAAGLVSLQARLARFQQRLKEQEILSRKKGLGLR >Ma11_p12350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16235948:16243800:-1 gene:Ma11_g12350 transcript:Ma11_t12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQVQQSHAGSSAGLYDHAAGGDAGDAVMARWLQSAGLQHLASPMASSDQRFLPGLMQGYAPQTAEEKHKLLRLLRNMNFSGESVSESYTPTALSMGQQDGSYSPEIRGEFGAGLLDLHAMDDSELLSEHVFSEQFEPSPFLLTKGVENNESDTTSAWQQEPPDINTREGDSKREISTKESNVAKIKVVVRKRPLNKKEISRKEDDIVTVHDNAYLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTYTMQPLPLRAVEDMVRMLHQPFYHNQRYKLWLSYFEIYGGKLFDLLCDRRKLLMREDGRQQVCIVGLQEFEVSDVQVVKEFIEKGNAARSTGSTGANEESSRSHAILQLAIKQHKEVSDTKRQKEGSGKGGKIVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSKKDQVAASLVSSGKESASLLPFSVEPEDLVQIQDSKAVELPRKNSERISYNSTLDLDRNSYGTRNGKEIPPASVSIEMEKVDLKTSHESVRYKLYSVQNSFDFQEEEKVTKVSPPRRKAFREEKSEKRSNWSKRDGGPQLTATGHNPQQMYDTASDNASRKYDQESSCNDEEIEAILEEEEALIAAHRREIENTIEIVREEMDLLAEVDKPGSFIDDYVAKLGFVLSRKAAGLVSLQARLARFQQRLKEQEILSRKKGLGLR >Ma05_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32658341:32658481:1 gene:Ma05_g20960 transcript:Ma05_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKFFIFFNVNFFWYMIDAYIRTQTIFFILYILLMKPWLIFRNN >Ma09_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7501711:7506638:-1 gene:Ma09_g11090 transcript:Ma09_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSWKTNKNAFKALIAAEYSGVQVELAENFEMGVSNKTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVTRLKADNPLYGSSLIEYAHIEQWIDFASMEVDANIARWLYPRLGYMPYHAVAEEVAASSLKRALGALNTHLASNTYLVGHSVTLADIIMICNLYHGFSLLLLKSVTSEFPHVERYFWTMVNQPNFRKVLGEVKQADSVPPVPSQKKPAKEPAKPKEVKKEPKQEPVKPKVQEVVEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGSDPPFKVKGVWLFRGLEIPKFVLDEVYDMELYDWAKVDISDEAQKERVNAMIEDQEPFEGEALLDAKCFK >Ma10_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25749077:25749265:-1 gene:Ma10_g12730 transcript:Ma10_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTGRSVALLFLVLMEFLGWKSCVVDANPRRILLDTDVDTDDFFALLYLLKQNRSQFDLKV >Ma06_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14003528:14013947:1 gene:Ma06_g19920 transcript:Ma06_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPTPVGGGQSVPASLLRTNSAMSRGAQPGSLPSQQPASPLVSPRTQFDNIGNGNGNNMNLLGNYTNISSLLNQSTGNGVPVSVGGLSAASLGLQQRGGVGSAVEMLSSAELDPLSFTSASSLAQRQSLQNPSRNQLAVDRSQNEHREGMHKFRRQFSLPHIQQQMLRGGLGNMGPIAPVKLEPQMDPSDKTGPSQNLQTLCSSSATKLEPQQLHSVRSLGPAKLERQHSDTALVLQQQQHQLLQMSRPPSQAAAAAQMSLLQQQRMLQLQQQQQQQILQTRPQQRNQLQQQLQQASIRPQIKPTLYEPGTCARRLTQYMYHQQHRPEDNNIKFWRKFVAEYFAPNARKRWCVSLYGSGRQTTGVFPQDAWHCEVCNRKPGRGFETTFEVLPRLYQIKYASGTLEELLYVDMPREYQNALGQIVLDYGKAIQESVYDQLRVVREGQLRIVFSAELKICSWEFCARQHEELVPRRLLIPQVSQLGAAAQKYQNTAQNSPPSISAQDLQNTCNSFVVSARQLAKTLEVPLVNDLGYTKRYIRCLQISEVVNSMKDLIDYSRETRTGPVDSLNSFPRKASFGLHPQQTQQAKDHQMVAQNSTHNDQSSSHVNSVQIAGCNGAMNVENSLGNLTATCTTTSISELLHQNSLNSRQGNLVSTTNDQSGGGSVVPIPSASSSNSLLPSQPNASSPFPSQMPSALSGNNAPTSLNFVHLNSVPPPANMSMLQQPPVQSHEANPTGSQSSVQKILQEMMSSQLNGVGNLEDELKGLNGVTPALNGVDCLVRNGMANNSGYGEMGFSAMGMTDQSTTANGLRAVMANDTMTMTGRVGMNHLSQYPHTMNHQQLQDMESRLLSGSGHVNGFDNLQFDWKSSP >Ma10_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14837487:14840325:1 gene:Ma10_g04140 transcript:Ma10_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEPEAVERKYKKEDKKEDEHEEKEGFIEKVKDFIHDIGEKIEEAIGFGKPTADVSGVHLPCINLHKAEIVIDVLIKNPNPIPIPLVDIDYLIESDGRKLVSGLIPDAGTVHAHGSETVKIPVTLIYDDIKNTYHDIKPGSIIPYRIRVALIVDVPIFGRLTLPLEKTGEIPIPYKPDVDIEKIRFEKFSFEETIANLHLKLENKNDFDLGLNALDYEVWLSDVSIGTAELTKSTNIEKNGITKMEIPISFRPKDFGSALWDMIRGRGIGYTMKGNIDVDTPFGNMKLPISKEGGTTRLKKGKNGEDDDDDDDED >Ma09_p27130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38180384:38189309:1 gene:Ma09_g27130 transcript:Ma09_t27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDLRTFRQSSSSSRAPTPETNNENLPPVDPCDSSSSRLESDPSRPPLLAIQEPVQNPKIGFDQGAILRGKPEATTSKNHTKGSDLPGLPFRTPERMVARHRLSLCPKSQPGVIGRETDDDSSYRGPGNQFPPLSRGGRLGLGDEHGLNTTLTSKTAAKASSVQSDCSSTQGTPTKSAIRLANYGFGKSRPPISAGTRTMSLGVTSRPTQISSIPPVVDSVEVPHFELQEDPSFWMDNNVQVAIRVRPLNSTEKSLQDFRRCLKQESAHNITWIGQPETRFTFDYVACETINQEMLFRVAGLPMVENCMSGYNSCVFAYGQTGSGKTYTMLGEIGELEVEPNLNRGIIPRIFEFLFARIKVEEESRRDEKLKYSCKCSFLEIYSEQINDLLVPSSSNLLLREDIRKGVYVENLTEYVVKNVNDILKLLRLGAANRKVAATNMNRESSRSHSVFTCTIESRWEKDLTVNSRFAKLNLVDLAGSERQKTSGAEGERLKEAANINKSLSTLGHVIMVLADMAHGKQRHVPYRDSKLTFLLQDSLGGNSKTMIIANVSPSICSANETLSTLKFARRARLIQNNAVINEDASEDVIALRHQIRLLKEELSVLKRQNVSRSLSFRSAIFEDSKGEFCDASAIEKLPEVTKTNADELQTDGLNSLKSLESILAGALRREKMADTTIKQLEAEIKQLNCLVHQREEESRSNKMMLKFQEDRIFRLEKLSECQVPIDSYLLEEKHALSEEVQLLRARVDSNPEVTRFALENVRLLDQLQRFEDFYGGEREYLLAEVSELRNKLMRVLDAKAELHNLQKSDMETQLNETYQELESCRCDLQSCLERNQRLTRETSNLHVELKNCSSADSYQYISAAHQKNELLSESSQTDSQVCEKRIECSHEHVMKHKEEILNLQLELDILKIILAEEKSSRTEVEESANYTNNELKSANRRVLYMSKQYEDIKNELKDARSIIERLKLENILLVNEMEDAKKKSNQQVELLKKKVQEISLLRSQLDSPSSEVEKLSLLQEELKGVPSEHHENGDFHLQMKLRRMQASLEKARDLNISFQSDQVSQTSLEQEMEEIRRQVEIETAEAIICLQEELVVLQNQADGSKRNESITKQSLMALETKLKELQIQLCLVMQENKKLGDLVKEKDRELRSLTEDWERLAYDIADVLADGNTSLEEATDQVVSISDCFPQRSWIGEQVGRMIRSLSERDLVIEELQKCLEEAYNTRCDIEWKLRSLRGATLAIYEAQQLENNEKEREILRLTSEITDKMFDINQLENRIKDNDEQIKKAQLRATVAFVTVNKLSEKNKAHLQEIEHVKFLLDESKEVVSEKDTLLNHQISLRADAEKKIQDLSTQLVQYQEHIAELLKISQNQERAQELEQLKKEEDVMLTAEAENFPEVKRTIDDFTCTSDSSGNVDGQAEVQSSEKYTSGSAYYIDKDHVI >Ma09_p31310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41072915:41084380:-1 gene:Ma09_g31310 transcript:Ma09_t31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSYKYWDDCVDSEEMQLLWKDADVCKEWIDAGEKMGKKVLLSRDPEGQLYLTQTEMRAVAEIVVHLHFNSQLASDMICALAEIVSDRQLQAEYYDRKTKQARIGIMQIAPENAEWLVREMGYRNYEIEGISTLLFRPFVNVYFGAAYLKWLSYCDGKERTEEFVIRAYKGGIKKATHKSTADFFQRYLSIKQSLLPKREEEISDALHTARPISVTSGSGEGWTYWDYRVSSEDMEVLWRHPEVLKEWTRSGERRGRVRFSHDSEKRPYLSRVEVKAVAELIISRYFSKRGIKPTALAALAEVCSMRFVNGVRARTGLMGIDYPTAAWLYKDVGCRAYKVMSVDDLYNPLVSMYFGASYLAWLSQYEGRERSYQFIVQAYLCGPENVNLQETGPFWNRFQQALCYYEDPKKCILLFHNVVCITSQDFVYNSG >Ma09_p31310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41072865:41084380:-1 gene:Ma09_g31310 transcript:Ma09_t31310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSYKYWDDCVDSEEMQLLWKDADVCKEWIDAGEKMGKKVLLSRDPEGQLYLTQTEMRAVAEIVVHLHFNSQLASDMICALAEIVSDRQLQAEYYDRKTKQARIGIMQIAPENAEWLVREMGYRNYEIEGISTLLFRPFVNVYFGAAYLKWLSYCDGKERTEEFVIRAYKGGIKKATHKSTADFFQRYLSIKQSLLPKREEEISDALHTARPISVTSGSGEGWTYWDYRVSSEDMEVLWRHPEVLKEWTRSGERRGRVRFSHDSEKRPYLSRVEVKAVAELIISRYFSKRGIKPTALAALAEVCSMRFVNGVRARTGLMGIDYPTAAWLYKDVGCRAYKVMSVDDLYNPLVSMYFGASYLAWLSQYEGRERSYQFIVQAYLCGPENVNLQETGPFWNRFQQALCYYEDPKKDQGSCCIL >Ma10_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31673062:31676627:-1 gene:Ma10_g22230 transcript:Ma10_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENIECVSVSDGMVEEEEVAHVPHPFLKPRGNGSGTVSGGGVGGGGVPAPVISPVARVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKSRVHNRCPTCRQELGDIRCLALEKVAESLELPCRYLSLGCPEIFPYYSKLKHEAQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHIGSTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARYYSYSLEVGSNGRKLIWEGIPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNLDAGVSTPNPCS >Ma10_p22230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31673062:31674049:-1 gene:Ma10_g22230 transcript:Ma10_t22230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCVQLVSPGCIIVALRKVAESLELPCRYLSLGCPEIFPYYSKLKHEAQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHIGSTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARYYSYSLEVGSNGRKLIWEGIPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNLDAGVSTPNPCS >Ma04_p27110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28374283:28375199:1 gene:Ma04_g27110 transcript:Ma04_t27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRGSVVRSKHISLAKASAVLSRFAANENAARPDVAAYVRCASAAFDELVSFCHEIRAASKRSELEKSLMEEEGDEERNKKKRRRSGRDEQVDGEVVEDADLVDRYGNRCGGVGDSGSDVERKKKKARIEANEEERSKLSKEKLGRLKDKKEQYNKRKKNKC >Ma01_p22180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23851307:23852870:1 gene:Ma01_g22180 transcript:Ma01_t22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSFHSLFSCVVPRATILFAGALSPFQVERKIDLVYGGGSVGLMGLISKTVLDGGCHVLGVIPTSVLPSEVSGESIGEVKVVADMHERKSEMAKHADAFIALPGGYGTMEELLEIVAWYQLGIHDKPVGLLNVDGYYDSLLALFDKGVEEGFIEGSARHIVVSAENAEELIRRMEENET >Ma01_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23850974:23852868:1 gene:Ma01_g22180 transcript:Ma01_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNQPNAMGCGGKGRFRRICVFCGSRSGNNPSFGEAAIDLGKQLVERKIDLVYGGGSVGLMGLISKTVLDGGCHVLGVIPTSVLPSEVSGESIGEVKVVADMHERKSEMAKHADAFIALPGGYGTMEELLEIVAWYQLGIHDKPVGLLNVDGYYDSLLALFDKGVEEGFIEGSARHIVVSAENAEELIRRMEENET >Ma10_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30608540:30613815:-1 gene:Ma10_g20460 transcript:Ma10_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGQRAVSNPSAVLSALVSKRDNLQDELRVIERQIYDLETTYLQDSNQNGSVLKGFEGFLSSSKSTSNLKRSRRFQPEDRLFSLSSITSPVVEEHVAGRDEGRSEYGPGRSRGGGTPANGQGKPKKGGRIALREGKRLRPSIEQELDDEEDLDIV >Ma03_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14712428:14715305:-1 gene:Ma03_g14910 transcript:Ma03_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGEGEREHGDDEQSVERMFDCQEVPPWTQQLTLRSLAVSAILGFFLSFIVMKLNLTAGIIPSLNVSAGLLGFFMVKTWTTLLEKTGLLNQPFTRQENTVIQTCVVACSGIAFSGGFGSYILGMSKKVADQFGEADTGVNMKEPSLGWLICFLLAVSFVGLFSVVPLRRIMIIAYKLTYPSGTATAHLINSFHTPQGALLARKQVSLLFKTFGASFIWAMFQWFYTASDGCGFVSFPTFGLQAYENRFYFDFSATYVGVGMICPYIINISLFLGSIISWGILWPYIRSKQGVWYDASLPESSLHGINGYKVFISIAMILGDGLFHFLVVFFRSSYDMYNKRQRKDAATPFADAAALVGPCLSFDDRRRSNVFLKDQIPTTVAIAGYVLFAVISVIAVPFIFPQLKFYHIIVAYIIAPVLAFCNSYGCGLTDWSLASSYGKLAIFIFGAWIGMNNGGVLAGLASCGVMMSIVSTASDLMQDLKTGYLTLSSPRSMFVSQVIGTAMGCVIAPSVFWIFYSAFDLGSETSGYPAPYAKVYRGIAILGVEGLSALPKYCLNFCAVFFFVSFAINGLKEVAKYKNWKIYNYIPSAMGMAIPFYLGSYFTIDMCVGSLILYLWERADKRHATVFAPAVASGLICGDGIWSLPASLLSLAKVQPPICMKFLSRADNDKVDAFLSG >Ma01_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8904086:8909664:-1 gene:Ma01_g12300 transcript:Ma01_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGGVKVGGGEKEKVGGAVQQVNGGLTNDGLSAIMPGWFSEINPMWPGEAHSLKVEKVLFQGKSDFQNVVVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHIPLCSILNPKKVLVIGGGDGGVLREVSRYSSVEQIDICEIDKMVIDVSKEFFPNMAVGYEDPRVTLHVGDGVAFLKNVPEGTYDAIIVDSSDPIGPAKELFEKPFFESVANALRPGGVVCTQAESIWLHMHIIEDIVSNCRQVFKGSVSYAWTTVPTYPSGVIGFMLCSTEGPPVDFQHPVYNMDEAENSNKSVGPLKFYNSEIHSAAFCLPSFARRVIDS >Ma04_p26360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27832380:27839083:-1 gene:Ma04_g26360 transcript:Ma04_t26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGFSIPVLRFLLCFVATIPVSFMWRVVPGTLPRHLYAALSGAVLSHLSFGASSNLHFLILMAMGYASMVLVRRYAGLITFFTGFTYLIGCHVYYTSGESLKEGGIDATGALMVLTLKKKNRLIHCPSLFEYIGYCLCCGSHFVGPVYEVNDYLEWTEHKGIWAASGDRQSASLGAALRALLQAAICIGLYLQLVPHYPPFQFSEPVYYEWGFWQILFYQYMSGFTERWKYYFIWSISEASIIISGFGFSGWSDSSPPKALWGCAKNVDILGMEFATSAVQLPLVWNIHVSTWLHHYVYERLIQKGKKPGFFELLATQMVSAVWHGLYPGYIIFFVQSALMFAGSRVIYRWQQAVSLENLLFRKMLILMNFAYTLLVVNCSCIAFIVLSLKETLAAYQSVYFVGTIVPIIFILLGYVIKPARPARS >Ma01_p11760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8529732:8533492:1 gene:Ma01_g11760 transcript:Ma01_t11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGGLGFSGTNAVMKKPRSATLRRPRPEAQLVSESCDISPLSSTPSLNNSRKFSPDDDGGSESSVRRKEFYLNNPPPKSSSINIASSKKTKKVDKVFGEVDGGYHGVSSSRGGHRSDMKRCSEGVLAPAKWKNTDRVKEDNEKHSISPDANVRKSDGSYNVDQSEGISNVIAENKPRKVKLKVGGVTRTILETGDDGSSAKPPRSLDSSRHRLKLIARLQDYSDGHSLPERGNGSQGDQGKQLSGSSFIHGMEEASTATVAEASLVGKQTDKLHMLPSSAPTRKSKRVPKRRMFDDDEEDEEIRYLEKLKSSKVSADDSAGNDGSSENIIQKKKLLKIPRNRNTAFEVDEDYTSSRSSKDNRRKLRPGRESDDTDYVEEEEPGSDGETEIKRRKQKETSGSPADVRTEPLTTRQRALQSGKAGNGESFVEFPNGLPPAPSRKQKEKLSEVEIQAKKAEAAQRRKMQVEKQARESEAEAIRKILGQDSDKKKEEKKQKELEEKARFAKSQALGPSTIRCVIGPGGTVVTFADDVGLPSIFNSRPCSYPPPREKCAAPSCSNAYKYRDSKTKLPLCSLQCYREVKGSAESTITC >Ma01_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8529732:8533492:1 gene:Ma01_g11760 transcript:Ma01_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGGLGFSGTNAVMKKPRSATLRRPRPEAQLVSESCDISPLSSTPSLNNSRKFSPDDDGGSESSVRRKEFYLNNPPPKSSSINIASSKKTKKVDKVFGEVDGGYHGVSSSRGGHRSDMKRCSEGVLAPAKWKNTDRVKEDNEKHSISPDANVRKSDGSYNVDQSEGISNVIAENKPRKVKLKVGGVTRTILETGDDGSSAKPPRSLDSSRHRLKLIARDYSDGHSLPERGNGSQGDQGKQLSGSSFIHGMEEASTATVAEASLVGKQTDKLHMLPSSAPTRKSKRVPKRRMFDDDEEDEEIRYLEKLKSSKVSADDSAGNDGSSENIIQKKKLLKIPRNRNTAFEVDEDYTSSRSSKDNRRKLRPGRESDDTDYVEEEEPGSDGETEIKRRKQKETSGSPADVRTEPLTTRQRALQSGKAGNGESFVEFPNGLPPAPSRKQKEKLSEVEIQAKKAEAAQRRKMQVEKQARESEAEAIRKILGQDSDKKKEEKKQKELEEKARFAKSQALGPSTIRCVIGPGGTVVTFADDVGLPSIFNSRPCSYPPPREKCAAPSCSNAYKYRDSKTKLPLCSLQCYREVKGSAESTITC >Ma02_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21202241:21203334:-1 gene:Ma02_g12490 transcript:Ma02_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRFAFGRAEDAVHPDTMRAALSEFIATALFVFAAEGSVLSLGKLYKDTSTAGGLVVVAIAHALALSVAVSVSLNISGGHVNPAVTLGALVGGRISLILAVFYWVAQLLGAVVAALLLRLATGGMRPLGFGVASGVSEGHAVLLEIVMTFGLVYTVYATAIDPRRGHLGIIAPLAIGFILGANILAGGPFDGAAMNPARAFGPAVVGWRWKSHWVYWVGPLVGAALAGLIYEFLVIPDETPRTHQPLAPEDY >Ma05_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4621322:4622353:-1 gene:Ma05_g06200 transcript:Ma05_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEESIPSILASVYGWFTPAVLFVLLNLVIGTIAVASKSSGTGHHHHHQSGPDVDDGGQAYPGRFLPHSLSRSPSIVLDCLRSFNLHRYRSGDIPPPLEPAPTTRAEVLYETPNPVAEAEDEHQQQQYFGRSQSDAQPTAGEMPPKLLVRIKKSAFAHFEEAEVEAAGRDAVEAEGSGGGDEVDARADDFINRFRQQLRLQRLESIARYKEMLTRGS >Ma03_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2290147:2293071:-1 gene:Ma03_g03390 transcript:Ma03_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTNGFLAATILSLLASAAYAQLSPAFYARTCPNLQTIVGSAMAQVVRQEPRMGASIIRLFFHDCFVNGCDASVLLDDTPTMIGEKNAAGNANSLRGYQVIDAIKSRVEAACRSTVSCADILALASRDAVTLLGGPSWTVLLGRRDARTASMAAANANLPPATDGINNLISRFSAKGLDLRDLTALSGAHTVGAARCINFRPHIYGDSNVDPGFAMFRKRICPAAAGVGDSNLTPLDSTSPNRFDVSYYRDLMARRGLLHSDQELFNNGPADGLVRLYSSNGRAFNTDFAAAMVKMGNISPLLGSAGEIRLNCRQAN >Ma02_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20302051:20302948:-1 gene:Ma02_g10840 transcript:Ma02_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTSALFLVSSYSTNSSAAPSPALKFPSQPLSLRSHRRAAFLRPPAAVSPKVEEVGNLICNLTLEEARGLVDHLQDRLGVSAAAFAPAAVAVAPGAAADAASSAPAAVEEKTEFDVVIEDVPSNARIATIKVVRALTNLALKEAKDLIEGLPKKFKEGVSKEEAEEAKKQLEEVGAKISVV >Ma06_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11726806:11730882:-1 gene:Ma06_g17250 transcript:Ma06_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRELADRSKYCRFHRQPGHDTEECRELKRQIEELIRRGHLGSYLRPDKELSPRPEGPIERHIDVITGGPASGGSSTAGGKAYARASRAEAFKHEKGPEVTFPTGEPEPTEHDDALVISARIANAQVSRIMVDTGSSADILYWDAFPKLGLVKENMKPVSSTLTGFTGASISSLGIITLPLTLGAFPKAKTVMTSFLVVDLPTAYNAILGRPTLNKTRAVISTYYQTIKFPTHDGVGEVAGNSWESRRCYLTAVSLNKRARIQSPLEDPREGKKTTPRPEPKESTIDLPLVEGSPDRTVKIGSGLPEQEQRQLVGLLQANADIFAWTPADLAGVHPEVALHHLNISSDARPVKQRPRRQAPDRQLAIREEVNRLLAAGFIEEARYPQWLSNIDQLVDATAGHARLSFMDAFSGYNQIRMAPEDQEHTAFLTEQGIYFYKVKPFGLKNAGATYQRTVNKMFAHQIGRNMEVYVDDMIIKSRTAEAHPSDLAEAHPSDLAEAFDTLRRFGLRLNPAKCAFGVTSGKFLGFIIHERGIDANPEKIQAIIDMQPPRTIRDLQRLNGRLVALSRFLSRSGDRCHSFFQALKDPKNFRWTAECEKAFEQMKLHLASLPRLASVSPGEKLSLYLAVSRHAVSSVLVKEMSGDQLPVYYVSHMLSGPEERYPPIEKLALALVLSARKLRPYFQAHPIEVVTDQPLRLVLSKFDVAGRLLKWAVELGEHDIQYIPRTAIKAQSVADFIAELTPNTGEELEPPRDTWTLHVDGSANAKGAGAGLVLVTPDGRSIERSFHFRFRATNNEAEYEALLAGLQLALEMRVADIRVITDSQLVARQLGGEYEARDPTMAKYLAQVKSLAAKFAHFELSNVPRGENQRADILAKLASGPAPWARPETEELPRRAIEVVATVAHNAPATWIQEMLRFKQDGTLPDNATAARRLRRTQAWYAEEGGRLYKRSFSRPLLRCLEPSEARTVLSDMHEGACGEHIGERALAHKVLRQGYYWPTLRQDAKAFVRRCGSCQEHARTARRPAVLFTPVDCAWPFAQWGLDILGPLPPASGQRKYIIVGVDYFTRWVEAEPLATITESQVERFVWRNLITRFGLPQSIVTDNGPQFAGRKFQEFCARHKIQLRFSSVAYPQANRLAEVTNRSIVDGLKKRVSTTRSAWVDELPSVLWALRTTPKTPTGESPYSLTFGTEAVLPSEATVPTPRTAGYSEEASGEGLRSNLDLLEERRANAHQKALSYKRAVARVYNRNVRPRSIRLEDLVLRKVEVSHPTQVRGKLTPKWEGPYRVIGVSRPGTFRLATMDGNPVPRTWNVQNLRKYFV >Ma02_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14279234:14284429:-1 gene:Ma02_g02870 transcript:Ma02_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRHHRSPSSERFLALFSPPPPDDSNPTTGVELHEAEVLWTFAPDDAAPRPAVTANPSRTHFLPPSDRAALRRPLDRSFGILAALPEDGSPAPAAASPAPLLQRKPSISSASSSASSTPSSSSSSTTAARMISAIPKPKPECSLSVPAGRTHHLAQSLPVNVPVVPRRARRFEMEATDGADDGDGHEIMPPHEIVARTFGRESPMTTFSVLEGAGRTLKGRDLRRVRDAVFRQTGFLD >Ma09_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10503905:10505525:-1 gene:Ma09_g15200 transcript:Ma09_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDGVTYGQMGSVRYKMFVSTWNVGGIPPTDDLDLEDWLDTGKSSYDIYVLGFQEIVPLSTRNVLGPEKIQISMKWKSLIGETLNKYLHDRKRIQKCEPLEHHKDGHHKESKAREFRCVVSKQMVGILVSVWVRKDLRNYISNPRVSCIGCGIMGCLRNKGSVSVRFCLHEASFCFVCCHLASGGKEADEMRRNSNVMDILSRTCFSSDASNDLPKKILNHDRIVLFGDLNYRISMPYAETKTLVEQKQWNVLLDKDQLRFELSEGRALEGWNEGVITFSPTYKYLPNSDEYCWHVHGRNGERRRAPAWCDRILWLGEGLKQKRYDRCEVKFSDHRPVRSIFTTEVDALQI >Ma00_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:21624600:21698839:1 gene:Ma00_g02800 transcript:Ma00_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLGQMIFHLVWCIEGDKWPIADAYWAKLIGLVRDQPWGSTSVIYFVIIQISAAFVALVEVLSNRFHQDSCWLNFLSATNNIGYHLRVVCCLVLPAMQLVVGISHPSWISLPFFVCSCVGIVDWSLTSNFLGLFRWWRPLLLYACFSILIIYIYQLPVVFPSMFLVFADFVGLYKINRRSDCSELCSAVSLLIYYFMLSSVKCDLQEMDFIMSMKNNSLVEQLLPSRHSFFIRESRSGKKHTNVLLRGSVFRNFSINFFTYGFPVLLFSLSFWSFSFTSICAFGLLAYVGYVLFSFPSLVELHRLNGMLLIFILLWATSTYVFNVAFTLLNKKIQKDMVIWETIGLWHYPIPGFFLLAQFGLGGLVALVNLVNNSVFLYLSDEGGQFSNNDNIVEEKEDTKVLIVATVAWVLRKISRAITLLLLFLLVIKPGLVHAAYMCFFLVFLLSHSISKKMRQALIIFCEVHFSLLYILQLDLISKSLERSGSLTLVILSQLGLPQHASYVDFVEIGVLVCFCALQNHGFDILCSFSAILQHTPRPPLGFSILKAGLKKSVLLSVYNSPSSRDRQCFSSSHERKIATYLSKVSEKFLSTYRSYGSYVAFLTILCTLYLVTPNYISFGYLFFLLFWIIGRQLVEKTRRRLWLPLKVYATVVFIFTYSLSISSVLSSWVSKLVNLYPDLGFNPEVSLLENVWESLAVLVVMQLYSYERRQSRYKTVDSSDASESGFLGFVRRFLIWHSDKLLSFALFYASLSSISVFGLVYLLGLTICSLLPKASRIPSKAFLLYTGLLVMSEYLFQMWCKLADMCPGQQLYGLALFLGFKYYDSGFWGLESGLRGKILVIVACTLQYNVFHWLDGMPDSLVHKGKWEEPCQLFISTEHSSSGIMVYTEEDKRLLDSTLVSTSESATNLSPSFGSNLNRKSDSILNMIRGSQNKKYSFAYIWGSSKESHKWNKKRILALKKERLEMQKTTLKIYMKFWMENLFKLRGLEISMIVLLLASFAVLNAISMFYILCLVTCILLKREVIRKLWPMFVFIFASVLIVEYFAIWRALIPWTHETSGVEIHCHDCWRSSDHYFSFCTNCWLGLIVDDPRMLVSYYLVFIFSSFKLRSDRFVGFSESHTYFQMMSQRKSAFVWRDLSFETKSFWTFLDYLRLYSYCHLLDIVLALILMTGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKFLRIYNFTVIVLSLAYQSPYLGIFSSGKCEQIDYIYEVIGFYKYDYGFRITSRSALVEIIIFLLVSVQSYIFCSGEFEYVARYLEAEQIGAMVREQEKRAAWKTAQLQHIRKSEEQKRHRNMQVEKIKSEMLNLQVQLDSINSIQPLNNSTMQPGLRHRRSSSISAEKVSQMPDNEFKSPTKQDEDVSKEAYHSFDFTLPEIYMNMTPPPLISDIQHSPTSAKSGSSLSEDMKHNPDSICEISELGDADDAAHWNANRREKQRGKNKDNPLVSAVQLIGDGVSQVQSLGNQAVTNIVSFLNIEPEEFDSSGHSYADDRAFNETKSQKNVEYGYLDGMSSVHSGMGTSIAPASLQIGRIFGFIWSQMRSNNDVVCYCCFILVFLWNFSLLSMFYLAALFLYALCVNSGPTFMFWIIMLIYTEVNILLQYMYQIIIQHCGLTMKVPLLQKLGFPEHRIKASFVISTLPLFLVYISTLLQSSITAKDGEWAPVTDFKISLRKSHYEQGSSSNDGCRDRAQRLLLSLMNPLKMISRSFTRYWRSITQGLEAPPYFVQLSMEVDQWPEDGIQPEMIESGINELLSKAHEERCDAEDPSSCHSASRVRIQSIERSQENKNIVLAVLEVVYASPSEGCASVEWYRSLTPALDVAAEILNSHVSGIVKGIHLPYPIISVIGGGKREIDLYAYVFGADLAVFFLVAMFYQSVIKNNSKLLDVYQLEDQFPKEFVFILMVLFFLIVLDRIIYLCSFAISKVIFYIFNLILFTYSVTEYAWHMESSHKHIGGLALRAIYLTKSVSLALQALQIRYGIPNKSTLYRQFLTSKVTQVHYLGFRLYRVIPFLYELRCVLDWSCTYTSLTMYDWLKLEDIYASLFLVKCDADLNRAKHQQGQRQSKMTKFCSGICLFFILICVIWAPMLIYSSGNPTNIANPVIDVSIQIDIKSAGGRLTLYQSAICEKFPWKSLGFHDKLAPHNYLDTYNVQDIQLICCQADASTVWLVPPIVQNRYAKSIDLNTSIIFTWIFIRERPKGKEAVKYESVVENCPCLSDIKQVLSGTSDSFNITDAYPKFFRVTSSGEVRPLEPTVTYISGDIYMNHGSPPWWSFNVSNALDVEECDGFTGPMAVVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICENIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKSD >Ma00_p02800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:21622912:21698839:1 gene:Ma00_g02800 transcript:Ma00_t02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSPTRRAPMGGFLGSFLLPLLLLAASLLGWNLISLIDMLLFFAILFVSPRGGFSSWTVYTTACCTIIFSMLMLLGQMIFHLVWCIEGDKWPIADAYWAKLIGLVRDQPWGSTSVIYFVIIQISAAFVALVEVLSNRFHQDSCWLNFLSATNNIGYHLRVVCCLVLPAMQLVVGISHPSWISLPFFVCSCVGIVDWSLTSNFLGLFRWWRPLLLYACFSILIIYIYQLPVVFPSMFLVFADFVGLYKINRRSDCSELCSAVSLLIYYFMLSSVKCDLQEMDFIMSMKNNSLVEQLLPSRHSFFIRESRSGKKHTNVLLRGSVFRNFSINFFTYGFPVLLFSLSFWSFSFTSICAFGLLAYVGYVLFSFPSLVELHRLNGMLLIFILLWATSTYVFNVAFTLLNKKIQKDMVIWETIGLWHYPIPGFFLLAQFGLGGLVALVNLVNNSVFLYLSDEGGQFSNNDNIVEEKEDTKVLIVATVAWVLRKISRAITLLLLFLLVIKPGLVHAAYMCFFLVFLLSHSISKKMRQALIIFCEVHFSLLYILQLDLISKSLERSGSLTLVILSQLGLPQHASYVDFVEIGVLVCFCALQNHGFDILCSFSAILQHTPRPPLGFSILKAGLKKSVLLSVYNSPSSRDRQCFSSSHERKIATYLSKVSEKFLSTYRSYGSYVAFLTILCTLYLVTPNYISFGYLFFLLFWIIGRQLVEKTRRRLWLPLKVYATVVFIFTYSLSISSVLSSWVSKLVNLYPDLGFNPEVSLLENVWESLAVLVVMQLYSYERRQSRYKTVDSSDASESGFLGFVRRFLIWHSDKLLSFALFYASLSSISVFGLVYLLGLTICSLLPKASRIPSKAFLLYTGLLVMSEYLFQMWCKLADMCPGQQLYGLALFLGFKYYDSGFWGLESGLRGKILVIVACTLQYNVFHWLDGMPDSLVHKGKWEEPCQLFISTEHSSSGIMVYTEEDKRLLDSTLVSTSESATNLSPSFGSNLNRKSDSILNMIRGSQNKKYSFAYIWGSSKESHKWNKKRILALKKERLEMQKTTLKIYMKFWMENLFKLRGLEISMIVLLLASFAVLNAISMFYILCLVTCILLKREVIRKLWPMFVFIFASVLIVEYFAIWRALIPWTHETSGVEIHCHDCWRSSDHYFSFCTNCWLGLIVDDPRMLVSYYLVFIFSSFKLRSDRFVGFSESHTYFQMMSQRKSAFVWRDLSFETKSFWTFLDYLRLYSYCHLLDIVLALILMTGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKFLRIYNFTVIVLSLAYQSPYLGIFSSGKCEQIDYIYEVIGFYKYDYGFRITSRSALVEIIIFLLVSVQSYIFCSGEFEYVARYLEAEQIGAMVREQEKRAAWKTAQLQHIRKSEEQKRHRNMQVEKIKSEMLNLQVQLDSINSIQPLNNSTMQPGLRHRRSSSISAEKVSQMPDNEFKSPTKQDEDVSKEAYHSFDFTLPEIYMNMTPPPLISDIQHSPTSAKSGSSLSEDMKHNPDSICEISELGDADDAAHWNANRREKQRGKNKDNPLVSAVQLIGDGVSQVQSLGNQAVTNIVSFLNIEPEEFDSSGHSYADDRAFNETKSQKNVEYGYLDGMSSVHSGMGTSIAPASLQIGRIFGFIWSQMRSNNDVVCYCCFILVFLWNFSLLSMFYLAALFLYALCVNSGPTFMFWIIMLIYTEVNILLQYMYQIIIQHCGLTMKVPLLQKLGFPEHRIKASFVISTLPLFLVYISTLLQSSITAKDGEWAPVTDFKISLRKSHYEQGSSSNDGCRDRAQRLLLSLMNPLKMISRSFTRYWRSITQGLEAPPYFVQLSMEVDQWPEDGIQPEMIESGINELLSKAHEERCDAEDPSSCHSASRVRIQSIERSQENKNIVLAVLEVVYASPSEGCASVEWYRSLTPALDVAAEILNSHVSGIVKGIHLPYPIISVIGGGKREIDLYAYVFGADLAVFFLVAMFYQSVIKNNSKLLDVYQLEDQFPKEFVFILMVLFFLIVLDRIIYLCSFAISKVIFYIFNLILFTYSVTEYAWHMESSHKHIGGLALRAIYLTKSVSLALQALQIRYGIPNKSTLYRQFLTSKVTQVHYLGFRLYRVIPFLYELRCVLDWSCTYTSLTMYDWLKLEDIYASLFLVKCDADLNRAKHQQGQRQSKMTKFCSGICLFFILICVIWAPMLIYSSGNPTNIANPVIDVSIQIDIKSAGGRLTLYQSAICEKFPWKSLGFHDKLAPHNYLDTYNVQDIQLICCQADASTVWLVPPIVQNRYAKSIDLNTSIIFTWIFIRERPKGKEAVKYESVVENCPCLSDIKQVLSGTSDSFNITDAYPKFFRVTSSGEVRPLEPTVTYISGDIYMNHGSPPWWSFNVSNALDVEECDGFTGPMAVVVSEETPQGILGETLSKFSIWSLYLTFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICENIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKSD >Ma07_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4127900:4128031:-1 gene:Ma07_g05700 transcript:Ma07_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKYNRKNTAVKRILQEMKEMQSNASDDFMSPPLEVVIPNP >Ma08_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3379586:3380284:1 gene:Ma08_g04880 transcript:Ma08_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLADAFYKLFSASPDEARKEIGQAYFDCLSLGGRFSSDSTALIGGLIASPLHLVIHFLVAVTHGVGHLLLPIPSVRGLRGSARLISAAAGIVLPLMKAEGVRQTFFPATFPAYYRDPPAQLKQ >Ma09_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32152622:32158847:-1 gene:Ma09_g21260 transcript:Ma09_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENPTNRQVTYSKRRTGIMKKAKELTVLCDAEVSIIMFSSTGKFSEYCSPSTDTKKIFDRYQQVSGTNLWSAQYERMQNNLNHLKQINRNLRREIRQRMGEGLDGKDIEELRGLEQNLDEALKVVRNRKYHVISTQTDTYKKKLKNSQEAHRNLLHELEMRDDHPVYGFIEDEPTSYEGALALANGGAHMYSFRVQPSQPNLHGMRYGSHDLRLG >Ma05_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35084693:35089214:1 gene:Ma05_g23070 transcript:Ma05_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding REFSQIYDPKRTSYGDFDEIFRQLQKEAEARAEEMRKSKQAGRVPVAPSKKQAPGGAARGSGKQRSSGGGGNGGGSGKDESDGDSGKGRWLANGSSNGQENGIKENSRAPNTVVKGKENGAPDIGAFDVNKLQKLRSKGGKKSHTGGGGTKAAKAEPTKTLKKNRVWDDSPSESRLDYTDPANERGEGHAEVVAADQGESMMDKEEVVSSDSEHEEDEELENEKPGIKKKGWFSSMLQSISGNAVLEKSDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGMKLGSFTRVSSTVQTAMEEALLRILTPKRSIDILRDVHAAKEQGRPYVVVFVGVNGVGKSTNLAKVAYWLLQHNINVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEAKRNNSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTVPNARLIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Ma02_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10078989:10082872:1 gene:Ma02_g01510 transcript:Ma02_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLEGMKHVKSENGEILTKPFLDVCKNILPVLDKFGAAMAIVKSDIGGNITRLETKYNSDPSKYEYLYSMVQVEVESKTATGSSSCSNGLLWLTRAMDFLVELFRNLLEYADWTMSQVCTDSYSKTLKKWHGWLASSTVSIAMKLAPDRKKFMEVIGGSGEINADMEKFCITFAPFLAENHKFLVSVGLDDMKAS >Ma09_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1401507:1405717:1 gene:Ma09_g01870 transcript:Ma09_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRILLLLLTSVLLLETSASPSPCAFPAIFNFGDSNSDTGGLSAAFGPAPPPHGETFFGAPAGRYCDGRLIIDFIAQGLGLPFLNAYLDSVATNFSHGANFATAGSTIQRQNTTLFQTGYSPFSLDVQSWQYAQFKSRSQIAYKKGVFKDELPPEDYFSKALYTFDIGQNDLTSGYVSNMTTDEVKATIPDILNKFTVVIKGVYGQGGRFFWIHNTGPFGCLPYVLDRYPIRAPEVDHVGCGAPFNDVAQLFNAKLKETVAQLRKDLPQAVFTYVDVYTIKYSLISQAKKHSFEHPLVACCGHGGKYNYNIHWGCGSKVIVNGTEVLVGKACRDPLKRICWDGVHYTEAANKWVYDQIVEGAFSDPPVPLRMACQRQNQ >Ma05_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8003853:8007168:-1 gene:Ma05_g11030 transcript:Ma05_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVSAWAKPSAWALDVEEHESAMAVAKDRDDDVFSSVASQQQDFPSLAAAASSTSKKKKKKAQALTLAEFTTGKPVSHGAGDRPLSSSSKGLTRDELLLLPTGPRERSAEELERSSSRGFGYSSYGGARGRASVSGEDSGPTRWGSSRDSDDPRTDGFGGAGGGSNRDLLPSRADEIDDWGAAKKSVALERRERGGGGSFFDSQSRADESDTWISSKSTAPPAEGRRIGGGGGGFEMFKREGSNSGGADSETWGRKKDFGDSDIWRREDEIGSGGRRRLVLQPRSLPLSNGEQVQGKQDEGSTMEKKSRGSNPFGQARPREEVLADKGQDWKQIDEKLEASKIQDAQLERSFDKRGFGVANGAGRSPENRTDGAWRRPDTAQASPRIDKVENTALEN >Ma05_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24233897:24233971:-1 gene:Ma05_g18610 transcript:Ma05_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYSIRIGIVNSSITSYLRRNKN >Ma03_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2809401:2813622:1 gene:Ma03_g04260 transcript:Ma03_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGLIFSSALVLLLLAQPVSVVSASPPFACDPASPSTRNYGFCQTTLPIDKRVNDLISRLTLEEKIQQLDDETPAIPRLGVPKYYWWSEALHGVSSWGHGIHFDPLTIPGATSFPQVILTAASFDQYLWYRIGQAIAVEARALYNAGHADGLTFWSPNVNIFRDPRWGRGQETPGEDPTTASKYAVAFVRGLQGDSPTGERPGQLMASACCKHFTAYDLDRWNGTLRYTFDARVTAQDMEDTFQPPFRGCVQEGRGTCVMCSYNRVNGVPTCADYNLLTEQAKNAWGLDGYIASDCAAVDFIYGATHYAKTLEEAVSYALKAGVDVNCGKAMSQHVGDAIKNGNVSESDVDRALFNGFSLRMKLGLFNGDPQKLPSGDIPPSQVCSTEHKNLALEAAQAGIVLLKNIGNTLPLARSNVTSLGVIGPNSNAPPSLLGNYNGPPCEVITPLDALQRSINNTRFAIGCNVPGNVSDIPEAVQLASSVDYVIMFMGLDQDQEREDLDRTDLVLPETQQTLISKVAEAAKKPIILVLISGGPLDITFAKDDPRIGAILWAGFPGEAGGSAISSIIFGDHNPGGKLPVTWYPQEFTKVPMTDMRMRADPATGYPGRTYRFYNGKPVYQFGYGLSYSSHSYEFAAGTTTSIYLNNSLSPRAHPNDPNTLSYDIASLGFNTCGELKISATVGVKNHGPMAGRHPVLLFSRWPSTEHGRPAKQLVDFQSVHLEAGESTKVEFSLSACEHLSRVTDDGRRVLDKGSHFLIVGDEEHEISIIA >Ma04_p36560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34876589:34877528:1 gene:Ma04_g36560 transcript:Ma04_t36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGAPKRHTPTHPPYAVMIAAAIRCLAEEGGSSEAAISEYIRANYDDIPWAHDRLLPYYLNKLTEQGEFVVGSPGRYMTAYDDGPPGDAPRTSKPAPAPEPLEPVAAAEARSHAADAGGDGFPVRRRRGRPPKRRRRDGPGSQHGPEDCGGEGGSVVRRRRGRQPRKRIEADVSQDDGNNCGDAGSSDLAEAPPSLQPTHAEALPPEPNIVVDGTETVLALPCAGPSRADDDEDPPSMAPSTVAEDEAEAIRLPNEETSNHGSSVAKRRRGRPSKRKPSVR >Ma01_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21341312:21344709:1 gene:Ma01_g21550 transcript:Ma01_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRLPPSSAAAARARRHAKPAPTNQVQASDALPLPIRHTNLLFSALFAASLVFLMRRWREKVRLSSPLHVVDLAEITAIVGLVASLIYLISFFGIAFVQSIVSSNDEEDDFILSPAAPAPTPASAPAPKLCSLLGSTDSAEKLPEVTADDEEIIASVVAGKTPSYVLESKLGDCRRAAGIRREALRRTTGRAMEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGRQYYVPMATTEGCLVASTNRGCKAIMESGGASSVVLRDGMTRAPALRLPSARRAAELKAYMEEPNNFETISLMFNKSSRFARLQDVHCALAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQSDFPDMDVISISGNFCADKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVPALVELNMIKNLVGSAVAGALGGFNAHASNIVSAVFIATGQDPAQNVESSHCITMMEATNDGKDLHVSVTMPSIEVGTVGGGTQLASQAACLDLLGVKGASMESPGANARLLATIVAGAVLAGELSLMSALAAGQLVKSHMKYNRSGKDLSKRVP >Ma09_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15188162:15188561:1 gene:Ma09_g18010 transcript:Ma09_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGREEGEEDEQGRKKRLWPWLRLRLQHCSWERKERKGGKRGCGRDCGRSGRDCVCSSGSGGGCDSCV >Ma07_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11884662:11884916:1 gene:Ma07_g15720 transcript:Ma07_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVYYIYLIFFLFIRFRLCQSELEDVGVGLHERKEEISKEVLLDDEPAAGMVLPKGCFVVYVADEMRRFVIPLSYLRLSTFRS >Ma07_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12205247:12206186:-1 gene:Ma07_g15960 transcript:Ma07_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNCTGILSFFFRFATHMTDDESRKARRFERGLRPAIRSRMSALKLQTYADTVERALKIE >Ma06_p25690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26157513:26158162:1 gene:Ma06_g25690 transcript:Ma06_t25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAYCLSGNTLSDKSTSLLQQGGFQLIYWSSLIVRLSNDLGTSKAEMERGDTPKSVQCSMSESGETERAAIERIRDMLSHSWKKLSEECWRTQLSRGFADMVLNMARTSQCIFQHGDGIGTSNGVTKNKITSLFVEHYSV >Ma07_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12091512:12094377:-1 gene:Ma07_g15900 transcript:Ma07_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSQLSFLTGTPLSPPPKRNMKPLVSRSCSMDKDALSEKGEVKLAKLAMVALAAGTLTLGSVESAVAAKSGGRIGGQAFRSAAPRVSGPRINNSRTNIYVNPPVAPPLIGGYGYAVPYYGGWGWSPFMFFAPGPSIAVGVGGGFEVLIAFLVFGAITAVIRGLLGRRDYEEDD >Ma06_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5512190:5513732:1 gene:Ma06_g07780 transcript:Ma06_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVYHAFAFVALFSHGLYHLISATRAHLAVPSSSFSTSGRGAAVAADHTARPYYPLALAAHRHHLLRHLPLYLALLCLLVAIAVHAFFSSPDGAHAAAQRFSYLESAAALFVFVLLAASILILPLPADVVFLLAALAFALLSAASAHSAAAYQQSELQSKCDSISSLVSAASATSALVVAIAPRLFVAELALAASIALQGLWSFQTGISLYVDAFIPEGCHQILGVRDISTNCDLDSSLHRAAALLDLAFALHATLIAITTSIVCATSTRAYSSSGLVRRHNGDSYEALPASLSTGTLSDMDHIQMKAFSKSSTQA >Ma07_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11750274:11751058:-1 gene:Ma07_g15560 transcript:Ma07_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEMLQHCLMSKSSESEGKEDEQAVVIDDPPLTWNAGSAAMGGSKKRLLSKQLSMRETTREAKWEKRRRQTLHRRHMMMEAGNDEEKAVEKEPGDDGERRLSGRVKSLTDEDLDELRGCIELGFGFSEEEGGHDLRHTLPALDLYFAVNRQVSDPKLWSSPSPASTPTSTSSPSTLCGPLSPRSPDEQTRSGSSEAWKIFNPGDNPQHVKARLRHWAQAVACSLKQSN >Ma04_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7805907:7811129:1 gene:Ma04_g11100 transcript:Ma04_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSLQLGRRHGEDRFYTAAMALRGHHQNSLRTRSSAAASAAFLNPALLPAFKDKPVVGDRRQLEDRSSSSSARAADACNLDRFLESTTPSVLAHYLPKTTMRDWRTCNVEYRPYFILGDLWDSFQEWSAYGVSIPLVLDSGDCVVQYYVPSLSGIQLYGEATKQGISTGLFCNMRREEESDNDCYQDSTSDGSSHGEHFSLEEGSSSDEGDAENSQGCLLFEYLEQDSPYHREPLADKVLDLACQFPELRTLRSYDLLPASWISVAWYPIYRIPIGQTLKDLDACFLTYHSLSTPIKGAAAAALPMMTYPKDNNCVPKISIPAFGLASYKFKNSLWTNTEGRERQLRVSLLQAADNWLRLLHVDHPDYQFFVSDGSCRR >Ma03_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1961101:1963060:-1 gene:Ma03_g02910 transcript:Ma03_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSYMDRRGGGAGVLTLDTSAPLFLASSSNYVGTGGGVLKRKQPTDSSDHHSGTGAIEFPISLNARSHAEMAEEALSSNPAVADEKKKNTNETSMVEQVDDEDDKEGRNELAAMQVELARMNEENQKLRLLLSQVRASYSALEMHLATLTRQRHQRNNESWKAREVIEVMGATVDAMNRDRGRVIFPRHFMELGPVAEEDKPSNSSTASPGRSSPPPDNLLYKNDENLDPSWNTNKALKLFPTKTAEQTPVATMRKARVSVRARSEATMIADGCHWRKYGQKIAKGNPCPRAYYRCTMATGCPVRKQVQRCADDRSILITTYEGNHNHPLPPAAMAMKSTTSAAASMLLSGSMTSTDGLMNSNFLASTVLPCSSSMATVSASAPFPTVTLDLTQDPNPLLSKRPPAAQFHFPFPSGGAPPQPQSLPHVHGQKLLNQSAFSEFQTSPQMAASQLPQQRAQPVVPPSLTEAVSAATAAITADPNFAAALADAIKSVIGGGHRPPVNSSDNIM >Ma09_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7345673:7349629:-1 gene:Ma09_g10810 transcript:Ma09_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRHGAVRCAHRLLDEMPDRDPVSWNMAISAWFRAGNCRAAQQLFDRMPWPNDVTWSAMIAGYSQSGRARDSLLMFRKMRREAACTLTSCNVVAGVLSACTQSGELSFGAQVHGFAVKISRYTEADAFVGGALVDMYGRCGCIGLARLAFDCMAERTVVAWSSLIANYVRKGDVLVAIDVLREMIQGGTEPNNVTLTTLISACSHIPFLSYGRELHAAVVRRSSRKPDVFVSTALIGMYSKWGYLSCAQNVFHMVGSYLGFWPTAIWNALITGYVANGSLDGALNTFQYMCRSSNAGSQLNSVTMAIVLPICSRLVLILHGEELHCYAIKHGLEKEIVVGNGLLHFYSKCGNIALARKQFDMMTEKNTISWTTLIDGYGMQGDGRSAIKVFENMVRDATVKPDNITFVALVSACSHSGLVEEGLRYFEVMTREYGIIPTEENYGCLVDLLARSGNIDEAKKFIKKLPVEPTENIWGALLGACRIHQNLDVAELAMQHLRHLEQRGSGFQALLSNLYAEMDRRDGSVKMQQEMVEIGVPKRKGYSWLESKKDSAAIC >Ma03_p30010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32820457:32840851:1 gene:Ma03_g30010 transcript:Ma03_t30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGFPVVMCLYIPTETSSPTSHLHISSLLSAQSMTAAGSGSFQDGRIASKRLMMLVALLLVSCCLGHGFGDEDHGEVEGIGSSCMESERRALLAIKSDMYDPDNWFSSWTGKDCCGWRGVACDHTTGHVTKLDLRYPYTYELWDMFNDGERIGVSKVNPSLQELKQLKYLDLSMNNFSHAPVPKMIASLVHLEYLNLSYAMFDGPIPPQLGNLSNLHYLDLQRWYDDDFLHVDDLDWLSRIPSLKYLDMSYVNLSKATNWFYIINSIPTLEVLHLFDVDLPYVPSPLPPFNLTDIATLDLSLNSNIRSAMLRWLSNATSLENLLLSGCGSLTIESVQAALGALSNLKGLDLSDNLFKGEIREILNNVSSRGLKHLDLSSNQLSGDIPPGSLRDLEYLDLSLNLIVDVHILASLGNLTNLRHLWLSGNSISGEIPPIVGDSVRLEYLDLSFNGIIGKIPQSIGNLTNLVHLDLSYNNQISGEIPSTIGGLQNLYLLCLRDNSIIGQIPDTIGRLHSLKCLDISNNNLSGAKFPDWIQTQQRLQGLYLSGVGVSGENKLFGKIPTWIGRNLSSLKFLRLRSNLLYGTIPENIVDLTSLQVLDLSSNNLTGSLPSSLANFSAMVEMHTRSFHEDCPVYQTPPDARVKHEDDGKLDKLLEYTSIVVGFVVGFWLFIGTLIMKQAIRFAFFRRIDKTIDWIYVQFAVKLAKLKSKWQTTT >Ma04_p35070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34013398:34020724:1 gene:Ma04_g35070 transcript:Ma04_t35070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTSPPLPSKGFDRERQRDREMERVFSVDEIGDSFWAASPAPPPGLPLPPGGAAMNRSPSEWYFERFLAEEPTPAPTAASSSPNPNPNPSFHPNPNRNVPPNPSASSSVVGSNFCEGRRELGRVGDDEVVEIKAPIVVAVPPQPSDLPATVDPGHYQTLLKHKLDIACAAVAMSRGSSVQDSASIADSMSPISDVSHLGSQAPVKGNASTVQHKAGGGPGGVPALSILQNSGTQGRPATSGSSREQSDDDDEVEGDAETNDNMDVTDIKRIRRMLSNRESARRSRRRKQAHLSELEVQVSQLRIENSSLLKRLTDINQKYSDAAVDNRILKADVETLRAKVKMAEETVKRVTGVSPLYPIISDMSNISLSFAGSPSDATSDAAVPVHDDSNHFYHVSPHEQRVTTCLPDIGVAPAVENAVRGAAAAGRIAGPASLQRIDSLEHLEERMFGGPTSPLQWDTAAWDPDTSLTNNKN >Ma04_p35070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34013397:34020724:1 gene:Ma04_g35070 transcript:Ma04_t35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTSPPLPSKGFDRERQRDREMERVFSVDEIGDSFWAASPAPPPGLPLPPGGAAMNRSPSEWYFERFLAEEPTPAPTAASSSPNPNPNPSFHPNPNRNVPPNPSASSSVVGSNFCEGRRELGRVGDDEVVEIKAPIVVAVPPQPSDLPATVDPGHYQTLLKHKLDIACAAVAMSRVHPGSSVQDSASIADSMSPISDVSHLGSQAPVKGNASTVQHKAGGGPGGVPALSILQNSGTQGRPATSGSSREQSDDDDEVEGDAETNDNMDVTDIKRIRRMLSNRESARRSRRRKQAHLSELEVQVSQLRIENSSLLKRLTDINQKYSDAAVDNRILKADVETLRAKVKMAEETVKRVTGVSPLYPIISDMSNISLSFAGSPSDATSDAAVPVHDDSNHFYHVSPHEQRVTTCLPDIGVAPAVENAVRGAAAAGRIAGPASLQRIDSLEHLEERMFGGPTSPLQWDTAAWDPDTSLTNNKN >Ma03_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:775449:780932:1 gene:Ma03_g00970 transcript:Ma03_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFFKKSGAHRPNYERPYNDNLAGHGGANHATNHPPNSAPPVIVQPIAVPSIPVDELNEITKSFSNEVLVGEGSFARVYHGTLRNGQESAIKKLDTSNQPDQEFLAQVSMVSRLQHENVVRLLGYCVDGSLRVLAYEFAARGSLHDILHGKKGVQGAKAGPVLTWAQRVKIAVGAAKGLEYLHEKAQPRIIHRDIKSSTIFLFDDDDDVAKIGDFDLSNQAPDMAARLHSTRVLGTFGYHAPEFAMTGQLTSKSDVYSFGVVLLELLTGRKPVDPSLPRGQQSLVTWATQRLTEDKVDQIVDEKLGGEYPQKIVAKVAAVAALCVQYEAELRPNMNIVVKALQPLLGARKAVHPGEAAPRF >Ma10_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30003908:30006980:-1 gene:Ma10_g19460 transcript:Ma10_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVENGVVQSKRTIWRLSIISDFFWAIVNSIGLFFITMFSMEKSDQYKKGSGSSKKWDGGPGGGGPGGSSGSGPYGGGPGGRRGPRTLSDLRSNDHSSFPACGSCCGGG >Ma08_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1804838:1807369:-1 gene:Ma08_g02270 transcript:Ma08_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDGSRRRLTLFQCLRLHFILPSSLKRKGAFYLLGTLPKLFLMKWSRLLFSRNQNILHGTIIVGDGKPSFGES >Ma03_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9438799:9444547:1 gene:Ma03_g12210 transcript:Ma03_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMMLRALRRGDLSPPSLSSRLRCLSGNASVPWCASPLGHKWANLVRSFSTKPAGNDIIGIDLGTTNSCVAVMEGKNAKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRRFDDPQTQKEMKMVPFKIVRAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALAYGLNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKSTNGDTFLGGEDFDNALVEFLVDEFKRTEAIDLSKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHMNITLTRSKFETLVNHLIERTKNPCRSCLKDAGISSKDVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGVRVLQGEREMAADNKLLGEFELVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEEEIEKMVKEAELHAQKDQERKALIDIKNSADTTIYSIEKSLSEYRDKIPAEVVTEIETAVADLRKEMAGDSVDNIKAKLDAANKAVSKIGQHMAGGSGGSSSGGSQGGGQASEAEYEEVKK >Ma04_p34910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33881493:33882532:-1 gene:Ma04_g34910 transcript:Ma04_t34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein 11 [Source:Projected from Arabidopsis thaliana (AT4G33355) UniProtKB/Swiss-Prot;Acc:Q2V3C1] MGRVIAGLLLVLAVAHLVVEPAGAITCQDVSSTIGSCVAYVTGKQPRPTAACCAGVRRLHSLASTTAARRTACNCLKSREGRIKNIRDQNLSALPGLCSVSLAFRLSTRTNCNSIP >Ma05_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1430720:1439344:1 gene:Ma05_g02250 transcript:Ma05_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYEGLERFGMENDYEGGEWIGGEFYYRRKKERPVQTRDDVIYGAFAAGSSDSDSDGGGGRRSRKRRKGDLVSKPDLSKPVRFVSTGTVMPNQEIDRDLKEEGNRAAEAPDLSQGLGYGLGFRADKKEPEGDEDEDEDSFLPTAFGRKIKEGAQRREKEKEWEREKSKTAKKPLGKRDVSGADEIGKFQSYTKGIGFKLMKMMGYKEGSGLGKNEQGIVAPIEAKLRPKNMGMGFNDYKEAKLPALDEPLQEKAASSVLPGRSKEKRWLKQKQGKKKVEILTADELLAKKQEQGIEVVQKVLDMRGPQVKVLMSLENLNAEDEMKENEVPMPELQYNVRLIVDSTEVDIQKLDRDLRREREKVVSLQMEKEKILKEEMRQRQQLQVMETIARVLERVKDDNLSGVLTLDSLLATFRDIKERFREDYKLCNISCVACAFAYPLLLRVFQGWEPLQNPLHGMSLISSWRDLLQGDQPHDFSENISTASPYAQLVSEVILPAVRISGTNTWQARDPEPMLRFLEAWERLLPPVVLQSVLENVVMPKLTAAVETWDPRRETVPIHVWVHPWLPLLGQRLETLYQTIHYKLGSVLHVWHASDASAYAVLSPWKDVFDAASWEDLMVRYIVPKLRISLQEFQVNPANQNLDQFNWVMMWASVIPIHHMVHMLEVDFFSKWQQVLYHWLCSNPNYNEVMQWYMGWKGLFPAELLANERIRMLLSAGLDMMNQAVEGMEVVQPGARENVSYLRATEKRQFEAQQQTAAYSSVHVNGMASVHEMSFKESIEAYAMEQGLLFLPKVGRSYNGLPVYGFGNVSICIDSVKQLLYAQVQEGTERWSAVSLTQLLEMHQNAARR >Ma01_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24186893:24187738:-1 gene:Ma01_g22290 transcript:Ma01_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQYKECNLIARTETYLDEIVSQSVEKSLEVLCACDGLHPMAEDVGLLDRCIDAIANNVRKEQLVSGLAHLECDSRSEKTHCQYWWVEELSVLNIELYKQVITAMRRKGVRADSIIQSIVHYAQNTLKDSQKQQPWDFGVVVGDKQRVIVEALVGLLATEKITSVPLYFLFGILRMAVEMDAGLSCKQEIERRIGFQLELASLDDLLIPSLQTSDSVFDVDTVHRILVNFLKRIDEEDYEESSQCTYESQGLKSISHSSVLKVGRLIDGYLAEIAPDPYI >Ma09_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35383449:35388123:-1 gene:Ma09_g23540 transcript:Ma09_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENGRFQAMQRVMSQKEQSDGQARQLVAVAVDRDKSSHAAFRWALDNVVTKGQTLTLIHVNTKSGYGDDARDVFVPFRCFCTRKDVYNKDVILEDTDVAKAIIGFVADAAIEKLVVGSSSSRGGFVRSFRNSDISTNIYKGVPDFCTVYLIHKGKVSSVKNAVRPAPAFSLLQKQIQGLSSVRPDSLDHSHKNDMKDRNFLMPSEAAFAPRNLQREDESIKSPFTRGTCVSTKKSNGEMMIDGDKSLANARSYPPRLSNISDTYDCNSESSCSSGNEVASLSSESLSSQPKEDVEAKLNRLRLELKQTMDMYSTARREALTAKQKAMELQRWKMEDEPRLEEAQLVKEAANVFAQRETAEASRKIAVSKSQKNIRGEVIAETEKNDFEALSHTSLSYRKYTIEEIEVATDHYAQDRKIGEGGYGPVYRGYLDHTPVAIKVLRPDAAQGRTQFQREVEILCCIRHPNMVLLLGACPEHGCLVYEYMANGSLEDCLFRRGNTPPIPWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPTAADHTTQYCMTATAGTFCYIDPEYQQTGMLGVKSDIYSLGIILLQIITSKSPMGLAHYMERAIERGTFTEMLDQSVPDWPVEEALRLAKLALKCAELRRKDRPDLAMVILPELDRLRDLAEENMQYFVFGSNPHPSLMHTPVSTQDIINGSSTTPSGYESSSGSSMAGSRLSIF >Ma03_p31140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33471126:33473027:-1 gene:Ma03_g31140 transcript:Ma03_t31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPPAAVDLCSTELIYRQGFLRGYGGCHSREWRGPLDSSLSPLEGEKGRRRTAFSINDNVDLLAEILGRLDGRSLAVAGCVCRLWSAVGRRDSVWEDVCHRHAAGGSGATRSVVAALGGYRRLYRLCIGPALDRLAGSDGAHLSLSLSLSLSLFSIDCYERLGGRQQQQQQSASLLFLFKPVDVS >Ma06_p30300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31606715:31608878:-1 gene:Ma06_g30300 transcript:Ma06_t30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYCSEAECPVSNDDPKPRRASKKKKKKKKKGQRRAQEEEEEMVENGRDGKAWEAAWPRRSRPGGGGVVMLEGYVEAAEGLDLGSDVGGDGVGRTMSLTDDDLVELKGCLDLGFGFSYREIPELCHTLPALELCYSMSRSLEVDGGDAAAEPCAAAVASLPVSNWKISSPGDPPDEVKARLKFWAQAVACTIRLCN >Ma05_p12270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8892430:8908452:-1 gene:Ma05_g12270 transcript:Ma05_t12270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSKRTANFRMAPSGGRSSLGAPAFSGGHSASTSGSAGSPSSRSEPTETNPASESALVRLNHLDIHGGDEGTPVGAVSGKKKKRGARAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVTEFTDPNNNPGSQDQQQYEEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDVEELKAEQIGLKNRIEKKTAYLQELQNQFIGLQSLVQRNEQLHGSEHVPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKAMGFCEREQHDGALEPSSSGGDCSSMIGMHQYHTWQPSRPSSMVRMITSPPKPGILKARVKNEH >Ma05_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8892430:8908452:-1 gene:Ma05_g12270 transcript:Ma05_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSKRTANFRMAPSGGRSSLGAPAFSGGHSASTSGSAGSPSSRSEPTETNPASESALVRLNHLDIHGGDEGTPVGAVSGKKKKRGARAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVTEFTDPNNNPGSQDQYEEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDVEELKAEQIGLKNRIEKKTAYLQELQNQFIGLQSLVQRNEQLHGSEHVPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKAMGFCEREQHDGALEPSSSGGDCSSMIGMHQYHTWQPSRPSSMVRMITSPPKPGILKARVKNEH >Ma05_p12270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8892430:8908452:-1 gene:Ma05_g12270 transcript:Ma05_t12270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSKRTANFRMAPSGGRSSLGAPAFSGGHSASTSGSAGSPSSRSEPTETNPASESALVRLNHLDIHGGDEGTPVGAVSGKKKKRGARAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVTEFTDPNNNPGSQDQVANQQYEEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDVEELKAEQIGLKNRIEKKTAYLQELQNQFIGLQSLVQRNEQLHGSEHVPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKAMGFCEREQHDGALEPSSSGGDCSSMIGMHQYHTWQPSRPSSMVRMITSPPKPGILKARVKNEH >Ma01_p05790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4093453:4104410:1 gene:Ma01_g05790 transcript:Ma01_t05790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNRRRAASSSGRGTMDSSKQQQVTGRRPQLVRRNAVKYVEYEVAGSSSTSFSSAATEENPDLRAARPLDLFSSAYAQQTSFRIDGSIEGEVDILCRSLGLNSPEDFAISEDEWERHKARLSSDVLSRSRLLQLDSPTHKDPAFASEDPILVSDRTPLEPISASAEVIVSQIGPEEECGQLTDDSKVETGVVGGQSCVSPSSGGGGGIRGVRPPVLSPPPPMTKFSSPSLRHEPYSSSSTLQPAPLISLAVTDAANLTSDIVRTVAPEESDLEARGKKSVDSEENKGKGEILVGDEVSEEELRELWLQDTPEDFTGTSSYSTMNDDESCSTTTETTFTISPNGWLKRKIKSWMRGMLLGSGSYGMVYEGISDEGIFFAVKEVSLLDQGSNAEQCIIQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLSSLYQKYRLQDSQVSAYTRQILNGLNYLHERNIVHRDIKCANILVHADGSVKLADFGLAKEMTKVTLLKSCKGSVYWMAPEVINPRRSYGPAADIWSLGCTVLEMLTRQIPYPNLEWTQALYRIGHGEQPSIPSYLSKDARDFISQCVKVNPDDRPTASQLLEHPFVRRSMGTS >Ma01_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4093453:4104410:1 gene:Ma01_g05790 transcript:Ma01_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNRRRAASSSGRGTMDSSKQQQVTGRRPQLVRRNAVKYVEYEVAGSSSTSFSSAATEENPDLRAARPLDLFSSAYAQQTSFRIDGSIEGEVDILCRSLGLNSPEDFAISEDEWERHKARLSSDVLSRSRLLQLDSPTHKDPAFASEDPILVSDRTPLEPISASAEVIVSQIGPEEECGQLTDDSKVETGVVGGQSCVSPSSGGGGGIRGVRPPVLSPPPPMTKFSSPSLRHEPYSSSSTLQPAPLISLAVTDAANLTSDIVRTVAPEESDLEARGKKSVDSEENKGKGEILVGDEVSEEELRELWLQDTPEDFTGTSSYSTMNDDESCSTTTETTFTISPNGWLKRKIKSWMRGMLLGSGSYGMVYEGISDEGIFFAVKEVSLLDQGSNAEQCIIQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLSSLYQKYRLQDSQVSAYTRQILNGLNYLHERNIVHRDIKCANILVHADGSVKLADFGLAKEMTKVTLLKSCKGSVYWMAPEVINPRRSYGPAADIWSLGCTVLEMLTRQIPYPNLEWTQALYRIGHGEQPSIPSYLSKDARDFISQCVKVNPDDRPTASQLLEHPFVRRSMGTS >Ma10_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2407093:2409042:-1 gene:Ma10_g00760 transcript:Ma10_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASLCIKGFALLVSVLVAVPTRVQSIGVCYGMLGNNLPPPSEVVSLYKSNNIARMRLYDPNQAALQALRNSNIQVLLDVPRSDVQSLASNPSAAGDWIRRNVVAYWPSVSFRYIAVGNELIPGSDLAQYILPAMRNIYNALSSAGLQNQIKVSTAVDTGVLGTSYPPSAGAFSSAAQAYLSPIVQFLASNGAPLLVNVYPYFSYTGNPGQISLPYALFTASGVVVQDGRFSYQNLFDAIVDAVFAALERVGGANVAVVVSESGWPSAGGGAEASTSNARTYNQNLIRHVGGGTPRRPGKEIEAYIFEMFNENQKAGGIEQNFGLFYPNKQPVYQISF >Ma03_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1144857:1147485:1 gene:Ma03_g01650 transcript:Ma03_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVGGGQDNVKHLADCSVANALGTWFFSVAGALIAIPVGIRKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLETQNLASSASADAEG >Ma06_p38820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37461662:37463182:-1 gene:Ma06_g38820 transcript:Ma06_t38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDISCVPPGFRFHPTDEELVGYYLRKKVAARKIDLDVIKDVDLYKIEPWDLEEICKIGAEEQTEWHFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYSVRRLIGMRKTLVYYKGRAPNGQKSDWIMHEYRLETNEDGTPQEEGWVVCRAFKKRVPTARKASDELLPWYDEQASFMQDIYSPKRTIPQADIGYHHQLYPHKRDIKLHHHSPHEACHQLPPLESPKFLNYLNHESSLQRCIISENEALQPGHRFGEITTCNDNENTSRASDQMTDWRVLDKFVASQLSHDVSREPIYSDEANILQAPVEQQVVGVELPLASASSCQIDPWK >Ma04_p19760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22402808:22403907:1 gene:Ma04_g19760 transcript:Ma04_t19760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIVLKVDLHDNKDKQKAMKAVSTLLGIDSIAMDMKDMKMTVIGAADPIDVVGKLRKCWPTDIVSIGPKEEPKKEDGKKEEPKKDDAEKKKEAEKMIKELVDAYKAYNPCMTTHYYVHSAEENPNACVIL >Ma04_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22402808:22403907:1 gene:Ma04_g19760 transcript:Ma04_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVSTLLGIDSIAMDMKDMKMTVIGAADPIDVVGKLRKCWPTDIVSIGPKEEPKKEDGKKEEPKKDDAEKKKEAEKMIKELVDAYKAYNPCMTTHYYVHSAEENPNACVIL >Ma04_p19760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22402808:22403907:1 gene:Ma04_g19760 transcript:Ma04_t19760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCACWQKIVLKVDLHDNKDKQKAMKAVSTLLGIDSIAMDMKDMKMTVIGAADPIDVVGKLRKCWPTDIVSIGPKEEPKKEDGKKEEPKKDDAEKKKEAEKMIKELVDAYKAYNPCMTTHYYVHSAEENPNACVIL >Ma04_p19760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22402808:22403907:1 gene:Ma04_g19760 transcript:Ma04_t19760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVSTLLGIDSIAMDMKDMKMTVIGAADPIDVVGKLRKCWPTDIVSIGPKEEPKKEDGKKEEPKKDDAEKKKEAEKMIKELVDAYKAYNPCMTTHYYVHSAEENPNACVIL >Ma07_p18270.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24913841:24927408:-1 gene:Ma07_g18270 transcript:Ma07_t18270.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDAFAFYMHDARFYLICRLCDMSLDASALLKHAAKAELRESEKILFGHQVFSTLDSLLLDIHAMLQPRPIDYEQRRLLIEEFNSMAVDRFGNNGGFPTVEAFGSFVMDLFTSSSDLDLSVNFSNNMNNFPRKEKISVLGKLSKVLYGHQRKGHVTGVLPIMGARVPVLKMKAWAKAHDINSSKDRTMNSLSIIALVAFHLQTRNPPILPPFCALLKDGTDMLSIERRVAGFKNFGIRNRESVAELFVSLLRKLLSVMHLWGHGLCASTCEGSWICKKQWASGVGNMNVEDFLDRSENFARSVGEAGMQKIYECIRGSLSDLSRFAMRQIDSLELKELIFKSVDDLNAPKKEGVHNEVVQHKRRYPFHDAGTSVKPMTSKRPRYLEPTRAPDHARYQTPNPLAALQSQATSYGIYRPGLIFGSPQPAFTPYHHHRQRPVASLGAGYGSPPLQQNPFASQRGYGSYHQPVLPGPPYIRHSQQRDQQDQDYLFPGRGSSK >Ma07_p18270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24913841:24927413:-1 gene:Ma07_g18270 transcript:Ma07_t18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDASALLKHAAKAELRESEKILFGHQVFSTLDSLLLDIHAMLQPRPIDYEQRRLLIEEFNSMAVDRFGNNGGFPTVEAFGSFVMDLFTSSSDLDLSVNFSNNMNNFPRKEKISVLGKLSKVLYGHQRKGHVTGVLPIMGARVPVLKVVDCRTGVECDISVENKDGISRSLIFRFVSSIDERFRILCYLMKAWAKAHDINSSKDRTMNSLSIIALVAFHLQTRNPPILPPFCALLKDGTDMLSIERRVAGFKNFGIRNRESVAELFVSLLRKLLSVMHLWGHGLCASTCEGSWICKKQWASGVGNMNVEDFLDRSENFARSVGEAGMQKIYECIRGSLSDLSRFAMRQIDSLELKELIFKSVDDLNAPKKEGVHNEVVQHKRRYPFHDAGTSVKPMTSKRPRYLEPTRAPDHARYQTPNPLAALQSQATSYGIYRPGLIFGSPQPAFTPYHHHRQRPVASLGAGYGSPPLQQNPFASQRGYGSYHQPVLPGPPYIRHSQQRDQQDQDYLFPGRGSSK >Ma07_p18270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24913841:24923789:-1 gene:Ma07_g18270 transcript:Ma07_t18270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTSSSDLDLSVNFSNNMNNFPRKEKISVLGKLSKVLYGHQRKGHVTGVLPIMGARVPVLKVVDCRTGVECDISVENKDGISRSLIFRFVSSIDERFRILCYLMKAWAKAHDINSSKDRTMNSLSIIALVAFHLQTRNPPILPPFCALLKDGTDMLSIERRVAGFKNFGIRNRESVAELFVSLLRKLLSVMHLWGHGLCASTCEGSWICKKQWASGVGNMNVEDFLDRSENFARSVGEAGMQKIYECIRGSLSDLSRFAMRQIDSLELKELIFKSVDDLNAPKKEGVHNEVVQHKRRYPFHDAGTSVKPMTSKRPRYLEPTRAPDHARYQTPNPLAALQSQATSYGIYRPGLIFGSPQPAFTPYHHHRQRPVASLGAGYGSPPLQQNPFASQRGYGSYHQPVLPGPPYIRHSQQRDQQDQDYLFPGRGSSK >Ma07_p18270.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24913841:24927378:-1 gene:Ma07_g18270 transcript:Ma07_t18270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDASGNNGGFPTVEAFGSFVMDLFTSSSDLDLSVNFSNNMNNFPRKEKISVLGKLSKVLYGHQRKGHVTGVLPIMGARVPVLKVVDCRTGVECDISVENKDGISRSLIFRFVSSIDERFRILCYLMKAWAKAHDINSSKDRTMNSLSIIALVAFHLQTRNPPILPPFCALLKDGTDMLSIERRVAGFKNFGIRNRESVAELFVSLLRKLLSVMHLWGHGLCASTCEGSWICKKQWASGVGNMNVEDFLDRSENFARSVGEAGMQKIYECIRGSLSDLSRFAMRQIDSLELKELIFKSVDDLNAPKKEGVHNEVVQHKRRYPFHDAGTSVKPMTSKRPRYLEPTRAPDHARYQTPNPLAALQSQATSYGIYRPGLIFGSPQPAFTPYHHHRQRPVASLGAGYGSPPLQQNPFASQRGYGSYHQPVLPGPPYIRHSQQRDQQDQDYLFPGRGSSK >Ma07_p18270.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24913841:24927394:-1 gene:Ma07_g18270 transcript:Ma07_t18270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDASALLKHAAKAELRESEKILFGHQVFSTLDSLLLDIHAMLQPRPIDYEQRRLLIEEFNSMAVDRFGNNGGFPTVEAFGSFVMDLFTSSSDLDLSVNFSNNMNNFPRKEKISVLGKLSKVLYGHQRKGHVTGVLPIMGARVPVLKVVDCRTGVECDISVENKDGISRSLIFRFVSSIDERFRILCYLMKAWAKAHDINSSKDRTMNSLSIIALVAFHLQTRNPPILPPFCALLKDGTDMLSIERRVAGFKNFGIRNRESVAELFVSLLRKLLSVMHLWGHGLCASTCEGSWICKKQWASGVGNMNVEDFLDRSENFARSVGEAGMQKIYECIRGSLSDLSRFAMRQIDSLELKELIFKSVDDLNAPKKEGVHNEVVQHKRRYPFHDAGTSVKPMTSKRPRYLEPTRAPDHARYQTPNPLAALQSQATSYGIYRPGLIFGSPQPAFTPYHHHRQRPVASLGAGYGSPPLQQNPFASQRGYGSYHQPVLPGPPYIRHSQQRDQQDQDYLFPGRGSSK >Ma07_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24913841:24927408:-1 gene:Ma07_g18270 transcript:Ma07_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDASALLKHAAKAELRESEKILFGHQVFSTLDSLLLDIHAMLQPRPIDYEQRRLLIEEFNSMAVDRFGNNGGFPTVEAFGSFVMDLFTSSSDLDLSVNFSNNMNNFPRKEKISVLGKLSKVLYGHQRKGHVTGVLPIMGARVPVLKVVDCRTGVECDISVENKDGISRSLIFRFVSSIDERFRILCYLMKAWAKAHDINSSKDRTMNSLSIIALVAFHLQTRNPPILPPFCALLKDGTDMLSIERRVAGFKNFGIRNRESVAELFVSLLRKLLSVMHLWGHGLCASTCEGSWICKKQWASGVGNMNVEDFLDRSENFARSVGEAGMQKIYECIRGSLSDLSRFAMRQIDSLELKELIFKSVDDLNAPKKEGVHNEVVQHKRRYPFHDAGTSVKPMTSKRPRYLEPTRAPDHARYQTPNPLAALQSQATSYGIYRPGLIFGSPQPAFTPYHHHRQRPVASLGAGYGSPPLQQNPFASQRGYGSYHQPVLPGPPYIRHSQQRDQQDQDYLFPGRGSSK >Ma07_p18270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24913841:24927408:-1 gene:Ma07_g18270 transcript:Ma07_t18270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDAFAFYMHDARFYLICRLCDMSLDASALLKHAAKAELRESEKILFGHQVFSTLDSLLLDIHAMLQPRPIDYEQRRLLIEEFNSMAVDRFGNNGGFPTVEAFGSFVMDLFTSSSDLDLSVNFSNNMNNFPRKEKISVLGKLSKVLYGHQRKGHVTGVLPIMGARVPVLKVVDCRTGVECDISVENKDGISRSLIFRFVSSIDERFRILCYLMKAWAKAHDINSSKDRTMNSLSIIALVAFHLQTRNPPILPPFCALLKDGTDMLSIERRVAGFKNFGIRNRESVAELFVSLLRKLLSVMHLWGHGLCASTCEGSWICKKQWASGVGNMNVEDFLDRSENFARSVGEAGMQKIYECIRVDDLNAPKKEGVHNEVVQHKRRYPFHDAGTSVKPMTSKRPRYLEPTRAPDHARYQTPNPLAALQSQATSYGIYRPGLIFGSPQPAFTPYHHHRQRPVASLGAGYGSPPLQQNPFASQRGYGSYHQPVLPGPPYIRHSQQRDQQDQDYLFPGRGSSK >Ma07_p18270.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24913841:24927413:-1 gene:Ma07_g18270 transcript:Ma07_t18270.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDASGNNGGFPTVEAFGSFVMDLFTSSSDLDLSVNFSNNMNNFPRKEKISVLGKLSKVLYGHQRKGHVTGVLPIMGARVPVLKVVDCRTGVECDISVENKDGISRSLIFRFVSSIDERFRILCYLMKAWAKAHDINSSKDRTMNSLSIIALVAFHLQTRNPPILPPFCALLKDGTDMLSIERRVAGFKNFGIRNRESVAELFVSLLRKLLSVMHLWGHGLCASTCEGSWICKKQWASGVGNMNVEDFLDRSENFARSVGEAGMQKIYECIRGSLSDLSRFAMRQIDSLELKELIFKSVDDLNAPKKEGVHNEVVQHKRRYPFHDAGTSVKPMTSKRPRYLEPTRAPDHARYQTPNPLAALQSQATSYGIYRPGLIFGSPQPAFTPYHHHRQRPVASLGAGYGSPPLQQNPFASQRGYGSYHQPVLPGPPYIRHSQQRDQQDQDYLFPGRGSSK >Ma04_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9620361:9625326:-1 gene:Ma04_g12750 transcript:Ma04_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPOLAR SPINDLE 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) UniProtKB/Swiss-Prot;Acc:F4KDF5] MPPTPSVRCDSPSFKISLALALIRFNKIHRPSPSSSSDAQRWKRKAKDRKLEILRLREELKQLEDGRTCEADLPIASCRCHFFDGIGELGGDGSGRGDGGHWIDEVLRRRFLRLVRWKERRKKVDRPLNQKHFLSFGSENEIEQLGTSVDFLVEFTDNILVKGEIGPSFATFSHQAIDFILASLKNLLSSQKDIELIEDIVNGLIMRLIRRMCAIPENDGSVNSDSDPQFCVQHLIRKLGNEPFVGQRILLSVSQKTSVVIDSLLLMDPFDDSFPCLHGNMFMMIELMEFLISDYVRSWISIEDFDARLLEEWVRSVIQARKVSELLESRNGLYMLYMERVVGELAKILSPLASQGKLDVDILSYMCC >Ma07_p25950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32917260:32924704:1 gene:Ma07_g25950 transcript:Ma07_t25950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIGGGDKGKSFPMDPNLPIGVCQNCHHALCVVGVDSYADKFFNDPSRSGMQASTVQGSVMGASRMDHSFVVLPKQKYQGHGVPPRPRSTTPQPDNSHSAKAIEESYVVLPPAAASMYKSELTPEEGGAQQRFQGGSPSSGLQVNGPGFHSSIILKRAFDIATTQTQVEQPLCLECMRLLSDKLDKEVEDVNRDIKSYEACLKQFEMESYDVLSEADFLQEKAKIGEEERRLQAEIEEIEKQRLEVNAELKEVQVKSKEFKELEERYWHEFNSFQFQLISHQEERDALLAKIEVSQAHLDLLKRTNVLNDAFSISHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQVSNKDSSDGKLSPYYGQ >Ma07_p25950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32917253:32926332:1 gene:Ma07_g25950 transcript:Ma07_t25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIGGGDKGKSFPMDPNLPIGVCQNCHHALCVVGVDSYADKFFNDPSRSGMQASTVQGSVMGASRMDHSFVVLPKQKYQGHGVPPRPRSTTPQPDNSHSAKAIEESYVVLPPAAASMYKSELTPEEGGAQQRFQGGSPSSGLQVNGPGFHSSIILKRAFDIATTQTQVEQPLCLECMRLLSDKLDKEVEDVNRDIKSYEACLKQFEMESYDVLSEADFLQEKAKIGEEERRLQAEIEEIEKQRLEVNAELKEVQVKSKEFKELEERYWHEFNSFQFQLISHQEERDALLAKIEVSQAHLDLLKRTNVLNDAFSISHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMAQHFRPKFLYRIKILPMGSYPRIMDNNNNAYDLFGPVNLFWSTRYDKAMTLFLTCLKEFAEFANLKDQENNIPPEKCFRLPYKIENDKLDSYTITQSFNKQENWTKALKYTLCNLKWVQYWFVGNSNFQPLSAMASSRADVPVMGSSHAKQSADRRS >Ma09_p28780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39436338:39444360:1 gene:Ma09_g28780 transcript:Ma09_t28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPHVTITLGRSGQFVKRARPGADFGQSDHDISSGRHQPTRERSGSDMTDSSLYGSQHKNKRIRTENNNSSLANKDRQIGRNDLRFKLINKSLFRKTGTGGGGQNDVDLREKLSRNREISFKSNPRRNLREFRTSGTVRRLPPTRSVDDLLQLDSKRKSYSSRTVDERRHRSPDKLISNARAFYSPRSHEDASRHSSFIKNGRVNSSRTISSVGKTGLLIDAGKSGIRDSSSGSIIHRSTRKPEEPFTVSSFLNALGLGKYAILFQAEEIDMTSLREMGDHDLKELGIPMGPRKKILLAVLSHTKYHYL >Ma03_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26819345:26821973:1 gene:Ma03_g21960 transcript:Ma03_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFPQIKQLVVVLFSQRSRQMHNGSCPPPFLQVLGPAAPSAPPYLACHGGHSTNGEAVMFLLHVFTFLSFLLTVLHLVSFLLTKLFTFLLERAAATEEQIRDSHLIYRDEIQPVDDDSYSGIGDFAAGIFSREDSLLFFYNQRVVNDTLLVEQEKGSNQQQNLLEVDESFVAESWYGSPLDHPEELGVDVPADDVTEPSDVAYPITYDGTDRDEDVHGDAYQNNKNEEEEEEEFSSKELRLEEDKESSGGSLTGTDFSSKSSTGWRNVALFRDSETECLFSSSSQRSSSHWETYTSFRRYDEERMLFDRFSAQKLTETESFRSIRFQPRSMSERLVHRLTTPKKEEGGRDPYQELEAAYVSQICLAWEALNWNYNNIRQRSATGDGERASCTVCVAQQFQQFQVLLQRFIENEPCERGRRPEVFARTRISAPKLLQVPEFREADEGKEDVVSSTEFLAILVDAIRTFLSFLQADKENPCQMLRAFIKRKSSSVNSTLLHVLRRANKKKKMRLKDIMKRRRCLERKRSNGDEETDVLMGLIDMKIVSRILRMPEISQEQLRWCEKELTRVRIWDGKVLRDSSPLPFPVH >Ma08_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15210832:15211987:-1 gene:Ma08_g15180 transcript:Ma08_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDIRVIPEENSFRVFIGYDPREDEAYQVCRRSLLRRSTVPVSVHPIRQSDLRSAGLYRRERGPTESTEFSFTRFLTPYLASYRGWALFVDCDFLFLADPAALFALRDDRFAVMCVHHDYAPTETTKMDGAIQTVYPRKNWSSLVLYNCAHPKNVAALTPDAVSTQSGAFLHRFAWLDDADIGEISFVWNFLVGHNRVDPADPDRTAPKAIHYTTGGPWFERYKDCEFADLWIKELEELNAEKKNEKAAIPNAAST >Ma11_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22932251:22936192:-1 gene:Ma11_g17670 transcript:Ma11_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYQNNLDFYLTMSRKELQKLCKQHDLPANRSHAQLANSLKRNASSAALLENSINSMDGSSRKSFVSEPKANSSKVDTHGLSSGFNEIRGDERPFYHTGNQIDVIDHMVSPTSGKTVTNALCPSWPANSNGTESIGYSTFEHCNKGVKRCVAADMKETRTTQILGLECKKTNIRSEFENLVRPRNEEQKLIHDAIRDTKTHNTVPMPCEHYKLHEYSMESGFVSSDEISTRTPTLQFFVMSEGGINLYVDLNSGPLEWINSMKDEMCVHQNAKHETRTLSKDISDSPEVDNHIKILPIDDTGMDLQGIEVEQNTGCTNSSSSSVISENCNSEAYPPNTTVVTSGFSISTSGSVPVCLSVCLEENQVVSSSCAAYSTQNHLAFDTAPCAREGMLLTQDSFDASFTMLKGNASPPNASMRSITNEDDGGIYPVTNDGSTPKTACVDFVDVEVKALCNTLNDVPDKNNLPMFKDMQDSVDTYHSGHLRNHTGACEGSFICCTNELPDNVCSHGGLSNSCQLRLMHNQR >Ma04_p27100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28369500:28372209:1 gene:Ma04_g27100 transcript:Ma04_t27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIEEGAREAEANRDWSELTPVCLANVLRRLTLEDRWKGAMLVCRSWLAAARDPALFAAVDLEPAFESAGSSRADAAEWWTPAFQRRVDAMLRSAAVWAEGSLREIRVRHCSDEALCFAAERSLNLQTLSIRSSQSVTDRSMFKIATCCPLLGELDISNCYEISYKSLEMIGQNCANLKILKRNLLNWLDPSQHSGIVPDEYLRACPQDGDREAMTIGRFMPKLKHVELRFSKLSVSGLISVSEGCGDLEFLDLFGCANLTSRGIEQASTNLKNLKTLIRPNFYIPRSMFHTERYGHWRLYDERFQTNVFQI >Ma04_p27100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28369500:28372209:1 gene:Ma04_g27100 transcript:Ma04_t27100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIEEGAREAEANRDWSELTPVCLANVLRRLTLEDRWKGAMLVCRSWLAAARDPALFAAVDLEPAFESAGSSRADAAEWWTPAFQRRVDAMLRSAAVWAEGSLREIRVRHCSDEALCFAAERNLLNWLDPSQHSGIVPDEYLRACPQDGDREAMTIGRFMPKLKHVELRFSKLSVSGLISVSEGCGDLEFLDLFGCANLTSRGIEQASTNLKNLKTLIRPNFYIPRSMFHTERYGHWRLYDERFQTNVFQI >Ma06_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2413748:2417137:-1 gene:Ma06_g03260 transcript:Ma06_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVESPALAPPEVQIDLVAQQQHEAELAAAAAQPLPDDDDDLFI >Ma04_p20180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22870847:22890402:1 gene:Ma04_g20180 transcript:Ma04_t20180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDNDFQNENFQLIGEDNDGFPRSLQSYAPKFDIDDHFQTHLRFDSLAETGLLLGIQGEENNWIEEFSPRNSAIEFGSSASHTCSLSGHDINWSNAPSSESVQMLVKSVEKDEKVSPQIMNTEAEIHAIEDSAICATNAITRTDSGLLTDKLHNSILASNENVEQHEVASQTPTDEKSEAGLAVSPSDQRFQSVAEVVASQCSAKEGLISSSGDVSKAYLVSSELLEVVRSKEPLDNTSTMSSSLDDRASAVNKDTEVSSNFVSHNIQNDISGLSTANAGMITEQLDNPLFSEQKMEECYVVDISKRSETLQSENKQNETSYNLYGDCKVNDQHFQDQVKNHVCDVKDSSELVSSAESLMLSNDISNSTVLMQNSGGLLEAFACQVQVSNKDSMAGDKSLTCTTEVPSLAMEKDGIVGRNSVGVDTENTRELSDIAEGPIDFRHDVHEYISEEGGVNPHAPATKDSIALEEERDLATFKAVINDNNCNELRRSDMAVEVTAMKAEIGTAGVDSDKDCKIDTLISKKSDSIPIEKSAEGECLKSIVEKSITTEESSEDECLKSISENPTGKLDGKLDAPGHAILNEPCAALVDDTENKLSSPVHDKLDTSSLVAEHNEDNTIHSEEKESTAQLIDSSGTTLKDCSTATEDTEISSFETQNNGMVMESDKNSIMDQAVADLHSGCLTLPSSDNSMIVQRLHSEVELVVELKEVTPLSIPASQCDEKNVNTSSLSVTKSSMDNQISKQQFVVPDSEANVPSMKNFSDNLETANSDEVWVGSSDGVSSLATCLSKEGKDTDLVRTNNCDKPKHTETEIAALNSERNNYPQSTLPESNLESSLLDPDGGNLSSSEPNCGSPTVISCNQSIMEKMEHRESNSSLQDHAGSASTKSSDILKFTVQDSKVSITSEEDGNFTFVVQPDADFSQKDSNKDLALFSNTQSFEQPQISTETSQGYLSEAVNESTSTISKTIVEDKSGKVSAQATKKVGISKGDAKEKSHDFRGKGRKRNPCSTSPVLERATRSKSQREGMQQCLSVETKTANPSCFPSVQTSNLPDLNTSAPSAQFHQPFTDLQQVQLRAQIFVYGSLIQGVLPDEACMVPAFGGSDGGRSLWERAWRASSERFYNLKSSSISSGTHLHHHSEQGISCSPLPGKVLDSPAGWKDSKVPSSAIQNSTVSLQSAFQSSSNDGLHSNITRGIHLESSQSLSPMHPYQTSQIKQYMTNSTPWLSQSPHPASWSFSSQSLPVDSTSQYSGMLVSEATPVTLVRDSSIPCASNMQLASAGTLLPNQDAAHVSAALVVPFETQNREATPVNAKNTFVSEKSRKRKKVSAPEELVPKFSVSQLQAESASAAFTTNNLPNSVGLSLSSNSLSTVTSTGLVSATTHPVTMPYYQILGSGHTQQRVTFSKETCTQIEHSKLQAENASAYAAAAVRHGQVIWEQMVAQRKLGLASEVDQKLASAAAAAAAAASVAKAAAEVAKVASDAALQAKLMADDALNSSNTGITIKNSEISFDVGKNLLTSTPVSSSKGKNKIRGPCSIISEARETTRKRVEAASAAIKRAENLDAILKAAEMAAEAVSQAGTIIAMGDPLPFSISELVEAGPEGHWNIRCAAIKKGIETNGVHAGENLALDLTVDREVNTRNSIKQPLTCNEGQKVSIVEEMPPNNKKSLLLEENSEVGYLALSECELEDESRIVPTDGAARDAMQGSSIQKGSLVEVVADGDGLRGAWFSARVLDLKDGKAYVCYDGLSDEVNDKLKECIPLESKSDQPPRIRVGHPVIVAKSEGTRKRRREAVGNFTWAIGDRVDAFIRDGWWEGIVTEKNQDDETKLTVHFPAGGNSSIVRAWNLRPSLIWKDGRWVEWSRAKERVTLEPYEVDTPHEKRQKLGRLDSKNKSEIGEEGTGTVSKNICADDSRKLEESGPPNLSERYETFTVGKNLGEDKNANALKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKPEKSTERSDSIKFAKYLMPQASQLWRNTSKVDVKGRRVTNLNTRAPKALRSQNVQASSTVETDKPVTAMSVLNGGESSLVTTSSNEEKHSSMETGSFPRVLEKVDTAVIESSVQSVPGIPSSKKKSTTVVAEMEEKRRVLSAVDEFSRSEVKDSENPGTRSADVIEPRRSNRRIQPTSRLLEGLQSSLIVAKGPGVSHERAAKPLHRGVLTARGQTHG >Ma04_p20180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22870847:22890402:1 gene:Ma04_g20180 transcript:Ma04_t20180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDNDFQNENFQLIGEDNDGFPRSLQSYAPKFDIDDHFQTHLRFDSLAETGLLLGIQGEENNWIEEFSPRNSAIEFGSSASHTCSLSGHDINWSNAPSSESVQMLVKSVEKDEKVSPQIMNTEAEIHAIEDSAICATNAITRTDSGLLTDKLHNSILASNENVEQHEVASQTPTDEKSEAGLAVSPSDQRFQSVAEVVASQCSAKEGLISSSGDVSKAYLVSSELLEVVRSKEPLDNTSTMSSSLDDRASAVNKDTEVSSNFVSHNIQNDISGLSTANAGMITEQLDNPLFSEQKMEECYVVDISKRSETLQSENKQNETSYNLYGDCKVNDQHFQDQVKNHVCDVKDSSELVSSAESLMLSNDISNSTVLMQNSGGLLEAFACQVQVSNKDSMAGDKSLTCTTEVPSLAMEKDGIVGRNSVGVDTENTRELSDIAEGPIDFRHDVHEYISEEGGVNPHAPATKDSIALEEERDLATFKAVINDNNCNELRRSDMAVEVTAMKAEIGTAGVDSDKDCKIDTLISKKSDSIPIEKSAEGECLKSIVEKSITTEESSEDECLKSISENPTGKLDGKLDAPGHAILNEPCAALVDDTENKLSSPVHDKLDTSSLVAEHNEDNTIHSEEKESTAQLIDSSGTTLKDCSTATEDTEISSFETQNNGMVMESDKNSIMDQAVADLHSGCLTLPSSDNSMIVQRLHSEVELVVELKEVTPLSIPASQCDEKNVNTSSLSVTKSSMDNQISKQQFVVPDSEANVPSMKNFSDNLETANSDEVWVGSSDGVSSLATCLSKEGKDTDLVRTNNCDKPKHTETEIAALNSERNNYPQSTLPESNLESSLLDPDGGNLSSSEPNCGSPTVISCNQSIMEKMEHRESNSSLQDHAGSASTKSSDILKFTVQDSKVSITSEEDGNFTFVVQPDADFSQKDSNKDLALFSNTQSFEQPQISTETSQGYLSEAVNESTSTISKTIVEDKSGKVSAQATKKVGISKGDAKEKSHDFRGKGRKRNPCSTSPVLERATRSKSQREGMQQCLSVETKTANPSCFPSVQTSNLPDLNTSAPSAQFHQPFTDLQQVQLRAQIFVYGSLIQGVLPDEACMVPAFGGSDGGRSLWERAWRASSERFYNLKSSSISSGTHLHHHSEQGISCSPLPGKVLDSPAGWKDSKVPSSAIQNSTVSLQSAFQSSSNDGLHSNITRGIHLESSQSLSPMHPYQTSQIKQYMTNSTPWLSQSPHPASWSFSSQSLPVDSTSQYSGMLVSEATPVTLVRDSSIPCASNMQLASAGTLLPNQDAAHVSAALVVPFETQNREATPVNAKNTFVSEKSRKRKKVSAPEELVPKFSVSQLQAESASAAFTTNNLPNSVGLSLSSNSLSTVTSTGLVSATTHPVTMPYYQILGSGHTQQRVTFSKETCTQIEHSKLQAENASAYAAAAVRHGQVIWEQMVAQRKLGLASEVDQKLASAAAAAAAAASVAKAAAEVAKVASDAALQAKLMADDALNSSNTGITIKNSEISFDVGKNLLTSTPVSSSKGKNKIRGPCSIISEARETTRKRVEAASAAIKRAENLDAILKAAEMAAEAVSQAGTIIAMGDPLPFSISELVEAGPEGHWNIRCAAIKKGIETNGVHAGENLALDLTVDREVNTRNSIKQPLTCNEGQKVSIVEEMPPNNKKSLLLEENSEEDESRIVPTDGAARDAMQGSSIQKGSLVEVVADGDGLRGAWFSARVLDLKDGKAYVCYDGLSDEVNDKLKECIPLESKSDQPPRIRVGHPVIVAKSEGTRKRRREAVGNFTWAIGDRVDAFIRDGWWEGIVTEKNQDDETKLTVHFPAGGNSSIVRAWNLRPSLIWKDGRWVEWSRAKERVTLEPYEVDTPHEKRQKLGRLDSKNKSEIGEEGTGTVSKNICADDSRKLEESGPPNLSERYETFTVGKNLGEDKNANALKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKPEKSTERSDSIKFAKYLMPQASQLWRNTSKVDVKGRRVTNLNTRAPKALRSQNVQASSTVETDKPVTAMSVLNGGESSLVTTSSNEEKHSSMETGSFPRVLEKVDTAVIESSVQSVPGIPSSKKKSTTVVAEMEEKRRVLSAVDEFSRSEVKDSENPGTRSADVIEPRRSNRRIQPTSRLLEGLQSSLIVAKGPGVSHERAAKPLHRGVLTARGQTHG >Ma04_p20180.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22870847:22883230:1 gene:Ma04_g20180 transcript:Ma04_t20180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDNDFQNENFQLIGEDNDGFPRSLQSYAPKFDIDDHFQTHLRFDSLAETGLLLGIQGEENNWIEEFSPRNSAIEFGSSASHTCSLSGHDINWSNAPSSESVQMLVKSVEKDEKVSPQIMNTEAEIHAIEDSAICATNAITRTDSGLLTDKLHNSILASNENVEQHEVASQTPTDEKSEAGLAVSPSDQRFQSVAEVVASQCSAKEGLISSSGDVSKAYLVSSELLEVVRSKEPLDNTSTMSSSLDDRASAVNKDTEVSSNFVSHNIQNDISGLSTANAGMITEQLDNPLFSEQKMEECYVVDISKRSETLQSENKQNETSYNLYGDCKVNDQHFQDQVKNHVCDVKDSSELVSSAESLMLSNDISNSTVLMQNSGGLLEAFACQVQVSNKDSMAGDKSLTCTTEVPSLAMEKDGIVGRNSVGVDTENTRELSDIAEGPIDFRHDVHEYISEEGGVNPHAPATKDSIALEEERDLATFKAVINDNNCNELRRSDMAVEVTAMKAEIGTAGVDSDKDCKIDTLISKKSDSIPIEKSAEGECLKSIVEKSITTEESSEDECLKSISENPTGKLDGKLDAPGHAILNEPCAALVDDTENKLSSPVHDKLDTSSLVAEHNEDNTIHSEEKESTAQLIDSSGTTLKDCSTATEDTEISSFETQNNGMVMESDKNSIMDQAVADLHSGCLTLPSSDNSMIVQRLHSEVELVVELKEVTPLSIPASQCDEKNVNTSSLSVTKSSMDNQISKQQFVVPDSEANVPSMKNFSDNLETANSDEVWVGSSDGVSSLATCLSKEGKDTDLVRTNNCDKPKHTETEIAALNSERNNYPQSTLPESNLESSLLDPDGGNLSSSEPNCGSPTVISCNQSIMEKMEHRESNSSLQDHAGSASTKSSDILKFTVQDSKVSITSEEDGNFTFVVQPDADFSQKDSNKDLALFSNTQSFEQPQISTETSQGYLSEAVNESTSTISKTIVEDKSGKVSAQATKKVGISKGDAKEKSHDFRGKGRKRNPCSTSPVLERATRSKSQREGMQQCLSVETKTANPSCFPSVQTSNLPDLNTSAPSAQFHQPFTDLQQVQLRAQIFVYGSLIQGVLPDEACMVPAFGGSDGGRSLWERAWRASSERFYNLKSSSISSGTHLHHHSEQGISCSPLPGKVLDSPAGWKDSKVPSSAIQNSTVSLQSAFQSSSNDGLHSNITRGIHLESSQSLSPMHPYQTSQIKQYMTNSTPWLSQSPHPASWSFSSQSLPVDSTSQYSGMLVSEATPVTLVRDSSIPCASNMQLASAGTLLPNQDAAHVSAALVVPFETQNREATPVNAKNTFVSEKSRKRKKVSAPEELVPKFSVSQLQAESASAAFTTNNLPNSVGLSLSSNSLSTVTSTGLVSATTHPVTMPYYQILGSGHTQQRVTFSKETCTQIEHSKLQAENASAYAAAAVRHGQVIWEQMVAQRKLGLASEVDQKLASAAAAAAAAASVAKAAAEVAKVASDAALQAKLMADDALNSSNTGITIKNSEISFDVGKNLLTSTPVSSSKGKNKIRGPCSIISEARETTRKRVEAASAAIKRAENLDAILKAAEMAAEAVSQAGTIIAMGDPLPFSISELVEAGPEGHWNIRCAAIKKGIETNGVHAGENLALDLTVDREVNTRNSIKQPLTCNEGQKVSIVEEMPPNNKKSLLLEENSEVGYLALSECELEDESRIVPTDGAARDAMQGSSIQKGSLVEVVADGDGLRGAWFSARVLDLKDGKAYVCYDGLSDEDFG >Ma04_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22870847:22890402:1 gene:Ma04_g20180 transcript:Ma04_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDNDFQNENFQLIGEDNDGFPRSLQSYAPKFDIDDHFQTHLRFDSLAETGLLLGIQGEENNWIEEFSPRNSAIEFGSSASHTCSLSGHDINWSNAPSSESVQMLVKSVEKDEKVSPQIMNTEAEIHAIEDSAICATNAITRTDSGLLTDKLHNSILASNENVEQHEVASQTPTDEKSEAGLAVSPSDQRFQSVAEVVASQCSAKEGLISSSGDVSKAYLVSSELLEVVRSKEPLDNTSTMSSSLDDRASAVNKDTEVSSNFVSHNIQNDISGLSTANAGMITEQLDNPLFSEQKMEECYVVDISKRSETLQSENKQNETSYNLYGDCKVNDQHFQDQVKNHVCDVKDSSELVSSAESLMLSNDISNSTVLMQNSGGLLEAFACQVQVSNKDSMAGDKSLTCTTEVPSLAMEKDGIVGRNSVGVDTENTRELSDIAEGPIDFRHDVHEYISEEGGVNPHAPATKDSIALEEERDLATFKAVINDNNCNELRRSDMAVEVTAMKAEIGTAGVDSDKDCKIDTLISKKSDSIPIEKSAEGECLKSIVEKSITTEESSEDECLKSISENPTGKLDGKLDAPGHAILNEPCAALVDDTENKLSSPVHDKLDTSSLVAEHNEDNTIHSEEKESTAQLIDSSGTTLKDCSTATEDTEISSFETQNNGMVMESDKNSIMDQAVADLHSGCLTLPSSDNSMIVQRLHSEVELVVELKEVTPLSIPASQCDEKNVNTSSLSVTKSSMDNQISKQQFVVPDSEANVPSMKNFSDNLETANSDEVWVGSSDGVSSLATCLSKEGKDTDLVRTNNCDKPKHTETEIAALNSERNNYPQSTLPESNLESSLLDPDGGNLSSSEPNCGSPTVISCNQSIMEKMEHRESNSSLQDHAGSASTKSSDILKFTVQDSKVSITSEEDGNFTFVVQPDADFSQKDSNKDLALFSNTQSFEQPQISTETSQGYLSEAVNESTSTISKTIVEDKSGKVSAQATKKVGISKGDAKEKSHDFRGKGRKRNPCSTSPVLERATRSKSQREGMQQCLSVETKTANPSCFPSVQTSNLPDLNTSAPSAQFHQPFTDLQQVQLRAQIFVYGSLIQGVLPDEACMVPAFGGSDGGRSLWERAWRASSERFYNLKSSSISSGTHLHHHSEQGISCSPLPGKVLDSPAGWKDSKVPSSAIQNSTVSLQSAFQSSSNDGLHSNITRGIHLESSQSLSPMHPYQTSQIKQYMTNSTPWLSQSPHPASWSFSSQSLPVDSTSQYSGMLVSEATPVTLVRDSSIPCASNMQLASAGTLLPNQDAAHVSAALVVPFETQNREATPVNAKNTFVSEKSRKRKKVSAPEELVPKFSVSQLQAESASAAFTTNNLPNSVGLSLSSNSLSTVTSTGLVSATTHPVTMPYYQILGSGHTQQRVTFSKETCTQIEHSKLQAENASAYAAAAVRHGQVIWEQMVAQRKLGLASEVDQKLASAAAAAAAAASVAKAAAEVAKVASDAALQAKLMADDALNSSNTGITIKNSEISFDVGKNLLTSTPVSSSKGKNKIRGPCSIISEARETTRKRVEAASAAIKRAENLDAILKAAEMAAEAVSQAGTIIAMGDPLPFSISELVEAGPEGHWNIRCAAIKKGIETNGVHAGENLALDLTVDREVNTRNSIKQPLTCNEGQKVSIVEEMPPNNKKSLLLEENSEECELEDESRIVPTDGAARDAMQGSSIQKGSLVEVVADGDGLRGAWFSARVLDLKDGKAYVCYDGLSDEVNDKLKECIPLESKSDQPPRIRVGHPVIVAKSEGTRKRRREAVGNFTWAIGDRVDAFIRDGWWEGIVTEKNQDDETKLTVHFPAGGNSSIVRAWNLRPSLIWKDGRWVEWSRAKERVTLEPYEVDTPHEKRQKLGRLDSKNKSEIGEEGTGTVSKNICADDSRKLEESGPPNLSERYETFTVGKNLGEDKNANALKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKPEKSTERSDSIKFAKYLMPQASQLWRNTSKVDVKGRRVTNLNTRAPKALRSQNVQASSTVETDKPVTAMSVLNGGESSLVTTSSNEEKHSSMETGSFPRVLEKVDTAVIESSVQSVPGIPSSKKKSTTVVAEMEEKRRVLSAVDEFSRSEVKDSENPGTRSADVIEPRRSNRRIQPTSRLLEGLQSSLIVAKGPGVSHERAAKPLHRGVLTARGQTHG >Ma04_p20180.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22870847:22890402:1 gene:Ma04_g20180 transcript:Ma04_t20180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDNDFQNENFQLIGEDNDGFPRSLQSYAPKFDIDDHFQTHLRFDSLAETGLLLGIQGEENNWIEEFSPRNSAIEFGSSASHTCSLSGHDINWSNAPSSESVQMLVKSVEKDEKVSPQIMNTEAEIHAIEDSAICATNAITRTDSGLLTDKLHNSILASNENVEQHEVASQTPTDEKSEAGLAVSPSDQRFQSVAEVVASQCSAKEGLISSSGDVSKAYLVSSELLEVVRSKEPLDNTSTMSSSLDDRASAVNKDTEVSSNFVSHNIQNDISGLSTANAGMITEQLDNPLFSEQKMEECYVVDISKRSETLQSENKQNETSYNLYGDCKVNDQHFQDQVKNHVCDVKDSSELVSSAESLMLSNDISNSTVLMQNSGGLLEAFACQVQVSNKDSMAGDKSLTCTTEVPSLAMEKDGIVGRNSVGVDTENTRELSDIAEGPIDFRHDVHEYISEEGGVNPHAPATKDSIALEEERDLATFKAVINDNNCNELRRSDMAVEVTAMKAEIGTAGVDSDKDCKIDTLISKKSDSIPIEKSAEGECLKSIVEKSITTEESSEDECLKSISENPTGKLDGKLDAPGHAILNEPCAALVDDTENKLSSPVHDKLDTSSLVAEHNEDNTIHSEEKESTAQLIDSSGTTLKDCSTATEDTEISSFETQNNGMVMESDKNSIMDQAVADLHSGCLTLPSSDNSMIVQRLHSEVELVVELKEVTPLSIPASQCDEKNVNTSSLSVTKSSMDNQISKQQFVVPDSEANVPSMKNFSDNLVAALNSERNNYPQSTLPESNLESSLLDPDGGNLSSSEPNCGSPTVISCNQSIMEKMEHRESNSSLQDHAGSASTKSSDILKFTVQDSKVSITSEEDGNFTFVVQPDADFSQKDSNKDLALFSNTQSFEQPQISTETSQGYLSEAVNESTSTISKTIVEDKSGKVSAQATKKVGISKGDAKEKSHDFRGKGRKRNPCSTSPVLERATRSKSQREGMQQCLSVETKTANPSCFPSVQTSNLPDLNTSAPSAQFHQPFTDLQQVQLRAQIFVYGSLIQGVLPDEACMVPAFGGSDGGRSLWERAWRASSERFYNLKSSSISSGTHLHHHSEQGISCSPLPGKVLDSPAGWKDSKVPSSAIQNSTVSLQSAFQSSSNDGLHSNITRGIHLESSQSLSPMHPYQTSQIKQYMTNSTPWLSQSPHPASWSFSSQSLPVDSTSQYSGMLVSEATPVTLVRDSSIPCASNMQLASAGTLLPNQDAAHVSAALVVPFETQNREATPVNAKNTFVSEKSRKRKKVSAPEELVPKFSVSQLQAESASAAFTTNNLPNSVGLSLSSNSLSTVTSTGLVSATTHPVTMPYYQILGSGHTQQRVTFSKETCTQIEHSKLQAENASAYAAAAVRHGQVIWEQMVAQRKLGLASEVDQKLASAAAAAAAAASVAKAAAEVAKVASDAALQAKLMADDALNSSNTGITIKNSEISFDVGKNLLTSTPVSSSKGKNKIRGPCSIISEARETTRKRVEAASAAIKRAENLDAILKAAEMAAEAVSQAGTIIAMGDPLPFSISELVEAGPEGHWNIRCAAIKKGIETNGVHAGENLALDLTVDREVNTRNSIKQPLTCNEGQKVSIVEEMPPNNKKSLLLEENSEVGYLALSECELEDESRIVPTDGAARDAMQGSSIQKGSLVEVVADGDGLRGAWFSARVLDLKDGKAYVCYDGLSDEVNDKLKECIPLESKSDQPPRIRVGHPVIVAKSEGTRKRRREAVGNFTWAIGDRVDAFIRDGWWEGIVTEKNQDDETKLTVHFPAGGNSSIVRAWNLRPSLIWKDGRWVEWSRAKERVTLEPYEVDTPHEKRQKLGRLDSKNKSEIGEEGTGTVSKNICADDSRKLEESGPPNLSERYETFTVGKNLGEDKNANALKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKPEKSTERSDSIKFAKYLMPQASQLWRNTSKVDVKGRRVTNLNTRAPKALRSQNVQASSTVETDKPVTAMSVLNGGESSLVTTSSNEEKHSSMETGSFPRVLEKVDTAVIESSVQSVPGIPSSKKKSTTVVAEMEEKRRVLSAVDEFSRSEVKDSENPGTRSADVIEPRRSNRRIQPTSRLLEGLQSSLIVAKGPGVSHERAAKPLHRGVLTARGQTHG >Ma04_p20180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22870847:22890402:1 gene:Ma04_g20180 transcript:Ma04_t20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDNDFQNENFQLIGEDNDGFPRSLQSYAPKFDIDDHFQTHLRFDSLAETGLLLGIQGEENNWIEEFSPRNSAIEFGSSASHTCSLSGHDINWSNAPSSESVQMLVKSVEKDEKVSPQIMNTEAEIHAIEDSAICATNAITRTDSGLLTDKLHNSILASNENVEQHEVASQTPTDEKSEAGLAVSPSDQRFQSVAEVVASQCSAKEGLISSSGDVSKAYLVSSELLEVVRSKEPLDNTSTMSSSLDDRASAVNKDTEVSSNFVSHNIQNDISGLSTANAGMITEQLDNPLFSEQKMEECYVVDISKRSETLQSENKQNETSYNLYGDCKVNDQHFQDQNSGGLLEAFACQVQVSNKDSMAGDKSLTCTTEVPSLAMEKDGIVGRNSVGVDTENTRELSDIAEGPIDFRHDVHEYISEEGGVNPHAPATKDSIALEEERDLATFKAVINDNNCNELRRSDMAVEVTAMKAEIGTAGVDSDKDCKIDTLISKKSDSIPIEKSAEGECLKSIVEKSITTEESSEDECLKSISENPTGKLDGKLDAPGHAILNEPCAALVDDTENKLSSPVHDKLDTSSLVAEHNEDNTIHSEEKESTAQLIDSSGTTLKDCSTATEDTEISSFETQNNGMVMESDKNSIMDQAVADLHSGCLTLPSSDNSMIVQRLHSEVELVVELKEVTPLSIPASQCDEKNVNTSSLSVTKSSMDNQISKQQFVVPDSEANVPSMKNFSDNLETANSDEVWVGSSDGVSSLATCLSKEGKDTDLVRTNNCDKPKHTETEIAALNSERNNYPQSTLPESNLESSLLDPDGGNLSSSEPNCGSPTVISCNQSIMEKMEHRESNSSLQDHAGSASTKSSDILKFTVQDSKVSITSEEDGNFTFVVQPDADFSQKDSNKDLALFSNTQSFEQPQISTETSQGYLSEAVNESTSTISKTIVEDKSGKVSAQATKKVGISKGDAKEKSHDFRGKGRKRNPCSTSPVLERATRSKSQREGMQQCLSVETKTANPSCFPSVQTSNLPDLNTSAPSAQFHQPFTDLQQVQLRAQIFVYGSLIQGVLPDEACMVPAFGGSDGGRSLWERAWRASSERFYNLKSSSISSGTHLHHHSEQGISCSPLPGKVLDSPAGWKDSKVPSSAIQNSTVSLQSAFQSSSNDGLHSNITRGIHLESSQSLSPMHPYQTSQIKQYMTNSTPWLSQSPHPASWSFSSQSLPVDSTSQYSGMLVSEATPVTLVRDSSIPCASNMQLASAGTLLPNQDAAHVSAALVVPFETQNREATPVNAKNTFVSEKSRKRKKVSAPEELVPKFSVSQLQAESASAAFTTNNLPNSVGLSLSSNSLSTVTSTGLVSATTHPVTMPYYQILGSGHTQQRVTFSKETCTQIEHSKLQAENASAYAAAAVRHGQVIWEQMVAQRKLGLASEVDQKLASAAAAAAAAASVAKAAAEVAKVASDAALQAKLMADDALNSSNTGITIKNSEISFDVGKNLLTSTPVSSSKGKNKIRGPCSIISEARETTRKRVEAASAAIKRAENLDAILKAAEMAAEAVSQAGTIIAMGDPLPFSISELVEAGPEGHWNIRCAAIKKGIETNGVHAGENLALDLTVDREVNTRNSIKQPLTCNEGQKVSIVEEMPPNNKKSLLLEENSEVGYLALSECELEDESRIVPTDGAARDAMQGSSIQKGSLVEVVADGDGLRGAWFSARVLDLKDGKAYVCYDGLSDEVNDKLKECIPLESKSDQPPRIRVGHPVIVAKSEGTRKRRREAVGNFTWAIGDRVDAFIRDGWWEGIVTEKNQDDETKLTVHFPAGGNSSIVRAWNLRPSLIWKDGRWVEWSRAKERVTLEPYEVDTPHEKRQKLGRLDSKNKSEIGEEGTGTVSKNICADDSRKLEESGPPNLSERYETFTVGKNLGEDKNANALKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKPEKSTERSDSIKFAKYLMPQASQLWRNTSKVDVKGRRVTNLNTRAPKALRSQNVQASSTVETDKPVTAMSVLNGGESSLVTTSSNEEKHSSMETGSFPRVLEKVDTAVIESSVQSVPGIPSSKKKSTTVVAEMEEKRRVLSAVDEFSRSEVKDSENPGTRSADVIEPRRSNRRIQPTSRLLEGLQSSLIVAKGPGVSHERAAKPLHRGVLTARGQTHG >Ma04_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16774236:16775284:-1 gene:Ma04_g16850 transcript:Ma04_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNRAGRSRFVRVCVFCGSSAGKRDCYQDAAVALGRELVARNVDLVYGGGSVGLMGLVSEAVHRGGGHVIGIIPRTLMCKEITGETIGEIRPVGSMHQRKAEMARYSDAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGYYDFLLAFIDKAVNDGFIQPLQRHLVVSASNARDLVQKLEVMAAQPSSSFDLPVILRCIY >Ma05_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4976698:4979659:1 gene:Ma05_g06770 transcript:Ma05_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGGKSSGDIEEGPHHSHSNDSDEDSLCFSDAEEHSWHSPYGSNCGSSTHNGCRISGASCREIKGFQEPCRKSCVSQSSLDDDLETGTTMVKVNTDKGERDCRICHLSLEKAAPESGVPIVLGCSCKGDLAAAHKQCAETWFKIKGNKICEICGSTAHNVVGVSETEPIEQWDESNNSTAPPAAPPPPSESRSFWQGHRFLKFLLACLVLAFVVSWLFHFNVPG >Ma05_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8018429:8020842:-1 gene:Ma05_g11060 transcript:Ma05_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPDEYQKLVNRMMNTLRVVIDNAVCATSTVVRVNSARKRGMLLEAVQVLTDLDLTIQKGYISSDGRWFMDVFHVVDGRGRKLLDPALLSRIECSLSGEASSSSSAGDDGENNHDKSDGCGLAGLTALELTGTDRPGLLSEVFAVLRDLECNVVEAKVWTHNGRIASLIFVKDHHSGSPIADAHRVDGIEARLRNVLRGDHDVRGAKTTVASPMMTHSDRRLHQLMFADRDYGRVSSNEASLSSSSSKLSVSVQNWTERGYSVVNVQCRDRPKLLFDVVCTLTDMGYVVFHGTIGTDADRAYQEFYIRHMDGGPISSEAERQRVIQCLQAAIERRASEGMRLEISMPDRRGLLADVTRTFRENGLSVTRAEITTKAGEAKNEFCVTDTNGQLPDRRAINAVIERIGKDHIKFNEQRGPRPCQERPLPEAAGVVGVFSLGNLVMRNLYYLGLIRSCS >Ma10_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29708180:29708726:1 gene:Ma10_g18970 transcript:Ma10_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVAEDFEKSSKARWEKADHGVRREECFHFLASFTVSALGRKAREEVSALKEVGRALEPRSSFGLAAFDGAFSA >Ma00_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35543152:35544724:1 gene:Ma00_g04150 transcript:Ma00_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYDGIGALRTQGLTSGKWIDADDGMTIQASSARKIRCL >Ma03_p21950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26811379:26818452:1 gene:Ma03_g21950 transcript:Ma03_t21950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMDERRTYGKNKSGSFRKRTYDQFDNGKRKKHNSGRDHGSTTTKPIDTIYRILCPVKKIGSVLGKGGDIVNTLRDETHAKIRVADAIPGAEERVIIIFSYLSQSEKNDSDQDLDDSDGNELEDMQPHCPAQDALLKIHDRIAADEILRGGVVQSKTEPDDVVTARILVPKNQVGCLLGKGGTIIQQLRSETGANIRVLPSDHLPPCAMDSDELVQVSGVPSIVKKALYRISTLLHQHPHKENPPLEDLIYASTQGSYHSEPSVPPPLPQGNRVWSQYHSDAHVPPTIPRFSRYLDEPSGYPPSNFGRSNFGNDGETVEEFSIRILCATVKIGGVIGKGGVNVRQLEQQTGAHIQVEDTAPEAEERVISISCKEVPWDTISPTIEAVLQLQSRTSASSENGVITTRLLVPSSKVGCILGQGGNIITEMRRRTKADIRVYSKDDKPKYTSANEELVQISGTRDVARDALAEIASRLRARTFRGGNAAVNPAPSAPFHGIPPLESRSDRGVPSYGNAALDYAPPSHFRGYTPESFSAREIPTSSMVGPGKSVGYSYPKGYDLQGYGQAHEAQYYSTPSAAPGFSHLSRYSNFNSSMDVKIPNSAVAPVIGADGSNISDIHQVGGRLKLHDPPFGAPERVDMHGSSDQLKVGHGPLPSYMTSGGQSFPPSQVLRPY >Ma03_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26809778:26818452:1 gene:Ma03_g21950 transcript:Ma03_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRTYGKNKSGSFRKRTYDQFDNGKRKKHNSGRDHGSTTTKPIDTIYRILCPVKKIGSVLGKGGDIVNTLRDETHAKIRVADAIPGAEERVIIIFSYLSQSEKNDSDQDLDDSDGNELEDMQPHCPAQDALLKIHDRIAADEILRGGVVQSKTEPDDVVTARILVPKNQVGCLLGKGGTIIQQLRSETGANIRVLPSDHLPPCAMDSDELVQVSGVPSIVKKALYRISTLLHQHPHKENPPLEDLIYASTQGSYHSEPSVPPPLPQGNRVWSQYHSDAHVPPTIPRFSRYLDEPSGYPPSNFGRSNFGNDGETVEEFSIRILCATVKIGGVIGKGGVNVRQLEQQTGAHIQVEDTAPEAEERVISISCKEVPWDTISPTIEAVLQLQSRTSASSENGVITTRLLVPSSKVGCILGQGGNIITEMRRRTKADIRVYSKDDKPKYTSANEELVQISGTRDVARDALAEIASRLRARTFRGGNAAVNPAPSAPFHGIPPLESRSDRGVPSYGNAALDYAPPSHFRGYTPESFSAREIPTSSMVGPGKSVGYSYPKGYDLQGYGQAHEAQYYSTPSAAPGFSHLSRYSNFNSSMDVKIPNSAVAPVIGADGSNISDIHQVGGRLKLHDPPFGAPERVDMHGSSDQLKVGHGPLPSYMTSGGQSFPPSQVLRPY >Ma03_p21950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26809743:26818452:1 gene:Ma03_g21950 transcript:Ma03_t21950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRTYGKNKSGSFRKRTYDQFDNGKRKKHNSGRDHGSTTTKPIDTIYRILCPVKKIGSVLGKGGDIVNTLRDETHAKIRVADAIPGAEERVIIIFSYLSQSEKNDSDQDLDDSDGNELEDMQPHCPAQDALLKIHDRIAADEILRGGVVQSKTEPDDVVTARILVPKNQVGCLLGKGGTIIQQLRSETGANIRVLPSDHLPPCAMDSDELVQVSGVPSIVKKALYRISTLLHQHPHKENPPLEDLIYASTQGSYHSEPSVPPPLPQGNRVWSQYHSDAHVPPTIPRFSRYLDEPSGYPPSNFGRSNFGNDGETVEEFSIRILCATVKIGGVIGKGGVNVRQLEQQTGAHIQVEDTAPEAEERVISISCKEVPWDTISPTIEAVLQLQSRTSASSENGVITTRLLVPSSKVGCILGQGGNIITEMRRRTKADIRVYSKDDKPKYTSANEELVQISGTRDVARDALAEIASRLRARTFRGGNAAVNPAPSAPFHGIPPLESRSDRGVPSYGNAALDYAPPSHFRGYTPESFSAREIPTSSMVGPGKSVGYSYPKGYDLQGYGQAHEAQYYSTPSAAPGFSHLSRYSNFNSSMDVKIPNSAVAPVIGADGSNISDIHQVGGRLKLHDPPFGAPERVDMHGSSDQLKVGHGPLPSYMTSGGQSFPPSQVLRPY >Ma04_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4187814:4190622:-1 gene:Ma04_g05610 transcript:Ma04_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRRRSISLPQFFSRNQILATWLLLMAAYTGPAECIRRTSRFHGEDEGDGSGAVEAFKYSATACRAHTASLEDFGGVGDGATSNTEAFSAAVTHLSQFASDGGGMLFVPAGRWLTGPFNLTSSFTLFLHRDAVILATQDMNAWPIIDPLPSYGRGRDAAGGRYSSLIGGSNLTDVIITGDNGTIDGQGAFWWSKFHGHKLKYTRGYLIELMHSDQIFISNLTLLNSPSWNIHPVYSSNIVVSGITILAPVHSPNTDGINPDSCSNVRIEDCYIVSGDDCVAIKSGWDEYGIAYGMPSQHIVIRRLTCISPTSAVIALGSEMSGGIQDVRAEDITAINSESGVRIKTAVGRGAYVKDVYVRRMYLSTMKWVFWMTGNYKSHPDDKFDPNAIPVVDGISYSEVTAYNVTMAARLEGIPNAPFTGICISNVTVELRKARKLPWYCADVEGVSSGVSPAACASLADQGDGAQPCPFPTDASPMDDVQLQECTYVKAISA >Ma04_p05610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4187814:4190621:-1 gene:Ma04_g05610 transcript:Ma04_t05610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRRRSISLPQILATWLLLMAAYTGPAECIRRTSRFHGEDEGDGSGAVEAFKYSATACRAHTASLEDFGGVGDGATSNTEAFSAAVTHLSQFASDGGGMLFVPAGRWLTGPFNLTSSFTLFLHRDAVILATQDMNAWPIIDPLPSYGRGRDAAGGRYSSLIGGSNLTDVIITGDNGTIDGQGAFWWSKFHGHKLKYTRGYLIELMHSDQIFISNLTLLNSPSWNIHPVYSSNIVVSGITILAPVHSPNTDGINPDSCSNVRIEDCYIVSGDDCVAIKSGWDEYGIAYGMPSQHIVIRRLTCISPTSAVIALGSEMSGGIQDVRAEDITAINSESGVRIKTAVGRGAYVKDVYVRRMYLSTMKWVFWMTGNYKSHPDDKFDPNAIPVVDGISYSEVTAYNVTMAARLEGIPNAPFTGICISNVTVELRKARKLPWYCADVEGVSSGVSPAACASLADQGDGAQPCPFPTDASPMDDVQLQECTYVKAISA >Ma03_p33660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34940767:34941285:1 gene:Ma03_g33660 transcript:Ma03_t33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCECSEETSLPSSCHCVELSLRSDEVAHPYCATCFGRCYPNGANLISEQRNLFNGVCQLFVIPLSKGLKDSLESQEEKNQADASGAQNGAPSNSDEEPGIYGRTDPSGSVVVPTNAASGNLVNN >Ma01_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7229627:7237629:-1 gene:Ma01_g10100 transcript:Ma01_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLTRPVVKPRMAVAVAAAAFLEVDRYARFPLHLSLCAKAFPLPRRPPSVLRFRLLLVRPSRRLSAAFLPIGGSAAPEVDHHDEDALEGVEATVSEQHGKQGSQLRKRVVFGLGIGLGAGGVVVAGGWVFTVAVAAAVFAAAREYFELVRSRGIAAGMTPPPRYVSRVCSVICALMPILTLYFGNIDVSVTSSAFIVAMALVLQRGNPRFAQLSSAVFGLFYCGYLPCFWVKLRCGLAVPALNTKLGRVWPVVLGGQTHWTVGLVATLISISSIIAADTFAFIGGRAFGRTPLTNISPKKTLEGAFAGLTGCILTAVLLSKILCWPTTLLSASGFGVLNFMGSLFGDLIESMIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVKIMLPLFGV >Ma08_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28336191:28350321:-1 gene:Ma08_g18080 transcript:Ma08_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSAGVLVPSVSKADEMGASVTPLAVSSSETTDVAGAPAAEGETVPDGSRDGAAVEADAERDRDLLCPICMGVIKDAFLTACGHCFCYMCIVTHLNNKSDCPCCGNYLTKSHLYPNFLLNKLLKKQCVRQIAKSASPIEHLRVALKQGCEMSVKELDGLLSLLSEKKRRMEQQEAEMNMQILLDFLHSLRKKKLEELNEVQTDMQFIKDDINAVEKHRTELYRVRERYSVKLRMLFDDPIPTKLWAPTADQHNSILISNSRNSRSSLVRTGSDNLQIRSNDVTAQLNHQEHQRKDAFSGSETSSLIQSGRVIARKRKIQAQFKELQECYLQKRRLGASQSHHPKEKVDAKVREGYHVGLEDFQSILTTFTKYSRLRVIAELRHGDLFHSANIVSSIEFDCDDEYFATAGVSKRIKVFEFSTVLNQPSEVNCPVVELATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVGSADHKIHYFDLRNTSSPLCVFKGHWKAVSYVKFLSTNELASASTDSTLRLWDVNGTCPVRTFVGHTNEKNFVGLTVNNEYIACGSETNEVCVYHKAILTPAARHKFGSWDLDDAEDDAGLYFISAVCWKSDSPTMLAANSQGTIKVLVLAA >Ma08_p18080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28336191:28350321:-1 gene:Ma08_g18080 transcript:Ma08_t18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSAGVLVPSVSKADEMGASVTPLAVSSSETTDVAGAPAAEGETVPDGSRDGAAVEADAERDRDLLCPICMGVIKDAFLTACGHCFCYMCIVTHLNNKSDCPCCGNYLTKSHLYPNFLLNKLLKKQCVRQIAKSASPIEHLRVALKQGCEMSVKELDGLLSLLSEKKRRMEQQEAEMNMQILLDFLHSLRKKKLEELNEVQTDMQFIKDDINAVEKHRTELYRVRERYSVKLRMLFDDPIPTKLWAPTADQHNSILISNSRNSRSSLVRTGSDNLQIRSNDVTAQLNHQEHQRKDAFSGSETSSLIQSGRVIARKRKIQAQFKELQECYLQKRRLGASQSHHPKEKVDAKVREGYHVGLEDFQSILTTFTKYSRLRVIAELRHGDLFHSANIVSSIEFDCDDEYFATAGVSKRIKVFEFSTVLNQPSEVNCPVVELATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWSTKQEASVINIDMKANICCVKYNPGSSVHVAVGSADHKIHYFDLRNTSSPLCVFKGHWKAVSYVKFLSTNELASASTDSTLRLWDVNGTCPVRTFVGHTNEKNFVGLTVNNEYIACGSETNEVCVYHKAILTPAARHKFGSWDLDDAEDDAGLYFISAVCWKSDSPTMLAANSQGTIKVLVLAA >Ma08_p18080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28336191:28350321:-1 gene:Ma08_g18080 transcript:Ma08_t18080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSAGVLVPSVSKADEMGASVTPLAVSSSETTDVAGAPAAEGETVPDGSRDGAAVEADAERDRDLLCPICMGVIKDAFLTACGHCFCYMCIVTHLNNKSDCPCCGNYLTKSHLYPNFLLNKLLKKQCVRQIAKSASPIEHLRVALKQVQTDMQFIKDDINAVEKHRTELYRVRERYSVKLRMLFDDPIPTKLWAPTADQHNSILISNSRNSRSSLVRTGSDNLQIRSNDVTAQLNHQEHQRKDAFSGSETSSLIQSGRVIARKRKIQAQFKELQECYLQKRRLGASQSHHPKEKVDAKVREGYHVGLEDFQSILTTFTKYSRLRVIAELRHGDLFHSANIVSSIEFDCDDEYFATAGVSKRIKVFEFSTVLNQPSEVNCPVVELATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWSTKQEASVINIDMKANICCVKYNPGSSVHVAVGSADHKIHYFDLRNTSSPLCVFKGHWKAVSYVKFLSTNELASASTDSTLRLWDVNGTCPVRTFVGHTNEKNFVGLTVNNEYIACGSETNEVCVYHKAILTPAARHKFGSWDLDDAEDDAGLYFISAVCWKSDSPTMLAANSQGTIKVLVLAA >Ma09_p30020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40348486:40356672:-1 gene:Ma09_g30020 transcript:Ma09_t30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGTGGRSALPRKSNENMRLIISTVIGIVLGYLIGISFPTVSITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSANNQNRNNATSNTTDTLKIYVPTNPRGAERLPPGIIVPESDFYLRRLWGNPDEDLITRQKYLVTFTVGYDQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSTRKQTKWWYAKRFLHPDIVAPYEYIFIWDEDLGVQHFDAEEYIRLVKKHGLEISQPGLEPDKGLTWQMTKRRGDREVHKETEEKPGWCADPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIIHQVVPSLGNQGQSDNGKAPWEGVRERCKKEWGIFRKRLSEAEKAYYLSKGISPPNSTGV >Ma11_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6855051:6855987:-1 gene:Ma11_g08630 transcript:Ma11_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASRFKVLKAETNAPVTRETEPTETKGKETIVVDVKQAETYGEVEGENSRQSLEFLLKEVEVKESAAPTEQSKTERPEEQVDEITASTITDEKATTVEKPSDAVRPAANVESKTETAAHVVEQHSKTEPPVVEVERPAAAADAAACEKPAAVATKTTVTENTAAVTETKKTSEARAKESNTVGKVEIKNTVN >Ma09_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12913149:12913872:-1 gene:Ma09_g17330 transcript:Ma09_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMARRTRSGLNPDAAPYVPAAQRAVEDFSSEWWDLVHSSPWFADYWLRECFHESVLDFFEDDDPELPDDINDALFSLSAPHQKEAEEEEGGGRNRELITWGAEKWKASRGWAAEGAKYAEKAAKVVSVKLSPRTIQQPR >Ma07_p01130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:869240:875581:-1 gene:Ma07_g01130 transcript:Ma07_t01130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFGGQAFEFQAISPQQLAGSPSPGIFREGIQESPDYIRETPQRNKVAPVELFPGSRFDGFTSDSRTELRTAEACPEKDRKLDKDGAFEASIELGVIPEIQERSLSINAGCVDEFVVCGGIEFELDRFYSGGDPVKTDAVVGDGTPELYQYARFGNFSYQFPELEAGDYLVDLHFAEIVFTEGPAGMRVFDVLIQEDKVVAGLDIYSRVGSNRPLVLSDLRASVVHEQGLSIRFEGVVGHAIVSGISIRQDFSAGETIKRTPGKQKEVSDRGLEECVKCSKLEEDYRLLITEQTEYRGALENLKRDNELKNKECHEARLLLQKLQMELMQKSMHVGSLAFAIEGQVKEKSRWFQSLANLSEKFHLLKLEHVNLSGEALEFKRCLADISHATTIIQTSLDRHVNVEKEIEDLKLRFNEESKEKKDLYNKVIELKGNIRVFCRCRPLNTEEKTGGASMVVDFESANDGELIIKGHVSSSKAFKFDSVFSPEDDQETVFQKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGNEEARGVNYRTLEEIFRIIRERQGLYHYEVTVSVLEVYNEQIHDLLLSGSQPTAAAKKLEVRQVTEGVHHVPGLVEAHVTNMTEAWEVLQTGSKARVVGSTNANEHSSRSHCIHCVMIRGENLVNGECTRSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGNVISALATKSPHVPFRNSKLTHLLQDSLGGDSKTLMFVQISPNEDDIGETLCSLNFASRVRGIELGPAKRQIDMSEIFRYKQLVGKVKEDSKLKDSQMKKLEETIQSLEIKNKAKDMINKNLQDKVKELESQLLIERKLARQHVDNRIAENQLQQLQQQQRREQHEENISSAKSFLVTRPPIEKIHTHVIEKETCKEFADMMRPLADNNCNRPLLQSPNDHIIFKNFLQFRDKENKPEIAEEPFPRKASRVSLCPTIRGGLPVTTAPRRNSLIPLPMAKALINAMDNLSPPLPSQAQPTLTTVGACEGETRSQQRSAKKINSILRRSLQKKVIIRPQLPQTIRRGGTLSGLDKLRLSVGRSGRKARRMVLGNAGDGDRVMQQKQKKEKERGWNHGATTARNIF >Ma07_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:869240:875581:-1 gene:Ma07_g01130 transcript:Ma07_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFGGQAFEFQAISPQQLAGSPSPGIFREGIQESPDYIRETPQRNKVAPVELFPGSRFDGFTSDSRTELRTAEACPEKDRKLDKDGAFEASIELGVIPEIQERSLSINAGCVDEFVVCGGIEFELDRFYSGGDPVKTDAVVGDGTPELYQYARFGNFSYQFPELEAGDYLVDLHFAEIVFTEGPAGMRVFDVLIQEDKVVAGLDIYSRVGSNRPLVLSDLRASVVHEQGLSIRFEGVVGHAIVSGISIRQDFSAGETIKRTPGKQKEVSDRGLEECVKCSKLEEDYRLLITEQTEYRGALENLKRDNELKNKECHEARLLLQKLQMELMQKSMHVGSLAFAIEGQVKEKSRWFQSLANLSEKFHLLKLEHVNLSGEALEFKRCLADISHATTIIQTSLDRHVNVEKEIEDLKLRFNEESKEKKDLYNKVIELKGNIRVFCRCRPLNTEEKTGGASMVVDFESANDGELIIKGHVSSSKAFKFDSVFSPEDDQETVFQKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGNEEARGVNYRTLEEIFRIIRERQGLYHYEVTVSVLEVYNEQIHDLLLSGSQPTAAAKKLEVRQVTEGVHHVPGLVEAHVTNMTEAWEVLQTGSKARVVGSTNANEHSSRSHCIHCVMIRGENLVNGECTRSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGNVISALATKSPHVPFRNSKLTHLLQDSLGGDSKTLMFVQISPNEDDIGETLCSLNFASRVRGIELGPAKRQIDMSEIFRYKQLVGKVKEDSKLKDSQMKKLEETIQSLEIKNKAKDMINKNLQDKVKELESQLLIERKLARQHVDNRIAENQLQQLQQQQRREQHEENISSAKSFLVTRPPIEKIHTHVIEKETCKEFADMMRPLADNNCNRPLLQSPNDHIIFKNFLQFRDKENKPEIAEEPFPRKASRVSLCPTIRGGLPVTTAPRRNSLIPLPMAKALINAMDNLSPPLPSQAQPTLTTVGACEGETRSQQRSAKKINSILRRSLQKKVIIRPQLPQTIRRGGTLSGLDKLRLSVGRSGRKARRMVLGNAGDGDRVMQQKQKKEKERGWNHGATTARNIF >Ma03_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29037029:29038575:1 gene:Ma03_g24800 transcript:Ma03_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLAELQVEGDENCQFRALADQLFHNPDYHKHVSKAVLKHLKKHYEGCVPMESKKYLKIMKRFWLLNQWEDHLTLQTVADRFFSLEGCDIEMATGYGKIYCVIKRTRKRKLNLT >Ma09_p30870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40782901:40790885:-1 gene:Ma09_g30870 transcript:Ma09_t30870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSKGGDTVARRWTLLLCLGSFVTGLLFTNRMWTVPEAKDIIRANKAKEHREELVVEGYYSKIMDQKEEARKILGEEGSTTEDDLQMLNKAISNLEMELAAAKMAQESILKGSPIPQELKVIKTRRHKYFMVIGINTAFNSRKRRDSVRDTWMPQGEKRKKLEEEKGIVIRFVIGHGATSGGILDRSVEAEDKKHGDFLRLNHVEGYLELSAKTKTYFATAFSLWDAEFYVKVDDDVHVNIATLGNTLARHRLKPRVYIGCMKCGPVLARKGVRYYEPEHWKFGGDGNKYFRHATGQLYAISNELAAYISINQHILHKYVNEDVSLGSWFIGLDVEHIDDRKLCCGTLPDCEWKAQAGNTCVASFDWSCSGICDSVDRIKEVHQRCGENESTIWNAVF >Ma09_p30870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40782901:40788147:-1 gene:Ma09_g30870 transcript:Ma09_t30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSKGGDTVARRWTLLLCLGSFVTGLLFTNRMWTVPEAKDIIRANKAKEHREELVVEGYYSKIMDQKEEARKILGEEGSTTEDDLQMLNKAISNLEMELAAAKMAQESILKGSPIPQELKVIKTRRHKYFMVIGINTAFNSRKRRDSVRDTWMPQGEKRKKLEEEKGIVIRFVIGHGATSGGILDRSVEAEDKKHGDFLRLNHVEGYLELSAKTKTYFATAFSLWDAEFYVKVDDDVHVNIATLGNTLARHRLKPRVYIGCMKCGPVLARKGVRYYEPEHWKFGGDGNKYFRHATGQLYAISNELAAYISINQHILHKYVNEDVSLGSWFIGLDVEHIDDRKLCCGTLPDCEWKAQAGNTCVASFDWSCSGICDSVDRIKEVHQRCGENESTIWNAVF >Ma10_p03260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:12861171:12864122:1 gene:Ma10_g03260 transcript:Ma10_t03260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCMLPDAAAGFCLWPTFSPAALRRRILEAIACGASRHRGRRKACGGVDVTEPLPRRPRPGRAERLMELLQAEPSDSGSDEADDAEARRKVEAFEEIQCVVGRLQLDDHNAGGDRREEAATEVRRLAKDDPEARETLAMLGAIPPLVGMLDSKDPDLHVAALYALLNLGIGNELNKAAIVKAGAVHKMLHLIESGSNPSISEAIVANFLGLGALDSNKPVIGASGAIPFLLSAFRSPETSPTARQDALRALFNLSIASVNLPLLVDAGLVPSLLAAIGDMAVTERSLAVISNLVASGEGRRAVSRFADAFAILIDVLGWCDAAACQEKATYVLMVMAHKGHGDRAAMVEAGAVSALLELTLLGTPLAQKRASRLLEILTVEKGKRVSEAGGSSGVSAVSAPLCGAAAETAPAEEGMSEERRAVRELVQQSLQNNMRRIVRRANLAQDFAPWERLRALTATSTSKSLPF >Ma10_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:12861171:12863525:1 gene:Ma10_g03260 transcript:Ma10_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCMLPDAAAGFCLWPTFSPAALRRRILEAIACGASRHRGRRKACGGVDVTEPLPRRPRPGRAERLMELLQAEPSDSGSDEADDAEARRKVEAFEEIQCVVGRLQLDDHNAGGDRREEAATEVRRLAKDDPEARETLAMLGAIPPLVGMLDSKDPDLHVAALYALLNLGIGNELNKAAIVKAGAVHKMLHLIESGSNPSISEAIVANFLGLGALDSNKPVIGASGAIPFLLSAFRSPETSPTARQDALRALFNLSIASVNLPLLVDAGLVPSLLAAIGDMAVTERSLAVISNLVASGEGRRAVSRFADAFAILIDVLGWCDAAACQEKATYVLMVMAHKGHGDRAAMVEAGAVSALLELTLLGTPLAQKRASRLLEILTVEKGKRVSEAGGSSGVSAVSAPLCGAAAETAPAEEGMSEERRAVRELVQQSLQNNMRRIVRRANLAQDFAPWERLRALTATSTSKSLPF >Ma08_p27720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39876948:39877191:1 gene:Ma08_g27720 transcript:Ma08_t27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTNGVMQRWQMLLEKKLHVLLSKTATHQPKCFYGGIIQSII >Ma10_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30101288:30105303:1 gene:Ma10_g19590 transcript:Ma10_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFVLPVLAGRRPLFPYRVGKGLSGSNEGENPFRLLRGSRCPLDKYFFFVLMNLNTVLFLVEKPGVGTLELIKRNTASVFVKICINGCDAKLIKRNSASFGVKMDLEGIHAC >Ma10_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31226930:31228592:-1 gene:Ma10_g21410 transcript:Ma10_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTNNSVPESSVSSVSSLSSASSSSSLTLSQTLQERKMGTCNQTATASRNEESSGGGSAKRLRDQSKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFTTPEMAARAHDVAALSIKGAAAVLNFPELAGSLPRPATLSPRDVQAAAAKAAAMDPEAQAEPPAAAPGDSDELEEIVELPRLDDVCCFLDSAETRSEFLFDDPVDSWAHPLPWVESADLLWAASDAIFPTSFGTLL >Ma09_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:881769:883544:-1 gene:Ma09_g01210 transcript:Ma09_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGSRSPDQRRRGGVSGRRMAVAAASATASVVALLLLLTLGCYWPRVDGRQFGFGFRFQQLRSVSVSNRKLLQVDAGAKSSSSSSSSASASRSTDRMGDRCSVDDIEVNQGATPPLPSGIPTYTVTVLNLCSSRNGCAMGQIHLSCGAFSSTRLINPRIFRRLRINDCLVNDGRPLAPGASISFQYANSFSYPLSVSSATCVPS >Ma09_p01210.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:881769:883690:-1 gene:Ma09_g01210 transcript:Ma09_t01210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGSRSPDQRRRGGVSGRRMAVAAASATASVVALLLLLTLGCYWPRVDGRQFGFGFRFQQLRSVSVSNRKLLQVDAGAKSSSSSSSSASASRSTDRMGDRCSVDDIEVNQGATPPLPSGIPTYTVTVLNLCSSRNGCAMGQIHLSCGAFSSTRLINPRIFRRLRINDCLVNDGRPLAPGASISFQYANSFSYPLSVSSATCVPS >Ma09_p01210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:881769:883690:-1 gene:Ma09_g01210 transcript:Ma09_t01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGSRSPDQRRRGGVSGRRMAVAAASATASVVALLLLLTLGCYWPRVDGRQFGFGFRFQQLRSVSVSNRKLLQVDAGAKSSSSSSSSASASRSTDRMGDRCSVDDIEVNQGATPPLPSGIPTYTVTVLNLCSSRNGCAMGQIHLSCGAFSSTRLINPRIFRRLRINDCLVNDGRPLAPGASISFQYANSFSYPLSVSSATCVPS >Ma09_p01210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:881769:883544:-1 gene:Ma09_g01210 transcript:Ma09_t01210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGSRSPDQRRRGGVSGRRMAVAAASATASVVALLLLLTLGCYWPRVDGRQFGFGFRFQQLRSVSVSNRKLLQVDAGAKSSSSSSSSASASRSTDRMGDRCSVDDIEVNQGATPPLPSGIPTYTVTVLNLCSSRNGCAMGQIHLSCGAFSSTRLINPRIFRRLRINDCLVNDGRPLAPGASISFQYANSFSYPLSVSSATCVPS >Ma05_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8100095:8103075:-1 gene:Ma05_g11180 transcript:Ma05_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLLAPCCHSLLRSVHISFCICTLYNLHILVELIAVSAGAEGPGYDYSAYTECKYHPEAPLYNGGVLVDRSGRIPVAYHKTETGVYAPAFLLYNLTETTRYSFSCWVKAKGTGSALVRATLSAEGSTLNCIGTVLARSGCWSFLKGGFVLDSFSRSSVLYLQDVDEKMTEISVASASLQPFSVEQWSMHQQDSIRTRRKRAVTIHVSDEQGNLIGGASVSIRQSSRDFPIGSAIANTILGNKQYQEWFAERFNAAVFENELKWYATEPEPGRLNYTLADEMLEFVVSNQIIARGHNIFWEDPIYTPSWVRKLSGDDLRAAVRSRIESLLSRYRGQFVHWDVSNEMLHFDFYEQRLGSNASSEFFRTAQQSDPLATLFMNEFNVVETCSDARSTVDSYISRLKELKNGGAVLEGIGLEGHFWRPNIPLMRAVLDKLSTLELPIWLTEIDISKKVDAQEQALYLEEVLREGFSHPSVGGIILWTALHPNGCYQMCLTDQSFGNLPTGDAVDELLKEWETTEGGLTDEHGQYSFIGFLGEYKVSVVAGNKSTETSLFLSRGGETKHVTVHL >Ma01_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6887677:6888881:-1 gene:Ma01_g09600 transcript:Ma01_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRRPHRPTGVMASRGPVCLHAFLQNFHLAAAFGRERESEREREMGESEERCGGEEEEECCSKSSKGDRTRRFSEEQIRSLESTFEAQTKLEARQKQQLARELGLQPRQVGIWFQNKRARWKSKQLDREYRALRADYDALLSRFDSLNKEKQLLLKQRQGLTELLDKTEKNDRDAASKEKEWPRPSLKEEPDLEFAGCTEEEEDDDDNTLSYLCEDEPGPRAVQPATSSVPSSAEKQLHSATGRAAAQTSCSNSPWWEFWPLSE >Ma03_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9205805:9206666:-1 gene:Ma03_g11880 transcript:Ma03_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQLPGDVEECSSCESGWTKYLSSPAHDDDGSEVESVVGDAGEDTGHESKESDDDSMASDASTGLIQSKDSDGMVHSKCNDDDDDDDDDDDGFRNKKQTQCSSHSNKQFCKVEEARSEREDFAALHSNSKARKKKLK >Ma03_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15088874:15095107:1 gene:Ma03_g15290 transcript:Ma03_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPQADTSLGRNLADGAADLDLLDQLLSGDGWMEFPDCPDALQAGTPDSMSPFNSLSFSPLFEVSNSSPNPDRLDGCNQDDIGRSVVSTCPPPDETRAENIDKKQSLNPSSVRRIMLSVDSGDLCSTSPSSAPGTSWWIQPRESNFSVKEKFMQALDYIKENQREGDALVQLWVPVKRGGQLILTTYSQPFLLNRKCEKLVNYREVSVNYQFSTEENSGKALGLPGRVFLGGLPEWTPDVRLFSSYEYPRVDYAQRLDIRGSIALPVFDQGNRSCLGVVEVVMTTQKINYTYELQNICSALQAVDLRSSEVASVPRFKVSSGSYQAALPEILEVLKAVCRMHMLPLAQTWIPCIQQGKKGIRHSDENYGYCVSTCDDVCCVNDPSMTGFHEACSEHHLLRGQGVAGRAFTTNQPCFVSDVTASSKTEYPLSHYAKMFGLRGAVAIRLRSILTGNADFVLEFFLPANCILIEEQKRMLNSLSGTIQQVCQTLRVVTSKELADEAMLQVSETIPNSWLAKSSSEAEPGQKCDTDTSLEAHKTGVYENTPPWFTSAMGDSNKKISHVFEFKKHGVERFSITTDRDHTEVVLPAEKISSKLRQHEQGFAKDISDNENSFNFDSSCSEATRTTEKRRRKTEKTVSLEVLRKYFAGSLKDAAKGIGVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQVVIDSVHGADKAIQLSSLYKDFTTIPVSDKNSSGDFPVSRPNQNDHPNADHQDLDAKIIQPNLSSSHSSSSCSPTSTSSLSSSSGEKQCNQSAEPRMKQEVNMEEKIIDILHGTNGQMDLHLPTKSTQLSPNRFQHPKSLSEHCSSGSLSPSDSNRSSWIRVKATYGAEKVRMRLHPAWGFEDLRQEILKRFNIGIEHSVNLRYFDDESEWILLACDADLQECIHIYRLSGAQTINISVHPVASTLIRTSSCGTGLSS >Ma07_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24802774:24808591:1 gene:Ma07_g18230 transcript:Ma07_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATAGPLRLGLDLSSSFLSAHRRLGHSGPPRQPSPVLPAGRRAASPARAVAHLHDLFVGVGVGLPCTVMECGDIIYRSTLPRSTGLTLTAPGVALALAAVSYLWATPGVAPGFFDMFVLAFVERIFRPTFRKDDFVLGKKLGEGAFGVVYKVSLAKPKPSIKEGDLVLKKATEYGAVEIWMNERARRACTSSCADFLYGFLESKSKGRGGGEYWLIWRFEGEDTLADLIQSKEFPYNVEAKILGEVQDLPKGLERENKIIQTIMRQLLFALDGLHSTGIVHRDIKPQNVIFSEGARTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSVGLIFLQMVFPSLRTDSSLIQFNRQLKRCGYDLNAWRNLVEPRAGLDLRKGFELLDLDGGIGWELLTSMVRYKARQRTSAKAALAHPYFNREGLLGLSLMQNLRLQQYRATQKDYSEAANWVIKLMAKSGTASEGGFTEAQLQELREREPKKGSPQRNVLASILRVQRKIIKTLNESMDELNRRRKSLWWSRWIPREE >Ma02_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20041525:20043400:-1 gene:Ma02_g10500 transcript:Ma02_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMLLSRKAACNIHGQDSSYFLGWQEYEKNPYDPITNPTGIIQMGLAENQLCFDLIESWLENHPDPAAFKKDGALLFRELALFQDYHGLPAFKRALAKYMGEVRGNKVAFDPNRLVLTAGATSANETLMFCLAEPGEAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNDFRITKPALEAAYQDAQKRSLRVKGVLVTNPSNPLGTTLTRHELDILVDFVVSKDIHLISDEIYSGTNFDSPGFISIAEATKDRNNVSHRIHIVCSLSKDLGLPGFRVGAIYSENEAVVSAATKMSSFGMVSSQTQYLLAALLSDKEFTDKYLLENQKRLKERHDMLVEGLRRIGIGCLKGSAALFCWVDMRHLLKSNTFKGEMELWKKIVYQVGLNISPGSSCHCDEPGWFRVCFANMSEDTLTLAMQRLKSFVDSGDCGSNHDSGHQRPRKPFLTKWVLRLSSTDRKSER >Ma06_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3369627:3375648:-1 gene:Ma06_g04550 transcript:Ma06_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILSGLLTEILIPAAAVVGIAFALVQWLLVSKVKLSPEDSASSKDGVSDYLIEEEEGLNDHNVVVKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAVLIFLFLGSVEGFSTKAQPCTYSKDKYCKPALANAGFSTISFLLGATTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSSAALVVASISSFGVNHDFTAMCYPLLISSMGIIVCLITTLFATDFFEIKAVEEIEPALKWQLIISTALMTVGIAIISWIALPSTFTIFSFGDQKQVKNWELFFCVAIGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMDGSTRPDYATCVKISTDASIKEMIPPGALVLLTPLIVGTFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Ma05_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11219000:11219730:1 gene:Ma05_g15140 transcript:Ma05_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGAAKVKAAAEMPPKGCMAVRVGQEGEEQRRFVVPVAYLDHPLFAELLDTAAQEYGFNQKGPIAIPCGVDHFRHVSDVIHSDQGGAAGHHRHRLRHFAACFGAR >Ma11_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10119577:10121852:-1 gene:Ma11_g10620 transcript:Ma11_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNANLLLVTAFLCLLSVHHGRACFPAIFSFGDSLQDTGNFINTFANTTISKHPWGITYFHKATGRYSDGRLIIDFIAQALGLPLVPPYRGGGTFSRGANFAFGGATAQDRKALAGLGLNVTSFMDRSLFVQIGWFKDLLKSVPSLADRTFLGNSLFMMGEIGGNDFNAALSQNKPIENIKNAFVPGVVRTISSGITTLIELGAKNFIVPGNLPIGCIPAWLSKYKRSDPGYYDANGCIKWLNDFSQYYGSKLQDELNKLKRAHPEANIYYADYHGAGMRLFSNPKQFGIAERFVACCGGNGHGCDETGPVCSNPSTFASWEGFHPTEAFYHAVSDGLISGPFAIPLLKQTCSKHAQKRAYTLHY >Ma01_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2332071:2335741:-1 gene:Ma01_g03530 transcript:Ma01_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGLGRLQEEALRLNSELWHACAGPLVSLPPVGSRVVYFPQGHSELVAASTNRVTGSLPSFPSLPPHLVCQLHNVTMLADEETDEVYAQMTLQALSPQNDLHLPTELGTRSKHPTNYFRKTLTASDTSTHGCCSIPRRAAEKVFPPLDFSRDPPVQELIAKDLHGNEWKFRHTFRGQPKRHLLTIGWTLFVNAKRLVAGDSVIFIWYGYSELLLGVRRAQSPQRVASADAVHVGLVAAHAVATNSSFTLSYFPRASPSEFVIPLSKYVKAVLRTRLLVGMRFRMLFETSESSVRRYMGKIIGIGDLDPVRWPNSHWRSVKVSWDEHAVGERQFRVSPWEIEPLPTFPMYPSPFPLGMKHSWPTAVPCHHGEDDDNVDLASPLMCFQNGGNLGFLSLNAHSSAAAPWMQPRFDAPMLQAEMWPMAAASGLLEKRVTDAAKQASAATLQPQQTPTPLGQSLDHGGPPQPPPPPAFLQIAQLNLEQILHQQAQQRSAATAAAVAPPVQSLLLPPPPPVGYADFSPSIPPLQSPYDTYTILHNSTDATLPAYSLTYPIDLTSEDILSLHPSFHSSLESLPPLEVCIHRDSVGGELITRNNERFFF >Ma11_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19684283:19687004:1 gene:Ma11_g14390 transcript:Ma11_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAQRRASHLLSRLLPRSPLSRDRGPLARLTAAAIDVAHPAAPALPWLFVARYKTTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPEGSKPSEDEMIGAYVKTLAAVVGSEEEAKTRIYSVSTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPQFRFTERQQTRTRPRPRYDRRRETMQVERREPMMRGTSGQNQGQSGLHQRSEIPQGCGSGKQE >Ma11_p14390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19684283:19687009:1 gene:Ma11_g14390 transcript:Ma11_t14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAQRRASHLLSRLLPRSPLSRDRGPLARLTAAAIDVAHPAAPALPWLFVARYKTTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPEGSKPSEDEMIGAYVKTLAAVVGSEEEAKTRIYSVSTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPQFRFTERQQTRTRPRPRYDRRRETMQVERREPMMRGTSGQNQGQSGLHQRSEIPQGCGSGKQE >Ma03_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26347205:26356171:-1 gene:Ma03_g21390 transcript:Ma03_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRSHCCHPLFSFASFDSKPTLPFAFLSLAGRPFFGGRRPRAGPFRRLLAAAAAQDPHPSKELDLELLLHSKPSPVPSDDDDDDDPRKPPDSDEALAPFLKFFKARDSAEEGLIISGEESDAEAAAEAEAEVEEKEKERVEVQYYEPKPGDLVVGVVVSGNENRLDVNVGADMLGTMLTKEVLPLYEAELPYLLCDRDKDAAEYTVPGKMGILKDEEALSGGKVAGRPVVEVGTILFAEVLGRTLSGRPLLSTRRMFRRVAWHRVRQIMQLNEPIEVKIFEWNTGGLLTRIEGLRAFLPKAELMNRIKNFTDLKDNVSRRMHVCIIRIDEATNDLIISEREAWDMMNLKEGTLLEGTVCKILPFGAQIRIGATNRSGLLHISNITRARVSSVSDVLKVDEKVKVLVVKSMFPDKISLSIADLESEPGLFLSDREKVFSEAEEMAQKYRRKLPVISASHKFETPPTADLLPFDDEARLYANWKWFKFEQHTEVNDGDNIISEC >Ma04_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18093238:18094023:-1 gene:Ma04_g17590 transcript:Ma04_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSLGSPGSKTRLSSGGAAGRMEQQPEEDMKAEKQIRWSLRADRSIHLIPILTILCLLVLFLFSHDPSPADLEAFGASGILRHDTKAVSGAERVSAIHSNRGLKAAARNRKLGVSQPDVRT >Ma00_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:20534807:20538294:1 gene:Ma00_g02760 transcript:Ma00_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDNSLQEMEEKVNSMMKLIEEDADTFGKKAELYFRRRPELMNFVEEIYKAYKALADRYDRVSGELHKANHTIASVFPDQVELAMQDDEDDSSPKAITSIDLNYTAPKRQYHRRISSQISKEKAQEDIERLQKEILVLQTEKEFNKSSYESTLTRYLDIERQIAELQEEVCSLQDAFSASAIIEDNEARALMAATAIKSCEDSLLNLQEQQKITTQEARMESERIKEAELQLITLKGKCCKSEVETTETSSDQNIQQECTIVETEDFGVLKEEKLELESMCQKVKEHFEMRSESSVVELAEKIDELVDKVISLELTVSSQTAQIKRLRSETDELQEHLRSLEEDKMVLVDNSNSLTEKLKQAEDELQRIQYLEKCLQNEKGILRQEFIEACRSLSDLSEQLQSPTYQESANYMQNAVHNLAGNQAHEQSANELEKFQRDVQRESEVTQDFDVAEYTSIVHNYKDTKQRLAEIERKNQEYHLETMAQVKELKSANVMKDEEIRLLKEILNSLQASLNVNAPRIMEKSRYQVDENLCQVGEPQASSAIEDKFRTDIDTLLEENLDFWLRFCTSYHQIQEFQKTFKNLKSDIEKLNETRNQEGNEEDENQGTLPVDRRLRELNTELQANESEEVRFIPYQAAKFQGEVLSMQLENNKVAKELQAGLDYVRGLQVEISWTLSKLNKNFELAGSRYNQQNSHQHHPLRHLSTKTRVPLRTFLFGTKPKKPSIFSCMNPALHKQYNGLRSSLPR >Ma06_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13444246:13461045:-1 gene:Ma06_g19550 transcript:Ma06_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLSSLVASKPTAPLASLAPTSIPPSGTQAIPKGFHGLRRSLQPRPARMISAPRTSQRSFVVKASSESYSPLVGNKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYSEFEKLNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLISDITKSISKSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAVP >Ma07_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24696914:24729971:-1 gene:Ma07_g18190 transcript:Ma07_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEGDPQRLKTTAAAAYDYDNDPRWAEYWSNILIPPHMAARSDVVDHFKRKFYQRFIDPNLVVEAMSSTSSSQSSRASDRPSSQSAQSSRASDRLSSQSAAQNVRSQNSGSGSGSTGTAASMGRNANSSRLYQRSIHFSANAWVLVVVVLGMLPVLPRNLSNRAYHLSLLGTTCSSVYSLYTLYGKPRAWNLPAIQTWFQTLIGAKDFIHLIYCITLVTSQLHFKFALIPVFSWALEHVAKFLRRNFAHSSLYRKYLEEPCSWVEANGTTLNILSSNAEIGLGFLLIISLFSWQRNIIQTFIYWQLLKLMYHVPVTAGYHQSAWVRIGRTVSPYIYRYASFLNGPISAVQRWWLT >Ma05_p26250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37923106:37928013:1 gene:Ma05_g26250 transcript:Ma05_t26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMNDKDAMIEDWTLSNPSPRTLMSTFLSEEFGSRSSSGFLAGKENEGPALETEKMDKVTKEEEGEASNDFLSESNWSGAHKLNSHGGLAERISASGFNVPKLNTARIGQEKMASSSSDVRSPYLTIPPGLSPTSLLESPVFLSNSMAQPSPTTGKLHFAQNNIAGPASMSVSSSRIKSNDLLEDAPEAFAFKPLLELHSSFYSSSERMVAPGLSQPQTLSSITVPVQLGKPKETGTLEAGPMHSQNQHEFNLQADSKDATDSIMFKHSMPDSFMGSDHALPLDDEQDGDRDLKGEPSSVAVGAPAKDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLEGHITEIIYKGTHNHPMPPPNRRSGVLLSHPFNDPEIDGSAQTGSQMNSDSKTMWTTRNMGNEEQDWQGDGLETTTSAHVAAECCDPSATTKQTPDIARLSSDAIDVSSTMSNEEDEDDRATHGSVSLGCDGEGDETESKRMKLDTTAIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCNVRKHVERAAHDLKSVITTYEGKHNHDVPAARNSNHPGSIPSGTISNTAAPHEPHGLLPRVESAQGGLVRLEGHAPFSTFGLPGIQQLRPATSFSFAMSQPGFTNLAMALPGPLAPMNVSVLPSVHSYLGHHVPVEGLMIPKGEPKEEPMPESESRLPMPNAGPMYHQMMMNGVPLRPQL >Ma05_p26250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37923106:37927942:1 gene:Ma05_g26250 transcript:Ma05_t26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMNDKDAMIEDWTLSNPSPRTLMSTFLSEEFGSRSSSGFLAGKENEGPALETEKMDKVTKEEEGEASNDFLSESNWSGAHKLNSHGGLAERISASGFNVPKLNTARIGQEKMASSSSDVRSPYLTIPPGLSPTSLLESPVFLSNSMAQPSPTTGKLHFAQNNIAGPASMSVSSSRIKSNDLLEDAPEAFAFKPLLELHSSFYSSSERMVAPGLSQPQTLSSITVPVQLGKPKETGTLEAGPMHSQNQHEFNLQADSKDATDSIMFKHSMPDSFMGSDHALPLDDEQDGDRDLKGEPSSVAVGAPAKDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLEGHITEIIYKGTHNHPMPPPNRRSGVLLSHPFNDPEIDGSAQTGSQMNSDSKTMWTTRNMGNEEQDWQGDGLETTTSAHVAAECCDPSATTKQTPDIARLSSDAIDVSSTMSNEEDEDDRATHGSVSLGCDGEGDETESKRMKLDTTAIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCNVRKHVERAAHDLKSVITTYEGKHNHDVPAARNSNHPGSIPSGTISNTAAPHEPHGLLPRVESAQGGLVRLEGHAPFSTFGLPGIQQLRPATSFSFAMSQPGFTNLAMALPGPLAPMNVSVLPSVHSYLGHHVPVEGLMIPKGEPKEEPMPESESRLPMPNAGPMYHQMMMNGVPLRPQL >Ma08_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9109799:9112446:1 gene:Ma08_g12090 transcript:Ma08_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLLSTATHHHTLPENYVRPESQRPRLTQVITDASIPIIDLGSPDKAQIISRIGQACQSYGFFQVVNHGIDTELMVKMMTTGLEFFRLPSEEKAKLYSDDPSKKIRLSTSFNVKKELVHNWRDYLRLHCYPLEEYVPGWPSNPSSFKEVVSTYCQQVRGLGFRLLGAISLSLGLEEDFIERALGEQEQHMAINYYPKCPEPELTYGLPAHTDPNALTVLLQDSDVAGLQVLRDGKWMAVDPKPSALVINIGDQLQALSNGRYNSVWHRAVVNSNKERMSVASFLCPCNNVIISPPEELVSEGSPATYRRYTYDEYYKKFWSRNLDGEHCLELFKREKAF >Ma10_p31550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37661019:37668367:-1 gene:Ma10_g31550 transcript:Ma10_t31550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVNHPTVMQKVAGQLHLRSSFSRDPQALNCSLYSPSLYQRCFTTVNCTNGGFQNPSMAVYSGTYELPIVTSVSPGFAHAPAEKGFAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFSRTIKDEGVLSLWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFGGNLASGGAAGACSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >Ma10_p31550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37661019:37668263:-1 gene:Ma10_g31550 transcript:Ma10_t31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVNHPTVMQKVAGQLHLRSSFSRDPQALNCSLYSPSLYQRCFTTVNCTNGGFQNPSMAVYSGTYELPIVTSVSPGFAHAPAEKGFAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFSRTIKDEGVLSLWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFGGNLASGGAAGACSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >Ma07_p26210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33096777:33109056:-1 gene:Ma07_g26210 transcript:Ma07_t26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGMSTGRQTVRDLAEEAKKRVVLLLICVFGLSYLMSLTSSSVWVNLPAAAAIIIFCLYLSRDLDIRRRSTVSDKLSLVDEFTQKKSVELLKFSLEKSDWRKKVDSPPVEAAIEQFTRHLISEWVVDLWYSRITSDRDGPEELVEIMNGVIGEISSRARDINLIDLVTRDIVNLICNHLELYRYSQAKIGKQEIMKLPTDRRDIQIKIILAAENKLHPALFSGEAEHKVLQNLANGLMSIVFKPEDLQCSFFRYTVRELLACTVIRPVLNLVNPRFINERIESLALSHANKASKKTGPSEEAPIVKRKAHSVPSDVQISGSLDRSSPGVELVQYKNDTCKTSSDNHSIANGICYTKKGKRPNLETIDDSCPDKSDFVFNEAQNSFSNNSLLSDSQYHDGKRNGASEWAEMLDVISKRKSQVLAPEHLDNMWAKGRNYKKKEVCKPAKSVAQNSSVGFTNTYSGTSNQDKTHPSDISKQYAIISKVESLHATDYNASNQSNPSLQKRTEQRNHEELEQESESSYTSEDDENSTVMGLDSPGTRVWESKNKINAGVSSIRHPLETSESHVARKNSNVYVHHPRTSGTSSGRKRFRLSNQKVPLWQEVQRTSFLLGDGQDVLNASKNDTKILELSDESDVEVRGRIYSGAVASSSFSSVSASESSYSSMKSPDILVLADTFLKLRCEVLGANVVKSGSGTFAVYSVSVTDANNNSWFIKRRFRHFEELHRRLKEFPEYNLSLPPKHFLSSGLDVPVVQERCKLLDVYLKRLLQIPTISESIEVWDFLSVDSQTYVFSDSLSIIQTLSVNLDVKPHEKSAKSFDSIEDVNSQLFSAEKNQNYEIKDSATPMSKSYPESDGLRLRKPYMEKISGPDTRKEQKNSCQDKTGSDPESRLGKNVPSTGKSDQLKKHSVVGVETLQESSKSIHSGGAFSIPTEWVPVPPNLTIPILNLVDVIFQLKDGGWIRRQAFWIAKQLLQLGMGDAFDDWLIEKIQLLRRGVVIASAIKRIEQILWPDGIFLTKHPKRKAPTPVSSPGTQNNQNANPLTTEQQLEADRRAKFVYELIIDKAPAALVSLVGRKEYEQCAQDIYFFLQSPVCLKQFALELLELLFLSAFPELNDVVRRCHEDNQQLESEINQ >Ma07_p26210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33096777:33106870:-1 gene:Ma07_g26210 transcript:Ma07_t26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPTDRRDIQIKIILAAENKLHPALFSGEAEHKVLQNLANGLMSIVFKPEDLQCSFFRYTVRELLACTVIRPVLNLVNPRFINERIESLALSHANKASKKTGPSEEAPIVKRKAHSVPSDVQISGSLDRSSPGVELVQYKNDTCKTSSDNHSIANGICYTKKGKRPNLETIDDSCPDKSDFVFNEAQNSFSNNSLLSDSQYHDGKRNGASEWAEMLDVISKRKSQVLAPEHLDNMWAKGRNYKKKEVCKPAKSVAQNSSVGFTNTYSGTSNQDKTHPSDISKQYAIISKVESLHATDYNASNQSNPSLQKRTEQRNHEELEQESESSYTSEDDENSTVMGLDSPGTRVWESKNKINAGVSSIRHPLETSESHVARKNSNVYVHHPRTSGTSSGRKRFRLSNQKVPLWQEVQRTSFLLGDGQDVLNASKNDTKILELSDESDVEVRGRIYSGAVASSSFSSVSASESSYSSMKSPDILVLADTFLKLRCEVLGANVVKSGSGTFAVYSVSVTDANNNSWFIKRRFRHFEELHRRLKEFPEYNLSLPPKHFLSSGLDVPVVQERCKLLDVYLKRLLQIPTISESIEVWDFLSVDSQTYVFSDSLSIIQTLSVNLDVKPHEKSAKSFDSIEDVNSQLFSAEKNQNYEIKDSATPMSKSYPESDGLRLRKPYMEKISGPDTRKEQKNSCQDKTGSDPESRLGKNVPSTGKSDQLKKHSVVGVETLQESSKSIHSGGAFSIPTEWVPVPPNLTIPILNLVDVIFQLKDGGWIRRQAFWIAKQLLQLGMGDAFDDWLIEKIQLLRRGVVIASAIKRIEQILWPDGIFLTKHPKRKAPTPVSSPGTQNNQNANPLTTEQQLEADRRAKFVYELIIDKAPAALVSLVGRKEYEQCAQDIYFFLQSPVCLKQFALELLELLFLSAFPELNDVVRRCHEDNQQLESEINQ >Ma06_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10547331:10551013:-1 gene:Ma06_g15550 transcript:Ma06_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRFFAPTSQNPNPKTSHLVSSSKNAITEATNFPSSSSFSRCTSQLSSKNPSPNHCRSICSSKNAAYNRARSSSPPKSSNFLGFQLFRPREHRRQVGCGRGVDDRSDEIDAPPLLNWKNGGGGSGNGEGGDGGEEEEDEKDEEEEEEKRKGLLPEWVSITKEDAKTVLGALAISLAFRTFVAEPRFIPSLSMYPTFNVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDDDVFIKRVVAKEGDVVEVHDGKLVVNGTVRDEDFILEPPSYEMSPVVPKEDYSMNLCFLHKLYWNLSWASIQCNSESRHAVT >Ma06_p15550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10546827:10551013:-1 gene:Ma06_g15550 transcript:Ma06_t15550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRFFAPTSQNPNPKTSHLVSSSKNAITEATNFPSSSSFSRCTSQLSSKNPSPNHCRSICSSKNAAYNRARSSSPPKSSNFLGFQLFRPREHRRQVGCGRGVDDRSDEIDAPPLLNWKNGGGGSGNGEGGDGGEEEEDEKDEEEEEEKRKGLLPEWVSITKEDAKTVLGALAISLAFRTFVAEPRFIPSLSMYPTFNVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDDDVFIKRVVAKEGDVVEVHDGKLVVNGTVRDEDFILEPPSYEMSPVQVPESSVFVMGDNRNNSYDSHIWGPLPAKNIIGRSVFRYWPPARVGGTISSESCENVKPNSSLVTQNAK >Ma01_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4972465:4977170:1 gene:Ma01_g06870 transcript:Ma01_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKKLSEARDLTRIERIGAHSHIRGLGLDAALEARAVSEGMVGQCAARKAAGLILNLIKEGKIAGRAILLAGQPGTGKTAVAMGLAKSLGAETPFAAVSASEIFSLEMSKTEALTQAFRKAIGVRIKEEAEIIEGEVVEISIDRPAAGAGASAGQSSSKTGKLTLKTTDMETVYELGGKMIEALGREKVQSGDVISLDRASGKVTKLGRSITRSRDYDATGPSTKFVRCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRSEVREQIDSKVSEWKEEGKAEIIPGVLFIDEVHMLDIECFSFLNRALENEMAPILVIATNRGITTIRGTNYRSPHGIPADFLDRLLIVTTQPYTEEEIGKIIEIRCEEEEVEMSKDAKLLLTKIGVETSLRYAIHLITSAALVSLKRKGKMVEMDDISRVYRLFLDVKRSTQYLMEYQNQYMFNEVPSVGEEVESMQS >Ma06_p36490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35884540:35885098:1 gene:Ma06_g36490 transcript:Ma06_t36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITFVLIAFLVFVSLAHAGRTAPVEYPEKTSLQGVQKETPERVEGCEGVGADECLMRRSLAAHTDYIYTQEHH >Ma10_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9159338:9163056:1 gene:Ma10_g02710 transcript:Ma10_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSGDALQPKASHGATKHFRGYPLVSRWSLFRKKSPLHLKTEKFSLFLGGCDPYTRMHKGCPICLSLNNASQLLLLCCCYAYLLLDFV >Ma05_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:330535:335292:-1 gene:Ma05_g00590 transcript:Ma05_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRCDVNGVNKASCYLNSERKQSRSPSAIVIGGGFAGIAAAHALKNASFQVVLLESRDRIGGRVYTDHSFGFPVDMGAAWLHGVCKENPLATWIGRLGLPIYQTSGDNSVLFDHDLESYALFDADGRQVPQELVQKVGEVFESILKEANKVRHETTEDMSVAQAIALVLERDPDLRQEGLANNVLQWYLCRMEGWFATDADNISLKNWDQEVLLPGGHGLMVRGYRPIINTLAKGLDIRLSHRVTKIVRGKKGVEVTVNNDKSFFADAAIITVPLGVLKAKSIKFEPRLPEWKEAAIDGIGVGVENKIVLHFDKVFWPNVEFLGVVSSTSYGCSYFLNLHKATGHPVLVYMPAGRLAQDIEKMSDESAAKFAFSQLKVILPDVTEPIQYLVSRWGRDENSLGSYSYDAVGKPRDLFERLRIPVDNLFFAGEATSIKYTGTVHGAFSTGLMAAEECRMRVLEKYGDLENLEMFHPSMDEEAASISVPLLISRM >Ma09_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8380806:8386344:1 gene:Ma09_g12460 transcript:Ma09_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLCDFCGEQRSVIYCRSDAAALCLSCDRIVHSANALSRRHSRTLLCDSCSTQPAFVRCIEESVSLCQNCDWNKDGGSAVAKGHKRETINCYIGCPSAAELSRMWPFFKEFPPIEDADCEQGLGLMTIDENSVTNCWGHPQNSISGAGKLNNPGAFDKFDSLIGSSSGPAICSMPCTADLVAGSVDSTTPKLSCPGTNDSELCKDGLCDDFNLDDVDLTFQNYEELFGLSHNQTGHLFDDHGIDVIFDPWDTCAANSNCQDEFVGEASSEGQVKPLPTTCSNAVSADSVMSNPGQNVDSSMFFPARQAHSCLSFSFSGLTGESSARDYQDCGVSSMLLMGEPPVFPAGPESSMLPTASRDSAVMRYKEKKKTRKFEKKIRYASRKAMAEVRRRVKGRFVKAGEAYDYDPLAKTRSC >Ma09_p30120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40413123:40414485:1 gene:Ma09_g30120 transcript:Ma09_t30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPLLLLLISSLPLISSRSELHDYPPLADGLAWEFYDDTCHDLESIVRAHLRSVFDSDVGLAAGLLRVFFHDCFVQGCDGSVLLTGSDSEQASPPNQTLRPKALQVIDDLRGLVDEACGSVVSCADITALAAREAVFLTGGPYFKMPLGRRDSVTFAPAADTIASIPSPRSNITALLKTFKDKKLSLMDLVALSGAHTIGVGHCAAFADRLYPAQDSDMDPSYAKRLYATCPTATAANATGLDFRSPDAFDNMYFVDLVNGEGLLASDQGLFGDERTKKVVKKFAGEQKRFFRKFVRAVIKMSQLDVLTGSKGEIRRDCTVPNSAAAGLSAM >Ma07_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11086586:11088271:-1 gene:Ma07_g14780 transcript:Ma07_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATLWPPSSTPFLTRSSQLGNRPFPIHSLLPLPWPSPSPLFSHSNDEMTTARSYFSNLLSLFVLLFLHLGCFFFPCNNNAEEQPPPPKRRKVSPSSPSFLVSSDPDAKPLKSFSIRSYLSCILSFRRPRGKDQEPQLHPPGDAPVCPSSPLQPIPLSPDARAGGTQSGGDGKEHVFSGSTAKHDSFASRNDVYPCAACGEVLSKHQLLELHQATKHSLSELCEADSGYNIVRIIFQSGWKGKSPIVHRVLKIHNTTRTLAWYEEYRDAVRSRAARYAARNGGGDERCIADGNERLRFYCTTSLCSSDAGRGGDVAPAGVCGSPYCCACAIVRHGFAGKHADLDGIATHATSWGAHGALPQDLEREFAFLGARRAMLVCRVVAGRVAHGHGGGAAEGDEEEEEGKGAGFDSVVPTGLGGGGGPHDNGVGENELLVFSPRALLPCFVIMYTT >Ma10_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25897472:25901674:-1 gene:Ma10_g12930 transcript:Ma10_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sulfoquinovose synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33030) UniProtKB/Swiss-Prot;Acc:O48917] MAHSILPCCSINSCLTTKTYLKNNNTCSAVFYNSVPLQTCKYPGTVLRPCKLRPRRQSIIYATATPMSQEIEKSTLTGSPQSSSESSAKSKKVMIIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGIDSLTPISSIQNRVRRWKSVTGKSIQLFIGDICDFEFLSEAFKSFEPDSVVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAIKEFSQECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETDMHEELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAVANPAKPGEFRVFNQFTEQFSVNDLARLVTTAGKKLGLDVQTISVPNPRVEAEEHYYNAKHTKLIELGLRPHLLSESLLDSLLNFAIKFKDQVDPAQIMPTVSWKKIGVKTRTVSV >Ma09_p05910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3825948:3832827:-1 gene:Ma09_g05910 transcript:Ma09_t05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSRVRRGRAQATPAVQAERPNERRRRAARNQQPVDENLPVARSAEPQEEIRLAEGGGEVGGVVGEVNLEGIGERRMDEYDSGARSAEKLLPRGEDEGSAAPLPVKVQVGSSPVYKIERKLGKGGFGQVYVGRRVSATNANDRITGSGAVEVALKFEHRSSKGCNYGPPYEWQVYNTLGGIHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNSNSHSMSVEMVACIGTEAISILEKMHSKGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATKWKDSATGLHVEYDQRPDVFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFSPQPFKQFVEYVVNLKFDEKPDYAKCISLFDDIVGPNPDIRPINTDGAQKLIYQVGQKRGRLMMEEEGDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGNEDSLFISCVASCSNLWAIIMDAGTGFTSQVYEMSPNFLHKEWIMEQWDKNYYITALAGTNSGSSLVVMSKGTQYVQQSYKVSESFPFKWINKKWREGFYVTTMATAGSRWGVVMSRNAGFLDQVVELDFLYPSEGIHRRWDGGFRITATAATLDQAALVLSVPRRKPADETQETLRTSAFPSQHVKEKWAKNLYIASVCYGRTVS >Ma09_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3825948:3837790:-1 gene:Ma09_g05910 transcript:Ma09_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSRVRRGRAQATPAVQAERPNERRRRAARNQQPVDENLPVARSAEPQEEIRLAEGGGEVGGVVGEVNLEGIGERRMDEYDSGARSAEKLLPRGEDEGSAAPLPVKVQVGSSPVYKIERKLGKGGFGQVYVGRRVSATNANDRITGSGAVEVALKFEHRSSKGCNYGPPYEWQVYNTLGGIHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNSNSHSMSVEMVACIGTEAISILEKMHSKGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATKWKDSATGLHVEYDQRPDVFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFSPQPFKQFVEYVVNLKFDEKPDYAKCISLFDDIVGPNPDIRPINTDGAQKLIYQVGQKRGRLMMEEEGDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADVRLAQHIEKGNEDSLFISCVASCSNLWAIIMDAGTGFTSQVYEMSPNFLHKEWIMEQWDKNYYITALAGTNSGSSLVVMSKGTQYVQQSYKVSESFPFKWINKKWREGFYVTTMATAGSRWGVVMSRNAGFLDQVVELDFLYPSEGIHRRWDGGFRITATAATLDQAALVLSVPRRKPADETQETLRTSAFPSQHVKEKWAKNLYIASVCYGRTVS >Ma09_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10087538:10089853:1 gene:Ma09_g14760 transcript:Ma09_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPTNSKPTPTNSWSIYPIFPQHDGGGGESAGYRVPEPGHRRHPAGVRQVGARVAGRHHAPWAGPGDPSDRPRGRRRGQDRAARAIADASREWGIFRLVNDGVPVGGGTGAAARRRGVLRAARGGEGEARGGAGESRGLRDEAAGGFGGEEGVGGLPVPQYLAKNITRKNYNILNYNAVAEEKSYSNRESYSNVVVEEEATVEEKATVDEEVTVMLISHIVERFPRMVGKILSNILRSSTDLTGRVRHQEHRWRRRPRVLAEDQLLPAVPAAGHGPGSGGSHRHVRHHHPRPQPRSRPSGLQGRPLVRRQVRPRRDHRPLGDQIEILSNGEYKSVLHRTTVNKEKARMSWPVFCSPPGETVVGPLPQLVSDDTPAKYKTKNYKDYAYWKPNKIPQ >Ma07_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6202292:6203638:1 gene:Ma07_g08320 transcript:Ma07_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDKGGALPLASLNHISVVCRSLVRSLDFYQNVLGFLPVRRPGSFDFDGAWLFNYGIGIHLLQSEDPENMPKKREINPKDNHISFQCESLALVEKQLKEMGIPYIQSGVEEGGIYVDQLFFHDPDGFMIEICNCDNLPVISLSGEPIMACKRVSLIPQPQQQQPPQQQQQQQHQESCA >Ma03_p18520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24120995:24123360:-1 gene:Ma03_g18520 transcript:Ma03_t18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMAVPSGAAGKSTLNPNAPLFIPMAFQQVEDFSPEWWELVKTTTWFREHWFHQHQDQETFDADDEVDVTNLLPDSFDLGIVDELSIPEAELDYAALYLEFGDQISKALEKETIFCNGFLSDEELEVKSLGLKHPKKGTRPILEPAKYWEKPKQLLSPKCSPRRIIQQPR >Ma03_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24120995:24123370:-1 gene:Ma03_g18520 transcript:Ma03_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMAVPSGAAGKSTLNPNAPLFIPMAFQQVEDFSPEWWELVKTTTWFREHWFHQHQDQETFDADDEVDVTNLLPDSFDLGIVDELSIPEAELDYAALYLEFGDQISKALEKETIFCNGFLSDEELEVKSLGLKHPKKGTRPILEPAKYWEKPKQLLSPKCSPRRIIQQPR >Ma02_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25903405:25909004:1 gene:Ma02_g19710 transcript:Ma02_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKREGNLPVYGFHDPASFVNSIQKPRVIIMLVKAGAPVDQTIATLSSHMEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSLMPGGSYEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGNLSNQELQQVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIASSLDSRFLSGLKEERVRASKVFQSGGFSDILGGQPVDKAKLIEDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPELPNLLVDPEFAKEIMDRQAAWRRVICLAINHGISTPGMSASLAYFDTYRRESVPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAKQSKI >Ma02_p19710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25903703:25909004:1 gene:Ma02_g19710 transcript:Ma02_t19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKREGNLPVYGFHDPASFVNSIQKPRVIIMLVKAGAPVDQTIATLSSHMEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSLMPGGSYEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGNLSNQELQQVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIASSLDSRFLSGLKEERVRASKVFQSGGFSDILGGQPVDKAKLIEDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPELPNLLVDPEFAKEIMDRQAAWRRVICLAINHGISTPGMSASLAYFDTYRRESVPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAKQSKI >Ma11_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19777498:19782533:1 gene:Ma11_g14460 transcript:Ma11_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWVILGAVVAAEAAVAALLILPAPRVIKSRIVALASLFLQPGAGILPFAAFQLLDLHWKNEHRLMCTSDVCTIEERTRYEKSIFKAQRNIILCVLACLLYWCIFRICKYHKEIRELEEVEKRLKDQ >Ma05_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4772366:4776618:-1 gene:Ma05_g06390 transcript:Ma05_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRVTAPPKFGTLARTFNKILHLHRSVNISANGAGVAPEKDDYSIPKLKLSQNFSEHSSILSEGVSEFYKVEHEKQPQNLSSSEIEAMESLLANLFASISAIKAAYAQLQMAQSPYDPDSIQSSDLAIVSELKRVSELKHSYFTTDFIIPHTSFESQSALAAQIEEQRNLIKTYRITTNKLKADFKLKDSEICSLQFELLEAEKNNRTLESKLHPGRSLSALDGLHPSGLNPTHFLSVLRFTFKSIQSFVKLMVKEMESAGWDLGAAAGAIQPDVLHCNKPAHWTFAFQSYVCQKMFSDFHHKSYNLAAMEDRSMWGWRQFFDEFTQLRYVEHIQKLSQHSAIANFFRVKYLALVHRKMELSFFGNLDQRAIVSSDQSFPNSAFFAAFAEMARRVWLLHCLFFSFGEPESNRSIFQVRRGSRFSEVYMESIVEDKDVATAKCRPATVGFTVVPGFRVRWTLIQSKVYLLPSTSDDNQW >Ma05_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3144254:3146932:1 gene:Ma05_g04190 transcript:Ma05_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASGSAAKMGFFRRFGLWLLFSSLADLRSGDGFYLPGSYPHEYQVGDALSVKVNSLTSIETEMPFGYYSLPFCRPQEGIKDSAENLGELLMGDRIENSPYRFKMFTNESDVFLCGSNPLSSQDFDLLKKRIDEMYQVNVILDNLPAIRYTKKDDYVLRWTGYPVGVRAGDAYYVFNHLKFTVFVHKYEDANAARVVGSTGDAADVIQTTGKSGSGKPGWMVVGFEVVPCSFLHNAESIKNVKMYDKYPAKIQCDPITVAMKLTQNQPIVFTYEVAFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVLVILLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFRAPDHPLLLCVMVGDGVQILGMAIVTILFAALGFMSPASRGTLITGMLFFYLILGIVAGYFAVRIWKTIKCGDHSGWVGVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPISLFIVLLLLWFCISVPLTLTGGFLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVIGAGTLPFGTLFIELFFIMSSLWMGRVYYVFGFLLVVLILLVIVCAEVSLVLTYMHICVEDWKWWWKSFFASGSVALYIFLYSVNYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFWFVHYLFSSVKLD >Ma05_p04190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3144254:3146932:1 gene:Ma05_g04190 transcript:Ma05_t04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASGSAAKMGFFRRFGLWLLFSSLADLRSGDGFYLPGSYPHEYQVGDALSVKVNSLTSIETEMPFGYYSLPFCRPQEGIKDSAENLGELLMGDRIENSPYRFKMFTNESDVFLCGSNPLSSQDFDLLKKRIDEMYQVNVILDNLPAIRYTKKDDYVLRWTGYPVGVRAGDAYYVFNHLKFTVFVHKYEDANAARVVGSTGDAADVIQTTGKSGSGKPGWMVVGFEVVPCSFLHNAESIKNVKMYDKYPAKIQCDPITVAMKLTQNQPIVFTYEVAFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVLVILLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFRAPDHPLLLCVMVGDGVQILGMAIVTILFAALGFMSPASRGTLITGMLFFYLILGIVAGYFAVRIWKTIKCGDHSGWVGVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPISLFIVLLLLWFCISVPLTLTGGFLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVIGAGTLPFGTLFIELFFIMSSLWMGRVYYVFGFLLVVLILLVIVCAEVSLVLTYMHICVEDWKWWWKSFFASGSVALYIFLYSVNYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFWFVHYLFSSVKLD >Ma08_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3616285:3617459:-1 gene:Ma08_g05270 transcript:Ma08_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKEWVAAQDFFIFFFPIVLGNEPDEWSAQKHQSTLVRNKLVHVSFLSFMNYFYGLDNRKKEHIHGFLCTILIRVLIWVIGRALIQEIGSSSSNMIVQGWNSSSVQTLSSS >Ma02_p24040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28801162:28805866:1 gene:Ma02_g24040 transcript:Ma02_t24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGKQEEREMEMLLDEIPHATSPHLPHPHLGHHRRFHGDDALGVHVPGLDELSPVRGFYPVHGCGHGRGPDHGDRHARLSPPPLPSEGSLVLGFLSPAADELARQHTGRMVERLGLLDKLSGMHLGVDPKLPIGRPLMPASASENNPINPSVPETNYTDDTFQIGVNCHSVIPNSLLFGLDKNPCSSQSQQQHCYADANFFEPWLDNFPYGATELGTDGVLGRTPHCRANLGGGFVSRINQPYPVSNMFLQSEKIRIDSSWNRNTLNASRLPDFSVSNGCIETPSANLEVQPGRILRNPEVFGSDDSLIIEGKELHCMRCPLNYPLKGTKLSQFDGRLYARGVSVKLPNFLPKYDNVMDVKGCMYFVAKHQHGCRFLQQKLDEGKHVVDVIFNGVINHASELMIDPFGNYLMQKLLELCSEEQLMQILLVLKDPDNLIRISLNVHGTRAVQKLIDTLKTKKQIALVISAIQPGFLDLIKDLNGSHVLQRCLESFTPEDNKLIFDAAAKHCVDIATHRHGCCVLQKCIAHSTGENQAKLIAEISANGYELAQDPFG >Ma02_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28801162:28806404:1 gene:Ma02_g24040 transcript:Ma02_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGKQEEREMEMLLDEIPHATSPHLPHPHLGHHRRFHGDDALGVHVPGLDELSPVRGFYPVHGCGHGRGPDHGDRHARLSPPPLPSEGSLVLGFLSPAADELARQHTGRMVERLGLLDKLSGMHLGVDPKLPIGRPLMPASASENNPINPSVPETNYTDDTFQIGVNCHSVIPNSLLFGLDKNPCSSQSQQQHCYADANFFEPWLDNFPYGATELGTDGVLGRTPHCRANLGGGFVSRINQPYPVSNMFLQSEKIRIDSSWNRNTLNASRLPDFSVSNGCIETPSANLEVQPGRILRNPEVFGSDDSLIIEGKELHCMRCPLNYPLKGTKLSQFDGRLYARGVSVKLPNFLPKYDNVMDVKGCMYFVAKHQHGCRFLQQKLDEGKHVVDVIFNGVINHASELMIDPFGNYLMQKLLELCSEEQLMQILLVLKDPDNLIRISLNVHGTRAVQKLIDTLKTKKQIALVISAIQPGFLDLIKDLNGSHVLQRCLESFTPEDNKLIFDAAAKHCVDIATHRHGCCVLQKCIAHSTGENQAKLIAEISANGYELAQDPFGNYVVQYILDLKNSLAVGNLASQFEGKYVQLSVQKFSSNVVEKCLKILGEDDRATIILELISVSHFEQLLQDPYANYVIKSALLNSKGPVRAALVKAMLPHEAALRTNPYCKRIFSRALLKK >Ma00_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33377010:33379350:-1 gene:Ma00_g03990 transcript:Ma00_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGSLTRGEEAADASKVSDQSAERTKSLVDWMNLIKPANGEKDHWVLDEAVSKCTSCGSDFGAFNRRHHCRNCGDNLCDKCTKGRIALTAEENAQQVRVCDRCMAEVSQRLSNAKEAVSKPAGLQSHEDLV >Ma10_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27623198:27624122:-1 gene:Ma10_g15560 transcript:Ma10_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEDSSSTSSASSSSCSSSSSLTSSSNQRFPSGLSTACSAPASRATAPSPKRKAGRKKFRETRHPVYHGVRERNGGRWVCEVREPRKKSRIWLGTFRTPEVAARAHDVAAIALRGESAHLNFPDSAWVLPRATSSAAEDVRRAAAEAAEMFGASETRSQQFSSSSTMPPAAPGEAGRTPATDGREAVAAPEAAAWMPSALFVDEEALFNMPGLLDDMARGMLLTPLAMQTGFDWDRVDEHHMDLSLWAD >Ma11_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4784569:4787129:1 gene:Ma11_g06000 transcript:Ma11_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFWQRRENGQGDLADVVRSGGSGSASGSEFPVVSDWHPPSEPTVPPSSSTVEDMNNDFGDPFANLCDPLLSELTGIEFFHNSGEMITGGQVKAATESTGGGGGGGIMSQQLLMSEEMITKPRDIIPRAFQLSSGGAKPSPLSPIEASSGAVGCSADDGGVQISSTRSLGVKRRKNQAKKVVCIPAPAAATNRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTNPNMLVITYTSEHNHPWPTQRNALAGSTRSQASKNSSSASKTSFGRSLVSPTAPKADPKVKEEEEAAEIDNEPMIPESNHPDDFFADLAELETDPMSPIFSKVLIHAKQPEEEEEEPEDKGLGPLNMW >Ma08_p26570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39097649:39107940:1 gene:Ma08_g26570 transcript:Ma08_t26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLHFKLRIFTLDMSYQIQKWRELLAWHGLVTAAVYCIPFVMQLSDLIGLEDHLLFTEKDMSCCVDITSTKDGKFITINSNSRTSSEVYVIDTENMKDGLWLVRERVAGVQYFLEHHYGFFYILTNASLESTSSAAEGYYLARCIAEKSLTAEWQVIVLPGQDISFQDMDIFHRHLVLSIQQKGLPLFCSIDIPMSVNSEQPMELENLNPWFFPVPSCLCSIVAGSNHDFMSSIYRVVISSPVMPDIIADYDMGKRAFTILHQEEVVGLTEKGESDSYGVAFPFNFTITNSKKDESLEDGQQQSWTDLSEAFSCERIEVVSHDGFMVPLTIVCSQKAKHTNQSPGLLHGYGAYGEVLDKSWCSDHISLLSRGWVLAYADVRGGGGEGSLHQSGTQACKMNSIYDFTACGMYLVNEGFVHKNKLAAIGCSAGGLLVAAAINIYQSLFSAVILKVPFLDICNTMLNPCLPLTILDYDEFGDPRNQADFEIIHHYSPYDNITQGCYPSVLVTASFDDSRVGVWEAAKWVAQVREKTCPTCSQSVILKTNMSGGHFGEGGRYIHCEDVAFEYAFLIKAMGMLDDEKQSHVL >Ma08_p26570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39099985:39107940:1 gene:Ma08_g26570 transcript:Ma08_t26570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGLWLVRERVAGVQYFLEHHYGFFYILTNASLESTSSAAEGYYLARCIAEKSLTAEWQVIVLPGQDISFQDMDIFHRHLVLSIQQKGLPLFCSIDIPMSVNSEQPMELENLNPWFFPVPSCLCSIVAGSNHDFMSSIYRVVISSPVMPDIIADYDMGKRAFTILHQEEVVGLTEKGESDSYGVAFPFNFTITNSKKDESLEDGQQQSWTDLSEAFSCERIEVVSHDGFMVPLTIVCSQKAKHTNQSPGLLHGYGAYGEVLDKSWCSDHISLLSRGWVLAYADVRGGGGEGSLHQSGTQACKMNSIYDFTACGMYLVNEGFVHKNKLAAIGCSAGGLLVAAAINIYQSLFSAVILKVPFLDICNTMLNPCLPLTILDYDEFGDPRNQADFEIIHHYSPYDNITQGCYPSVLVTASFDDSRVGVWEAAKWVAQVREKTCPTCSQSVILKTNMSGGHFGEGGRYIHCEDVAFEYAFLIKAMGMLDDEKQSHVL >Ma08_p26570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39098923:39107940:1 gene:Ma08_g26570 transcript:Ma08_t26570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLHFKLRIFTLDMSYQIQKWRELLAWHGLVTAAVYCIPFVMQLSDLIGLEDHLLFTEKDMSCCVDITSTKDGKFITINSNSRTSSEVYVIDTENMKDGLWLVRERVAGVQYFLEHHYGFFYILTNASLESTSSAAEGYYLARCIAEKSLTAEWQVIVLPGQDISFQDMDIFHRHLVLSIQQKGLPLFCSIDIPMSVNSEQPMELENLNPWFFPVPSCLCSIVAGSNHDFMSSIYRVVISSPVMPDIIADYDMGKRAFTILHQEEVVGLTEKGESDSYGVAFPFNFTITNSKKDESLEDGQQQSWTDLSEAFSCERIEVVSHDGFMVPLTIVCSQKAKHTNQSPGLLHGYGAYGEVLDKSWCSDHISLLSRGWVLAYADVRGGGGEGSLHQSGTQACKMNSIYDFTACGMYLVNEGFVHKNKLAAIGCSAGGLLVAAAINIYQSLFSAVILKVPFLDICNTMLNPCLPLTILDYDEFGDPRNQADFEIIHHYSPYDNITQGCYPSVLVTASFDDSRVGVWEAAKWVAQVREKTCPTCSQSVILKTNMSGGHFGEGGRYIHCEDVAFEYAFLIKAMGMLDDEKQSHVL >Ma08_p26570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39097355:39107940:1 gene:Ma08_g26570 transcript:Ma08_t26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRAILSSPFPFLSSLFFSASASSASYSFCKRKPPYHPSLKPPVAKKIPFTCSAHGRTWEDPYRWMSDTGDPDLVDYLGRENAYADSFMTDTFDLRRRLVGEMKSRMPDKVSTPPEHWGPWSYYQYIPEGREYPVLCRRLRHPDGYAKALLDYMRGCHREEILLDWNEIAEQFGYVHIGTCRISLDHKFLAYTLDISGNESFTLQVKDLHSGHVIPNSKVEGVVSLAWAGDSSCLLYTVCDATQRPYRVFSMELGSGLEDHLLFTEKDMSCCVDITSTKDGKFITINSNSRTSSEVYVIDTENMKDGLWLVRERVAGVQYFLEHHYGFFYILTNASLESTSSAAEGYYLARCIAEKSLTAEWQVIVLPGQDISFQDMDIFHRHLVLSIQQKGLPLFCSIDIPMSVNSEQPMELENLNPWFFPVPSCLCSIVAGSNHDFMSSIYRVVISSPVMPDIIADYDMGKRAFTILHQEEVVGLTEKGESDSYGVAFPFNFTITNSKKDESLEDGQQQSWTDLSEAFSCERIEVVSHDGFMVPLTIVCSQKAKHTNQSPGLLHGYGAYGEVLDKSWCSDHISLLSRGWVLAYADVRGGGGEGSLHQSGTQACKMNSIYDFTACGMYLVNEGFVHKNKLAAIGCSAGGLLVAAAINIYQSLFSAVILKVPFLDICNTMLNPCLPLTILDYDEFGDPRNQADFEIIHHYSPYDNITQGCYPSVLVTASFDDSRVGVWEAAKWVAQVREKTCPTCSQSVILKTNMSGGHFGEGGRYIHCEDVAFEYAFLIKAMGMLDDEKQSHVL >Ma08_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2796444:2798450:1 gene:Ma08_g03950 transcript:Ma08_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEEDEREREREREREEREGILNDAQLYAHRYHWSGHGLAAFFSNQETSNTNG >Ma04_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18265934:18320121:1 gene:Ma04_g17630 transcript:Ma04_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGNNNDNQVLVEGNSRVKVVVLNRPQKYNALSYQMVLQLTKELKDFETNPEVNFVIVKLMLNYLIAKYNKPQVFLINGATMGGGAGLSMNARYKIVTENTVFAMPEAALGLFPDVGASYFLSRLPGYFGEYLGLTGARLDGADMLACGLATHFVLSKDLPSLEKSLYEVDTADPIIIQGIIAKYVQQTPLKEESAFRRLDVINKCFSKQSVEEILSCLEQQVISRNEKWITTAIKSINTASPISLKLFLLSIRKGRSEDLEQCLIREYRMLSHVFRRTVSNDYFEGVRAKFLDKDNNPKWEPSKLELVSNEMLKKFLTKLDEDEAWEDLQLPSEHRHTNPRIAKL >Ma02_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22191194:22197951:-1 gene:Ma02_g14040 transcript:Ma02_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKIFCGGGGGGSGGTVFQQLMQQLGDEEPVDFQLPDWSKKQKSAPYNFIRRNVYLTKRIKRRAADDGIFCSCSPSPGNSVVCGRDCLCGMLLSCCSQSCKCGNLCVNKPFQYRPVKKMKLIETEKCGSGVVTEEDIRQGDFVIEYVGEVIDDKTCEERLWKMKHCGETNFYLCEINRDMVIDATYKGNKSRFINHSCQPNTEMQKWTIDGEIRIGIFATRDIKKGEELTYDYQFVQFGADQDCYCGSVGCRKKLGNKPSKLKFSSSDTALQLVLCEIAASSPNSKALLYGKANLESGRLNMEGSPSFVARKRKSEFHNCIYEVVRIWSPQYKRYYGGVILEFDCYSRKHTIITEDERVENVDLSKEDWDFL >Ma07_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2243772:2244464:1 gene:Ma07_g02860 transcript:Ma07_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARVLLRRGLLPGHRPSAAAATATVRLLLAQTHSSLSESAEPKRLKTFSIYRWNPDRPDSPQMQEYEVDLNECGPMVLDALLKIKNEVDPSLSFRRSCREGICGSCAMNIDGDNGLACLTKIPAAESAAAAMITPLPHIFVVKDLVVDMTNFYSYNKDRAKLDGTHECILCACCSTSCPSCWWNPEAYLGPEALLHAHRYVLLL >Ma11_p16300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21858547:21861102:1 gene:Ma11_g16300 transcript:Ma11_t16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHFIRERNRYRKRRASAPMPSSVPMYSPTSNEVTSRSEDSTTAKQTSKSSGSVSSQKSIPELYEERAHNLRVFELKELRNATNDFSRLLKIGEGGFGSVYKGFVKPPDRKGDGTIVAIKKLNPQGLQGHKQWLAEVQFLGVVEHPNLVKLIGYCSVDSESVPQRMLVYEFMPNKSLEDHLFNRAYPSLPWSTRLQIALGAAEGLAYLHEGMDVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPSEGHTHVTTAVMGTYGYAAPDYVETGHLTAKSDVWSFGVVLYEILTGRKSMERNRPKTEQKLLDWVKAFPAESGRFSMIMDPRLENKYSLRAAREIAKLADVCLAKLARGRPKMSEVAESLKEAIKYKELDGQVEPLEGTSSVHSESDDRGKTGVASARRRMLHLDMLGEKANVVGRRRFVVMKASNQT >Ma11_p16300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21858161:21861102:1 gene:Ma11_g16300 transcript:Ma11_t16300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHFIRERNRYRKRRASAPMPSSVPMYSPTSNEVTSRSEDSTTAKQTSKSSGSVSSQKSIPELYEERAHNLRVFELKELRNATNDFSRLLKIGEGGFGSVYKGFVKPPDRKGDGTIVAIKKLNPQGLQGHKQWLAEVQFLGVVEHPNLVKLIGYCSVDSESVPQRMLVYEFMPNKSLEDHLFNRAYPSLPWSTRLQIALGAAEGLAYLHEGMDVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPSEGHTHVTTAVMGTYGYAAPDYVETGHLTAKSDVWSFGVVLYEILTGRKSMERNRPKTEQKLLDWVKAFPAESGRFSMIMDPRLENKYSLRAAREIAKLADVCLAKLARGRPKMSEVAESLKEAIKYKELDGQVEPLEGTSSVHSESDDRGKTGVASARRRMLHLDMLGEKANVVGRRRFVVMKASNQT >Ma11_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21858161:21861102:1 gene:Ma11_g16300 transcript:Ma11_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHFIRERNRYRKRRASAPMPSSVPMYSPTSNEVTSRSEDSTTAKQTSKSSGSVSSQKSIPELYEERAHNLRVFELKELRNATNDFSRLLKIGEGGFGSVYKGFVKPPDRKGDGTIVAIKKLNPQGLQGHKQWLAEVQFLGVVEHPNLVKLIGYCSVDSESVPQRMLVYEFMPNKSLEDHLFNRAYPSLPWSTRLQIALGAAEGLAYLHEGMDVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPSEGHTHVTTAVMGTYGYAAPDYVETGHLTAKSDVWSFGVVLYEILTGRKSMERNRPKTEQKLLDWVKAFPAESGRFSMIMDPRLENKYSLRAAREIAKLADVCLAKLARGRPKMSEVAESLKEAIKYKELDGQVEPLEGTSSVHSESDDRGKTGVASARRRMLHLDMLGEKANVVGRRRFVVMKASNQT >Ma04_p31290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31635087:31636829:1 gene:Ma04_g31290 transcript:Ma04_t31290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKTLVPFSSSSSPAPSLSSPFFIPQPTSIPLPLGPLQLPSPVPSLVYFNCSQVEREVRMEEALKHSMPGSSLYSGQQRLQQQQQNKVTVNEEWGWAAERGNLLGEEFSVDDLLDLGDYADYYKEAEAAKEVVEEVTATAEAPEAGNGERADSNNSSPPSTSSTLSFQSLQPRLSEISLPAHDAEELEWVSLVIEGSSSEFSQCPGVAHTTSAPPPHGQTGTPAVAAAHGASPKSPAVCGFSKEVMVPMKAKRSKRCRSAAAWNTSISCLVYDRHPAAGADQSFLLYDATPPPAKNQRPKKRGRKPKPPATAASGSCERRCSHCGAQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFVNHIHSNSHRKVLEMRRKKEAELLIFPAAPPVPSF >Ma04_p31290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31635087:31636829:1 gene:Ma04_g31290 transcript:Ma04_t31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKTLVPFSSSSSPAPSLSSPFFIPQPTSIPLPLGPLQLPSPVPSLVYFNCSQVEREVRMEEALKHSMPGSSLYSGQQRLQQQQQNKVTVNEEWGWAAERGNLLGEEFSVDDLLDLGDYADYYKEAEAAKEVVEEVTATAEAPEAGNGERADSNNSSPPSTSSTLSFQSLQPRLSEISLPAHDAEELEWVSLVIEGSSSEFSQCPGVAHTTSAPPPHGQTGTPAVAAAHGASPKSPAVCGFSKEVMVPMKAKRSKRCRSAAAWSVSGPLVFADSSSITTSATSSSASSSCSSSRNTSISCLVYDRHPAAGADQSFLLYDATPPPAKNQRPKKRGRKPKPPATAASGSCERRCSHCGAQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFVNHIHSNSHRKVLEMRRKKEAELLIFPAAPPVPSF >Ma06_p35090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35090681:35091337:-1 gene:Ma06_g35090 transcript:Ma06_t35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKKADLKIDNSLIPSYTLLQIHCVDQKGLLYDIMRTLKDYKIWIAYGRSMSDMKGSREV >Ma11_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26501292:26501975:1 gene:Ma11_g22930 transcript:Ma11_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPPEVVRGCPKGTTAVAEALQQEEMVRPPVPEPSLECPGCESINTNFCYYNNYSLSQPRNFCKGCRRHWTKGGSLRTVPVGGGCRNNKKSSSSRGARGQQAFDTDSTPPLCNALLPSLLAIASLQKQQSAHQAFLLGNPTPEPGWSAGFPDILTTAFLDGTGDPSGFLYSINRGGYGDADSNEEEQQLLLPFRGGLGGATTTTAAAFDVEDEDDKTLIGSLLP >Ma10_p25630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33678197:33679071:1 gene:Ma10_g25630 transcript:Ma10_t25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSSDSLKGLVGRDPVEEMVKDEAFLLDGMEEMECEEELRSNGLGEKKRRLSMEQARALEKNFEVENKLDPARKLRLAQDLGLQPRQVAVWFQNRRSRWKTKQVERDYGNLKAQHDALKLDCDALRHDKEALIAEIRDLKAKLSASEMDAAEEARPPLICKDGASDSDRSVVFNAEANRPHGSSFSFDNKARDAQGSYLDEEFLGGEELCSSLFSEQQQQQPLLLLDAWDYDNFKSSLQ >Ma09_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7370441:7371185:1 gene:Ma09_g10850 transcript:Ma09_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSPCVLVLLLLLPFSLSAFVATAESHEYTPPPEKMTHLHFYFHEKYTSPEATAVLVAVPPGTNATFDTFGALIVIDDVLRDGPEESSKLIGRAQGLAAQASLEGTQILTAVNFVFTEGEYNGSTVAILGRIVPTVSPTERAIVGGSGKFRMARGYTVGNTYSFSGGYFILELDAYIIHY >Ma01_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4032070:4032671:1 gene:Ma01_g05690 transcript:Ma01_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIGIEKAVSSRLGCSGGLAGGGWRTLVLLVVAIVTSAAALFASFTSSTGRVSIWFSVPFPVLSSYGDVGSNSSTSWPTLPLSHAPPPLARPLLPLGPSSSPVAAAPPSPSPTASFVASEVLLPTFLRGLNVYVENNSLISCRYTADAAFLCTFSSS >Ma09_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8739958:8743176:-1 gene:Ma09_g12980 transcript:Ma09_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIVFPTLLSLFFLSPVHPFRQNDTIRIDQSALLEFKKGIVVDPENALGSWSGKTNVCGWSGVVCGMNPARVVNIDLKGKSLAGAVSPFLSNLSRLTLLELSENSLQGSIPAELGSLSNLQLLGLRGNNIRGTVPESFGMLAKLRYVDLGSNQLHGDLPVALLYNCSRLSYVDLSTNLFTGFIPPQLGNHLPCLQNLLLYSNQLMGGIPRSLSNSTALAEIDVENNSLGGILPSETLVKLSSLKILHLSYNNFSGDGQNSNLLPFFNAIANLTHLEEFELAGNNLGGELPSTIGHLSANLSEIDLRDNLIQGIIPSEISNLSELTWLDLSINLLHGNIPLELFLLPNLQRLWLSGNSLSGEIPTPPNDPSQIGLLDLSGNKLSGSIPTALADLTQLRRLILSENLLSGSIPSSLGSTKLELLDLSYNRLTGTIPADVAGLSSMAIYFNLSHNLLQGELPTELSKMDKVREIDLSSNELSGFIPPSLGSCEVVELVNLSRNHLQGPIPDSMGSLLNIQSLDLSFNGLSGEIPASLQHCSSLRLLDISFNNFTGPLPQAGVFNSLAPQWIEGNHLCGSLPGIPSCHRKNRRSIHSHKALVLIVSIVAVSAFLVTVMCATGYMVVRKLMSRREDGDSAGNLSLNLSSNFPRITYKELAEATAGFETSRLIGSGSFGHVYKGVLGDGSLVAIKVLQLQSGNSTRSFKRECQVLKNIRHRNLMRIITACSLPDFKALVLPFMANGSLESHLYPETEKPDSPQLSLLERVNICSDIAEGLAYLHHHSPVKVIHCDLKPSNILLNDDMTALVSDFGIARLVMTVGEGNTAYASTSNSTANILCGSIGYVAPEYGYGGSTSTKGDVYSFGILVLETVTGKRPTDGMFGSEDGLSLQRWVKRHYRSRPEDVIDSGLMREACDQRLEVRNVWEVAIMELLELGLVCSHESPAGRPTMLDAADDLDRLKRYLGGDTTVTFTSSRGIIASSSIEMSSSSITADDW >Ma05_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2459792:2464025:1 gene:Ma05_g03400 transcript:Ma05_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLMDKVSAFGERLKISGSEVSRKMTAGMSSMSSKMKDFFQVQNQVEKIVEDATSENLDGPNWSANLEICDMINSEKFHSVEFIRGIKKRIMLKNPTVQYLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALVMIEAWGESGEELRYLPVFEETYKSLKSRGVRFPGRDVESLAPIFTPPRSVSETESYNSAIQQQTYNDFHVHSFTAEETKEAFDVARNSIELLSTILSSSPHQEALQDDLTTTLFQQCQRSQRTVQRIIETAGDNEAVLFEALNVNDELQKILSKYEELRKPPVVNSEPEPAVIPVAVEPEESPRATREDALIRKPPSSETRSGGDDGILDDLDEMIFGKIGGSTSEDQNPEKQHKQQKSNLITF >Ma06_p30560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31804160:31809608:1 gene:Ma06_g30560 transcript:Ma06_t30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGGCNQRSPEEVFRDFRGRRAGIVKALTTDVEKFYRQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVSFYFGARFGFDKESRKRLFNMINGLPTIYEVVTGITKKESREKIRNSNGKSNKSGSKPSRSFESHAKATKMPSPKEEESEGEDVDEEEHGNTLCGACGDNYANDEFWICCDMCEKWFHGKCVRITPARAEHIKQYKCPACSTKRARP >Ma06_p37790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36756881:36760742:1 gene:Ma06_g37790 transcript:Ma06_t37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MGSRRSTKETSEAASDPASSVSKEKKSMLVEGYPVEGLSIGGQETCVIFPSLKIAFDIGRCPQRAISQDFLFISHGHMDHIGGLPMYVATRGLYSMKPPTIFVPRSIKEHVEKLFEVHRAMDQSELKHNLIGLNVGEEFQLRNDLKVRAFKTYHVVPSQGYVIYSFKRKLKAEFCGLSGDEIKKLRVSGVEITYPIATPEIAFTGDTMSDFVIDPDNADVLKARILVMESTFVDNAMPVQHARDYGHTHLSEIASYGDRFQNKAIILIHFSARYYREEIEAAIAKLPPSFAGRVFALSNGI >Ma06_p37790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36756851:36760742:1 gene:Ma06_g37790 transcript:Ma06_t37790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MGSRRSTKETSEAASDPASSVSKEKKSMLVEGYPVEGLSIGGQETCVIFPSLKIAFDIGRCPQRAISQDFLFISHGHMDHIGGLPMYVATRGLYSMKPPTIFVPRSIKEHVEKLFEVHRAMDQSELKHNLIGLNVGEEFQLRNDLKVRAFKTYHVVPSQGYVIYSFKRKLKAEFCGLSGDEIKKLRVSGVEITYPIATPEIAFTGDTMSDFVIDPDNADVLKARILVMESTFVDNAMPVQHARDYGHTHLSEIASYGDRFQNKAIILIHFSARYYREEIEAAIAKLPPSFAGRVFALSNGI >Ma06_p37790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36756868:36760742:1 gene:Ma06_g37790 transcript:Ma06_t37790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MGSRRSTKETSEAASDPASSVSKEKKSMLVEGYPVEGLSIGGQETCVIFPSLKIAFDIGRCPQRAISQDFLFISHGHMDHIGGLPMYVATRGLYSMKPPTIFVPRSIKEHVEKLFEVHRAMDQSELKHNLIGLNVGEEFQLRNDLKVRAFKTYHVVPSQGYVIYSFKRKLKAEFCGLSGDEIKKLRVSGVEITYPIATPEIAFTGDTMSDFVIDPDNADVLKARILVMESTFVDNAMPVQHARDYGHTHLSEIASYGDRFQNKAIILIHFSARYYREEIEAAIAKLPPSFAGRVFALSNGI >Ma06_p37790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36756883:36760742:1 gene:Ma06_g37790 transcript:Ma06_t37790.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MGSRRSTKETSEAASDPASSVSKEKKSMLVEGYPVEGLSIGGQETCVIFPSLKIAFDIGRCPQRAISQDFLFISHGHMDHIGGLPMYVATRGLYSMKPPTIFVPRSIKEHVEKLFEVHRAMDQSELKHNLIGLNVGEEFQLRNDLKVRAFKTYHVVPSQGYVIYSFKRKLKAEFCGLSGDEIKKLRVSGVEITYPIATPEIAFTGDTMSDFVIDPDNADVLKARILVMESTFVDNAMPVQHARDYGHTHLSEIASYGDRFQNKAIILIHFSARYYREEIEAAIAKLPPSFAGRVFALSNGI >Ma03_p09230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6803741:6808144:1 gene:Ma03_g09230 transcript:Ma03_t09230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAMAKPLQFVFLIFASLSACAISKQTTEPYVVYMGSKPQDGDHETQQAAHLQMLSSVIPSEEKERVSLMQSYHHAFKGFSAMLTEKEAALLSGWCFHLPAQLNRLLIISSFTNFNSLDQMQVNNLSLDCYLSILIECFGCFTSGFDEVVSVFPDRILQLHTTRSWDFLDAESGIGSQRLRRKASSDVIIGIIDTGVWPESPSFNDAGMGRIPSRWKGICMEGSDFKKSNCNRKLIGARYYTSQPESIRPPSNGSHAIKVDAFGSPRDSVGHGTHTSSTAAGSMVPNASYYGLAQGVAKGGSPSSRLAVYKACSLGGCAGSTVMKAIDDAIDDGVDMISISIGMSSVFQSDFLSDPIAIGAFHAHQRGVLVICSGGNDGPDPYTVVNSAPWILTVAASSIDRNFQSTIVLGNGNMFKGTAINFSNLNRSESYPLVFGGAVAAESTPISEASNCYPGSLDADKAAGKIIVCVDTDPTVTRRIKKLVAEGARAKGLILVDEAERGVPFDSGSFPFSEVENDVGVQILKYINSTKKPSAVILSAEEVKVFKPAPVVAYFSARGPGGLTEAILKPDVMAPGVSIIAASIPSSDSGDVPVGKKPSNFVIRSGTSMACPHVAGAGAFVKSAHPRWSPSMIRSALMTTAIITNNLGKPLTSNSGAIASFHDMGAGEISPLRALSPGLVFETTTEDYLHFLCYYGYKNQAIRSISGTSFSCPPNASPDLISNLNYPSTSIAKLGGKQTARTVSRTVTNVGPPNSTYSATVEAPSGLIVKVSPERLVFTKRWMKATYQVTFDAKAASKGYGYGSITWSDGAHSVHTVFAVNVM >Ma03_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6803741:6808137:1 gene:Ma03_g09230 transcript:Ma03_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAMAKPLQFVFLIFASLSACAISKQTTEPYVVYMGSKPQDGDHETQQAAHLQMLSSVIPSEEKERVSLMQSYHHAFKGFSAMLTEKEAALLSGFDEVVSVFPDRILQLHTTRSWDFLDAESGIGSQRLRRKASSDVIIGIIDTGVWPESPSFNDAGMGRIPSRWKGICMEGSDFKKSNCNRKLIGARYYTSQPESIRPPSNGSHAIKVDAFGSPRDSVGHGTHTSSTAAGSMVPNASYYGLAQGVAKGGSPSSRLAVYKACSLGGCAGSTVMKAIDDAIDDGVDMISISIGMSSVFQSDFLSDPIAIGAFHAHQRGVLVICSGGNDGPDPYTVVNSAPWILTVAASSIDRNFQSTIVLGNGNMFKGTAINFSNLNRSESYPLVFGGAVAAESTPISEASNCYPGSLDADKAAGKIIVCVDTDPTVTRRIKKLVAEGARAKGLILVDEAERGVPFDSGSFPFSEVENDVGVQILKYINSTKKPSAVILSAEEVKVFKPAPVVAYFSARGPGGLTEAILKPDVMAPGVSIIAASIPSSDSGDVPVGKKPSNFVIRSGTSMACPHVAGAGAFVKSAHPRWSPSMIRSALMTTAIITNNLGKPLTSNSGAIASFHDMGAGEISPLRALSPGLVFETTTEDYLHFLCYYGYKNQAIRSISGTSFSCPPNASPDLISNLNYPSTSIAKLGGKQTARTVSRTVTNVGPPNSTYSATVEAPSGLIVKVSPERLVFTKRWMKATYQVTFDAKAASKGYGYGSITWSDGAHSVHTVFAVNVM >Ma08_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35577619:35581900:1 gene:Ma08_g21810 transcript:Ma08_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPKGSSQSGKKAMDVPPSAEVVAPPAAAVPDNRPSPPTVRLPNPSSAPQKPEIHGNAEGPTGVVGQKETAPTAVEAAAAAAGQSNSGSSEAAPVTDLQKKLRRAERFGTPVMLSEQEKRNSRAERFGTVSTLDGGKIVGPLEEQKRKARAERFGLKAETDEEAKKKARLERFAPYSKLDTSEEEKRKARAIRFSQASPKVSGQSNSDLKATAL >Ma08_p21810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35577619:35582074:1 gene:Ma08_g21810 transcript:Ma08_t21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPKGSSQSGKKAMDVPPSAEVVAPPAAAVPDNRPSPPTVRLPNPSSAPQKPEIHGNAEGPTGVVGQKETAPTAVEAAAAAAGQSNSGSSEAAPVTDLQKKLRRAERFGTPVMLSEQEKRNSRAERFGTVSTLDGGKIVGPLEEQKRKARAERFGLKAETDEEAKKKARLERFAPYSKLDTSEEEKRKARAIRFSQASPKVSGQSNSDLKATAL >Ma02_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25806802:25811067:-1 gene:Ma02_g19580 transcript:Ma02_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFHPFDLFDPLLPSFDYLESSLSTFTSPLSRPLALVDEVHHHHRSLDFALDLLNPSPFPPVDLPSQCLLPSPLTAPLDLLAAADPFGFERSAVASFKRLRERADTELCLRDLSDRVTALELGLGRAHSRDLDRKYTWTAEINGPRELGLDRKYKWMAAAKAGGERALKCTAEFKGAEEDEGFDRKFVWAANGKGVGERNVKWTAEFKGKGKDSPLSRAYTWASSTKPREDDEEEKAAKKEKKKEKKAKEGTVHVVEIEEKNPGAIAIRKAFTKRCNKGKKKELTPQDAALLIQVTFRSHLARRSQILRCLRELAVAKARLKEIRAFFYNFSYRRRISKDAEECQRFSEKIIVLLLTVDAIEGPDYMVRAAKKSMVEELDAMLEVVDPQPSGKLGSMRRRKFNLPDAGQISKEMMVGVAEVVQLLDQE >Ma01_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25966376:25966570:1 gene:Ma01_g22820 transcript:Ma01_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSCLMLISFPWVARRLDGNMPSGVPLRRPPTMLAST >Ma02_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23111917:23117436:1 gene:Ma02_g15360 transcript:Ma02_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MGDEEQKDKPAASPSDRIPGGHKPLLRCIVKLGGAAITCKNELESINEEILESVCVQLREAMSKSANSSSGNVVSMDWSKRLGKPLVSAPEEFRNCRSLDLDSNFIVVHGAGSFGHFQASSSGVHKGGLNLPLVKAGFVATRISVTSLNLEIVRALAREGIPSVGMSPFACGWSTHGRNVASADVSHVISALHSGFVPVLHGDAVVDDLQDCTILSGDVIIRHLSQLLMPNYVVFLTDVLGVYDRPPTDPHAILLRKIAVDEDENWMIVKPKLQHEKMGVEITVAAHDTTGGMKTKISEAATIAKLGINVYITKAGTPHSLRALRGEVDDAPDDWLGTVICSSKNSIFQNTGGQV >Ma04_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23719416:23721456:1 gene:Ma04_g21090 transcript:Ma04_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRSEQSSKVTCEIVAQEELERALQGASMMNRTLVISRVNKEQAEENGMLRLFLRSLQEGEGTEFLIKHILFVAVDEIAFDRCTILKLNCYRLPSMEPLNPSEELVLSGGLDMMWGTGLLLGEVLRHGYSFIFTDLDVMWLRNPFPMLSYAGEDMQIYNGEPNDSSHFFFGSGFYSVAANNRTIALFDQLYAARIDSKIMNGKDMLTFLLRSISRGAFQRLGLKVHVLDAVYFGGLSQEAIDITKVTTVHADCCPSEEAKLADLTALVDAWKTYHRKSNVTLLVRNACTQSMNVNEDELGRALQGASMANKTVIISVLNKAYVEENGMLDLFLRSLREGEDTGLLIKHLVLVAVDKTAFDRCRALGLHCFQLVTDGVDFSKEELYMSDDFIKMMWSRTLFLGNVLKRGYNFIFTDMDVMWLRNPFSQLHHRGEDLEISCDRYNGRPFDQSNSINTGFYFVASNNKTIALFDEWYAASRTSKGMKEQDVLYSMKSQGAFRRLGMKVRFLDTAYFSGFCQDSRDSRRVTTVHANCCRSIKAKLTDLKRVLQVWKTHTGTSIRWPPHTACAHSWG >Ma10_p11430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24957415:24969343:-1 gene:Ma10_g11430 transcript:Ma10_t11430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNREVPLWQEQDFEIQSPWAPITPAKPVPARRTLVPGHGQISHAPPAPSWLDVLNGSDGGGFSQDALFSGSNASSAAGYVGRLQDLNTIDEAAALAYSGQIHDPQVSNYNLGLGPGMSVFGNPSDGWTQTPHMNLMAFADAAAAASKVPFFTPLMLSQIQGGHRMPESANQLLLNGKVLLNSSLSCCNLSPIMGAQNELTMSALPNLNSSWEETVSYATRDLMQYPRTPVSLEKNKLVQDGLPLQVIDLVDDSPGGEEAGRPHAPVANQVELCFSEDPVAPSSSLTTGMSFEVVLSQQQQPETRAVVTSEEGIDRPLNAEAQGNLENNGIDLNKTPRQKPKRKKHRPKVIREGKPKRTPKPATPKPVNPSGKRKYVRRNKVQVASENPSGEPEEEAAAPGSMDGTKSVRRSVKNKEDNPSGKRKYVRKKKIENSSDNPLSIPGVTADPESSHGTKSVRRCLNFDAEAPKVRGGFPESVLNFANNAGSQAQESCTVGGNTISIDVAPSLHYARAVAAADNLAPGDPVVLHSTRYKVANEYIRFVENPIPRPQHNRRETVRADQILGECRTMPENPTTPPRPYKRENLKKLARKNFLRITSNPDRSQEAHINQFASHETPNLLLRTQNNHILDHDAPNSTNTQFMHACDRVHGLGELHGATCNDPCASQGYKKQRIEHENGLTSATAFTCMPLNDFITHQREANTAEVFTSADPQNLMGPKRQLIAEDVPAIYETINSAAKRSEPPFELHSANSSSSSVNFGHIRKHGNQTGQYAMDHNKLSSPPQPFSGTDGRQSQNHEVLTCMQNQVVSNKSRTRTKQQRKEEVQLVNHLINANQVEFQRQVAASCRFKNCPGQKIPEATSLISQDFRTEVSHTSSGSCLNPGAIVLYGDPLDDIIWKLRHLTINGLHKADTAKTQNAIVPYEGAGVIIPYGGLFDLAKRRRPRPKVDLDSETNRVWNLLMGKEGGEVGDGTEREKEKWWAEERRVFCGRVDSFIARMHLVQGDRRFSKWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAARFPLSGCHGSETNAQETDSCIKHEGSCIVTLDGNTSEWQGQISDKELHDPSSLVIVGDNETANSNESFGSNISGKVVDYSKVYLDSKEMVTDVSHESPDTESGTPVTLTGSASVAEAEDRWSVEDAGSSQNSVVSSQNFSENPVQTADPIGINSLSSIRVENVTIQSVCDNMANSTSFTELLNSVLDVSGNLRDVKSSMSTSITNLQDANLVEASISASLPLPHHFHESSSSGLVVMECVNALSDESIFNQDSSSGTKTTSNFGKMESSSEHSVGNISELLRQQNLAAIPRSLPAVDIYAPTYKHFIQPSASPEAESFLIKQFCCPGNLQTDINEAPMCESISQKYSLLPDDNIVKFQKDEKRQFEVESTKQAVQFQLQKQNSDNQQNFPNLHNNGNPLEASEKVQLDLKDDAYVSKKISAETPKRKSKEKLKDENERKKNYDWDSLRKEVNRDGTKKERIHDTMDSVNWEAVRCAEVNEISETIRERGMNNMLAERIKEFLNRLVRDHGSIDLEWLREVEPDKAKNYLLSVRGLGLKSVECVRLLTLQHLAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLETIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECKHFASAYASARLALPGPDEKSLVSSTVPIAYEKDHVPALNPTNIPQLEGISTLQERVVSENCEPIIEEPATPEPESIQTEERAIEDAFYEDPEEIPTIKLNIEEFTQNLHNYMQANNMELQDIDMSKALVAINPEAASIPMPKLKNVSRLRTEHHVYELPDSHPLLDGLDPREPDDPSSYLLAIWTPGETAQSTEPPKASCDALETGVFCNMTTCFTCNSRREAQAQIVRGTILIPCRTAMKGSFPLNGTYFQVNEVFADHDSSRNPIDVPREWIWNLPRRTVYFGTSVPTIFKGLTTEEIQLCFWRGFVCVRGFDKKTRAPRPLYARLHFPASKAPRNKQQKKTSPARRTANKN >Ma10_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24957415:24969343:-1 gene:Ma10_g11430 transcript:Ma10_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNREVPLWQEQDFEIQSPWAPITPAKPVPARRTLVPGHGQISHAPPAPSWLDVLNGSDGGGFSQDALFSGSNASSAAGYVGRLQDLNTIDEAAALAYSGQIHDPQVSNYNLGLGPGMSVFGNPSDGWTQTPHMNLMAFADAAAAASKVPFFTPLMLSQIQGGHRMPESANQLLLNGKVLLNSSLSCCNLSPIMGAQNELTMSALPNLNSSWEETVSYATRDLMQYPRTPVSLEKNKLVQDGLPLQVIDLVDDSPGGEEAGRPHAPVANQVELCFSEDPVAPSSSLTTGMSFEVVLSQQQQPETRAVVTSEEGIDRPLNAEAQGNLENNGIDLNKTPRQKPKRKKHRPKVIREGKPKRTPKPATPKPVNPSGKRKYVRRNKVQVASENPSGEPEEEAAAPGSMDGTKSVRRSVKNKEDNPSGKRKYVRKKKIENSSDNPLSIPGVTADPESSHGTKSVRRCLNFDAEAPKVRGGFPESVLNFANNAGSQAQESCTVGGNTISIDVAPSLHYARAVAAADNLAPGDPVVLHSTRYKVANEYIRFVENPIPRPQHNRRETVRADQILGECRTMPENPTTPPRPYKRENLKKLARKNFLRITSNPDRSQEAHINQFASHETPNLLLRTQNNHILDHDAPNSTNTQFMHACDRVHGLGELHGATCNDPCASQGYKKQRIEHENGLTSATAFTCMPLNDFITHQREANTAEVFTSADPQNLMGPKRQLIAEDVPAIYETINSAAKRSEPPFELHSANSSSSSVNFGHIRKHGNQTGQYAMDHNKLSSPPQPFSGTDGRQSQNHEVLTCMQNQVVSNKSRTRTKQQRKEEVQLVNHLINANQVEFQRQVAASCRFKNCPGQKIPEATSLISQDFRTEVSHTSSGSCLNPGAIVLYGDPLDDIIWKLRHLTINGLHKADTAKTQNAIVPYEGAGVIIPYGGLFDLAKRRRPRPKVDLDSETNRVWNLLMGKEGGEVGDGTEREKEKWWAEERRVFCGRVDSFIARMHLVQGDRRFSKWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAARFPLSGCHGSETNAQETDSCIKHEGSCIVTLDGNTSEWQGQISDKELHDPSSLVIVGDNETANSNESFGSNISGKVVDYSKVYLDSKEMVTDVSHESPDTESGTPVTLTGSASVAEAEDRWSVEDAGSSQNSVVSSQNFSENPVQTADPIGINSLSSIRVENVTIQSVCDNMANSTSFTELLNSVLDVSGNLRDVKSSMSTSITNLQDANLVEASISASLPLPHHFHESSSSGLVVMECVNALSDESIFNQDSSSGTKTTSNFGKMESSSEHSVGNISELLRQQNLAAIPRSLPAVDIYAPTYKHFIQPSASPEAESFLIKQFCCPGNLQTDINEAPMCESISQKYSLLPDDNIVKFQKDEKRQFEVESTKQAVQFQLQKQNSDNQQNFPNLHNNGNPLEASEKVQLDLKDDAYVSKKISAETPKRKSKEKLKDENERKKNYDWDSLRKEVNRDGTKKERIHDTMDSVNWEAVRCAEVNEISETIRERGMNNMLAERIKEFLNRLVRDHGSIDLEWLREVEPDKAKNYLLSVRGLGLKSVECVRLLTLQHLAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLETIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECKHFASAYASARLALPGPDEKSLVSSTVPIAYEKDHVPALNPTNIPQLEGISTLQERVVSENCEPIIEEPATPEPESIQTEERAIEDAFYEDPEEIPTIKLNIEEFTQNLHNYMQANNMELQDIDMSKALVAINPEAASIPMPKLKNVSRLRTEHHVYELPDSHPLLDGLDPREPDDPSSYLLAIWTPGETAQSTEPPKASCDALETGVFCNMTTCFTCNSRREAQAQIVRGTILIPCRTAMKGSFPLNGTYFQVNEVFADHDSSRNPIDVPREWIWNLPRRTVYFGTSVPTIFKGLTTEEIQLCFWRGFVCVRGFDKKTRAPRPLYARLHFPASKAPRNKQQKKTSPARRTANKN >Ma10_p11430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24957415:24968977:-1 gene:Ma10_g11430 transcript:Ma10_t11430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNREVPLWQEQDFEIQSPWAPITPAKPVPARRTLVPGHGQISHAPPAPSWLDVLNGSDGGGFSQDALFSGSNASSAAGYVGRLQDLNTIDEAAALAYSGQIHDPQVSNYNLGLGPGMSVFGNPSDGWTQTPHMNLMAFADAAAAASKVPFFTPLMLSQIQGGHRMPESANQLLLNGKVLLNSSLSCCNLSPIMGAQNELTMSALPNLNSSWEETVSYATRDLMQYPRTPVSLEKNKLVQDGLPLQVIDLVDDSPGGEEAGRPHAPVANQVELCFSEDPVAPSSSLTTGMSFEVVLSQQQQPETRAVVTSEEGIDRPLNAEAQGNLENNGIDLNKTPRQKPKRKKHRPKVIREGKPKRTPKPATPKPVNPSGKRKYVRRNKVQVASENPSGEPEEEAAAPGSMDGTKSVRRSVKNKEDNPSGKRKYVRKKKIENSSDNPLSIPGVTADPESSHGTKSVRRCLNFDAEAPKVRGGFPESVLNFANNAGSQAQESCTVGGNTISIDVAPSLHYARAVAAADNLAPGDPVVLHSTRYKVANEYIRFVENPIPRPQHNRRETVRADQILGECRTMPENPTTPPRPYKRENLKKLARKNFLRITSNPDRSQEAHINQFASHETPNLLLRTQNNHILDHDAPNSTNTQFMHACDRVHGLGELHGATCNDPCASQGYKKQRIEHENGLTSATAFTCMPLNDFITHQREANTAEVFTSADPQNLMGPKRQLIAEDVPAIYETINSAAKRSEPPFELHSANSSSSSVNFGHIRKHGNQTGQYAMDHNKLSSPPQPFSGTDGRQSQNHEVLTCMQNQVVSNKSRTRTKQQRKEEVQLVNHLINANQVEFQRQVAASCRFKNCPGQKIPEATSLISQDFRTEVSHTSSGSCLNPGAIVLYGDPLDDIIWKLRHLTINGLHKADTAKTQNAIVPYEGAGVIIPYGGLFDLAKRRRPRPKVDLDSETNRVWNLLMGKEGGEVGDGTEREKEKWWAEERRVFCGRVDSFIARMHLVQGDRRFSKWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAARFPLSGCHGSETNAQETDSCIKHEGSCIVTLDGNTSEWQGQISDKELHDPSSLVIVGDNETANSNESFGSNISGKVVDYSKVYLDSKEMVTDVSHESPDTESGTPVTLTGSASVAEAEDRWSVEDAGSSQNSVVSSQNFSENPVQTADPIGINSLSSIRVENVTIQSVCDNMANSTSFTELLNSVLDVSGNLRDVKSSMSTSITNLQDANLVEASISASLPLPHHFHESSSSGLVVMECVNALSDESIFNQDSSSGTKTTSNFGKMESSSEHSVGNISELLRQQNLAAIPRSLPAVDIYAPTYKHFIQPSASPEAESFLIKQFCCPGNLQTDINEAPMCESISQKYSLLPDDNIVKFQKDEKRQFEVESTKQAVQFQLQKQNSDNQQNFPNLHNNGNPLEASEKVQLDLKDDAYVSKKISAETPKRKSKEKLKDENERKKNYDWDSLRKEVNRDGTKKERIHDTMDSVNWEAVRCAEVNEISETIRERGMNNMLAERIKEFLNRLVRDHGSIDLEWLREVEPDKAKNYLLSVRGLGLKSVECVRLLTLQHLAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLETIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECKHFASAYASARLALPGPDEKSLVSSTVPIAYEKDHVPALNPTNIPQLEGISTLQERVVSENCEPIIEEPATPEPESIQTEERAIEDAFYEDPEEIPTIKLNIEEFTQNLHNYMQANNMELQDIDMSKALVAINPEAASIPMPKLKNVSRLRTEHHVYELPDSHPLLDGLDPREPDDPSSYLLAIWTPGETAQSTEPPKASCDALETGVFCNMTTCFTCNSRREAQAQIVRGTILIPCRTAMKGSFPLNGTYFQVNEVFADHDSSRNPIDVPREWIWNLPRRTVYFGTSVPTIFKGLTTEEIQLCFWRGFVCVRGFDKKTRAPRPLYARLHFPASKAPRNKQQKKTSPARRTANKN >Ma01_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3212067:3214808:1 gene:Ma01_g04700 transcript:Ma01_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Ma04_p09990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7151275:7162582:-1 gene:Ma04_g09990 transcript:Ma04_t09990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSKGMACVYRYGILVIFLSWGVLSYCSIVYYHGFFVSASLLVSSSEDDYFRCESVVRNWADASSERGIKEDELTLKDILFFLHVPRTGGRTYFHCFLRKIYTSAQECPRSYDKLRFDPSKPNCRLVVTHDDYSLMSKLPKDMTSVVTILRDPVDRVFSTYEFSVEVAARFLVHPNLTSVAQMSRRIRPKSRAVSTLDIWPWKYLVPWMRGDLFARRDARELGRLRKTEEFSSPYDMEDMVMPLHEFINDPIAHDIIHNGATFQVAGLTNNSYAVESHHVRSCVRKHPELGHFVLEVAKHRLDHMLYVGLTEEHRKSATMFAKLVGAQVLSQSEALNSTFKQETSNQTEPSSSFSDSEADGLNQIEGSTNNQSDSEVPSSTHVEPARENMTVGRLMEIYETCISSLRKTQASRRTLSLKRVAPANFSKKARLSVPATILQQIQYLNSLDVELYKHAQNIFIRQEKHIMQSAEIFIQPEDLQTADCGGSYGCPPWKSLLVIALLAIVVLIMLFLSTGRRTNKVKK >Ma04_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7151275:7162582:-1 gene:Ma04_g09990 transcript:Ma04_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSKGMACVYRYGILVIFLSWGVLSYCSIVYYHGFFVSASLLVSSSEDDYFRCESVVRNWADASSERGIKEDELTLKDILFFLHVPRTGGRTYFHCFLRKIYTSAQECPRSYDKLRFDPSKPNCRLVVTHDDYSLMSKLPKDMTSVVTILRDPVDRVFSTYEFSVEVAARFLVHPNLTSVAQMSRRIRPKSRAVSTLDIWPWKYLVPWMRGDLFARRDARELGRLRKTEEFSSPYDMEDMVMPLHEFINDPIAHDIIHNGATFQVAGLTNNSYAVESHHVRSCVRKHPELGHFVLEVAKHRLDHMLYVGLTEEHRKSATMFAKLVGAQVLSQSEALNSTFKQETSNQTEPSSSFSDSEADGLNQIEGSTNNQSDSEVPSSTHVEPARENMTVGRLMEIYETCISSLRKTQASRRTLSLKRVAPANFSKKARLSVPATILQQIQYLNSLDVELYKHAQNIFIRQEKHIMQSAEIFIQPEDLQTADCGGSYGCPPWKSLLVIALLAIVVLIMLFLSTGRRTNKVKK >Ma04_p09990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7151275:7162582:-1 gene:Ma04_g09990 transcript:Ma04_t09990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSKGMACVYRYGILVIFLSWVSASLLVSSSEDDYFRCESVVRNWADASSERGIKEDELTLKDILFFLHVPRTGGRTYFHCFLRKIYTSAQECPRSYDKLRFDPSKPNCRLVVTHDDYSLMSKLPKDMTSVVTILRDPVDRVFSTYEFSVEVAARFLVHPNLTSVAQMSRRIRPKSRAVSTLDIWPWKYLVPWMRGDLFARRDARELGRLRKTEEFSSPYDMEDMVMPLHEFINDPIAHDIIHNGATFQVAGLTNNSYAVESHHVRSCVRKHPELGHFVLEVAKHRLDHMLYVGLTEEHRKSATMFAKLVGAQVLSQSEALNSTFKQETSNQTEPSSSFSDSEADGLNQIEGSTNNQSDSEVPSSTHVEPARENMTVGRLMEIYETCISSLRKTQASRRTLSLKRVAPANFSKKARLSVPATILQQIQYLNSLDVELYKHAQNIFIRQEKHIMQSAEIFIQPEDLQTADCGGSYGCPPWKSLLVIALLAIVVLIMLFLSTGRRTNKVKK >Ma04_p09990.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7151275:7162582:-1 gene:Ma04_g09990 transcript:Ma04_t09990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQIWHIVSASLLVSSSEDDYFRCESVVRNWADASSERGIKEDELTLKDILFFLHVPRTGGRTYFHCFLRKIYTSAQECPRSYDKLRFDPSKPNCRLVVTHDDYSLMSKLPKDMTSVVTILRDPVDRVFSTYEFSVEVAARFLVHPNLTSVAQMSRRIRPKSRAVSTLDIWPWKYLVPWMRGDLFARRDARELGRLRKTEEFSSPYDMEDMVMPLHEFINDPIAHDIIHNGATFQVAGLTNNSYAVESHHVRSCVRKHPELGHFVLEVAKHRLDHMLYVGLTEEHRKSATMFAKLVGAQVLSQSEALNSTFKQETSNQTEPSSSFSDSEADGLNQIEGSTNNQSDSEVPSSTHVEPARENMTVGRLMEIYETCISSLRKTQASRRTLSLKRVAPANFSKKARLSVPATILQQIQYLNSLDVELYKHAQNIFIRQEKHIMQSAEIFIQPEDLQTADCGGSYGCPPWKSLLVIALLAIVVLIMLFLSTGRRTNKVKK >Ma04_p09990.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7151275:7162582:-1 gene:Ma04_g09990 transcript:Ma04_t09990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQIWHIVSASLLVSSSEDDYFRCESVVRNWADASSERGIKEDELTLKDILFFLHVPRTGGRTYFHCFLRKIYTSAQECPRSYDKLRFDPSKPNCRLVVTHDDYSLMSKLPKDMTSVVTILRDPVDRVFSTYEFSVEVAARFLVHPNLTSVAQMSRRIRPKSRAVSTLDIWPWKYLVPWMRGDLFARRDARELGRLRKTEEFSSPYDMEDMVMPLHEFINDPIAHDIIHNGATFQVAGLTNNSYAVESHHVRSCVRKHPELGHFVLEVAKHRLDHMLYVGLTEEHRKSATMFAKLVGAQVLSQSEALNSTFKQETSNQTEPSSSFSDSEADGLNQIEGSTNNQSDSEVPSSTHVEPARENMTVGRLMEIYETCISSLRKTQASRRTLSLKRVAPANFSKKARLSVPATILQQIQYLNSLDVELYKHAQNIFIRQEKHIMQSAEIFIQPEDLQTADCGGSYGCPPWKSLLVIALLAIVVLIMLFLSTGRRTNKVKK >Ma04_p09990.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7151275:7162582:-1 gene:Ma04_g09990 transcript:Ma04_t09990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSKGMACVYRYGILVIFLSWVSASLLVSSSEDDYFRCESVVRNWADASSERGIKEDELTLKDILFFLHVPRTGGRTYFHCFLRKIYTSAQECPRSYDKLRFDPSKPNCRLVVTHDDYSLMSKLPKDMTSVVTILRDPVDRVFSTYEFSVEVAARFLVHPNLTSVAQMSRRIRPKSRAVSTLDIWPWKYLVPWMRGDLFARRDARELGRLRKTEEFSSPYDMEDMVMPLHEFINDPIAHDIIHNGATFQVAGLTNNSYAVESHHVRSCVRKHPELGHFVLEVAKHRLDHMLYVGLTEEHRKSATMFAKLVGAQVLSQSEALNSTFKQETSNQTEPSSSFSDSEADGLNQIEGSTNNQSDSEVPSSTHVEPARENMTVGRLMEIYETCISSLRKTQASRRTLSLKRVAPANFSKKARLSVPATILQQIQYLNSLDVELYKHAQNIFIRQEKHIMQSAEIFIQPEDLQTADCGGSYGCPPWKSLLVIALLAIVVLIMLFLSTGRRTNKVKK >Ma00_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:41536513:41537702:1 gene:Ma00_g04830 transcript:Ma00_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARAVLKSPRILLLDEATSALDSESERVVQEALDLASLGRTTIVVAHRLSTIRNADVIAVVQAGRVAELGSHDDLIRDEDGLYSSLVRFQQTAGAAGSDAPSLSPAALVAFPRPGGSESRRLSLCSRSSSTSSSRHQESQEESEADAPPPVPSLRRLLLLNLQEWRQAVLGSLGAMAFGAVQPLYAFSMGSMLSVYFMNDHKEIRSNTRKYSIVFLAMSIFSFLVNILQHYNFGAMGEHLTRRVRQRMLTQILTFEVGWFDRDENSTGAICSRLANDANVVRMLVGDRMSLIIQAVSAATIACTLGLAIAWKLALILIAIQPLMIASFYYRMVIIRSMSKKAIESQSESSKVAAE >Ma07_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27816419:27819889:1 gene:Ma07_g19920 transcript:Ma07_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase [Source:Projected from Arabidopsis thaliana (AT3G12040) UniProtKB/Swiss-Prot;Acc:Q39147] MTVGRSPARFKRTARRNRRNGDSIPRPPPAAPEEAAGCSVDGLRLPSEPAAILPKDFFLVDSLELAPRLLGKFLRRDEVVLQITEVEAYRPNDSACHGRFGITSRTAPVFGPGGHAYVYLCYGLHTMLNVVADKEGVGAAVLIRSCAPIGGLGTIEERRGRKAEKPVLLTGPGKVGQALGITTAWSNHPLYTRGGLEVLDGPAPEKILVGPRVGIQYALPEHITAPWRFAIAGTPWISAPKNTLHPP >Ma10_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26302745:26308871:1 gene:Ma10_g13540 transcript:Ma10_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRHGNTRLYVGRISYRTRSRDLEDLFGRYGRVRNVDMKRDFAFVEFSDPRDADDARHSLDGREFDGSRIIVEFARGGPRGPGGSRDHAGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGEGGHVERNCQNSPRNLRRGRSYSRSPSRRGRSRSRSYSRSRSYSRSRSPGRDGRGVDRENGWRSRSPQYSRSPRKSPPLKERKHSPSPDGSRSPKGRMSPPLKEEAERNGSDYDQSPRRGNSRSHSRSPMSQERESPRSRRYRSPPPKEEAEVNIADYDQSPRRETAGVL >Ma10_p13540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26302789:26308871:1 gene:Ma10_g13540 transcript:Ma10_t13540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDFAFVEFSDPRDADDARHSLDGREFDGSRIIVEFARGGPRGPGGSRDHAGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGEGGHVERNCQNSPRNLRRGRSYSRSPSRRGRSRSRSYSRSRSYSRSRSPGRDGRGVDRENGWRSRSPQYSRSPRKSPPLKERKHSPSPDGSRSPKGRMSPPLKEEAERNGSDYDQSPRRGNSRSHSRSPMSQERESPRSRRYRSPPPKEEAEVNIADYDQSPRRETAGVL >Ma10_p13540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26302745:26308870:1 gene:Ma10_g13540 transcript:Ma10_t13540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRHGNTRLYVGRISYRTRSRDLEDLFGRYGRVRNVDMKRDFAFVEFSDPRDADDARHSLDGREFDGSRIIVEFARGGPRGPGGSRDHAGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGEGGHVERNCQNSPRNLRRGRSYSRSPSRRGRSRSRSYSRSRSYSRSRSPGRDGRGVDRENGWRSRSPQYSRSPRKSPPLKERKHSPSPDGSRSPKGRMSPPLKEEAERNGSDYDQSPRRGNSRSHSRSPMSQERESPRSRRRYESPAANGGSPSPRDSAGDNKRYASPRGSESPPS >Ma09_p29440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39884293:39886658:-1 gene:Ma09_g29440 transcript:Ma09_t29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPMAFVAARRLRYPLSGAFFAPGDLTDAALVRAIAVLSSDATALSLVPFQRRNATSLVRRLRVLAAFLESLEESSYPHPPRLPPLAAFCLGELYIFVYRAKLLLEYCSESSRLWLLLRNPQVSSNFHDLARELATLLDVLPLDDLCLAEDVREQVELLRRQCRRSNLFVDPHDEKLRRKIHSFLARFDDGEAPDPADLRSTYVDGLGIGNASACCAEIELLEEQISNQEEDMDLSVVIGVVALTRYCRFLLFGFQEMEVESSCGDQKKASRKRSSSQSSSDLSLTIPKDLCCPISLDLMKDPVVVSTGQTYDRASITHWIVEGHRTCPNSGQTLANGGLVPNRALRSLICRWCAAHGIQIEVPDGAEVSAESLAAACTSKAAIEADKATARILVRQLSAGSQESKAVAARELRLLARTGKENRLFIAEAGAIPLLCRLFQSSNPIAQENAVTSILNISIHDGNKRRIMEEEGCLRLTVHVLMHGLTAEARENAAATLFSLSAVHDFKKKIVDQQGAVAALANLLMQGSTRGKRDAVMALFNLSTHPECWCRMLDLGAVLALVGALRDESVAEEAAAALQLLVRQPIVAEAVGSEDAAITNLVGLMTRGTPKGKENAVAALQELCRRGGLPVTRRVARMPALGGLIQAILFTGTKRARRKAALLVRMCRRCESPAVMTCESSRGSSFGSGDASVVSVSMAVQVPVL >Ma09_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6788520:6789301:-1 gene:Ma09_g09930 transcript:Ma09_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGCDPELRLSLGSGVEQQRRQTQQKKMTIFYQGRVCVCDATEMQARAIISMAKKEMEDTVTTKQQRQSTEEEESSSRAVAPQVLDPGLSMKRSLQRFLQKRKARVSDASPYSRQQKLLLFPIKL >Ma02_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25910952:25914058:1 gene:Ma02_g19720 transcript:Ma02_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVASETQPQIASPIQPLETQPPETIVFRSRLPDITISNHLPLHTYCFEKLHETSDAPCLIAATTGKIYTFSETHLLCRKAAAGFSKLGIGHGDVVMVLLQNVPEFIFTFMGACMLGAITTTANPFCTPADIFKQIKASGAKLVVTQSAHVDKLRGGDEGFPKIGDGLMVITTDEPPEDCMNFWEVLDSNETAVPHVTIDPEDPVALPFSSGTTGLPKGVLLTHKSMVSSIAQQVDGENPNVYLKRDDVVLCVLPLFHIFALNSALLCSLRAGAATMLMPKFEIRTMLEGIQTHRVSVAAVVPPLVLALAKNQEIENYDLSSMRIILSGAAPLGKELEDALRIRVPQAILGQGYGMTEAGPVLSMCPAFAKHPTAVKSGSCGIIVRNAEMKVVDPDTGFSLGRNQPGEICIRGAQIMKGYLNDVDSTSKTIDVDGWLHTGDIGYVDDDDEVFIVDRVKELIKYKGFQVPPAELESLLLSHPSIADAAVVPQKDEAAGEVPVAFVVRAKDSAISEQAIKEFIAKQVVFYKRLQKIYFVHSIPKSAAGKILRKELKAKLAVASK >Ma00_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22633920:22634780:-1 gene:Ma00_g02900 transcript:Ma00_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNAIHTFNCLIMLFTTSDLLTSFTWFWCEAIKTKIRKLLYQNITVLDGTLMPPSTRFTKIWRMQNNGTTRWPYRTKLVWAGGDKFANKDYFDVAVDLTSPAVPCLVGIFHIGDWRHLLVKCLDNEFGFILRWISLNQTLLLEVSILI >Ma08_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21814981:21821342:1 gene:Ma08_g16960 transcript:Ma08_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGSSPSSSSFSCFSWSSCLFKHTDFLSLSLSLSLSLSLSLYIYIYIYIYVYIYIYIFLACSYICRKVYALDVVGRIPAELQNLTYLTNLHLAQNYLTGPFPAFIGNLTGLQYLSVGTNALSGGIPKELGKLTNLLSLSIATNNFSGPLPLELGNLTKLQQLYVSSCGASGEFPSTISGLKNLKTLWLINNNFTGKIPDFSRTNITSLRMQGNSFEGPIPSGLSRMTNMVDLRISDIQKGSSSLAFISNLTSLSTLILRNCKISDIIPSNFSQYTSLQKLDLSFNNLMGQLPQSLFNLNLLSHLFLGNNNLSGSLPANKSVTLLNIDLSYNQLAGSFPSWASQQNLKLNLVANNFVIGSSNSSVLPSGLNCLQRDIPCNRGAPIYSSFAVKCGGNKTITASDGTLYEIDNQILTTASYYVTETNKWAVSTVGSFSDASNADYILYSSSQFTNTLESELYQTARISPSSLRYYGLGLQNGNYTVKLHFAETQILDPPTWKSNGRRIFDIYIQSIVEEKNHTSSVTPKLVPHRK >Ma04_p04610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3539694:3543856:-1 gene:Ma04_g04610 transcript:Ma04_t04610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPVVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKIKAGDSAPEELATATQVQGQYMPIVREKPTVELVKVTDEMKSFKAYAKLRVERMNERQVGVRLKKAAEAEKEEKK >Ma04_p04610.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3539694:3543748:-1 gene:Ma04_g04610 transcript:Ma04_t04610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPVVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKIKAGDSAPEELATATQVQGQYMPIVREKPTVELVKVTDEMKSFKAYAKLRVERMNERQVGVRLKKAAEAEKEEKK >Ma04_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3539694:3543814:-1 gene:Ma04_g04610 transcript:Ma04_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPVVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKIKAGDSAPEELATATQVQGQYMPIVREKPTVELVKVTDEMKSFKAYAKLRVERMNERQVGVRLKKAAEAEKEEKK >Ma04_p04610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3539694:3543842:-1 gene:Ma04_g04610 transcript:Ma04_t04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPVVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKIKAGDSAPEELATATQVQGQYMPIVREKPTVELVKVTDEMKSFKAYAKLRVERMNERQVGVRLKKAAEAEKEEKK >Ma05_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34662803:34665181:-1 gene:Ma05_g22900 transcript:Ma05_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASFPPAMDPARLHARLIKVSSTDRALHNNLITLYSLSPSTLPSALRLFRCLPFTPTAASWTAIISAHSNDPAAALRLLVSMLRRPKIPSQATLSALLKTLSSSLPSFLFSGLQIHALAHKIALPRLPFAGSALVHFYCKARRPRDALNAFEEIPDQDEVCYGALVVGLAQNHCAADALSVFATMRSDSAVSSTMYSVSGALRAAAHLAALEQSRIIHAHAVVAGLETNLVVSTTLVDAYGKSGIASDARKVFEGMVTDANLVMWNAMLGAYAQQGDSERATQLFNEMLRQDFRPDEYTFLALLTACSNAGLADESERWIELMTSRYGVVPGLEHYTCLVGVMARVGRLADAERLALTMPCEPDAAVWRTLLSGSVVHHAVDMATVAGRRLLELDHRDDSAYVMLANIYSSTGKKDETAKVWTEMRDHGVKKEGGRSWIEVRGEVHVFIAGDRKHERMAEIHAKLMELMEEVGKLGYKETDEDLWYHSERLAVAFGVVSGSVPKGKSLRVVKNLRICGDCHEFFKYVSRVIGREIVVRDVNRYHMFQEGCCTCKDYW >Ma09_p27310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38324391:38324537:-1 gene:Ma09_g27310 transcript:Ma09_t27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKEEAEWSGQEFDHLLRHCFDALRVLRLEKESSGKEGCGLASSNKHAV >Ma03_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11328231:11330377:1 gene:Ma03_g14180 transcript:Ma03_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGPIKATWITKTILDLYSSSNIQKAISLTSKLANHWWEGPLGLPGADPAASVHKNPNQDATPKESDPNSSSANEEEKDNDGEPREGAVVPSSRRRRGRPPGSKNKPKPPIFVTRDSPSALRSHVMEVSGGADVADSIAQFARRRQRAVCVLSGSGTVVNVALRQPAAPGAAVALRGRFEILSVTGTFLPGPGPSPPGSTGLTVYLAGGQGQVVGGSVVGPLIAAGPVMVMASTFANATYERLPLVQEEEEGPDSGGGATGQLPGGEPQLMAGGGGSGGLPDHSALPILNLPPNLAPNVAHVGHEPFGWAHARAPF >Ma10_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26040447:26042299:1 gene:Ma10_g13100 transcript:Ma10_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAEAAAPPRRMPLQPKNFDFIPSVWIHPKPKPIAFRCPPSTGRRAGKESRPISSPELGTMAGLRDASLSEELAAARLRRERLRAERVRTEEALRERNAAMRRWAVELEKWAEEQRNLELELRLLIELRDLQSSSTISSPVQSLREKEQQRSMEVKLQISPVQPLREKEKQKIVEVQLQGPKIAEQNSEVGMPSSIEENETEK >Ma03_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3574649:3579664:-1 gene:Ma03_g05460 transcript:Ma03_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVAKTPFQWDWETLELFSEKEKEICKSAQEPLLKSQGSMGICNGSVCSSHGEASSALELGNSSSKIFVSSSVDSSPKTEQRNLEFNFNSAEATTHSSNKIIFARVGGSGTSPVPLAAGRPKEPLTRLKLGHTYFEEGGAKNNVKSSSSLPPLASSAVVAKKPRVSQQSSQSPYCQVEGCNIDLTIAKDYHRKHRICESHSKSPKVIVAGQERRFCQQCSRFHHLSEFDQTKRSCRRRLSDHNARRRKPPPATISFNSSRISSSFYDDRHQMNLDFGPTPLGHMTTTVSFPWDGPSNFKLVQPNSWTKSNKVAGINGQLQFSKSCQTHNISTLRHVNMDGLLPTKGTTVDVLNEALESSAFASNLDGAPGLGCALSLLSNDPCGSANPGPTSHIKLAKAKNAVAIRPAASPIDLATRFLQDDQSPSQSMMLPFNPQNGNGGQFQEFQLHKAPYQASFDSTRIH >Ma03_p05460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3574649:3579664:-1 gene:Ma03_g05460 transcript:Ma03_t05460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVAKTPFQWDWETLELFSEKEKEICKSAQEPLLKSQGSMGICNGSVCSSHGEASSALELGNSSSKIFVSSSVDSSPKTEQRNLEFNFNSAEATTHSSNKIIFARVGGSGTSPVPLAAGRPKEPLTRLKLGHTYFEEGGAKNNVKSSSSLPPLASSAVVAKKPRVSQQSSQSPYCQVEGCNIDLTIAKDYHRKHRICESHSKSPKVIVAGQERRFCQQCSRFHHLSEFDQTKRSCRRRLSDHNARRRKPPPATISFNSSRISSSFYDDRHQMNLDFGPTPLGHMTTTVSFPWDGPSNFKLVQPNSWTKSNKVAGINGQLQFSKSCQTHNISTLRHVNMDGLLPTKGTTVDVLNEALESSAFASNLDGAPGLGCALSLLSNDPCGSANPGPTSHIKLAKAKNAVAIRPAASPIDLATRFLQDDQSPSQSMMLPFNPQNGNGGQFQEFQLHKAPYQASFDSTRIH >Ma01_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2923774:2926378:1 gene:Ma01_g04400 transcript:Ma01_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPSSSALTSSAAHRASKTLTLASLSPGSILHHAFSSSSYSDLTPAAAAAGDPGPSDQDSSSASPPPCRENSTKKEEQLHETICYMMARRPWTTRLQNSIRSLAPFDQSLVLAVLRGARHPDHALHFFRWVEKTGFRHDPDTYREIILLLARASMLNHARCILLDDMPDRLVPPSEDMFATLIEGYGCARIPQEAVKIFRRLPELGVTRTVRSYDAVFKAILRSGRVAMARRLFNAMIAEGVLPGLSTYNTLLWGFCLSIKMETAQRFFADMKERGIAPDLVTYNTILNGWARAKKMDDAEKVFAEMTAAGFAPNSISYNIMIKGYVCSGRVDDGLRMFSEMGEKGLTFSEKTFAALMPGLCDDVGRAAEAQKALNEMAKLRLTPNDKSIFLRLVTSLCESGDLEGALEVHRKTSQFNHVVVDPMQYGVLLESLCKGEKHESAIALLDELLEKGTMQSPQYPTLEPSAYNPMIEYLCDHGDTKKAEAFFRQLMKKGVDDKVAFNSLIRGHAKEGMPEAASEILTIMTHHGVPTDADSYVLLVESFLKKSEPADARTALGSMMEQGHLPSASLFRSVMVALFDDGRVQTASRVMKSMIEKGVKENMDMVHKILEALFMRGHVEEALGRINLMIMNDCTPDIDHLLITLCDSDKATEAQKLVEFALERDCDVSFSSYDRVLDVLYTAGKTMPAYSILCKIKAKGGVVDKKGCDDIIKSLIAEGKTKQADILSRILAGKAPSGKSGERVAMDAF >Ma01_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4507638:4509467:1 gene:Ma01_g06300 transcript:Ma01_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGIAAASSSSASFYDICSYAAGIAGNVFAFVLFVSPMPTFKRIVQNKSTEEFSGLPYIYSLLNCLICMWYGLPCVSYGVILVATVNSVGAAFQLVYVILFIRYANSARKLRMSALLAGVACVFAAIVFVSLEFFDHTTRQTFVGYLSVASLISMFASPLSIISLVIRTKSVEFMPFYLSLATFLMSISFFAYGMLLHDFFIYLPNGIGTFLAVIQLVLYAYYSINSREDSNMPLLVS >Ma02_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5547914:5549794:-1 gene:Ma02_g00540 transcript:Ma02_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDIVLVAGILLSVLVHLLLRRRLQSIRRLPLPPGPAGIPILGSLPQIGPMPHASLASLAVRYGPIMYLKMGTGGVVVASSASSASSFLKGLDLQFANRPFAISRKDVTYDCQNFVFANYGPRWKLFRKLCNLHFLGSKALADWAPIRRDEIGRVLRSMLESSRNSRPVAVAVSEALVCANANIIGQVLLSRRVFESQGEESNQFKDAITELLTWSGKFNIGDFVPAIAWMDVQGVQRHMRQLHIKIDALITALLAEHEATAHERKGRPDVVDLVMANRVDADGVSLSDVNIKAFISDMFIAGTDTSSVIIEWALAEMLRKPTILQRAQDEMDRVIGKTRRLEESDIPNLPFLRAISKEVLRLHPSTPLSLPHYTPEACEVDGYYIPKGTRLLVNIWAIGRDPNVWEDPLEFKPERFLSGRNANIEPLGHDFELIPFGAGRRICVGMHAGLLMVQYVLGTLVHSFNWKLVDDIQVLDMEEKFGLVLPKKVSLKAIVSPRLVGSAYM >Ma07_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6916668:6917119:-1 gene:Ma07_g09190 transcript:Ma07_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRHSLGFLTSLLIFLLSLLLFSLPPSSLSAQEDDARSPLTPRERYRQDFHVMKPRPWPYPSNDDHLIHEGERRMMRRRRRGAKRKPGYVDLGATTFSAMLPRGFVPPSDSSWCHNGAPDSINVYCDHKSTSRP >Ma06_p27680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29589376:29599231:-1 gene:Ma06_g27680 transcript:Ma06_t27680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MLTVQTRLTCGLFCNRRRGLVLKCCMHQLLAKSSYSTYESTLREQNGSHFKNLNDRKIVPDSDPPSVKDVNLLYQFIDRSRKLVVLTGAGISTESGIPDYRSPNGAYSTGFKPITHQEFVRSSRARRRYWARSYAGWRRFLAAQPNAAHRALASLEKFGRINYMVTQNVDRLHHRAGSDPLELHGTVYSVVCLKCDNSINRDSFQDRVKALNPKWAAAIESLECGDPGSDKSFGMQQRPDADIEIDAKFWEEDFEIPNCQQCGGILKPDVVFFGDNVPKDRAEKAKETARECDGFLVIGSSVMTMSAFRIVRAAYEANAAVAVINIGKTRCDEFVSLKINARCGEILPRVLEMGCLAIPSIN >Ma06_p27680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29589376:29599323:-1 gene:Ma06_g27680 transcript:Ma06_t27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAIAMTMATSRPSVVLVERLREVLGSISEGSRLHMLTVQTRLTCGLFCNRRRGLVLKCCMHQLLAKSSYSTYESTLREQNGSHFKNLNDRKIVPDSDPPSVKDVNLLYQFIDRSRKLVVLTGAGISTESGIPDYRSPNGAYSTGFKPITHQEFVRSSRARRRYWARSYAGWRRFLAAQPNAAHRALASLEKFGRINYMVTQNVDRLHHRAGSDPLELHGTVYSVVCLKCDNSINRDSFQDRVKALNPKWAAAIESLECGDPGSDKSFGMQQRPDADIEIDAKFWEEDFEIPNCQQCGGILKPDVVFFGDNVPKDRAEKAKETARECDGFLVIGSSVMTMSAFRIVRAAYEANAAVAVINIGKTRCDEFVSLKINARCGEILPRVLEMGCLAIPSIN >Ma06_p27680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29589376:29599277:-1 gene:Ma06_g27680 transcript:Ma06_t27680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MLTVQTRLTCGLFCNRRRGLVLKCCMHQLLAKSSYSTYESTLREQNGSHFKNLNDRKIVPDSDPPSVKDVNLLYQFIDRSRKLVVLTGAGISTESGIPDYRSPNGAYSTGFKPITHQEFVRSSRARRRYWARSYAGWRRFLAAQPNAAHRALASLEKFGRINYMVTQNVDRLHHRAGSDPLELHGTVYSVVCLKCDNSINRDSFQDRVKALNPKWAAAIESLECGDPGSDKSFGMQQRPDADIEIDAKFWEEDFEIPNCQQCGGILKPDVVFFGDNVPKDRAEKAKETARECDGFLVIGSSVMTMSAFRIVRAAYEANAAVAVINIGKTRCDEFVSLKINARCGEILPRVLEMGCLAIPSIN >Ma07_p28940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34813827:34817998:-1 gene:Ma07_g28940 transcript:Ma07_t28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVLTCNLGFHSLPSFRCRRRRLLPQSLLPTTPASPFRQSSTLPSFPSAPHKPFPAGLTPTSNHGRRSLIPVVRASASASAAPAAAPAPWQGAALKPLAASIATGVILWLVPAPSGVSRNAWQLLAIFLATIVGIITQPLPLGAVALMGLGASVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRVAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKALCTACGSNVGDGTENRLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLTQNTINQTIGWTDWAVAAFVPGLVSLVVVPLLLYLIYPPTVKSSPDAPKLAREKLQKMGSMTKNEFIMAGTLLLTVGLWVFGGTLNVDAVTAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYLFASGAAHIGAMFTAFLSVASALGTPPLFAAMVLSFLSNLMGGLTHYGIGSAPVFYGANYVPLPKWWGYGFIVSVVNIIIWLGIGGFWWKTIGLW >Ma09_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11679200:11682159:-1 gene:Ma09_g16320 transcript:Ma09_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTLEFDGAPAGKASKGGAGVILRTEDGSVISRLREGLGAVTNDTADYRALILGLRHALKKGFKQIHVLGDSQLVCMQVQGLSKAKNKNLVDLCEEAKALKEMFVSFSISHIKKAMNSDAGSQAALAVDLPVGEVHEESSETC >Ma09_p30840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40754706:40758114:1 gene:Ma09_g30840 transcript:Ma09_t30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDKCEKKLAKVIVPDKWKEGASNTTESGGRKINENKLLSKKNRWTPYGNTKCMICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >Ma07_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31978204:31979740:1 gene:Ma07_g24710 transcript:Ma07_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGLQLPPGFRFHPTDEELVMHYLRRKSAGLPVAVPIVAELDLYKYDPWQLPGMASYGEKEWYFFSPRERKYPNGSRPNRAAGSGYWKATGADKPVGAPKPVAIKKALVFYSGKAPRGHKSNWIMHEYRLADVDRSPRRKNSLRLDDWVLCRIYNKKGSNASDKFGTRDRKPAGSRCLRPPEDRKPALGPHAPLPLPAGIAPGPLLPAEFADSFAPSDSIPRLHADSSCSEHVLSPEFTCEREVQSQPRWRPTEWDWAFAPAANSVFPHPEPGLLSSEFGDSFQDILVYLENPFEPYAHYVST >Ma04_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6623457:6624434:-1 gene:Ma04_g09270 transcript:Ma04_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQEMVMKLTMEDAKKRSKALKIAVGLPGVISAKLDGDKIVVVGDGVDSIVLTTMLRKKMGHVELVKVGSAEEKKEEKTEEKKEDGWVCPPTWTPHFNLMPPVYEIREPYHDPCRIM >Ma00_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:7263843:7267076:-1 gene:Ma00_g01400 transcript:Ma00_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEAAVQEGDAVVLGPILQREGEILTRSIGGDVLLLPPSNFGVVDRGIYRSGFPSEENFPFLKALNVRSIVYLCPEPYPKVNAEFVRSQGIRLFQFGIEGSRESFVTVSNRPIMEALRVLLDIRNHPILIHCKRGKHRTGCLVGCFRKLQNWCLSSMHEEYIKFASPKIRPSDMRFIEMFDVSHMMHCVLGIIYRYHGFGHQAPRLVYQKA >Ma01_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19054977:19055881:-1 gene:Ma01_g20570 transcript:Ma01_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRQRSTLPQRVYEDFVPQHELLREKDEDILVVNVAGFSKDQLKVKFNRHGKLEMTGERPLTDTKWSRFHKEFQVPDRSTLDRIRAKFYNGLLEITLPKSSGMAAVQDEPAEAVKQQDVKKNQESDAQKVAEDKKDQLKEPKGTEKVAGKDGDEDGEEGTERIDAGKKAAITPASYCGGKRKLVNLKLKIGKLNSGTYQARQVIQALVLTMVVSVGLGLYLHCKPSPKDS >Ma10_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13087630:13105881:1 gene:Ma10_g03300 transcript:Ma10_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMRCIDYGHEIVALANLMPLDDSVDELDSYMYQTIVVGYAECMGLPLFRRRIRGSTRHQHLNYKMTSGDEVEDMFVLLNEVKKQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIERGIIAITIKVAAMGLNPAKHLGRELADLIPYLLQIKELCGINVCGEGGEYETLTLDCPLFKNARIFLDKFEVILHSPDNIAPVGFLHPLAFHLQHKMEPLSSGSCDIGSGKVGYVCEVQGDSTPDHMVQSLSACSQLGNCTTKNLNLCISRGSRDKFSIGCWIQNVSKTLDGLQEDLISVLGKIELKLNEDGFDWLNVIYIHLYISNMKDFTLANEVYVKFITEKKCFLGVPSRSTIELPLVQVGLGNAFVEVLVANDHSKRVLHVQSISCWAPSCIGPYSQATLHGEVLHMAGQLGLDPPTMTLCSGGPAIEIEQALLNSEAIANCFNSSLVSCAILLTVYCAASLTFCERTEIQHKMESFFDDDSDSIDVKRVASPIFLYILAPALPKGALVEVKPVLYIPENGDYGIGNNLLGSDSKEMVWDFQTYTISGKICAALVSITKDVAAKICPNTEPELISGDHIRVIAKFCVFLVNKVLLDNYLFWGDLMHLKFYYTAYLSMTAETLNLIFYEVFAAFAEDSKSFEMGKEPIFSLIPVLSSGRSASMEDIITCELFASKL >Ma10_p03300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13087630:13105881:1 gene:Ma10_g03300 transcript:Ma10_t03300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMRCIDYGHEIVALANLMPLDDSVDELDSYMYQTVGHQIVVGYAECMGLPLFRRRIRGSTRHQHLNYKMTSGDEVEDMFVLLNEVKKQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIERGIIAITIKVAAMGLNPAKHLGRELADLIPYLLQIKELCGINVCGEGGEYETLTLDCPLFKNARIFLDKFEVILHSPDNIAPVGFLHPLAFHLQHKMEPLSSGSCDIGSGKVGYVCEVQGDSTPDHMVQSLSACSQLGNCTTKNLNLCISRGSRDKFSIGCWIQNVSKTLDGLQEDLISVLGKIELKLNEDGFDWLNVIYIHLYISNMKDFTLANEVYVKFITEKKCFLGVPSRSTIELPLVQVGLGNAFVEVLVANDHSKRVLHVQSISCWAPSCIGPYSQATLHGEVLHMAGQLGLDPPTMTLCSGGPAIEIEQALLNSEAIANCFNSSLVSCAILLTVYCAASLTFCERTEIQHKMESFFDDDSDSIDVKRVASPIFLYILAPALPKGALVEVKPVLYIPENGDYGIGNNLLGSDSKEMVWDFQTYTISGKICAALVSITKDVAAKICPNTEPELISGDHIRVIAKFCVFLVNKVLLDNYLFWGDLMHLKFYYTAYLSMTAETLNLIFYEVFAAFAEDSKSFEMGKEPIFSLIPVLSSGRSASMEDIITCELFASKL >Ma08_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6854895:6857266:1 gene:Ma08_g09470 transcript:Ma08_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMANVVQDEGSSSVTSSPLKTFSLMSLSPPSLSPYSPWLRELKSDERGLCLIHLLLNCANHVAAGSIDRANAFLEQIALLAAPDGDAMQRIASHFTEALARRALRLWPGLYHALDSTRAVVLPLAEAAAARRHFLDLCPFLRLSYVVSNQAIMEAMEGERVVHVVDLNASDPTQWISLLQGLRARPEGPPHLKITGVHEHKELLNHTAARLSDEAERLDIPFQFNAVVSRLDNLDVESLRVKTGEALAISTVLQLHSLLASNDGAGDPRQAQRTAPVSQLTLGDYFEKDHAANGYSPSTESALSSPFAPASSPARMESFLALLWGLSPKIMVVTEQESNHNVPALNERFVEALFYYAALFDCLDSTVPRQSVERLRVEKMLLGEEIKNIIACEGWERKERHEKLERWAQRMDMAGFGPLPLSYYGLLQARRLLQSFGCEGYKVKEENGCFLLCWQERPLFSIAAWRCKRYD >Ma05_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32102214:32102986:-1 gene:Ma05_g20420 transcript:Ma05_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPEVRSGDVAQPILLKEGQVFNLTIKSGVSSDDTVIVNYDDFVNDVEVGDILLVDGGMMSLAVRSKTA >Ma07_p29020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34861346:34864738:1 gene:Ma07_g29020 transcript:Ma07_t29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGDEDDDLVKQLLPPDSDPGANPSPDPFLASSSEVLSPMNSNFSALASRDLLRAILELLPPADLARSACVCRLWRAVASEREMLERAFRAPWKVRRVLGEPSSSAFWHHLSLDRFAISHRLRRGDTVASLALRYSVQVMGIKRLNNMMSDHGIYSRERLLIPIGKPELLLNSTCYVEMDEHARREVAVLYLDGGPDGKTCNPTNRAITERGKRKILNSVIRSLQVDYGTAEFYLSVWNGDPRAAMSQFTEDLRWEQQTMRPRLFG >Ma06_p37370.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36430870:36433670:1 gene:Ma06_g37370 transcript:Ma06_t37370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEALNLCSPSLMLFPKFKLLISTTTLAATAAEVRPFHHLLPSIKAYYSSSSSSPVDIPPFDALVSGILDSDPAAFTRGFRAPDSIKPLLSEPHLFLAALRSVRRRPRLALRFFRWAESQPGFPCSEAAFCAVLQILAEGGLMRAAYSVAQRVLHLRLHGIVDLLIDGHAGPEATAPLLDLLLWLYTKCSMVELAVSTFYKMVAHGFLPDVKNCNRILRILRDTAQWTEEGKAEEALKILGEMEDQDAGCLPNDITYNVIINGLSKKGELDKAEKLLDKMRCSRKASSFTYNPLISGLFSKGFVDEALGFRDEMVGFGVMPTVVTYNALIYGLCRSGRMEEAQEKFVEMGKMNLAQDVVSYNSLIYGYCRLGNVKEALCLFNHLRDAHIAPNIRTYNILIDGHCRLGALDGAQKFKEEMICSGFLPDVYTYTILVNGSCKMGNLAMAKGFFDEMLQKGLEPDCHVYTTRIVGELKLGDTSKAFQLREEMKAKGITPNIVTYNVLIDGLCKMGNLKEAYGLWQKMVHDGFHPNCVTYTCLINAHCEKGHMREAKYLFDSMLSNNLSPTVVTYTVLIHAHANKGNLEAAYGYFSKMLEENVLPNEITYNALINGLCRKHKVELAYELFDKMQGNGLSPNKYTYTLLINENCNLGNWKEALRLYAEMHEKEIIPDFCTQNVLFKGFGEDFKHHAVKFLETDVLCS >Ma06_p37370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36430870:36433670:1 gene:Ma06_g37370 transcript:Ma06_t37370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEALNLCSPSLMLFPKFKLLISTTTLAATAAEVRPFHHLLPSIKAYYSSSSSSPVDIPPFDALVSGILDSDPAAFTRGFRAPDSIKPLLSEPHLFLAALRSVRRRPRLALRFFRWAESQPGFPCSEAAFCAVLQILAEGGLMRAAYSVAQRVLHLRLHGIVDLLIDGHAGPEATAPLLDLLLWLYTKCSMVELAVSTFYKMVAHGFLPDVKNCNRILRILRDTAQWTEVRAIYKHMIKVGVQPTIVTFNTMLDSFCKEGKAEEALKILGEMEDQDAGCLPNDITYNVIINGLSKKGELDKAEKLLDKMRSGRMEEAQEKFVEMGKMNLAQDVVSYNSLIYGYCRLGNVKEALCLFNHLRDAHIAPNIRTYNILIDGHCRLGALDGAQKFKEEMICSGFLPDVYTYTILVNGSCKMGNLAMAKGFFDEMLQKGLEPDCHVYTTRIVGELKLGDTSKAFQLREEMKAKGITPNIVTYNVLIDGLCKMGNLKEAYGLWQKMVHDGFHPNCVTYTCLINAHCEKGHMREAKYLFDSMLSNNLSPTVVTYTVLIHAHANKGNLEAAYGYFSKMLEENVLPNEITYNALINGLCRKHKVELAYELFDKMQGNGLSPNKYTYTLLINENCNLGNWKEALRLYAEMHEKEIIPDFCTQNVLFKGFGEDFKHHAVKFLETDVLCS >Ma06_p37370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36430870:36433670:1 gene:Ma06_g37370 transcript:Ma06_t37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEALNLCSPSLMLFPKFKLLISTTTLAATAAEVRPFHHLLPSIKAYYSSSSSSPVDIPPFDALVSGILDSDPAAFTRGFRAPDSIKPLLSEPHLFLAALRSVRRRPRLALRFFRWAESQPGFPCSEAAFCAVLQILAEGGLMRAAYSVAQRVLHLRLHGIVDLLIDGHAGPEATAPLLDLLLWLYTKCSMVELAVSTFYKMVAHGFLPDVKNCNRILRILRDTAQWTEVRAIYKHMIKVGVQPTIVTFNTMLDSFCKEGKAEEALKILGEMEDQDAGCLPNDITYNVIINGLSKKGELDKAEKLLDKMRDEMVGFGVMPTVVTYNALIYGLCRSGRMEEAQEKFVEMGKMNLAQDVVSYNSLIYGYCRLGNVKEALCLFNHLRDAHIAPNIRTYNILIDGHCRLGALDGAQKFKEEMICSGFLPDVYTYTILVNGSCKMGNLAMAKGFFDEMLQKGLEPDCHVYTTRIVGELKLGDTSKAFQLREEMKAKGITPNIVTYNVLIDGLCKMGNLKEAYGLWQKMVHDGFHPNCVTYTCLINAHCEKGHMREAKYLFDSMLSNNLSPTVVTYTVLIHAHANKGNLEAAYGYFSKMLEENVLPNEITYNALINGLCRKHKVELAYELFDKMQGNGLSPNKYTYTLLINENCNLGNWKEALRLYAEMHEKEIIPDFCTQNVLFKGFGEDFKHHAVKFLETDVLCS >Ma06_p37370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36430870:36433670:1 gene:Ma06_g37370 transcript:Ma06_t37370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEALNLCSPSLMLFPKFKLLISTTTLAATAAEVRPFHHLLPSIKAYYSSSSSSPVDIPPFDALVSGILDSDPAAFTRGFRAPDSIKPLLSEPHLFLAALRSVRRRPRLALRFFRWAESQPGFPCSEAAFCAVLQILAEGGLMRAAYSVAQRVLHLRLHGIVDLLIDGHAGPEATAPLLDLLLWLYTKCSMVELAVSTFYKMVAHGFLPDVKNCNRILRILRDTAQWTEVRAIYKHMIKVGVQPTIVTFNTMLDSFCKEGKAEEALKILGEMEDQDAGCLPNDITYNVIINGLSKKGELDKAEKLLDKMRCSRKASSFTYNPLISGLFSKGFVDEALGFRDEMVGFGVMPTVVTYNALIYGLCRSGRMEEAQEKFVEMGKMNLAQDVVSYNSLIYGYCRLGNVKEALCLFNHLRDAHIAPNIRTYNILIDGHCRLGALDGAQKFKEEMICSGFLPDVYTYTILVNGSCKMGNLAMAKGFFDEMLQKGLEPDCHVYTTRIVGELKLGDTSKAFQLREEMKAKGITPNIVTYNVLIDGLCKMGNLKEAYGLWQKMVHDGFHPNCVTYTCLINAHCEKGHMREAKYLFDSMLSNNLSPTVVTYTVLIHAHANKGNLEAAYGYFSKMLEENVLPNEITYNALINGLCRKHKVELAYELFDKMQGNGLSPNKYTYTLLINENCNLGNWKEALRLYAEMHEKEIIPDFCTQNVLFKGFGEDFKHHAVKFLETDVLCS >Ma04_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26284739:26286400:1 gene:Ma04_g24230 transcript:Ma04_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLSMKAACNTHGQDSSYFLGLQEYENDPYDPKTNPTGIIQMGLAENQLSFDLIESWLQRHPDAAGLRRDGRVVFRELALFQDYHGLPEFKRALADLMGDLRGNKIEIDPRKLVLTAGATSANEILMFCLAEPGEAFLIPTPYYPGFDRDLKWRTGAEIVPVHCSSSNGFRITRAALEKAYQGARKRNLIVKGVLITNPSNPLGTTMSRNEIDALVDFVVAKDVHLISDEIYSGTTFDSPGFVSVTEAIEGRAHVTDRVHVVYSLSKDLGLPGFRVGAIYSNNEAVVAAATKMSSFGLVSSQTQYLLSALLSDEEFRGNYTGENQKRIKQRHDRLVQGLGRSGISCLKSNAGLFCWVDMRHLLRSNTLEGEMELWRKIVYEVGLNISPGSSCHCDEPGWFRVCFANMSVETLDVAMRRLQDFVASGRAHDDGSHQRKKPILGKWMLTLSSSDHRSERGW >Ma11_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3963485:3964560:-1 gene:Ma11_g05130 transcript:Ma11_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEHMEDFVMEVPWLLIRYMCTEN >Ma04_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1764508:1768519:-1 gene:Ma04_g02000 transcript:Ma04_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVINVRRSTMVRPAEPTQRRRLWNSNLDLVVPRFHTPSVYFYRPDGSAGFFDAAVMRQSLARALVPFYPMAGRLARDEDGRIEIDCNGEGVLFVEADTDAAVDDFGDFAPTMELKQLIPKVDYTDDIAAFPLLVLQVTYFKCGGVSLGVGMQHHVADGFSGLHFINSWSDIARGVGVRVQPFIDRTLLRARDPPTPSFPHVEYQPAPPLKTAPSTPPTSPPSVNPAKLGSVAVSIFKLTRAQLNLLKAKAPPGGTYSTYALLAAHVWRCACVARDLPPDQPTKMYIATDGRQRLVPPPPEGYFGNVIFTATPMAEAGEVAAAGGGPAPTAGTIQESLTRMDDAYVRSALDYLEMQPDLAALVRGAHTFRCPNIGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSPTADGSLSVAISLQPDHMLEFRKLIYDI >Ma10_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25952765:25954252:-1 gene:Ma10_g13020 transcript:Ma10_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPASLPPGFRFHPTDEELIVHYLINRVSSSPCPVPVVAEVDIYKHDPWDLPAKATYGDREWYFFSPRDRKYPNGRRPNRAAASGYWKATGTDKAIHTSSGKNHSIGVKKALVFYKGRPPRGLKTNWIMHEYRLAEAARSSCSRPLKSSHSSMRLDDWVLCRIYKKNSHLQPVPPLENFERQRSLRLQESSSVSDTLEDDSTLSRLFDTLTRPENTSFMAQPRLTRFSTGSSSRINGDTNTAHRQQTQTEPFVPATENSMKRLMECYLDSGRHFSGQFDGSLLEQHFFNQQLLLNSHLGLR >Ma10_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14181530:14181679:-1 gene:Ma10_g03530 transcript:Ma10_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYVLTFLTLGSTFEEKAPKAIKEIKKSLSRKQWGLLMSCEAQQAHME >Ma09_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1202407:1202748:-1 gene:Ma09_g01620 transcript:Ma09_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPYLFLLCASVLLADVLPWDVSGAAARSTCNDTGGRGCRVEEEEEDSAFELDSEINRRLLAGGSTYLGYGALDQNHPGCVSSDGRSYNCNGKSGQTRGGRQCGRNLYRCPT >Ma01_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5659613:5660180:-1 gene:Ma01_g07840 transcript:Ma01_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQVDIMLRLGHSVAGVIRLNPSPIALLTPVGRRGGAVCFVSGATPEKKERKMDEDEEQHTDSVNTYQGDAMSHSFGEAYATRSDWEGFGGIYGRNPSDITHPGMFVFSPELNHRFLILKIIKCHLILFSLS >Ma04_p00550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:521505:533418:1 gene:Ma04_g00550 transcript:Ma04_t00550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCISKPSGRSRTRKYTHRSRKYHGKISGSRPKASKTQIGTAGNCLTDYALSEFVHVETAARTSGKFHLTQLQWHHSQIDSYGICQEDTWFDSVSILESDSDDDFSSVHGDSSPSVDEIGAQMLQYENASRLVDAMYKLEGFCGTTPVTLAVEHYLKREGKMDKISCKDEAKDADGSEIIKPHRRELPMGKVNKTLGSEACMKKRKFLADSYGSFKGLRERHDTEEKSLGNKKQSYLRKLVSSVSFNDKIYHMTKLSPSCQKKKSAVIRLSYTRKSYDGEETTEFRASTRLLFRPRGGLVIPHARGEKPTPGCWSYLDPSTFDLRGESYFRDKKKSPAPNYAPYYPIGVDLFVCPRKIHHIAQHIELPYVKPHGKLPSLLIVNIQVPTYPAAMFLGEGDGEGMSLVLYFKISESYEKEVSSSFQDLVRKFIDDEMERVKGFASESSVPFRERLKIIGGVVNPEDLNLSAAERKLIQGYNRKPVLSRPQHTFYQGENYFEIDLDIHRFSYISRKGLEAFRDRLKHGILDLGLTIQAQKQEELPEQVLCCMRLNKIDLVDHGQIPTLVTLGN >Ma04_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:521505:533418:1 gene:Ma04_g00550 transcript:Ma04_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCISKPSGRSRTRKYTHRSRKYHGKISGSRPKASKTQIGTAGNCLTDYALSEFVHVETAARTSGKFHLTQLQWHHSQIDSYGICQEDTWFDSVSILESDSDDDFSSVHGDSSPSVDEIGAQMLQYENASRLVDAMYKLEGFCGTTPVTLAVEHYLKREGKMDKISCKDEAKDADGSEIIKPHRRELPMGKVNKTLGSEACMKKRKFLADSYGSFKGLRERHDTEEKSLGNKKQSYLRKLVSSVSFNDKIYHMTKLSPSCQKKKSAVIRLSYTRKSYDGEETTEFRASTRLLFRPRGGLVIPHARGEKPTPGCWSYLDPSTFDLRGESYFRDKKKSPAPNYAPYYPIGVDLFVCPRKIHHIAQHIELPYVKPHGKLPSLLIVNIQVPTYPAAMFLGEGDGEGMSLVLYFKISESYEKEVSSSFQDLVRKFIDDEMERVKGFASESSVPFRERLKIIGGVVNPEDLNLSAAERKLIQGYNRKPVLSRPQHTFYQGENYFEIDLDIHRFSYISRKGLEAFRDRLKHGILDLGLTIQAQKQEELPEQVLCCMRLNKIDLVDHGQIPTLVTLGN >Ma04_p00550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:521509:533418:1 gene:Ma04_g00550 transcript:Ma04_t00550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCISKPSGRSRTRKYTHRSRKYHGKISGSRPKASKTQIGTAGNCLTDYALSEFVHVETAARTSGKFHLTQLQWHHSQIDSYGICQEDTWFDSVSILESDSDDDFSSVHGDSSPSVDEIGAQMLQYENASRLVDAMYKLEGFCGTTPVTLAVEHYLKREGKMDKISCKDEAKDADGSEIIKPHRRELPMGKVNKTLGSEACMKKRKFLADSYGSFKGLRERHDTEEKSLGNKKQSYLRKLVSSVSFNDKIYHMTKLSPSCQKKKSAVIRLSYTRKSYDGEETTEFRASTRLLFRPRGGLVIPHARGEKPTPGCWSYLDPSTFDLRGESYFRDKKKSPAPNYAPYYPIGVDLFVCPRKIHHIAQHIELPYVKPHGKLPSLLIVNIQVPTYPAAMFLGEGDGEGMSLVLYFKISESYEKEVSSSFQDLVRKFIDDEMERVKGFASESSVPFRERLKIIGGVVNPEDLNLSAAERKLIQGYNRKPVLSRPQHTFYQGENYFEIDLDIHRFSYISRKGLEAFRDRLKHGILDLGLTIQAQKQEELPEQVLCCMRLNKIDLVDHGQIPTLVTLGN >Ma04_p00550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:521505:533418:1 gene:Ma04_g00550 transcript:Ma04_t00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCISKPSGRSRTRKYTHRSRKYHGKISGSRPKASKTQIGTAGNCLTDYALSEFVHVETAARTSGKFHLTQLQWHHSQIDSYGICQEDTWFDSVSILESDSDDDFSSVHGDSSPSVDEIGAQMLQYENASRLVDAMYKLEGFCGTTPVTLAVEHYLKREGKMDKISCKDEAKDADGSEIIKPHRRELPMGKVNKTLGSEACMKKRKFLADSYGSFKGLRERHDTEEKSLGNKKQSYLRKLVSSVSFNDKIYHMTKLSPSCQKKKSAVIRLSYTRKSYDGEETTEFRASTRLLFRPRGGLVIPHARGEKPTPGCWSYLDPSTFDLRGESYFRDKKKSPAPNYAPYYPIGVDLFVCPRKIHHIAQHIELPYVKPHGKLPSLLIVNIQVPTYPAAMFLGEGDGEGMSLVLYFKISESYEKEVSSSFQDLVRKFIDDEMERVKGFASESSVPFRERLKIIGGVVNPEDLNLSAAERKLIQGYNRKPVLSRPQHTFYQGENYFEIDLDIHRFSYISRKGLEAFRDRLKHGILDLGLTIQAQKQEELPEQVLCCMRLNKIDLVDHGQIPTLVTLGN >Ma04_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1584827:1586341:-1 gene:Ma04_g01800 transcript:Ma04_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPFVSLLFKAFTFIPRRRPADAAVFLSTHSFSAASGAPQSSFMAEYLVSSCGFDPDEAAKASKLLGRIESRHQPDSVLGFFKSHSFDNAQMKRVLSVNPRWLLLDVEKTLAPKFRALQDLGFSCSDITHLVSSNNGVISHKSETILSKIQLWQGLLGSKDLLMKICKKNRWFLGCSIEKTIQPNIEILRDCGITDQKLSMILRHRPLLITRNAETLKALISRVEGLGVARTSGMFLQTLSVLHCVSEKNFKAHLEFFKGFGWSEDDFLAAFRKAPSIVAYSLKSLQRKMEFLVNETGCAPYYLAHRPVILTMSLEKRLIPRYRILMGLKSRGVHIGNLQMDTYMSYTEKKFLEKFVFRYKEFPELIELYNVAPKTEMLFDTAGA >Ma05_p18230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23001864:23066764:-1 gene:Ma05_g18230 transcript:Ma05_t18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNLDHQLPFRVNQEAESKSFMIGYRSAWFRCKIKSMSFRKRQIGYFLEFFDYPDEKTRWTKLYQKPLQQPDQALEEGKLELMVRPPFPPFFRKSQPPKFCPTSDVIAITNDTWKVGDLVDWWYDSCYWSGRVSELLKDDHIKIDLPKPPIGEGNFYIAHCKDLRPSLDWTPENGWMLPVQKEHGNFDSCAILIHRNSYETINTRMSMPCDSSLEGKPNCSYTATEELSNTSSVPTEASYTDDQASATDSVRKIHISGSDRVISTKDDVGIGRKQKSARDHLNSLGKIWIDETNYTASLKHPPDSIESSIIQLEVLAQKIKWLKGLQKFRFQWSSATKSSWKFVENNTFLK >Ma05_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23001864:23066924:-1 gene:Ma05_g18230 transcript:Ma05_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWLILLLFVLTLSFSRFTGSSLWTFHLQIPHQSPSPPFSTVRPLSPCPRPPSAANYGAPSSTSAFASCPTDRVDAPSQIKSMSFRKRQIGYFLEFFDYPDEKTRWTKLYQKPLQQPDQALEEGKLELMVRPPFPPFFRKSQPPKFCPTSDVIAITNDTWKVGDLVDWWYDSCYWSGRVSELLKDDHIKIDLPKPPIGEGNFYIAHCKDLRPSLDWTPENGWMLPVQKEHGNFDSCAILIHRNSYETINTRMSMPCDSSLEGKPNCSYTATEELSNTSSVPTEASYTDDQASATDSVRKIHISGSDRVISTKDDVGIGRKQKSARDHLNSLGKIWIDETNYTASLKHPPDSIESSIIQLEVLAQKIKWLKGLQKFRFQWSSATKSSWKFVENNTFLK >Ma01_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14002957:14004884:-1 gene:Ma01_g18710 transcript:Ma01_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQKSLDVSTTSDVAVDTPPTENASKRLVLYNPDTSKPGQVAVAILDATENEHTSFKSFLASNPSSRVLPSVGAFTIQCAACLKWRLIPTKEKYEEIRENILQEPFVCDRARDWRSDVSCDDPTDISQDGSRLWAIDKPNIAQPPPGWERLLRIRSEGSTRFADIYYVAPSGKRLRSMVEVEKYVLSETFRVCQRWGESISIFIYNPEASSGKLC >Ma01_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2678431:2678958:-1 gene:Ma01_g04130 transcript:Ma01_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPVQAPSPSSAPDADEPSSFFMLVFHAFIFFCSIGSGFLIMVAVMFVLLALVRYCDALQLRSMVTSFLDKIPHGIYVVPSSPSLLPSTSDDVPEHQLHHCVICMEEYAGGEQLWVMPACKHVFHEACIKQWLFKPPLTCPICRVHVIQTDDDDADDDDDGGDIETPLLVGTF >Ma08_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27309998:27316890:-1 gene:Ma08_g17870 transcript:Ma08_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFDPSVVGGGAAPAADPHHGWQKVTYTKRQRRPQPPADPDRNRPSGLPHPADRSHVFDSLEQKARERRRAIESAAAAAEFAEAGDAKSRPAMAASDEEDDDSGAEVARGVQANSGQATTKEKQKKPKKPKVTVAEAAAKIDAADLATFLVEISATYESQQDIQLMRFADFFARSFASVSASQFPWAKMFKESPVAKIADIPVCHISESVYKTSVDWIALKSPEALCEFILWCLDSILIDLASQQSTVKVSKKLIQHSPSKAQVAIFVVLAMVLRRKPDSLISLLPKLKDNSQYHGQEKLLVIVWLFAQASQGDPVVGMYLWAHYLFPVVCGKSSGNPQSRDLVLQLVEGILSRPKARAILLNGAVRKGERLVPPNALDLLMRITLTAPTARVKATERFEVVYPTLRELALAGSPGTKTTRQAAQQLLPAAVQAIQEKNPELTKEAADIFIWCLSQNAECYRQWEKLHLENVDASIAVLQNLSSEWGKYSTKISPDALRETLKNLRAKNEEALSRSMDASKLTSIKDADRCCKAVLGKLTRTCGCMKSGIFVLVLAFGVYYAVNPGTELFNWEKLHVAFSSIQSS >Ma03_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1097041:1099908:-1 gene:Ma03_g01590 transcript:Ma03_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKCSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSAASKPSLAA >Ma08_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4107386:4111325:-1 gene:Ma08_g06070 transcript:Ma08_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGTDLQIRSAQVTESTDFNELVNKEPWISSTKLVVKPDMLFGKRGKSGLVALNLDLAQAAQFVKERLGTEVEMGGCKAPITTFIIEPFIPHDQEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTVYLPTEKSMASEACAPLIVTLPLEVRGKIGDFIKGVLTVFQDLDFSFIEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGSIEFPLPFGRVLSSTEKFVHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLKYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIKALKEKESKLKAARMHIYVRRGGPNYQTGLAKMRKLGEELGIPLEVYGPEATMTGICKQAIDCVMSAA >Ma03_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4364698:4366179:-1 gene:Ma03_g06280 transcript:Ma03_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHPLFLSPLSHTMAGNRLSPEVWMLLLVAAACAHAASSAKPKVCDKGWECKASVYCCNETISEFFQVYQFEDLFSKRNAPVAQAVGFWDYHSFITAAAVYEPLGFGTTGGKLMQMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYKREMSPSQSYCDQSYDYPCTPGVDYYGRGALPVYWNYNYGAIGDGLKVDLLNHPEYLEQNATLAFQAAIYRWMTPMKKKQPSAHDVFVGKWKPTKNDTLAKRLPGFGATMNVLYGDLVCGQGSIDAMNNIISHYQYYLDLMGIGRQSSGDNLDCAEQEAFDPSTASSTSSPTAAST >Ma03_p06280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4364698:4366038:-1 gene:Ma03_g06280 transcript:Ma03_t06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRLSPEVWMLLLVAAACAHAASSAKPKVCDKGWECKASVYCCNETISEFFQVYQFEDLFSKRNAPVAQAVGFWDYHSFITAAAVYEPLGFGTTGGKLMQMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYKREMSPSQSYCDQSYDYPCTPGVDYYGRGALPVYWNYNYGAIGDGLKVDLLNHPEYLEQNATLAFQAAIYRWMTPMKKKQPSAHDVFVGKWKPTKNDTLAKRLPGFGATMNVLYGDLVCGQGSIDAMNNIISHYQYYLDLMGIGRQSSGDNLDCAEQEAFDPSTASSTSSPTAAST >Ma07_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30953852:30959687:1 gene:Ma07_g23240 transcript:Ma07_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVARLLVLLATPFPRPSALRLSRHLAVPLAALSAAASTASSYSSHHFRPLHPRLRLGFSVVTAERFHTQLAGARDDHGEAVASAPTSHAGSMRSWPEWRKLVDYLVAGGYYDRQDSVAVGEEDDDSLLAGEDLTEEFVKAAQACLSFARDKPDLLRMLAKKDIEIIVENGSPFLFKNGANSVRRLQSFVVADGTKVLESERAQTVDIMRYLLSYACSSTATRDESFLTTRDLTETAIRSLLAQLFRLSVTNPEARLTEMTPRQTVTKQHFSRPTWQTIEMKRGDWICPKCSFMNFARNMGCLECNEARPKKILSDGEWECPQCDFFNFSRNMSCLRCDFKRPGGSPFGTASSDAHLGYNGSSTVEQILKRSNLDKSEIERKLAANDEKAERWFSKISQLDDSADLSSAIDDEDFPEIMPMRKGMNRFVVSTRKTPLERRLANAQNRSNLGNSGFSEGHELQPGRSNGMNSHKPSESSISQMLDRILGRSSTSSETSHPVVAGGDNSSTGSRFSSSDYRQGMVNQRTDPDSVPFVPLPADMFSKNSNPDNKQSLNTEDSASPKASQLGDPVFKESESSAESRDSDSFDASKGWSKKVTELDNVRDMANAISDDDFPEIMPMRKGENRFIVSKKKDRSLTSLQYKRRIAMEQANSGNFVPFVPFPPDYFAKKDKQPETSPTEGSTLHEKARSETEKSEESMTGVANSESGGNATRQLENWSANQSDHGTTSTNPPSMDLTYRKMDAYNVGGSANSAQPLNTSWRNQSEIPSNDFSQKNTYSGPSVSASTQQTENSKSASEGWKPSFSGKSLEGSAVTEPDPLDMSEEAKAARWFRRAAQIKDISELSNIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRSLPIVRSEPDEDAN >Ma06_p35440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35262590:35266778:-1 gene:Ma06_g35440 transcript:Ma06_t35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGPLIWEIVKKNNAFLVKQFGNDTAMVQFSKEPNNLYNVNSYKHSGLANKKTVTIQAGGKDLSIAIATTKTKKQNKPGSLYHRSVMKKEFCKMAKVVMNQVTDNHYRPDLTNSALARLSAVHRSLKVSKSGAKKRNRQALKVRN >Ma08_p06790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4595560:4607076:-1 gene:Ma08_g06790 transcript:Ma08_t06790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPDYVRESSSSSRSSNIQETEDDMTIGSILAEANHHNGRNLGKRLSHLDSIPHTPRVNGQIPDVDNATLDHERLSERLAMYGLAELQIEGDGNCQFRAVADQLFHNPEYHRHVRKTVVKQLKQFKNYYESYVPMEYKTYLKNMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCFIEINPKDQSPTRELWLSFWSEVHYNSLYEADDLPTRAPKRKHWLF >Ma08_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4595560:4607220:-1 gene:Ma08_g06790 transcript:Ma08_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPDYVRESSSSSRSSNIQETEDDMTIGSILAEANHHNGRNLGKRLSHLDSIPHTPRVNGQIPDVDNATLDHERLSERLAMYGLAELQIEGDGNCQFRAVADQLFHNPEYHRHVRKTVVKQLKQFKNYYESYVPMEYKTYLKNMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCFIEINPKDQSPTRELWLSFWSEVHYNSLYEADDLPTRAPKRKHWLF >Ma08_p06790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4595560:4607232:-1 gene:Ma08_g06790 transcript:Ma08_t06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPDYVRESSSSSRSSNIQETEDDMTIGSILAEANHHNGRNLGKRLSHLDSIPHTPRVNGQIPDVDNATLDHERLSERLAMYGLAELQIEGDGNCQFRAVADQLFHNPEYHRHVRKTVVKQLKQFKNYYESYVPMEYKTYLKNMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCFIEINPKDQSPTRELWLSFWSEVHYNSLYEADDLPTRAPKRKHWLF >Ma03_p18030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23645487:23696072:1 gene:Ma03_g18030 transcript:Ma03_t18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEDLHVSALLLVRCLLGYPHKCPKLRIVPEKGLSKEDADKLLLLLVDQAKVNGREGRVMIFNLVETAQEFLSEIASFNESHESVPCIGSSRNDHSLQEEPASQIDMCRYSDGPSVCSSIDLYGDLCGDDAPLGGHVTKATNNSSSKVIVTQAGSMDMDKNKNILLESHALKNVENATTDDGLLRNRKSEVLNMIKHGVEPLAVAKLNVLVEETENDATSSSFKASLKPLEDVLESSDATLHEGINLLEHGCKNDVSDSECDYLTSSSYVSVTHDDESRRKEKDLLLVHLLRLACSSKGSLANALPEISLELQNIGVLSEWANDLIAAPLSVFTEAFDHAFEQHMASSKFSEFWRTDNGSSSKPNSRYLSDFQEVHSLGHGGFGHVALCKNKLDGRQYALKKIRLKDKNLHVNEKIVREVATLSRLQHQHVVRYYQAWFETEYGNNNVDITCGSRTAESISYSYIGTSSADATGENRKESTYLYIQMEYCPRTLRQVFESYSSFFDKDYAWHLFRQVVEGLAHIHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQNFPIETTGVSMDGTGQVGTYFYTAPEVEQSWPQINEKVDMYSLGVIFFELWHPFATAMERHIVLSDLKQKGVVPPSWAAKFPSQATLLRRLMSPSPSDRPSAIEVLQHELPPRLEDEWLNDILRTIQTSEDTYVYDRVVSTIFDEERLITKYHYQQSGSANMTRDDPSFVQHAQFDTGFKDIVVAVSKEIFKQHCAKRFEISPLRILDGCYTFNRKTVKLLTQGGNMLELCHELRLPFVNWIAKSQKSFCKRYEISYVYRRAIGRSTPSRFLQGDFDIIGGAPSLTEAEVIKVALDIVARFFPPNAMEIHLNHGQVLESIWTWIGIPVELRHNVAELLSVIGSSCPQSTNRKSSWKFIRRQLLQDLNLSEALVDRLQITDLRFCGSADQALARLRGALSPDKPTSKALEELSALLRYLRIWGIEQSISIDVLMPPTETCYQNLFFQIYLKESISSSSEAFLLAVGGRYDHLIQWTWDSECKSIPPGGVGVSIALEKILLHSSVDIMPSRFESSTHVLVCSRGGGGLLPERMEIVAELWQANIKAEFLPQTDPSLKEQYEYASEHDIKCLIIITEAGLSQGSLVKVRHLELKKEKEVNREDVIKFLIEAISTQFRNLALWT >Ma03_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23645186:23696072:1 gene:Ma03_g18030 transcript:Ma03_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKRNGGGRTRGRAPLNDRSAGAEGDQDLLADELTALASIFQEDFNIISKAPHTYFSIKLRPYSNDMGCEDLHVSALLLVRCLLGYPHKCPKLRIVPEKGLSKEDADKLLLLLVDQAKVNGREGRVMIFNLVETAQEFLSEIASFNESHESVPCIGSSRNDHSLQEEPASQIDMCRYSDGPSVCSSIDLYGDLCGDDAPLGGHVTKATNNSSSKVIVTQAGSMDMDKNKNILLESHALKNVENATTDDGLLRNRKSEVLNMIKHGVEPLAVAKLNVLVEETENDATSSSFKASLKPLEDVLESSDATLHEGINLLEHGCKNDVSDSECDYLTSSSYVSVTHDDESRRKEKDLLLVHLLRLACSSKGSLANALPEISLELQNIGVLSEWANDLIAAPLSVFTEAFDHAFEQHMASSKFSEFWRTDNGSSSKPNSRYLSDFQEVHSLGHGGFGHVALCKNKLDGRQYALKKIRLKDKNLHVNEKIVREVATLSRLQHQHVVRYYQAWFETEYGNNNVDITCGSRTAESISYSYIGTSSADATGENRKESTYLYIQMEYCPRTLRQVFESYSSFFDKDYAWHLFRQVVEGLAHIHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQNFPIETTGVSMDGTGQVGTYFYTAPEVEQSWPQINEKVDMYSLGVIFFELWHPFATAMERHIVLSDLKQKGVVPPSWAAKFPSQATLLRRLMSPSPSDRPSAIEVLQHELPPRLEDEWLNDILRTIQTSEDTYVYDRVVSTIFDEERLITKYHYQQSGSANMTRDDPSFVQHAQFDTGFKDIVVAVSKEIFKQHCAKRFEISPLRILDGCYTFNRKTVKLLTQGGNMLELCHELRLPFVNWIAKSQKSFCKRYEISYVYRRAIGRSTPSRFLQGDFDIIGGAPSLTEAEVIKVALDIVARFFPPNAMEIHLNHGQVLESIWTWIGIPVELRHNVAELLSVIGSSCPQSTNRKSSWKFIRRQLLQDLNLSEALVDRLQITDLRFCGSADQALARLRGALSPDKPTSKALEELSALLRYLRIWGIEQSISIDVLMPPTETCYQNLFFQIYLKESISSSSEAFLLAVGGRYDHLIQWTWDSECKSIPPGGVGVSIALEKILLHSSVDIMPSRFESSTHVLVCSRGGGGLLPERMEIVAELWQANIKAEFLPQTDPSLKEQYEYASEHDIKCLIIITEAGLSQGSLVKVRHLELKKEKEVNREDVIKFLIEAISTQFRNLALWT >Ma02_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5871046:5871993:1 gene:Ma02_g00560 transcript:Ma02_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDIVLVAGILLGVVVHLLLRRRFQSIRRLRLPPGPSGVPIFGALPQIGPMPHASLGNLAVRYGPIMYLRMGTKGVVVASSAGASRSFLKALDLQFANRPLPISGKDVTYDGQDFVFANYGPRWKLLRKLANLHFLGGKALTEWSPVRCDEIGRMLRAMLESSRNSRPVMVSEAMVCASANIIGQVMLSRRVFESQGEESNQFKDAITELLAWSGKFSIGDFVPAIAWMDLQGVQRQLRRVHVKLDALITALMAEHEATAHEREGRPDVLDLVMANRVDADGVSLSDVNMKGFISVSSLSLSLLPPSSSLQTAN >Ma01_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7112269:7113901:1 gene:Ma01_g09900 transcript:Ma01_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTASHFVSNRSRSAYNGAFDSEPVKFQSFRIPYLSSRAAAHEGLRSRNVVDSLQMQQNSKASSEKATRGSRRSTRKPWAVVVCRSRMNLVFVGAEVAPWSKTGGLGDVLGGLPPAMAANGHRVMTVAPRYDQYRDAWDTSIMVELKVGGSIETVHFFHCHKNGVDRVFLDHPMFLEKVWGKTGGKIYGPLAGTDYEDNQIRFSLLCQAALQAPRVLHLNNREDVVLVANNWHTALLPCYLETMYQSHSIYKNARSIVLLDQFKSSFDFIDGYDKPVKGRKIRMKAGILESDRFVTVSPYYAQ >Ma10_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28933349:28941775:-1 gene:Ma10_g17590 transcript:Ma10_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAVIRDFDDFVKVHGILLAASGVPLPLYRQLFRKLSSETFDGGDFFSIEPCEGGRQRRLLLSADFLGKESNVFLVDHAWSFRLSDALQQLREVPGLAERMATLMCVDLDLKSNDENPESETQEEEKSGSLDNVLEILLKEMDKVKERGVDAATWLELEELGIDDEMLKSLELSTKFPNLVALNLWGNKLEDAETIIQEVTKCRRLKAIWLNDNRALQDCGDRISKSILDGIPGLEIYNSCFTSNFGEWALGFCGSIFGADNPGCLSECSGSLASITTLDLSNRCVRCLPKAFSPITLPSLSHLNIRGNQLDQISVNDLLQLLKSFTLQTLEVDIPGPLGNSALQILEYLPNLSFLNGVSASVITETGKHVIDSALQPRLPEWAPSETLPDRVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSALRHSDDANFRVAPFLFMPDGKLASAVSFSVLWPIQDVHHGEECTRDFLFGVGEDKQRSARLTAWFDTPENYFIQEFKKYQQQLASRSLPAPKEAPISRSILQHDGHTLQVYTDIPHVEEFLTHPKFVLITNPKDADIIWTSMQVDPEVKKALELTDLHYTNQFPFEACLVMKHHLAETILKAQGRPEWLQPTYNLETQLSQLIGDYFVRKQDALNNLWILKPWNMARTIDTTVTEDLSAIIRLMETGPKICQKYIEHPALFKGRKFDLRYIVLVRSMDPVQIFLFDVFWVRLANNPYTLEKSSLFEYETHFTVMNYRGRLNHMNTPEFVREFEEEHQVNWQTIHQGIQDMIRSVFDSAAAVHPEMHNPQSRAMYGVDVMLDHLFKPKLLEVTYCPDCGRACKYDTEAIVGNGGIFRGRDFFNTVFECLFLGETAHVTPL >Ma06_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6499689:6499913:1 gene:Ma06_g09220 transcript:Ma06_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCIYTKIESSKQLRLIRKLDIVTDSHVQNENFILDFTRIFMKTFHFFFFHGSFTFSKYILIFSLILIDSISN >Ma04_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1680578:1688083:-1 gene:Ma04_g01930 transcript:Ma04_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSAVADKPECAAAPASGLSRVESLFHRRIEFHPARKPYSSFSSSDGDFRLETLNPRLGLDRPAVSRGGAFQAGAPSPGKRSGEREFYEHRMDPELSFSITFRRIGAGLANLGNTCFLNSVLQCLTYTEPFAAYLQSGKHKSSCHTAGFCAMCALQNHVITALQSNGKILSPSHLVKNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPSGVPSESPGAYEKSLVHKIFGGHLRSQVKCTQCSYSSSKFDPFVDLSLEIAKADSLWKALTHFTAVEQLDGGERQYQCQHCKEKVRALKQLTIHKAPYVLTIHLKRFSSYVPGQKIDKKVDFEPTLDLKPFLSDQHGGDVKYTLYGVLVHAGWSTHSGHYFCYVRTSSGMWHSLDDNQVGQVSEKTVLAQKAYMLFYVRDRTSLPKGSVNMVHKDNISANATERKLIPESSLALNGALKNCVRTCSTNVQSGSVKDTLSLHPLPQAILTLQKSKTPTLYEVPEVQIDREAIGRETSTLQPDGDSLPEGLQQTTSTSVTLQVMRKDFMVEEAKATCRIDATFILGSHQSDDERCQISGSTNGEVKSVGVIAVLNNSSCTRPKSQKHENKLLKERHMAKDNDAEKGILQTHDMLCNAVNGIPEENRKIKLLNGFTRKEANGRAPVGNLTTHHNEWCSSSMLSHVENLHKQEKPRLVNLPKRLDTANSFVQEDTFKDDSRIDNLKQLKPKKIAKRPLRGICFGRNHIFFASLNLHKIKKVRKSKKQPSSYKILLKDIPDDINTNDLAASTSEATVREHCHEKHCRSGLVEDNNSKMVKSGNYCNGESLSSTNREKLLSSRATYTDDKGIHHDFMNLLMGELKETTVSRWDDVELPKLELNGPESSRNTSIGYVLDEWDEDYDQGKRKKLRKSQQSFGGPNPFQETANAKAHQKLNSELGQTKRGNQPLRI >Ma04_p01930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1680578:1688083:-1 gene:Ma04_g01930 transcript:Ma04_t01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSAVADKPECAAAPASGLSRVESLFHRRIEFHPARKPYSSFSSSDGDFRLETLNPRLGLDRPAVSRGGAFQAGAPSPGKRSGEREFYEHRMDPELSFSITFRRIGAGLANLGNTCFLNSVLQCLTYTEPFAAYLQSGKHKSSCHTAGFCAMCALQNHVITALQSNGKILSPSHLVKNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPSGVPSESPGAYEKSLVHKIFGGHLRSQVKCTQCSYSSSKFDPFVDLSLEIAKADSLWKALTHFTAVEQLDGGERQYQCQHCKEKVRALKQLTIHKAPYVLTIHLKRFSSYVPGQKIDKKVDFEPTLDLKPFLSDQHGGDVKYTLYGVLVHAGWSTHSGHYFCYVRTSSGMWHSLDDNQVGQVSEKTVLAQKAYMLFYVRDRTSLPKGSVNMVHKDNISANATERKLIPESSLALNGALKNCVRTCSTNVQSGSVKDTLSLHPLPQAILTLQKSKTPTLYEVPEVQIDREAIGRETSTLQPDGDSLPEGLQQTTSTSVTLQVMRKDFMVEEAKATCRIDATFILGSHQSDDERCQISGSTNGEVKSVGVIAVLNNSSCTRPKSQKHENKLLKERHMAKDNDAEKGILQTHDMLCNAVNGIPEENRKIKLLNGFTRKEANGRAPVGNLTTHHNEWCSSSMLSHVENLHKQEKPRLVNLPKRLDTANSFVQEDTFKDDSRIDNLKQLKPKKIAKRPLRGICFGRNHIFFASLNLHKIKKVRKSKKQPSSYKILLKDIPDDINTNDLAASTSEATVREHCHEKHCRSGLVEDNNSKMVKSGNYCNGESLSSTNRGDKLKNTNKNVTLDNFGLPRSCLSSAEKLLSSRATYTDDKGIHHDFMNLLMGELKETTVSRWDDVELPKLELNGPESSRNTSIGYVLDEWDEDYDQGKRKKLRKSQQSFGGPNPFQETANAKAHQKLNSELGQTKRGNQPLRI >Ma01_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8889952:8890216:1 gene:Ma01_g12270 transcript:Ma01_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGTARCIEILLAIILPPLGVFLKFGCKVEFWICLLLTLFGYLPGIIYAVYAITK >Ma04_p36470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34838016:34839049:1 gene:Ma04_g36470 transcript:Ma04_t36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVLILVFVVDLIAFGLAVAAEQRRSKATVVPDSEKTYNHCVYDSDIATGYGVGAFLFLLLSQAILMAVSKCFCCGRSLGPGGPRACALLLFLFSWLTFLIAEACLLAGSVRNAHHTRYRNMFFDGNLSCETVRKGVFAAGAAFVLFTAVLSELYYVYYAKAARSSGAPPYGEAPAVGMSSYR >Ma02_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18311331:18311516:-1 gene:Ma02_g07770 transcript:Ma02_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLISARVDTEPSEEACQGLIASKPAF >Ma11_p18040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23198658:23203441:1 gene:Ma11_g18040 transcript:Ma11_t18040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSWHHRLRPLLRRLLPTFLLVPLLLFAAVLFSPSTPNPFISFFGSFSLEEPDIWSARRVVEWKACNWWKNSSLPALPAKTNGYIRVDCYGGLNQMRRDFCDGIGIARILNATLVLPKFEVAAYWNESSGFADVFDVDYFIQQTKGFVELVKELPEEIVSREPYRVDCSKRKGQFDYVEAVLPALLEHRYISLTPAISQRRDRYPLYAKAALCQGCYNALRLNKALEAKGSELFKLIPKPFLSLHLRFEPDMVAYSQCEYQGLSSTSLNAIEASRGDRKPWTGDAALIWRNRGKCPLTPKETAFVLQSLKIPTDTTIYLAAGDGLMEMEDFTAVYTNVYTKSSLLNSEDIFRMHGNTKAALDYFVSINSDAYIATYFGNMDKMVSAMRAVNRHYKTLALSRRAFANFTAIGLKGVELADALWKSHREDFVMGRGTALPDCFCEFTL >Ma11_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23198791:23203441:1 gene:Ma11_g18040 transcript:Ma11_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDFCDGIGIARILNATLVLPKFEVAAYWNESSGFADVFDVDYFIQQTKGFVELVKELPEEIVSREPYRVDCSKRKGQFDYVEAVLPALLEHRYISLTPAISQRRDRYPLYAKAALCQGCYNALRLNKALEAKGSELFKLIPKPFLSLHLRFEPDMVAYSQCEYQGLSSTSLNAIEASRGDRKPWTGDAALIWRNRGKCPLTPKETAFVLQSLKIPTDTTIYLAAGDGLMEMEDFTAVYTNVYTKSSLLNSEDIFRMHGNTKAALDYFVSINSDAYIATYFGNMDKMVSAMRAVNRHYKTLALSRRAFANFTAIGLKGVELADALWKSHREDFVMGRGTALPDCFCEFTL >Ma06_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12225153:12225583:-1 gene:Ma06_g18020 transcript:Ma06_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPRLRLIRFYCLIFIVRSKEGDTVTPGTKVSVVSKSSPSGTHVVPLDDKVVKDARPRSPPAGAPQPTPATEKIDK >Ma03_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14972966:14974970:1 gene:Ma03_g15180 transcript:Ma03_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTGRGGKGAKRHRKVICDNIQGFTKPAIRRPARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma04_p27140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28395956:28399254:1 gene:Ma04_g27140 transcript:Ma04_t27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSCLPSLQKPSPCVSSAFLRRPLLVASTKGKPGAATSGQRWRRGTGSVAAGPMSTEEVLLRPEEEEVKEEYDWREEWYPLYLTAEVPEDAPLAHTVFDEKIVLFRDGNGVIRCFQDRCPHRLAKLSEGQLVDGRLECLYHGWQFGDDGKCLKIPQLPDGARIPRSACVRTYETRDSQGVVWVWMSDKNPPDENKLPWFEHYARPGFQDVSTIHELPYDHSILLENFMDPAHVPISHDRTDFYSKREDAQALLFEVTKRSGRGFAGSWSKSKPPALTNLLSFEAPCILQNSNEYIDKDGTKQYVSALFLCRPTGQGKSMVIIRFGSTLRSPIVRLLPTWFFHQNICKVLEQDMGFLSSQNEILWKEKAPTGRLYINLKSCDTWVAEYRRWKDKVGHGMPYFFGHNSCSLAEDPAVVEHAPAGLTAGISSSMPAKGATGDIHAPNPINRYFRHIVHCKECRSAVKSFQVWRNAFVFMAFTSISMAILASRRQWKAFFLVSAAFCLAGAHACSSALSLIKTNFIRGHRRL >Ma05_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35574213:35575352:-1 gene:Ma05_g23510 transcript:Ma05_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPVRRTSSSEGDSQPMIDVRKRKRMLSNRESARRSRIKKQQQLDDLINQEAQLKSQNSQIAVQINLVTEQYNKVESENAVLGAQLRELTERLQSVNSVLHFIEEFSGMAMDIPEIPDPLLKPWKLPGMVQPVIANSNVVRF >Ma09_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5056018:5056146:1 gene:Ma09_g07720 transcript:Ma09_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEESQRHFSASACSFFCHVYIPRAADSNSNAIFVINMIQY >Ma01_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19936983:19938906:-1 gene:Ma01_g21060 transcript:Ma01_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREASVVVVREYNAANDRAAAEEVERTCELGSSGKMSLHTDLLGDPASRIRHSPTYLMLVAETCGGVKEIVGLVRGCVKVVTCGKKLPRQGGSTKNTCQVATPTYTKVGYLLGLRVSPSHRRKGIGLRLVRQMEGWFREKGAEYAYMATGNDNEASMRLFTERCDYTKFRAPTILVHPVFAHRLPVPRSVTVLRLGHADAEAIYRRCFATTEFFPRDIDAVLRNPLTVATFLAVPAGCNTARQWPGAEAFLAAPPGSWAVTSAWDCGGVLRMEVRGASRLRRGAAAATRAADRAMPWLRIPAVPDLFRPFVAWFLYGVGGEGPGAAEMAAAAWREAHNVARGSATVLAAEVAAGDPVLRGIPRWRWLSCAEDVWCVKRLGEEYSDGGVGDWTKSAPGASIFVDPREL >Ma04_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9440212:9440849:-1 gene:Ma04_g12500 transcript:Ma04_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKSNKITEIVRLQQILKKWKKLAVTPKSGGGSKSIKFLKRTLSFTDASGDVPKGYLAVCVGEEMRRFVIPTEYLGHRAFAALLREAEEEFGFQQEGVLRIPCEVAVFESILKVVEKNKEGLCYCSAEAELASSHLPPKPVCR >Ma08_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37570713:37572187:1 gene:Ma08_g24370 transcript:Ma08_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDAQSPSRSLSQSPSPPPVSVVPPSSSPSASPHQPNGRIDEPVTVAAAPQHAVPIRQLRASPGPASGGGREDCWSDGATSALIDAWGERYLELSRGNLKQKQWQEVADAVTSRDGYTKAPKTDVQCKNRIDTLKKKYKIEKSKISSSAGGATPSWPFFHRLDLLLGPTHKPAPPPPSSTIPAGVPFRYPQRIPQRLRSNHPTLKKKVRSSPALASKSAGSSADSSDGFPPPPPKEANGKRLHQEPVEEEGEEQRTADLRELAQAILKFGEVYERVESSKLRQAMEMEKQRLEFTRELELQRMEFLMKTQMELSQLRSHHHGNSRKRKFDDAGGSSNHHHHHRNHDDNNNSSNSNISGNDG >Ma09_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29030083:29042428:1 gene:Ma09_g20310 transcript:Ma09_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MAFNLSSSLLQVAHISSKPRLQRKALAAASSRRPFGHKAESPAHLRSTPSLPLRPTGRSFRCSSSSNDRGDEDQAVTEVQRPLEEKRRAELAARIASGEFTVQQPGWVLALRNGLSTLGLPHEFLERLFSRWACSSSGELLEIPQTRGSVDAVAGQAFFIPLYELFLIYGGIFRLNFGPKSFLIISDPDIAKHILKNNSKAYSKGILAEILEFVMGKGLIPADGEVWRVRRRAIVPALHQKYVAAMINLFGKASFGLCEKLDAAASDGEDVEMESLFSRLTLDIIGKAVFNYEFDSLTHDNGIVEAVYVALREAEMRSTSPIPTWEIPIWKNISPRQRKVSEALKLINTTLDDLIAICKRMVEQEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKAPEVVAKLQDEVDSVLGDRSPTIEDIKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLGKYPISRGEDIFISVWNLHRSPKHWVDAESFNPERWPLDGPNPNESNQNFSYLPFGGGPRKCIGDMFATFETVVATAMLIRRFNFQMALGAPPVEMTTGATIHTTKGLKMTVTRRTRPPIIPTLETKVVTVDGDLQLSSTLSNAAEISSGAPKEDQQGEISTAAHQSQQVTG >Ma07_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27626032:27628973:1 gene:Ma07_g19640 transcript:Ma07_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKALLGLFAAVTLAIVMSKLRGKHFKLPPGPLPVPVFGNWLQVGDDLNHRNLTALAKRFGDILLLRMGVRNLVVVSNPELAREVLHAQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQNRQGWEEEARHVVEDVKRDPKAATQGVVLRRRLQLMMYNNMYRIMFNYRFQGMDDPLFNKLRAANGERSRLAQSFEYNYGDFIPILRPFLRGYLKKCQELKDGRLKLFDDHFVAAKKKTMDQLGSKMELKCAIDHILDAERRGEINYDNVLYIVENINVAAIETTLWSIEWGVAELVNHPEIQRKLRQELDTVLGPGVPITEPDLQKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGFDIPAESKILVNAWWLANNPAHWKNPEEFRPERFLEEEAKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGSLVQSFELLPPPGANKVDTTEKGGQFSLHILKHSTIVCKPRA >Ma04_p36680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34933389:34934622:-1 gene:Ma04_g36680 transcript:Ma04_t36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKLGRSDKLRMSEQEQEQLEVPEHPPFVTSCPSPSSSSTGFWWHDGSSGGGSGAVGSFVEKEHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDTLADERGRLLCFEDRTGKPWHFRYSYWNSSQSYVITRGWSRFVKEKGLDAGDTVSFCRGVGEAGRHRLYIDSQRRPPSRDPPLIPLPELSYTRLFALPVASLGTSGGQLMYYGWPAASPPQMEVRQVGSGRVPMLLRSVPLVRDQAAVKRVRLFGVNLNCPESRDEASHHHSDTLSVSLPQLHPRTAFPLLKPPHASGDTPPDSSSSSICKGKNTPLNLYL >Ma11_p07170.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5743014:5750274:-1 gene:Ma11_g07170 transcript:Ma11_t07170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFDALLSRKKLRQHSEQELAGFSSDKKIKIFSYSDLSSATDNFCPDKRIGRGGFGTVYKGTLRNGVTVAIKVLSAESKQGVKEFLTEIDTIANVGHPNLVELIGCCVQDSSRILVYEHMENGSLDRTLLGMNIDKSTKLSWNIRSAICIGTARGLAFLHEDLEPPIVHRDIKASNILLDSNFDPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALQGQLTKKADVYSFGVLVIEIISGRSISKSYLSGVNQFLLERTWQLFQEGRLKELIDPVLQEYPEEQVLKFIKVALFCTQAVAVRRPSMTQVVHMLSQPIRLNEKELTPPGYVEGSSSTRKASEGTISSSTQFKGSTTIDSAAQFTLSPITFTQLVPR >Ma11_p07170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5743014:5750274:-1 gene:Ma11_g07170 transcript:Ma11_t07170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFDALLSRKKLRQHSEQELAGFSSDKKIKIFSYSDLSSATDNFCPDKRIGRGGFGTVYKGTLRNGVTVAIKVLSAESKQGVKEFLTEIDTIANVGHPNLVELIGCCVQDSSRILVYEHMENGSLDRTLLGMNIDKSTKLSWNIRSAICIGTARGLAFLHEDLEPPIVHRDIKASNILLDSNFDPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALQGQLTKKADVYSFGVLVIEIISGRSISKSYLSGVNQFLLERTWQLFQEGRLKELIDPVLQEYPEEQVLKFIKVALFCTQAVAVRRPSMTQVVHMLSQPIRLNEKELTPPGYVEGSSSTRKASEGTISSSTQFKGSTTIDSAAQFTLSPITFTQLVPR >Ma11_p07170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5743014:5750276:-1 gene:Ma11_g07170 transcript:Ma11_t07170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFDALLSRKKLRQHSEQELAGFSSDKKIKIFSYSDLSSATDNFCPDKRIGRGGFGTVYKGTLRNGVTVAIKVLSAESKQGVKEFLTEIDTIANVGHPNLVELIGCCVQDSSRILVYEHMENGSLDRTLLGMNIDKSTKLSWNIRSAICIGTARGLAFLHEDLEPPIVHRDIKASNILLDSNFDPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALQGQLTKKADVYSFGVLVIEIISGRSISKSYLSGVNQFLLERTWQLFQEGRLKELIDPVLQEYPEEQVLKFIKPIRLNEKELTPPGYVEGSSSTRKASEGTISSSTQFKGSTTIDSAAQFTLSPITFTQLVPR >Ma11_p07170.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5743014:5750274:-1 gene:Ma11_g07170 transcript:Ma11_t07170.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFDALLSRKKLRQHSEQELAGFSSDKKIKIFSYSDLSSATDNFCPDKRIGRGGFGTVYKGTLRNGVTVAIKVLSAESKQGVKEFLTEIDTIANVGHPNLVELIGCCVQDSSRILVYEHMENGSLDRTLLGMNIDKSTKLSWNIRSAICIGTARGLAFLHEDLEPPIVHRDIKASNILLDSNFDPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALQGQLTKKADVYSFGVLVIEIISGRSISKSYLSGVNQFLLERTWQLFQEGRLKELIDPVLQEYPEEQVLKFIKVALFCTQAVAVRRPSMTQVVHMLSQPIRLNEKELTPPGYVEGSSSTRKASEGTISSSTQFKGSTTIDSAAQFTLSPITFTQLVPR >Ma11_p07170.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5743014:5750274:-1 gene:Ma11_g07170 transcript:Ma11_t07170.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFDALLSRKKLRQHSEQELAGFSSDKKIKIFSYSDLSSATDNFCPDKRIGRGGFGTVYKGTLRNGVTVAIKVLSAESKQGVKEFLTEIDTIANVGHPNLVELIGCCVQDSSRILVYEHMENGSLDRTLLGMNIDKSTKLSWNIRSAICIGTARGLAFLHEDLEPPIVHRDIKASNILLDSNFDPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALQGQLTKKADVYSFGVLVIEIISGRSISKSYLSGVNQFLLERTWQLFQEGRLKELIDPVLQEYPEEQVLKFIKVALFCTQAVAVRRPSMTQVVHMLSQPIRLNEKELTPPGYVEGSSSTRKASEGTISSSTQFKGSTTIDSAAQFTLSPITFTQLVPR >Ma11_p07170.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5743014:5750274:-1 gene:Ma11_g07170 transcript:Ma11_t07170.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFDALLSRKKLRQHSEQELAGFSSDKKIKIFSYSDLSSATDNFCPDKRIGRGGFGTVYKGTLRNGVTVAIKVLSAESKQGVKEFLTEIDTIANVGHPNLVELIGCCVQDSSRILVYEHMENGSLDRTLLGMNIDKSTKLSWNIRSAICIGTARGLAFLHEDLEPPIVHRDIKASNILLDSNFDPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALQGQLTKKADVYSFGVLVIEIISGRSISKSYLSGVNQFLLERTWQLFQEGRLKELIDPVLQEYPEEQVLKFIKVALFCTQAVAVRRPSMTQVVHMLSQPIRLNEKELTPPGYVEGSSSTRKASEGTISSSTQFKGSTTIDSAAQFTLSPITFTQLVPR >Ma11_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5743014:5750274:-1 gene:Ma11_g07170 transcript:Ma11_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFDALLSRKKLRQHSEQELAGFSSDKKIKIFSYSDLSSATDNFCPDKRIGRGGFGTVYKGTLRNGVTVAIKVLSAESKQGVKEFLTEIDTIANVGHPNLVELIGCCVQDSSRILVYEHMENGSLDRTLLGMNIDKSTKLSWNIRSAICIGTARGLAFLHEDLEPPIVHRDIKASNILLDSNFDPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALQGQLTKKADVYSFGVLVIEIISGRSISKSYLSGVNQFLLERTWQLFQEGRLKELIDPVLQEYPEEQVLKFIKVALFCTQAVAVRRPSMTQVVHMLSQPIRLNEKELTPPGYVEGSSSTRKASEGTISSSTQFKGSTTIDSAAQFTLSPITFTQLVPR >Ma11_p07170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5743014:5750274:-1 gene:Ma11_g07170 transcript:Ma11_t07170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFDALLSRKKLRQHSEQELAGFSSDKKIKIFSYSDLSSATDNFCPDKRIGRGGFGTVYKGTLRNGVTVAIKVLSAESKQGVKEFLTEIDTIANVGHPNLVELIGCCVQDSSRILVYEHMENGSLDRTLLGMNIDKSTKLSWNIRSAICIGTARGLAFLHEDLEPPIVHRDIKASNILLDSNFDPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALQGQLTKKADVYSFGVLVIEIISGRSISKSYLSGVNQFLLERTWQLFQEGRLKELIDPVLQEYPEEQVLKFIKVALFCTQAVAVRRPSMTQVVHMLSQPIRLNEKELTPPGYVEGSSSTRKASEGTISSSTQFKGSTTIDSAAQFTLSPITFTQLVPR >Ma11_p07170.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5743014:5750274:-1 gene:Ma11_g07170 transcript:Ma11_t07170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFDALLSRKKLRQHSEQELAGFSSDKKIKIFSYSDLSSATDNFCPDKRIGRGGFGTVYKGTLRNGVTVAIKVLSAESKQGVKEFLTEIDTIANVGHPNLVELIGCCVQDSSRILVYEHMENGSLDRTLLGMNIDKSTKLSWNIRSAICIGTARGLAFLHEDLEPPIVHRDIKASNILLDSNFDPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYALQGQLTKKADVYSFGVLVIEIISGRSISKSYLSGVNQFLLERTWQLFQEGRLKELIDPVLQEYPEEQVLKFIKVALFCTQAVAVRRPSMTQVVHMLSQPIRLNEKELTPPGYVEGSSSTRKASEGTISSSTQFKGSTTIDSAAQFTLSPITFTQLVPR >Ma08_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7644451:7646295:-1 gene:Ma08_g10460 transcript:Ma08_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRWLVLFLSVFSFVSLRAPVAIAAASIARTIVVNLKGGGDFKSIQQAIDSVPDNNNKWTKIHVGAGVYREKVNVKSTKSYIVLEGDGAQTTSIEWGDYNGDSSGHDTNTSATFTSYASNFVAKRITFKNTYNGFAKLTPAVAAWIFGDKSSFYYCSFIGFQDTLADTLGRQYFKGCYIEGVTDFIFGYGQSIYERCKISTVKSLEKPGYVTAQGRNNASDNSGFVFKWCTISGPQATYLGRAWKHYSRVIFYQTFMSAIIVPEGWYIWFSKGYEGVVTFAESGCTGPGSDLSGRVMWEKQLSDDELKKFIDISYIDGEGWLEAQPPLD >Ma07_p27640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33947140:33952055:-1 gene:Ma07_g27640 transcript:Ma07_t27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVVRQLSSSLAFLRPRPEPQLSGGSLGGGLGGWMGARGIRVEVRNGNLEQALRLMERKMRESGMERLIKRRVPYHLKNSEKRVLARKKLQLRLRSQEFSRKLRAIIVKKIRGL >Ma10_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8510851:8511850:1 gene:Ma10_g02630 transcript:Ma10_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPPEDMAAQDLQCEDHFVCCTSKETDVSCQSLGFSSRPLEREIVDQDELHYYTLIAMDSSSSTKKLCHNAYERGRRKKINDLYASLRALLPESDQSKNLSIPLTISRVLKYIPELQRQVERLQQRKEEILLALSRPEEQSHCGDIVVYRPMVSAACLSNREVMVQVCLLSSHFSISFSKILRLLKREGLHLVNASTYTTHDGRCFCSLHIEARETFDTECRIFCDTLLKEIKEQAELGSRITWNM >Ma11_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1119785:1127617:-1 gene:Ma11_g01590 transcript:Ma11_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQYARDAPLCSDPHTDPMERLQESAAHDHPPATALPAAGDVVVEGEKSAYQKEERLLCPLSHLPQPEAPPGLTKVRSTGPDDERRPIDRSVSLKSPAATIDVSTIGKYLRDRGSVFSAAIAKRISTLKEPPYDGGERDPAGSITEFHVSGLKVIVLHKGENVLEEAETDFREIKGRVSFFSRSGCRDCGAVRSFFRDRGIPYVEINVDVFQERDKELVERTGSPAVPAIFFNEKLLGGLVALNSLRNCGEFERRLREMAGGRCPEAAPPVPAYGFDDEEELRRERPDAMVAIVRVLRQRLPIQDRIIRMKLAKNCFSGGDMVEVIISHLDCGRKKAVEIGRELARKHFIHHVFRENDFEDGNNHFYRFLEHEPAIPRCFNFRGSTNDNEPKPAATVSQRLTKLMVAILEAYASDDRCHLDYGRIGASEEFRRYVNLVKDLQRVDIFSLSADEKLAFFLNLYNAMVIHAVIRIGRPGEIDRKVFYCDFQYVVGGYPYSLSSIKNGILRSNRRQPYSLGKPFSARDKRLELALAKVNPLIHFGLCDGTRSSPTLRFFSAQGVEVELRHAAREFFLGGVEVDLEKRVVYLTKFMKWYSADFGQEKDILHWILNYMDVNRAGLLTHLLNDGGPINILYQNYDWCLNC >Ma05_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15880207:15880598:-1 gene:Ma05_g16280 transcript:Ma05_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPHHLATPAPLLLLLASIVTATSSFDKTNPIRFVVNRVEYYDAAIIGTLGRARHALDFARFAHRYGKRYGSAVEIGHRFGIFLENLSSFAPPTAKACPKYSE >Ma05_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6658646:6659224:-1 gene:Ma05_g09100 transcript:Ma05_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVDRAKEFVAGKIAQIPKPEASLTGVSVKSLSRDSALFHSDVSVSNPYSHSLPICEISYTLKSAGRVVASGTMPDPGSLPASVVTKLEVPVKVPYNFLVSLVRDIGRDWDIDYELQVGLTIDLPIVGDFTIPLSTKGEMKLPTLSDIF >Ma02_p02200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13272402:13292757:1 gene:Ma02_g02200 transcript:Ma02_t02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGRVEMRRIEDRTSRQVRFSKRRSGLFKKAFELAVLCDAEVALLVFSPGGKLYEFSSVSSLESTLDRYQTFTNAENVVRKLDDNAQNRDEEFALLEANSKVLEIGKRLVETNLAEMNAEELGKLEEDLCSALKWATSRKKQLMTGSLNRPEKEVVGTTTTSITEAERRSDGSNDSAAEGERAWVRPPSRAALGGVDT >Ma02_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13272400:13292342:1 gene:Ma02_g02200 transcript:Ma02_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGRVEMRRIEDRTSRQVRFSKRRSGLFKKAFELAVLCDAEVALLVFSPGGKLYEFSSVSSLESTLDRYQTFTNAENVVRKLDDNAQNRDEEFALLEANSKVLEIGKRLVETNLAEMNAEELGKLEEDLCSALKWATSRKKQLMTGSLNRPEKELLPIKIQFATSESCPLRPSKDHLILGCSILLSTF >Ma08_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3115206:3121199:-1 gene:Ma08_g04480 transcript:Ma08_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLPGYGIQAMLKEGHKHLSGLDEAVLKNIDACKQLSVITRTSLGPNGMNKMVINHLDKLFVTNDAATIINELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKAINKTIEILDELVEKGSETMDVRSKEEVVSRMKAAVASKQFGLEQLLCPIIADACIQVCPKNPANFNVDNVRVAKLLGGGLHNSTVVRGMVLKNDAIGTIKRVEKAKIVVFAGGVDTSATETKGTVLIQSAEQLENYAKTEESKVEELIKAVADSGAKVVVSGAAVGDMALHFCERYKLMVLKISSKFELRRFCRTTGAVALLKLSQPNPDELGYADSISVEEVGGVRVTIVKNEGGGNSVSTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELARKLKEFSLKETGLDQYAIAKFGESFEMVPRTLAENAGLNAMEIISSLYAEHAAGNIKVGIDLEEGVCKDVSAMNVWDLYLTKFFALKYAADSACTVLRVDQIIMAKPAGGPRRDAPAGMDED >Ma04_p37390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35327425:35328982:-1 gene:Ma04_g37390 transcript:Ma04_t37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSTASAAVQAAGPRFPEQEQNLRCPRCESTNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGSTRKNSKRFNASSSSSAGCSIKRSNPPTPLPRLPDLPKPEPFSVLYPPLDPDRHLLDMAGSFSSLLSSDGHLETFLGSIHPAGGGCATSLPSSSSVNRRSVRVQGTESPSPAGDMSTPAVENVERLETDSECWSAGWTDLAIYNPGSSMQ >Ma11_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3469236:3469707:-1 gene:Ma11_g04470 transcript:Ma11_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFCNDLGCSLSYLGAFPAFLCFDLENSAKPRYNILNWHKEHGLLKKHLAPATVLASSEKRFMMYLYSVHPAAPKQWSECFSSRCDSDGNQRIMFALSCN >Ma10_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26136222:26144494:-1 gene:Ma10_g13280 transcript:Ma10_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MTSVLGVGSISSITLSNRSPHRHLSPAVRASPSFYSHHDNKIYGRRKLTVKAAETDANEVKAGAPEKAPASSDAGGSSFNQLLGIKGAAQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNIEDVSKAIVCMMMSGPCLTGYTQTLNDWYDREIDAINEPYRPIPSGAISEGEVITQIWLLLLGGLGLAGLLDVWAGHKSPVIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLNPDIIVLTLLYSIAGLGIAIVNDFKSIEGDRAMGLQSLPVAFGIDTAKWICVGAIDITQLSVAGYLLGADKPFYALALLGLILPQVYFQFQYFLKDPVKNDVKYQASAQPFLILGLLVTALAISH >Ma10_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21483528:21485581:-1 gene:Ma10_g07140 transcript:Ma10_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MDRKNTSPQGETARVLVEFLEVAITSIVFLKGFYPSEAFERRRYMNVVVHRARHPQLSGYIHSVTAGLLPFVQKGLVERVNVIFYDNEHVPIEKFIFKIIVNQSYNSKVEENDLEFALRAFLIKLTVAEPLTKPLPSGSSWEVTAYFRALPQDSSNKEARMWVPTDTKQWLQPPDITPIKSMSSEPLKVQLYLEHPNPSEPKNLGV >Ma05_p29860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40431659:40433035:1 gene:Ma05_g29860 transcript:Ma05_t29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISMTDAANLLSYYFHLLHISPSLRHLRHLHARLLRTGLFTNVILSSKLLLSYSRRRRLLPCALSVFLHMPRRNSHSWNTLIAELSRSGRPLEAIGFFHRMRSSGVPVDEFTFPPVLRSCAGSSDAAAGMSVHGVSVKSGVESSIFVASALVFFYMALSRTSDARQLFDGMPEKDAVLWTSMLSGYAQNGDSASALAFFRKMVDGGLQLDHVVLVSLLLACGQSGSIRHGKSAHACCVRRGLGSPLTLCNALVDMYVKSGDFGAAERVFHGMPARDVISWTALILGHGLNGHADVALKLFDEMCTQGIQPNSVTFLGVLSACGHGGLVEKAWGFFGSMRQCGIEPELKHYACVADALAKAGRLVEAERFVAEMPMEPDEAILGALLAGCRVHGDTEVGDRVSKRLMRMRPAKSGYYMSLANMYADAGRYSDAERVREFMKERSVNKQIGYSSVDSES >Ma10_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22764405:22765712:-1 gene:Ma10_g08370 transcript:Ma10_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKSKADTTKKADTRLSVKKGGERAPKKPRKTKAAKDPNKPKRPPSAFFVFMEEFRKSFKEKNPNNKSVSVVGKAGGDKWKSLSEAEKAPYVAKAAKLKTDYTKKIAAYNKNQSDGGSHAAADEDESDKSKSEVNDDDEEEEGTEEEEEDDE >Ma08_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2265727:2267783:-1 gene:Ma08_g03020 transcript:Ma08_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLIHPSSSPLLLRRRALLVRRRPAVAPIRALSVPAALAQDDLKKLAAVRAVEYVSSGMVLGLGTGSTAAFVVAEIGALLSSGKLSDIVGVPTSKRTYEQALSLGIPLSTLDAHPRIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFVVVADETKLVTGLGGSGLAMPVEVVQFCWKYNQIRLQELFEGEGCEAKLRLDGNGKPYVTDNSNYIVDLYFKTPIKDATAAGKEISSFEGVVEHGLFLDMATAVIIAGKDGVTVTAK >Ma06_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13572234:13576865:-1 gene:Ma06_g19640 transcript:Ma06_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLAVAEVGEELLFSGDAGPDGDCPNHFVSLTSTTYGALSLDRGDEKANDPKAPAEKEVEPDAASASECGQPSPPHVDKKSAAAEEERPSEVIDARELMGDLADETPSRSPPQKKRPHKPSPALRRSPAVRAAMSPVMPRNWFAGKENTPLRLEPKRSDHDAYRASKPFRSLDNTPWTNLVSAISKKGTPNSARSNNSNRDFSNSKSRRSLSPLFDPELLARFEREHCEEGEQIKRMVHVKICDSVILLQSFEEKCPPGGEDTVVLYTTTLRGIRKTFEDCNTVRSLIESYGGHIVERDISMDSGYREELRLLMGRKEVKVPIVFVKGRCVGGAEEIVRLEEEDELGLLLEGLPRATKWCEGCGGLRFVMCMDCNGSCKVLDSEKKKVKCEGCNENGLIHCPICC >Ma10_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21549483:21554644:-1 gene:Ma10_g07200 transcript:Ma10_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGNWSPPAVSGRLFTFGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMAACSLLSYVAIAWLRVVPMQTVRSRLQFLKIATLSLVFCGSVVSGNVSLKYLPVSFNQAVGATTPFFTAVFAYLMTVKREAWITYITLIPVVTGVIIASGGEPSFHLFGFIMCVGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVLLLPATLVMEENVVGITMALAREDFKLIWYLLFNSTLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVTVTGMLGYTVTVIGVILYSEAKKRSK >Ma10_p25950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33852802:33856126:-1 gene:Ma10_g25950 transcript:Ma10_t25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEESRVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMCSWTGTIIGPQNTVHEGRIYQLKLFCDKDYPEKPPAVRFQTRINMTCVNQETGMVEPGLFPMLANWQREYTMEDILLTLKKEMSAPQNRKLHQPPEGSDDQRMEQKDLAQRCAIL >Ma01_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4063923:4077995:-1 gene:Ma01_g05760 transcript:Ma01_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPILSLALPSETGRVLSIQSHTVQGYVGNKAAVFPLQLLGYDVDPINSVQFSNHTGYPTFRGQVLNGQQLCDLIEGLAANDLLYYTHLLTGYIGSVSFLDTVLQVVDRLRMVNPGLIYVCDPVMGDEGKLYVPPDLVSVYREKVIPVASMLTPNQFEVELLTGLRITSESDGLKACNILHAAGPSKVVITSLNIEGKLLLIGSHQKLEGQPPHQFKIIIPKIPAYFTGTGDLMTALLLGWSNKYPDNLEKASELAVSSLQALLHRTLEDYKAVGFDPQSSSLEIRLIQSQDDIRNPEVKFKAEEYT >Ma01_p05760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4063923:4077988:-1 gene:Ma01_g05760 transcript:Ma01_t05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPILSLALPSETGRVLSIQSHTVQGYVGNKAAVFPLQLLGYDVDPINSVQFSNHTGYPTFRGQVLNGQQLCDLIEGLAANDLLYYTHLLTGYIGSVSFLDTVLQVVDRLRMVNPGLIYVCDPVMGDEGKLYVPPDLVSVYREKVIPVASMLTPNQFEVELLTGLRITSESDGLKACNILHAAGPSKVVITSLNIEGKLLLIGSHQKLEGQPPHQFKIIIPKIPAYFTGTGDLMTALLLGWSNKYPDNLEKASELAVSSLQALLHRTLEDYKAVGFDPQSSSLEIRLIQSQDDIRNPEVKFKAEEYT >Ma04_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7189768:7193428:-1 gene:Ma04_g10030 transcript:Ma04_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSFCHLLVTLLLIDQVSKLDLRRTFVFTFLGLSLVCPTLHFWYLYLSKLLTFPGASGAFLRLLLDQIYIFLRLIFSPIFLGVFLSSVVALEGRPYQINHKLEQEWFSVVLANWQLWIPFQFLNFQFVPQKFQVLAADIAALAWNVMLSFEAHKEIVLK >Ma09_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30174979:30176669:1 gene:Ma09_g20810 transcript:Ma09_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD2 [Source:Projected from Arabidopsis thaliana (AT3G25980) UniProtKB/Swiss-Prot;Acc:Q9LU93] MGSRTASKDIITLRGSAAIVSEFFGYAANSILYNRGIYPEESFTKVKKYGLPMLLTQDEGVKSFLAGLTSQLTEWLEAGKLQRVVLVIMSKATSEVLERWNFSIETDAEVVEKGVIKEKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVAVPFTWIESDAKLIKDPQMVKLHSFDTKIHKVDTLVSYKNDDWDEQ >Ma09_p20810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30174987:30176669:1 gene:Ma09_g20810 transcript:Ma09_t20810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD2 [Source:Projected from Arabidopsis thaliana (AT3G25980) UniProtKB/Swiss-Prot;Acc:Q9LU93] MGSRTASKDIITLRGSAAIVSEFFGYAANSILYNRGIYPEESFTKVKKYGLPMLLTQDEGVKSFLAGLTSQLTEWLEAGKLQRVVLVIMSKATSEVLERWNFSIETDAEVVEKGVIKEKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVAVPFTWIESDAKLIKDPQMVKLHSFDTKIHKVDTLVSYKNDDWDEQ >Ma09_p20810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30174985:30176227:1 gene:Ma09_g20810 transcript:Ma09_t20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD2 [Source:Projected from Arabidopsis thaliana (AT3G25980) UniProtKB/Swiss-Prot;Acc:Q9LU93] MGSRTASKDIITLRGSAAIVSEFFGYAANSILYNRGIYPEESFTKVKKYGLPMLLTQDEGVKSFLAGLTSQLTEWLEAGKLQRVVLVIMSKATSEVLERWNFSIETDAEVVEKGVIKEKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVAVPFTWIESDAKLIKDPQMVKLHSFDTKVGQRLISSLRCSFCLIAFIYLLFPTFIFV >Ma03_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22634100:22635544:1 gene:Ma03_g17390 transcript:Ma03_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPHALLLPYPAQGHVIPLMALAHCLVDHGFKVTFVNTEFNYARLVAALADMEQAASGRIELVTVPDGLDLEDSGGRSDIGKLTAGLLKAIPPCLEELIRRRGDSGDQITCVIADQGMAWTLEVAKKMGVRAAAIWPASAAVLATMLSIPELIARGVIDANGLPMAEGVFELGPGVLPMNTAYLGWNHLGDRTTRPMLFNYIFNNARATAAADFLLCNSFQELEAPVFAFAPSIIPIGPVRAAHRPGKLVGHLWPEDTACTAWLDGQPPGSVVYVAFGSFTILDRRQFHELALGLELSGRPFLWVVRPDLTDDMADAYLPGFIDRVACKGKMVRWAPQDQVLARPAIGCFISHCGWNSTMEAISNGVPLLCWPYFGDQFVNQTYVCDDWKTGLKMVADESGIITKEEISCKLHELLGDVEVKNRAMALKEAAHRSATDGGSSYQNLTRFVAAMKE >Ma08_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30442089:30463498:-1 gene:Ma08_g18420 transcript:Ma08_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVNRVLLTSAGDEISKGIAYHLAKAGCRLVLMGDKNQLQKMVGDMASSFKEVNAFKIVGLNMEEDLEAVFDEAVDLAWKLLGTLDAFVNCCAYEGKMQGCLDVTEHEYKKTIKINFMAPWFLLKAVAKRMRDNKTGGSKVFISQILGAERGLYPGAAAYGSSLAGVQQLVRLSATEIGKHKIRVNAVARGLQLDDEYPRAMGKEKAEKSIADIMPLLRWLDPKNDVASTVTYMVGDDCRYMTGTTIFVDGAQSIVRPRMRAYM >Ma01_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13400976:13407378:-1 gene:Ma01_g18110 transcript:Ma01_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDLHGDSSPDTAPRRSQRSRSPLLDDKEKHTCIRFLVKNAAAGCIIGKGGSTITEFQSQSGARIQLSRNNEVFPGTSDRIILISGSFSEVVKAMELILEKLLNEVEESNDAEGRLNVRLIIPNSSCGAIIGKGGSTIKSFTEDSGAGIKISPQDNSTGLNDRLVTLTGSFEQQMGAIFLILAKLIEDVHYPPTLNSPFTYSGVSLPGFPGVPVGYMIPSVAYCPVSYGPNGIEGKYPSNKGVGSPIIPSRSPRGPHEGQSNLVTIGIADEHIGAVVGRGGRNIMDISQVSGARIKISDRGDFFTGTSERKVTISGSSEAIRAAEAMIMQKVSSNSER >Ma04_p28370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29429429:29437883:1 gene:Ma04_g28370 transcript:Ma04_t28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGPVVMAWNVFKFCTALRAFGSLMILLVLGIVGVSYYAVVVANYGPALGAGGIDSLLALAVLILFHVLLGLLLWSYFSVVFTDPGSVPPNWKPTIDEEIGENAPLTNLDFSNHILNLQQGHLAETGNPTIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKFFLLFLFYTFLETTLVTLSLFPHFIAFFKDVEIPGTPGTLATTFLTFVLNLAFALSVLGFLIMHVSLVAKNTTTIEAYEKKTTPRWKYDLGRKKNFEQVFGADKRYWFIPLYSEEDLRRMPALQGLEYPTKPDLDAQ >Ma06_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4317933:4318628:1 gene:Ma06_g05870 transcript:Ma06_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPDHPSLCGGGGPPAPPISPRISFSCDFVVERPTARVPGPPPDPNFEFAVGSHPMIAADQLFFEGRLLPFKDNCPSGSRRSVTTLRDELRAAGEGGGQQRERPSKGPIKWKELLGLKKPRGSAAKKIDKSEGPSGAADDHLGKSMQVGGNIF >Ma06_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13873795:13874169:1 gene:Ma06_g19800 transcript:Ma06_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAKFRADAFGVTVISLVVLFDVLAIRCIYQVAYLRVQILQQNFLQLGYFNGPWVTCIFLVLVAILWRLSEIARLSFLKGSLFSSITWQRNMCKLYILFNLGFSEPSIFLILVFLLRATLLKR >Ma06_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11652576:11654599:-1 gene:Ma06_g17160 transcript:Ma06_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLRDWPEPVVRVQSLSDSGATTIPDRYVKPQSERASVDPGDMVGIPVVDLAMLTDDVANCEATVTAIADACRQWGFFQAVNHGVSPGLMRGAREVWRGFFHLPMDEKQRYANSPKTYEGYGSRLGIERGAILDWGDYFFLHFLPLCLMDHDKWPALPPALRETSDEYGAALTKLCRRLLRALSIGLGLDAACLPVAFDDEGVCMRVNFYPRCPQPELTLGLSAHSDPGGMTVLLVDDHVTGLQVRKNDSWITVQPVPDAFIVNVGDQIQVLSNAAYKSVEHRVMVNAAAERLSMAFFYNPKSDVPIGPIRELVTCDRPALYRPMTFDEYRLFIRKKGPRGKSQVDSLVAA >Ma08_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9549683:9555022:1 gene:Ma08_g12560 transcript:Ma08_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDFSAGVAGNKMLTERPHRDGFPVNRNKHDARKATTPSGTKADDKQIANDLKQNLSSKKASGTPMKMLIAQEMSKETESIRKPPSVVARLMGLDDDLTGQPFPMSSQRKLLEGYPQRATAGVNRDHRQRQDVFNKAVPYQHHRHDHEKMEHRDVRKVWQQPSWSRNGRCGENQTELRMALVRQKFMEAKRLAAHENLLHTKEFQDALEVLSSNRDLFLKFLEEPNSLLSKQTSDLHSIPPPPQTKRITVLKPSKKVETKFEKVVKKHENSEIDESGLETNNHHWEPNFNHLNSESFFRPTRIVVLKPSTGNPTKLPTSTMSPEYLARTEVYGHLGVSEAFESRSLDKERAEQMQKSLTGHRRDESLLSSMYSNGYGGDASSFNQSETDYNVEDGGFSDSGIVTPTSRHSWDKFGSLGSPYSASSFSRVSYSPESSVIREAKKRLSERWALVTSNGISEEQLQLPRSSSTLGEMLAIPEVKREEFVDGFTVSSNKPSDGEDELRSPAFCSSVGRTKDSGDLSPGNLSRSKSVPVSSTAYEVISLKFKGSESQISRSTVSEVSKTKHGKSSFRGKVSSFFFSRSKKTNREGPVSSTLVDSREVVSRSDEIQKSADISLSVDSQVKDEEQSADVPSPISATNVTEKVMPSLEEPRTCDKSREKEKLSPCQNFINNLDQPSPTSILDATFEDDMNENLCQLSEANAGQQLLSRASPIESVARSLSWDDAHLGTLSPRPSNLYKASSKADHTDQDYFVFVQNLLYFAGLEKSDMIFTGWHSLDSPLDPMLLDKFLGWKEEEPRCTEKSSTLRLLFDCVNSALEEVSWTTLTSLYLWNGASCGSRINAGASSTLSEAVWSLMRDWYSGNGISVFAETDNNSLGVDRALRREVEGNKWVESIRIEVEEITGEISGEVLKDLVVEALADLPTACIC >Ma08_p34860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44859446:44866337:-1 gene:Ma08_g34860 transcript:Ma08_t34860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTAFACCAYSPPALSMICSDPGGCLRSVKTLVRSTVEIPPPSHLPHPIESLRRGNWVKLICGASFEDAADVRNLSLVYTLAGEFDPEDCPSDCPRPCEKVCPATAILLQRVFEEGELVQNTEWGKLQGGVITERCYGCGRCFPICPYDKIRAITYVRDPSTTTKLLQRSDVDAIEIHTSGRCTDLFSELWNSLGDSLENVKLVAVSLPNVGESTLPVMNLIYAIMEPSIKCYNLWQLDGRPMSGDIGRGATKEAVDFAVHLAAARNKPHGFYQLAGGTNAFTIKSLKKQGIFQTMTIDGNSLEKSNEDKQARPEQADIGGIAYGGYARKIVGKILHKMPTQHGLPRIEDHPEYLMEAVKEALTLIGPVKGYAELKF >Ma08_p34860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44859446:44866337:-1 gene:Ma08_g34860 transcript:Ma08_t34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTAFACCAYSPPALSMICSDPGGCLRSVKTLVRSTVEIPPPSHLPHPIESLRRGNWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADEPVVNAVNEGIAAAMSISMVNRPWVMISVNDDKEDLHFRKAEFDPEDCPSDCPRPCEKVCPATAILLQRVFEEGELVQNTEWGKLQGGVITERCYGCGRCFPICPYDKIRAITYVRDPSTTTKLLQRSDVDAIEIHTSGRCTDLFSELWNSLGDSLENVKLVAVSLPNVGESTLPVMNLIYAIMEPSIKCYNLWQLDGRPMSGDIGRGATKEAVDFAVHLAAARNKPHGFYQLAGGTNAFTIKSLKKQGIFQTMTIDGNSLEKSNEDKQARPEQADIGGIAYGGYARKIVGKILHKMPTQHGLPRIEDHPEYLMEAVKEALTLIGPVKGYAELKF >Ma01_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6143098:6144699:-1 gene:Ma01_g08550 transcript:Ma01_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSSRRQISSFLLHYCRFSFSSSSSAAAAAAPRPPYDPEIRRLARLRRFSDIESLLEPLKKDRENASSEPFLASVVASYASAGMLDQALRTLDEIPRLGSPRTAISLNALLSALNHSPRRFGRRRLVPELFADLRRKLSIVPDAVSYGILAKNHCLAGEAKKAVPIIKEMEEKKIEVTAVIYTTILDSLYKEGKPQEAERLWKEMNTKGIQPDLPAYNVRVMYRASHGKPKEVLELIGEIKSAGLKPDIITYNYLMACYCSHGRFEDAKKVYRELEEKGCVPNSSTCKSLVLALCKKGDFGGGLDVFMDSMKHGKLPDLGSVKLLVEGLVKASKLRDAKRVVGGLKKKFPEDFVGEWKKLEKVVGLSADEEGSDNTMTA >Ma05_p14620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10691832:10698241:1 gene:Ma05_g14620 transcript:Ma05_t14620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIITSLDEDTKMILTLDEGRIVSSQGDMILEPYVGMEFGSEEAAKTFYGLYARHVGFRVRISRYTRSRRDNSIISRRIVCSREGFREIRANESLYGEQRNRQRVATRVGCKAMIMIKKIDIGKWIVTKFIKDHNHGPVPPRKVENRTVHKDDELVEKVCITEGDSVQEPFEGMEFESEEAAKLFYISYARSMGFRARISRYCRSRRDNSIISRQIVCSKEGFREDRAKKEITEEGKVKRPRMITRIGCKAMIIVKKMSGKWVVTKFEKEHNHVLPPSKKDPCHAGKFSNSQGAGRVSNETEIDRFSTGTKGNSQESLTVLYNQLCYEAIKYAQEGATTEHTYNVAMASLKEAAEKVAAVKRNAGITAQTGVASGRTRQAFLVSTESSIGSLVQVKSFHNLQSQDKARELKPQKQPVNFVLLPSGFLTDSNSSTCSTEAPFVFNVTADCLHGTQPIQTVNITNPNTVCKQSNNTTILFPERLFGSDSEGSDLPEEEKVELHKLDTAPEIAKSHQKSQKNGAGLSSTTQSSGKKISMGSSEVKLGYPALPVTVYMPVVGSIAETFAGTPGPGTSYALLATPIALSVSAGPVEFCRQSQDGSPQLASKSSTVALLPSRGNPLHPKPYAGPNPEVHATAVACGARVISPKAATSLIKAIEARIRSSVAKSKLACGFRLLDSELTSPEPCNEEGKTKQNPMNVMSQWLEGSSEESKDDGSAAEAMELEVEADHPIAGADEQKNQSLFEGRIGLLDPIGAAGDMTII >Ma05_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10691832:10698241:1 gene:Ma05_g14620 transcript:Ma05_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELINHHIEFEDVKAEVSLDHMDIITSLDEDTKMILTLDEGRIVSSQGDMILEPYVGMEFGSEEAAKTFYGLYARHVGFRVRISRYTRSRRDNSIISRRIVCSREGFREIRANESLYGEQRNRQRVATRVGCKAMIMIKKIDIGKWIVTKFIKDHNHGPVPPRKVENRTVHKDDELVEKVCITEGDSVQEPFEGMEFESEEAAKLFYISYARSMGFRARISRYCRSRRDNSIISRQIVCSKEGFREDRAKKEITEEGKVKRPRMITRIGCKAMIIVKKMSGKWVVTKFEKEHNHVLPPSKKDPCHAGKFSNSQGAGRVSNETEIDRFSTGTKGNSQESLTVLYNQLCYEAIKYAQEGATTEHTYNVAMASLKEAAEKVAAVKRNAGITAQTGVASGRTRQAFLVSTESSIGSLVQVKSFHNLQSQDKARELKPQKQPVNFVLLPSGFLTDSNSSTCSTEAPFVFNVTADCLHGTQPIQTVNITNPNTVCKQSNNTTILFPERLFGSDSEGSDLPEEEKVELHKLDTAPEIAKSHQKSQKNGAGLSSTTQSSGKKISMGSSEVKLGYPALPVTVYMPVVGSIAETFAGTPGPGTSYALLATPIALSVSAGPVEFCRQSQDGSPQLASKSSTVALLPSRGNPLHPKPYAGPNPEVHATAVACGARVISPKAATSLIKAIEARIRSSVAKSKLACGFRLLDSELTSPEPCNEEGKTKQNPMNVMSQWLEGSSEESKDDGSAAEAMELEVEADHPIAGADEQKNQSLFEGRIGLLDPIGAAGDMTII >Ma11_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2954679:2956968:-1 gene:Ma11_g03860 transcript:Ma11_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKSGLKKGPWTPEEDKILVDYIQSHGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEQKSIIQLHAIVGNKWSTIAAQLPGRTDNEIKNFWNTHLKKRLLRMGLLHPDPSDSSPAAASSRHMAQWESARLEAEARLSRESLFAHSASAAAAAAVTNPAVNLPPLKPEPDFFLRIWNSEIGDAFRKTAASATDESSPESSFTRQSSAAAVKTEPPPTAREETESKSCVTGGGPPVVAGMDDSSGSNEVVDDVSEESYQLYLDFGSGDDDLGLFGGQVGAFSSLFSSDLNVETSLDTAFK >Ma03_p16160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17893785:17898570:-1 gene:Ma03_g16160 transcript:Ma03_t16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKHSSNDDQDHAAPQEEKVKELRAAIGPLSGHSLMFSDDACLRRYLVARNWNIDKSKKMLQETLKWRATYKPEEIRWHEVAVEGETGKVYRADFQDREGRSVLVLRPGKQNTSSHDNQLRHLVYLLENAIINLPDGQEQMIWLIDFTGWSLSNSVPIKTARETANILQSHYPERLAAAFLYNPPRIFESFWKIVKYFLDPKTFQKVKFVYPKNEESMGVMHKNFDPEILPEEFGGKSKVQYDHDEFSKLMSKDDVKTASFWKPDEKTTLVAPEPAHFVAQAS >Ma03_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17893785:17898570:-1 gene:Ma03_g16160 transcript:Ma03_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSPTDPPPKAKEIALSLVHQHRLDLVLDYLLIMFRRKHSSNDDQDHAAPQEEKVKELRAAIGPLSGHSLMFSDDACLRRYLVARNWNIDKSKKMLQETLKWRATYKPEEIRWHEVAVEGETGKVYRADFQDREGRSVLVLRPGKQNTSSHDNQLRHLVYLLENAIINLPDGQEQMIWLIDFTGWSLSNSVPIKTARETANILQSHYPERLAAAFLYNPPRIFESFWKIVKYFLDPKTFQKVKFVYPKNEESMGVMHKNFDPEILPEEFGGKSKVQYDHDEFSKLMSKDDVKTASFWKPDEKTTLVAPEPAHFVAQAS >Ma03_p16160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17893785:17898330:-1 gene:Ma03_g16160 transcript:Ma03_t16160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKHSSNDDQDHAAPQEEKVKELRAAIGPLSGHSLMFSDDACLRRYLVARNWNIDKSKKMLQETLKWRATYKPEEIRWHEVAVEGETGKVYRADFQDREGRSVLVLRPGKQNTSSHDNQLRHLVYLLENAIINLPDGQEQMIWLIDFTGWSLSNSVPIKTARETANILQSHYPERLAAAFLYNPPRIFESFWKIVKYFLDPKTFQKVKFVYPKNEESMGVMHKNFDPEILPEEFGGKSKVQYDHDEFSKLMSKDDVKTASFWKPDEKTTLVAPEPAHFVAQAS >Ma06_p26380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28215578:28226287:-1 gene:Ma06_g26380 transcript:Ma06_t26380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSVGGLGVSLRLCFAFLCYLKPTCNEDTLIELATSLKALLLDHLVELKMFCEDKAMASQSPSPKVTMTGISSSSGIISINSDREPTQALDQQTGASHGHVAILWDIENCPVPSDVRPEDVAGNIRIALRVHPFIRGAVTVFSAYGDFNAFPRRLREGCQRTGVKLVDIPNTRKDAADKAILVDMFLFALDNQPPSSIMLISGDVDFAPALHILGQRGYTIILVIPSGVGVSSALRNAGQFVWDWPCVVRGEGFVPPKTFPHGYIMNFRNEDNPDMENGEETIVYQGLSISEDPTWGNFNQAYSCMPSQVSRERSGASQSSEYNINNLAAGSCFTSSRCESLPSVQVHQNSSLDQDWWVQPGDLHGLKGQIVRLLEMSGGSLPLIRVPSEYLKVFRRPLYVSEYGAYKLVNLFQKMADALFVVGKGHRKLLCLHNSAGRHIKRCLGSPAMVMTNEKGKRVPEESIDISTCPQLGSFSDESLEDEKNDDFFLGSTYDFEDQLTNIRQETQELLVCYSCPIPLGAFAALYEQRYKKVLDYQSFGVDCLEQLIEKMRDVVKLREDRDSKKKFLVSSFLSR >Ma06_p26380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28215578:28226445:-1 gene:Ma06_g26380 transcript:Ma06_t26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEDKAMASQSPSPKVTMTGISSSSGIISINSDREPTQALDQQTGASHGHVAILWDIENCPVPSDVRPEDVAGNIRIALRVHPFIRGAVTVFSAYGDFNAFPRRLREGCQRTGVKLVDIPNTRKDAADKAILVDMFLFALDNQPPSSIMLISGDVDFAPALHILGQRGYTIILVIPSGVGVSSALRNAGQFVWDWPCVVRGEGFVPPKTFPHGYIMNFRNEDNPDMENGEETIVYQGLSISEDPTWGNFNQAYSCMPSQVSRERSGASQSSEYNINNLAAGSCFTSSRCESLPSVQVHQNSSLDQDWWVQPGDLHGLKGQIVRLLEMSGGSLPLIRVPSEYLKVFRRPLYVSEYGAYKLVNLFQKMADALFVVGKGHRKLLCLHNSAGRHIKRCLGSPAMVMTNEKGKRVPEESIDISTCPQLGSFSDESLEDEKNDDFFLGSTYDFEDQLTNIRQETQELLVCYSCPIPLGAFAALYEQRYKKVLDYQSFGVDCLEQLIEKMRDVVKLREDRDSKKKFLVSSFLSR >Ma03_p28810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31888558:31894306:1 gene:Ma03_g28810 transcript:Ma03_t28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWALPAPTTVVPTLSPSIDRPRSWNVAFVLFLGSNSPSPFVSSVSTSSVRSCGFRATRVRFAAAEDGWCPSSTSGLPAVDEAREAVVEILREFGASQEDSVYIAANSPCYVEMLVGNVQELDELGLWGSWNSEIVEENMDMSSLSFRKKVYYMAKSKGDKGVLPYLESIGVKLSSALLIARYLSSEKLRQLIDKVNFVSGILFPSSINKALIGRNARRMMMYLSIPVDEDVQSTLSFFEKMEARHGGLSMLGHKDASFPYLVESFPKLLLCSVEDHFKPLVGFLELLGVPEAGIATILLSFPPIIFCDIEKEIKPKLCAFSKGFEEKDIAKMLMKYPWILSTSIQENYEKILAFFNEKKVPKSSVDLAIRSWPHILGCSATKMKSMVEQFNEFGVKKKMLVPVITSSPQLLLKKPKEFQEVVSFMEEIGFDSKTIGRILCRCPEIFASSVDNTLRKKVNFLADFGVSRDCLLRVVRKYPEMLLLDTDNTLLPRMIFLMRVGLSKREVCSMICRFSPILGYSIEMVLKPKLDFLLRTMKKPLKEIVEYPRYFSYSLDKKIKPRFWVIHSRKLECSLKDMLSKNDDEFAQEYMGIGRFLVVPSVPPKDGS >Ma03_p28810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31888557:31894306:1 gene:Ma03_g28810 transcript:Ma03_t28810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWALPAPTTVVPTLSPSIDRPRSWNVAFVLFLGSNSPSPFVSSVSTSSVRSCGFRATRVRFAAAEDGWCPSSTSGLPAVDEAREAVVEILREFGASQEDSVYIAANSPCYVEMLVGNVQELDELGLWGSWNSEIVEENMDMSSLSFRKKVYYMAKSKGDKGVLPYLESIGVKLSSALLIARYLSSEKLRQLIDKVNFVSGILFPSSINKALIGRNARRMMMYLSIPVDEDVQSTLSFFEKMEARHGGLSMLGHKDASFPYLVESFPKLLLCSVEDHFKPLVGFLELLGVPEAGIATILLSFPPIIFCDIEKEIKPKLCAFSKGFEEKDIAKMLMKYPWILSTSIQENYEKILAFFNEKKVPKSSVDLAIRSWPHILGCSATKMKSMVEQFNEFGVKKKMLVPVITSSPQLLLKKPKEFQEDDLSYEGWAV >Ma09_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34171839:34176633:-1 gene:Ma09_g22200 transcript:Ma09_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMALLLPPCTQLPCCPRHRIRAPNYRGDNARIVASPSTSALDALSKPFRKHRLLCSALLQDDAPQGQEQQEPPPQLPSGVVSSPVEETLSENINEDPLQSIKQDNEQSSLYNFLYPSKELLPDDKEMSIFDHLEELRQRIFVSVLAVGAAILGCFAFSKDLIILLEAPVSSQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFILPGLTKDERKFLGPIVLGSSVLFYAGIVFSYAILTPAALNFFVNYAEGAVESLWSIDQYFEFVLILMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQILLAGPLLGLYLGGAWMVKLIGR >Ma10_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17155656:17161222:1 gene:Ma10_g05750 transcript:Ma10_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGFRDAAAPRRVVTKEADEELALFLEMRKLEKQRNNLLLHNAGELDPPFGSKPGTAPIFKVTSSAPSRKTGIDDFLNSDSDKNDYDWLLTPPGTPLFPSLETESKRTPIGSTVTPKARPTVLKSRLANASDPSRSTLVPRQPASSSGLNSGVGTRRPSSSGGPTHSSSRPATPTGRSTIPAVSKPTRPSTPPSRTTVPAKSSAPLPRSSTPVRSSTPTSRPSVLAASKPSSRSATPTRRPSAPSTVPSSSAPSSRSSSVTRSGPTISKSSAPSHGTSPITKPRPLKASDIPGFSLDAPSNLRTSLPERPSSATRGRPGAPSSRSSSIEPGPSIRPRRQSCSPSRGRAPNGNVKGSSVPPPSRQHSNGGDKLNPVVIGNKMVERIVNMRRLAPPKQDDHRSTHNNLSGKSSLSPDSTGFGRTLSKKSLDMALRHMDIRRSVPNSLRPLMANIPASSAFGVRSGPTRSRAISVSDSPLATSSTASSEQSVNNNIICLEIEDDLTSEKGGRCPAAVSNVR >Ma09_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23695751:23701392:-1 gene:Ma09_g19430 transcript:Ma09_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKAEAFQLEGQTWRCLTELASDVVIEVGEMSFNLHKFPLLNRSGLLGKMMSEFRSEEGKDCVLQLHDIPGGAKAFELVAKFCYDIKIELNALNVVSLRCAAEHLLMTEDYVGGNLIMQTENFLVNQVFGSWKDSVKALEACESVLPHAEELHIVSRCINSLASKACADPSLFSWPMVGHSTTSKSPEGSSSILWNGIVAVGETPRSGIADWWYEDVSFLSLPLFKRLILAVEANGMKPENVAGALMFYAKRFLPGLSRNLSFLDGTTRIPPGTSVSAPSESDQRVFLEEIVDLLPMKKGVTSTKFLLGMLRTAMILRAGPSCKENLERRIGTQLDEAALEDILIPNLGYSVETLYDIDCVQRIVDHFMMIDQSSVATSPAIVDEGQLAASSPLLTPLTMVAKLVDGYLAEVAGDANLKLPKFQSLAAVIPDYARPLDDGIYRAIDIYLKSHPWLTESEKEQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSDNMENSQAPHGNLMLQKNSSRAIREDSNQEQEDDHHDMRLRVMELENECLSMKQEIEKLGKPKSSWNIFSRKCSIGSKSRSVTNSK >Ma04_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25074528:25079817:-1 gene:Ma04_g22870 transcript:Ma04_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQERGLKSEDNFQVRLRPKVVSSCISSSKKVQECDRKGLPRYYDLNRLDSDLRVLGRSYSSSPGYKIMPLKMSDKVPKRGSMYRSSKEVSRMRKLRDMRKVESCCSDDAFFSFDIIDSFHHHGLKEPVLFSHEEHSPLGSMDATLDPLSVDPTDSNTTRSMGFLDLSSHDLPDKNQSLNKSCSSSASRKNSSIDDLFEISLQSIETESHSTYAANEFLRARSHKDQKFHHDQRTDPRISEEIISQLDSVNILPKSFSSKVGMSNIAKLEIALLNASPKIQLTPPSNTSDPIRKTKSLQNSSHLEKENFGSSASEAAKIRRSEVLCESLLNDLSIMAQQMEMDENLQRQQAFITASSPAHLNGILKLETVNGNPIFEFSVKAPEDVLIAKTWKTDNAFNWIYTFHSSNKKINNNSRTKDQDGQAPPMVGQMQVSCFLCSEVREKGSLHNSIAMEFVLYDIAQARRSIMIDERSQCSLDSTHPLTSSVSTTSVKEKHIGIDNSKEHQNPTRNTCSSFRSDASTSCPWSPADLHPQLEIATTIVQIPFDRRKGSKGLKEVTSKENQNLSSYPAVDQEMEICCCLNPATVKVITPSGTHGLPNTDEGGPSTLQDRWRSGGGCDCGGWDMGCPIVVFNNSHADDWVDSQTFESRKSMFLFLQGSKEKVPALSIMADGKGQYLVDFHARLSTLQAFSICIAVLHGFDVASAVIQEKNRQKSHSNSLKLLLEEEVWRLIEAAASEERKVKPTSFLLDAPFSPMGRV >Ma05_p25710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37561260:37562537:-1 gene:Ma05_g25710 transcript:Ma05_t25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 1 [Source:Projected from Arabidopsis thaliana (AT2G02990) UniProtKB/Swiss-Prot;Acc:P42813] MTRRNPPAVSLLLPLLAVATTSLAQDFDFFYFVLQWPGSYCDTAKSCCYPTSGKPAADFGIHGLWPNYNDGSYPSNCDPNNPYDASKVRDLLSTMRQKWPTLACPSSDGTQFWTHEWEKHGTCSESVFDQHGYFQAALKLKKQVDVLRILQDAGIQPDGGDYSLKSVVSAISDAIGYTPWIECNNDEGGNSQLYQVYLCVDTSAAKLIECPVLPRKRCGSEIEFPSF >Ma06_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7467675:7469495:-1 gene:Ma06_g10720 transcript:Ma06_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIVRDIMGIAGNAVSLGLFLSRVPTFKNIIKKKAVEQYLPIPELTILFKCMLWVLYGLPIVHPNSCLVLTSNAIGLFLQAVYLTIFLIYAAREIRLKVLKVLAAELVVMTMLVVVVLQVAHTHEKRSLIVGIPCVIFGSCMYAAPLAFLITSYFGAVLSLVPPQLWTWCLMVFCTYDMTTLSEDHRSSPRLLP >Ma03_p16200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18221881:18226987:-1 gene:Ma03_g16200 transcript:Ma03_t16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEDSQLFSDSDITTTSSLCYPPAVAAAAEPLPSAEVAALTRLSEHLGSLRRSPGLVFCADACIIVRSSVGDPPLEVPVHRCVLSARSPFFLEKFAAGSVALELGELVAGFEVGREALEAVLEYIYTGRPEELPRGAAECAEESCHRHEACWPAVHFMLQVLHAAFRFEIYELVSLFQRRLLDILEKVATDDILLILIVANLCNKHCQRLLLKCIEMVVKSDIDYMTLEKKLPGNVVKQIVKFRSSLGSDGQSLGFPDKHVKSIYVALDMDDIELIKMLLYEKHTTLDDAKALHYAVAYCDPKTTKQLLDLELADVNGKDHRGYTVLHVAAMRKEPEIIMSLLTRGAQPSYITSDGRTALKISKMHTRSIDYCRPVKRGETSPKERLCIEMLERAEAKDSPTEATSVPVEMISDNLREKLLYLESRVWLAERLFPAEAKTAMNNANVDGTLKFKSSSLLQPCAGYKRSVDDVAKASLEMTAEQLSRMEALSKTVQLGMRFFPRCSNIINKILCDDLSELSIVERVDTEERKNRYNEILEEMKKAFTEDKKKFDGSASASSSKSARAVRARVAKS >Ma03_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18221907:18226990:-1 gene:Ma03_g16200 transcript:Ma03_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEDSQLFSDSDITTTSSLCYPPAVAAAAEPLPSAEVAALTRLSEHLGSLRRSPGLVFCADACIIVRSSVGDPPLEVPVHRCVLSARSPFFLEKFAAGSVALELGELVAGFEVGREALEAVLEYIYTGRPEELPRGAAECAEESCHRHEACWPAVHFMLQVLHAAFRFEIYELVSLFQRRLLDILEKVATDDILLILIVANLCNKHCQRLLLKCIEMVVKSDIDYMTLEKKLPGNVVKQIVKFRSSLGSDGQSLGFPDKHVKSIYVALDMDDIELIKMLLYEKHTTLDDAKALHYAVAYCDPKTTKQLLDLELADVNGKDHRGYTVLHVAAMRKEPEIIMSLLTRGAQPSYITSDGRTALKISKMHTRSIDYCRPVKRGETSPKERLCIEMLERAEAKDSPTEATSVPVEMISDNLREKLLYLESRVWLAERLFPAEAKTAMNNANVDGTLKFKSSSLLQPCAGYKRSVDDVAKASLEMTAEQLSRMEALSKTARNAFLPTMLQHNQQDPV >Ma02_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23910270:23912882:-1 gene:Ma02_g16630 transcript:Ma02_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSFRSTLSTLNFGNVMAAAARDYQKEVLAKEKSQATASNNEEVDLDELMDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGEFLGEVTGSERVICHFYHREFYRCKIMDKHLKALAPVHLNTKFVKLDAENAPFFVTKLAVKTLPCVVLFRNGIAFDRLVGFQDLGGKDDFTTRALENLLKRKGIIDEKKQDDGEDADDGEDHHKSRSIRSSKMQDSDSD >Ma06_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4085280:4114247:-1 gene:Ma06_g05490 transcript:Ma06_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKVGMAPEDEAEATAKSSRWDSIQRCGIPRKIKIDEEKLRRDILIPEYLCKAMEDAIDKRDATVVGPSSGAEPSGGSEVPKAPLVVFVDFCSCEHRGQQMMRQLQQFISKGQVFDLLATKPSHFVQHGLTCLENLASQGDKCAEAIRQNLKIMVAGGDGTVSLVLKSLVELSVHNKKPIPPTGIIPLGTGNDLSRSFGWGGSIPFAWRSAFKQFLHKAVSNGVKHLDSWRVILMMHETKERNQSSLLNTLQNYDLTQDEEIQVQLPESKSFSEGVFYNYFSIGMDAQIAYGFHHLRQDKPYIAQGPTLNKLIYTGYSCKQGWFCTPCLKTPGLRGLDSILSLYIKRANKKTWKEIFVPPSVRAIVLLNLDNYAGGGHPWGYPTPEYLEKKSFYEAHPDDGLLEIFGLKHAWHASCVMAELSPAVHIAQAAAVKLRLEDGDSKEAYMQMDGEPWKQPISKEYPTFVIIESTPFQSRIISGK >Ma06_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21855122:21858222:-1 gene:Ma06_g23700 transcript:Ma06_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSMLACCKLYISESRNPTSLALIEQAAKAYPEAVVINKFKDEIYNRVGYTLVSPLTMDSLSDVTPLSNAVFEMVKAAFESIDLETHSGTHPRLGVVDHICFHPMAKASLDQAAGIAKSVAADISHKLQVPTYLYGAANEGGRTLDSIRRELGYFKPNSDGNQWTGGLRAEISGLKPDAGPLQSSLAKGVVVIGATRWVDNYNVPVWSTNIEAVRKIARKVSERGGGLESVQAMGLAHGAYCTEVACNLLNPSITGADQVQHQVQKLASEEGFKVGEGYFTDFSQEKIIEMYFESISCDST >mito3_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:884603:887095:1 gene:mito3_g00150 transcript:mito3_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACQIEGKFPFFTTLGGGGLKGGLHTTGAKWFMIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMLVWWRDVLRESTLEGHHTKAVQLGPRYGSILFIVSEVMFLFAFFWASSHSSLAPTVEIGGIWPPKGIGVLDPWEIPFLNTPILPSSGAAVTWAHHAILAKKEKRAVLALVATVSLALVSTGFQGMEYYQAPSNISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >Ma10_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28811492:28816337:-1 gene:Ma10_g17390 transcript:Ma10_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKMAAPLLLLLIASSLLQIWASDPLFYESFDEPFEGRWIVSEKEDYTGLWKHSKSDGHEDYGLLVSEKARKYAIVKELDEPIILKDGTVVLQFEVRLQNGLECGGAYLKYLRPQEAGWTSKGFDNDSPYSIMFGPDKCGSTNKVHFILQHKNPKTGKFVEHHVKYPPSVPSDKLSHVYTAILKPDNELRILIDGEEKKKANFFSSDDFEPALIPPKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCEAAPGCGEWKMPMKRNPAYKGKWHAPLIDNPNYKGIWKPQQIDNPDYFELDKPDFEPITAIGIEIWTMQDGILFDNILIASDEKVAESYRTETWKPKYEVEKEKQKAEDASVSSDGLSGFQKKVFDVLYKFADIPFLESHKIKIIDVIEKAEKQPNLTIGILASILVVILTVIFRILFGGKKPQAPVAPTTETNNSGAAETEATGGSEEKEENEKDDASAPRPRRSRRET >Ma11_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7299218:7306803:-1 gene:Ma11_g09150 transcript:Ma11_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVNRIAAWNSDQLPIYEPGLDDAVKQCRGKNLFFSTDIEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAMQDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSINAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYISECNGLSVVANYWKQVIQINDYQKNRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLRDKATISIYDPQVTDDQIQRDLATNKFDWDHPVHLQPMSPTAVKQVSIAWDAYEAAKGAHGVCILTEWDEFKELDYRRIYDDMQKPAFVFDGRNVVDPEKLRAIGFIVYAIGKPLDSWLKDLPAVA >Ma06_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13075718:13076305:-1 gene:Ma06_g19090 transcript:Ma06_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAKHPTQAILEVGVKSLVLVDSNVDTSVLIILKARKQKGCDIGRACISPDYIITTTLFAPKLLSGSTLEKLYRKNASESADLSRTVNSNHFSSSMSLLDGEKVSGTIVYAGHRNEDCRYASHAIPFSMRWFKLYVCNIIPM >Ma06_p29710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31120500:31121234:-1 gene:Ma06_g29710 transcript:Ma06_t29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIKPSSFTRLKCDKKAIATMETTPVHGHADWVLRAYQTQGTPASAPVVIKLGYTVMHIVEGRVVEEPPRAYHTFVFTLGDFLHQTSRRRAISTVLLRAGVYGYDICFAGRMERQLVAFCNDLVETVFNSGNGIEMIVDVHLAHFPSDEETSSDVEGVGEDGDFGGIPASTDAVKELAVVKYERGGDVREESCIICFEEFDEGVEVTRMPCKHAFHGGCLTRWLESSHVCPLCRHAIPASADP >Ma02_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8195294:8252954:1 gene:Ma02_g01170 transcript:Ma02_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEENVLELLQRYRRDRHILLNYILSGSLIKKVVLPPGAISLDDVDIDQVSVDYVLNCTKKGETLDLSEAIRLYHDSLDYPATSNIGPEKEFFLVTNPESSGSPPSRAPPSIPVATSSCIVSNVSQPESFEPPHDQELTVDDIDDFEDDEEEEEVDSLRNSRPQPSDVGDISPRLPLFATGITDDDLRETAYEILVASAGASGGLIVPSKEKKKEKKSKLMRKLRHSKNESIVSQSPRAAGLVGLLEILRAQLEISESMDIRTRQGLLNALVGRVGKRMDHLLIPLELLCCVSKTEFSDKKAFLRWQKRQLNMLEEGLINHPVVGFGESGRKANEFRNLLKKIEESESLPPSAAELQRTECLRSVREIAMSLAERPARGDLTGEVCHWADGYPLNVRLYEKMLSSVFDILDEGKLTQEVEEILEFLKSTWRTLSITETIHDTCYAWVLFRQFIITGEQKLLQFLIHHLRRIPLKEQRGPQERLHLKSLCCSVESEDAWQNFTFFKSFLFPIQKWADKKLADYHLHFSEGPSMMSEIVTVGMLTRRILLEENEQVTDSMDQDQIEIYISNSIKNAFARITHATDVKSDTGPEHVLASLAEETKRLLKKDSNMFAPILSQWHPKAAVFSASLLHKFYGNKLRPFLDHAEHLTEDVVSVFPVADSLEQYVMSVIASALGEDGLDDYCRKRLALYQVEKISGTLVLRWVNSQLDRIIGWVKRTVEQEGWEPISPQQRHGSSIVEVYRIIEETVDQFFALKVPMSVGELNSLCRGLDNAFQIYTQGVTEKLVNKEDLIPPEPVLTRYRKETGIKAFVKKEVTEIRLIDEKKSYQINSLTTIKLCVRLNTLHYAITQLNKLEDSIQERWTRKKPENFIIRRSMNEKSISFKVNQKNAFDGSRKDINAAIDRICEFSGTKIIFWDLREPFIENLYKHNVPQARLEVLIDAFDVVLNQLCDVIVEPLRDRIVTGLLQASLDGLLRVILDGGPSRIFLSSDAKFIEDDLEILKEFFISGGDGLPRGTVENLVARVRPVITLLSYETRVLIDDLKDVSQGGRSKFGADSKTLLRILCHRSDSEASQFLKKQFKIPKSSS >Ma06_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4836998:4839876:1 gene:Ma06_g06720 transcript:Ma06_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Ma05_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:305982:312565:1 gene:Ma05_g00510 transcript:Ma05_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTYSRVDVDEVVWQCKERRRLMKQLLSCRAELAAAHMAYLQSLRNTGATLRQFTEVETMIPGGTPPIGLALPPSPPPPPTLPPSPPPPPEFSLRAAKEVTREKLSDEDSSDMDDDESCPMPPPPLPSSVWDCWDPSGPPASSGSSSPVPDGGDVTHAAAEEEDWAETKTEFAEGEEEEEVVVEDEDDKAVQRKEAFAVALNRAREKCPAKELADDSLSAASWFTKDTDIGMVVWRSKKTLEGIIKELDDYFLKAAAGGKDLAVLLESNRTYCHPWDLQAREGRSSTSAKVVNVLSWNWSFKSTHSNRDAQDENSASRPSNHCTTLEKLFAEEQKLYKLVKDEESANSQHKKIILLLHKLEAGDYDWTKTEKARSDIEDLQCQMVSLKEAISGTCLSILKLRDEELFPQLIEFSVGLEKMWRTMYECHQVQNHVSQQANLLDSHLGNDPTTDSHRHAISQLEAEVTSWYSSFCDLFRCQREYVRILNQWVRLTDRLPENNAFMGSTSSIRGFCEELQGVLDGLPDKVAAEAIKNFLLVIRSIVLQHTEEHSLQKKSDRLQRRLEKELNSLRSIENLVEVPHVNHPPSSTKHAKLEAFKKRVEEERIKYLNSVHTSRAMIVNNLQTSLPNVFQALMGFSSVCVQAFESITGSVEDVTSFSDGVSPVHQ >Ma10_p23610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32441376:32444738:-1 gene:Ma10_g23610 transcript:Ma10_t23610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHDLNKVWEIKALKKPGEEEARRLLDRIAKQVQPIMRRRNWKVKLLSEFCPANPALLGLNVGGGAQVKLRLRRPNRDWDFFPFEQVLDTMLHELCHIEHGPHNAAFYKLWDELRKECEELVAKGITGTGQGFDAPGRRLGGFSHQPPVSSLRQAAVAAAAKRARVGALLPSGPKRLGGNTEIMDALSPIQAAAMAAERRMYDDIWCGSVSDEPTGAVESICGISKYPSSTEQGDSSRTSDDGVRGEDFVLRGPSNIDKVGSSSHSKCKNHESTTDCLSGGAPVAGGSTFHYPKDGGEDQAIWECSLCTLFNQPLALICKACGAQKPKAIGSKSKTWSCKFCTLENSTKQDKCTACCQWRYSYGPPVSTHGPNYGT >Ma10_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32441827:32444738:-1 gene:Ma10_g23610 transcript:Ma10_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHDLNKVWEIKALKKPGEEEARRLLDRIAKQVQPIMRRRNWKVKLLSEFCPANPALLGLNVGGGAQVKLRLRRPNRDWDFFPFEQVLDTMLHELCHIEHGPHNAAFYKLWDELRKECEELVAKGITGTGQGFDAPGRRLGGFSHQPPVSSLRQAAVAAAAKRARVGALLPSGPKRLGGNTEIMDALSPIQAAAMAAERRMYDDIWCGSVSDEPTGAVESICGISKYPSSTEQGDSSRTSDDGVRGEDFVLRGPSNIDKVGSSSHSKCKNHESTTDCLSGGAPVAGGSTFHYPKDGGEDQAIWECSLCTLFNQPLALICKACGAQKPKAIGSKSKTWSCKFCTLENSTKQDKCTACCQWRYSYGPPVSTHGPNYGT >Ma09_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15327490:15352141:-1 gene:Ma09_g18040 transcript:Ma09_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETKRNELESLIEAIKGSNVLECRISLISQLGNVNDFNQSGSSFQALLLEYLAEFWEEATCLGMPQCMLNKTILSVASKYLESDMHNCLSKFLVLGTKAIKWCERHLEITINSIGESHDENCSSIFYQIILDSLSLSSSVIFALTRSPVFEEKEVVNIIEDFILDLLNLTKTSVVETKKIPSIASEVVKLTQVIVDAAVKLCRTYCQAVKWDFYEMNADRNKEMAEVGVDLASHVVNITACTTKYLYELGMFAAAGGGSLVTLLNVSWKGVVSLLQLGKGFLTKKVNVADIILNLVSLAIESLRSASEAWCMTLQETLTGAEAKRFFLPIKFYLINAVRISSEYPYEAIDVYRELTRCALLISSLGILFSKETKLRAASEALAEFLEPTSSLLLHTLLNTANINLESRLLILDWLLSYENDSKSTVVVENADSSSGSTSLGSIFLVNCDDVPMARAVLLGRLMLFLNLLKTSPVLREEIVIGISGKLDSLLHMMMHEEVYSLALGLEIPVNCVVGPNSGVAWQLMFYFILHSLKTFMIVAASSGPAWMEVEFFLLRNICHPHFLCLEIITEMYCFLLRHGETNMTNYILDKLCSVLKFVASSEPDLRPLDTLRKTSRLVCVSLSYVCPAAVDRFYNLVESEDEPNLSQIMYLALLVEGFPLESLPDESKMLAIRKLVTSFYHFTENKAKEQELVLLKSTEFGYSSFVGLPVHALSSALQCRQVKDSDIAGDKNMAHVVNFAVVVIHGYKSAVDRKKDIFATLLSATLVIISNMRNFYGSAEMEKLIVELHTLFMQCPTDADGMLYQCKPSLAYFMASLSHMEIAEGEGQTLCTAVWDLYHMLLRERHWAIVHLVIVAFGYFAARTSCTQLWRFVPHDATLSFDTNTGTEANEDRFMSELKCVLEKEVALCDVTPSKEQLYLLQEGIVLRKLVKGVCNNSKVSGSKQLVNDDKNSVKNRKRKLLDRICEGMELLQSGVKVMNDALAQSDATDLKDTLSSHILCLEDVISHLVGSTNQE >Ma07_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2639006:2650734:-1 gene:Ma07_g03420 transcript:Ma07_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEKPGWVRHEGMQIFSIDIQAGGLRFATGGGDHKVRIWNMKSVGRDSENDASTSRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDVENWKVTMTLRGHTADVVDLNWSPDDLTLASGSLDNTIHIWNITNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTNDWSLAHRTEGHWSKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFWKQFSNSQEANAAPVGWANGASRTTAKECQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYALFACSLDGTVATFHFEVKELGHRLTDAELDEIKRSRYGDVRGRQANVAESPAQLLLEAVCAKQSANRKGTSNVEQNQISGKASIEPVNAINSQSIHKATEPQVRDSKKNGEGSVDDLNKISSVRLSSPPKQREYRRPDGRKRIIPEAVGVPAHKENLSAAQAQLVEFSSLALDQAKGDRNAVADGVKETSLKRPFSGSYDAYSYPDKCNNCGSKERSGITARANINESLIIEKAPTVSNIDARTNVEHMGSIGMPSSLTSCNTLSIRVFNKKDCEDSLPICLEAKPIEQSVHDVIGVGNAFFTKETEIRCTKGSETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTRCGRRAMPAMMMGSAAVFVDCNESWNLLLVTRRGLLYVWDLFKRTCILHESLASLVTSREDSSTKDAGTIRVISARFSRAGSPLVVLATRHAFLFDMSLMCWLRIADDCFPASNFASSFNLSSIQSGELGKLQVDVGKFMARKPSWTRVTDDGTQTRAHLETQLASSLALKSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMAEVTVVDSENPEWDPNVLGMKKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYETSETNADHMDVVLPTNDANS >Ma08_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5784874:5787022:1 gene:Ma08_g08300 transcript:Ma08_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSFPKLQRFFHRLHSTTSNPNPPSSSLSQWLLLSSSISISISTSRHLRQFLARGIAAGLLRNNHLHLWNSLLHSLARGPNPDLSISLFDLLRRAGVDVDNYAFTAVLKAVAAFPRPKEGENIHSLSLKLGFESENFVLNSLIHMYSVCGFHVAARRVFDLAEDSARDVVSWSSMISGYLQVGLCQEALLVFGNMVRRSITMDAITPVSALIACGRIGAIEPGRRIHALVVIYGFDLNCFLGSSLINMYAGCGYIEDARKLFDRIPERNVVCWTSMISGYTQSGQFRESIELFREMQMAGVRAEDPTVASVVSSCAQLGAHAQGRNIHKYCDVNNIGKLLSVKNALIDMYSKCGDVQRAFEVFQGLAQKDVISWTVMISGLALNGYPKEALDLFSEMELSDEAMPNEITFLGVLTACSHGGFVDKGYHYFNKMVHHYGLMPQIEHYGCMVDLLGRANLLEEAKKFIKEMPIKPDVVIWRSLLFACRGKENVKLAEYAAERILELEPRRCAGHVLLSNIYAVSSRWSDVNKVRGVMRNWSIHKIPGCSFIELNGIVHEFLATDRSHHQSDMIYEFLWVIHGHLFSESYDESCLSTWEGP >Ma08_p08300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5784874:5787022:1 gene:Ma08_g08300 transcript:Ma08_t08300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSFPKLQRFFHRLHSTTSNPNPPSSSLSQWLLLSSSISISISTSRHLRQFLARGIAAGLLRNNHLHLWNSLLHSLARGPNPDLSISLFDLLRRAGVDVDNYAFTAVLKAVAAFPRPKEGENIHSLSLKLGFESENFVLNSLIHMYSVCGFHVAARRVFDLAEDSARDVVSWSSMISGYLQVGLCQEALLVFGNMVRRSITMDAITPVSALIACGRIGAIEPGRRIHALVVIYGFDLNCFLGSSLINMYAGCGYIEDARKLFDRIPERNVVCWTSMISGYTQSGQFRESIELFREMQMAGVRAEDPTVASVVSSCAQLGAHAQGRNIHKYCDVNNIGKLLSVKNALIDMYSKCGDVQRAFEVFQGLAQKDVISWTVMISGLALNGYPKEALDLFSEMELSDEAMPNEITFLGVLTACSHGGFVDKGYHYFNKMVHHYGLMPQIEHYGCMVDLLGRANLLEEAKKFIKEMPIKPDVVIWRSLLFACRGKENVKLAEYAAERILELEPRRCAGHVLLSNIYAVSSRWSDVNKVRGVMRNWSIHKIPGCSFIELNGIVHEFLATDRSHHQSDMIYEFLWVIHGHLFSESYDESCLSTWEGP >Ma10_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29549996:29554980:-1 gene:Ma10_g18740 transcript:Ma10_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMSGAVLVALLAASTFSLPSAAAHATTNVFALDALVNRTSTLLSAVKLPPSLRSSIFEFVESLSEFSPEHLEAVLVEVRKRAIAPALRVAILLCLVMSVMLMLEAMYMSAVSLGVKLLHRTPEKRYRWEPIRGDEELGSLAYPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPMIKNLVEQECRTWASKGINIAYEVRENRKGYKAGALKKGMEQTYAQQCDYVAIFDADFQPESDFLMRTIPFLMYNSRIALVQARWDFVNYSKCLMTRIQKISLDYHFKVEQESGSSSYAFFGFNGTAGVWRISAINEVGGWKDRTTVEDMDLAVRASLKGWKFLYVGDVKVRSELPSTFKAYRHQQHRWTCGAANLFRKMARDIVLAKEVSLLKKIHVIYSFFFVRRVVAPIVTFAFYCIVIPVSVVVPEVSIPIWGVVYIPTTITVLNAIRNPSSLHIMPFWILFENVMSMHRMKAALIGLLETASVNEWVVTQKLGDTLKEKMETNVAESTRTSFIRDRVSFLQLGFAVFLMCCASYNVTFGVNYYYVYIYLQAFAFVVMGFGYVGTFTTNS >Ma06_p36820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36073218:36080569:-1 gene:Ma06_g36820 transcript:Ma06_t36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNKSKKKGAASLTPFKKIKKKEIKKEELPKVSDATTAVVDAAATSAANSSREADGGKHKEPAVQEKSSGFIFMCSSKTKPECFRYHVFGLPKGRKEAVEKIKLGAKLFLYDYDLKLLYGVYKATCQGGMDLDRGAFRGAYPAQVKFKVFMDCLPLPETTFRHAIQENYYTRIKFSPELNSKQVRKLLSLFRPAGQMPQQAPPQQAPSVTYVEEQYHPSPHLPPEEQYRSDHMSHVTPLELRYIRQAHVSDSYAIEPRKVPPPGVSASVPYYQASVVDPYQAETMRAYYPENPVRTERLTYRLVPEIIPRDPLLSRDYHTVTAREGEIISHAERMEGIYNSQPSYVPGGYEDPNRAYSGISQRPISSRHAMSNAPVSSRYSFAGAPRAYR >Ma10_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29762099:29764306:1 gene:Ma10_g19040 transcript:Ma10_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQNVIVPEEFAITRVMAAPSRPGLAVSCKKMFSQLDSGRIGAWVDSMRASSPTRVKAAPALAPSITASSDQEYDGWLGQHPSALSNFDDVVAASKGKRVVLFLDYDGTLSPIVDDPDRAFMSDSMREAVRDAARHFPTAIVSGRCRDKVFSFVQLRELYYAGSHGMDIRGPVKATKHTKAKAKAVSFQPATEFLPMIDEVYKALVERTKSIQGSKVENNTFSLSVHFRCVEEKKWSSLAEQVRSVLKDYPKLRLTLGRKVLEIRPSIKWDKGKALEFLLESLGFAHCSNVFPIYIGDDCTDEDAFEVLRDREQGLGILVSRRAKETNASYSLREPAEVKEFLRRLVGWKKQTP >Ma07_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2332979:2334614:1 gene:Ma07_g02980 transcript:Ma07_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEYDVIVLGTGLKECILSGLPSVDGLKFLLLAKMFRVLHMDRNDYYRGESTSLNLIQARNINREQSIVHVPPALLGSSREYNVDMIPKVCSSSSHSTLDM >Ma04_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5218667:5220589:-1 gene:Ma04_g07170 transcript:Ma04_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSEARTDSPRTHRRFSVPSKPLLLDSSPDNLNTNSILFAPNLFYEPTSVLDPRLTSRFVTAPSAAPAGVCEPPRLFRGGGNSHQHFPSSDQWYTASWLLPETPDFSPLPDDVNPSFLNSHFDIPFDSFAAGDLVSSPSPGFDRSQLESLIRAAYYLESNDFDAARVILSRLNQHIPSTVVSPLQRAVSLFKEALLGLLCPSTAEPPIPALELVRHIDDHKVFSDLSPVPHFATFTATQILIETLDGGARSIHLVDFDFGLGVQWSSFAQELAERSGASLSSPPAVRITAFIAEESAETAFAAENLRDFARNLKISLVVNFVRVGGLGKLALNDVVLSGAGEPTAVVLTPSAFRLFGSGDGAQVSTATLLRFVRRASPRVVVFVDTDGGATSGVAVAHPTLSLQRRVSEGVGHYAALLESVAEAAAATGAGEGAVQQVARAVVRPWVAATVGEWPVRLGPWREILAGAGWVPLHLSEIAESQAKWLVQRAPVDGHHVARRNRALVLSWRGRELACTSAWRC >Ma05_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21761377:21762015:1 gene:Ma05_g17850 transcript:Ma05_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHNTMLLLGLLLAGAIAVAAQNCGCSADLCCSKYGYCGTGDDYCGDGCQSGPCYSSSTPSNDVSVADIVTQSFFDGIIGQADGGCAGKSFYTRDNFLTAAGSYPTFGHTGTADDSKREIAAFFAHATHETGHFCYIEEIDGASKDYCDENNTEWPCVAGKGYYGRGPLQLSWNYNYG >Ma08_p32810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43392895:43394091:1 gene:Ma08_g32810 transcript:Ma08_t32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGHGESFFRLLSVGGGGGEGWHSYRHSSSTEGGSRRWGRKSPRDREEATGWWGESGGGGMVSKKRVMVVIDSSARAKHAMMWALTHVANKGDLLTLLYVVPPDHHHLRGGGEDDATNLANSLATLCKACKPEVEVEALIIQGAKLATVLSQVKKLEASVLVLSQCKPSPFCCMLRSSSEEFVEQCISKADCLTLAVRKQSRGVGGYLISTRWQKNFWLLA >Ma09_p31470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41181401:41185339:-1 gene:Ma09_g31470 transcript:Ma09_t31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTGTAISISARPSRRSLQVFNGLSVVKSVSFAGQRKSIPSIRSHPATSRFRVSCAAKPETLEKVCNIVKKQLALPENATVAGHSKFADLGADSLDTVEVVMGLEEAFGITVEEDSAQSIVTVQDAADLIEDLVCAKSA >Ma11_p22020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25948433:25950406:1 gene:Ma11_g22020 transcript:Ma11_t22020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDQKKAVLHEKLQLLRSVTNSSAISCTCVDASKYIQELKQKVEACKPDLAEESSLPMVTVETLEKGFWINVFSDKNCPGMLVSVLQAFQELGLDVLDATISSADSFRLEAVGGEQPQNESVDAQMVRQVVVQAIKKCMGS >Ma11_p22020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25948473:25950406:1 gene:Ma11_g22020 transcript:Ma11_t22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKYIQELKQKVEACKPDLAEESSLPMVTVETLEKGFWINVFSDKNCPGMLVSVLQAFQELGLDVLDATISSADSFRLEAVGGEQPQNESVDAQMVRQVVVQAIKKCMGS >Ma11_p22020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25948433:25950406:1 gene:Ma11_g22020 transcript:Ma11_t22020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDQKKAVLHEKLQLLRSVTNSSALSKASIIVDASKYIQELKQKVEACKPDLAEESSLPMVTVETLEKGFWINVFSDKNCPGMLVSVLQAFQELGLDVLDATISSADSFRLEAVGGEPQNESVDAQMVRQVVVQAIKKCMGS >Ma11_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25948433:25950406:1 gene:Ma11_g22020 transcript:Ma11_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDQKKAVLHEKLQLLRSVTNSSALSKASIIVDASKYIQELKQKVEACKPDLAEESSLPMVTVETLEKGFWINVFSDKNCPGMLVSVLQAFQELGLDVLDATISSADSFRLEAVGGEQPQNESVDAQMVRQVVVQAIKKCMGS >Ma06_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8545146:8552187:-1 gene:Ma06_g12300 transcript:Ma06_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MGEEDNLSERRKKKALKNKNHRKAHLNLSQKSSASQSPLKRKKDKKQRRGHGTASKDGTAQGSSNILKKNVKAGESSNHHQTSRQQTSSLRKMVDPETVKYFAEVANLFQKNEIDLEELPTICSNALEETRGKEVELATDMTISHTLQNLLEGCDLDRLCGFLRNSAKGFPLIATDRFGSHVAETALRSLTKHLDEEGSYSYITETLSKLCQVVITDAVSVMCSRYGSHVFRSLLCLCKGVPLDSLEEFHVSKPQAILAERLNSRPAQSGGSNSKNFQYGFPDIFKFLVSEMLNHAKDDIRSLRVNKYSSFVLQAALKLSVGDDQALSNAISILLGSDTKISEEGNFFSTSVKQEIMELLEDTASSHLLEVIVEVAPDTLYNELLTEVFKDSLFDISSHHCGNFVVQALVSSAKTKAQMDLIWKELGAKSKELLEHGKPGVVASILAACDRLQTHQHECCQALCAAVTSDSESPSCTVPHILYLESYFRDRSSWKWPLDVKMHVLGCLMLQIIFRYPKQLIQPYVTSLTSMDAAQIFQTAKDAGGSRVLEAFLCSDVSAKVKLKVITKLQDHYGELALRTSSSFTVEKCFTSSNTSLKETIAAELLAVRAELSKTKHGPYLLTKLDIDGFASRPEQWKQSQASKESAYREFHAVFGSKSKPHKQNVEAPESSLASSKKKHRRHEKLSDDADAAMANSTLEFPGLEISMAKLGFPVKAKKGHKRRGTMDASGVEEPDSKKFVRSNTNTSFVKRSGKRKSSASDLADLASKSRLSAGEVQQLFKPTIKNENKQSGNEKVPFLKRQKRW >Ma00_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40277549:40280094:-1 gene:Ma00_g04660 transcript:Ma00_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLTRARVILRRRSPVQRQSQPSMRRSSRDPSERQGTTTRPAASGPSTDHRSLDRRRSQLIQKYAFIPDNYTSVDQVTAALREAGLESSNLILGIDFTKSNEWTGKLSFDGQSLHKIGGRSNPYEQAITIIGKVLAPFDEDNLIPCFGFGDHTTHDREVFSFHPDQSPCHGFNEVLACYRKIVPHLRLAGPTSFAPIVEAAVDIVERSRGQYHVLVIIADGQVTRNVDINDGDLSPQEKKTIDSIVMASAYPLSIVLVGVGDGPWDDMKKFDDRIPARDFDNFQFVNFTAIMGKNANAAEKEAAFALAALMEVPIQYKATLELGILGRVTGKAKRVVPRPPPLPVAQRQSSSRPARSSSERNSDDQNQICPICLTNKKDLAFGCGHMCCRECGQNLSRCPICRATITSRLRLYS >Ma08_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1246855:1247570:-1 gene:Ma08_g01390 transcript:Ma08_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRAIVAVAVMAAIAGLANATNFDVSWDLSTNYTQWVSDKTIRASDTLTFSYTSSHDVVEVTSSAYATCNATSPVSKSATGNTLVALGAPGKRYFICGIAGHCSRGMKIEIDVVTASSPPPGASPSPSVSPAAPTPPASSPPAPSTAPPSRTRTTAKVALGVVLMVLAL >Ma06_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22957755:22971383:1 gene:Ma06_g24440 transcript:Ma06_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPANCLPEADSLPDGFVEVSADPPPRPASSDYKDALLDLHFSGQRLVDPSPPPSEAAEGTLTPDCLVDGTEKFVFSTDFLTREDGVGDCSDSVARDGISESKPGDDASKEMSRTPNEILIGSSAGRSSQGDCQSSETETKGQLELNAINLKEGVEAKQKVTKRNTKSEELLEYTLKYQKVMLERDAAVAVREKLESLCRELQRQNKMLMDECQRVSTEGQNMRLDLSTKFNDVIKDITNKLEVQKDECLSQLNENEMLRNKIKLLADQYSLSEQHFAQKLQQKTLELQLADLKLQQQERKSAKEQTQMQLYAEQVSQLLATEKSLRLQLAADEERFQQFQDALSKSNEVFEAFKQDMEKMAKLVKELKKENEFLKSKCEKSDIALVKLIEEREVMKKQLEKVKNQKEKLESLCRSLQAERKHNLVKATSDPVAVAAALQVTLSNEDSS >Ma08_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29818480:29821266:-1 gene:Ma08_g18330 transcript:Ma08_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKRIQGIPHLRPAQYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQATKS >Ma01_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28982005:28990216:-1 gene:Ma01_g23710 transcript:Ma01_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDPK2 [Source:Projected from Arabidopsis thaliana (AT5G63310) UniProtKB/TrEMBL;Acc:A0A384KNJ7] MDAVGVLAICPCVLSETPLSRGKNGAAAAHGRAHSLSFRSYRHLAAFQPSPFLALSSSCRHAGTVRARRTKTRIFLPHLVAAMEGVEETYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCPKELAEEHYKDLKDKSFYPKLIDYITSGPVVCMAWEGVGVVASSRKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGHREIDLWFKEGELCHWVPAQAPWLRE >Ma10_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22071374:22086659:1 gene:Ma10_g07730 transcript:Ma10_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRAVREGSDRRLQTKYRNAVYVIQRAFALYEFEQVAFSFNGGKDSTVLLHLLRAGYYLHKGKPECSNGSLSDSVLNCPIRTIYFESPCAFPEINSFTYETATVYGLQLETIHSDFKSGLEALLKEKPTKAIFLGTRIGDPNAVGQEQFSPSSIGWPPFMRVNPILDWSYRDVWAFILTCKVQYCSLYDQGYTSIGSIYDTVPNALLSIADSLNAEGTFKPAYMLSDGRLERAGRTKKMHLKCNSTSPNNGVISVTSSGFFTASIVVVGDEILFGTAEDKLSAALCKKLYGIGWQVTHVAVVQNEIDSVAEEVERRKFTNDLVFLFGGFGPMQSDVSLAGVAKAFGVRLAPDEEFEEYLRHLIGKHCTGDRNEMALLPEGITELLQHEKLPLPLIKCQNVIILAATNVCELETQWDCLLELPNTPLVQLAPFVSKHLSSMLSDVEIAQTISKLCLEFPDVYIGCQRKSRVQSLISFVGKDNTRIELAAGRLCNSFPEGAFSEVNCG >Ma03_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2815995:2819636:1 gene:Ma03_g04270 transcript:Ma03_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIGRTIPNATSFPQVILTAASFNPDLWYRIGQAIAVEARAMYNAGQADGLTLWSPNVNIFRDPRWGRGQETPGEDPTTASKYAVSFVRGLQGDSPTGERSGQLMASACCKHLTAYDLDSWKGTVRYTFDARVTAQDMEDTFQPPFRSCVEQGRATCVMCSYNRVNGVPTCADYNLLTKQAKNLWGLDGYISSDCGSVDMIYGSSHYAKTPEEAVGYALKAGVDINCGKFMHQYAGSAIQKGNISESDIDRALFNAFSLRMKLGLFNGNPQKLPSGDIPPSQVCSTEHKNLALQAAQEGIVLLKNTGNTLPLARSNVTSLGVIGPNANFPPSLMGNYNGPPCVVVTPLEALQSSIKNTRFEAGCNIVACNVTKIPEAVQLASSVDYVIMFMGLDQDQEQEGLDRTDLLLPGMQQTLISKVAEAAKKPIILVLLSGGPLDITFAKNDPRIGAILWAGYPGEAGGSAISSIIFGDHNPGGKLPVTWYPQDFTKVPMTDMRMRADPATGYPGRTYRFYTGKPVYQFGYGLSYSSHSYEFEAGAATSIYLNNSLSPQAHPNDPNTLSYDIASLGFNTCGELKISATVGVKNHGPMAGKHTVLLFSRWPSTEHGRPVKQLVGFQSVHLEAGESTKVEFSLSACEHLSRVMDDGRRVLDKGSHFLIVGDEEHEISIIA >Ma11_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22064611:22068518:-1 gene:Ma11_g16570 transcript:Ma11_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVVVLLLLAVAVAVEVEERGDGYCKLKNGLTPRPHSVTITEFGAVGDGVTLNTVAFQNAVFYVRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLDEDAVIIGSQDASQWPTVEPLPSYGQGIDLPGERHRSLINGYNLTDVVITGNNGIIDGQGSVWWEWFRTHTLNYSRPHLLEFVSSCDIVISNLTFLNPPAWSIHPVYSSNVTVQNIVIQASSDSPYTNGVVPDSCSSFCIEDCSISVGHDAIAVKSGWDNYGISFGRPSSNIQINNVHLQTSLGSALAFGSEMSGGISDIHVKHLHVHDSFTGIIFKTTRGRGGFIKDIVISDVEMENVHEAFRFTGQCGAHPDDQYDPDALPTIKQVTIKNVIGTNISRAGVLSGIDQDPFTAICLANISLSITSDLSNSWTCSNVSGFSESVFPQPCSDLSLNSSLFCFSLENFSGLAQV >Ma04_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17635729:17637124:-1 gene:Ma04_g17380 transcript:Ma04_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTGSPLPMLEVEGVVFPPVVTPPGSTKAHFLGGAGVRGLEIEGRFVAFTAIGVYLEDAAVQSLASKWKGKSADELDGAVEFFRDIFGGPFEKFTRVTLLKPLTGQQYAEKVSENCTAQWKAAGVYAEADGAALEQFKEAFRAETFPPGSSILFTHAPSDSLLIAFSKDGSMPEAGIAMIQNQPLSQGILESIIGENGVSPGAKRSLALRFSELLKQHCEAEETKLVNPVAVIV >Ma06_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6598370:6599107:1 gene:Ma06_g09410 transcript:Ma06_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSRACVLRPCLRWIDTAEAQGHATAFVARFFGRAAFMSLLSAVPDSQRPALFQSLLFEACGRTINPVGGAVGLLCTGNWHLCQAAVNAVLNGDALAPLPSHPTAGSFPPTPVSEPKRRSAASPSSSSAFFPRDMDLWLFPRPAAGASEETPANSEGSVATASGAPTLLNLFG >Ma07_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4649664:4651441:-1 gene:Ma07_g06470 transcript:Ma07_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITGALWLQLDVQRRLHEQLEVHASIMLQATLNKGLLMHQQMLEEKVKTNKSAVEPENLAILFSNSPESLKDAQFLCAPDGSQNTFPIEDWLALRCSI >Ma07_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2394762:2398515:-1 gene:Ma07_g03070 transcript:Ma07_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCLVCHSMDSRSRSFRSYSVSSSEDEGRCSAVVTCLTRKVAVATAGTANAISTAKVTPFPMMASGQGMTGTPRLLRSRAVSRDLVRDWNFDQVHVEG >Ma07_p03070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2394762:2398494:-1 gene:Ma07_g03070 transcript:Ma07_t03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHHQVAAVLICIPAMSLSCLVCHSMDSRSRSFRSYSVSSSEDEGRCSAVVTCLTRKVAVATAGTANAISTAKVTPFPMMASGQGMTGTPRLLRSRAVSRDLVRDWNFDQVHVEG >Ma05_p05760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4370582:4377256:-1 gene:Ma05_g05760 transcript:Ma05_t05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKGKIRLPNTIVPRFPDRIKPPEKKKKKEEEEDDHDEGREEEELRTFQVGGGGGGEERSEGEIWPDDGDENWTVLRAHHRIKIDIGREVSWDKRSDLEFELLLGYLPAEKDLWKGELAKNRLRYAELKRELLLNPSEFLIKEDETSNSSMPGQDNEAGGLLCRREISNGDHPLCLGNGSIWNQYFKDAEIVEQIDRDLHRTHQDIKFFSGDSSFSRKNLEAMRNILLLFAKLNPAIGYVQGMNEVLAPLYYVFRMNQEGEDASEAEADSFECFVQLLSGSVDHFCQQLDNSSVGIHSTLLHFSELLKANDGELWRHLDASKMNPQFYAFRWITLLLTQEFELSTIMRIWDYLLSNPSGVQEILLRVCCAMLLCVRHELLSGDFVSNLKLLQHYPEVDLEHVLDVASHLKTPISSYQPYGIV >Ma05_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4370582:4377251:-1 gene:Ma05_g05760 transcript:Ma05_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKGKIRLPNTIVPRFPDRIKPPEKKKKKEEEEDDHDEGREEEELRTFQVGGGGGGEERSEGEIWPDDGDENWTVLRAHHRIKIDIGREVSWDKRSDLEFELSQKVVNLEALRSIASCGHLAEEFRSIVWKLLLGYLPAEKDLWKGELAKNRLRYAELKRELLLNPSEFLIKEDETSNSSMPGQDNEAGGLLCRREISNGDHPLCLGNGSIWNQYFKDAEIVEQIDRDLHRTHQDIKFFSGDSSFSRKNLEAMRNILLLFAKLNPAIGYVQGMNEVLAPLYYVFRMNQEGEDASEAEADSFECFVQLLSGSVDHFCQQLDNSSVGIHSTLLHFSELLKANDGELWRHLDASKMNPQFYAFRWITLLLTQEFELSTIMRIWDYLLSNPSGVQEILLRVCCAMLLCVRHELLSGDFVSNLKLLQHYPEVDLEHVLDVASHLKTPISSYQPYGIV >Ma06_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6617913:6618507:1 gene:Ma06_g09450 transcript:Ma06_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSAATLLLLLVLTSSYLRGSMAADSAYCKSKCKVRCAKAGVMDRCLYYCGMCCEECKCVPSGTYGNKDECPCYRDKVTKDDKKKSKCP >Ma05_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21202263:21203407:-1 gene:Ma05_g17720 transcript:Ma05_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCDNSGLKRGPWTAEEDQKLISFILNNGIHRWRLVPKLAGLMRCGKSCRLRWTNYLRPDLKRGAISEEEENQIIQLHSSLGNRWSKIASHFPGRTDNEIKNHWNTRIKKKLELLRVDPATHQLIINQQPERYDHGHADARPQAEGKSTDVSEDEFGLMHSSIWMPSDRSSNEEETIPQVKSSGSCSSSFCASSMASALDTEETKLCYDSFPPWEAMYPFEDLVLHGSLL >Ma08_p31920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42696188:42700881:1 gene:Ma08_g31920 transcript:Ma08_t31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNDEPTSAPQQDRWYDMRLGSSFKDNSTTKFCTLRYEFKPASIDKSQPGSLYKNKENRVTVEFHNNQPGKSNVSFEGSSEDYKDNDAVLFFDGETFRLERLHRAVKRLRHVRLPGEHAAASNMTPAPPAGTTTDSRSPPLGKISKAQALNKTVMHPVPVEVERIDIGEPESSAPRPMNKGSGLPSVPVNPFPSPDPKSSEENLDILGDDDGGTPSKAIVGQAEDAGFDINISNQNDTDDEIADVDVSDEADEGLNAAEALRAQANAVEEQQQETSSSSGSGSGSSSSGSGSGSSSSDSDGSDDDSASSGGDVDT >Ma00_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35178928:35181546:1 gene:Ma00_g04110 transcript:Ma00_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPSFQGKNNPDAYLEWERKVEMIFECHNYSEEKKVKLAAVEFFDYTIVWWDQLCKDRGKNGERPVETLLEIKQIMRRRFVPSYYYRDLHQRLQTLTQDSMSVDEYHKEMEITMIRANVDEDREATMARFLSGLNKNIANLVELQHYVEIEDMVNVAMKIERQLKRKGARYDSKPYSGSSSWKPNSSKKDDKPIVKPKIDETKGRNELGSKGKGENLPNQTRGIKCFKCLRHGHVARECPNKRVLIMRDGIVESESEKEDDEDILEDTSDVEYAKGENIVVQRILSLQNENDEHGEQRENLFHTHCLIANKLCNVIVDSGSCTNVASTLLVEKLKLPTIKHSKPYKLQWLNDSGVVKVNRQVLISFSIGRYKDEVWCDVVPMYAIHMLLGRPWQYDRRENEDLFPEEVPNGLPPIRGIEHQIDFIPGASILNKPAYRCNPVETKEIQKQVSELMKKGYVRESMSPCAVPVLLVPKKDGSWRYVVNDKGIYVDQEKVKVIWEWPKPTSVSDVRSFHGLASFYRRFIKDFSTIATPLTECIKKNMGFRWGEKQDDAFNLLKDKLCSAPLFALPNFAKTFEIECDASEIGIGGVLMQEGRPIAFFSEKLSRASLNYPTYDKEFYAFFF >Ma04_p25960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27574691:27576351:1 gene:Ma04_g25960 transcript:Ma04_t25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLELREDRSPLLRAKLPFTALGLPFLSAFTAGDPRDLRLDLSTAFDAGPVVRLSYRPNDTRSPFCLAVRMGTGVLGSPIAAPFGMCAEFNPLAWGGGSPFFSVVFKPRLGDFSLKRIARSGSGTPPPQAGFGSTVPENGFHDGKSVNGFGPGVASVDDARSLLFGTELRASSVLPLRGPTALRFRWGVRLPAELRIANGEDPAAGDGISFRRLPQLLMTKITIEHMVEGNKAREQKSESATGVVAEPWSSVKQHLEALRAENGVLKRDVRQLCAEVFARRQAPTSGGAQVKEWRGVERGGKAEMRPPPPSAPTDTKDRRIDGKSLRTGVKARTEDVNEELKKALMAATGSSGK >Ma08_p19420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33182095:33192556:-1 gene:Ma08_g19420 transcript:Ma08_t19420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSTEEFTRERSQDLQKVFRNYDPKLRTHEKAVEYTRALNAAKLEKIFARPFIGAMDGHIDAVSCMAKNPNHLKGIFSGSMDGDIRLWDIATRKTVCQFPGHQGAVRGLTSSTDGEMLISCGTDCTVRLWKVPLLKMMDSRESDEDYSKPLAVYVWKHAFWAVDHQWDGPLFATAGSQVDIWDHNRSEPINTFEWGKDTVISVRFNPGEPDVIATSASDRSITLYDLRMSSPVRKLIMRTKTNAICWNPMEPMNFTAANEDCSCYSYDTRKLDEAKVVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFPYNGDHSREIYHTKRMQRVFCVRYSCDGSYLISGSDDTNLRLWKAKSSEQMGVLLPRERKKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAAALRRTMIEAENKRDQKRRAHSAPGSMPVQPFRKRRIIKEDE >Ma08_p19420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33182095:33192556:-1 gene:Ma08_g19420 transcript:Ma08_t19420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSTEEFTRERSQDLQKVFRNYDPKLRTHEKAVEYTRALNAAKLEKIFARPFIGAMDGHIDAVSCMAKNPNHLKGIFSGSMDGDIRLWDIATRKTVCQFPGHQGAVRGLTSSTDGEMLISCGTDCTVRLWKVPLLKMMDSRESDEDYSKFQPLAVYVWKHAFWAVDHQWDGPLFATAGSQVDIWDHNRSEPINTFEWGKDTVISVRFNPGEPDVIATSASDRSITLYDLRMSSPVRKLIMRTKTNAICWNPMEPMNFTAANEDCSCYSYDTRKLDEAKVVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFPYNGDHSREIYHTKRMQRVFCVRYSCDGSYLISGSDDTNLRLWKAKSSEQMGVLLPRERKKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAAALRRTMIEAENKRDQKRRAHSAPGSMPVQPFRKRRIIKEDE >Ma08_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33182095:33192556:-1 gene:Ma08_g19420 transcript:Ma08_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSTEEFTRERSQDLQKVFRNYDPKLRTHEKAVEYTRALNAAKLEKIFARPFIGAMDGHIDAVSCMAKNPNHLKGIFSGSMDGDIRLWDIATRKTVCQFPGHQGAVRGLTSSTDGEMLISCGTDCTVRLWKVPLLKMMDSRESDEDYSKFQPLAVYVWKHAFWAVDHQWDGPLFATAGSQVDIWDHNRSEPINTFEWGKDTVISVRFNPGEPDVIATSASDRSITLYDLRMSSPVRKLIMRTKTNAICWNPMEPMNFTAANEDCSCYSYDTRKLDEAKVVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFPYNGDHSREIYHTKRMQRVFCVRYSCDGSYLISGSDDTNLRLWKAKSSEQMGVLLPRERKKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAAALRRTMIEAENKRDQKRRAHSAPGSMPVQPFRKRRIIKEDE >Ma01_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8897342:8903128:-1 gene:Ma01_g12290 transcript:Ma01_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSPIFVIRRHLPIWVSLNYRSYTIASAALVQGPPKDDKDAPDSAEFVSAVQVLKNRLHPDRLVHVLDSTSDVTLALKVFKWASRQKRFQQTAETYGHMIFKLGMVGDHEEMEVLLNEMVNLQLQNIEQTFDYLIHAFCKNYRPVEALQVFKISNLAKHRVSVSTCNALLDAFASQGGNFCSLMFVYKEMVKAGILPDVETLNHLIKGLCDIGCLDLALTQFHRMDKKQCFPNSQTFEILIKALYTSEQADKAVELLNQMLDLQISPHFDFYNSIIPLLCSINRFKEVIKLLRIREDAGGNLDLHLYSYLINCLSANQQIESAVELIRKITNSGLAPLTNMYMDIVDGFCNIGKFTEAMSFLDEGRVLEIEPYNTLLKGFCDVSRYQEATVYLKKMAEGGLTNVLSWYILIKGLCEEGLVRKAFQVMGRMIISSSSSFVVDGTIYSAIITGYCKIGAYENALSIFRLSCLYDLSLDSESCSQLIEGLCVVKKIQESAEVFYHIIGKGDLLTSNALSELIQGICHNGKVQEAIKMRSLAVFNGSSSNSVTISTILLGLLDLNKEKDILTFLSQILVEGCALDVKTYCILIHGLCSKFMMREAAILFNQMVHESFIPNSETLELLVVRLASCSQLHLVMHSLDSLIKKCGLLSPTMCNAVIYSLMKEGHKHEARNFLDTMLDKGWVPDAETHVLLVGNNNKDGRNENDDVFKALGDDKVSKILAEGLEDFDNHMALR >Ma06_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16166328:16174016:1 gene:Ma06_g21780 transcript:Ma06_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPTRPPPPPGSSAAVASPNTPTPRPERRPTPPAFSSPLSARFSPQIPQQDRLPLSSSRTPGSLSSGEGVHHTSSPVPQFSTPPGPPIFSSPLRPAAVPFQASPATPQPVAFSWGSSLPTSSSPPYSNGSSELPLHHSADVDEYTLESPYVLFSAHKVLKRKKLANVPSLGFGALVSPGREIAPGPEVVHHEPHRCQTCGAYSNLYCEILVGSGQWQCVICKNLNSSDGEYIAPSKEDLRHWPELSSSAIDYVQNGNRPGFVPVSDTRITAPIFLVIDECLDEAHLQHLQGSLHAFADSLPPTMRLGIITYGRSVSVFDFSEGSVASADVLPGDKSPSQESLKALLYGTGIYLSPVHASLPVVHTIFSSLRPYKLNLPEASRDRCLGTAVEVALAIIRGPSADRTRGIIKRSGGNCRVLVCSGGPNTYGPGSVPHSFSHPNYAYMEKTAMKWMDHLGQEALRHNTTVDILCAGTCPVRIPILQPLAKSSGGVLILHDDFGEAFGVNLQRASSRAAGSHGLFEIRCSDDILVTQVIGPGEEAAVDSHETFKRDSSFCIQMHSVEETQSFALSMETKGDIKNDYVYFQFAVRYSNIYHTDISRVITVRLPTVDSVSMYLRSIQENAAAVLIAKRTLLHAKTFSDAIDMRLTVDERVKDIAVKYGSQLPKSRLYRFPQELSTLPESLFHLRRGPLLGNIVGHEDERSVLRNLFLNASFDLSLRMLAPRCLMHRDGGTFEELPAYDLAMQSNVAVVLDHGTEIFIWLGAELAAQEGKSAAALAACRTLAEELTEHRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTPEQRTRLKSSFLHFDDYSFCEWMRSLKLVPPEPS >Ma01_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9212488:9219463:-1 gene:Ma01_g12620 transcript:Ma01_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKEADISVVKCSSDPVINMEDSLLSSVQKTTAKAHNVDAKHLGFAGLDDARESTPRKADDAVTLSDLSFHLSGSETNMLQSSLISNDLAKKASDPDAHDVSQREKIIKFKESRQPLNTSSEVDHLDHSFVQDSLPAEGDLVGKKRRIEQSLVMDESHISKISRTEKSPIFSMATKPMIHLAHPSEIIDQSLETGGQRTAVHWSDIFSKVSDAKKLVFSPIIHKLALQELDILEDMLGELQVARKYLKISSSLRNNDHLDDLHRQRVTEAWFLQDQFLYEQAKMQIKRAKLDQLRGKAHSIQSGLKECNSLKSMFSQLCLQNTRGVQNKENQVHSVSSITSCRNKEADERMASMRQELKMLEQKEDHLLKSLGVCCKIKGNMSTDGITKVANERLEMRNRINIIHQQSRLWELSNTVKRDNKHDIVLNYCNFLFQRFTIDSCQVSSILVNNSLHAENIGKAFHNMNAHVVFEFVFGDKGDSRVISSKCFQQKTLETSLLMGILLDVLEEVQVARMENLNLTFSTFSHTPPGQLELQLCFMNFKNGQKVTLSMDMSELKCATYPSEPSELKYKICEAQTTLSPSLSARLMATLRSHQGKRLVILSLCRAISLLFQDSLAS >Ma05_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10832053:10832358:1 gene:Ma05_g14810 transcript:Ma05_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALWALTSERGVDYEALTTKYIYESFSSPFFLFLVGNSQLILIPEKRTYCLDLIGHNELIFCACNRQTHIICAFFWLVPHLTDFSLETDAGPMVGPTEA >Ma05_p19330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27660762:27665383:1 gene:Ma05_g19330 transcript:Ma05_t19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYVDGQFTGNLGEILIRCNNVLYLRGVPEDEEIEDAD >Ma05_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27660868:27665390:1 gene:Ma05_g19330 transcript:Ma05_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKGYLVSVDSYMNLQLANTEEYVDGQFTGNLGEILIRCNNVLYLRGVPEDEEIEDAD >Ma03_p30940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33361707:33367154:-1 gene:Ma03_g30940 transcript:Ma03_t30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPEGTNIVDPGLQTALPDTISAQPWWSGTMFAAMSTSKQSSAGTEVGQSRLGGGMHGTGDVSKETQSADRKSDLVSDGNLQPTSAATSMMHEYLAPHTQLELGQSIACAMYPFTDPYFAGVVAPYGTQAMVHPQIIGMPQSRMLLPLEMTEEPVYVNPKQYHGIIRRRQLRAKAELERKALKVRKPYLHESRHLHAMRRARGCGGRFLNTKKTDEDAAKTDTKKGLNSGAFLSTQSTISSSSEAIPSDCSGDTNPASTMQERMSKSQTSFSRKDGYQDQSESEISFLHLKSGDKAEDDDCSGPRSGGILVNRPSSRAVAIQ >Ma03_p30940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33361682:33367154:-1 gene:Ma03_g30940 transcript:Ma03_t30940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPEGTNIVDPGLQTALPDTISAQPWWSGTMFAAMSTSKQSSAGTEVGQSRLGGGMHGTGDVSKETQSADRKSDLVSDGNLQPTSAATSMMHEYLAPHTQLELGQSIACAMYPFTDPYFAGVVAPYGTQAMVHPQIIGMPQSRMLLPLEMTEEPVYVNPKQYHGIIRRRQLRAKAELERKALKVRKPYLHESRHLHAMRRARGCGGRFLNTKKTDEDAAKTDTKKGLNSGAFLSTQSTISSSSEAIPSDCSGDTNPASTMQERMSKSQTSFSRKDGYQDQSESEISFLHLKSGDKAEDDDCSGPRSGGILVNRPSSRAVAIQ >Ma03_p30940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33361707:33367154:-1 gene:Ma03_g30940 transcript:Ma03_t30940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPEGTNIVDPGLQTALPDTISAQPWWSGTMFAAMSTSKQSSAGTEVGQSRLGGGMHGTGDVSKETQSADRKSDGNLQPTSAATSMMHEYLAPHTQLELGQSIACAMYPFTDPYFAGVVAPYGTQAMVHPQIIGMPQSRMLLPLEMTEEPVYVNPKQYHGIIRRRQLRAKAELERKALKVRKPYLHESRHLHAMRRARGCGGRFLNTKKTDEDAAKTDTKKGLNSGAFLSTQSTISSSSEAIPSDCSGDTNPASTMQERMSKSQTSFSRKDGYQDQSESEISFLHLKSGDKAEDDDCSGPRSGGILVNRPSSRAVAIQ >Ma03_p30940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33361682:33366919:-1 gene:Ma03_g30940 transcript:Ma03_t30940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPEGTNIVDPGLQTALPDTISAQPWWSGTMFAAMSTSKQSSAGTEVGQSRLGGGMHGTGDVSKETQSADRKSDLVSDGNLQPTSAATSMMHEYLAPHTQLELGQSIACAMYPFTDPYFAGVVAPYGTQAMVHPQIIGMPQSRMLLPLEMTEEPVYVNPKQYHGIIRRRQLRAKAELERKALKVRKPYLHESRHLHAMRRARGCGGRFLNTKKTDEDAAKTDTKKGLNSGAFLSTQSTISSSSEAIPSDCSGDTNPASTMQERMSKSQTSFSRKDGYQDQSESEISFLHLKSGDKAEDDDCSGPRSGGILVNRPSSRAVAIQ >Ma03_p30940.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33361682:33366919:-1 gene:Ma03_g30940 transcript:Ma03_t30940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPEGTNIVDPGLQTALPDTISAQPWWSGTMFAAMSTSKQSSAGTEVGQSRLGGGMHGTGDVSKETQSADRKSDLVSDGNLQPTSAATSMMHEYLAPHTQLELGQSIACAMYPFTDPYFAGVVAPYGTQAMVHPQIIGMPQSRMLLPLEMTEEPVYVNPKQYHGIIRRRQLRAKAELERKALKVRKPYLHESRHLHAMRRARGCGGRFLNTKKTDEDAAKTDTKKGLNSGAFLSTQSTISSSSEAIPSDCSGDTNPASTMQERMSKSQTSFSRKDGYQDQSESEISFLHLKSGDKAEDDDCSGPRSGGILVNRPSSRAVAIQ >Ma06_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6874506:6877305:1 gene:Ma06_g09890 transcript:Ma06_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPAIEEVNIFKDDLVIQFANPKVQASIAANTWVVSGTPQTKKLQDLLPGIINQLGPDNLENLKKLAEHLQKQVPSTGAAVKQEDDDDDVPELVPGETFEAAADENQAS >Ma09_p27480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38468586:38472086:1 gene:Ma09_g27480 transcript:Ma09_t27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPDWTSLPPDLLAKISEEFPIPHRARIRATCKAWHSAMEPVISPSPWLLLPSENFEQHNSTFLCLPDNYCFTYPRLPQLSGVRCVGSHAGWFVIVGRKRKVSLLNPLTGNQICLPSHVARWNVDRVNHQAFKPNRIGKMVFSANPTVHSYVVVAIYRFTDWELTYTKSGEDRWNLLETALTENDDSYKDIMHHDGKFYCITRKGEVIAFDLSGVSPIVTIIARSSALVRVIPVGTYCIHLACSNTGELFLVLKLAIDYVLPYDVNKSEDVIVLRLQNSEDQPCWDVVKDLCNMSLLVGNSNSISISAEDLRGMRGNCIYLTEFFPETSSRKLFSSDNPHNGCSTTIVQQPKRDWSLLLSSEMGHSV >Ma09_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4571860:4590150:1 gene:Ma09_g07100 transcript:Ma09_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan, water dikinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26570) UniProtKB/Swiss-Prot;Acc:Q6ZY51] MKAHHNRLLLLCIVGTHPVILPFSWIMHYTCLSINRSTSLIHYIANHTSPILENYLAFRLHFHRRIFPKSVLPSSNPMASLHPFNAGLLRSPPNPFASSPMAAPTRSLPFPCRPYPPPHRRRHFFLSRGGTAPSIERSEEKKKQKKKMREASGERGAVRVRVRLDHQVEFGEHVAVLGSTKELGSWRKHVMMEWTPDGWVQDIELRGGESVEFKFVVLLRGKKDVVWEGGGNRVLTLPEKGAFDMVCHWNRTDEALELLGTSLGEEDEELQSADVEDVSLVEDRSFESEAGASPFVEQWQGRAASFMRSNDHASRETERKWNTDGLDGAALKLVEGDRSARNWWRKLEVVRELLTGNIGGNCLEALIYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERIHYMKDTSPQDVLVIRKIHPCLPSFKSEFTASVPLTRIRDIAHRGDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLARITKTPGEYSDAFVEQFKIFYNELKDFFNAGSLTEQLESIKESLDEHSLQALALFLDSKKSLEKLQEEKNFIENGGVELLMETLTSLSGIRSLIVKGLESGIRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRFVNALEEMGGSSWLAQKIGSKNISPWTHPLVALNIGLRQVWLSGWKLEECNAIQKELLSWLDKGISDREGSEEGKYIWSLRLKATLDRARRLTEEYSEVLLQIFPDKVQRLGQALGIPENSVRTYTEAEIRAGVIFQVSKLCTLLLRAVRAALGSSGWDVLVPGVAHGTLLQVESIIPGSLPSSVKGPVILVVNKADGDEEVKAAGDNIVGVVLLQELPHLSHLGVRARQEKVTFVTCEDDDRIASIRKLEGKYVRLEASATHVEVSFSSKENKEALPEELSSTSTSSKDELPSMQWSREVKQNPSHGTASVLELSQAVAETSGAKAAACGLLASMATISTKVNSDQGVPASFGVPSGAVIPFGSLELAVERSGSIKSFLSLVERLETAKLENGELDRLCSELQTLVSAQRPSKETVEAIGKILPINTRLIVRSSANVEDLAGMSAAGLYESVPNVSLSNPGAFGAAVGRVWASLYTRRAILSRRTAGIPQKDAMMAVLVQEMLFPDLSFVLHTVSPIDRDAKVVEAEIAPGLGETLASGTRGTPWRLSSGKFDGKVTTLAFANFSEELLVLNSGPANGEFIRLTVDYSKKPLTIDPIYRRQIGQRLCTIGFFLEQKFGCPQDVEGCVVGKDIFIVQTRPQP >Ma07_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24886103:24889589:1 gene:Ma07_g18250 transcript:Ma07_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVAAPPLRGRILTALLPSLRLGLRPLWSFSWADPDPASTGSTSTPPSSSSSSSSSRRQQGKLPHRLSSVVDAINERKLPPELRGRGNAIRSETDIVNVVEQRIWHSMEEGHFENLPGKGRPLNLNPNPHVDPAEDTLYRILSRNGCAPEWVELNKEIRSKITEWRLALKKAWANKSDHEDSKWQDDSEILKAQMHDINDKVLRYNFIVPFGRQMFGLKWEKEIAKLE >Ma03_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4457896:4460629:-1 gene:Ma03_g06440 transcript:Ma03_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAISMPHAAILSYPAAGQVNPMLDLAKLLHSQGFRVTFINTEFAHHRPLENGGRHALLASESFRFEAIPVGYASSASDSFDVKESESSVRETGAAPVGELLQKLSSSMDAPPITCVISNFLMTFAVDAERLGVPHLVFWTTSACSLLGSLQLQELIQRGYTPLKDHNCITNGYLDTTIDWIPGMREMRLRDLSSFIRTTDLDDHFLKNEMEGVDLALKASGLILNTFDHMESQVLDVLKSSFPRIYTVGPVLGLLNQIKGNPENSLRLSLWEEDHGWKEWLNTQKDASVIYVSFGSLATLTSEQLTEFAWGLADSNHPFMWIIRPNLLKGSSDAALPEEFIEETKGRSFFAGWCHQSEVLSHPSIGGFLTHGGWNSMLESLCGGVPIICWPGFADQYTNCRYACKEWRIGMEIDQQVKREHIKDLVVELMEGERGQQIRKNAMKWKKLAEQATALGGSSYTNLERLVKDMNETMEKNSIHDN >Ma02_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25602177:25603031:-1 gene:Ma02_g19430 transcript:Ma02_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFSSQPAYAAEDGVSAVIAIHSAGEWTQNWESHSQTNKLMVIDFSASWCGPCRFVEPAFKAMATQYSDAVFVKINVDEIPEVSKQWKVQAMPTFVLVKGGQEVGRIVGAKKDELERRIQEQINT >Ma11_p15940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21551425:21556989:1 gene:Ma11_g15940 transcript:Ma11_t15940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDEGVVVAPMGDESMCSNGGAVDDPRRPPASSGKAAAGGGEQMDLEAYVALYTGRTRVSRLLFIAERCGNEGMQLEALRMAHDEIKKGEDSHLYREVIAKIGGRLGPLYALDQTWVDMVDRRAEARKEKLENELNSYKTNLIKESIRMGFNDLADFFYAHGQLGDAFKNYVRTRDYCTSSKHISQMCLNVILVSIELGQFMHVSNYVSKAEQAPEQVDPITHSKLRCAAGLAYMETKKYKLAARKFLETGPELGSNYTDVIAQQDVATYGGLCALASFDRTELKVSFMPVIFSPTLEFHSMYTNYYSFLCQNKVIDNINFRNFLELVPEVRELINDFYASRYASCLEYLENLKPNLLLDIHLHDHVETLYTDIRHKAIIQYTHPFISVDLHTMAGAFKTSVAGLEKELEALITDDKIQARIDSHNKILYARHADQRNATFQRVLQTGVEFERDVRSMLMRANLIKQESILRAARKL >Ma11_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21551425:21556989:1 gene:Ma11_g15940 transcript:Ma11_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDEGVVVAPMGDESMCSNGGAVDDPRRPPASSGKAAAGGGEQMDLEAYVALYTGRTRVSRLLFIAERCGNEGMQLEALRMAHDEIKKGEDSHLYREVIAKIGGRLGPLYALDQTWVDMVDRRAEARKEKLENELNSYKTNLIKESIRMGFNDLADFFYAHGQLGDAFKNYVRTRDYCTSSKHISQMCLNVILVSIELGQFMHVSNYVSKAEQAPEQVDPITHSKLRCAAGLAYMETKKYKLAARKFLETGPELGSNYTDVIAQQDVATYGGLCALASFDRTELKNKVIDNINFRNFLELVPEVRELINDFYASRYASCLEYLENLKPNLLLDIHLHDHVETLYTDIRHKAIIQYTHPFISVDLHTMAGAFKTSVAGLEKELEALITDDKIQARIDSHNKILYARHADQRNATFQRVLQTGVEFERDVRSMLMRANLIKQESILRAARKL >Ma09_p10820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7351248:7365040:-1 gene:Ma09_g10820 transcript:Ma09_t10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESSLCDDMIDRNWVLKRKRKRITSGVNLLNGKEGTSLSSESLLKSTSVKRKLKGDIDKIKGHDGHYFECAVCDLGGNLLCCDSCPQTYHLECLTPPLKCTPPGKWHCPSCSDHKDNVKTPSNAEAYLRRARTKTMFEKSTIVHKQTINDKASLSERNFIPENNKGKTTFSRTAPVQKKSDSSTHDKLVSLGGILAPAESKIKKKSEFSFHWKTSSRKEAHSLVKSLKSDHSKNFPEESSDKYKRDVQRKKLIVPFALPTQKARKKKQKLSRRDKKKRSRTEKGKDVATAVSNELSTENCFETSGSPKKCESFDQWISASKKEDKTLKYDSEEQYEVDRILGCRVQPNTTMSSQTIRSATHQEYANSEINSGCLAIGQASYGIIDPQNSSKLLVQCQNGSKVETKDTESALKDGFDGEANLVSEEKNVCHKAAVCEAHNGQCETKGFSENAVSATECSQDGFITKKSCAALEDSPMNKSDTAQKVNMEDLADLDLASAQMQSDSHMQTGSSQLIVSCDLDGKEGVILERHPENNVESRTTEEMIQDSETKNNNVVYEFLVKWVGRSNIHNSWVPESQLKILAKRKLENYKAKYGTTVINICEEQWKKPLRVIALRACKDGLKEALVKWCGLPYDECTWERLDESIINESAHLVDELKRIESQTFNKDVKDDIQWMKGECQDVVPLLDQPQELKGGLLFPHQLEALNWLRKCWRKSKNVILADEMGLGKTISACAFISSLYSEFKAKLPCLVLVPLSTMPNWMAEFALWTPHLNVVEYHGCAKARSIIRQYEWHASDPSKSDKSTKLYKFNVLLTTYEMVLADTSHLRGVPWEVLIVDEGHRLKNSSSKLFGLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPVSFPSLSAFEEKFDDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNFQILRNIGKGGTQQSLLNIVMQLRKVCNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKILYKEGHRVLIFSQMTKLLDILEDYLAIEFGPKTFERVDGSVSVANRQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFNDSEGVNGQDLKEASTSKLDAVLDSEHKHRRRAGGLGDVYKDKCTEGCTKILWDENAIQKLLDRSDLQSVPENTDVDLENDMLGTVKSVDWNDDTNEEPDGTDLLSGIAGDGSEQKSEAKEDNAAGGTEENEWDRLLRVRWEKYQLEEEAALGRGKRLRKAVSYKETFASIPSETFSESGNEEEEPEHEYTPAGRALKEKFARLRARQKERIAQRQTAELSNMIDKTELPNESLVQSVNEMEGLAKKNHDNKNDQVVAINLEDNRPSQQLDGNRSESFARFGKFSKHGYKRFHSDHLDLSVRPPENLSPDIFLPSHQLQSANIASSMPSSSLLPVLGLYAPNANQVGLSSRNFRAPLRQPISSSEQRQINRGNVEYLFPSASSSRPSSDPSVEVREKSASTSTLPEASGYSLNHKLKNMIPDSYFPFCTPAPTSGRPPLDALENSGSSFASFQEKLGLPNLILDDKLVPKFPLPSKSLMKQHVDLLPSLSLGMEFVNDTFQEFPDMPVLPNFKQQPSDSLKQKQQMTELPSMHGLGHVQGTYSSLPENHQMVLDNIMMRSQSATNKLFKKRLKVDAWSEDELDSLWIGVRRHGRGNWDAMLRDPILKFSKYRTAEDLSLRWKEEQQKIMDVPAFSAPKSSKSLSFPGISDGMMTRALLGSKFSGLGSERPKSLSHLTDIHLGCGDFKSSFPCIDPFGQVSRIDENFPQVVAWQHERLRPNYTGDLSAGAFNRLDNVSLPFDHPCQGNLATSLSVNLQKNEDEYHAKKNHPVPVVPDKQLNLLHNSSCNVNPKESNMGIPLIPQKKQVFPNFSSNNDIALGSSNTDKLPHWLREAVNIPSSRPSEREVPLMLPPAVSAIAQSVRLLYGEEKTFPPFAIPGPLPIQPKDPRKSLKRKKKLNKLRQLTPDIGCATKNFDHGASSSIPPAPEIMEFVPDPGKSDLSENLTQNLNLNSPSSSLFVTQGKSSVSALAPSPEVLPLVTSCMPSGPSELLITDMPGPSCQVAEISESNDLVFKQDKEVLNGDKKDIHGKQKIHENSLLGCWDTMLSSEKIDQVDDGDLSKTHSDTSKSNQVKVEEMSSEETMSDDH >Ma09_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7351248:7365040:-1 gene:Ma09_g10820 transcript:Ma09_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESSLCDDMIDRNWVLKRKRKRITSGVNLLNGKEGTSLSSESLLKSTSVKRKLKGDIDKIKGHDGHYFECAVCDLGGNLLCCDSCPQTYHLECLTPPLKCTPPGKWHCPSCSDHKDNVKTPSNAEAYLRRARTKTMFEKSTIVHKQTINDKASLSERNFIPENNKGKTTFSRTAPVQKKSDSSTHDKLVSLGGILAPAESKIKKKSEFSFHWKTSSRKEAHSLVKSLKSDHSKNFPEESSDKYKRDVQRKKLIVPFALPTQKARKKKQKLSRRDKKKRSRTEKGKDVATAVSNELSTENCFETSGSPKKCESFDQWISASKKEDKTLKYDSEEQYEVDRILGCRVQPNTTMSSQTIRSATHQEYANSEINSGCLAIGQASYGIIDPQNSSKLLVQCQNGSKVETKDTESALKDGFDGEANLVSEEKNVCHKAAVCEAHNGQCETKGFSENAVSATECSQDGFITKKSCAALEDSPMNKSDTAQKVNMEDLADLDLASAQMQSDSHMQTGSSQLIVSCDLDGKEGVILERHPENNVESRTTEEMIQDSETKNNNVVYEFLVKWVGRSNIHNSWVPESQLKILAKRKLENYKAKYGTTVINICEEQWKKPLRVIALRACKDGLKEALVKWCGLPYDECTWERLDESIINESAHLVDELKRIESQTFNKDVKDDIQWMKGECQDVVPLLDQPQELKGGLLFPHQLEALNWLRKCWRKSKNVILADEMGLGKTISACAFISSLYSEFKAKLPCLVLVPLSTMPNWMAEFALWTPHLNVVEYHGCAKARSIIRQYEWHASDPSKSDKSTKLYKFNVLLTTYEMVLADTSHLRGVPWEVLIVDEGHRLKNSSSKLFGLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPVSFPSLSAFEEKFDDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNFQILRNIGKGGTQQSLLNIVMQLRKVCNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKILYKEGHRVLIFSQMTKLLDILEDYLAIEFGPKTFERVDGSVSVANRQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFNDSEGVNGQDLKEASTSKLDAVLDSEHKHRRRAGGLGDVYKDKCTEGCTKILWDENAIQKLLDRSDLQSVPENTDVDLENDMLGTVKSVDWNDDTNEEPDGTDLLSGIAGDGSEQKSEAKEDNAAGGTEENEWDRLLRVRWEKYQLEEEAALGRGKRLRKAVSYKETFASIPSETFSESGNEEEEPEHEYTPAGRALKEKFARLRARQKERIAQRQTAELSNMIDKTELPNESLVQSVNEMEGLAKKNHDNKNDQVVAINLEDNRPSQQLDGNRSESFARFGKFSKHGYKRFHSDHLDLSVRPPENLSPDIFLPSHQLQSANIASSMPSSSLLPVLGLYAPNANQVGLSSRNFRAPLRQPISSSEQRQINRGNVEYLFPSASSSRPSSDPSVEVREKSASTSTLPEASGYSLNHKLKNMIPDSYFPFCTPAPTSGRPPLDALENSGSSFASFQEKLGLPNLILDDKLVPKFPLPSKSLMKQHVDLLPSLSLGMEFVNDTFQEFPDMPVLPNFKQQPSDSLKQKQQMTELPSMHGLGHVQGTYSSLPENHQMVLDNIMMRSQSATNKLFKKRLKVDAWSEDELDSLWIGVRRHGRGNWDAMLRDPILKFSKYRTAEDLSLRWKEEQQKIMDVPAFSAPKSSKSLSFPGISDGMMTRALLGSKFSGLGSERPKSLSHLTDIHLGCGDFKSSFPCIDPFGQVSRIDENFPQVVAWQHERLRPNYTGDLSAGAFNRLDNVSLPFDHPCQGNLATSLSVNLQKNEDEYHAKKNHPVPVVPDKQLNLLHNSSCNVNPKESNMGIPLIPQKKQVFPNFSSNNDIALGSSNTDKLPHWLREAVNIPSSRPSEREVPLMLPPAVSAIAQSVRLLYGEEKTFPPFAIPGPLPIQPKDPRKSLKRKKKLNKLRQLTPDIGCATKNFDHGASSSIPPAPEIMEFVPDPGKSDLSENLTQNLNLNSPSSSLFVTQGKSSVSALAPSPEVLPLVTSCMPSGPSELLITDMPGPSCQVAEISESNDLVFKQDKEVLNGDKKDIHGKQKIHENSLLGCWDTMLSSEKIDQVDDGDLSKTHSDTSKSNQVKVEEMSSEETMSDDH >Ma08_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6746507:6751049:-1 gene:Ma08_g09340 transcript:Ma08_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVANPSILSPPTVLSSQKPTASRCLPSLRPFSSSAYPSLALLNLHLHPLAKPCLPIIPRKLDAPIIRPEASDVAAAEAYLAADEAASDSAVATASPQPKIKTGKAALPLKRDRTRSKRFLEIQKLRENKKEYDVPTAISLLKGTANTKFVESAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTIKVAVLSQGERIDEAKNAGADIVGGEELIEQIKGGFMDFDKLIASPDMMPKVASLGKLLGPRGLMPNPKAGTVTTDISQAIQEFKKGKVEYRVDKTGIVHLAFGQVNFTDEDLIINLMAAVRSVETNKPSGAKGVYWKSAHICSSMGPSVRLNIREMLDYKPPEV >Ma04_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23901236:23901749:1 gene:Ma04_g21290 transcript:Ma04_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTGEGCDCSGWDMACPIVVFGHSHADDWIYHSEWEVQKAMLPFVEGSKKKMLALSNHGRC >Ma06_p29040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30538128:30540093:-1 gene:Ma06_g29040 transcript:Ma06_t29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAAAAVEQAPVLPRRESRRLPDFLQSVNIKYVKLGYHYLITHLLALLLIPLMVVILLEAAQTEPDDLRQLWLHLQYNLVSVLVCSAFLVFGATVYIMTRPRPVYLVDYACYRPPPKLQVPFRRFMKHSQLSGEFNESSLEFQRRILERSGLGQETCLPSALHYIPPRPSMAYAREEAEDVMFGALDTLFRNTGVKPKDVGILVVNCSLFNPTPSLSAMIVNRYKLRGNIKSFNLGGMGCSAGVISIDLARDLLQVHRSTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGAAAVLLSNRTVDRRRARYKLVHVVRTHRGADDKAFRCVYQEQDEAGKVGVSLSKELMAIAGEALKINITTLGPLVLPISEQLLFFATLVAKKLFNGKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLRPEHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGHRVWQIAFGSGFKCNSAVWQALRSVKPSPDGPWEDCIHRYPVEIVDGFPQPPQEQ >Ma09_p19100.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19904098:19910390:1 gene:Ma09_g19100 transcript:Ma09_t19100.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MVVYQSLGFDLIVYAPYRSVEGFNEDMQEFCHARDEEQEKFKNFLQTANAEVDRIMLTDAPLLYPPGQLSLASLHRANEVHGVLDFERYLNILLSRQHSAYSTSQLFESLKSIDTLVGKLNIPTAKDMRHIDRKLKSCWDPSSQDENKKREKRSKHKSKRTASEMQGARA >Ma09_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19904089:19910390:1 gene:Ma09_g19100 transcript:Ma09_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MVVYQSLGFDLIVYAPYRSVEGFNEDMQEFCHARDEEQEKFKNFLQTANAEVDRIMLTDAPLLYPPGQLSLASLHRANEVHGVLDFERYLNILLSRQHSAYSTSQLFESLKSIDTLVGKLNIPTAKDMRHIDRKLKSCWDPSSQDENKKREKRSKHKSKRTASEMQGARA >Ma09_p19100.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19902705:19910390:1 gene:Ma09_g19100 transcript:Ma09_t19100.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFQTSTHRSRWIFSPQELLEKREAANQRAAKSLEQFGVTRLEVHLDGSVSYVEPILDQKDNAERSLPKPLNCEEEQHMRIFYEQKIQEVCLAFKFPHKIQATAIMYFKRFYLQWSVMEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHQIILNNEMVVYQSLGFDLIVYAPYRSVEGFNEDMQEFCHARDEEQEKFKNFLQTANAEVDRIMLTDAPLLYPPGQLSLASLHRANEVHGVLDFERYLNILLSRQHSAYSTSQLFESLKSIDTLVGKLNIPTAKDMRHIDRKLKSCWDPSSQDENKKREKRSKHKSKRTASEMQGARA >Ma09_p19100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19903287:19910390:1 gene:Ma09_g19100 transcript:Ma09_t19100.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MRIFYEQKIQEVCLAFKFPHKIQATAIMYFKRFYLQWSVMEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHQIILNNEMVVYQSLGFDLIVYAPYRSVEGFNEDMQEFCHARDEEQEKFKNFLQTANAEVDRIMLTDAPLLYPPGQLSLASLHRANEVHGVLDFERYLNILLSRQHSAYSTSQLFESLKSIDTLVGKLNIPTAKDMRHIDRKLKSCWDPSSQDENKKREKRSKHKSKRTASEMQGARA >Ma09_p19100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19902705:19910390:1 gene:Ma09_g19100 transcript:Ma09_t19100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFQTSTHRSRWIFSPQELLEKREAANQRAAKSLEQFGVTRLEVHLDGSVSYVEPILDQKDNAERSLPKPLNCEEEQHMRIFYEQKIQEVCLAFKFPHKIQATAIMYFKRFYLQWSVMEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHQIILNNEMVVYQSLGFDLIVYAPYRSVEGFNEDMQEFCHARDEEQEKFKNFLQTANAEVDRIMLTDAPLLYPPGQLSLASLHRANEVHGVLDFERYLNILLSRQHSAYSTSQLFESLKSIDTLVGKLNIPTAKDMRHIDRKLKSCWDPSSQDENKKREKRSKHKSKRTASEMQGARA >Ma09_p19100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19902688:19910390:1 gene:Ma09_g19100 transcript:Ma09_t19100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFQTSTHRSRWIFSPQELLEKREAANQRAAKSLEQFGVTRLEVHLDGSVSYVEPILDQKDNAERSLPKPLNCEEEQHMRIFYEQKIQEVCLAFKFPHKIQATAIMYFKRFYLQWSVMEHHPKHIMLTCIYASCKVEENHVSAEELGKGIQQDHQIILNNEMVVYQSLGFDLIVYAPYRSVEGFNEDMQEFCHARDEEQEKFKNFLQTANAEVDRIMLTDAPLLYPPGQLSLASLHRANEVHGVLDFERYLNILLSRQHSAYSTSQLFESLKSIDTLVGKLNIPTAKDMRHIDRKLKSCWDPSSQDENKKREKRSKHKSKRTASEMQGARA >Ma05_p27320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38675523:38675642:1 gene:Ma05_g27320 transcript:Ma05_t27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINILLIMMGNYSISLDNSDRIRREILRHLFRASKVCL >Ma06_p29030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30534610:30536194:-1 gene:Ma06_g29030 transcript:Ma06_t29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSNVHSKEKILWIFKLVILSKCSVVDISFGLISGSDCPTLMQIWSNRSPTLYMSLSDVALNEEKSESRPYDIMSAEYPTKSSY >Ma03_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5984884:5986166:-1 gene:Ma03_g08300 transcript:Ma03_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGRACGSATPPSEEEMELRRGPWTADEDLILMNYVTAHGEGRWNSLARRAGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHFRLGNRWSKIARHLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSKQFRDILRSIWMPRLVERIREASSSSAATAMHQNAAAPLAPTRPVHRAAEPPPTMEYSFEARLSSPPASESVTESRGIQGVGVDWMQGAQPPSPGGYAFSGLPDVDQSGWGESLWSVEDIWLQQQQQL >Ma05_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37166044:37167618:1 gene:Ma05_g25150 transcript:Ma05_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKAYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDQIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKRRQSAQSPSLHLNQAAGEGANGVNHEAPSQTLSASALERLQLHMQFQGLYHPFSFHNHPARQPKCHPPGDPTATTLIQQVSPPKPDAGHLQEIDCSSVGFHSPSAMETSSSNLDAGLEADLQDLLYCKNSSSFVGHEEHQLANLDYYKDLYGERETANWCPCNGLEDQSSSMGSWDSASALHSDAMIQEFALRYNL >Ma06_p29060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30553182:30554508:1 gene:Ma06_g29060 transcript:Ma06_t29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGNSNMSNKSVNSGEEADVRKGPWTMEEDLILVNYIGNHGEGVWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITAQEQLLIMELQARWGNRWSKIARQMPGRTDNEIKNYWRTRIQKRVKQSDSPQFQNAILIDEANSSTSQTHIVDAGIVQPSLLDEPTIMNTDGIEPQFFIDFDDNFWTFDELWSM >Ma05_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10763614:10764494:1 gene:Ma05_g14680 transcript:Ma05_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTQTSLFTPPTTIPFSKPAAPPALLPCKQTPGARQLRGASLRVSATEEKKTAAPEAPEAPAGFTPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWDSPKEQVFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNMRSIGKNVSPIEVKFTGKQVYDL >Ma01_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3559501:3561687:-1 gene:Ma01_g05050 transcript:Ma01_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAVSSAMKSTLNPNAPLFIPMALQQVEDFSPEWWELVNTTTWFREHWFHRNQDQETFDVNDEEDVANLLPDTIDLGIGDELSIIEAELDDAAFHQSVVAAEALKKGIIDYGFLNDEESMVRNLGLKSPKNAVRPILQSAKYWDKPAQRPNPMGSPRRMIQQPR >Ma02_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15336828:15340938:1 gene:Ma02_g03900 transcript:Ma02_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKVPKVHEDVFVAPSASVIGDIEVGTDPRFGMDAFREQFNFLLLMGEKLPCICKWSGSYGQFVIMTSFFCGRFAFSFCDVNSIRVGTGTNIQDHSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGAVLLDRVVVEKHGMVAGGALVRQNTKIPSGEVWGGNPAKVLKKLSEEEIAFISQSAANYCNLARAHAVENAKPFDEIEFEKMLRKKFARRDEEYGSLGVDRP >Ma04_p30120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30855263:30856847:-1 gene:Ma04_g30120 transcript:Ma04_t30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSWANVSCWLGLKLKWRTESTLKRFLCYQRGRTNSSSFFSLKCFPYL >mito4_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000015.1:773354:774266:1 gene:mito4_g00090 transcript:mito4_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYLYINKGKLQMTIYSIVIHKLLSTKAHLGRRVAAHHFNKVYICGSRNGIAILDSDKTLICLRNALNFIGSLIRQKGRSFLLKTQNNHISYIMEEMASCINDSQCRIGAFFTHSCSSPTKIRSRKNKINLGSNQQPDCVVILDPERKCSVIQEADRSQIPIASLVFSTIPLISYKRITYPIPANDPIQFIYLFCHSITKTVILERGRIVAIPENQSNRVIAVL >Ma07_p20580.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28442452:28445584:-1 gene:Ma07_g20580 transcript:Ma07_t20580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANSSSLHSSSGGGGDDEFDSRTGSFSAFFHSSTATSASAASALRPAPPPSSSYPRSHHFLDSLSCIDSTPLLPHSPAAMASHGVGFGVSVGPSSVQPLGQSNVAAAATALPRGSKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAASPSSSFARARLDLFHSATAFRSCSDPPPPVSSFLFPRPFMQQVLSPSLVPISSASSANASATISNAIAATSNTISNAHSTTSNSINPSSSNSYQLPSSVLGLASQNQHLLDPSLTIQPLLQPSSALGLGSQSHPLLNPSLTFHSLLQPSLHAKSNLPAMPADLDTRPLTRGHAGYALNELGLPTRLAASQGTHSVHSAVSGQDGGRDRASPRPILIGNYSSGSHQRVSSNHKANYSRSSSSEFNAENVTDGVTAMRGEEP >Ma07_p20580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28442452:28445584:-1 gene:Ma07_g20580 transcript:Ma07_t20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANSSSLHSSSGGGGDDEFDSRTGSFSAFFHSSTATSASAASALRPAPPPSSSYPRSHHFLDSLSCIDSTPLLPHSPAAMASHGVGFGVSVGPSSVQPLGQSNVAAAATALPRGSKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAASPSSSFARARLDLFHSATAFRSCSDPPPPVSSFLFPRPFMQQVLSPSLVPISSASSANASATISNAIAATSNTISNAHSTTSNSINPSSSNSYQLPSSVLGLASQNQHLLDPSLTIQPLLQPSSALGLGSQSHPLLNPSLTFHSLLQPSLHAKSNLPAMPADLDTRPLTRGHAGYALNELGLPTRLAASQGTHSVHSAVSGQDGGRDRASPRPILIGNYSSGSHQRVSSNHKANYSRSSSSEFNAENVTDGVTAMRGEGMVDSWICSSD >Ma07_p20580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28442452:28445584:-1 gene:Ma07_g20580 transcript:Ma07_t20580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANSSSLHSSSGGGGDDEFDSRTGSFSAFFHSSTATSASAASALRPAPPPSSSYPRSHHFLDSLSCIDSTPLLPHSPAAMASHGVGFGVSVGPSSVQPLGQSNVAAAATALPRGSKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAASPSSSFARARLDLFHSATAFRSCSDPPPPVSSFLFPRPFMQQVLSPSLVPISSASSANASATISNAIAATSNTISNAHSTTSNSINPSSSNSYQLPSSVLGLASQNQHLLDPSLTIQPLLQPSSALGLGSQSHPLLNPSLTFHSLLQPSLHAKSNLPAMPADLDTRPLTRGHAGYALNELGLPTRLAASQGTHSVHSAVSGQDGGRDRASPRPILIGNYSSGSHQRVSSNHKANYSRSSSSEFNAENVTDGVTAMRGEGMVDSWICSSD >Ma07_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28442452:28445584:-1 gene:Ma07_g20580 transcript:Ma07_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANSSSLHSSSGGGGDDEFDSRTGSFSAFFHSSTATSASAASALRPAPPPSSSYPRSHHFLDSLSCIDSTPLLPHSPAAMASHGVGFGVSVGPSSVQPLGQSNVAAAATALPRGSKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAASPSSSFARARLDLFHSATAFRSCSDPPPPVSSFLFPRPFMQQVLSPSLVPISSASSANASATISNAIAATSNTISNAHSTTSNSINPSSSNSYQLPSSVLGLASQNQHLLDPSLTIQPLLQPSSALGLGSQSHPLLNPSLTFHSLLQPSLHAKSNLPAMPADLDTRPLTRGHAGYALNELGLPTRLAASQGTHSVHSAVSGQDGGRDRASPRPILIGNYSSGSHQRVSSNHKANYSRSSSSEFNAENVTDGVTAMRGEVMHVLL >Ma02_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5348673:5351145:-1 gene:Ma02_g00470 transcript:Ma02_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKKAKKSTESINNRLALVMKSGKYTLGYKTVLRSLRSSKAKLVMIANNCPPLRKSEIEYYAMLAKVGVHHFSGSNVDLGTACGKYFRVCCLSIIDPGDSDIIKSMPGEQ >Ma07_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32020380:32022186:1 gene:Ma07_g24780 transcript:Ma07_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPELFRCPISLDLFADPVTLSTGQTYDRASIEKWLGYGNSTCPVTMQRLHDKSLVPNHTLRHLIEQWFLTASVHGDGLVLMDPINSMDISLSELKRNLRLPTSTLTTKLETLRIIKVLSSESSVRQASLVQAGFFQLLLHVLFQAPVAHNAEVTELALDGVLNLLPSADLESLNMLRKESSLTQLVFLLDQSNVKIKTALCCLLETIAACSATQELCLMLGRRQRVLQILVSLLQQKSDAQASEAAVRAVCSLCSSEANRATAIREGAVDGLIAYLADTGCAPRSRNRSAARALATLELLLGLEIGKRKMNKNPDAIATLVKMVFRVPSDQRGSEHAVGSLLLVCCDSATVRREAIDAGVLTKLLLLLQSQSSAQAKTKARALLKLLIPVIKKQVL >Ma07_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10772578:10774800:-1 gene:Ma07_g14360 transcript:Ma07_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVFRSSLVQQQMMEGSPNCWSTNNMKPPPELPFPLLPASSSPSVFPQNPQPSDTEISPWQDSHDLLESWCQLLLSSRGGLVGEEEKYGWTPFQTKKMMSSDDPRDEVLFPSATAHIADMKQEYSGSGYVHSHGNEGIQVSRSAWGQILPASSPRSCITTSFSTNILDFSNMARRQPDNSSECNSTETAPNKKARVQGSFSPKSTLKVRKEKLGDRITALHQLVSPFGKTDTASVLQEAIGYIRFLQSQIEALSSPYLQGSASGNMRQPGIGYINKEKDTPPALANRSATQ >Ma07_p14360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10770626:10774800:-1 gene:Ma07_g14360 transcript:Ma07_t14360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVFRSSLVQQQMMEGSPNCWSTNNMKPPPELPFPLLPASSSPSVFPQNPQPSDTEISPWQDSHDLLESWCQLLSSRGGLVGEEEKYGWTPFQTKKMMSSDDPRDEVLFPSATAHIADMKQEYSGSGYVHSHGNEGIQVSRSAWGQILPASSPRSCITTSFSTNILDFSNMARRQPDNSSECNSTETAPNKKARVQGSFSPKSTLKVRKEKLGDRITALHQLVSPFGKTDTASVLQEAIGYIRFLQSQIEALSSPYLQGSASGNMRQPARGNTSCSLSEDPAQFSDGGGMKRSGPQDQERCEEPKKDLRSRGLCLVPVSFTMHVGSDNGADFWAPALGGGF >Ma07_p14360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10770626:10774800:-1 gene:Ma07_g14360 transcript:Ma07_t14360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVFRSSLVQQQMMEGSPNCWSTNNMKPPPELPFPLLPASSSPSVFPQNPQPSDTEISPWQDSHDLLESWCQLLLSSRGGLVGEEEKYGWTPFQTKKMMSSDDPRDEVLFPSATAHIADMKQEYSGSGYVHSHGNEGIQVSRSAWGQILPASSPRSCITTSFSTNILDFSNMARRQPDNSSECNSTETAPNKKARVQGSFSPKSTLKVRKEKLGDRITALHQLVSPFGKTDTASVLQEAIGYIRFLQSQIEALSSPYLQGSASGNMRQPARGNTSCSLSEDPAQFSDGGGMKRSGPQDQERCEEPKKDLRSRGLCLVPVSFTMHVGSDNGADFWAPALGGGF >Ma01_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6679416:6683287:1 gene:Ma01_g09240 transcript:Ma01_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTAPQQPNRQAYTAFRSSPGVLFWSAVHVLCCLLSAAAGFRFSRLLFLLLFSPSPPSSSSLHHRHLLRQPPPAVLPSPPPPHIHLPPPPPAAASNRVVVGRHGIRVRPWPHPNAADVARAHEILARVQQEQRRRYGVKDPRPVLVVTPTYARTFQALHLTGLLHSLMLVPHPLTWLVVEAGGVSNETAALLARSRLPVVHLPFHEQMPVRWHDRHRLEARMRLHALRVIREKQLDGIIVFADESNVHRLELFDEVQKVEWMGALSVGILTHSQREGEKGQSPLPIQGPACNASGHLIGWHTFNNLPYAKKAAAFVGDGATVLPMKLEWAGFVMNSRLLWREAEEKPGWVRDLDEVGINGEEIESPLDLLKDASSVEPLGNCGKKVLLWWLRAEARFDSRFPARWVIDPPLEIIVPAKRTPWPEAPPDLPFQVIADDEDHVEVHPSKKTRSSRSKRISRKKKKRETHVDAQVSDLFNWQEK >Ma01_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9868488:9870876:-1 gene:Ma01_g13520 transcript:Ma01_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLPETDGPGEILSDAWDYKGRPAIRSRSGGWTSAAMILVVELNERLTTLGIAVNLVTYLRGTMHLGTAVSANTVTNFMGTSFMLCLLGGFIADTFLGRYLTIAIFAAVQASGVTILTISTVVRGLRPPACSDLAAGGCVKASGTQLAVLYLALYLTALGTGGLKSSVSGFGSDQFDETNRREKVQMMKFFNWFFFFISLGSLVAVTVLVYIQDNLGRQWGYGLCAAAIGLGLVLFLSGTRRYRFKKLVGSPLTQIAAVMVAAWRKRGLELPSDPSLLHDIDEKQTAAPGAEKGSKKSSAKQRLPRTKQFRCLDRAAIDVNLSTAKPTKWQLATLTDVEEVKIVIRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRRIGRSFRIPPGSLTVFFVGSILLTVPVYDRVVVPVTRRFTGNPHGLTSLQRMGVGLVMSILAMVAAALIEIKRLRVARANAASMEKGATVPVSVFWLVPQFFLVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSVLVSVVHKVTGESGHGGWLTDNLNRGKLYNFYWLVAVLSSVNLVLYLVAAKWYVYKEQRAVVDDDSMAGVELTEEACCHA >Ma09_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9892140:9895715:-1 gene:Ma09_g14490 transcript:Ma09_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVDDAAKPRSDGGGRSPDIGPPPSPPDSVRSLPSDPPPVVEKSVTWSSDLTREAPSSAAGYGHGGASPVPTGHNPYIASSPTVTDNQNALETVKNVLSSWGKKVRETAKKAEDLSRNTWQHLKTSPSFTDAAMGRIAQGTKVIAEGGYEKIFRQTFDSFPDEQLRNSYACYLSTSAGPIMGILYLSSAKLAFCGDNPLPYKVGDRTEWSYYKVVIPLHQLRAANPSINSANSAEKYIQIVSADNHEFWFMGFLSYDTAVTHLQEVLHDINKSQS >Ma06_p00840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:683495:703465:1 gene:Ma06_g00840 transcript:Ma06_t00840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFNNQILVEKLTKLNNSQQSIETLSNWCIFHRKKAKEIVETWDQAFSNSPREKRVPFLYLANDILQNSRRKGSEFVNEFWKVLPGCLKNVYENGEDHGKKVVNRLVEIWDERKVFGSRGRGLKDEILGNAPILVSDNGKSTNPIKFVRKDANFVRIKLALGEMPEKIVTAYQSVLDDRSNEDTALSNCKISVQVLEKMEKDVDVSCTQGNQQGSPMIDNLQVQETILKQCIEQLEIAFAARATLVAQLKEALNEQELKLELIHNHLQIAQYQTENTSSMRQRLGLATTTNEIVAGTTLPVGNFASKATSATEPKISPISITQLAPAQPVTSIARSLSSVEDDHKKAAAAVAAKLAASSSSAQVLTSILSSLAAEEAASMSNGLSSRVVSNSPNFPLEKRPRLENLMSMADMDKTSYFSQVQQPQQQQQTVPLVLPQTSAASMQQFSQSNLAPPNITPPPPPLPPLPPPPMQQYAHGSGATISVTPYGFIGGSLAPPPPLPSNPSLGLTRPGIPPPLPPPPPPPHQHQQPITVGFYQSPGIGFYGQAQTAPTVQRQ >Ma06_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:683481:703465:1 gene:Ma06_g00840 transcript:Ma06_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFNNQILVEKLTKLNNSQQSIETLSNWCIFHRKKAKEIVETWDQAFSNSPREKRVPFLYLANDILQNSRRKGSEFVNEFWKVLPGCLKNVYENGEDHGKKVVNRLVEIWDERKVFGSRGRGLKDEILGNAPILVSDNGKSTNPIKFVRKDANFVRIKLALGEMPEKIVTAYQSVLDDRSNEDTALSNCKISVQVLEKMEKDVDVSCTQGNQQGSPMIDNLQVQETILKQCIEQLEIAFAARATLVAQLKEALNEQELKLELIHNHLQIAQYQTENTSSMRQRLGLATTTNEIVAGTTLPVGNFASKATSATEPKISPISITQLAPAQPVTSIARSLSSVEDDHKKAAAAVAAKLAASSSSAQVLTSILSSLAAEEAASMSNGLSSRVVSNSPNFPLEKRPRLENLMSMADMDKTSYFSQVQQPQQQQQTVPLVLPQTSAASMQQFSQSNLAPPNITPPPPPLPPLPPPPMQQYAHGSGATISVTPYGFIGGSLAPPPPLPSNPSLGLTRPGIPPPLPPPPPPPHQHQQPITVGFYQSPGIGFYGQAQTAPTVQRQ >Ma08_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3103063:3103365:1 gene:Ma08_g04440 transcript:Ma08_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFNIFLYSRYSDSGRLSLSSLKPSTYMQPRFIVSAQFSSLNIEETQRRPKEKKYKLCFPECPNIYIPKCLESTNESIPILRIGGTATSNSKDQPKINK >Ma03_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:623079:629512:-1 gene:Ma03_g00770 transcript:Ma03_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAIEEHCTGKKIAACSVADDLKVIEGVSRSDLEAALFGKTILATLRKGKNLWLRLDSPPFPTFQFGMAGAVYIKGVAVTKYKRSAVSDTDEWPSKHSKVFIELDDGLEFSFTDKRHFARVRLLEDPESVPPISELGPDALLELMPVGDFVESLSKKKTAIKALLLDQSYISGIGNWIADEVLYQAKIHPLQIASSLSKECCESLHRCIREVIEYAVEVDADSNRFPKEWLFHFRWGKRPGKVNGMKIEFITAAGRTSAYVPELQNLTGNQSEKVSRKKSTNVTSKKNVDAEESPSEKEETYETSKKNETAEDNKGREKKTSETENDRISSKVMAKKHVAVAKRSLRKRSKSSQADL >Ma03_p00770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:623079:629501:-1 gene:Ma03_g00770 transcript:Ma03_t00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAIEEHCTGKKIAACSVADDLKVIEGVSRSDLEAALFGKTILATLRKGKNLWLRLDSPPFPTFQFGMAGAVYIKGVAVTKYKRSAVSDTDEWPSKHSKLDDGLEFSFTDKRHFARVRLLEDPESVPPISELGPDALLELMPVGDFVESLSKKKTAIKALLLDQSYISGIGNWIADEVLYQAKIHPLQIASSLSKECCESLHRCIREVIEYAVEVDADSNRFPKEWLFHFRWGKRPGKVNGMKIEFITAAGRTSAYVPELQNLTGNQSEKVSRKKSTNVTSKKNVDAEESPSEKEETYETSKKNETAEDNKGREKKTSETENDRISSKVMAKKHVAVAKRSLRKRSKSSQADL >Ma03_p30870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33315428:33325889:-1 gene:Ma03_g30870 transcript:Ma03_t30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVVPKRPCPSADPSSTFDASSSPSRVPSGPMAMDRVLEALLALPDPSVALELSLESLLDSRLLESDKDRLIEGAMEAGSALLEAARRSARRRASKHNFSSWPLASDLTIKVFSKLDTQSLCHAAATCSMFNKCATDPMCYANIDLTAEVPKVNNTVVSTMIQRAGKNLQSLKLGIRPSPASATELCRPLSYSTRNPMDTSGLSWSQKRPRQGRETSLLTRSCLLALSVDGGAAGTLLRSLHLYNIDKMDNSALCTALSACPYLLDLEVVGLHVELKRTLDAVSSNCHCIERLLFESSDTGRDDSLNSATCIDLVNGCPNIVSLALRGFKLHDHKVRILVKGSRHLKFVDFSTSYSITGTFLRNLSGGTNAHPLEVLILRDCLHLKEVEVSHLFSAMLAGDFKLLRYLDISNKDGLSAENDWNYRCYNPCTQLISQVLKQRPELCLLVKFPPEGSLIDIDLIADSEISSGTSSLMLYNLAFDSYLTNSSENSYSSDQGSGNEDVPDLNFPYDEDIFDELEFL >Ma11_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6996262:7005288:1 gene:Ma11_g08840 transcript:Ma11_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQTHGFSKQTCRLVAVLCGKFTGKRNEVKRQHPYPFPELVSSGRLEVHTLVNPSVDSFREAQKSLKPNILYFQGSELENEEEIGTLVWGDLDVSKPDMFSALIDPPLPTTVYLEVPNGEKIAQALHSKGTPYVIYWKNAVSSYTASHFRQALLSVLQSSCSHTWDAFQLAHASFRLYCVRNNYVLPDNGQKESSNHGPQLLGDAPKINIVLPDRAAEEGEETSSDSLPVTKINDGDVDMRLLICGLPCTLDACLLGSLEDGINALLNIEIRGSKLNNRMSVAHPLQDGPLSDAVVTMRCDLTTCSSTHTSILVSDSVQSCLNDQVLENHIKNELIEKSQLVHAIPDCDQNKPPLYESLPSVSVACGASAFEVRMKVPSWAVQVLKQLASEVSYRSLVTLGIASIQGIPVASFEKEDADRLLFLWNRQRKEIIFRHELSSLPPLSSSVVTKRSKTFSEAKPIAFSQTMKENGIFLNDVEDAKKEVVSREAIHILSFSGRKRLKVATMKPVPCSRQRMLPLGIQDDVPTASSKKNNTIRVPLTPRTSMSSSFRPKQKIVPQNPLPLKKHGCNRSSIQVCSEVEFLEDVMQFLVIRGHNRLVPQGGISKFPDAVLNAKRLDLFNLYRQVVSRGGFYVGNGINWKGQIFSKMQNYTASNKMTGVGNTLKKHYEMYLLEYELAHNDVDGECCLLCRSSAPGDWVSCGSCSEWAHLGCDRRQGLATFKDYAKTDGLEYICPNCSVNNRKMKSQKVAK >Ma04_p30410.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31111147:31118952:1 gene:Ma04_g30410 transcript:Ma04_t30410.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKKTFVAPAIVFTPLQSVTPAQGGGHPTTGQPLVHTWPRPLVILSQATPWAARPPIPSASELSSVQNNCHGEKMMDMAGAEPMIIGDASNAKYVPRVDMLFDSENDAYDFYNTYAENVGFIVRRSTLWTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPACMTIRLTANGKYRVTEFVPNHNHQIATVSTIHTLRAKKLRRKARVARADLVDDTVRTPEFETEDEAYEFYSMYAGRIGFSVRRASVTVNAENVITRRMFVCSREGFREQKKGAKRVKKPRPEFRTGCPACMVIRITPTGKYQVTEFVTYHNHQLEASLSTEILTSQTIENGEDHVSDTADESADDAHVKQVQTHHSSTVLPSDKINYPQPKRLKAIQVGDAGATLEYLQKMQENNPSFYYAVQVDKDDNLTNFFWADAKSMMDFYYFGDIVCFDTTYKILGYGRPFALFTGINHHKQTVIFGASLIYDECIESFKWLFESFKTAMSGKQPRTILTNRCSMMSEAIAAIWPGTHHRFCVWHIYQNSAVQLSQAFHGSRTLVYDFCRCLFDCEEEEEFLKEWETMCVKYELKDNQWLHKLFDEREKWALVYGREAFYADMKSVQQKESMGTELKKILVIETELPSFFESYERMLSERRCAELQADISASMSTKKSPSMRMLRQAANAYTSAAYKMFEREFELYMDCVLYSCGQVGTISEYKVTSEEKPREHLVKFDSVDGTVTCSCKKFECLGIQCCHVLKVLDSRNIKDLPPQYILKRWRKDAKTRCLSEKFAVSFDGDPQSSLAKRNSFLCRIFSITAAHAAKSLDSYAFLESQSDILSNQLEQVLQTRPLETAAMIPAPCDRLQNPVESVVAESLQYDKPNQAIFVENPAHGFLSSRHSHPAMCWGQFPSGPPEL >Ma04_p30410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31111147:31118952:1 gene:Ma04_g30410 transcript:Ma04_t30410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKKTFVAPAIVFTPLQSVTPAQGGGHPTTGQPLVHTWPRPLVILSQATPWAARPPIPSASELSSVQNNCHGEKMMDMAGAEPMIIGDASNAKYVPRVDMLFDSENDAYDFYNTYAENVGFIVRRSTLWTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPACMTIRLTANGKYRVTEFVPNHNHQIATVSTIHTLRAKKLRRKARVARADLVDDTVRTPEFETEDEAYEFYSMYAGRIGFSVRRASVTVNAENVITRRMFVCSREGFREQKKGAKRVKKPRPEFRTGCPACMVIRITPTGKYQVTEFVTYHNHQLEASLSTEILTSQTIENGEDHVSDTADESADDAHVKQVQTHHSSTVLPSDKINYPQPKRLKAIQVGDAGATLEYLQKMQENNPSFYYAVQVDKDDNLTNFFWADAKSMMDFYYFGDIVCFDTTYKILGYGRPFALFTGINHHKQTVIFGASLIYDECIESFKWLFESFKTAMSGKQPRTILTNRCSMMSEAIAAIWPGTHHRFCVWHIYQNSAVQLSQAFHGSRTLVYDFCRCLFDCEEEEEFLKEWETMCVKYELKDNQWLHKLFDEREKWALVYGREAFYADMKSVQQKESMGTELKKILVIETELPSFFESYERMLSERRCAELQADISASMSTKKSPSMRMLRQAANAYTSAAYKMFEREFELYMDCVLYSCGQVGTISEYKVTSEEKPREHLVKFDSVDGTVTCSCKKFECLGIQCCHVLKVLDSRNIKDLPPQYILKRWRKDAKTRCLSEKFAVSFDGDPQSSLAKRNSFLCRIFSITAAHAAKSLDSYAFLESQSDILSNQLEQVLQTRPLETAAMIPAPCDRLQNPVESVVAESLQYDKPNQAIFVENPAHGFLSSRHSHPAMCWGQFPSGPPEL >Ma04_p30410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31111147:31118952:1 gene:Ma04_g30410 transcript:Ma04_t30410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKKTFVAPAIVFTPLQSVTPAQGGGHPTTGQPLVHTWPRPLVILSQATPWAARPPIPSASELSSVQNNCHGEKMMDMAGAEPMIIGDASNAKYVPRVDMLFDSENDAYDFYNTYAENVGFIVRRSTLWTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPACMTIRLTANGKYRVTEFVPNHNHQIATVSTIHTLRAKKLRRKARVARADLVDDTVRTPEFETEDEAYEFYSMYAGRIGFSVRRASVTVNAENVITRRMFVCSREGFREQKKGAKRVKKPRPEFRTGCPACMVIRITPTGKYQVTEFVTYHNHQLEASLSTEILTSQTIENGEDHVSDTADESADDAHVKQVQTHHSSTVLPSDKINYPQPKRLKAIQVGDAGATLEYLQKMQENNPSFYYAVQVDKDDNLTNFFWADAKSMMDFYYFGDIVCFDTTYKILGYGRPFALFTGINHHKQTVIFGASLIYDECIESFKWLFESFKTAMSGKQPRTILTNRCSMMSEAIAAIWPGTHHRFCVWHIYQNSAVQLSQAFHGSRTLVYDFCRCLFDCEEEEEFLKEWETMCVKYELKDNQWLHKLFDEREKWALVYGREAFYADMKSVQQKESMGTELKKILVIETELPSFFESYERMLSERRCAELQADISASMSTKKSPSMRMLRQAANAYTSAAYKMFEREFELYMDCVLYSCGQVGTISEYKVTSEEKPREHLVKFDSVDGTVTCSCKKFECLGIQCCHVLKVLDSRNIKDLPPQYILKRWRKDAKTRCLSEKFAVSFDGDPQSSLAKRNSFLCRIFSITAAHAAKSLDSYAFLESQSDILSNQLEQVLQTRPLETAAMIPAPCDRLQNPVESVVAESLQYDKPNQAIFVENPAHGFLSSRHSHPAMCWGQFPSGPPEL >Ma04_p30410.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31111147:31118952:1 gene:Ma04_g30410 transcript:Ma04_t30410.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKKTFVAPAIVFTPLQSVTPAQGGGHPTTGQPLVHTWPRPLVILSQATPWAARPPIPSASELSSVQNNCHGEKMMDMAGAEPMIIGDASNAKYVPRVDMLFDSENDAYDFYNTYAENVGFIVRRSTLWTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPACMTIRLTANGKYRVTEFVPNHNHQIATVSTIHTLRAKKLRRKARVARADLVDDTVRTPEFETEDEAYEFYSMYAGRIGFSVRRASVTVNAENVITRRMFVCSREGFREQKKGAKRVKKPRPEFRTGCPACMVIRITPTGKYQVTEFVTYHNHQLEASLSTEILTSQTIENGEDHVSDTADESADDAHVKQVQTHHSSTVLPSDKINYPQPKRLKAIQVGDAGATLEYLQKMQENNPSFYYAVQVDKDDNLTNFFWADAKSMMDFYYFGDIVCFDTTYKILGYGRPFALFTGINHHKQTVIFGASLIYDECIESFKWLFESFKTAMSGKQPRTILTNRCSMMSEAIAAIWPGTHHRFCVWHIYQNSAVQLSQAFHGSRTLVYDFCRCLFDCEEEEEFLKEWETMCVKYELKDNQWLHKLFDEREKWALVYGREAFYADMKSVQQKESMGTELKKILVIETELPSFFESYERMLSERRCAELQADISASMSTKKSPSMRMLRQAANAYTSAAYKMFEREFELYMDCVLYSCGQVGTISEYKVTSEEKPREHLVKFDSVDGTVTCSCKKFECLGIQCCHVLKVLDSRNIKDLPPQYILKRWRKDAKTRCLSEKFAVSFDGDPQSSLAKRNSFLCRIFSITAAHAAKSLDSYAFLESQSDILSNQLEQVLQTRPLETAAMIPAPCDRLQNPVESVVAESLQYDKPNQAIFVENPAHGFLSSRHSHPAMCWGQFPSGPPEL >Ma04_p30410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31111147:31118952:1 gene:Ma04_g30410 transcript:Ma04_t30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKKTFVAPAIVFTPLQSVTPAQGGGHPTTGQPLVHTWPRPLVILSQATPWAARPPIPSASELSSVQNNCHGEKMMDMAGAEPMIIGDASNAKYVPRVDMLFDSENDAYDFYNTYAENVGFIVRRSTLWTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPACMTIRLTANGKYRVTEFVPNHNHQIATVSTIHTLRAKKLRRKARVARADLVDDTVRTPEFETEDEAYEFYSMYAGRIGFSVRRASVTVNAENVITRRMFVCSREGFREQKKGAKRVKKPRPEFRTGCPACMVIRITPTGKYQVTEFVTYHNHQLEASLSTEILTSQTIENGEDHVSDTADESADDAHVKQVQTHHSSTVLPSDKINYPQPKRLKAIQVGDAGATLEYLQKMQENNPSFYYAVQVDKDDNLTNFFWADAKSMMDFYYFGDIVCFDTTYKILGYGRPFALFTGINHHKQTVIFGASLIYDECIESFKWLFESFKTAMSGKQPRTILTNRCSMMSEAIAAIWPGTHHRFCVWHIYQNSAVQLSQAFHGSRTLVYDFCRCLFDCEEEEEFLKEWETMCVKYELKDNQWLHKLFDEREKWALVYGREAFYADMKSVQQKESMGTELKKILVIETELPSFFESYERMLSERRCAELQADISASMSTKKSPSMRMLRQAANAYTSAAYKMFEREFELYMDCVLYSCGQVGTISEYKVTSEEKPREHLVKFDSVDGTVTCSCKKFECLGIQCCHVLKVLDSRNIKDLPPQYILKRWRKDAKTRCLSEKFAVSFDGDPQSSLAKRNSFLCRIFSITAAHAAKSLDSYAFLESQSDILSNQLEQVLQTRPLETAAMIPAPCDRLQNPVESVVAESLQYDKPNQAIFVENPAHGFLSSRHSHPAMCWGQFPSGPPEL >Ma04_p30410.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31113635:31118952:1 gene:Ma04_g30410 transcript:Ma04_t30410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKKTFVAPAIVFTPLQSVTPAQGGGHPTTGQPLVHTWPRPLVILSQATPWAARPPIPSASELSSVQNNCHGEKMMDMAGAEPMIIGDASNAKYVPRVDMLFDSENDAYDFYNTYAENVGFIVRRSTLWTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPACMTIRLTANGKYRVTEFVPNHNHQIATVSTIHTLRAKKLRRKARVARADLVDDTVRTPEFETEDEAYEFYSMYAGRIGFSVRRASVTVNAENVITRRMFVCSREGFREQKKGAKRVKKPRPEFRTGCPACMVIRITPTGKYQVTEFVTYHNHQLEASLSTEILTSQTIENGEDHVSDTADESADDAHVKQVQTHHSSTVLPSDKINYPQPKRLKAIQVGDAGATLEYLQKMQENNPSFYYAVQVDKDDNLTNFFWADAKSMMDFYYFGDIVCFDTTYKILGYGRPFALFTGINHHKQTVIFGASLIYDECIESFKWLFESFKTAMSGKQPRTILTNRCSMMSEAIAAIWPGTHHRFCVWHIYQNSAVQLSQAFHGSRTLVYDFCRCLFDCEEEEEFLKEWETMCVKYELKDNQWLHKLFDEREKWALVYGREAFYADMKSVQQKESMGTELKKILVIETELPSFFESYERMLSERRCAELQADISASMSTKKSPSMRMLRQAANAYTSAAYKMFEREFELYMDCVLYSCGQVGTISEYKVTSEEKPREHLVKFDSVDGTVTCSCKKFECLGIQCCHVLKVLDSRNIKDLPPQYILKRWRKDAKTRCLSEKFAVSFDGDPQSSLAKRNSFLCRIFSITAAHAAKSLDSYAFLESQSDILSNQLEQVLQTRPLETAAMIPAPCDRLQNPVESVVAESLQYDKPNQAIFVENPAHGFLSSRHSHPAMCWGQFPSGPPEL >Ma04_p30410.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31111147:31118952:1 gene:Ma04_g30410 transcript:Ma04_t30410.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKKTFVAPAIVFTPLQSVTPAQGGGHPTTGQPLVHTWPRPLVILSQATPWAARPPIPSASELSSVQNNCHGEKMMDMAGAEPMIIGDASNAKYVPRVDMLFDSENDAYDFYNTYAENVGFIVRRSTLWTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPACMTIRLTANGKYRVTEFVPNHNHQIATVSTIHTLRAKKLRRKARVARADLVDDTVRTPEFETEDEAYEFYSMYAGRIGFSVRRASVTVNAENVITRRMFVCSREGFREQKKGAKRVKKPRPEFRTGCPACMVIRITPTGKYQVTEFVTYHNHQLEASLSTEILTSQTIENGEDHVSDTADESADDAHVKQVQTHHSSTVLPSDKINYPQPKRLKAIQVGDAGATLEYLQKMQENNPSFYYAVQVDKDDNLTNFFWADAKSMMDFYYFGDIVCFDTTYKILGYGRPFALFTGINHHKQTVIFGASLIYDECIESFKWLFESFKTAMSGKQPRTILTNRCSMMSEAIAAIWPGTHHRFCVWHIYQNSAVQLSQAFHGSRTLVYDFCRCLFDCEEEEEFLKEWETMCVKYELKDNQWLHKLFDEREKWALVYGREAFYADMKSVQQKESMGTELKKILVIETELPSFFESYERMLSERRCAELQADISASMSTKKSPSMRMLRQAANAYTSAAYKMFEREFELYMDCVLYSCGQVGTISEYKVTSEEKPREHLVKFDSVDGTVTCSCKKFECLGIQCCHVLKVLDSRNIKDLPPQYILKRWRKDAKTRCLSEKFAVSFDGDPQSSLAKRNSFLCRIFSITAAHAAKSLDSYAFLESQSDILSNQLEQVLQTRPLETAAMIPAPCDRLQNPVESVVAESLQYDKPNQAIFVENPAHGFLSSRHSHPAMCWGQFPSGPPEL >Ma04_p30410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31111147:31118952:1 gene:Ma04_g30410 transcript:Ma04_t30410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKKTFVAPAIVFTPLQSVTPAQGGGHPTTGQPLVHTWPRPLVILSQATPWAARPPIPSASELSSVQNNCHGEKMMDMAGAEPMIIGDASNAKYVPRVDMLFDSENDAYDFYNTYAENVGFIVRRSTLWTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPACMTIRLTANGKYRVTEFVPNHNHQIATVSTIHTLRAKKLRRKARVARADLVDDTVRTPEFETEDEAYEFYSMYAGRIGFSVRRASVTVNAENVITRRMFVCSREGFREQKKGAKRVKKPRPEFRTGCPACMVIRITPTGKYQVTEFVTYHNHQLEASLSTEILTSQTIENGEDHVSDTADESADDAHVKQVQTHHSSTVLPSDKINYPQPKRLKAIQVGDAGATLEYLQKMQENNPSFYYAVQVDKDDNLTNFFWADAKSMMDFYYFGDIVCFDTTYKILGYGRPFALFTGINHHKQTVIFGASLIYDECIESFKWLFESFKTAMSGKQPRTILTNRCSMMSEAIAAIWPGTHHRFCVWHIYQNSAVQLSQAFHGSRTLVYDFCRCLFDCEEEEEFLKEWETMCVKYELKDNQWLHKLFDEREKWALVYGREAFYADMKSVQQKESMGTELKKILVIETELPSFFESYERMLSERRCAELQADISASMSTKKSPSMRMLRQAANAYTSAAYKMFEREFELYMDCVLYSCGQVGTISEYKVTSEEKPREHLVKFDSVDGTVTCSCKKFECLGIQCCHVLKVLDSRNIKDLPPQYILKRWRKDAKTRCLSEKFAVSFDGDPQSSLAKRNSFLCRIFSITAAHAAKSLDSYAFLESQSDILSNQLEQVLQTRPLETAAMIPAPCDRLQNPVESVVAESLQYDKPNQAIFVENPAHGFLSSRHSHPAMCWGQFPSGPPEL >Ma04_p30410.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31111147:31118952:1 gene:Ma04_g30410 transcript:Ma04_t30410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKKTFVAPAIVFTPLQSVTPAQGGGHPTTGQPLVHTWPRPLVILSQATPWAARPPIPSASELSSVQNNCHGEKMMDMAGAEPMIIGDASNAKYVPRVDMLFDSENDAYDFYNTYAENVGFIVRRSTLWTSKNIITRRTFVCSREGFREKKKGAKEAKCPRPETRIGCPACMTIRLTANGKYRVTEFVPNHNHQIATVSTIHTLRAKKLRRKARVARADLVDDTVRTPEFETEDEAYEFYSMYAGRIGFSVRRASVTVNAENVITRRMFVCSREGFREQKKGAKRVKKPRPEFRTGCPACMVIRITPTGKYQVTEFVTYHNHQLEASLSTEILTSQTIENGEDHVSDTADESADDAHVKQVQTHHSSTVLPSDKINYPQPKRLKAIQVGDAGATLEYLQKMQENNPSFYYAVQVDKDDNLTNFFWADAKSMMDFYYFGDIVCFDTTYKILGYGRPFALFTGINHHKQTVIFGASLIYDECIESFKWLFESFKTAMSGKQPRTILTNRCSMMSEAIAAIWPGTHHRFCVWHIYQNSAVQLSQAFHGSRTLVYDFCRCLFDCEEEEEFLKEWETMCVKYELKDNQWLHKLFDEREKWALVYGREAFYADMKSVQQKESMGTELKKILVIETELPSFFESYERMLSERRCAELQADISASMSTKKSPSMRMLRQAANAYTSAAYKMFEREFELYMDCVLYSCGQVGTISEYKVTSEEKPREHLVKFDSVDGTVTCSCKKFECLGIQCCHVLKVLDSRNIKDLPPQYILKRWRKDAKTRCLSEKFAVSFDGDPQSSLAKRNSFLCRIFSITAAHAAKSLDSYAFLESQSDILSNQLEQVLQTRPLETAAMIPAPCDRLQNPVESVVAESLQYDKPNQAIFVENPAHGFLSSRHSHPAMCWGQFPSGPPEL >Ma01_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25592561:25593208:1 gene:Ma01_g22640 transcript:Ma01_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAMPWELAVYIVNMVWDALDGWISSCLSVADEIADVLRAANSATA >Ma05_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3349922:3365210:1 gene:Ma05_g04390 transcript:Ma05_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TSS [Source:Projected from Arabidopsis thaliana (AT4G28080) UniProtKB/Swiss-Prot;Acc:F4JKH6] MAPKSGKAKPHKAKGEKKKKEEKVLPTALDITVETPDYTQLTLKGISTDKILDVRKLLAVHVDTCHLTNFSLSHEVRGSGLKDTVEIVSLKPCHVSVVEEEYTEELAVAHIRRLLDIVACTTAFGAAPPRHAGGTAALSSGSIEKGDTKPGDTAKAAETISEAPKTEATAGSPKTKAGSKKPDSPTSATAGAAAYKDEPLYPPPKLGQFYDFFSFSHLTPPLQYVRRSSRPFVEDKREDEFFQIDVKICNGKVVTVVASRNGFYPAGKGALLSHSLVALLQQISRAFDGAYKSLMKAFVEHNKFGNLPYGFRANTWVVPPSAADSPSVFPPLPTEDETWGGNGGGQGRDGKHNQRPWANEFVILAAMPCKTPEERQIRDRKAFLLHSLFVDVAVVKAVEAIQHLLFKHDCSNEAPNDPYAAILHDEQVGDLRITVTKDKADASAKLDVKLDGIQAQGMSSKDLCQRNLLKGITADESATVHDTSTMSVVVIRHCGYTVVVKVPVEAGLDVVPVTEQDIYIEDQPEGGSNSLNVNSLRMLLHKSTSFCGAQKSQCADLEDLQSFRSLVREVLADSLQRLQEEATQQRMSIRWELGACWVQHLQNQSSGKTELKKSEDSKVETTVKGLGKQFGQLKQIKKKIDDKGWKTDSAKENSCAGMDADGASPREDKEMALHKLLPEAAYLRLKESETGLHAKSPDELIEMAQRYYEDIALPKLVADFGSLELSPVDGKTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCLHEMIVRAYKHILQAIIAAVGDITDMAGTIASCLNILLGSLPADNADINLDNDYHLKQKWLESFLLKRFGWRLKNKDCHDLRKYAILRGLCHKVGLELVPRDYDMETPHPFRKSDIISMIPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYINPDAELKAREILKKQARAKIKGRNGQNQSETFEIEDEKVDTPKQDYPWRETNDKENNSYIQPMEPKDEKPNITVIHVSKTNLEDDIIEEDTAEEGWQEAVPKGRSLSSRKSVSRRPSLAKINTNALNNVESPRYRVRPSSSISSPGTPPADAIFPSSTSPASKKLVKSSSFNQKLSIVAASTVNDTEKSLGNKLASASPAITATTCKLVSSSNSITSPSTRKTLSYKEVALAPPGTIVKVTEDKVTKEKHSDDQDNEICKEAPSMVPIVGEQITDDTREEMRDQETIEEKKHTSSPVGHKGPVVEGKNQEKMNSMVAEASIELDSSIVVDRKEEKESAIVSSPKESFTLEAANMTFSGPEISEITDTATEDGKVAPVVEESCEISESGCFTEKETEASSSASDGTPAMQESDTTASTEDASNVGDEMQEQLSSGGESEPLPMKEKKNETGEIAKEPSSKLSAAAPPFNPSTIPVFSSVAMPSFKDHGGILPPPVNIPPMLTLPVRKHPHQSATSRVPYGPRIAGGYNRSGHRGHRNKLPFQNGELSPHDGSCFSPKIMNPNAAEFVPGQPWTPNNHPVSPKDFQASPDGIPLSPRSFPPPLDSLVAPPDRLMASPTPIPSDASHSNEAPAEGNDAINKVLEEPVDDNQNPDTEQCNESKDAYLNTEQSTSAETTFENVLPASKVPEAAKSTDKQKCWADYSDGEVDVVEVVS >Ma09_p11170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7562622:7575073:1 gene:Ma09_g11170 transcript:Ma09_t11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIVNPRNRRPRRRRRQEETLDFLDADDRVSTSDSEYVLDEEEDGFDESDQDASALALGMMLSLQGIDTAGGGHGREEPVRPRNDSRRRDRSAALLLWQIWERENEKWIDEFEQKGQDKLGFEPIGLVETAEPSPDVILPLLRFQKEWLAWALKQENSDIKGGILADEMGMGKTIQAISLVLTARALHSRSTGSGLDLNLPPPCSSYSLPEIKCTLVICPVVAVIQWVGEIDRYTEKGSTRVLVYHGAKRDKINSNFDDYDFVITTYSTIECEFRKYMMPPKVACQWCSKMLYPNKLRIHLKYYCGPDASKTEKQSKQVSKKKIEKLKGKRKGFEKTKKQDELADKLMNPSPGKSILHSVKWERIILDEAHFIKDRRSNTAKAVFALKSFYKWALSGTPLQNRVGELYSLVRFLQIWPYSFYLCKDCNCKVLDYGSGKICTSCPHAKVRHFCWWNKFIASPIQKTSIYNDGRRAMILLKERILKTIVLRRTKEGRAADLALPPRIVYLRRDSLDRNEEEFYEALYTQSRVQFDAYAAAGTLMNNYAHIFDLLTRLRQAVDHPYLVLYSKTAEVANASKDDTEKQECGICHDPPEDMVVTSCEHVFCKACLIDYSASLENVTCPSCSRPLTVDLTTKNLGGRITATAVKGHKSGILNRLHDIEAFRTSTKIDALKEEIRDMVECDGSAKGIVFSQFTSFLDLICFSLQKAGIKCVQLVGNMSLVERDKAIKAFSEDGDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVECQAQDRIHRIGQYKPIRIVRFVIEDTIEERILKLQEKKELVFQGTIGHCSEAITKLTEEDLRFLFQV >Ma09_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7562737:7575073:1 gene:Ma09_g11170 transcript:Ma09_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLQGIDTAGGGHGREEPVRPRNDSRRRDRSAALLLWQIWERENEKWIDEFEQKGQDKLGFEPIGLVETAEPSPDVILPLLRFQKEWLAWALKQENSDIKGGILADEMGMGKTIQAISLVLTARALHSRSTGSGLDLNLPPPCSSYSLPEIKCTLVICPVVAVIQWVGEIDRYTEKGSTRVLVYHGAKRDKINSNFDDYDFVITTYSTIECEFRKYMMPPKVACQWCSKMLYPNKLRIHLKYYCGPDASKTEKQSKQVSKKKIEKLKGKRKGFEKTKKQDELADKLMNPSPGKSILHSVKWERIILDEAHFIKDRRSNTAKAVFALKSFYKWALSGTPLQNRVGELYSLVRFLQIWPYSFYLCKDCNCKVLDYGSGKICTSCPHAKVRHFCWWNKFIASPIQKTSIYNDGRRAMILLKERILKTIVLRRTKEGRAADLALPPRIVYLRRDSLDRNEEEFYEALYTQSRVQFDAYAAAGTLMNNYAHIFDLLTRLRQAVDHPYLVLYSKTAEVANASKDDTEKQECGICHDPPEDMVVTSCEHVFCKACLIDYSASLENVTCPSCSRPLTVDLTTKNLGGRITATAVKGHKSGILNRLHDIEAFRTSTKIDALKEEIRDMVECDGSAKGIVFSQFTSFLDLICFSLQKAGIKCVQLVGNMSLVERDKAIKAFSEDGDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVECQAQDRIHRIGQYKPIRIVRFVIEDTIEERILKLQEKKELVFQGTIGHCSEAITKLTEEDLRFLFQV >Ma09_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36062155:36072700:-1 gene:Ma09_g24400 transcript:Ma09_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKIAKLQSAVAELNQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSPPPEDLEATKKLLDKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCKVPLLLMNSFNTHDDTQKIVEKYANSNIEIHTFNQSQYPRLVMEDFQPLPSKGHAGKDGWYPPGHGDVFPSLMNSGKLDALLSQGKEYVFIANSDNLGAIVDIKILNHLINNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKARTNPSNPSIELGPEFKKVANFLSRFKSIPSIVELDSLKVSGDVWFGEGVVLKGNVSIAAKSGVKLEISDGAVLENKVINGPEDI >Ma07_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5847995:5851156:1 gene:Ma07_g07880 transcript:Ma07_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGRPAAAVDLRQWRGDAQEEEDEEGIDHLDRLPDSVLLVVFNRIGDVKALGRCCVVCRRFHDLVPLVDSVLVRVDCVISDDPSPSPAGGAGADRSRGVFSHLARIVIGGIVKPLQALGHILAPSAAVASNRRSSPSSPSSSPSSSRSSEISHHSPAEVLKNFKEIRRLRIELPAGELGVDDGVLLKWKAEFGSTLDSCVILGASSVLSSPSILAKSSSLNPNPSFQDTCGADDSGSMPDSFYTSGNLKLRVFWTISSLIAASARHYLLQPIIADHEPLERLELTDVDGQGVLTMDRWQLQEFRAKPLSASGSSQRTLVPALSMWLWYAPYLELPGGMVLKGATLVAVRPSQEQGMEVASSGEFGGVVEFSDRCSISSAFEEPYRSAAGMLMKRRTYCLEMNSF >Ma10_p27150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34555166:34555763:-1 gene:Ma10_g27150 transcript:Ma10_t27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQWRSLSKPHSTMATPLPEYNNAAEVIISIHDFFWESMQPNGGRLPWGGGVLDQIEKDFRLFL >Ma01_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5403445:5406765:-1 gene:Ma01_g07470 transcript:Ma01_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESAPSPATPSSDLTSPPPVASPPTLPSPAAASPPPSTSQSPSPPSPTQPPPVAPAPLPAEKSTPPPPTPTPPSTSNESFTPPPAVSSSPPPPPPPKTVSPVPTPPPYDSASPPPPPLPASSPPPTPASDSKFPPPPPDAPVVVTAPPTPISTPSPPSPPTQTTPPGSALSPPSTSTPAPSTTAAPPPATPTQINTPPPPAYTSLRPSSTSSTKNSTASPSTTPSAHSNDNSVAKTLVGIAVAGALVALAVIFFVALRKKKRSTKGSRGQYRHPPGQIAFPNTKTESLYGSNSGQFTYDELMGITSGFSRDNHIGEGGFGPVYKGTLPDGRQVAVKQLKIGSGQGEREFRAEVEIISRIHHRHLVSLVGYCIVEHHRLLVYEFVSNKTLEHHLHGEGLPVLDWAKRTRIAIGSARGLAYLHEDCHPRIIHRDIKSANILLDESFEAQVADFGLAKLSNDAHTHVSTRVMGTFGYLAPEYASSGKLTDRSDVYSFGVVLLELITGRKPVDPSQPLGDESLVEWARPLLVHALETGEYEELVDPKLENNFAKSDMLQMIEAAAACVRHSAPKRPRMVQVLRALDGGGSLPDLSNGVKFGQSTVYDSGKYSADIEKLQKIAFGSNTFSIDYDHSTEHEHDEQQSVTQTQTQSSNGV >Ma11_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2479349:2481361:-1 gene:Ma11_g03320 transcript:Ma11_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWSWVERGIESFVMVAAGGSSNERWRRCVALAVTALAGLALAVALVVSSRSGCLTLPWCREKRRHPLTRKEWNNFSRDGKLRGGGMKFLEKVRSGGVDPSIRAEVWPFLLGVYDMNSSGAERNAIREQRRKEYEKLRRRCHQSADEDDKSSSTDETESSDSQSSTGEPTMANPAAEDFATWQRIIRLDAIRSDAEWIPYSPRQAAVPEAEALRLASAVGLTDYGDMEPCRIYHAARLVAVLEAYALHDPEIGYCQGMSDLLSPILAVMEVDDEAFWCFVGFMKRARHNFRLDQAGIQRQLQVVSKLIKLRDADLYQHLEKLQAEDCAFAYRMVLVALRRELTFEQTLCLWEVMWADQAAVRAGYRRRTRSAPPTDDLLLYAIAACVLQRRKAIMERFNSVAEIQKEFNNIAGQLDIWKLLDDAQDMVAALHDKIE >Ma11_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6760768:6762277:1 gene:Ma11_g08500 transcript:Ma11_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNADRVLLLPYPSQGHINPMLQFGKRLAAHGLLVTLAATRFILGSSRPEPGPVRLAAISDGFDATGFDGAGSAPVYLGRFELVGSETLESLLRSEAAAGRPVRLLVFDAFLPWAGDVGRRLGAATAAFFTQSSAVDALFYHVWERRLCPPVQAAVELPGLPRLEPRDLPSYLVELVTAYPAYMDMVMNQFKCLENADEILINSFYELEPEETDFLRVACGAKTVGPTVPSKYLDDRIPFDSQYGLNLFTPAAAPCMRWLGSKRPASVVYVSFGSMAVLGPEQTAELAFGISDSGKDFLWVVRSSETGKLPRDFAEGFAERGLVVSWSPQTEVLAHPAVGCFLTHCGWNSTAEGLSLGVPMVAMPQWTDQLTNAKYVEDVWGVGVRVREDEKGLVRREEVERCVREVMEGRRREEMRMNAAKWRERAKAAVGKDGSSDKNIVALIAKYCTNT >Ma04_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5028482:5032558:-1 gene:Ma04_g06890 transcript:Ma04_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNGRWKPALPPAPVILNLPRRWRQTRASAPPKPGLCRNLGDLLDEERSARPPEPAPSCSSGESVGVSGAEDGWRFQAEILRAECNFLRMEREVAQRKLERNRAQMGDALKSAMESLVSGRKKIDGSDGVGAALDEGIEQLKEKLEQFKFGSSDSRRRRSSRKLLRRSCRGNFDRRASVLRRKLEKMTEDTSVKDIKEISLPSLPKKDPEAEQPEQAESATPDSNHGRQFPDDMEKLRRKMEGMSRGMLERMEECSYLLSANNRNNSSAISSRHNIVAYSEAAGNSFLHLRQKQQPPQEKLVLWLQREKEKMGLVSCCSCKEAVGRIMQQVRAESEQWSQMQEMLEQVRVEMEELRSSRDHWQRRAIVSEINFHSQHTQKLEWKQRARSSERKVIELEKLVSELQKELQPSKGKLLNPPTASPPLHLELRTAESRRGAKNQQMRSLNSCKEEMRVLVHQPKSHNHFTRRSPLQNIDNIFPLGPRK >Ma10_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31562133:31562442:-1 gene:Ma10_g22030 transcript:Ma10_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRWSRVKSGYNSSYFMEGQVHMGRNCIICELPQIDLANYPCSNVIAI >Ma10_p28790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35556455:35559934:-1 gene:Ma10_g28790 transcript:Ma10_t28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGGLAEAEDLRRWRRHPAEAEDNEEEEIDHFDRLPDSVLLLVFNRVGDVKDLGRCCVVCSRFHSLVRLVDSVLVRVDCVISDDPSPSPAGVAGGDSARGVFSHLARIVLGSIARPFQALGHILAPSAGVASDRRSSPSLLSSSSSPLSDFSHHSPAEVLKNFKEIHGLRIELPAGELGVDDGVLLKWKAEFGSTLDSCLILSASSVLSSSSISPKSSSPNSNPSFQDACGGDDGGSIPESFYTNGNLKLRVFWTISSLIAASARHYLLHPIVADHETLESLELTDVDGQGVLTMDRRQLQEFRVKPVSASGSSQRTLVPALSMRLWYAPYLELPGGAVLKGATMVAVRPSQERWREVASSGEWGGSLGPLDRCWISGAFEEPYRSAAGMLVKGRTYCLEMNSF >Ma10_p28790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35556455:35559934:-1 gene:Ma10_g28790 transcript:Ma10_t28790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGGLAEAEDLRRWRRHPAEAEDNEEEEIDHFDRLPDSVLLLVFNRVGDVKDLGRCCVVCSRFHSLVRLVDSVLVRVDCVISDDPSPSPAGVAGGDSARGVFSHLARIVLGSIARPFQALGHILAPSAGVASDRRSSPSLLSSSSSPLSDFSHHSPAEVLKNFKEIHGLRIELPAGELGVDDGVLLKWKAEFGSTLDSCLILSASSVLSSSSISPKSSSPNSNPSFQDACGGDDGGSIPESFYTNGNLKLRVFWTISSLIAASARHYLLHPIVADHETLESLELTDVDGQGVLTMDRRQLQEFRVKPVSASGSSQRTLVPALSMRLWYAPYLELPGGAVLKGATMVAVRPSQERWREVASSGEWGGSLGPLDRCWISGAFEEPYRSAAGMLVKGRTYCLEMNSF >Ma10_p28790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35556455:35559934:-1 gene:Ma10_g28790 transcript:Ma10_t28790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGGLAEAEDLRRWRRHPAEAEDNEEEEIDHFDRLPDSVLLLVFNRVGDVKDLGRCCVVCSRFHSLVRLVDSVLVRVDCVISDDPSPSPAGVAGGDSARGVFSHLARIVLGSIARPFQALGHILAPSAGVASDRRSSPSLLSSSSSPLSDFSHHSPAEVLKNFKEIHGLRIELPAGELGVDDGVLLKWKAEFGSTLDSCLILSASSVLSSSSISPKSSSPNSNPSFQDACGGDDGGSIPESFYTNGNLKLRVFWTISSLIAASARHYLLHPIVADHETLESLELTDVDGQGVLTMDRRQLQEFRVKPVSASGSSQRTLVPALSMRLWYAPYLELPGGAVLKGATMVAVRPSQERWREVASSGEWGGSLGPLDRCWISGAFEEPYRSAAGMLVKGRTYCLEMNSF >Ma03_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3173693:3181009:-1 gene:Ma03_g04810 transcript:Ma03_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTRFFLFVYSCFVLAAAAAAQNGSRNSTAAFHVGVILDLGTLVGKMGSTSISMAVEDFYAMHGNYTSRIVFHAKDSKSDVIQAASAALDLIENSEVEVIIGPQKSSQAAFVAELGDRSQVPIISFSATSPTLTSSLIPYFVRTTLNDSSQVNSISSIIKAYGWREVVLIYEDTDYGRGVIPILVNALQGIDTRVPYHSVIPVSATDDQIMEELYKLMTMQTRVFIVHVSSFMGSRLFLNAKEAGMMTGGFVWIMSDGLANIIDSLDPSVIESMQGTLGVKLYVPKTRKLDDFTTRWKRRFQQDHPNDQQAELSIFALWAYDTVWAVAMAAEKIGIKVASFRKPRIVPNSTVLETLGASMNGPKLLKAILESRFKGLSGEFYLIDRQLRSSIFQIINVVGKGERGIGFWTPEYGISKQLNNTKGYSTLITDLNTVIWPGDYNAVPKGWEMPVSGKKLRIGVPVTQGFPHLMNVETDPVTNSTMGNGYCIDVFETVIKKLRYSIPYEYIPFKTIQGELGGSYNDLTYQVYLQKYDAVVGDVTIRHNRSLYVDFTLPFTESGVSMIVPVADGTKKNAWVFLKPLTLDLWLGSLAFVIYTGFVIWVMEHRINTDFRGPFSQQLGTIFFFSFSTLVFSHREKIENILSKFVVIVWVFVVLVLTSSYTASLTSMLTVQQLQPTVTDVHELLKHGDYVGYHKGSFVEGLLKQLNFDESKLRAYETTGEYFEALSKGSQNGGVSAIVHEIPYIKLFLAEHCTGFTMIGPIYKTAGFGFVFPKGSPLVPDVSRAILNLTDGDSILQIERKWFGDQNACLKQGSIISSDNLSFRNFWGLFMITGVVSTCALFIFLLMFLHKNWHELKGIDSNKPIWQRIGSWARYYNNKDMNSYTFRADGPYNTSPATNSKYGDVADPEATTCENSPNQQGFLMTDSDATHYPPVEESSSGEITRIAA >Ma11_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5131588:5133579:1 gene:Ma11_g06340 transcript:Ma11_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFYLKEWKKKDITRIYHKWQKMNEESAVETGDTSSRTTRVL >Ma06_p36090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35688936:35690236:-1 gene:Ma06_g36090 transcript:Ma06_t36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKKKKKHKHKDQKSQPQKPPRRDESATGDPHFKPSADVKGIRFGGQFVVKSFTVRQATPLELLRLLDIPPSCLSQCQSLPFPSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKAVIFVFESESMKVAVDRLWPRMIPLGDVNKRLIRGLAGCEMSRFKFRKGCLTFYVYAVRRLGAAGFSCADDLRRILEAVVALKDFLDHTAMLALPSQRSIAFPNPVAMAH >Ma11_p21950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25907961:25909277:1 gene:Ma11_g21950 transcript:Ma11_t21950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chalcone--flavonone isomerase 3 [Source:Projected from Arabidopsis thaliana (AT5G05270) UniProtKB/Swiss-Prot;Acc:Q8VZW3] MGSETVMVDQVPFAPEITVTKPLSLLGYGVTDIEIHFLQIKYNAIGIYMEKEIIQHLADWKGKKGSELAEDDVFFDAVVSAPVEKYFRIVVIKEIKGSQYGVQLESAVRDRLAAIDKYEEEEEEALEKVTEFFQKKYFKKDSLITFHFPAASRTAEISFATEGKEEAKVKVENPNVAEMIQKWYLGGTRSVSPTTVKSLADNLGTMLAQ >Ma11_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25908118:25909277:1 gene:Ma11_g21950 transcript:Ma11_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chalcone--flavonone isomerase 3 [Source:Projected from Arabidopsis thaliana (AT5G05270) UniProtKB/Swiss-Prot;Acc:Q8VZW3] MAVGSETVMVDQVPFAPEITVTKPLSLLGYGVTDIEIHFLQIKYNAIGIYMEKEIIQHLADWKGKKGSELAEDDVFFDAVVSAPVEKYFRIVVIKEIKGSQYGVQLESAVRDRLAAIDKYEEEEEEALEKVTEFFQKKYFKKDSLITFHFPAASRTAEISFATEGKEEAKVKVENPNVAEMIQKWYLGGTRSVSPTTVKSLADNLGTMLAQ >Ma11_p21950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25907977:25909277:1 gene:Ma11_g21950 transcript:Ma11_t21950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chalcone--flavonone isomerase 3 [Source:Projected from Arabidopsis thaliana (AT5G05270) UniProtKB/Swiss-Prot;Acc:Q8VZW3] MGSETVMVDQVPFAPEITVTKPLSLLGYGVTDIEIHFLQIKYNAIGIYMEKEIIQHLADWKGKKGSELAEDDVFFDAVVSAPVEKYFRIVVIKEIKGSQYGVQLESAVRDRLAAIDKYEEEEEEALEKVTEFFQKKYFKKDSLITFHFPAASRTAEISFATEGKEEAKVKVENPNVAEMIQKWYLGGTRSVSPTTVKSLADNLGTMLAQ >Ma04_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1942123:1945973:-1 gene:Ma04_g02240 transcript:Ma04_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLHQINRLFTTLQKNKCNIVTTGLKYSDIRAVSDNISVKASIFCNPNQIKGSMERLLQCHDRNSIRNTMLHHEEILRQQVHELHHLYRVQKMLMAELGNKEINISSFPNETAAAVAETKTRIWSSASTSNTSHSSHVSILHQSAACSARAGPSSRELSICSEDPSSVQMKSFGARTVKNQAAAPLSWTDDGSQTELTLSIGCSSNEKKQTPLLHLDTDTNDTRPQLSSRSVMVEKEEECGDSSTGLDSEDLKTPSWLLLALNLNKT >Ma10_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31741231:31742941:-1 gene:Ma10_g22290 transcript:Ma10_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CUP-SHAPED COTYLEDON 2 [Source:Projected from Arabidopsis thaliana (AT5G53950) UniProtKB/Swiss-Prot;Acc:O04017] MENYGRHFDNNEAQLPPGFRFHPTDEELITYYLLKKVLDSSFTGRAIAEIDLNKCEPWELPDKAKMGEKEWYFFSLRDRKYPTGLRTNRATDAGYWKATGKDREIYSSKTMSLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFAYHFLSRSSKDEWVVSRVFQKCGGGGGGGSGKKTRLALAGSHFSNSGNTGGGGGCGSTSSSTLPPLLEPTPLPFSAGLKAPDRESCSYDSTDREPVPCFSTAAAAATGLGLHIPPPPQGPAIFGPTGAFPCLRSLHENLQLPFFLSGMAAQPVYAGPSPAVVVDAGIGTRSEGAGWPSDLDRKAEAAAAVVRAHPMPVGSTELDCLWTF >Ma01_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:465319:470861:1 gene:Ma01_g00610 transcript:Ma01_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAALFNPSPPLLLLLPLLLFSHLLPRALAADLGSGDGYTIAGRVKLDGATSKESGLPAKPTNIKVILNGGQSISFARADGYFSFHNVPAGTHLIEVAALGYFFSPVRVDISARNPGKIQAALTENRRVLYELILEPLREEQYYEKREPFSIMSLMKSPMGLMMGFMLLVMFVMPKLMENIDPEEMRRAQEDMRAQGVPSLSSLLPRSN >Ma02_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26951434:26952967:1 gene:Ma02_g21270 transcript:Ma02_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPVWLLFMAFASLPLLPWCKGGDEAAVKGMFVFGSSLVDNGNNNFLRNSKARADYSPYGIDFPWGPSGRFSNGRNPVDVLGQLLMLPSLIPPFADPKTKGRRIVHGVNYASGGSGILDHTGSLTGEVMNLSSQIGNFEDKTLPELKAQLGNGGSRRVVANRFLSQYLFVVGTGGNDYLLNYFAGDAKNSTTLSEFTHDLVSILSSQLKKLYDLGARKFVLFSIQAMGCVPVVRANVPTAKGGCVEAMNEAAILFNSHLRRLADASRRRRMPGSFVVYVNSYKIIRDIINNPIRSGFSETSDACCELSSAMEDGRGILCRRGGRACGDRDSHVFFDGLHPTDAVNMRIAVKAYGSNLKAEAYPMNVGHLARLSM >Ma06_p37240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36371847:36379170:-1 gene:Ma06_g37240 transcript:Ma06_t37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFRNFFCRSKLAATVIWISVSVLVYLAFRISLESSDPSRALVTSSDSESRMSILERRKILYDKMAKDLDEHGAAFLKHGETSQSLSISDLFEQKNDSVTPVLKMADPPVRATVLHLNSEHSIPISEAVREIFLPYFNGVIWFQNVSIYHSSMFHASHHITPVTATDDEIEDEANTVRGVAEVLCPLKIVLDRVVLTSTGVLLGCWQVTSGTDPAVIRAKLRDALPRAPKKQLYDSVLLHTSFARLLGRPKILLEKLETPSNQLQLFHELVSQLNKKLQGFEATMSELWFVEEYDVLALALNGRMKTRRFPLSCTGN >Ma05_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7815663:7817490:-1 gene:Ma05_g10720 transcript:Ma05_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEENPEHQNGDPSSSSSTARSPEFLIETKDQSSSTYREKCGSQDTVAMKEDNAEYPSNGPSSSSTAQDLTLLTETEEPSSTCKEQCSSQDAIATKEDSPEYHNDDSASLSTAQDPELPTETQEQRCRSHEAVEKRTPLKMKAGSYYIPHMRKLKGEDAHFICEHEQVVGVADGVGGWAKLGIDAGEYARELMSRAEQAVRASPEGCVDPFQVLATAHALTNALGASTACIMALKGQYIHTVNIGDSGFLVVRDDAVLYHSPAQQRGFNTPYQLQNSGETLNDAEVKSMGVEPGDIIVVATDGVFDNLFDSEVVRLIKSGLVLNLSAERIASLIADEAQRNSLRRTKETPFSIACRKAGKRRKGGKKDDITVVVMFIIEADD >Ma11_p11100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11760560:11765173:-1 gene:Ma11_g11100 transcript:Ma11_t11100.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT3G25690) UniProtKB/TrEMBL;Acc:A0A1I9LT48] MLARLSFLVAASVAAYAVKQANTSRPPRLKPSEKAEETTKHDSEEGDYDATDRKIHQHEEEEEEEEEEKVKTISSVISPAPIALPLHDLEDEEILPEFEDLLSGEVELPLTSDKFDVKDRSQYDIDMEINASELERLRRLVKELEEREVKLEGELLEYYGLKEQESDVVELQKQLKIKTVEIDMLNITINSLQAERKKLQDEVAQGVSAKKELEVARSKIRELQRQIQQAASQTKGQLLLLKQQVTSLQAKEEEAAKKEVEVEKRLKAVKDLEVEVLELRRKNKELQHEKRELVVKLDAAESKAAALSNMTETELVAQARQEINNLRHANEDLSKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNHQTPSGKVSARDLNKSLSPKSQEKAKRLLMEYAGSERGQGDTDMESVSSMPSSPGSEDFDNASVDSFSSRLSSVSKKQGLIQKLRRWGKSKDDASVSSSPTRSLGDRSPMRSSQRSRGPLETLMLRNAGDGVAITTYGKKEQDPNEFLEEANLPRIRTQVSSDEQLNNVAASFHLMSKSVEGVAEEKYPAFKDRHKLAMEREKQIKEKAEQARAERFSHNSALNPCTESRTKAALPPKLALIKEKVPAATEPGEQPNGSKIDSSVVSKMQLAQIEKRAPRVPRPPPKPSSGGGAPSSTNSSSGVPPPPPLPPRPGAPPPPPRPPPPPGGLSKTPGGDKVHRAPELVEFYQSLMKREAKKEPSTVFATASNVADARNNMLGEIANRSTFLLAVKADVETQGDFVESLAAEVRAARFTNIEDLVAFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLMKLEKQVSSFEDDPKLPCEAAVKKMYSLLEKMEQSVYALLRTRDMAIARYREFGIPTDWLLDSGVVGKIKLSTVQLARKYMKRVSSELDALSGSDKEPNREFLVLQGVRFAFRVHQFAGGFDAESMRAFEELRSRVNKQTEVAEKSDA >Ma11_p11100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11760560:11765182:-1 gene:Ma11_g11100 transcript:Ma11_t11100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT3G25690) UniProtKB/TrEMBL;Acc:A0A1I9LT48] MLARLSFLVAASVAAYAVKQANTSRPPRLKPSEKAEETTKHDSEEGDYDATDRKIHHEEEEEEEEEEKVKTISSVISPAPIALPLHDLEDEEILPEFEDLLSGEVELPLTSDKFDVKDRSQYDIDMEINASELERLRRLVKELEEREVKLEGELLEYYGLKEQESDVVELQKQLKIKTVEIDMLNITINSLQAERKKLQDEVAQGVSAKKELEVARSKIRELQRQIQQAASQTKGQLLLLKQQVTSLQAKEEEAAKKEVEVEKRLKAVKDLEVEVLELRRKNKELQHEKRELVVKLDAAESKAAALSNMTETELVAQARQEINNLRHANEDLSKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNHQTPSGKVSARDLNKSLSPKSQEKAKRLLMEYAGSERGQGDTDMESVSSMPSSPGSEDFDNASVDSFSSRLSSVSKKQGLIQKLRRWGKSKDDASVSSSPTRSLGDRSPMRSSQRSRGPLETLMLRNAGDGVAITTYGKKEQDPNEFLEEANLPRIRTQVSSDEQLNNVAASFHLMSKSVEGVAEEKYPAFKDRHKLAMEREKQIKEKAEQARAERFSHNSALNPCTESRTKAALPPKLALIKEKVPAATEPGEQPNGSKIDSSVVSKMQLAQIEKRAPRVPRPPPKPSSGGGAPSSTNSSSGVPPPPPLPPRPGAPPPPPRPPPPPGGLSKTPGGDKVHRAPELVEFYQSLMKREAKKEPSTVFATASNVADARNNMLGEIANRSTFLLAVKADVETQGDFVESLAAEVRAARFTNIEDLVAFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLMKLEKQVSSFEDDPKLPCEAAVKKMYSLLEKMEQSVYALLRTRDMAIARYREFGIPTDWLLDSGVVGKIKLSTVQLARKYMKRVSSELDALSGSDKEPNREFLVLQGVRFAFRVHQFAGGFDAESMRAFEELRSRVNKQTEVAEKSDA >Ma11_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11760560:11765173:-1 gene:Ma11_g11100 transcript:Ma11_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT3G25690) UniProtKB/TrEMBL;Acc:A0A1I9LT48] MLARLSFLVAASVAAYAVKQANTSRPPRLKPSEKAEETTKHDSEEGDYDATDRKIHEEEEEEEEEKVKTISSVISPAPIALPLHDLEDEEILPEFEDLLSGEVELPLTSDKFDVKDRSQYDIDMEINASELERLRRLVKELEEREVKLEGELLEYYGLKEQESDVVELQKQLKIKTVEIDMLNITINSLQAERKKLQDEVAQGVSAKKELEVARSKIRELQRQIQQAASQTKGQLLLLKQQVTSLQAKEEEAAKKEVEVEKRLKAVKDLEVEVLELRRKNKELQHEKRELVVKLDAAESKAAALSNMTETELVAQARQEINNLRHANEDLSKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNHQTPSGKVSARDLNKSLSPKSQEKAKRLLMEYAGSERGQGDTDMESVSSMPSSPGSEDFDNASVDSFSSRLSSVSKKQGLIQKLRRWGKSKDDASVSSSPTRSLGDRSPMRSSQRSRGPLETLMLRNAGDGVAITTYGKKEQDPNEFLEEANLPRIRTQVSSDEQLNNVAASFHLMSKSVEGVAEEKYPAFKDRHKLAMEREKQIKEKAEQARAERFSHNSALNPCTESRTKAALPPKLALIKEKVPAATEPGEQPNGSKIDSSVVSKMQLAQIEKRAPRVPRPPPKPSSGGGAPSSTNSSSGVPPPPPLPPRPGAPPPPPRPPPPPGGLSKTPGGDKVHRAPELVEFYQSLMKREAKKEPSTVFATASNVADARNNMLGEIANRSTFLLAVKADVETQGDFVESLAAEVRAARFTNIEDLVAFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLMKLEKQVSSFEDDPKLPCEAAVKKMYSLLEKMEQSVYALLRTRDMAIARYREFGIPTDWLLDSGVVGKIKLSTVQLARKYMKRVSSELDALSGSDKEPNREFLVLQGVRFAFRVHQFAGGFDAESMRAFEELRSRVNKQTEVAEKSDA >Ma11_p11100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11760560:11765290:-1 gene:Ma11_g11100 transcript:Ma11_t11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT3G25690) UniProtKB/TrEMBL;Acc:A0A1I9LT48] MLARLSFLVAASVAAYAVKQANTSRPPRLKPSEKAEETTKHDSEEGDYDATDRKIHQHEEEEEEEEEEKVKTISSVISPAPIALPLHDLEDEEILPEFEDLLSGEVELPLTSDKFDVKDRSQYDIDMEINASELERLRRLVKELEEREVKLEGELLEYYGLKEQESDVVELQKQLKIKTVEIDMLNITINSLQAERKKLQDEVAQGVSAKKELEVARSKIRELQRQIQQAASQTKGQLLLLKQQVTSLQAKEEEAAKKEVEVEKRLKAVKDLEVEVLELRRKNKELQHEKRELVVKLDAAESKAAALSNMTETELVAQARQEINNLRHANEDLSKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNHQTPSGKVSARDLNKSLSPKSQEKAKRLLMEYAGSERGQGDTDMESVSSMPSSPGSEDFDNASVDSFSSRLSSVSKKQGLIQKLRRWGKSKDDASVSSSPTRSLGDRSPMRSSQRSRGPLETLMLRNAGDGVAITTYGKKEQDPNEFLEEANLPRIRTQVSSDEQLNNVAASFHLMSKSVEGVAEEKYPAFKDRHKLAMEREKQIKEKAEQARAERFSHNSALNPCTESRTKAALPPKLALIKEKVPAATEPGEQPNGSKIDSSVVSKMQLAQIEKRAPRVPRPPPKPSSGGGAPSSTNSSSGVPPPPPLPPRPGAPPPPPRPPPPPGGLSKTPGGDKVHRAPELVEFYQSLMKREAKKEPSTVFATASNVADARNNMLGEIANRSTFLLAVKADVETQGDFVESLAAEVRAARFTNIEDLVAFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLMKLEKQVSSFEDDPKLPCEAAVKKMYSLLEKMEQSVYALLRTRDMAIARYREFGIPTDWLLDSGVVGKIKLSTVQLARKYMKRVSSELDALSGSDKEPNREFLVLQGVRFAFRVHQFAGGFDAESMRAFEELRSRVNKQTEVAEKSDA >Ma01_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23785526:25761925:1 gene:Ma01_g23010 transcript:Ma01_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVVSKRQYIFIQVHGFEIFKYERNRLRFLMIFNMALVSHEDITLHT >Ma10_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29734018:29735933:1 gene:Ma10_g18990 transcript:Ma10_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRTGVWVLFLLLLWLTTAIMHGDGAPVAAPAPSPDCSSALLDLADCLSFVENGSTVAKPEGQCCSGLKKVVKEDVICLCEVLKQGPSLGVNLTKALTLPSACKLSTPPFSKCNISIAGVPAAAPAPTPSLGSPSSSSSSSSVPTGAPSPSSPGKSNAALQLPSLGFLIGSMAVSLWLLCVSHGLEF >Ma09_p26530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37780738:37781813:1 gene:Ma09_g26530 transcript:Ma09_t26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVLLDFWASPFGQRCRVALAEKGVEYENREENIMGDKSPLLLESNPVYKKIPVLIHDGKPVCESLIIVQYIDEVWPDRAPLLPADPYARAQARFWADFVDKKFNECAAKLWQLKGDAQAAAKEEFIEILKLLEGELGDKKYFSGDAFGFVDVALVPYVCRFYTYETCAGFSIEEAAPKVVSWGKRCMERESVANALSDPHKIYEAVNVYRKRIGIE >Ma07_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28180392:28184945:1 gene:Ma07_g20250 transcript:Ma07_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEQSRRSVLPSFLYSPTSPTSRTLGLEQILGRATPASPAPPASAPGGGAPTGSFVIQAPSEPGKIEMYSPMFYAACTAGGIASCGLTHTAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGFRGFFRGWVPTLLGYSAQGACKFGFYEYFKKYYSDIAGPEFAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPRFVKSEGALGLYKGIVPLWGRQIPYTMMKFASFETVVEMVYKYAIPTPKDQCSKQLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGVWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPAAASSPELAELKSAA >Ma04_p26180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27731645:27736490:-1 gene:Ma04_g26180 transcript:Ma04_t26180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESACRSSGDEEELGRGRRSCSPGVELSAKVDGKSGEKAAMPTSPRSKHSAMEQRRRCKINDRFQILRDLIPHSDQKRDRASFLMEVIEYIKFLQEKEQKHELYSGWNQENAKLVPWSNTDGICDPKNGPAQPGFLFSEKFIDNNIPVVPLNATNAAETDTNAGTDTVLDPLQSNAYASLERGPGLSHPQERLVSDPDNFLSQPQSGWQRSSCPADCTMSSDMLSEQEELVIDEGTINISTVYSQGFLTTITQALQSSGVDLSQASISVQINLGRRANRRSVATTTMSSAKDNCNHSPLDQVMGDSVVGSNGDESEQAPKRQKIDDH >Ma04_p26180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27731645:27736491:-1 gene:Ma04_g26180 transcript:Ma04_t26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESACRSSGDEEELGRGRRSCSPGVELSAKVDGKSGEKAAMPTSPRSKHSAMEQRRRCKINDRFQILRDLIPHSDQKRDRASFLMEVIEYIKFLQEKEQKHELYSGWNQENAKLVPWSNTDGICDPKNGPAQPGFLFSEKFIDNNIPVVPLNATNAAETDTNADPDNFLSQPQSGWQRSSCPADCTMSSDMLSEQEELVIDEGTINISTVYSQGFLTTITQALQSSGVDLSQASISVQINLGRRANRRSVATTTMSSAKDNCNHSPLDQVMGDSVVGSNGDESEQAPKRQKIDDH >Ma10_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23982065:23983050:-1 gene:Ma10_g09830 transcript:Ma10_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLEQGPWGGNGGKTWDMGQADHISNVKIHYNDAVFAFDFTFTVDGKKKTIHVGGDAPQYKEITLEEDEYFTFISGYFKTMWTTDVFITQLTLETNKGNTVSSDTNKIGSYFSLNLEDEGKILGFFGREGSTIDAIEAIGVYCTIPK >Ma01_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4242383:4242843:-1 gene:Ma01_g05990 transcript:Ma01_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPRHVTHLLHPDHPLVYQYHEASFVCSVCRITGDGLRYRCDRCNFELHEHCARCPSTISFHMHPQHLLTLHARPGTARLCRVCRVHVGGMVYQCRTCGFDLHPLCSLVRPQPAGERRANRGGGWSGFLRSVALMLFMHDVFD >Ma10_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32410711:32411622:1 gene:Ma10_g23510 transcript:Ma10_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGAHASVLFLLLFVSSASSALDIVEILQPFPEYSTFTKYLTQTKVADEINRRKTITVLVVNDSAIAPLSSLSGDALKNAISVHVILDYYDPYTLDNLPNKTALLTTLFQASGHATGRLGFLNYTELPGEQMTFGSAAPGAPLNSELNKVVAVRPYDISVLGISAAIVPSGSGSVATTSPMRKLAPAQAPTIAPRKKTGPSAPAGAPKSSPTVAAPVEGPAGSATTPTGAPKSSPTVAAPIEGPTGSAASPVDGKNADADTTSSHAKSTEAPSGSDKPSSATRTIVDAVMGLVMGVYVLGAL >Ma01_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21266359:21268079:1 gene:Ma01_g21520 transcript:Ma01_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASHLMELLLLIALLLFGVQLSVGSLTSNYYAAICPFAEFIVRNTVNQALRSDATLAGGLLRLHFHDCFVQGCDGSVLIDSTKDNTAEKDSPANLSLRGYEVIDQAKQMLENQCPGVVSCADIVALAARDAVFMSGGPYYDVAKGRKDGRRSRIEDTINLPPPTLNSTDLIEMFAKHGFTVQELVVLSGAHTLGAARCSSFKKRLSNFDPNNDVDPTLDSNYARMLSRSCSAGDDTQVGFDFTRTRFDVNYYYALQGRMGLLTSDQTLYTNPQTQYIVNGYAMNQASFFSDFQQAMIKMGALNVKEGNQGEIRRNCRQVN >Ma09_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4991295:4992575:-1 gene:Ma09_g07590 transcript:Ma09_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEALPRLCFLGLILCLCYSSAAAASTPDLLYLNLLWPGGYCQSVNLGENCCIPTTGEPAADFLVQSLETYDSSTGNPITNCNSHCRFLINPLVDLIEDLFAYWPNLSCPSNNGMEQWKHVWCTYGNCTSLSEVVYFNRTLLLREQANILATLKSRGIVPDASVTYNLKDITEALATTLGSSSFSVECERRYTAWPLLYQDYLTRIRICVSSDATTFVTCPTDLPTNCGNKVKFAPILYPSSLGRQGDATANPVRLPSVLKDAFL >Ma10_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28242545:28250063:1 gene:Ma10_g16600 transcript:Ma10_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHSLLSRNGPLGTIWVAAQCFKKLKREQIAHADISSSVDKIVPEIQISYRVLAQLLLGIVRIFSKKVDYLYHDCNVALICIRKSLAPVKLTETKRANTRTRHHLIEAGHKPDHDISSSKEPIFAEPVETMRAPYHHITISVPERLALDSFDLDVPDDNDTANTSPHEQFTLQGQCLDDNNDLSCLNECNHRESVMCDEINSSCFTPVNDVLPSHMMDINLEFDEECNFSCGNAEKENLQGDVRFHGWLEEKKSLDPIMPDGESEDRLHPVKTMKTTDSDIADAVRNLPNPADPLVSQEAFSSNYKNLSAYTNKSAMVTHPTKTHNEDLSPCDLGVPSPKFTVRTPAKREHHRILKKRKNFFDETIVLSNESLRKGIHDASSLIFKRRKVPHTLLDAWKSYRIPNLQQNILEPVITCMASELKALFQSSSSFYSPIHRSANHHLESQDVDHQTSSKSTKVEHSYNKPDIEVSPPKSHADVPRTDTLGEMEVNLSLGLSGTGLDLMGQDLESQEKGTIGQDNGWSLRSRLKYAATKSSCLLCKCYVD >Ma07_p27260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33701744:33704069:-1 gene:Ma07_g27260 transcript:Ma07_t27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNAARISNLEKEVVKLIQEEKELEDQLQLIDHLQQLGVAYHFKDDIKDALESIHGSLEDTSKLLKDNIHATALLFRLLRENGFDVSEDMFSRFKDEKGHLKTCLQHQTKGMLSLYEASYHGKEGEFVLIEAMDFTTKHLKKLMEEGSLEPRFREHVAHALELPLNWRMERIHTRWFIETYQREATMNPLLLELAKLDFNLIQIMHKRELKEVSRWWTDLDLAQRLPFFRDRLMENYFWTVGWAFEPQFWSFREMQTKLVSLITVIDDVYDVYGSLDELELFTNVVDRWDVNAIDKLPGYMKLCFLAVFNMANDAGYRVMKEKGLDIIPYLKRAWVDLSKSYLLEAKWYHHGRTPKLGEYLDNAWTSISSPLLLTLAYCMSDDLTEEALRGICKYQDFARWSSMLFRLYDDLATSKTELARGDVPKSIQCYMHEKSVSEDVAREKVRELIRVNWRALNGNRTSSSPLEEYFKRVAINISRMAQFYYEHGDGYGIPDGETKNQVLLLFIQPIELEIYTTNKVV >Ma08_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3834616:3837820:1 gene:Ma08_g05620 transcript:Ma08_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAAAAEVALVKPSNPIAKFSREFLWSSWSIAEFSGFLLYEELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLDLDLGSLTKAWKYTFFKPKFIFHATYLSEKIGYWRYITIYRHLKANPGFEVYPIFKYIENWCQDENRHGGFFSALLKAQPQFLSDGKAKLWSRFFCLSVYVTIYLNDCQRTAFFEGIGLNTKEFDIHVIIETNRTTARIFPAVLGVENPEFKRKLDRMVEINQKLIAIGESQDLPLVKNLKRIPVRQTCQSLLCICCRYIWMHVSLYLMSSDSKLLFCISWFNQIEGRWCN >Ma08_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37567642:37567788:-1 gene:Ma08_g24350 transcript:Ma08_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEAILYHYIHMTTRLCKIFVQILRLRSKFKSECLVCNLSSFIWHTL >Ma08_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36230817:36240225:-1 gene:Ma08_g22720 transcript:Ma08_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLVAQQLVRLGILSTSDHASVVSINLFVALLCGCIVIGHLLEESRWINESITALVIGVCTGVVILLTTKGKSSHIFVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFFIISFGAIELFRKMDIGSLDIGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNFDLVHIDAIIILKFVGNFGYLFLSSTLLGAFGGLLSAYIIKKLYIGRHSTDREVALMILMAYLSYMLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWRFVSDSPGKSLRVSSILLGLVLVGRAAFVFPLSFLSNLTKKSTDEKIIFKQQVTIWWAGLMRGAVSIALAYNQFTRSGHTQLRGNAFLITSTITVVLFSTVVFGLMTKPLIRFLLPHNAKHLSSMSSEPSTPKSLLSPFLENGQGSEVEVGGEFASRPSSLRMLLSKPTHTVHYYWRKFDNAFMRPMFGGRGFVPFFPGSPTEQSAHNWQ >Ma06_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4931735:4932189:-1 gene:Ma06_g06890 transcript:Ma06_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIDPMVLFPIRQMASKQQCRSPLDL >Ma06_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7611479:7615966:1 gene:Ma06_g10900 transcript:Ma06_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALVPSGATADVSLGLSEKGNAGPSLKPRKKSMTSLYLKFFETSLDGKSRRCKFCKQSYSITTATGNLGRHLSHRHPGYDRLGDAGQQVQQAVVTSKKPQPQVKPSTDLDHLNWLLLKWLTGTSVPPTFEDEMLLNSFRFLNPSVRIWPKEKVQAVTLEVFRSMREDVKASLQNVNSKVSLALDFWTSYEQIFYMSVKCQWIDDNWSLHKVLLDICHIPYPCTGSDILTATTKVLTMFNIDRKILCCTNDNSPQAVQACHALKEELHAHSLPFFYIPCAARTLNLIIEDGLRTPKPILSKIREFVLEVNSCPDIAEDFKQMMALCQEGSWKFPLDSSTSWSGDYAMLDIVRKAPNAMDSTIKKHEETFSSRNLLLSTTEKSVVNILLSYLEPFHKITTNISTSKVPTVGLVLFFMDHVFELISSCRDSCRQEWLKSVADDMAKRARSFCTQAYNFFTFMAAILDPRIKKELIPENLNSEKNLEEARSYFTRYYPSNQFPIMANGFGTQDTTDEENVVSFAEEIARKRRRASMSTAADELSQFLSEPPLPIATDILDWWKVNSTRYPRLSVMARDYLAVQGTSVEPDELFTSKGDDIHKKQFCLPYSSMQSFMCINSWVQSGYKFKFRMTEINFEKLVESSAASIDGVKS >Ma05_p26420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38036759:38037406:-1 gene:Ma05_g26420 transcript:Ma05_t26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRGDTPAVSISSREMIGAAIFLFFAIVFFFFVYLYARRYLRRPEAAPRGRSRARFIFVAADLGLGPDTGGGLDSAALRSLPVTVYRAADFKEGLECAVCLSELADGEEARMLPSCSHGFHLECIDMWLLSHSTCPLCRTPVGVEPSMNPDSGAESTQAPPPATSPVLPANVLIWGSQNQVNAGNSCSPEGPSSSSGALAIEIPSRLAVEATK >Ma03_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24569564:24571859:-1 gene:Ma03_g19200 transcript:Ma03_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIAQLERTQTKSRIHADPSLHQDHFDRIPDSLVLLIFNKLADVRSLGRCSAVSKRFNALVPLVHNVYVKIDRVVSIDGDSFDALDLSLPKPRTLFAHLLKLILFAILQPLHYLQNVHGWNKPLLPQLSHHSPRQVLKNFTHVRNLRMELPAGDVKTEDGVFLKWRAEFGSTLQNCVILGGTMMDYRPASANLEGGMEDNGSIPESFYTNGGLKLRVVWTISSLIAASTRHYLLRQIIEEHPTLSSLVLTDADGQGTLTMGVEQLKEFREKPLAMSASSNRTQVPASYMKLRYAPFLELPDGMGMQGATLVAIKPAGEGTSGGHYSRKEAEAFICRAFDDPLRDTVKALVKNRTYLLEMNGF >Ma02_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24528125:24546527:1 gene:Ma02_g17730 transcript:Ma02_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDSSSSPDGSNSPRTPTLGSPSTARSDKMKAKSSDAASGHTLVGNAVEKHHLHEQQQQQKEKKKKGKDAKDSKGKEATLAPSPLALSTPAKPRKGPAACQDGVGPPPASPSSESLSPILASSLGLNRIKTRSGPLPQEGLRGDHRMSALKNSNLSRGAGPDPSLASSGSAATAGRIGGAGSSGPKKDGRSLDKVPQSSAGSWAGNQWFAASADASSGQQGRSGKAKLSWNKYEGFKNQSTFTSEAESPYDGCETPKESESPRFKEIMQATSAPRKKNPGDVKSFSHELSSTGVRPFPFSMPRSTYNLKEVLKVIQMKFEKAKEEVNSDLAIFAGDLVSIMEKNLENHTEWKEILEDLLILARSCCVMTPGEFWLQCESIVQDLDDRRQELPSGMLKKLHTHMLFILTRCTRLLQFHKESGFAEDEIIMYPGSKIVHSAEVPSGPTKDKKCTEAEKNSMEAVISRKSHSQEQRNLKWKRSQEMPVGFFPQLDVAKDVLPSTTRERIASWKPLPSPATKNQKESGLLADELTNQKVDSMHLSKTLNEGVILPNLPEQTNLIDASAHLSAPSKHQHKVSWGYWPDQQSISEEGSIMCRICEEYVPTMYVEEHSKVCAVADRCDQKGLSVDERLIRIAETLEKMVDFYTQKDLPNVTGSPDVAKISNSSMPEESDISSPKFSDLSRQGSVDMLDCLHESENPIFLDDLKNLSSMTCKTRFGPKSDQGMTTSSAGSMTPRSPLMTPRASHIDMLLTGKNTLPESDDFPQMIELADIARCIANTMSDEERSLNYLVSCLEDLQEVMTRRKLEALTVQTFGTRIEKLHCEKYLLLCDSITSLDAEKVETTCTVMDEEEDVVHSLRASPVHPTSKDRTSIDDFEIIKPISRGAYGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYIAEVVLALEYLHSLGVVHRDLKPDNLLIAYDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLYGEDDTHAFASERLSQQERRKKRSAVGTPDYLAPEILLGTGHGASADWWSVGVILFELIVGIPPFNAEHPQKIFDNILNQKIPWPQVPGEMSFEAQDLIDKLLTEDPHLRLGAQGAPEVKQHVFFKDINWDTLARQKAAFVPSSDSALDTSYFTSRYSWNPSDEQIYEASEFEDSSDNGSISGNSSCISNHHDGVGDECGGLAEFDSNTSVNYSFSNFSFKNLSQLALINYDLLSKGGKEDLQEKSET >Ma08_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5307774:5308205:1 gene:Ma08_g07750 transcript:Ma08_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSRDQEGQIQKKLEAEGWNESDDSTCEGTRCLSGCHFKVDDACQYLERDQNFMKHFMKRNSGQFISFRHHLTSIHSILCFEYFLIWIPPS >Ma07_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10965451:10965684:-1 gene:Ma07_g14510 transcript:Ma07_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNIECISVSDGMVDDDEVAHVPHPFLKPHGGGSGTVIGCAGGFPAPVISPVTRVHQLLECPVCTNSMYPPDPPG >Ma06_p25250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25495866:25499677:1 gene:Ma06_g25250 transcript:Ma06_t25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEVSFSGCLLLLLSLILITGRAIAGATDREVLLALKDYLEANNPIYRGAYARWNASDSSPCNWPGITCTGAGRVNGVYLAESNISSEIFSNFSLLTELTHLDLSANAIGGFVPADLNRCSGLEYLNLSSNIIGGELNLTGLTNLVTLDLTCNRFNGSIRANFPAMCANLVSLNISTNSFGGDITECFDQCPKLKYLDLSSNHFVGGIWPGFPSLRQLLISENSFTGEFLPSTFASGCDLESLDLARNSFSGTFPSSIANCSKLTSLNLWGNAFTGAVPSGIGSLSELSALNLGNNSFDRNIPEELLNCSKLVFLDFSNNTFGGDIQEIFGRFVTLNYLILRGNQYTGGILSSGILKLRSLMRLDLSTNRFSGNLPVEITTMPKLKMLILAYDGFSGCIPPEFGRMAGLQLLDLSYNKLTGSIPPAIGNLTSLLWLMLASNDLTGEIPPEIGNCSSLLWLNLANNQLSGGIPPEISAIGRNPAPTFEANRREIRGVAPGSGDCLTMNRWIPASYPPFNFIYTQMTRQSCRTTWDRLLKGYGIFPICSNSSSQVRSLAISGYLQLSGNRLSGGIPPEIGRMRNLSLIHLDANHLSGRLPPEIGGLPLVILNVSDNRLSGEIPMEIGGLRCLTSLDLSRNNFSGELPPSLSGLSELNKFNVSYNPLVSGTVPVTGQIATFDRDSFLGDPLIGFPSLSGRGAPPPSGDGGASGGHGRWTTVAFWVLIALTSIFVACGALSFAVFRLRGPHSAVDPDPEELLSDGVKRRSDAAMSVYSSSSDGVGVRVFRLDNGEAELAFTYGDILAATGNFDERSVVGRGGCGVVYRGVLQDGRCVAVKKMQRRRGKGEMREGEDAGEREFQAEMEVMAGARGRGHPNLVRLYGWCLAGEAAVLVYEYMEGGQPGGGYRGLGAVRVAAAARGSDGGGAGAGVPPPRVRPRGGAPGREGQQRDAGRVGTGAGDGLRPGPLRGGRGEPRQHSCGRYGRVRGAGVRADVEGDDARRRVQLRGAGHGDGHGAPGDRRRGGVPGGAGEAGGGGRGGAAGGGGRGRGGDAGPADGGAEVHGGCAAREAGHDGGAGRAAEHSRQERRRKRDQHSLLVSAEPQHISFLRAELLGRIFL >Ma04_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8323904:8331088:-1 gene:Ma04_g11720 transcript:Ma04_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QPT [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/TrEMBL;Acc:A0A178VX18] MPAITLPTAASSCSFRGPLAASFRSSSFLLPLRLLPSSVHASLRSSSRIMAVSAWDAGRIPVADVPADSMAVKPPSHPTYDLKAVIALALSEDAGDRGDVTCLATVPKDMKAEAHFIAKDDGIVAGISLAEMIFNEVDPSLKVQWFAKDGDHVCKGMQFGKVYGCAHSIIVAERVVLNFMQRMSGIATLTKAMADAAHPACILETRKTAPGLRLVDKWAVLIGGGKNHRLGLFDMVMIKDNHISIAGGVMNALKSVDQYLQQKNLEMPVEVETRTLEELEELLQYATKNKTSLTRIMLDNMIVPLPNGDVDVSMLTDAVQLINGKFETEASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >Ma04_p29220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30155349:30157673:-1 gene:Ma04_g29220 transcript:Ma04_t29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYNPIKIFRKCEERNPRRRHHVSGRERGLSAHSSCSEHERRWKAEDLVRAYLHQGYRSPLRQHHLLKGRRGHEQKGW >Ma10_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27996762:27997292:1 gene:Ma10_g16190 transcript:Ma10_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLFFFFPVLAHSLASPPLPPPPALVQSTCSLTSNYAFCVAALQSDPRSLRANDVKSLSAIAVGIAFAKARSTSTYASGMMTKNVTAAFGACAEKFRNAGEALRWALGSLAQENYDYACMHVSAAQEYASACGRLFSRRSPAVAYPAAMAKRADYLQRLCGTALDIISQLIDAP >Ma10_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14937707:14940404:1 gene:Ma10_g04240 transcript:Ma10_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITLSKSNEGESNSKSGSGRQNLGLPLSLIEKEENDEDDVELYTMGDDTSSIICGACGNRHPSLRRAAHGAVRHGYLQGLRPTGIVAQAVEERSQHKNWAMTLTRLRTLLALEGRTVIDLDGYTTPSELLQMLPAKSTIRGKDVGPQIGPNNPKFALGMQALLDLIFAVEGSVSETAKILGCIAYGSFLYPCISA >Ma03_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8176595:8178476:1 gene:Ma03_g10820 transcript:Ma03_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFPPKYPTILSPMWTPLLLLLLYFAAADHGGATIISVTDHGAVGDGRCYDTVAIQAAIDACAGAGGGRVRFPAGGDYLTATVWLRTGVVLEVEAGARILGGRRQCDYPADPARWYVVVAEGVQRVGITGGGEINGQGEAFVVRRDPRKNVMVSWNATGSCRGDECRPRLVGFIDSVDVVVSDVTLNQTAYWCLHLVRCDHTFIRNVSIYGDFDSPNNDGIDIEDSNNTFITNCHIDTGDDAICPKSSTGPVHNLIVTDCWIRTKSSAIKLGSASWFDFRRLLFHNITIVDSHRGLAMQIRDGGNVSDVVFSNIRISTKYYDPSWWGRAEPIYITTCPRDPGSKTGSISDVLFMNISAVSENGVFLSGSPGGLISNLKFKDINLTYKRRTKYPSNLYDYRPGCRGMVKHQTAGMTLEHISGLEMENVKMRWHRSNVKGWNNPLSITPSTVNKLSFKEWASEIC >Ma06_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5343159:5345231:1 gene:Ma06_g07520 transcript:Ma06_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNEVDFFSAERRKAVGRVEADLGPKVPSSCIKKEDLTIAGLNLLIANARSDLSTVDDAVLPADDRKESKSELAAMRDELARVKEENQKLREMLNQAISNYNALQMHLTALRQQHDVVDGRVEAKNDEHGEVLVPRQFMDLGPAGDADEPSHSSTATRDRPSPPPDNGGVRSMDSERRKRSTDKEIVPPPDHPNSNREASSTEQAHEAIMRKARVSVRARSDAPMIADGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCADDRSILTTTYEGTHNHPLPPAAMAMASTTSAAVSMLLSGSMSSPEGLMNPSFMARTVLPFSSSMATISASAPFPTVMLDLTHRPDATQLQRPAPAQFQFPFPAAAAAAGYFPVPNASGQTHINQSRFSGLQLSAAMEASEFPHPKEHAALPPSLADTVTAATAAITADPNFTAALAAAISSIINGNHPATASDNHDNRSTASRTINEDDNNATTSSKDINQSNTNFTATK >Ma07_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31860942:31863350:1 gene:Ma07_g24510 transcript:Ma07_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHEVEEEEKMLAGFDAAGDHPGVDEIVLDMDGEDLIFAEDTFPSLPDFSCLSSPSPSTLHAKNTVLSPCSSTSSTSSCWSFLQVPYGGRVADVQQQPAEAASVDDMMMLAPPTLEFLESSEFSSDGLDILGDIDLLDLSIDPWEPCSLFHAGESSTAVDNGSEAIVDRAMQPCQVAEEASSEGHGEGVQQRQQLEDTSSDELARVFLDWLRSNKDSISAEDLRSIKLKRATIECADRRLGRTKHGRMQLLKLILTWVQNSHLQRKRHRPTSSDDHQPRPFPNNCNCSTADQNPAAWNPNSTDPAAGSTHPSLLAAYGIANGEMAYPGATAPYPYPHSCGTSSVVVNSQPFSPTLDFVDPNAGPWSSQLASALPSMVPPQYSGGYANQFLGHPMYHQGQHLPGLASATKEARKKRMARQRRLASLHHHRNHHNQSHLQHAHPHLGSTEGAARNWAFWTNSSSSSHKRNHLAETQTPPPAAQPQRRSPHGAASTSEKRQGWKGEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELGARDGISIPMEDIGTSQVWNMRYRFWPNNKSRMYLLENTGDFVRSNGLQEGDFIVIYSDVKCGKYMIRGVKVRQPAEAAGAPSGMNAEKKAHRRRNGLEKTGGADKMRGNSIIHGDVSP >Ma03_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1651018:1654729:1 gene:Ma03_g02420 transcript:Ma03_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALKAKEIAERKFNSKDVKGAKKFALKAQNLYPSLEGISQMIATLDVHLAAEKKINGESDWYAILSVNALADEEALKKHYRKLALQLHPDKNKSVGAEGAFKLISEAWSVLSDKNRKTAYDQNRNVNGFQNKASQPHMDHSAHNSANGFHSFSNTTTSNKRARKSNNSSGKSVVPPQTHTVNLNTFWTSCKQCRMQYEYLRIYLNHNLLCPNCHQAFLAVEIGIPGNAANSSVPWSAKQHQHNSNHSYQVKNGYSSGFSTSTYPGTGPMEFQNGGNFDSYNHQNFQWSSLTGSAGTASNADSPFQAAHLVHKKLEKKRRKYEKLQAAAQREESLQTDSHVYKNTVDGSGTYNSGHISSAYDSEQPVSKVGRPAKRRCNGDQSSIYHGRDEAENMSTSIEKTANPEVQRANGAFEDIPRTRMTARQNNFILEFSQINIRQMLIEKVKATVVNKLEEWNLAQSTKLVEKEKLKQKGGQEAAVMDGGDTGKQTCLDDASMKEPILDHDDNKAKEVPKPVSIDVPDPDFYDFDKDRLERTFEGDQVWATYDSEDGMPRLYAMVQKVLSLNPFKIRMSFLNSKSNSELGPINWIASGFAKTCGDFRVSRYQISDTVNIFSHKVRWEKGPRGVIRIVPKKGDIWALYRNWSPDWNELTPDDVIYKYEMVEILDDYSDEDGVSVIPLVKVAGFKAIFHRHMDPLEAKRIAREEMFRFSHQVPSYLLTGEESHSALKGCLELDPAATPIELLQVITEVKEDVGMETNEQQSGN >Ma06_p28110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29880700:29888573:-1 gene:Ma06_g28110 transcript:Ma06_t28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVTTAVVGAAAACAVAALVVRHRMRSSGRCARAAALLKELEERCATPLGKLRQVADAMMVEMHAGLASEGGSKLKMLISYVDKLPTGDETGLFYALDLGGTNFRVLRVQLGGKEQRVVKKEPKEVSIPPHLMVGGSDELFDFIASALAEFVASEGEDFHIPVGRQRELGFTFSFPVRQTSIASGTLIKWTKGFNIEGTVGEDVVAELTRAIERQCLDMRVSALVNDTIGTLAGARYYDNDVIVGVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPTTDYDQTLDTESLNPGEQIFEKLISGMYLGEILRNVLLRLANEAALFGDSVPPKLETPFILRTPVMSAMHHDNSPDLKVVGTKLKELLGIPNTSLKTRKVVVQACDIIAKRGARLAAAGIVGILKKIGRDSAAKDGGDTPRTVVAIDGGLYEHYNIFSECLRSTVKEMLGEEASASVVIKLANDGSSIGAALLAASHSQYLDVEES >Ma07_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10252721:10271772:-1 gene:Ma07_g13650 transcript:Ma07_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMESFSIRDYAARMRCVDYDKCWPFAEERGGRSLPPMPVRRFRWWADELRAVRSDGVAHDQADPSKKAAVDDAAAAQQEPLVESAVVGRAGGGETSAEEREPKTPPPRAKQRTPKKRSILELFAVAPMIRGSQERDLHDGGGSKHQAEETAAAKSNLGVVDGEYPLETRKTKKRVKDGGKMLREKIGPKKKLKAKTKMMKKKKKLKVEIRAAKQEKPCKHRMSSPVELCKILKNQVYEKQFEKMDKKLVHNQTKPATIRTLLKKHIFRLVRTSKLASRKKEVHTNLKKRKRVTSAKKRKTIINTKGSDLVELCCESAKNLSFSGKDDTLAHDRSCLPLELPHLQTLCKIVSDVLAASSLSPSSMDNLNKRPSFTEEARLSFNDKEAHLNLNDKGVVTNNMNRGETSSGKQLDDSFNHLTTAKSSVTKRTHLAETLDLNHPVRDYVDLNCISPDGSTLTPTPTNSDDLKVLGTMNSVGLDLDPGTCQEHSFSLTSDHLNHLHNPIRNSAPVSDTRSALSLTGNQDKQHWISCLDQSYMDSVDGQGHLLGPTKDVCNGFPEFQPVYHIPKDIVISTCPSVISKTTVEPLPSLGSIWRDKDTGEGFIGLPLNSQGELIQLHPGNRYGICEVDKMPRSAFNSLQILPSSSHFRPESSHVRMKGKFPFVPSYHEDDQSWFLNQYYPARNVVISELGSVELQGVEKVKYRTYDDKARFNHCDPRQMEHFCCGCRDHVVTENCFGRMKFYSERDLELGIQPAIRPTMRLMGKNVTVGSYIKEYQGGNNGKVWSDEDIITTSCPTTRVYNRPILDRWHEEECIPQAESGASRKFPFNSLEVPSNYCCTSADKLTSNHMHLGFEPNWMLNDGNSSSRESDFHIDLCQNAVPCQSFLNRASHSAFHCTSESQSDELEQKKMLCESYPQKFCQHMLVNSTHCKHSKNVSYGIPSTYHPHINHVPSQTSTIHSLRKIPHWLLNTTNQHHPFIPYHPAAAYQSCTIPPYSGFPHASSYPRTVIPFPCGNSSSSQTYGSYTPMSVVYPSSISALPTNNFSSVSSTNRDNIKAKDGMGVYFAHYKSQDHSKRCRKRSAGKDDITMERVKRPNFKLREDTNAPTSVRREQLHGDQKDKASEVNVCTVRTMDDVLPVMDNEKDRVAVSGGSLPLKSSHTRSGPVKLSAGAKHILRPNGSFDQEKSLPIYSTVPFTHGTSAGKDDVLQEKAAKVYRF >Ma09_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7224226:7230419:1 gene:Ma09_g10650 transcript:Ma09_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRIHLPSEVPIVGCEIAPYVLLRRPDGSVSIDEVPEPAPLIGYCMKYKWYRNQGDQKVAICSVHPTEQATLQCLVCLKEKVPITKSYHCTPRCLSDAWQHHRSLHDRAKKTAKENGAEEEELFGRFNSNNGSISMYPTAVAEKTGEAWSEVGFSRTYTPTSDDINHVLKFECVAIDVETRKHVGNVNAILTARVIPAPSPTPRHMIPVNVALSGQLNLDGRIASGTFSVLSYNILSDAYATNEVYSYCPTWALSWPYRRQNLLREIIGYQADIVCLQEVQSDHFEEFFAPELDKHGYQALYKKKTSEVYSGNPNTLDGCATFFRRDRFSHVKKYEVEFNKAAQSSSAGQKKVALSRLIKDNIALIVVLEAKFTSRVSGNPGKRQLICVANTHVNVHHEHKDVKLWQVHTLLKGLEKIAVSADIPMLVCGDFNSVPGSAPHALLANGKVGTLHPDLAVDPLGILRSTNNLTHQLPLVSAYSSFARMAGVTPGLEQQRRRMDALTLEPLFTNCSRDFVGTVDYIFYTADSLFVESVLELLDEENLRKHTAIPSPEWSSDHIALLAEFRCKPRIRC >Ma08_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7074615:7075462:-1 gene:Ma08_g09730 transcript:Ma08_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDASSLSPGTPCQPVPCLLHHLLLPLTSSSSKPCFSHSLTGLVTMAIGTILASVSSTLYHRKLLFSAFAALLLVVGKPRPAHSFPLKLKTSTISAAPGILPYDPKFSSLAPALAPDVMPVFPTPGGSAAAPPASSLPTIPSSPSPPNPDELQPNSATAPSGSAALTSAAARSRGSLGGVSVAMVAGLLLMWWLDVAGK >Ma05_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8719716:8720588:-1 gene:Ma05_g11980 transcript:Ma05_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSIGPSFDSVFLTNGVGPILIDFSTCPCSCLAIIQPPSASLSPSSSTCSALQVSSPSCGHELTSLGHARTAMRGAERWKNEYSTPSSMGYFPRISPSAEHDDEEEEEFSSVYGVSKRLVPQGPNPLHN >Ma07_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25568151:25568721:1 gene:Ma07_g18480 transcript:Ma07_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYMEMLDMGVRIAARFHSHCPQTARMYYKPPSSTSDDTARNSSSSFATTAHPEIFTRAAASLMAPRSPVAFGRNGVGLEFILDTVF >Ma07_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10215552:10217192:-1 gene:Ma07_g13590 transcript:Ma07_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRPTATPCCSKVGMKRGPWTADEDEVLASFVRREGEGRWRTLPKRAGLLRCGKSCRLRWLNYLRPSIKRGPIAPDEEDLILRLHRLLGNRWALIAGRIPGRTDNEIKNYWNTHLTKKLIKQGIDPRTHKPLPSSTDHGSPAQLVSCHPATDRDSMPQEMGAGSSEAIAIRNDRCGYFHGLDPHHDDVAWQNCNVFTTDGDQMPACYGVEDGGKGTDACTDDVFSALFDSFVNEDMFNTNCSQDGNTNEDDNNMTPQVEMIDPMDSGLDLEGLLEDVFTTPVDLDEGIPAQCKDHAGK >Ma04_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4074759:4081654:-1 gene:Ma04_g05450 transcript:Ma04_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWLELCQAVIIGLVCSFLIAKLISVAISFKEDNLRVVREHELTSHTPGDGGPSLLDVSEKAGEVTALLGKEKESLLDDDSDWEGIESTELDETFSAATAFVAATAADKNSAKVSNDVQLLLYGLYKIATEGPCTVPQPSALKMTARAKWNAWQRLGTMPPEEAMQMYIMIISDLYPSWADGSTKKKNDKDTLPSSSTAKGTMGPVFSSFVHEEESETDSKLEPIHGFARDGEADALLALIANGALVNSRDSEGRTPLHWAVDRGHFRVVEILLNKDADVNAKENDGQTPLHYAVLCEREEIAELLIEHHADQHIKDSDGSSPLDLCGSRWDFMATSK >Ma05_p04530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3443856:3448402:-1 gene:Ma05_g04530 transcript:Ma05_t04530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEIPSALIREIQAGLRREAGVPFYDPDDPSLPSLPSVEDAVAALDPDLRPSLRCDRCRGGLLRGLRSTICIYCGADRGKEGNSHSISFNSTVACRKLLDYLGLDGSEAVLLDIEPSGSTSNKGQATPKGELVLSDLLDLVLRWPSDKEDVEHNSTVTMPSPDTYALSLTGLDLDNFFSEKRREATSFVAPQSDGKKMLRGNTDTKSHAFSGSENFAAFENLQTTDNKTNSLGNDFGDSFAVWDTDFQSAGTKSKEVSPKSFDHFQDFSGHDLAHASKTGATIDQLESIEMFDKSEPPSVNEQFQDDLWPIENVNMYASDPLKHEIHNVTENSVSNNVSSIGIDDFSVQENLWPTSSTKESETSIPTNSNEDSFDAWQGFTNSIEARGSSSSPATAAGTGLTWPSHSSETKAANIFPASSEKELYNNKSIDSNDNCNDDWQDFAGFEGKGSSTSLGTQSGKAIFEHPGEVKSVDPLDTSSKMESDSFTTMNIIHDSSDAWPDFTGSSEALGNSFNQSSQNGVNSHNNSSETVAVDPWSTGNSKDLDKNMPLNNYDDSFNDWQDFTGFAEAPKRSPNLGAPSGPTLLEQPSETKSVDLLSTKGKTESTNEHDDSFDDWKDFSSSIEVKGSSSSSGEQYKASLFGYSSTTDSTQQQNMKFGSDLQTDIFLNQLEGQKSYPDGEDIQIDVLTSDSRVNGMDQKTAADPHSMMWETKGTIESSNANSILEPAKSDVEKSSSHIPDLSFMLVDELSIPEKSVTTEPSL >Ma05_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3443856:3448402:-1 gene:Ma05_g04530 transcript:Ma05_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEIPSALIREIQAGLRREAGVPFYDPDDPSLPSLPSVEDAVAALDPDLRPSLRCDRCRGGLLRGLRSTICIYCGADRGKEGNSHSISFNSTVACRKLLDYLGLDGSEAVLLDIEPSGSTSNKGQATPKGELVLSDLLDLVLRWPSDKEDVEHNSTVTMPSPDTYALSLTGLDLDNFFSEKRREATSFVAPQSDGKKMLRGNTDTKSHAFSGSENFAAFENLQTTDNKTNSLGNDFGDSFAVWDTDFQSAGTKSKEVSPKSFDHFQDFSGHDLAHASKTGATIDQLESIEMFDKSEPPSVNEQFQDDLWPIENVNMYASDPLKHEIHNVTENSVSNNVSSIGIDDFSVQENLWPTSSTKESETSIPTNSNEDSFDAWQGFTNSIEARGSSSSPATAAGTGLTWPSHSSETKAANIFPASSEKELYNNKSIDSNDNCNDDWQDFAGFEGKGSSTSLGTQSGKAIFEHPGEVKSVDPLDTSSKMESDSFTTMNIIHDSSDAWPDFTGSSEALGNSFNQSSQNGVNSHNNSSETVAVDPWSTGNSKDLDKNMPLNNYDDSFNDWQDFTGFAEAPKRSPNLGAPSGPTLLEQPSETKSVDLLSTKGKTESTNEHDDSFDDWKDFSSSIEVKGSSSSSGEQYKASLFGYSSTTDSTQQQNMKFGSDLQTDIFLNQLEGQKSYPDGEDIQIDVLTSDRVNGMDQKTAADPHSMMWETKGTIESSNANSILEPAKSDVEKSSSHIPDLSFMLVDELSIPEKSVTTEPSL >Ma00_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44124691:44125139:-1 gene:Ma00_g05110 transcript:Ma00_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANNCLKRSNIHILFDPLNKSQEDPMRIEYVHIEDVKEISDTLQKHFAIDLPKNKFSF >Ma06_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7518832:7528316:-1 gene:Ma06_g10800 transcript:Ma06_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPNSIMASCTKITHPVPRHFSFGLWLTSILLFTAATTPTTKGCVEGERDALLDFKTGIVKDPSSRLSSWQGRVDCCRWSGVVCDNGHVVELNLQNSDPDNDEMSIGGEIRPSLLLLTHLERLNLSHNDLSTDGLHWLSRLTSLRYLDMSFVNLSMASHDWLQAVNMLSSLEELHLSDCGLTDLPSSLSHVNLTALATLDISDNLFNSTIPNWLWKLHRLSYLDLSFSMFHGAIPAGIGNLADLRELHLSDNSLSGPIPTEIGNLNSLELINLTNNLLSGSLPTEIGKLCNLNILSLSSNSLEGTVSELHFARLTKLSELDLSENSLVISVDYNWVPTFQLQSIQLKSCKLGPAFPRWLRSQNSIEDLDLSNTSIEDVLPDWFWNISAFSINLSQNQINGTLPTFLEQMTNLATLKLSMNLLEGPIPRLPPSLSYLYLQNNFFSGSLSSISLPLELELLDLSHNHINGSIPSFICNLPQLRILDLSSNQISGEIPWCWQETNFLFYINLADNKLSGEIPSSIEKLTQLRSLHLNNNSLHGHLPLSLKNCSGLVFLDLGDNKFSGSIPTWIAQNFQKLEVLRLCSNMFFGNIPIELGQLHHLHIIDLANNNLSGPIPRSFGNLNATKSYRQRKLTSITYRALTAGHPVLSNFDGTYDDSITLTIKGNSLIFSIIVYLVNIIDFSNNNLTGEIPEEIGSLSALQTLNLSRNNLIGQIPATIGGMKLLETLDLSFNKLSGGIPQNLADLYSLNHLNLSYNNLSGVIPSGNQLQTLNNSFIYIGNAYLCGAPLTKSCYHLKSNNVTKEDNKDGSFMPSYYLSIILGYLVGLWSVFIIMLFKKNWRVFYFQMFDKIYDRAYDNLRIDAGDDLQWLSRLSSLTFLQMNFVNLSTASPDWLRAVNQLPSLQQLYLSGCGLTALPDSLSRVNLTALTTLDLRGNFFNSTFPSWLFELRSLSYLAISNSELYGTVPAGFGNLTRLAQLDLSGNSLSGSIPVDLWSLASLTTLDLSHNSFTSPLLPEIGNTTSLSQLNLVQCFLVGSIPAEIGRLTSLTELRLSGNSLSGRIPAEIGNLSSVTQLDLGHNSLSGLIPVEIGKLSNLSALDLSDNSLEGTMSELHFVNLTELVALYAYANPLTIRFDHDWVPPFQLQSIKVDTCDLGPAFPRWLRSQEFLTDIDLSNTSIEDTLPDWFWNSSSSTIMDINLSHNKIGGVLPASLESMATLMLLNLSSNLFRGRIPVLPPNLQALDLSSNSLSGSLPSTISSQLGYLFLSHNYLHGSIPSSYVCDLQQLYALDLSNNQISGEIPRCRPEGSQLLFVNLANNKLRGKIPDSIGNLGNLQFLHLNNNSLFGRIPSSLKNCSRLAVIDLGNNKFSGSIPAWIGQSLRNLQVLLLRSNMFSGHIPLQLGRSSNLQIIDLSNNRLSGSVPHSFGNFSAMISASKSMASTVSNIMNFVLSSFVASESISLVTKGDEFSFSTILRFVKSIDLSNNDLSGVIPPEIGSLFALQTLNLSRNSFEGMIPKTMSDMKSLETLDLSFNKLSGVIPQSFSALNSLSHLNLSYNNLSGAIPSGNQLQTLDDASIYIGNVHLCGPPVTKSCSDDPNVDSTEEESEQGSHVLSFYFGTGLGYLVGIWSVFVVMLFKKDWRLFYFATVDKMYDKAYVAVKIRMRN >Ma11_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10861796:10869974:1 gene:Ma11_g10890 transcript:Ma11_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWGALCRLPPLISWTVMAILLVDADVDSDAAVKFLKVPPVFSASSSATFQFEVTEGRNGSSCHDCSISCKLDNYNSFACERKEVTYSGLLDGNHTFKICSSGSQGVRCASYNWTVDTISPTAYISAASFTNALNISVNVSFSEPCTSGGGFKCSPSHCDLLIYGAGRVLPSTLRVLLPGLKFSFMVGISADVQFGRLILAMDKVFCTDNAGNMFKQSSDSSFILHFDRRSVFMNLTSHVPEKLLQLNGLLRTVQVSNSARDLKIYLSFSEPVLNSSEEILDLLHASSGLLVPTNKSNLGNRRFGYLVQNISSMTVVTISCDTTYIITRQGTPVSPSDPITFLYDADRPSVRLSTTSNIWTRQHKIPVLINFEKPVFNFNSSAIIISGGSILSFHEITKSTYISEIHGNGSVISVEVPENRTTDIAGNKNLASNLLQVNHYSTPTVSSLLSIVATVALAMTSMVATLLTLSSSSLLYSGAISGQKSYVVSEPSRNLLVECRSF >Ma02_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16760100:16761706:1 gene:Ma02_g05470 transcript:Ma02_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKWMHSSSSKSKSKSSDSLAAGGQLSMARNPNHYRSPLNVNEEYKKTLRTKSFLDMCSKVHQQLRRTVSSIASSSSEDDGSDDDDGGSTEEKLDSSRPSESSPLPYADLPDFLLEPSQESLVAATAVTDDRSAHLQVHSLLLEFFDVTLQACTACTNLLASINRTRVHHRSIRHLLYKLSFACSDDSDCTAFDRLASLVNTENPLQPQNLAHFHSAQSEYTRLMQQLTAAHRRILRRARLIRLTRKATGILTISIAVAVALVIAVHTVIGVGVVVAIAPAIMTTAPLTAMSWARAGKARYLEKLGAQVDSAAKGAYIVGRDLDTMSRMVRRVHDEVEHERDVARMVLRDRERQLVREAAREVEGGTAGMAEQLKELEEHVYLCLITINRSRRMVAQEMTMGANAVPSPAAAETMP >Ma04_p37880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35589684:35591921:1 gene:Ma04_g37880 transcript:Ma04_t37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSLGGATALLFLLVSVAVSSVKTESDASTATLATASSGGQINSNSVLVALLDSHYTELAELVEKALLLPSLEAAVGRHNLTIFAPRNEALERDLDPEFKRFLLEPGNLRSLQTLLLYHVVPARIPSEAWPQSRHPTLAGDHIHLSSSGEGSSGGGKTVGLAAVVQPDAVVRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPAGAPPVLPIYDAMAPGPSLAPAPAPGPGSGKHWFDGESQVKDFIQTLLLYGGYNEFADILVNLTSMATEMGRLVSEGYVLTVLAPNDDAMARLTTDQLSEPGAPETIMYYHLIPEYQTEESMYNAVRRFGKVRYDTLRVPHKVVAREADGSVKFGAGEGSAYLFDPDIYTDGRISVQGIDAVLFPPDEDAPPASPLSPAARKAELAQVKAKTKLKAKLRRGKLLEIGCSLLGVLGQSSHFATCQ >Ma04_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23100464:23101383:1 gene:Ma04_g20400 transcript:Ma04_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGSPCGACKFLRRKCLSGCVFAPHFCHEKGAAHFAAIHKVFGASNASKLLMHLPPADRSEAAVTISYEAQARLLDPVYGCVAQIFALQRQVVLLQAQLASLKAQAQLALGNGTTSPGEGIAPPAYASIFSTNDWLADCNSCRSSHDCNSHFAMVEDEAMVFRIQSEDAPRSMASLDVQAGPWRSSASSSSSSSYTDVEGLLSVAFAYPGRS >Ma01_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21454754:21458293:1 gene:Ma01_g21580 transcript:Ma01_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCVAFYLLLSILLAETTLCYPSQVYVVYMGSKGSRSSDDILKQSHQMLTAVHGGSMEEAQASNVYTYSNGFRGFAARLSKEQASQMADMPGVVSVLPNLKRNLHTTRSWDFIGLGTNEEMEIPGFSTKNQENVIIGFIDTGIWPESLSFSDAGMPAVPSRWKGRCQTGESFTQSSCNRKVIGARYYLNGYEAEEGSHGDQLKADKTVKFKSPRDSSGHGSHTASIAAGRYVSDMNYNGLGAGGARGGAPMSRIAVYKTCWDSGCYDADLLAAFDDAIRDGVDIISVSLGPSAPQGDYFDDAISIGSFHATSHGIVVVSSAGNVGSRGSATNLAPWMLTVAASSTDREFASSILLGNGKKFVGESLSSSNTNRSARIISASEVNGGYFTPYQSSFCLDSSLNKTKARGKILICRHSGSASESRIEKSLVVKKAGGVGMIMIDETEDDVAIPFVIPAASVGKEAGIKISSYANHTRKPRAIIMPAKAVLGSRLAPRVAAFSSKGPNSLTAEILKPDIAAPGLNILAAWSPAVNEMKYNILSGTSMSCPHATGLVALIKAVYPTWTPSAIKSAIMTTASVTDKNGGAITADPKGRPADPFDYGAGFPDPSRLLDPGLVYDAQPPDYRAFLCSVGYDDKSLQLITGDGSVCSGPRPAASDLNYPSITVPDLKGSSHITRTVTNVGKPGAVYRVQVSPPTGINVTVVPNILTFTSYGQKLNFTVTFRATYPSKDYVFGYISWRTHKIRVTSPLAVRASSSDTGLL >Ma01_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4188331:4189682:1 gene:Ma01_g05910 transcript:Ma01_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVFIKVEVFMFKRETWIEVMKINYLFKEISFSSDYYGSNHRKNISMCRDKATRFQVADFLGRCYWCREEAARGRHLHVQRGDGILQRGEPSPADRQRRVPGDIELSMLRRRSNPRFLGMMIVVSEHCNLQLLSSGKIFLLTQESHQMHADFVFELLPGRSGSTYRNSLVICSSDIIHFDPP >Ma09_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1208311:1216508:-1 gene:Ma09_g01640 transcript:Ma09_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALANSKGGRVSPSETLTPSSQKQRPPRAPKENVDPNTTPPESSPFKSPGKPLSARNRSPLPPKPPLPSFHGGNPLKRKLSLETLAENGGPPSMSSDSGVQVLVRVRPPSKEEEEGDPIVQKISSNSISILDHTFTFDSVADISSTQDDIFRLVGLPLVENCLAGFNSSIFAYGQTGSGKTYTMWGPPSALSEDSSSSEWGLTPRVFERLFSRINEEQAKHSDKQLNYQCHCSFLEIYNEQITDLLDPTQKNLQIREDVKAGIYVDCLTEEYVYTMKDVIRLLMKGLANRRTGATSVNMESSRSHCVFTCIVDSQTKSLGDGLISLRTSRINLVDLAGSERQKQTGAAGERLKEAGNINRSLSQLGNLINILAEVSQSGKQRHIPYRDSRLTFLLQESLGGNAKLAMICAVSPSQSCKSETFSTLRFAQRAKAIKNKAVVNEITQDDVNVLREQIRQLKDELLRMKSNGSAGNNGSFSSAWNARRSLNLLKMSLNCPTTLPILKDDNDVEMEIDESDVEMPNIQASLPLPCEGKLSVDLSASKEELRSSINDNDTVARDVRSIYKMDPGCVKDQTFAVEECALKKIGPGQLIVNSDGGSTGDRGDDQLNDTCEVHMADEGTNILPDHNTQSESNPSVEKEYIIHEAQTRDSSSSPSSIVSPDSSGIVPSQTSLVLQLPTSSKSPVLENFSRKSLRTSSSMSASQKIIADDLKLGSGVLNVSLAQSSYPLNTYVTQTNKTENLAASLRRGLQIFDNQQLNPFVRRSSFRFSVALTDVKPVVPINKVDIGIQTIVQDPEEMEQLSAYVCSCCKKIASEDENEDTKNGTDLQLVTIDGTMSTDKLKMKVPRAVKKVLTGSIRREMALEEHCAKQAAEIMQLNRLLQQYKHERECSAIIAETREDKISRLESLMDGILPTEEFMEEEFASLMNEHKLLKEKYENHPDIMRLNIELKRVQEELDGFKNFFNMGERDVLMEEIQDLRSQLQYYLEFSSNSTRKQSPLLQLTHSCGTTSTPLCTISESTEASGNEKIEPDGCNWTERESEWIILSEELKLELEASRSLAEKRKVDLDSEKECTDELKEALQTAMQGHARILEQYADLQEKHIGLLARHRKIMDGIEDVKKAAARAGVKGAESKFINSLAAEISALRADREKERQYWRDENRGLQVQLRDTAEAVQAAGELLVRLKETEEAVATAEKRACMAEQETEKAYQEIDNLKKNYDREISLLNQLLSDSRLPREALTYTVFNDPKTRGESLTDQRWREEFEPFCNRDDADFSKDTNPSSWFSGYDRCNI >Ma06_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8449752:8451062:-1 gene:Ma06_g12160 transcript:Ma06_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKNGVKKGPWTPEEDIILVSYIQEHGPGNWRAVPSNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIRLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKVRKPETGSDCPKSSGQSSHRTVCKGQWERCLQTDINMAKRALREASSVSCSFDSKPSTYASNTENISRLLQGWTKGSPKPSVAPASSGSGVAESASSQGSVSTSNCIMSPEPLESVFGFNDSTAERSEAGAPFSLLESWLLDEHDGHWQESFLDVAVLDTCELF >Ma04_p05440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4064509:4074165:-1 gene:Ma04_g05440 transcript:Ma04_t05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESEDGRKVMKADEESTSKLDKSSSKTGAWQCSICTYENDQYLVSCDVCGVFRDFPGKSGSRDEENVNGTSKKSEVSPLGRSLFDRPPNSMPKVAGGFLCNEAGKSCGARLISAQLGDLHKMFLAPSLSQTKNIEPFRFDAPSPDDIVSSGKSSRTFPKVNTMPSTSVKISHDKPGKKGLVEVLQDNEVPESFPPSSLPNNHNEFENDNPSSFEDEPHMLANNVQSLKLEKHSPKSRNVKAKSNAEYKPEEWMFPDKEGTLSQLNLAIVGHVDSGKSTLSGRFLHLLGQISNKQMHRYEREAKQKGKGSFAYAWALDESVEERERGITMTVAVAYFLTKKYRVVLLDSPGHRDFVPNMISGSTQADAAVLVIDASVGAFEAGMGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDQVDFSKDRFDYIKLQLGHFLRTCGFKESLVTWIPLSALDNQNLLTTASDIHLSCWYQGYCLLDAIDSLQSPHRDVLKPFLLPICDVISKYSSGQVTACGKIETGAIRIGSKVLVMPSGDPAVVRNIERDSSTCNVARAGDNVVASLHGVDQGQVIQGGVLCHPDFPVTIASSLELKILILDIATPIIIGSQVELHIHHAKQAAKVVKVLSLIDPKTGSAAKKSPRMLVARQRAIVEVALEGEICVEEFSNCRALGRVFLRALGSTIAVGIVTRILQEA >Ma04_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4063829:4074165:-1 gene:Ma04_g05440 transcript:Ma04_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESEDGRKVMKADEESTSKLDKSSSKTGAWQCSICTYENDQYLVSCDVCGVFRDFPGKSGSRDEENVNGTSKKSEVSPLGRSLFDRPPNSMPKVAGGFLCNEAGKSCGARLISAQLGDLHKMFLAPSLSQTKNIEPFRFDAPSPDDIVSSGKSSRTFPKVNTMPSTSVKISHDKPGKKGLVEVLQDNEVPESFPPSSLPNNHNEFENDNPSSFEDEPHMLANNVQSLKLEKHSPKSRNVKAKSNAEYKPEEWMFPDKEGTLSQLNLAIVGHVDSGKSTLSGRFLHLLGQISNKQMHRYEREAKQKGKGSFAYAWALDESVEERERGITMTVAVAYFLTKKYRVVLLDSPGHRDFVPNMISGSTQADAAVLVIDASVGAFEAGMGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDQVDFSKDRFDYIKLQLGHFLRTCGFKESLVTWIPLSALDNQNLLTTASDIHLSCWYQGYCLLDAIDSLQSPHRDVLKPFLLPICDVISKYSSGQVTACGKIETGAIRIGSKVLVMPSGDPAVVRNIERDSSTCNVARAGDNVVASLHGVDQGQVIQGGVLCHPDFPVTIASSLELKILILDIATPIIIGSQVELHIHHAKQAAKVVKVLSLIDPKTGSAAKKSPRMLVARQRAIVEVALEGEICVEEFSNCRALGRVFLRALGSTIAVGIVTRILQEA >Ma07_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9759169:9762919:-1 gene:Ma07_g12970 transcript:Ma07_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSRLPLFFCCLFFFLLPRHGLLKKVDAFTGTYGINYGRIADNLPPPESVVTLLKAARIKNVRIFDSDQSVIKAFKGSGIELTVAIPNEYLRDISVYVDRAMSWVKEKVQPFLPGTRIRGIAVGNEVLGSDPELSQVLFGAIKNVYNALDRLQLSHEIEVSTPHSEAVFANSFPPSSCTFKEDVLGLMKPILDFFSQIGSPFYINAYPFLSYKNEPEHIDINYALFLSNAGIHDAKTDLHYDNMFDATIDAAYAALEAAGYGKMAVRVSETGWASAGDENEAGATLHNARTYNYNLRKRLFKKKGTPLRPKIMVQAYVFSLFNENLKPGPTSERHFGLFKADGSISYDIGLTGLKPSSASPSLLSLNAMVFWDVLLLFLFYIPYRNMAGAQAVSAVGLVLMATGLLQCLAVAAATSHTVGGSSGWTIPSSATFYPDWAASQEFAVGDSLVFDFTTGTHDVLEVAKSDYESCSTTNPIGSTIKTGPATVTITSAGEHYYICGFSGHCGAGQKLSITVSSSPSTTSPPPTSAGSNGPTASVTPGSDSAASLLPSGVKATVALLLLISLALLR >Ma02_p10760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20222107:20226886:1 gene:Ma02_g10760 transcript:Ma02_t10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGETTPPSPLDKPLAELTEEDIAQLTREDCRRFLKAKGMRRPSWNKSQAIQQVISLKALLEGRPGCDDCPAGGGILQKLLTSSPSEPLSPPQDSPPPAPKEGGSGSQPLAKEPSPYRRRDPIPPPYSAGNPTCQTPIAGADLPHPPEKRCPSPRLTAEVPVGQMTIFYDGMVNVYDGVSADQARSIMELAASPVCFDDPTGAFSPARPPAFRFPPGLPRPAPVPTAPSFVGTFPISPAGTMIHRVADGSDDRRVPRETEPEGPTSRKASLQRYLEKRKDRFKGKKIIGGPTSSNMEMICFNQKLSCPNQNELPNPCDTSFPTLIQQPQSPAKCSPAENETQREKIFFDLNDDG >Ma02_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20222107:20226886:1 gene:Ma02_g10760 transcript:Ma02_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGETTPPSPLDKPLAELTEEDIAQLTREDCRRFLKAKGMRRPSWNKSQAIQQVISLKALLEGRPGCDDCPAGGGILQKLLTSSPSEPLSPPQDSPPPAPKEGGSGSQPLAKEPSPYRRRDPIPPPYSAGNPTCQTPIAGADLPHPPEKRCPSPRLTAEVPVGQMTIFYDGMVNVYDGVSADQARSIMELAASPVCFDDPTGAFSPARPPAFRFPPGLPRPAPVPTAPSFVGTFPISPAGTMIHRVADGSDDRRVPRETEPEGPTSRKASLQRYLEKRKDRFKGKKIIGGPTSSNMEMICFNQKLSCPNQNELPNPCDTSFPTLIQQPQSPAKCSPAENETQREKIFFDLNDDG >Ma11_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1713855:1718665:1 gene:Ma11_g02380 transcript:Ma11_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWKDKVAAKLSRLLADSPSSPSSASADYSPVASSEPEEMFSQQYVSPKKFSHSSQGLSFPNSTSCAVDANAQNSPANWRRGVNFSSHSSLPRGWKTNCLAQKDRPSDSPEESGTGFESEELPESTKENMDHFPKRLVNVSRVLDETSITHDPGEYLSYLTEKSTFMSADLFEFFQSCLPNIVKGCQWVLLYSTWKHGISLRTLLRNSVNHPGPCLLIVGDMQGAVFGGLLDSPLKPTAKRNYQGTSQTFVFTTTYGEPRLFRATGTNRFYYLCLDDMLAFGGGGHFALSLDEDLLHGTSGPCETFGSLCLAHSPEFELKNVELWSFAHSSHYLA >Ma04_p25430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27200338:27201318:-1 gene:Ma04_g25430 transcript:Ma04_t25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKGLRYISQIFDAKEEEMQIGYPTDVKHVAHIGWDGPSVGSPSWMNDFGSAQQPANEDDAAAAAGQPKQPTSGGGSSRDSALPRPSRRMDSPGRDGSTSNRHSRRNKSSGGSDSPSREPVEGGSKHGRKHRSTAGVVGDEQPSPDVPAVPKQPHRRKTKGSSSASGVSTRSSSKLKAATASQSESGAEDGKPNPQSSSPPTVGEEEEEGKEKEGL >Ma07_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27068553:27075979:-1 gene:Ma07_g19040 transcript:Ma07_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDADYLKEIEKARRDLRALIASKNCAPIMLRLAWHDAGTFDVNTKTGGPNGSIRHEEEFTHGSNAGLKIAIDLCEPVKRKHPRITYADLYQLAGVVAVEVTGGPTIDFVPGRRDSSVCPREGRLPDAKQGAPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGAWTVEPLKFDNSYFIELLKGETEGLLKLPTDNALLHDPEFRRYVELYAKDEELFFKDYAESHKKLSELGFTSRQTGSTTKTIATSAVLAQSAFGVAVAAAVVILSYCYEASRRK >Ma09_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7770515:7770912:1 gene:Ma09_g11500 transcript:Ma09_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIVHTRSKCHPGDNNTVVPGSSTSFDYDLVAKGKGLFHSDEALLQDKETRGSVFSRLHLSESSFFSDLGESTIKLGKVAVLTANAGEIIRNCALIN >Ma01_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8672825:8674210:1 gene:Ma01_g11970 transcript:Ma01_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEEEQSAAEEGDCCSWMNPTAESSKGKGDRRRTRRRFSEEQIKSMESMFETQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYRGLKADYDALLSSFDSLKKEKQLLLQQLQDLAELIDKAEERSNRDDEGSNDRDSEIKKDQSSRLSLKEEADLEFAVCAAEEDKKPRYFSEDELNLCAGQPAISSLTSSAEQQFCLTTSWPSDQSCNNSQWWEFWPLSE >Ma09_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6693000:6694435:-1 gene:Ma09_g09840 transcript:Ma09_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAISFSNLYAPEHLIINVKDAERWEGLIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLKNIGPHVAKMAEVEGLEAHKRAVTLRLQEIEAGLPA >Ma09_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34294786:34298252:1 gene:Ma09_g22340 transcript:Ma09_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMMTTIVFFVAGVVASVLVLLCCSRGPSTNLFHLTLIITATVCCWMMWAIVYLAQLKPLIVPILSEGE >Ma09_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3682578:3683991:-1 gene:Ma09_g05730 transcript:Ma09_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDCDSDNWVVDRLGVADVFERLIPTMPWNSLMDRMMRELHAGGRSIEDIAACLRTAPLDPHVVEAIKTAYALGCDLRVVSDANQFFIDTILRHHGLLECFKEINTNPSIVDEEGRLRIFPCHDFTTRSHGCSICPPNMCKGKIIDRIRAAAFVEGKKRFIYLGDGQGDYCPSLGLSEEDYVMPRKHYPLWSLICNSPQPLRAEVHEWSTGEELEKVLLQLVDRSIDADRNCPSQMFSVDCKPKMVPASPTETLPLPLRVPH >Ma11_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17411338:17412318:-1 gene:Ma11_g13150 transcript:Ma11_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSCLLTPTSGLGEGMSAGKRPAWARGSPHDPSIKASGGPPRAKPNPLVKIPLSLASSARDLDPAVVRDRDPVVRAFLRPLSDLAPEPPEEIVARPAPSSFLVVDVATFGIMAPSLALAGLVYRCSTEGLADLCFRHEVLSSTPYGTQGC >Ma11_p13150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17411338:17412318:-1 gene:Ma11_g13150 transcript:Ma11_t13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSCLLTPTSGLGEGMSAGKRPAWARGSPHDPSIKASGGPPRAKPNPLVKIPLSLASSARDLDPAVVRDRDPVVRAFLRPLSDLAPEPPEEIVARPAPSSFLVVDVATFGIMAPSLALAGLVYRCSTEGLADLCFRHEVLHYHYMTTVVDPLRDAGVLISQFS >Ma04_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24738233:24739984:1 gene:Ma04_g22390 transcript:Ma04_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLAAIPLLLTVYRLFLLFLGVEGSVFTFVNKCGETVWPGILSNAGSPQLESTGFELPVASSRSFQAPAGWSGRFWARTGCSASAVGGGGAWSCATGDCGSGQVECNGAGAAPPATLAEFTLAPSSAGRDFYDVSLVDGYNLPMVVAASAGACAATGCVVDLNRMCPAELRAAEGEACRSACEAFGTPEYCCSGAFASPATCQPSAYSQMFKAACPKSYSYAFDDPTSTFTCAGGADYTITFCPESAPSQKASTDSYSSPTPYTTATSTPTATGGLMLEDDSWLASMATGDANTARRAVPFLRQALFIVATAMACTLLVR >Ma04_p22390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24738233:24739984:1 gene:Ma04_g22390 transcript:Ma04_t22390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLAAIPLLLTVYRLFLLFLGVEGSVFTFVNKCGETVWPGILSNAGSPQLESTGFELPVASSRSFQAPAGWSGRFWARTGCSASAVGGGGAWSCATGDCGSGQVECNGAGAAPPATLAEFTLAPSSAGRDFYDVSLVDGYNLPMVVAASAGACAATGCVVDLNRMCPAELRAAEGEACRSACEAFGTPEYCCSGAFASPATCQPSAYSQMFKAACPKSYSYAFDDPTSTFTCAGGADYTITFCPESAPSSQKASTDSYSSPTPYTTATSTPTATGGLMLEDDSWLASMATGDANTARRAVPFLRQALFIVATAMACTLLVR >Ma07_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8157615:8158284:-1 gene:Ma07_g11000 transcript:Ma07_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRAAVPQVHHPWLPPPIAPALAVVQKVPPAAISCRLGTPQLRSLKTSHGLCKARRRVRYEEEDEEEDTEEHAQNSEISLMEAYSEAVRDVALVVRATVDGEEETVLVFKDLI >Ma07_p11000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8157404:8158284:-1 gene:Ma07_g11000 transcript:Ma07_t11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRAAVPQVHHPWLPPPIAPALAVVQKVPPAAISCRLGTPQLRSLKTSHGLCKARRRVRYEEEDEEEDTEEHAQNSEISLMEAYSEAVRDVALVVRATVDGEEETVLVFKGFSSCLSSRTSYDPSKSVLPARAVIECIDVVRGPFDPSNVEYLEKDLTWEAFKTRLQTNNR >Ma05_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4542754:4549592:1 gene:Ma05_g06080 transcript:Ma05_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGGNSLPGVGQDATKRKVCYFYDPEIGNYYYGQGHPMKPHRIRMTHALLAHYGLLGNLQVYKPNPARDRDLCRFHADDYVAFLRSISPETQQDQMRALKRFNVGEDCPVFDGLYPFCQAYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGVEVDDKMPPHEYYEYFGPDYTLHVAPSNMENKNSRQQLDEIRARLLDNLSKIQHAPSVQFQERPPDTEFPEQDEDQEDPDERHDPASDMDVDGSKHPDETSRKPCSNNIQNVRIKKENMECEPKDQEAQKVGGDHAKVVEPMAEDVSGPKASDVSSMSIDEPGSVKAEQQDTQNKLSETPVFAHQKPC >Ma04_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7221151:7223869:1 gene:Ma04_g10080 transcript:Ma04_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPQAVVSQQQRGGVAPAGKQKNVAVADGKNRRALGDIGNLVTVRAVEGKPEPHINRPITRSFGAQLLAKAQAAAAVNKIPVAIPPDAAVGRAGRKPAKKVAIKNKEEKIVALSPAKNEEPKQTHSKSSRKKVPTLTSVLTARSKVACGIVDKPKELVDDIDAADAEDQLAVVDYIEDIYKFYRSAEHDGRPRGYMDSQVEINAKMRAILADWLIEVHHKFELMPETLYLTLYIIDRYLSMDVVLRREFQLVGVSAMLIASKYEEIWAPQVDDFICISNMAYTREQILGMEKGILNKLEWNLTVPTSYVFLVRFLKAASCDTEMEHMVFFFSELAMMQYSMVTHRPSMVAASAVYAARCTLRKSPLWTDTLERHTGFSEQQLLECTEILVNCHAAAPESKLKAVYKKYSGEKFGGVAILHPPATKLVQELKAARK >Ma08_p29330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40967725:40971017:1 gene:Ma08_g29330 transcript:Ma08_t29330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSCVGHAKFLPSKRNREGYIPRTCTASSLQVYPPSLRTTFCISSALVASVQPTSSDAPQRSAEWFALRRDKLTTSTFSTALGFWKGNRRSELWYQKVFAPEADMIEAPARAAMDWGVFNEPAAIERYKSITGRDVSSLGFAIHAEASYIWLGASPDGLLGCYPDGGILEVKCPYNKGKPELALPWQIMPYYYMPQVQGQMEIMNRDWVDLYCWTPNGSSIFRVYRDRAYWDLMHRILHEFWWGSVVPAREALLLGREADARAYEPKRKHQLTGLVIGTSRKLAADARLLCRDIGGHIEFFR >Ma08_p29330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40967534:40971017:1 gene:Ma08_g29330 transcript:Ma08_t29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAILPHRVALSSPFPSTDALHLFVRAALRKEVAFASPRSAAAARGGAGRRTRHTDSPWRPPVYGFLRTLQPSLIANFDRPVASIMSHSCVGHAKFLPSKRNREGYIPRTCTASSLQVYPPSLRTTFCISSALVASVQPTSSDAPQRSAEWFALRRDKLTTSTFSTALGFWKGNRRSELWYQKVFAPEADMIEAPARAAMDWGVFNEPAAIERYKSITGRDVSSLGFAIHAEASYIWLGASPDGLLGCYPDGGILEVKCPYNKGKPELALPWQIMPYYYMPQVQGQMEIMNRDWVDLYCWTPNGSSIFRVYRDRAYWDLMHRILHEFWWGSVVPAREALLLGREADARAYEPKRKHQLTGLVIGTSRKLAADARLLCRDIGGHIEFFR >Ma08_p29330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40967506:40971017:1 gene:Ma08_g29330 transcript:Ma08_t29330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTLQPSLIANFDRPVASIMSHSCVGHAKFLPSKRNREGYIPRTCTASSLQVYPPSLRTTFCISSALVASVQPTSSDAPQRSAEWFALRRDKLTTSTFSTALGFWKGNRRSELWYQKVFAPEADMIEAPARAAMDWGVFNEPAAIERYKSITGRDVSSLGFAIHAEASYIWLGASPDGLLGCYPDGGILEVKCPYNKGKPELALPWQIMPYYYMPQVQGQMEIMNRDWVDLYCWTPNGSSIFRVYRDRAYWDLMHRILHEFWWGSVVPAREALLLGREADARAYEPKRKHQLTGLVIGTSRKLAADARLLCRDIGGHIEFFR >Ma08_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3673049:3677772:1 gene:Ma08_g05360 transcript:Ma08_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPDANLQTVLIARMAGGDVDSKPVDEEEPGNPDETAADGSRKPVVLVTNADGIGSHGLTFLVEALVREEQCDVHVCAPDSDKSVSGHSITLHQTVSATSADLKGATAFEVSGSAADCVSLALSGSLFSWSKPTLVISGVNKGSNCCGHNIFYSGAVAGAREALMCGVPSLVISLNWKKEKSQESDFKDAVDVCLPLINAAIRDIEKGIFPRNCLLNIEIPTAPCANQGFKLTRQSLWRYVSNWQAVSASRHPTAGQFMSMHQSLGIQLAQLGRDASAAGAARRVGAQRKIVEIESVAEAGKPEQREAVKKCFRLEFLEKEQEPKVEEDSDFRALANGFIAVTPLYLDLQVQPEIQASASDWLAAVLKGTEEAPEADV >Ma04_p26390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27860930:27863618:-1 gene:Ma04_g26390 transcript:Ma04_t26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSTLLSPRHKLRIDASVQLQSCHFQLQDKHCHPQQKMGTQRLDLPCGFSSRKDPLRMALSVEKPPPAEARRSSCSFRRNPVTTSSMAAQTPSWGGIDEGHGRPWDRRRSLKRFHERGSCDDDRAKRTRTGGGVSGLVEVDGEVWFPRSTQEPPPVEEDKVFLVPNAASFPLPASTSHALVGGSAGPENDGLSPGEDPNDKSQSDSSTSSTAYASSPEPTKDSSGNVASNGSRMPSSSGVAGAAGGEGEGSSAEQQGLELLSLLTSCAESISSGNYEGMTFFLARLGETATPLGTPLHRVVAYYTEALALRVVKLRPHIFSIAPPKTLVHPTEDDDAVALRLLNCVTPVLKFLHFTMNERLLKAFEGRDRVHIIDLDIKQGLQWPSLLQSLASRPSPPSHLRITGVGESRQDLQDTGAALARLAESLNLPFEFHAVADRLEDVRLWMLHVKREECVAVNCVLTMHKALSDESGKAFMDLLGLIRSTRPEIVVMAEQEAKHNEPNWETRFSRSLSYYAAIFDSMDYALPRDSPARIKVEQVFAREIRNAVACEGGERTERHENFDRWRKLMEDGGFKCLGIGEREMLQSRMILRMYSCDKYAIDNQGEEGDGLTLRWSDQPLYTVSAWAPTDVAGGSSTSQPN >Ma09_p27200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38246280:38247344:-1 gene:Ma09_g27200 transcript:Ma09_t27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSITSTIVLNSQEDSTMDRPNWSSLPLDIVTLISEELPLPHRICFHATCNAWYFATLLKPIPSPLLLTRNDESEHSDSCLFVSPITEFFFIYFPISELHGTRCVGSNAGWLAIFDEQLDVSLLNLLTKTRIYLPSFITLPLYNSPYESKFFMTDLDDLLAYAHNNYRLKIFRDFIVKKVVFSSKPTIHSYIAMILYGNYPEIAYTKVGNDKWIFLGTPSTMECYYEDIMYHHDGKFYSITSQSEVQAFDLSGDYPVTMLLVERLARSVEYLDNISGSTINDLYNKFLACSSTGEMFLFLWHRDQTHYPNNGALPRPKDFMLMKVKPERSHCWATTKIWGTCVYSLVATIPF >Ma11_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3334697:3334991:1 gene:Ma11_g04220 transcript:Ma11_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHASKSIRSWKHHPCILLRVMISTSRMIVSEGDGTLLFVVRALLRRAVRAAFLLPFRSLSKVLNLMPC >Ma02_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26847693:26850508:-1 gene:Ma02_g21120 transcript:Ma02_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKLSKAEKKVVYDKKLCSLLDEYSKVLIAVADNVGSNQLQNIRKGLRGDSIVLMGKNTLIRRCIRIHAEKTGNKNYLNLLPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSEAALLAKLGIRPFSYGLVILSVYDNGSVFSPEVLDLSEDDLIEKFAAGISMVTSLSLAVSYPTLAAAPHMFINAYKNVLAVAIATEYTFPQAEKVKEYLKDPSKFAVAAPVVAAEAAAAPTAEPAEEKKEEPAEESDDDMGFSLFD >Ma08_p26720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39209905:39211361:-1 gene:Ma08_g26720 transcript:Ma08_t26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKAQVKRGPWSPEEDMVLKKYIEGHGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPDIKHGGFTDEEDDIICGLYNNIGSRWSVIASQLPGRTDNDIKNYWNTKLKKRRTMAMAAAQAPSSNNTTSIDTIVDRINDFPRPPPPSTSPVVIPIVKDETYTCDDFLKPVVPSPPVSATTDTGLGFGRYDSPPVVAGLAGPPRVQLNASDASSLVTVDDGSLYSDWWTNGSGDTDEFFLEFGGEVPMEFLTSSSDASFFDTETRSQVT >Ma05_p06340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4725330:4728218:1 gene:Ma05_g06340 transcript:Ma05_t06340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCCSISRPALSFLKHAAKRPARTFVAPNASSLPCPPSRSGSVYRELGSLRTLLPLHSAVSSARLISRLGIDACGSSSSRSLSQELGLSVPR >Ma05_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4725343:4728218:1 gene:Ma05_g06340 transcript:Ma05_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCCSISRPALSFLKHAAKRPARTFVAPNASSLPCPPSRSGSVYRELGSLRTLLPLHSAVSSARLISRLGIDACGSSSSRSLSQELGLSVPR >Ma07_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21779868:21785265:-1 gene:Ma07_g17740 transcript:Ma07_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHGDPNPFDEDAVSPFSNGAGSDKKSKFPSLSSVTLGFGNKHDAVVDVPLETTNDSRKKAQELAAWEAELKRRETEIKRREDALSNAGVTTEDKNWPPVFPIIHHDIAKEIPIHAQRLQYLAFASWLGIVLCLSWNILAVTVCWIKGCGVKVFFLAIIYAFLGCPLSYLLWYRPLYRAMRTESAVRFGWFFLLYLIHIGFCIIAAIAPPIVFDGKSLTGILAAIDTFSDHMVVGIFYLIGFGLFCLETLLSLWVLQKIYMYFRGHK >Ma03_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29072666:29077168:-1 gene:Ma03_g24860 transcript:Ma03_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFKADVLNGKVALITGGGSGIGLEISTQFGRHGAAVAIMGRRRQVVDAAVAALRSEGIRAIGVEGDVRKQEDAARVVEETFKHFGKLDILVNGAAGNFLASPEDLSPNGFRTVMDIDSVGTFTMCHEALKYLKKGGPGKGPSAGGIILNISATLHYTASWYQIHVSAAKAAVDSITRSLALEWGTDYSIRVNGIAPGPIGDTPGMRKLAPEEMQNNYSELGPLYKLGERWDIAMAALYLASDAGKYVNGTTVVVDGGLWLSRPRYIAKEEVRKLSRVVEKKSRNSAVIIPTSKL >Ma08_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35422043:35424264:-1 gene:Ma08_g21600 transcript:Ma08_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVQHSMICSLAWLLALSTLLALPELSCGSTTRRYKFDIRLQNVTRLCRTRSIVTVNGKFPGPKIMAREGDRVVVKVVNHVTNNVTIHWHGVRQLRTGWYDGPAYVTQCPIRTGQSFVYNFTIVGQRGTLFWHAHISWMRATLYGPIVILPKRGVPYPFPKPYKEIPVIFGEWFNVDPEAIIAQALRTGAGPNISDAYTINGLPGPLYNCSKKDTFELKVKPGKTYLLRMINAALNDELFFSIANHTLTVVDVDAVYVKPFEADIVHIAPGQTTNVLLHTKPSPPDATFLMAARPYATGSGTFDNTTTAGLLVYLPPNASSSSSHVKNLALFRPTLPALNDTAYTTNYTGKLRSLASAQFPANVPKTVERRFYFTVGLGTSPCAKNQTCQGPNGTKFAASVNNISFALPTSAALLQAHYFGQTKGVYDTDFPDTPPFPFNYTGTPPNDTFVTNATKVVVLPFNTSVELVMQDTSIQGAESHPLHLHGFNFFVVGTGFGNYDPSKDPRRFNLADPIERNTVGVPVGGWVAIRFLADNPGVWFMHCHLEVHTSWGLRMAWVVNDGWLPNQKLVPPPSDLPRC >Ma08_p16550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19140991:19144013:-1 gene:Ma08_g16550 transcript:Ma08_t16550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDSFALLNPLRLSRCGSLDSLRKLHVLLVVTGLIHNHSVVFQTIKSYLRFDQPLLALSVFETAENPTLYVQNLVIRCLSHHGFYSDLLRLYSRSQHSSRHRLGSDEFTFPFVIKACSAVSGFRAEKEVHCVVLKSGYGGHLVVQTALLHMYAKTGRMELSRKVFDDMSGRDLISWNALISGYSSNGLDREAFEAFRQMQADGLKPNSSTFLGVIPLCRSLGPPMAGDLMHGLALKCGAFSDKALVPTLISMYAGWEDLTAARLLFDLLPSKDLVVWNAMISGYSQNGKWDEAIEVFQLMHHSDARPDIVTLVSILSSCSNLCTIDCGKCIHAIGIKHGISDQSSVVAALVSMYAKHGEIDSAEDLFHTTPEKSLLLWNPMISGYLSNGLWDMVLGAFHNMQLEGVLPDDISMINVISGCTMAKDLCRGKSAHAYSIRKGFISNIRVMNALLSLYCDCNQFSTSLELFHRMRVRSVISWNTLISGWGKIGDIQSLVASFRSMCQEGVTFDMVTVISILSSFCSVEDAASGMSFHALTIKNGCNLDLSVANALISMYMNFGDTEASNLLFNGLSSRSIITWNALMTGYRNTNLFAEVMILFNQLRMDGQKPNSVTLLNVLPACESLLHGKSIQAYAVRNFSVLESSLLTATMMMYARFENVRYSHLLFEMIDKKNVVAWNTMMSIYIQANHAEAAIHSFRKMLWMELKPDHVTMLNLASASSHLCCLNMTQCVTGSAIRRGFESHTSISNCLMYMFARCGSIQTARKVFDGLEEKDSISWSVMINGYGIHGDGKAALLLFSMMKEAGWQPDDITFVSVLSACSHAGLVEQGRTFLKSMTEDHGITPRMEHYACMVDLFGRTGHLDEAYDIIKCLPFKASASLLESLLGACQSHGNAKIGEAIGKLLIEYRPSNASSYVMLSNIYAAAGQWTDYGRVRCDMEVRGVKKDAGISLVQVK >Ma08_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19141573:19144013:-1 gene:Ma08_g16550 transcript:Ma08_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDSFALLNPLRLSRCGSLDSLRKLHVLLVVTGLIHNHSVVFQTIKSYLRFDQPLLALSVFETAENPTLYVQNLVIRCLSHHGFYSDLLRLYSRSQHSSRHRLGSDEFTFPFVIKACSAVSGFRAEKEVHCVVLKSGYGGHLVVQTALLHMYAKTGRMELSRKVFDDMSGRDLISWNALISGYSSNGLDREAFEAFRQMQADGLKPNSSTFLGVIPLCRSLGPPMAGDLMHGLALKCGAFSDKALVPTLISMYAGWEDLTAARLLFDLLPSKDLVVWNAMISGYSQNGKWDEAIEVFQLMHHSDARPDIVTLVSILSSCSNLCTIDCGKCIHAIGIKHGISDQSSVVAALVSMYAKHGEIDSAEDLFHTTPEKSLLLWNPMISGYLSNGLWDMVLGAFHNMQLEGVLPDDISMINVISGCTMAKDLCRGKSAHAYSIRKGFISNIRVMNALLSLYCDCNQFSTSLELFHRMRVRSVISWNTLISGWGKIGDIQSLVASFRSMCQEGVTFDMVTVISILSSFCSVEDAASGMSFHALTIKNGCNLDLSVANALISMYMNFGDTEASNLLFNGLSSRSIITWNALMTGYRNTNLFAEVMILFNQLRMDGQKPNSVTLLNVLPACESLLHGASRVTPPSAIALCICLQGVEAYKQREKCLMG >Ma05_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9308527:9309964:-1 gene:Ma05_g12880 transcript:Ma05_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNNDLCGVVRGLPPCVTLGTTTKDDRSKRHKVVVIAIIASVVFFLLLFIFNAAALRFHKRKNPLVPVDDNHNKEGAFSILNFDGRDVYKDIIEATGDFDGKYCIGSGAYGRVYRVELASGELLAVKKIHLPDTEGTFDEQPFQNEIQTLTQIRHRNIVKLYGFCSSPRHKFLVYEYMERGSLASVLRSETAAELDWVKRVSIVKDVACALSYMHHDCAPPIVHRDITSNNILLDSEFKACVSDFGIARLLKPDSSNWTMLAGTRGYLAPEFAYTMRVTTKCDVYSFGVVTLELLVGAYGEELISILSSPSGNNVFVTDVLDQRLSLPMARVADEVIAVLTAALSCANNSPESRPAMKQVYENICAVKTPQGCGSLDALRLSDLTNADK >Ma03_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3130638:3131990:1 gene:Ma03_g04750 transcript:Ma03_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVLHESIKGGDFSSPIPLPLPVPPPPPPVINSERRINLSILIIFLILAIIFFITGLNHLLLQRLFHLHDAGVDQSFIDTLPVFLYRSIICLEDPFDCAVCLCQCEADDKLRLLPKCSHAFHVQCIDTWLMSHCTCPLCTRSLLPDLSPTDSFGPVVLVLEPGSESSRETASEREDSMPNIDLVSEASETKVVPVKLGKFRSVYVGEGSSTANGNLDQRRCFSMGSYEYVMDDRALLQVPIKPPKMKPEHRATEFRGDVGNVGISSHLHMKESFSVSKIWLQSKKEKSMAGDSSRRALSFRTGDELYLINVDLDVEVGRCNDGVGSWSDGAFSFARRTLLRLAARQNL >Ma06_p28750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30354431:30355139:1 gene:Ma06_g28750 transcript:Ma06_t28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPNERKGGNNQITPDAVPYGSTGSCAAVKLRTPPAVDGPYTSPTTTSSSSSSSSSSSSASSSSGVEGRGMRRMVAENPVVVVGRRGCCMCHVARRLLQGLGVNPALCELGEEAAEFEVAGGDLRRPDMLPVVFVGGRLLGGIDRLVAVHITGELVPILKDAGALWL >Ma05_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4649867:4660927:1 gene:Ma05_g06260 transcript:Ma05_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAGVWGAHGRGGGPGRCFIGDINQLGGSTSVDIISAIEFDKSGDHLATGDRGGRVVLFERIDARNHGSRKDQERQDSSLSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGSLFVLSTNDKTIKYWKVQEKKVKRISEMNIDASHAAGNCSSASSSSLGPCLPNGCSGRTLNYLSNDLCIPPGGFPSLRLPVVTSQETSLVSRCQRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSYSKLFEEHEASGSRSFFTEIIASIADIKFGKDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCLPGSKETTTLEASKNPMRQQVQNPTRPSRCLSSLTRAVRRGAENQGVDANGNSLDFTIKLLHLAWHPTENSIACAAANSLYMYYA >Ma05_p06260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4649867:4660927:1 gene:Ma05_g06260 transcript:Ma05_t06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTSAEDGVRQAPSMHPPPQLEWKFSQVFGERMAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERIDARNHGSRKDQERQDSSLSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGSLFVLSTNDKTIKYWKVQEKKVKRISEMNIDASHAAGNCSSASSSSLGPCLPNGCSGRTLNYLSNDLCIPPGGFPSLRLPVVTSQETSLVSRCQRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSYSKLFEEHEASGSRSFFTEIIASIADIKFGKDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCLPGSKETTTLEASKNPMRQQVQNPTRPSRCLSSLTRAVRRGAENQGVDANGNSLDFTIKLLHLAWHPTENSIACAAANSLYMYYA >Ma09_p26720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37925984:37938008:1 gene:Ma09_g26720 transcript:Ma09_t26720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSRTLAVLRPIRSSACAAHDSRKIFNPFSKRIVSSGRSGLSSLVRPSTWSVRRFEFASTSLRATTSAIHTVAHCEPVVSADWLLANLRQPDVKILDASWYMPDEQRNPFQEYQMAHIPGALFFDVDGISDRTSNLPHMLPSEEAFAAAVSALGIYNTDGVVVYDGKGIFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASGDAILKASAASEVIEKVYHGKVGSSTFEAKFQPHLVWKLEQVKQNIEGQAYQHIDARSKARFDGAAPEPRKGIRAGHVPGSKCIPFGQMLDSSQMLLPANELTKRFEEAGITMDQPVVASCGTGVTACILALGLHRLGKTDVAVYDGSWTEWGSQPDTPVTTA >Ma09_p26720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37925984:37938008:1 gene:Ma09_g26720 transcript:Ma09_t26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSRTLAVLRPIRSSACAAHDSRKIFNPFSKRIVSSGRSGLSSLVRPSTWSVRRFEFASTSLRATTSAIHTVAHCEPVVSADWLLANLRQPDVKILDASWYMPDEQRNPFQEYQMAHIPGALFFDVDGISDRTSNLPHMLPSEEAFAAAVSALGIYNTDGVVVYDGKGIFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASGDAILKASAASEVIEKVYHGKVVGSSTFEAKFQPHLVWKLEQVKQNIEGQAYQHIDARSKARFDGAAPEPRKGIRAGHVPGSKCIPFGQMLDSSQMLLPANELTKRFEEAGITMDQPVVASCGTGVTACILALGLHRLGKTDVAVYDGSWTEWGSQPDTPVTTA >Ma03_p06670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4585866:4588483:-1 gene:Ma03_g06670 transcript:Ma03_t06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFDSAASTLFCAEDNISVLGLDEEGEGGHGLGWVSEVESSDFYGDFLVDFPVQSDECVGLLVGRETEHMPREDYAVRLRSGALDLAIRRDATDWIWKVHAQYSFGPLSAYLAVNYMDRFLSAYELPRGKAWMSQLLSVACLSLAAKMEETEVPLSLDLQVGDAKYIFEARTIQRMEQLVLSTLKWRMQAVTPFSFIDFFLHKFNGGNAPSKLLVSRSVELILGTIRGIEFLAFRPSELAAAVSLSVLRETQNVEAEKALSCCVHVVKEGVFRCYEMIQELMAVRGRSLEIASPLASYVPQSPNGVLDAACLSYKSDDTAAGSQATCHQDSPANKRRKTSSLPIP >Ma03_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4585866:4588483:-1 gene:Ma03_g06670 transcript:Ma03_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFDSAASTLFCAEDNISVLGLDEEGEGGHGLGWVSEVESSDFYGDFLVDFPVQSDECVGLLVGRETEHMPREDYAVRLRSGALDLAIRRDATDWIWKRGKAWMSQLLSVACLSLAAKMEETEVPLSLDLQVGDAKYIFEARTIQRMEQLVLSTLKWRMQAVTPFSFIDFFLHKFNGGNAPSKLLVSRSVELILGTIRGIEFLAFRPSELAAAVSLSVLRETQNVEAEKALSCCVHVVKEGVFRCYEMIQELMAVRGRSLEIASPLASYVPQSPNGVLDAACLSYKSDDTAAGSQATCHQDSPANKRRKTSSLPIP >Ma01_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1591250:1591470:-1 gene:Ma01_g02390 transcript:Ma01_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGINQFFVAVEHDKWKFGTLCDLHDNLTITQAVIFCSKKRKVTS >Ma04_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25152665:25165131:1 gene:Ma04_g22970 transcript:Ma04_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPFARLVRLAGRAFYDDVSLKGDNQPKNGRGDNRGMAVIVLDALTRRQWVREEDLAKTLKLHAKQLRRILRFFEEEKLVMRDHRKESAKGAKIFSTAVAATGDGQQVVKDGEEKTKMHTHSYCCLDYAQEQLKPLALQLARVKDLPVPEFGSLQAWEARANAAARANGDLNALDPTKSSQGQGYSGTPMPFLGETRVEVALSGMEVKGEDNESDTKTSALKVIPPWMIKEGMSLTKEQRGDAVKVDDVSTYGDDKKSKDVKEDEKSIQDEYLKAYYAALLKRQKEQEEASRMQREAERSQSDLLDGVLEAYSERQVGKKAKREDYENDDVEWEEVPSAGLVVFSTTFCYYTYMCP >Ma11_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3559186:3563082:1 gene:Ma11_g04560 transcript:Ma11_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATDKVESVTASSSSVELDPLLKDLTEKKLSFKKNVVSLAAELKDVRRRLALQEQSFTKETHTRKVAEMKAKSMEEEIGQLQICLQDKDEKLKSSVSTSQQYVKELDDLRSQLSITRVTADASAASAQSAQSQCLDLLRLLDEKNNLLEKQEMRVNKLGEQIDLLQKDLQSRELSQRQLKDEVFRIEKEIMDAVEIAAVKKDCELRKILAEVSPKNFENINKHLTAKDEEIAGLRDEIRILSADWKQQTEKLEAQLEKYHRAEQELKKRIVKLEFCLQEARSQIRKLQRMGEKRDKAIKELRDELATKRQNCAGCSDKQNFWESSGFKIVASMSMLFLVVFAKR >Ma06_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8699882:8702882:1 gene:Ma06_g12550 transcript:Ma06_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIAFKCPSIEVVVVDISVSRIAAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTNIEQHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSRGVKYQILSNPEFLAEGTAIQDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRVGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVADYWRQVIKINDYQKNRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDNAKISIYDPQVTEDQIRRDLAMNKFDWDHPAHLQPMSPTAVKQASVTWDAYEATKGAHGVCILTEWDEFKELDYQRIYDNMQKPAFIFDGRNVVDPETLRAIGFIVYSIGKPLDSWLKDMPAVA >Ma01_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4873293:4875546:-1 gene:Ma01_g06780 transcript:Ma01_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDLRRLLRSSDVDLWDLIETAVAVAAADRPEELRARRDGIVERLYAPPADRCRDCGPPARSQPRGEEKGSSSPAMKREAAPPPTPPSPEPVDEDEEEEAEAEAEEEDDLKSYDRSIDAATRRILAIKESLEHPDQSEDSLISLLQDLADMDITFKSLQETDIGRHVNGLRKHPSNEVRGLVKHLVRKWKDLVEEWVKSNSPGDQSASPAIIADGESPQQIPRKNFQNGLQTPERGNTPHSHTDGFSSSEAKVKANPPRREAPPTKPNAHVATALPSAPPPKPKEEKDGLLDPERLASARRRLHENYQEAQNAKKQRTIQVMDIHEIPKQKNTFTRKGGFQGKHW >Ma01_p06780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4873293:4875546:-1 gene:Ma01_g06780 transcript:Ma01_t06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDLRRLLRSSDVDLWDLIETAVAVAAADRPEELRARRDGIVERLYAPPADRCRDCGPPARSQPRGEEKGSSSPAMKREAAPPPTPPSPEPVDEDEEEEAEAEAEEEDDLKSYDRSIDAATRRILAIKESLEHPDQSEDSLISLLQDLADMDITFKSLQETDIGRHVNGLRKHPSNEVRGLVKHLVRKWKDLVEEWVKSNSPGDQSASPAIIADGESPQQIPRKNFQNGLQTPERGNTPHSHNGFSSSEAKVKANPPRREAPPTKPNAHVATALPSAPPPKPKEEKDGLLDPERLASARRRLHENYQEAQNAKKQRTIQVMDIHEIPKQKNTFTRKGGFQGKHW >Ma07_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31549756:31551518:1 gene:Ma07_g24090 transcript:Ma07_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREDARNASPSRPWPLTSSPGEETAAGEDAGDDDDRLLLWEQTRQELLSLENETAFNEAIIEEREEDIKEIHYDVQQIHEIYQDLAVLLHDQPISIDNIDKRIEESAASTGRAKEQLYKASKCSRSRSSWVCWVLIILVLVLVILLLVLILHRLLARHDNPVQSLVKQ >Ma08_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16144133:16151086:1 gene:Ma08_g15860 transcript:Ma08_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDNDKLGARICPLVCVCCLLAALVVNGFDVPMTLLEGAVDEGAVCLDGSPPAYHFSPGFGSGMNNWLVHMEGGGWCTNVQDCLARRDTFRGSSKYMKPLSFSGILGNSQNSNPDFYNWNKVKIRYCDGSSFTGDVDEVDPATKLYFRGAKIWLAVIEELLAKGMNKAENALLSGCSAGGLASILHCDKFGDLLPAGATVKCLSDAGYFINAKDITGTESIKAYYSEVVSTHGSAKNLPSSCTSRMTPSMCFFPQYVVPQMRTSLFILNAAYDAWQIKNILAPSAADPHKTWNDCKLDIKQCSSDQLQIMQGFRSEFLNALAALGNSSARGMFINSCYAHCQSGSQDTWLAADSPALDNIPIGKAVGDWFYDRSAFRKIDCPYPCDSSCRNRVYD >Ma06_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:671672:673208:1 gene:Ma06_g00820 transcript:Ma06_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDRVHPVQPEPVTGATPETPRSSVPRSGNVDPKPGPQPGSYVIQVPKDLVLRTPPEGNARRAKAYARRAARRRRNCCCVLLAWLAALLVLLAAAAGVLYLVFRPRAPDYSIDSLSIAPLNLSAAAVSPQFNATVRADNPNKKVGIYYRHGSDIKVTYDGVTLCAGEWPAFYHAPRNETVFVAVLRGSDIRLSSANQQSLLAAEAQGRQIPLRIDAKVPVRVKFGAVTSWTITVKVKCDIAVDKLTENAKIVSKNCHVKVKVFKFLGL >Ma06_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9545477:9551277:1 gene:Ma06_g13920 transcript:Ma06_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLAVLASHLTQTGPAPLSALGPPPGVSTSRCSGGGGGGGGETERGGEKGCVFCRIIRGESPAFKLYEDDVCICILDSSPLSIGHSLIIPKLHAPSLESTPPPVVAAMCSKIPILSSCIMKATQSDSFNLLVNNGLAAGQVIFHTHLHIIPRKTGDKLWPSESLRRQPIEAYPKIVDLVNSIQDLVCSLSTDSCLSDAWIAAKIRNHK >Ma05_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27393640:27394372:1 gene:Ma05_g19250 transcript:Ma05_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLELSKEACSLVPGEDRLAFSITWDIDDTGNITVRWIGRSVIHSCCKLSYDDVQDIIDGGFEVDVSGKTVPKMNGQFELKDIVDSLRSLHGITKKIREIRLRNGAFWIKTPKLVFLLDESCALLRRHAEPMSMKLEEFQEFYRKHGLELDSSSSGKLQLALPKMREKLKNDPVLLQILLARAARTMQLAIYFCTGDLCWVQPTCGLG >Ma07_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30826133:30827617:1 gene:Ma07_g23070 transcript:Ma07_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRKTTWISACYHPSSLGVACFLFILYKFFPSLFALLLSSSPVIVCTTLLLGILLSYSEPNLPGNKADNDDTAEASSSLKIESVPNEKGFRDKSRVGNRRATKRLTVRTIVLGDRRLDAAEATFVASNSSIEEDNGKKACLDSESGGAQDSDSSKSNTAPVLDELDPSVSEDNSGDDSAAFSENHASDDGSTEEETENQDEEEAQKEKDEGVKAAVRWTADDQRNLVNLGNSELERNRRLETLLAKREARKVLQRNLIDLDDNMEKGHGHLPCVNAPRRNPFDLSCSSDDYFPGSAPSVLLPRLSPFDLLDDDPVEDEKNSKQQDFAAAPQRDIFFRRHESFSVGSVFSESKQEKRVSRFNPCVGAENNEPEETACADSKMNSTSESDEHNAKASSDSMITEQAHQATARSAATQDECSEDSVGNSPTSYGERVEAIDEEHKSSSSTASSSSEEKRSPKMRTPETTAVGSTSDAVEVEGENVDDGHVVERTCL >Ma07_p04050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3039570:3056402:1 gene:Ma07_g04050 transcript:Ma07_t04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDATESCGSRAAADSSHTHNRQQQRHKMDVYNEVLRRLREAGRPEVQSPSFEDGLWNHFNRLPARYALDVNVERAEDVLMHKNLLELAQEPDNRPVFSVRLVQVTPVLDGNQADSSDSNAPEMGDAQSTSTYIRQSVHPPPAFGSSPNLEALALEASRQQYHDGDSVANAPQFLRPMHEIAFSTHDKPKLLSLLTSLLAELGLNIQEAHAFSTNDGYSLDVFVVDGWLYEETERLRDSLQKELQKIGRQAWSKSHPWSPQLENAQTGEGALPDHVPIPTDGTDVWEIDFQLLKFGCKVASGSYGDLYRGTYCSQDVAIKILKPERVNVDMQREFAQEVFIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDFLHKQKGVFKLPALLRVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAESGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVMWELLTAKLPYEYLTPLQAAVGVVQKGLRPTIPKNTHPKLAELLEKCWQQDPAVRPDFSEILETLQLIAKEVRDESNDRRKEKSSGGFLSVLRRGH >Ma07_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3040480:3056402:1 gene:Ma07_g04050 transcript:Ma07_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAQSTSTYIRQSVHPPPAFGSSPNLEALALEASRQQYHDGDSVANAPQFLRPMHEIAFSTHDKPKLLSLLTSLLAELGLNIQEAHAFSTNDGYSLDVFVVDGWLYEETERLRDSLQKELQKIGRQAWSKSHPWSPQLENAQTGEGALPDHVPIPTDGTDVWEIDFQLLKFGCKVASGSYGDLYRGTYCSQDVAIKILKPERVNVDMQREFAQEVFIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDFLHKQKGVFKLPALLRVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAESGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVMWELLTAKLPYEYLTPLQAAVGVVQKGLRPTIPKNTHPKLAELLEKCWQQDPAVRPDFSEILETLQLIAKEVRDESNDRRKEKSSGGFLSVLRRGH >Ma02_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21747730:21754142:1 gene:Ma02_g13280 transcript:Ma02_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWDGGHSDDSFRDSLYTEPIYEAFLCPLTRQVMRDPVSIENGQTFERDAIEKWFEECRDSGRRPTCPLTHKELKSTDLNPSIALRNTIEEWTKRNEAVQLDKACRTLSLGNSEIDVLQSLNYITHICQKSRYNKHAVHNAELVPMISSMLKSGNRKVRLKALETLCIIAEGDDDNKEVIAAGDNIRTIVKFLSHEHSQEREEAVSLLYELSKSESLCEKIGGVSGAILILVGMASSKSANILTVERADKTLENLEKCENNVRQMAENGRLQPLLTLLLKGSPETRLSMVSYLGELVLSNDVKVFVAQTAGSVLVDVMKSGSNLAREAALKSLNQISSYETSAKILIHAGILPPLVKYLFTVGVNQLPMRMKEVSATILANVVSSGANFESIPLDQDRRTLVSEDIVHNLLHLINNTGPAIECKLLQVLVGLTSSATTVVNIVAAIKSSGATISLIQFVEAPQKDVRMASIKLLQNISPYMCQELADALRSTGGQLSSLINVIAEDNGISEEQAAAIGLLADLPESDTGLTRRLLEEGAFKIAFSKVVSIRQGITRGGRFVTRFLEGLVRVLSRLTYILEGEPEVIALAREYSLASLFTDLLQMNELDKVQRVSALSLQNLSKQSKHLTQVPVAPEPRFFCSIFPCLGKQPVITGLCRVHHGFCSIKHSFCLLEGKAVEKLVACLDHTNEKVVEAALAALCTLLDDDVDIDQGVSVLDEADGIKPILDILRDNRTEILRQKAVWAVERILRNDDIANEIAGDQNVGTALVEAFRHGDYRTRQIAERALKHVDKLPNFSGIFTKKGG >Ma02_p13280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21747730:21753787:1 gene:Ma02_g13280 transcript:Ma02_t13280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWDGGHSDDSFRDSLYTEPIYEAFLCPLTRQVMRDPVSIENGQTFERDAIEKWFEECRDSGRRPTCPLTHKELKSTDLNPSIALRNTIEEWTKRNEAVQLDKACRTLSLGNSEIDVLQSLNYITHICQKSRYNKHAVHNAELVPMISSMLKSGNRKVRLKALETLCIIAEGDDDNKEVIAAGDNIRTIVKFLSHEHSQEREEAVSLLYELSKSESLCEKIGGVSGAILILVGMASSKSANILTVERADKTLENLEKCENNVRQMAENGRLQPLLTLLLKGSPETRLSMVSYLGELVLSNDVKVFVAQTAGSVLVDVMKSGSNLAREAALKSLNQISSYETSAKILIHAGILPPLVKYLFTVGVNQLPMRMKEVSATILANVVSSGANFESIPLDQDRRTLVSEDIVHNLLHLINNTGPAIECKLLQVLVGLTSSATTVVNIVAAIKSSGATISLIQFVEAPQKDVRMASIKLLQNISPYMCQELADALRSTGGQLSSLINVIAEDNGISEEQAAAIGLLADLPESDTGLTRRLLEEGAFKIAFSKVVSIRQGITRGGRFVTRFLEGLVRVLSRLTYILEGEPEVIALAREYSLASLFTDLLQMNELDKVQRVSALSLQNLSKQSKHLTQVPVAPEPRFFCSIFPCLGKQPVITGLCRVHHGFCSIKHSFCLLEGKAVEKLVACLDHTNEKVVEAALAALCTLLDDDVDIDQGVSVLDEADGIKPILDILRDNRTEILRQKAVWAVERILRNDDIANEIAGDQNVGTALVEAFRHGDYRTRQIAERALKHVDKLPNFSGIFTKKGG >Ma10_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23120947:23121795:-1 gene:Ma10_g08860 transcript:Ma10_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRPRCSKSSLDLLPLFFLRLIFFCHAQQQSYTGNELLNCFGANDSSNLGYSCHGGTHHSCASYLTFRSQGAYQTPQEIADLLGADAASVAAINGIEDTASAVPQGELVLVPTTCSCSGLYYQHNVSYTVKPGDTYFTVANNTYQGLSTCRALIAENPYDPLNISIGARLVVPLRCACPTEDQVAGGIKYLLTYLVTWGDDLSSIAGRFHSELLFLLMYPNNLTANATLYPFTTLLVPLGEEPTRVSEPAASPPPPLAEASATITNTNLRIKLSIRFFDV >Ma04_p29590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30487174:30489176:1 gene:Ma04_g29590 transcript:Ma04_t29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPWNNWFTQSGMDESNLLRQWEIASPNQDIPQAAPAPGRGLIPQSLSSESHTSPPFRPVSSSPGFVAGSSMSQEIISWDFSPGAGKMDPGGSSLPSLLLPKPSPQSDSVPGRAVKMKEGIRVCAPGGSKQRHGTLLQRATLSQAQEHIIAERNRREKLNQKFIALSAIIPGLKKADKASILGDAVRYLKELQGRVKALEDQNMERTVESVVLVTKAQLSADDDGGSSSDENFDGQPWQKPFPEIEAKVSGKMVLVRIHCENRKGVLVKILSEIEHLNLTITNTNVMPFLGSSINITVTAQIEEKFSMTAKDLVRKLKSALT >Ma09_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35573076:35574860:1 gene:Ma09_g23840 transcript:Ma09_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTASRFLHPLHLHLPHTRPDSEESPAAGGDGASGEVQASHGLELSAPAGPGDVVGRRPRGRPPGSKNKPKPPVIITRESANALRAHMLEVAGGCDVFECLSTYARRRQRGVCVLSGSGTVANVSLRQPAGAPVATLQGRFEILSLSGSFLPPPAPPGATSLAVFLAGGPGQVVGGSVVGALIAAGPVIVIAASFTNVAYERLPLDEEEEEATAAAAAHQQLQMHPPVSQSPNADGGGTSGGVGTSFTDPSSGLPFFSLPLNMPQLPMDGHSGWAGSASAARPPY >Ma04_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14684634:14685716:1 gene:Ma04_g16020 transcript:Ma04_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVTEVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKIHSLQLRKEYPFFLLNIYIFFYFRFVSTDSCRTRNSTKQRTRVSITVNFRLAI >Ma03_p00440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:381537:387916:-1 gene:Ma03_g00440 transcript:Ma03_t00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKFSKHRLVSGRSAMPAGDKKQKVSVKKVALRELSNESGNIINWQPENFILKEKGQDPDLIKVYVPDSARLAGSKRKQSDGPANPSSSQMPGKICSHGNLVYVRRRLETEQAKTGTSGNANKDESSESRMSEGVVTVEPNPVLNKIQEPKTATFGGPSDLNSCEKTNSGLVVSEPHDSSVISETPVIHDSLKVNNQDRGERFLQLQMFLKSCNQSGQEDYMQMLRSLSAAGRSKHAVELEKRAIHLLLEEGKELHRMKVLNVLGKASQQDHVMQPAHASSSL >Ma03_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:381461:387916:-1 gene:Ma03_g00440 transcript:Ma03_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAGGVNGRSRLVSGRSAMPAGDKKQKVSVKKVALRELSNESGNIINWQPENFILKEKGQDPDLIKVYVPDSARLAGSKRKQSDGPANPSSSQMPGKICSHGNLVYVRRRLETEQAKTGTSGNANKDESSESRMSEGVVTVEPNPVLNKIQEPKTATFGGPSDLNSCEKTNSGLVVSEPHDSSVISETPVIHDSLKVNNQDRGERFLQLQMFLKSCNQSGQEDYMQMLRSLSAAGRSKHAVELEKRAIHLLLEEGKELHRMKVLNVLGKASQQDHVMQPAHASSSL >Ma01_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13474540:13474914:-1 gene:Ma01_g18170 transcript:Ma01_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRNKKIGALQGGRYCWNEPTGRATPVAGSSLERRWIYGPTRGISQSPAVVLFLVVSRQYQQQILSQHPTESKGRRNEASPRSILMHLIPFPTMRERERERERERERRRRRRVRCYGDCCRY >Ma08_p05060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3462628:3468040:1 gene:Ma08_g05060 transcript:Ma08_t05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVVVAVVVFVVFALWRAAAAQKLSSAADLAGLYSLRASLGLRARDWPRRADPCTSWTGVACSASGRVVRLDLSGLRRTRVGRLSPRFAVDGLRNLTQLVSFNATGFALPGPIPDWFGRELAPTFAVLILRDASVVGSIPNSLSGAAGLAVLNLAGNAITGNIPPTLGQLSNLTLLDLSRNALSGPIPASFAALANLANLDLSFNSLSGSLPLALGTLRALKTLILANNNLTGSVPAQLGDLSSLAILDLSFNSLAGSLPDDLKNLRSLQHLILANNSLSGSLTTGLLAVLPRLQSIKLSRNNFSGTLPDSLWSLLELRLVDVSYNNLTGVLPDLVPAIVEGNDSDALFDLSSNLYYGYISSSFGSVFAKFATVNISDNYFKGRLPLDNASSNVSFGLNCFKNTKNQRSPDDCLQFYSARGLLYDGDDTPGTAPPSGTSKEGHRNLKYILIGAFGGALVLVILVVLLVCCLKRSGGRKAELHENGAGADPSVSGTQVPGVYVNLSNVGEAFSYEQLFRATLDFSDANLIKKGHSSDIYHGTLDTGIPVVVKRMDVRKVRKDAHAAELDLFSKGLHERLVPFMGHCLENENEKFLVYKYVPNGDLYMVLQAKPEPEEGLQSLDWIKRLKIATGIAQALCYLHHDCLPPLVHRDIQASSVLLDDKFEVRLGSLSEVCAQEGEAHQKAITRLLRMSQVSVQNMSGPPATCAYDVYCFGKVLLELITGKLGISGSNDVNATNEWLDHTLPHINMYEKEAVTKIVDPFLVVDEDHLEEVWAMAIVAKSCLNPKPNRRPQARHILTAVENPLKVVRQDITTGSTATLTMMTSSRGSWNLAFMGSWRRSSSETMCVAPGQAREDQAVKWSGTTRSQGSGGGEQSFSRKRLSKEIFPEPSSVRDMQD >Ma08_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3462628:3468040:1 gene:Ma08_g05060 transcript:Ma08_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVVVAVVVFVVFALWRAAAAQKLSSAADLAGLYSLRASLGLRARDWPRRADPCTSWTGVACSASGRVVRLDLSGLRRTRVGRLSPRFAVDGLRNLTQLVSFNATGFALPGPIPDWFGRELAPTFAVLILRDASVVGSIPNSLSGAAGLAVLNLAGNAITGNIPPTLGQLSNLTLLDLSRNALSGPIPASFAALANLANLDLSFNSLSGSLPLALGTLRALKTLILANNNLTGSVPAQLGDLSSLAILDLSFNSLAGSLPDDLKNLRSLQHLILANNSLSGSLTTGLLAVLPRLQSIKLSRNNFSGTLPDSLWSLLELRLVDVSYNNLTGVLPDLVPAIVEGNDSDALFDLSSNLYYGYISSSFGSVFAKFATVNISDNYFKGRLPLDNASSNVSFGLNCFKNTKNQRSPDDCLQFYSARGLLYDGDDTPGTAPPSGTSKEGHRNLKYILIGAFGGALVLVILVVLLVCCLKRSGGRKAELHENGAGADPSVSGTQVPGVYVNLSNVGEAFSYEQLFRATLDFSDANLIKKGHSSDIYHGTLDTGIPVVVKRMDVRKVRKDAHAAELDLFSKGLHERLVPFMGHCLENENEKFLVYKYVPNGDLYMVLQAKPEPEEGLQSLDWIKRLKIATGIAQALCYLHHDCLPPLVHRDIQASSVLLDDKFEVRLGSLSEVCAQEGEAHQKAITRLLRMSQVSVQNMSGPPATCAYDVYCFGKVLLELITGKLGISGSNDVNATNEWLDHTLPHINMYEKEAVTKIVDPFLVVDEDHLEEVWAMAIVAKSCLNPKPNRRPQARHILTAVENPLKVVRQDITTGSTATLTMMTSSRGSWNLAFMGSWRRSSSETMCVAPGQAREDQAVKWSGTTRSQGSGGGEQSFSRKRLSKEIFPEPSSVRDMQD >Ma03_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6493784:6496239:1 gene:Ma03_g08840 transcript:Ma03_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVITIVNPFATAAGLLGNIISFLVVLAPVPTFYRIYKKKSTESFDSVPYVVALFSAMLWVFYGLLTLDVLLLTINTGACIIEMIYLTIYLIYASKKPRAFTLKLISLVNVGIYGSLVLFTTLFVKGKRRIDVTGWICASFAVSVFAAPLSIIRLVIRTKSVEYMPFSLSFFLTLSAVAWFSYGILLGDLFVALPNVVGFMFGIAQIIIYFMYVNSKKAETKPKLNAEAMPAAATLDSVVELPEKKGVPQPVVCEFTSVIEV >Ma03_p29920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32757474:32758451:1 gene:Ma03_g29920 transcript:Ma03_t29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIPLFLKHCHKLVTLDLGENKLHGRIPTWIGRNLWSLRVLRLRSNVLYGTIPMNIVNLTSLQLLDLSSNNLTGSLPSSLGNFSAMVEIQNNITSVLHIETYYYEESILMTTKGSTIDYTTILSLVTCIDLSNNHLSGEIPKELTKLLGLRFLNLSNNHLTGRIPEKMGDMKILESLDLSVNSLIGEIPSSFSAMHFLERLNLSYNNLSGKIPTSGQLSTFDSWTYVGNKGLCGMPLPDCPVYQTPPDARVKHEDDGKLDKLLEYTSIVVGFVVGFWLFIGTLILKQAIRFAFFRWIDKTSDWVYVQFAVKLAKLKSKWQTMT >Ma01_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9436249:9437024:-1 gene:Ma01_g12890 transcript:Ma01_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKNAMASVKETAGNVAASAKAGMDKTTATVQEKVEKMTAHTPTEKAMAEERKQEKVREAEINKQETMQQNAAAQQRTLAAHDGGHVAEPGVYPVGGAPGEVAGHPTGGHLISGAVRSRPIGRDTGTARPDAAHNPYVGSTEPAARGTGGRYA >Ma06_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20074914:20075756:-1 gene:Ma06_g23000 transcript:Ma06_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGSRTQGDLAFSG >Ma06_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11000927:11003149:-1 gene:Ma06_g16250 transcript:Ma06_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACEAAEAAVLCCADEAALCWACDERVHAANKVAGKHQRVSLFSDGAVGSGSSTRGVPKCDICQEASGFFFCLEDRALLCRSCDLAIHTANPYVSAHQRFLVTGVRVGLDPTEPVAPAASQQSHSAGRVVVSLSEHLPTGTHLVSSTETNAVSSSQIANQNGGLLVSKAPLYGFSMSETILDWPLDDFFGFPDFNQNFGFTEHSASKADSGRHGSSQGSPTCRSTDDDRNADECLGQVPEFRTVPKIPPPPTASGLSWQRNRHYPASNNTVFVPDICSSYDPNSFDASAGSKRRRRQ >Ma03_p25570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29559508:29562287:1 gene:Ma03_g25570 transcript:Ma03_t25570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKWLSQAVPYISHVDSRMVRISRYATSSFSKLNYMHDGDKLCMERLDHKDWLAPNEMLKIFRNVRNPELIINAFKKAARRIDYRPSEALYSLLIDRLAYSRKFAYVEDLLDKAKCEKCRLSDDFFYRLIKMYGNVANHPDKAIETLLKMPDFNCWPTVKTFNYVLNMLVNTRQFEVIHEVYLSAPRLGITLDTCCFNILIKGLCDCDKLDAAFSLLHEIPKQGCRPNATTYSTIMHYLCEHDKVSEALELCDRMQKNGCHPDTITFNILISGLCKQGRVSEGMEFLKTMKLKGCYPNSGTYQALLYGLLSAKKFVEAKDFMGIMISEGVKPSYSSYKLIICGLCRENLLTDAELVLEQMVHQGFVPRMGTWKMILACML >Ma03_p25570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29559508:29562287:1 gene:Ma03_g25570 transcript:Ma03_t25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKWLSQAVPYISHVDSRMVRISRYATSSFSKLNYMHDGDKLCMERLDHKDWLAPNEMLKIFRNVRNPELIINAFKKAARRIDYRPSEALYSLLIDRLAYSRKFAYVEDLLDKAKCEKCRLSDDFFYRLIKMYGNVANHPDKAIETLLKMPDFNCWPTVKTFNYVLNMLVNTRQFEVIHEVYLSAPRLGITLDTCCFNILIKGLCDCDKLDAAFSLLHEIPKQGCRPNATTYSTIMHYLCEHDKVSEALELCDRMQKNGCHPDTITFNILISGLCKQGRVSEGMEFLKTMKLKGCYPNSGTYQALLYGLLSAKKFVEAKDFMGIMISEGVKPSYSSYKLIICGLCRENLLTDAELVLEQMVHQGFVPRMGTWKMILACML >Ma08_p14290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11847841:11852355:-1 gene:Ma08_g14290 transcript:Ma08_t14290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSCFSNLLIGMKKKSEKSSKAVDAKRVNSTGDLRVKPEDLLHPSLEMGTKEASFGDSVPLKAQGKSSLSNLKVDGNGNTNEILTRTTAAVEAAYEGGDEHDDVLSMKRDFSDFDLQALAMEKGELAYPGFNQEFNNDVMEYKSDKIAGITPNMLDQSGHVSDPGMGRTTAFWGSPSLKRSCSNIERKRSGKFLTSPTKSYSCEDLQKLAENGGGEAHGILGSPLSVMTSCSADKVMLKKRSSSQVLPSRSRKLWWKLFLWSHRNLHKSWVSKPERTVSTVDASKQKGGYCSDTLEPSCKIDIKNKKPMEEPEIRNDLWPQNQWVAFCAESSSLDRVNAWVHSLDDSPFNPIDDDEVVTGVYVNMDSTEVGEPSGKNQTGMSRRTVEEIVQANKIVESLNSLSAVAHISCMGLKVIPAISAFNSLRVINLSGNFIVHISPGSLPKSLHMLDLSRNKIATIEGLRELTKLRVLNLSYNRISRIGHGLSNCVLIKELYLTGNKISDVEGLHRLLKLTVLDLSFNKISTAKALGQLVANYDSLLALNLLGNPIQTNIGDDQLRKAVCSLLPQLAYLNKQPTKPHREVVTGNIAKAALGDNGWHSRRRSTRRVIQSMSSSVKVRVGEGSSHKGSSHKDRQGSKSRHQHSISTRK >Ma08_p14290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11847841:11852355:-1 gene:Ma08_g14290 transcript:Ma08_t14290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSCFSNLLIGMKKKSEKSSKAVDAKRVNSTGDLRVKPEDLLHPSLEMGTKEASFGDSVPLKAQGKSSLSNLKVDGNGNTNEILTRTTAAVEAAYEGGDEHDDVLSMKRDFSDFDLQALAMEKGELAYPGFNQEFNNDVMEYKSDKIAGITPNMLDQSGHVSDPGMGRTTAFWGSPSLKRSCSNIERKRSGKFLTSPTKSYSCEDLQKLAENGGGEAHGILGSPLSVMTSCSADKVMLKKRSSSQVLPSRSRKLWWKLFLWSHRNLHKSWVSKPERTVSTVDASKQKGGYCSDTLEPSCKIDIKNKKPMEEPEIRNDLWPQNQWVAFCAESSSLDRVNAWVHSLDDSPFNPIDDDEVVTGVYVNMDSTEVGEPSGKNQTGMSRRTVEEIVQANKIVESLNSLSAVAHISCMGLKVIPAISAFNSLRVINLSGNFIVHISPGSLPKSLHMLDLSRNKIATIEGLRELTKLRVLNLSYNRISRIGHGLSNCVLIKELYLTGNKISDVEGLHRLLKLTVLDLSFNKISTAKALGQLVANYDSLLALNLLGNPIQTNIGDDQLRKAVCSLLPQLAYLNKQPTKPHREVVTGNIAKAALGDNGWHSRRRSTRRVIQSMSSSVKVRVGEGSSHKGSSHKDRQGSKSRHQHSISTRK >Ma08_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11847840:11852355:-1 gene:Ma08_g14290 transcript:Ma08_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSCFSNLLIGMKKKSEKSSKAVDAKRVNSTGDLRVKPEDLLHPSLEMGTKEASFGDSVPLKAQGKSSLSNLKVDGNGNTNEILTRTTAAVEAAYEGGDEHDDVLSMKRDFSDFDLQALAMEKGITPNMLDQSGHVSDPGMGRTTAFWGSPSLKRSCSNIERKRSGKFLTSPTKSYSCEDLQKLAENGGGEAHGILGSPLSVMTSCSADKVMLKKRSSSQVLPSRSRKLWWKLFLWSHRNLHKSWVSKPERTVSTVDASKQKGGYCSDTLEPSCKIDIKNKKPMEEPEIRNDLWPQNQWVAFCAESSSLDRVNAWVHSLDDSPFNPIDDDEVVTGVYVNMDSTEVGEPSGKNQTGMSRRTVEEIVQANKIVESLNSLSAVAHISCMGLKVIPAISAFNSLRVINLSGNFIVHISPGSLPKSLHMLDLSRNKIATIEGLRELTKLRVLNLSYNRISRIGHGLSNCVLIKELYLTGNKISDVEGLHRLLKLTVLDLSFNKISTAKALGQLVANYDSLLALNLLGNPIQTNIGDDQLRKAVCSLLPQLAYLNKQPTKPHREVVTGNIAKAALGDNGWHSRRRSTRRVIQSMSSSVKVRVGEGSSHKGSSHKDRQGSKSRHQHSISTRK >Ma04_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:581689:586796:1 gene:Ma04_g00610 transcript:Ma04_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKPFAGKEPASLEGRTIDVGNVKVHVRNAIAEGGFSCIYVATDVVQPSKQYALKHVICNDDDLLDLIMKEISVMKLLRGHPNVVALVAHTILDMGRRKEALLVMEFCEKSLATLLENRGSGYFEEKQVLLIFRDVCNAVHFMHSQSPPIAHRDLKAENVLLGPDGAWKLCDFGSTSTNHKCFDKPEEMGIEEDNIRKYTTPAYRAPEMWDLFRREVICEKVDIWALGCLLYRICFLKSAFDGESKLQVLNGNYRIPDVPKYSSALTDLIKDMLKASPNTRPDITQVWFRVNELLPLELKKHLPDGSSGAVEMHPPPSGSQDQGVPRKTTLMPRRGLASVPSSRESDKEMRQTKPFQDAPKTGRGALGAFWSTEHAKDSAVVDNKDPHFDEPNKQSASTQNRNTSVCKVSPPRERHVYLRNQVRIEQGDPVKRPDERTGEDFEIKFFQEMKQSSNPEKKPAFENETFNTFVADFGTGKLNSKNITGDNIPHKRELEAEVDQLKGQLKQANLEKTEITSRYEKLSAVCRSQRQEIQELKHALAAVSPSPPGKDSTKNHEHPGSLQSITPPRMKIEGTVWELQQGMMHPSPSPRPETKTWNAFGATNGHQNVMSAVNPSTDLWGFNLGSFTASSGSQISGSSAQGNASMRTNSGATKKADANQPAGWAGF >Ma04_p00610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:581689:586796:1 gene:Ma04_g00610 transcript:Ma04_t00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKPFAGKEPASLEGRTIDVGNVKVHVRNAIAEGGFSCIYVATDVVQPSKQYALKHVICNDDDLLDLIMKEISVMKLLRGHPNVVALVAHTILDMGRRKEALLVMEFCEKSLATLLENRGSGYFEEKQVLLIFRDVCNAVHFMHSQSPPIAHRDLKAENVLLGPDGAWKLCDFGSTSTNHKCFDKPEEMGIEEDNIRKYTTPAYRAPEMWDLFRREVICEKVDIWALGCLLYRICFLKSAFDGESKLQVLNGNYRIPDVPKYSSALTDLIKDMLKASPNTRPDITQVWFRVNELLPLELKKHLPDGSSGAVEMHPPPSGSQDQGVPRKTTLMPRRGLASVPSSRESDKEMRQTKPFQDAPKTGRGALGAFWSTEHAKDSAVVDNKDPHFDEPNKQSASTQNRNTSVCKVSPPRERHVYLRNQVRIEQGDPVKRPDERTGEDFEIKFFQEMKQSSNPEKKPAFENETFNTFVADFGTGKLNSKNITGDNIPHKRELEAEVDQLKGQLKQANLEKTEITSRYEKLSAVCRSQRQEIQELKHALAAVSPSPPGKDSTKNHEHPGSLQSITPPRMKIEGTVWELQQGMMHPSPSPRPETKTWNAFGATNGHQNVMSAVNPSTDLWGFNLGSFTASSGSQISGSSAQGNASMRTNSGATKKADANQPAGWAGF >Ma06_p25970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26580344:26582642:1 gene:Ma06_g25970 transcript:Ma06_t25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/calcium exchanger 1 [Source:Projected from Arabidopsis thaliana (AT5G17860) UniProtKB/Swiss-Prot;Acc:Q9FKP1] MAISFPVLSAKKVILIFLNISFLAVGLFYVSIHLDTSHAILQRSTKLHLRDSSKGCHDICKAKSVLLNSEARFSQGYINYLHIFYCVYGKYPFLGYALLILWLLVLFYLLADTSANYFCTNLEGLSTLLKLPPTIAGATLLSLGNGAPDAFSSIVSFMGAGSGVVGLNSVLGGSFFVSCVVVGIISTCVSSHEYPIDKSSFVRDILFFLFVLSVLLVILVIGKINLWGAIAFTSLYLVYVLLVAVGHFCRKEEQEQEQDLSCKTRQNADLEAPLLESLEDHQPDSTEKETASTSESDGTRSHPSACYHCKRIVQCLELPLSLPRMLTIPDVSKEKWSKPSAVASVTLAPLLLAVLVTCKRKDAGSEESLSIYISGGLVGLVLGIVCLVKTEKERPPTRFLFPWLAGGFLMSVTWTYIIAQELVSLLVSLGTVMEISSSILGLTVLAWGNSVGDLIASSAVALHDRQGGTQVAMSGCYGGPIFNTLVGLGLSFVFSSWSAYPSPVVIPKDLTLYQTMGFLIGGLLWALVILPRRGMRLDRVLGSGLLSIYFCSLSLRMVQSLGLV >Ma00_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1699209:1699271:1 gene:Ma00_g00490 transcript:Ma00_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGANAANSSPITPLGFL >Ma10_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32380431:32382979:1 gene:Ma10_g23460 transcript:Ma10_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERPRGSTPRPVIGKAGRYTVFITPPPASKPSEAPRAESARPAKASPLLVDALHSPLPVQVPPKQFDKVDANSSGSVFGFFWDAIARVQDAHSSLDEHLADWFGLNQSKYQWALNDYYGNNGKICGSACSRKCIAAYAKEP >Ma09_p25930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37284291:37291049:-1 gene:Ma09_g25930 transcript:Ma09_t25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHL1 [Source:Projected from Arabidopsis thaliana (AT4G39100) UniProtKB/TrEMBL;Acc:A0A178UWF3] MAKTRQGKRTLDSYTIKGTNKSIKPGDCVLMRAPDSSNPPYVARVEGIEAGGRGGVKVRVRWYYRPEESIGGRRQFHGAKEVFLSDHYDVQSADTIEGKCFVHSFKNYTRLDAVGNDDFFCRFEYRSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEGCYDWYHPTCIDMAVEDAKKIEHFFCQSCTTENGKETEKSHNGSKQSDMKVDPKRRRR >Ma02_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23879905:23882594:-1 gene:Ma02_g16590 transcript:Ma02_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNVVMQEEPAVAVAAAAPTRPVSGGANHARYKKFLSRPDLSSGGGGGGKAGSWIESMKASSPTHVKSAAARAVSSFASHDEQDYDGWMKLHPSALDDFEVLAAASKGKQIVMFLDYDGTLSPIVDDPDRAFMSDEMREAVREVARQFPTAIVSGRCTEKVTSFVELSELYYAGSHGMDIKGPNDGPQHLKAKAENVLFQPARDFLPMIDEVYKHLVETTKCIPGCRVENNKFCLSVHFRCVDEKKWCLLAEKVRSTITDYPKLRLTHGRKVLEIRPSIKWDKGKALEFLLESLGYDGFNDVFPLYIGDDRTDEDAFKVLQDRGQGFGILVSTIAKETNASFSLREPAEVLKFLRRIVEWKQISMEECRV >Ma10_p26610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34228485:34230874:1 gene:Ma10_g26610 transcript:Ma10_t26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLLTTLSMENHHPSTLLSMDPSGGSVLSSSSHEDSDRELLIQRRQVVLSGAPDINLPLSAERSPSQQSWISDPCDILDVGLGPQIYDAEATLHIPKVTAARKCTKRGDSIWGAWFFFRFYFKPVLLEKSKGKVIWDANGVNGFDKSDVRHDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRLGEPQFPFSVDRGFARSHRMQRKQYRGLSNPQCVHGIEVVRSPNLMVVCEADRKKWVELVGRDLNFSIPLEASDFESWRNLPSTEFELERPPLKSTSHPHPKKLLNVSSLNLSSQSNHSNGDGMDLSAVCSKRRKDFFPHVMDEDLCFPTNPHAERGQDVEMHQVGTSWLNEFTGVMKHACGPVTAAKTIYEDDEGYLIMVSLPFADRQRVKVSWRNGITHGILKIICASTARMPYVKRHDRTFKLTDPSPEHCPPGEFIREITLPTRIPEDAKLEAYYDETGALLEIIVPKHRVGPEEHEVRVCMRPPHLGANDLLLT >Ma04_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2502749:2509702:-1 gene:Ma04_g03220 transcript:Ma04_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTINLFYTQFNRSQSSSSWWKTTNNSNFGGFRDSAWRRYNRRMEEEYEKEKERVERIRRMQNIFYRERDKYKRSYENWRHSGEGAHEYTRHDYWNHKTDTDTSYGYQRTHYKISSSHSGNNSMSHHYSVLGLDWSRPEPYSDAEIKRAFRAKAMKYHPDQNQDIREAAEAKFKELMTSYEAIKTERQNGSPK >Ma06_p38930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37555404:37559773:-1 gene:Ma06_g38930 transcript:Ma06_t38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFQSRSQPSFGSSWSYNSLKNLRHIQPTVQNHLKLVFLTLCCALAASAVGAYLHILMNIGGVITMLGCLVSIVCLLSTPPHEERKRFGLLMAASVLEGASVGPLIELAIDFDPSVLVTAFVGTAIAFGCFSGAAIVARRAEFLYLGGLLSSGVSILLWLQLAGSIFGHSVEILKVELYFGLLIFLGYIVFDTQEIIERAHLGELDYVKHALHLFTDFVAVFVRILSIMLKNASAKSEDEKKRKKRS >Ma04_p28890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29884842:29888297:1 gene:Ma04_g28890 transcript:Ma04_t28890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSIGSWWFCWALYVFVLLPSACALTADGEALLEIKLGFNDSKQMLRSWRPSDSNPCSWLGVACHLSDLTVRSINLPYMQLGGIISPSIGRLRRLQRLALHQNSLHGPIPPEIKNCTELKALDLSSNLLRGAIPPSIGRLTQLRFLNLSTNFFSGEIPTVGVLASFRNTSFVGNLELCGLTIQKVCRGSMGFPAVLPHTNTFSSPGISSIPTKRSSHFLNGVILGAMTTMALALVSILGFLWICLLSRKEKLAENYVKAQKQLVQDVGTKLVTFHGNLPYPSQEIIKKLELLDEDDVIGSGGFGTVYKMVMDDNNAFAVKKIDWYRKGVDQIFERELEILGSIKHINLVNLRGYCRLPSARLLIYDYLPLGSLDHYLHENGGEDQPLNWNARMKIALGSARGLAYLHHDCTPRIVHRDIKSSNILLDRSLEPHVSDFGLAKLLVDDDAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDPSFVRRGLNIVGWLNTLEEENRLEEIVDEKCGNVDVEAVEAILDIAAMCTDANPDERPSMSRVLQMLEEEIMSPCLSDFYEPHLDI >Ma04_p28890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29884844:29888319:1 gene:Ma04_g28890 transcript:Ma04_t28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSIGSWWFCWALYVFVLLPSACALTADGEALLEIKLGFNDSKQMLRSWRPSDSNPCSWLGVACHLSDLTVRSINLPYMQLGGIISPSIGRLRRLQRLALHQNSLHGPIPPEIKNCTELKALYLRANYLQGSIPPEIGELAHLTILDLSSNLLRGAIPPSIGRLTQLRFLNLSTNFFSGEIPTVGVLASFRNTSFVGNLELCGLTIQKVCRGSMGFPAVLPHTNTFSSPGISSIPTKRSSHFLNGVILGAMTTMALALVSILGFLWICLLSRKEKLAENYVKAQKQLVQDVGTKLVTFHGNLPYPSQEIIKKLELLDEDDVIGSGGFGTVYKMVMDDNNAFAVKKIDWYRKGVDQIFERELEILGSIKHINLVNLRGYCRLPSARLLIYDYLPLGSLDHYLHENGGEDQPLNWNARMKIALGSARGLAYLHHDCTPRIVHRDIKSSNILLDRSLEPHVSDFGLAKLLVDDDAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDPSFVRRGLNIVGWLNTLEEENRLEEIVDEKCGNVDVEAVEAILDIAAMCTDANPDERPSMSRVLQMLEEEIMSPCLSDFYEPHLDI >Ma00_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33048125:33049534:1 gene:Ma00_g03950 transcript:Ma00_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQCLVASVVEEVARKTSGYHPSVWGDYFITHVSPSSEAQDNHEWMEERSRELREQIKSMLQDYSDLLQTMQLIDAIQLLGVAYHFEKEISDALSKVYDADFNTHGLYEASLRFRLLRQHGYNISPYVFNKFKDEEGSFMSDLKGDVEGLLSLYNAAYLGTHGETILDEVISFTRSILTSMLSDLEPPLLSKVSLSLETPLFRRTKRLLTRNYISIYQEDATRNDVLLELAKLDFNLVQSLHREELKSLSMLVFSLF >Ma03_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4929359:4930035:1 gene:Ma03_g07180 transcript:Ma03_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQSSHSRPLAGDIETLSYVNSMMEAFRAFDSNNDGLITCDELKGIMASLGYNPTTEEVREMMRRGDADKDGLLSMEEFLEMNAAELDPGDLAGLLQTAAALLGPAAGDDGDVTAEILFQVLSCEDGASLEDCTEIIASLDADGDGAVSFEDFKIIAQALR >Ma06_p37140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36293201:36294443:-1 gene:Ma06_g37140 transcript:Ma06_t37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHISLSISFSLVIVEEAVVIVQVAAEVVRLTRPSTSFVKEHYAAIVIQTAFRGYLAYDSLHSLSLSLSFGGLVKLQALVRGHNVWKQANMTLRCMQELVRVQARVRDQHMRLAQESSATVSQGSTKSSFNCDTSFWEPKYLQELAERRSMPSRDGSSFADDWDDRPKTMEEIQAMLQVRKEAALKRERALFYAFFHQASSKKLLWRSHRNPSPLLDEEVNAEVASVEEKRPHRWMDRWIVLRSSFDNRIDNRATASTDSHQDLGDRHCSTLLLLLPRRHTPSSSQPVGQHPSSPLHCTHLHNYQP >Ma10_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21578478:21581146:1 gene:Ma10_g07220 transcript:Ma10_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:Projected from Arabidopsis thaliana (AT3G09650) UniProtKB/TrEMBL;Acc:A0A178V9F2] MMLQQAATPMSAPIPPHLQFHPSPKPFNPIPLASPKLRAHLASNSFPSSLPVDGSCAHDAALLSLLRQRRTDEAYQAYARSPLLPGPTCLSRLLAQLSYQRTPTALARARALVRRLRDEGQLHRLDANSLGLLAVATARSGATSYALAVLRTMLRSGYLPHVKAWSAVVSRLSASPDDGGPGEALRLFDSVLRRVRRVAVSTDARPDVAAFNAALNACANLGDVRRFAQLFDEMPEFGVEPDVLTHNVLIKMCARAERKDLLIFVLERLITSGLVPCITTLHSLVAAYVGLDDLVTAEKLVQAMREGRQDLCLILRQSNDGTSIDRIDEEAHALLEKLVMYRHLTECHGNGNEPPLLPKAYFPDSRMYTTLMKGYVKEGRVEDVIRMTRAMQLEADPASHPDHVTYTTVISALIKVGAMDRARSVLADMGNAGVPANRVTYNVLLKGYCQQLQLDEAKELVRDMSKHAGIEPNVVSYNILIDGCILVDDSAGALAYFNEMRERGITPSKVSYTTLMKAFALSGQPKLAHKVFDEMEKDPRVKVDRVAYNMLIEGYCRLGLIEEAKKIVEKMKQNGFQPDVATYGSLANGIALARKPGEALLLWNEVKERCAASPPLRPDEGLLDALADVCVRAAFFRKALEIVACMEENGISPNKTKYKRIYVEMHSRMFTSKHASQARQDRRKERKRAAEAFKFWLGLPNSYYGSEWRLEPVDVDEHGAI >Ma03_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22381586:22381837:1 gene:Ma03_g17110 transcript:Ma03_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGSNGGKGRVLGEAGDGKGSKVPEERSWAMKKAKVITHYSFVILIIVIGMQSEPKPQLYQLLSPV >Ma03_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27638072:27644915:1 gene:Ma03_g22970 transcript:Ma03_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSSKAEEPPLVALCRERRELIRAAAEHRFVLAAAHAAYFRALGRVGDALHRFVQEELVAVAPASPVLTLPPSEGKGKAKAKIERSEGESHGGGPVGASASSFVSISRSHSDDSHLHLPSDDESEEITGESSDIGGGSEDSERSFPHHPFYGAPIPNYHYMKSASTVSSTTVYQNSYPTWSNTAHVGYGYYGYPVYSAPMDPMQPHAHDEHFYQNPPQREDKPSDPPPPPPPADGSAWDFFNPFDSYEQMIPGYLHGNYGVGSVTSSPNSSEVREKEGIPDLEEETEQESVIAPVKERKGADKDAAGSVSGERGSNVAAMEQEAIGKGDAVAPEVKEDSQDISSVSKVEEDEMEKNTKKKTVIFEDRTSLVTDDSKPSKENALSAHGTRNVMEVVTEIKEQFESAANCGKEVSEILEVGKLPYRSRNRILRVILSRILDPAVPPVRPSSQPSFTSSQHPASRSLKVKKADRAAWGNMDIDPGKLSSTLEKLYIWEKKLHKNVKEEERLRDIYEREWKQLKALDESGGESWQIDSTQASIRNLVTKLNIVIRSANHISSRIHKIRDEELRPQLTELIQGLLKMWRFVLDCHHKQFQAVVESESQNFVARTGGSVAKATIELEVELLNWCACFSNWIKAQKAYIEALNGWLLKWLLQEREETPDGVAPFSPSRIGAPGAFIIANDWYHNMDAICEAEVIDSMQTFAINIHRLWETQDEEQRQKLKADYLSRDFARRLRSLQKENGMHGQAHVPISNGNEASHPEHKISLDAMKRRLDEERAKHEETIIQLQQATASNLRRGLVPIFQALENFSSETLKGYEGVRISNDGVGT >Ma11_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21728293:21729526:1 gene:Ma11_g16180 transcript:Ma11_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCYIKMDEGFLFPAATMARKKLKLAWIANESTRRATYKKRKKGLVKKVSELATLCNVKVCMVIYGPQEAEPEAWPSVPEAVGVLTRFRSMPEMEQQRKMMDQEGLLRQRAAKVQEQLRRQERDNRDLEAALLMHQALAGRRLHDLGIEDATGLAWVVDRKLKAVQEKINQEMTQLALLSAEASSAGDKEPKNPMEMAMETLQRQNWLLNPIHSNANANANADAVFGGGEEIMPISYNDHNATWLDPYFPVN >Ma04_p08130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5852076:5857542:-1 gene:Ma04_g08130 transcript:Ma04_t08130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPTTTSEHEKRGKKDGGLLLGRFEVGKLLGAGTFAKVYVARDVNTNELVAIKALDKEMILKGGLVAHIKREIAILRRVRHPYIVQLFEVMATRSKIYFVMEYVRGGELFSRVSKGRLLEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDEYGDLKVSDFGLSAVAEQMRGDGLFHTFCGTPAYVAPEVLSRRGYDGAKVDVWSCGVILFVLMAGYLPFHDRSIVAMYRKIYKGTFRCPRWFSQDLVHLLRRLLDVNPQTRITIPEIMENPWFKKGFRHVRFYIEDNQLHSFDDPADDEVQSNEPKPDETYESGSESDCSVASCPATFSDEQRQTLPRPPSLNAFDIISFSRGFDLSGLFEETGEMTRFLSKEPVSDIVSKLEEIAKVVSFKVRRKDCRISLEGTREGEKGPLTIGVDIYELTPSMVVVEVKKKAGDGEEYEEFCNKELKPGLKHLVYESPPVLKTNTSM >Ma04_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5852076:5857542:-1 gene:Ma04_g08130 transcript:Ma04_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPTTTSEHEKRGKKDGGLLLGRFEVGKLLGAGTFAKVYVARDVNTNELVAIKALDKEMILKGGLVAHIKREIAILRRVRHPYIVQLFEVMATRSKIYFVMEYVRGGELFSRVSKGRLLEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDEYGDLKVSDFGLSAVAEQMRGDGLFHTFCGTPAYVAPEVLSRRGYDGAKVDVWSCGVILFVLMAGYLPFHDRSIVAMYRKIYKGTFRCPRWFSQDLVHLLRRLLDVNPQTRITIPEIMENPWFKKGFRHVRFYIEDNQLHSFDDPADDEVQSNEPKPDETYESGSESDCSVASCPATFSDEQRQTLPRPPSLNAFDIISFSRGFDLSGLFEETGEMTRFLSKEPVSDIVSKLEEIAKVVSFKVRRKDCRISLEGTREGEKGPLTIGVDIYELTPSMVVVEVKKKAGDGEEYEEFCNKELKPGLKHLVYESPPVLKTNTSM >Ma03_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19039983:19041401:-1 gene:Ma03_g16460 transcript:Ma03_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDHLTDPDEVPAEGRFFMGAPTKHPSRNEPRANDPAMTSERYWRLFNDPGLLPAEGAPSVPSPVSSEAFQDLALQVRALAGMVQTKEVRTSKGEPGDEARRGSPFAVEIRDHPIPANFRLPSLDAYDGTTDPANHVAAFRAQMALYGTFDALMCRAFPTTLRGSARAWYNSLKTGEITSFDQLTEKFELNFLALARPKPSIALLLELNQKGDEPLSRFVNRFATEIRGLSGAHPSLLMQAFMAGLRPSAVSEMLQRANHYVAAEAWMSGRRKGNKRPRTEPPTGQLAGSLKRRPDRSNSTVQRSPSPALVISQTQIFLQIQGKGLLQNPPPMRNPRQLADKTRYCRFHRQNGHDTEECRELKRQIEELIQREHLGHYIRQNKELSP >Ma07_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30231549:30237290:1 gene:Ma07_g22180 transcript:Ma07_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVGAQVAPSVFFRQHQALPGSFHEAPLLAKKRDFPWKNNPNFPHGQEQEIQRHRLLGSSLPSHGGNWNPRMWDWDGVRFTAQPSTDASEVLHLGSQPSHAAAAVVDQRKGDEGPKDSTFGRNLAEDDQNLSLKLGGGAYTGDEPAARPNKRVRSGLPGSSGNYPMCQVDDCKADLSSAKDYHKRHKVCEVHSKTAKALVGKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDASSKLLPPRIQESTTNGNLDIVNLLAIFAHLQGNNQVKPGSIPPLPDQDRLVQLISKLSAPNNANPSSRSSIPVGSFDLNVSQVPALESFEQSLKKNSQENAPSTTDLLTALSAALAASAPNGPVSLSQGSSESSGNNKAKIQNAEPPTDVNSHNKSTHIHPSTGVLTKKCTDRSGVEVPCRVVHKARQSLPLQLFGPADDESPTELGSMVKYLSSESSNPMEERSPSSSPPVTKKLFPLHSTMERQKYAEASECQEDNATIELSVSHGRSAQLQLFKESDTLLEDGAVPSVMHRAGYKSSGSDHSPSSSNSDAQDRTGRITFKLFGKDPSCFPDTLRTQVFSWLSNSPSEMESYIRPGCVVLSIYLSMPSIAWEELDDDLLQRVTSLVQYSDTEFWRNGRFLVSTNKQLVSHKDGKIRLSKSWRAWSAPELTSISPVAIVGGQETSLVLKGRNLTVPGTKIHCAYMGKYISKVLCSAYPGTIYDDSCVERFDFPGGSPRVFGRCFIEVENGFKGNSFPVIIADASICQELRALESDIDEDVQMADAIPEEQVQSSVQPRSREDVMHFLNELGWLFQRTNAPSSLTLLDFSITRFKYLFTFSVERDWCNLIKTLLDILVERSMRNDALEQESLEMLSEVHLLIRAVNRKSKQMIDLLLHYCVCHGKDATKVYLFPPNMSGPGGMTPLHMAASMQDAEDIVDALTNDPQEVGINCWNSILDDSDQSPYMYATLRNNLSYNRLVARKLADRTNGQVTISVVGGEISMDEPWVGLNRHGTSQTSQLTSCAQCALMGARPLRRTTYSRGLLQRPYVHSMLAIAAVCVCVCLFFRGSPQIGSIEPFKWENLDFGPR >Ma10_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20160017:20161050:1 gene:Ma10_g06700 transcript:Ma10_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPQSKLDIRGCRLQGLLGQISSPGLHHQSFQMRKATKSRKGRLKEKRKERENSVPIRSARILLPLGLDSVDAKIPAQLGKTSR >Ma11_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27363053:27367536:1 gene:Ma11_g24380 transcript:Ma11_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTARLLGLPRVDEGDRSRELDVAVRVVQMACSLCQRVQSGLVGRHREQITSKDDDSPVTVADWGVQAVVSWLLSECFGNENASIVAEEDAYTLSRKDATTLLESVIGVVNECLSEAPKYGLEGPSKPLGAQEILDAIHNCNSSGGSKGKFWVLDPVDGTLGFVRGDQYAIALALIVDGEVVLGVLGCPNYPMRKEWLNYHQRYYRLMTMLSPPAHGSWHKGCVMYARKGSGVAWMQPLVHDFAEFDWQSSSRIIRVSSITDPAFATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGLVIIQEAGGVVTDARGCPLDFSKGLYLEGLDRGIIACSGPLLHEKIIKAVDASWDSSNL >Ma06_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8959910:8962527:1 gene:Ma06_g13030 transcript:Ma06_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKTFPYLHRRRSPLKFPLFLSSARPLSSPRRRPFASASSAATMAAIATVEHVVLFKVRDSTDPSKVDAMVSNLRSLVSLDISVHLAAGPVLRHRSTAASAAGFTHLLHSRYRSKADLAAYSAHPAHVAVVKEHVLPICDDIMAVDWVAELDGPAAPPTGSAVRLTLAKPKEGAAAELAQTLAEAKSFAPAAVTQLSYGENFSPARAKGFDVGFLAVFPSLEELDALDAGEKDLLESVKEKVRPLLESVIVLDAVVPPPPAASL >Ma00_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:14248183:14250693:-1 gene:Ma00_g02010 transcript:Ma00_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLILKHGKKRVRNVLCGANDFITIFARMLATITNRLSSYLLSRYTKRDGIMEIALTSITVAIRFKPAPFHQWTPYSKVYEGVLQAHFDSFLSFGKHFPGNLSYCLYRLVIRELSHLVSSSLALFFREGGLPIGFLIDALCLCLIQSFASLRGAFNNYIRLFDYIGF >Ma07_p06930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5021138:5022612:-1 gene:Ma07_g06930 transcript:Ma07_t06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHEGAPILYTSQASMLRGVGKEMSQASCFKASTMEAVVEEHKREKVVTLFGVRILGTAEGEEEEVMRKCWSMGCLASCTVASPCAAPGTGGSSQAYVSDSGLDQSLKRGSRRGQERKRGIPWTEEEHRTFLAGLEKLGKGDWRGISREFVTSRTPTQVASHAQKYFLRKNNPGKRKRRSSLFDVVTSDRISAAGTAIDAVWPPAGVVRVHGGTRLVILLQFQLSSDCSMGSYQVGISGSSTVGDDPDLLGVSSSYSSCQPLSSDAPLSDEPSDLELRISLPAPHSLTKLATQGAAGAIRVI >Ma07_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5021117:5022622:-1 gene:Ma07_g06930 transcript:Ma07_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHEGAPILYTSQASMLRGVGKEMSQASCFKASTMEAVVEEHKREKVVTLFGVRILGTAEGEEEEVMRKCWSMGCLASCTVASPCAAPGTGGSSQAYVSDSGLDQSLKRGSRRGQERKRGIPWTEEEHRTFLAGLEKLGKGDWRGISREFVTSRTPTQVASHAQKYFLRKNNPGKRKRRSSLFDVVTSDRVGISGSSTVGDDPDLLGVSSSYSSCQPLSSDAPLSDEPSDLELRISLPAPHSLTKLATQGAAGAIRVI >Ma04_p38680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36103987:36104407:-1 gene:Ma04_g38680 transcript:Ma04_t38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYSEASVFNNIALGSRPLLGACRPFCTVTDRRGMPMLVQKWKNISCGQQQKHASTCHEEAKHQPFASVEHLIGYCMHRSRWVMHLYF >Ma03_p33600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34904207:34908398:-1 gene:Ma03_g33600 transcript:Ma03_t33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSARLVAITYLLGRTPNPNSCLIRGSSGCYLYSSLRAISSASIPLPLRNQWPFSRNPSNSWASSPWIRFGGQRRSLFIQTQSTPNPLSLMFYPGQPVMEAGSADFPNARTAMTSPLAKSMFEIDGISRVFFGSDFVTVTKSEEASWDFLKSEIFAAIMDFYSSGKPLFLDSNVAAYMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYHGFDPDSGIVTLRMQGACSGCPSSSVTLKSGIENMLMHYVSEDEAVKNLDGNGRSQITVTHHFVKGVDQELDTDEGASSSGQTE >Ma11_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:47281:48934:-1 gene:Ma11_g00120 transcript:Ma11_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCLLRTKLTLLTKGLNGGRRSQGQRSWFMFIETSAEAGFDVKVCFYTL >Ma04_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3583924:3588560:1 gene:Ma04_g04690 transcript:Ma04_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G41350) UniProtKB/Swiss-Prot;Acc:F4IK01] METMGDLAAALDASPTSDPAAKSGAGAATDASRIAEVKAWLASQFEAAGRDVPAFEYTPRSVAHLHSLASLSQARSRAASIVAADLRLKASEYRAEAARIREVLERVGLAREQLSPGAIGSAQVVAGVANLLNIRDTEMSSFVVAMGDLSLRKADVEEKRAKVQKESKVLLEYTRKAIAKLNDLKKTLAKFENEVGLHEAQMLQWQTNLAILDSKERQYMLQLNNFKAILNRVGYTSDINHGVLMEMAEHKKDLEKKTKPILDTLRSYQDLPPDKTLAALAIEDKKRQYAAAEKYLEEVLHSALNTPEI >Ma02_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19124734:19128744:1 gene:Ma02_g08900 transcript:Ma02_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETTKSRTDRKPPGVGRGRGRGREDNSGRPAKGIGRGQDDGSGRGGGGRGRGGAGGKGAGARGGGRGRG >Ma06_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13050956:13060343:-1 gene:Ma06_g19070 transcript:Ma06_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGSGADAAAPDREVLLISAGASHSVALLSGSDICSWGRGEDGQLGHGDAEDRLLPTLLCALDSRSIVSVTCGADHTTAYSESDEQVYSWGWGDFGRLGHGNSSDVFTPQPIKALQRLKIKQIACGDSHCLAVTMNGEVQSWGRNQNGQLGLGTTDDSLVPQKIQAFQGICVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLADRNDRLTPEKVTSIKGENMVLVACGWRHTIAVSSSGNLYTYGWSKYGQLGHGDFEDHLSPHLLEALKDSCISQISGGWRHTMALTSDGRLYGWGWNKFGQLGVGDNDDHCSPVQVKLPEEQKVKQISCGWRHTLALTERGNVFSWGRGTSGQLGHGDILDRNTPKMIEVISKDGLGCKQIESSKVAPSSGKIWVSPSERYAIVPDETAAKPSTRGNGNDTSVPETDVKRMRV >Ma01_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2236463:2252735:1 gene:Ma01_g03400 transcript:Ma01_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRSGMMQRLQSSSSSSAAAAAAPTLPSSSCSSFSSRLPSPLDLPNFALPFRHFSASFSPDASTAAKRPGIPPIPPSPSSSPAAAVASPFHSRSLSQPLAFDSLPHLTPPPLPLLIDPMMVDDRPPVARTPGPRDGLPPRRAHRRSNSDIPFGLPLPSPPAAQPPLHQRPAPSPKQAEASCVEDDLIITYMDSFDTLNSGTEEKQEDVVESSRLSGTRTSGADSSENEAESSVNESGGDGSCGRERKEGNKRSAVGDLTAMNPRHRRSLSMDSSFMGKLHFGDESPKFPASPGNQMGQLSRSGSMDETMNTFSLEFGNGEFSSAEMKKIMADEKLAEMALADPKKVKRILANRLSAARSKERKMRYITELEHKVQILQTEATTLSAQLTLLQRDSAGLASQNNELKFRLQAMEQQAQLKDALNEALSAEVQRLKLATGEISEAQLSKSINQQMQLNPRMSQLHQLQPHQQQQKQQTAETSLQLPAPQQQQNDVSAKLESSKC >Ma07_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6241200:6241954:-1 gene:Ma07_g08390 transcript:Ma07_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVLTSIRPAVIRASAADGRGRRKGPAKSAAGGGGGNNWWVPLFGWSAEPDYIDGAGAGAGPAEDSPKKGASASAGEEERRRPAGRRFAAFTEEKARELRMRSMETEAFHDVMYHSAIASRLASDLPRRPLSADN >Ma07_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10821844:10822098:1 gene:Ma07_g14400 transcript:Ma07_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVGVFAAFLAAASSATLAAASLDPHASSSPSKEVQLSSLLAPGSGSSREGDTKSRSRKGVKDKFAPRYDGLRFIETMVTTHW >Ma06_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14954305:14954940:-1 gene:Ma06_g20800 transcript:Ma06_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDCGIHGKHKRHKLFLRLLAAFLSLVVVLLLAVLIVWLVLRPTKPMFYLQDFSLLQFNFTHGDAALLTSVLQVTLSSRNPNGRIGIYYDRLDAYAAYKGQQFTAATFLPPGYLGHNDVAIWSPYLYGTAVPLAPYLADSISQDESAGYLLLYVRVDGRLRWKVGTWISGHYRLQANCPAFLIVDSGKSQSDAPAPIVRFQQNTACSVVV >Ma03_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6481679:6487874:-1 gene:Ma03_g08830 transcript:Ma03_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKMILLLFLLALVVSTVNAEGQQTCAANLEAKCDGGSSDGWKGEFFPGISKIKYEGPTSKNPLSYKWYNAEEEILGKKMKDWFRFSVAFWHTFRGTGADPFGAPTKSWPWEDGTNSLAMAKRRMRAHFEFMDKLGVERWCFHDRDIAPDGKTLMETNANLDEVVALAKELQEGNKIKLLWGTAQLFFHPRYMHGAATSSEVGVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQSLLNTDMQRELDHLARFLKAAADYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATAYAFLQKYGLLGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDIGEATLVMLSVVRNGGIAPGGFNFDAKLRRESTDVEDLFIAHISGMDTLARGLRNVAKLTEDGSLAELVRKRYQSFDTEIGALIEAGKADFETLEKKVMEWGEPSVPSGKQELAEMIFQSAL >Ma04_p28170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29269192:29269426:1 gene:Ma04_g28170 transcript:Ma04_t28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLMLLQKQTGSISLMLPRVPVRISYITSYDNCVCMTTVDDFNWNSAEENSK >Ma08_p08980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6501070:6516997:1 gene:Ma08_g08980 transcript:Ma08_t08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIQPVTGFDINKLSQEAQTRWLKPVEVLYILQNYKCFEITQKPPQKPPSGSLFLFNRRVLRYFRNDGHSWRKKTNGKTVREGHERLKVGNSEALNCYYAHGEQNSNFQRRSYWILDPAYEHIVLVHYREVVEGRYTPRKILSISNESSPTLRYSTSVSNDLAQCFPSCTGELNEPCQNSCSPVSVEEVSSKFERRNIETSHLNRMDRSESCNQLLLPELNQALRKLKEQLSLDDDEEDNFVSPKKELLPHGNLNVETHDLQHLNYEIKNSLDETLQNPLDEFKQMSDGHFDEDGMQYDDMLNNSDIWYDQNQFEAPLEIDASLTSPQGYLFTMREVAPEWAFSSENTKVIITGNFLCSPSECEWTALFGDTEVPLEIVQDGVFRCLAPQHISGKVKLCVTSGNGKPCSEVHEFEFREKLQNTSSSSTLSRVDALKTSEELMLLVNLVQILLSGHSTSVTQEDELEPEVNPLWKLKGSSNQLELIIGSILTGSEPPGKLIELILQELLKDKLQQWLSSKHQGEPDDGCLLSKQDQCIIHMISGLGYQWALHPILDSGMCINYRDSNGWTALHWAARFGREEMVASLLAAGASAGAVTDPSSQDPAGQTPASLAVANGHRGLAGYLSEAALTNHLFSLTTEKTEILEGSSSVKAERGVDNISERRAHLQGGTENQLSLKDSLEAVRNATQAAARIQAAFRAFSFRKKQQADIVRGIYDMSQTHIYGFSVASRMHKTILGFRDHKFVKAAVSIQKHYRCWKKRKEFLQLRSNVVKIQSHFRVHLARKKYKEFLRSVGVLEKIMLRWYRRGVGLRGFRAEPKPIVEEEEDDIIKVFRQQKVDKALDEALSRVISVVESPEAREQYRRMLESYQQAQAELSQCG >Ma08_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6501070:6516997:1 gene:Ma08_g08980 transcript:Ma08_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIQPVTGFDINKLSQEAQTRWLKPVEVLYILQNYKCFEITQKPPQKPPSGSLFLFNRRVLRYFRNDGHSWRKKTNGKTVREGHERLKVGNSEALNCYYAHGEQNSNFQRRSYWILDPAYEHIVLVHYREVVEGRYTPRKILSISNESSPTLRYSTSVSNDLAQCFPSCTGELNEPCQNSCSPVSVEEVSSKFERRNIETSHLNRMDRSESCNQLLLPELNQALRKLKEQLSLDDDEEDNFVSPKKELLPHGNLNVETHDLQHLNYEIKNSLDETLQNPLDEFKQMSDGHFDEDGMQYDDMLNNSDIWYDQNQFEAPLEIDASLTSPQGYLFTMREVAPEWAFSSENTKVIITGNFLCSPSECEWTALFGDTEVPLEIVQDGVFRCLAPQHISGKVKLCVTSGNGKPCSEVHEFEFREKLQNTSSSSTLSRVDALKTSEELMLLVNLVQILLSGHSTSVTQEDELEPEVNPLWKLKGSSNQLELIIGSILTGSEPPGKLIELILQELLKDKLQQWLSSKHQGEPDDGCLLSKQDQCIIHMISGLGYQWALHPILDSGMCINYRDSNGWTALHWAARFGREEMVASLLAAGASAGAVTDPSSQDPAGQTPASLAVANGHRGLAGYLSEAALTNHLFSLTTEKTEILEGSSSVKAERGVDNISERRAHLQGGTENQLSLKDSLEAVRNATQAAARIQAAFRAFSFRKKQQADIVRGIYDMSQTHIYGFSVASRMHKTILGFRDHKFVKAAVSIQKHYRCWKKRKEFLQLRSNVVKIQSHFRVHLARKKYKEFLRSVGVLEKIMLRWYRRGVGLRGFRAEPKPIVEEEEDDIIKVFRQQKVDKALDEALSRVISVVESPEAREQYRRMLESYQQAQAELSQCG >Ma08_p08980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6501068:6516997:1 gene:Ma08_g08980 transcript:Ma08_t08980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIQPVTGFDINKLSQEAQTRWLKPVEVLYILQNYKCFEITQKPPQKPPSGSLFLFNRRVLRYFRNDGHSWRKKTNGKTVREGHERLKVGNSEALNCYYAHGEQNSNFQRRSYWILDPAYEHIVLVHYREVVEGRYTPRKILSISNESSPTLRYSTSVSNDLAQCFPSCTGELNEPCQNSCSPVSVEEVSSKFERRNIETSHLNRMDRSESCNQLLLPELNQALRKLKEQLSLDDDEEDNFVSPKKELLPHGNLNVETHDLQHLNYEIKNSLDETLQNPLDEFKQMSDGHFDEDGMQYDDMLNNSDIWYDQNQFEAPLEIDASLTSPQGYLFTMREVAPEWAFSSENTKVIITGNFLCSPSECEWTALFGDTEVPLEIVQDGVFRCLAPQHISGKVKLCVTSGNGKPCSEVHEFEFREKLQNTSSSSTLSRVDALKTSEELMLLVNLVQILLSGHSTSVTQEDELEPEVNPLWKLKGSSNQLELIIGSILTGSEPPGKLIELILQELLKDKLQQWLSSKHQGEPDDGCLLSKQDQCIIHMISGLGYQWALHPILDSGMCINYRDSNGWTALHWAARFGREEMVASLLAAGASAGAVTDPSSQDPAGQTPASLAVANGHRGLAGYLSEAALTNHLFSLTTEKTEILEGSSSVKAERGVDNISERRAHLQGGTENQLSLKDSLEAVRNATQAAARIQAAFRAFSFRKKQQADIVRGIYDMSQTHIYGFSVASRMHKTILGFRDHKFVKAAVSIQKHYRCWKKRKEFLQLRSNVVKIQSHFRVHLARKKYKEFLRSVGVLEKIMLRWYRRGVGLRGFRAEPKPIVEEEEDDIIKVFRQQKVDKALDEALSRVISVVESPEAREQYRRMLESYQQAQAELSQCG >Ma10_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8043326:8044353:1 gene:Ma10_g02600 transcript:Ma10_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFYIVPARLHRSPLTLQLLNTVSGGHQRRRKRHKREPRRFKSNQVIERTEKNTDGRRLEIQGSSEDSNVFGGGSSKKVAAAEVVKRRSARSLKTRSPNSSQKLQKFLEELVKIEFPGSKPVSEAAAKYGPAYVSGPITFMFEKVSGLLPAEEPSSAAVESTCKEATAEAAEEVKKEEAEKVEENPAPLPATDTPAPTEPAPVPAPAQEPAKD >Ma08_p34300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44364000:44376983:-1 gene:Ma08_g34300 transcript:Ma08_t34300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASDPSSPDHAEGASPYGPPSSPAAASPPPEPSRRSSVPAEASGGSASAPPMAENEEHGAGGNAAGDRGKRPAWNVPANGAIEVGPVMGADSWPALSASGSRASARSSSLDSLKVLAEGSSTAAPLEPNPNPNLMKSDAISSSSSYNHGPGAPQRPPILSEMPPVGVDKGHESSPEGLASTGNSNSDQHRSDEFAPQLQRSSNRRRGGYSGNHRGNYSGGTGSSSHHGRYGTRHDHDRGGYDWNSPRGFSGRNPHRSIPLAQQWGQSRPFIRPPPPPPAMAQFLSMPPHIWPFVSPMGYPEIPPIYYLPPPPPDTLGSMPFITHQVSPVNPSAMFHPSVDHKSFMLVKQIEYYFSPDNLCKDSFLRRNMDDQGWVPVSLIAGFNRVKQLTEDIPYILDAVQGSTVVEVQGEKIRRRGDWMRWTLSSIPHQYEIASSAQSPRTPDHDSVIAQMHAFGLEEGSSLHGTSQIHGNPLSMSQTHNEMPNSRSPSGSIDNQM >Ma08_p34300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44364000:44376983:-1 gene:Ma08_g34300 transcript:Ma08_t34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASDPSSPDHAEGASPYGPPSSPAAASPPPEPSRRSSVPAEASGGSASAPPMAENEEHGAGGNAAGDRGKRPAWNVPANGAIEVGPVMGADSWPALSASGSRASARSSSLDSLKVLAEGSSTAAPLGSMMNAYQLKLNANNTNPSSIPNYDVLAPQEPNPNPNLMKSDAISSSSSYNHGPGAPQRPPILSEMPPVGVDKGHESSPEGLASTGNSNSDQHRSDEFAPQLQRSSNRRRGGYSGNHRGNYSGGTGSSSHHGRYGTRHDHDRGGYDWNSPRGFSGRNPHRSIPLAQQWGQSRPFIRPPPPPPAMAQFLSMPPHIWPFVSPMGYPEIPPIYYLPPPPPDTLGSMPFITHQVSPVNPSAMFHPSVDHKSFMLVKQIEYYFSPDNLCKDSFLRRNMDDQGWVPVSLIAGFNRVKQLTEDIPYILDAVQGSTVVEVQGEKIRRRGDWMRWTLSSIPHQYEIASSAQSPRTPDHDSVIAQMHAFGLEEGSSLHGTSQIHGNPLSMSQTHNEMPNSRSPSGSIDNQM >Ma02_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10331675:10333864:-1 gene:Ma02_g01570 transcript:Ma02_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGTRSLPKTVVDMSIAKPIETKATPPVFNAAVLSREDYIPEQFVWPEHEKPTPDAHEELSVPLIDLGGLRSGDPAAAAAVTRSVAGACERHGFFHVVNHRIDAELLAEAHRAAEAFFAMPLAAKQLARRKPGESCGYASSFTGRFASKLPWKETLSFRFSPSPLAGGVVRDYIVDALGEDFRHLGEVYQRYCEAMSRLSLEIMEVLGLSLGVGEAHFRDFFEGNDSIMRLNYYPPCRQPELTLGTGPHCDPTSLTILHQDDVRGLQVLADGRWRTISPKPDAFVVNIGDTFMALSNGRYKSCLHRAVVNREVPRKSLAFFLCPATDRVVRPPGALVDADHPRAYPDFTWPTLLEFTQKHYRADMKTLDAFTSWIRRAEATAPQ >Ma03_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6733694:6737392:1 gene:Ma03_g09130 transcript:Ma03_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTSQAAAVFSLVNEVFNRSINLIVAELRLQLNARAELNNLQRTLLRTHSLLDEAKARRMTDKSLVLWLMELKEWAYDADDILDEYEAAAIRLKVTRSTFKRLIDHVIINVPLAHKVADIRKRLNGVTLERELNLGALEGSQRLDATERGVTTSLLTESCIVGRAQDKENLIRLLLEPSDGAVPVVPIVGLGGAGKTTLSQLIFNDKRVEEHFPLRMWVCVSDDFDVKRITREITEYATNGRFMDLTNLNMLQVNLKEEIRGTTFLLVLDDVWNEDPVKWESLLAPLDAGGRGSVVIVTTQSKKVADITGTMEPYVLEELTEDDSWSLIESHSFREASCSTTNPRMEEIGRKIAKKISGLPYGATAMGRYLRSKNGESSWREVLEAETWEMPPAASDVLSALRRSYDNLPPQLKLCFAFCALFPKGYRFRKDTLIHMWIAQNLIQSTESKRSEDMAEECFDDLVCRFFFRYSWGNYVMNDSVHDLARWVSLDEYFRADEDSPLHISKPIRHLSWCSESITNVLEDNNTGGDAVNPLSSLRTLLFLGQSEFRSSHLLDTMFRMLSRIRVLDFSNCVIRKLPSSVGNLKHLRYLGLSNTRIQRLPESVTRLCLLQTLLLEGCELCRLPRSMSRLVKLRQLKANPDVVADIAKVGRLIELQELPAYNVDKKKGHGIAELSAMNQLHGGLSIRNLQNVEKTRESRKARLDEKQKLKLLELRWAEGRGDGECDRDRKVLKGLRPHPNLRELSIKYYGGTSSPRWMTDQYLPNMETIRLRSCARLAELPCLGQLHLLRHLHIDGMSQVRQINLQFYGTGEVSGFPLLELLNICRMPSLEEWSEPRRNCCYFPRLHKLLIEDCPRLRNLPSLPPTLEELRISRAGLVDLPGFHGNGDVTTNVSLSSLHVSECRELRSLSEGLLQHHLVALKTAAFTDCDSLEFLPAEGFRTAISLESLIMTNCPLPSSFLLPSSLEHLKLQPCLYPNNNEDSLSTCFQNLTSLSFLDIKDCPNLSSFPPGPLCQLSALQHLSLVNCQRLQSIGFQALTFLESLTIQNCPRLTMSHSLVEVNNSSDTGLAFNITRWMRRRTGDDGLMLRHRVQNDSFFGGLLQHLTFLQFLKICQCPQLVTFTGEEEEKWRNLTSLQILHIVDCPNLEVLPANLQSLCSLSTLYIVRCPRIHAFPPGGVSMSLAHLVIHECPQLCQRCDPPGGDDWPLIANVPRICLGRTHPCRCSTT >Ma04_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3845342:3852137:1 gene:Ma04_g05090 transcript:Ma04_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREPLEDALLGRKRERDLEEIKSVRQFMEHVWEEKKKLWYLAGPAIFTSLAQYSLGAVTQIFAGHLTTLELDAVSTENMVIAGLAFGIMLGMGSALETLCGQAFGAKQLHMLGIYMQRSWVILTAMCICLLPIYLFATHILLLFHQDAEIAVLAGRFSLYMIPQLFAYGLNFPIQKFLQAQSKVMTMAVVSAVALLFHLFLSWLLIVQFKLGLVGAATSLNAAWWVVVVGQFIYVAWGYCPGAWNGFSWGAFRDLGAFARLSIASAIMMCLEFWFYMFLIVLAGNLRNAQVAVAAISICINLYGWEMMVFFGFNAAISVRISNELGAGRPRAAKFSILVVIMSSLVFGLVFFSLVLVLQDVYGVPFTNSPDVVAAVTDLAVVFSFTLLLSSVQPVLTGVAVGAGWQTLVAYINLGCYYLVGIPVGCLLAYYFDLGVKGMWSGMLTGVGLQTLVLIGVTVGTNWDKEAMEAESRIKKWGGSVDEPTNKD >Ma09_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6763903:6764725:1 gene:Ma09_g09900 transcript:Ma09_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTCPASVMGALPHFSGLKVQPSMVALPQLRQRGRGALGARCDFIGSSTNIIMVVSTSLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNLGVL >Ma08_p30960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42034420:42039648:1 gene:Ma08_g30960 transcript:Ma08_t30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREQQQVSYTVEQLVSVNPYNPDILTDLENYVNEQVSSQTYSLDANLCLLRLYQFEPGRMSIPIVVQILIKALMAMPASDFSLCLFLIPEQVQMEEKFKTLIVLSHYLETARFRQFWDEAAKSRSILEVVPGFEQAIQAYAIHVLSLTYQKVPRPVLAEAINIEGLSLDKFIEHHVANSGWVLEKSHGRSQLIVLPRNEFNHPELKKHTAEGVPFEHVTRIFPMLS >Ma04_p38190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35824574:35830810:1 gene:Ma04_g38190 transcript:Ma04_t38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVSGKETGKSQQAAALAMDSGKYVRYTPEQVEALERVYSECPKPSSLKRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYMRQQLHNTSVATTDTSCESVVTSGQHHHQQNPTPQHSQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNVSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCLDVVTVIPTGNGGNIELIYMQTYAPTTLAAARDFWMLRYTTGLEDGSLVICERSLTPATGGPAGPPAPNFVRAEVLSSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHLKQIAQETSGEVPYGGGRQPAVLRTFSQRLSRGFNDAVNGFADDGWSLLGSDGVDDVTIAVNSSPNKLLGSHVNTSAMFSTLGVGVLCAKASMLLQNVPPAMLVRFLREHRSEWADCGVDAYSAASMRASPYAVPGVRASSGFLGSQMILPLAHTVEHEEFLEVIRLEGHGFNQDDVILPRDMYLLQLCSGVDENAIGSCAQLVFAPIDESFADDVPLLPSGFRVIPLDPKTDSSTATRTLDLASTLEIGSGATACSVKETASNAYNLRSVLTIAFQFTCENHLQGNVAAMARQYVRSVVASVQRVAMAIAPRPGCQIGVKHPPGSPEAHTLARWVSRSYRAHTGVDLFRVDSQASDSLLKLLWHHSDSIMCCSLKASPVFTFSNQAGLDMLETTLIALQDITLEKILDDGARKVLCSEFPKIMQQGHAYLPAGICMSSMGRPVSYEQAVAWKVSNEEDSPHCLAFMFVNWSFV >Ma04_p39340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36514483:36521991:1 gene:Ma04_g39340 transcript:Ma04_t39340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPQRRRTLASSFLVVLLLLMAIASFGDKAELDTRGAERSALLGFKGHVSADPAGLLRRWGPGPDHCSWPGVGCDDRSRVVSLNISAKDGGFLLPCSRSGPYRRSCGDPRRRLAGTLSSAVGNLSDLRVLSLPFHGFDGVIPGEVWGLENLEVLDLESSSFSGRLPSLFPRKLRVLNLGSNLLQGEIPPSLSRCVELETLDLSGNQINGTIPGFLNGFSKLRELYLSFNRLGGSIPEEIGYGYRSLQILDLSGNLLVGSIPTKLGNCAKLRVLLLSSNLLDGSVPSELGRLSKLEVLDVSRNRLNGFVPAELGNCLELSVLVLLNLHDPMSDDEASNSVDKDEYNYFQGKLAENITALPKLRVLWAPRATFEGEIPGNWGSCESLETVNLGENRFTGMVPKVFGQCRNLRFLNLSSNNLVGCLDKELPVPCMDVFDVSGNQLSASIPRSTHEQCPSSRIPAHDLSSSYSSFFAYKSRSGLAFPSPETAGEFFIYHNFGRNNFTGTLPSLPLDNHRHANQTIYAFLANGNHLSGSLSAFALGMCNKVNHLIIDISDNMISGGFTSEVGAKCRSLVVLKVASNQISETIPASIGLLENLVSLDLSRNWLQGEIPASLKQLQSLKYLSLAGNNLSGHVPSGLGQLQSLKVLDLSSNSLTGYIPSDLVKMENLTTLLLNNNNLSGIIPSAFAKVASLSRFNVSFNNLSGSLPFNASTLRCDSLHGNPFLQSCHIYALSVPSSDLLGSSRSSQPFTNSPTGNSSDDSSSGGFSSVEIAAIASAAAIVSVILALIVLYIYARKCIPRPPIGASGRKEVTVFVDIGVPLTYESVVLATGGFNASNCIGSGGFGATYKAEISPGILVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYFPGGNLERFIQERSKRSVDWRMLHKIALNIACALVHLHDQCMPRILHRDVKPSNILLDDECNAYLSDFGLARLLGNSETHATTGVKGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGDGFNIVTWACMLLQKGRAHEFFTEGLWDVAPHDDLVGILHLGVKCTVESLSIRPTMKQVVKKLREIQPPHYGHG >Ma04_p39340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36514483:36521991:1 gene:Ma04_g39340 transcript:Ma04_t39340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPQRRRTLASSFLVVLLLLMAIASFGDKAELDTRGAERSALLGFKGHVSADPAGLLRRWGPGPDHCSWPGVGCDDRSRVVSLNISAKDGGFLLPCSRSGPYRRSCGDPRRRLAGTLSSAVGNLSDLRVLSLPFHGFDGVIPGEVWGLENLEVLDLESSSFSGRLPSLFPRKLRVLNLGSNLLQGEIPPSLSRCVELETLDLSGNQINGTIPGFLNGFSKLRELYLSFNRLGGSIPEEIGYGYRSLQILDLSGNLLVGSIPTKLGNCAKLRVLLLSSNLLDGSVPSELGRLSKLEVLDVSRNRLNGFVPAELGNCLELSVLVLLNLHDPMSDDEASNSVDKDEYNYFQGKLAENITALPKLRVLWAPRATFEGEIPGNWGSCESLETVNLGENRFTGMVPKVFGQCRNLRFLNLSSNNLVGCLDKELPVPCMDVFDVSGNQLSASIPRSTHEQCPSSRIPAHDLSSSYSSFFAYKSRSGLAFPSPETAGEFFIYHNFGRNNFTGTLPSLPLDNHRHANQTIYAFLANGNHLSGSLSAFALGMCNKVNHLIIDISDNMISGGFTSEVGAKCRSLVVLKVASNQISETIPASIGLLENLVSLDLSRNWLQGEIPASLKQLQSLKYLSLAGNNLSGHVPSGLGQLQSLKVLDLSSNSLTGYIPSDLVKMENLTTLLLNNNNLSGIIPSAFAKVASLSRFNVSFNNLSGSLPFNASTLRCDSLHGNPFLQSCHIYALSVPSSDLLGSSRSSQPFTNSPTGNSSDDSSSGGFSSVEIAAIASAAAIVSVILALIVLYIYARKCIPRPPIGASGRKEVTVFVDIGVPLTYESVVLATGGFNASNCIGSGGFGATYKAEISPGILVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYFPGGNLERFIQERSKRSVDWRMLHKIALNIACALVHLHDQCMPRILHRDVKPSNILLDDECNAYLSDFGLARLLGNSETHATTGVKGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGDGFNIVTWACMLLQKGRAHEFFTEGLWDVAPHDDLVGILHLGVKCTVESLSIRPTMKQVVKKLREIQPPHYGHG >Ma04_p39340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36514483:36522426:1 gene:Ma04_g39340 transcript:Ma04_t39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPQRRRTLASSFLVVLLLLMAIASFGDKAELDTRGAERSALLGFKGHVSADPAGLLRRWGPGPDHCSWPGVGCDDRSRVVSLNISAKDGGFLLPCSRSGPYRRSCGDPRRRLAGTLSSAVGNLSDLRVLSLPFHGFDGVIPGEVWGLENLEVLDLESSSFSGRLPSLFPRKLRVLNLGSNLLQGEIPPSLSRCVELETLDLSGNQINGTIPGFLNGFSKLRELYLSFNRLGGSIPEEIGYGYRSLQILDLSGNLLVGSIPTKLGNCAKLRVLLLSSNLLDGSVPSELGRLSKLEVLDVSRNRLNGFVPAELGNCLELSVLVLLNLHDPMSDDEASNSVDKDEYNYFQGKLAENITALPKLRVLWAPRATFEGEIPGNWGSCESLETVNLGENRFTGMVPKVFGQCRNLRFLNLSSNNLVGCLDKELPVPCMDVFDVSGNQLSASIPRSTHEQCPSSRIPAHDLSSSYSSFFAYKSRSGLAFPSPETAGEFFIYHNFGRNNFTGTLPSLPLDNHRHANQTIYAFLANGNHLSGSLSAFALGMCNKVNHLIIDISDNMISGGFTSEVGAKCRSLVVLKVASNQISETIPASIGLLENLVSLDLSRNWLQGEIPASLKQLQSLKYLSLAGNNLSGHVPSGLGQLQSLKVLDLSSNSLTGYIPSDLVKMENLTTLLLNNNNLSGIIPSAFAKVASLSRFNVSFNNLSGSLPFNASTLRCDSLHGNPFLQSCHIYALSVPSSDLLGSSRSSQPFTNSPTGNSSDDSSSGGFSSVEIAAIASAAAIVSVILALIVLYIYARKCIPRPPIGASGRKEVTVFVDIGVPLTYESVVLATGGFNASNCIGSGGFGATYKAEISPGILVAIKRLAVGRFQGVQQFHAEIKTLGRWRHPNLVTLIGYHVSDSEMFLIYNYFPGGNLERFIQERSKRSVDWRMLHKIALNIACALVHLHDQCMPRILHRDVKPSNILLDDECNAYLSDFGLARLLGNSETHATTGVKGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGDGFNIVTWACMLLQKGRAHEFFTEGLWDVAPHDDLVGILHLGVKCTVESLSIRPTMKQVVKKLREIQPPHYGHG >Ma04_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11329056:11330088:-1 gene:Ma04_g14940 transcript:Ma04_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMALSSPSFAGQAVKLAPSASDVLGGGRVTMRKTGAKPKPVASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Ma11_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21178458:21180234:-1 gene:Ma11_g15460 transcript:Ma11_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVGRQEMSSASVVAVGSSIDGGVDLKTLIHGHSLFFDRLVELIPARFYLPVDDDKPWFQGLSKAAKAAVKAESRDNLKEARRVRLDPAQSSTTLDLLKRSIEAEKSAADTSDEEEDDEGEEDEDEDEDEDEIGSESMTEVENPVPVISDNRSVTYEELRQRLHRRIEELRSGRNTRPLLIKNRPDKREKKKNRNKKKNKEEDASTSQGKRKRDENETREKGKKKKSEKAERHRGDGVAPDISFGQVKIGGEDEHRSKRRKLSKLQELERAKKLQDSKKDPEKGLMVSKKHSWKAAVSRAAGMKVHDDPKLLKESMKKEKKRQQKHAEKWEERIKNTENIRAEKQKTRAENIKERIKQKKMRRIEKREKKLMRPGFEGRKEGYINE >Ma08_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32932919:32938554:-1 gene:Ma08_g19130 transcript:Ma08_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGESTIKLEDTPTWIVVVVCTVIVFISLVFERLLHYLGKTLKRKNQKPLFDALQKIKEELMLLGFISLLLVAFQGTIQRICVRESLMHHLRPCKKDDTATAAAHFSVGFSGGARRLLAGGGADSTHCQKKGKVPLLSLEAIHELHIFIFVLAVTHVALSLITVVLGIVQMRNWKLWEDSVQEEDANASPKITHVNKSEFVKKRYKGFGKFSFILSWMHSFFKQFYGSVTETDYTTMRRGFIMTHCKGNKKFNFYKYMIRVLEADFRKVVGISWQLWIFVMIFLLLNVEGWHAYFWISFLPLVLLLAIGTKLEHIITQLAQEVAEKHSAIEGDLVVTPSDHLFWFHRPQIVIFLIHFILFQNAFEIAYFFWILTTYGFDSCIMGEVGFIVPRLVISVLSQLLCSYSTLPLYAIVTQMGSFFNKAIFDANVQAGLLDWARGAKKNKKTRIVNGENASRTEGAEGVLLHNIVVVDESAVGGRKAEITEV >Ma02_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16457098:16460767:-1 gene:Ma02_g05120 transcript:Ma02_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIMSKGLEVNPALTPAHIGGARRPTPVPYSLPLGSVARPGPFGGLRARGCGRRASPLRVSASVAVAAEKPSAAPEIVLQPIKEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVRYMLAALRTLGLSVEDDVATKRATVVGCGGQFPVGKDSKQEVELFLGNAGTAMRPLTAAVTAAGGNASYVLDGVPRMRERPIGDLVAGLKQLGADVDCFMGTNCPPVRVNAMGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTLKLMERFGVKVEHSDNWDRFYIKGAQKYKSPGNAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEKMGAKVSWTENSVTVTGPPRDPSKKGHLHGIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAICTELRKLGATVEEGPDFCIITPPEKLNITAIDTYDDHRMAMAFSIAACADVPVTIKDPGCTRKTFPDYFDVLQRFTKH >Ma03_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10970201:10970867:1 gene:Ma03_g13920 transcript:Ma03_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFTSVLLTLFLFLLLHQGSSPKATAATHPDIVDETCRRIADDDPNVNYTFCTQALRSVSKSKRADLRGLAIISLKLAKANATHAKSRVKALLKEKQLSTYRKSCLQTCRELYSDAASSFRNSVKQIKSGRYADAKVYISSAVDAPGECEDSFQEGDITSPLTKVNYDLFQLAVIALAITSRLG >Ma02_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16950462:16953122:-1 gene:Ma02_g05690 transcript:Ma02_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTAAEAENGEAGARRRRKEDDLEEEERELVAAASIEREFDGKRVPTWREQLTARAFAVSLVLAVVFNVIVMKFVLTTGIVPSLNVSAGLLGFFFVRTWTAALGRAGLLRRPFTRQENTVIQTCVVAASGIAYSGGFGSYLFAMSETIAKQSTVANDSQNIKNPRLGWMIGFLFVVSFLGLFSLVPLRKIMIIDYKLTYPSGTATANLINSFHTPQGANLAKKQVRTLGKLSVCSFLWGFFQWFYTGGKDCGFINFPSLGLKAYDNMFYFDFSATYVGVGMICPYIVNISVLLGGILSWGIMWPLIGNKKGDWYPADALGSLDGLQGYRVFIAIALILGDGLYNFVKVMGKSTAAFASQIRSRRSARTHTFAIDTSAVSFDDKRRTELFLQDQIPKPIAYGGYLLLAAVSTATLPRIFPQLRWYYVLVVYVFAPALAFCNAYGAGLTDWSLASTYGKLAIFAIGGWAGAAHGGVLAGLAACGVMMNIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPSVFWLFLKAFDDIGIPGSEYPAPNALVYRNMAILGVEGFSSLPKNCLTLCYVFFAAAILINLLRDVTGKKVGRWIPLPMAMAIPFYLGSYFAIDMCVGSLILFVWERINKAKADAFAPAVASGLICGDGIWSLPQSFLALAKVKPPICMKFLSRSINLKVDSFIESLSP >Ma08_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:378864:379331:1 gene:Ma08_g00410 transcript:Ma08_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYICWLHGGQILKLYSVVPPPHLEKKSRHLVGSFLGNDSIANRIWIVTSTR >Ma03_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:467039:472294:1 gene:Ma03_g00550 transcript:Ma03_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLLRTKQPIRELPLDQVLPWVLSHGGVSFPPALPLSAFAPRRCREDVICVAVVGHQSFTEAEDTLKLHHIVHCSLDVVDERVNNPKRSGPTLNETCLGMLYPNEIYKVYGYPTITKVKSLMVTTDLDVNYADVRNESEVKHSKTFAG >Ma02_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19172266:19179214:1 gene:Ma02_g08980 transcript:Ma02_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSETARSGSSADSYIGSLISLTSKSEIRYEGVLYSINTQESSIGLQNVRSFGTEGRKKDGPQIPPSDKIYEYILFRGSDIKDLQVKSSPPVQSTSINNDPAIIQSQYLRPASTYTSTPSVGSGSIADLSSHTAQSGLASSTFQGSLPLYQPGGGLGTWGSSPTPPTANGSGLAMPPLYWQGYYPQSSGLPHLQQPTLLQPPPGLPIPHSMPLPFQYPGVNPSFQSGSQNLPEIRPLPHGTPTPTSGPLPSMMDPSSASTLSLETTSTLLHNRTPVTTVPATTFSISLPLVPPLTSNFEKTAPMPQSMSSVVSSKPNTEPGSTMAHLSVSQPVPSAVVSSSSSQVEKPVGLVTPGQLLQTGSSMLPSSQPLQTSQTDADAKTLEDKSKPLLHEPSCSSAAEAMEPILSIPKSTMQKSNGAALHNYYNRGRGRGRGRGRGNEHSRPVTNFTEDFDFMAMNEKFNKDEVWGHLGKNKAHWRDNHGELIEDESDYILEEDDEALKLVTKPVYVKDDFFDTLSCATLDHGTRGGRTRYSEQLKIDTETFGDFRRHRPARGGGRGFRGGGRARGSYGGRGYGYFNRGRGYGHPHHTS >Ma08_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33235469:33237443:-1 gene:Ma08_g19470 transcript:Ma08_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVTMLAAGLVAPCEHTPSATLTLSSVDHALGLRFSLEMMSAYGHGVEAARLIRGALSRALVPYYPIAGRLVTSGEGELEVACTGDGVWFVEAMAHCSLIDLKNLEFPLVIPKEELLPSPPPCINPEEMILMMQVTQFECGGFAVGVKFSHMVFDGVGAGQFLKAVGEIAGGLPRPTVEPIWFRDAIPAPPRIPRQPPTITFDCVPSLFDFPQLTINNIKEEFMRETAYRCTTFEAVAAVLWQCRTRAINLHPQADVHLVFSANVRPLLRQLLPPQRGYYGNCVYCLNVTSSSDKIKHAPLTEIVSLIRDAKASLAAKFSDWAMGNVEEDPYNVPIGYDVLNLSDWRSVGFFEVDYGWGTPHCVAPLNDHLFFAGGILLKRPSPEQGLRFRGEVVTEEHQESFVNELKRFTEICGQKH >Ma07_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12041516:12042543:-1 gene:Ma07_g15830 transcript:Ma07_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVISSVGLKDHVSLPAYLHAEKKEEKEQTLVEVVRKRTLFCLEEEEEEEKEDSSESSSIGAASSSSSDKEGEGVGEGEEVESKKGEGAFGSLDTLEESLPIKGGLSNFFSGKSKSFASLSDAAANANANELAKPENPLNKRRRILMAYKARRASYGSLISASTYLPSLPSSDHTVPEGDEEEGERCGGDLLSVPPLPSHGNAFGSSPRCFSLSDLRHV >Ma10_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31504453:31506893:-1 gene:Ma10_g21930 transcript:Ma10_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPLTEITLTQNRAAKTLNFRRPSCSGGGDPNKNPSRVCAYGRVKDEAQERVIRVSDPLRDEGLPPLFFVPLLNGASSSPLSPSVSPQQQQTDGGDERQDYYVNMGYAIRTLREEFPDIFQREPNYDIYRDDIVFKDPLNTFVGINNYKRIFWALRLNGHVFFKAIWINIVSIWQPGENVIMIRWIVHGIPRVPWEIHGRFDGTSEYKLDKTGKIYEHRVDNVVLNTPTKFRVLLVEELIQSLGCPSNPKPTYFETSLLSVISCLPSLLRFSWIRCYMAFYIVLAFRCNGKD >Ma04_p38140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35794746:35799291:1 gene:Ma04_g38140 transcript:Ma04_t38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRCVYENISKDEIQKLFPPEVLPELQRLLTLLLQKFQREWREDVLKDQVSLPRPKAMTCNVVNQNQDSVEHVAVMNLKLQGDTQSSSGETQVKFQLARDTLETMLKSMYFIRDPMSSGDATSNGPGQEQGTAETALT >Ma04_p38140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35792251:35796285:1 gene:Ma04_g38140 transcript:Ma04_t38140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHAQLPEALSANLEAPNPKDEPHASREDDLRQPTESHLPHVAIAHKPTTESPLHRHGYQPNSSEHRRAGRTSGESDNSMERSPLHPHYHVKAATRGGVSSKGSSVSSHALASNVAGRSRTRTGGRGDETPDKGSSVPKFGEWDESNPSSADGFTGIFNKVREEKKSGSAKATMITNDTIYLC >Ma03_p33280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34691445:34698180:-1 gene:Ma03_g33280 transcript:Ma03_t33280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDSGCGYSQTPFFLHRPASTLLPFLILGKSPWCDRWFPMRLRYRVPFSFAFCGRQQPILDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLKAVGEPKTKPTQHSVRGLRGLGLTPNILACRSDKPLDINIKEKLSLFCHVPVANIINLHDVTNIWHIPLLLKEQKAHEALLKLLNLQGCAEEPMLEEWMGRAKLYDMLHKTVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLVVDWVPSSDLEETAAKEAPEAYNAAWRLLSGADGILVPGGFGDRGVQGKILAAKYARENKIPYLGICLGMQIAVIEFARSVMNLREANSMEFDPDTTAPVVIFMPEGSKTHMGGTMRLGSRRTFFTVADCKSAKLYGKVSFVDERHRHRYEVNPDMVPEFEKAGLAFVGKDETGKRMEIIELSSHPYYVGVQFHPEFKSRPGKPSAVFLGLIAASCRQLDSWLQASNGQISPAAPHAHSNGYPSPRTYRNGGGSLKKPAVKSLVNGGSFHSNGNGLHVHQHSST >Ma03_p33280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34691446:34698502:-1 gene:Ma03_g33280 transcript:Ma03_t33280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLKAVGEPKTKPTQHSVRGLRGLGLTPNILACRSDKPLDINIKEKLSLFCHVPVANIINLHDVTNIWHIPLLLKEQKAHEALLKLLNLQGCAEEPMLEEWMGRAKLYDMLHKTVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLVVDWVPSSDLEETAAKEAPEAYNAAWRLLSGADGILVPGGFGDRGVQGKILAAKYARENKIPYLGICLGMQIAVIEFARSVMNLREANSMEFDPDTTAPVVIFMPEGSKTHMGGTMRLGSRRTFFTVADCKSAKLYGKVSFVDERHRHRYEVNPDMVPEFEKAGLAFVGKDETGKRMEIIELSSHPYYVGVQFHPEFKSRPGKPSAVFLGLIAASCRQLDSWLQASNGQISPAAPHAHSNGYPSPRTYRNGGGSLKKPAVKSLVNGGSFHSNGNGLHVHQHSST >Ma03_p33280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34691446:34696807:-1 gene:Ma03_g33280 transcript:Ma03_t33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLKAVGEPKTKPTQHSVRGLRGLGLTPNILACRSDKPLDINIKEKLSLFCHVPVANIINLHDVTNIWHIPLLLKEQKAHEALLKLLNLQGCAEEPMLEEWMGRAKLYDMLHKTVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLVVDWVPSSDLEETAAKEAPEAYNAAWRLLSGADGILVPGGFGDRGVQGKILAAKYARENKIPYLGICLGMQIAVIEFARSVMNLREANSMEFDPDTTAPVVIFMPEGSKTHMGGTMRLGSRRTFFTVADCKSAKLYGKVSFVDERHRHRYEVNPDMVPEFEKAGLAFVGKDETGKRMEIIELSSHPYYVGVQFHPEFKSRPGKPSAVFLGLIAASCRQLDSWLQASNGQISPAAPHAHSNGYPSPRTYRNGGGSLKKPAVKSLVNGGSFHSNGNGLHVHQHSST >Ma04_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2728596:2730801:-1 gene:Ma04_g03560 transcript:Ma04_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSKPLTDPPQQQQQPAAHRHFALVSKPLLDSSTHPDTNNNAFAPSLFYEPTSVLDAHLSSSPATAPAPAGAGDLPLLSWAGGGGGGSDAHYQHHHHLPTSDDWDSASWFLSERYDLSPFPDDTNHPFLDSSFDVHFDPFAATAADPIPSPAPSFDRSQLDSLIRAAHCVESRDFATAHVILSRLNHHIPSAAVSSFHRALSLFKEALLALLRPSTAEPPLSAAELVRHIAAHKAFADLSPVPHFGTFTVTQTLIEALDGGTRSIHLIDFDLGLGGHWSSFAQELAARCRASRSSPPAVRITAVVAEESGETALAAENLRDFARSLSISFAVNFVRIGGLGTLALSGIRLAGAGEPTAVVLTPSVFRILGRDAAPESTASLLRFIRRASPRVVLFVDAEGGSAGSAVGPHPAPSLRRTVAAGVEHFAAVLESVEATAAATGAGEEAVRRIERAVVRPLVVGTVGGWAGRPGPWREVFAGAGWSRAPFSESTESQAEWLVLRAPVEGYRVSRMDGALVLSWRGRELSSTSAWRC >Ma01_p01810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1215697:1220073:1 gene:Ma01_g01810 transcript:Ma01_t01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDRDEPPAVRVYTVCDESRYLIVRNVPALGCGDDLSRLFGSYGEIEECKPMDAEDCDPFTDVYWIKFSQVSNARFAKRKLDESVFLGNLLKVSYAPQFESVLDVKEKLEGRTREVLGRIKSANVRTEGSKSQISSNSTIIGSSCQHWLDPAPSASSNSELQGRQREFARGGQISHVGNAPLSHVSSNKEYFPTPSMNETVRLVREKLDKIQSRSGNTDTASASKRAKVDNRRRI >Ma01_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1215697:1220073:1 gene:Ma01_g01810 transcript:Ma01_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDRDEPPAVRVYTVCDESRCKPMDAEDCDPFTDVYWIKFSQVSNARFAKRKLDESVFLGNLLKVSYAPQFESVLDVKEKLEGRTREVLGRIKSANVRTEGSKSQISSNSTIIGSSCQHWLDPAPSASSNSELQGRQREFARGGQISHVGNAPLSHVSSNKEYFPTPSMNETVRLVREKLDKIQSRSGNTDTASASKRAKVDNRRRI >Ma11_p04490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3473803:3484829:1 gene:Ma11_g04490 transcript:Ma11_t04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MQIGILAAFSQAINLSVLLLMQMYRFVVHGFHRGKTHGSPWTLCEYTFGHKDMHICQSWVERLIASTSTDAVRPKSLLVFVHPLCGKGNGVNTWETVAPIFSHAKVRTEVTVTQRAGHAFDCISSLSHRELSSFDGIVAVGGDGLFNEVLNGLLSSRHDAPYPPSPQELDNKSDKNCQHLNNDISRGRASLNGANGMLSAPLCGSDDLAPLLSAVESNGLGISKCTTNNGPCDADEDVKVSFPNDWFRLGLIPAGSTDAIVISTTGTRDPVTSALHIILGKKMSLDIAQVVRWKTSPSSTEVPSVRYAASFAGYGFYGDVIKESEGYRWMGPKRYDFAGTVAFLKHRSYEAKVTFLKTEEQETSNIASDIQTSQLFQKNSKNVVCRANCSICNDFKNAAQYSADVAVSPIHSQDSRWLEYTGRFLSVGAAIISCRNERAPKGLVAEAHLADGFLHLILIKDCPHPLYLWHLINLKRGSNPFDFTFVEHHKTPAFTFVSAHNESVWNVDGEILQACQVSVQVCRGLINLFASGPEV >Ma11_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3473743:3484829:1 gene:Ma11_g04490 transcript:Ma11_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MERNGSASTSISTLFLDRVGEVAVTLDPDGLSWKPIDCESSGSSCLCMNYRLKTENRIEFSNVYAVELIDWGLIEDANRNSGSFFSGNKFEMYRFVVHGFHRGKTHGSPWTLCEYTFGHKDMHICQSWVERLIASTSTDAVRPKSLLVFVHPLCGKGNGVNTWETVAPIFSHAKVRTEVTVTQRAGHAFDCISSLSHRELSSFDGIVAVGGDGLFNEVLNGLLSSRHDAPYPPSPQELDNKSDKNCQHLNNDISRAVESNGLGISKCTTNNGPCDADEDVKVSFPNDWFRLGLIPAGSTDAIVISTTGTRDPVTSALHIILGKKMSLDIAQVVRWKTSPSSTEVPSVRYAASFAGYGFYGDVIKESEGYRWMGPKRYDFAGTVAFLKHRSYEAKVTFLKTEEQETSNIASDIQTSQLFQKNSKNVVCRANCSICNDFKNAAQYSADVAVSPIHSQDSRWLEYTGRFLSVGAAIISCRNERAPKGLVAEAHLADGFLHLILIKDCPHPLYLWHLINLKRGSNPFDFTFVEHHKTPAFTFVSAHNESVWNVDGEILQACQVSVQVCRGLINLFASGPEV >Ma11_p04490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3473743:3484829:1 gene:Ma11_g04490 transcript:Ma11_t04490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MERNGSASTSISTLFLDRVGEVAVTLDPDGLSWKPIDCESSGSSCLCMNYRLKTENRIEFSNVYAVELIDWGLIEDANRNSGSFFSGNKFEMYRFVVHGFHRGKTHGSPWTLCEYTFGHKDMHICQSWVERLIASTSTDAVRPKSLLVFVHPLCGKGNGVNTWETVAPIFSHAKVRTEVTVTQRAGHAFDCISSLSHRELSSFDGIVAVGGDGLFNEVLNGLLSSRHDAPYPPSPQELDNKSDKNCQHLNNDISRGRASLNGANGMLSAPLCGSDDLAPLLSAVESNGLGISKCTTNNGPCDADEDVKVSFPNDWFRLGLIPAGSTDAIVISTTGTRDPVTSALHIILGKKMSLDIAQVVRWKTSPSSTEVPSVRYAASFAGYGFYGDVIKESEGYRWMGPKRYDFAGTVAFLKHRSYEAKVTFLKTEEQETSNIASDIQTSQLFQKNSKNVVCRANCSICNDFKNAAQYSADVAVSPIHSQDSRWLEYTGRFLSVGAAIISCRNERAPKGLVAEAHLADGFLHLILIKDCPHPLYLWHLINLKRGSNPFDFTFVEHHKTPAFTFVSAHNESVWNVDGEILQACQVSVQVCRGLINLFASGPEV >Ma02_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28577397:28578973:1 gene:Ma02_g23650 transcript:Ma02_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKQGKTNFAVTCSLLSRYIKEKGSVAELGIEMGQRPEYAAKGKSQAFRPPPTTMSLLPGADGEKEEDVSSAGNAMELFPQRAGFVPSLAAVAEDASKQERNQLTIFYGGKVMVFDNFPAKKAKDLLQLASKGSSTAQKSGHLSRTVQPNLSYLPIARNASLQRFLEKRKDRISARAPYHVTASPEMVNPVKQEKSGSWLGLGPQFSFPSLSLSSEDTR >Ma11_p18120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23261372:23268957:1 gene:Ma11_g18120 transcript:Ma11_t18120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGYGGPDDRAPPPRGGGYGRGRGGYGGNPGNRGGGGGYGDGGHQGGGRGNRGGGGGRRGGGRGGGFGREGDWRCPNPSCGNLNFARRTECNKCGAPCPGGGAGGGGDSSDNNRGGGDYNGGRGGYNIGGGGYGGNRAGYGGNLGGRSSGYGGRGGDYGGRSGSYNINNHEREDGGYGQVSPTPPTAYGGPIGNYPPAPGTYGSNDAYGVDSIPRPSTYGDPNSYPPSYGAPPPNTYGSEGHVARGGPPSGYSAPPSGYGGGYGDRTNPVHDIGVPPRHSGGAFGAPPVAVKQCDENCGESCDNTRIYISNLPPDVTTEDLHDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEYGNNKGDAVLSYEDPAAAHSAGGFYNKYDMRGYKINVAMAEKTALKPPPAYGLVEEGAMVVESDEEIIITMVGHRDQIGIIMVVIDHNHTEGLCVENVILELFVVIVT >Ma11_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23261372:23268905:1 gene:Ma11_g18120 transcript:Ma11_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGYGGPDDRAPPPRGGGYGRGRGGYGGNPGNRGGGGGYGDGGHQGGGRGNRGGGGGRRGGGRGGGFGREGDWRCPNPSCGNLNFARRTECNKCGAPCPGGGAGGGGDSSDNNRGGGDYNGGRGGYNIGGGGYGGNRAGYGGNLGGRSSGYGGRGGDYGGRSGSYNINNHEREDGGYGQVSPTPPTAYGGPIGNYPPAPGTYGSNDAYGVDSIPRPSTYGDPNSYPPSYGAPPPNTYGSEGHVARGGPPSGYSAPPSGYGGGYGDRTNPVHDIGVPPRHSGGAFGAPPVAVKQCDENCGESCDNTRIYISNLPPDVTTEDLHDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEYGNNKGDAVLSYEDPAAAHSAGGFYNKYDMRGYKINVAMAEKTALKPPPAYGRGGGRGGYGGGERRRDNYHYGGASGPDRHHYGGHRSQPY >Ma02_p07930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18405783:18410244:-1 gene:Ma02_g07930 transcript:Ma02_t07930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDEVGRAAIKRAIRALRKRHLLEEGAHAPAIKALSRPLVAQGLEWKEKVENLEMELQHCYKAHAQLSEQLVVEVAECRTSKALVQEKEELIRNLQYDISQAREENLQLKQDLYEKTQALDLLTSESQSLKLQHEEIRLKLKKAETENKDLIDRWMLEKMNTAEKLNEANSLYDELMQQLKVSSSEHIARQQVDGVVRQMEPGYADHVESAIPSSCRHTIHAHDGGCGSILFQHNSDMLISGGQDRTVKVWDTRSGTLSSTLHGCLGSVLDLAITHDNRSIIAASSSNNLYVWQTSSGRVQHTLTGHTDKVCAVDTSKVSSRNVVSAAYDHTMKVWDPVKGYCTSTIIFQSNCNALSYSMDGLTFCSGHVDGNLRIWDSRMGKAVSEVAAHSQAVTSICVSRSGNLVLTSGRDNFHNLFDLRTLEVCGTFKANGNRVASNWSRSCISADENFVAAGSADGFIYIWSRVKDNMLSVLEGHSSPVLSCSWNGMGNTLASADKNGNLCIWC >Ma02_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18405783:18410016:-1 gene:Ma02_g07930 transcript:Ma02_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQHCYKAHAQLSEQLVVEVAECRTSKALVQEKEELIRNLQYDISQAREENLQLKQDLYEKTQALDLLTSESQSLKLQHEEIRLKLKKAETENKDLIDRWMLEKMNTAEKLNEANSLYDELMQQLKVSSSEHIARQQVDGVVRQMEPGYADHVESAIPSSCRHTIHAHDGGCGSILFQHNSDMLISGGQDRTVKVWDTRSGTLSSTLHGCLGSVLDLAITHDNRSIIAASSSNNLYVWQTSSGRVQHTLTGHTDKVCAVDTSKVSSRNVVSAAYDHTMKVWDPVKGYCTSTIIFQSNCNALSYSMDGLTFCSGHVDGNLRIWDSRMGKAVSEVAAHSQAVTSICVSRSGNLVLTSGRDNFHNLFDLRTLEVCGTFKANGNRVASNWSRSCISADENFVAAGSADGFIYIWSRVKDNMLSVLEGHSSPVLSCSWNGMGNTLASADKNGNLCIWC >Ma06_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5775439:5778730:1 gene:Ma06_g08140 transcript:Ma06_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVEKTSTGREYKVKDLSQADFGRLEIELAEVEMPGLMSCRAEFGPSQPFAGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLAEYWWCTERCLDWGPTGGPDLIVDDGGDATLLIHEGVKAEEEFEKTGKLPDPASTDNAEFQIVLGIIRDGLKVDPKKYRRMKDRLVGVSEETTTGVKRLYQMQASGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLPVLTLEDVVSEADIFVTTTGNKDIIMVDHMKKMKNNAIVCNIGHFDNEIDMQGLETYPGIKRITIKPQTDRWVFPETSTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERKTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTPSQAEYISVPVEGPYKPVHYRY >Ma00_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33388098:33388361:-1 gene:Ma00_g04000 transcript:Ma00_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNKSELLTSHGFPNNQLTLWNYTSMMRKAELFGHSSRVLYLAGSPLGGVVASAAEDETLKFWNIFETPKPPKPEANTVPFAQFSFIR >Ma08_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1574134:1577439:-1 gene:Ma08_g01900 transcript:Ma08_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLRQWLRQPFLFSVPCSTRVMLEQSTLGCPRWDFSPRIELLSQCSFPYLLSKTYYGDCSQSSSWTKTVVWKWFHSKNAESSSDRNSKPNKLGEQQRRKSCSDKDSSVLGIRDLSGGWVVERSGNLEPPPSQDKSLAGSSLPTENLRVFVGTWNVGGRPPHGGLNLRDWLMSTPSSPADIYVLGHPHHSTEQITVSKMCLALCRRFQEIVPLNAGNVLGPEDKGPADRWLSLIGQTLDSHHRAAPGVPSRCTNAAEKRRYHLVASKQMVGIFLCVWVRTRLVQCITSLEVSCVGRGIMGCMGNKGSTSVSMTLHRTTFCFVCTHLASGERDEDEGRRNSDVMEIMKRTRFPQARRRIPGAASPRCPRTILEHDKIIWLGDLNYRLASTGNDTNELLKKQDWQGLLEKDQLRIQHKAGGVFAGWEEGRICFPPTYKYISNSDIYDMNWANSRDKRRTPAWCDRILWRGKGMKQMWYVRGESRFSDHRPVSSLFAVQLDDGHHGVAADQHRTAGADSRGSSSPCSSSWGKVQAEEMFLVGRTQLLGSQQILKPFEGTHCLIPELRS >Ma04_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5366244:5369285:-1 gene:Ma04_g07420 transcript:Ma04_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLAWATCGEEERACVGWIERYFNDCVCSVRGELSFGLGMISLFCWGIAEVPQIITNFHNKTGHGISLAFLLTWVVGDIFNLVGCLLEPVTLPTQFYTALLYTAVTVVLVLQILYYDCWLSCCESRGFAAQLEVEEDSCKPLNPNSEGHSHPLPTLTAPPTASPRADVCYTSARSLASSGTPPYRSSYLGPARSGPSASGYLESSGSDDERSARHRSWHSGMSKPIRILSRPVGYGTFAAASVTLPFQTKASMEVNNIGFDSRKNLQEGGIKSLEENPYGLLLGWVMAAIYMGGRLPQIYKNIKRGSVEGLNPLMFMFALAANATYVGSILVRSIEWERIKANSPWLLDAIVCVLLDLFIILQFAYYKFMHKRMTSNEDEHEHFMEAKETLV >Ma10_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15837875:15840527:-1 gene:Ma10_g05110 transcript:Ma10_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKIMMAK >Ma02_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29104846:29105186:1 gene:Ma02_g24530 transcript:Ma02_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRPLFPAVTRQWAWRECSMRSLTMFSHCRTKSSFFRLNFRLQASFMTSASTPRAF >Ma03_p02270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1541928:1549160:1 gene:Ma03_g02270 transcript:Ma03_t02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPAACAMAWSIDLEKGLRSKSRDQRIRAIEQMGPILQKWSTEPNVTRAIADMYDLEPGEDRLFANTILLRLADAFRCGDNYTRRCIVKVFLFELTRISKEGKRYNGILAKRRVPNSIELLKRVKVVYDTGDTEAKALALRLFGCWADLAKDSAHIRYIILLSLQSSNISEVKASLFATGCFCLLSEDFVHITLEILINIVRSTQLSYDVAIAAIHAISRMRCSSAVASRAYKAGKKLLLGPLHDDLKAEMLSSLSKLAFRSTISTIEQAELLLSFLSNDTIYNVKARALKCLHFLFSSHACCFPFIEGVVVKLFHIVDDNDVPVNLQCEALRILCKVLVMEEQSLKVKRDLVIQLIVHILCSLKMAERGHNCAAPVKWCGRCFELQRSPRAEVSATETDASGIACQVTSIVVGHITSMIKQTIADSTGEDITTKTVISCSELKQEFRNKLSLIQLLVIEYPLASLVVLDRIGHIIQSLENMHDKSALENICTEVSRKEFNAKRCGPLEYDKQYSIGSEIAICILRFTNAFIKTLNNSGTYNSEVCQKVKLLVKCIQSSKYCNCATYEIFCLCLDSYTACSLVGNANNRIQDSDESKTGSADGSYYNFSWVNQEWQSLESIRSMLQNQNYWAAYRAGKYSCLEGLWFSATFTFRKLICHVESVCLSCWLKCLMLLAGCETEIKLLLFPKAGITLVNGMQTENMCDKIFTSIVGDKSTSADLHGWEGKIARVYGRICSAEKTLASAGASDGVYYFQRWFLNLRAKFFEIMMEIFGLLNSHELTIVRVDGEEGKGKVCIEEVTQTMSTLMCGFAYESLRLNNLAKDYDLLASSFLDTDGQSFRRLSAMALNCSLLAFCTAFTVHFPCSLVYKNVISCNLGNVSKFSCTMILQDLTERFWTMDSKISEQLQQILTSFCKEEDRICPRSRMSTSGHTERATLLVCEFAISGILHIQEDAKRVKNEEDLFSLLLRGLQLLSDVIRRWMEIPFQVPKYFFRVRPCIGAELFLLDADSRNKSEISVSQGFQLSLNVCIQLKNTSRIPRLQDAKLYCILATRPSEQLSTEKRTEDCFSACKTDEMVELNNMLLMFVKAKMGNANEVSPKDSGGDAWVTACLCFEPNEQGQGFSSCLLDVSEFPDGSYQIKWHSCCIDERGSYWSLLPLTTCALFTIKKL >Ma03_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1541928:1549160:1 gene:Ma03_g02270 transcript:Ma03_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPAACAMAWSIDLEKGLRSKSRDQRIRAIEQMGPILQKWSTEPNVTRAIADMYDLEPGEDRLFANTILLRLADAFRCGDNYTRRCIVKVFLFELTRISKEGKRYNGILAKRRVPNSIELLKRVKVVYDTGDTEAKALALRLFGCWADLAKDSAHIRYIILLSLQSSNISEVKASLFATGCFCLLSEDFVHITLEILINIVRSTQLSYDVAIAAIHAISRMRCSSAVASRAYKAGKKLLLGPLHDDLKAEMLSSLSKLAFRSTISTIEQAELLLSFLSNDTIYNVKARALKCLHFLFSSHACCFPFIEGVVVKLFHIVDDNDVPVNLQCEALRILCKVFSSMLPDVLHMDLLVLVKQVLVMEEQSLKVKRDLVIQLIVHILCSLKMAERGHNCAAPVKWCGRCFELQRSPRAEVSATETDASGIACQVTSIVVGHITSMIKQTIADSTGEDITTKTVISCSELKQEFRNKLSLIQLLVIEYPLASLVVLDRIGHIIQSLENMHDKSALENICTEVSRKEFNAKRCGPLEYDKQYSIGSEIAICILRFTNAFIKTLNNSGTYNSEVCQKVKLLVKCIQSSKYCNCATYEIFCLCLDSYTACSLVGNANNRIQDSDESKTGSADGSYYNFSWVNQEWQSLESIRSMLQNQNYWAAYRAGKYSCLEGLWFSATFTFRKLICHVESVCLSCWLKCLMLLAGCETEIKLLLFPKAGITLVNGMQTENMCDKIFTSIVGDKSTSADLHGWEGKIARVYGRICSAEKTLASAGASDGVYYFQRWFLNLRAKFFEIMMEIFGLLNSHELTIVRVDGEEGKGKVCIEEVTQTMSTLMCGFAYESLRLNNLAKDYDLLASSFLDTDGQSFRRLSAMALNCSLLAFCTAFTVHFPCSLVYKNVISCNLGNVSKFSCTMILQDLTERFWTMDSKISEQLQQILTSFCKEEDRICPRSRMSTSGHTERATLLVCEFAISGILHIQEDAKRVKNEEDLFSLLLRGLQLLSDVIRRWMEIPFQVPKYFFRVRPCIGAELFLLDADSRNKSEISVSQGFQLSLNVCIQLKNTSRIPRLQDAKLYCILATRPSEQLSTEKRTEDCFSACKTDEMVELNNMLLMFVKAKMGNANEVSPKDSGGDAWVTACLCFEPNEQGQGFSSCLLDVSEFPDGSYQIKWHSCCIDERGSYWSLLPLTTCALFTIKKL >Ma09_p28580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39286454:39287199:1 gene:Ma09_g28580 transcript:Ma09_t28580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVIRVQIKCDKCRSKAMQLVAEADGVDSVAIEGENKDQLVIVGDGVDPANVTLVLRKKVGRATIVKVEEVKKDAEKKSETTVQWYPNYPPCPQTVWYDCESSSSNPNACSIM >Ma09_p28580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39286462:39287199:1 gene:Ma09_g28580 transcript:Ma09_t28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIRVQIKCDKCRSKAMQLVAEADGVDSVAIEGENKDQLVIVGDGVDPANVTLVLRKKVGRATIVKVEEVKKDAEKKSETTVQWYPNYPPCPQTVWYDCESSSSNPNACSIM >Ma03_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24531084:24536897:-1 gene:Ma03_g19120 transcript:Ma03_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGATGGTDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVDGIVWFLELFGYYISTDEQDVFSKELKLDSKAFYFDVGENKRGRFLKISEASVNRNRSTIIVPAGISGEEGWVAFRNVLQEIHEEASRLFMVPNQQHLEAPEHLPGLSDDVGAGFISGHSAQSSSGSELNADRLVDVHTHNEIGGMGMSKLIRVDQKRFFFDLGSNNRGHFLRISEVAGVDRSSIILPLSSLKQFHEMIGHFVAITKDRRDVTTGADVRTLEPAQM >Ma04_p28220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29302356:29317829:-1 gene:Ma04_g28220 transcript:Ma04_t28220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERRQSEIIDDFVKRAAALYDAAPNLAGLILEATAHPFLFAFSEILSVPSLARLKGTQYSSSLDVLRLFAYGTWSDYKRNAGCLPVLLPDQVRKLKQLSVLTLAEIEKVLSYDHLMEELDVSNVRELEDFLINECMYVGIVRGKLDQSRRCFEVQFAAGRDLRPEQLDNMIQTLNNWSGTSDNLLHMIQEKIKWADTMSEANKMHRKEIVDKVEEVKKSLKKLNTLSRPTCTYKATERSFLNLEE >Ma04_p28220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29302356:29317829:-1 gene:Ma04_g28220 transcript:Ma04_t28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERRQSEIIDDFVKRAAALYDAAPNLAGLILEATAHPFLFAFSEILSVPSLARLKGTQYSSSLDVLRLFAYGTWSDYKRNAGCLPVLLPDQVRKLKQLSVLTLAEIEKVLSYDHLMEELDVSNVRELEDFLINECMYVGIVRGKLDQSRRCFEVQFAAGRDLRPEQLDNMIQTLNNWSGTSDNLLHMIQEKIKWADTMSEANKMHRKEIVDKVEEVKKSLKLNTLSRPTCTYKATERSFLNLEE >Ma04_p28220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29302356:29317888:-1 gene:Ma04_g28220 transcript:Ma04_t28220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMERRQSEIIDDFVKRAAALYDAAPNLAGLILEATAHPFLFAFSEILSVPSLARLKGTQYSSSLDVLRLFAYGTWSDYKRNAGCLPVLLPDQVRKLKQLSVLTLAEIEKVLSYDHLMEELDVSNVRELEDFLINECMYVGIVRGKLDQSRRCFEVQFAAGRDLRPEQLDNMIQTLNNWSGTSDNLLHMIQEKIKWADTMSEANKMHRKEIVDKVEEVKKSLKADLHLQGHGEIFSEPGGMMDYEEDRSRPKRRRQPMA >Ma03_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24616157:24618969:-1 gene:Ma03_g19280 transcript:Ma03_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVVGCLAGILSNLKAEQEFDELDGGEVGGSSGAGVDDHNGEHGPGWRTVPAHDPVVKDAAHHAVQTIQQRSNSLAPYELLEVLLARAEIIFSSSNGQVLEISGFITAPFNSQVVEDIAKFDMLIKVKRGSKEEKLKVEVHKDLEGTFHLNQMQQEHLESASQ >Ma08_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3952874:3954378:-1 gene:Ma08_g05840 transcript:Ma08_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHSKCFDHSKKGCCSCGCGCGCNCNRCLHCSHCRASADDDAEPSRVDEDDRLQQHSHLIRHVLSAASLGSADVPSAGLRLHYSSLTQRGYFPGSPDRANQDSFCVMTQFQGNPDLHFFGVFDGHGKFGAECAAFVRDKLTAVLSADPRLWENPAEAYDSAFAATNLALHESEIDDSMSGTTAITVLIRGDTLFVANVGDSRAVAGVWDWDRVVAEDLSSDHTPLRKDECERVSLCGARVLTVKQLENEGDEESEGDEESDDSGDPPRLWVQNGMYPGTMFTRSVGDLVAESIGVVAVPEIKVVKITDNHSFFVIASDGVFQFLSSQAVVDMVSSFVDPRDACSKIVAESYKLWLEREGRTDDTTIIIVRIKDLSEMHPIV >Ma02_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14762329:14766281:-1 gene:Ma02_g03420 transcript:Ma02_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFKADILKGKVALVTGGGSGIGLEISTQFGRHGAAVAIMGRRRQVLDAAVAALRSEGIRAIGLEGDVRKQEDAARVLEETTTHFGKLDILVNGAAGNFLVSPEDLSPNGFRTVIDIDSVGTFTMCHEALKHLKKGGRGEGPSTGGLILNISATLHYTAAWYQIHASAAKAAVDSITRSLALEWGTDYDIRVNGIAPGPIGDTPGMRKLAPGEMQSKSREYRPLYKLGERWDIAMAALYLASDAGKYINGTTMVVDGGLWLSHPRHIGKEEVKKLSKVVEMKSRKSPVAFPASKL >Ma10_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26369033:26370011:1 gene:Ma10_g13630 transcript:Ma10_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-3 [Source:Projected from Arabidopsis thaliana (AT4G01470) UniProtKB/Swiss-Prot;Acc:O82598] MPIGSIAIGAPGEASHPDTIKASLAEFISTLIFVFAGEGSGMAFNKLTNDGSTTPAGLVAASLAHGFALFVAVSVGANISGGHVNPAVTFGAFLGGNISLIRGILYWIAQLLGSVVACLLLKLATGGLETSAFSLSSDVSVWNAVVFEIVMTFGLVYTVYATAVDPRKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWVGPLIGAAIAALVYDGVFIGQATHEQLPPSDY >Ma09_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25180120:25181768:-1 gene:Ma09_g19620 transcript:Ma09_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMVSGSPKARSWTRPWRWAKTLFFVAAMLASLLLVCAPPVFVVVLDLLLPPTLLSVSNRGSPPLSLWALPGQLKTFDFRSSLIDLPIVSALRSFLILFAFLACDGGRGLYVGITAFCSSASTAYVLIKAFTIYWVAPPQQEPRWILALAGRESPAIEALFLTSLALAIAHIAVAYRTSCRERRKLLVYRIDVEAVKIKGGLIKEDSKV >Ma07_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31345221:31347358:1 gene:Ma07_g23850 transcript:Ma07_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRQQAVIARSSLLRPGGPLACELGRHSVVLKVDDWIFCHGGLLPHHVAYGLERMNKEVSFWMRDFSESSDGPAVPFIATRGYDSIVWNRLYSRDSADAKYQILQISSILEETLQAVGAKGMVVGHTPQFNGVNSKYNERIWCIDVGMSSGVLNSRPEVLEIVDDKARVISNQNDLFEVMNYL >Ma04_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23912376:23913359:-1 gene:Ma04_g21330 transcript:Ma04_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNQLNIRSTNTSNVSKVRTNNRVAYKGCCFFSIGCHSSVSCFSIQFR >Ma06_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5451100:5452935:1 gene:Ma06_g07680 transcript:Ma06_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPEQGKKEEPKAKEENKEEGKGEDGKKGGGEGEKKEGGGEEKKADEPPPPPPEEIVMRVYMHCEGCARKVKRSLKGFEGVEDVKTDCRTHKVVVKGKKAAEDPMKVVERVQKKAGRKVELLTPLPPPKPEKKEEEKKEEEKPKVEEKKEEPQVIAVVLKVHMHCEACAQEIKKRILKMKGVQAAEPDLKASQVTVKGVFDPQKLGEYVYKRTGKQAVVAKQEPAEKKAEDDKGGGGDAAKDEKKADEAGGGNAEGEKKEEKDGGGGQGGGDEKDKKEGGGAAEDGAAPATKVVELVRNEFYQYYPRYPGGYVGYAYPPQMFSDENPNACAVM >Ma09_p19420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23663913:23687192:-1 gene:Ma09_g19420 transcript:Ma09_t19420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTREEKTGLTDCKLYDDRNAALKNSLEEKCLYTNSSMDVDSTFLKSIISKLNATEATNMVYNAHGNCCVPYRESPTDGVLRGLRNTVQGQFPHLIVSHQKDVIKQIYNENEDHLYPFQSSTRSSAVELDKALASVGSLIQMADTDEPLPDICVEQNYLDDVSLKSESSIDSSPLPSTRNSIFDNIPAIDASLKWFPHSYPNLHNKRQKTSTNTGREELVQEFHYIQHNSLKHSDDDVLNVSSTVSCISVDDDDADICILDDVSNFAHPLAPTVIIKNQTMLEQSGYIQTYQPRLGGTRLKADDERLTLWLELQDLSQQRSEAILPDEGMMSVSLLRHQRIALFWMVQKETASPHCSGGILADDQGLGKTISTIALILMERSPSHQPLSCMGKQDRPESLHLDDDDDCGDFSEINGVKKPQISGLMVDSGSKKREYPVMAVSSRPAAGTLIVCPTSVLRQWAEELKTRVTSSANLSFLVYHGNNRTKDPHELTKYDVVLTTYAIVSMEVPKQPLVGEVDEEKRKHDSLIRHMADKKRKGSPSSSKKCMKNGIETQSALLKSSVRPLARVWWFRVILDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLGYQPYADYGSFCSMIKNTISRNPKNGYKKLQAVLKTIMLRRTKGTMINGEPIITLPPKIVTLKKVDFSEGERAFYTNLEAESREQFKVYANEGTVKENYVNILLMLLRLRQACDHRLLVNGCSSNSVKSSSIEMVKKLPEGKQNHLLSCLEAGLAICTICNDPPEDAVVTVCGHVFCNQCICEHLDGDDNICPSADCKVRLNVSSVFSKITLVSSIRDLPGNSCSSSGCSSKMVDAVKISGNRSSSYSSKVKAAIEILQSLPKSQCSLPNCNYEKSNGETDGSLQHGVTVSQRCSVHTNDGKNFDLKCQPSEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSIAAREKAIKDFNMLPEVTVMIMSLKAASLGLNLVVACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLMVRNTVEDRILALQEKKREMVASAFGEDESGTRQTRLTVEDLNYLFNV >Ma09_p19420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23663913:23689672:-1 gene:Ma09_g19420 transcript:Ma09_t19420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPGNSSDNLGDNDALLLDDNLSISVEGLYAFLDEQPFDPVDDQSQITFEGSDRGKQSGGPTDPVNAFQPNADSLNTMTREEKTGLTDCKLYDDRNAALKNSLEEKCLYTNSSMDVDSTFLKSIISKLNATEATNMVYNAHGNCCVPYRESPTDGVLRGLRNTVQGQFPHLIVSHQKDVIKQIYNENEDHLYPFQSSTRSSAVELDKALASVGSLIQMADTDEPLPDICVEQNYLDDVSLKSESSIDSSPLPSTRNSIFDNIPAIDASLKWFPHSYPNLHNKRQKTSTNTGREELVQEFHYIQHNSLKHSDDDVLNVSSTVSCISVDDDDADICILDDVSNFAHPLAPTVIIKNQTMLEQSGYIQTYQPRLGGTRLKADDERLTLWLELQDLSQQRSEAILPDEGMMSVSLLRHQRIALFWMVQKETASPHCSGGILADDQGLGKTISTIALILMERSPSHQPLSCMGKQDRPESLHLDDDDDCGDFSEINGVKKPQISGLMVDSGSKKREYPVMAVSSRPAAGTLIVCPTSVLRQWAEELKTRVTSSANLSFLVYHGNNRTKDPHELTKYDVVLTTYAIVSMEVPKQPLVGEVDEEKRKHDSLIRHMADKKRKGSPSSSKKCMKNGIETQSALLKSSVRPLARVWWFRVILDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLGYQPYADYGSFCSMIKNTISRNPKNGYKKLQAVLKTIMLRRTKGTMINGEPIITLPPKIVTLKKVDFSEGERAFYTNLEAESREQFKVYANEGTVKENYVNILLMLLRLRQACDHRLLVNGCSSNSVKSSSIEMVKKLPEGKQNHLLSCLEAGLAICTICNDPPEDAVVTVCGHVFCNQCICEHLDGDDNICPSADCKVRLNVSSVFSKITLVSSIRDLPGNSCSSSGCSSKMVDAVKISGNRSSSYSSKVKAAIEILQSLPKSQCSLPNCNYEKSNGETDGSLQHGVTVSQRCSVHTNDGKNFDLKCQPSEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSIAAREKAIKDFNMLPEVTVMIMSLKAASLGLNLVVACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLMVRNTVEDRILALQEKKREMVASAFGEDESGTRQTRLTVEDLNYLFNV >Ma09_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23663913:23689672:-1 gene:Ma09_g19420 transcript:Ma09_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPGNSSDNLGDNDALLLDDNLSISVEGLYAFLDEQPFDPVDDQSQITFEGSDRGKQSGGPTDPVNAFQPNAGFSKSSAHGEFNETLASQWTTSNFLGVSDCKKETNLGFSFGSEQSSNSPLIPVSGHTSAVSAHADSKSMFAYPLSRFGFSNQEYDFSSMNLVGSSRDSLISSNFNSQQLQYLSNCPFGNAEEAGLDVSHYVDFGCDLYSDSDQKDFDELRAGISQQNRFKMYESYADDSLNTMTREEKTGLTDCKLYDDRNAALKNSLEEKCLYTNSSMDVDSTFLKSIISKLNATEATNMVYNAHGNCCVPYRESPTDGVLRGLRNTVQGQFPHLIVSHQKDVIKQIYNENEDHLYPFQSSTRSSAVELDKALASVGSLIQMADTDEPLPDICVEQNYLDDVSLKSESSIDSSPLPSTRNSIFDNIPAIDASLKWFPHSYPNLHNKRQKTSTNTGREELVQEFHYIQHNSLKHSDDDVLNVSSTVSCISVDDDDADICILDDVSNFAHPLAPTVIIKNQTMLEQSGYIQTYQPRLGGTRLKADDERLTLWLELQDLSQQRSEAILPDEGMMSVSLLRHQRIALFWMVQKETASPHCSGGILADDQGLGKTISTIALILMERSPSHQPLSCMGKQDRPESLHLDDDDDCGDFSEINGVKKPQISGLMVDSGSKKREYPVMAVSSRPAAGTLIVCPTSVLRQWAEELKTRVTSSANLSFLVYHGNNRTKDPHELTKYDVVLTTYAIVSMEVPKQPLVGEVDEEKRKHDSLIRHMADKKRKGSPSSSKKCMKNGIETQSALLKSSVRPLARVWWFRVILDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLGYQPYADYGSFCSMIKNTISRNPKNGYKKLQAVLKTIMLRRTKGTMINGEPIITLPPKIVTLKKVDFSEGERAFYTNLEAESREQFKVYANEGTVKENYVNILLMLLRLRQACDHRLLVNGCSSNSVKSSSIEMVKKLPEGKQNHLLSCLEAGLAICTICNDPPEDAVVTVCGHVFCNQCICEHLDGDDNICPSADCKVRLNVSSVFSKITLVSSIRDLPGNSCSSSGCSSKMVDAVKISGNRSSSYSSKVKAAIEILQSLPKSQCSLPNCNYEKSNGETDGSLQHGVTVSQRCSVHTNDGKNFDLKCQPSEKAIVFSQWTRMLDLLEVPLKDSCIQYRRLDGTMSIAAREKAIKDFNMLPEVTVMIMSLKAASLGLNLVVACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRLMVRNTVEDRILALQEKKREMVASAFGEDESGTRQTRLTVEDLNYLFNV >Ma06_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22669093:22683177:-1 gene:Ma06_g24220 transcript:Ma06_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELEMEMEMEMDIPAPEELEWLESIALLPDEGEEEEEFSMEHDEDAAAIAFDDHEANGDEQNRLQSEVTERSAAEAIQHQTTKKRLWSAENEDSLGGSQKHILDEGRGAKRFALQNEADEDWLRCSPQKDSDTVGGTSVPDVPLPDVVAEETILSRFATDIDGECMPVTSPSGVRVYAKMSIAEIGGGRGKVLASRPERGLLLEPISILSKKAEEEALAKALQDSLGSRDQPTHAIPHMVDEQLWVEKYAPSSFKELLSDERTNREVLLWLKQWDSSVFGSQIRATEDEVLSALRLHSSVVQHRRFIGHRSFSHKNKGPPLSNQYLKTSNFLDGEGMLESWSRKSIFNHPPEQKVLLLCGPPGLGKTTLAHIAAKHCGYHVLEINASDDRSASMIESKVLDVVQMNSVMPHSKPKCLIIDEIDGALGEGKGAVDVILKMISVEKKSIVDKENAANEATPGKNSSRKGHKTTMLCRPVICICNDVYAPALRALRQVAKVHMFVQPTVSRVVNRLKFICEREGLRTNRSALSALAEYTDCDIRSCLNTLQFLNKKKEALNILELGSQVVGRKDMTRSVTDVWKEVFQKRKSKGETKLINGCSEHGAFDFTYSLISNRGEYELTMDGIHENFLRLSYHDPMMRKTVKCLNLLGVSDSVLRYVLRTQQMSLYAYQPPIAIAMGHLIAQVEKPNIEWPRTYHRFRALLAEKKESLKTWISKISPLISRHLLTKSFVEDVVSPLLHILSPPTLRPVALHLLSEREKDDLCQLVDTMVCFSITYRNSKPELPEKTQRYGATTNAVPLSLDPPLHDYVNFKEYQSQHFGLSGAMKQILVHEADKHRILRESTAKNINLYDQRNNGNLALANVSAEATPVMNATSVTSNRGDHKSKVLLGQRQNVAICSSVSVPEGKKFLSRGLNLKAPGASKKSSKDSYSFFDRFRKGSNTNSNVPGEALQEVATIERDSRPLIFKYNEGFTNAVKRPVRIRDLLL >Ma03_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28187490:28192088:1 gene:Ma03_g23670 transcript:Ma03_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKCWLKIALLCFVSLVSVVLGTTDSGDYAVLDEFRRGLSNPELLRWPTNSKDPCGPPQWPHIFCSGSRVTQIQVQDLGLSGPLPHDFNKLAMLSNIGLQRNKFTGNLPSFNGLSNLQYAYLGGNQFDTIPTDFFVGLSSLQVLTLENNPLNQSTGWTLPPDLAHSAQLMNLSLSHCNLVGPLPEFLGSMKSLTVLKLSYNNLIGGIPVSYSGLPLQILWLNNQEGPGLTGSIEIITNMTLLNDVWLHENQFSGLIPDSISALSLLTRLWLNNNRLVGPVPESLINMSQLQSLRLDDNMLVGTVPKLSISNFTYAGNSFCQNTPGVPCSAEVTALLDFLKDVNYPTRLSDSWFGNDPCTSSWLGISCSNNNVSVINLPNYQLNGTISESLGALDSLVYVLLGGNNLAGPIPDNMSSLKSLKMLNLSFNNISPPVPRFPTSVKVLLDGNKLLQNPPSPGSPPGVGLPGVSPPSTDSPTPPNQPSSSGNGTKSSRKLNILVIVVPTVVGASVVFVAILLLFFCWKSKTNVFVAPNSTTTQPSKATNQDNPHKLVAKNVVNSSTSTSGFQSIASSGTGSTHAIDSGNLTIPVQVLRSATGNFALDNVLGRGGFGVVYKGELHDGTMIAVKRMESSVLSNKALDEFHSEIAVLSKVRHRNLVSILGYSAEDNERLLVYAYMQQGALSKHLFQWKQLELEPLSWKKRLNIALDVARGLEYLHNLAHQSFIHRDLKSSNILLGDDYRAKISDFGLVKLAPDGKQSVVTRLAGTFGYLAPEYAVTGKITTKVDVFSFGVVLMELLTGMMALDEERPEESHYLASWFCHMKTDKEKLRSIIDPSIAITDETFEGVPVIADLAAHCAAREPHQRPEMGHAVNVLASLVEKWMPINDDQEEYLGIDFHQPLLQMVKGWQAADGTTDVCSASLNDSKGSIPARPAGFAESFKSSDGR >mito9_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000020.1:246448:249453:-1 gene:mito9_g00030 transcript:mito9_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 7 [Source:Projected from Arabidopsis thaliana (ATMG00510) UniProtKB/TrEMBL;Acc:G1C2X4] MDVGASTPFLWAFEEREKLLEFYERVPGARMHASFIRPEEMSTSNRIWKQRLVDIGTVTAQQAKDWGFSGWAYQSKPGVCWDSRKAAPYDVHDQSDPDVPVGTRGDRYDRYCIRIEEMRQSVRIIVQCPNQMPKQACRRTTAVPFFIRNNRYHILISSMLSFRFNQSVLNKRDPFQAMTKSIDRKPHDGKLSRSSVYSTSIHHFEPYTEGFSVPAPSTYTAVEAPKGEFGVYLVSNGSNRPYRCKIRAPGSAHSQGLDSMSKHHMPADVVTIIGTQDIVSGEVDR >Ma04_p36290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34722693:34729546:1 gene:Ma04_g36290 transcript:Ma04_t36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVGGLMYTNPWFTSILVTSMVPSPRKSLGALVEWFLQVRLKPVRKQPSPMPKGYSKLIFPQLFGQKRGRGKVVFVDLLSVLIEPIDVDARRKSFVGRVPDQLGNLSALLYLDLSYDGDDFSGNLFIENPEWISRLASLRRLNLNSVDFRSASNWLRALNALPHVREVELSSCYLGTLPRSLPHVNFTSLTRLDLGNNDFNSGIPDWLLNVTSLQYLYLAYNSLSVFLPAIAKLTSLRALDLSGNLFHRGFIPRALSDLCKLQSLQLSDTSINDSLVNLEVAFSGCLGFSLEELDLGSTRLGGSMRADWLGNMKNLKYLDLSENSLHGSVPDSLVNLSLLQYLDLSYNNLNGSIPEGLGQLKSLVYLFLSGNSVNLSEVHLANLSSLKYLDISFITSFLMESHDWTPPFQLISLGMAFCQVAPRPHFPVWLQTQKDLYYLDLRNAGIKETIPNWLPSSLGLLLLSNNEITGEVPQYLPNLIAMELSNNSFSGRLPPGISNTMPNLRLLDLSRNNLSGTVPLSICRIKYLEILGLFQNNLSGELPSCWKSSSLLQVLDASNNKLQGGIPDSLCNLQRLQSLHLSHNSLSGQVPFCLRRCTSLITLDLEHNKLIGSIPDWSKESLLKLKALSLSSNAFNGSIPQFSHLPSLQILDLSNNNLSGTIPRSFGNFRAMELSFHPDYNSDDEFWDDHMMLFMKGREYYGTDKSMTVFLVSENDASGEAIYNE >Ma06_p37390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36436251:36436319:-1 gene:Ma06_g37390 transcript:Ma06_t37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPSGPDPLHHNGSPKKPQTP >Ma05_p07050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5162937:5164954:1 gene:Ma05_g07050 transcript:Ma05_t07050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNAHHQVCMGDLLPLEEVGSGSSTAQQLQQQERRGRPQPEQAVECPRCQSTNTKFCYYNNYSLSQPRKNRRPSSSLSSSSSSTATTLASKRRSQQSLATNFNLPLPGVIPPPHSFDPDGLSSPFARIHNQQHTPRQLLDDHGAFLLGNPAAPEILNAIATPNSFGNIYYGYGSNASIEEEAAIPRDGGLCGATTTAATTVTTAQASCSTMDEGGNEVFTGLQWQLPGDGNMDHMDFGRDHQWNEVGSTWPGEMVDI >Ma05_p07050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5162937:5164954:1 gene:Ma05_g07050 transcript:Ma05_t07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNAHHQVCMGDLLPLEEVGSGSSTAQQLQQQERRGRPQPEQAVECPRKNRRPSSSLSSSSSSTATTLASKRRSQQSLATNFNLPLPGVIPPPHSFDPDGLSSPFARIHNQQHTPRQLLDDHGAFLLGNPAAPEILNAIATPNSFGNIYYGYGSNASIEEEAAIPRDGGLCGATTTAATTVTTAQASCSTMDEGGNEVFTGLQWQLPGDGNMDHMDFGRDHQWNEVGSTWPGEMVDI >Ma05_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5162937:5164954:1 gene:Ma05_g07050 transcript:Ma05_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNAHHQVCMGDLLPLEEVGSGSSTAQQLQQQERRGRPQPEQAVECPRCQSTNTKFCYYNNYSLSQPRYFCKGCRRYWTRGGSLRNVPVGGGCRKNRRPSSSLSSSSSSTATTLASKRRSQQSLATNFNLPLPGVIPPPHSFDPDGLSSPFARIHNQQHTPRQLLDDHGAFLLGNPAAPEILNAIATPNSFGNIYYGYGSNASIEEEAAIPRDGGLCGATTTAATTVTTAQASCSTMDEGGNEVFTGLQWQLPGDGNMDHMDFGRDHQWNEVGSTWPGEMVDI >Ma01_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12728020:12728794:-1 gene:Ma01_g17350 transcript:Ma01_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAINLHAPNHYIWTSHMLQLLRRHIDKSPLSIAAAMSFAVTRTNRSFIVPCEATPRGSLGLSVIDRVPGLRHMVRSLHVFRHGREPARVIGEALSKALVKYYPFAGRFVEDPDDGGEVRVACTGEGAWFVEAKTDCSLEDVKYLDLPLIIPEDALLPKPSPELNPLDLPLMMQVTEFGGGGFVVGLISVHTIADGLGAAQFINAVAEIARGLPNPTVEPAWSRE >Ma02_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23137055:23140486:1 gene:Ma02_g15400 transcript:Ma02_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHKTPRIFYCLIVFFACYVSPSFSRVSLSVSPTTLTRANRTVTVRWSGVDSPSDLDWLGVYSPPDSANDEFIGYVFLNASDGWRSGSGSVDIPLVNLRANYAFRVFRWKREEVNYRHHDHDHNPLPGTRHRLAVSEEVRFETAAGPDQIHLSFTDREDEMRVMFVTADGAESFVSYGLDAARLDHIAATAVRRYERKDMCDFPANSSIGWRDPGSIHDGVMKNLEKGKKYYYTVGSDAGGWSPIHSFISRDSDSNETIAFLFGDMGTYTPYATFYRIQEESRSTVKWILRDIESLGDKPIFVSHIGDISYARGFAWIWDEFFNQIEPIASRIPYHVCIGNHEYDWPTQPWRPEWSYGVYGKDGGGECGVPYSIRFKMPGNSSFPTGTGAPDTQNLYFSFDAGVVHFLYISTETNFLRGSDQYNFIKADLESVDRNKTPFVVVQGHRPMYTSSNELRDAPMRERMLENLEPLLVQNNVTLALWGHVHRYERFCPLKNFRCADVTSNFTSIGGAPVHLVIGMGGQDWQPIWEPRPDHTDVPIYPQPERSMYRGGEFGYTRLVATREKLTLSYIGNHDGQVHDMVEILSGQILKSVNDDEKILESGGDGVLVVSVFPWYVKATSVLVVGILVGYVLGLITRCKRDSVERSQWTPVKSEEM >Ma06_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15557599:15561324:1 gene:Ma06_g21360 transcript:Ma06_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGDFDLPDEILAVIPVDPYDQLDLARRITSMAISSRVSRLGAEAGLLRQKIVDRDRVIDELQDKVDHLDRLVQESHARLRATLEENATLSEERVTLDMTSKKLARYLSKLETFKRHLVKSLSDDNLSQLSEAVDNEMYKQPIARISSWRDDDSISHVGSDVANGPAETGDSTQDGSKHVMHQFSITPYITPRPTPSSTPKFFLADDSPRGFSTTESSPRVVSEATSPSKPQLEGRGSMSHWYASSQQSSASCSPPHRQSMPGYTPRIIGKEIFRQARSRLSYEQFAAFLTIVKEFNAQRQSRKETLAKAEEIFGTEHKDLYISFQSLLNRTLP >Ma04_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6861001:6863230:1 gene:Ma04_g09660 transcript:Ma04_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSALDDLIERLLEGKKHKAGGKKIQLSELEIRNLCVAAKEIFLRQPVLLELEAPINICGDVHGQFSDLLRLFEYGGFPPSSNYLFLGDYVDRGKQSIETICLLLAYKIKYADNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAIIDDKIFCMHGGLSPELQSMDQIREIERPVDVPDQGLLCDLLWSDPDREIRGWGENDRGVSYTFGADRVAEFLRKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKVGGE >Ma05_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8604633:8607247:-1 gene:Ma05_g11750 transcript:Ma05_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYIDQLKAAVFALCLCNCLERELKRRVDKRSISTVLFRLHKWLSEVYKHAVDTKDE >Ma02_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17017715:17020672:-1 gene:Ma02_g05870 transcript:Ma02_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDGIPRAYIHRACSGKEKPEEKDLSTKSGISDDAASPASSMNGCFDCNICLDFVVDPVVTLCGHLYCWPCIYKWMQVESMSPRQCPVCKASLSQDTLVPLYGRGGQSPVADSEVPHRPTLHRDHAVFTSVVDHRPRGTEDAAYVDRYQPTQQPQFRRHQHDYSGYASLLGTSYTSGGSSSLLFAPLFRSTAGGVLGGLAILPWAQRNHGMNTYYASPYPFASGSNNPRLLRHQMQVESSLHQICLFLFFCALLCLLLF >Ma08_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2920027:2924889:-1 gene:Ma08_g04160 transcript:Ma08_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQLLPLRPLDYPGPRGASVLGRAFPFKLKYLALSARPRRLLSLGHDSAAGHRRTGLLVESSGAPGKRKALVEEDTQVGGWSDDWESEELERDGRRSGRPKSRTRVRGDGGNTKPLVRDAASSKRSRDSDSKFLQVIGNGKKNQNPSLDESLLRRSRGLLNKKRSFSHLDEVDEEEERNVECDSINDAPNNGGKIKQGMSGNASGAAASPEIGRLSSIAEDESYLSQTRFENCSLSPLSLKGIEAAGYVMMTQVQEATLPLILEGKDVLAKAKTGTGKTVAFLLPAIEVISRLPPLSHDKKRPSINVLVICPTRELALQAAAEANKLLKYHTSIGVQVVIGGTRLTQEQRHMQANPCQILIATPGRLKDHIENTTGFVSRLNGVKVLVLDEADRLLDMGFRNDIEKIIATVPKQRQTLLFSATVPNEVRQICHIALKKDYKFISTVDEGSEETHSQVKQMHLIAPLDKHFSILYCILKEHILEDADYKVIVFCTTAMVTKLVADLLTKLHLNVREIHSRKPQTYRTRVSEEFRQSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKEGKGLLILAPWEKLFLASVQDLPITEAPVPSMDRSTMKEVEQALQLVEMKNKESAYQAWLGYYNSNKKIGRDKLKLVELAREFSQSLGLRDPPAIPKLILRKMGLHNVPGLRSK >Ma04_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25933226:25934288:-1 gene:Ma04_g23860 transcript:Ma04_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLLLRTILTSRCAGTPPYSPEARAVGGDERGRRRMEDAASASVAQPSSATSFLRLLGLLKLPDADPDPDPFPTRHLELDESDVVWSSPSEELSSSPSPSSYDDRDSVDCFSSPSPGLVRSSVPGSSFSPFGRFRRRPAPERFGLSAALVEDRRPLVLQRCTTESTTRPVEMPEGRAVEALVAGRVAHHQSAPVNVPVWPRWRSERKDDVLDGLDEEEGREDEEEEMVPPHVIVARSHVMTFSVFEGVGRTLKGRDLRRVRNAVLQKTGFLDV >Ma11_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21145742:21149730:1 gene:Ma11_g15430 transcript:Ma11_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSPLLGIKDEELQHEQKQQQQSSSATLPATLKKKRNQPGNPTADPEVEVIALSPETLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLRLRSGEEEGRRRRRVYLCPEPTCAHHHPSRALGDLTGVKKHFCRKHGEKRWKCNKCSKRYAVRSDLKAHSKTCGNCEYRCECGTLFSRRDSFITHRAFCDALAQESSRLPAAGLDLHGNNTMALSVSQMNARLTSLRDQGGCGTPVEHLVTAAHPSLFRPPQLPPPAAFYLGNGSNQGFDEEHQPDLSLLRGKRFDGLMQLPDPQGNTMAAADLFNLSFFSTSSRSTSSIRNSNNGGDQYNQMLLASDFSDANGRSELTSLFSGNLVSDHVAGGLTSLYNQNESNLLPRMSATALLQKAAQMGSMTNIGHSLLRGFGNSSSSSPRPPNTGGGNSGDGLQARTENETHLRNLMNSLANGRTGLIGGPQQETREFGSDAKLNLWGTDQLTRDFLGVGGMVMRSISGGTSRQEQNHGIDMSESNPR >Ma09_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:430048:430884:1 gene:Ma09_g00590 transcript:Ma09_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGEQKRHREDSDESESPEVKRLRADLLLDMLDDDPGAGNQDLESVIRSLEKEIAYPSPHSKLQHEPSVSAGQPELGYLLEASDDELGLPPTAAASSADEDVGAAEAAGLGTVAEPEGVGFGQIWGFEDPIESYGGFGLEREMAMVAEEDGLVFDYPEEVASGPYDPSDLLWRPESLPAA >mito2_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:496561:497501:-1 gene:mito2_g00040 transcript:mito2_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIVYIVKGARSR >Ma02_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22875001:22875876:1 gene:Ma02_g14990 transcript:Ma02_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSSILLISNANPTDSSLLSSYSHARLRHMKGDGDHKRRRNGEGEDMPPSHPSVELTLGLSCSRSPPSPPPSSQTPAAAQPPPRPGFSVFHGASFSNGVAPSPRFGGTRTRRNPTQGPKDGSKGELVQPAFAWSTDRRATVHSLAHLRSHGIREIRGVVQCKRCEASREIKYDLLAKFDEVGGFIRAKKHLMHDRAPPEWTCPVLPGCDACGQPNCMRPVMAQKKRDINWLFLLLGQTLGICNLDQLKYFCKHTKNHRTGAKDRLLYLTYLGLCKQLDPAGPFDPLCH >Ma08_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35574407:35577226:-1 gene:Ma08_g21800 transcript:Ma08_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATASLTKEVLPPTLDPTSEPPELFDGTTRLYISYICPYAQRTWITRNYKGLQEKIKLVPIDLDNKPAWYKEVYPANKVPSLEHNNEVKGESLDLIKYINKNFEGPELLPDDPAKQQFAEELLSYTDSFNKVMYTAMTSKGDVGDYVGAALDKLEDALLKFDDGPFFLGHFSLVDIAYAPFVERLQTFLKEVKNYDITEGRPNLILWIEELGKIDAYTHTKQDPQVLLARTKKRLGIE >Ma05_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36482627:36483579:1 gene:Ma05_g24200 transcript:Ma05_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGAWSPEEAAKLKAYIEEHGIGAYWLSLPHKIGLKRCGKSCRLRWLNYLRHNIKHGGFSEEEDQVICSLYISIGSRWSMIAAQLLGRTDNDVKNHWNTRLKKKLLGMQTEPSQSPCLPAQTLSASALQRMQLLYAFFLSNIPALGGKLLETSHQTLPQMEQEIQISMSQIVQEDMDCSWHGVHPLQYLMMETRV >Ma03_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5868642:5869403:-1 gene:Ma03_g08150 transcript:Ma03_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSWTLEIESSVEASRLFKAAVLDWHSLAPKIAPEIVVSGAVIEGEGSVGAVRQLNFSPALPFGYVKERLDFVDRDKFECKQTLVEGGHIGSKLETATTHFKFQPAAGGGCVLKVVTTYKLLPGAEDDQGETMKSKETVTGIIKAAEAYLLANPDAYL >Ma01_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21847272:21848190:-1 gene:Ma01_g21780 transcript:Ma01_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNTNDQEEDNTVLLLSLWPPGSQSSPASSSCSSSTSTFVASKWLRASSKPQCHFSHSSNQVDDDSSVTIELSIGPPNARPRASTSTAATTAAGLNLVPSSYWIPSPAEILVSATQFSCTVSNKTFNRFNNMQMHMWGHGSQYRRGSESPRGIPRQLPSPCLLKLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGVRPFACRRCGKAFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRSFGSGHAPHIAETMSIDEEVGVIE >Ma03_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:596989:598690:-1 gene:Ma03_g00720 transcript:Ma03_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSSSAEAYFAPSMMSFVGLEGSSLKHQTWDWETSSIDANTTTTPVTTSNLQSHPFFPNVPLLDCTPPPLLPMTNSFPFYSAPPLPEYPVGLIKREDGVGGRIGLNLGRRTYFSSGESLTTRGVYSLSHQPPRCQAEGCRTDLSGAKHYHRRHRVCEFHSKATVVVVGGSQQRFCQQCSRFHGLAEFDEAKRSCRKRLADHNRRRRKPQPPATMAEPSAPNNANASSRGQKTTRDSTSTKPTGTVCTTNASTMVGPEGQQYKDEGQLLRNGPALYRGGVAAGEGDMGPDPMYQTQGHFVESSSSPSASNAASFHHRSNLFP >Ma10_p30200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36550147:36551300:1 gene:Ma10_g30200 transcript:Ma10_t30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFSCCRTSYGEEEKPAWGPKHRVFTHEEIESATSGFSASSLLGRGSHGSVFLASLDQGRLLAAAKLPSQFSISSDSPSAADAEIGLLSCLPRSPLLVNLVGATPAAGPSPRIAVVDLMPQGSLHDLLHDQLRPAPPFPRRLRLALLSATALAHLHSLCVAHRDVKPANLLLDAKGRPRLADFGLAVGLSSRDGHEAAVLPQPAGTMGYLDPAYVHPEDVSTSTDVYSFGVVLLEVLSGREAIDVEYSPPSLVDWARRLLEEGRYEEIWDPRAAPEGRREKEAARAMADVAGRCLVAAARERPSMAEVVAELRGAERRMGLRGLRLARWAMRGRREGKRSHPLSGNRRVSDVAAS >Ma06_p26500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28369951:28374142:-1 gene:Ma06_g26500 transcript:Ma06_t26500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKVNFIEYKREGGHREALVTRRYHLTERDDYKKYSSICRMVQKLVHVLKQMDPRDPFRIETTDALLEKLYNMGVISTKKSLAKCDKLSVSSFCRRRLATVLVYLKFAEHLREAVTYIEQGHVRVGPDVVTDPAFLVTRNMEDFVTWVDSSKIKRKVMEYNERLDDYDVLNA >Ma06_p26500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28369951:28374142:-1 gene:Ma06_g26500 transcript:Ma06_t26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKVNFIEYKREGGHREALVTRRYHLTERDDYKKYSSICRMVQKLVHVLKQMDPRDPFRIETTDALLEKLYNMGVISTKKSLAKCDKLSVSSFCRRRLATVLVYLKFAEHLREAVTYIEQGHVRVGPDVVTDPAFLVTRNMEDFVTWVDSSKIKRKVMEYNERLDDYDVLNA >Ma11_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16350397:16352505:-1 gene:Ma11_g12410 transcript:Ma11_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTQEATRRAGQRLFGGSVSTQHVLEQQIDPQAQLRFPHIVEEILSSITAEVLYHSRRDDAHHRVYIHRSEEAMLVTNNQEDRSFIMEESYERLQRSRMQYIHLGTLQVRLQTLHRQEEGTLALLVFRDNRWMDDRSIIATMEVDLTRGSQLVYVIPDIMMTIGDFYRNIQLSILTRGYDTWRNGEANLLVTRGMVGRLSNTPNVAFAYEVSGVVDYLTSHGVRALPRRRYSITDIQGRDWVIRPTQVSIPMQPSEARSQNLLDGRISVSFDNYKAASTSSRINDNTADDETFSDEEEIWSHTITVIIQTSGSKDNEAEGLRNNLNFYLKDIDASEGGGEMSCPQKFQEEIIAARLEENLEVEYPQLAKLSQ >Ma06_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10332381:10335251:-1 gene:Ma06_g15170 transcript:Ma06_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase B1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53670) UniProtKB/Swiss-Prot;Acc:Q9C8M2] MAARAALRSVPGSTNLHPTAAPKPFSQFPAIFRISRADKFGSHRRRSSARAMGSSSSSQRPDQVQEPANVNPASLSDEEWKKQLTNEQYYITRQKGTERAFTGEYWNTKTPGTYHCICCDTPLFESNTKFDSGTGWPSYYEPIGNNVKSKLDMSIIFMPRTEVLCAACDAHLGHVFDDGPPPTGKRYCINSASLKLKPK >Ma07_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11664230:11665166:-1 gene:Ma07_g15480 transcript:Ma07_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Em-like protein GEA1 [Source:Projected from Arabidopsis thaliana (AT3G51810) UniProtKB/Swiss-Prot;Acc:Q07187] MSTEQERRELDERARRGETVVPGGTGGKSFEAQEHLAEGRSRGGQTRREQLGTQGYQDLGHKGGQTRKEQIGVQGYQEMGRKGGLSTTEESGGERARREGIEIDESKFRMH >Ma05_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6297647:6303213:-1 gene:Ma05_g08540 transcript:Ma05_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATQPSQPACAYLSPPKSAYRPLAGHISPTSMSQGYHQSLFSFSDGFGRSISQEQQQHIAQQSRRDKLRVQGFDAAGNPLVPIDEEGEVAGIYEPASVGASNMLSDMFNFSAAAPSATELLASQISGGYRLPPRPTAVGSFPADWYGGRQGVVLGGNSGLSSIGESTSKHHHDGGQQHPLMGLNADSAAAMQLFLMNPPQPAPPPPQPRSPSPPPQAAPPTLHHHHHHHPQSFGGEASFGGGPVEGKGLSLSLSSSLQQFDMAKADELKLREGMLYFNNQQQQQHPTSHLQGHGEPAHMGYGAMAAVNVLRNSKYARAAQQLLEEFCSVGRGQSKATRVGRQRGGGFSNPDANPSVAGAAGGGGGASTSAAASSSSSKPDITTTLSSADKFEYQRKKAKLISMLDEVDRRYNHYCDQMQMVVNSFDSVMGFGAAAPYTALAQKAMSRHFRCLKDAIATQLKQTCELLGDKEGASGSGITKGETPRLRFIDQNLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQEFKEEGEESEKGETSRQRAQSPPPPPQQQQTQQVRRVGEANAPAEGDPSASSSSMSRQHHFVSSSETLPPGVAFSHHGHSSGGSDDNVLIMPHVPTSDVYRFGTTAGAASSLGAPAPARFGTTGDVSLTLGLRHAGGNPSEKSQFSVRDFGG >Ma05_p08540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6297752:6303220:-1 gene:Ma05_g08540 transcript:Ma05_t08540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATQPSQPACAYLSPPKSAYRPLAGHISPTSMSQGYHQSLFSFSDGFGRSISQEQQQHIAQQSRRDKLRVQGFDAAGNPLVPIDEEGEVAGIYEPASVGASNMLSDMFNFSAAAPSATELLASQISGGYRLPPRPTAVGSFPADWYGGRQGVVLGGNSGLSSIGESTSKHHHDGGQQHPLMGLNADSAAAMQLFLMNPPQPAPPPPQPRSPSPPPQAAPPTLHHHHHHHPQSFGGEASFGGGPVEGKGLSLSLSSSLQQFDMAKADELKLREGMLYFNNQQQQQHPTSHLQGHGEPAHMGYGAMAAVNVLRNSKYARAAQQLLEEFCSVGRGQSKATRVGRQRGGGFSNPDANPSVAGAAGGGGGASTSAAASSSSSKPDITTTLSSADKFEYQRKKAKLISMLDEVDRRYNHYCDQMQMVVNSFDSVMGFGAAAPYTALAQKAMSRHFRCLKDAIATQLKQTCELLGDKEGASGSGITKGETPRLRFIDQNLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPFLMLSGTLVMQISICWHGRQVYPEIRSPTGSSMLGSAYGSPWWRTCTNRSSKRRGRKARRVRRAAKEHSHHHHHHSSSKHSKSAE >Ma10_p27960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35003869:35009734:-1 gene:Ma10_g27960 transcript:Ma10_t27960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVTIQVGTFANYVGSHFWNFQDELLGLTEVPDGDPIFKNSPLEMDVLYRAGETQQGIPTYCPRLISINFQGSLGSLSLSGSLYDDISSSDPTDILTWTGNVSRCMAEPHKKNLFLQSLSAEEHEILDVSEDDSGEVMSSSQRQVQDKGRIECLETDVKFWTDFSKVQYHPRSLYELHSSWMDIQKFDDYGIGRDVLSGGLQLEEMNERLRFFIEECDHIQGIQFVVDDSGGFSSVAAEVLENIEDEYTNTPVLLYAVRDPGAYTYATNQKSSIGRSLHDAVSFSRLSSFCNLMIPVGLPSLRSGLSPLLHVDDKKPFHSSAVYAASIHSISIPFRMEIPGPAANSTCTSGAMDVGEIVHTLAGQSRQNMVTVLDVAMPPPSLTDEHNQGSILRNLHPLTPEIRKDDDDLLAVESLVVHGALYSGDHRATVSQVKDSIRVAYQTAPAKPMFSRLSVALCPLPIPLPFPSIFGRNVGRHGELVFNSVQGAPSRGSLEIESIPMAARLRSSTAIMPFVEKRLGDLRKHGIARGAPGAELLRTWGFGRDEVQDMGEHLSKLLMGFDPHSQTTSDSD >Ma10_p27960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35003869:35009734:-1 gene:Ma10_g27960 transcript:Ma10_t27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVTIQVGTFANYVGSHFWNFQDELLGLTEVPDGDPIFKNSPLEMDVLYRAGETQQGIPTYCPRLISINFQGSLGSLSLSGSLYDDISSSDPTDILTWTGNVSRCMAEPHKKNLFLQSLSAEEHEILDVSEDDSGEVMSSSQRQVQDKGRIECLETDVKFWTDFSKVQYHPRSLYELHSSWMDIQKFDDYGIGRDVLSGGLQLEEMNERLRFFIEECDHIQGIQFVVDDSGGFSSVAAEVLENIEDEYTNTPVLLYAVRDPGAYTYATNQKSSIGRSLHDAVSFSRLSSFCNLMIPVGLPSLRSGLSPLLHVDDKKPFHSSAVYAASIHSISIPFRMEIPGPAANSTCTSGAMDVGEIVHTLAGQSRQNMVTVLDVAMPPPSLTDEHNQGSILRNLHPLTPEIRKDDDDLLAVESLVVHGALYSGDHRATVSQVKDSIRVAYQTAPAKPMFSRLSVALCPLPIPLPFPSIFGRNVGRHGELVFNSVQGAPSRGSLEIESIPMAARLRSSTAIMPFVEKRLGDLRKHGIARGAPGAELLRTWGFGRDEVQDMGEHLSKLLMGFDPHSQTTSDSD >Ma10_p27960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35003869:35009734:-1 gene:Ma10_g27960 transcript:Ma10_t27960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVTIQVGTFANYVGSHFWNFQDELLGLTEVPDGDPIFKNSPLEMDVLYRAGETQQGIPTYCPRLISINFQGSLGSLSLSGSLYDDISSSDPTDILTWTGNVSRCMAEPHKKNLFLQSLSAEEHEILDVSEDDSGEVMSSSQRQVQDKGRIECLETDVKFWTDFSKVQYHPRSLYELHSSWMDIQKFDDYGIGRDVLSGGLQLEEMNERLRFFIEECDHIQGIQFVVDDSGGFSSVAAEVLENIEDEYTNTPVLLYAVRDPGAYTYATNQKSSIGRSLHDAVSFSRLSSFCNLMIPVGLPSLRSGLSPLLHVDDKKPFHSSAVYAASIHSISIPFRMEIPGPAANSTCTSGAMDVGEIVHTLAGQSRQNMVTVLDVAMPPPSLTDEHNQGSILRNLHPLTPEIRKDDDDLLAVESLVVHGALYSGDHRATVSQVKDSIRVAYQTAPAKPMFSRLSVALCPLPIPLPFPSIFGRNVGRHGELVFNSVQGAPSRGSLEIESIPMAARLRSSTAIMPFVEKRLGDLRKHGIARGAPGAELLRTWGFGRDEVQDMGEHLSKLLMGFDPHSQTTSDSD >Ma03_p31440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33649604:33652013:-1 gene:Ma03_g31440 transcript:Ma03_t31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETVVPAANGNAAKVGPATHEKHAEKLRFIEEMTGNADAVQEKVLAEILTRNAETEYLRRYGLGGATDRLAFKAKVPVVTYEDLQPEIQRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETSTPGGLTARPVLTSYYKSEHFKSRPYDPYNVYTSPTAAILCADAFQSMYAQMLCGLLQRLDVLRVGAVFASGLLRAIRFLQLHWRELSQDIAAGTLTAKVTDPSIRGAVAELLKPDPELARFVAAECSEGEWAGIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLNPMCDPSEVSYTIMPNMAYFEFLPHGGDGHHPGEGVDTAQLVDLADVEVGKEYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQKAVERASALLRPYNASVVEYTSHADTKAIPGHYVIYWELLAKDSSSSAAAAAAALARDGVMERCCLAMEEALNSVYRQSRVADGSIGPLEIRVVRGGTFEELMDYAISRGASINQYKVPRCVNFPPILELLDSRVVSAHFSPALPKWIPHFPGHN >Ma08_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6696505:6708783:-1 gene:Ma08_g09270 transcript:Ma08_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLVFSNPSKSFSFPSCVHRHHVNIPRSAVLTNTWLSPPSSRFFSIPSPSPLPKPWSRSGGALKCSLPSRPEYIPNHIPDPNYVRIFDTTLRDGEQSPGATMTSNEKLVVARHLSRLGVDIIEAGFPASSPDDLDAVRSIAIEVGNQPVGEDGHVPVICGLARCNKKDIDAAWEAVRHAKKPRVHTFIATSEIHLQHKLRKTREEVVNIAREMVAYARSLGCQDIEFSPEDAGRSDREFLYHVLEEVIKAGATTLNIPDTVGYTLPSEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAYAGARQLEVTVNGIGERAGNASLEEVVVAMKCRQELLGGLYTGINTKHIFMASKMVAEHTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLSRSNESGIVLGKLSGRHALRSRLLEFGYDISGKELDDVFKRFKEVAEKKKRISDEDLEALISDEIFQPPVIWSLSELQATCGTLGLSTATVKLVSSDGEEKIACSIGTGPVDAAYKAIDSIVQVHAVLKEYAMNAVTEGIDAIATTRVVISGDDSYTSMHALTGETMRRTFSGSGASMDVVVSSVRAYISALNKMLGFVSAMRASKENTEKQNISH >Ma09_p28890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39524033:39524674:1 gene:Ma09_g28890 transcript:Ma09_t28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIAFPSEANTSVHPSLVVCLVVAWIAAVMAVVLSFCATCNRKSSSKQSSPRSSPSNESVAKPAAETTPAAAAQEQDESPAQEMQQQKQEEKEEEKVTVIEMAPDVATHGPLPPTVLPASASKRKLSLSFGKVPERLRTSRRERHGKGNDSEDSLWKKTIILGEKNRISTDDEEEVVDENGNRQRHYHPKTPRSRQTSRNNSFAHPDETPS >Ma08_p32480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43172973:43191039:-1 gene:Ma08_g32480 transcript:Ma08_t32480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREKMDMGPCPKIHSLQLRKEYEEAKVKGDHNFHRELEDMIERLIVECERKIQRALKRLEDEDATAAVAISVSKVTQTPEVMELSKQIKEKLKEADVFDFEGKTDSKIRVLELVEDLKGQRADKQSVLLLDAFNKDRASLPLPNQNPSQPASLPVVDPPDRHTQEMIYEKLKKAEDLGERGLIDEAQKALEEAETLKKLGARQEPILESSKYSVADVRITDQKLRVCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLAELQEERNKKRKVDRFEDDRRVKDQSRDRDRAGSRDQGVGKEVHVDNRFRGREFDRRNKDHDPHYDRDLRDQNRDSDQSRSYDSRSRRRRSRSRDHGRDYDRHRRHGRC >Ma08_p32480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43175535:43190927:-1 gene:Ma08_g32480 transcript:Ma08_t32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREVSHKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKIHSLQLRKEYEEAKVKGDHNFHRELEDMIERLIVECERKIQRALKRLEDEDATAAVAISVSKVTQTPEVMELSKQIKEKLKEADVFDFEGKTDSKIRVLELVEDLKGQRADKQSVLLLDAFNKDRASLPLPNQNPSQPASLPVVDPPDRHTQEMIYEKLKKAEDLGERGLIDEAQKALEEAETLKKLGARQEPILESSKYSVADVRITDQKLRVCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLAELQVLV >Ma08_p32480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43175534:43190927:-1 gene:Ma08_g32480 transcript:Ma08_t32480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREVSHKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKIHSLQLRKEYEEAKVKGDHNFHRELEDMIERLIVECERKIQRALKRLEDEDATAAVAISVSKVTQTPEVMELSKQIKEKLKEADVFDFEGKTDSKIRVLELVEDLKGQRADKQSVLLLDAFNKDRASLPLPNQNPSQPASLPVVDPPDRHTQEMIYEKLKKAEDLGERGLIDEAQKALEEAETLKKLGARQEPILESSKYSVADVRITDQKLRVCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLAELQVLV >Ma08_p32480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43172973:43191039:-1 gene:Ma08_g32480 transcript:Ma08_t32480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVREVSHKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKIHSLQLRKEYEEAKVKGDHNFHRELEDMIERLIVECERKIQRALKRLEDEDATAAVAISVSKVTQTPEVMELSKQIKEKLKEADVFDFEGKTDSKIRVLELVEDLKGQRADKQSVLLLDAFNKDRASLPLPNQNPSQPASLPVVDPPDRHTQEMIYEKLKKAEDLGERGLIDEAQKALEEAETLKKLGARQEPILESSKYSVADVRITDQKLRVCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLAELQEERNKKRKVDRFEDDRRVKDQSRDRDRAGSRDQGVGKEVHVDNRFRGREFDRRNKDHDPHYDRDLRDQNRDSDQSRSYDSRSRRRRSRSRDHGRDYDRHRRHGRC >Ma06_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:525296:528825:-1 gene:Ma06_g00670 transcript:Ma06_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHGYATKSNQTRVVKTPGGKLVYQYTNKRASGPICPVTGKRIQGIPHLRPAEYKRSRLARSQRTVNRAYGGVLAGSAVKERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >Ma10_p25200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33465794:33486353:-1 gene:Ma10_g25200 transcript:Ma10_t25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLSSCGLIALIVLMSAMIRTESSDIGINRGVARTWCIANPLANPVALQKDLDNLCGGGIDCHSIQPGGACYEPNTLVDHVSVVYNLYYKSHQSRPAACNGSSNIPTVSDPCK >Ma01_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22487686:22488181:1 gene:Ma01_g22000 transcript:Ma01_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMIESYKAGAEVYHGDHALCKKKSMQLLQELGLPKGLLPLEDVEEFGYHRASGFMWIVQKKKIEHTFKKIKQHVSYATEVTAFLEQRKMKKIIGVKTKELLLWLSVVEVFMDDPSSDKITFKTGTGFSDSFPVSAFDLEK >Ma05_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11069420:11071759:1 gene:Ma05_g15050 transcript:Ma05_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSHHILLLSFLLSCYVPLGLARRHWPVGGSTRFYDFKVQTTRVTKLCKTKDIVTVNGMFPGPVIYAQEDDRIIVTVTNESPHNATIHWHGVRQRLSCWADGPSYITQCPIQAGQSFTYEFTLFQQKGTLFWHAHISWLRATVNGAIVIYPKTSVPYPFPYPYEEHVLIFGEYWHKDMLQLEKEVVASGGGPPPAEAYLINSHPGPRYNCSATDVYKIDVVPGKTYLLRLISASLNMEHFFAIAGHKLTIVEADAEYTKPFTVDRLMITPGQTINVLVKADQPIDRYDMAMGPYMSAQNVTFQNISAIAHFQYTGATLDDLSLPAQLPVYNDNLVVNTNLHMLRSLNATNLPSEIDANLFFTVGLNVEECHSSNPNKSCQGPSGGVFAASMNNVSFVKPNISLLQAYYNNINGLYTDDFPGVPLKTYDFVNGAPNNIPNDTQSLIGTKVKVLEYGSRVQLILQNTGTVTTENHPIHLHGYNFYVVGYGTGNYNPMGAKLNLVDPPYMNTIGVPVGGWAAIRFVADNPGVWFMHCHFDVHLTWGLSMAFIVKNGEGPLETLPHPPADLPRC >Ma02_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18066422:18066728:1 gene:Ma02_g07340 transcript:Ma02_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQSSFKFSSCLFVKPKCNDDEADGYVSRKVRPSDEDRGWWVGEPDVDRKASAFIAKFHASRIMDLESHETPALDADNRTVAA >Ma03_p05880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4029412:4050931:-1 gene:Ma03_g05880 transcript:Ma03_t05880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSRSIMPACESLCIFCPSLRTRSRQPVKRYKKLLADIFPRSQDEEPNDRKISKLCEYVSRNPLRIPKITSYLEQKFYKELRLEHFGTVKVVLCIYRKLLVSCKEQMPLFASSLLTIICTLLDQRRQDEMCIIGCHTIFDFVICQIDGTYMFNLEGLIPKLCELAQEMGEDERANDMRAAGLRALSSMIWFMGEYSHISAEFDNVVSVVLENYEKSNKKSEDLNKSDQVSENGWVQEVSNTEGQASPSPVATRVPSWKSIVDARGELSLTTEEAKSSNFWSRICLHNMAKLAREATTVRRVLESLFRFFDDNDMWSPDKGLALCVLLEMQVVMENYGQNAHLLFSILIKHLEHKTVFKQPEMQLNIIEVTTHLAENSEAKTSVTVISAISDLVRHLRKSMQSTLDKAEMGDDMAKWNKRFQKSIDECLTQLSKKVGDAGPLFDIMAMMLENISSTASVARSTISTVYRTAQIIASLPNLSYKDKTFPESLFHQLLLAMVLPDRLTHIEAHRIFSVVLVPSSVCPRPCSATAEAPKIHDIQRTLSRTVSVFSSSAALFGKLRREKFSFRQTGLQNNVNRAQSDDGLSVGNSDVKFHKLQSSRSRVHSIRTNSLIPSADPNLSSNSSMDMEPTFLTLSSRQIMLMLSSIWVQAISPENTPENYEAIAHTYSLVLIFSRDKMQNSIHEILTRSFQLAFSIRDVSLRRGGSLSPSRRRSLFTLATSMIVFSSKAFNIAPLIPTARSSLTERMVDPFLHLVEDCRLEVSKAAADNQIKVYGSKEDDNASLESLSAITTAGHVSTEAMVSMIVNSLGDLPDSELSTLKKQLLSDFSPDDVCPLGAQFIELPGFNSPLCSKKDLKSQEVMPALLAIDDDFTESFENPADSESQLTVKNNLLSVNQILESVLETAWQVGRLSVSNNCNIPFGEMAGNCEALLMGKQQKLSIFMSAQQKPDIILSGNSQNQNEVTISLYSCTETSQWIGNPFLEPNIVSYTYQAPTSTASFCAVGYHYQPQLYQLPASSPFDNFLKAAGC >Ma03_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4029412:4052138:-1 gene:Ma03_g05880 transcript:Ma03_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSRSIMPACESLCIFCPSLRTRSRQPVKRYKKLLADIFPRSQDEEPNDRKISKLCEYVSRNPLRIPKITSYLEQKFYKELRLEHFGTVKVVLCIYRKLLVSCKEQMPLFASSLLTIICTLLDQRRQDEMCIIGCHTIFDFVICQIDGTYMFNLEGLIPKLCELAQEMGEDERANDMRAAGLRALSSMIWFMGEYSHISAEFDNVVSVVLENYEKSNKKSEDLNKSDQVSENGWVQEVSNTEGQASPSPVATRVPSWKSIVDARGELSLTTEEAKSSNFWSRICLHNMAKLAREATTVRRVLESLFRFFDDNDMWSPDKGLALCVLLEMQVVMENYGQNAHLLFSILIKHLEHKTVFKQPEMQLNIIEVTTHLAENSEAKTSVTVISAISDLVRHLRKSMQSTLDKAEMGDDMAKWNKRFQKSIDECLTQLSKKVGDAGPLFDIMAMMLENISSTASVARSTISTVYRTAQIIASLPNLSYKDKTFPESLFHQLLLAMVLPDRLTHIEAHRIFSVVLVPSSVCPRPCSATAEAPKIHDIQRTLSRTVSVFSSSAALFGKLRREKFSFRQTGLQNNVNRAQSDDGLSVGNSDVKFHKLQSSRSRVHSIRTNSLIPSADPNLSSNSSMDMEPTFLTLSSRQIMLMLSSIWVQAISPENTPENYEAIAHTYSLVLIFSRDKMQNSIHEILTRSFQLAFSIRDVSLRRGGSLSPSRRRSLFTLATSMIVFSSKAFNIAPLIPTARSSLTERMVDPFLHLVEDCRLEVSKAAADNQIKVYGSKEDDNASLESLSAITTAGHVSTEAMVSMIVNSLGDLPDSELSTLKKQLLSDFSPDDVCPLGAQFIELPGFNSPLCSKKDLKSQEVMPALLAIDDDFTESFENPADSESQLTVKNNLLSVNQILESVLETAWQVGRLSVSNNCNIPFGEMAGNCEALLMGKQQKLSIFMSAQQKPDIILSGNSQNQNEVTISLYSCTETSQWIGNPFLEPNIVSYTYQAPTSTASFCAVGYHYQPQLYQLPASSPFDNFLKAAGC >Ma03_p05880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4029412:4050931:-1 gene:Ma03_g05880 transcript:Ma03_t05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSRSIMPACESLCIFCPSLRTRSRQPVKRYKKLLADIFPRSQDEEPNDRKISKLCEYVSRNPLRIPKITSYLEQKFYKELRLEHFGTVKVVLCIYRKLLVSCKEQMPLFASSLLTIICTLLDQRRQDEMCIIGCHTIFDFVICQIDGTYMFNLEGLIPKLCELAQEMGEDERANDMRAAGLRALSSMIWFMGEYSHISAEFDNVVSVVLENYEKSNKKSEDLNKSDQVSENGWVQEVSNTEGQASPSPVATRVPSWKSIVDARGELSLTTEEAKSSNFWSRICLHNMAKLAREATTVRRVLESLFRFFDDNDMWSPDKGLALCVLLEMQVVMENYGQNAHLLFSILIKHLEHKTVFKQPEMQLNIIEVTTHLAENSEAKTSVTVISAISDLVRHLRKSMQSTLDKAEMGDDMAKWNKRFQKSIDECLTQLSKKVGDAGPLFDIMAMMLENISSTASVARSTISTVYRTAQIIASLPNLSYKDKTFPESLFHQLLLAMVLPDRLTHIEAHRIFSVVLVPSSVCPRPCSATAEAPKIHDIQRTLSRTVSVFSSSAALFGKLRREKFSFRQTGLQNNVNRAQSDDGLSVGNSDVKFHKLQSSRSRVHSIRTNSLIPSADPNLSSNSSMDMEPTFLTLSSRQIMLMLSSIWVQAISPENTPENYEAIAHTYSLVLIFSRDKMQNSIHEILTRSFQLAFSIRDVSLRRGGSLSPSRRRSLFTLATSMIVFSSKAFNIAPLIPTARSSLTERMVDPFLHLVEDCRLEVSKAAADNQIKVYGSKEDDNASLESLSAITTAGHVSTEAMVSMIVNSLGDLPDSELSTLKKQLLSDFSPDDVCPLGAQFIELPGFNSPLCSKKDLKSQEVMPALLAIDDDFTESFENPADSESQLTVKNNLLSVNQILESVLETAWQVGRLSVSNNCNIPFGEMAGNCEALLMGKQQKLSIFMSAQQKPDIILSGNSQNQNEVTISLYSCTETSQWIGNPFLEPNIVSYTYQAPTSTASFCAVGYHYQPQLYQLPASSPFDNFLKAAGC >Ma08_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2047166:2048585:-1 gene:Ma08_g02750 transcript:Ma08_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACINNGPMPPAFAPACPSYGFLSPRISLSHDGPADGGSVPGHDVQDPQPATSPPGTADLDDSEKVIPDFEFRLDDPVMMLPADELFSDGKLVPLHVAAARPHVEPADGIRLPEAERPRRVAEVHGSELCAQSPRAPRSSSRWRKLLGLKKQQQNPMPESQKVPPHPSKSLNANTRSLCDILQRRPKPSADDCYLNTPLLNDSDSEPASVSITARRSLSSSSSSSGADHDELLRFSFDAEKPSQAPISLARAHSCVRVARPRGDTAEGHRAAREGRCAVRRGSEGAPAEPSPLAASVDSPRMNASGKVVFQGLESSSSSPGSFHHRHRGKPYRGMERSYSVNLRVVPVLNVLTVGSLRVGASKPGSVFGLGHLFSLHKTDSPVSAARRNAHGSVRRSKIDKEKA >Ma10_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7981857:7985192:-1 gene:Ma10_g02500 transcript:Ma10_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLSRFSRLSRSSPSSILRSPLCHPPPPHRLFALEHPIRESFPGDHLKWMSVGCRRGSKFATGFTPLEPKQLGSIIDLERAKNCSPEELVSVWDDYHIGRGHIGTSMKAKLYHLLDQRSITCRHFVIPLWRGSGYTSMFIQVQMPHMLFTGLEDYKARGTQASPYFTVTHYTEYADSKDVVLIRGDVVFTSKLSDSEAKWLMETAQSFYLNDVRYKLVERFNKETHEFEFKDVLRALEMPAL >Ma09_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7837481:7840390:1 gene:Ma09_g11590 transcript:Ma09_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEHIGICREIDDGQSKSYMDKPFFSFFVSTNTLHHGSGKKKTILAISIYAVSAVLLISIIYAFFKRLRKQTRQPPYATDSEEATQVESLLFDLSTLRVATVNFSEENKLGEGGFGAVYKGVLPDGRVIAVKRLLNSGQGLGELKNELALVAKLQHRNLVKLLGVCLEEEKMILIGEQLTWGIRYKIICGIARGLLYLHEESQLKIIHRDLKACNILLDADMNPKISDFGSAKLFDGEQTQGMTSRVVGTFGYMAPEYVIHGQFSIKSDVFSFGVLVLEILTGRKNSTACNPENTEDLLSYTWEKWRGGSALEMVDPALGNQFHGSDLLRCMQIGLLCVQENPFARPSMSTVAVMLSSATVTLQAPSQPAFSLHKQKASQYYRHSISGDDRRSRLYPTPKFR >Ma10_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29505646:29511019:1 gene:Ma10_g18660 transcript:Ma10_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLRTKEGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDEHGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVIARL >Ma02_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5330790:5332140:1 gene:Ma02_g00440 transcript:Ma02_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMAAAAGSRSVAFSSSKWPLCVPSLPSPSLFHRIPFNSSTYSIPPLCSQSSQIKQLLPATPKGRGITAPRSATVESSSVSSPEGWLLQPVGDGDSRHIGFKVPLPDAFVIASDAVTVGRLPDKADMVIPVATVSGVHARLEKKGGTLLITDLDSTNGTYIDDKKLRPGAVTTVPPGSCITFGDTHLAMFRVSKIEQEDVTGEGDDKSEIETKAETTINGE >Ma10_p19080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29776531:29785355:1 gene:Ma10_g19080 transcript:Ma10_t19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIWTNPVPNPKFPVAYLGENVWDDFAKGDDHVVSAENREVPDLSAILFDNNKKSRHEPDFEKSNYAADNLSPGGHDHNSVLKDLTFHEWPDIDNFEDVERMFRNCDSTFGQSHVSCVGGISWLASSSNTVDGSGASNLAALSSSCPELGLPNDTLEESYAKTMCFPKFDPSATDATSASVDCHSNSGCLGNDAEVEGISVSKEQIKSQYSSEDDAMQRCLNQMVPTSAVTTHTDSFQYFPERNHFFGCPSSSYLNNFNSHAQVDYSFPADRIPQTQSTSSSVNFENETHHMTSFEHSPYQSSTPPAMPLDLRMEKLPEKQSYAPVLMEHCHHDAVRNKSSVLKNKSLHATASESSQELDSAPIAGRKSTIVQQNSLVRSVSLDDISAEAMSFQQLWHVMDQLDDGTKCCIRDSLYRLARSTIPRNILDRSNSCRGSMDESKILGAAVWTSRCAEIKVETATNPIDRSVAHLLFQRPPVSFKSLIKS >Ma10_p19080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29776497:29785355:1 gene:Ma10_g19080 transcript:Ma10_t19080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLDWSIYELGENVWDDFAKGDDHVVSAENREVPDLSAILFDNNKKSRHEPDFEKSNYAADNLSPGGHDHNSVLKDLTFHEWPDIDNFEDVERMFRNCDSTFGQSHVSCVGGISWLASSSNTVDGSGASNLAALSSSCPELGLPNDTLEESYAKTMCFPKFDPSATDATSASVDCHSNSGCLGNDAEVEGISVSKEQIKSQYSSEDDAMQRCLNQMVPTSAVTTHTDSFQYFPERNHFFGCPSSSYLNNFNSHAQVDYSFPADRIPQTQSTSSSVNFENETHHMTSFEHSPYQSSTPPAMPLDLRMEKLPEKQSYAPVLMEHCHHDAVRNKSSVLKNKSLHATASESSQELDSAPIAGRKSTIVQQNSLVRSVSLDDISAEAMSFQQLWHVMDQLDDGTKCCIRDSLYRLARSTIPRNILDRSNSCRGSMDESKILGAAVWTSRCAEIKVETATNPIDRSVAHLLFQRPPVSFKSLIKS >Ma10_p19080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29776854:29785361:1 gene:Ma10_g19080 transcript:Ma10_t19080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIWTNPVPNPKFPVAYLGENVWDDFAKGDDHVVSAENREVPDLSAILFDNNKKSRHEPDFEKSNYAADNLSPGGHDHNSVLKDLTFHEWPDIDNFEDVERMFRNCDSTFGQSHVSCVGGISWLASSSNTVDGSGASNLAALSSSCPELGLPNDTLEESYAKTMCFPKFDPSATDATSASVDCHSNSGCLGNDAEVEGISVSKEQIKSQYSSEDDAMQRCLNQMVPTSAVTTHTDSFQYFPERNHFFGCPSSSYLNNFNSHAQVDYSFPADRIPQTQSTSSSVNFENETHHMTSFEHSPYQSSTPPAMPLDLRMEKLPEKQSYAPVLMEHCHHDAVRNKSSVLKNKSLHATASESSQELDSAPIAGRKSTIVQQNSLVRSVSLDDISAEAMSFQQLWHVMDQLDDGTKCCIRDSLYRLARSTIPRNILDRSNSCRGSMDESKILGAAVWTRCAEIKVETATNPIDRSVAHLLFQRPPVSFKSLIKS >Ma10_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29776854:29785355:1 gene:Ma10_g19080 transcript:Ma10_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIWTNPVPNPKFPVAYLGENVWDDFAKGDDHVVSAENREVPDLSAILFDNNKKSRHEPDFEKSNYAADNLSPGGHDHNSVLKDLTFHEWPDIDNFEDVERMFRNCDSTFGQSHVSCVGGISWLASSSNTVDGSGASNLAALSSSCPELGLPNDTLEESYAKTMCFPKFDPSATDATSASVDCHSNSGCLGNDAEVEGISVSKEQIKSQYSSEDDAMQRCLNQMVPTSAVTTHTDSFQYFPERNHFFGCPSSSYLNNFNSHAQVDYSFPADRIPQTQSTSSSVNFENETHHMTSFEHSPYQSSTPPAMPLDLRMEKLPEKQSYAPVLMEHCHHDAVRNKSSVLKNKSLHATASESSQELDSAPIAGRKSTIVQQNSLVRSVSLDDISAEAMSFQQLWHVMDQLDDGTKCCIRDSLYRLARSTIPRNILDRSNSCRGSMDESKILGAAVWTSRCAEIKVETATNPIDRSVAHLLFQRPPVSFKSLIKS >Ma04_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5881885:5890978:-1 gene:Ma04_g08160 transcript:Ma04_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPIAPSPAAGIYGGSVFANVVQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQLLVNDPSRVKEYLPITGLADFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLAGLSVKTYRYYDPTTRGLNFEGLLADLSAAPPGAIVLLHACAHNPTGVDPTLDQWEQIRLLMRSKGLLPFFDSAYQGFASGNLDADAQSVRMFVADGGECLTAQSYAKNMGLYGERVGALSIVCKSADVATRVESQLKLVIRPMYSNPPIHGASIVATILKDRELYHEWTIELKAMADRIINMRQQLFDALRARGTPGDWSHIIKQIGMFTFTGLNTEQVTFMTKEYHIYMTSDGRISMAGLSSKTVPHLVDAIHAAVTRMK >Ma09_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2485014:2487962:-1 gene:Ma09_g03750 transcript:Ma09_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLRESGPLIPRSFVRQLGLLLKSSGSIRHEDDVLLRSGWVVRSRLCSVAMTIYVASEAFKVCSRPRCFFYFLAVRGMPFWVDCDSVCCYGGRCHGDVYGGSTSCGEVEASARLTLCFSAWGGPALLDLGFMILSVCILCCMIIFSLGNTTDGEISLFCFNILSFLHILATMSAFESVMSHIILIIFLVSVLSGVIYSLMHGFFCCSGCLILLFIIANACIPFSSGGESWYI >Ma08_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22880133:22919487:1 gene:Ma08_g17080 transcript:Ma08_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:Projected from Arabidopsis thaliana (AT1G08520) UniProtKB/TrEMBL;Acc:A0A178W355] MAAAAAAASSFILSKSIIFPSSFRPLLPSTKRSVCPRKAAAGRPSPRRFIVASAAATIDSSNGAVSATEQKPIDSAEYGRQFFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVAGSISNADPNRPEEWEDGLAERVEYDSAGNIKTQIVRTPFLQIPLGVTEDRLIGSVDVEESIKSGTTAFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTDGVNVVEREGISFQHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMNLDDRVAAVGVATKFQETSKEVFKIAEEETEYAKTQIILAREYLKDVTITREQLKYLVMESIRGGCQGHRAELFAARVAKCLAALEGREKVSVDDLKKAVELVILPRSIINENPQEQQNQPPPPPPPPQNQESEDDQNPEEDQEEDNDQENEQQEQQIPDEFIFDAEGGVVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKHLQGPVKRLAVDATLRAAAPYQKLRREKETNKSRKVFVEKSDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALQLLAESYTSRDQVSIIPFRGDYAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLSMAVRVGLNAEKSGDVGRVMIVAITDGRANISLKRSTDPEAAAATDTPKPSSQELKDEILDVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKEALSALKSA >Ma08_p17080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22880133:22919487:1 gene:Ma08_g17080 transcript:Ma08_t17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:Projected from Arabidopsis thaliana (AT1G08520) UniProtKB/TrEMBL;Acc:A0A178W355] MAAAAAAASSFILSKSIIFPSSFRPLLPSTKRSVCPRKAAAGRPSPRRFIVASAAATIDSSNGAVSATEQKPIDSAEYGRQFFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVAGSISNADPNRPEEWEDGLAERVEYDSAGNIKTQIVRTPFLQIPLGVTEDRLIGSVDVEESIKSGTTAFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTDGVNVVEREGISFQHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMNLDDRVAAVGVATKFQETSKEVFKIAEEETEYAKTQIILAREYLKDVTITREQLKYLVMESIRGGCQGHRAELFAARVAKCLAALEGREKVSVDDLKKAVELVILPRSIINENPQEQQNQPPPPPPPPQNQESEDDQNPEEDQEEDNDQENEQQEQQIPDEFIFDAEGGVVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKETNKSRKVFVEKSDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALQLLAESYTSRDQVSIIPFRGDYAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLSMAVRVGLNAEKSGDVGRVMIVAITDGRANISLKRSTDPEAAAATDTPKPSSQELKDEILDVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKEALSALKSA >Ma11_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26202251:26213464:1 gene:Ma11_g22420 transcript:Ma11_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MSGGGLLRTACHPPLSGFLSLRFFHPRRRRISALCGRHRNLGLASSLPSLGFRLDRYGFSERSCYVHSLVESVMEELAVIRATRRIRATAKVGLPSSKELFDAKLEKKTLQKGLLLEFRKDSQKTLLAVVQKPDGKKNWIVCDQNGVICSIKPQQVTYVVPGIEDFDHTDISEFVQKAQGLLDPSILECAWIELSENKRTVTAEELAEIIYGSQESLESYCSHLLLSRDDIYFNVVESKGYCSLYEPRPSIQVEELLKRKLVKESSEKELEEFVHLLLSAKAMPSRSKPSKSSWMIEDKVKRRIESLEVYALDACKNDEQRKTAGSILKAMGLMKTSSAAVNLLIDIGYFPVHVNLDLFKFNIHTEYSEEVLSAAENLLAVSNDLDEGNRKDLTFLKVYAIDVDDADELDDALSATRLPDGRIKLWIHVADPTCLVAPHSILDREAMRRGTSIFLPTATYPMFPEKLAMEGMSLQQGKLCRAVSVSVTLSQDGSIADFAIENSIIRPTYMLTYENATELLHLNLEEEGELRILSEAALLRFQWRCEQGAIDTATIDPRIKVAKPDDPEPSITLYVEDQSNPAMRLVSEMMILCGEVVATFGSCNNISLPYRGQPQSNISASAFSHLPEGPARSAAYLKIMRAAEMNFRKPISHGVLGLPGYVQFTSPIRRYIDLLAHYQVKAFIRGESLPFSAGELEGMACLVNMHVRVAKRLQSNSLRYWLLEYLRRQERERKFSAMILRFIKDRTAALLLMEVGVQAIAIVSDGKKVGDEIKVTIDEAHPRDDVLSVREV >Ma04_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7349048:7352319:1 gene:Ma04_g10300 transcript:Ma04_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGVLLKLLQSMNTDARVAGEHRSAILQVVGIVPALSASTGDDLWPSHGFYLQLSDSVNSTYVSLSDADADAVLSSRAQLGQLVHVDRLRFAHPVPRAVGLRPVPGARPHPFVGSPDPLVALSAPDHRGFIIQAASPAESGPPLLPSASHRSNLPHLEEEKRTVFAAKENVVVGSGKNQSDAAGKPRRFSSTATSKLTARKNGPGSGNGTGEQLRDPSPALKTSSRPSSPALGGRASSRPSSPVPSKCEVPSLVGAKEDNRRVAREPAIIVPSRYRQPSPVGRKAAASPMGRRGSMSPARRLSGGLKVASPATGDGGGKKKIGLVVAGISRGSDSLVASVKSIRKSWDDSSPSSVVASEPKEKEGSKSKLDKESFLRTQAAISRRLSDAEGVQANSAEASSDEKRRTSRKTESFSESDKNYMAPRITVHDRKWTDGSIPFNCVSDNLARLGKEALQRRSIASVAAAEALEEALVTESVVRSLSMFSELCSLSKTSNPVPTIDRFLSIYDDVLKCSTVAESLCANRNGGDGLKDATLTERSRLASLWVEAALATDLEVIHLLNNARLPKHKASEKQVDPPRTSLSKRQSFGTPAKSHQYKVLPCSTSNTWTRGHGVSETADLGRALRHEMQIWFLRFVEVAIDGGFRLFGETTDNAREANRKDSGKVAAVLSQLKRINDWLDGVGRTADGGETLREKIERLKRKIYGFVIAHVGSAFDTSISLTKV >Ma09_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5130650:5135962:1 gene:Ma09_g07820 transcript:Ma09_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSAGPEEIEDGMTDGSFHSPEWHAARLASLKTSHTITWEEFKRKQKEDELRRDELEADKDRMMREYRAQLDAERARKLSLGKNHSASKSHKKKDRKDKDSKKRSSRKRKKRSSESSSSSSSSESSSSDDDYEDDERESRRSRSKRSKKKRKHKSRVKHSSSDSDSDKNGGPVPLSKFFGSVKR >Ma09_p07820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5130648:5135962:1 gene:Ma09_g07820 transcript:Ma09_t07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSAGPEEIEDGMTDGSFHSPEWHAARLASLKTSHTITWEEFKRKQKEDELRRDELEADKDRMMREYRAQLDAERARKLSLGKNHSASKSHKKKDRKDKDSKKRSSRKRKQKRSSESSSSSSSSESSSSDDDYEDDERESRRSRSKRSKKKRKHKSRVKHSSSDSDSDKNGGPVPLSKFFGSVKR >Ma06_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22078956:22092223:-1 gene:Ma06_g23930 transcript:Ma06_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHNKSQSRFGGGILHIKVKHNGMSIFLLESGMIGTLQALWDVFPLFTNDGWVESSNLAFLKKHMGATFEGHPQPWISSINVNDIHSGDFLVISKIRGRWGGFGTLEKLVTGTYADHSVVCLKDSEGKLYIGESGPGNGKGNDIIVIPPWDEWWESELKKDESNPHIALLPLHPDMRAKFNNTAAWEYAKCMLHKPYVYHKMIFSWIDIVSGNYLPPLDAHVGLELPDIIVEAEKRGTSFDKLLTIPDLDDWITVSDTSELSFCAFELDVILDAKQMKDAYTLNFFESNISRLPEWYRMELPGYNAMQPYPHIMNEKCPTLPPNYVRTKYC >Ma08_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33872071:33874957:-1 gene:Ma08_g20010 transcript:Ma08_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGLRWSSAIHHSFSLHHSLLRSLSNFPLPVSSYPANDLSRYTARIQDLCRLGYVSEARSVFDTMPHRDTITWNSMIFGYSLNGMMDDARRLFDAFAGRNTRTWTILVSGYARSGCLEEAQLLFDLMPERNTVSWNALISGYAQNGYVGMARRLFDTMPMHDIASWNAIITGYCHAHQMVEAKELFEQMHMKDLVSWTVMISGYVMVNEHGKAWRTFLRMHREVVRPDQSNFTAVISAVVALGNLKLLENLRTLVIKTNFEGDVVVGTAILNAYTKDGGGLDEAVKFFEQMPERNEYSWSTMIATFAHTGLLDDAISIYERDPVKSLASQTAMLTGYAQNGRISAAKILFEQIPNPSVVSWNAMIAGYAQNNMLDEAKQLFHRMPMRNAVSWSAMIAGCAQNGENEEALHLLSELHRLGMLPSLSSLTSGFFASGNIGALEFGRQLHSLSLKAGSQFNSYVNNGLITMYAKCKNIEAVSGVFRWMKARDTVSWNSLITALSQNNMMEDARIAFDKMSKRDVVSWTAIISAYTQAENWNEALKLFLKMLNEGILPNSLTITSVLSACGSLAATNLGRQIHGLTFKMGYDLELFVGNALITMYFKCGCADSFWVFYEMFECDIVTWNSMLAGCAQHGLGREAVEIFEQMKSEQVLPNAVTFLVLLCACSHGGLVDEGWHYFKSMSKVYGIMPSREHYASMVDLLGRAGYLSEAEEFIENMPIEPDSVVWAALLGACRIHQNVELGRRVAERLFDMDPQDSGNYVLLSNLYASLGLWDEVEEVRKLMIDRHVITRPGYSWTQIKKKIKPVTANIQQEHIGVIYATMKEFYNNMKDPGYDSDLKSLKYDAEEEEDAHLYHS >Ma05_p31230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41240363:41242224:1 gene:Ma05_g31230 transcript:Ma05_t31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQAAGTRLHRPHLTPTNTPTASRAAFPAVASSPVKRLFASPFLGIGSAKLCRTRSLEILWGRGRRSQERVLRRAFSASIERFAVKGGDYEDEDENEVLGQRLEDTALDLQRQQGDGSEDDAIHSESWSEEGDVLATTASLCSDSRPAPSSPPESKKQEPSTEPPWLPVFPVSPDWRDQIVPASVEKNANSMELPLSLRIIKRKKRWEEGWFLEAGESACCSVKRAFSTMVFMIRELQNHTLQMREVIYREDIQGILARVQREMNFSFVWLFQQIFSCTPTLMVSVMLLLANFTVYSMGHLDDAAMATPNPPIQSMVVMEDLGQNHEERSSIRLFSSIGKRTASIGGTGAGGGGNAKPVAGATDDGRSDDVSLSYRKIIYDGTSRAPAVVNTEEGGGGEDAFVAEATVDEEAEEARVWKGILEEVSRMNADARDAALMDRETLLRLVSPITVELAPDDYPVYLQTEIMYQQALSQDPENALLLANFAQFLYLVLRDHNRAEYYFKKAAGLEPADAEALSRYASFLWLARKDLVAAEETYLEAIDADPSNSVHNANYARFLWNTGGDGTCYPLDCNDAYQ >Ma08_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1223031:1223813:1 gene:Ma08_g01320 transcript:Ma08_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKPRLLFLLVTYFVCQLQSGEPIDFAAAAAAETGGGGRGSRLSRRGGGSSGGQGHGGGSSGGQGHGGGDSGTAADSGTGAVIPILGAAAAGHPRNDGGGHNHRHNAASSHSIPFPVMLTATAFSAAILVY >Ma01_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9633236:9642432:-1 gene:Ma01_g13170 transcript:Ma01_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKQPKRVSWAKDLHQVRLFLAEDAPAVSGLGTQDNLQAKGSWLLHATSIGNDDSSLPPGFEAPHPAYQFRLEISQIPLVKWKCPLNILLDPEWVVVAGEESSEVAVQDQRQLRILEAIYPRVPSIPPNPSVSSEVQDSFYDDTRTPVIPITAIEDEESSEQLETAAPTFSSTQSQQTSAQNLQSMQGKHDILNLELVTEQFQGHVTQPAPTVPRSETTSGRIPPIAEPDVIAAASAAFTAIMKTNEEGSMIDRDLLINILSNPSLVEKLVTEYGAPKQSQALLAPVSVAPPCSSVPVQHLALAPPVPPPLPQINPSTPSLSVFRTSQMYPLPSSVPPQSVNPHALPPVQIPVKRQSSGQAASRDANYLKSLIQQHGGEKQDGSDLNSVHAASCQNNVVATNAVDLYAPRLQREARPKIPRPCAYFNTPKGCRHGASCSYQHDPSLPQRIEPPKGSKRIKLDRGIAGRN >Ma01_p13170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9633236:9642432:-1 gene:Ma01_g13170 transcript:Ma01_t13170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKQPKRVSWAKDLHQVRLFLAEDAPAVSGLGTQDNLQAKGSWLLHATSIGNDDSSLPPGFEAPHPAYQFRLEISQIPLVKWKCPLNILLDPEWVVVAGEESSEVAVQDQRQLRILEAIYPRVPSIPPNPSVSSEVQDSFYDDTRTPVIPITAIEDEESSEQLETAAPTFSSTQSQQTSAQNLQSMQGKHDILNLELVTEQFQGHVTQPAPTVPRSETTSGRIPPIAEPDVIAAASAAFTAIMKTNEEGSMIDRDLLINILSNPSLVEKLVTEYGAPKQSQALLAPVSVAPPCSSVPVQHLALAPPVPPPLPQINPSTPSLSVFRTSQMYPLPSSVPPQSVNPHALPPVQIPVKRQSSGQAASRDANYLKSLIQQHGGEKQDGSDLNSVHAASCQNNVVATNAVDLYAPRLQREARPKIPRPCAYFNTPKGCRHGASCSYQHDPSLPQRIEPPKGSKRIKLDRGIAGRN >Ma10_p11110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24711177:24728728:1 gene:Ma10_g11110 transcript:Ma10_t11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSSRADASDDSSGDGHARHHHSRFSRFRRRFRSNRHDHGSDPPLVKNLAADDFAGIARIEIISAEMQFKDRWFACLSLGERTYRTETSDHTEKPIWRSEKKLVLEKDGPTIARISVIETNRLSKNNLVGYCEINLLEVLSQESENNIEELSLLDPSSSSTTVGSISILCYVEDPIETEKFFARRILSVVDLNGDGKLSYSEFAELINAFGNQVAAIKTEDLFKQADKNGDGVVDLDELATLIAVQQENEPLINNCPVCGESLGKLDKLNDMIHMTLCFDEGTGNQVMTGGFLTDKEASYGWIFKLSEWAHVSSYDIGLRSGSSASHILVVDRRTKGLVEEIIDGKIVLSMRAIYQSKVGLTLINTGVKDLLQNISEKQGKRMNSHESAKDIPKFIEFFIGQLKMDEAKYPIEYFKTFNEFFIRELKPGARPIAYPERDDIAVCAADCRLMAFNSANESLRFWIKGRKFSIQGLLGKDTCSSAFVDGSLVIFRLAPQDYHRFHVPVSGTIESFVDIPGYLYTVCAYVHFSSQNNFLFYFSFVSFKVRLLINSIYMFSFILSKYLPVISLAFVSFNLIIKNCLILICDFLMKVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSITFTKNEGDYVHKGDEFGYFSFGGSTVICVFEKDAIQIDEDLLSNSERSLETLVSVGMRLGISKRSGRIDELPNMETCKLEA >Ma10_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24711177:24728728:1 gene:Ma10_g11110 transcript:Ma10_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSSRADASDDSSGDGHARHHHSRFSRFRRRFRSNRHDHGSDPPLVKNLAADDFAGIARIEIISAEMQFKDRWFACLSLGERTYRTETSDHTEKPIWRSEKKLVLEKDGPTIARISVIETNRLSKNNLVGYCEINLLEVLSQESENNIEELSLLDPSSSSTTVGSISILCYVEDPIETEKFFARRILSVVDLNGDGKLSYSEFAELINAFGNQVAAIKTEDLFKQADKNGDGVVDLDELATLIAVQQENEPLINNCPVCGESLGKLDKLNDMIHMTLCFDEGTGNQVMTGGFLTDKEASYGWIFKLSEWAHVSSYDIGLRSGSSASHILVVDRRTKGLVEEIIDGKIVLSMRAIYQSKVGLTLINTGVKDLLQNISEKQGKRMNSHESAKDIPKFIEFFIGQLKMDEAKYPIEYFKTFNEFFIRELKPGARPIAYPERDDIAVCAADCRLMAFNSANESLRFWIKGRKFSIQGLLGKDTCSSAFVDGSLVIFRLAPQDYHRFHVPVSGTIESFVDIPGYLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSITFTKNEGDYVHKGDEFGYFSFGGSTVICVFEKDAIQIDEDLLSNSERSLETLVSVGMRLGISKRSGRIDELPNMETCKLEA >Ma10_p04260.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14939098:14959297:1 gene:Ma10_g04260 transcript:Ma10_t04260.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSGILIRGKLRAVAASATRHHHQRLCRQICSRPPCDLLGGASPSLRRQVRRRSGAGGGTDATHLRRIRAEANCPRCSKHMEVLFATQPPSPSAAATAAGGGDVRRRGGGYQALNLCPSCRSSFYFLPNRLVPLQGSFVEIGRVTGVEEGDRDRDAAGGEVQTGDRVKASFWDMLRSMYGGDPPENWPPMPGLPVPPSPPGGNELAVHKPPGPPSQAHLELARAKRHGRSSRGAGSAGGGGESKKEAWGGSNLGKDLPTPKEICKALDKFVIGQDRAKKVLAVAVYNHYKRISHSSTKKGSGVDSDNVKAEKDEYDDVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFNVQAAQQGIVYIDEVDKIAKKAESLNVSRDVSGEGVQQALLKMLEGTMVSVPEKGARKQSRGETIQINTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANLRTGGTTNSAVTSTLMESVESGDLVAYGLIPEFIGRFPVLVSLSSLNEDQLVQVLTEPKNALGKQYKKTFSLNDVKLHFTDAALRLIARKAMVKNTGARGLRAILESTLTEAMYEIPDVKTGNDRVDAIVVDEDAIGSLDKSGCGAKILRGNGALEGYLARNKENNGQSENEVEGESELSSVVVSL >Ma10_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14939122:14959297:1 gene:Ma10_g04260 transcript:Ma10_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSGILIRGKLRAVAASATRHHHQRLCRQICSRPPCDLLGGASPSLRRQVRRRSGAGGGTDATHLRRIRAEANCPRCSKHMEVLFATQPPSPSAAATAAGGGDVRRRGGGYQALNLCPSCRSSFYFLPNRLVPLQGSFVEIGRVTGVEEGDRDRDAAGGEVQTGDRVKASFWDMLRSMYGGDPPENWPPMPGLPVPPSPPGGNELAVHKPPGPPSQAHLELARAKRHGRSSRGAGSAGGGGESKKEAWGGSNLGKDLPTPKEICKALDKFVIGQDRAKKVLAVAVYNHYKRISHSSTKKGSGVDSDNVKAEKDEYDDVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFNVQAAQQGIVYIDEVDKIAKKAESLNVSRDVSGEGVQQALLKMLEGTMVSVPEKGARKQSRGETIQINTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANLRTGGTTNSAVTSTLMESVESGDLVAYGLIPEFIGRFPVLVSLSSLNEDQLVQVLTEPKNALGKQYKKTFSLNDVKLHFTDAALRLIARKAMVKNTGARGLRAILESTLTEAMYEIPDVKTGNDRVDAIVVDEDAIGSLDKSGCGAKILRGNGALEGYLARNKENNGQSENEVEGESELSSVVVSL >Ma10_p04260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14940971:14959297:1 gene:Ma10_g04260 transcript:Ma10_t04260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSGILIRGKLRAVAASATRHHHQRLCRQICSRPPCDLLGGASPSLRRQVRRRSGAGGGTDATHLRRIRAEANCPRCSKHMEVLFATQPPSPSAAATAAGGGDVRRRGGGYQALNLCPSCRSSFYFLPNRLVPLQGSFVEIGRVTGVEEGDRDRDAAGGEVQTGDRVKASFWDMLRSMYGGDPPENWPPMPGLPVPPSPPGGNELAVHKPPGPPSQAHLELARAKRHGRSSRGAGSAGGGGESKKEAWGGSNLGKDLPTPKEICKALDKFVIGQDRAKKVLAVAVYNHYKRISHSSTKKGSGVDSDNVKAEKDEYDDVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFNVQAAQQGIVYIDEVDKIAKKAESLNVSRDVSGEGVQQALLKMLEGTMVSVPEKGARKQSRGETIQINTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANLRTGGTTNSAVTSTLMESVESGDLVAYGLIPEFIGRFPVLVSLSSLNEDQLVQVLTEPKNALGKQYKKTFSLNDVKLHFTDAALRLIARKAMVKNTGARGLRAILESTLTEAMYEIPDVKTGNDRVDAIVVDEDAIGSLDKSGCGAKILRGNGALEGYLARNKENNGQSENEVEGESELSSVVVSL >Ma10_p04260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14939098:14959297:1 gene:Ma10_g04260 transcript:Ma10_t04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit CLPX3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G33360) UniProtKB/Swiss-Prot;Acc:Q66GN9] MSGILIRGKLRAVAASATRHHHQRLCRQICSRPPCDLLGGASPSLRRQVRRRSGAGGGTDATHLRRIRAEANCPRCSKHMEVLFATQPPSPSAAATAAGGGDVRRRGGGYQALNLCPSCRSSFYFLPNRLVPLQGSFVEIGRVTGVEEGDRDRDAAGGEVQTGDRVKASFWDMLRSMYGGDPPENWPPMPGLPVPPSPPGGNELAVHKPPGPPSQAHLELARAKRHGRSSRGAGSAGGGGESKKEAWGGSNLGKDLPTPKEICKALDKFVIGQDRAKKVLAVAVYNHYKRISHSSTKKGSGVDSDNVKAEKDEYDDVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFNVQAAQQGIVYIDEVDKIAKKAESLNVSRDVSGEGVQQALLKMLEGTMVSVPEKGARKQSRGETIQINTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANLRTGGTTNSAVTSTLMESVESGDLVAYGLIPEFIGRFPVLVSLSSLNEDQLVQVLTEPKNALGKQYKKTFSLNDVKLHFTDAALRLIARKAMVKNTGARGLRAILESTLTEAMYEIPDVKTGNDRVDAIVVDEDAIGSLDKSGCGAKILRGNGALEGYLARNKENNGQSENEVEGESELSSVVVSL >Ma08_p28620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40479313:40479426:-1 gene:Ma08_g28620 transcript:Ma08_t28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSFAHDRHLWAADSATSLTHELHKFSHENNSFLQ >Ma08_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2984876:2987865:-1 gene:Ma08_g04290 transcript:Ma08_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE329 [Source:Projected from Arabidopsis thaliana (AT3G55250) UniProtKB/TrEMBL;Acc:A0A178VJJ9] MGSSTATLTPLPYLLSSLLPPKPLTLLLPARRPGTSGGGVARSTVVVGYMERKPNSLAGFASKVIGSLPVVGLVARILSDEGGVADDLIDFAEFRRRVGKKCSITDSRAFYEFQDRRGRAGDPLYVLLCCWLAAVGAGLLKSEEILEGVARLRISNDIEFEEETFFAMMTTARERRTKLKAATPEIPMEIRIEKALEAIYVCCFGKDTIEEEDVRLLCNMLHAVFPSVRKQEIDRIVSSMVNQIATGERTNYPEPKSLSKEAIERQMKDLEFLQQKSENSS >Ma08_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7309267:7309834:1 gene:Ma08_g10020 transcript:Ma08_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNEDGVLATAGDNGSLWFWDWKSGHNFQQAQTIVQPGSLDSEACIYALSYDISGSRLVTCEADKTIKMWKEDQSATPETHPLNFKPPKEFRRY >Ma09_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5593535:5612483:-1 gene:Ma09_g08460 transcript:Ma09_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAAEPIEEVGESSSPSRGTGAQAAYDIKNEIYERLVASGYEEARSNPYFKEHFDAHFNRLPASYKLDLDVERAEDVLIHKKILEEAKDPDKRPVFHVRFLKLEEQNLDMIMDSDDTEDESEFGDALSARRDVTCVVVHEIIFSTIDKPKLLSELSTLLSEIGLNIREAHVFSTTDGYSLDVFVVDGWSEEQTDDLHKEIETALNRNEGSWSDSSHSSDTERMLAVQLKADEWEIDRRLLKMGEKITSGSCGELYHGSYLDQDVAIKAIRSDHLNEPLLLEFHQEVSILKKIQHENVVRFIGACTKPSQFCIVTEYMHGGNLYDYLHKHHNILELSMLLRFAIDVCKGMDYLHQNDIIHRDLKTANLLMDSNLVVKVADFGVARILNQGGIMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELATSKIPYESMSPLQAALGVRQGLRPVLPQKTHPTLLDLMQRCWEANPAKRPTFSEITVELEELLQQVQVPQH >Ma04_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23597465:23601234:1 gene:Ma04_g20920 transcript:Ma04_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEYLYKMLLRQTYRNLWLTDLMSTIQADFSLGHAFPTCSAEGLFTMTCQGNFSGPSVARVIYHAEGNVEKVDAQSSAFALRFSCALVILLPQIASCSKMSSTYRRLNAKLHVYSVTFIRLVMGQPIQT >Ma10_p16260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28029309:28033515:-1 gene:Ma10_g16260 transcript:Ma10_t16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALGRALVRRGKPYSFPLLSPPSSAHLCTEGDGLQSSRVKIFDRDLKRKQDCRKTFPTALCLGGSLEAIRRLLHGRGGMEKLIMMDMSFDMIKVLKDTDEKFARNNLETFYVVGDEEFLPIKENSLDLVISCLGLHWTNDLPGAMIQARLALRPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRLSPLAQVRDAGNLLTRAGFSLPGVDVDEYTVKYDSALELIEHLRSMGETNALFQRSNILKKDIALATAAVYQSMFGGEDGTVPATFQVIYMTGWKEHPSQQRAKRRGSATASFGDIQKHFGRGSC >Ma10_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28029309:28033422:-1 gene:Ma10_g16260 transcript:Ma10_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLMRGKDEFVDSVAENLLDRLQDCRKTFPTALCLGGSLEAIRRLLHGRGGMEKLIMMDMSFDMIKVLKDTDEKFARNNLETFYVVGDEEFLPIKENSLDLVISCLGLHWTNDLPGAMIQARLALRPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRLSPLAQVRDAGNLLTRAGFSLPGVDVDEYTVKYDSALELIEHLRSMGETNALFQRSNILKKDIALATAAVYQSMFGGEDGTVPATFQVIYMTGWKEHPSQQRAKRRGSATASFGDIQKHFGRGSC >Ma05_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1925056:1926191:-1 gene:Ma05_g02840 transcript:Ma05_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLQLTEAEGTFGSQDARQSHGPTMDLMDLMDLSREPLRFGEEAMSQQQPPRAGLQRVGPGDVYPVHGDPAARVQREEALSGNRDPGEPGLVVTETDLPGQRLVTTVSGGQVVSQFAVPAPSEAKGAGDGVTIGQALEAAGMTAGDRPVGRADKAAVQAAEVRATGLGGPLAGGLGSAADAAAEANARVGNAAQRAKIGDVLADAAARLPADKAVTREDAERVRAIGIRRRAGWRRR >Ma05_p29140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39917593:39926438:-1 gene:Ma05_g29140 transcript:Ma05_t29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSEASSTDVKVDQSEKVYGGEKEYLIDADLELVKKEGCNEDDEAAFDEEFITEKELIDVKESSRMLKPVVELTENSGSSYLGTANSVPNINLLMEAKIKELELQFEAVNGKLKLSESEKASMKFEVDRANEELEKMSRHCEELKLEQKIVKDHILEILQKHSLHLETLQEASTDKDMKHKGLLDMKEAFTSLSAELKMSKEKIKELEAELVSSAGKVHSLEDLNKSSSSQAELQTKRALQFENMLELAQMKANEMEDQLRKLQNELNGVYIKIADNTKIEAALETASLELSGSQEKLKISKSQVAELEHTVVSMDSVIIKLTEELNHHKASEEKIKTEVYALENLLSASKEDLKAKLLCLEEVQRKLQEQINERDIIEASLRNQAIQTTDLENNLSNSIKEKETLRSTVSDLNTKLSMNEKSCIQLEAKLQLADQNFSKTESLLSEALSYKEELEQKLKSIEQLHHESRIALESATNRNLELESSVEALNLGEESIRAQLKESEMRLASTGTRNMELEEELKLANVERLDAERKKKELKDKVAEISDSLRDVDNESSLLKCRFQGYENRVGQLESSLSKSFSRNSELEKQLNDLVSKCCEHEERANATHQRSLELEDLIHASHSEAEARAVKRVGELEQLLEAANVQTEHLEQLLSYAEVKHRDAEAESTQSNGKISELTVELEACQTKVASHDVLLQASKEEELTEIINVDDKEKGKLEDLSVIQEKDLLESKNMVQSLQSEVKSSKVRVEHVEDNLETSSVQEKGLHEKLQNAGEQWKQHVKGVEEVNARIPDLKVLHESSVKESELELQETEENFKQKLYEVEELRGKSKSVEEHSARFKALAVEATEKLASLKAEMERNAVKLVTLENNVEEPEQKAPDAYLKSEQTFLEKDMLPETTSKLKEDLETPRLKVNELNALLSSIQAEKAGTSEQLASLVETIKKLTGEHSRMLELHSTTESHLKETELQLLVVIEKLKERDSETTDLKKKLLAVESQLRAYEEQASESAVVAASQKGKLEEALLKLQNLGRLVDQLRGESEQLKTENEDLDRRNLSLASDLSTHVTKISEMQIALNAATAERDETSMQLHSSREEMENLMRQVNTDRESLQSQIKNMRSACALREAAITAKLKEHLNILEEREDLDGQLKQIQSELVLAHEAIIEQRELDHRRELEREASMKQSFTELEDKHHYVTLLEKHIEDLERKLEDAETQHNKATEEKKKIVELNGEISILRHKLSQTDEMEKKISELENKLKLAYTTSGEEATDEVIESETKDEMGVKSRDSELDTSTLSRRKNTKRRDFLHQAPGTASLTQTSHVVTGPSRAMSFKIIMGVAIMSLIIGVILGKRY >Ma02_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21714138:21714308:1 gene:Ma02_g13210 transcript:Ma02_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCASLRRRHGEGDSVPAPAGKKGCLAVAREHRSRFYILRRCVVMLLCWHKYGKY >Ma03_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1676572:1680020:1 gene:Ma03_g02450 transcript:Ma03_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MVLSSPYFSFARHSGVGGSGRATAAAASAFILRRPLRRLPSHPRPPFHDNSSTKTFPCFKSYPLRPALDRRHLPMATLSSFSGADDGPKPVVEANTEEGEELPELAKAFHISSRTASAVCILIAFAALTLPLAMRSLGQAADLKTKALSYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVMTAAVLEFSGALLMGTHVTSTMQKGILVASVFQGKDTLLFAGLLSSLAAAGTWLQVASFYGWPVSTTHCIVGAMVGFGLIYGGIGAVFWSSLARVTSSWIISPVMGAAASFIVYKCIRRFVYSAPNPGQAAAAAAPIAVFLGVTGISFAAFPLSKSFPVALVQALACGTAGAIIVSRVIHKQLGHLLTSEAEKTPTSEKPHLEHIGFLTNVAGPTGAQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGGASSAEIIIPTDVLAWGGFGIVAGLMIWGYRVIATIGKKITELTPTRGFAAEFAAASVVLVASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVTSWAVTIPVGAVLSVFYTWISTKLLSFLI >Ma11_p11060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11408551:11415349:1 gene:Ma11_g11060 transcript:Ma11_t11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGERRRRHSSGAMVPLALLIGEEARMEKMERPRIRYGCAAQSKKGEDYFLIRTDCCRVLGDPSSSFSVFAVLDGHNGNAAAIYTRDNLLNHVMGAIPHGLGREEWLQALPRALVAGFVKTDKEFQRKGQTSGTTVTFVIIDGWTITVASVGDSRCILDAQGGVISALTVDHRLEENVEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQIKLLKAGGRLIIASDGIWDALTSDMAANSCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDITQTDQSSEPPPSTPKKVNRLKSFIFRRKPKDSVNKLAKKLSAVGVVEELFEEGSAMLAERLGNDSSAEKTSNVFTCAICQAILVPSKGVSVHAGSIFSTNSKPWEGPFLCDDCRNKKDAMEGKRPSGVKVL >Ma11_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11408615:11415349:1 gene:Ma11_g11060 transcript:Ma11_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGERRRRHSSGAMVPLALLIGEEARMEKMERPRIRYGCAAQSKKGEDYFLIRTDCCRVLGDPSSSFSVFAVLDGHNGNAAAIYTRDNLLNHVMGAIPHGLGREEWLQALPRALVAGFVKTDKEFQRKGQTSGTTVTFVIIDGWTITVASVGDSRCILDAQGGVISALTVDHRLEENVEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQIKLLKAGGRLIIASDGIWDALTSDMAANSCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDITQTDQSSEPPPSTPKKVNRLKSFIFRRKPKDSVNKLAKKLSAVGVVEELFEEGSAMLAERLGNDSSAEKTSNVFTCAICQAILVPSKGVSVHAGSIFSTNSKPWEGPFLCDDCRNKKDAMEGKRPSGVKVL >Ma06_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17430266:17431984:1 gene:Ma06_g22200 transcript:Ma06_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSRGGGGGSCGGQTLFAEAGGGLPRPSKDSTLMHSDPLIKSTSEEEFPTRHSNGSTASPGYSSDHSASTTDTQNSSADNSPFHMSPWNHQPATSSASSGGPLPGATNTTTDLFPSGTGLVGSLVREEGHIYSVAAIGGLLYTGSDSKNIRVWKNQKEYAGFKSTSGLVKAIVISTDHRIFTGHQDGKIRVWKVSPKNPRVHKRVGTLPRFKDLLKSSINPSNYVEARRRHHRSAVWIRHTDAVSCLCLSEDQSLLYSGSWDRTLKVWRVEDLRCVESVNAHDDAVNAVVVGFNGLVFTGSADGTVKAWRREELPKPTGKKGGKKQAGLTRHQPAVTLVRQDMAVTALAINVAAGLMYGGSSDGGISWWDRGGVGGSGGCGGVLRGHKVAVLCLVAAGSLLFSGSADKTICVWRRDGGGGHVCMSMLTGHSGPVKCLAVEADLDDCGGGRWVIYSGSLDKSVKVWKVAESAASASGNPQPPALRWDSEGASADDHGAPRYDLY >Ma06_p01260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1012140:1015370:-1 gene:Ma06_g01260 transcript:Ma06_t01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAITTHSLGSAENLSLPQEQVIVMSANMGCSHCRQRVSKVVSKMNTGLVDYMVDLQKKEITMRGVVETKKRKVHPNHTRKKKTLRSFLGFFRQKCCSC >Ma06_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1012140:1015370:-1 gene:Ma06_g01260 transcript:Ma06_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAITTHSLGSAENLSLPQEQVIVMSANMGCSHCRQRVSKVVSKMNSLVDYMVDLQKKEITMRGVVETKKRKVHPNHTRKKKTLRSFLGFFRQKCCSC >Ma04_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10975959:10977104:-1 gene:Ma04_g14500 transcript:Ma04_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGDSLPSISANPKRHSPFPLLGKGRYKLWVCAAILLLALWSLLTGTVTLKWSIGGANSPSDAPVFGDLDVLEVEEREKVVRHMWDVYVHSRIARLPRFWQEAFEAAYEELAGDDPSSRDAATSEIARMSMRMADPEPNQDANNGEEEKNQRDGDGRPMPNSSSLKAQS >Ma01_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6307262:6311216:-1 gene:Ma01_g08790 transcript:Ma01_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf15 / Cwc15 cell cycle control family protein [Source:Projected from Arabidopsis thaliana (AT3G13200) UniProtKB/TrEMBL;Acc:Q9LK52] MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTNLKPRKEGQDTQDELQRRNLREELEERERRHFSSKDKSYAEERDRRKGGHLFLEGTKREAEDRIVPRSIDADDSDVDVKEAAADDDDNESDGDEDEDDTEALLAELERIKKERAEEKLRKERQQQEEELKAKEAELMRGNPLINLSNSASFNVKRRWDDDVVFKNQARGETKIAKRFINDTIRNDFHRKFLQKYMK >Ma03_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25732136:25737945:-1 gene:Ma03_g20560 transcript:Ma03_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPWGQNHATNRRFNGYRPSPPLPEVAGVGTATDGASRSPSTVLWFVLHGLCCFVSLVLGFRFSRVVFLLLFSTSTLYTSAPFLLTTTTTTTTTTTTTTTTTTTRTETVTISQLPSATPAAPLPLHNRTHGPVVVGRHGIRIRPWPHPEPAEVLLAHQIIERVQHEQRLQYGFKNPRPLIVVTPTYSRTFQALHLTSLAHSLMLVPYPLTWIVVESPEASNETSSILAESQLNFLHIPFLDRIPERLLERHIVEARMRLHALRVVRDRKLDGIVVFADDSNVHSMELFDEVQKVKWMGALSVGILMHSGMTETMGNDKRKEKFQMPVQGPACNSSGDLIGWHTPNSLPYAQNSATPMGEMPTVPGKMEWGGFVLNSRLLWKEADGKPDWFRDLDAVGDSEIDSPLALLKDKSFVEPLGECGKNVLLWWLRVEACFDSKFPPGWTIEPAFGMTDVPDALPSETMIANKDMFDMKFLRKTGSSRSRDDADGENKHEQQVDTEAPGISQG >Ma01_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2546429:2550709:-1 gene:Ma01_g03860 transcript:Ma01_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSREIYNKIARKVGGSVPPDVMDSVKKLVPNNKLVMGRAKRGIFAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYHKMGTELGLVWKARIEKMYEQLGKMEVGFFSPEEEAKIEKGFEEIAAAKKEFQREARKAMAKQRQMEGGGA >Ma08_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10493368:10496171:1 gene:Ma08_g13270 transcript:Ma08_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Ma06_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1662290:1665781:-1 gene:Ma06_g02060 transcript:Ma06_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESGASQKLESILSDNSIPWARRIWMASLVEMKLLVYLAAPAVMVYMLNYVMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAYGAHKYEMLGVYLQRSTVLLMATGVPLAAIYALSRPILVLLGESPEIAKAASIFVYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVVHLLLSWVVVYKIGLGLLGASLALSLSWWIIVGAQFVYISSSSRCHFTWTGFTWQAFSGLPEFFRLSIASAVMLCLEAWYFQILVLIAGLLDNPELALDSLSVCMTLSGWIFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVMSFIVSVIAAIIILCLRDYISYAFTDGEVVARAVSDLCPLLATTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIIGVPFGSLLGFKFGLGAKGIWGGMIGGTFMQTLILLWVTFRTDWNKEVEEAKKRLNKWEDKKEPLLS >Ma05_p31210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41235173:41236314:-1 gene:Ma05_g31210 transcript:Ma05_t31210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARAILSVGEEESERVARLDVRPHRAGHAASFYEGFATRGLRVDCIRPGFLSCTFKVPPRLTDAGGNLSPGAIANLVDEVAAAVIHSEGHHMKISVDMSISYMCAAKVDSLARSRCFHGCRHLDCPKNSSTLLVHPVFIPSLKSFHPLALQC >Ma05_p31210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41234844:41236314:-1 gene:Ma05_g31210 transcript:Ma05_t31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARAILSVGEEESERVARLDVRPHRAGHAASFYEGFATRGLRVDCIRPGFLSCTFKVPPRLTDAGGNLSPGAIANLVDEVAAAVIHSEGHHMKISVDMSISYMCAAKVDDELEIISKVLGHKGGYSGTYVLLKNKATGEVVAEGRHSLFGKLVSKI >Ma04_p28260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29342904:29349585:1 gene:Ma04_g28260 transcript:Ma04_t28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHKKKDDGEESSLFALSDSTPVASSPPLLPLFHPPRLLQIPPSDDNPSPNAHLLHHLALSTPHKRPSLTPTPSPSPTVATAAPSFASATVGPRRSRFSAASTGSALCQILRRFHLRLRLFLLLSFPSLYLLFSSSSASSSSFSSSDITRGRSFLLDFFSALAFSSVLLLLLFSLHDHALPLPSLRLLLSRSSALLLPRHHHPRPPPVLWSIGDSSAGKPGANRGPTSGYAVQAYSNGDVYEGEFHKGKCAGSGVYHYYMSGRYEGDWVDDKYDGYGVETWARGSRYRGQYRHGLRHGVGVYRFYTGDVFAGEWSNGQSHGRGMHTCEDGSRYVGEFKWGVKHGFGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGSWHEGKRQGLGMYTFRNGETQSGHWQNGLLETLSTQSTNPGSPIAVNHSKVLNAVQEARRAAETAYDAPKVDDRVNKSVSAANKAANAARVAAVKAVQKQIPSNNGDIPIAIV >Ma05_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6155904:6158869:1 gene:Ma05_g08310 transcript:Ma05_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSTERKEPKARARVEEVLRALKKQAPLTAEQERFCSDACVDRFLRAKGDSVKKAAKHLRTVLSWRESIGTELLLADEFSAELGDGMAYVAGHDDEARPVLVFRIKQDDLKLRSHKSFVRLLVFTLEVAISTVARFVDQLVLLFDASFFRSPKAFLNLFAGTLKIISDYYPGRLHKAFVIDPPSLFSCLWKGVRPFIDLSAVTAVVSSLDFEDSLEDAALASHPRTTSLRFHPSAAASAAKVGGSTSSRFSVTVSHLNSLKPWYLSTTTTSSVVVPTASPSLIGASPLSARSFSFASPAARSTPRVGISAGAAITRSIPSTPSSAAPTRPPPQQQHPRTPMPSFLQSPATLFSFKKERQLSRVERERESFLPFLRFYRRPYDETAYRAKMRPPHGGLVSIVSLHDQPNKRRNKIQSFPVPSSQHRH >Ma05_p08310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6156054:6158869:1 gene:Ma05_g08310 transcript:Ma05_t08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQVTTTRPDQSWSSASSRTTSNFVLTSRNDRLPFLVAQFCRRRRFLTSCACRFVRLLVFTLEVAISTVARFVDQLVLLFDASFFRSPKAFLNLFAGTLKIISDYYPGRLHKAFVIDPPSLFSCLWKGVRPFIDLSAVTAVVSSLDFEDSLEDAALASHPRTTSLRFHPSAAASAAKVGGSTSSRFSVTVSHLNSLKPWYLSTTTTSSVVVPTASPSLIGASPLSARSFSFASPAARSTPRVGISAGAAITRSIPSTPSSAAPTRPPPQQQHPRTPMPSFLQSPATLFSFKKERQLSRVERERESFLPFLRFYRRPYDETAYRAKMRPPHGGLVSIVSLHDQPNKRRNKIQSFPVPSSQHRH >Ma08_p34530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44525001:44538983:-1 gene:Ma08_g34530 transcript:Ma08_t34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGDDLSIEELASTLSTYKDQLREVSKLLTDDPGNSEYADMEKELEEVIALTEELLATAKPTDVGQLHTDASPQGQFGGPSQSKPYEQFSVGTKVQAVWSEDGEWYDATIEAITPNGYYVYYDGWGNKEEVDHANVRPVEEGDALLEAEREAEATRQAIKRKIAQSAITDFQARTLPAKLRIDPNDPDDVKATKRKKIHAFKSKVRSEQLEVAQNKRQNAWQQFQSTKGKTKKIGFFSGRKRESIFKSPDDPRGKVGVTGSGKGLTEFQKREKHLHLKGGAVDAED >Ma08_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:31754324:31778960:-1 gene:Ma08_g18500 transcript:Ma08_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVMLEEENGGVVDPRLQAIKAAIRVVPHFPKPGIMFNDITTMLLRPKVFKDAVEIFVDRYRDLSISAVAGVEARGFIFGPPIALALGAKFIPLRKPKKLPGEVISESYVLEYGTDSLEMHVGAVQPGERVIIIDDLVATGGTLCAAINLLERVGADVVECACLIGLPKFKGGCWLNGKPVYVLVDCRQ >Ma02_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24976680:24977877:1 gene:Ma02_g18510 transcript:Ma02_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDEDQTIDRPIFAVANDTSPFSCPFLLGNPHEHGADGRGFHPPRQQLPQQETVDQSSDHWISRPTRDQDIGKTIELNWCKDEERHQWMSSEMRIMKKTTSSEDGTPRGCRQTNCSINPPADITRVCSICKTTKTPLWRGGPRGPKSLCNACGIRQRKARRAMEAAARGGGGLIRSDAPTKIRKEKKPNMRRILPFKKRCKITTASTSQKQLGVEDVEIGLSSNSAFYEVFPQDERDAATLLMALSCGLIRG >Ma06_p21670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15996142:16010649:1 gene:Ma06_g21670 transcript:Ma06_t21670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G02390) UniProtKB/Swiss-Prot;Acc:Q11207] MSAKLKVDELRAELSHRGLNTSGTKPTLVRRLDAALRKEKREAEASDKADSLTDGVSKGAKKRRKDAAGDAMVENAEEDEGGNKEEKRVTMTKKNGAVLDPFLPDHIKSSFHVLNKGEEMYSATLNQTNVGNNNNKFFVIQVLESDDGIRYMVYHRWGRVGVRGQDKLLGPYTSQEAAIHEFEKKFYDKTKNQWCLRKEFKCHPKCYTWLEMDYTDSENGTAPDKTCQSSSSQLRATKLDSRIAKFISLICNISMMKQQMLEIGYNAEKLPLGKLSKSTILKGYDVLRRISEVIAQSDRKKLEELSGEFYTVIPHDFGFRKMREFVIDSSSKLKRKLEMVESLAEIEIATKLLKDDSETQVVLMLKDDPLYCRYEQLHCELSPIEADTNEFAMVKKYLLNTHAKTHSGYRVDIVQIFKVSRKGELERYGKFSSTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCCASSQSRDGVLLLCEVALGEMAELLDADYNADRLPKGKLSTKGVGATAPDILDSQILEDGVVVPLGTPKEQNNLQCSLLYNEYIVYNIEQIRMRYVIHVLFDFKN >Ma06_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15996142:16010649:1 gene:Ma06_g21670 transcript:Ma06_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G02390) UniProtKB/Swiss-Prot;Acc:Q11207] MSAKLKVDELRAELSHRGLNTSGTKPTLVRRLDAALRKEKREAEASDKADSLTDGVSKGAKKRRKDAAGDAMVENAEEDEGGNKEEKRVTMTKKNGAVLDPFLPDHIKSSFHVLNKGEEMYSATLNQTNVGNNNNKFFVIQVLESDDGIRYMVYHRWGRVGVRGQDKLLGPYTSQEAAIHEFEKKFYDKTKNQWCLRKEFKCHPKCYTWLEMDYTDSENGTAPDKTCQSSSSQLRATKLDSRIAKFISLICNISMMKQQMLEIGYNAEKLPLGKLSKSTILKGYDVLRRISEVIAQSDRKKLEELSGEFYTVIPHDFGFRKMREFVIDSSSKLKRKLEMVESLAEIEIATKLLKDDSETQDDPLYCRYEQLHCELSPIEADTNEFAMVKKYLLNTHAKTHSGYRVDIVQIFKVSRKGELERYGKFSSTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCCASSQSRDGVLLLCEVALGEMAELLDADYNADRLPKGKLSTKGVGATAPDILDSQILEDGVVVPLGTPKEQNNLQCSLLYNEYIVYNIEQIRMRYVIHVLFDFKN >Ma05_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4347536:4349831:1 gene:Ma05_g05720 transcript:Ma05_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPRLFWLLLAFLLAVLRPSAAAHDYGDALRKSIIFFEGQRSGKLPHDQRLTWRRDSGLHDGAADGVDLTGGYYDAGDNVKFGFPMAFTTTLMAWSVIDFGKSMGPQHLAEALKAVRWATDYLLKATAVPCVVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDRDHPGSEIAGETAAALAAASIAFRSADPAYSARLLDRAISVFEFADKHRGAYSSSLHDAVCPFYCDVSGYEDELLWGAAWLHKASRRRNYREYIRRNEVILHAGDSINEFGWENKHAGINVLISKEVLMGKDDYLESFRINADNFICSLLPGISDHPQIQYSPGGLLFKAGGSNMQHVTALSFLLLAYSNYLSHAGGRVACGGASASPVALKRVAKRQVDYILGDNPLGMSYMVGYGARWPRRIHHRGSSLPSVKVHPGRIGCKAGTAYYLSPSPNPNVLVGAVVGGPTNTSDAFPDARPAFQQSEPTTYINAPLLGLLAFFSAHPDPNSWSQD >Ma01_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9094446:9098732:-1 gene:Ma01_g12510 transcript:Ma01_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSQEQDGASPAKIFVGGLPKDTTMATFEQHFEKYGKIVDKVIMRDRNTNKPRGFGFITFDDPSVVDKVIEDTHAINGKTVEIKRTIPKAAAPLKDFKTRKIFVGGIPTSLTEDEFKDFFSQFGKVDDHEIIRDHTTNRSRGFGFIVFESEKVVDDLLAKKGNMIDLAGSQVEIKKAEPKKPSNPSSAFGSEPRARHFGDSFGGYGSYSGYAGGAYGPSSYRTPGGFGPRPGGYGGYSSISGDFDGGYGGFAGGLGDYRTESSFGYSSRLGSYGGGYGGGYGGSGLGGYGREAGGYAGSSYSRGYESPGAGYGSGGLYGGRGSYGGGAGRYHPYGR >Ma03_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11568120:11573850:-1 gene:Ma03_g14400 transcript:Ma03_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFSRKGASGFSWSSTAEEVTEGLDGSGLTAVVTGASSGIGAETARVLALRGVRVVMAVRNLSSGATVKDSILKEIPAAEVDVMELDLTSMASVRKFASEFNSLNLPLNILINNAGVMATPFSLSEDGIELQFATNHVGHFLLTYLLLDNMKNASRTSRIEGRIVNVSSEGHRFAYSEGIRFDKINDQSGYNSIGAYGQSKLANILHANELAKCFKEEKVEIIANSLHPGSIITNLLRYHSFIDVIARTLGKLVLKNVQQGAATTCYVALHPQVKEVSGRYFCDSNLAEPSSKAKDVDLAKKLWDFSVDLVT >Ma09_p26260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37568997:37572992:-1 gene:Ma09_g26260 transcript:Ma09_t26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYQISICLIVVGICCSWADGLGVNWGTMATHPLPPKIVVQLLKDNGIRKVKIFDADAATMNALAGSGIEVMVAIPNNMLGYMIDYETAKKWVNQNVTRYNFQGGVNIKYVAVGNEPFLTTYNGSFLNLTLPALQNVQTALNNAGIGDTIKATVPLNADVYNSPVSNPVPSAGRFRADINDLMTEIVMFLNQSGAPFTVNIYPFLSLYDNPNFPVDYAFFDGTSTPVVDNGIQYTNVFDANFDTLVSALNAVGLGNLPIIVGEVGWPTDGDINAKVSYAQRFYNGLLRRLTVNQGTPLRPNQYIEVYMFSLIDEDAKSIDPGNFERHWGIFKYDGQPKYALDPSGQGQNMMPVAAKGVQYLPQKWCVFNPNGGGDMSKLGDNINYACSRSDCTALGYGSTCNGLDANGNASFAFNMYFQVQNQMTQSCFFQGLAVETTQNPSTADCNFTIQIVSSASACSFATLLMVFVSVLALLFF >Ma05_p15750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12160079:12167039:-1 gene:Ma05_g15750 transcript:Ma05_t15750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAIAASIGNLLQGWDNATIAGSILYIKKEFKLDSEPTIEGLIVAMSLIGATIITTFSGPVSDWVGRRPMLIISSILYFLSGLVMLWSPNVYVLLLARLIDGFGIGLAVTLVPVYISETAPPEIRGSLNTLPQFSGSGGMFISYCMVFAMSLMVNSDWRVMLGVLSIPSLLYFALTIFFLPESPRWLVSKGRMVEAKQVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYVIGPANELTDDQGATADKDRITLYGPEEGLSWVARPVKGQSSLALVSRRGSMENQRGVPLMDPLVTLFGSVHEKLPEMGSMRSTLFPNFGSMFSVADQQHKTEQWDEESLQQEGEGYASDAGGGDSDDNLQSPLLSRQTTNVEVKDIGQQHGSIMSMRRNSSLMQNGGEAVSSMGIGGGWQLAWKWSEREGADGKKEGGFKRIYLHQEGIPGSRRGSLVSLPGVDIPEEGEFVQAAALVSQPALFYKELMDQHPVGPAMVHPSEAAAKGPNWQDLFEPGVRHALLVGVGIQILQQFAGINGVLYYTPQILEQAGVEVLLANIGIGSASASILISALTTLLMLPSIGLAMRLMDISGRRFLLLSTIPVLISSLVVLVVANLVDMGTVVHAVLSTVSVVIYFCCFVMGFGPIPNILCAEIFPTRVRGVCIAICALTFWIGDIIVTYTLPVMLNSIGLAGVFGIYAVVCTIALVFVFLKVPETKGMPLEVIMEIFAVGAKQAAID >Ma05_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12160079:12167039:-1 gene:Ma05_g15750 transcript:Ma05_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAIAASIGNLLQGWDNATIAGSILYIKKEFKLDSEPTIEGLIVAMSLIGATIITTFSGPVSDWVGRRPMLIISSILYFLSGLVMLWSPNVYVLLLARLIDGFGIGLAVTLVPVYISETAPPEIRGSLNTLPQFSGSGGMFISYCMVFAMSLMVNSDWRVMLGVLSIPSLLYFALTIFFLPESPRWLVSKGRMVEAKQVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYVIGPANELTDDQGATADKDRITLYGPEEGLSWVARPVKGQSSLALVSRRGSMENQRGVPLMDPLVTLFGSVHEKLPEMGSMRSTLFPNFGSMFSVADQQHKTEQWDEESLQQEGEGYASDAGGGDSDDNLQSPLLSRQTTNVEVKDIGQQHGSIMSMRRNSSLMQNGGEAVSSMGIGGGWQLAWKWSEREGADGKKEGGFKRIYLHQEGIPGSRRGSLVSLPGVDIPEEGEFVQAAALVSQPALFYKELMDQHPVGPAMVHPSEAAAKGPNWQDLFEPGVRHALLVGVGIQILQQFAGINGVLYYTPQILEQAGVEVLLANIGIGSASASILISALTTLLMLPSIGLAMRLMDISGRRFLLLSTIPVLISSLVVLVVANLVDMGTVVHAVLSTVSVVIYFCCFVMGFGPIPNILCAEIFPTRVRGVCIAICALTFWIGDIIVTYTLPVMLNSIGLAGVFGIYAVVCTIALVFVFLKVPETKGMPLEVIMEIFAVGAKQAAID >Ma09_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3183680:3188763:-1 gene:Ma09_g04960 transcript:Ma09_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKDPAIKLFGATIPVAAAALPPAEADAVDVEDEEADAESAASTAMGQKDTTKEMTNMEVNDDSATAPDEENEVAPISSSRLTGTNEDDNKTSIADEKESTKHKAEDTKTEADCSVPEKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKHSASQCRNLVIPSDGLQSAQLDALNLTHHRALPCVPSTPSQPPIGNGTVLKFGQEVPLCESMVSVLNIQEQGKDSDSGSMIHGEKREEPSCASSATASNFVENGSAKTVVHVEQSGMQVYCNGLAPLPHLQCYPGAPWAYPWRPAWNNVAVMEAGKCSSEYICRPGNANSSPVPWSPRAIMAAPPVCAAAHPFPFMPAPFWGFTTWPNGAWNLPCVGSSGCISPSSSTSNSNCSGNGSPTLGKHSRDASLQSEEKMEKSLWVPKTLRIDDPEEAAKSSIWATLGIKPDIGGIFQSKAESKVDESDAAQVLHANPAAVSRSHSFQEST >Ma09_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35962025:35964643:-1 gene:Ma09_g24270 transcript:Ma09_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGMTNAVNVGIAVQADWENREFIANISLNIRRLFDFLLQFEATTKGKLAALNEKLDVLEHRLQVLEVQVSSVTTNPSVFN >Ma02_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21074460:21074648:-1 gene:Ma02_g12280 transcript:Ma02_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPATASTKELFNVFRARYNPIILNRQVIRA >Ma11_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24811338:24815359:-1 gene:Ma11_g20270 transcript:Ma11_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESMRWSRLLVLGLLVLVAGGLGWLGCDSISTSRVGLMASASASAAVARRSLTATAAANEYTTDSFGREVVVGAVDDPELVANEVHMAISNSTARRALGYLSCGTGNPIDDCWRCDSEWHLHRKRLADCGIGFGRNAIGGRDGRYYVVSDPGDDDPVNPRSGTLRHAVIQDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDGVSIFGASHIWVDHNSLSNCADGLVDAVMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPTNPFAKEVTKRVDTDSNMWKSWNWRSEGDLLLNGAYFTPSGAGASASYAKASSLGAKSSSMVGSITSDAGALGCRKRSQC >Ma08_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4293501:4303840:-1 gene:Ma08_g06360 transcript:Ma08_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGSSSTRVSGVDRFYNPPAVRRQLELQKLQQQRQQQEEATLRTRPSPVATTEAVAEVMVTKERFERDDVSSKRSLSSSSSPSPSPSLTPPPLPASSVGNLYRLLESTTPVVPARYFSKASSRRWRNGDEGKSQPYFCVGDLWESFKEWSAYGAGVPLVLDGSDTVVQYYVPFLSAIQLYVDTSAATSSSGRSAEESDGNCYLDTSSEGSSGSEENQSRERSSSVGTANHIGQGGFVNDNTEVCPKPTLPIFEYFEKDTPYGREPLADKISVLANKFPDLKTYKSCDMLPLSWMSVAWYPIYRIPMGPTLKDLDACFLTFHSLATPSDNASPPSEVLHSCTSRNGKNSNDRPVNLCLPVFGLASYKFRGSIWTSTGLQEQQLASSLSQAADDWLRHLQVDHPDYRFFLSHSNTFRR >Ma07_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5713918:5714701:1 gene:Ma07_g07670 transcript:Ma07_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFSEEQITEFYATFCLFDKNGDGCITFEELSTVIKSLGLKPNEGEVHKMISEIDANGNGTIEFQEFLNLMASKLNKGIDSEDELKEAFKVFDKDQNGFISATELRNVMISLGEKLTDEEVAQMIREADLDGDGQVNFEEFVKMMSV >Ma07_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30107827:30114093:1 gene:Ma07_g22010 transcript:Ma07_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/TrEMBL;Acc:W8PUA9] MSRRRRGLLARTVLFLFALNAASFAVYFLLHPPNRSPTAADPFLPLETLAIPHKNARSTLKSWPSLPSFLPWRPSPAPPLRSCEAYFGHGFSRSVDVLRSSPSDVNGRGWFRCHYSETLRSSVCEGGRIRMDPARILMADGGEGLDAVIGRGEEEELPRYQPGAFQIDSEGGDGGGDGKRAIDEGFLDKYLPHGAVQYHTMRALMESMRVVGHEQLDCTQWIEEPTLLVTRFEYANLFHTVTDWYSAYVSSRVTGLPNRPNLVFVDGHCKTPLEETWEALFSSVRYAKNFSSPVCFRHAVFAPLGYETALFKGLTEHISCRGTSASDVREDPDVKKTARLSEFGEMLIAAFGILEEKDLPRKSSVSHNVLFVRREDYLAHPRHSGKVESRLSNEQEVLDAIKVWAANQERCKINVVNGLFAHMHVKEQLQAIQEASVVIGAHGAGLTHLVAARQNTRVLEIISSMYRRPHFALISEWKGLEYHAINLAGSYARPAMVIDELSSIISGLGC >Ma04_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26599169:26604493:1 gene:Ma04_g24620 transcript:Ma04_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRPS20 [Source:Projected from Arabidopsis thaliana (AT3G15190) UniProtKB/TrEMBL;Acc:A0A178VMN1] MAAAAASCLTLPCKSKPFSPSSSLSNTSSRRAGFALRRSPEATSLAFASSLSLTAFPTGVGFLRPVEKPRAPPLRRPAVVCEAAPKKYDSASKRTRQAERRRIYNKARKSEIKTRMKKVLEALDVLRKKSDAQAEEILPIEKLIADAYSAIDKAIQVGTLHGNTGARRKSRLARRKKAVEIHHGWYIPAAAAA >Ma06_p05010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3741761:3743360:-1 gene:Ma06_g05010 transcript:Ma06_t05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKESGGSRDDSSLYLHHLLGPPAPPTHVPPEESKLPQKESPNPVDHGDGSGERPTTSAAAAGGPVRRPRGRPLGSKNKPKPPIIVTCDSPNALHSHVLEVAAGADVVECVNEYARRRGRGVSVLSGGGAVVNVAIRQPGASATGGVVATLRGRFEILSLTGTVLPPPAPLGATGLTVFLAGGQGQVIGGSVVGPLVAAGPVVLMTASFSNAMYEHLPLEGEEEPTEATAAEGQQPGGLQSSAVTSSGHEGGDGGVGAVGLPFYSLGASTGNYQHPGDAFGWATGSNLRPPF >Ma06_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3741761:3743360:-1 gene:Ma06_g05010 transcript:Ma06_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKESGGSRDDSSLYLHHLLGPPAPPTHVPPEESKLPQKESPNPVDHGDGSGERPTTSAAAAGGPVRRPRGRPLGSKNKPKPPIIVTCDSPNALHSHVLEVAAGADVVECVNEYARRRGRGVSVLSGGGAVVNVAIRQPGASATGGVVATLRGRFEILSLTGTVLPPPAPLGATGLTVFLAGGQGQVIGGSVVGPLVAAGPVVLMTASFSNAMYEHLPLEGEEEPTEATAAEGQQPGGLQSSAVTSSGHEGGDGGVGAVGLPFYSLGASTGNYQHPGDAFGWATGSNLRPPF >Ma08_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32073504:32077219:1 gene:Ma08_g18550 transcript:Ma08_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPGSNPQHSKGDEAAEEDMEVETSPVRDQPDGAENAAVNGGGGSKRGRQKEAAAEGLEEGAVSKKPKVEKRSFEEERMEKVEGKGEGGADMEEFEGDKARSFDEERMEKLDGKGERGEDSEGGDGDDKEAFVGDKARSVEEERMEKVEGKGEGAEDLEGGGDDEKEFKGEEEGGGDLEEGRGDVEKETSDVSVGPKVFTSSVEMFEYFFKLLHSWSPNLDLNKYEHMVLHDLLNKGHPEPTKKIGVGIQAFQVRYHPAWKSRCFFVVRVDETIDDFSFRKCVDNILPLPDNMKARLSSGGNKVTDHKKAWSNQKGGRGNRGRGGRGGGGRGRGRGGKKGGGFKK >Ma05_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36928433:36928805:-1 gene:Ma05_g24760 transcript:Ma05_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKVACAVLVVAASATGALAAEAPAPGPASASFAVTPAVGAAIGASVLSFFAFYLQ >Ma02_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20573773:20576364:-1 gene:Ma02_g11410 transcript:Ma02_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMKIVFTGNPNLMFDFNSFLSRWNPVTFEESLDFVKKVKARDYQLYLSLSDILSQKEQTPPEIYHNDHDDLCKELMRFKPHVRERRINKHNSFGMSLLLMSVFLLSLFLMFEQPLRYVVQQAFGT >Ma03_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14440208:14441328:-1 gene:Ma03_g14640 transcript:Ma03_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRGLSDAHPSLLMQAFMTGLRPSRFFGSLVERPPSAVPEMLQRANQFIAAEAWMARKQEKHMRAQAGLIRPTRSEASPAPLRRISKGDFSPDKGERVTQGSRSDEKPARARRPVQALPLSKQNGHDTEDCRELKRQIEELVRGGHLSRYIRHNEEPSPLPEGPMEHHIDVITGGPAVGGTSMSGRKAYARSARIDAPQRGPDPKVAFPPEDVKPPEHDDALVIMARIANAQVRRIMIDTGSSANVLYLDAFQKLGLTKESLKPICSALTGFTGDSVSPLGTVTLPLTLGASPRTKMVMSTFLVVDLPATYNAILGRPTLNKIRAVVSTYHQTVKFPTPPPGQAKFGEAPKSPDDAT >Ma10_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9823843:9824461:1 gene:Ma10_g02850 transcript:Ma10_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAMKTVVKIRQIVQLKQMMRRWRFLSLRRRQAEPDPEPDLETNSGSSRPVPSGFLAVYVGPERRRFVIPTRFLNLPVFAALLQRAEEEYGFQPAGGLALPCNPAFFRWLLDALHRDEQRCKFVSLNTLEALFADLSGGASSPCREYSVVSYNGFSPLLPKTRVR >Ma02_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19134327:19135228:1 gene:Ma02_g08920 transcript:Ma02_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHADLSSLRTPWRTDIGNRIALFLVLLSVLCGLSGFVLCLAAEASRSEATWYRLSDGSRSYECVYTGSGRTPLACAVCAFLLFAVAMFAEHAYMMVAVTCPSRPAPAAWPLPDDPRTLSSTGRWQTCALFLATWICFSIAEALLLIGIGVESGHVSQWRQPKPDCHVIRPGLFAAAGIFGLSTVLLGVGLYLTALQAQRLHQQEDNMLRGTAHVHHPHPFPPTSAP >Ma09_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12523232:12526910:-1 gene:Ma09_g17050 transcript:Ma09_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEIHADSATDDDRSRNLDLDRAALSMTSRQLDETQQSWLLAGPGDQGKKKKYVDLGCLIVSRKLFLWSLGAVVAAAALAGLITLIVKTVPRHHRPRPPPDNYTLALHKALMFFNAQRSGPIPKHNNVSWRGNSGMKDGISDPSYGKSLVGGFYDAGDAIKFNFPASFAMTMLSWSVIEYSAKYDAAGELGHVKEIIKWGVDYLLKTFNSSADTIDRIATQVGQGATSGGANPNDHYCWTRPEDIDYPRPVYECHSCSDLAAEMAAALAAASIVFKDNKAYSQKLVHGAATLWKFSRNQRGRYSEGGSDASIFYNSTSYWDEFVWGGAWMYLATGNASYLQLSTHPKLAKHAGAFWGGPDYGVLSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTGIVMCSYLPVFNSFNRTKGGLIQLNHGRPQPLQYVVNVAFLASVYSDYLEASDTPGWYCGPNFFPAGVLRDFARTQIDYILGKNPQKMSYVVGFGKRYPKHVHHRGASIPKNGVKYSCKGGWKWRDTKKPNPNTIFGAMVAGPDRQDGFRDVRTNYNYTEPTLAGNAGLVAALVSLSGEGTGVDKNTMFSAVPPMSPTPPPPPAPWRP >Ma11_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19459313:19464748:-1 gene:Ma11_g14180 transcript:Ma11_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGKVELRRIEDRTSRQVRFSKRRSGLFKKAYELAVLCDAEVGLLVFSPAGKLYEFSSVSSQCTSASSHWVFLMRTTFDSHRGYFTSIINPASLRRSTSSEIAFCRSGANFLGLCFIGLAPGSMLSWCWATSWSIPGISLEDHTNTSVFLLRKSIS >Ma09_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6896480:6908654:-1 gene:Ma09_g10100 transcript:Ma09_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVFAVNGERFELAKVDPSITLLEFLRTRTRFTGPKLGCGEGGCGACVVLLSTYDPVSDQLKEFSVSSCLTLLCSINFCSVITSEGLGNTKDGFHPIHQRFAGFHASQCGFCTPGMCMSLFSALVNADKTSRSEPPCGFSKITKFEAEKAIAGNLCRCTGYRPIADVCKSFAADVDLEDLGLNTFWKKGAKDANVGRLPCHDQGKICTFPEFLKSEIKSSVDILDNSKNAGLPESQWYRPSSIRELYELLNSDSFSKSRVKLVVGNTGSGVYKENDLYDKYIDLKGIPELSVIRRDSEGISFGAAVTISRAIEVLKERKESELHSNKRLVFSKIADHMDKVASPFIRNMASLGGNLIMAQRSQFASDVATILLAAGSTVCLQMASERLVLSLESFLERPPCDDRTVLVSIHIPSWSSAIESSSGIDGCIVSEPTREANILFGTYRAAPRPLGNAVAYLNSAFLVHVTLDKISRDLIILNLHLAFGAYGTEHAIRARKVEKFLVGKVMTASVLLEAIKLLQETIIPKKGTPHSRYRSSLAVAFLFKFFQPLVKDLVVPEKNGPVDSSGVAAITEYPNSDINECADISSHRVSHSEQLNNPNVILSSKQLVEFSNDYHPVGEPIKKAGVEIQASGEAIYVDDIPSPKDCLFGAFVYSTTPLAWIKGITFNSTLASQKVVAYISINDIPKEGKNIGGSTNFGTEPLFADSLTVCAGQPLGIVVAETQRHANMAARQANVQYSTENLEPPILSIEEAVRRSSFFDVPPVFYPQKVGDLSKGMTEAEHKILSAEVKLGSQYYFYMETQTALAIPDEDNCIVVYSSSQCPETAQGVIAKCLGIPDHNVRVITRRVGGAFGGKAVRAIPVATACALAAFKLRRPVRMYLDRKTDMIMTGGRHPMKINYSVGFRSDGKITALHVDIFINAGITEDISPIMPHIIIGALKSYNWGAFSFDAKICKTNLPTKSSMRAPGDVQGSFIAEAVIEHVSSFLSMDATSVRKKNLHTHDSLVLFYEGSAGDAPEYTLPAIVDEVASSARYLDRLEIIRNFNSCNKWRKRGISLMPLVYRVALRPTPGKVSILSDGSIVVEVGGVEIGQGLWTKVKQMTAYALGQLSVDGTKDLLDKVRVIQADTLSMVQGGWTAGSTTSESSCEAVRLSCNILVSRLKTLKQSLEEKMGTVSWDTLISQANMQAVNLSASTYWVPDSSSMMYLNYGSALSEVEVDILTGGTIILRTDLIYDCGQSLNPAVDLGQIEGSFVQGIGFFMYEEHVENSDGLVVSDGTWTYKIPTIDNIPKQFNIKLMKSGHHEKRVLSSKASGEPPLLLAASVHCATREAIRAARVEFSSTNDPNSSPTTFQFDVPATMPVVKELCGLNNVEKYLEAFVSTHKKMEANHVLGTV >Ma04_p06310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4657398:4660043:1 gene:Ma04_g06310 transcript:Ma04_t06310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSLEPYSIAISAPSKSASAPKPTNSLAESFQTQNSNLNYAQHQQHVASKFTGSEATVPAPHTTQIGGGQSTWQPPDWATEPRPGVYYLEVMKDGEVIDRIDLEKRRHIFGRQVPTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRSYILRKNTAALFPTLALPAGVDLPSPPDPTDEDAVVAYNTILNRYGVTKSDLSSKSKSSSGNASSGIDENHLRDRPSKRSRKNRVAFKDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGRGQIAQKEEGTSPKGVTDKLQNILNKVKGTQKSGIYDDLYGDAFSGKVGSSWAYRSDGQTGSTKGVEEKPPSSAGKKEHGSADDSDDLFGDS >Ma04_p06310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4657399:4660043:1 gene:Ma04_g06310 transcript:Ma04_t06310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSLEPYSIAISAPSKSASAPKPTNSLAESFQTQNSNLNYAQHQQHVASKFTGSEATVPAPHTTQIGGGQSTWQPPDWATEPRPGVYYLEVMKDGEVIDRIDLEKRRHIFGRQVPTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRSYILRKNTAALFPTLALPAGVDLPSPPDPTDEDAVVAYNTILNRYGVTKSDLSSKSKSSSGNASSGIDENHLRDRPSKRSRKNRVAFKDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGRGQIAQKEEGTSPKGVTDKLQNILNKVKGTQKSGIYDDLYGDAFSGKVGSSWAYRSDGQTGSTKGVEEKPPSSAGKKEHGSADDSDDLFGDS >Ma04_p06310.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4657381:4660043:1 gene:Ma04_g06310 transcript:Ma04_t06310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSLEPYSIAISAPSKSASAPKPTNSLAESFQTQNSNLNYAQHQQHVASKFTGSEATVPAPHTTQIGGGQSTWQPPDWATEPRPGVYYLEVMKDGEVIDRIDLEKRRHIFGRQVPTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRSYILRKNTAALFPTLALPAGVDLPSPPDPTDEDAVVAYNTILNRYGVTKSDLSSKSKSSSGNASSGIDENHLRDRPSKRSRKNRVAFKDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGRGQIAQKEEGTSPKGVTDKLQNILNKVKGTQKSGIYDDLYGDAFSGKVGSSWAYRSDGQTGSTKGVEEKPPSSAGKKEHGSADDSDDLFGDS >Ma04_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4657398:4660043:1 gene:Ma04_g06310 transcript:Ma04_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSLEPYSIAISAPSKSASAPKPTNSLAESFQTQNSNLNYAQHQQHVASKFTGSEATVPAPHTTQIGGGQSTWQPPDWATEPRPGVYYLEVMKDGEVIDRIDLEKRRHIFGRQVPTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRSYILRKNTAALFPTLALPAGVDLPSPPDPTDEDAVVAYNTILNRYGVTKSDLSSKSKSSSGNASSGIDENHLRDRPSKRSRKNRVAFKDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGRGQIAQKEEGTSPKGVTDKLQNILNKVKGTQKSGIYDDLYGDAFSGKVGSSWAYRSDGQTGSTKGVEEKPPSSAGKKEHGSADDSDDLFGDS >Ma04_p06310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4657385:4660043:1 gene:Ma04_g06310 transcript:Ma04_t06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSLEPYSIAISAPSKSASAPKPTNSLAESFQTQNSNLNYAQHQQHVASKFTGSEATVPAPHTTQIGGGQSTWQPPDWATEPRPGVYYLEVMKDGEVIDRIDLEKRRHIFGRQVPTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRSYILRKNTAALFPTLALPAGVDLPSPPDPTDEDAVVAYNTILNRYGVTKSDLSSKSKSSSGNASSGIDENHLRDRPSKRSRKNRVAFKDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGRGQIAQKEEGTSPKGVTDKLQNILNKVKGTQKSGIYDDLYGDAFSGKVGSSWAYRSDGQTGSTKGVEEKPPSSAGKKEHGSADDSDDLFGDS >Ma04_p06310.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4657381:4660043:1 gene:Ma04_g06310 transcript:Ma04_t06310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQSLEPYSIAISAPSKSASAPKPTNSLAESFQTQNSNLNYAQHQQHVASKFTGSEATVPAPHTTQIGGGQSTWQPPDWATEPRPGVYYLEVMKDGEVIDRIDLEKRRHIFGRQVPTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRSYILRKNTAALFPTLALPAGVDLPSPPDPTDEDAVVAYNTILNRYGVTKSDLSSKSKSSSGNASSGIDENHLRDRPSKRSRKNRVAFKDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGRGQIAQKEEGTSPKGVTDKLQNILNKVKGTQKSGIYDDLYGDAFSGKVGSSWAYRSDGQTGSTKGVEEKPPSSAGKKEHGSADDSDDLFGDS >Ma08_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2176350:2193738:-1 gene:Ma08_g02920 transcript:Ma08_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDASMSTDPDHDPAAENHLDGSGEPSSPPTPAVGQGQPVLVGPRPAPTYRVVNSIIEKKEDGAGCRCGHTLTAVPAVGEEGTPGFIGPRLILFGGATALEGNSAAPPSPAGSAGIRLAGATADVHCYDVLSNRWTRLMPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAMAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVDDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLAAEDLAAAETTSAASHAAAAAASLNVQSGRMPGRYTYSDERSRQDIPETVPDGEIMVGTPVAPPVNGDMYTDISTENALLQGSRRPSKGVEYLVEASAAEAEAISATLAAAKARQINGEVEQLPDRDRGSEATPSGKPISSMDKVPDPFANNTPPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINTVPKKVIAHLLKPRGWKPPVQRQFFLDCNEIADLCDSAERIFTGEPSVLQIKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDVAYIDYLFLGDYVDRGQHSLETISLLLALKVEHPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRFNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPDASPEHHTEDTWMQELNANRPPTPTRGRPQSSNDRGSLAWI >Ma02_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16815054:16815582:-1 gene:Ma02_g05540 transcript:Ma02_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPKKLIKMVRKWQKVSALGRRRIMSKNSDTSATADSPSSLVASKGHVFMYTTDGKRFMVPLKYLTSSIFRELLRMSEEEFGLPTDGPITLPCEAACMDYIISLLHSRVPREVERAVLASIASSRCTTALAPEGLNQQLVLYGF >Ma06_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10070594:10078024:-1 gene:Ma06_g14740 transcript:Ma06_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASVACFPVDSEALETCGIPWGIAVTPFSAVDERGNLPARGSEGHLLPRCDNCWAYFNTYCELDQWTWSCAICGSLNGLTSHAVSRYSQPESCPDLSSSFVDLEIPGDGSEEGMTQARPVYVAAVDLCSSEEFLELVKSALLAALEALAPGSLFGLVTFSHKIGLYDVQGPIPVVKNVFILPESDGQLPVSLEDVMPLLAFLAPVESCKDQIATALDTLKPTTSWERSTPTVQGWDGMLLGGRGFGSAMEALVSYLSSDYGNTFALARVFTFLSGPPDYGAGQLDTSRYGEQYASKGEDADLALLPEQTPFYKDLAVVAVQAGVSVDIFAVTNEYTDLASLKFLSINSGGSLFLYANTDDSTLPQDIYRMLSRPYAFGCLLRLRTSSEFKTGNSYGHFFPDPQYENVQHIICCNSFATYTYDFEFANNDVFSRHRDPLVIQIAFQYSIVVPNEMQNDAGLVSAARYSLKRRLRVRTLQFTTARSINDLYDSVDPEVVLSILVHKVILASLEQGVREGRLLIHDWLVILLSQYHDVYKLVQYENGRSTSNIDVAFTQCPQLQSLPRLVFALLRSPLLRFHEEGVHPDYRIYLQCLFSSLGPSSLQCAIYPVLTSYATPDKQAYPRHSLSRSALVKSGSPIFFLDAFTNLIVYYSSTADSSLPFPPPHDCLLRTTINRLKQERSITPKLMFIRGGHDDATAFENYLMEDKDVDGTGIPSVTGFVTFLEEIASDVSENIK >Ma09_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36645104:36646309:1 gene:Ma09_g25060 transcript:Ma09_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTGEGEEESCWSQLPIDEMEKILKRLTVVDRIRVAAVCKSWQAAVGFLHAPSPPPWLLVYPGLPHPRRWGLCTAFAGRRSLGLEIPKELQTQWCCGSSKGWLLFLRTTPEIFAGYRASLLNPITRVLVHFGPCVNHVVKGVISASPLTTGFLLATMGYNLVDSYRCVTVYRVWQLTYGELDVDDPMDILFHHGRLYILTGSAEIVVYMFKPHPVVSSIIPIPSLVWEDERRRRSRKGRLVGSNDDVFIVFYTTKLRSELQQLKVFKVKEGLRHRVVEVNSLGGRTFFIGGFSEGLSVSMTKSSSKSESMKPDCIYYRKRVEDNLKGYCMQTGRSFQVAGLDVAGDLLSWFTPDLEDRSSLMEVTPTNGFSRSRSLLLTIIVTSVCIMLGYISGRVSI >Ma09_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7237139:7245291:-1 gene:Ma09_g10680 transcript:Ma09_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNTVPASASHGNLDEQISQLMQCKPLPEQEVRMLCEKAKEVLMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSIRSFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMDGYNWGHEQKVVTIFSAPNYCYRCGNMASIMEVDECKGQTFIQFEPAPRRGEPDVTRRTPDYFL >Ma04_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23212228:23213318:1 gene:Ma04_g20470 transcript:Ma04_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPRIAVGTQEEATHPGTLKAALAEFISTLIFVFAGQGSGMAFSKLTGGAATTPAGLIAAALAHAFALFVAVSVGANISGGHVNPAVTFGVFIGGNITLLRSIIYWIAQLLGSTVACLLLRYSTGGLSTGSFALSGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPALVSWSWDDHWVYWAGPLIGGGLAGLVYEFFFISHTHEQLSSADY >Ma05_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26228124:26235476:-1 gene:Ma05_g19110 transcript:Ma05_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTQWLGLCVFDGRRRDRPGSQGRRHRPATYRLTNVAEIKVMKESFFLLEKLLILEELVLGISSFYSFSYFQGSIQRCHNVAGGSNLPRKKRNHLHLRHRESDLEKKRNQNQQAMRMRSSFLW >Ma10_p17860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29063359:29074340:-1 gene:Ma10_g17860 transcript:Ma10_t17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGASGRVTALFSLRVLRGLVLLLRAAALLLLPFRWRPRFVPAAERSAPTPADGRPESSSRKGGHSGMVVRVPAAMVPRRQREPEAAGRRALAMRLVVEARKEGQRGRDFSMFTTTRGDTLFTQSWTPVNRETRGVVVLLHGLNEHSGRYDHFAKKLNENGFKAYAMDWIGHGGSDGLHGYVHSLDYAVSDLKAFLEKVLAENSGIPCFCYGHSTGAAIALKAACDPKVEGWIKGILLTSPAVHVQPSHPIVMVLAPVFCLVAPKYQFSAANGVSPVSRDPEALRSKYSDPLVFTGSIRVRTGCEILRLSTYLLQSLYKVNIPFMVLHGTSDTLTDPGGSQRLFDLASSTDKSIKLYDGLLHDLLIEPEGDKIMQDMIDWLSFRV >Ma10_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29063359:29074340:-1 gene:Ma10_g17860 transcript:Ma10_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGASGRVTALFSLRVLRGLVLLLRAAALLLLPFRWRPRFVPAAERSAPTPADGRPESSSRKGGHSGMVVRVPAAMVPRRQREPEAAGRRALAMRLVVEARKEGQRGRDFSMFTTTRGDTLFTQSWTPVNRETRGVVVLLHGLNEHSGRYDHFAKKLNENGFKAYAMDWIGHGGSDGLHGYVHSLDYAVSDLKAFLEKVLAENSGIPCFCYGHSTGAAIALKAACDPKVEGWIKGILLTSPAVHVQPSHPIVMVLAPVFCLVAPKYQFSAANGVSPVSRDPEALRSKYSDPLVFTGSIRVRTGCEILRLSTYLLQSLYKVNIPFMVLHGTSDTLTDPGGSQRLFDLASSTDKSIKLYDGLLHDLLIEPEGDKIMQDMIDWLSFRV >Ma03_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28670478:28672067:-1 gene:Ma03_g24410 transcript:Ma03_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCCFKPPKAKEEPSYEKGREGKNTNTLVYLAKNLSIGSGTYKRRFVAEEILRIGNGNCHARVFTFAELAAATNGFKAECLLGEGGFGRVYRGHLRDTNQDIAVKQLERSGVQGSREFLVEVLMLSLLHHPNLVNLIGYCADGNQRILVYEYMPLGSLEDHLLDLCPGQRPLDWSTRMRIAEGAAKGLEYLHDTAKPPVIFRDFKASNILLDREYKPRLSDFGLAKVGPVGDKSHVSTRVMGTYGYCAPEYALTGQLTKMSDVYSFGVVFLEIITGRRAIDMSRHSSEQHLVQWAEPLLKDKTKFAEMADPLLEGKYPTKGLYQALAVAAMCLQEEASSRPLISDVVTALEYLAASPSDPPPRSSPSRDGNGSDGGEEGKRRLPSSHGDQETRASLRNREENMGRI >Ma07_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27809048:27812239:1 gene:Ma07_g19900 transcript:Ma07_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKDEEEYVRPAPPVAPEIAAV >Ma04_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25480033:25481804:1 gene:Ma04_g23290 transcript:Ma04_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDASQLAPLLGNATDAADYICNQFVDAGYAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDTAAGGIFYYLFGFAFAFGTPSNGFIGKQFFGLEEVPQAGFDYSNFLFQWAFAIAAAGITSGSIAERTQFSSYLMFSSFLTGFVYPVLSHWYWSGDGWASAGRNLGQSLLFKSGVIDFAGSGVVHMVGGIAGFWGAFIEGPRIGRFDHEGRTVALRGHSASLVVLGSFLLWFGWFGFNPGSFVTIFKSYGPSGSINGQWSAVGRTAVTTTLAGCAAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITSGCAVVDPWAAIVCGFVSAWVLIGLNTLAAKLKYDDPLEAAQLHAGCGAWGILFTALFAKEKYVNEAYPGRPGRPYGLFMGGGGRLLGAHIIAILVNIGWVSCTMGPLFFILHKLGLLRISREDELKGMDLTRHGGFAYVYHNEDPGHRQLASFQLKSAAARVDPSTPPQAAEPNDA >Ma05_p19490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27941382:27947652:-1 gene:Ma05_g19490 transcript:Ma05_t19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASTQFASPRKMGIFEPNHQIGMWGDSFKADSSQNTGASSIVEAEIKLDNRLEDSPHTMLGPSKKYDQEANKPTDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQIEQQLDQAKQQGVYIGGNLGESTLGLSGSVNSGVTAFEMEYGHWVEEQNRQTSELRAALQAHVSDIELRMLVESALSHYDNLFHIKAIAAKSDVFYLMSGMWKTAAERFFLWIGGFRPSELLKVLSPQLDPLTEQQVISVCNLQQSSQQAEDALSQGMDKLQQNLAETLTSDSSGTSGVSDYMGQMVNAMGKLEALVSFVNQADHLRQQTLQQMYKILTVRQAARGLLALGDYFQRLRALSSLWAARPREPA >Ma05_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27941382:27947650:-1 gene:Ma05_g19490 transcript:Ma05_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLPGASRRISSSNNMSSASTQFASPRKMGIFEPNHQIGMWGDSFKADSSQNTGASSIVEAEIKLDNRLEDSPHTMLGPSKKYDQEANKPTDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQIEQQLDQAKQQGVYIGGNLGESTLGLSGSVNSGVTAFEMEYGHWVEEQNRQTSELRAALQAHVSDIELRMLVESALSHYDNLFHIKAIAAKSDVFYLMSGMWKTAAERFFLWIGGFRPSELLKVLSPQLDPLTEQQVISVCNLQQSSQQAEDALSQGMDKLQQNLAETLTSDSSGTSGVSDYMGQMVNAMGKLEALVSFVNQADHLRQQTLQQMYKILTVRQAARGLLALGDYFQRLRALSSLWAARPREPA >Ma08_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36212767:36217205:1 gene:Ma08_g22680 transcript:Ma08_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGSSRFDLGSSSLPCDLSLDIVSLLEASDVCSLGSCSRFWQGLCASDSVWIALYKRRWPSAVSDLGALPSQGCKTFYINKHKKLASAVSDVIKSVLEWSKSGSMEVGYYLKAISDLGSMELGFKDVQLFLFRREHNVLLNLIGLHYCIFSLDIPPVDVMEVLESCQVSEQQVCVSWFMLGRWFYGFRLPDEHRSRIVSLTELAMGKEGEVLGVLNRGAIHEVLRVQITTVATS >Ma02_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23309229:23312900:-1 gene:Ma02_g15720 transcript:Ma02_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G53890) UniProtKB/TrEMBL;Acc:C0LGV8] MASSSPTTFFLKWALLLCSLCPALAGPSSSCHPADLQALAEFAGNLTAGSILSNWSRPELCCSWDGIVCSEASGRSSGPGRRVVELLLSGRGLSGVLASSMDRLEVLDLSFNALSGSIAAVGRMTALRAVNLSSNNFSGPLPDLTSLPALAVFNVSNNSLAGPIHPDICAGAAAIEVLDLSVNSFSGPLPDETVAECSATLRELYLGYNSLSGDLPDSLFDFVALEKLSLASNDLSGQLGERLSKLSSLRTLIVSGNRFSGPLPNVFGNLTKLQQLVAHCNTFNGTLPRSLELCAMLRDLDLRNNSISGSINLDFSRMKLLTSLDLATNHFYGHLPVSLSDCQALKTLSLAKNGLSGQVPEEFGNLSSLTLLSLSNNSFQNVWTALEILQRCKNLTTLILTKNFLGEEIPDIPLRFENLEVLAIGNCALTGQLPLWLLDCKRLQVLDLSWNHLTGGIPPLIGQLDNLIYLDISNNSLTGEIPKNLTQLKSLINISSSATRSSIGLPLYVKRNQSISGLQYNQLSNFPPSLYLNDNGFNGMIWPEFGNLKALHVLDMSNNSITGSIPDTLSEMSNLEVLDLSYNELNGSIPASLSKLNFLSKFSVAHNNLKGEIPTGGQFFSFSNSSFDGNPGLCRSPCPSNKTQALGLSPEIPSNMNNKFGKTGILNITIGIGVGIAFLLAVVLFKMSRKDAGVPVDEVELEDGSYTSSELGSKLVLFFQNSDAKELTINDLLKSTNNFDQSNIIGCGGFGLVYKAYLPDGTKAAIKRLSGDCGQMEREFRAEVEALSRAQHKNLVSLKGYCRCGNDRLLIYTYMENGSLDYWLHERADGGSLLKWEVRLKIAQGSARGLAYLHKICEPNIIHRDVKSSNILLDDRFEAHLADFGLARLIDPYKTHVTTDLVGTLGYIPPEYSQTLTATLKGDIFSFGVVLLELLTGRRPVDISKAKGCRDLVSWVLQMKSEKKEEQMFDTVIWNKAHEKQLLSVLETACRCISPDPRNRPSIDQVVSWLHAAGSDG >Ma09_p26030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37383212:37384278:1 gene:Ma09_g26030 transcript:Ma09_t26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVFSLFFLLLQALLLLPSSAASSSNLFREYIGALFNGVRFSDVPVDPGVQFHFILAFAIDYTSVGSPSPTNGKFNIFWDSQNLTPARAAAIKSSHPNVRLAVSLGGDTVSGRFANFTPSSIDSWVDNAVASLTGIIKQYHLDGIDVDYEHFEADPDTFAECVGRLVTRLKRSGVISFASIAPFDDDDVQRHYLALWKKYGQVIDYVNFQFYAYDARTTVSQFLSHFDQQKSNYRGGRILASISTEKNPGGLSPQNGFFRACRTLKNQDKLGGIFIWAADSSKSDGFRYEKQAQSLLSVSS >Ma03_p31120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33461831:33462160:1 gene:Ma03_g31120 transcript:Ma03_t31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHGNQPTVTQSSIALLQERFRQLQRVKEMREEREQQQCRRPVWFIHPDLIRPSRPLRGPPPGWFDDHSDRSGSQSTSPSVSSWLDQTAARFPVSSSEPDVDTTLHL >Ma06_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8303163:8305132:-1 gene:Ma06_g11900 transcript:Ma06_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGIFATDSEDEPSGPGSSFSTPNERTGLRMYQQTVNAMSHISFSTDETVSSHKKPTSLAEVAKQRELTGSLLSESDDRLMKQLSDAKCKELSGHDIFAAPEVLPRSSGWNLEMNDSDLRETTPRNLQSPVKVPQAGGASNLLFGEEPEVKSTKKIHTQKVAELTGNGIFKDDATPGAVEKTLSTAKLKEMSGSDIFADGKAPSREYLGGIRKPPGGESSIALV >Ma07_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7381196:7382019:1 gene:Ma07_g09900 transcript:Ma07_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAVFEAGERYKAARERVIDPMCSAMMGRKRWVLETMLIGLACAVDLIGVSGDVGTATSYGPPYLPTKCPGYTQDQLPGNGHFVAAGSGIWDNGAACGRRYLLRCLSGLRRPCKDGSIVVEVVDFCRHSPCPSTLVLSTRAFDAVSKIPNTKINVEYAQI >Ma07_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27695678:27706527:-1 gene:Ma07_g19770 transcript:Ma07_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWGGLGCCASAAALYLLGRNSGRDANVLRSVTRVNQLKDLAVLLDTACKVLPLVVTVTGRVGSETPINCEQSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGSGRVYVVGARGATGLVLTVASEVFEDSGRSLVRGTLDYLQGLKMLGVKRTERILPTGTALTIVGEAVKDDVGKIRIQRPHKGPFYVSPKNIDQLIVNLGKWARWYQFASMGFTVFGVFLLAKHALQYILERRRRRELQKRVLSAAAARQARDAEGSNQESEKLSDNMKKDHLMLDICVICLEQEYNAVFVPCGHMCCCTTCSSHLTSCPLCRKRIDQVVKTFRH >Ma06_p22040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16956321:16987163:1 gene:Ma06_g22040 transcript:Ma06_t22040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLISSEESRMEPVDLEDFRSRLKVVSEMQMRLFGSSDASSVDTNKLDDCSSDLQEMFKRSEAEEQNIASLGIDDLESYMEQLKKEICSAEEENTNISSEVETLTRMVTGDLVQLDGDLEALTCSLKFFDSQGLHHLSSSCPDNILLHRESHECPLHMLNENKFEILDLNQRIERSKENINILQDLDHALKRLEAVGHLEHMFSDARVIDFQGSCIRLSLKTPIPNIDGFISQHNLDFVEPFVSEHEMLIEVIDKTMELKSVEIFPDDVFIDGVVDTIKSSRDFISSTTSSLGWFIRQVQHRIVLCMLRRLLVKDANKSRHSLEYSDRDDTVTAHLVGGIDTFIKLAHGWPISSYPLKLLSIKNRDHQSKGISLSFLCKVKEMANSLDMQSRLHLARFVDAIEEILLQEMQSELHSNSMTA >Ma06_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16956321:16987163:1 gene:Ma06_g22040 transcript:Ma06_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLISSEESRMEPVDLEDFRSRLKVVSEMQMRLFGSSDASSVDTNKLDDCSSDLQEMFKRSEAEEQNIASLGIDDLESYMEQLKKEICSAEEENTNISSEVETLTRMVTGDLVQLDGDLEALTCSLKFFDSQGLHHLSSSCPDNILLHRESHECPLHMLNENKFEILDLNQRIERSKENINILQDLDHALKRLEAVGHLEHMFSDARVIDFQGSCIRLSLKTPIPNIDGFISQHNLDFVEPFVSEHEMLIEVIDKTMELKSVEIFPDDVFIDGVVDTIKSSRDFISSTTSSLGWFIRQVQHRIVLCMLRRLLVKDANKSRHSLEYSDRDDTVTAHLVGGIDTFIKLAHGWPISSYPLKLLSIKNRDHQSKGISLSFLCKVKEMANSLDMQSRLHLARFVDAIEEILLQEMQSELHSNSMTA >Ma08_p33270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43649797:43650990:-1 gene:Ma08_g33270 transcript:Ma08_t33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSPASPLLLAACLLLALAGRLRAEPCIAVYWGQNGNEGGLREACATGYYKYVLIAFLNQFGGGQMPQMNLAGHCDPNTGGCTFLSNDIISCQQEYNVKVMLSLGGGIGSYRLVSEEDAREVAAYIWDNFLGGSSPNRPLGDAVLDGVDFDIEGGSKDHWDDLARFLNAYSTPEQKVYLSAAPQCPKPDYYLQTAIDTGLFDYLWVQFYNNYCQYSPSTVDTFVQVWNQWTSTSVGKVFLGLPASPAAAGSGYVTPDDLINIVLPLVKDSDKYGGIMLWSRYYDGLNNYSAQVKDYVCPNDVSFTSTMRIKPLMKV >Ma08_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4023646:4036186:1 gene:Ma08_g05940 transcript:Ma08_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVNAMAVARGMMMMMAAAAAGVGGGGKGETVAVLQEAEDVPFGTVWWYVYAGISCLLVLFAGIMSGLTLGLMSLGLVDLEILQRSGTPTEKKQAAQILPVVQKQHRLLVTLLLCNAAAMEALPLYLDKIFHPFVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPIAYPIGKILDCALGHSESALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEAAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLAVRAETETPVSAVSIRRIPRVPGDMPLYDILNEFQKGSSHMAAVVKAKGTSKTPSPAEGEKLEADNKSSGKSELMAPLLSKGAKSDSVFVDIDKWQNKQANGDKATLQLNDAAASVLAHLTEDQDEEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSLRRTTGQKAAGAQNRQGQQTTGISRKPTDADLNTPRH >Ma04_p34780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33818257:33819313:1 gene:Ma04_g34780 transcript:Ma04_t34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSMAVETTTALIPPASTETSDEDLLHMEGWAKRKRTKRHRFSDRPPTEEESLAHCLVMLARGGPGPRLPSLASDSTLAPPPGKLEYNCSVCGKAFGSYQALGGHKTSHRKLTCAADEAAVDTSGSSTAGAGRLHQCSVCLKTFPSGQALGGHKRCHYDGTLGNRGLDLNVPSLPEFEFDGGVRRCLPATAAAAATAEEEEVQSPLAFKKPRLLIPA >Ma11_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3448680:3449682:-1 gene:Ma11_g04420 transcript:Ma11_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSRDPHELMLLFHTQERDFYCRLVRQLDQNPERMKQVIALWLWFESIDHYEFIRRVSSDNSDDVILGFVAEAEACLDRLMGQHEQTRGDELPLTSSLMAEKMDLRFFESHRDEAIKGVRHIFNNVCQIIFDDVLVERAAEAANHPDDHRLRPSGLHDISPPTREGSSQGEGGASSAGVAMSLPAQARTVLPPRDSTLNPMARPWSPESNRSPKDLRSMFITFSRGHPISIPDIVEFFNARYGVCLETVMIERVSPGQHPMYGRLVFRNASAIARVLNGQPTAKFIINGRHLWARMYTPRRG >Ma04_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19446858:19448655:1 gene:Ma04_g18040 transcript:Ma04_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTGASVVRLVSFYGMYLMANEDEKHVRLSKPDASDNALWNVEIVNRDRNYPRVRLRSYVNCYLAPDASKRRCFHGIFKDQNVFQEHRDGRSILAEWHPVREGLFVQLRCSFGDYLRGRVGHLIFPNSVMVDRPSGEHMGLSYLWEVHLIRLDEPPPRRPLSQAAEPSGASSSMAAIPSSSEIVPAAVSMAGEAFTSAMAAGPSSSTVSPPSGFRAEDRAFIYVIADDAGKADDPQEVLTSRLLGTSTSELTSKLRERTGLDDVYVCARSWQDQNVFVPLHEEVPSMLECTHHLVVFNANSRGAYESLAGARVVRLRTIHDKYLTVDDDMLGLRQQHDGSLVRTWWSVERITDNRNQLRLRFQNCFGVDLAAPLSDFFLQRLAGGKDASAVLRQIRGLHDARKEWALIRVGSRFMVRCNFEDYFLWADPLPGSDAAGVQLPSSSNTLDPFLWDVEILESDAGASSSMVVGPSSSLAAAEAGPSSRP >Ma08_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38062885:38070499:1 gene:Ma08_g25070 transcript:Ma08_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHAGPGSASGSASSSSSGFHFPNSLFGDTTYTKVFVGGLAWETKSETLRRHFEQFGETLEAVVITDKNTGRSKGYGFVTFRDPESARRACADPSPVIDGRRANCNLASLGRSRPFLPFGVRPRSGLYLGGMSVQRGPYVGSPAHQQPVPFSYQEGLPYAAYSYNLYGPEYVYPQNAYNPYTGQPYVQVYGFPGAINTSIYPFDQFGQPIPPGYTAMHGYTLPTQPIVQLGGPNVNGMASAPRPVIQSPYPAGLAAPIPAQPHVIVPAQSPQFMQGSSSDQTTV >Ma08_p25070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38062885:38070499:1 gene:Ma08_g25070 transcript:Ma08_t25070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHAGPGSASGSASSSSSGFHFPNSLFGDTTYTKVFVGGLAWETKSETLRRHFEQFGETLEAVVITDKNTGRSKGYGFVTFRDPESARRACADPSPVIDGRRANCNLASLGRSRPFLPFGVRPRSGLYLGGMSVQRGPYVGSPAHQQPVPFSYQEGLPYAAYSYNLYGPEYVYPQSLLQNAYNPYTGQPYVQVYGFPGAINTSIYPFDQFGQPIPPGYTAMHGYTLPTQPIVQLGGPNVNGMASAPRPVIQSPYPAGLAAPIPAQPHVIVPAQSPQFMQGSSSDQTTV >Ma03_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:730457:733549:-1 gene:Ma03_g00930 transcript:Ma03_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGQQARKAMLGECPKKVARLIDLVNLPSNLREFAGGQSQMAHLSFFIRVWSHIKTHNLQDPNNKNLVNCDDKLKSILLGKTQVELSKLPMLINLHFPKPSK >Ma08_p17170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23342185:23345104:-1 gene:Ma08_g17170 transcript:Ma08_t17170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPSSSLLRVGVEVEKVQRREGRRAKPTMWNLNDSPDQMKDAATDAEEDNKGKAPETVSTDNDRCCYDNSSSSAVEADGDCKIFGFTVTGRGLQHVEGSCSADSEPAVITRQFFPLDEVMEAGDVGGGAAESSLPPAHWVGVQFGQSPQRSATATETAVEASQPAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNMEDYEEDIKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKNRYVYLGLFDTEVEAARAYDNAAIKCYGKDAVTNFDPSIYVEELDPSDGKKEHNLDLSLGRSNSDIDDPRGVDKGVGMDSKAKLQLANSSGDGGGHHQQQQQQQQRRQRQWNWGNGSSDRLRRFADMATPRVMASSAAASSGFPQQTARPHLTWL >Ma08_p17170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23342185:23345104:-1 gene:Ma08_g17170 transcript:Ma08_t17170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLNDSPDQMKDAATDAEEDNKGKAPETVSTDNDRCCYDNSSSSAVEADGDCKIFGFTVTGRGLQHVEGSCSADSEPAVITRQFFPLDEVMEAGDVGGGAAESSLPPAHWVGVQFGQSPQRSATATETAVEASQPAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNMEDYEEDIKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKNRYVYLGLFDTEVEAARAYDNAAIKCYGKDAVTNFDPSIYVEELDPSDGKKEHNLDLSLGRSNSDIDDPRGVDKGVGMDSKAKLQLANSSGDGGGHHQQQQQQQQRRQRQWNWGNGSSDRLRRFADMATPRVMASSAAASSGFPQQTARPHLTWL >Ma08_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23342185:23345104:-1 gene:Ma08_g17170 transcript:Ma08_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPSSSLLRVGVEVEKVQRREGRRAKPTMWNLNDSPDQMKDAATDAEEDNKGKAPETVSTDNDRCCYDNSSSSAVEADGDCKIFGFTVTGRGLQHVEGSCSADSEPAVITRQFFPLDEVMEAGDVGGGAAESSLPPAHWVGVQFGQSPQRSATATETAVEASQPAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNMEDYEEDIKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKNRYVYLGLFDTEVEAARAYDNAAIKCYGKDAVTNFDPSIYVEELDPSDGKKEHNLDLSLGRSNSDIDDPRGVDKGVGMDSKAKLANSSGDGGGHHQQQQQQQQRRQRQWNWGNGSSDRLRRFADMATPRVMASSAAASSGFPQQTARPHLTWL >Ma08_p17170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23342185:23345104:-1 gene:Ma08_g17170 transcript:Ma08_t17170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPSSSLLRVGVEVEKVQRREGRRAKPTMWNLNDSPDQMKDAATDAEEDNKGKAPETVSTDNDRCCYDNSSSSAVEADGDCKIFGFTVTGRGLQHVEGSCSADSEPAVITRQFFPLDEVMEAGDVGGGAAESSLPPAHWVGVQFGQSPQRSATATETAVEASQPAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNMEDYEEDIKQMNNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDNAAIKCYGKDAVTNFDPSIYVEELDPSDGKKEHNLDLSLGRSNSDIDDPRGVDKGVGMDSKAKLQLANSSGDGGGHHQQQQQQQQRRQRQWNWGNGSSDRLRRFADMATPRVMASSAAASSGFPQQTARPHLTWL >Ma06_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6060216:6063927:-1 gene:Ma06_g08570 transcript:Ma06_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVVVNKKVVLKHYIPDGPATESDMELVATDTLRLRLPPGSTAVLVKNLYLSCDPYMRSRMTKHDEPSYVDDLVPGTPITGYGVCKVLDSGHPDFKIGDYVWGITGWEEYSLMTSTEGLFKINHTDVPLSYYTGILGMPGFTAYVGFYEIGSPKKGERVFISAASGAVGQLVGQFAKLMGCYVVGSAGSDEKVNLLKNKFGFDEAFNYKKEPDLNKALRRCFPEGIDIYFENVGGAMLEAVLRNMRLNGRIAACGMISQYNVERPEGVNHLFAIVTKRIRMQGFIVFDHFRSYREFEEKVVQYIKEGKIVYVEDIAEGLENAPAALVGLFAGRNVGKQLVIIARE >Ma02_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16416034:16419672:1 gene:Ma02_g05080 transcript:Ma02_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGATATTGAAAAVSPTPVENGESHKQVAGERGEDKPSQGGQVAASASASALVLSLASAPAPAAVVVDGPAAAAEGVALGMKKKRGRPRKYGPDGKLVRPLNPSPISASMPLGEYTPATAVGAVMKRGRGRLMGFGLGKPLQYGFQLESVGELIACSAGANFTPHVLTVAAGEDVTMKIMSFSQMGPRAICILSANGVISSVTLRQSDSFGGTLTYEGHFQLLSLSGSFMPTENGGTKSRSGGMSVSLASPDGRVVGGGIAGLLVAASPVQVVVGSFLPSYHLEQKIKKAKLETSSISTPTATIPISSTATEGAHCGRPTHCSSITPESNLTNATSFKGDNWAAPLQSVPDTRDPTTNVGITSPGN >Ma04_p10020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7184535:7188498:-1 gene:Ma04_g10020 transcript:Ma04_t10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRTSWISACYHPFFVGVVCFLFIVYKLFPSLFSLLLNSSPVIACTTLLLGIFLSYGEPNVPENKKDHINMTQTSSSLKIEPVANDLGCKNEENFKVEAHLGGRRGKATKRTTIKTIASGDRRLSAASRAVKEDEVNQMDATSVDSNSFVEEDHSKSNFIEEKGYHIGNLAEGLQNVKEHIGRVIALSFTVLSAKANRTKLDPSDPCLDSQWHDDHRDDSSDSKSNGKEMSNSHATNGVSVLDELDLLLDSETSQADLVSKDNADDGSVSFSQNHVSEDGSGEEEAETQDDDDDEEAQEETDDGNKAAVTWTADDQRTLMELGNTELERNLRLEILIAKRKASKAMEKNLIDLDDNMDEILQSHGHLPSVNAPRRNPFDLPDVLDESVPGSAPSVLLPRRNPFDLPYEQVDDEENSSHKEFVAVPQHDIPLRRHESFTVGASFFSDFNQEKRSSRFMPYFGAEEMDFADPQRKLREISDSNVKSTLKSDTVSSVTDQEHPKDLHRLTGSPITNSAGSAENDSQVESQMKMNDDHTNNLNHSIMMEQTHQATENIGAVEYNTKEGSESRSPISYAVRSEVTKEDCESSSTSSSEAEKNTSRISTHEQISNQEQITSGSSKDSMVSAKSVATDSDTGNVKADHVHDGHVVEPLYDSSPTQTEKSHSNSALDEAPYIAERNVPPSSDAPSANGFIWFASPSLASVDENEAASREISVIKELDVIGDEARVRKDSGRPLWRILPSPAARKRTLHSSLSAVEIQSNEGS >Ma04_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7184535:7188498:-1 gene:Ma04_g10020 transcript:Ma04_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRTSWISACYHPFFVGVVCFLFIVYKLFPSLFSLLLNSSPVIACTTLLLGIFLSYGEPNVPENKKDHINMTQTSSSLKIEPVANDLGCKNEENFKVEAHLGGRRGKATKRTTIKTIASGDRRLSAASRAVKEDEVNQMDATSVDSNSFVEEDHSKSNFIEEKGYHIGNLAEGLQNVKEHIGRVIALSFTVLSAKANRTKLDPSDPCLDSQWHDDHRDDSSDSKSNGKEMSNSHATNGVSVLDELDLLLDSETSQADLVSKDNADDGSVSFSQNHVSEDGSGEEEAETQDDDDDEEAQEETDDGNKAAVTWTADDQRTLMELGNTELERNLRLEILIAKRKASKAMEKNLIDLDDNMDEILQSHGHLPSVNAPRRNPFDLPDVLDESVPGSAPSVLLPRRNPFDLPYEQVDDEENSSHKEFVAVPQHDIPLRRHESFTVGASFFSDFNQEKRSSRFMPYFGAEEMDFADPQRKLREISDSNVKSTLKSDTVSSVTDQEHPKDLHRLTGSPITNSAGSAENDSQVESQMKMNDDHTNNLNHSIMMEQTHQATENIGAVEYNTKEGSESRSPISYAVRSEVTKEDCESSSTSSSEAEKNTSRISTHEQISNQEQITSGSSKDSMVSAKSVATDSDTGNVKADHVHDGHVVEPLYDSSPTQTEKSHSNSALDEAPYIAAERNVPPSSDAPSANGFIWFASPSLASVDENEAASREISVIKELDVIGDEARVRKDSGRPLWRILPSPAARKRTLHSSLSAVEIQSNEGS >Ma04_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26804991:26808682:1 gene:Ma04_g24940 transcript:Ma04_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDNGSSSPGKEQIPCPDPQHGTDTAAVPVAAAEGDREVESLAHRIQEAVAVGMRHRFWETQPVGQFKDAGDTSLSEGPIEPPAPLSAVKPEPYNLPALYEWTTCDMDDEQTCAEVYNLLTNNYVEDDENMFRFNYSKEFLQWALRPPGYFKAWHIGVRVKATKKLVAFITGVPARIRVRDEVVRMAEVNFLCVHKRLRSKRLAPVMIKEVTRRVHLENVWQAAYTAGVVLPTPFTTCRYWHRSLNPKKLIDVGFSRLGARMTMSRTIRLYKLPGSTATPGLRKMELRDVPAVTRLLREYLSQYVVAPDLDENDVEHWLLPLENVVESFVVESPETHEVTDFFSFYSLPSSILNNQNYSVLKAAYSYYNVAKKTPLLQLMNDALIVAKQKDYDVFNALDAMHNETFLKELKFGPGDGQLHYYLYNYRVRNALRPSELGLVLL >Ma11_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5828673:5830843:-1 gene:Ma11_g07340 transcript:Ma11_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGSIIPCKAAVAWEAGKPLVIEQVEVAPPKAMEVRVKIKYTSLCHTDVYFWEAKGQAPLFPRIFGHEASGIVESVGEGVTDLEVGDHVLPVFTGECKECAHCKSQESNMCDLLRINTDRGVMIGDGNARFSKNGNPIYHFLGTSTFSEYTVVHVGCLTKIDKSAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEVAKKFGITEFVNPKDHDRPVQEVIAEMTNGGVDRSVECTGNVKAMMSAFECVHDGWGVAVLVGVPNKDDMFMTKPMNLLNERTLRGTFFGNYKPRTDLPSVVDMYMKKQLEVEKFITHRVPFSDINKAFDYMLKGESLRCLISMDE >Ma01_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10627606:10628755:1 gene:Ma01_g14540 transcript:Ma01_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVRRSNIFDPISVDVFNTFPGFASETSAFANTRIDRKETLDAHIFKADLAGVNKEEVEKRRVLQISSVRNEEQKEKNDKRHSVERRFRQSENEQVLARRTVRCHSGFTESGRAVQRLEIRFSCNVTYSSSRDVTCSEGTVEKPDGKSLRRKEEVKEPDGKSLRRKEEVKEPDGKSLRRKEEVKEPDGKSLRRKEEVKEPDGKSLRRKEEVKEPDGKSLRRKEEGGS >Ma11_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6247383:6248022:-1 gene:Ma11_g07830 transcript:Ma11_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGVGLNTSRGAAATSPSGAVSSVPHSAWHSPVPYLFGGLAAMMGLIAFALLILACSYWKFSGYLDSVEDADNREADGEASCGPGDAAKPPLLFEEGIVVIMAGDCMPTFLATPIASCAATVAAKSDDSSNAAAHGGVISPQVTAPESCSN >Ma05_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4917813:4920112:1 gene:Ma05_g06670 transcript:Ma05_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLKRGHGFDKSEEGDEVCEVGGHQAHSLILEGSENGFGVEEDIFFHSQGAGAGRPLGAQQIGSVLERSSGIGPRSRQRKDGGARAGPPSDMELMKERFTKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQWRLEPMSAERKARWRKEIGWLLSVTDYIVEFVPSRQTSKDGSIMEIMITQQRKDLQLNIPALRKLDAMLIGYLDNFKDQNEFWYVSRDADESEKGAQRKDDKWWLPTVRVPPNGLSDVSKRWLQFQKESVNQVLKAAMAINAQVLMEMEVPEAYIDSLPKNGRASLGESIYRNITDDVFDPGEFLETMDLSTEHKVLDLKNRIEASIVIWKRKMHDKDSKSTWGSAVSLEKREQFEERAETILLLIKQRFPGLPQTSLDISKIQYNRDVGLSILESYSRILESLAFTVMSRIEDVIYADSLAQNPATKNSNRRQSLHDAAAVKKLHAKEEPEKVESPSSMTLSDFMGWNFEPEPVMKKNSGYVDDIISSKKKPPDVEAVKKVSYIEKVEHVGGMRSPTARH >Ma00_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:38845635:38845850:1 gene:Ma00_g04510 transcript:Ma00_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKLQVLVDDAWKDINEECLNQTAFPVALLQRIVNFARMIEILYKYIDGYTNSSTKTKEYISLLLVRPIPL >Ma04_p28850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29852907:29859982:1 gene:Ma04_g28850 transcript:Ma04_t28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDGLKEAVDMDALLKEAVDLENIPLEEVFENLRCTREGLTTQQAEERLAIFGHNKLEEKKESKILKFLGFMWNPLSWVMEAAAVMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEEEAAILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFTKGVSQDTVILMAARASRTENQDAIDTAIVGMLADPKEARDGIQEVHFLPFNPTDKRTALTYIDSEGKMHRVSKGAPEQILNMAHNKTEIERRVHAVIDKFADRGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAMMTVIFFWAAYKTNFFPRIFKVESLEETAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVTAFLVAQLIATLIAVYADWGFTAIKGIGWGWAGVIWLYNIVFYFPLDIIKFLIRYALSGRAWDLVIEQRIAFTRQKDFGKEARELKWAHAQRTLHGLQPPDTKMFGDRSSFTELNQIAEEARRRAEIARLRELNTLKGHMESVVRLKGLDIDTIQQAYTV >Ma09_p26010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37372124:37378694:-1 gene:Ma09_g26010 transcript:Ma09_t26010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPPPPSEGLGDDFLEQILSMPSSFGGGDDASLAAGMALHRSSGDGSSVAARGGGAFPLGLSLEQGSSTGKRLFEGQNTKMNPAPSFPNWQSGVGGEFELGSSLGVAVEQERDSMQLAGLFPPGFGHIHPHQIRSNPPPQAFHGQVKQGGVTTVPQPPAPRPKVRARRGQATDPHSIAERQRRERIAERLRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPVSVEGETSEGGSTQHIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKSLCMMPISLAMAILDTHHQSESKTVKPEPNTPS >Ma09_p26010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37372124:37378694:-1 gene:Ma09_g26010 transcript:Ma09_t26010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPPPPSEGLGDDFLEQILSMPSSFGGGDDASLAAGMALHRSSGDGSSVAARGGGAFPLGLSLEQGSSTGKRLFEGQNTKMSGVGGEFELGSSLGVAVEQERDSMQLAGLFPPGFGHIHPHQIRSNPPPQAFHGQVKQGGVTTVPQPPAPRPKVRARRGQATDPHSIAERQRRERIAERLRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPVSVEGETSEGGSTQHIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKSLCMMPISLAMAILDTHHQSESKTVKPEPNTPS >Ma09_p26010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37372124:37378694:-1 gene:Ma09_g26010 transcript:Ma09_t26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPPPPSEGLGDDFLEQILSMPSSFGGGDDASLAAGMALHRSSGDGSSVAARGGGAFPLGLSLEQGSSTGKRLFEGQNTKMERDSMQLAGLFPPGFGHIHPHQIRSNPPPQAFHGQVKQGGVTTVPQPPAPRPKVRARRGQATDPHSIAERQRRERIAERLRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPVSVEGETSEGGSTQHIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKSLCMMPISLAMAILDTHHQSESKTVKPEPNTPS >Ma06_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1694699:1698392:1 gene:Ma06_g02120 transcript:Ma06_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-I [Source:Projected from Arabidopsis thaliana (AT1G61010) UniProtKB/Swiss-Prot;Acc:Q9C952] MASAMTGQTSSLKRRDTTAATAGPREGDQLIVTPLGAGSEVGRSCVYMSYRGKIVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLYDEQDILRTMDKIEVIDFHQTVEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEIPQFSPDICIIESTYGVQLHQPRLIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWSNHPEFHNIPIYYASPLAKRCMAVYQTYINAMNERIRNQFANSNPFDFKHISPLKSIENFDDVGPSVVMASPGSLQSGLSRQLFDKWCSDKKNACVLPGYVVEGTLAKTILNEPKEVTLMNGLTAPLNMQVYYNSFSAHADFAQTSAFLNELMPPNIILVHGEANEMGRLKQKLIGQFADKNTKIMTPKNCQSVELYFTSEKMAKTIGRLAEKTPEVGETVSGLLVKKGFTYQIMAPDDLHVFSQLSTANITQRISIPYSGAFSVIKHRLKQIYESVEAPIEEPDVPTLIVHDRVTIRQETEKYVTMQWPSDPISDMVSDSVVAMILNISREGPKVTAVAEAEKTQEEMEKMAHKVIYSLLVSLFGDVKVGEEGKLIVTVDGDVARLDGKNGEVECDNEGLKERVKTAFRRIQSAVRPIPLSAS >Ma04_p38630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36071384:36071536:-1 gene:Ma04_g38630 transcript:Ma04_t38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIDIACKDAVKGMPSRRPIVEMMIPSVLDQIISPPGMIYIVCCVIWLL >Ma05_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1005968:1009268:-1 gene:Ma05_g01650 transcript:Ma05_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLSPLRLPLPPPRPSSSSPAAPKPNVYAAFRISTVQRPILSLPPTTGSCGFSKSRGGRCVVPLAMSAAASGDSDGSTRLSMDAIRKLFDGFPQPVKSFPWMRVLWSFQNIICELVWAVAKYLSVPLLAVTSLSEMSYCAHERKMGVIPIPVVVGFAVAGCLKDAAEQFSSDLKEGGLPWHLLLIASFFALLKLPGPSYPYWGRLLIPHLANGGLGRTIWLIFMWYRRPNAVPGAS >Ma11_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14833380:14838029:-1 gene:Ma11_g11740 transcript:Ma11_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYM [Source:Projected from Arabidopsis thaliana (AT1G11400) UniProtKB/TrEMBL;Acc:A0A178W3L1] MASAGDDPPPPRLLSIPKEGERILAPTRRPDGTLRKPIRIRAGYVPQDEVAIYQSKGVLLRKASEPEVPPGYDPALAEKPKTKSAKRNERKKEKRHQAALEKEKTLDTEQAEVIKTEPVPSAESIAQGEESVELVTDQISRISVSATPVVVSPSTDTVEIPKAESSGVDLDKRIRALKKKIRLAEAQLQGDQQSMKPEQVEKMRKMDGWREELKLLEDRKCSWVFSYVGCTIFSNCGCAEPTPKPVAFG >Ma05_p22640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34390808:34393031:1 gene:Ma05_g22640 transcript:Ma05_t22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNERHRLMNLPLTLHTRPFTSQIIDIHILRQSPPHSLRGLARWNGCDRPFPRTSSRHLLLHFFSVSYPLLRVAIIKEYSALFWTAELITVTGRLAALDSVMGSGAVRTALVVAGRVANDVLSFVVFTLLDVVDGLLCFFYKLADYALEAQWKPCYCSSSPGGIISGSSKIFVLSMISSTKLHLEDISDTLYSRPSFLSETSKTVLDELRRRKLIRWRRSTSPSSVTAATTVTVNSTIIQMLQGKMGSKKSHPVRSWSDCPCDSCSPSGSRDTLFVHAECPKDGSMAEEDVLFIHGFISSSAFWTETVFPNFGDEARARYRLLAVDLLGFGKSPKPVDSLYTLREHVETIEKSVLECYNVKSFHLVAHSLGSLLALALAVKHPGAVKSLTILAPPYFPVPKGEQGTQYVLRRVAPRRVWPPITFGSSVICWYEHISRTVCLILCKHHRLWERIFKFATRNRVRTYLMDGFFCHAHHASWHTLHNIICGSAGKMEGYLDEVRDRLSCDVAVFHGRDDELLPLECSYAVKSKIPRARVTVVDDKDHITIVVGRQKAFARELEEIWRNAKSNSERYSQRRKN >Ma05_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34390808:34393031:1 gene:Ma05_g22640 transcript:Ma05_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNERHRLMNLPLTLHTRPFTSQIIDIHILRQSPPHSLRGLARWNGCDRPFPRTSSRHLLLHFFSVSYPLLRVAIIKEYSALFWTAELITVTGRLAALDSVMGSGAVRTALVVAGRVANDVLSFVVFTLLDVVDGLLCFFYKLADYALEAQWKPCYCSSSPGGIISGSSKIFVLSMISSTKLHLEDISDTLYSRPSFLSETSKTVLDELRRRKLIRWRRSTSPSSVTAATTVTVNSTIIQMLQGKMGSKKSHPVRSWSDCPCDSCSPSGSRDTLFVHAECPKEEDVLFIHGFISSSAFWTETVFPNFGDEARARYRLLAVDLLGFGKSPKPVDSLYTLREHVETIEKSVLECYNVKSFHLVAHSLGSLLALALAVKHPGAVKSLTILAPPYFPVPKGEQGTQYVLRRVAPRRVWPPITFGSSVICWYEHISRTVCLILCKHHRLWERIFKFATRNRVRTYLMDGFFCHAHHASWHTLHNIICGSAGKMEGYLDEVRDRLSCDVAVFHGRDDELLPLECSYAVKSKIPRARVTVVDDKDHITIVVGRQKAFARELEEIWRNAKSNSERYSQRRKN >Ma09_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1767932:1768501:-1 gene:Ma09_g02510 transcript:Ma09_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKNEHRSNKILNRLHQGSSFPVITLSRMQAEKEERRLPRVLANRESARQTILRRQALRKELKRRVADLSLQNENMKMEKDLAAKEYLSLKGANEHLKEQV >Ma06_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18201282:18204374:1 gene:Ma06_g22450 transcript:Ma06_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQSKVFLCSKKTGKGKRPGKGGNRFWKSIGLGFKTPKEAIEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHYVKKYQRYEKRHSNIAAHISPCFRVKEGDHVIIGQCRPLAKTVTFNVLKVIPAGSTSGGGKKAFAAV >Ma07_p28990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34840645:34848400:1 gene:Ma07_g28990 transcript:Ma07_t28990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKIKKEDVQSAVDQYEKYHVSYGGDEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPRMKVLDVGCGIGGPLREIARFSSASITGLNNNEYQISRGTELNRIAGLSESCNFVKADFMKMPFSDDTFDSIYAIEATCHAPDAVGCYKEIYRVLKPGQCFAAYEWCMTDHFDPNNESHQKTKSEIELGNGLPDIRTTRECLDALKLAGFEVVWEKDLAVDSPVSWYLPLDTSRFSITSFRLTAFGRLITRTMVKTLEFMGIAPEGSNRVSSFLEKAAEGLVEGRRYSHQCTSLWLGSLFQVAEKCQKHVFDCCRNHTSPCLDC >Ma07_p28990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34841182:34848400:1 gene:Ma07_g28990 transcript:Ma07_t28990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKIKKEDVQSAVDQYEKYHVSYGGDEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPRMKVLDVGCGIGGPLREIARFSSASITGLNNNEYQISRGTELNRIAGLSESCNFVKADFMKMPFSDDTFDSIYAIEATCHAPDAVGCYKEIYRVLKPGQCFAAYEWCMTDHFDPNNESHQKTKSEIELGNGLPDIRTTRECLDALKLAGFEVVWEKDLAVDSPVSWYLPLDTSRFSITSFRLTAFGRLITRTMVKTLEFMGIAPEGSNRVSSFLEKAAEGLVEGRRYSHQCTSLWLGSLFQVAEKCQKHVFDCCRNHTSPCLDC >Ma07_p28990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34841227:34848400:1 gene:Ma07_g28990 transcript:Ma07_t28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIFLNPKSFVFLNPFWFVFLRKISFCIYRYCVFTVDGQMSKSGALDLATGVGGKIKKEDVQSAVDQYEKYHVSYGGDEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPRMKVLDVGCGIGGPLREIARFSSASITGLNNNEYQISRGTELNRIAGLSESCNFVKADFMKMPFSDDTFDSIYAIEATCHAPDAVGCYKEIYRVLKPGQCFAAYEWCMTDHFDPNNESHQKTKSEIELGNGLPDIRTTRECLDALKLAGFEVVWEKDLAVDSPVSWYLPLDTSRFSITSFRLTAFGRLITRTMVKTLEFMGIAPEGSNRVSSFLEKAAEGLVEGGKKEIFTPMYFFVARKPLSGS >Ma07_p28990.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34840645:34848400:1 gene:Ma07_g28990 transcript:Ma07_t28990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKIKKEDVQSAVDQYEKYHVSYGGDEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPRMKVLDVGCGIGGPLREIARFSSASITGLNNNEYQISRGTELNRIAGLSESCNFVKADFMKMPFSDDTFDSIYAIEATCHAPDAVGCYKEIYRVLKPGQCFAAYEWCMTDHFDPNNESHQKTKSEIELGNGLPDIRTTRECLDALKLAGFEVVWEKDLAVDSPVSWYLPLDTSRFSITSFRLTAFGRLITRTMVKTLEFMGIAPEGSNRVSSFLEKAAEGLVEGRRYSHQCTSLWLGSLFQVAEKCQKHVFDCCRNHTSPCLDC >Ma07_p28990.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34841199:34848400:1 gene:Ma07_g28990 transcript:Ma07_t28990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKIKKEDVQSAVDQYEKYHVSYGGDEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPRMKVLDVGCGIGGPLREIARFSSASITGLNNNEYQISRGTELNRIAGLSESCNFVKADFMKMPFSDDTFDSIYAIEATCHAPDAVGCYKEIYRVLKPGQCFAAYEWCMTDHFDPNNESHQKTKSEIELGNGLPDIRTTRECLDALKLAGFEVVWEKDLAVDSPVSWYLPLDTSRFSITSFRLTAFGRLITRTMVKTLEFMGIAPEGSNRVSSFLEKAAEGLVEGRRYSHQCTSLWLGSLFQVAEKCQKHVFDCCRNHTSPCLDC >Ma11_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6055862:6057357:-1 gene:Ma11_g07570 transcript:Ma11_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGWYVFGFCSYFVHEFLVPVLFPLMITQRAWPAFDLPPVPAHTPSGVACSQKEMTLYQRLVDRSIAVDGSRFSPLSWTTVSWAVGVVVAIPILMLIAHHLDHGNHQPPILLGAIVAGGFSCLLTGFFNTNWLFPLYIAAIAVASIIGTAAQARHLGLMVRDLTGGTTGKRHFRRRQAVGSWLSLHGTAAGSLGAAIMAAFTYHMLRRSDQLTSLWVVSIFSGLQWLTGIVYAAFSTRSGSSTPTTPTSLARCAHLLTIFKNPHAIGGLAGVFLSSFACMCVFASCMLYVIGDLCIGPPLLLSLWAIYFTFPVVSLPVLHPVQLVARADAVSMQLLGFFMSAFTSGLGFSFREERWKRTHIILVCLLQSTANGVLYAFGRALLMDCSPAGKEGAIAVWFAWARAAGACAGFAVGTTSPGSITAAFAAALLASLVGVFVLIFGNVSHVGAIVAAGHVKEAENGDMEGNRD >Ma05_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36874663:36878176:-1 gene:Ma05_g24650 transcript:Ma05_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASDAVDVGGAQPKKRTFRKFSYRGVDLDQLLDMGLDELVKLFDARARRRFQRGLKRKPMALIKKLRKAKRDAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Ma03_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6644031:6650430:1 gene:Ma03_g09040 transcript:Ma03_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQWPLVVHGVVTLLVVVSFLCGRWPIFRGTFVEKIHYFITYGAYDYFLRFVVAAFGSRGRNCFLTVENYCCERPNPILQIFYLVILGVTYFIIGKSSFRYIPGFYVSEFHWYTGMLAVGVGVLLFLLTSFSDPGTVTTENVSKYVSAYPYDDIIYMEKECSTCKILKPARSKHCGICNRCVARFDHHCGWMNNCIGEKNTRYFMAFLLWHFLICLYGALVLGLILAGQLKEHKIIYILTAYYGIEHSFSSLSPHVVQWLLGSYNTQILLIMFLAIISLLLAGFFGYHAHLCLTNTTTNETFKWQDYISWKRKLNEAKASAAALKAGIQTLNEEAKAPESKWRAFFRRSPLQNDDVIVKNNLYDQGLISNICEIIFPLSQRKSFFHRKST >Ma06_p07270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5170609:5186698:-1 gene:Ma06_g07270 transcript:Ma06_t07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDESKFDLNLQLWALRIPREHCTSVGRLLHGYMLDRARIKPIVEDPTSEKNRFVILSEKIQNSDLSEIPSYVMDELKVLCNIEAVPYSITLGYSYWGADHILKQILPCGMEVPTSFETVGHVAHLNLTEDQLPYKDVIAKVIYDKNQPRILTVVNKVGTITNEFRVPTFEVLAGKNDMVTKVKQYGATFKLDYSLVYWNSRLEHEHTRLVSLFQRGETICDMFAGIGPFTIPAAQKGCLVYANDLNPDSVHYLRINAQINKVEDRVYTYNMDARAFMHHIMTVPDSDSKQKTGEAVSKDDHSKELATNEKEVVTQEMLNAGKDHQDSLNGSSVKENTAVKRQLDKAGNVFQGNGNTNKRIRGFHLTVSRPWEHVDHVIMNLPASALEFLDVFKGLIQREHWRGSLPWIHCYCFIRSTETKGSILSKAESLLSTKITDPIFHRVRDVAPNKAMFCLSFKLPIETCFRDVNNVGAE >Ma06_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5170609:5186698:-1 gene:Ma06_g07270 transcript:Ma06_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDESKFDLNLQLWALRIPREHCTSVGRLLHGYMLDRARIKPIVEDPTSEKNRFVILSEKIQNSDLSEIPSYVMDELKVLCNIEAVPYSITLGYSYWGADHILKQILPCGMEVPTSFETVGHVAHLNLTEDQLPYKDVIAKVIYDKNQPRILTVVNKVGTITNEFRVPTFEVLAGKNDMVTKVKQYGATFKLDYSLVYWNSRLEHEHTRLVSLFQRGETICDMFAGIGPFTIPAAQKGCLVYANDLNPDSVHYLRINAQINKVEDRVYTYNMDARAFMHHIMTVPDSDSKQKTGEAVSKDDHSKELATNEKEVVTQEMLNAGKDHQDSLNGSSVKENTAVKRQLDKAGNDVFKGLIQREHWRGSLPWIHCYCFIRSTETKGSILSKAESLLSTKITDPIFHRVRDVAPNKAMFCLSFKLPIETCFRDVNNVGAE >Ma06_p31610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32684122:32693493:-1 gene:Ma06_g31610 transcript:Ma06_t31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MGTLPSSCFFNPSLRPRQLAPGGRCRERYSVMERVSPGELCFLCRKRVQEWRIRRRFDGIMCFSINGNDGGSEEKGPSSKSTEEVEQIAADEDLNSEPTQTSFPSRTPSISSGEQVYSSFQVDSFKLLELLGPEKVDPSDVKLIKEKLFGYSTFWVTREEPFGDLGEGILFLGNLRGKRENVFAKLQQHLHEVTGEKYNLFMVEEPNSEGLDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFVLTIGSCVELGIASQINTLPPEVVKYFTDPNATEPPDMQLLYPFVDSALPLAYGVLGVQLFHEVGHFLAAFPKKVKLGIPFFIPNITLGSFGAITQFKSILPDRRTKVDISMAGPLAGAALSFSMFFVGLLLSSNNAAAGDLVQVPSMLFQGSLLLGLISRATLGYTAMHAANVSIHPLVIAGWCGLTTSAFNMLPVGCLDGGRAMQGAFGKNTLFGFGLATYSLLGLGVLGGPLSLPWGLYVLICQRASEKPCLNDVSEVGTWRRTAVTIAIILVVLTLLPLWDELAEELGIGLVTSF >Ma01_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6227698:6228315:-1 gene:Ma01_g08680 transcript:Ma01_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLIEPLKFLFKDEVQKLGSILNVPESFLKRPIPWTWPCYSCTGGCHRRKCLRDSPPGG >Ma03_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25719001:25719096:-1 gene:Ma03_g20540 transcript:Ma03_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVGGVGATTVEQEESWSSGFSATMTNGA >Ma07_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29808523:29809065:1 gene:Ma07_g21740 transcript:Ma07_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEALPANILSSSNHPHLRYLQLWGPLERLHMDNIHHDAPFLPNLASLFLAMTSLESDVVSSILATLPNLERLTLEDESFVGSVLVFPKGGFPRLQYLSLDGLRNLEEWRVEEGAMPCLRELRLSYCSDMRILPEGLRGLTLLKLFELHGMPIIKRRIEKDSGEDYYKIQHVPSIKIPD >Ma05_p30850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41060218:41066880:-1 gene:Ma05_g30850 transcript:Ma05_t30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYNSSRSCRREEPQPAVAYGRDQVWTDPVRTEGHFHSRKWPNASRIAFDSTRDIGSCAGKNSFALEISQNLSMKAGEIPMKEAIDEEVSKEKDIRCPAPSLVARLMGLDTLPSSVRGSKNMEDCCKAIPSKSTSVNCICIHPNDRSQFRSTDEKQEFKDVFEITETSKIKKHKNHTNSRKMLGCRGNEAGMDLTNQNSRDAKRLSNHDLLQNGKTFNDAFEVSDLSKDLFVELIQDPNSFLAKHNVDLRHAPLSPHRSKITILKPSKASKNWSSEGWSESFKSERRPDGFLHMHQESTGSIKMKTASLGKHSIKENNVSLSCNLSASLHAARTRTFLHPARIVILKPNLEKAQKIARGDLFTHENSFISKKCREILASGIQELHDKDIQKFFFHTEGLSHKGSVEIAREITRKMRHTISSQTKKNFASQMNPYAKSGDSFIMPGIVKLNHSEAFYLSTDNFGEWNNSFSPSSSYSAESSASMEAKKRLSERWKITHQFKNTKLCSRGSNTLGELLVQSDRKTPKATLDSLDTKKVSDEKLSKDEILESKGYHLGISSKDSLKDGSSGFLPRFSSLPASSIVYGSPRPSDRKQDGGSSNDSIKDVRHMGSSVASEAKCSKPGTAEVKSSKHHNHNSRLAHPVEEENMLPEREIHVNSEGLRKSIHVKNYLDNTMLHPEPTDYAITIRKSSASIPIVGDDSRRLITQEEQVTQSSFQVPSVRNDVVIEDISSDPPQVERLQFEYDPSESLPLSFKELELPSPVSVLETPSEEGSTTGCLERLSADLKELRMKLELLKLESVDTYMPTTNKDYTGDDHVPQSSGAINRGEFIDDDDRDFAYLLNILVESGIHGVDDNKLSDACYLHGCPVDQMVFHKLEKKYNGNASWSRSERKLLFDLINRTLAGFITKCMDVDPGVRSTIHLRAWNREGLAEGLWQMVVKLRNDQDCNRENKVLDPGWLGLRYDVDLIGREMERLLNDELLEELVSEFAGA >Ma08_p25810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38539686:38543144:-1 gene:Ma08_g25810 transcript:Ma08_t25810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLESVINEFEELSKDAGRQQTETLRWILEQNGEAEYLQSLGLAGRTDPESFKACVPLVTHADLEPYIQRIADGDSSPILTGKPITSISLSSGTTQGKPKFVPFNGELLQSTMQIYCTSFAFRNREYPIGNGKALQLIYSSKRVTTQGGLTAATATTNVFQSEQFKHTMKDIQSQCCSPDEVIFGPDFQQSLYCHLLCGLIFSNEVQIISSTFAHSIVHAFQTFEHVWEELCADIREGVLSSRITVPSIRAAVSKLLRPNPSLADSIHNVCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPELATFAVLPNIGYFEFIPLEKSEVQQPEKSASTIHYIEAEPIGLTEVEVGKEYEIVMTNFAGLYRYRLGDIVRVAGFHNSTPEIQFVCRRSLVLSINIDKNTEKDLQLAVEAAARLLAEEKVEVVDFTSHVDTSTEPGHYVIYWELSCDATEEVLRACCNCLDLSFVDAGYVGSRKVGAIGPLELRIVRRGTFQTILHHYLGLGAAVSQFKTPRFVGLSNSTVLQILCRNVTGCTFSTAYGA >Ma08_p25810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38539686:38543144:-1 gene:Ma08_g25810 transcript:Ma08_t25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLESVINEFEELSKDAGRQQTETLRWILEQNGEAEYLQSLGLAGRTDPESFKACVPLVTHADLEPYIQRIADGDSSPILTGKPITSISLSSGTTQGKPKFVPFNGELLQSTMQIYCTSFAFRNREYPIGNGKALQLIYSSKRVTTQGGLTAATATTNVFQSEQFKHTMKDIQSQCCSPDEVIFGPDFQQSLYCHLLCGLIFSNEVQIISSTFAHSIVHAFQTFEHVWEELCADIREGVLSSRITVPSIRAAVSKLLRPNPSLADSIHNVCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPELATFAVLPNIGYFEFIPLEKSEVQQPEKSASTIHYIEAEPIGLTEVEVGKEYEIVMTNFAGLYRYRLGDIVRVAGFHNSTPEIQFVCRRSLVLSINIDKNTEKDLQLAVEAAARLLAEEKVEVVDFTSHVDTSTEPGHYVIYWELSCDATEEVLRACCNCLDLSFVDAGYVGSRKVGAIGPLELRIVRRGTFQTILHHYLGLGAAVSQFKTPRFVGLSNSTVLQILCRNVTGCTFSTAYGA >Ma08_p25810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38539686:38543242:-1 gene:Ma08_g25810 transcript:Ma08_t25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLESVINEFEELSKDAGRQQTETLRWILEQNGEAEYLQSLGLAGRTDPESFKACVPLVTHADLEPYIQRIADGDSSPILTGKPITSISLSSGTTQGKPKFVPFNGELLQSTMQIYCTSFAFRNREYPIGNGKALQLIYSSKRVTTQGGLTAATATTNVFQSEQFKHTMKDIQSQCCSPDEVIFGPDFQQSLYCHLLCGLIFSNEVQIISSTFAHSIVHAFQTFEHVWEELCADIREGVLSSRITVPSIRAAVSKLLRPNPSLADSIHNVCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPELATFAVLPNIGYFEFIPLEKSEVQQPEKSASTIHYIEAEPIGLTEVEVGKEYEIVMTNFAGLYRYRLGDIVRVAGFHNSTPEIQFVCRRSLVLSINIDKNTEKDLQLAVEAAARLLAEEKVEVVDFTSHVDTSTEPGHYVIYWELSCDATEEVLRACCNCLDLSFVDAGYVGSRKVGAIGPLELRIVRRGTFQTILHHYLGLGAAVSQFKTPRFVGLSNSTVLQILCRNVTGCTFSTAYGA >Ma08_p25810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38539686:38543540:-1 gene:Ma08_g25810 transcript:Ma08_t25810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLESVINEFEELSKDAGRQQTETLRWILEQNGEAEYLQSLGLAGRTDPESFKACVPLVTHADLEPYIQRIADGDSSPILTGKPITSISLSSGTTQGKPKFVPFNGELLQSTMQIYCTSFAFRNREYPIGNGKALQLIYSSKRVTTQGGLTAATATTNVFQSEQFKHTMKDIQSQCCSPDEVIFGPDFQQSLYCHLLCGLIFSNEVQIISSTFAHSIVHAFQTFEHVWEELCADIREGVLSSRITVPSIRAAVSKLLRPNPSLADSIHNVCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPELATFAVLPNIGYFEFIPLEKSEVQQPEKSASTIHYIEAEPIGLTEVEVGKEYEIVMTNFAGLYRYRLGDIVRVAGFHNSTPEIQFVCRRSLVLSINIDKNTEKDLQLAVEAAARLLAEEKVEVVDFTSHVDTSTEPGHYVIYWELSCDATEEVLRACCNCLDLSFVDAGYVGSRKVGAIGPLELRIVRRGTFQTILHHYLGLGAAVSQFKTPRFVGLSNSTVLQILCRNVTGCTFSTAYGA >Ma08_p25810.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38539686:38543539:-1 gene:Ma08_g25810 transcript:Ma08_t25810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSLESVINEFEELSKDAGRQQTETLRWILEQNGEAEYLQSLGLAGRTDPESFKACVPLVTHADLEPYIQRIADGDSSPILTGKPITSISLSSGTTQGKPKFVPFNGELLQSTMQIYCTSFAFRNREYPIGNGKALQLIYSSKRVTTQGGLTAATATTNVFQSEQFKHTMKDIQSQCCSPDEVIFGPDFQQSLYCHLLCGLIFSNEVQIISSTFAHSIVHAFQTFEHVWEELCADIREGVLSSRITVPSIRAAVSKLLRPNPSLADSIHNVCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYLKKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPELATFAVLPNIGYFEFIPLEKSEVQQPEKSASTIHYIEAEPIGLTEVEVGKEYEIVMTNFAGLYRYRLGDIVRVAGFHNSTPEIQFVCRRSLVLSINIDKNTEKDLQLAVEAAARLLAEEKVEVVDFTSHVDTSTEPGHYVIYWELSCDATEEVLRACCNCLDLSFVDAGYVGSRKVGAIGPLELRIVRRGTFQTILHHYLGLGAAVSQFKTPRFVGLSNSTVLQILCRNVTGCTFSTAYGA >Ma07_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27495208:27496205:-1 gene:Ma07_g19510 transcript:Ma07_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAPSTRWCPTPEQLMILEELYRSGVRTPDASQIQQITAHLSRYGRIEGKNVFYWFQNHKARERQKLRRRLSIHHHLLCSGYPLALFPRRQLHCFQDAPLLHHHIPTSHFPHQDADATQGLDLLCKLETKGDQVTALTNSTLNGHEWLAKMDIHSTNPPCCKPLKTLDLFPTKSTGLKDECTTSKSRSASPS >Ma08_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3421088:3423143:-1 gene:Ma08_g04960 transcript:Ma08_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTVLSLTFFLLALVAIVGARPATFLQDFRITWAETHIKQLQGGTAIQLMLDPSSGCGFASNKQYQYGRVSMKIKLIPADSAGTVTAFYMNSDTDTVRDELDFEFLGNRSGQPYTVQTNIYAHGKGDREQRVNLWFDPAADYHTYSILWNHYHVVFSVDDVPIRVYKNNEGRGVPYPKAQPMGVYSTLWEADDWATRGGLEKIDWSKAPFYAYYKDFDIEGCAVPGPANCASNPNNWWEGAVYRQLSPEQARKYRWVRTQYMIYDYCTDKSRYPVPPPECLSGI >Ma00_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:6837657:6840869:1 gene:Ma00_g01370 transcript:Ma00_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRETTVSDGSNQPEAPPPPAVEPSKYSKTTALKSILNRDDKGLGLVGQRVVVGGWVNSRKERAESDAPSQLPPPRAAVPGFSCYDVLVKRVPFLRPIARILVGVIGAQPEKPAASPAKDGPFTVYLRINDGSCSSNLQVVMDSSMSLPGQVITVGTSILVQGMLQKILAPKKHVVELIVENILHVGVVDVKSYPLTKPRISLVSLRDHPHLRPRSIAIGSVTRICSNLIYTGHGFFHKKGFIHVQTPIITSMNAGNHGKMFQVTTLLSNSGGKDKSAAVHEHEGVDLEAFRAAISEKSKRIDELRRSDSNKEALSAAEQDLQRSKELVLMLEKQQQSTAPSAGELDFSNDFFSRPVYLSASAGLHLESYACGLSSVYTIGPVFQTDESKSAEKLAEMWMIEAELAFTELQDVMNCAEDLVQSLCYSLMETAGNDLKCVSKQIEKNCIKRLKSIVSGSFARITYSEALNILNQVKDKSFLSKVGWGNTLSEEQESYLADEFYRRPVIVYEYPKEVKPFYVRVSDDGRTVSAFDIIAPKVGVMVRGSQKEERMDVIVKRIQELGLPHEQYEWYIDLRKHGSVKHSGFSLDIEKMVMTATGLADVKDAIPFPRVRGHAKL >Ma05_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8832579:8833154:-1 gene:Ma05_g12160 transcript:Ma05_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRIKRLPARCSLLRHLTGPQDSSGKTNNMIGRSDSTSLASCGRQLTPWSFASAGHMMCPGPAPATMTTSLSEKARLSLSLSLCSVKCLSVGRRTLSQKEALFPSLQERPFVFLARLSEAD >Ma05_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10261934:10264943:1 gene:Ma05_g14100 transcript:Ma05_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFSRKSAAEEDLSPVARVVKDNELTEKPGHPTQKASVEEAQQDAGNGSIAAQTFTFRELASATKNFRPEFLLGEEGFGRVYKGCLENNGQTVAVKQLDRNGYQGQDFLAEVKALSLLQHQNLIKLIGYCADGDQRLLVYEYMPTGSLEDHLHGTSADQKPISWYTRMKIAYGTAQGLEFLHEKADPPVIYRELKPSTILLDEDFNPKLSDIGLAKGDKVHVSSMVMDTNGYSSPEYTRTGQLTLKSDVYSFGVVMLELITGKSVMDTNEPNLVAWAMPMFRDQKRFPELVDPLLQGEYPSKGLSQAVAVAAMCLQEEASVRPLMADVVMTLSFLTTESAGPQVDSAPAPNSPPPEERMD >Ma06_p27720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29619997:29620080:1 gene:Ma06_g27720 transcript:Ma06_t27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLFEKMNLVFFRDEDEQNDHYKLP >Ma03_p31070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33435070:33440934:1 gene:Ma03_g31070 transcript:Ma03_t31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNPELSDGDLGGRGAAGGPPAPEMPFEGCGAGSGGWKGEEMSGRVGRPDPGVSALAGANGARYKSMPPARLPITRAPCLTIPPGFSPSALLESPVLLTNMKAEPSPTTGTLNLSSVIDKTVCSYTLSSAKDASDVSAYDGGNSGDFEFKPHVPASYNLGLSSLRSLGSVGIIQAVQEPPVQNQIRSQDRNCITCNNELAPLASAPNSTTKVSNLRSSLPAEAASGDLQLTKCSEQSSQMSQSDPSEPTPSSLLEKSAEDGYNWRKYGQKHVKGSEYPRSYYKCTHPNCEMKKQIERSHDGQITGIIYIGKHDHPKPHPNRRLAAGAILSCQEEEKTDNFSSLMSAEDESTSAPGPTYHQADRNSTTELSPASISENDVKVGCGQSDNCDEVAGDADLESKRRKIEINNNDAASIGKLNHEPRVVVQTLSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKTANHEASVSMVTDGDNSLSIHASAALNGMMRMRHFTHPFIQMESNAISLDLGVGISPVQSEITNEKQQCLENFQIQHHQPQCVDSGNLVIQTTPLSNFHGSSHTRIYPSGEDKGEGFTFKATPMSSDMYYSAAGNLVMGP >Ma03_p31070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33434494:33440934:1 gene:Ma03_g31070 transcript:Ma03_t31070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNPELSDGDLGGRGAAGGPPAPEMPFEGCGAGSGGWKGEEMSGRVGRPDPGVSALAGANGARYKSMPPARLPITRAPCLTIPPGFSPSALLESPVLLTNMKAEPSPTTGTLNLSSVIDKTVCSYTLSSAKDASDVSAYDGGNSGDFEFKPHVPASYNLGLSSLRSLGSVGIIQAVQEPPVQNQIRSQDRNCITCNNELAPLASAPNSTTKVSNLRSSLPAEAASGDLQLTKCSEQSSQMSQSDPSEPTPSSLLEKSAEDGYNWRKYGQKHVKGSEYPRSYYKCTHPNCEMKKQIERSHDGQITGIIYIGKHDHPKPHPNRRLAAGAILSCQEEEKTDNFSSLMSAEDESTSAPGPTYHQADRNSTTELSPASISENDVKVGCGQSDNCDEVAGDADLESKRRKIEINNNDAASIGKLNHEPRVVVQTLSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKTANHEASVSMVTDGDNSLSIHASAALNGMMRMRHFTHPFIQMESNAISLDLGVGISPVQSEITNEKQQCLENFQIQHHQPQCVDSGNLVIQTTPLSNFHGSSHTRIYPSGEDKGEGFTFKATPMSSDMYYSAAGNLVMGP >Ma02_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14493192:14493590:1 gene:Ma02_g03150 transcript:Ma02_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEGMLKRNVVTWCAIISKCIQDGRSKDALALFSRMQTDGFELNDMTLVSVLAACAQLGALEQGKWVHGYVRSNGIKISVFLGTSLIDMYAKCGQVELGLKVFEEMQEENLLTYTMMIKGLAMHGRGFEVL >Ma05_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3116767:3125676:-1 gene:Ma05_g04150 transcript:Ma05_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MASSTSSLSAAGILCNPHYSTGDSHFVCNCQSEAILDDCTSVNLAQCKRRRISCSRDVESLLPSLSSSDYFTKPSIDELAAHEIVDSGYCSRVPDFTVGRVGYGHIKFLGNTDVRWLNLDQIVKFDRHCVVVYGNEADKPPVGQGLNKAAEVTLILKLIPLGSQYLKSDRCSDILKRSCEKQGACFLSFDLSRGEWAFLVQHFSRFGLDEEEEEDIVMDDANIESTVEVKESHVHPAGPVLSHSLPAHLGLDPVRMQEMRALMFAAEEECEEHNGSFQKIIGYNREPIKEDSPGTSSKKLGHKSSLQVSSRKPLNKTSHSPIRKSPQALLEYNISNSDLSSSRDILLTGQKKGLTRVKKVEGFKMEENHATPLTGGYSKNIVDSALFMGRSFRVGWGPNGLLVHSGTPVGSSSSGLSSQIYIQKVAIDKSVRDEKNKIVEDLVDLRFCSPLNLHKLLEHETTEIELGSCKIKLQKVVCSRLTLSEICRAYIDIIEKQLEVAGLSASSRVFLMHQVTIWELIKVLFSERETSGRLNYNDDDDDDDDDDGEEMMLDKKDDSLDMDIEAKPFIRRAAFSCWLQDSVCHRVQEDVSCLNDPSDLEQILLLLSGRQLDAAAEIAASRGDVRLAILLSQAGGSMVNRSDMAQQLDLWRMNGMDFKFIENDRLKLYELLAGNIQGAFQVSSVDWKRYLGLVMWYQLPPDTPLPVIFHTYQQLQSEGRAPHPVPVYIDEGPLEEAIELNIDDNCDLAYYLMLLHANEDDDFSLLKTMFSAFSSTHDPLDFHMIWHQRAILEAIGAFNSKELNVLDMSYVDQLLCLEQCHWAIYVVLHMPYHADVPYIQTKLIKEILLQNCETWSTQETQYQFLEDLGIPSEWMHEALAIYFEYHGDLQEALEHFLKCSNWQKAHSIFMTSVAHYLFLSSQDEEIWRITSSMEEHKSKIADWDLGAGIYIDFYVLRSSLQEEDSMSESDTLGNKNEACRSFFNRLSDSLSVWGSRLPVDARLTYSKMSEELCNLLVSTPGTSSTPMVRMSCFDTMLSAPIPEDLRSNHLQNALAVFTYQLLEAST >Ma10_p26390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34103289:34105396:1 gene:Ma10_g26390 transcript:Ma10_t26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSVRIDIRTPETAKMSGGGRSSSDSMSPSKWIWDVWEFAKGDTDRVTFSLKVGLACLLVSLLILIRAPYQVFGTNTIWAIMTVAIMFEYTVGATFNRGFNRALGSLLAGIFAVVFMQIAMSSGHITEPYIIGLSIFLVGAITSFMKLWPSLVPYEYGFRVILLTYCLIIASGYRMGDPVRTAVDRLYSIAIGGLVAVFVNLLVFPIWAGEQLHKELVSHFDSVADCLEECVKKYLSDDGSEQPEFSKTVMDDFQDEPAYRKCRATLSSSAKLDSLANSARWEPPHGRFRHFFHPWAEYVNVGAVLRHCAYEVMALHGCLHSEIQAPFNLRCAFQSEILDATTQAAELLRSMRKDISNMKHGLRTSLLKRVHSSTERLQRSIDLHSYLLTSSHHLSTALSSNLIPSRDHSTDPDSKGVEPNAADTLAPRVDSCHDTTKKQQRRLRSWPSIEVTDLQEDDIPRMSALESTTALSLATFTSLLIEFVARLDHLVEAVDKLARLAGFN >Ma07_p19430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27440869:27445099:1 gene:Ma07_g19430 transcript:Ma07_t19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSMLLLAPARRSCTLPRSSTFCRCMSREFSDLMGPLVHGVLQKLLHCFHWIVPGYIMGGENRAKEKARLRKGISILVATPGRLLDHLKNTSSFNYTNLRWIVFDEADRILELGFGKAVEEILDFLGSRQADHVCKQHMNTKSAKFSRQNLLLSATLNDKVNHLANISLENPIMIGMDCKKDSTVPLKLSLGDAVGGDLEGVGALSSQLTENYNLPSQLVQRYVKVSCGSRLVVLLSILRFAFERETSQKIVVFFSTCDAVDFHYSLLNKFKWSPKLQPEANQEQKIVGCRSFHLHGNMEHEDRRKAFHEFTSEKSALLLCTDVAARGLDFPKVRCIIQYDSPGEASEYVHRVGRTARLGEKGEALLFLQPVEIDYLHDLQHHGVSLKEYPLQKIIDSFSVHGQKHHNKKLISLETHPWVLFLQKTLETFISTESKLKKLANDAFCSWVRAYTAHRGELKRIFMVKKLHLGHVARSFGLKDQPSLVGRSHQIESKKRKRDQKKAISFKRRKSS >Ma07_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27440863:27445099:1 gene:Ma07_g19430 transcript:Ma07_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPKEKKKGAEVAAGAGGEGLFASCSFSDLGLHPFLCQHLRDKMGFEFPTQIQAQAIPVVVSGRHVLVNAATGTGKTIVYLAPIVHLLQMYEPRVQRSDGTFALVLVPTRELCMQVHGVLQKLLHCFHWIVPGYIMGGENRAKEKARLRKGISILVATPGRLLDHLKNTSSFNYTNLRWIVFDEADRILELGFGKAVEEILDFLGSRQADHVCKQHMNTKSAKFSRQNLLLSATLNDKVNHLANISLENPIMIGMDCKKDSTVPLKLSLGDAVGGDLEGVGALSSQLTENYNLPSQLVQRYVKVSCGSRLVVLLSILRFAFERETSQKIVVFFSTCDAVDFHYSLLNKFKWSPKLQPEANQEQKIVGCRSFHLHGNMEHEDRRKAFHEFTSEKSALLLCTDVAARGLDFPKVRCIIQYDSPGEASEYVHRVGRTARLGEKGEALLFLQPVEIDYLHDLQHHGVSLKEYPLQKIIDSFSVHGQKHHNKKLISLETHPWVLFLQKTLETFISTESKLKKLANDAFCSWVRAYTAHRGELKRIFMVKKLHLGHVARSFGLKDQPSLVGRSHQIESKKRKRDQKKAISFKRRKSS >Ma11_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24008257:24046361:-1 gene:Ma11_g19070 transcript:Ma11_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKCKPSGDETSVSMAPLAFSKRALEKVNPVGQSMTSMSSSFPAVADVDIDIREIYFLIMHFLSAGPCKRTYGQFWNELLEYQLLPRRYHAWYSRCGAQSGDEDDDGISLPLCYIKLAERYPHIEKDHLVKLLKQLILNSSHLPGMGRGAPSAADVPTLLGSDSFSLLASDMDRGNKGANKLPSYLRWPHMQADQVHGLAFREIGGGFTKHHRAPSIRAACYAIAKPSTLVQKMEIIKKLRGHQNAVYCATFDRLGRYVITGSDDRLVKIWSLETAFCLASCRGHEGDITDLAVSSNNAVVASSANDSIIRVWHLPDGHPISVLKGHTGAVTALAFSPRLSSVYQLLSSSDDGTCRIWDARQSSAKPHIYIPKPPDTLAGKSTDPTPTVGQQTHQILCCAFNANGTVFVTGSSDTFARVWNACKINTDDSEQQNYEMDLLYGHENDVNYVQFSGCAVRSRSSIGDSSKEDNLPKFKNSWFTHDNLVTCSRDGSAIIWIPRSRRSHGKVGRWTRAYHLKVPPPPMPPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNACDGSLVHSLIGHKDSTFVLDVHPFNPRIAMSAGYDGKMIIWDIWEGKPVRIYETGPFKLVDGKFSPDGTSVVLSDEVGQIFIVATGQGESQKDAKYDQFFLGDYRPLMQDTSGNALDQETQLTPHRRNIQDLLCDLDMIPYSEPYQSVYQRRRLGILGIEWRPTSLKLAVGPTYNATTGDFQPLPIGNLEQWVEPSLEVVDAIDWEVENDMQSDDTDSEYNVTDEYLSDGEHESLSNSSSGDAESSAEDSRVDHDLNEGLRRSKRKRHKSEAKFTTLSGRRFKRRSLDKSDGATLSRAHRHRRSRSGCMTGKTSSKSEPLRPQRVAKTNALTFFSKITSVSTDGEDNDSDSNSSESESVPPDSKTQSIESERSMKNNQLHYANENKKFKDECEDAAKPSRIIKNQDSQASRRSLVLKLPPREPIVVVSGSTESICHKQDGVPVFLPITSVDVNYKADAHGVRQTEIFGHPNLSAHQASTMKWGEVKQRSSKRPRLGDMITDICQDGPRTFVSDNNGCPISENECGTSLSRNQAHEIDPDRSALENKGVDDGPLGLNGTRIDVLSPERKSRSLRHQHEHDQVTLTCSDSRNKLVVPPRRDPVSSEFRISNIALKGPEVVPIKNENLFGSKCIVDVDQQVEKNVKTIYPKLRIKSGGLAQEVSSSSSKPKSMACNDWRSFEGEILSDSPVPTGHNLDSVMNEEDEGTSGKNLEHGQQNNGSESSERQTNASLYISHNYKMDLESHGKVYNAVYRRTKSSQGRKSSGAGINVKEETTSDSDDYGGDVKMELGISNSMIDSSCRTRSMRTSRNELTDSNNNFRVSQRNDPLGASTSRGRSTLNACDQLIFDEWKSTSKITVGLRSTRNRRENYNTDFRPLNKRRNHQSIRKLSWLMLFEHEDSYRYIPQKGDEVAYLRQGHKEYIESSGTSEVGPWNFVKGLKAVEFCKVQDLDYSTLPGSGDSCCKLTLGFVDPSSSAFGKSFRITMPELDYFPDFLVERIRYDASIERNWTHRDKCQVWWRNADRDGGSWWGGRILAVKPKSSEFPDSPWERYVIQYKDDSSGQHLHSPWEFHDASIQWEHPCIDNETRNKLLSSIAKLEKTSITNEDCHGVCKLSQVAQKSEFLNRFPVPLSLEVIKSRLKNNYYRTVDAVKHDASVMISNATSYFSKSAEMTTRMRRLSEWTMRTFSL >Ma03_p33010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34548956:34549837:-1 gene:Ma03_g33010 transcript:Ma03_t33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVSKSLLGSLLLAGVIMGLVTSSEASVYDVGGRDGWVPNPSESYDGWAGRNRFLVNDKLVFRYRKDADSVLVVTKQDYDACNGGNPIQKLEGGDSEFKLDRSGPFFFISGTPGNCQKGQKLQVVVLAVRNVKPGPSPPAPPPAIPPSPLPPSERPTSPPAPPPSPSSNSTSGTGTQTTPSPSPNPSHPSHSSSLAGASTITLGLAAMILGGAFVY >Ma01_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13577464:13578973:-1 gene:Ma01_g18310 transcript:Ma01_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRCKLCHRRFSNGRALGGHMRSHVAHVPRPTRAQQPLPSPCASSSFFPAEEAKDPEAAASYGPRENLRRSLSLVDHKFAFSVPATEGGGGGSYPVDRDGESDAESSFRRRFSRNRRREDPSADAEPVSSISDASPEEDVARWLMLLSRNAWSKSEVDGHRSNGWDEANEDEEDDLYFEEEEDEEAKQPTATARSWRKRTRYQCRTCRKFFRSYQALGGHRASRKRAGVECIPIAGIRNHSDDPSDANAADRNPKLFECPYCYRVFPSGQALGGHKRSHRLSTAAIAAAIPARPLLPVKDCFIDLNLPAPLEEEAELSALSVATEFASK >Ma05_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10265405:10267568:-1 gene:Ma05_g14110 transcript:Ma05_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYSFPRPRISLVYIWLWSTAVPALKKTVAPTKKQKVDASNPEESAAEEVQSGKPPPFRIGDVRAAIPSHCWVKDPWRSMSYVLRDAVVVALLALAAASLDSWTFWPLYWFAQGTMFWALFVLGHDCGHGSFSNSALLNNVVGHLLHSIILVPYHGWRISHRTHHQNHGNVDKDESWHPLSEKTYREMDSTSRKMRFTSPFPLFAFPVYLWRRSPGKEGSHFLPSSKLFRPGEEQDVVVSTVCWSAMAASLLCLSWAYGPVPVLKLYGVPYLVFVMWLDLVTYLHHHGHRQKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLVEATTAAKPVLGKYYREPERSGPLPFHLLGVLLRSLRVDHFVSDEGDVVYYQTDHQLHGGRRQKSK >Ma08_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7941658:7944112:-1 gene:Ma08_g10830 transcript:Ma08_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00030) UniProtKB/Swiss-Prot;Acc:O81304] MAMSSPFSSRPSAIPSSLRILKSNPALGCCAAARPPSSGASLGAKADLLALIADQERGLRTQSDPRKRAEIIAAVEALGAMGRGQVTTGSSLSGTWRMLWTTEKEQLFIIKNAPLFGTRTGDVLQVIDVEKRSLNNVITFPPSGVFFVRSSIEAAPPQRVNFRFTSAVLRGSNWEIPLPPFGQGWFESIYLDDDIRVAKDIRGDYLIVDRASYSWKE >Ma06_p05760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4266097:4268626:1 gene:Ma06_g05760 transcript:Ma06_t05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAPPYGLLCLPHHRCPFTASSPLLLTIPRKSLLASRSPISKPGLGRSGARPLRAMVSGEETSAAVVADDAAAKPLEGPSSFTNSSSPGEENPDGGGEAIAEAVDDLLSKLNDQVDSTILFYGAVALVALWISSTIISVIDSIPVFPKVLEVVGLGYTVWFSSRYLIFKETRDDFFSKLDDLKEKILGRSDD >Ma06_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4266097:4268626:1 gene:Ma06_g05760 transcript:Ma06_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAPPYGLLCLPHHRCPFTASSPLLLTIPRKSLLASRSPISNPGLGRSGARPLRAMVSGEETSAAVVADDAAAKPLEGPSSFTNSSSPGEENPDGGGEAIAEAVDDLLSKLNDQVDSTILFYGAVALVALWISSTIISVIDSIPVFPKVLEVVGLGYTVWFSSRYLIFKETRDDFFSKLDDLKEKILGRSDD >Ma07_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5693586:5712552:-1 gene:Ma07_g07660 transcript:Ma07_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRVVKTVRKTVPKSKSKTPVAPAPAAAPADDNPSPPSAASDIPITPVPAAAAEVATPPPSSTASETPVSELVEPTPEPPVPVAAPEPSETPFSAVPEPSEPSATDASPAVEETQVAAAVPSEAPKKTIVRVRKVIKKKIIKKRIPKVVSLAKKDSLQPPTEAADLETAVTESGFQNPSSDVAVGGATQTENVGFEEHKDVALEEEKDVPLQDKEERQEEAVTTAADEEAGMSERQNRRKTEIFIGGLGRDVKEEDLRMVFGKVGEIVEVRMMMDGQTGKNKGFAFLRYKDAAQAKKAVSEFSKVEVCGKICGAAALEGNDTIFLGNIDKKWKKEDVIKMLQDIGIEKIDTVTVMADPYNADTNRGFAFLELETNRDAHLAYRKLQKKDVFGKGRNIKVAWAEPLNDPDEEQMQKVKSVYVEGIPSSWHETKLREIFKKFGEIERIVHSRDIQSAKRKDFAFVNYSTREAALSCIESFEKEELTENGSKVNIKVSLAKPVQKGKQNKGFLKSTSTEKDKLKPVQLSLYHRSSCKDFPFHYRLTTGPAVQDYSHIAAGGKRAFSYMGEDAAYSDVRGYPRARLDNSFPVSSSSYGAHPHGLPGSSLPYYQHQSVGYPSGTRYGVAEHSSTFQRQGVPPPYGGSMYSRYS >Ma07_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8354685:8357291:1 gene:Ma07_g11210 transcript:Ma07_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIWRSLLPGCYGGGRTAASKQAKKFMAKQISIPRLSYSDLSNSTGMLSPEDLSISLAGSNLHVFTLAELKAATQSFSSSNFLGEGGFGPVYKGFVDDKVKPGLKAQAVAVKVLDLEGSQGHKEWLTEVVFLGQLRHSHLVKLIGYCCEDEHRLLVYEFMTRGSLENHLFKRYFASLPWSTRLKIAIGAAKGLAFLHDIEKPVIYRDFKASNILLDSDYEVKLSDFGLAKDGPEGDETHVSTRIMGTQGYAAPEYILTGHLTVKSDVYGFGVVLLELLTGRRSVDKKRPSREQNLVEWARPCLNDPRKLNRIMDPGLCGQYSEQGAQKAAAVAYKCLSHHPKSRPQMSAIVETLEPLLDVKDMPIAPFVYVVPAEDGCKEESTKSEAKKNGHHHHHHHGHRHKVRSPKAAKEGGNPQHRTSPEHHKQNGA >Ma07_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28059178:28063655:-1 gene:Ma07_g20120 transcript:Ma07_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGHSLCDKEVVIHTLNGLDTDYKELAAAIRARNSPVSFEDLYDKLTDYEMYLKRADKLPGSTVTTQVNHKSKRKSTRYSPNITQGLANAPLDSVSSMQHPFYPPSHHFSQNGNSSHHPSWHPALPSHQRWVVCQLCDKVGQSAKVCRSRPRLPTPSHWPQANLLTTPTPSQSNWIVDSGASHHITADLQNLSLHNPYGGDEDIIIGNNPISIQAFIKQLAA >Ma04_p19790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22435715:22446605:-1 gene:Ma04_g19790 transcript:Ma04_t19790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MAAASTSLLQSLFSPFPFPSRMLTSSSISGRCPAALLVRRPHRTARNPPSHVTGVRRRRSGGHARAAYVSAPAADPDPVAKDESPAADVLSLPPVAISWSVIWSLLSRHKIRMAVSLASLVGCTSCTLAMPIFSGKFFQTLTGTVSEPLWRLLSQIAFLYSLEPIFTIIFVTNMTIIWESVMANLRGQIFRQILIQKVEFFDRHKVGELTGLLTSDLGSLKDIVNENISRDRGLRALSEVVGTICILFTLSTQLAPILALLMVVISVLVAVFKRSTVPVFISHGMVQASISDCATETFSAIRTVRSFAGEKRQFSIFRNLVLAYQNNGIKLGTLKSANESLTRTVVYISLMALYCLGGSKVKAGELSVGTMVSFIGYTFTLTFAVQGGVNTLGDLRRTFAAAERINSILSLAEIDMSLAYGLEKELQTTEVDINLGLNHEDVYHKKKQSKHYMLELRSASDGCNLAWSGDICLEDIYFSYPLRSDVEVLSGLNLTLECGKITALVGPSGAGKSTIVQLLARFYEPTRGRITVAGEDIRTFDRREWARVVSLVNQEPVLFSVSIGENIAYGLPDETVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNAPILILDEATSALDATSESLVQQALDHLMKGRTSLVIAHRLSTVQNANQIALCSGGRIAELGTHLELLAKKGQYASLVGTQRLAFE >Ma04_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22435722:22446605:-1 gene:Ma04_g19790 transcript:Ma04_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MAAASTSLLQSLFSPFPFPSRMLTSSSISGRCPAALLVRRPHRTARNPPSHVTGVRRRRSGGHARAAYVSAPAADPDPVAKDESPAADVLSLPPVAISWSVIWSLLSRHKIRMAVSLASLVGCTSCTLAMPIFSGKFFQTLTGTVSEPLWRLLSQIAFLYSLEPIFTIIFVTNMTIIWESVMANLRGQIFRQILIQKVEFFDRHKVGELTGLLTSDLGSLKDIVNENISRDRGLRALSEVVGTICILFTLSTQLAPILALLMVVISVLVAVFKRSTVPVFISHGMVQASISDCATETFSAIRTVRSFAGEKRQFSIFRNLVLAYQNNGIKLGTLKSANESLTRTVVYISLMALYCLGGSKVKAGELSVGTMVSFIGYTFTLTFAVQGGVNTLGDLRRTFAAAERINSILSLAEIDMSLAYGLEKELQTTEVDINLGLNHEDVYHKKKQSKHYMLELRSASDGCNLAWSGDICLEDIYFSYPLRSDVEVLSGLNLTLECGKITALVGPSGAGKSTIVQLLARFYEPTRGRITVAGEDIRTFDRREWARVVSLVNQEPVLFSVSIGENIAYGLPDETVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNAPILILDEATSALDATSESLVQQALDHLMKGRTSLVIAHRLSTVQNANQIALCSGGRIAELGTHLELLAKKGQYASLVGTQRLAFE >Ma04_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3823242:3829527:1 gene:Ma04_g05050 transcript:Ma04_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLHTSALCSSPPPLSTLTADPIAYSFLASFLGRVERLLLRWTGSNCLGDSGKMATAEPIMNASLVPDSELISRAVQAACGTIRAARDVLVERHSFAELAAYLDRVVPILDQLKATTPAANRDGTLADAAGILAREVEAARALALDCGKRNRIYLLLNCRRIVTRLESATREIGRAISLLPLTSLDLSSAIRDEAKLLSDSMVRAEFRAAAAEEETLRKLESAIQEHNSDRSYANSLLALIADAVGISKDRTVLKKEFAEFKEEVAEAKLRKDLAEAIQMDQIIALLSRADATSSFKEKEVKYYSKRNSLGSQPLEPLQSFYCPITRDVMEDPVETSSGQTFERSAIERWFADGNTTCPLTMTPLNTGFLRHNITLRKSIEEWKERNNIIIISSMKSKLSLDDEVVLRSLAQLQELCEEKFSNRECVVMEDYLPILVGLLGRNNSQIRNHTLYILRLLVEDSDDNREKIAEVDNAIQSIVKSLARRTDERKAAVALLLELSKNNAICGHIGKVQGCILLLVTIINSDNNQAADDARELLENLSFLDDNVVLMAKANYFQPLLRCLNSGPEYLKMKMVKALAEMELTDHSKETLFKEGALQPLLQMLSLSDADGKRMAIKAIQKLSSFGPNGLQIIRDGAISPLLDLLFQAIPASSNLRDQVAATILNITVSAAALQTNEALSILKSDAEISRLFSLVMLTGPTIQQSILGTFYALCQLPSAKDMRTKLRQFSAVQLLISQCEHRDLSVRASAVKLLSCLMEDGDDNVSAESVTQRCLETLLSIIKTSKDEEEVAAALRIISDLPIGYTHVTRWIADAGAITVFVSYIRDAKLSGPFRNKLIENALRVLCRFTMSTNPECQKIAAKSGLIPLLVQLLGSGTPAAKRYAATLLCQFSESSLSLSRLVERHGGFWCFAPPPEIRCPVHNGVCSAETSYCLLEADAIGPLLSLLGDSDSSICDAALSALSTLIEGERLQSGSKVLHESNGIAPIVKVLSSRSTELQEKALNVLERIFRLQEYKRIYGALAQMPLVDITQRSNGPVRALAARILAHLDVLHDQSSYF >Ma06_p30520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31777289:31777648:1 gene:Ma06_g30520 transcript:Ma06_t30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERDECQGVAAGGRFWAVSGYGTEGQGRFVGAAEWYDAEAGTWTREEGLGEAGGATCVWMSGGRMWSLEGGGGVGGVREYEGSGKGWREVAPLPGMMRPCAAAAVSCAGERVFVVAAQ >Ma05_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7897879:7899256:-1 gene:Ma05_g10820 transcript:Ma05_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGASCVQVMTDEQMELLRRQISAYAVICERLVEMHRAVSAQQDAFSGMKLSGLYNDPLVTYGGPKISARQRWTPTHMQLQTLESLFYQGIGTPSKQRIKEIVAELSQHGQISEANVYNWFQNRRARSKRKKTAAAPSNTESESEAKSLVEKKAKTAMAAAYSNLSPSVYDHSPASNGSQGMYDYEAPESSKLSGGSDGMPVYGISISTPRKQP >Ma04_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26426039:26429184:1 gene:Ma04_g24440 transcript:Ma04_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTAPWEKSLVISSDDSSDSDSEDDGLATKMSRKNVGPQSSKDHTSEGALIRRAEMYQEYMGQIPIPAHRGSIIPFTSWQGLAKSVKLLYEQPLHYLTNILLKQWDQSRVGHDDEYRPLDTIIHPSKAEALIWVTEEVHRLTTSHKYLAKLWASDPMYHIYIDPISP >Ma04_p24440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26426006:26429967:1 gene:Ma04_g24440 transcript:Ma04_t24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTAPWEKSLVISSDDSSDSDSEDDGLATKMSRKNVGPQSSKDHTSEALDSKKKKHGGMNFDALSKHGYHGGPSVLKVPPPRVEDKEQDWSWSTGKGANASKNITEESFEERERTRDAVAQGEKLSSVRNNLEASRKEKNLSFSQKEKRKRDLGQASRGKNYVEEEKRLLRESGIYSGFDS >Ma09_p29890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40228411:40228912:-1 gene:Ma09_g29890 transcript:Ma09_t29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADWGPVIVAVILFILLSPGLLFQLPARTRVIEFGNMYTSGIAILVHSIIFFVILTILVIAIGVHVHAG >Ma02_p20150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26191083:26197722:-1 gene:Ma02_g20150 transcript:Ma02_t20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGGSFVEVRRISQGPDRGSVYHSTPGSTAWIGKGFSCVCAQGRESDARVSFDLTPSQEECLHRLQYRLDVSYDSSKKEHQEALRGLWYAAYPGIELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNYPKSFQDLLRKQDGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPRSIIGAIFLKLLSENDRAFDVLYCITFKLMDQQWLAMRASYMDFNTVMKSTRHQLEQELVLEDVSRIEDLPSYSLLRR >Ma02_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26191083:26197755:-1 gene:Ma02_g20150 transcript:Ma02_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGGSFVEVRRISQGPDRGSVYHSTPEVVTGSTAWIGKGFSCVCAQGRESDARVSFDLTPSQEECLHRLQYRLDVSYDSSKKEHQEALRGLWYAAYPGIELRDLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNYPKSFQDLLRKQDGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPRSIIGAIFLKLLSENDRAFDVLYCITFKLMDQQWLAMRASYMDFNTVMKSTRHQLEQELVLEDVSRIEDLPSYSLLRR >Ma02_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26322041:26336261:-1 gene:Ma02_g20310 transcript:Ma02_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MMAAAASLAPAASSSLLRRPRSAARLLLLRRPGNPIAAAANSRTIRPNYPPPPPQPFGRPPAVDPPADVLGSRWRRFCSAAVSADAEACEKVATTEAGEKVREFRKRLRIADVKGGPDEGMDRLGEVLVVRGWIRTCRVQSTVTFIEINDGSCLSNMQCVMSSDAEGYDLVESGFITTGTSVLIEGTVVSSQGSKQKVELKVARLILIGKSDPSAYPIQKKRATREFLRAIAHLRPRTNTFGAVTRVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLISNAGESDCPLNSIPTTTEGNVDWSQDFFGKPAFLTVSGQLNAETYASALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYILENCKEDMDFFNTWIEKGIINRLKDVVKKDFIQLTYSDAVGLLLKSKKKFEFPVKWGLDLQSEHERYITEIAFGGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDYLESRLDELKLSKDSYWWYLDLRRFGSVPHAGFGLGFERLVQFATGIENIRDAIPFPRSPGSADF >Ma06_p35690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35447263:35452189:1 gene:Ma06_g35690 transcript:Ma06_t35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLRAAVAPSPGRTMTKQADEELALFLEMRKLEKEQNNLLLHSTAELDPPLGSKPGTAPIFKIASSAPAREAGIGDFLNSDSEKNDYDWLLTPPGTPLFPSLDTESKRSPVSSTGTPKNRSTLQKSRLANAPDPSRNAASRQPTTSSGLNSSTVGTRRPSSSGGPTHSASRPVTPNGRPTLTAASKPTRASTPTSRNALPSKSCAPPPRSSTPVRYSTQTSRSSVPAASKTASRSATPTRRPSAISAVPSSSAPSSRSSSVARSGSTVSKGSTPCGSSSAIKPRSLKPSCTPGSSHDAPSNSHTSLPERPSASRGRPGAPNIRSSSVEPGPNVRPRRQSCSPSRGRVPNGNVHKGSSVPPSSRPHANANDNMNPVLIGNKMVERIVNMRRLVPPKQDDQRSSHNNLSGKSSLTPDSTGFGRTLSKKSLDMALRHMIYLQTQDIRRSVPNSSRPSMTNVPALSVYVRSGPTRSRTVGVSDSPLATSSTASSEHSVNNNTICLDGNEIEDDLTSEKGGRCSPAVFIAR >Ma06_p35690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35447263:35452189:1 gene:Ma06_g35690 transcript:Ma06_t35690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLRAAVAPSPGRTMTKQADEELALFLEMRKLEKEQNNLLLHSTAELDPPLGSKPGTAPIFKIASSAPAREAGIGDFLNSDSEKNDYDWLLTPPGTPLFPSLDTESKRSPVSSTGTPKNRSTLQKSRLANAPDPSRNAASRQPTTSSGLNSSTVGTRRPSSSGGPTHSASRPVTPNGRPTLTAASKPTRASTPTSRNALPSKSCAPPPRSSTPVRYSTQTSRSSVPAASKTASRSATPTRRPSAISAVPSSSAPSSRSSSVARSGSTVSKGSTPCGSSSAIKPRSLKPSCTPGSSHDAPSNSHTSLPERPSASRGRPGAPNIRSSSVEPGPNVRPRRQSCSPSRGRVPNGNVHKGSSVPPSSRPHANANDNMNPVLIGNKMVERIVNMRRLVPPKQDDQRSSHNNLSGKSSLTPDSTGFGRTLSKKSLDMALRHMDIRRSVPNSSRPSMTNVPALSVYVRSGPTRSRTVGVSDSPLATSSTASSEHSVNNNTICLDGNEIEDDLTSEKGGRCSPAVFIAR >Ma01_p21960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22252905:22259053:1 gene:Ma01_g21960 transcript:Ma01_t21960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPASLLHILLLISHLASAVPVDLHSHGCFWTESCQSKWVGGCSAGLVVVDRSDNCNGLCGESKFPPCLPFHTHFHCCKPESPRLTNKCTICKNKLDFGDEYICCTDCSDPYLIEKNRKLGYCKTGAVLAVQLKPQEVFKWVAGPWMKCSSPCDGGVRYRDVGCYGSTDDPSIKHYPVDDSRCSDQEMPLRQEPCNLQSCGDLSISESSDKPSGMSGWLVALLVILGLVAVGGVGFAGYICYKRRTSAPSGFVYIMLEGYS >Ma01_p21960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22252905:22258934:1 gene:Ma01_g21960 transcript:Ma01_t21960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPASLLHILLLISHLASAVPVDLHSHGCFWTESCQSKWVGGCSAGLVVVDRSDNCNGLCGESKFPPCLPFHTHFHCCKPESPRLTNKCTICKNKLDFGDEYICCTDCSDPYLIEKNRKLGYCKTGAVLAVQLKPQVFKWVAGPWMKCSSPCDGGVRYRDVGCYGSTDDPSIKHYPVDDSRCSDQEMPLRQEPCNLQSCGDLSISESSDKPSGMSGWLVALLVILGLVAVGGVGFAGYICYKRRTSAPSGFVYIMLEGYS >Ma01_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22252905:22258934:1 gene:Ma01_g21960 transcript:Ma01_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPASLLHILLLISHLASAVPVDLHSHGCFWTESCQSKWVGGCSAGLVVVDRSDNCNGLCGESKFPPCLPFHTHFHCCKPEVFKWVAGPWMKCSSPCDGGVRYRDVGCYGSTDDPSIKHYPVDDSRCSDQEMPLRQEPCNLQSCGDLSISESSDKPSGMSGWLVALLVILGLVAVGGVGFAGYICYKRRTSAPSGFVYIMLEGYS >Ma06_p09070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6402220:6404295:-1 gene:Ma06_g09070 transcript:Ma06_t09070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTATMLSWSVLEYGKRMGGEVGNARAAIRWATDYLLKAATQTPGKLYVGVGDPDADHRCWERPEDMDTPRAVYSVSKTNPGSDVAGETAAALAAASLVFRVTDRAYSRRLLAVAKTVMVFAMKYQGKYSDYLGSVVCPFYCSYSGYQDELLWGASWLFRATNDAFYLNYLKSLGANDNTDFFSWDNKFAGARVLLSRFQQQAEDFMCRILPNNPSSTTQYTPGGLMYKQSSANLQYATSISFLLFTYSKYIASSKHSFTCGNLLFTASSLRSLAKKQVDYILGENPLKMSYMVGFGARFPQKIHHRAASLPSLATYPGHIGCQAGFQYLDSSSPNPNILTGAVVGGPDQNDAFTDDRKDFSHAEPATYINAPLVGSLAYLVGSYGNG >Ma06_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6402220:6404394:-1 gene:Ma06_g09070 transcript:Ma06_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTATMLSWSVLEYGKRMGGEVGNARAAIRWATDYLLKAATQTPGKLYVGVGDPDADHRCWERPEDMDTPRAVYSVSKTNPGSDVAGETAAALAAASLVFRVTDRAYSRRLLAVAKTVMVFAMKYQGKYSDYLGSVVCPFYCSYSGYQDELLWGASWLFRATNDAFYLNYLKSLGANDNTDFFSWDNKFAGARVLLSRRVHVDNNMSFVQFQQQAEDFMCRILPNNPSSTTQYTPGGLMYKQSSANLQYATSISFLLFTYSKYIASSKHSFTCGNLLFTASSLRSLAKKQVDYILGENPLKMSYMVGFGARFPQKIHHRAASLPSLATYPGHIGCQAGFQYLDSSSPNPNILTGAVVGGPDQNDAFTDDRKDFSHAEPATYINAPLVGSLAYLVGSYGNG >Ma11_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5912013:5912675:1 gene:Ma11_g07430 transcript:Ma11_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKAYVRAAPAEAPRHRPETNVTFPAGTSEQTEHDDALVISARIANAQVRRIMVDTGSSADILYFDAFQKLGLSRDNMKPILSALTGFTGDSISPLGAITLPLTLGAPPRSKTVMTTFLVIDLPTAYNAILGRPTLNKIIAVVSTYYQTVKFLTHAGTGEVAGSPRESRRCYLTAVSLHKRARIEPPLADPWETKKPAPHPEPRGSTIDVPLLEDQPK >Ma02_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19644772:19647000:-1 gene:Ma02_g09870 transcript:Ma02_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSCCYKQKLRKGLWSPEEDEKLIRHITKYGHGCWSSVPKQAGLERCGKSCRLRWINYLRPDLKRGTFSKQEESLIIELHAVLGNRWSQIASRLPGRTDNEIKNFWNSCVKKKLRQSGIDPNTHKPLAEMEDKTSNSGDLKAPATTLEKTKHSVHKPASSSSSSGESRTWKNSVTPTRGFFHDHESSSACHLSPLPHSGFAPDCAPLFEMNQELNCSTISTVLLSVPSGNISALMSLKPNIDLFRDEIDGIQCSSDVYLSNSRRSSMNTGSVIELQSSTSFDGGILPFSELTPEKNAHVQLEREHEDLKWSEYLQGTITASATIQSQSHPLQYDIKTESQSVLVGLGTWQQNQQGQQQLQSSNIYGKDFHMVSVGFGQV >Ma06_p36600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35923373:35925861:-1 gene:Ma06_g36600 transcript:Ma06_t36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLVCGVLVFLAAAFVAGADDPYRFFTWTVTYGPIYPLGVQQQGILINGQFPGPRLDCVTNDNIVIDVINKLDEPLLLTWNGIKQRKNSWQDGVLGTNCPILPSGNFTYKFQTKDQIGGFTYFPSTGMQRAAGGFGSLNVYQRPQIPVPYAKPADDFSLLVGDWYRASHKVLQKALDSGSSLPFPDDLLINGQKYTAFAGDQGKTYLFRISNVGMTTSINFRIQGHKMKLVEVEGSHTLQNIYDSLDIHVGQSLSVLVTLDQAPKDYYIVASTRFTRKVLTATAVLHYGNSNSPVSGPIPGGPAYGLHWSMKQARTFRWNLTASAARPNPQGSYHYGKITRSRSIMLANSAAVIGGKQRYAINGVSFVVPGTPLKLADDFNIAGVFTWDSLPIPPAGGSPVLSTPVVRFNLHDFVEIIFQNTENTMQTWHLDGYDFWVVGYGSGKWTERLRRRYNLVDAVTRHTVQVYPNGWSAILVSLDNQGMWNLRSAMWARQYLGQQFYIRVWTPEKSYANEYDMPANALRCGKAVALR >Ma09_p25540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36971501:36975141:-1 gene:Ma09_g25540 transcript:Ma09_t25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding SETPATATTVGALLGLRTQLYSNALRKLPYMRHPWEHVVRWGWGGLLLDKAKAANERRYFGGFIVVAGKALMFVTEI >Ma04_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1404945:1411085:-1 gene:Ma04_g01560 transcript:Ma04_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSCLDKQRKQPSSSKCKMTVVSKATTKGCKNLDLKKLSLDHPDLTDNEPPRKQPRRSEHPVHLPSAEIFEDFRSANTWICKNSACKAVLSSEDTFCKRCSCCICHLFDDNKDPSLWLVCTSESGDRDCCGLSCHIECALQCQKAGVFSLGQFMLLDGSYCCASCGKVSGIIGCWKRQLAVAKDARRVDVLCYRIFLSYRLLDGTSRFKELHQTVEEAKAKLETEVGPVDGVSAKMARGIVSRLSIAADVQKLCFAAIEKADEWLRLRSTGHLEENHKDSLPAACRFHFEEISSSSLVIVLKEASSTFDAIKGYKFWYCKTRDQPYKKEPVIFPRAQRRILITNLQPCTEYAFRIISFTEDGDFGHSESKCFTKSVEIINKHMENNGAEGCSSSDKQEGKTPVARSSGFKVRNLGKILRLAWAQEDGCYDGFYDDNVQEESCGGSGEVIAEVVEAAPATSRRLDLNVATVPDLNAEATPPTECSPEEENACSSEKNELARSNGSDDSQTCAATLVHEVPAVESRPECEEQPAEETCEGDSTLVSGSPRRFQHRLGQLDDNYEYCVKVIRWLECLGHIESDFRMKFLTWFSLRSTEQERRVVLTFIHTLIEEPSSLAGQLLDSFSEIVTCKRLRSGFCSKLWH >Ma07_p26690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33376794:33381027:-1 gene:Ma07_g26690 transcript:Ma07_t26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEERREESVALVVIVVLAVLALASLVVALGYYCYIRSKVSQRRKAFKNEAREEADHEEAAAGGGGEVEVVVAAGKGGGGVQLFAYKQLHTATGGFGKGNVVGHGSFGSVYRGALPDGRKVAVKLMDSGGKQGEEEFKMEVELLTRLRSAYLLGLIGYCSEGGHRLLVYEYMASGSLQEHLYPTGGGSYGGVSNLEWETRMKIALEAAKGLEYLHEEVNPPVIHRDFKSSNILLDKNFRAKVSDFGLAKFGSEKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRIPVDMNRPPGEGVLVSWALPRLTDREKVAQIMDPAMEGQYSLKEAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKHKSTARIGGGSNLHTPKSPATPICAKTTTTTS >Ma05_p14450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10554593:10561208:1 gene:Ma05_g14450 transcript:Ma05_t14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVGGKFKLGRKIGSGSFGELYLGVNIQTGEEVGVKLEPVKTKHPQLQYESKLYMLLQGGTGIPQLKWFGVEGDYNAMVIDLLGPSLEDLFNYCSQKFSLKTVLMLADQLISRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHIGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPAEFISYFHYCRSLGFEDKPDYSYLKRLFRELFIREGYQFDYVFDWTMLKHPQSSANPRTHQPSGRPTGGAGLSLERTEKTSVGQEIRDRFSGAVEAFARRNALGSGYHGEHSRNRASEDLSFSAKETVLDSDKSRASSSRNGSISRRAVPSNSRLNSSTDFSEQHLSRTSQVSNSSGSSRTPIMQRLHQSGPEPRSSPLARPAAGRSSHDARTLRSMEFLSISAERRK >Ma05_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10554593:10561208:1 gene:Ma05_g14450 transcript:Ma05_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVGGKFKLGRKIGSGSFGELYLGVNIQTGEEVGVKLEPVKTKHPQLQYESKLYMLLQGGTGIPQLKWFGVEGDYNAMVIDLLGPSLEDLFNYCSQKFSLKTVLMLADQLISRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHIGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPAEFISYFHYCRSLGFEDKPDYSYLKRLFRELFIREGYQFDYVFDWTMLKHPQSSANPRTHPSGRPTGGAGLSLERTEKTSVGQEIRDRFSGAVEAFARRNALGSGYHGEHSRNRASEDLSFSAKETVLDSDKSRASSSRNGSISRRAVPSNSRLNSSTDFSEQHLSRTSQVSNSSGSSRTPIMQRLHQSGPEPRSSPLARPAAGRSSHDARTLRSMEFLSISAERRK >Ma02_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21575010:21577239:-1 gene:Ma02_g13000 transcript:Ma02_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILHHRHTRQKRIQIRIKIFPLKEIEEAINNFDETRVLDHGGYIIAYIGILSENMVKLFGCYGSSALSWEARLRIAVETIGVLAYLHSVASISILHRDIKLSNILLDDHFIAKNKPNLSMYFLEAPKEKHYFDLMEDRVMKEGTKQKLMKVIQLLSDSSYTFY >Ma03_p32590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34323121:34324036:1 gene:Ma03_g32590 transcript:Ma03_t32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPAGRWMPPDYPLQDSYSISTAILSTALVLLALAVAVIVFLHLYLRHVIRRRLTAIDRLRDRAAITDADDPPPAVGLDPSAIAALPAFPFRRRSGSEEEGSAADCAVCLGAAEEGEMVRLLPDCKHLFHVGCIDMWLASHVTCPVCRAMVEPPPVTATLVRESSGAATSAQEGTPGSKEAGSVSSRLGASVRRMLSRERSTTRRAQGEAPEDLERQ >Ma11_p25160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27893766:27896132:1 gene:Ma11_g25160 transcript:Ma11_t25160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVHGDAESKHEHLKTHPAKAVAEDGDPPPGNENEVEKETTCSSSATSDDSSEDFFQFDPAELSKSVVANDQEMRSHCGAATSSTNGFLILIDDDDEDSILAEAQPVGIADTGTSCMVASRQSPPVQVMERLDVPDTGRIPSPIFTRDRSTMTPMEWSVASNESLFSIHVGTCSFSRDHLILMGRSGEFTPIDSGSPVESCRLTSAVPGSDPVGNEGAGAMKGADQEEQLYSTSEGAKLSTRLSHRSDIGSASRFQSFAFPV >Ma11_p25160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27893766:27895529:1 gene:Ma11_g25160 transcript:Ma11_t25160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVHGDAESKHEHLKTHPAKAVAEDGDPPPGNENEVEKETTCSSSATSDDSSEDFFQFDPAELSKSVVANDQEMRSHCGAATSSTNGFLILIDDDDEDSILAEAQPVGIADTGTSCMVASRQSPPVQVMERLDVPDTGRIPSPIFTRDRSTMTPMEWSVASNESLFSIHVGTCSFSRDHLILMGRSGEFTPIDSGSPVESCRLTSAVPGSDPVGNEGAGAMKGADQEEQLYSTSEGAKLSTRLSHRSDIGSASRFQSFAFPVYALHVHYSH >Ma11_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27893766:27895529:1 gene:Ma11_g25160 transcript:Ma11_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVHGDAESKHEHLKTHPAKAVAEDGDPPPGNENEVEKETTCSSSATSDDSSEDFFQFDPAELSKSVVANDQEMRSHCGAATSSTNGFLILIDDDDEDSILAEAQPVGIADTGTSCMVASRQSPPVQVMERLDVPDTGRIPSPIFTRDRSTMTPMEWSVASNESLFSIHVGTCSFSRDHLILMGRSGEFTPIDSGSPVESCRLTSAVPGSDPVGNEGAGAMKGADQEEQLYSTSEGAKLSTRLSHRSDIGSASRFQSFAFPVYALHVHYSH >Ma07_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30160318:30169053:1 gene:Ma07_g22110 transcript:Ma07_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVLRELSDLQKLRALYQPGLPPCVQGTTVRVEFGDATTTSDPAGAHVISQAFPHTYGQPLAHFLRPTAKVPDAQIIVEQPAIRVGVVFCGRQSPGGHNVIWGLHSAIKSHNPNSTLLGFVGGTEGLFAKKTLEITNDILSTYQNQGGYDLLGRTKDQIRTTEQVNAAMSACQELKLDGLVIIGGVTSNTDAAQLAETFAELKCSTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTIFDITQQICDAVQARAEKDKYHGVILIPEGLVESIPELYALLQEIHGLHRRGVSVEDISSQLSPWASALFVFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAFVLGHVCYHILAAGLNGYMATITNLKNPVNKWRCGAAPITAMMSVKRWSHGPGAMPIGKPAIHPATVDLKGKAYELLRRSASSFLMDDIYRNPGPLQFDGPGADAKAISLCVEDQDYMGRIKLLQEYLDKVRSIVKPGCPQEVLKAALSSMASVTDVLSVMSSSSLNG >Ma10_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21907674:21908111:-1 gene:Ma10_g07570 transcript:Ma10_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRNVTALVAGRRVKLHFSQRRWSLAEGKSEASRFSTTGSDTMIEIIEDKNNN >Ma06_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8540259:8540798:1 gene:Ma06_g12280 transcript:Ma06_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTEQSVIGLGGLHLATSTGFIVAAVIVFFILFIFVFLLCLRAKRDRDANHVFPTEPAAVVAQRPCLEAAAAIEALPSMVFRCEGYKQGVECAVCLGELSEGEEARLLIGCNHAFHLRCIDMWFCSHSTCPLCRSPVVLDTPEPANSGAESSPLSSSGSCAVVAEIAVRQEGSRDGLQ >Ma07_p07000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5081092:5082870:1 gene:Ma07_g07000 transcript:Ma07_t07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKDDLGLSLSLSSSSHHHRHLPLQLNLMPSSSASGRPPSPPFPCHQTTQWAGLLADSDKRPVLEVCGGAVDARSLPLLRGIDVNRAPSSGAVERDSEEDAGASSPNSTLSSVSGKRAERDTHLGDEHDPDRGISDEDDGDGSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLRRCCETLTEENRRLQKEVQELRALKLSPQFYMHMTPPTTLTMCPSCERVSNSTASTTTTITTTSTSSPFANAPAQPMPEHHQFLHHRTIPGPWAPIPLRPFLDAPPQRS >Ma07_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5081091:5082870:1 gene:Ma07_g07000 transcript:Ma07_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKDDLGLSLSLSSSSHHHRHLPLQLNLMPSSSASGRPPSPPFPCHQTTQWAGLLADSADKRPVLEVCGGAVDARSLPLLRGIDVNRAPSSGAVERDSEEDAGASSPNSTLSSVSGKRAERDTHLGDEHDPDRGISDEDDGDGSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLRRCCETLTEENRRLQKEVQELRALKLSPQFYMHMTPPTTLTMCPSCERVSNSTASTTTTITTTSTSSPFANAPAQPMPEHHQFLHHRTIPGPWAPIPLRPFLDAPPQRS >Ma11_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25950950:25954070:-1 gene:Ma11_g22030 transcript:Ma11_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEQDGLPKFPARKMKFTPKIPPRKAPKPLTTKTEKPETKDDVVDKELLSKLNHAKANDGLMRRFPKSDRKVGPSEVAFGHGSSSLARSFPKGRGTGIQDSDGLDVQKFEKEYVEPWDYDHSYYPCTLPLRRPYSGNPEILDEEEFGEASASLGMDETKIDSAGELGLMEKREETQMLFFQFPANLPLPKQPAATTNTKDAAGKIDRALKKGCKLEELPPGFMGKLLVYKSGKVKMKLGDTLFDVSHGLCGSFAQDVVAINTKDKRCCVLGEIDRRAVVTPDIDALLDSINDLD >Ma10_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25883923:25888524:-1 gene:Ma10_g12910 transcript:Ma10_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFCGGSAKCANASSPSVSRLRSPFSSFKSEAAATVSLKSFSLNDLKTATKNFSSNSYLGEGGFGCVFKGWIDEHTYAPTRLGIGMVVAIKKLKRESFQGHKEWLAEVTYLSQLRHDNLVKLIGYCSESDNKLLVYEYMQKGSLENHLFKRGVQPIPWSTRVSIAINIAQGLLFLHSLKTQVIFRDLKASNVLLDSDFNAKLSDFGLARNGPTGDKSHVSTRVVGTHGYAAPEYIATGHLSVKSDIYSLGVVLLELLSGRRAVDEDRGSTEEALVDWAKPFLNDKRKLLRIMDTRLEGRYSKKEAQTIAALALQCLHVDPKNRPNMTDILPVLEHLRAPKDMTARCIHSKA >Ma05_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:517146:519662:-1 gene:Ma05_g00830 transcript:Ma05_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYALRRMAPLLHGRMQLRRHQMSLSSAAEEPLPDAVHMTGNCIRRLKELHTKDSSNEGKMLRLGVETGGCSGFQYVFCMDDKKNADDRVFEKDGVKLVVDNISYDFVKGSTVDYVEELIRSAFQVVTNPSAVGGCSCKSSFMVK >Ma07_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6593576:6596470:-1 gene:Ma07_g08810 transcript:Ma07_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGERRPTAEEVIARLKDDGDFDSLRLKIIRKVKENEELRSSIVSEVRQSMVLNEDGSEKLKLRQLSDAIYQELGSKIMGQISDEVWKVIKSCENDIRGTVESVYDRIVKPEVNKQDSVSPQKRLLVGDEKDQASLVAPSTCETNVSDGNEQMEVPPGFCSTDQPSSKVEHAMMLHDEQPHSSNEALNDPEQIDAVPPGFGPPVNSSIIPVGVSDEDPDVPPGFG >Ma08_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38076013:38077102:1 gene:Ma08_g25100 transcript:Ma08_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTTILCGALLFFLLVSSTLPAASFDCDAGDRAALLKIKKGLGNPRQLNSWIPATNCCNWYHVGCDSQSGRVLSLQLTDTYGVVADKVPAAIGDLPLLQVLALKNMPGLSGPIPRSFAKLRYLAGILIKNNSINGTIPRFFSNLPELRVIDLSDNKFTGPIPPGLSHGETPFLVLRNNLLTGEIPASYGDVEYTTFDVSHNRLTGSASFLFKNRSRSTFDISLSWNQLEFDITEAALPVKLRTLDVSHNRIRGGVPKALEDNYYMYRLNLSYNQLCGEIPTAGAMEAFGADSFLHNKCLCGPPLPPCRH >Ma06_p04790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3555006:3556538:1 gene:Ma06_g04790 transcript:Ma06_t04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVECECCGLQEDCTDDYISSVKKDFDGKWLCGLCSEAVRDELSRGKRKGHGVDEAIRAHISFCRKYKSNPAVRVADGMKQMLRRRSGELSKSALIKKHG >Ma06_p04790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3554995:3556539:1 gene:Ma06_g04790 transcript:Ma06_t04790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVECECCGLQEDCTDDYISSVKKDFDGKWLCGLCSEAVRDELSRGKRKGHGVDEAIRAHISFCRKYKSNPAVRVADGMKQMLRRRSGELSKSALIKKHG >Ma06_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3555006:3556539:1 gene:Ma06_g04790 transcript:Ma06_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVECECCGLQEDCTDDYISSVKKDFDGKWLCGLCSEAVRDELSRGKRKGHGVDEAIRAHISFCRKYKSNPAVRVADGMKQMLRRRSGELSKSALIKKHG >Ma03_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24923479:24930028:1 gene:Ma03_g19650 transcript:Ma03_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARDRVAPLSFLPGLLFLASLAAGDGSPEPFLQTLTGGGVPSAMVLPLVLSYANSSRRSNVGRRFGRRQLQREDPSTATARMRLYDDLLTNGYYTTRLYIGTPPQKFALIVDSGSTVTYVPCSSCEQCGNHQDPRFQPDMSSTYDPLKCNVDCTCDKENMQCLYERQYAEMSSSSGLLGVDFISFGKESALKPQRATFGCETSESGDLFSQHADGIMGLGRGQLSIMDQLVDKGVISDSFSLCYGGMDIGGGAMVLGGITPPHGMIYARSNPGRSPYYNIDLKEIHVAGKPLRLNSKIFNGNHGTVLDSGTTYAYLPEEAFRAFRDAIMSKLHSLKQIRGPDPNYKDICFSGAGSDVSELSKTFPEVEMVFGNGQKLSLSPENYLFQHSKVRGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRQNERIGFWKTNCSVLSERLHSNEAPAPAPSVFSGSNLSIEESPAPSPIGLVDSVLAGQFRVGVITFDMFLNISYVDLVPHIKELAELIANELEVASDQVHFMNNTNNGNGTLIRWAIFPEGSSSSFSNSTAMGIISRLTEHRVRLPENFGSYKLVEWNLEPPSRRSWWKRHLVAVAISVSMLLLLSFSTFLVWYMRRRRIRGPGAYRSVDDAVPEQELQPM >Ma03_p25770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29737546:29738052:1 gene:Ma03_g25770 transcript:Ma03_t25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHYHPAVAKQTSHDHPYPMILLHSKFNHQQCYGIFFFFFFLFLIITKCVSLMFSKRKHI >Ma05_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1739904:1740833:-1 gene:Ma05_g02650 transcript:Ma05_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHMLLAVLVILAAAVAVPQSAIATDFTVGDDSGWLPNFNYSSWTQGKEFRVGDNLVFKYMQGAHNVMQVGGPDFKVCNTSAVAINTFTSGNDLVALDTPGRRWYMCGFGDHCTRGQKLVVNILPAAISPASPPASPPSGPASPPPSPPPLSPASPPTSPPTPPFAPASPPTSPPPSPSAPATPPPPPPPPLSPASPPTSPPTPPTPVAPASPPTSPPPSPSTPATPPPPPPVSPAPSPSTSRASDRITAQAYQILMAATVAVAMAIAA >Ma08_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:993737:996460:-1 gene:Ma08_g01080 transcript:Ma08_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHRHSHLSPRNICYESPLPCITWLSMYNPRRFGMPWMINWICIILGVTLMILAQIGGLRKLIIQAKTYQFYS >Ma11_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24212191:24216148:1 gene:Ma11_g19300 transcript:Ma11_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKAAECSSKALAEEVQRWGAMKQNGVSLRYMMEFGARPTEKNLLLSAQFLHKELPVRIARRAIELESLPFGLSQKPAVLKVRDWYLESFRDIRSFPDVKDSNDELAFTQMIKMIKVRHNNVVPAMALGVQQLKRDINCKVVSELEEIHRFLDRFYMSRIGIRMLIGQHVALHDPDPEPGCIGQINTRLSPMQVARTASEDARSLCFREYGSAPDVNIYGDPNFTFPYVPSHLHLMVFELVKNSLRAVQERFMDSDKDVPPVRIIVADGIEDVTIKISDEGGGIARSGLPKIFTYLYSTAKNPLDETYEGISDGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >Ma10_p26440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34121838:34125267:1 gene:Ma10_g26440 transcript:Ma10_t26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MAAKKTLIAVGFEGSANKIGVGLVVLDGTILSNPRHTYITPSGHGFLPRETAHHHLRHLLPLLRSALSGAGITRADVDCLCYTKGPSIGAPLQVSAVAAVRALSQLWEKPIVAVNHCVAHIEMGRVVTGAEDPVVLCVSRGNTLISYSEGKYRIFGGTIDIAVGNCLDRFAGVFTLSNDPSPGYNIEQVCSLYFCFKNARCVIHVERIIHVYLICNLGFSMLKIVVTYYRVKGSAIILCTYDLPTVKGVKFMDLPYVVKGMNVSFSGILSYIEATAVEKLKNNECTPADLCYSVQETVCAMLVEIIERAMAHCDKKDVLIVGGVGCNDRLQELMRVMCSERGGKLFATDDRYCIDNGAMIAYTGLLAFAHGMTTPLEESTFTQRVANFKEKLTLKEEQYRHHNPCLEAPISHQSVIYIASLAFAQMLLYCYHGDMWYPYSLTNIN >Ma03_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29250164:29251075:-1 gene:Ma03_g25130 transcript:Ma03_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVKQGVNGGLRHATGDEGKTQAGAGLSAGILRLLVVATTLVAALVMATASETQTVSIEVAPTLPPLPVPVTAKASYSSAFVYFIVANAIAFAYSAWSLAVVVLKRRSTRGSILPISILDAVMVGLLFSGNGAAAAFGVLGKYGNSHVGWTKVCNVFGRFCAQASASIVVSLIASVNLLALVILSMVGLHRRSSA >Ma07_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26829678:26835547:-1 gene:Ma07_g18890 transcript:Ma07_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQQALAARAGGRCRRDGGPVCGFRPPAVLLLFFLILAPLAVRGSIRAPISSGIQDLPSHTGTDQREQVAVQKLKSILTKETLQNVSHAEKSYSLAELENKALISSLQIADVKLYNNPDDQQLLDSPAKLIRRQLREERHEQKQMVFTQQDDEAVVRLENAAIERSKAVDSAVLGKYSIWRRENENENSDSRVRLMRDQIIMGRIYSVLAKSRNKLDLYQELLSRIKESQHVVGEANADADLHHSASDKIKAMGQVLSKAKEALYDCKAVTRRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPLEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDDFKWLNSSYCPVLRQLESAAMKEYYFKADHPSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTALWSVDLNGNVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNMFDLKEWKNKDITGIYHKWQNMNEDRVLWKLGTLPPGLLTFYKLTHPLEKSWHVLGLGYNPTIDHSEIENAAVIHYNGNMKPWLELAMTRYRPYWTKYINYDHPYVRACKLKE >Ma07_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26982829:26983525:1 gene:Ma07_g19000 transcript:Ma07_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPSLAAPRSHLRFPPRLPSSSSCRRRLLLPPRCASASPPVPASEATLYDVLGVPTGATGGEIKVAYRRLARECHPDVAAATESSDEFIRLHAAYATLSDPEKRAEYDQRVMAATANAAAGRRWSPRLSYSCRPCRRWETDQCW >Ma03_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27145134:27146979:-1 gene:Ma03_g22390 transcript:Ma03_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGMASSFFPSGFMFQLQAPCEDDHQLVSPVRSLIPTNLHHLRGVAPATGKRSASFSGAETCEEANGDDELSDDASLAGEKKRRLNVEQVRTLEKSFELGNKLEPERKMQLAVALGLRPRQVAIWFQNRRARWKTKQLEKDYDVLRRQFDAIKAENEALQAHNKKLQTEALALRGREASDLINLNKETEGSCSNRSENSSEINLDISRTSVAERSCPPLQILPYFQSVRPADPDCPKVENDAPEGSFSNLLCSIGDQSAFWPWPDHHNFH >Ma03_p22390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27145134:27146979:-1 gene:Ma03_g22390 transcript:Ma03_t22390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGMASSFFPSGFMFQLQAPCEDDHQLVSPVRSLIPTNLHHLRGVAPATGKRSASFSGAETCEEANGDDELSDDASLAGEKKRRLNVEQVRTLEKSFELGNKLEPERKMQLAVALGLRPRQVAIWFQNRRARWKTKQLEKDYDVLRRQFDAIKAENEALQAHNKKLQTELHVQALALRGREASDLINLNKETEGSCSNRSENSSEINLDISRTSVAERSCPPLQILPYFQSVRPADPDCPKVENDAPEGSFSNLLCSIGDQSAFWPWPDHHNFH >Ma10_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23742787:23744559:-1 gene:Ma10_g09670 transcript:Ma10_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGASGGWLLELGKFAAYLTVPAAVTFAIATDSKTTLYKLMGFRSYVVYPPEGPRPPSLEELREMAPEIRQKSNSQ >Ma06_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21776106:21777522:-1 gene:Ma06_g23670 transcript:Ma06_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLDARFLFHLALLSLLLGSANGNGLKFGFYKTSCPDAEAIVRKTVSSYFSRDPTVSAPLLRLHFHDCFVRGCDGSVLLNSTETNLAEKEAMPNQSLDGFYVIDAAKAALEKACPETVSCADIVALVARDAVSLATGQGNSLYQVHTGRRDGFVSKASEAVANIPSAFSDFDELKAEFASKGLSVKDLAVLSGAHAIGNSHCFSFGKRLNNYKGKGDIDPTLDPNYAMELRNKCSPGINTVVEMVPGSSTSFDTKYYDLVAKRKGLFRSDEALLQDKRTRDYVYSRLHAPESSFFYDFGKSMMKMGKVGVLTGNAGEIRRNCALVNH >Ma10_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:596953:606165:-1 gene:Ma10_g00030 transcript:Ma10_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFGKLRNLDAYPKINEDFYSRTLSGGIITIVSSIVMFLLFISELRLYLHAVTETKLIVDTSRGETLRINFDVTFPALSCSMLSLDAMDISGEEHLDVRHDIIKKRLDSHGNIIESRQDGIGSPKIEKPLQRHGGRLEHNETYCGSCYGAEVSDEDCCNSCEEVREAYRKKGWGLSDPEIIDQCKREGFLQRIKDEDGEGCNIYGFLEVKKVAGNFHFAPGKSFQQSNMHVHDLLPFQKESFNISHKINRLSFGEYFPGAINPLDGVQWVQHAPYGMYQYFIKVVPTVYTDIRGRTIQSNQFSVTEHFRSDDIGRLQYLPGVFFFYDLSPIKVTFTEGHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQRAIKKKIEIGKFS >Ma07_p27280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33724949:33727961:1 gene:Ma07_g27280 transcript:Ma07_t27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDREEKGFGVLVKQGAEARVFESNFVGRRSIIKERFSKKYRHPLLDSKLTLKRLNEARCMTKARRLGVPTPALYGVDPLLHTLTFEYVDGLSVKEILLDFGSNGVNEERLDDIATQIGNAVGKLHDGGLIHGDLTTSNMIIKRDNNRLVLIDFGLSFTSTLPEDKAVDLYVLERALVSMHSSCGNVMDKILSAYRKSSKQWSSTMNKLAQVRQRGRKRTMVG >Ma07_p27280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33724948:33727961:1 gene:Ma07_g27280 transcript:Ma07_t27280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDREEKGFGVLVKQGAEARVFESNFVGRRSIIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARRLGVPTPALYGVDPLLHTLTFEYVDGLSVKEILLDFGSNGVNEERLDDIATQIGNAVGKLHDGGLIHGDLTTSNMIIKRDNNRLVLIDFGLSFTSTLPEDKAVDLYVLERALVSMHSSCGNVMDKILSAYRKSSKQWSSTMNKLAQVRQRGRKRTMVG >Ma11_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4210167:4210803:1 gene:Ma11_g05460 transcript:Ma11_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNLGSSMESDGHHNYAGAASGDGGVKEQDRLLPIANVGRIMKQILPQNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALNTLGFDDYVEPMKRYLQKYREMEGDRAAGGGGHSTKASSSSDARDQPSAGAHFMFDPSERSKPSASRGF >Ma04_p31140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31534817:31535259:-1 gene:Ma04_g31140 transcript:Ma04_t31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHTAVCSMCGDVGFDDKLFRCVRCRSRFQHSYCTNFYYEEPSETAGVCDWCLSERYELPHKKSAGRHAIQPAAGRRSAYAKRRTGRSAPASKPTGRRYKLLKDVLY >Ma06_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10933603:10937478:-1 gene:Ma06_g16150 transcript:Ma06_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAAVPAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQKRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDRAAKKERLLKRAQAEAEGKTVEVKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGAIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEIRRKWGGGIMGSKSQAKAKAREKLLAKEAAQRMS >Ma01_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4390799:4397746:1 gene:Ma01_g06140 transcript:Ma01_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRPQVEHIVSVGDASFFFHMAASLSKTLRLRRSLLSVLSSPRLTPLSAFSSSHAPPPPPTFAAASSGSQAQEKERGKWSKALLFLPGAITFGLGTWQLFRRQEKIEMLDYRRKRLEMEPLKWNELSSSDHDFDSLEFRKVICEGDFDESKSVYIGPRSRSISGVTENGFYVITPLIPRITGPGSVQLPVLVNRGWVPRGWRKKLENSEKSDRSSSPEIVDAKQNEGSAWWKFWSKEPSVTKVEENSTAPTRVIGVVRGSEKPSIFVPENDPSTGQWFYVDVAMIARACGVPDNTLYIEDINEDVSASNPYPIPKDVNTLIRHSVMPQDHLNYTFTWYSLSAAVTYMALRRIRPKKSRR >Ma01_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7316902:7323282:-1 gene:Ma01_g10180 transcript:Ma01_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAEDLSIEDLASNVSTYKDQLREVRKLLADDPGNSEYADMEKELEEVIALTEELLVTAKPADANQLDTDASPQDQFGGASQSKASYEHSQLYGFSSGTKVQAVWSEDGEWYDATIEAITPNGYYVCYDDWGNKEEVDPANVRPVEEGDALLEAEREAEATRQAIKRKIAQAATTDFQARTLPAKLRIDPNDPDDVKASKRKKIHAFKSKVRSEQLEVAQNKRQNAWQQFQSTKGKTKKIGFFSGRKRESIFKSPDDPRGKVGVTGSGKGLTEFQKREKHLHLKGGSVDAED >Ma05_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:67239:73958:1 gene:Ma05_g00100 transcript:Ma05_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGFLSLSVVEDVLKQHGTMMSDIDLASRKEEEAATRRYEAAGWLRRMVGVVGARDLPEEPTEEEFRLGLRNGIVLCNALNKVQPGAVPKVVEAPVDPTLLPDGAALSAYQYFENLRNFLDALEELGLPTFEASDLERGGKGSRVVNCVLALKSYGEHKEGGRNGSCKYGGVLKPSSCGKHFARRNFEPFMNSLARNQSADKIQDSVSVEENLSIDFSLESTEMTSHSLNMLVRTILSNKKPEEVPSLVESMLSKVMQEFECRIASQNDLVTTTAKDQTDGTISLSEENNFPETSSGCCEIEMVKAESTSPSLKDESFSMSLKDGETSNTKLLKQGLLFDGHTSSTKLLKQGLLFDRQKTEIQELKDALVTTRAGIELMKTEYSEELSNLGKHMRILAHAIQGYHKILEENRKLYNQVQDLKGNIRVYCRVRPFLPGQSNLSTVGHIDDGSITILTPPKYGKEGHKSFTFNKVFGPFATQEEVFSDTQPLIRSVLDGYNVCIFAYGQTGAGKTYTMSGPKVLTEQSFGVNYRALNDLFHISKERKDTFCYEISVQMIEIYNEQVRDLLASDGDIRNSSQTGFAVPDANSVPVTSTTEVIELMDIGQKNRAVSATSMNDRSSRSHSCLTIHVQGRELASGVVVRGCMHLVDLAGSERVNKSEVKGDRLKEAQHINKSLAALGDVISALAQKSSHIPYRNSKLTQLLQDSLGGQAKTLMFVHISPEVDAVSETLSTLKFAERVAMVELGAAQVNKDNGEVKELRLQVASLKAALAKKEGEHLRSAMSSPDIYGMKSGATSPAHPNHMQTMEDFGNIEVRSCSALMQERADMDLQDLLTASDSSSWPERYPKLLKFGSKETGEDVIQNEDALSAWEGDNPHVPDSFYQGYIPDVRAFGDQHRSRANCIATEDLDDLDFATSESSEQDVLSQSNLPKSSNAVDRIKRPQSGSVKSSDVRSASRSHLPSPSRKISNAPGQTVSRSTRQPISGGIDGKRRPNAKTGSRK >Ma11_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9958887:9960153:1 gene:Ma11_g10540 transcript:Ma11_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGAPSTIIGSSLSVEREEMEEERSKQHFVLVHGIGHGAWCWYKLTALLRSAGHTVTALDLAGSGVHPQRLDEVRSFGDYARPLMEVMASIPPREKVVLVGHSYGGACVALAMERFPEKVLVAVFVAGIMPSPACSVARIAEEFFKGHPLEAYMDSTLVVRKDPLSICSVSFGYNYLSTRLYQLSPPEDLTLATMLVRPASCFLDDANEMMQLTEERFGSVRRVFIVCKEDKSTSEAFQLWMIQRSPGAEVMEIEAADHMVMLSRPRELFRLLVEIAVEHGSRTSSHDLPRPRGGGRLR >Ma08_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4526649:4528435:-1 gene:Ma08_g06660 transcript:Ma08_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKASPVAAVPPPSPDGESLGKVDSLKTLNELLFMETEELRQKIRDLRSHLDHLSLDHSLSSDLENDITRLVLASRLAEFAEEMAAAEERTTPVDADLMTAGLHLESADADKDAIEKTLDAAISDKDAVLEDTGDKNHHAELQVAVLLEEMESLEVALKQNLSSIGTLEVEKATMGEKIKYMEEVLRTTDDQLLSIAREKGEIEKDLELAIMERNACRRDLNAMSMVLEKTKEEFAGYQAANNALDQGIVKLERQFEEEHATVSKDVNRWMGKVGGTERRKEELEQQISILQTKITDLQGKVSKSEAIRQEKIALEEKLGSVEEAVKSANERFDLVAAEKDGLKKALEQATLERESTQRKLTDEANKCKAMIAQVTEELKMKLGLKAVDESKAKQEGEIATLQGQVADLSSTLSALVDSSGDITGRKAQRQAEKEAVLRDLDLEKAKVRRLRLQLEKLKKGRDDAANVLRKMVVVIEGLIAENRIIETSELITGLVTAGNEDGVGRGIDIEQDADESMEHMGGELEAMKTALKSKLAKVEGMDQALNLLGGAVEGKKNGGEWRWLCPTAATLLAAISLAYAAKH >Ma02_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28687816:28689110:1 gene:Ma02_g23830 transcript:Ma02_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLPPSLLAQIQPLLSSCGGGMALLELVLVAFASLLSAGEGYGGRGRWSRAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFGDGLSCGACYQIVCVKDRRNCLRGSVVVTATNFCPPNSALPNDAGGWCNPPLQHFDLSQPVFLRIAQYRAGIVPVAYRRVPCRKRGGIRFTVNGHSYFNLVLITNVGGAGDVHAVAVRGARTGWQSMSRNWGQNWQSNAFLDGQSLSFKVTTSDGRSVVSYDVAPATWSFGQTFSGGQFH >Ma04_p25180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26986650:26987745:-1 gene:Ma04_g25180 transcript:Ma04_t25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSVEDESSEVERRSSPPRPPTLPATEGLQRLGSGASVVLDPEVGAESRKLPSSRYKGVVPQPNGRWGAQIYDKHQRVWLGTFNEEDEAARAYDVAAQRFRGRDAVTNFKPLVETDDVDAAELSFLVSRSKAEIVDMLRKHIYHDEMRQSRQSSGAEGRRTYPSRGGSMGWFGGPHREHLFDKAVTPSDVGKLNRLVIPKQHAEKHFPQPQTGAAACKAVLLNFEDACGKVWRFRYSYWNSSQSYVLTKGWRRFVKEEGLRAGDVVTFHRSTGPERQLFIGRKTRPAVEVVRLFGVNIADVSGVVRRNAKRTCRDMESTVSSSCELLKRRCREGL >Ma08_p34750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44738859:44750990:-1 gene:Ma08_g34750 transcript:Ma08_t34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 10 [Source:Projected from Arabidopsis thaliana (AT5G60990) UniProtKB/Swiss-Prot;Acc:Q8GY84] MAEDESPQTFKTLGVREELVEACESLGWKAPTKIQIDSIPYALEGKDIIGLAQTGSGKTGAFAIPIIQALLETPQPFFACVLSPTRELAIQIAEQFEALGSGIGVKCTVLVGGVDMTQQAISLGKRPHIVVATPGRLLDHLTNTKGFSLRTIKYLVLDEADRLLNLEFEKAIDDILKVIPAERKTYLFSATMTKKVSKLQRACLRNPVKIEAASKYSTVDTLKQQFRLVPAKYKDCYLVYILTEMSGSMSMVFTRTCESTRLLSLVLRNIGMNAIPISGQMSQAKRLGALNRFKSGNCNILICTDVASRGLDIPSVDVVINYDIPTNSKDYVHRVGRTARAGRSGLAISLVNQYEVEWYLQIEQLIGKKLPEYPANETEVLIYLERVSDAKRIALMKIKDSNGNKKRKKVEEDGDEAEEYVGISKKVKASKRSKRW >Ma07_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7488212:7491411:-1 gene:Ma07_g10010 transcript:Ma07_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor-like serine/threonine-protein kinase SD2-5 [Source:Projected from Arabidopsis thaliana (AT4G32300) UniProtKB/Swiss-Prot;Acc:Q8RWZ5] MKALVLPLIAPFFFFLSPCVGSIQTTQLSPGFRGSEMEWIDNNGLFLLSNSSGFAFGFTTYNSSDTTSFLVSVIHQGSGTIVWTANRDAPVSHSDEFVFDKDGSAYLKSGGDVIWSTNTSGQGATRMELLDSGNLVLLGGGDGSSSASPLWQSFDHPTDTLLSGQSFTKGMLLVSDPSDSGLRYHLRIDSEDAKLFAEFASPQLYWSMQRDARKIDNQVGGDIRSAVLESNSWNFYDQNQSRIWQFIVDSAETSENVTRMAVLDTSGSITFSILPSSGQGNPSSIKIPEDSCDTPEACHPYFICYPGIRCQCPSVLSLDSNCNPGNVSSCDPSTSFKLAKVDDGVGYFATSFVSPSAKSNVTGCKDACLDNCSCAALFYDEKSGSCFLFDQIGSFKQIQSNTASYTYIKVTSNADGGKGSNGQGSSGSKTLMIVLIISFMTVAVIAALVYLACRIHRRKKIPEPSQGSSEEDNFLEGLSGMPVRFSYRELQMATDDFSVKLGEGGFGSVYLGKLPDGTRIAVKKLESIGQGKKEFRSEVSIIGSIHHIHLVKLRGFCAEGAHRLLAYEYMAKGSLDRWIFKKNQRDFSLDWDKRYNIALGTAKGLAYLHEDCESKIVHCDIKPENVLLDDNYHAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNFDPAETSEKSHFPSYAFKKMEEGKLKEIFDANLIYNDMDGRMETAIKVALWCIQEDLYLRPSMTKVVQMLEGLQDVPQPPTSSQLGFRLYANAFKAISEEGTCSGSGPSDCNSDAFLSAVRLSGPR >Ma07_p10010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7488212:7491411:-1 gene:Ma07_g10010 transcript:Ma07_t10010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor-like serine/threonine-protein kinase SD2-5 [Source:Projected from Arabidopsis thaliana (AT4G32300) UniProtKB/Swiss-Prot;Acc:Q8RWZ5] MKALVLPLIAPFFFFLSPCVGSIQTTQLSPGFRGSEMEWIDNNGLFLLSNSSGFAFGFTTYNSSDTTSFLVSVIHQGSGTIVWTANRDAPVSHSDEFVFDKDGSAYLKSGGDVIWSTNTSGQGATRMELLDSGNLVLLGGGDGSSSASPLWQSFDHPTDTLLSGQSFTKGMLLVSDPSDSGLRYHLRIDSEDAKLFAEFASPQLYWSMQRDARKIDNQVGGDIRSAVLESNSWNFYDQNQSRIWQFIVDSAETSENVTRMAVLDTSGSITFSILPSSGQGNPSSIKIPEDSCDTPEACHPYFICYPGIRCQCPSVLSLDSNCNPGNVSSCDPSTSFKLAKVDDGVGYFATSFVSPSAKSNVTGCKDACLDNCSCAALFYDEKSGSCFLFDQIGSFKQIQSNTASYTYIKVTSNADGGKGSNGQGSSGSKTLMIVLIISFMTVAVIAALVYLACRIHRRKKIPEPSQGSSEEDNFLEGLSGMPVRFSYRELQMATDDFSVKLGEGGFGSVYLGKLPDGTRIAVKKLESIGQGKKEFRSEVSIIGSIHHIHLVKLRGFCAEGAHRLLAYEYMAKGSLDRWIFKKNQRDFSLDWDKRYNIALGTAKGLAYLHEDCESKIVHCDIKPENVLLDDNYHAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNFDPAETSEKSHFPSYAFKKMEEGKLKEIFDANLIYNDMDGRMETAIKVALWCIQEDLYLRPSMTKVVQMLEGLQDVPQPPTSSQLGFRLYANAFKAISEEGTCSGSGPSDCNSDAFLSAVRLSGPR >Ma07_p10010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7486782:7491411:-1 gene:Ma07_g10010 transcript:Ma07_t10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor-like serine/threonine-protein kinase SD2-5 [Source:Projected from Arabidopsis thaliana (AT4G32300) UniProtKB/Swiss-Prot;Acc:Q8RWZ5] MKALVLPLIAPFFFFLSPCVGSIQTTQLSPGFRGSEMEWIDNNGLFLLSNSSGFAFGFTTYNSSDTTSFLVSVIHQGSGTIVWTANRDAPVSHSDEFVFDKDGSAYLKSGGDVIWSTNTSGQGATRMELLDSGNLVLLGGGDGSSSASPLWQSFDHPTDTLLSGQSFTKGMLLVSDPSDSGLRYHLRIDSEDAKLFAEFASPQLYWSMQRDARKIDNQVGGDIRSAVLESNSWNFYDQNQSRIWQFIVDSAETSENVTRMAVLDTSGSITFSILPSSGQGNPSSIKIPEDSCDTPEACHPYFICYPGIRCQCPSVLSLDSNCNPGNVSSCDPSTSFKLAKVDDGVGYFATSFVSPSAKSNVTGCKDACLDNCSCAALFYDEKSGSCFLFDQIGSFKQIQSNTASYTYIKVTSNADGGKGSNGQGSSGSKTLMIVLIISFMTVAVIAALVYLACRIHRRKKIPEPSQGSSEEDNFLEGLSGMPVRFSYRELQMATDDFSVKLGEGGFGSVYLGKLPDGTRIAVKKLESIGQGKKEFRSEVSIIGSIHHIHLVKLRGFCAEGAHRLLAYEYMAKGSLDRWIFKKNQRDFSLDWDKRYNIALGTAKGLAYLHEDCESKIVHCDIKPENVLLDDNYHAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNFDPAETSEKSHFPSYAFKKMEEGKLKEIFDANLIYNDMDGRMETAIKVALWCIQEDLYLRPSMTKVVQMLEGLQDVPQPPTSSQLGFRLYANAFKAISEEGTCSGSGPSDCNSDAFLSAVRLSGPR >Ma07_p10010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7488212:7491411:-1 gene:Ma07_g10010 transcript:Ma07_t10010.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor-like serine/threonine-protein kinase SD2-5 [Source:Projected from Arabidopsis thaliana (AT4G32300) UniProtKB/Swiss-Prot;Acc:Q8RWZ5] MKALVLPLIAPFFFFLSPCVGSIQTTQLSPGFRGSEMEWIDNNGLFLLSNSSGFAFGFTTYNSSDTTSFLVSVIHQGSGTIVWTANRDAPVSHSDEFVFDKDGSAYLKSGGDVIWSTNTSGQGATRMELLDSGNLVLLGGGDGSSSASPLWQSFDHPTDTLLSGQSFTKGMLLVSDPSDSGLRYHLRIDSEDAKLFAEFASPQLYWSMQRDARKIDNQVGGDIRSAVLESNSWNFYDQNQSRIWQFIVDSAETSENVTRMAVLDTSGSITFSILPSSGQGNPSSIKIPEDSCDTPEACHPYFICYPGIRCQCPSVLSLDSNCNPGNVSSCDPSTSFKLAKVDDGVGYFATSFVSPSAKSNVTGCKDACLDNCSCAALFYDEKSGSCFLFDQIGSFKQIQSNTASYTYIKVTSNADGGKGSNGQGSSGSKTLMIVLIISFMTVAVIAALVYLACRIHRRKKIPEPSQGSSEEDNFLEGLSGMPVRFSYRELQMATDDFSVKLGEGGFGSVYLGKLPDGTRIAVKKLESIGQGKKEFRSEVSIIGSIHHIHLVKLRGFCAEGAHRLLAYEYMAKGSLDRWIFKKNQRDFSLDWDKRYNIALGTAKGLAYLHEDCESKIVHCDIKPENVLLDDNYHAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGRKNFDPAETSEKSHFPSYAFKKMEEGKLKEIFDANLIYNDMDGRMETAIKVALWCIQEDLYLRPSMTKVVQMLEGLQDVPQPPTSSQLGFRLYANAFKAISEEGTCSGSGPSDCNSDAFLSAVRLSGPR >Ma08_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34084578:34085071:-1 gene:Ma08_g20230 transcript:Ma08_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYRINNIKIRAGEAIDAIVITFTRNGLTETNHFGGSGGNLHEISLQEDESLVGIEVSVERN >Ma02_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17538880:17541551:-1 gene:Ma02_g06560 transcript:Ma02_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLAMIRNILNNTWRKGSHAMDYGDRTLSFVCRRCFHDGKVLSGPRSFFGVEDFLDDDNSRPYTYKKEKRPKSPHKHISFKQRTIAYMEPFSLDVYISKRFVSASVTHRVTCKQVAVAGTNSKDIKAVLKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGIDVKVYLD >Ma02_p06560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17538880:17541566:-1 gene:Ma02_g06560 transcript:Ma02_t06560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNILNNTWRKGSHAMDYGDRTLSFVCRRCFHDGKVLSGPRSFFGVEDFLDDDNSRPYTYKKEKRPKSPHKHISFKQRTIAYMEPFSLDVYISKRFVSASVTHRVTCKQVAVAGTNSKDIKAVLKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGIDVKVYLD >Ma02_p06560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17538880:17541383:-1 gene:Ma02_g06560 transcript:Ma02_t06560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDVFSIVKCPWFAESKSILELDVDLAMIRNILNNTWRKGSHAMDYGDRTLSFVCRRCFHDGKVLSGPRSFFGVEDFLDDDNSRPYTYKKEKRPKSPHKHISFKQRTIAYMEPFSLDVYISKRFVSASVTHRVTCKQVAVAGTNSKDIKAVLKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGIDVKVYLD >Ma09_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9939363:9939808:-1 gene:Ma09_g14560 transcript:Ma09_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMESSAAPLAQGGRRLRGPKRIKKWTWMRLWLIILIDSRNSFGVGPRDQKLLLHVTPGRDKKWHSKPSLGMSWTNGHLGLYVWSKRILYRRSTLNSFRLLHHQLF >Ma06_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9366265:9377003:1 gene:Ma06_g13670 transcript:Ma06_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQEKATHADPPICDVVGAKGAVQEWVPVADERRAAAPQVEAVGKLIAPAEDVPAPPMKPSSKAIPIVMRAQNSHPLDPLSAAEILVAVATVRAAGATPEVRDGMRFIEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGGPVILGKLPPRRARLVVYNKRSNETSIWIVELSEVHAATRGGRHRGKVISSEVVPVVQPSMDAMEYAECEAAVKSHLPFIEAMRKRGVEDMDLVMVDSWCVGFYSDADGPNRRLARPLIFCRTESDCPMENGYARPVEGIHVLVDIQNNVIIEFEDRKLVPLPPADPLRNYTPGETRGGIDRSDVKPLQILQPEGPSFRVNGYFVQWQKWNFRIGFTPREGLVIHSLAYVDGSRGRRPIAHRLSFVEMVVPHGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNYTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLSVSFICTVANYEYGFFWHFYQDGKIEAEVKITGILSLGALGPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAFNQVVEVNVKVEEPGLHNIHNNAFYAEEKLLRSELQAMRDCNPSSARHWIVRNTRTVNRTGQPAGYKLMPGPNCLPLAGPEAKFFRRAAFLKHNLWVTSYKHDEMYPGGEFPNQNPRINEGLATWVKKNRSLEETNIVLWYVFGVTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPSVDVPPSPSGAEEESVAPKLVPSLLLAKL >Ma05_p17630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20993682:21023774:1 gene:Ma05_g17630 transcript:Ma05_t17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTGFFALEECRLDPKWLIDPELLFVGPKIGEGAHAEVYEGKYKNQNVAVKVMHKGDSQEDVAKREARYMREVAMLARGQHKNLVKFIGACKEPMVVVTELLLGGSLRKYLINMRPRNLEPRVAVGFALDIARAMECLHSHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAVAFKNVRPSANDLPEELASILTSCWKEDPNSRPNFSQIVQMLLHYLSTLSPPEHVNPSRAYSSENVVLPPESPGTSSLMAARDEIGDTTTDENKWRGFFFCFSQCF >Ma05_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20993682:21023774:1 gene:Ma05_g17630 transcript:Ma05_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTGFFALEECRLDPKWLIDPELLFVGPKIGEGAHAEVYEGKYKNQNVAVKVMHKGDSQEDVAKREARYMREVAMLARGQHKNLVKFIGACKEPMVVVTELLLGGSLRKYLINMRPRNLEPRVAVGFALDIARAMECLHSHGIIHRDLKPDQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAVAFKNVRPSANDLPEELASILTSCWKEDPNSRPNFSQIVQMLLHYLSTLSPPEHVNPSRAYSSENVVLPPESPGTSSLMAARDEIGDTTTDENKWRGFFFCFSQCF >Ma02_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28131540:28135687:1 gene:Ma02_g22910 transcript:Ma02_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAEGVPVPDARTGVEASTRDGGMSIRQCVDKINKSLDHPKVRFLREHMIDAGCPVWVRLLMPLNCKDQGFAGGYTSGKGIAICCNHMTFQDEINQVLIHELIHAYDDCRAKTINWKNCYHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQECVKRRALKSVQINPHCSDAAARDAVEAVWDICYNDTYPFERAP >Ma04_p31540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31813673:31820033:1 gene:Ma04_g31540 transcript:Ma04_t31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide-binding protein-like NSN1 [Source:Projected from Arabidopsis thaliana (AT3G07050) UniProtKB/Swiss-Prot;Acc:Q9M8Z5] MVKKSKKSKSKRVSLKKKYKIIRKVKEHHRKKAKEAKKLGLNKKKKVEKDPGIPNDWPFKEQELKSLEARRARALEELEQKKAARKERAKKRKMGLAEDSDMADLASLASAKEHDFANRITTDEFSAVAKSHDHSERAFYKELKKVVEASDIILEVLDARDPLGTRSVDLENMVLKSDPNKRIVLLLNKIDLVPREAVEKWLTYLREELPAVAFKCSTQEQRSNLGWKSSKLSKVAKPSNVLQTSDCLGADTLLKLLKNYSRSHELKLSITVGIVGLPNVGKSSLINSLKRSHAVNVGATPGLTRSMQEIQLDKNVKLLDCPGVVMLKSGEDDASIVLRNCKRIEKLDDPVSPVNEIFKLCPAEKLMSLYKLPSFNSVDDFLQKVATIRGKLKKGGIVDIEAAARIVLHDWNEGKIPYYTLPPTRDHEDNLDSTVVPQFGKEFDVDEVYKTESSLIGSLKSVEDFHHIEVPPHSPVNFDAQMLEEDGKKQNAVTTDEDQPMAYDGKDTVEMKTNSGSSQNDKLYAAEGILDPRKRRAEKKRRKAHKLNKTNEMDADYDFTVDYHMKDQPPDMMTAGEDESNGEDDKINKEVPMSGVEFDA >Ma04_p28470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29525009:29535313:1 gene:Ma04_g28470 transcript:Ma04_t28470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEGVLLGMGNPLLDISAVVDEEFLNKYDLKPNNAILAEEKHLSLYDELAAKYNVEYIAGGATQNTIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKNAAVAGVNAHYYEDETALTGTCAVCVVGGERSLVANLSAANCYKIDHLKRPENWQLVEKAKYIYIAGFFLTVSPDSIQLVAEHAAAKNKVFMMNLSAPFICEFFRDAQDKALHYVDFVFGNETEARTFARVHGWETGNVEEIAVKISALPKASKTHNRITVITQGCDPVVVAADGKVRLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDDCVKAGCYAANVIIQRSGCTYPEKPDFR >Ma04_p28470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29525010:29535313:1 gene:Ma04_g28470 transcript:Ma04_t28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEGVLLGMGNPLLDISAVVDEEFLNKYDLKPNNAILAEEKHLSLYDELAAKYNVEYIAGGATQNTIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKNAAVAGVNAHYYEDETALTGTCAVCVVGGERSLVANLSAANCYKIDHLKRPENWQLVEKAKYIYIAGFFLTVSPDSIQLVAEHAAAKNKVFMMNLSAPFICEFFRDAQDKALHYVDFVFGNETEARTFARVHGWETGNVEEIAVKISALPKASKTHNRITVITQGCDPVVVAADGKVRLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDDCVKAGCYAANVIIQRSGCTYPEKPDFR >Ma11_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23494456:23496403:1 gene:Ma11_g18440 transcript:Ma11_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDDSKFLKLQTCVVKVNICCDGCQKKVKKVLHKIDGVYTTTIDVENRKVTVTGNVDPAILIRKLSKAGKHAELWSVKGGNPQNVANQLQKLQLQHVKQQQTQKGGGVSSKVGGGGGGGEGGGGGKHQKGQQPQLQQFPQQAKGYKDLKFPNLKNLKFPFKKNTKEVKFNLHPEDERDDGSDSDDEDDEFVEDLDDMDGIHETVRRDPKMTKLMNFQSNVNGLAKDKKGPATAGSKGGGGGQLHNKAVGGNNGGGGKRGGGSGGGHANHSNPFHGGNRNDGVGGGLPMSNMQTPMGLTGTFPGYFQGGTMLPPEMTAGANPYQQLHQRMMMNGPDRPALGYGYGRPAYMAPPHPHGEPYTMFSDENPNGCSIV >Ma02_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16775480:16782143:1 gene:Ma02_g05500 transcript:Ma02_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIASAVPLLVSKALELFLQDLCDRTYEITLHRGAKTLNSSHLKQCVKTYNAYDFLTGVVNKVPNLGGMEPCEDEKGICRRRKTLPHGDEVESNEDLQSRSSKMAMTNANVNPRGRGRGRGRGRGRPPTRHRDVGYVKYEDDSSMFGEHDEAPSALPGQHEDAEKESTHQSEHPSSTAVTDVAATSPMETKQEQHPAWPVPDGVGSISIEPSRLVQLTMQIDEDEDYDKED >Ma06_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11416014:11416805:-1 gene:Ma06_g16820 transcript:Ma06_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWVVCWKLYDCVHYDLKEIAFASSLPDPSHIKLYYNRSFKEDLAVAARGGMETLRPAVQRVYMMCASEFRDTLKSFMLGYQEGIKQIMEGKEGDKSLSRGQSKEICIISFLQRKIFSCSRTHGIH >Ma03_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2656038:2660047:-1 gene:Ma03_g04080 transcript:Ma03_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQAWFTGGPSGGGGGEQQKPSSSSLLADWNSYAASRSTEEGGASAFGFDIESAVQSANDKVTGTFNVVSKGMTELPGIFQSATSSVPSGKSLMYFGLLLASGVFFIVVAFTMFLPVMVLMPQKFALCFTLGCALIIGSFFALKGPKNQLAHMFSMERLPFTLVFIGSMVGTIYVSMVLHSYILSVLFSVIQVVALSYYSVSYFPGGSTGLRFLSSSITSSILKCFGR >Ma07_p26770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33411602:33415655:1 gene:Ma07_g26770 transcript:Ma07_t26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQLRLDLEELQHLESVSKRPRVASLLASEIRNIEAKLSVATAAVAQAGVSAEKPSVAVTASRSYVTLGSFSWDQDNDKIKIYVFLEGVDEEKMETFFKPMSVDIKFHDIQGKNYRCAISKLNKEIVPEKCKVAIKPTKVIITLFKASKGNWLDLYFKEDKLKPSLDKDRDPMAGIMDLMKNMYEDGDDDMKRTIAKAWTDARSGKTVDPLSRSL >Ma05_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6038945:6045213:1 gene:Ma05_g08150 transcript:Ma05_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKYCMCFTRKFLWSAAQPPADVREAFAAHAGGAAQMGADQLQRFLAEAQGEAAATLADAERIVELLRRRHHLPSVLARPGITLDDFFHFLFSGDLNPPVRSQVHQDMKLPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSTKDNVHILHGRTLTSPVEMIRCLRSIKEFAFCASPYPVVITLEDHLTADLQAKVAQMVTRIFGDMLYYPESDSLEEFPSPESLMNRVIISTKPPKEYLEAKNIRDKEDDSHESGKVSNDEETWGNDTADLKALSTSNDKKEDEQNGDEQDEEDSDDDDDDDDSAPEYRHIITVHAGKPKGRMRDALKVDPHKVRRLSLSEQQLEKLAESHGPDIVRFTQKNILRVYPKGTRFNSSNYNPLLGWMHGAQMVAFNMQGYGRSLWLMQGLFRANGGCGFVRKPDFLMKVGPHGEVFDPEASLPVKITLKVKVYMGDGWRMDFSQTHFDAYSPPDFYTKVGIAGVPADTKMKKTKIIEDNWTPVWEEEFSFPLTVPELALLRVEVHEYDMSDKDDFGGQTCLPVWELRPGIRAVPLHDRKGNKYKSVRLLMRFQFV >Ma04_p27150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28399749:28401241:-1 gene:Ma04_g27150 transcript:Ma04_t27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRETIMAIFLALLLSSGVARARSVRKNLGVSLGGGVGLGVGLGLGLDGSTGTGSGSAFASGSGAGTGYGAWSGPNGDSYASSGNGVGFASGSGNCGHGCGYGQGSGAGSFAANGGDSAAGSAGIGSSYGGGSNVVGSGAGSAFGSSAGGGSFGAPSGGSAAGDGIGFASGSNRCGSGASSAAGGGGSIAGGGSTYGGGSDVVVSGSGSAADSTARGGYYTAPSRGRARSGPDCDSSSPSGGGSQAGSNAFSGSQSGSYGASGEGSSSGSSSAAESGSSSGSTSGTQGSGAWSGSGSGASSTSGSSSRAGSGASAEAGSYAASGAISGSDGASYAASNAGSSSSSSVSGSGSSSWSASGGASTSASNGGSGFGFGPYNPWTGSNGRSSSGAVGHGFGGGYGFAQGRGYGAASGSGYGEGSGYGSGFGSGGGRG >Ma01_p21500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21230397:21235227:-1 gene:Ma01_g21500 transcript:Ma01_t21500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSSDAPQRQSPRAPLHLRATACSEANSVHHRPVAADRSPRVSPRGVLQEQRKRGTRVTDLETKLKKAQEELKRLRDQVASAEAAKTEAEQALVKAKKRITATAPTAKGEDVKRHVPQESRKEGGPPQENKSEEESVTSPATMDVFEVVVPTEPIHRENEDVSMQKKEESAVEREKEETKTMISDAVVAETEEKKKEEEEDKREPLVIPDSPQVDALKAKLSEKEKEVEILLEENVIFKTRADEEARQIADAARAKEEELTARLNSTEEELKESRAKAGRLAEQLEAAEGAKAALEAEMKRLRVQTEQWRKAAEAAAAVLATGDATAEDTTGRRVAERCGSMDKHLGWGSPLVAGDTDEDGSGRRKSAGIRVLGELWKKKGQRK >Ma01_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21230397:21235227:-1 gene:Ma01_g21500 transcript:Ma01_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSSDAPQRQSPRAPLHLRATACSEANSVHHRPVAADRSPRVSPRGVLQEQRKRGTRVTDLETKLKKAQEELKRLRDQVASAEAAKTEAEQALVKAKKRITATAPTAKGEDVKRHVPQESRKEGGPPQENKSEEESVTSPATMDVFEVVVPTEPIHRENEDVSMQKKEESAVEREKEETKTMISDAVVAETEEKKKEEEEDKREPLVIPDSPQVDALKAKLSEKEKEVEILLEENVIFKTRADEEARQIADAARAKEEELTARLNSTEEELKESRAKAGRLAEQLEAAEGAKAALEAEMKRLRVQTEQWRKAAEAAAAVLATGDATAEDTTGRRVAERCGSMDKHLGWGSPLVAGDTDEDGSGRRKSAGIRVLGELWKKKGQRK >Ma01_p21500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21230397:21235227:-1 gene:Ma01_g21500 transcript:Ma01_t21500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSSDAPQRQSPRAPLHLRATACSEANSVHHRPVAADRSPRVSPRGVLQEQRKRGTRVTDLETKLKKAQEELKRLRDQVASAEAAKTEAEQALVKAKKRITATAPTAKGEDVKRHVPQESRKEGGPPQENKSEEESVTSPATMDVFEVVVPTEPIHRENEDVSMQKKEESAVEREKEETKTMISDAVVAETEEKKKEEEEDKREPLVIPDSPQVDALKAKLSEKEKEVEILLEENVIFKTRADEEARQIADAARAKEEELTARLNSTEEELKESRAKAGRLAEQLEAAEGAKAALEAEMKRLRVQTEQWRKAAEAAAAVLATGDATAEDTTGRRVAERCGSMDKHLGWGSPLVAGDTDEDGSGRRKSAGIRVLGELWKKKGQRK >Ma01_p21500.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21230397:21235227:-1 gene:Ma01_g21500 transcript:Ma01_t21500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSSDAPQRQSPRAPLHLRATACSEANSVHHRPVAADRSPRVSPRGVLQERKRGTRVTDLETKLKKAQEELKRLRDQVASAEAAKTEAEQALVKAKKRITATAPTAKGEDVKRHVPQESRKEGGPPQENKSEEESVTSPATMDVFEVVVPTEPIHRENEDVSMQKKEESAVEREKEETKTMISDAVVAETEEKKKEEEEDKREPLVIPDSPQVDALKAKLSEKEKEVEILLEENVIFKTRADEEARQIADAARAKEEELTARLNSTEEELKESRAKAGRLAEQLEAAEGAKAALEAEMKRLRVQTEQWRKAAEAAAAVLATGDATAEDTTGRRVAERCGSMDKHLGWGSPLVAGDTDEDGSGRRKSAGIRVLGELWKKKGQRK >Ma01_p21500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21230397:21235227:-1 gene:Ma01_g21500 transcript:Ma01_t21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSSDAPQRQSPRAPLHLRATACSEANSVHHRPVAADRSPRVSPRGVLQEQRKRGTRVTDLETKLKKAQEELKRLRDQVASAEAAKTEAEQALVKAKKRITATAPTAKGEDVKRHVPQESRKEGGPPQENKSEEESVTSPATMDVFEVVVPTEPIHRENEDVSMQKKEESAVEREKEETKTMISDAVVAETEEKKKEEEEDKREPLVIPDSPQVDALKAKLSEKEKEVEILLEENVIFKTRADEEARQIADAARAKEEELTARLNSTEEELKESRAKAGRLAEQLEAAEGAKAALEAEMKRLRVQTEQWRKAAEAAAAVLATGDATAEDTTGRRVAERCGSMDKHLGWGSPLVAGDTDEDGSGRRKSAGIRVLGELWKKKGQRK >Ma08_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34741241:34744223:-1 gene:Ma08_g20610 transcript:Ma08_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLLRWGVLKHLKEGLGQPRFPLGLYRGSQACFSTISSDENEEKIGIEDDEFIEDKKELEPQGVDPMRGWGFRGVHKAIICGKIGQAPVQKILRNGKTVTIFTVGTGGMFDQRITGAEHLPRPAQWHRIAVHNDQLGAYAVQQLVKNSAVFVEGDIETRVYNDNITGQVKNIPEICVRRDGKVCLIKSGDSTAKISLEGLRDGLF >Ma08_p20610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34741241:34744211:-1 gene:Ma08_g20610 transcript:Ma08_t20610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLLRWGVLKHLKEGLGQPRFPLGLYRGSQACFSTISSDENEEKIGIEDDEFIEDKKELEPQGVDPMRGWGFRGVHKAIICGKIGQAPVQKILRNGKTVTIFTVGTGGMFDQRITGAEHLPRPAQWHRIAVHNDQLGAYAVQQLVKNSAVFVEGDIETRVYNDNITGQVKNIPEICVRRDGKVCLIKSGDSTAKISLEGLRDGLF >Ma06_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4428608:4437310:1 gene:Ma06_g06010 transcript:Ma06_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQQQQQQVAAILGPDAAPFESLVSQLMSSSNEQRSHAESLFNLCRDLHPDALAHKLASLLHSSPAFDLRAMSALLLRKLLTYRVGSFASDADLSYLWPRLSPSSQASLKSLLLSALHLETDRSVSRKLSDAISVLASSLLPDSAWPELLPFLFHAVSAPDSPRFHDDVRIAALSTSVNLIMALESASDRDRLADLLSAMMRTLTESLNSSQEATAQEALELLIELAGVEPRFIRHQLADVVGAMLQIAEADGLEEGTRHLAIEFLVTLAEARERAPGMMRKLPQFVGRLFAVLMRMLLDIEDDPAWHTAEIIDENAGETSNYGMAQECLDRISVAMGGNTTVPIASELLPAYLAAPEWQRHHAALITLAQIAEGCSKVMTKNLEQVVNMALNSFQHPHARVRWAAINAVGQLSTDLGPDLQVQYHQRVLPALASAMDDFQNPRAKFSILVQAHAGSAVLNFCENCTPDILPPYLDGIVGKLLVLLQNGKQMVQEGALTALASVADLSQENFQKYYDAVMTYLKVILVNATDKSNRMLRAKSMECISLVGMAVGKENFLHLLWEVMEVLMTLQGSQMETDDPTTIYMLQAWARFCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSVDSDDDIEDSDDESIETITIGDKRIGIRTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPILVPLLKFYFHEEVRRAAVSAMPDLLHYIIPALLEALQKEPETEICASMLDSLNECMQLAGAHLIEGHVKMMVDQIKHVMTASVARKKEMAERAKEEDFDDEDGEMLKEDTEEEEEVFDRVGDCLSTLIKYYKASFLPFFDELSIYITPMLGKDKTAGERRIAICVFDDVAEHCQGTALKYYETYLPFLLEACNDEDADVRQAAVYGVGVCSEFGGSVFGPLVGEALSKLNNVVRHPDALQSDNIMAYDNAVSALGKICQFHRDSIDAAQVLPAWLSCLPVRGDLIEAKIVHDQLCSMLEKSDRELLGPNNQHLPKIITVLAEVLCAGNDLATEHTVIRMINLLRQLQQTIPPSVLASTWSTLQPQQQLALQSMLSS >Ma03_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27687298:27687591:1 gene:Ma03_g23030 transcript:Ma03_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMLMVICSIAIGLSFGHTAKGVMATLRLFRFCLGFGIGGDYLLSATIMSEVRQQEDPRRLHRSRFHYAGLRHPHRRNRLHHHLRRLQGALRLSGL >Ma02_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18912098:18920462:1 gene:Ma02_g08630 transcript:Ma02_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFTMTRKETVPFDGQKPGTSGLRKKVSVFKQPNYLHNFVQSTFNALPADKVKGATVVVSGDGRYFSKDAVQIIIKMAAANGVRRVWVGQDSLLSTPAVSAVIRERIGADGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEVITDKIYANTRILKEYFIAEDLPDVDISVIGLSSFSGPEGPFDVDVFDSTTDYVKLMKSIFDFESIQKLLSCPKFTFCYDALHGVAGIYAKRIFVEELRANQSSLLNCTPKEDFGGGHPDPNLTYAKELVARMGLGKSSSQNEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVEAIPYFASGLKGVARSMPTSAALDVVAKKLNLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLKGQKLVTVEDIVLGHWATYGRHYYTRYDYENVDAGAAKELMAHLVNLQSSLPNLNKLVKEMRSDVSEVAQADEFEYKDPVDGSVSKHQGIRYLFVDGSRLVFRLSGTGSEGATIRVYIEQYENDSSKTGRDSQEALAPLVDVAIKLSKMKEFTGRSAPTVIT >Ma03_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5301012:5303070:-1 gene:Ma03_g07540 transcript:Ma03_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWHILLLGLDWSLTVIPKLLIAYMALFDGASYGQLVTSAYKVFVGTWNVGGIPPSDDLDLENWMDTSNNSYDIYVLGFQEIIPLRTRNVLGLEESKMAGKWNSIIGATLNKSLPEQRPTQMFEPVEHRKVYPVKDGYHREREAGDFRCIISKQMVGILVSLWIRNDLLDYVSNPSVSCIGCGIMGCLRNKGSVSVRFCLHESSFCFVCCHLASGGKEGDEMRRNSNVMDILSKTCFSSDSSNDLPKKILDHDRIVLFGDLNYRISLPEAKTRSLVEQKEWNILLDQDQLRLELSEGRTLEGWNEGAITFSPTYKYHPNSDQYCWEVPGIIGERRRAPAWCDRILWLGEGLKQIEYERCESQLSDHRPVRAIFTAEVDAVVLQSSNAMDGQGGVRNG >Ma09_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7606337:7609530:1 gene:Ma09_g11230 transcript:Ma09_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDHGGEATHGYSRRLESILADLTMSWPRRLWSATTVEMQLLFPLAAPAVVVYMLNNLLSLGTQVFSGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYEMLGIYLQRSTVLLMATGIPLAVVYAFSRPLLLLLGQSPEIATAASIFVYGLIPQIFAYAANFPIQKFLQAQSIVTPSACISAGALAVHLVLSWVVVYKVGLGLLGASLVLSLNWWIIVAAQFLYIVTSRRCRFTWTGFSWQAFAGLPEFFKLSTASAVMLCLETWYYQIMVLIAGLLKDPQLALDSLTVCMSIAGWAFMISVGFNAAASVRVGNELGAGHPKSAEFSVVVVTVLSLIISLIVATIMLCLRHYISYAFTEGETVARAVSELSPLLAATLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYFVGIPLGILLGFKFDLGAKGIWGGMLGGTLMQTFILIWVTFRTDWNKEVEQAMRRLDKWEDNKQPLLSNLD >Ma02_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24905594:24915091:-1 gene:Ma02_g18420 transcript:Ma02_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRGLPSLISSQEAEGERENIASDITQLIGWTPLIELKIIVEKEEINVRLIAKMEAYQPLSSVKDRSALRMIEDAEEKGLITPGITTLVEPTSGNLGIGLVYIALRKGYKFIAVMPGHYSMDKRILLRYLGAEVSLTDPKLGFQGLVDRIEQLKEKIPNVHVLDQFTNPANPEAHFTGTGPEIWKDTAGKVDIFVSGPGSGGTITGVAKYLKMKNKDLKIICVEPTESAVISGGNPGSHNIQGIGAGFIPKNLDTSYIDEVITVSTEEAMTQARRLAIEEGLLVGISSGANLAACLKIARRAENEGKMIVTIFPSGGERYMSTDLFDKVREECMNMTY >Ma10_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31086449:31089272:-1 gene:Ma10_g21150 transcript:Ma10_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQREFYQEAGIKTAVFVGCRNGEIELGMTMSPDTNLHVIIQQVFGEDFVQRYQLGGGGGRDFMRHSQSGNLILPPELGWPSPSSSSLRSSSAGSPQSSPLLLTKPGAPFVPEAITEQPVAPSHQMPMQAYNPNRNVQFPASTGDDEAMRRAMLAVNWLPSSSSSPLSHQLDLQQHQIYQRRIDHQIGAFKAFDPALAPKPEANQNLPGQRMIKMGINILTEINRMRMEARAQEHRPTSNQLYHIISERRRRKKINDYFHALRVLLPPGSKKDNVSVLANTKTYLNSLKARLSELEERNQMLERQLKSADDVDEVSDRSERVEVQISRSSEFKSEAQQINLSLIVREECDMIDLVLHALRLLKEMRDVILISMDASTRSPSRNIFARANLKLQVKDSDWDEGRFEEAVTKAVVDALAQGKTKTPSHSSPAHQS >Ma02_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28814863:28817985:1 gene:Ma02_g24060 transcript:Ma02_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEALQKSLVALAALMAVVGIWTFSLKKMLTTYAFGILGIAGILLPDWEYFDRDFSQWFTPMPARRTPATDRAPGSWRFKLYPLRVVMITLIYSFGLYKWWMFVSS >Ma09_p07380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4827547:4839390:-1 gene:Ma09_g07380 transcript:Ma09_t07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLAIPTCAPARVQPASLFRCRPTPAFRSPYAAAAAWTRRYRLRRLSSCSIPSIRCSAVPPPSVSATVGNDEPFKFMEAAKKGNLVPLYQCIFSDHLTPVQAYRCLVKEDDREAPSFLFESVEQGLRGTNVGRYSVVGAQPAMEIVAKENTVSIMDHEEGRMTEETVEDPMQIPRRIMEGWTPQFIDELPDAFCGGWVGYFSYDTVRYVEKKKLPFSSAPKDDRNLPDVHLGLYNDVIVFDHVEKKAYVIHWVQVDRYSSVEKAYQDGKNHLDNLLSKVQNVNVPRLSAGSVKMHTQQFGTTLTKSSMTSEEYKKAVLQAKERIFAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVKKGTIINRPLAGTVKRGRMDEEDKLNEQQLLNDEKQCAEHIMLVDLGRNDVGRVSKPGSVKVEKLMNIERYSHVMHISSTVTGELFDHLTCWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGVSFTGNMDIALALRTIVFPTGSRFNTMYSYKDMGRRQEWVAHLQAGAGIVADSNPDDEQRECENKAAALARAIDLAESTFVSKS >Ma09_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4827547:4839353:-1 gene:Ma09_g07380 transcript:Ma09_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAIPTCAPARVQPASLFRCRPTPAFRSPYAAAAAWTRRYRLRRLSSCSIPSIRCSAVPPPSVSATVGNDEPFKFMEAAKKGNLVPLYQCIFSDHLTPVQAYRCLVKEDDREAPSFLFESVEQGLRGTNVGRYSVVGAQPAMEIVAKENTVSIMDHEEGRMTEETVEDPMQIPRRIMEGWTPQFIDELPDAFCGGWVGYFSYDTVRYVEKKKLPFSSAPKDDRNLPDVHLGLYNDVIVFDHVEKKAYVIHWVQVDRYSSVEKAYQDGKNHLDNLLSKVQNVNVPRLSAGSVKMHTQQFGTTLTKSSMTSEEYKKAVLQAKERIFAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVKKGTIINRPLAGTVKRGRMDEEDKLNEQQLLNDEKQCAEHIMLVDLGRNDVGRVSKPGSVKVEKLMNIERYSHVMHISSTVTGELFDHLTCWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGVSFTGNMDIALALRTIVFPTGSRFNTMYSYKDMGRRQEWVAHLQAGAGIVADSNPDDEQRECENKAAALARAIDLAESTFVSKS >Ma04_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6031887:6033783:1 gene:Ma04_g08440 transcript:Ma04_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHREESLLEKITEKFHGRHSSSVVDSDDEKSSRGPSAAAEAVKAKIYRLFGREKPVHKVLGGGKPADVFLWRNKKVSASTFGAVTAIWVFFELMEYHLITLVCHGLILSLAIIFLWCNATTFISKSRPHVPEVSISEDLALNVVLSLRYVINRGLVALRDIASGRDLKKFLAAAAALWVLSIIANCCSFLMLFYIAFVALYTVPVLYEKYEDRVDAFAEKAEAEFKKHYVVIHVKYLSKIPMGPLKDKKFQ >Ma07_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5098849:5099817:1 gene:Ma07_g07020 transcript:Ma07_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPLSSSYSSSSCCCSSSSNLSSATTTNASTEVVHSSEVISGKVARGGYKRKGRATGGEESKKQRTDGEHPSYRGVRMRQWGKWVSEIREPKKKSRIWLGTFPTAEMAARAHDVAALAIKGQSAYLNFPELASHLPRPATAAAKDIQAAAALAAATTFGDHSPGSRTSPNQTKLSPFRSPAPVTPPRDDGDGDGALFDLPDLLLDLREGFSHSSPWAPSAAEDVSELKIEEPFLWGYCC >Ma06_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11808469:11813041:-1 gene:Ma06_g17370 transcript:Ma06_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MLSSPPLAFSKSSCGRGAAAALLRMLSPPSVKPNPNPNPSGFPLPMASGAEKTVTPHHNHTLNLSGPNPFIPSPRTLQIAAPRVLSGARGISSSVAALSSSEAADGPAPLLVVVSFYRFADFPDHAAMRQPLKDLCEDVRVSGGIILAPEGINGSICGTPASVEKVLKFIQSDERLKGLRLVESPVSPEDEAIHHGHTSHSPLGAGEDAPFRWDHVRVKLKQEIVSFGDPRVMPTKMVGQYVKPKDWNTLISDPDTMVIDVRNTYETRIGMFRGAVDPSTTAFREFPSWVDHQFQLVKTESHQSDYTADNIGELKETQIRERTRLPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEVPRAESLWDGECFVFDKRVSVEHGLVQGTYKLCYGCKQPVSDADMEALEWEYGVSCPHCFSTKPEEEKERARARQRQFEAWGIIGGPDKGRRNLDGVVKLLSGSL >Ma04_p02670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2228196:2231980:-1 gene:Ma04_g02670 transcript:Ma04_t02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDLPVVPSLLLLLLLLHFAVDCNGSKVGVCYGRNADDLPTPDKVAQLVQLHSIKYVRIYDSNIQVIKAFANTGVELMVGVPNSDLLPFSQYQSNVDTWLKNSILPYYPATMITYITVGAEVTETRDNVSALVVPAMVNVLAALKKAGLHKRIKVSSTHSLGILSRSFPPSAGAFDSKYAYFLKPMLEFLVENQSPFMVDLYPYYAYRDSPSNVSLDYALFSPSASDVIDPNTGLVYTNMFDAQLDSIFFALMALNFRTLKIMVTESGWPNKGAAKETAATPDDAQTYNTNLIRHVINDAGTPAKPGEEIDVYIFSLFNENRKPGLESERNWGLFYPDQTSVYSLDWTGRGNVDIVTGANITSSNGTWCVASSNASDADLQNALNWACGSGNVDCSAIQPSQPCYQPDTLASHASYAFNGYYQQNGATDVACNFGGTGLKTTKDPIKWAPEILLLRLRHQMQSIPPHG >Ma04_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2228198:2231980:-1 gene:Ma04_g02670 transcript:Ma04_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDLPVVPSLLLLLLLLHFAVDCNGSKVGVCYGRNADDLPTPDKVAQLVQLHSIKYVRIYDSNIQVIKAFANTGVELMVGVPNSDLLPFSQYQSNVDTWLKNSILPYYPATMITYITVGAEVTETRDNVSALVVPAMVNVLAALKKAGLHKRIKVSSTHSLGILSRSFPPSAGAFDSKYAYFLKPMLEFLVENQSPFMVDLYPYYAYRDSPSNVSLDYALFSPSASDVIDPNTGLVYTNMFDAQLDSIFFALMALNFRTLKIMVTESGWPNKGAAKETAATPDDAQTYNTNLIRHVINDAGTPAKPGEEIDVYIFSLFNENRKPGLESERNWGLFYPDQTSVYSLDWTGRGNVDIVTGANITSSNGTWCVASSNASDADLQNALNWACGSGNVDCSAIQPSQPCYQPDTLASHASYAFNGYYQQNGATDVACNFGGTGLKTTKDPSYDACVYTTSSKMGTGNSTSAASTSNAEHTATWLTEGLPFMMSLWLIAILGIAL >Ma06_p10630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7332941:7335301:-1 gene:Ma06_g10630 transcript:Ma06_t10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRAPPDDLSVLERQGACLLNWQQQGREQSNYNPRGGGYCRQMQTSFQALPSGCGGEKNANHGDVHGSIDCFSNGWPDLANIRYPVHGAANVEETSITNVSSNSSRKRKADKSPRSKENGGGGKGDSSCKRIKEETGGGATSETGQPNKKEAASGDASKKNDKPPKTDCIHVRARRGQATDSHSLAERVRRERISQRMKYLQDLVPGCSKITGKAGMLDEIINYVQSLQRQVEFLSMKLATASPLMDINIDNIFGRELPMLVQINPSCKSGSTAMIGMSSELLDQSYLRFDSLQHPHPCSGLDTFMDSSDLVLRQNVNPPVAVPDASFGSSFDVNGCSVWSTNLQSLYGVDFQQGRGAALPF >Ma06_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7332941:7335317:-1 gene:Ma06_g10630 transcript:Ma06_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRAPPDDLSVLERQGACLLNWQQQGREQSNYNPRGGGYCRQMQTSFQALPSGCGGEKNANHGDVHGSIDCFSNGWPDLANIRYPVHGAANVEETSITNVSSNSSRKRKADKSPRSKEKENGGGGKGDSSCKRIKEETGGGATSETGQPNKKEAASGDASKKNDKPPKTDCIHVRARRGQATDSHSLAERVRRERISQRMKYLQDLVPGCSKITGKAGMLDEIINYVQSLQRQVEFLSMKLATASPLMDINIDNIFGREINPSCKSGSTAMIGMSSELLDQSYLRFDSLQHPHPCSGLDTFMDSSDLVLRQNVNPPVAVPDASFGSSFDVNGCSVWSTNLQSLYGVDFQQGRGAALPF >Ma06_p10630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7332941:7335301:-1 gene:Ma06_g10630 transcript:Ma06_t10630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRAPPDDLSVLERQGACLLNWQQQGREQSNYNPRGGGYCRQMQTSFQALPSGCGGEKNANHGDVHGSIDCFSNGWPDLANIRYPVHGAANVEETSITNVSSNSSRKRKADKSPRSKEKENGGGGKGDSSCKRIKEETGGGATSETGQPNKKEAASGDASKKNDKPPKTDCIHVRARRGQATDSHSLAERVRRERISQRMKYLQDLVPGCSKITGKAGMLDEIINYVQSLQRQVEFLSMKLATASPLMDINIDNIFGRELPMLVQINPSCKSGSTAMIGMSSELLDQSYLRFDSLQHPHPCSGLDTFMDSSDLVLRQNVNPPVAVPDASFGSSFDVNGCSVWSTNLQSLYGVDFQQGRGAALPF >Ma08_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5876077:5918530:-1 gene:Ma08_g08470 transcript:Ma08_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04340) UniProtKB/Swiss-Prot;Acc:F4J3N2] MESSVVSLHLTSSLLTPFPFHFSRYCLPLSPPFFRSKPSRHRFRAAIKPFIPPFPHPYPRVSASLTPPDHQSSEKSPDLSGLCATVLHNARKPLSFLFFCIAVGFLPIPTAGIRALAVAATVQPRKEKTRNSDASMDHEFSEYTRKLLADVSVLLQRIEEVRSSRGDMDGVREALRAVKKKRKEVQEEVLRKLNLELRELQREKMQLVKRSEDIMNSALAMKKRQDKLSRKKGDGDGVRKNVQALENSLVAAEKEYSDIWEKVGDIEDRILRRETLTFSIAIRELSFIERESELLVERFGRRSKQDSVASPLKATTRLSRDDIKKELETAQNDYWEQMLLPKVLEAEDPEIYSETSTGGFVSNIRRALKESKQMQMNMEAQLRRKLKKFGDENLFLAKTSEDEVLKGFPEAELKWMFGQKEFVIPRAVSLHLFHGWKKWREEAKANLKKELLENMDHGRHYMDQRKGHIIKDREKLMTKTWYNDERNRWEMDPVAVPFAVSKRLVGRAHIRHDWAVMYLTLKGEDKEYYVDLKKFDILFEDFGGFDGLYVKMLASGVPTSVQLMWIPLSELDIRQQFLVLTRPPSQFLVGLWKSSIVSYMRKWSYSKTKDIIDDLMIIVGFPLVEFIIPKQIRMSLGMAWPEEAYQTVGATWYLEWQSVAELNHRSRKKGSIWWYFWFLMRSAIFGFLLFHVFRFSKRNIPRFLGYGPFRRDPNLRKFRRVRFYFKYKLYRTIRRKKEGIDPIRSAFDQMKRVKNPPIRLQDFASVESMREEINDIVTCLRNPTAFQEKGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVQVEARQLEPGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGQFIHTKKQDHEAFINQLLVELDGFEKQDGVVLIATTRTLKQIDAALRRPGRMDRVLHLQRPTQMEREKILRLAAKETMDDELINFVDWKKVAEKTALLRPIELKFVPLALEGSAFRSRILDADELNSYCNWFACLSKTVPKWLRGTKIYKRISKSLVNHLGLTLTREDMESVVDLMEPYGQISNGIELYSPPLDWTRETKFPHAVWAAGRALIALLLPNFDVVDNIWLEPAAWEGIGCTKISKAKNEGSVSGNLESRSYLEKKLVFCFGSHVASQLLLPFGEENFLSTSELKQAQEIATRMVIEYGWSPDDSPAIYITSKAVGALSMGNSHEFEIEAKVQKIYDLAYDKAKEMLQKNRKVLEIIVEQLLNFENLTGEDLLNILKNNGEIPEKEPFFLSKQLYKKLKSGSSLDESGDAEVLDLLGVAT >Ma11_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20745851:20751040:-1 gene:Ma11_g15040 transcript:Ma11_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIIWRNARLEKRKAAAPRKLHGAVEVETGWQVFPLPAPPTLMSSVGTRRSTRVLIPKISSVARVGDGVSSVLGSPKTLAVRRHGDTWLGVFGDGGAEDHRCEKREKGETRDLGCSDGLNEPGETAGKTPESDVPEDSCDTCLGRKFGIVYGRRRQRQLSGGGASTSSSVGHRASEIKRRNGLVFVRKGCRRKSDVPTLHQEIKREPELAETSIERGIIKRELKIAVSAGNFAKKNGILENSLCTNINDRMVLTLFVESSCASSSLCFPRFLITALKWMRRSTVTVQEFAAFLLSGSLATVFSRQGVHVLPLRWQKNNVVFSGVLHCCGLCKIYGARQSVPLLLLDFSALPIYFRSLHVSMLLGSLYLPRVLTRYLMCLSGAVNYEENDSCVLVEAGNLGTELSMVPVTVRHEQNDTIDACKSAIENATNLLGSGLSKCCGRRSFSGGWNLSGAVNYEENDSCVLVEAGNLGIELSMVPVTVRHEQNDTIDACKSTIENATNLGSGLSKRCGRRSFSGGWNLSGAVNYEENDSCVLVEAGNLETELSMVPVTMRHEQNDTIDACKSAIENATNLLGSGLNKHCGRRSFSGSWSLLSSNADALLPLHSNQCGASGLSEAKASFHAEFMAKPICPEMSDACTEKVLSSKYESDVSTLFSSHRKQRKLANKELKSALAEVKKNIDTSRCNANVLVVDADRCWREEGFEVLLDALPSKEWRIAVKSQGETKYLHKPLNMRRCVVNRFTHTYIWAAEDRWKLEFLDRWDWLVFKELHEECQQRNLQEDSSRTISVPVYREVSGYADGSRSTFIRPDYYIRTEDDEIQRALSSKIARYDMDSGDEKWLTDHNSGILHPGLGELKNISADDFDKIIYAMEKGAYSNVDHVFDKEKAFKFCQDLGKREILGAIYDYWEKKRNKKQTALVREFQSPPLLRSLLVHTPFLRKKRSFKR >Ma09_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32423621:32429476:1 gene:Ma09_g21430 transcript:Ma09_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSAVAASASASAQALAVSTHCSPSSSHSHRLALPGGDALRSLVGRSLTSSPSALRFLRMRQPVFRSRRAGPARAAAVETLEAAATDALVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMKYIPKNPYWFNRDRFVLSAGHGCMLHYALLHLAGYDSVKIEDIKEFRQWGSRTPGHPENFETPGIEVTTGPLGQGVANAVGLALAEKHLAARFNKPDNEIVDHYTYVILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVMARFEALGWHTIWVKNGNNGYDDIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANTYSVHGSALGAKEVDATRQNLGWPYEPFHVPEEVKSHWSCHVPEGASFEAEWNAKFAEYEKKYKEDAAQLKGIISGELPAGWETALPTYTSESPADATRNLSQQCLNALAKVLPGFLGGSADLASSNMTLLKTFGDFQKGTPEERNVRFGVREHGMGAICNGIALHSPGLLPYCATFFVFTDYMRAAMRISALSEARVIYVMTHDSIGLGEDGPTHQPIEHLISFRAMPNILMLRPADGNETAGAYKVAVLNKKRPSVLALSRQKLPQLAGTSAEGVEKGGYIISDNSSGNRPDLIFMGTGSELEIAAKAADELRKEGKTVRVVSLVSWELFDEQSDEYKESVFPASVTARISIEAGTTLGWEKFVGSKGKAIGIDRFGASAPAGRIYKEFGITAENIIATARSL >Ma03_p27340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30767930:30771932:-1 gene:Ma03_g27340 transcript:Ma03_t27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSPLPSFKIILGSSSVARRHILSEMGYQFEVMTADIDEKSIRRDNPDELVMVLAEAKADAIISRIMSEGYVERIDEPTLLITSDIVVVHEGIIREKPSSTEEARQFLKGYSGGHVSTVGSVLVTNLKTGRRYGGLDKAEVYFHDIPDEIVENLIDEGVVFNVAGGLLLEHPLTLPFVEAVVGTTDSVMGLAKALTEKLIREALLPDS >Ma04_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18973602:18975821:-1 gene:Ma04_g17840 transcript:Ma04_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLLLLLSFFLHVVLLFLPSIVVAEVESPASPSNGTTIYDLLPQYGLPPGILPDTVKSFSVASNGRFVVDLYGPCYVDFEYLVYYAPRVSGVLRYGAIGNLEGVQVRRFLVWFDVGGIMADLPSSDFLYFQVGWITRKLRIDQFQTVHSCRGSLSPLGRVKEVARYVLESIFAPQL >Ma02_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19493805:19503997:-1 gene:Ma02_g09590 transcript:Ma02_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G26540) UniProtKB/Swiss-Prot;Acc:O48721] MASVFLPSRPAIVIPRVPSQKRRLLLSSFRLLASSASSSSSPSPSSKPEVVVTRERGKNAKLISSLEKHNIFCLEVPLVKHTEGPDADKLSAILSDSEFDWIVITSPEAAAVFLEAWKVAGTPKVRIGVVGAGTASIFQDTLESTEQSLEIAFSPSKATGKVLASELPKYGQNKVLYPASVKAGSEIEEGLSARGFDIIRMNTYNTIAAKDVDETILEFALSTPVVAVASPSAARAWVKLIREPENWDNSVACIGETTGLAAKRLGLKNVYYPKNPGLEGWVDSILEALRVHHQRQKALIC >Ma10_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30273804:30276153:1 gene:Ma10_g19860 transcript:Ma10_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDLDDNNGVGVKRVRRPEGNSLDLNNLPEEHAGKQPLEESSMTTAASADTTRFKKKKSGGKDDSAKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFNNEGIGSAGAIGGFRDLNLGGSQFPHGGGGGGIGDPCLQFRPVYPRIPTQPPISPQTQQFIYPSSSSHSLPHHSQAYQPPVGDYYVGHVVPGSSHCQLHHPGYGSDSSFACFGAPPAHSFLREGGDGAPGGHGHVQHMDSSSVRDNFHG >Ma02_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18844294:18848624:1 gene:Ma02_g08540 transcript:Ma02_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSAPISLQLCIDKHWSDEEVIQLLGGRTIGDLILANLITGVDPFNIEPSNSSEDSWYLCNSKGQQYPNRRNTITETKSGFWRIKDECRIFTNTTCVGRKTTMEYYEGKVPKGNKTRWMMIKYQVECKTGNGFNQQKDYNSLCRVFLQNDWTEYEEQHYSASANVGGEYIIQLVQVYREPEETDLPSKNVLNRSLGQPAFSESVGEPLHENVVEEIESNCNFSIGDYLELNDLMSPETSSSSSNNSSCVSNDSYDYFDADAFLLSVGDEDNMRSDEEYLDRRFSIPILIESSQTAVRPSSPGSIHGNNNIVTEENMATSSLPRNEILDQGLPVSNEEVQRTRDDKSEKSEGSQTNQVADSSFDVRSMQGNGLKKTGKIAKLGKKYCCFGSF >Ma10_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25223655:25226018:-1 gene:Ma10_g11850 transcript:Ma10_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVKSREFDVIILGASGFTGKYVVREALKFLSSASGPLRSLALALAGRSPSKLAAALQWAAAASPPPPLSLISADVFDPTSLLALCRSTRIILNCVGPFHFYGDPVVAACVEAGTDYLDITGEPEFIERTETRYHERAEKAGSLVVSACGFDSVLAELGLMFHSRQWVPPAVPNRVEAYLSVESERSIVLNIGTYESAVVGMANVGRLLDLRRSRPKSARPVIVGPPPAKGPLIEHNKTLGLWAIKLPSVDAAVVRRTHAILAEHPHGLAGVNESDECAEKRKLYWSTVKPIHFGVKICAKSILGILRLIVTGLFLGLFGMFALGRSILLKFPSVFSLGWFRKTGPTEEEVRSASFIMWFIGHGYSDGNLASQRGRKPDTEVITRVSGPEAGYVTTPIILLQCALVVLSERDNLPKGGVLPPGIVFSHTNLQRRLEENGIFFDVISVKPSSLH >Ma02_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28429616:28430583:1 gene:Ma02_g23410 transcript:Ma02_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGIPKEYGYVVLVLLSYIFLNFWMSFQVGKARKKYQVFYPTLYAIKSENKDAKLFNCVQRGHQNSLEMMPVFFATLLVGGLQLPVIAAGLGAVYTVARFFYFKGYASGVPENRLRIGGINFLALFGLIILTAAFAMSLILDVNMQSRSSS >Ma04_p37140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35178034:35199559:1 gene:Ma04_g37140 transcript:Ma04_t37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESAARRPTSSLIPPLPRDSRGSLEVFNPSSFSPRPHHTQPSPYAAWQPRAEPPPSSHWDPPVPDGAGAGEDDIIRPWMALPTGPAAAAPPQSQQHYPSSETISISKKTSSSSSSAAGEDVGAAAQRAAEWGLVLMTDEETGRPQGVGVRRSGDDAGGKGRPSKVSGGSSYRSSEDSETGAPIAGGGKDKGGIPRVSEDLREALSAFQQTFVVSDATKPDHPIMYASAGFFNMTGYLAKEVIGRNCRFLQGAGTDPAEIAKIREALSAGTNYCGRILNYKKDGTPFWNLLTIAPIKDEAGNTLKFIGMQVEVSKYTEGSKETMVRPNGLPESLIRYDARQKDRARSSVSDLVMAVKDPHALSESRNSPFMRTSEGGGQIVLSEVPGRKNSGNGTPVWRNSRSEMRNPMQKISELPEVANKSRISGLKSFMGLIGLGHANIEKHELEVPVKEEDLLMESDDERPESFDDVERKKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPTTVRKIRDAIDNQRDVTVQLINYTKSGKKFWNLFHLQPMRDQKAEVQYFIGVQLDGSEHVEPLQNCIPEDTAKDSEKLVKETADNVDEAVRELPDANLKPEDLWANHSKVVLPKPHMKNNPSWRAIQKVVGSGEKIGLKHFRPVKPLGFGDTGSVHLVELLGTGEFFAMKAMDKNTMLNRNKVHRACAEREILNILDHPFLPTLYASFQTKTHICLITDYCPGGELFLMLDRQPAKVLKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLQRDGHVALTDFDLSCLTSCKPQLLLPRIEDKKKQMKGRIPPIFVAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLRFPGCISVSLPAKQLIYRLLHRDPRNRLGSSQGANEVKQHLFFRGINWALVRCMDPPKLDMPVFDSKMADPEPVDVQIDIF >Ma04_p37140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35177281:35199559:1 gene:Ma04_g37140 transcript:Ma04_t37140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESAARRPTSSLIPPLPRDSRGSLEVFNPSSFSPRPHHTQPSPYAAWQPRAEPPPSSHWDPPVPDGAGAGEDDIIRPWMALPTGPAAAAPPQSQQHYPSSETISISKKTSSSSSSAAGEDVGAAAQRAAEWGLVLMTDEETGRPQGVGVRRSGDDAGGKGRPSKVSGGSSYRSSEDSETGAPIAGGGKDKGGIPRVSEDLREALSAFQQTFVVSDATKPDHPIMYASAGFFNMTGYLAKEVIGRNCRFLQGAGTDPAEIAKIREALSAGTNYCGRILNYKKDGTPFWNLLTIAPIKDEAGNTLKFIGMQVEVSKYTEGSKETMVRPNGLPESLIRYDARQKDRARSSVSDLVMAVKDPHALSESRNSPFMRTSEGGGQIVLSEVPGRKNSGNGTPVWRNSRSEMRNPMQKISELPEVANKSRISGLKSFMGLIGLGHANIEKHELEVPVKEEDLLMESDDERPESFDDVERKKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPTTVRKIRDAIDNQRDVTVQLINYTKSGKKFWNLFHLQPMRDQKAEVQYFIGVQLDGSEHVEPLQNCIPEDTAKDSEKLVKETADNVDEAVRELPDANLKPEDLWANHSKVVLPKPHMKNNPSWRAIQKVVGSGEKIGLKHFRPVKPLGFGDTGSVHLVELLGTGEFFAMKAMDKNTMLNRNKVHRACAEREILNILDHPFLPTLYASFQTKTHICLITDYCPGGELFLMLDRQPAKVLKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLQRDGHVALTDFDLSCLTSCKPQLLLPRIEDKKKQMKGRIPPIFVAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLRFPGCISVSLPAKQLIYRLLHRDPRNRLGSSQGANEVKQHLFFRGINWALVRCMDPPKLDMPVFDSKMADPEPVDVQIDIF >Ma03_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5556671:5562016:-1 gene:Ma03_g07830 transcript:Ma03_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP53 [Source:Projected from Arabidopsis thaliana (AT4G25340) UniProtKB/Swiss-Prot;Acc:Q93ZG9] MAFWGVEVKPGKPYTHVYDEARGRLRITMATLGNGKATSKSVVQCNVGKKSPILLCSLVPDKAESCHLELEFEEDNEVVFSVLGQRSVHLCGFYPGARRGHGDGGDETDSYGEDIGEEDSESYGSFDTEEDEYESDFIDDGDIEMFPSSPQRKSSVIIEEIVDDDKPAHGNGIRRRLKKKSQLVESDSGDDDTKLQLVVKSNNNTEVFESEDDDGFPISFSVKKKDAANNFEVDKKSGATVNDDKKIKIDAISQRDESTRDATQPCDSSVASVVVPETDGISKKKKRTREDVAEAKTETNENNHMIALRMDVTESDGKKKKKKEKTKKDKKSDVGDDYAMERTEEGFKGDGNEVFNASSLEKNPPLEAEKLHYDHDGSASGKKKKNKKKRAKVDEAHENAGADAEAELQEGSQHGTKSKLDSDDTELQESANNHHSDNLTVEEPPKDSVPDDNGLAQDAKKKKKKKKTKKDKSHDKDSTSKEVSLNPLDAEHKAEPQKTRTFSNGLRIEELSMGKPDGKKASPGSRVSVNYIGKLNNGKIFDSNIGKRPFKFRLGVGHVIKGWDVGIAGMRIGDKRRLIIPPSMGYGASPAGKIPGNSWLVFDVELVDVN >Ma03_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24825438:24826910:1 gene:Ma03_g19510 transcript:Ma03_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLDHPWAFTFGILGNIISFMVYLAPLPTFYRVCRRKSTEGFHSVPYVVALFSATLWIFYAFLKTNAGLLITINAVGCVIETAYVVVYFTYAPKAAKMFTAKLVLLVNVGMFGLILVLTLLFARGAKRVEVLGWICMSFSVSVFVAPLSIIRLVIRTKSVEFMPFSLSFFLTWSAVVWFGYGLLTKDLYVALPNVLGFIFGVLQMVLYVAYRNKDKAMVEQKLPEHIASKLGTAEKVLEIYAIGVEEKVPNRAQEEQEEDKQGVAAAPMEKKMKPAEV >Ma08_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4121189:4123492:-1 gene:Ma08_g06100 transcript:Ma08_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAGRPPLTGLLPLTLLLLLPALPMESAHESHLRRRPVIFNFGDSNSDTGGLAAGLGLLLAQQEGRAFFHRPSGRLCDGRLVIDFLCESLHSIYLSPYMESLGSDFRNGANFAVIGSCTRPPNVPFSLAVQVQQFLRFKLRSLELVAQGAKGLTDAEGFQNALYAIDIGQNDLAAAFSANLTYAKVIRKIPSIIHEIKKAIKNLYDNGGKNFWVHNTGPLGCLPQKLALPRKNDSRLDPYGCLIPFNNAAKEFNAQLSALCDELNSKLKNATIVYTDIYSIKYDLIANFTKYGFETALMACCGYGGPPYNFNQTMECGSFGSQVCPLGSKYISWDGVHYTEAANAIVASKILTTKYSKPNLAFDYFCTA >Ma11_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2216480:2218146:1 gene:Ma11_g03010 transcript:Ma11_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLFAFVSFFSYPCMVSCRENPHRNTNQLQTYVIQLETSTASLDADGLNIWHKSFLPVSDDDSDRRLVHSYSQVFSGFAARLTEEEAKSVAKKEGFLRVYPDRVLPLLTTHTPGFLGLQSGGGTWRAASFGKGIIIGLLDSGLDHDHPSFHDDGVPPPPTKWKGSCGFKTGCNNKLIGAKNLLGGSSPDGPIDAVGHGTHTASTAAGNFLNNASYYGLAGGTAAGIAPHAHLAIYKVCITGRCQLSDVLAGIDAAVGDGVDVISISIGGDATPFNDDVLAIGAFGAVKKGIFVSCAAGNSGPVERTLSNEAPWLLTVAASTVDRSQRATVKLGDGQKFNGESLDQYPTSSGSLLTLFHMYTDPYCKSLNSSQVEGKVVACLVYRTPNYTATLVKAAGGVGVILISTEIAGYTILDNRCNFPAAIVSNEDGDRITSYATLATKPTVSITYDGTIIGTSPAPVVASFSSRGPSRNGPGILKPDVSGPGVNILAAWPSDVVGGDGRMGRMTFNFQSGTSMATPHLSGVAALLKSLHPGWSPAAIKSAIITTSDDKD >Ma11_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26060502:26064791:1 gene:Ma11_g22210 transcript:Ma11_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLNAVSPGESSAEASNSSEASRNAAAAAAFRFDIVRRRPADDDDEDVKEGSVSPQPGISTLQLFPRAADVTAVQHRVATASSSSLRWTNIGFGKGTVDGAAEAEAEAKLLLHRQQQQPVRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQIYLGGFDTAHTAARAYDRAAIKFRGLDADINFNLSDYEEDLKQMKNLTKEEFVHILRRHSTGFARGSSKFRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSLYEGETLTEPEVASEDVDLNLRISQPDLHNLKKDGNSSGTNFCRGSFEAMQMGNTQADSHYHLGYDPHLATTPCHPHWWASSYPGFFLSIAEKGNQQRPEMGSQVLPNWAWQMHDPTPLPSASSAASSGFSTATMMATVPPPRAAPPPSNTHHYHFPPSASTNYDFAN >Ma07_p26600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33336605:33339082:-1 gene:Ma07_g26600 transcript:Ma07_t26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRAIKGSGGKEKGAAVPDVKKKVVGPGKSISVVKQKPVNVVSKLEGKKVTSSSKTVTKTTRRKAEKKVYALPGQKYDIPEEREPLRIFYESLSKQIPSSEMAEFWMMEHGLLSPERAKKAYERKQKRQQQLRTGTPIKSSKQDRPGSSKSLQASRNEGFTSRKRINCSNDDGLIVKSKKIKA >Ma07_p26600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33336605:33339051:-1 gene:Ma07_g26600 transcript:Ma07_t26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRAIKGSGGKEKGAAVPDVKKKVVGPGKSISVVKQKPVNVVSKLEGKKVTSSSKTVTKTTRRKAEKKVYALPGQKYDIPEEREPLRIFYESLSKQIPSSEMAEFWMMEHGLLSPERAKKAYERKQKRQQQLRTGTPIKSSKQDRPGSSKSLQASRNEGFTSRKRINCSNDDGLIVKSKKIKA >Ma05_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32423626:32425263:1 gene:Ma05_g20770 transcript:Ma05_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLVTIFSFLFLVSSNLAADPDMLQDICVADLNAGVRVNGFACKPAANVTEADFFFQGLAKPGAATNTTMGSLVTAANVEKIPGLNTLGVSMSRVDYAPGGLNPPHTHPRATEIVFVLEGTLDVGFITTANKLIAKTITKGDVFVFPRGLVHFQKNNADVPAAALAAFNSQLPGTQSLAATLFAATPSVPDHVLTKAFQIGTKEVEKIKSRLQPKK >Ma06_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4764663:4766124:-1 gene:Ma06_g06580 transcript:Ma06_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAWWYLILSLFQFSSLTTSAFVGIGGGVGVWINGRGTSITTATAQAPPSDPSGAASSSEYPALQAWKSAMTEDPNGILASWVGPNVCSYKGVFCSEPPEDSSSSSSVVSGIDLNRANLKGSLVKELSLLIHLSFLHLNSNRFSGAVPDSLRELQYLTELDLSSNQFSGPFPTSTLLIPNLVYLDLRFNSFSGELPDELFERDLDAIFLNNNQFEGQIPMNLWASSASVITLASNKFSGSIPANFGYTGSGIREVLFLNNKLTGCIPQGVGLLNDIEVLDLSFNSLTGRVPSSLSCLSDIEVLNVAHNQLSGELPDLVCDLKSLLNLSLSFNFFSGFSQDCDKLSFRNVGFDFSGNCVAGRDMQRPPPECTGLPGVDLSCLRIPSTQAVACAAAMGQGGGVAGVSFTLSTSLPSLP >Ma08_p23700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37063857:37082527:-1 gene:Ma08_g23700 transcript:Ma08_t23700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRTRNQSKRRAETPPAQNDSEVGTSSGAKSKRGKGSLTARLEETTVNISKKATSHTALGSKRRKESVTYHGEPEEKFLLSQVEEPAGKNSGSIDTKDCVHEFNLKGCSTRNGKGSTLVKEGDAEDISYDANELVWEEGSIPVPENLEGYSHDVGREITVEFTDSPSCSQKKLPRRISAKDKELAELVHKVHLLCLLARGRIVDNACNDSLIQASLLSLLPVNLLTIGEVQKLTANRLCALVNWFSNNFRVRSRSIDKGSFNANLAYALQTQEGTAEEVAALSVALFRALNLTTRFVSILDVASLKPDADITGTTKQDGASMDMRIFSPSTSVLAPSPVSKITGVHLLNKNNENLEISGKDKFDEEQQGSGCKENLPEVSAAACSSNDPVSYTSTIGMCNNKFNCQDTKSKRKGDMEFMLEMEMAISATTAAVADNKLHSEIDESPVSSARLASSVKKPTLRSAVDSSVSMHGSSGAVWSRRTGPPLYWAEVYCCGETLTGRWVHVDAANAIVDGAERVEAAAAACRRPLRYVVAFAGNGAKDVSRRYCMHWYKIASKRINAQWWEAVLAPLKKFESATAGSVVQLEELHGKAPSDREKKVISSVEMNFRDNQVTSQRPLESPFDADGLGKKVSNLTKSLDLEALPNCLWIESRDSLEDMELATRALTEPLPTNQLAYKNHHLYAIEKWLMKYQVLYPKGPILGYCSGHPVYPRSCVQNLQTKQKWLREGLQVRASEMPAKVVKRSRYFVSGQTSEVDVPKEDYGKPSVELFGKWQLEPLQLPHAVNGIVPKNERGQVEVWSEKCLPPGTTHLRLPRLVPVAKRLEIDFAPAMVGFDFRNGRCIPTFDGIVVCSEFKGAILEAYAEEEERRESEERKRNENHALSRWFQLLSSIITRQHLKNSYVDSSSTHETVSNDQKSNRNVSQENSPYGNMPSASDFRDGSSQELKPVFPSDHDHEHVYPVENQSFDEKTLVWTKRCSCGFSIEVEEF >Ma08_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37063857:37082527:-1 gene:Ma08_g23700 transcript:Ma08_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD4 [Source:Projected from Arabidopsis thaliana (AT5G16630) UniProtKB/Swiss-Prot;Acc:Q8W489] MRTRNQSKRRAETPPAQNDSEVGTSSGAKSKRGKGSLTARLEETTVNISKKATSHTALGSKRRKESVTYHGEPEEKVEEPAGKNSGSIDTKDCVHEFNLKGCSTRNGKGSTLVKEGDAEDISYDANELVWEEGSIPVPENLEGYSHDVGREITVEFTDSPSCSQKKLPRRISAKDKELAELVHKVHLLCLLARGRIVDNACNDSLIQASLLSLLPVNLLTIGEVQKLTANRLCALVNWFSNNFRVRSRSIDKGSFNANLAYALQTQEGTAEEVAALSVALFRALNLTTRFVSILDVASLKPDADITGTTKQDGASMDMRIFSPSTSVLAPSPVSKITGVHLLNKNNENLEISGKDKFDEEQQGSGCKENLPEVSAAACSSNDPVSYTSTIGMCNNKFNCQDTKSKRKGDMEFMLEMEMAISATTAAVADNKLHSEIDESPVSSARLASSVKKPTLRSAVDSSVSMHGSSGAVWSRRTGPPLYWAEVYCCGETLTGRWVHVDAANAIVDGAERVEAAAAACRRPLRYVVAFAGNGAKDVSRRYCMHWYKIASKRINAQWWEAVLAPLKKFESATAGSVVQLEELHGKAPSDREKKVISSVEMNFRDNQVTSQRPLESPFDADGLGKKVSNLTKSLDLEALPNCLWIESRDSLEDMELATRALTEPLPTNQLAYKNHHLYAIEKWLMKYQVLYPKGPILGYCSGHPVYPRSCVQNLQTKQKWLREGLQVRASEMPAKVVKRSRYFVSGQTSEVDVPKEDYGKPSVELFGKWQLEPLQLPHAVNGIVPKNERGQVEVWSEKCLPPGTTHLRLPRLVPVAKRLEIDFAPAMVGFDFRNGRCIPTFDGIVVCSEFKGAILEAYAEEEERRESEERKRNENHALSRWFQLLSSIITRQHLKNSYVDSSSTHETVSNDQKSNRNVSQENSPYGNMPSASDFRDGSSQELKPVFPSDHDHEHVYPVENQSFDEKTLVWTKRCSCGFSIEVEEF >Ma08_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37288943:37289764:1 gene:Ma08_g23930 transcript:Ma08_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKIRGALDHCDPRSSAAAVKGKKQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLRGTAASLNFPASLLLQLPDQVMSPKSIQRVAAAAATNAASPSVSSDTAEDETLMSSSMGDGLAMEDSPIDDSINFEAFFQSPKCRDYMLNPSLFFAPQAEEWGEEADIHLWSFC >Ma05_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9898341:9899212:1 gene:Ma05_g13620 transcript:Ma05_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGHTSAAAMAAQICDQIRSVFSSPPPPLPPALDVLVAEVSATAAANGRVFVHGVGREGLMMKALCMRLFHLGLPAHVVGDVTTPPISPGDLLLASAGPGGFSTVDAICGVAKSAGARVVLLTARPGSGSGASRCADAVAYIPAQTMADDEEAGGGPSQAVRLPMGSLYEGAMYVLFEMAVLRLTDALKQTPAQLRSRHTNLE >Ma08_p25950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38620419:38624046:1 gene:Ma08_g25950 transcript:Ma08_t25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSRRLQTKWQITSYLPLLLSFLLLISPALGLNQDGVLLLKFKYSILSDPLAALGDWNYYDATPCSWNGVVCMGFPDAAALNWTVATDTRSDGGGNTQVPTSSRVISLVLPNSQLLGSVPPELGLVEHLRHLDLSGNILNGTLPASLFNASEVRVLSLADNAISGELPELDWRMSSSLQVLNLSDNALIGRLPGNLSRLPNLTVVSLANNFLYGELPGGGFERLQYLDLSSNLINGSLPSDLGGPRVRYLNLSYNRLTGAIPPQLGASISASATVDLSFNNLTGEIPQGGAFAAEKPMAFMGNPSLCGRPLRNPCAIPSIPSSLSYPPNSSTLAPQAPKSPPAFAAIPENRDETSPTDSVKSSAGRGSLRPAAVIGITVGDLAVIGILFIVFLYVYHVKKKRSQEQQKEVGLKEDPPPPVAAAATATTTMAAPESKGVGGFSCCLRKKGADGGDTEETSETSESSETEAEEEEATKGTKEGEDGKSHPQQKEQGATLVMVDGETELEMETLLKASAYILGASASSIVYKAVLADGTALAVRRIGESGVIDKLKDFGAQVRVIAKFRHPNLLRLRGFYWGTEEKLLIHDYAPSGSLANISFSKKLGSSPLHLSWEARLRIARGVARGLAYIHEKKSLHGNLKPSNILLDSDMEPKIGDFGLDRVMFGVGTSARQFGSKRSMQSSISLPDLSAATGASPSVGSSALTFAPRPYQAPESLRNLKPNTKWDVYSFGMVLLELIAGRVFSEVELCHWNAGFVVEERNRLLRMADAGIRGEVEGKEAALLSCFKLGFACCAMAPQRRPSMKDAVQVLENISSSSSSSLPYP >Ma08_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2629626:2635603:-1 gene:Ma08_g03650 transcript:Ma08_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPSVSFASPCRQAAERRPTLAVTEASFALGGFRAGVPRLSSRMVVRCVAAASYVPPTVSETKFNFLKSYKRPIPSIYNTVLQELLVQQHLMRYKRTYQYEAVSALGFVTVYEQLMEGYPDSEDRDAIFNAYIQALKEDPDQYRSDARKLEEWARAQTAVSLVEFSSREGEIEGTLKDISERVEGKGNFSYSRFFAIGLFRLLELANATEPAALEKLCAALKINKRSVDRDLDVYRNILSKLVQAKELLKEYVDREKKKREEKVESQNASEIVTKCTGDFHFVSH >Ma08_p34420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44424515:44426341:1 gene:Ma08_g34420 transcript:Ma08_t34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRRSSIISFLPNRASFSHIPHHPYSPGRENPAKMRALQNKGFSGPMVSIVPMEARRKQKNRGGFETPEEPTSPKVTCMGQIKLRKMASRCKKPSPDRRERKPSFIIRKIFRRKGKAAASRGPDAGESGATRPTTRAAAAVPARAPLLGQTRRFASSRESLGDFDWRKVLEREDGGEGTYYAGDEGSDAEEDEVIIPHSAPIILGGMVAAVEPKKEVNLWKRRTLSPPLPLQLN >Ma09_p16510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11871596:11873705:-1 gene:Ma09_g16510 transcript:Ma09_t16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVEDDVFFADLAKQIALLIMDDEEEFPVHCHQLPVQELPCMPQIMIPPPQSYQVAYRRESKGTGVFIPCSTAPRKKNRPRRSSPSPPDSNPHRQLGKSAAVVSHVTGNNLIYSNHYSNSSVLKKQTQKYQMISGSF >Ma09_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11873226:11873729:-1 gene:Ma09_g16510 transcript:Ma09_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVEDDVFFADLAKQIALLIMDDEEEFPVHCHQLPVQEF >Ma08_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36927627:36929125:1 gene:Ma08_g23580 transcript:Ma08_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWDRICTALQVRKISVIDASTKGKMELRLVHGVAYGEPWFGRWGYRFGHGSYGVTEQMYQRSVDALHALSLRLLLPHLACFGREIPVIVGKYQSLCNQVLLTLGDLFRFMIELKTRLPPNSMDYHGAITEASCRWSTKRVEMAARVIVEQLKNSELRWVTRQEIRDAARAHIGDTGLLDYVLKTLGNHIVGNYVVRRTVNPITKVLEYCLEDISNVFTGHDNLASGNHSKSRIRLQLTRTQLMRDMFYLYKNILKEPSSAAATGMLDAIPVAVRMVMDTKQLVKEYQQGPPPEKAEGSHGYLKLSCSIRMNGADVERTPPHETVIVPAHATIGELKREVGRHFRAVYWGLKSFVADSIVGVRCRDSDLVHELMQSGSSIVVEGRMEGDDGEEIYEGGNGGNKIVDCLCGGKEEDGEPMIFCDICEVWQHTRCVGIPDKDDIPPVFLCGRCENDMLALRNLA >Ma02_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29119059:29121312:1 gene:Ma02_g24560 transcript:Ma02_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGTSGALLLCRRHRLRPPYPVVVLSRTSLPEGTATPYVPPRFPPLCMGSNPSVQFPPGEQMSHSWQPFESGTIRYLIRFSGKGLQTSKLNFIRPTSSAL >Ma03_p25660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29633712:29636606:-1 gene:Ma03_g25660 transcript:Ma03_t25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 33 [Source:Projected from Arabidopsis thaliana (AT3G17180) UniProtKB/Swiss-Prot;Acc:Q9LSM9] MASSRRHFLLCLSFMLTILGDSSESDRVLDLPGQPPSPPISHFSGYITVNRENGRALFYWFFEAQTLPSDRPLLLWLNGGPGCSSIGYGAAVELGPLRVKRHGTGLEFNKYAWNKEANLLFLESPVGVGFSYTNTSSDLTKLEDGFVAEDAYRFLVNWLRRFPQYQSHDFYIAGESYAGHYVPQLAELLYDRNKDTKNYPYINFKGFIVGNPETDEYYDSKGLLEYAWSHTVVSDQVYRLAKRVCDFKLFNWTDECNDAMEMVFNQYKEIDIYNVYAPKCTLPQSSSSSSFDEDNAKKSLMRRIRRYAGYDPCFSTYAEEYFNRVDVQRSLHANVNGRWSVCNDSILRTYNFTVFSVLPIYSKLIKAGLRIWLYSGDTDGRVPVIGSRYCVEALRLPLKSQWQPWFHNHQVGGRFVEHQGLTMVTVRGAGHLVPLDKPQEALVLINSYLRNQQLPTHK >Ma04_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21588972:21589876:-1 gene:Ma04_g19040 transcript:Ma04_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVDAVPEAPLLPNGTEPYSESDGGADDNYFNTSLLVILATLLCTLICVLGLNSIIRCAIRCSHRRHFAFGTPEAAVATGLKKRAIRHIPIVVYGPEATVTATTECAICLTGFANGEKIRVLPECYHGFHVRCIDTWLALQSTCPTCRHSLLLDKAGPDAGDRFPPPDDGVALR >Ma05_p31780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41552137:41552471:-1 gene:Ma05_g31780 transcript:Ma05_t31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLYHITCFLQLDGHPASWWWSNSKAVSPRWRSPPFCQGFKITSLAISDLQKSEELIHTITHPAKFKVDRSTC >Ma08_p28140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40172716:40187737:1 gene:Ma08_g28140 transcript:Ma08_t28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLISLVNKLQRACTALGDHGEENALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDGEREYAEFMHLPRKRFTDFALVRKEISDETDRETGRSKQISPVPIHLSIYSPYVVNLTLIDLPGLTKVAVEGQPDSIVADIENMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKSYRLQYPWIGVVNRSQADINKNVDMIAARRRERDYFASTPEYKHLAHRMGSEHLGKVLSKHLEQVIKSRIPGIQSLISKTIAELDGELSRLGKPIAADAGGKLYAIMEICRIFDQIYKEHLDGVRPGGEKVYNVFDAQLPAALKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESSLVSIRGPAEAAVDTVHAILKELVHKAINETLELKQYPTLRVEVGNAAFESLERMREESRKATLKLVDMECSYLTVDFFRKLPQDIEKGGNPTLSIFDRYNDSYLRRIGTTVLAYVNMVCSSLRNSIPKSIVYCQVREAKRSLLDHFFTELGKKETKQLGSLLDEDPAIMERRTALAKRLELYRGAQAEIDAVAWAK >Ma10_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1462117:1462450:-1 gene:Ma10_g00230 transcript:Ma10_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMRVCTALGFAAFILSGLMQIPHGEATAPARKIDGKAIDQGIAYVLMLLALLVTYILH >Ma04_p38500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36011456:36012162:-1 gene:Ma04_g38500 transcript:Ma04_t38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVVGSSSDKHRHPLHEIAESVTHKLLLKQWIKEEELVARRIALRESRVDAVRREIAALYCAFFVFHSLILLLLYSASASSPSAACRRSWIPCLCSLLCSMAVVWAVRYKTDTECVLERLLEREREDGVLLGKCVEELKRKGAEFDLLKEVDALRRAKSLRVEAKVRKWSTRDVATMVLLLLSCVVLGLTRFVLCD >Ma09_p31530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41215253:41220094:-1 gene:Ma09_g31530 transcript:Ma09_t31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVSGASVSSEAKEAPMTSEPDGDTGGDGDPRAAVEPEKESSDGSAPASAAAGGTLTTTSSMPVAQETPDDDPDEEAFQEALEYGDDDGFSDAAKASGSLNDGELMEGEEKGEPPSETQVLATVQGNSQHETVEMLSSEIPRTEETSHREVLSAVVKESTENSTSQSTETKPIGDGSVDGDEELGLHDAHEENDEGLVEESGESATPTIGAVEEERHVAEPDVADDVKVGVAAVSDQNVYPICIRAAREMETVENPTLSIEGNPVEDETAAAGNVDRDEERADPGAQEKDGSLVDKLGESARPVIDVLLESKTANDKFDTAEEEKNGGKAGMEEVNIDIAGVAPTLSIEDNPVEDGTAAAGNVDRNEEQVDPDAPEKDGGLVEKLGKPAKGTGGDKADISEVDIGTSENADSDQCVNQGSTPASQTVDESSKSTHGVNDEEMNENLIQSYSGVDTMMVYVSTGKLDGSNMRKNDLSENHEVADSKSGESSANKDDTVSDGETVFSKPSIQEKVDTASDGETVSSERSVQEIVDEKLTNESNRTANGTEANNDEPVPELVPDGQNSISAQDRQSPSITAGESRNRVTEGDDFGASGVNEDALAQLPTSVTEPEPTPSEDLIDHVQDLDQEKAEDEDENLVSDGPPRVAISTSSETAKQLMSELEEGSSSVTPHSVSDDSKDVDGQIILDSDEELVTDEEDGRHAMIDSDALIALLKAASSSTDDGGISVTSQDANRIFLVDRPAGLGSSIPSLKPTLPRPARSNLLSPSELAVAAEPDDQMTKEQKQLHEKVELIRVKFLRLVHRLGHSPEDTVVAQVLYRLSLAEGIRSGRQTGQAYSLESAKKKALLLEQDGTEDLDFSCNILVLGKSGVGKSATVNSIFGEEKSPTSAFEPATTSVKEIVGTVEGVKIRVLDTPGLRASGMDQASSRRILASIKKYTKRCPPDIVLYVDRMDTLTRDQNDLPLLRTITSTLGSSIWFNAIVALAHAASAPPDGPSGSPLSYEVFVAQRSHAVQQSIRLAAGDMRLMNPVALVENHPSCRKNREGQKVLPNGLSWRSQMLLLCYSSKILSQANSLLKLQDPSPGKLFGLRLRPPPLPFLLSSLLQSRAHPKLPSDHHGDNEDSDIDLDDLSDADQGEEEEEYDQLPPFKPLSKSQIAKLTKEQRRSYFDEYDYRVKLLQKKQWKEELRRLKEMKNGQKVLKDDFGHVDMVEDFDQDNAPATVPVPLPDMVLPPSFDCDAPSYRYRFLETTSQFLARPVLDTHGWDHDCGYDGVSLEESLAVAGRFPAVLSAQVTKDKKEFSIHLDSSVSAKHGENGSTLAGFDIQTVGKQLSYILRGETKFKMLKKNRTTGGISVTFLGETIATGLKFEDQLSIGKQVNLGASTGAVRAQGYTAYGANLEVRLRDKDYPISQALATLGLSLMSWHGDLALGANLQSQFSIGRNSKMAVRVGLNNKWTGQITVRMSTSEQLQLALVGIIPIAISIFRSMKPGESFQY >Ma09_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10349740:10353022:1 gene:Ma09_g15040 transcript:Ma09_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGIYGRETSEDPDLLRGSAVKWPFSNKVSAMHQFMFYKSSQEEQPRNHVFDKNSSFRFQCISALDAFKANQKTPHALAAQKCSSVDRQGFSQYPLQAYQAQNTDSFDAMHHQLNEAKTFPLASHHSSPFFKVQHAHGGPNITVTNTEQQTFRGGAAVNTPVGGPLVGAFASRKAPQPTYMTAQLTIFYAGCVNVYNDVPLDKAQAIMLLAGKESNATSNAMNPRPEVPTTATVPIKMAGPNDLSLKQSSIPKPFCVTSTHSGLSSPISVASHTVGSSGNGSSTNDDTTGPKASNPLVPTNRKNVTKTLSAALGSETVETSTPKAVPQARKASLARFLEKRKERMTSVMPYSCSKISPENGSGFESCNVSSISSSADLNLSSNREDSWFVAHPKGSMDSGESLSSK >Ma04_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10620779:10624389:1 gene:Ma04_g14050 transcript:Ma04_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKVWSASHTPGNALVYLNVYDLPPMNGFMYWAGLGIFHTGVEVHGVEYVFGAHDYPSSGVFEVEPRQCPGFMFKKSIFMGTTCLDSLQVREFMELQSVNYSGDTYHLIMKNCNHFCKEICHKMTGNSDSKMGEPTCFTELNSCSIIPLFAHATSIATSILIYN >Ma07_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15139244:15140308:1 gene:Ma07_g16650 transcript:Ma07_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPDGDLNPGPRGYALSGKVMLTSTVVLFAAVLLLLFLHLYFRSRFFLLRRRHRRLRRRLIFFVDGTLPSSSSADHRGLNPTVLKSLPVFVFAAAAGGEEGDDDVVECPVCLNEFEEGEKMRALPRCGHRFHIECIDMWFHSHATCPLCRSAVEASARLPLPTPANQVLLPVPAPPEPGRPYPADLFEECRREDGIGSSASSSATGELRIEVPMRGAEGEQGLGLKSPGSRMLLLTRFLSRDARVCRGGGTAAEPDPERGVEAANSTPPPPSVL >Ma08_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12806489:12807520:-1 gene:Ma08_g14490 transcript:Ma08_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTSLLPLFLASLLLLLPPPARATIVPGSIERTTKQQILASIPPHAAEASVPFLTSPSGKYTASLVRRQTAPGAGGLGNDFCYIQVQDTGAERSMWESECGPVSSDNTCAAVFTAYGLQVFDGSNPVWDTGAQSADNNFLETLELVDQGDMRIRDKDGELAWKASDDPRANQNCGLPGSPGMAPAAPPFAVPIGGDNQNLPFGQPATSVQQQLLPPQSNTMYDQVQPQHPFGGASQAFGFNGHPLVDNTPYDSGCSGGGAGRWIGFGVALLLAILA >Ma03_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4505762:4507563:-1 gene:Ma03_g06540 transcript:Ma03_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPIADLDSLMPICPSAQESGTYGGEFQPSMDGLEDEDDCGAAAAAAAPGEKKRRLSVEQVRALERSFEVENKLEPERKLRLAQDLGLQPRQVAVWFQNRRARWKTKQLERDYTTLKASYEALRLDYDSLHRDKESLLAQIEELKAKLTGEESLSVSSVKEEPVVSEAGTKAAASEEEPPALIYKDGSSDSDSSAVLNDAVLKDYDSRRRGMSSSQDAAPPATAELGSSTSSVPTSAPPHLAPDHHSRPTKGEGFLYHQHHHMLKMEEEEEFLGREEPFTSFFSDEQPPTLNWYYPDHWN >Ma08_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33947497:33949071:1 gene:Ma08_g20120 transcript:Ma08_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPPTSKTAELDAPLHAIGFEIDVVSATRVNGRLTVTESCCQPFKVLHGGVSALIAEALASMGAHVASGYRRVAGIQLSINHHRSARAGDRVFAEATPLQPGKTIQVWEVRLWKMDQSTLEKAVLMSSSRVTLLSNMPVPDDAQDSVENLKKYARL >Ma10_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28261429:28262428:-1 gene:Ma10_g16620 transcript:Ma10_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRSSNLFMITGKLLKACSGHCYQVSLPLHFMLEIQTASFDVCSLGILHIQLAAMQAPSMVPMLLLIWRMMTMIRVIWLVNGRKRNLNSRRKCFVLISEETGHDTCSANLLSGGEIFDGSTVNEVDSKPKLECSTVDDGDAVNMAVACRGLLHAAEFAGIDSAEKNEYLLEVWEKKSWPLIRMWIFARMFSNI >Ma02_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20877975:20879261:-1 gene:Ma02_g11970 transcript:Ma02_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVANGFLLLLFAMFLLLLLLLLLRLLGPESQ >Ma03_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9773534:9774358:1 gene:Ma03_g12700 transcript:Ma03_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDESVSDGFSRFLPRMIRTRHKGKGLRGPPNPNANKGKRVIIGSHGGITAFREICRARKPFASATAAVVIKKIEKQRGGCVHSVVRMILCFLGGMVRKLAQMQVPFLKHLKLCNHALLSFILHPFPIKILTFQS >Ma03_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1911686:1914383:-1 gene:Ma03_g02810 transcript:Ma03_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPAASSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQASYDKMLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGDIRMVSAHASQQIYTRATNT >Ma03_p30140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32899128:32900683:-1 gene:Ma03_g30140 transcript:Ma03_t30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDKHLSTKTPESIQNCSHELSDHRNSNSSHRFSYLTSFPCCRTIPTLAAPLLDTPVIKEPNEHSTKASRRSYSAPSIFTCIKESQALALDAEPADSPTTRSPWIVRQAIVGAILYISVGIIVFMVRQGSFKGHRTFPLVDGLYFAIVSMCTIGYGDIVPHTSFTKLFTCAFILVGFGFVDVLLNGLLTYVLDKQEAVLLSAVDESRRNVIFRTYVMDMRKGRMRVRMKVGLALCVVICCVAVGTVMVHVLEGLAWLDSFYLSVTSVTTVGYGDYTFLTMKGRLFASVWLLVSTLAVAKAFLYLTELRIEKRNRRTAEWVLKRKMTAGDLMAADLDNNGSISKSEFVIYKLKEMGKISEKDIMLICNQFDELNAGKCGKITLSDLVQESPLTNPWKNS >Ma09_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9110549:9112870:-1 gene:Ma09_g13500 transcript:Ma09_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCRSSGGEWSAKQLSGDLEGSAASTFALQRQLVQAALAVDSSGGVQSSFSMVSPTSAVFQVIIGGGGGGGAFIGGGAPSVGAAAGGGAAAPEAPPAEEKKEEKEESDDDMGFSLFD >Ma06_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18204970:18207651:-1 gene:Ma06_g22460 transcript:Ma06_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCEVSSEDSYFCSIDVLIAILLVPDWYEGLGTEYLIATFGDYFRDIKMYIEDRSFRRFVESCLEETIVVYVDHLLTQRNCIREETIERMRLDEEVLLDFFREHLSLRKVENRVRILSDLRELGSAECLDSFTLIYTNILEHQADCPPEVVEKLVALREGIQRKEAKEVIGKEIYQNSLVDGNPPKGRICLR >Ma11_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:420264:424847:1 gene:Ma11_g00610 transcript:Ma11_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLGKLGFGLGGRAATEASSAVAQGPDEDAPAAGQEFAQFGAGCFWGVELAFQRVPGVTKTEVGYSQGSLHEPTYEDVCTGATNHAEVVRVQYDPLACSYDDLLQVFWARHDPTTINRQANDVGTQYRSGIYYYTQEQEKAARETMEKHQEVLDRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGSKQSAAKGCSDPIRCYG >Ma02_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12479652:12480324:1 gene:Ma02_g01880 transcript:Ma02_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACAQNHQTSCQ >Ma08_p25460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38274788:38285104:-1 gene:Ma08_g25460 transcript:Ma08_t25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISVCKPAFKTPGLLLRPAGGIKGLQCSFIVGYSPNFCKNRKILVANRGEIAVRVIRTAHEMGIPCVAVHSTIDRDALHVQLADEAVCIGEAPSSQSYLFIPNVLSAAVSRKCTMLHPGYGFLAENAGFVDICKEHGINFIGPNPDSIRVMGDKSTARETMKKAGVPTVPGSDGLLQSTEEAVKLAHEIGFPIMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKCIQNPRHIEFQVLADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAASSIGYIGVGTVEFLLDEGGSFYFMEMNTRIQVEHPVTEMISSTDLIEEQIRVALGERLTYKQEDIVLRGHSIECRINAEDAFKGFRPGPGKITSYLPSGGPFVRMDSHVYPGYVVPPSYDSLLGKLIVWAPTREKAIERMKRALNDTVITGVPTTIEYHKLILDVEDFRNGKVDTAFIPKHENELAAPHKMILSASEKELSLLSN >Ma08_p25460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38274577:38285104:-1 gene:Ma08_g25460 transcript:Ma08_t25460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISVCKPAFKTPGLLLRPAGGIKGLQCSFIVGYSPNFCKVCTPKKMTNRMTWRSKNHGGALNMTCQNRKILVANRGEIAVRVIRTAHEMGIPCVAVHSTIDRDALHVQLADEAVCIGEAPSSQSYLFIPNVLSAAVSRKCTMLHPGYGFLAENAGFVDICKEHGINFIGPNPDSIRVMGDKSTARETMKKAGVPTVPGSDGLLQSTEEAVKLAHEIGFPIMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKCIQNPRHIEFQVLADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAASSIGYIGVGTVEFLLDEGGSFYFMEMNTRIQVEHPVTEMISSTDLIEEQIRVALGERLTYKQEDIVLRGHSIECRINAEDAFKGFRPGPGKITSYLPSGGPFVRMDSHVYPGYVVPPSYDSLLGKLIVWAPTREKAIERMKRALNDTVITGVPTTIEYHKLILDVEDFRNGKVDTAFIPKHENELAAPHKMILSASEKELSLLSN >Ma10_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29643767:29648893:-1 gene:Ma10_g18870 transcript:Ma10_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTRLQTLDLRSGFLSGSPLLDAGKAGVLPRVRPSSVSPAVNRRFGASFVKRTGFAGSRIRRLRVSPRAAVETAVVDADTAGDLESLFSESAVDDASRRQGKKKSSTGASSVSSGVRLENISKSFKGVSLLKDVSWEVKKGEKVGLVGVNGAGKTTQLRIIAGLEEPDSGNVVKAKENMKIAFLSQEFEVCQNRTVKEEFLSVFKEEAEVADRLEKVQKALESSVEDLSLMARLLDELDLLQRRSQDLDLDQVDVKISKLMPELGFAPEDSDRLVASFSSGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDAIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKMVETDMGVSRTFMGNYSEYVLAKAAWVETQHVAWEKQQKEIEHTRDLINRLGAGVNAGRASSEEKKLEKLKEEGQVEKPFQRKQLKIRFPERGRSGRTVLTIKNLNFGYGDKVLFKKANLLVERGEKIAIIGPNGCGKSSLLKLIMGLEKSQGGDVLLGEHNVLPNYFEQNQAEALDLEKTVLETVEEAAEDWRIDDIKGLLGRCNFKSNMLDRKVSVLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAISEYQGTVITISHDRYFIRQIVNRVVEVKDETMQDYAGDYNYYLEKNLEARDRELEREAELEERAPKVKAKSKMSKEMKAARKKQKMVAFQQAKAKSKGLKNAKRWK >Ma01_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17124752:17125086:1 gene:Ma01_g20170 transcript:Ma01_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVIYSYLDRAKWDAWKVGRKSKEVAMSDYITKVK >Ma06_p31090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32269847:32274627:-1 gene:Ma06_g31090 transcript:Ma06_t31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLLVLVGGVVGYARRGSTASLAGGAGSGVVLLLAGFLSLKAFEKRRNSYLALALETLCSLILTFVMGKRYLETSKIMPAGLVAALSAMMSLFYLYKIASGGNHIPTKAE >Ma01_p21000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19845489:19849221:1 gene:Ma01_g21000 transcript:Ma01_t21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLNKHLFTMLYMVFNQNEQSFADHTSDQFNCEHVRHLSYDGQLHVRPFAEGSTCEDIPAKSPVSDQERGFSSYHIDFENVIADPPSDNSYSNLSGKPSFSRVTGNNSEVIWLENGKRSLCDDETCLCASKRLKRVDQNFQLGSSEDVCFSNSKKSLSVTAEDLKDGGTAAVAEDAIDQTTTSHWFAQSSSREAGLDPPVRVPSYPFCYGDIRQAAEFDQVEEIYSPVFGYFDQKHIAIGSNHQADVPEWRFYEFKNHIGDHEDCAFPGSSPLCDDHIIDEDDSDKWNGTCVMPMPDCALLASDSVGLHYEMNCGCLDEASIRCVRQHVVETREKLRRNLGQDRFLEFGFADMGEVVAEGWTEEEQQLFHEIVLSNPASAGKNFWDILPRVFPARNSKELVSYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQENDDGEFTMAEDEDEEDSVVESLADQDNVPGEEDDIVEEDITEEADDVEDCNCYTLARNNEKRTCGDVKGCIGSDPSLPPGMQFTGSNLHHCVEEQDMQDDSCTSYEGQRNGADSCNPVDIFDSQHSLNEDHENFRKEYQNGNLSGLMDNGFFDSLCDPKAWDTSYCCESEKDDLLPTCNVIEEVFGKEC >Ma01_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19844700:19849221:1 gene:Ma01_g21000 transcript:Ma01_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNQNEQSFADHTSDQFNCEHVRHLSYDGQLHVRPFAEGSTCEDIPAKSPVSDQERGFSSYHIDFENVIADPPSDNSYSNLSGKPSFSRVTGNNSEVIWLENGKRSLCDDETCLCASKRLKRVDQNFQLGSSEDVCFSNSKKSLSVTAEDLKDGGTAAVAEDAIDQTTTSHWFAQSSSREAGLDPPVRVPSYPFCYGDIRQAAEFDQVEEIYSPVFGYFDQKHIAIGSNHQADVPEWRFYEFKNHIGDHEDCAFPGSSPLCDDHIIDEDDSDKWNGTCVMPMPDCALLASDSVGLHYEMNCGCLDEASIRCVRQHVVETREKLRRNLGQDRFLEFGFADMGEVVAEGWTEEEQQLFHEIVLSNPASAGKNFWDILPRVFPARNSKELVSYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQENDDGEFTMAEDEDEEDSVVESLADQDNVPGEEDDIVEEDITEEADDVEDCNCYTLARNNEKRTCGDVKGCIGSDPSLPPGMQFTGSNLHHCVEEQDMQDDSCTSYEGQRNGADSCNPVDIFDSQHSLNEDHENFRKEYQNGNLSGLMDNGFFDSLCDPKAWDTSYCCESEKDDLLPTCNVIEEVFGKEC >Ma01_p21000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19844675:19849221:1 gene:Ma01_g21000 transcript:Ma01_t21000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSCKSTNRPLASFRPRPPLCIGTDFSALGSLWSLLGLYMVFNQNEQSFADHTSDQFNCEHVRHLSYDGQLHVRPFAEGSTCEDIPAKSPVSDQERGFSSYHIDFENVIADPPSDNSYSNLSGKPSFSRVTGNNSEVIWLENGKRSLCDDETCLCASKRLKRVDQNFQLGSSEDVCFSNSKKSLSVTAEDLKDGGTAAVAEDAIDQTTTSHWFAQSSSREAGLDPPVRVPSYPFCYGDIRQAAEFDQVEEIYSPVFGYFDQKHIAIGSNHQADVPEWRFYEFKNHIGDHEDCAFPGSSPLCDDHIIDEDDSDKWNGTCVMPMPDCALLASDSVGLHYEMNCGCLDEASIRCVRQHVVETREKLRRNLGQDRFLEFGFADMGEVVAEGWTEEEQQLFHEIVLSNPASAGKNFWDILPRVFPARNSKELVSYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQENDDGEFTMAEDEDEEDSVVESLADQDNVPGEEDDIVEEDITEEADDVEDCNCYTLARNNEKRTCGDVKGCIGSDPSLPPGMQFTGSNLHHCVEEQDMQDDSCTSYEGQRNGADSCNPVDIFDSQHSLNEDHENFRKEYQNGNLSGLMDNGFFDSLCDPKAWDTSYCCESEKDDLLPTCNVIEEVFGKEC >Ma01_p21000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19844675:19849221:1 gene:Ma01_g21000 transcript:Ma01_t21000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSCKSTNRPLASFRPRPPLCIGTDFSALGSLWSLLGLYMVFNQNEQSFADHTSDQFNCEHVRHLSYDGQLHVRPFAEGSTCEDIPAKSPVSDQERGFSSYHIDFENVIADPPSDNSYSNLSGKPSFSRVTGNNSEVIWLENGKRSLCDDETCLCASKRLKRVDQNFQLGSSEDVCFSNSKKSLSVTAEDLKDGGTAAVAEDAIDQTTTSHWFAQSSSREAGLDPPVRKHIAIGSNHQADVPEWRFYEFKNHIGDHEDCAFPGSSPLCDDHIIDEDDSDKWNGTCVMPMPDCALLASDSVGLHYEMNCGCLDEASIRCVRQHVVETREKLRRNLGQDRFLEFGFADMGEVVAEGWTEEEQQLFHEIVLSNPASAGKNFWDILPRVFPARNSKELVSYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQENDDGEFTMAEDEDEEDSVVESLADQDNVPGEEDDIVEEDITEEADDVEDCNCYTLARNNEKRTCGDVKGCIGSDPSLPPGMQFTGSNLHHCVEEQDMQDDSCTSYEGQRNGADSCNPVDIFDSQHSLNEDHENFRKEYQNGNLSGLMDNGFFDSLCDPKAWDTSYCCESEKDDLLPTCNVIEEVFGKEC >Ma04_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9874861:9875157:1 gene:Ma04_g13040 transcript:Ma04_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPANLSDSSVPNVWQTPVPYLFGGFGAVMILIAAALIILACSHRRSAIRGGSSEEKPVIVHADMEPRVVVVMAGDDKPRFLAQPFSLVQPNSLNQDP >Ma01_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5841790:5843199:1 gene:Ma01_g08140 transcript:Ma01_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYVILQAVSLRGEGSTTAQVGEWDVNNPASADGFTIIFNKARDEKKTMWVVYNQMKPINIQQKRKWFCCG >Ma06_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15906495:15908096:-1 gene:Ma06_g21610 transcript:Ma06_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACGHSLLFLFLLLCFSSLSYVFSALSNVEAASIIHRQLLALSKKEDLSDDFEIDVNIANPRLHRAYIALRAWKKAMYSDPYNFTDNWIGPDVCSYHGVFCTTAPDDPSINVVAGVDLNSADIAGYLPAELGHLTDAALLHINSNRFCGIIPQNISHLKLLYEFDVSNNRFVGPFPDVVLRLPSLKYLDLRFNDFEGALPSALFDKELDAIFLNDNHFSSQMPYNFGNSKASVIVIANNKIRGCIPNSIGKMAATLNELVLMNNVLSGCLPPEIGLLRNATVVDLSWNSFAGVLSKSLEGLTKVEQLDVSHNMLTGVVPGTLCRMPNLVNFHFSYNFFKGEAEECVPMSTKSTAVVEDKSNCLADRPAQKSAKMCAPVVSRPVDCGRSKCGSSSPVKPVPKPSPEPSTPPRPPPSPPQPPPVRSPPPPSVHSPPPPVHSLPPPVYSPPSPSFNSPPRPIHSPPPPGHHHSLPPLPSHSEAVPPIGGLSYASPPPPFYPGYN >Ma09_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5726521:5727860:1 gene:Ma09_g08640 transcript:Ma09_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNPITQHHPQFCSWAPPLGNPHLYRHHTYRVSQEGDQYEREHMFEKSLTPSDVGKLNRLVIPKHYAEKYLPLDGEAGERGLLLSFEDESGKPWRFRYSYWSSSQSYVLTKGWSRFVKEKGLDAGDLVSFGRLRGGVDRLCISCRRRGENERPPAACTATTGDAAVPWSPTCYTARAHAPTSHTGDQDLSMAPTHSKRLRLFGVNLDCAPAPEPETKLVLALETESTRSGHQCLHKHIY >Ma09_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6192674:6193933:-1 gene:Ma09_g09400 transcript:Ma09_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPDEDALLRSYVEKHGTGGNWITLPQKIGLKRCGKSCRLRWLNYLRPDIKHGGFSEEEDNLICSLYISIGSRWSIIAAHLPGRTDNDIKNYWNTKLKKKLFGKQRTDRRQCRSIGSAKQESDRFDYGGLGIVIDGVGQNDFWTSTSIRELHGEVGERSSSDGVSYQSPLVAPSSPLPQPLREDSASLASSSLPGVSTDLDELFQFDLVKLEGLDFFNGFEGMKNSNEVSPLVCPSVVQAHNEVLQW >Ma05_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10552195:10553370:1 gene:Ma05_g14440 transcript:Ma05_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWANLHADLIRRIHGCLEDLVDQISFRCVCVSWQDAVEGNPHHHMRPLPPWLILPTGAHAGGRTLLPSVDLVSYAERRRRKSIRLPWESTDGGFALMGSAAGWVVAADQAAELHLLNPITGAHARLPSITTLPAIEAVLQTNGRVTDYLFRVSPNLVHKTSCDHTRCMLYDKVTVCSSSSDSWTTMIVFYRGRLAYASVGDERWSLLQTQNESLACDVTYHRGRFYSLHNDGSVSAWNLTDGASSRVRFNVQPRSLIDVPVLNDYLVGSGDDLLRVSRWSDRLEGDKRRTLRFRVSRLDESGWVALQSLGERALFLGSNRGISLSTVAFPEFKPDCIYYAKSGVVGICHLEHGLILPHGASIPNLKDGFIVPDYMYSRPPPLWFTPRVC >Ma07_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27639706:27641547:-1 gene:Ma07_g19660 transcript:Ma07_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESMAARYWCHMCSQLVNPITEVELKCPHCDSGFVEEVDGREDVDDQTLSPWAPILLRMLGGGSLRRRRIHREVERESSDREVETFRRRRRRTPAMLQMLHALREGNRSEPGRIDVEREHGRGTEHVILINPFAQALILQGSSDADQLQGPGLELLLQHLAENDPDRYGTPPALKEAVDAMPTVRIEKNTSCSICLEDLEVGGEATEMPCKHKFHGGCILPWLKLHSSCPVCRFQMPADGSKATSAGGSGNRAAVGDGRSVPWPLDGFFPLSASRSSSNSFSSPPSSSTSGSSSQPNEN >Ma07_p19660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27639706:27641522:-1 gene:Ma07_g19660 transcript:Ma07_t19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESMAARYWCHMCSQLVNPITEVELKCPHCDSGFVEEVDGREDVDDQTLSPWAPILLRMLGGGSLRRRRIHREVERESSDREVETFRRRRRRTPAMLQMLHALREGNRSEPGRIDVEREHGRGTEHVILINPFAQALILQGSSDADQLQGPGLELLLQHLAENDPDRYGTPPALKEAVDAMPTVRIEKNTSCSICLEDLEVGGEATEMPCKHKFHGGCILPWLKLHSSCPVCRFQMPADGSKATSAGGSGNRAAVGDGRSVPWPLDGFFPLSASRSSSNSFSSPPSSSTSGSSSQPNEN >Ma07_p19660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27639706:27641522:-1 gene:Ma07_g19660 transcript:Ma07_t19660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGESMAARYWCHMCSQLVNPITEVELKCPHCDSGFVEEVDGREDVDDQTLSPWAPILLRMLGGGSLRRRRIHREVERESSDREVETFRRRRRRTPAMLQMLHALREGNRSEPGRIDVEREHGRGTEHVILINPFAQALILQGSSDADQLQGPGLELLLQHLAENDPDRYGTPPALKEAVDAMPTVRIEKNTSCSICLEDLEVGGEATEMPCKHKFHGGCILPWLKLHSSCPVCRFQMPADGSKATSAGGSGNRAAVGDGRSVPWPLDGFFPLSASRSSSNSFSSPPSSSTSGSSSQPNEN >Ma08_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5094805:5096650:-1 gene:Ma08_g07440 transcript:Ma08_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEVQPGKTVKVNPGENKYLHLSQASLGEIKDKGNDRVPIFVKFDNRKLVLGTLSAGNCAQIQYDLLFEKEFELSHGSKNTSIYFVGYKTEAQGDYDLYPFDICYQIIC >Ma09_p28540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39262364:39268187:-1 gene:Ma09_g28540 transcript:Ma09_t28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRYYRPEKPVSGKMRKLKELMLKSDNRICADCSAPDPKWASANIGVFICLKCSDVHRSLDADISKVLPLTSDELTESDIDSIIEVGGNSYANSIYEAFLPKGYRKPKPDSDNEERSQFIRSKYELQEFLKPSLRIVSSKMSFRTFESEKNLDHSYMSNNSKKADMRKFIGELKVKVVKGSNLAVRDMLTSDPYVILSLGQQRAQTTVKKSNLNPVWNEELKFSVPRCYGALKLQVYDHDMFSADDKMGEAEVDLQPMITAAMAFGDAELLENMQIGRWFKTSDNALIKDSTVNIVDGKIKQEVFLKLQNVECGEIQLVLEWVPLDE >Ma09_p28540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39262364:39268187:-1 gene:Ma09_g28540 transcript:Ma09_t28540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRYYRPEKPVSGKMRKLKELMLKSDNRICADCSAPDPKWASANIGVFICLKCSDVHRSLDADISKVLPLTSDELTESDIDSIIEVGGNSYANSIYEAFLPKGYRKPKPDSDNEERSQFIRSKYELQEFLKPSLRIVSSKMSFRTFESEKNLDHSYMSNNSKKAADMRKFIGELKVKVVKGSNLAVRDMLTSDPYVILSLGQQRAQTTVKKSNLNPVWNEELKFSVPRCYGALKLQVYDHDMFSADDKMGEAEVDLQPMITAAMAFGDAELLENMQIGRWFKTSDNALIKDSTVNIVDGKIKQEVFLKLQNVECGEIQLVLEWVPLDE >Ma04_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11443733:11446475:1 gene:Ma04_g15100 transcript:Ma04_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQYTGGTAGVRRAGKFQPENISQPNKGTANIHNPRLHCRISDGSHDRVGKEMMQLKTRTELTNETTDDGLVIISHDTEERGVFRKKSNRRMVVMLRTLWISMVQNVKLTVLQMLPECQSIFECDGSHPEDTEIWEI >Ma02_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24059404:24061860:-1 gene:Ma02_g16960 transcript:Ma02_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGTTRGRGLTLNTSPPLFLSPPLDHGGDPRRPQPMESDRYRHRSGENRPVVNEMDFFSDERWKAVSKVEPDLDLKVTREDLTINTGLNLLTANAGSDQSTVDDGMSPADDSKENKSELAAMQAELARTKEENQKLNETLNQLTASYNALQMHLIALMQQQHQPKRDPQAHEAADEKGQATNHEHGGVLVPRQFMDLGPAAEADEPSHSSTASRDQPSSPPDNVEVGSKDRQLHKQIARPDRAKSSREDSPDQGWNPSKDPKLSPSKSTEQVQEAIMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMATACPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAVSMLLSGSMSSPEGLMNPNFLARTILPYSSSMATISASAPFPTVTLDLTHNTNALQLQRPPAQFPLSFPGVAATAAAGFIAPSQIMGQALHNQSNFSGLQMPVGMEAAHLLHPKAQSALPSTLADTVSAATAAITADPNFTAALAAAISSIISGNHQSASNYDDNKSSANKTSSDGNNNKDDNPSRSNFRATQ >Ma07_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:207128:211708:1 gene:Ma07_g00200 transcript:Ma07_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPVSRRGYEGAFPALALGQFMLEDQEQDDLLDDQMMDQLIPDVDEDFANTPGSEPTEGASEDELQDHGSRGKRKRHHRHTPDQIQRLEAFFKECPHPDDRQRNELGRELGLEPLQVKFWFQNKRTQIKNQSEREENQRLKDENDRLLSENLRLKEALTGACCPNCGGPPHLGEMSIDEQELQAENARLQDEIGRISAIVAKYAGQQLMTFQTPSSSMVGGFRAQPEPDMTLNDSSRGGEVVFRPHDRRTEMGRRTALALAQVAAEELLAISQLGEPIWTWGYDGFRETLNQEEYARAFPGGLGPKMEGLRTEASRETAVVRMHTGRLVDILMDVSKWSVFFSSIVTRATTVEMFATGVGEGGYDGTLQLMAAELQVPTPLVPLRESLFLRYCKRHDGLWVVVDVSMEVRLNPMARYRRRPSGCVIQQLPNDYSKVVWIEHVEVDDSGVHDMFKSMVNSCLAFGAKRWVSTMTRQCERLACLLATNSSSADIAANPDGTRNILKLAERMVVSFCSGVSGSVAHHWTNISGNVADHVRIRSRNNDGDPGRPPGVVLNASTSIWLPITPKRVFELLRSESLRNEWDILLKGGMIHEAACIANGQQGGNCVSIYTVGLPEEETTNMMILQESCSDPTCSYVIYAPVDAAAIAAVLSGGDPNHVALLPSGFAILPDRPSSAVDHDGETDLGGGSLLTVAFQILVFSGPGSKISRGFIATVDGLLSCTCDRIRTILSGNSVR >Ma05_p31420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41345432:41346928:-1 gene:Ma05_g31420 transcript:Ma05_t31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGNRRNGGNARKRLWCAIPVSCAVLIIISFTFIFNLGYKHRAVTPEVMITPMASPSMGSPPPPLVVDRCSGRYIYVHDLPGRFNSDMISSCRTLSKWTDMCPFVSNAGLGPRLNNAEGVFYGAEWYATNQFALELIFHNRMKQYECLTTNSSLASAIFVPFYAGLDIGRYLWGYNTSVRDATSRDLIRWLKSRPEWSTMGGRDHFMVAGRITWDFRRLTDDEDDWGSKLLVIPEGKNMTVLVIESSPWHKNDFGIPYPTYFHPSKDSEVQQWQERMRRIRRPWLFSFAGAPRPNITDSIRNEIIGQCRQSRRCKLLECDKGRSKCHSPSSVMGMFQRSIFCLQPQGDSYTRRSTFDAMVAGCVPVFFHPGSAYAQYVWHLPRNHSEYSVLISEDEVRDGKVRIEEVLERFGKKDVMAMREEVIRMIPRLVYADPRTRLETTNDAFDVTVEGVIQRVKDIKGGRVPDFSEQESWKYALTGRGGEHEWDHFFNRTTQ >Ma11_p10350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9763066:9768374:-1 gene:Ma11_g10350 transcript:Ma11_t10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLRYAMVCSSNQNRSMEAHALLKRHGFDVSSYGTGAHVKLPGPSLREPNVYDFGTPYKSMHDELRRKDPDLYKRNGILPMLKRNLGVKNAPQRWQDNAADGCFDVVVTFEEKVFNIVIEDLSNRKQVLIRSVLIINLEVKDNHEEAATGGKLTLELCQEIESADCWEDAIDDIVAAFERQHKRKLLYTISFY >Ma11_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9766421:9768374:-1 gene:Ma11_g10350 transcript:Ma11_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLRYAMVCSSNQNRSMEAHALLKRHGFDVSSYGTGAHVKLPGPSLREPNVYDFGTPYKSMHDELRRKDPDLYKRNGILPMLKRNLGVKNAPQRWQDNAADGCFDVVVTFEEKVFNIVIEDLSNRKQVLIRSVLIINLEVKDNHEEAATGGKLTLELCQEQTGLEL >Ma06_p30110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31445238:31449611:-1 gene:Ma06_g30110 transcript:Ma06_t30110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASKSRVVASLASSRPLLNHDPWRHLGFLRSVSSSPAPGTPNPGSLELKEVEKILGDVKADDVRVISVRDQCDWTDYMVVATGRSSWHVRNIAQALIHRVKQKQKGAERLVLPSVEGHEGGKWIVIDSGNVIVHALEEKARAYYNLESLWTTEMTPKGPDLGPNLDLEKSLVKMRPRNKSKKPMKSI >Ma06_p30110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31445238:31449574:-1 gene:Ma06_g30110 transcript:Ma06_t30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASKSRVVASLASSRPLLNHDPWRHLGFLRSVSSSPAPGTPNPGSLELKEVEKILGDVKADDVRVISVRDQCDWTDYMVVATGRSSWHVRNIAQALIHRVKQKQKGAERLVLPSVEGHEGGKWIVIDSGNVIVHALEEKARAYYNLESLWTTEMTPKGPDLGPNLDLEKSLVKMRPRNKSKKPMKSI >Ma09_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34380425:34384845:1 gene:Ma09_g22430 transcript:Ma09_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLTAADVAASNALAGDALPPSLSSNLPLVSALLAFSIAQFLKLFTTWYKEKRWDSKRLLGSGGMPSSHSATVAALAMAIGLQEGLHSSSFALAVTLATIVMYDASGIRLHAGRQAELLNHIVCELPPEHPVSNSRPLRELLGHTPLQVCAGAILGCIVSILMKNSV >Ma03_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2890211:2891671:1 gene:Ma03_g04420 transcript:Ma03_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVPACFRGGAAGGPPPSTAAGPSLTTSVYETHLGLAALSWSRTVLGLSLRAVLRLSGDDEEEEEEEELLRFRIRPWLLWKRRGTRRFHLKDHPRHRCVDFAWDLARASFPPGGGPEPAAGFFVSVSVDGEMLLVAGDLADEAYKKSKAQRSQTPLLSSPALISRREHVVLDDHGGRRSYLTRAPLGGRDREISIELGAKEKGREVAMSVGIDGERVLQVRRLRWKFRGSETVEVGGGVGRIQVSWDLHNWFFQSKDDATAPPPAAGAAELGHAVFVLRFEGAEERKQQAEGHSGKGIGKEEGHLRNAMCKSPAARGYNGKNRHGDWNDTSSGGVDKRRGRKNSLRKTSSSSSSTSSVSSASTSTVLEWASAEEVELQRAHGFSLLVYACKS >Ma08_p27910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40017905:40019922:-1 gene:Ma08_g27910 transcript:Ma08_t27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRTRGPDLPQQLPRAPLRPMTTTAISDANLLPGMAVERKRGTKVEDLEAKLSKAQEGLRKVGDPLLDSAEDVKIDDEKFDKELEPESEAAELSSPSPTDETMIEHGLHESPEAVELKAMILAKEEEVLTLLEENMIFKRRAEEEAARMAAAAGARENELKTRIESMEEELKESTARAAELVQHLAVAEGAKARLEAEMRRLRAQTEQWRKAAEAAVTLLATAGTPDKHLGGVGYFGRCSPLIAGESEEEMAGGARRKAAVGIRMFGELWKKRIQQQQQQQ >Ma08_p27910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40017905:40019404:-1 gene:Ma08_g27910 transcript:Ma08_t27910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRTRGPDLPQQLPRAPLRPMTTTAISDANLLPGMAVERKRGTKVEDLEAKLSKAQEGLRKVGDPLLDSAEDVKIDDEKFDKELEPESEAAELSSPSPTDETMIEHGLHESPEAVELKAMILAKEEEVLTLLEENMIFKRRAEEEAARMAAAAGARENELKTRIESMEEELKESTARAAELVQHLAVAEGAKARLEAEMRRLRAQTEQWRKAAEAAVTLLATAGTPDKHLGGVGYFGRCSPLIAGESEEEMAGGARRKAAVGIRMFGELWKKRIQQQQQQQ >Ma08_p27910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40017905:40019404:-1 gene:Ma08_g27910 transcript:Ma08_t27910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRTRGPDLPQQLPRAPLRPMTTTAISDANLLPGMAVERKRGTKVEDLEAKLSKAQEGLRKVGDPLLDSAEDVKIDDEKFDKELEPESEAAELSSPSPTDETMIEHGLHESPEAVELKAMILAKEEEVLTLLEENMIFKRRAEEEAARMAAAAGARENELKTRIESMEEELKESTARAAELVQHLAVAEGAKARLEAEMRRLRAQTEQWRKAAEAAVTLLATAGTPDKHLGGVGYFGRCSPLIAGESEEEMAGGARRKAAVGIRMFGELWKKRIQQQQQQQ >Ma05_p27750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38912285:38918645:1 gene:Ma05_g27750 transcript:Ma05_t27750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSSDSRDSVGGPTPQSSSSSTSSRNGDLQASRITNLNSQAPPGLSPGLNHQNKYDPAVNVKLNGNNVVPVFEALPSFKDVPNSEKQSLFMRKLDLCCVVFDFTDPSKNLKEKDIKRQTLLELVDYVTSSSGKFPENVMQEIAKMVSVNLFRTLTSPPRENMILEKIDAEEEEPVMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSVISGFALPLKEEHKLFLLRALIPLHKPRCIAMYHQQLSYCITQFIEKDCKLADTVIMGLLKYWPITNSSKEVMFLGELEEVLEATQPADFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKQNSKVLLPIIFPALERNTRTHWNQAVKSLTLNVCKIFSDHDPDLVAECLKKFEEDEAKNKEIMSKREATWKRLEEVAASRAASRESVTVPRVVPFRAASG >Ma05_p27750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38912285:38918645:1 gene:Ma05_g27750 transcript:Ma05_t27750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSSDSRDSVGGPTPQSSSSSTSSRNGDLQASRITNLNSQAPPGLSPGLNHQNKYDPAVNVKLNGNNVVPVFEALPSFKDVPNSEKQSLFMRKLDLCCVVFDFTDPSKNLKEKDIKRQTLLELVDYVTSSSGKFPENVMQEIAKMVSVNLFRTLTSPPRENMILEKIDAEEEEPVMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSVISGFALPLKEEHKLFLLRALIPLHKPRCIAMYHQQLSYCITQFIEKDCKLADTVIMGLLKYWPITNSSKEVMFLGELEEVLEATQPADFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKQNSKVLLPIIFPALERNTRTHWNQAVKSLTLNVCKIFSDHDPDLVAECLKKFEEDEAKNKEIMSKREATWKRLEEVAASRAASRESVTVPRVVPFRAASG >Ma05_p27750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38912285:38918645:1 gene:Ma05_g27750 transcript:Ma05_t27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSSDSRDSVGGPTPQSSSSSTSSRNGDLQASRITNLNSQAPPGLSPGLNHQNKYDPAVNVKLNGNNVVPVFEALPSFKDVPNSEKQSLFMRKLDLCCVVFDFTDPSKNLKEKDIKRQTLLELVDYVTSSSGKFPENVMQEIAKMVSVNLFRTLTSPPRENMILEKIDAEEEEPVMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSVISGFALPLKEEHKLFLLRALIPLHKPRCIAMYHQQLSYCITQFIEKDCKLADTVIMGLLKYWPITNSSKEVMFLGELEEVLEATQPADFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKQNSKVLLPIIFPALERNTRTHWNQAVKSLTLNVCKIFSDHDPDLVAECLKKFEEDEAKNKEIMSKREATWKRLEEVAASRAASRESVTVPRVVPFRAASG >Ma01_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13322958:13326238:-1 gene:Ma01_g18020 transcript:Ma01_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGEKVSGSVVLIRKTGLCFNYCGGTVVDNVLGRCISFQLVSATVGDANNGNRGVVGEEAYLEQNITLLPSLAAGETAYHVTFHCEEKNGIPGAVIVKNNLSSEFFLKTLTIEDFRGKGRIHFVCNSWVYPAGKYKYDRVFFANTTYLPGDTPLPLKPYREEELWNLKGNNVAGQLQEWDRVYRYDYYNDLGSPDTCQDLARPILGGTPEHPYPRRGRTGRPPTKTDPKSESRLPLLNLNIYVPRDEQFGHLKMADFLMYALKGVVRVVLPVLQAIAGVIPIEFNSFEDVLKLYEGGLPVPPNPLFEELRQLVPFEMIRELRRVQGGQGLLKLPRPHVIEVDKTAWRTDEEFTREMLAGLNPVVIRRLEEFPPTSKLDACKYGDHTSTITAAHIEHHLDGLTVQQALEQNKLFILDHHDAYLPYLNRINALAVKVYATRTLLYLRQDSTLKPLAIELSLPHQDGEQHGAVSKVYTPAESGVEGSIWQLAKAYAAVTDSAYHGLISHWLNTHAVMEPFVIATHRHLSVIHPIHKLLSPHYRDTMTINALARHALICAGGIFELTVFPGRYALELSSTVYKSWNFREQALPADLIKRGVAVKDRDDRLCLLIEDYPFAVDGLQIWHAIETWVGEYCATYYPTDDVVKADAELQAWWKEVREVGHGDKKDEHWWPAMHTTSELIETCTIIIWIGSALHAAINFGQYPYAGYLPNRPTMSRRFMPEPGTPEYEELKKNPDKVFLKTITSQLLTVLGLNTIEILSNHASDEVYLGQRDTPEWTSDDRAVKAFERFGKRLKAIEAEIMKKNEDPRLKNRNGPVKMPYTLLFPSSGVGITGKGIPNSISI >Ma03_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3317709:3318867:1 gene:Ma03_g05070 transcript:Ma03_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRFFLLACSLVAVASAGNFYQDFDITWGDGRAKILNNGHLLTLSLDKASGSGFQSKNEYLFGKIDMQIKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFTQGKGNREMQFKLWFDPTKDFHTYSILWNPRHIIFMVDGTPIRDFKNLESRGIAFPKNQPMRIYSSLWNAEDWATRGGLIKTDWTKAPFVASYRNFNANACIKASGRSSCTPAKSGWWNQELDSASHARMRWAQKNYMIYNYCNDVNRFPQGLPPECSIA >Ma08_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16093208:16096273:1 gene:Ma08_g15840 transcript:Ma08_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MNASVYSASFAVLKSSLALAFPSPPLRLRHAPSSLPVRSLQTCSSPTLLGPPRSSTLATAQPLQQEEASDEEELTETVRPSVEPFHDVAAEREMRSFPSPELEVKELEELPEQWRRSKIAWLCKELPSYKHSTFVRILNAQRKWITQDDATYVTVHCMRIRENEASFRVYKWMSQQHWFHFDFSLATKLADYLGKDRKFAKCREIFDAIINQGRVPSESTFHILTVAYLSAPVEGCLDEACSVYNKMIQLGGYRPRLSLHNSLFRALVSRSDGRGKYYLKQAEFIFHNIVTSEFEVHQDIYAGLIWLHSYQDIIDWERIADLRQEMKNAGFKESTDVLISIMRACSKAGNVEETEKTWLMLLESDCRIPPKAFVYRMEVYAKVGEPMKSLEIFEGMKRQNEPVSSAAYLKIIEVMSKAEETEITETIFDEYIGSGMKPVMPAFLSLMSMYFNLHLHDKLETAFSRCATGCCPNRSIYNLYLESLVRVGYLEKAEDIFNEIHTNGMIGTNARSCNAILDSYLASGECAKAEKVYDIMRQKKYEIEPRSVERLQEVLSLNRKIVKRKVSMKLDEEQREILVGLLLGGVRIESDEERRNHIILFEFSENSNIHSVLRVHIHERFYEWLTLSSRSNDDDNEMPYRFSTIAHSYFGFFADQFWLKGRLMIPKLVHRWLSARVLAYWYMYGGLRSSSGDILLRLKGGSREDTERIIRAFQAKSLGCRVKRKGRVFWMGFQGTNADMFWELVEPYILESVKSLLVPDGCLSVNGKEESQPDDSDSKFETDERSPH >Ma11_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17249581:17249790:1 gene:Ma11_g13070 transcript:Ma11_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLATNLLPNVSMKSVSMKSMINSSKCLNFFPQNTSVQALRTTQQLRNILPHRNYNIKKQTKILTLSLM >Ma10_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22966080:22968205:1 gene:Ma10_g08650 transcript:Ma10_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLMRLRDREWYSPASNELAHPGDRFIPTRCLMNLDFARSSLTERRRREPAAIDSPVILTPKDEYRRRVEENMRLDSEGKPRRMLVFRGSPRNSKPSVLLVDEMMKDQQARLRPIDRIRHVPKSADRILDGTALLDDYYLNLMDWGKNNILAVALGRSVYLWNATNNSVQLLLTAADEDDHPTSIAWSMDAKTVAVGFARSRVEIWDAIELQQVRILEGHSARVGSLSWNQNVLTSGSHDASIINHDVRSSHHLASRLRAHSEEVCGLKWSGGGNLLASGGNDNLVHVWESSKMGSSKYLHRYSDHCAAVRALAWCPFRSSTLASGGGTADQCIKIWNTQTGKCTDSINTSAQVCALEWNRHEKEILSAHGYSQNQLSLWAYPSMTKIADLTGHTARVLHLSQSPDGCTVASAAADETIRFWKVFEHQPRRGSSKLDDEDRLFSFNRTHIR >Ma03_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1999432:2002879:1 gene:Ma03_g02950 transcript:Ma03_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAMITGRVVRAVVVVVMALLLCGASEAWTGEIRGRVVCDLCGDSSVGPEDHVLQGAEVAVLCITKSGEVFNYQAFTNSKGIYKVAETMPESDRWVSCLARPLSSFHEHCTRRGDAHSGIKFSYNLPSGHSHTVKPFLYKPAAAPMYCS >Ma00_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35575241:35575715:-1 gene:Ma00_g04190 transcript:Ma00_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVISSSPVDVGIGCWDLRSGFEQLRYRSCSSAPHGLLSIAGRFLASSQLRDSPSSASCPIFFWSWDKPQVEVRSFPVEPIGPLVSNSEGTYVMGGGPSGIIYQWEVIFCFSTSDFLGKICFFC >Ma10_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24941487:24942290:-1 gene:Ma10_g11410 transcript:Ma10_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSQSPLVFLLLVIILSTPTATVASRFLRPPRQELVKLNGLQGLEHAEGPEEEDAWNLMGTEECQDGNEECLKRREMTEAHLDYIYTQHHTKP >Ma03_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19120059:19120398:-1 gene:Ma03_g16530 transcript:Ma03_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRLNGIVECGKRHILIHERAMLVVEQVKVSQGNPLVTCLLEGPSAGNGKTAMTATIGIETDFPFVKFLTCSCICDDQNNLASSSV >Ma01_p14770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10786462:10792702:1 gene:Ma01_g14770 transcript:Ma01_t14770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDASDQLNVSASPVDQTQKGKMEAEATSSTAPARLRRPRVPATDEDQVRVKRRALEVVLENCKRAMELLENAAPDCDPNDEGEAREAAVAAGEVEGSPPRSQSAADPDANAYAYADDDELCALLKLKVESPDFLEKLGSIQTSVCNNVHDDDSSWDVITAADLREDRPVDEGNDSDQDDYVLVRQEDIVDGIASFMAAYLLALKQTKELTPNQLQDALCKTFSGKKKKSKLQKAWDGSKVIYNVASWGATAIGIYQNPAIIRAASVAFWSSCRVISKLF >Ma01_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10786462:10792702:1 gene:Ma01_g14770 transcript:Ma01_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDASDQLNVSASPVDQTQKGKMEAEATSSTAPARLRRPRVPATDEDQVRVKRRALEVVLENCKRAMELLENAAPDCDPNDEGEAREAAVAAGEVEGSPPRSQSAADPDANAYAYADDDELCALLKLKVESPDFLEKLGSIQTSVCNNVHADDDSSWDVITAADLREDRPVDEGNDSDQDDYVLVRQEDIVDGIASFMAAYLLALKQTKDFILQELTPNQLQDALCKTFSGKKKKSKLQKAWDGSKVIYNVASWGATAIGIYQNPAIIRAASVAFWSSCRVISKLF >Ma01_p14770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10786462:10792702:1 gene:Ma01_g14770 transcript:Ma01_t14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDASDQLNVSASPVDQTQKGKMEAEATSSTAPARLRRPRVPATDEDQVRVKRRALEVVLENCKRAMELLENAAPDCDPNDEGEAREAAVAAGEVEGSPPRSQSAADPDANAYAYADDDELCALLKLKVESPDFLEKLGSIQTSVCNNVHDDDSSWDVITAADLREDRPVDEGNDSDQDDYVLVRQEDIVDGIASFMAAYLLALKQTKDFILQELTPNQLQDALCKTFSGKKKKSKLQKAWDGSKVIYNVASWGATAIGIYQNPAIIRAASVAFWSSCRVISKLF >Ma01_p14770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10786462:10792702:1 gene:Ma01_g14770 transcript:Ma01_t14770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDASDQLNVSASPVDQTQKGKMEAEATSSTAPARLRRPRVPATDEDQVRVKRRALEVVLENCKRAMELLENAAPDCDPNDEGEAREAAVAAGEVEGSPPRSQSAADPDANAYAYADDDELCALLKLKVESPDFLEKLGSIQTSVCNNVHADDDSSWDVITAADLREDRPVDEGNDSDQDDYVLVRQEDIVDGIASFMAAYLLALKQTKELTPNQLQDALCKTFSGKKKKSKLQKAWDGSKVIYNVASWGATAIGIYQNPAIIRAASVAFWSSCRVISKLF >Ma03_p31700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33815920:33816969:-1 gene:Ma03_g31700 transcript:Ma03_t31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDESEWLLADDYFAVSTIGIDADWVITDGDEGAVSGVFFHTVASKLRGSRPSYAPFGRLPTDLADPSLAFLPTAASARSSSRGLLLLVGPWSTYYVCNPATAKWRTIPRPPRPHLYCRTPSLALALVNLPDGPARFYVVCADVDESAGGYRFQIFSSPSGTWQEWFAVTTSADPIVPCSGVSAAGVAYWRTSAPAVLAYDPEADEARVLPPPPGCGDAGGPWELGEAGEDGRLCCTCVTESAVVVYRLGPSDEWSILDSLPVTVVGGDEAVEQESGVPIACRAPPRPLRFESTKLEVVLWVDGHVLAVDLESHRVREVQFDGPAPGDVEDLVSYVSTEAPMGPVKSS >Ma10_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31316832:31317554:1 gene:Ma10_g21560 transcript:Ma10_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYATRIADGRVEKNVVAMTAPMTGHLRMDDKHGASMVYGKIVDSGMEPTEKTIGGAVRAVSGTGFIRLGAQIHGYEMKMQFDLGDHLLSCLIHVDSLCERDMRAAGVLPDSVASAAILSACSSSGSLVEGLRHFDSMVVDHGIRPSEEHYACVNGLQTKGWKLEQARAAIEGMATRGDTGLREAFLAACKVHGKVSYAEIAAGKAPEIEAH >Ma03_p27270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30707649:30708454:1 gene:Ma03_g27270 transcript:Ma03_t27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLTSLLLPPASGPLLRSPDRRVRRQAAIKCKAKDAGSSSGGGGGGLEIAVAAGGLLSCPVIGVSLYTLKTTGCGLPPGPGGSFGAAEGVSYLVVAGIVGWSLYTKAKTGSGLPAGPYGLLGAAEGLSYLAALAVIVVFGLQFLERGYIPGPLPGDQCFG >Ma10_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30650811:30651764:-1 gene:Ma10_g20530 transcript:Ma10_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRGYVLIFVFWALLTIITPTLVSWSASAKPNLVAPGETLLEMKVNRRMMGSVETAAQLKNGTRKTITRATAPSPAAAPAPAPAAGHDVLS >Ma07_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10408155:10409330:-1 gene:Ma07_g13870 transcript:Ma07_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGHSHHGLGYNQLSLHHHQQRPQNRSLNDWVRGGSVGEIVEVHAGHIVRSTGRKDRHSKVCTAKGLRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKAAIDKLAELPPWTPSASKLTAPHTASSSCRPPPSDQFPSTEQNMTEFSEEPFAVGAPLLDSETLADVIKFFCPMVASATTAPFATPSIRLDSYLSDLPSRTSSQAQDLRLSLQSFQDPVFHTLESGHQHHHHAQCLRSPNAATSDAHFLSSANLAFNAASVSTGLAEQNQRIVPWNVVESSGGGGEEAFGSAVPLHSVLGQSQLFFQRGPLQSGNSPVVHAREDTVDAGADHRVQTKVHPCTSPIGFTSVASFSGFHIPARIQGEEKHDSITDKPPSASSAPRH >Ma06_p32000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32961513:32984415:1 gene:Ma06_g32000 transcript:Ma06_t32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEKELEDRLREVGSRLAYPPSAVDELLPLLDQTESLLSRVDQSPTQSMSNALRPSMKALVDKGLLGHLDMDVKVAVASCFSEITRITAPEAPYDDDLMKEIFQSIVQAFENLDDMSSRSYSKRVSVLETVAKVRLCVVMLDLECDTLILEMFRHFLKVIRPYHSEKFFSSMETIMTLVLEESEDISSELILCLLDSVKTDNQNILPVVRRLGEKVISNCSGKLKPYLLEFSQSVGTPLNRYSKAVASICQEHLDGVELNDANASDEIMVDDSKQSERTVSDELVQGSEKMEQEVGCPEEVTSTEKSPKSVMSNGTAQMDNGELAAEPSSPKQKPEIFHPDDQSEKAATTNRDVSVNLVSMAVKPDAVSCLKAKEIRVKQTSSVVNLKDSLDHSLKDDSLGKESSGKHDLVSPCGTESGAVKDSLPAQRDIPEATRRKRGRPPKLSSTKHGNSAVAQQSASTLQQKRSEMGNKALRNKDSDLQKESNGISNQDILEPTIVARVPEEKSQSPTSRKDSTRQTDHGDSSLKLGTSKLKQHENFKAKNDIAGEPILKISETPTQNKELDGSLVDSRIRVWWPIDKKFYDGVVDSYDLASKKHKVIYSDGDVEILLLKKERWEFVKDASNIDVDQAKDSTNPDVSSEEPKIKRAKSSSSSITKEINTETSTKSGTASGSHRKGRPRKAGISNLDDGPRSSSKSNEKPTSISKIYSFKSSNKLRGDVKSDGDISTADKSSSTTGSETEDNSLKSSRKSMVGPPISAVSKSKDNGVAGSKVRKDASKSKLNEDSPLTSQKLKGTITPKAGNESTMNTDSERRKMKLRESETSAKLLLNATPKAPDGESLAGKRRKRKGQT >Ma06_p32000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32961530:32984415:1 gene:Ma06_g32000 transcript:Ma06_t32000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEKELEDRLREVGSRLAYPPSAVDELLPLLDQTESLLSRVDQSPTQSMSNALRPSMKALVDKGLLGHLDMDVKVAVASCFSEITRITAPEAPYDDDLMKEIFQSIVQAFENLDDMSSRSYSKRVSVLETVAKVRLCVVMLDLECDTLILEMFRHFLKVIRPYHSEKFFSSMETIMTLVLEESEDISSELILCLLDSVKTDNQNILPVVRRLGEKVISNCSGKLKPYLLEFSQSVGTPLNRYSKAVASICQEHLDGVELNDANASDEIMVDDSKQSERTVSDELVQGSEKMEQEVGCPEEVTSTEKSPKSVMSNGTAQMDNGELAAEPSSPKQKPEIFHPDDQSEKAATTNRDVSVNLVSMAVKPDAVSCLKAKEIRVKQTSSVVNLKDSLDHSLKDDSLGKESSGKHDLVSPCGTESGAVKDSLPAQRDIPEATRRKRGRPPKLSSTKHGNSAVAQQSASTLQQKRSEMGNKALRNKDSDLQKESNGISNQDILEPTIVARVPEEKSQSPTSRKDSTRQTDHGDSSLKLGTSKLKQHENFKAKNDIAGEPILKISETPTQNKELDGSLVDSRIRVWWPIDKKFYDGVVDSYDLASKKHKVIYSDGDVEILLLKKERWEFVKDASNIDVDQAKDSTNPDVSSEEPKIKRAKSSSSSITKEINTETSTKSGTASGSHRKGRPRKAGISNLDDGPRSSSKSNEKPTSISKIYSFKSSNKLRGDVKSDGDISTADKSSSTTGSETEDNSLKSSRKSMVGPPISAVSKSKDNGVAGSKVRKDASKSKLNEDSPLTSQKLKGTITPKAGNESTMNTDSERRKMKLRESETSAKLLLNATPKAPDGESLAGKRRKRKGQT >Ma06_p32000.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32961829:32984415:1 gene:Ma06_g32000 transcript:Ma06_t32000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNALRPSMKALVDKGLLGHLDMDVKVAVASCFSEITRITAPEAPYDDDLMKEIFQSIVQAFENLDDMSSRSYSKRVSVLETVAKVRLCVVMLDLECDTLILEMFRHFLKVIRPYHSEKFFSSMETIMTLVLEESEDISSELILCLLDSVKTDNQNILPVVRRLGEKVISNCSGKLKPYLLEFSQSVGTPLNRYSKAVASICQEHLDGVELNDANASDEIMVDDSKQSERTVSDELVQGSEKMEQEVGCPEEVTSTEKSPKSVMSNGTAQMDNGELAAEPSSPKQKPEIFHPDDQSEKAATTNRDVSVNLVSMAVKPDAVSCLKAKEIRVKQTSSVVNLKDSLDHSLKDDSLGKESSGKHDLVSPCGTESGAVKDSLPAQRDIPEATRRKRGRPPKLSSTKHGNSAVAQQSASTLQQKRSEMGNKALRNKDSDLQKESNGISNQDILEPTIVARVPEEKSQSPTSRKDSTRQTDHGDSSLKLGTSKLKQHENFKAKNDIAGEPILKETSLLKSATEALNQGNSKESGKTKSGRKGEHGVKEISETPTQNKELDGSLVDSRIRVWWPIDKKFYDGVVDSYDLASKKHKVIYSDGDVEILLLKKERWEFVKDASNIDVDQAKDSTNPDVSSEEPKIKRAKSSSSSITKEINTETSTKSGTASGSHRKGRPRKAGISNLDDGPRSSSKSNEKPTSISKIYSFKSSNKLRGDVKSDGDISTADKSSSTTGSETEDNSLKSSRKSMVGPPISAVSKSKDNGVAGSKVRKDASKSKLNEDSPLTSQKLKGTITPKAGNESTMNTDSERRKMKLRESETSAKLLLNATPKAPDGESLAGKRRKRKGQT >Ma06_p32000.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32961530:32984415:1 gene:Ma06_g32000 transcript:Ma06_t32000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEKELEDRLREVGSRLAYPPSAVDELLPLLDQTESLLSRVDQSPTQSMSNALRPSMKALVDKGLLGHLDMDVKVAVASCFSEITRITAPEAPYDDDLMKEIFQSIVQAFENLDDMSSRSYSKRVSVLETVAKVRLCVVMLDLECDTLILEMFRHFLKVIRPYHSEKFFSSMETIMTLVLEESEDISSELILCLLDSVKTDNQNILPVVRRLGEKVISNCSGKLKPYLLEFSQSVGTPLNRYSKAVASICQEHLDGVELNDANASDEIMVDDSKQSERTVSDELVQGSEKMEQEVGCPEEVTSTEKSPKSVMSNGTAQMDNGELAAEPSSPKQKPEIFHPDDQSEKAATTNRDVSVNLVSMAVKPDAVSCLKAKEIRVKQTSSVVNLKDSLDHSLKDDSLGKESSGKHDLVSPCGTESGAVKDSLPAQRDIPEATRRKRGRPPKLSSTKHGNSAVAQQSASTLQQKRSEMGNKALRNKDSDLQKESNGISNQDILEPTIVARVPEEKSQSPTSRKDSTRQTDHGDSSLKLGTSKLKQHENFKAKNDIAGEPILKETSLLKSATEALNQGNSKESGKTKSGRKGEHGVKEISETPTQNKELDGSLVDSRIRVWWPIDKKFYDGVVDSYDLASKKHKVIYSDGDVEILLLKKERWEFVKDASNIDVDQAKDSTNPDVSSEEPKIKRAKSSSSSITKEINTETSTKSGTASGSHRKGRPRKAGISNLDDGPRSSSKSNEKPTSISKIYSFKSSNKLRGDVKSDGDISTADKSSSTTGSETEDNSLKSSRKSMVGPPISAVSKSKDNGVAGSKVRKDASKSKLNEDSPLTSQKLKGTITPKAGNESTMNTDSERRKMKLRESETSAKLLLNATPKAPDGESLAGKRRKRKGQT >Ma06_p32000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32961530:32984415:1 gene:Ma06_g32000 transcript:Ma06_t32000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEKELEDRLREVGSRLAYPPSAVDELLPLLDQTESLLSRVDQSPTQSMSNALRPSMKALVDKGLLGHLDMDVKVAVASCFSEITRITAPEAPYDDDLMKEIFQSIVQAFENLDDMSSRSYSKRVSVLETVAKVRLCVVMLDLECDTLILEMFRHFLKVIRPYHSEKFFSSMETIMTLVLEESEDISSELILCLLDSVKTDNQNILPVVRRLGEKVISNCSGKLKPYLLEFSQSVGTPLNRYSKAVASICQEHLDGVELNDANASDEIMVDDSKQSERTVSDELVQGSEKMEQEVGCPEEVTSTEKSPKSVMSNGTAQMDNGELAAEPSSPKQKPEIFHPDDQSEKAATTNRDVSVNLVSMAVKPDAVSCLKAKEIRVKQTSSVVNLKDSLDHSLKDDSLGKESSGKHDLVSPCGTESGAVKDSLPAQRDIPEATRRKRGRPPKLSSTKHGNSAVAQQSASTLQQKRSEMGNKALRNKDSDLQKESNGISNQDILEPTIVARVPEEKSQSPTSRKDSTRQTDHGDSSLKLGTSKLKQHENFKAKNDIAGEPILKETSLLKSATEALNQGNSKESGKTKSGRKGEHGVKEISETPTQNKELDGSLVDSRIRVWWPIDKKFYDGVVDSYDLASKKHKVIYSDGDVEILLLKKERWEFVKDASNIDVDQAKDSTNPDVSSEEPKIKRAKSSSSSITKEINTETSTKSGTASGSHRKGRPRKAGISNLDDGPRSSSKSNEKPTSISKIYSFKSSNKLRGDVKSDGDISTADKSSSTTGSETEDNSLKSSRKSMVGPPISAVSKSKDNGVAGSKVRKDASKSKLNEDSPLTSQKLKGTITPKAGNESTMNTDSERRKMKLRESETSAKLLLNATPKAPDGESLAGKRRKRKGQT >Ma06_p32000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32961513:32984415:1 gene:Ma06_g32000 transcript:Ma06_t32000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEKELEDRLREVGSRLAYPPSAVDELLPLLDQTESLLSRVDQSPTQSMSNALRPSMKALVDKGLLGHLDMDVKVAVASCFSEITRITAPEAPYDDDLMKEIFQSIVQAFENLDDMSSRSYSKRVSVLETVAKVRLCVVMLDLECDTLILEMFRHFLKVIRPYHSEKFFSSMETIMTLVLEESEDISSELILCLLDSVKTDNQNILPVVRRLGEKVISNCSGKLKPYLLEFSQSVGTPLNRYSKAVASICQEHLDGVELNDANASDEIMVDDSKQSERTVSDELVQGSEKMEQEVGCPEEVTSTEKSPKSVMSNGTAQMDNGELAAEPSSPKQKPEIFHPDDQSEKAATTNRDVSVNLVSMAVKPDAVSCLKAKEIRVKQTSSVVNLKDSLDHSLKDDSLGKESSGKHDLVSPCGTESGAVKDSLPAQRDIPEATRRKRGRPPKLSSTKHGNSAVAQQSASTLQQKRSEMGNKALRNKDSDLQKESNGISNQDILEPTIVARVPEEKSQSPTSRKDSTRQTDHGDSSLKLGTSKLKQHENFKAKNDIAGEPILKETSLLKSATEALNQGNSKESGKTKSGRKGEHGVKEISETPTQNKELDGSLVDSRIRVWWPIDKKFYDGVVDSYDLASKKHKVIYSDGDVEILLLKKERWEFVKDASNIDVDQAKDSTNPDVSSEEPKIKRAKSSSSSITKEINTETSTKSGTASGSHRKGRPRKAGISNLDDGPRSSSKSNEKPTSISKIYSFKSSNKLRGDVKSDGDISTADKSSSTTGSETEDNSLKSSRKSMVGPPISAVSKSKDNGVAGSKVRKDASKSKLNEDSPLTSQKLKGTITPKAGNESTMNTDSERRKMKLRESETSAKLLLNATPKAPDGESLAGKRRKRKGQT >Ma05_p27270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38635957:38638259:1 gene:Ma05_g27270 transcript:Ma05_t27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAELQRFLEQEKQKAMVSEMVGKLTSVCWDKCITGTPGSKFSSSESTCLTNCAQRYMDMSMMIMKRFQSMQ >Ma05_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36130255:36131068:1 gene:Ma05_g23940 transcript:Ma05_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHIGPGRLECTGSFTSTIDLLFLWSVDIPRYSFISWLVCLNKLSTLDRLIMWRIQNESTTVADIASSRAIAANWNLYPNFATAKSTWHH >Ma01_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3052606:3055197:-1 gene:Ma01_g04530 transcript:Ma01_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLPPIAFKLLLLTLLQATTTAAASAPPSPNVVSPGCNDTCGGVSIPYPFGIGDGCFREGFEVTCEVVNGSATPRAFLGGRERNITIEEIILPQGQARILNYISWDCFNSTDYWVAGEEPSLALGDKPFWVSSTKNRFTTMGCNVLGYLFGGDNYTLGTGCASFCLEGASIASGSCSGTGCCQTTIPEKLDNFTTRLAYFVNLTTYKDYSPCTYAFIAEQDWFSFNKSDLGNHTFGDKYKDGVPLVLDWVAGNQICEEAKRNLSSYACGNSDCIDSTSPPGYICNCSTGFQGNPYLQDGCKDIDECSSPNLYTCHGTCSNTAGNYSCSCPKGQSSKDPKSEPCVRDHGIPTSTKIVIGICVGFVSFITCIFCIILAFQRRKLLKEKDKFFQQNGGLRLYEEIRSKQIDTVKIYTKEDLEKATDNFNKSRELGQGGHGTVYKGNIDGGREVAIKRSKIVTKDQSEEFVREMIILSQINHKNIVRLLGCCLEVEIPMLVYEFIPNGTLFDFIHGNDGTLIPLTTRLRIARESAEALTYLHSSASPPIVHGDVKSLNILLDHNYVSKVSDFGASRMVSLDETQFITMVQGTLGYLDPEYLLVRQLTAKSDVYSFGVVIVELITRKKAIYYDGSSQGKALASSFIEAMKDSRLEEILDDQIMGKENMDVIHEIAELAKECLNMNGDERPTMREVTEKLHILGGFLQVSSTYHAPEEREALLGESSMSCTLDSVGYHSSENKLGFDVKAGR >Ma08_p33900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44059196:44064199:-1 gene:Ma08_g33900 transcript:Ma08_t33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASSILASIFGSRESAATVASIYVYPIKSCRGISVPRACVTSTGLRWDRQWLVVNSNGRAYTQRVEPKLALVEVGLPMDAFREDWEPNDTSYMVIKAPEMETLKIPLNRVCDVINDISVWEWSGSALDEGNEASEWFTKYLEKPSRLVRFNTASEIRAVDPNYAQGYRTVFSDGFPFLLASQGSLNALNELLEEPLPINRFRANILVDGCNPFSEDLWKEIKINKLTFYGVKLCSRCKVPTIDQNNGTAGDEPTQTLMKFRSDHALHLKKKQGKVFFGQNLVCKDSLSAMGRAKAVAVGDPVFVQQKFSSSADAPI >Ma11_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4108228:4118925:-1 gene:Ma11_g05380 transcript:Ma11_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVGSSPAAAGKGAAAPAAPRHNLVRTFKYLMATQFLSRGIPFIFNSWIVRHLTAADYALYAVQFHLFITCILFLSREGFRRACMRTDIQRNDSSMEENAARLLKVAWMTFPIGILFTLAVCLIVFWFQKLTPSDAYAQAILIHGLACILELLAEPLYILSQNLLLLKLRLIVETAATILRCMTTYILIVRKPNMEKAIVFALSQASYGACLLLGYWAYFVFFCINRYDLFPFRLSSLMDYDKHLLKMCLLFTGQSFRRLVLQEGEKMVLVWFDTPYNQAVYGLVDKLGSLVVRLVFLPFEESTYATFANMSSGQSSQRIVKLRSSLVEALKVVLLIGLVVLAFGPSYSYTLVRLLYGRKWSDGEAPAALRYYCFYVITLAMNGTSEAFLHAVANERQLKRSNDSLLIFSGIYIALNVILIRSAGAIGLIAANSLNMILRIIYSAVFISSYFQDSSFSFYRCLPSGWEVLLLSYTATVISERVFLDKENFWHTLPIHLAIGLACFCFSSIVIYSREKQLINKIIGMHKHTD >Ma03_p30530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33149838:33150698:1 gene:Ma03_g30530 transcript:Ma03_t30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASPRGYGALPSHAAAPSPMSAPSAVHRGAALVSSLREQGRALIATQRPWGQLLDSAALARPPSAGEAISRLRRNLTYFRSNYALSVLLALAIGLIWQPASLAAALILAAAWFFLYLARDRPLVLFDRRFDEGTILGALSLATFFALVSTDLGSNVFKSVGVGLLLVGMHAVFRITDDLFMDESEAVSGGLVAGLGGPGRPAFVVRVV >Ma06_p32410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33326438:33327155:-1 gene:Ma06_g32410 transcript:Ma06_t32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAMSELMRNPRVMRKLQEEVRETVGEKGKVTEKDINGMNYLKLVIKETLRLHPPVPLLLPRECRETCEVLGYQIPEKTRVFVNVWALGRDPRHWDNPTEFEPERFERRNSMVDFKGTNFEFLPFGAGRRICPGMSFGLKSIELSLASLLYNFDWELPSGDEGMPQELDMSETFSITCRRKSDLCLRAIPRIPFSMT >Ma01_p16470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11936735:11947210:1 gene:Ma01_g16470 transcript:Ma01_t16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVYGTFFLSFLLLILRMPAGEALRSVGDGTDLRTDLPDATVELNSSNFDSVLKESPASFAIVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCAMKINANLCDRFSVGYYPMLLWGPSVKFVAGKWDPKQEKSEIQSIDDGRTADRLLNWINKKMGSSFSFDDERYENENTLPTNVSDPEQIARAIYDVEEATALAFEIIIQHKMIKSSTQAPLIKFFQILATHHPSKRCRRGIAEILLNFDDLWPSGPLSISSEEAPILQEQDVLKSYSICGKEVPRHYWIFCRGSKNDTRGFSCGLWVLFHSLSVRVGDGESQSVFTAICDFIQNFFVCDDCRRHFHEMSSSVSVPFNRTRDLSMWLWRAHNKVNERLNKEEKALGTGDPRFPKIIWPPKQLCRSCYVSSSRKRRSNMKIYWNEDEVYKFLVRYYGRTLASSHNDATLSSKYDESYDITTSTNAVAVPVGAALAIAVASCTFGALACFWRAQQKNRKYTHQLHSFKDI >Ma01_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11936735:11947210:1 gene:Ma01_g16470 transcript:Ma01_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVYGTFFLSFLLLILRMPAGEALRSVGDGTDLRTDLPDATVELNSSNFDSVLKESPASFAIVEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCAMKINANLCDRFSVGYYPMLLWGPSVKFVAGKWDPKQEKSEIQSIDDGRTADRLLNWINKKMGSSFSFDDERYENENTLPTNVSDPEQIARAIYDVEEATALAFEIIIQHKMIKSSTQAPLIKFFQILATHHPSKRCRRGIAEILLNFDDLWPSGPLSISSEEAPILQEQDVLKSYSICGKEVPRHYWIFCRGSKNDTRGFRCLQLVSILIAVLCCGLWVLFHSLSVRVGDGESQSVFTAICDFIQNFFVCDDCRRHFHEMSSSVSVPFNRTRDLSMWLWRAHNKVNERLNKEEKALGTGDPRFPKIIWPPKQLCRSCYVSSSRKRRSNMKIYWNEDEVYKFLVRYYGRTLASSHNDATLSSKYDESYDITTSTNAVAVPVGAALAIAVASCTFGALACFWRAQQKNRKYTHQLHSFKDI >Ma01_p16470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11936735:11947210:1 gene:Ma01_g16470 transcript:Ma01_t16470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPLSDSPHEYGRACTYVSTMYRHIYMCPACRNYKPHYEKVARLFNGPDAVHPGIILMTRVDCAMKINANLCDRFSVGYYPMLLWGPSVKFVAGKWDPKQEKSEIQSIDDGRTADRLLNWINKKMGSSFSFDDERYENENTLPTNVSDPEQIARAIYDVEEATALAFEIIIQHKMIKSSTQAPLIKFFQILATHHPSKRCRRGIAEILLNFDDLWPSGPLSISSEEAPILQEQDVLKSYSICGKEVPRHYWIFCRGSKNDTRGFRCLQLVSILIAVLCCGLWVLFHSLSVRVGDGESQSVFTAICDFIQNFFVCDDCRRHFHEMSSSVSVPFNRTRDLSMWLWRAHNKVNERLNKEEKALGTGDPRFPKIIWPPKQLCRSCYVSSSRKRRSNMKIYWNEDEVYKFLVRYYGRTLASSHNDATLSSKYDESYDITTSTNAVAVPVGAALAIAVASCTFGALACFWRAQQKNRKYTHQLHSFKDI >Ma09_p27100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38170544:38170965:1 gene:Ma09_g27100 transcript:Ma09_t27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGNLQILFCTDWLSLWMKLLDISHCDNLILFISSLY >Ma08_p34780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44764331:44764924:-1 gene:Ma08_g34780 transcript:Ma08_t34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGSTGQGKASTSSRSSKKDVIHMERSRREKMTEFYTMLQSMVPNLFPKIQQVVQATRTRIVDEAIAYIKGLEEVIGALEAQKAAREASSGPATLHSQRSSTVEVSTSANTAFFAISFAARPGMVMKVLQVFEDHKAEVLNATIACNDGSVTVTVTASEMEEEALGKIKGDLIII >Ma05_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3847920:3855615:-1 gene:Ma05_g05030 transcript:Ma05_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISNSLIGILNFVTLLVSFPVIGIAVWFRVQAATECERFLQLPLLVLGIFLFVVSVLGFVGSCFRVSVFLWIYLFVLFLLILAMVAFTMFGLIVTNKGVGQAIVGRAYKEYKLDDYSHWLQKRVRDWPTWNVIEGCLKEAKVCGQLEGAVGMKATEFYRKNLSPIQSGCCKPPSYCRFTYVNATYWTTPKSGAVASGLDCKAWSNGQEKLCYSCNSCKGGVLATLKDGRKKVAIVNAALLVFLIITHTVGCCAYRNNKSRNHYPPYYYGGKLPSYKSTPSSLPSTLAAKPLHTERRQEQRKRGLLAAAGVPGSGRNSLPPPPFSLPSSLSPGSEAASDEVSVAELGDLEVAEP >Ma09_p29800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40159999:40183706:-1 gene:Ma09_g29800 transcript:Ma09_t29800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MAGGGSLKEGGSPVFDITPHKIAVCHLVQVFALHTQPDMPFAFQSISQHHRLGLFLFSLTRSCESFLDPSLEELLNQLKALGGLAMLSEHLISNLLVLSSPDDLFNFFDKLREFHELLEDPEVYCEAAEFEEYGLENDAQAAENLTHIPSKFLSSFLEDNQASDDPRIKHGDGGSQSGGLIPLLNNNFRTDDNLGVLRSRWQIEGYLNMQADLLEKDAISFPLYSFSATLRQLQKLAPELHRARYLQYLNALYHNDYLSALDELHCYFDYSAGMEGLFSRSPSPSSEFEVGRFETALLCLGTLQCHFGHPKKALEALTEAVRIAQQNNDDSCLAYTLAAICKLLSEIGISNMTGIIGSPYSLGTTTGLGTPLSTQQQLLVLLKRSLERADHLKLTNLLAFNHLALAKFDLKHVKKPLLSFGPKASMKLRTCPTSVCRELRLSSHVLSEFGADGLLQLNDTGAFSTSWLKNLSAVGNPWLKKLQKPRSLSVNDYDTFQFYAQPNPMPGSVLQLAGASYLLRATSWEHYGSAPLVRMNALVYATCFADAASSSELSLAYVKLIQHLSVFKGYTEAFNALKLAEDKFSVSSTHIRLLKLQLLHERALHRGNLQEAQQVCDQFGVLASSVTGVHMELKTEASLRHARTLLAANQFSQAAAVASNLFCTCYKFNMQVENATVLLLLAEIHKKSGDAVLGLSYVLASLSFCKTFNLDLLEASATVTLAELWLSLGSSHAKKASSLIYQALPMILGHGGLELRARANIAVAKCLLADPSYLVSEDPDAVLDPLSQASEELQILEYHEMAAEAFYLMAIIFNSLGRLDERENSAASFKKHVIALENPQDEDNLPTHGV >Ma09_p29800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40159999:40183455:-1 gene:Ma09_g29800 transcript:Ma09_t29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MPFAFQSISHHHCLGLFLFSLTRSCESFLDPSLEELLNQLKALGGLAMLSEHLISNLLVLSSPDDLFNFFDKLRGVLAAPEGSSMEEEQTLLDPNSHLGIFIRCCILTFNMLPFEGVCHLLTNLVAYCNSNDSTYEMAEDDDFNGSEFHELLEDPEVYCEAAEFEEYGLENDAQAAENLTHIPSKFLSSFLEDNQASDDPRIKHGDGGSQSGGLIPLLNNNFRTDDNLGVLRSRWQIEGYLNMQADLLEKDAISFPLYSFSATLRQLQKLAPELHRARYLQYLNALYHNDYLSALDELHCYFDYSAGMEGLFSRSPSPSSEFEVGRFETALLCLGTLQCHFGHPKKALEALTEAVRIAQQNNDDSCLAYTLAAICKLLSEIGISNMTGIIGSPYSLGTTTGLGTPLSTQQQLLVLLKRSLERADHLKLTNLLAFNHLALAKFDLKHVKKPLLSFGPKASMKLRTCPTSVCRELRLSSHVLSEFGADGLLQLNDTGAFSTSWLKNLSAVGNPWLKKLQKPRSLSVNDYDTFQFYAQPNPMPGSVLQLAGASYLLRATSWEHYGSAPLVRMNALVYATCFADAASSSELSLAYVKLIQHLSVFKGYTEAFNALKLAEDKFSVSSTHIRLLKLQLLHERALHRGNLQEAQQVCDQFGVLASSVTGVHMELKTEASLRHARTLLAANQFSQAAAVASNLFCTCYKFNMQVENATVLLLLAEIHKKSGDAVLGLSYVLASLSFCKTFNLDLLEASATVTLAELWLSLGSSHAKKASSLIYQALPMILGHGGLELRARANIAVAKCLLADPSYLVSEDPDAVLDPLSQASEELQILEYHEMAAEAFYLMAIIFNSLGRLDERENSAASFKKHVIALENPQDEDNLPTHGV >Ma09_p29800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40159999:40183706:-1 gene:Ma09_g29800 transcript:Ma09_t29800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MAGGGSLKEGGSPVFDITPHKIAVCHLVQVFALHTQPDMPFAFQSISQHHRLGLFLFSLTRSCESFLDPSLEELLNQLKALGGLAMLSEHLISNLLVLSSPDDLFNFFDKLRGVLAAPEGSSMEEEQTLLDPNSHLGIFIRCCILTFNMLPFEGVCHLLTNLVAYCNSNDSTYEMAEDDDFNGSEFHELLEDPEVYCEAAEFEEYGLENDAQAAENLTHIPSKFLSSFLEDNQASDDPRIKHGDGGSQSGGLIPLLNNNFRTDDNLGVLRSRWQIEGYLNMQADLLEKDAISFPLYSFSATLRQLQKLAPELHRARYLQYLNALYHNDYLSALDELHCYFDYSAGMEGLFSRSPSPSSEFEVGRFETALLCLGTLQCHFGHPKKALEALTEAVRIAQQNNDDSCLAYTLAAICKLLSEIGISNMTGIIGSPYSLGTTTGLGTPLSTQQQLLVLLKRSLERADHLKLTNLLAFNHLALAKFDLKHVKKPLLSFGPKASMKLRTCPTSVCRELRLSSHVLSEFGADGLLQLNDTGAFSTSWLKNLSAVGNPWLKKLQKPRSLSVNDYDTFQFYAQPNPMPGSVLQLAGASYLLRATSWEHYGSAPLVRMNALVYATCFADAASSSELSLAYVKLIQHLSVFKGYTEAFNALKLAEDKFSVSSTHIRLLKLQLLHERALHRGNLQEAQQVCDQFGVLASSVTGVHMELKTEASLRHARTLLAANQFSQAAAVASNLFCTCYKFNMQVENATVLLLLAEIHKKSGDAVLGLSYVLASLSFCKTFNLDLLEASATVTLAELWLSLGSSHAKKASSLIYQALPMILGHGGLELRARANIAVAKCLLADPSYLVSEDPDAVLDPLSQASEELQILEYHEMAAEAFYLMAIIFNSLGRLDERENSAASFKKHVIALENPQDEDNLPTHGV >Ma08_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1803520:1804616:-1 gene:Ma08_g02250 transcript:Ma08_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGVRIYPGRDHVDSLFHDYKVLINPSTTDVICTTTPEALAMGKIVICANHPSNEFFKQFPNCHTYNTNNEFVKLTLAALAEDPVPLTDDHRHALSLEAATERFVEAADLNEATQEKALASPPPFMPISSDDWSRSVEEASAYLHNTVSGIEAARCLWCNS >Ma07_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4680492:4685894:1 gene:Ma07_g06520 transcript:Ma07_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARKRGRPEVANGGGAGSKRLRETDSFQSGIGSKSKPCTKFFSTAGCPFGEGCHFLHYVPGGLQAVAQMTNLGNPALGPPSRNPVAHPAIHDGAPVPPVKTRICNKYNTAEGCKFGEKCHFAHGERELGKPILSSLDGPMAPSMGGRLGGRFELPPPAGVDAAAASFGASATAKISVDASLAGAIIGKGGVNTKQICRMTGAKLSIRDHESDPNLRNIELEGSFDQIKQASAMVRELIVNISSSTGIAARNPAPAASAGPGSNYKTKLCENFGKGACTFGDRCHFAHGASELRKSAA >Ma02_p07800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18320819:18324221:-1 gene:Ma02_g07800 transcript:Ma02_t07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDCWDNLRQKVIHHLNLLAGYETQPVLRGEVVVYRTSGRSNPAKSTAISLYSITRADENTGFGKLSREASLRNGKKTKHDGVETTTYDVVFYLEADFGTPGAIAVKNRGRREFLLKSVTLEVSENRSVHFDCNSWVYPIIKTNVDRLFFANTSYLPSQTPAALQSLRQEELASLRGNGRGERKEWERIYDYDRYNDLGDPDKGLHHERPILGGTRSYPYPRRCRTGRPLSNQDRATETRKKIINLDFYVPPDDRFSPVKLSEFISNSIRAIVHFVIPEVKSVFEGSIRNFESFGQMRKDLYSSHRRSILEGVVMEKLKALVPEEFIKEVVRVVKENPLKFPIPEVIATDENAWMSDEEFGRETLAGLNPAVIRCLERFPPVGRGGKVSSITASHIEKNLEGLSADQAVELRRIFILDHHDYIMQYLRRINEQGVCVYASRTLLFLRHDQTLKPLAIELSLPGDGKGAEINRVFLPASQGGERALWQLAKTHVAVNDSGHHQLISHWLHTHAAVEPFIIATRRQLSAMHPIYKLLDPHFKDTMHINSLARSILLNAGGILEMTMFPGKFALEMSSAIYRNWRFREQALPLDLLKRGIALEDPGEPSGVRLRFEDYPYAIDGLDVWVAIKTWVTNYCAYFYPDDRSVASDVEIQSWWHEVRTIGHGDKRNDEDGCWLPLDSVANLSQTLTTLIWIASALHASLNFGQFAYAGYPPNRPTRCRKFIPHEGTPEFAEYLRDPDKYFLEMVPDRFTTTLGLALIEVLSGHTADEVYLGQRASSEWTDDSHVLHMFQQFGDDLRKVEKRIEERNGNRGLKNRCGPAQVPYTLLYPDTSNLGAEKGVTGRGIPNSVSI >Ma02_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18321324:18324221:-1 gene:Ma02_g07800 transcript:Ma02_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDCWDNLRQKVIHHLNLLAGYETQPVLRGEVVVYRTSGRSNPAKSTAISLYSITRADENTGFGKLSREASLRNGKKTKHDGVETTTYDVVFYLEADFGTPGAIAVKNRGRREFLLKSVTLEVSENRSVHFDCNSWVYPIIKTNVDRLFFANTSYLPSQTPAALQSLRQEELASLRGNGRGERKEWERIYDYDRYNDLGDPDKGLHHERPILGGTRSYPYPRRCRTGRPLSNQDRATETRKKIINLDFYVPPDDRFSPVKLSEFISNSIRAIVHFVIPEVKSVFEGSIRNFESFGQMRKDLYSSHRRSILEGVVMEKLKALVPEEFIKEVVRVVKENPLKFPIPEVIATDENAWMSDEEFGRETLAGLNPAVIRCLERFPPVGRGGKVSSITASHIEKNLEGLSADQAVELRRIFILDHHDYIMQYLRRINEQGVCVYASRTLLFLRHDQTLKPLAIELSLPGDGKGAEINRVFLPASQGGERALWQLAKTHVAVNDSGHHQLISHWLHTHAAVEPFIIATRRQLSAMHPIYKLLDPHFKDTMHINSLARSILLNAGGILEMTMFPGKFALEMSSAIYRNWRFREQALPLDLLKSVKFLGALPWRTPANPPASGFASKTTPTPSTASTCGSRSRRGSPTTAPTSTPTTAPSPRTSRSSHGGTRSAPSATATSATTKTAAGFLSTPSPTCPKP >Ma07_p18260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24908315:24913240:-1 gene:Ma07_g18260 transcript:Ma07_t18260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPLLLLLLLFFSSSLSLLLVDAARTADGSEEWGYVQVRPRAHMFWWLYRSPQRVDNGSAPWPTVLWLQGGPGGSGVGIGNFQEIGPVDANLQPRCTTWLQKADLLFVDNPVGTGYSFVEDESLFVKSDWEAAADLTTLLKNLYHEQASSWQNSPLFIVAESYGGKFAVTAGLSIAQAIRVGELKLNLGGVALGDSWISPEDFVLSWAPLLLDLSRIDIIDAEKSSIMAEKIREEIKKEQYSDATNSWGELEEFIVTSSNDVDFYNFLLDSGSDPVSLTAAAEASRKLSLKMYPTYLSSKASTSPDISGLMNGLIKEKLKIIPKNVSWGGQSGLVFDSLSNDFMKPRINEVDELLSLGINVTIYNGQVDLICATKGTEAWVQKLKWDGLKNFNSMDRKPIYCSSEEVGVTKGFLKSYQNLHFYWILGAGHFVPVDQPCVSLKMIADITRSPPAGPS >Ma07_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24908315:24913240:-1 gene:Ma07_g18260 transcript:Ma07_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPLLLLLLLFFSSSLSLLLVDAARTADGSEEWGYVQVRPRAHMFWWLYRSPQRVDNGSAPWPTVLWLQGGPGGSGVGIGNFQEIGPVDANLQPRCTTWLQKADLLFVDNPVGTGYSFVEDESLFVKSDWEAAADLTTLLKNLYHEQASSWQNSPLFIVAESYGGKFAVTAGLSIAQAIRVGELKLNLGGVALGDSWISPEDFVLSWAPLLLDLSRIDIIDAEKSSIMAEKIREEIKKEQYSDATNSWGELEEFIVTSSNDVDFYNFLLDSGSDPVSLTAAAEASRKLSLKMYPTYLSSKASTSPDISGLMNGLIKEKLKIIPKNVRYVISFYDRFRGKQTINSLGLSLLNSWGGQSGLVFDSLSNDFMKPRINEVDELLSLGINVTIYNGQVDLICATKGTEAWVQKLKWDGLKNFNSMDRKPIYCSSEEVGVTKGFLKSYQNLHFYWILGAGHFVPVDQPCVSLKMIADITRSPPAGPS >Ma08_p31650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42499566:42500012:-1 gene:Ma08_g31650 transcript:Ma08_t31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQPRMNTNTLSASAPPHHPLSYSTLVLEAELMGRQNGDPFALHASIALLQERFKQLQRVKELREQRELQRVWGDGEPPSSSVQCGQQNWLFHPDLPWPPSRRHLSDHVGPHAPENAPSMSPWPSRSIAQNSITGHETDVDTSLHL >Ma04_p34610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33707165:33710299:1 gene:Ma04_g34610 transcript:Ma04_t34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPFSLSSHGFYCEGLRSLLIPRTRGNCRGKRKQAILMEGIASIALLPCGSISGHFIRLPDSVCYGLHGIELSCERECSRGEDYRLIKLSIIDYASRKEKVIVVECRGHDCARFQNVDHAHGWENDVVDMIEQKHGKQKISVSFECETLKADNAAEEHLKSYMPNLVGLGAVVNVGRMSISGLDFKGDKESSECDDE >Ma02_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20469331:20470347:1 gene:Ma02_g11170 transcript:Ma02_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKLAPSASDVLGEGRVTMRKSTAKAKPAAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Ma09_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32580725:32583951:1 gene:Ma09_g21500 transcript:Ma09_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPREAVLRMLRTLAAAAATATNAETSNCSDKCGQVLVQYPFGIEPGCYRVGFAITCDRSDGNSPIAFLGTSDIEVTEISLLHGQSRVKAQVSWECYNETAVESTNSNLPSKSFDVNGVYKISDDRNKFTLIGCNSMAYLQSQQTADGPYPYVYYTGCLSYCEDTSKVINGVCNGIGCCQTSIPSGLSDTSFSFTAYSHRYFLSFSPCSYVFIVDQDYYSFSATDLTMDTNKSMPLWLDWAVRDAATCEEAKGSDNYACRSQNSVCSKARSGAALPPTAKPLDDIPKAIVPLDDTSKVLELQHCRTNFYCSSSSNERWHHPYHFDDSKEQHYYTRHNCKGSPV >Ma08_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37931526:37932461:-1 gene:Ma08_g24860 transcript:Ma08_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYETSDTLMCRAFPVTLRGPARTWYSGLRTGTIASFDQLARDFEFNFLASARPKPSIALLLGLHQREDESLSHFVNRFTMQIRGLSDAHPSLLMQAFIARLRPSRFFWSLVERPPTAVPEMLQRANQFVAVEAWMAGKPEEHTKIKERGLLRAPVPMKNPRELADQSKYCRFHKQSGHDTEDYRELKRQIEELVRKGHLSRYIRQNKEPSPCPEGPVERHIDVITGGPAAGGTSISGRKAYSRSARTDAPQRGPDPKVAFPPKDAK >Ma05_p04770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3625912:3631037:1 gene:Ma05_g04770 transcript:Ma05_t04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAENGEHSRKMVFVTVGTTCFDALVKAVDSQQVREELSRKGYTDLLIQMGRGSYMPSKVSGEDEPLTVDYFTFSPSIADYLKSASLIISHAGSGSIFETLCLGKPLIVVVNEELMDNHQSELAEELAERKHLFYARPQTLHQTIQDMSPESLIPYSPGNPMPVVRLMNKFLGFPTD >Ma05_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3625912:3631037:1 gene:Ma05_g04770 transcript:Ma05_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAENGEHSRKMVFVTVGTTCFDALVKAVDSQQVREELSRKGYTDLLIQMGRGSYMPSKVSGEDEPLTVDYFTFSPSIADYLKSASLIISHAGSGSIFETLCLGKPLIVVVNEELMDNHQSELAEELAERKHLFYARPQTLHQTIQDMSPESLIPYSPGNPMPVVRLMNKFLGFPTD >Ma05_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11283275:11283800:-1 gene:Ma05_g15190 transcript:Ma05_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYRGFKLGRRLVRVYLVPVVYFNHPLLRELLREAEVEFGFHHPGGITIPCAAAEFDRVRTRIADAGKKLHRRSPVSLWS >Ma04_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10734019:10737781:-1 gene:Ma04_g14180 transcript:Ma04_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVFLRSGGRGAHGLLLGHLRSPRVCVEHSKLSSTRSRAYVRSHILFSKFKESSSGFSHGSPSLYSLSLSTATGAASFSWIRNGFISWYIGMIEARPVLTKSLTAGVVFTAADISSQIITLASSGGLDFIRTLRMAGYGLLVSGPSLHFWFNFVSRILPKRDVFTTFKKMVLGQVTYGPIITGVFFSMNAGLQGETGAEISARLKRDLIPTLLSGIMYWPICDFITFKFVPVRLQPLVSNSFSFLWTIYITYMASLEKAVVEKTATD >Ma11_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21819915:21821627:1 gene:Ma11_g16280 transcript:Ma11_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSPKKDPPSPAALAAAASAAAAATGSPSVSPSPSPSPDRSPSPIPLPASLPPPPHSAVAAASGSRRLAAPIWTHEETLALIDAYRDKWYALRRGNLRASHWQEVADDVARRCPSGPSPPKSSVQCRHKVEKLRKRYRSERHKSLQLDSSLAPASSWVYFRKMDAMEHGGGAVSGPRRPSASPSPAPPRPPSDDDEEEEEEEDDDDYDGRRGTGGGSNTRSMHRLMANGRGAIGELRFTIPKAVRSKVSRADDRPAPSPSMNPNPTTRFFRGYTGSRPAMEEMRRKMEKKRRKRRLEMESSAVREMVSALRMLGDGFLRMEQKKMEMAREMEKVRMEMELKRTELILDSQRRIVDAFLKGFSGKKRTKVSPED >Ma11_p13290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17568948:17571481:1 gene:Ma11_g13290 transcript:Ma11_t13290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACKMKGLLKGIKYITHIFVHKEHEMEIGYPTDVKHVAHIGFDNPFWMDEFKSASDFSGSLSNYESAHSSWVSQDFDRPRELQTASAMFMDHRLPRIPKAPRSKTKQKKKKNKVASPTSSARSSRSSTSRPSYFTAIEDGGEITGSLECSTYRS >Ma11_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17568948:17571481:1 gene:Ma11_g13290 transcript:Ma11_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKMKGLLKGIKYITHIFVHKEHEMEIGYPTDVKHVAHIGFDNPFWMDEFKSASDFSGSLSNYESAHSSWVSQDFDRPRELQTASAMFMDHRLPRIPKAPRSKTKQKKKKNKVASPTSSARSSRSSTSRPSYFTAIEDGGEITGSLECSTYRS >Ma11_p13290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17568948:17571509:1 gene:Ma11_g13290 transcript:Ma11_t13290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKMKGLLKGIKYITHIFVHKEHEMEIGYPTDVKHVAHIGFDNPFWMDEFKSASDFSGSLSNYESAHSSWVSQDFDRPRELQTASAMFMDHRLPRIPKAPRSKTKQKKKKNKVASPTSSARSSRSSTSRPSYFTAIEDGGEITGSLECSTYRS >Ma01_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14819602:14820028:1 gene:Ma01_g19310 transcript:Ma01_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGTIKSVKVKKHQKMERMFPLVLDLLNSILWKQQPVCVRIYRELFWMVILLYCNFVLPRKPDRFLKKTRRLRAQQHRS >Ma03_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2400619:2403899:1 gene:Ma03_g03570 transcript:Ma03_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAETRTPGLAPWRNLEGKVVMVTGASSGIGRDLCLDLARAGCLVIAAARRTDRLRSLCEEINGSGPSQRSAVRSVAIALDVSADEPSIAASVQRACDAFGRIDGLVNNAGVRGSVHSPLDWSEEDWSSNIRTNLTGLWLVSKHVCKHMRDAKQKGSVINISSIGGTERGHLPGGVAYVASKTGVNAVTKVMALELGGFNIRVNAIAPGLFRSEITAGLMEREWLNKVAEKTVPLRTYGTLDPALTSVVRFLIHDSSSYVSGNIFIVDAGVTLPGIPLFSSL >Ma03_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23751417:23752769:1 gene:Ma03_g18130 transcript:Ma03_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEEYQRRAVLKHNSICSKLKILLLVVSTNLLTVLLFSCTSLDSRWSSCGLRVHSWDSAALFHELNATRRDLSDSRTQSAELQRRLAMTSSLLQTLLAEVGRAREDMVAAADGVGGSRDEIPEELKLAMGPHKMPLGFTSNLGTDELYPALGSACFNFQKELVEYMSYEVGKECPMDEVFAQRLMLKGCEPLPRRRCHPKSPKGYVEPVPFPASLWTIPPDTSITWDAYTCKNYTCLVNRRKEKRAYDCKDCFDLKGREKVRWLHDDGGLAYGIDAVLGTKPPGTIRIGLDIGGGTGTFAARMRERNVTIVTSSMNFDGPFNSFIASRGLVPMHVSVAHRLPFFDGTLDIVHSMHVLSNWISDTVLEFALYDIYRVLRPGGLFWLDHFFCAGTQLNSTYVPMLRHVGFNKLRWTAGRKLDRGMAKDEWYLSALLEKPMT >Ma00_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:6455040:6455305:-1 gene:Ma00_g01340 transcript:Ma00_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWSTLSIVAAHGYLSRLIFQYASFNNSRSLHFFLAAWPGLQHLSTERTSYADSLTRFTQ >Ma04_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19426951:19430851:-1 gene:Ma04_g18030 transcript:Ma04_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCRKLEDLRSEMETLREEYRAKSELSENLRRAHEAQLARLQEAKAQIEKQSAEIDGRVEEISLSKKMYEDLESRLMEKESALKQLALLNESLRVSTREKLMDLEGRNRELASALDEANTKLEEQEREICSYKEEIKGLNGLLIKSQKQCSDAELRAQASKEVRRRGEMLQKLEEEKMDVENQLKWKMEQFMHLEEAHGKLQDEFRVAKKLWGLERSTLVDKIQTLQLNFDSQAVVIEDLSSKLKMCNQALAHEESRRKLLEVQISESKALYDNIFSEYEEARSTIEALTEKRDEEIVSLRISLATKSTLIKEIEFKSAHLEQENQELQTSLREYQDAQAIEADTASSLKTLRNKFRALEHAHRRCSEKLKAKEIEWRTHVVKLEKDLDDCHCQLNSKDKRLLDLQLELEDCQSLLMQQKLDIEEIATVLLLVESKFSKSCSVIENLKLEMSQHNAIYAGKFDVLMGQSDKNTALIQAQAETQKEHDAFESLQRRANYLETVAQKNGVLEKELEECRGMLEESYRNLGYIKEQASKKEIHILDDLRSASDELDRANYALAEKTTELSKMQCELQQKTSIMEKIKLDLETELNNYRDENKATRKNLDFAIVAKMEAENKLRQVKEDLLELTKEKDKIIEELKQHVVLVEEDNGRIESKFASLFKSEVKKFSETEKKFLEFAKYSHRRLKETQGLINKLEEKFISSETTILMTFEQEIFKYLQVMEDYDKSITGLLHDVLSLEEDVTCSVEATTDSQLKDKQLEIHSLLDDLEYMAATYVLMEQESKFQSIFAVELEKEINMLLLNLKSEEISSLNSKNCIEQLKVQLSMQSLENEKKHVQVLDELNFLQIEKRTLVDQLGKLKDNIEALHNRNAKLLSEKEELIQQMMGFNNMIGMMYHADEELMRNWDEVLQKAEDENSVTKFDKKYPFNSDIIDDRKYISLKNSIQLVSGKRSPLNEHNW >Ma06_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9748420:9755036:-1 gene:Ma06_g14210 transcript:Ma06_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MLNVYDDPSEQRSLSLDDTSSTGESQEDTRLSLETSNDVIPYIGQRFETHDAAYEFYSEFAKRCGFSIRRHRTEGKDGIGKGLTRRYFVCHRAGNTPVKAATDTKQQRNRKSSRCGCQAYMRISKSMDMGVSEWRVTGFESHHNHELLEPNQVRFLPAYRTISDADKIRIQMFAKSGISVQQMMRLMELERCVEPGYLPFTEKDVRNLLQSFRKVKQDEESIDLLRMCKSIKAKEPNFKYDFTTNSNKGLENIAWSYASSVQSYEIFGDAVVFDTTHRLTAFDTPLGIWVGMNNYGMPCFFGCVLLQEENVQSFTWALKVFLNFMNGKAPRTILTDQNMCLKEAIAIEMPNTKHALCIWLIVVKFPSWFNAVLGERYSEWKVEFYKLYNLENIDDFELGWRDMVTSFGLHANRHIVNLFALRTQWALPYLRSHFLAGLTLKGVSKAINSFIQRFLSAQTRLTHFIEQVAVAVDFRDQMGEQQTMQQNLQNICFKTGAPMEAHAASFLTPYAFGKLQDELVLAAHYASFPMGEGLFLVRHHTKMDGGRKVIWMPQEELLSCSCRMFEFSGILCRHTLRVLSTLNCFQIPERYLPIRWRRISTVVPSNLAYGAASCEQAERVQALQAMASSLVSEAAKSKERMDIATREISFLLSRIKEQPLSLNASRNCIHRSR >Ma06_p14210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9749064:9755036:-1 gene:Ma06_g14210 transcript:Ma06_t14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MLNVYDDPSEQRSLSLDDTSSTGESQEDTRLSLETSNDVIPYIGQRFETHDAAYEFYSEFAKRCGFSIRRHRTEGKDGIGKGLTRRYFVCHRAGNTPVKAATDTKQQRNRKSSRCGCQAYMRISKSMDMGVSEWRVTGFESHHNHELLEPNQVRFLPAYRTISDADKIRIQMFAKSGISVQQMMRLMELERCVEPGYLPFTEKDVRNLLQSFRKVKQDEESIDLLRMCKSIKAKEPNFKYDFTTNSNKGLENIAWSYASSVQSYEIFGDAVVFDTTHRLTAFDTPLGIWVGMNNYGMPCFFGCVLLQEENVQSFTWALKVFLNFMNGKAPRTILTDQNMCLKEAIAIEMPNTKHALCIWLIVVKFPSWFNAVLGERYSEWKVEFYKLYNLENIDDFELGWRDMVTSFGLHANRHIVNLFALRTQWALPYLRSHFLAGLTLKGGCGC >Ma06_p14210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9748420:9755036:-1 gene:Ma06_g14210 transcript:Ma06_t14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MLNVYDDPSEQRSLSLDDTSSTGESQEDTRLSLETSNDVIPYIGQRFETHDAAYEFYSEFAKRCGFSIRRHRTEGKDGIGKGLTRRYFVCHRAGNTPVKAATDTKQQRNRKSSRCGCQAYMRISKSMDMGVSEWRVTGFESHHNHELLEPNQVRFLPAYRTISDADKIRIQMFAKSGISVQQMMRLMELERCVEPGYLPFTEKDVRNLLQSFRKVKQDEESIDLLRMCKSIKAKEPNFKYDFTTNSNKGLENIAWSYASSVQSYEIFGDAVVFDTTHRLTAFDTPLGIWVGMNNYGMPCFFGCVLLQEENVQSFTWALKVFLNFMNGKAPRTILTDQNMCLKEAIAIEMPNTKHALCIWLIVVKFPSWFNAVLGERYSEWKVEFYKLYNLENIDDFELGWRDMVTSFGLHANRHIVNLFALRTQWALPYLRSHFLAGLTLKGVSKAINSFIQRFLSAQTRLTHFIEQVAVAVDFRDQMGEQQTMQQNLQNICFKTGAPMEAHAASFLTPYAFGKLQDELVLAAHYASFPMGEGLFLVRHHTKMDGGRKVIWMPQEELLSCSCRMFEFSGILCRHTLRVLSTLNCFQIPERYLPIRWRRISTVVPSNLAYGAASCEQAERVQALQAMASSLVSEAAKSKERMDIATREISFLLSRIKEQPLSLNASRNCIHRSR >Ma01_p13720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10042930:10057362:1 gene:Ma01_g13720 transcript:Ma01_t13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MSPPLALVRWRQKEGRMGRRYPSPRRIDVVVVALLLLIGLEALSVADASDGGLGGVGIGEEGGGERLSNKKLSGIIIPGYASTQLRAWSILDCPYSPLDFNPLDLVWLDSTRVLSAVNCWLKCMLLDPHNQTDHAECKSRPDSGLSAITELDPGYITGPLSSVWKEWITWCIEFGIEADAILAVPYDWRLSASMLQERDLYFHKLKLTFETALKLRGGPSIVFAHSMGNNVFRYFLEWLKLEIAPKHYIQWLDDHIHAYFSVGSPLLGATESIKASLFGVTFGLPLAEGTARLMFNSFGSSLWLSPFSKYCKADNIYWKHYYEGRRRHHHTHHCDEMEFKSKYSGWPTDIINIELPSVHGFEAYPSFSEVNQDINSHQECGRPVQMSFNAREVSDGTFFKAIEDYNPETKILLYQLQNFYQDDPVLNPLTPWDRPPLRNIFCIYGTDLKTEVGYYFAPSGKPYPDNWIITDVIYEFEGTLLSRSGNSVSGNPGAMSGDGTILCVGALQFPCMVQNMAWLQSKYYQSSTIRT >Ma01_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10042930:10059865:1 gene:Ma01_g13720 transcript:Ma01_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MSPPLALVRWRQKEGRMGRRYPSPRRIDVVVVALLLLIGLEALSVADASDGGLGGVGIGEEGGGERLSNKKLSGIIIPGYASTQLRAWSILDCPYSPLDFNPLDLVWLDSTRVLSAVNCWLKCMLLDPHNQTDHAECKSRPDSGLSAITELDPGYITGPLSSVWKEWITWCIEFGIEADAILAVPYDWRLSASMLQERDLYFHKLKLTFETALKLRGGPSIVFAHSMGNNVFRYFLEWLKLEIAPKHYIQWLDDHIHAYFSVGSPLLGATESIKASLFGVTFGLPLAEGTARLMFNSFGSSLWLSPFSKYCKADNIYWKHYYEGRRRHHHTHHCDEMEFKSKYSGWPTDIINIELPSVHGFEAYPSFSEVNQDINSHQECGRPVQMSFNAREVSDGTFFKAIEDYNPETKILLYQLQNFYQDDPVLNPLTPWDRPPLRNIFCIYGTDLKTEVGYYFAPSGKPYPDNWIITDVIYEFEGTLLSRSGNSVSGNPGAMSGDGTVPYNSLAWCKTWLGSKVNITRAPQSEHDGSDVQIEINVEHHQGKDILPNITRTSRSKYITYYEDSESIPGRRTAVWEVEKVYHRNIVRSSVVMRELWLQMWHDIHPDAKSKFVSKARRGPLRDVDCYWDYGKARCAWPEYCEYRYMFGDVHLGQSCRLKIYSEDLLSLYL >Ma09_p31110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40941126:40979214:1 gene:Ma09_g31110 transcript:Ma09_t31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVACRSLRTLLMDGFECLPVRCSASAPAPAPFLPHRLLRLCVHLCSANPRRPVSKNLPFCQKSSGFCSYSYRPLVPRNAGALPAVHPTVHKRIDYLKSKLEEQGIRCGSVKPGKYCRNLCPKCQGGSSKERCLSFFINVDGELAIWMCFRAKCGWRGSVRAFEECKIGYAKTRQTLKLNDYRVITEIDLQLEPLCTELIAYFAERSISAKTLERNGIMQCKHDDQIVIAFTYRRNAALVSCKYLASSKECWQETGTEHIFYGLDDIKQASDVIIVNGEIDKLSMEEAGFPNCISIPYGLPEQSSKELPAEDENPEFQYLWNCIEYLEKASRIILATDADSTGQVLAEELARRLGKERCWRVTWPKKNAAELCKDANEVLMYIGPDELRKVIENAELFPIMGLSHFSNFFNEVDSYYHRSHGFELGVSTGWRALDELYNVVPGELTVITGVPNSGKSEWIDALLCNINKAHKWKFLLCSMENKVRDHARKLLEKHINKPFINSRYAESTERMSVRELEEGKKWLDDTFYLIRCEDDSFPSIDWVLELAKSAVVRYGIRGLVIDPYNELDHQRSSNQTETEYVSHMLTKIKRFAQHHACHVWFVAHPRQLQNWRGYPPNLYDISGSAHFINKCDNGIVIHRNRNPNAGPLDRVQVCVRKVRNKVSGRIGDAFLSYNQMETCNASPCIMVASRSMSDSDHDTSLCVFTIQKPQLGILIDSCC >Ma10_p31150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37341810:37343666:1 gene:Ma10_g31150 transcript:Ma10_t31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEEELRFVLENCSNDIDVESLVDLRSLSARSSVSTGDEIGCSPRDETAGGSDFKAESPPSLASAAAAEEAVGAASTIRRSSYRSTRNIRVVDLLPPDAVEDLRGIAERMFAAGHGWECARAYGAARKEVVELCLTRLGVDRLEIGDVQRLEWEVLEANIRRWIRAARVCVRVVFASERRLCELVFDGLTDDAPFTEAVKSAALQLFGFAEAVSTGCRSPEKLFKMLDLHDAMADLLPDIAALFQCCPSSKPIYTQAAEILPKLTEAIREIISEFETAVLLDPPKTPVPGGNFHPLTRYVMNYLGLISDYAPALVELIATSPSASSQFSDNEPAAAAAAQIDVPEPENQTPLAAHLTWIVAALHNNLENKANLYKDNALSHLFFMNNLHYIVQKVKASPELRTMIGDEYLRRLNGRYRQLATGYQRATWASILHCLRDEGIHVAGKLSLSSGVSRSTVRERFRAFNAAFEEVHRTQAMWFVPDAQLREELRISISERLVPAYRGFLGRYRQHVENGRHPEMYIKYSVEDLELALSDFFEGPPASANNRRRFH >Ma07_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30250498:30250953:1 gene:Ma07_g22210 transcript:Ma07_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGWVWVSIDQTVLNSANGSNSESFYVGEREGPVFSETTSCQSKGLRDGVTASGGASERHHIEQCCLGGNAEATRQKVTDRDVRHGQAALLLYLAIPALPSTVECREESSELLIQSLFAAFLSTPSFSLPIYVVVIQYQHRPSLDLSVK >Ma03_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5759425:5763557:-1 gene:Ma03_g08000 transcript:Ma03_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQGKREKDFPGVGLKKLKKVLKRCRRDLEAQRQRREGEEESKCPCSCAVCDGTFFPSLLKEMSIVVGCFNQRAQKLLEMHLASGFLKYFAWFSGNSQQNHETLMQEGKDLVTYAIINSVAMRKILKKYDKIHFSKQGQAFKSQAFKMHIEILQSPWLSELMAFYINLRQTKVKDEAIMRPFGDCSLTFNDDKPRLSCELFESMKIEVDLTCSICLDTVFDPVSLTCGHIFCYICSCSAASVTIVDGLKAADPKAKCPLCRQEGVFEGALHLDELNILLSRSCHDYWEMRLHNERAERLRQAKEHWQLQCQAFMGF >Ma07_p27340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33776002:33777321:1 gene:Ma07_g27340 transcript:Ma07_t27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANNNSALIGKTDQEPRVVVQTLSQVDILDDGYRRRKYGQKIVKGDPNPRSYYKRTNAGCPVRKHIERASHDPKAVITTYDGKHNHDVPAAKTISHEASASVVTDADGSLSIHASAALTGTMTTTPFSHPLTQTKSNPISLDLGVGISTSQSDATNGSQQLLGTDQIHQHHQAQFVGSGKLVIQATPL >Ma04_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4770810:4772090:-1 gene:Ma04_g06480 transcript:Ma04_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGRSPAELDLTIGVPGLISSSPIRSGGGGCNMRDLDINQPAYGGEEEYPMASIEEEEEEGGNPRPKKLRLSKEQSRLLEESFRQHHTLNPKQKEALAMKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRALRVAPPTVLSPHTRQRLPASSLTMCPRCERVTTAATATPRAVIGRPPSASPFPRSTAC >Ma01_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8504060:8510545:1 gene:Ma01_g11710 transcript:Ma01_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEFEAEGDGGEEDEMSVKEREVSVNGLSMKTSEVEAKLDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNIEAALRVFDGIDLQAAIQRLQPSPEKPPSRRSRSHSVSIHSVSQHAASLVLEAIYLKSMSLQKLGKATEAAQECRSVLDAVEKIFQHGIPDVLVEQKLQETVSKAVELLPELWKQAGHYQEALASYRRALLSQWNLDDECCARIQKRFAVFLLYGGVEASPPSLASQIDGSFVPKNNLEEAILLLIILLRKWYLGKTQWDPSVIEHLSFALSMCGQTSVLARHFEELIPGTYARCDRWYSLALCYSAADQNHSALNLLKKLLRKDESPDYIMALLLAAKICSDDCLFSAEGVEYARRAIANAQVAYEHLKCVGLRFLGNCLGKLAKIASSDHERSSLQSEALRSLDEVVSLERHNPEILFDLALEYAEQRNTNAALRYAKEFIDATGGSISKGWKLLALVLSAQQRYSDAEIVIDAALDETVKWEQGPLLRIKAKLKVAQSLHMDAVEAYRLLLALVQAQRKSFGSFKNIIEVEDENVSEFEVWRGLANLYSGLSHWRDAEICLEKSRTLKPYSASTLHIEGRMLEAHGQMQQALATYANALSVELDHVPSKVSIGALLSKRGSKSLPVARSFLSDALRLEPMNRLAWYYLGVIHRDEGRMVDAADCFQAASLLDESDPIESFSSLL >Ma01_p21330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20972391:20974712:-1 gene:Ma01_g21330 transcript:Ma01_t21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSSRAGLQFPVGRIHRHLKSRISANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >Ma01_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20972391:20974735:-1 gene:Ma01_g21330 transcript:Ma01_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSSRAGLQFPVGRIHRHLKSRISANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >Ma07_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6339882:6342524:1 gene:Ma07_g08510 transcript:Ma07_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLSSFCGAGCIGPIITSFSNCCSQSCDYVKTYEEIMNSLGRDVNHLNSKSTDVKRDMDAATRRGLNPKSEVVQWLESVEQLDRDYKRIKGKFDGMIKCLCSFPVNLCSSYQLRRRAETALATAGALKQRVVDKVADDLDLDRFVEIPSPKTLGMDQVLEELQRHATDDGVSIIGVHGMGGVGKTALLRRFNNDFPKTHTGLDVVILLEFSIDYKVEEIQRSLYRRLNLPWQDGEAQRDRAAHIFRVLSKLDFVLLLDNLWEPLNHHVVGIPNPEPPSKCKIIFTTRMEDVCCRMGADKMVRMECLTEELAWDLFRSNAQMEPLSNNAYILNHARMLAVKCGGLPAALVTVAQAMASKKTIAEWKNAVSIMENAPSQLPGMEEQVLNPLKLSYDRLPGDTLRTCVSYFSLVAEGCWLSRYYLRELWIGEGIIDDFHSTSDSVFKASYWLGILNEASLIQRIDRDYFRMHPMIRAMILWVASECGKKENKWLVRDRIGLVEAPAAEKWKVAERISLGWNNISVLPEAPECPDLIFLHLRDNGPLKKIPNGFFSNMPCLRILDLRQTGLEELPAGIGNLLQLQYLDLSSTRIRSLPKELGALVNLRYFSLASATYLRSIPDEVISSLQGLQWLNMHNISSGWRVGEPGEEGVRFEELESLKRLKVLGISVSTVAALRRLCGSQRVAASTHWLQIEGCQGLTRLNIPSTDHLGEHMCHTIQIRLRAMNELEEVIIGGDLGVGAALSNLEYLRLWSLPKAKLVWKARRLESIQELLIEDCREIDRLIRLDDEAMDGSETLILFPNLKRIMLRRLPAMKSLSDGNVVYAFPKLETMEVQGCPMLKKLALVAKEMKEIKCERTWWEQLDWEDDRTKSFEHLFKPM >Ma10_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25496712:25498303:1 gene:Ma10_g12340 transcript:Ma10_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALSRVISSSSSVIDASAGEPTVNQQGIKLEGADPGEKQAIQISEEQGTVRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAVAYDEAALRFKGSKAKLNFPQRVQGRAELSFLASPGIPRRQPQPPTRPPASSYPDLFRYAQLLQSGDDNLQSVASGLYVGSAFTSAPSQAPPSSTSGSLPQFLGFSSHSPYSSSSSSSGSWVYGDHKDKDSSRPP >Ma10_p09970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24076353:24096810:1 gene:Ma10_g09970 transcript:Ma10_t09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MNSRSSSRAASNAAAFPSSSAPSGDSRKRSRNPPTTNPQRPLRSKTKAPFADFRSYMVEKNRKLRAQFQADATTSSLGAGSGRADSGDDKGIFHGVSIFVDGFTIPSSQELRGYMLKHGGRFENYFSKHTVTHIICGNLPDSKMRNLRAFSHGLPVVRPQWVLDCLAANGLLSCAPYQLGGLVNGTCKQQKLSTYFHHQGISSCNDARTTVNQDVKIDVDPKQLVLPPEGQCFRCDGPSGQATEELECMKVEKLYGEINANPESTDLEVKFSVPPASGAIPSPLSMINKSYMGHQKIHESLDSSVNCIGASNQVHSTLTDPNFVENYFKSSRLHFIGTWRNRYRKRFSNLLTGYKSSNEKTNCCVAKQKASIIHVDMDCFFVSVIIRNFPDLVDKPVAVCHSNNPRGTAEISSANYVARNYGVKAGTFVRDAKACCPHLVILPYDFEAYEEVAEQFYSILHKHCSKVQALSCDEAFLDVTGCDDDPEEIASMIRKEIEDTTRCTASAGIAGNLLLAYLATRSAKPNGQRFIPSEKVEDYLKDLPVMALPGIGYATYEKLKSRQIQTCGQMYMIPKEALQKDFGIRIGDMLWNYCRGIDNRTVEVVQERKSVGAEVNWGIRFNNLTDCHHFLVNLCKEVSLRLQGCGLQGRAITLKVKKRKKGAAKPLKFMGCGDCESVSRSITVPVATDNVVALQRIAKQIFASFHVDVKEVRGIGLHMTKLESSDISRKGHVDNALESWLSSSTVDTGKKSEQITCADKQCDNGGLPLPNGEPQSRCIYGSNQQDLGKSKSLCDSDTGYNCLPDKDARCCRSYEMRASALPRLCDLDIDVVKDLPRDIVLEMDDIYEGELSDLIRKSEKKGSRSSSCSSSVSLPNEDTNVIDGYIASGHVDSPELDLGAKDKGKLPVRESVERTCLKIQSHNSCSRLNELHITSTMGPQQLMPASLSQADASVLEQLPEDVKADICGFLPVHRKIKVCKDVPFGFDVPNSVKHSSPWYTKSYLWLGSPPFWVEKFKISNSYFLNAIAMLYAESGKDILLSSILQSITPLLSSVSESSCEEYDEALHSLHEVISQYIVLKIGSDVEELYNCFCFLKRFSTVSKLLLRVYNSTLPLFQASMSEIYGGKLRLSVIREKPGEE >Ma10_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24076353:24096810:1 gene:Ma10_g09970 transcript:Ma10_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MNSRSSSRAASNAAAFPSSSAPSGDSRKRSRNPPTTNPQRPLRSKTKAPFADFRSYMVEKNRKLRAQFQADATTSSLGAGSGRADSGDDKGIFHGVSIFVDGFTIPSSQELRGYMLKHGGRFENYFSKHTVTHIICGNLPDSKMRNLRAFSHGLPVVRPQWVLDCLAANGLLSCAPYQLGGLVNGTCKQQKLSTYFHHQGISSCNDARTTVNQDVKIDVDPKQLVLPPEGQCFRCDGPSGQATEELECMKVEKLYGEINANPESTDLEVKFSVPPASGAIPSPLSMINKSYMGHQKIHESLDSSVNCIGASNQVHSTLTDPNFVENYFKSSRLHFIGTWRNRYRKRFSNLLTGYKSSNEKTNCCVAKQKASIIHVDMDCFFVSVIIRNFPDLVDKPVAVCHSNNPRGTAEISSANYVARNYGVKAGTFVRDAKACCPHLVILPYDFEAYEEVAEQFYSILHKHCSKVQALSCDEAFLDVTGCDDDPEEIASMIRKEIEDTTRCTASAGIAGNLLLAYLATRSAKPNGQRFIPSEKVEDYLKDLPVMALPGIGYATYEKLKSRQIQTCGQMYMIPKEALQKDFGIRIGDMLWNYCRGIDNRTVEVVQERKSVGAEVNWGIRFNNLTDCHHFLVNLCKEVSLRLQGCGLQGRAITLKVKKRKKGAAKPLKFMGCGDCESVSRSITVPVATDNVVALQRIAKQIFASFHVDVKEVRGIGLHMTKLESSDISRKGHVDNALESWLSSSTVDTGKKSEQITCADKQCDNGGLPLPNGEPQSRCIYGSNQQDLGKSKSLCDSDTGYNCLPDKDARCCRSYEMRASALPRLCDLDIDVVKDLPRDIVLEMDDIYEGELSDLIRKSEKKGSRSSSCSSSVSLPNEDTNVIDGYIASGHVDSPELDLGAKDKGKLPVRETLNPKTVDPCRSKNPNKKAQVSVLENVKSVERTCLKIQSHNSCSRLNELHITSTMGPQQLMPASLSQADASVLEQLPEDVKADICGFLPVHRKIKVCKDVPFGFDVPNSVKHSSPWYTKSYLWLGSPPFWVEKFKISNSYFLNAIAMLYAESGKDILLSSILQSITPLLSSVSESSCEEYDEALHSLHEVISQYIVLKIGSDVEELYNCFCFLKRFSTVSKLLLRVYNSTLPLFQASMSEIYGGKLRLSVIREKPGEE >Ma03_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24679835:24709447:1 gene:Ma03_g19350 transcript:Ma03_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGELQIKVAQAVHVLNHDCESCNRVAANQWLVQFQQSDAAWEVATALLTSSDYRLRIAPLDFEVEFFAAQILRRKIQNEGYYLQLAAKDALLNALLRAAQRFCLGPPQLLTQICLALSALILRAVEHRKPIEQLFSSLHQLQSQENGNLAVLEMLTVLPEEVAEDQNRDHNIDAARRSQFTRELLSHTPTVLQFLLLQSEQRLDDEIKHRETNRRILRCLLSWVRVGCFSEIPPPSLPTHPLLSFVFNSLQVSSSFDVAVEVLIELVSRYEGLPQVLLTKIQYLKEVLLIPALVNKDEKIIGGLACLMSEIGQAAPALIAQASTEALALADALLSCVSFPSDDWEIADSTLQFWCSLANYLMGLDFQNTNRKIVGELFVPVFSALLDALLLRVQVVDAGSDGSDGLDIPDGLTHFRSNLEELFVDICQLLGSGAFVQKLLSVGWNSADSFIPWVELEARMFALNMVAETVMQCSYPFDFSVVMRLVTALSTRSPDERSGFLVFVYKSVAEVVGSYSKWICSPPSNIRPLFLFCATGITESISSNACSSALRKLCEDALAIIHDPQNLEILIWIGEGLEKWNLTLEEEEEVVTAITLTLNSIPNKELKKNSLSRLLSPSYGAIEKLIDADREEPLKRNPSAYTQALSSAVRGLYRIGSVLRHLLAPPAVHLVIPRAVNHVEDDTVLVLEFFWPLLEKLFRSSHMENASLSAAACRSLSVAVHSSGEHFLILLPKVLDCLSTNFLLFQSHECYIRTAAVVVEEFGHIEEYGPLCISTFNRFASAASITALNSSYICDQEPDLVEAYNNFTSTFVRCCPKDVLAASSSLLELSFQKAAICCTAMHRGAALAAMSYMSCFLEVSLVSVLESLACITEGSLSAVVIRILAQNGEGLVSSVVYALLGVSAMSRVHKSATILQQLAALCSLCGRTAWQSVLCWASLCRWLQSTVQSLPLEYLKQGEPETIIPLWLKALASAASDYVESKTCDTGRGDNGHMLGKGGRTLKRIIRDFADTHRNFPNPT >Ma11_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24877098:24884504:-1 gene:Ma11_g20380 transcript:Ma11_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MLVAADDPLPFESCDDGFPGAARAHFTPYLNPRPISALRPDIAYRRLQSFSAPETAELEELPVDPVWFLVAKTCVAGLSTCRGKKQLDADEIVHFSFPSFEKINTIGRRWLGSKTEAAVSQIVRFSTKRGGEIGRFKPEWSKCLIPLVGSSKVMIRGRCVFSTVQLSLMQEIKLNVSFYIHSSIFTPGDKSSWKLGTPSNPDYTVHPLHTLFKLLKIKQFKRADFTPEELNKRKRSLNLEDADEDESMQIAGLRKQQRRGQTYPERSKGEQAISESSLNKLVGAAEIYDLEEAEPPCTLVSDLHPYQKQALYWMSELEKGIDVEQASKTLHPCWSAYNILDKRVSAVYVNVFTGEATTQFPSAMQMARGGILADAMGLGKTVMTIALILARPSGERSDDQDTFMKCRKGSKEVQKAKRKISKVKGGTLIVCPMALLGQWKDELENHSKPGSLSVFVYYGGDRLNDLKVISEHDVVLTTYGVLASAYKSDPEKISIFHEIDWYRVVLDEAHSIKSSKTVVAQSAYALNAYSRWCLTGTPLQNSLEDLYSLLCFLHVEPWCNWAWWHKLIQRPYEDGDERGLKLVKAILRPLMLRRTKDTKDRNGKPILVLPPANFRTVECEQSEAERDFYEALFERSKVRFDQFVAQGKVLHNYASILELLLRLRQCCNHPFLVMSRGDTQQYADLNKLARRFLVASHLNPLPTPAYVEEVVEGIRRGEVTECPICLESASDDPVLTPCAHRMCRECLLSSWRTPAGGPCPICRSPLSKADLITCPSESRFQVDVEKNWKESSKVTKLIKYLKRAQRSGEKSIVFSQWTAFLDLLEIPLRKGIGFLRLDGKLSRKKREIVLKEFSESRDKMVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGKKRQVRVRRFIVKDSVEGRMQQVQARKQRMIAGALTDEEVRSARIEELKMLFR >Ma04_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6709224:6709646:-1 gene:Ma04_g09440 transcript:Ma04_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPFPLTAFLLLVVNRRPPATTTMASLRAMAILAILACMLLMRSAAGDVVAVGADGLTIKSTDFHVPVSQATARPADALASNHDANADEGGPDMFHVFDQELIVLGH >Ma07_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29489797:29490808:-1 gene:Ma07_g21350 transcript:Ma07_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESVKMTGIWQIVRLREMLHKWQLVALSKKEEQPCSSGIPPSVDKRLKNVLSQCDSDEESCQSPQPPPDVPKGHCPVYIGPEQRRFVIPTSYLSLPVFKLLLEKAEEEFGFDHQGALMIPCEVETFKYILLCMERYKKGLIDDEGNPTGLEE >Ma00_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:848800:850459:1 gene:Ma00_g00280 transcript:Ma00_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYEVLSMRGKDGVGAVAELMSDIWSLLPEPQGEEASKDDMLFRDDTEGQGMEFVKEDTGAVMQSLHLKAIGAMLINTATTIHRSPEALNFKLKLDAPS >Ma00_p00280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:842152:850459:1 gene:Ma00_g00280 transcript:Ma00_t00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQFQLGPEYTIKYMPIQVLSMRGKDGVGAVAELMSDIWSLLPEGEEASKDDMLFRDDTEGQGMEFVKEDTGAVMQSLHLKAIGAMLINTATTIHRSPEALNFKLKLDAPS >Ma00_p00280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:842152:850459:1 gene:Ma00_g00280 transcript:Ma00_t00280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCQFQLGPEYTIKYMPIQVLSMRGKDGVGAVAELMSDIWSLLPEPQGEEASKDDMLFRDDTEGQGMEFVKEDTGAVMQSLHLKAIGAMLINTATTIHRSPEALNFKLKLDAPS >Ma07_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28033877:28035467:1 gene:Ma07_g20070 transcript:Ma07_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFEKQRPAAVAASSAVAGKTARACDGCGRRRARWYCAADDAFLCQNCDSSVHSANPLARRHNRIRLKTATSSGAPDVDSDDSAPSWLRGFKRKARTPRPHQHAKTASEARTAHPTTVPELVETSLDETEDEEQQLIYCVPVFDPAFAEFRSPPPLDDSNAPSGNEAKPTMDLQECTPASTPTINTANRLTAFLPSDMEIAEFAANMESLLGGGLDVSDGSFSMEKLGLVNSIEDGVNYCLGDAGRLKTEQPDDTVGCPVELDIDMSRETLELDFNWTGSSTAEEEEFEEEKIMVATEQQQVTQKARLSLDYEAVIIAWSSHGSSPWTDGERPQINLDSCWHDCTGMWVEGADALGVGHGSDTGGGYADVGRQARVTRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRAPGPAFAH >Ma02_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14949732:14969809:-1 gene:Ma02_g03510 transcript:Ma02_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRAGSPVYTRQKSISSSTGVPSSPTTMSPAHPLHHHQNRHLRSGSAGVGTFRRAQNTAARAAAQRLARVMAHQHADDDDDNEDDDELSSGPPIDLLSTPRRSARSPSPAINRYLAEQTPVRPTSTGRSSVAAKPATMIPPIKSSSKPLGTTAPSDPLISSQRTETPKQLVATAPSDPLISSQRSETPVSSRREKMMSVDLGNLTVREPSNARLSSALQDEIDVLQEENENIREKLRLAEEKYEEAEARARQLEKQVASLGDGVSTEAHLLSRKEAALQQREAALKAAAQTSYAKREISSLREEAKIARDEAASVSEQLQEAETEIRSLRTMAQKMILSQEQMEEVVLKRCWLARYWKLCIYYGIYADIAEPKYEFWSSFSPLPLEVVLSAGQKARDGNSSDKSDLEEKEKVSWNASDLAGEGNIDSMLVVEKGLRELSSLKVEEAILLSMAQHRRASTKSAPSLSGEGQNPAEAFELSQEESEDVLFKQAWLAYFWRRVKDHDLEEDIADERLQFWIEQSNHSPTSHDAVEVERGLFELKKLGIELQLWEACHHRSEAPSDT >Ma08_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27630236:27632143:-1 gene:Ma08_g17940 transcript:Ma08_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRGAQVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELANVVQETIDPNAKIEFRPNTEDDPHKRKPDITKAKELLGWEPKIPLRQGLPLMVSDFRKRIFGDHFDVNPSTTTTSTATGTGFS >Ma09_p24830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36446229:36457757:-1 gene:Ma09_g24830 transcript:Ma09_t24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSSRGDGEKRRPPPDPVAVLRGHRASVMDATFHPSRSFLFTGAADGELRIWDTVQHRTLSSTWAHGGAAGVYCVATSPSIGERVVSQGRDGTCKCWEIEESGLSRKPLVTFRTNTYHFCKLSLVKSPASTELLGQKSVDPLDGKSACSTNDREILDSEEGGQYFPDVNSDETSPKQIEGFNTTRGSMLMAVAGEESYQVKIWDLNSGQWLMCLPQISDAISTEPPIKRRGMCMAVQAFLSSESQGFLNILSGYEDGSMLWWDIRKPVTPLCSVKFHSEAVLSLALDGLCNGGISGAADNKVVLFHLDHQKGTCTIRKEISVDHPGTAGTSIRADSKIAATAGWDHRVRVYNYRKGNALAILKYHSGLCNAVTFSTDCKLMASCSQDSTVALWDLYPPRI >Ma10_p27630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34830946:34831500:1 gene:Ma10_g27630 transcript:Ma10_t27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDGQTLNASYTKNNLAGRQRPRRISMEGLQRAISDLSFQLGKEAVETTTLPPISEVEDAKCECCGMSEECTLEYIRRVREKFSGKWVCGLCSEAVKEEMVKNGGKRQRALEAHTSVCVRFNRIGRTHPVLFQADAMREILRKSSRGRRAQSNREMVRIGSITRSSSCIPDIAKEINKCRALK >Ma04_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21725343:21725609:1 gene:Ma04_g19140 transcript:Ma04_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREARVTRYREKSKTRKFEKTMRYASRKAYAKTKPRIKGRFAKKSNAELEVEELLLLISGSNKMQSLIIYVMIIFVARWEQKLLKKI >Ma08_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1949616:1953651:-1 gene:Ma08_g02560 transcript:Ma08_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLYSSSPFSGISPLCIWPWSWTAAAAPAPKKMVAITRREEEVTAKSEEDKSDLGRPPPFRIGDVRAAIPSHCWVKDPWRSMSYVLRDVVVIAALAVAAGYLDSWIVWLIYWLAQGTMFWAVFVLGHDCGHGSFSDNVWLNNAVGHLLHSSILVPYHGWRISHRTHHQNHGNVEKDESWLPLTEKTYKQMNPTGRKMRFTLPYHLFAFPVYLLWRSPGKEGSHFLPSSSLFHPNEEHDVIVSTVCWSSMMALLLCLSWVYGPVPVLKLYGVPYAIFIMWLDLVTYLHHHGHSEKLPWYRGKEWSYLRGGLTTLDRDYGWINSIHHDIGTHVVHHLFPQIPHYNLVEATQAAKPVLGKYYREPEKSGPLPLHLFGILLRSLRVDHFVSDEGDVVYYQSDPQLYGDWQHKSK >Ma06_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6898605:6905977:-1 gene:Ma06_g09920 transcript:Ma06_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGDVEVVDFEPDEDDLMDEEIGAAEVDPSPAPKLRSTIAGSGSSGPRKTKGRGFREESGPDRGSHLAAKDFDSLDSDGGPGPQRSIEGWIVLVTGVHEEAQEDDLHNAFREFGQVKNLHLNLDRRTGFVKGYALIEYENFEEAQAAIASLNGSELLTQTIYVDWAFSKGPIRRRNTRRRSPRAHRSRSPPRRRY >Ma03_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4144383:4147232:-1 gene:Ma03_g06000 transcript:Ma03_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGEELAVGCVLSLRTTLGDEIEGQIVAYDRPSNILVIQEGSSNAGARRNIRLLKANYIQDFTYLKKAEDPLDLNKCYIDLAGLQAREEASLRQAEIEAERIGVGVTSEAQSLFDALSKTLPVRWDKTVIVVMNEVRVSIPYLPENVTGGTPAANDRVKKVLEFERKRLQARGPGQF >Ma06_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16184760:16187314:1 gene:Ma06_g21800 transcript:Ma06_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLYFDGILSDKPEQNPDFYNWNRVEVRYCDGASFAGEGFDGEHNLYFRGQRIWSAVMEDLMSKGMRSAKQALLSGCSAGGLASILHCDEFRALFPQTTKVKCLSDAGFFVDLVDISGGHAVRSFYDGVVTLQGVAQNLPKYCTSRMNATSCFFPQYIVANITTPTFLLNAAYDTYQIQQALAPISVDPKETWKACKFNHSACDSNQMQILQGFRNTMLNALQGFAALDKNGHFINSCFTHGQIYDPNKWYSDNSPTIGNKRIATSVGDWYFDRSQVKAIDCAYPCDNTCHHDL >Ma04_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1455971:1461853:-1 gene:Ma04_g01640 transcript:Ma04_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVERRTFVFLLLFLLSLLLQSLTFAKRSYVVYFGGHSHRSEEAPLLAHERVVNSHYEFLGSFLGSKEKAQDAIFYSYTKYINGFAADLEEEEATEISKHAGVISVFPNRGHALHTTRSWDFLGLERNGRVPPSSIWARSRFGEDVIIGNLDTGAWPESESFKDEGMGPIPPKWKGICQNDIDKGIRCNRKLIGLRYFNKGYRSAVGAVGLAAETPRDTDGHGTHTLSTAAGRFVPGANVFGLGNGTAKGGAPNARVAAYKVCWPPVNGSECFDADILAAFDAAIHDGVHVLSVSLGGGPTNYFKDGVAIGSFHAVKHGITVVCSAGNSGPKSGTVSNTAPWIVTVGASTMDREFPAYLSLRSKKQIKGQSLSPMALPDKMFYPIISSREATARNASQESAKLCLKRSLDPEKVRGKIVVCLRGENARVEKGDVVHQAGGIGMVLANDESTGNEIIADAHVLPATHISYDDGLAILSYLNSSKSLFGYITSPKTIIGTKPAPVMAAFSSQGPNTVNPEILKPDITAPGVSIIAAFSEATGPTGLTFDDRRVLFNSESGTSMSCPHIAGIAGLLKALHPDWSHSAIKSAIMTTARTRDNMKEPMFNSSFVKTSPFSYGSGHVQPNRAMDPGLVYDLTTNDYLNFLCALGYNSTQIATFSTEPFVCPSKPLKIEDLNYPSITIPNLSGASTITRTIKNVGLPSTYKVRVEEPAGVSVTVKPRKLKFKKLGEEKKFTVALKDKRSNLAREYTFGGLTWSDGKHYVRSPIVVKVVG >Ma01_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1664886:1672634:1 gene:Ma01_g02460 transcript:Ma01_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGRGGSADVVLQNYKLGKTLGIGSFGKVKIAEHLLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETQSDIYVVMEYVKSGELFDYIVEKGRLQEDEARRFFQQIISGVEYCHRNMVVHRDLKPENVLLDSKWNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLIVDPMKRITIREIREHPWFQTHLPRYLAVPPPDTMQQAKKIDEDILQEVIKMGFDKNQLVESLHSRIQNEATVSYYLLLDNRFRATSGYLGDDFQETMDGGFSRMGSSETPAIAHRFSVYMDQLGIGLRSHFPVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNLKCRFIPGISDHAESMLDNSLHVNHSFSDDSAIVESDDVAGKESSTVKFEIQLYKT >Ma10_p25620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33673181:33676557:1 gene:Ma10_g25620 transcript:Ma10_t25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPFHGSGPLRSREGLTSRPAAASDDIQLQIDPIHADLDDHITGLRGKIRQLKGVAQEIENEAKFQKDFISQLQMTLIKAQAGVKNNVRRINKKIIQQGSNHVLHVVLFALFCFFLIYLWSKFSKR >Ma03_p28760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31854626:31857664:1 gene:Ma03_g28760 transcript:Ma03_t28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQQFEMGRSSELLKVVSWLCLVGGVCGIGANWGTQASHRLPPRTVVQMLKDNGIQKVKLFDAEDRTLSALAKTGIQVMVGIPNDMLAGLAADEKTAGRWVSKNVSKYINNGVDIRYVAVGNEPFLETYNGTFLRSTYPALQNIQAALIAAGLSNQVKVTVPLNADVYGSSSDKPSGGDFRTDIHDLMLSIVKFLNDNGAPFTVNIYPFISLYNDPNFPVDYAFFEGSPTPIVDGTITYSNVFDANHDTLIAALQKNGFGNVSIIVGEIGWPTDGDINANPLFAQRFNQGFMNHISNSNGTPLRPGPIDAYLFSLIDEDEKSVQPGNFERHWGIFAYDGSPKYQLNLRTASNSSTLIRAKDIKYLDRKWCVLKPSANLDDSEIAPSVSYACGKADCTSLGYKTSCGDLDVRGNISYAFNSYYQKNGQDDRACGFSGLATITEKDPSTTTCKFNIMIDVGSGTSWKTRTQMELSLNFFWYMVLPFTLALV >Ma01_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13912343:13913866:1 gene:Ma01_g18630 transcript:Ma01_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACKRHKLSSSSVVQEEEEEQPLLPGLPDHVAHRCLSRLPPRLLFAVCRQWRRLVYSPCFPPFLSLYAVVCPSDDGGASADDDPIGFYTFDPLSAKWSPLPSPPPHTPLRSLLLRHPSFIARSLPVQSVAAGGHLVVLAATDHRLLPAFPRPLVFHPSSRSWRLGPPLRAPRRWCAAGSAGGVVVIASGVGTGYNTEVARSAERWDPAAPGAVWDRVAPVRNGRFSREAVEAVASEGKLCMVNVRGRAAKEGAVYDVRSDRWQEMPAGMLEGWTGPAAAVEEGGPIYVVDEERGALKAYDWGAERWVVLAESERLIRAEQVAAGGGRACVVCHGGAAVVVVDVKSRPGRMWTVEPPTGKRVVAVHVLPRMCHGAVDGSAETT >Ma05_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5629249:5633353:1 gene:Ma05_g07720 transcript:Ma05_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDWESLTEATSGAVGSLVSTTVFYPLDTCKTKYQAEVRSHGQRKYRNLSDVLWEAISKRQFFSLYQGLGTKNLQSFISQFVYFYSYSYLKRLYLQKSGVKSVGTKANLVVAAAAGVCTVIVTQPLDTASSRMQTSAFGKSKGLWETLSEGYWSEAYDGLGISLLLTANPAIQYTVFDQLKHKLLRNQSSKVVPASKESSPATLSAFSAFLLGAISKSVATVLTYPAIRCKVMIQSANTEDKSNMDNQSKPPKTMVGALSSIWNKEGIPGFFKGLEAQIVKTVLSSALLLMIKEKISKYTWISMLALRRFLLASPKRIRNH >Ma07_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31131103:31132512:1 gene:Ma07_g23500 transcript:Ma07_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGNTPHAEAAALSPCGRRAAIQDISRAHELTSQLQAVLLHLPAGSWSQLGQDMAKQILQLTTSALSTLQFCGCGASDDGGTGNCSGELSKSSEGRDGPHGRRTRRKNKTSTKVKTTVPYEDGYQWRKYGQKTINDAMYPRCYYKCTYRDDQGCPATKTVQQQDSYADPPTFMVEYSMKHTCKTPQTIFPVLMVESSPQKASLHGSDSTGSSDLQDLHLSPPSSVVTHSESHDKCSSSNEQEQPCQHEGLITVNTLDELDMESMDLFMRNFDGWFYVE >Ma10_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7996366:7998454:-1 gene:Ma10_g02550 transcript:Ma10_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVSGHHRVPVYSSATEVVEKLKEKWSSVKKQPYPAMYSSVFGGIILDPTVMVIPIDDHMVHRGHGVFDTAMLMDGYLYELDAHLDRFLRSASKAKITSPFPREILRSILIEMTAASKCKRGSIRYWLSAGPGNFLLSPAGCPEAAFYAVVIDVDYSQCKEGVKVITSTIPMKPPLFATMKNVNYIQNVLSVMEAEEKGAFASIWVDDQGYIAEGPNVNVAFISKGKELLLPPFDKILSGCTVKRLVALAPKLIKKGLLKAIRTAHMTIDQAKESDEMMFVGSGLPVMPIVQWDDQLIGDGKVGEVTLALSDLLWEDMIAGPERIQVPYN >Ma08_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6818275:6824056:1 gene:Ma08_g09440 transcript:Ma08_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQGNHGLCKSADSSTPLRRLKILSNQPSNRFCADCDSPDPKWISLNLGVFICIKCSGVHRSLGVHVSKVLSMKLDEWTDEQVDSLTDGGGNSAINIIYEAFLPNYIQKPRPDSSIEERTDFIRRKYELQQFSTFNAQVGSEISAGDNTSFQNNAANIKNFEKQQTGSRYGRGHAFRNSCRRKESEHKEVKKMMGMVEFVGLVKVNIIRGTNLAVRDVITSDPYVILNLGHQSMKTRVIKSNLNPVWNEKLMLSIPDPIPPLKLQVYDKDTFSTDDRMGEAEIDIQPLLAAAQAYENTNIFEPMQLGKWLATNDDTLVKDSVISLVDGKVKQEITLRLQNVERGELEIELECVPLTQ >Ma11_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3165072:3165679:1 gene:Ma11_g04060 transcript:Ma11_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLSPSLALFLTLSLLFVNLVTPCGNCCIEPPHKCHHKPPKHGPSPPPRPPSPGPGARCPRDALKLGVCANVLGGLLNITLGTPPKEPCCTLLQGLVDLEAAVCLCTALKGNVLGLVLNLPISLSLLLNYCGKEVPTGFLCY >Ma07_p26480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33267282:33268881:1 gene:Ma07_g26480 transcript:Ma07_t26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWFFLLLAIASLSTVHAQLPTTDGYVSIDCGISSNTNYTDETTNIPYVSDDGFIDTGTDHTIASNYVDSSLEKQLQTLRSFPNGSRNCYALTVTPEQKYLVRASFMYGSYDGLNGASPSNPLLFDLHLGVNLWTTVNITKASDVHRAEAIFVASADSASVCLVKTGSATPFISALELRPLKNTIYPYANATQNLVLFLRINLAPTTNHLLRYPFDRYERI >Ma08_p13250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10487504:10491008:-1 gene:Ma08_g13250 transcript:Ma08_t13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESSLFSMAGDSYSKYEIRRRNPNPTAAALLVIDMQRHFASIAAPILPALRSTVALCRAAGIPVLFTRHRHRSPADYAMLGEWWSGDLILDGTPAAELLPDIGRDPGDRVVEKSTYSAFAGTGLEEALRGMGVEEVIVTGVMTNLCCETSAREAFVRGFRVFFSTDATATSNKDLHEATLKNMAYGFAYLVDCKRLEAALAPKI >Ma08_p13250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10485992:10491008:-1 gene:Ma08_g13250 transcript:Ma08_t13250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESSLFSMAGDSYSKYEIRRRNPNPTAAALLVIDMQRHFASIAAPILPALRSTVALCRAAGIPVLFTRHRHRSPADYAMLGEWWSGDLILDGTPAAELLPDIGRDPGDRVVEKSTYSAFAGTGLEEALRGMGVEEVIVTGVMTNLCCETSAREAFVRGFRVFFSTDATATSNKDLHEATLKNMAYGFAYLVDCKRLEAALAPKI >Ma08_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10486138:10491008:-1 gene:Ma08_g13250 transcript:Ma08_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESSLFSMAGDSYSKYEIRRRNPNPTAAALLVIDMQRHFASIAAPILPALRSTVALCRAAGIPVLFTRHRHRSPADYAMLGEWWSGDLILDGTPAAELLPDIGRDPGDRVVEKSTYSAFAGTGLEEALRGMGVEEVIVTGVMTNLCCETSAREAFVRGFRVFFSTDATATSNKDLHEATLKNMAYGFAYLVDCKRLEAALAPKI >Ma02_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20759473:20760048:-1 gene:Ma02_g11700 transcript:Ma02_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHPSRPYNLSPGSWVNDELMGW >Ma08_p29200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40885606:40891160:1 gene:Ma08_g29200 transcript:Ma08_t29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKLAFFPPSPPSYEVVTEPESGVVRLSRYPHRENVEVLRLPTRRGTEIVALYVRNPMAAFTVLYSHGNAADLGQMYELFLELSIHLRVNLLGYDYSGYGQSSGKPTEQNTYADIETAYKCLVENYGAKEEEIILYGQSVGSGPTVDLAARLPHFRAIMLHSPILSGLRVMYPVKRTYWFDIYKNIDKISLVNCPVLVIHGTSDEVVDFSHGKKLWELCNEKYEPLWLKGGKHCDLELFPEYLKHIKKFISTVEKSPSQRSTWRKSAEQFEPPRKSTDCFEPSRKSIDRREKSRQSTEKSRSKDQRTTNVENLEKLKISFDQMEKSRRSLDCFDKSRKNIDQLDRGRKSVDRLDRIWAG >Ma02_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9455645:9483635:-1 gene:Ma02_g01310 transcript:Ma02_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDEKLRRLSVLGIIFLHGCCYAKLWCPPTLLWKRKFAFAENYFRKVVNFEREHNVLHELYFRRLNYHAKWNREMESKGILVCNLSDYAEYLFQAAAEEKFKPCSAAYKTLCDDLAMGECRFRSRQQSWFISVSTSTKSFPQFISSCNIWSIKFISK >Ma07_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15870432:15876350:-1 gene:Ma07_g16910 transcript:Ma07_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVHAKAAQGGGGREAAASSVVFFPHDLPGLSSMALPGAAGLLTPAAAAAEDPSKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKTGTSDHVPPPRPKRKASHPYPQKAPKNASQISQGTTPLQTSCLLEPGYTFAIDTSSTPRSSTANGPMPSGVHKLHPVSTSHLTTGGAGPSGALAVNNCCSSSAISRTTWPNCDLADQENHVTTLRDFAQVYNFLGSVFDPSTTGHLQRLKEMDPIDVETVLLLMRNLSINLNSPDFEAHRRVLSSCNDDNEEDAKSGIANNISRTSETVAPFMVKGE >Ma07_p16910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15870432:15876385:-1 gene:Ma07_g16910 transcript:Ma07_t16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVHAKAAQGGGGREAAASSVVFFPHDLPGLSSMALPGAAGLLTPAAAAAEDPSKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKTGTSDHVPPPRPKRKASHPYPQKAPKNASQISQGTTPLQTSCLLEPGYTFAIDTSSTPRSSTANGPMPSGVHKLHPVSTSHLTTGGAGPSGALAVNNCCSSSAISRTTWPNCDLADQENHVTTLRVMPDFAQVYNFLGSVFDPSTTGHLQRLKEMDPIDVETVLLLMRNLSINLNSPDFEAHRRVLSSCNDDNEEDAKSGIANNISRTSETVAPFMVKGE >Ma07_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30299774:30304270:-1 gene:Ma07_g22310 transcript:Ma07_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQHDKRYTPGSNPPPELRLRPSRDGVALLAELFRTAAPHPRSPSSSSFARSPPPSSPSAAFAPIGSDLFSVRYMVAPPTRRHHACFRPEDSCTLTVYRQSSVDPLVSFEGIIKRRMRKEKRPVEGRGGGGGRARRRPTPTSLRCVVCFPNAMQEQPSNPRALLPWLRSISMWILLSLFVVSASASGASDDAAALLAFKARADPGNRLPFFPAANGSSSDNEHCRWPGVWCSPDGRVVRLVLEAGGLAGVFAGGTLDRLDQLRILSLKANSLTGPLPDLSPLLNLKALFLSRNRFVGAFPASVLSLHRLRTLDLSYNNLSGPIPPSLAALDRLYALRLESNRFSGPIPPLNQSSLVNFNVSYNNFSGRIPATAALSSFAASAFAANPGLCGGVLRKKCGGGNASRTAPSPANAVAGEHAGIRLPSSASPAQKMHKRAVVAVGFLASSFLVIGVLGFSLLMQKKRSRMKRGAILGPVKHQANGAAEAPESNLENLNAQTENRSHELMAAASLAMSEEKVKKLSKSGCLVFCAGEAPVYNLQQLMKASAEMLGRGSAGSTYKAVLENRLMVSVKRLDAAKLVTTGKEVFERHMEMLGRLRHPNLVPLRAYFKAKEERLLVYDYHPNGSLSSLVHGSRSTRPKPLHWTSCLKIAEDVAHGLAYIHQASRLVHGNVKSSNVLLGSDFEACLTDNCLAFLMKPSDNEDDIGYRAPESQNSNGGLTPSSDIYAFGVLLLELLTGKRPSQQPVLVETTLPVWVRSMREDVIEGADDERLMMIIDIAAACVHLSPDSRPTTWQILKMIEEVKEVDIGDHDSGSASLS >Ma11_p03780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2866400:2871096:1 gene:Ma11_g03780 transcript:Ma11_t03780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFLDLSLDSRILEERRTSSSSGACMDGRDAMAMSGPAYYIAHRGIPGSLAGSQPGLHAAAQPGVRSLPNPGTILVVPSSGVGSAAFQVESPPAASLHGGGGGGGLGEGASQTEPVKRKRGRPRKYGPDGSVALALSPISSSAPPSATVIGSETASGAGAPTLKRGRGRPPGTGRKQQLASLGEWVVGSAGMGFTPHVITIAIGEDIAAKIMSFSQQGPRAVCILSANGAVSTVTLRQSATSGGTVTYEGRFEILCLSGSYMLTDNGNGSRSRTGGLSISLSSPDGRVIGGGVAGLLIAATPVQVIVGSFIYAGSKARSKQKASNETGAQSSELQVGDEQSAPYAAIPDQNVTPSSVMGGWPGSRQLDMRNAHIDIDLTRG >Ma11_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2866392:2871096:1 gene:Ma11_g03780 transcript:Ma11_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFLDLSLDSRILEERRTSSSSGACMDGRDAMAMSGPAYYIAHRGIPGSLAGSQPGLHAAAQPGVRSLPNPGTILVVPSSGVGSAAFQVESPPAASLHGGGGGGGLGEGASQTEPVKRKRGRPRKYGPDGSVALALSPISSSAPPSATVIGSETASGAGAPTLKRGRGRPPGTGRKQQLASLGEWVVGSAGMGFTPHVITIAIGEGPRAVCILSANGAVSTVTLRQSATSGGTVTYEGRFEILCLSGSYMLTDNGNGSRSRTGGLSISLSSPDGRVIGGGVAGLLIAATPVQVIVGSFIYAGSKARSKQKASNETGAQSSELQVGDEQSAPYAAIPDQNVTPSSVMGGWPGSRQLDMRNAHIDIDLTRG >Ma11_p03780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2866394:2871096:1 gene:Ma11_g03780 transcript:Ma11_t03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDAMAMSGPAYYIAHRGIPGSLAGSQPGLHAAAQPGVRSLPNPGTILVVPSSGVGSAAFQVESPPAASLHGGGGGGGLGEGASQTEPVKRKRGRPRKYGPDGSVALALSPISSSAPPSATVIGSETASGAGAPTLKRGRGRPPGTGRKQQLASLGEWVVGSAGMGFTPHVITIAIGEDIAAKIMSFSQQGPRAVCILSANGAVSTVTLRQSATSGGTVTYEGRFEILCLSGSYMLTDNGNGSRSRTGGLSISLSSPDGRVIGGGVAGLLIAATPVQVIVGSFIYAGSKARSKQKASNETGAQSSELQVGDEQSAPYAAIPDQNVTPSSVMGGWPGSRQLDMRNAHIDIDLTRG >Ma11_p03780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2866393:2871096:1 gene:Ma11_g03780 transcript:Ma11_t03780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFLDLSLDSRILEERRTSSSSGACMDGRDAMAMSGPAYYIAHRGIPGSLAGSQPGLHAAAQPGVRSLPNPGTILVVPSSGVGSAAFQVESPPAASLHGGGGGGGLGEGASQTEPVKRKRGRPRKYGPDGSVALALSPISSSAPPSATVIGSETASGAGAPTLKRGRGRPPGTGRKQQLASLGEWVVGSAGMGFTPHVITIAIGEDIAAKIMSFSQQGPRAVCILSANGAVSTVTLRQSATSGGTVTYEGRFEILCLSGSYMLTDNGNGSRSRTGGLSISLSSPDGRVIGGGVAGLLIAATPVQVIVGSFIYAGSKARSKQKASNETGAQSSELQVGDEQSAPYAAIPDQNVTPSSVMGGWPGSRQLDMRNAHIDIDLTRG >Ma04_p05010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3795308:3796756:1 gene:Ma04_g05010 transcript:Ma04_t05010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKRKRERERERMADLSSEENASSKSTSLLLQIVEHPDGSVSRPFVPISAPSDDRPDAAVLSKDVPLNPTHDTWLRIYRPTVVHHTTKLPIVIYFHGGGFVVFSAASTFYHGLCEAMTGAISALVVSLEYRLAPEHRLPAAYEDAVETVLWIQSQARDPAAGEPWLVHHGDFSRCFLMGSSSGANMAYHAGLLATALELLPLRLLGLILNQPYFGGVERTPSEIRSEDDPILPLQANDTLWRLALPKGAERDHEFCNPVVAVPPELRHLPRCLVKGCEGDPLVDRQREFVRMLQREGASVVARVDEGGFHSVELLDPIRAESLFAEVRRFIRNDL >Ma04_p05010.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3795308:3796724:1 gene:Ma04_g05010 transcript:Ma04_t05010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKRKRERERERMADLSSEENASSKSTSLLLQIVEHPDGSVSRPFVPISAPSDDRPDAAVLSKDVPLNPTHDTWLRIYRPTVVHHTTKLPIVIYFHGGGFVVFSAASTFYHGLCEAMTGAISALVVSLEYRLAPEHRLPAAYEDAVETVLWIQSQARDPAAGEPWLVHHGDFSRCFLMGSSSGANMAYHAGLLATALELLPLRLLGLILNQPYFGGVERTPSEIRSEDDPILPLQANDTLWRLALPKGAERDHEFCNPVVAVPPELRHLPRCLVKGCEGDPLVDRQREFVRMLQREGASVVARVDEGGFHSVELLDPIRAESLFAEVRRFIRNDL >Ma04_p05010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3795264:3796569:1 gene:Ma04_g05010 transcript:Ma04_t05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSEENASSKSTSLLLQIVEHPDGSVSRPFVPISAPSDDRPDAAVLSKDVPLNPTHDTWLRIYRPTVVHHTTKLPIVIYFHGGGFVVFSAASTFYHGLCEAMTGAISALVVSLEYRLAPEHRLPAAYEDAVETVLWIQSQARDPAAGEPWLVHHGDFSRCFLMGSSSGANMAYHAGLLATALELLPLRLLGLILNQPYFGGVERTPSEIRSEDDPILPLQANDTLWRLALPKGAERDHEFCNPVVAVPPELRHLPRCLVKGCEGDPLVDRQREFVRMLQREGASVVARVDEGGFHSVELLDPIRAESLFAEVRRFIRNDL >Ma04_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3795305:3796569:1 gene:Ma04_g05010 transcript:Ma04_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSEENASSKSTSLLLQIVEHPDGSVSRPFVPISAPSDDRPDAAVLSKDVPLNPTHDTWLRIYRPTVVHHTTKLPIVIYFHGGGFVVFSAASTFYHGLCEAMTGAISALVVSLEYRLAPEHRLPAAYEDAVETVLWIQSQARDPAAGEPWLVHHGDFSRCFLMGSSSGANMAYHAGLLATALELLPLRLLGLILNQPYFGGVERTPSEIRSEDDPILPLQANDTLWRLALPKGAERDHEFCNPVVAVPPELRHLPRCLVKGCEGDPLVDRQREFVRMLQREGASVVARVDEGGFHSVELLDPIRAESLFAEVRRFIRNDL >Ma04_p05010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3795308:3796779:1 gene:Ma04_g05010 transcript:Ma04_t05010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKRKRERERERMADLSSEENASSKSTSLLLQIVEHPDGSVSRPFVPISAPSDDRPDAAVLSKDVPLNPTHDTWLRIYRPTVVHHTTKLPIVIYFHGGGFVVFSAASTFYHGLCEAMTGAISALVVSLEYRLAPEHRLPAAYEDAVETVLWIQSQARDPAAGEPWLVHHGDFSRCFLMGSSSGANMAYHAGLLATALELLPLRLLGLILNQPYFGGVERTPSEIRSEDDPILPLQANDTLWRLALPKGAERDHEFCNPVVAVPPELRHLPRCLVKGCEGDPLVDRQREFVRMLQREGASVVARVDEGGFHSVELLDPIRAESLFAEVRRFIRNDL >Ma02_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24278511:24281939:1 gene:Ma02_g17340 transcript:Ma02_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSRMPTWRERENNRRRERRRRAIAAKIYAGLRMYGNYRLPKHCDNNEVLKALCDEAGWIVEPDGTTYRKGCKPPADHMDVVGGSTSPSPYSSNQPSPCASYNPSPASSSYASPASSSYIATANNSINGADANSLIPWLKNLSSASSSGPSKILHQHPFYMHGGSISAPVTPPLSSPTASTPQIKSDWDDPNSRLPLVNTSYTFLPNSTPPSPGRKIIPDPGWIAGLQIDTGPPSSPTFSLVSSNPQGFSGGGSSRMWTPGQSGTSSPVMPGMPCCVDIQMSDGISDEFAFGSSSNGGHLQAVLVKPWEGERIHEECGSDDLELTLGGSRTRADA >Ma08_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36608737:36620088:1 gene:Ma08_g23230 transcript:Ma08_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGSLRRALIDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTSSWALLHRDSYGSSKYTGILQSSKVILREEGLTGFWRGNVPALLLYMPYTAIQFTVLHKLKTIAAGSSKAEDHLKLSPYLPYLSGALAGFAATIGSYPFDLLRTILASQGEPKVYSTMRSAFLDIIRTRGIRGLYAGLSPTLVEIIPYAGLQIGSFDTFKRWMMAWNRYRFSNISPVRRDDSPSSFQLFLCGLAAGACAKVICHPLDVVKKRFQIEGLQRHPKYGARVENHRYKNMYHALQQILRSEGWHGLYKGIFPSLIKSAPASAVTFVSYEYTSRWLESLLP >Ma08_p23230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36608760:36620088:1 gene:Ma08_g23230 transcript:Ma08_t23230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGSLRRALIDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTSSWALLHRDSYGSSKYTGILQSSKVILREEGLTGFWRGNVPALLLYMPYTAIQFTVLHKLKTIAAGSSKAEDHLKLSPYLPYLSGALAGFAATIGSYPFDLLRTILASQGEPKVYSTMRSAFLDIIRTRGIRGLYAGLSPTLVEIIPYAGLQIGSFDTFKRWMMIEGLQRHPKYGARVENHRYKNMYHALQQILRSEGWHGLYKGIFPSLIKSAPASAVTFVSYEYTSRWLESLLP >Ma10_p13250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26123640:26126299:-1 gene:Ma10_g13250 transcript:Ma10_t13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADASAEFRRPELIPPAADLVVAQQHDGLRFWQFMVAGSVAGLVEHTAMFPVDTLKTRMQAGSPACHRPLGLRQAVLSVVRAEGALGLYRGLGAMSLGAGPAHAVYFSVYEISKESLSRENPNNPVAHAASGVLATVASDAVFTPMDTVKQRLQLKSSPYKGVADCISRVLREEGIRAFFVSYRTTVVMNAPYTAVHFATYEAAKRGLMEVSPENASDERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRFSSSSTGEVIRAILRRDGYAGLMRGWKPRMLFHAPAAAICWSTYEAMKSFFEQMNDQK >Ma10_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26124169:26126310:-1 gene:Ma10_g13250 transcript:Ma10_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASAEFRRPELIPPAADLVVAQQHDGLRFWQFMVAGSVAGLVEHTAMFPVDTLKTRMQAGSPACHRPLGLRQAVLSVVRAEGALGLYRGLGAMSLGAGPAHAVYFSVYEISKESLSRENPNNPVAHAASGVLATVASDAVFTPMDTVKQRLQLKSSPYKGVADCISRVLREEGIRAFFVSYRTTVVMNAPYTAVHFATYEAAKRGLMEVSPENASDERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQDCCMPFQKVDWHPIFMRNMRIESIVFVYDRTALRIVF >Ma02_p19590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25814833:25825909:-1 gene:Ma02_g19590 transcript:Ma02_t19590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] MTTEIWRSMQYKGSEIMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHRKPCHIVYTDYRPTPLQHYIFPSGGNGLYLVVDEKGKFREDSFQKALNALVPAEENKRDNGKWQKALLAGKPREESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKLDLNEEDEKVNIETIFWSAMDILSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKLMVKGSADSLNSAFHLSYNMLLNQIRCEDGDPEKLLRNSFYQFQSDQSLPDLEKQLKELKMERDSMVIEEEESLKDYYNLLQQYRSLKNDVRDIVFSPKYCLPFLQPGRLARIRIVGDDKMPSFSGEEQVTWGVIISFERVKGSTEDRRPEDANYTCDVLTRCVVNKEGMKKTTKIVPLNERGEPVVVSLPLSQVDSLSSIRLFIPKDLLPLEARENTLKKVSEVLLRFAKDGIPLLDPEEDMKVQSNSYRKAIRRIEAIESLFRKHEIRNSPLIEQKLKVFHSKQDLTARIKSIRKALQTSTALAFKDELKARKRVLRRLGYITSEDVVELKGKVACEISSADELTLTELMFSGILKDANLEEMVALLSCFVWQEKLQDAPKPREGLDLLYSQLQEIARRVANVQLECKIQIDVENFVNAFRPDIMEAVYSWAKGSKFYQIMEVAQVFEGSLIRAIKRLEEVLQQLILAAKSIGEIELESKFEEAVTKIKRDIVFAASLYL >Ma02_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25814833:25826092:-1 gene:Ma02_g19590 transcript:Ma02_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH9 [Source:Projected from Arabidopsis thaliana (AT1G59760) UniProtKB/Swiss-Prot;Acc:Q9XIF2] MSSLKRKALEDSNPEFVPPSKSPREAAVVRLDEPVACLHDVSYPDGYAAPTRPRPASENSKTPAREFPFELDPFQLEAIKCLDSGESVMVSAHTSAGKTVVALYAIAMSLRDQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEIMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHRKPCHIVYTDYRPTPLQHYIFPSGGNGLYLVVDEKGKFREDSFQKALNALVPAEENKRDNGKWQKALLAGKPREESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKLDLNEEDEKVNIETIFWSAMDILSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKLMVKGSADSLNSAFHLSYNMLLNQIRCEDGDPEKLLRNSFYQFQSDQSLPDLEKQLKELKMERDSMVIEEEESLKDYYNLLQQYRSLKNDVRDIVFSPKYCLPFLQPGRLARIRIVGDDKMPSFSGEEQVTWGVIISFERVKGSTEDRRPEDANYTCDVLTRCVVNKEGMKKTTKIVPLNERGEPVVVSLPLSQVDSLSSIRLFIPKDLLPLEARENTLKKVSEVLLRFAKDGIPLLDPEEDMKVQSNSYRKAIRRIEAIESLFRKHEIRNSPLIEQKLKVFHSKQDLTARIKSIRKALQTSTALAFKDELKARKRVLRRLGYITSEDVVELKGKVACEISSADELTLTELMFSGILKDANLEEMVALLSCFVWQEKLQDAPKPREGLDLLYSQLQEIARRVANVQLECKIQIDVENFVNAFRPDIMEAVYSWAKGSKFYQIMEVAQVFEGSLIRAIKRLEEVLQQLILAAKSIGEIELESKFEEAVTKIKRDIVFAASLYL >Ma01_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4662858:4668862:1 gene:Ma01_g06490 transcript:Ma01_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPGSSSYGDDFDDDDGIGGRMSFKDWPRRNQDPDRSSSSSHRRLSYSKTEGPRKVAPSSSSYGRSLDDDWEPARHTRRRYDHELESLDWRKGHSRYRVGGDRMMQVSSPRVSYGGDLMHRSESFSGLRRDVPKGFRSERDRLRRDGNGSSSWWRSRSSKELSVEEVRKSPSIDSDSVGRRSHATSPDDHRGKVRSKDSSSGLRSTRVEAKTVKTVKPIREGGNSSEMEEGELEPDLVSEAEPVAEPSMGSKTATGVESKNCKDRNPEYNSLPEEVSKEILLSGKMLDIHGNGSLAVKEEGKLTEVIMDTGNTSDEIKNEQCDATKELDESSKLTYPINMSDQVSNDRCDAVKELSESSREGEQKTKRTNGNNELEDKFCGKKCAAVNKLVESRRGGEGTTKDSIGDTEVEVKFCGKQEVCKEETLCSQFQGEKLEGNDEEQAIEEAAKEMTSAISPWHEEKLVENKEEAKHNETIVEIEQAVEEEVKEMTSIISPSQEEKLAESKEEAQSSEAKVETEEKHEMGNEQGMETEVNFQERFETAIGLKVEQKEQRGTDLETQPKGAVSLLDQIKEVTCETNHELVTLALMSNRQNRENYKGKGKGLAISLLTKGDLVEDDCAMEGPSGRDLELIFRSDISQADKASSSTLVPHALADEKLKIEPLDLSLALPGGLLDHSSKQSKPKPEIPSCARSIQSFPSSFRTNSDGFETSMSFTSSQPFVHNPSCSLTQNSLDNCEHSVGSHPILQGMDQVSGGLIWQAQASNDSRRKGSSSFFQRVLMNGNSAHDSHHIMNADHQSKSSGLVQQSSLSRQMSLMNSHGSHDMTSQLNKDKKLLAGERSSSIVCRTEQQDSEQLVLNGSCVMEKILSKIVVEPLYLTGRMLQEMTDHSVAYLRESISEMLANTNKRRQLYEFQEELRRRSDMTMGTLINCPQVLLQILVAIKTGLPDFIQGTSNLSSSSLVEIFLNLKCRNLACRSSLPVDDCDCKVCIEKTGFCSACMCCVCSKFDNASNTCSWVGCDVCLHWCHTDCGLRYSHIRNGSSALGEGISEMQFHCVSCHHPSEMFGFFKEVFQTCAKDWKAETLAKELQYVRRIFSTSNDRRGKRLHELVHKMLLNLEKKVNHSEVVIHILTFLSDSESNIGSFLCTPKEPSKNKAGHCNAIACSSKDCLPSVLPEKASLLANSGLVLSMDCDQVGKKARDIELRLEKKPVSDELESLIMFKQAEGKMYQERADDARREAESLKHIAIAKNIKINEDYDGQLKKLRLGVLEERRRQKFEDLQAAEKAHREFFNMKMRMEVDIKDLLLKMEATKQSLNT >Ma10_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14405050:14407137:1 gene:Ma10_g03800 transcript:Ma10_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVQERGVVVQDSTKDRAKLDGMCESILCACCSTSYPSYSWNPETYRGPAALLHSNRSKVISSISVNSTFALGQKEGSCCKEFLQASSSKEES >Ma04_p36330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34753928:34755160:-1 gene:Ma04_g36330 transcript:Ma04_t36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAGVIIGASTGIGLLLLCSSLLILRRKWKKRKQKKAREKYFHQNHGLLLQQLISSTEDISERTKIFSLEEMEKATNNFDETRVLGRGGHGTVYKGILSDQRVVAVKKSKYVKQSEIDQFINEVAVLSQINHRNIVKLFGCCLETEVPLLIYEFISNGTLLDHLHIPDGNSILSWDDRLRIAVEAAGALAYLHSAASISIFHRDVKSSNVLLDDHLTAKISDFGSSRLIALDQTHLITGVQGTFGYLDPEYYQTSQLTEKSDVYSFGVILLELLTGKKPIFSTEYEHRLNLSMHFLQTVRANRPFDLIDDLVMKEATEEELIDMIGLVEMCLRLKGVKRPTMKEVEDKLQNLRRIGLKKTGHCFVKGDEETEHLLRDSPYAFSEVVDPANQGTSRNYTLEKEFMWSHHHPR >Ma03_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9810444:9814951:-1 gene:Ma03_g12750 transcript:Ma03_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVPLLLLFLFLCRVLCSAAASAHPGYLETEACGGEADLTVVRREEYDGGRILDITHVYHEYMPSWDSDEGLGQFLWLPKSMKNGSLANNSEMKLPTHTGTHVDAPGHVFQHYFEAGFDVDTLDLHVLNGPALLVDVPRDKNITADVMESLHIPTGVRRVLFRTLNTDRQLMSKKEFDTSYVGFMKDGAQWLVDNTDIKLVGVDYLSVAAYDDLIPSHLVFLKSREIILVEALKLDNVKPGIYTLHCLPLRLRGAEGSPIRCILVK >Ma06_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7823049:7823948:-1 gene:Ma06_g11120 transcript:Ma06_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRFRRHSHPIRFDPISFLPSLSSFLSIQSNSTVKTVAMAVLLLFDRAACFFPLLFRIPIRLFLDLAQFHWSLHRTSSPPLPPPSGSHSRYVFFSSLLFDLWLGESDLNSANKKRRDIKETRRFMLPVSMPAVLSMRVFFLWRYFGPLCPPHLQATVGLISKALLCHEGLVSN >Ma01_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2917634:2920557:-1 gene:Ma01_g04390 transcript:Ma01_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQETRRSKFRRICVFCGSSQGKKRSYQDAAVELGKELVSRSIDLVYGGGSVGLMGLVSQAVHDGGRHVIGVIPRILMPPEISGETVGEVKAVADMHQRKAEMARNSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVEGYYNSLLSFIDQAVEEGFISPSARHIVVSAPTVKELVKMLEEYFPRHERVASKLNWEMEQLGYSPKREISR >Ma07_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3139451:3145935:-1 gene:Ma07_g04170 transcript:Ma07_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGDPDGTGDSDTVTLQVRCSNGSRFSVEAAIDSTVGTLKAALVEKCDVPAEQQRLIYKGRILKDEQTLQSYGLASNHTIHLIRALTPPAASAEAATASHEASREPNNTIGVETAVSNEGGQFGGTDSGGMLFRGIGVNRRDGTGSGFLGFGLPELNQMQQQLAQNPSMMREIMNMPAVQSLMNNPDLIRGMIMNNPQMREIIDRNPEFAHILNDPSTLRQSLEVMRNPELVRELMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTTAGEMGNDLGQNPFVALLGNQGATQAGNQTPSQSGTSSDVATESAAPNSNPLPNPWSRTAGAAQTTNLRSAPASDGRSTGIAGLGGFGLPELERMAGGVPDPSFLNQLLQNPAIMQMMQSLLSNPEFMNQVLSPQLRGLLGSNSQLSDVLQNPELIRQLTSPETMQQLLSFQQSFLSQVVRQQPRQEQNQTSDGTAGVTNNNGLGLLMNMFSGLGSGTGVANNPDVPPEERYATQLTQLREMGFFDTQENIRALSATAGNIYAAVEWLLRNLE >Ma07_p04170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3139451:3145935:-1 gene:Ma07_g04170 transcript:Ma07_t04170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGDPDGTGDSDTVTLQVRCSNGSRFSVEAAIDSTVGTLKAALVEKCDVPAEQQRLIYKGRILKDEQTLQSYGLASNHTIHLIRALTPPAASAEAATASHEASREPNNTIGVETAVSNEGGQFGGTDSGGMLFRGIGVNRRDGTGSGFLGFGLPELNQMQQQLAQNPSMMREIMNMPAVQSLMNNPDLIRGMIMNNPQMREIIDRNPEFAHILNDPSTLRQSLEVMRNPELVRELMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTTAGEMGNDLGQNPFVALLGNQGATQAGNQTPSQSGTSSDVATESAAPNSNPLPNPWSRTAGAAQTTNLRSAPASDGRSTGIAGLGGFGLPELERMAGGVPDPSFLNQLLQNPAIMQMMQSLLSNPEFMNQVLSPQLRGLLGSNSQLSDVLQNPELIRQLTSPETMQQLLSFQQSFLSQVVRQQPRQEQNQTSDGTAGVTNNNGLGLLMNMFSGLGSVPPEERYATQLTQLREMGFFDTQENIRALSATAGNIYAAVEWLLRNLE >Ma07_p04170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3139450:3145935:-1 gene:Ma07_g04170 transcript:Ma07_t04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGDPDGTGDSDTVTLQVRCSNGSRFSVEAAIDSTVGTLKAALVEKCDVPAEQQRLIYKGRILKDEQTLQSYGLASNHTIHLIRALTPPAASAEAATASHEASREPNNTIGVETAVSNEGGQFGGTDSGGMLFRGIGVNRRDGTGSGFLGFGLPELNQMQQQLAQNPSMMREIMNMPAVQSLMNNPDLIRGMIMNNPQMREIIDRNPEFAHILNDPSTLRQSLEVMRNPELVRELMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTTAGEMGNDLGQNPFVALLGNQGATQAGNQTPSQSGTSSDVATESAAPNSNPLPNPWSRTAGAAQTTNLRSAPASDGRSTGIAGLGGFGLPELERMAGGVPDPSFLNQLLQNPAIMQMMQSLLSNPEFMNQVLSPQLRGLLGSNSQLSDVLQNPELIRQLTSPETMQVVRQQPRQEQNQTSDGTAGVTNNNGLGLLMNMFSGLGSGTGVANNPDVPPEERYATQLTQLREMGFFDTQENIRALSATAGNIYAAVEWLLRNLE >Ma07_p04170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3139454:3145935:-1 gene:Ma07_g04170 transcript:Ma07_t04170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGDPDGTGDSDTVTLQVRCSNGSRFSVEAAIDSTVGTLKAALVEKCDVPAEQQRLIYKGRILKDEQTLQSYGLASNHTIHLIRALTPPAASAEAATASHEASREPNNTIGVETAVSNEGGQFGGTDSGGMLFRGIGVNRRDGTGSGFLGFGLPELNQMQQQLAQNPSMMREIMNMPAVQSLMNNPDLIRGMIMNNPQMREIIDRNPEFAHILNDPSTLRQSLEVMRNPELVRELMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTTAGEMGNDLGQNPFVALLGNQGATQAGNQTPSQSGTSSDVATESAAPNSNPLPNPWSRTGAAQTTNLRSAPASDGRSTGIAGLGGFGLPELERMAGGVPDPSFLNQLLQNPAIMQMMQSLLSNPEFMNQVLSPQLRGLLGSNSQLSDVLQNPELIRQLTSPETMQQLLSFQQSFLSQVVRQQPRQEQNQTSDGTAGVTNNNGLGLLMNMFSGLGSGTGVANNPDVPPEERYATQLTQLREMGFFDTQENIRALSATAGNIYAAVEWLLRNLE >Ma04_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20226742:20230023:-1 gene:Ma04_g18360 transcript:Ma04_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHDLVLGQNPDLVLGQNHDLVIGQGHGLGLGQRHGLGLGHAHDHDLGLGQAHDHELGLGHPHDSRLVLGQQHHPHDHGRDHSNELALGQNNDTDEDALDAQNHELGISDNHELTLAETHGLGVDQNMVHLSIDQAQELGLQSAHDISQGHMLVPQVLQNRRLIVNPDHQLTISQEFSDVKSCRRAIRDAAIACRFEIQTVKSDKTRFTAKCAAEGCPWRIHAAKLPGVPTFTIRTIHEMHTCSGINHLGHQQASVQWVANSVEERLRENPHCKPKEILEEIHRMHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPQYCDQVKRTNPGSIATIYGNPEDNCFRRLFISFYASIYGFVNACRPLIGLDRTLLKSKYLGTLLLATGFDGDGALFPLAFGVVDEESDDNWIWFLSELHTLLEANTENMPRLTILSDRQKGIIDGVDFNFPTAFHGFCMRHLSELFRKEFNNDALVKLLWEAAHALTVIEFEAKILEIEETSQEAAFWIRRIPPRLWATAYFEGTRYGHLTANVVESLNNWILEASGLPIIQMMECIRRQLMTWFNERREASLQWTSILVPSAERRVSDAIECARGYQVGRANEAEFEVVSPHEGTNIVDIRNRCCLCRGWQLYGLPCAHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKSLWKEMSDANQEGDNKIEIVINPPKSLRPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >Ma04_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24077913:24089627:-1 gene:Ma04_g21550 transcript:Ma04_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPQGGSPPQHSLAFRVMRLCRPSFQAEDAVFRLLDPLDLLAPEDLLDDPTLPLDLLFDDAAGPDARVANSDFTFRDRFQIRSPVDAMSLSGLLVLPQSFGNIYLGETFSSYISVNNSSKFEARDVIIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGPHTLVCTALYNDGDSERKYLPQFFKFVVSNPLSVKTKVRTVKDNTLLEACIENHTKSNLYMDQVEFEPAQQWIATRLEVDEHTSETNSKTRGLFKPPILVTAGGGIYNYLYLLKSTVESGRVRVDSSSILGKFQITWRTNLGEPGRLQTQQIYGTPIVHKDIDLHILDVPPIIVLERPFLVHLSLKNQTERTLGPFEIFTSPGISSEEKVAMVNGIQKLVLPPVEAFDSNIIELNMIAPRLGVQKISGVTVFDVREKKVYDPLPDVEIFVDRA >Ma04_p21550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24079068:24089636:-1 gene:Ma04_g21550 transcript:Ma04_t21550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPQGGSPPQHSLAFRVMRLCRPSFQAEDAVFRLLDPLDLLAPEDLLDDPTLPLDLLFDDAAGPDARVANSDFTFRDRFQIRSPVDAMSLSGLLVLPQSFGNIYLGETFSSYISVNNSSKFEARDVIIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGPHTLVCTALYNDGDSERKYLPQFFKFVVSNPLSVKTKVRTVKDNTLLEACIENHTKSNLYMDQVEFEPAQQWIATRLEVDEHTSETNSKTRGLFKPPILVTAGGGIYNYLYLLKSTVESGRVRVDSSSILGKFQITWRTNLGEPGRLQTQQIYGTPIVHKDIDLHILDVPPIIVLERPFLVHLSLKNQTERTLGPFEIFTSPGISSEEKVAMVNGIQKLVLPPVEAFDSNIIELNMIAPRLGVQKISGVTVFDVREKKVYDPLPDVEIFVDRA >Ma04_p21550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24077913:24089627:-1 gene:Ma04_g21550 transcript:Ma04_t21550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPQGGSPPQHSLAFRVMRLCRPSFQAEDAVFRLLDPLDLLAPEDLLDDPTLPLDLLFDDAAGPDARVANSDFTFRDRFQIRSPVDAMSLSGLLVLPQSFGNIYLGETFSSYISVNNSSKFEARDVIIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGPHTLVCTALYNDGDSERKYLPQFFKFVVSNPLSVKTKVRTVKDNTLLEACIENHTKSNLYMDQVEFEPAQQWIATRLEVDEHTSETNSKTRGLFKPPILVTAGGGIYNYLYLLKSTVESGRVRVDSSSILGKFQITWRTNLGEPGRLQTQQIYGTPIVHKDIDLHILDVPPIIVLERPFLVHLSLKNQTERTLGPFEIFTSPGISSEEKVAMVNGIQKLVLPPVEAFDSNIIELNMIAPRLGVQKISGVTVFDVREKKVYDPLPDVEIFVDRA >Ma09_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11246552:11248821:1 gene:Ma09_g15870 transcript:Ma09_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNNGRHRRTFRLSSSLACDGLRFPSADSRPPDPITPPREDGSGDGGWGGGGGGRPVRLSRGPPLPLPRSPDPPHHRRLHRPRRQVPPFLPRSLPCNYRPPHLQGQVPRFLLNPASPSFSTSSGSPSPSDTDADPDADPDPTCSTQLRPSDPKEVERVCKVIEDLFVSDRNMEAVLDECNIDLNPSLVIDVLERFRHAHKPAYRFFRWAGERSGYSHDSDTYTKMLSVLGKTRQFETMVALLEEMGNKGFLNMDAFKIAIKAFAAAREMKKSMGIFQLMKRFNFEAGLETFNCLIEALAKGKLGKEAQALFEKLKDQYPPDLRTYTLLLLGWCKLKNLVEAGRVWNEMLDKGFKPDVVVHNTMVDGLIRGHRRSDAIKLFELMKAKGPAPNARTYTILIRDLCKVGKMDLAVNCFREMLDDGCQPNVATYTCLIVGFGNARQMDKVSGLLREMTEKGCPPDAQTYNALIKLMTNRNLPDDAARIYKKMINKGFEPTFHTYNMMMKSYFHGNNYEMGCEVWEEMGRQGICPDVNSYTVFIGGHIRHGRPQEAHRYIEEMISKGMKAPQIDYNKFAADFSRVGKPDMLYELAQKMNFSGKFEVSNVFYGWAERMKNRVKRRVPNQTGKCLF >Ma02_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22452729:22455552:1 gene:Ma02_g14340 transcript:Ma02_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNPLLLDGAGAASDETGREERKPGGWKSMPFIIGNEAFERVATYGLTANFIVYLVEQYGMRQMAAANLCNIFSGTSNAAPLLGAFVSDAYWGRFRTLAYASVTTFLGMVVLTLTAAVPQLRPPVDEESGQPLGPSGAHLAILFLSLALLVLGAGGVRPCSLPFGVDQFDRSTDQGRRGLNSFFNWYYSISTAATVVAMTAVVYIQDSVSWPLGFGIPTALMLLAIIFFFAGIRLYVFVPPEGSVFSGVVQVLVAAFRKRKLRLPAPNDAAEQETLLYGNHARKSTVVMKLPLTLQFRFLNKAAIVCEGDMKEDGRSADPWKLCSVQQIEEVKCLTRIVPIWASGIICFVALTQQWTLAALQSMKMDRHLGPSFQIPPGSLGIICLMAIVLFIPVYDQILVPMATSITGVEGGITLLQRQGAGMAIAILSMVVAGLVEKKRRDSALAHGGADGSSPLSAMWLAPQLCLMGVAEAFNAVGQVEFYNRQFPEHMQTLAGSLFHCSLAGASYLSSFLIAFVQKRTGGPGRASWLDDDINVGRVDYYYYLIAALGVGNLLYFMVCAHLYRYKGTPEPEDGCKDIKAVL >Ma05_p05920.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4461646:4470543:-1 gene:Ma05_g05920 transcript:Ma05_t05920.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MASASSHCPFRHISFLQSESRFQSAESGYFGTPQFLKKSTSELIIYQNSVTTYLRKGCRQVAALPDIGDFFWEKDPTPILDMTRRPFRASLAVVELTVALHHVFHAPMDKILWDDGEQTYAHKILTGRRSLMHTLKRKDGLSGFTSRAESEYDAFGAGHGCNSISAGLGMAVARDINGKKNRIVTVISNWTTMAGQVYEAMSNAGYLDSNMIVILNDSRHSLHPKLSEGPKMTINPISSTLSKIQSSRSFRRFREAAKGVTKRIGKTMHELAAKVDEYTRGMIGPLGATLFEELGLYYIGPVDGHNIDDLICVLNEVASLDSTGPVLVHVITEDEDLESIQKENSKSCSNSINSNPSRTFNDCLAEAIVAEAERDKEIVVVHAGMGVDPSLKLFQSRFPDRFFDVGMAEQHAITFAAGLSCGGLKPFCIIPSTFLQRGYDQVIQDVDLQRLPVRFAISSAGLAGSEGPIHSGVFDITFMACLPNMIVMAPSDEDELIDMVATAACVNDRPICFRYPRVAIMGNNGLLHSGMPLEIGKGEMLVEGKHVALLGYGVMVQNCLKAQSLLAGLGIQVTVASARFCKPLDIELIRRLCQEHEFLITVEEGTVGGFGSHVSQFMALDGLLDGRVKWRPILLPDNYIEQATPREQLEIAGLTGHHIAATTLSLLGRHREAFLLMR >Ma05_p05920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4461646:4470543:-1 gene:Ma05_g05920 transcript:Ma05_t05920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MASASSHCPFRHISFLQSESRFQSAESGYFGTPQFLKKSTSELIIYQNSVTTYLRKGCRQVAALPDIGDFFWEKDPTPILDMVDMPIQLKNLSHKELKQLAGEIRSEISFVMLKTRRPFRASLAVVELTVALHHVFHAPMDKILWDDGEQTYAHKILTGRRSLMHTLKRKDGLSGFTSRAESEYDAFGAGHGCNSISAGLGMAVARDINGKKNRIVTVISNWTTMAGQVYEAMSNAGYLDSNMIVILNDSRHSLHPKLSEGPKMTINPISSTLSKIQSSRSFRRFREAAKGVTKRIGKTMHELAAKVDEYTRGMIGPLGATLFEELGLYYIGPVDGHNIDDLICVLNEVASLDSTGPVLVHVITEDEDLESIQKENSKSCSNSINSNPSRTFNDCLAEAIVAEAERDKEIVVVHAGMGVDPSLKLFQSRFPDRFFDVGMAEQHAITFAAGLSCGGLKPFCIIPSTFLQRGYDQVIQDVDLQRLPVRFAISSAGLAGSEGPIHSGVFDITFMACLPNMIVMAPSDEDELIDMVATAACVNDRPICFRYPRVAIMGNNGLLHSGMPLEIGKGEMLVEGKHVALLGYGVMVQNCLKAQSLLAGLGIQVTVASARFCKPLDIELIRRLCQEHEFLITVEEGTVGGFGSHVSQFMALDGLLDGRVKWRPILLPDNYIEQATPREQLEIAGLTGHHIAATTLSLLGRHREAFLLMR >Ma05_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4461646:4470543:-1 gene:Ma05_g05920 transcript:Ma05_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MASASSHCPFRHISFLQSESRFQSAESGYFGTPQFLKKSTSELIIYQNSVTTYLRKGCRQVAALPDIGDFFWEKDPTPILDMVDMPIQLKNLSHKELKQLAGEIRSEISFVMLKTRRPFRASLAVVELTVALHHVFHAPMDKILWDDGEQTYAHKILTGRRSLMHTLKRKDGLSGFTSRAESEYDAFGAGHGCNSISAGLGMAVARDINGKKNRIVTVISNWTTMAGQVYEAMSNAGYLDSNMIVILNDSRHSLHPKLSEGPKMTINPISSTLSKIQSSRSFRRFREAAKGVTKRIGKTMHELAAKVDEYTRGMIGPLGATLFEELGLYYIGPVDGHNIDDLICVLNEVASLDSTGPVLVHVITEDEDLESIQKENSKSCSNSINSNPSRTFNDCLAEAIVAEAERDKEIVVVHAGMGVDPSLKLFQSRFPDRFFDVGMAEQHAITFAAGLSCGGLKPFCIIPSTFLQRGYDQVIQDVDLQRLPVRFAISSAGLAGSEGPIHSGVFDITFMACLPNMIVMAPSDEDELIDMVATAACVNDRPICFRYPRVAIMGNNGLLHSGMPLEIGKGEMLVEGKHVALLGYGVMVQNCLKAQSLLAGLGIQVTVASARFCKPLDIELIRRLCQEHEFLITVEEGTVGGFGSHVSQFMALDGLLDGRVKWRPILLPDNYIEQATPREQLEIAGLTGHHIAATTLSLLGRHREAFLLMR >Ma05_p05920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4461646:4468882:-1 gene:Ma05_g05920 transcript:Ma05_t05920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MASASSHCPFRHISFLQSESRFQSAESGYFGTPQFLKKSTSELIIYQNSVTTYLRKGCRQVAALPDIGDFFWEKDPTPILDMVDMPIQLKNLSHKELKQLAGEIRSEISFVMLKTRRPFRASLAVVELTVALHHVFHAPMDKILWDDGEQTYAHKILTGRRSLMHTLKRKDGLSGFTSRAESEYDAFGAGHGCNSISAGLGMAVARDINGKKNRIVTVISNWTTMAGQVYEAMSNAGYLDSNMIVILNDSRHSLHPKLSEGPKMTINPISSTLSKIQSSRSFRRFREAAKGVTKRIGKTMHELAAKVDEYTRGMIGPLGATLFEELGLYYIGPVDGHNIDDLICVLNEVASLDSTGPVLVHVITEDEDLESIQKENSKSCSNSINSNPSRTFNDCLAEAIVAEAERDKEIVVVHAGMGVDPSLKLFQSRFPDRFFDVGMAEQHAITFAAGLSCGGLKPFCIIPSTFLQRGYDQVIQDVDLQRLPVRFAISSAGLAGSEGPIHSGVFDITFMACLPNMIVMAPSDEDELIDMVATAACVNDRPICFRYPRVAIMGNNGLLHSGMPLEIGKGEMLVEGKHVALLGYGVMVQNCLKAQSLLAGLGIQVTVASARFCKPLDIELIRRLCQEHEFLITVEEGTVGGFGSHVSQFMALDGLLDGRVKWRPILLPDNYIEQATPREQLEIAGLTGHHIAATTLSLLGRHREAFLLMR >Ma05_p05920.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4461646:4468882:-1 gene:Ma05_g05920 transcript:Ma05_t05920.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MASASSHCPFRHISFLQSESRFQSAESGYFGTPQFLKKSTSELIIYQNSVTTYLRKGCRQVAALPDIGDFFWEKDPTPILDMVDMPIQLKNLSHKELKQLAGEIRSEISFVMLKTRRPFRASLAVVELTVALHHVFHAPMDKILWDDGEQTYAHKILTGRRSLMHTLKRKDGLSGFTSRAESEYDAFGAGHGCNSISAGLGMAVARDINGKKNRIVTVISNWTTMAGQVYEAMSNAGYLDSNMIVILNDSRHSLHPKLSEGPKMTINPISSTLSKIQSSRSFRRFREAAKGVTKRIGKTMHELAAKVDEYTRGMIGPLGATLFEELGLYYIGPVDGHNIDDLICVLNEVASLDSTGPVLVHVITEDEDLESIQKENSKSCSNSINSNPSRTFNDCLAEAIVAEAERDKEIVVVHAGMGVDPSLKLFQSRFPDRFFDVGMAEQHAITFAAGLSCGGLKPFCIIPSTFLQRGYDQVIQDVDLQRLPVRFAISSAGLAGSEGPIHSGVFDITFMACLPNMIVMAPSDEDELIDMVATAACVNDRPICFRYPRVAIMGNNGLLHSGMPLEIGKGEMLVEGKHVALLGYGVMVQNCLKAQSLLAGLGIQVTVASARFCKPLDIELIRRLCQEHEFLITVEEGTVGGFGSHVSQFMALDGLLDGRVKWRPILLPDNYIEQATPREQLEIAGLTGHHIAATTLSLLGRHREAFLLMR >Ma05_p05920.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4461646:4470543:-1 gene:Ma05_g05920 transcript:Ma05_t05920.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-D-deoxyxylulose 5-phosphate synthase-like protein [Source:Projected from Arabidopsis thaliana (AT5G11380) UniProtKB/TrEMBL;Acc:Q9LFL9] MASASSHCPFRHISFLQSESRFQSAESGYFGTPQFLKKSTSELIIYQNSVTTYLRKGCRQVAALPDIGDFFWEKDPTPILDMVDMPIQLKNLSHKELKQLAGEIRSEISFVMLKTRRPFRASLAVVELTVALHHVFHAPMDKILWDDGEQTYAHKILTGRRSLMHTLKRKDGLSGFTSRAESEYDAFGAGHGCNSISAGLGMAVARDINGKKNRIVTVISNWTTMAGQVYEAMSNAGYLDSNMIVILNDSRHSLHPKLSEGPKMTINPISSTLSKIQSSRSFRRFREAAKGVTKRIGKTMHELAAKVDEYTRGMIGPLGATLFEELGLYYIGPVDGHNIDDLICVLNEVASLDSTGPVLVHVITEDEDLESIQKENSKSCSNSINSNPSRTFNDCLAEAIVAEAERDKEIVVVHAGMGVDPSLKLFQSRFPDRFFDVGMAEQHAITFAAGLSCGGLKPFCIIPSTFLQRGYDQVIQDVDLQRLPVRFAISSAGLAGSEGPIHSGVFDITFMACLPNMIVMAPSDEDELIDMVATAACVNDRPICFRYPRVAIMGNNGLLHSGMPLEIGKGEMLVEGKHVALLGYGVMVQNCLKAQSLLAGLGIQVTVASARFCKPLDIELIRRLCQEHEFLITVEEGTVGGFGSHVSQFMALDGLLDGRVKWRPILLPDNYIEQATPREQLEIAGLTGHHIAATTLSLLGRHREAFLLMR >Ma09_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34153651:34157121:1 gene:Ma09_g22160 transcript:Ma09_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESTLEAAIGSVTQGSNTPVASLSTVDIADFAPERKIVGDGMFTGKLVECRICQDEDEDVNMEIPCSCCGSLKYAHRKCIQKWCNEKGDTTCEICLQQFKPGYTAPPKLFLYGTSPMNFRGNWEISRRDFYNQQYVTMTQTGHVFLRSSYDEYSASHEKSIMYCRLVAATFMVILVLRHSLPFIMSGAEQYSIPLFTLLMLRIAGIILPLYVMLRAVTTFYRRRQLQEMHESSISASERENGHSHPLQLIQPQSHLIRIH >Ma09_p22160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34153240:34157120:1 gene:Ma09_g22160 transcript:Ma09_t22160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESTLEAAIGSVTQGSNTPVASLSTVDIADFAPERKIVGDGMFTGKLVECRICQDEDEDVNMEIPCSCCGSLKYAHRKCIQKWCNEKGDTTCEICLQQFKPGYTAPPKLFLYGTSPMNFRGNWEISRRDFYNQQYVTMTQTGHVFLRSSYDEYSASHEKSIMYCRLVAATFMVILVLRHSLPFIMSGAEQYSIPLFTLLMLRIAGIILPLYVMLRAVTTFYRRRQLQEMHESSISASERENGHSHPLQLIQPQSHLIRIH >Ma02_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20927161:20933663:-1 gene:Ma02_g12070 transcript:Ma02_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDIGLEISGARDPNLNWMTAAKGRQWAVRRARTSFAEGRRKKSVVKSSKGSEVTMDKDTRRTKDIPVSDSEKVGVSILGQHFSESLEIVPIKKRRFLFVSSPSSPLQSSYSDDSDHLLESQPASYQEPLAYNKHHERRFIASKRTSLNDVIEEATDAADFSGISILATAACNSEVVADAIHSGRLVSKGNSSREDHLEDTDGDESYSSCKDLQENHNVSMENCLTFVPAEDDTKCDGLQNQKNFMGSLQNVSDKIKSSTGSRLHWDLNTEMDAWNNNFDDVISEPLATDLVAENGNSNEKLEGSKSCECQVENGEKSCPTELGESLMETAGIARDHCAFVADEILEKPDLCNGLSASNYEKAKHCHSLIEAEHDLDATAVSLVNFTEEAKLIHNQGNNIFINDKAKGDASSRLEGETEPMLSHLPFCENVVGDCNTHACKFDPNMAQSISKPVRDENGSVDASNADNSFDDHCPANAGQSIHITSTQIEKHGLLNIVENDNQSPILAEKATSLLFDGDIGRNLVVARGTSGETVHSDGLDITNGNCADKSSGTLCMSPSLSNAHQHKSPGSCDPNELTSEATCAAKYEETATNMKVDDNNGFGAKASVPVSAVVSMAETDASLVDGAVDTQEAEKNMDSSVNSHCDHKSDASQVDSVQVTGLEKDNLLGDDDSQFEDGELRETVLHDWGDGIDEGESEHVDYGSESDNRENDTFEADSALPASTSFSSEDVACKESNMSDADGPPTGKDSQVALSQPPSKCSSKSDGSDAVQGKKTIGVIGATDHVNHLTLTRKRKQRNVTDALASVPGSDKPVRYNGCHNEGDSTREPSTCERTKLSGWDRLPGGRRNTGDSFLDPRIGSAKQDETASSLDVFGDDESSVRSGSSFREGLSSQVERPNYSDESYRKDKFYPRLSRSKNHDSLDAKAEKNAGASKSSGWGGSFRDTQGRGRDKHWFDPSNRHGNRHHDSPGYYDTPSFARPASRNAAAAAIAKVESNGFVVAPDGTLVKAGGAGTSGCVIRQSANASLQSAHPSLSRWGSQPERDLACGMQRRHKNSREMSPDRHFIVSRGQVDKHAIEMVRDRHCRRRPDDMIESSTAADHLSTRDRSFSPCRGHIHLSHSRTRSSRSRTRSPLRWASPRRRNDIGMNDVPVSRRRSRSPIARMERMRSPHPQPTFEERMITYGSASRTFASSLHASRWIDERKDSSNHPKEHEYKRYSGRSSPVKVFRNHRLDSMDSQGRPKPDDYYHHLHSSSNPEFDGFGRGYKSEDSNNRRRGGGSKYEVLHSMRQYNIDKNEKRFR >Ma04_p18810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21002589:21031061:-1 gene:Ma04_g18810 transcript:Ma04_t18810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSEEAQDRRMQGWLYLVRSNRLGLQYSRKRYFVLDGNALNCYKNVPASNKEEPIRSAVIDFCIRVTDNGRENMLRNVMYVFTLCSASNHKDQLKLGARSSEEAARWIRSIMEAALKEDPNKEENVVACSKRRCQSFRLRHANGRGRKHPLDWTVFTSVLADPTTADVIAPSTWTIVGCKNGLRLFKETRDADSHGMHWDDHPALMAVGVIDATSEAVFRRVMSLGPSRSEWDFCLLEGNVVEHLDGHTDIIHKKLRSDWLPWGMKKRDLLLRRYWRREDDGTYVILYHSFSHQKCQPERGYVRACLKSGGYVISPVNQGKQSVVKHMLAIDWKFWKSYLFTSSAEYITIRMLGRVAALREFFRAKVGSCACSDFSSGESTREIGLPQNEREHMKLEQPAEEISKSEDSADETQRSPAKNAKAGGSFLQLNDAADEFFDVINESEYNEVEALWPSDDGLQTQLVQDQRHSKLSTAAVFVRKLHDIAVQKRGYVDLQEASTGESVPCSYGATLPKDPCCSLPSSWAMADPSTFLIRGESYLHDHLKIKANGTLMQMVAADWLKSDKREDDLGGRPGGIVQKYSAHGGSEFFFIVHIQVPGSTTYSLALYYMMDSPLESFPLLERFVKGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALDINYFCGSNYIELGVDVGSSTVARGVVSLVLGYLTNLVIEMAFLIQGNTEEELPEFLLGTCRLNHLDASKAVPSNPW >Ma04_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21002377:21031260:-1 gene:Ma04_g18810 transcript:Ma04_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAQSKLIIQFSNRLIPSLSAAYPPCCLSSAVVVVASAAAATAAEVVPSPSPRLSSSTEGNPTEEGKKTLEQPRKDRNFPCSRTSKRQRLASPMGISSEEAQDRRMQGWLYLVRSNRLGLQYSRKRYFVLDGNALNCYKNVPASNKEEPIRSAVIDFCIRVTDNGRENMLRNVMYVFTLCSASNHKDQLKLGARSSEEAARWIRSIMEAALKEDPNKEENVVACSKRRCQSFRLRHANGRGRKHPLDWTVFTSVLADPTTADVIAPSTWTIVGCKNGLRLFKETRDADSHGMHWDDHPALMAVGVIDATSEAVFRRVMSLGPSRSEWDFCLLEGNVVEHLDGHTDIIHKKLRSDWLPWGMKKRDLLLRRYWRREDDGTYVILYHSFSHQKCQPERGYVRACLKSGGYVISPVNQGKQSVVKHMLAIDWKFWKSYLFTSSAEYITIRMLGRVAALREFFRAKVGSCACSDFSSGESTREIGLPQNEREHMKLEQPAEEISKSEDSADETQRSPAKNAKAGGSFLQLNDAADEFFDVINESEYNEVEALWPSDDGLQTQLVQDQRHSKLSTAAVFVRKLHDIAVQKRGYVDLQEASTGESVPCSYGATLPKDPCCSLPSSWAMADPSTFLIRGESYLHDHLKIKANGTLMQMVAADWLKSDKREDDLGGRPGGIVQKYSAHGGSEFFFIVHIQVPGSTTYSLALYYMMDSPLESFPLLERFVKGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALDINYFCGSNYIELGVDVGSSTVARGVVSLVLGYLTNLVIEMAFLIQGNTEEELPEFLLGTCRLNHLDASKAVPSNP >Ma03_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15162563:15163216:-1 gene:Ma03_g15360 transcript:Ma03_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHRDDAACPAKGFYTYDAFVAAAAAFRGFATTGDADTRKREVATFLAQTSHETTGGWATAPDGPFAWGYCYLQEQGDPSDYCPELSVPIRCRQEVLRPRAFPDLLVRKLSLTPRTKSLLSIPAILALT >Ma03_p22490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27229386:27249046:-1 gene:Ma03_g22490 transcript:Ma03_t22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACGNLCCFCPSLRARSRQPVKRYKKLLTDVFPRSPDGQPNDRMIGKLCEYASKNPMRIPKITNYLEQRCYKELRNGQFNSAKVVPCIYRKLLASCKEQMPLYATSLLSIVRTLLDQTQQDDMRILGCLTLVDFLNNQVDSTYMFNVEGFIPKLCQLGQEIGEDDRGLRLRSAGLQALASMVLFMGEYSHISMNFDDIVSVILDNYEGHQIGLGNSKQDFECNEHQNHWVEEVVRAEDNVSSFQDSWKKVLSVHQSTTIEFDATVDSSKSPTYWSKVCLQNMAKPAKEATTVRRVLEPLFRKLDSGKYWSPERGIACSVLSEIQLLMENTGQNSDLLISTLIKHIDHKSISKQLITQVNIINVARHLTQQAKFQGSLSIMTSISELMRHLRKCLQCSMEVSNQGDLDVEKWNSVLHFSLEECLVQLANKVGDVGPIIGIMAVLLENIPAAATVARATISSVYRTAQLVSSIRNLSYQKKAFPEALFHQLLLAMTHPDHETRVGSHRIFSAILVPTIVCPWSIPIIPLAFNGYDPEGTILVALSGFAPSGIIMEKFTHKSSFGNGSLDNTKELGDAMRNRMEDRSQKSSASFKQNLVHPSQSDSQSTVFSHLSAVNDGKAVSRSGEEELIFMRLSSHQVGLLLSSIWVQATSPENAPSNYEALAHTYSLALLFSRAKNSSHVALVRCFQLAFSLRRMAVDHENSLQPSRRRCLYTLASSMLIFSAKAGDLPEVVTSVKLMGRMVDPHLHLIEDSWLQATYFGSSSNVYGSEEDDVAAMEFLEKLEKDDEQLKQSVISHIMKKFEKLPEEKLLSLREQLLQEFSPDDALPLGAPLFMETPYPCSPLAQKGCQSCDEVMTPTFLEDGDNLSDAFRSQSDRKMSESMNNFDVLSVNQLIESVIETARQVASLPTSTIPVPYDQMKSQCEALVIGKQQKMSVLQSFKHQQVDWRVVPEENFVDSVDAHQTPHLPEAVLSLDEKEHVRRSNSLSSESEQSFRLPPASPYDKFLKAAGC >Ma03_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27229386:27249055:-1 gene:Ma03_g22490 transcript:Ma03_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACGNLCCFCPSLRARSRQPVKRYKKLLTDVFPRSPDGQPNDRMIGKLCEYASKNPMRIPKITNYLEQRCYKELRNGQFNSAKVVPCIYRKLLASCKEQMPLYATSLLSIVRTLLDQTQQDDMRILGCLTLVDFLNNQVDSTYMFNVEGFIPKLCQLGQEIGEDDRGLRLRSAGLQALASMVLFMGEYSHISMNFDDIVSVILDNYEGHQIGLGNSKQDFECNEHQNHWVEEVVRAEDNVSSFQDSWKKVLSVHQSTTIEFDATVDSSKSPTYWSKVCLQNMAKPAKEATTVRRVLEPLFRKLDSGKYWSPERGIACSVLSEIQLLMENTGQNSDLLISTLIKHIDHKSISKQLITQVNIINVARHLTQQAKFQGSLSIMTSISELMRHLRKCLQCSMEVSNQGDLDVEKWNSVLHFSLEECLVQLANKVGDVGPIIGIMAVLLENIPAAATVARATISSVYRTAQLVSSIRNLSYQKKAFPEALFHQLLLAMTHPDHETRVGSHRIFSAILVPTIVCPWSIPIIPLAFNGYDPEGTILVALSGFAPSGIIMEKFTHKSSFGNGSLDNTKELGDAMRNRMEDRSQKSSASFKQNLVHPSQSDSQSTVFSHLSAVNDGKAVSRSGEEELIFMRLSSHQVGLLLSSIWVQATSPENAPSNYEALAHTYSLALLFSRAKNSSHVALVRCFQLAFSLRRMAVDHENSLQPSRRRCLYTLASSMLIFSAKAGDLPEVVTSVKLMGRMVDPHLHLIEDSWLQATYFGSSSNVYGSEEDDVAAMEFLEKLEKDDEQLKQSVISHIMKKFEKLPEEKLLSLREQLLQEFSPDDALPLGAPLFMETPYPCSPLAQKGCQSCDEVMTPTFLEDGDNLSDAFRSQSDRKMSESMNNFDVLSVNQLIESVIETARQVASLPTSTIPVPYDQMKSQCEALVIGKQQKMSVLQSFKHQQVDWRVVPEENFVDSVDAHQTPHLPEAVLSLDEKEHVRRSNSLSSESEQSFRLPPASPYDKFLKAAGC >Ma06_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8832814:8834396:-1 gene:Ma06_g12780 transcript:Ma06_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPWRNRKSGLKTKLDRRVLDKEITVPTHFRCPISLELMKDPVTASTGITYDRQSIETWLEMGNATCPVTKQELRNADGLLPNHAIRKMIQDWCVANRRHGIERIPTPKIPVTRTQVVDILSEVAAAGQRGDHARCRQMAAKVRNLARESERNRRCFASNGASRVLAATFGAVAGGSSECSAAEVSEEILAALATVSPLDEEAASHMGSPESLGCLVSILTHGNLAARLSAALVVKQLLASSGAKVDAVAGTKGLVEALAKLVKEPIFPQATKASLVAIFYMVSHDERTAAQVVDLGLVPVLVEALVEPEKSMNEKALAVLEGLLSCEGGREKARQHALTVPVLVKKMFRVSDMATELVVSALWKLCRNEGEEGEGKGRCLQEALRVGAFQKLLLLLQVGCSGETKEKATDLIKQLDGHREAYECVDTMDFKGLKKPL >Ma06_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16670088:16670520:-1 gene:Ma06_g21940 transcript:Ma06_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYRTFDGNRAALGGLYQDASMLTFEGDKIQGAAAIVAKLTSLPFQQCAHAISTVDCQPSGPAGGVLVFVSGSLQLAGESHTLKFSQVFYLLSLSMSAFRHLVVRFD >Ma09_p30690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40695987:40696426:-1 gene:Ma09_g30690 transcript:Ma09_t30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKTSLMVAACVAAVEALKDQAGLCRWNYALRSLHHRAKHSIAPFSQTNRMSSSIDRWRKGGEGAVERAKRTEESMAKIMYLDCWGPK >Ma07_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29827068:29829247:1 gene:Ma07_g21750 transcript:Ma07_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLSLTTISKSSRISYVISIQAVKDHFPCRAWINVSQSYTATELLTNIMMQTMDLENNQIWEMDEEEMKNEIREHLEGTRFLVVMDDIWKVSDWETINTAFPEEYTASRVLLTTRKMDVAETADPDSPPHHLKLLESEESWTLFCKNAFSNACCPPHLQHFQDKIIQKCGGLPLAIVVLAGLLRRKHEAQEWIQTLERISHAPNKTDDQTHKILVLSYIDLPHHLKSCFLYFAAFPEGYDIDADRLMRLWIAEGFVRSDLEGRTMEDLAEMYLIELINRCMIQVGRRNEIGSVVSVRIHDLLLDLARYEARKLNFCRSISDKGDSTDLRRLSITDDEGVHQYTSLGFSIPKLRSFLFLLTYDVDMPSKSMIHGFKFLRVLDLLRVYIESLPSEIGDLILLRYLALSLSHVKELPSSIGNLCHLQTFILVGDEFRIPDSFWKIQTLRHFMVDLPIEPKAGCCLKDMHTMREVQSGEWVRDGSLERMRNLRRLGLYQISSSDSKGLDNALGRLNRLVWLEMGGHALPANILCSSNHPHLRYLDLRGPLERLHTDNIHHDAPFLPNLASLNLAMTRLESDDVSSILATLPNLERLTLKDEAVVGSVLVFPKGGFPRLQYLSLGTLQDLEEWRVEEGAIPCLRELRLWDCDNLRMLPEGLRGLTQLKLFKLIGMPVIKRRIENDNGDDYYKIQHVPSIKIKD >mito6_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000017.1:357924:358535:1 gene:mito6_g00070 transcript:mito6_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLQDGTPVDMVFNPLGVPSRMNVGQIFECELWLAGYLLKIHYGIAPFREKHPREKSQRLRETQQVFSLFGFLKLVSKQIIHGLTQLSGKSIILLLIHKVDEKIQSMDVDIMHLLLLRGRAKQRVQRVG >Ma07_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4602020:4602557:1 gene:Ma07_g06400 transcript:Ma07_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSEALSRVRDYINANQLYHDSSNTSVLCGSKLEELFGCESIPFSGISDMLANHLFRKS >Ma10_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26523150:26527453:1 gene:Ma10_g13900 transcript:Ma10_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYITREASKLWRKVCVETSVELQLLVEKWKLLLAGLVFQYIHGLSARGVHYLHQPGPTLQDLGFMILPELGKERGYISESLFTFVFLSFVLWTFYPFVYHSKRFYTVLLWRRVLAFLVACQVLRIITFYSTQLPGPNYHCREGSKLAKLPPPESVTEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYHKYGSKRFIKLLAWVTAIIQSLLIVASRKHYTVDIVVAWYTVNLVVFFIDKKLPEMPDRSSGSQPLLLLSVKEKEGNSREENLKLLNGNSVDTADWRQRMQTNGNHGEESIHIRSESAANGK >Ma06_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1316553:1334617:-1 gene:Ma06_g01630 transcript:Ma06_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPDSAGDFVDHHVSTKEQITLQDTMDGTGYSTLQFGLDERFGDGNASQIRLDLDEDLFLEDSHPSSQLASSNVAPGECAVHQGQSSSSIPLTQMDIDHDQNGLVEDIDTEATKELSNSHERHTHPSILCMKKNDIYNIQTPDLNEVFSPNDHVEGPSAAPSQSFVGSIADGVPTPDLAECPHAPPTPGLMEEMFLGNMHEGPALSPQTKPSSSFDEVLKHGNSNLQNGHPDSVTDSGVMPEVTVAPDSANIVQVVVSPTSELVEHKEQVTATVETEMECQQKNSSDLQNGYVCSETKDVTVDEQIQDNGEAMPPEVAQIESLVSSNASYEESNSKAHVDRSQVDTEVAISNSCGVEGQPSGCCSTEHVEDVNPSLNGDASALSSDFHLRSFTSEINQVKILSAQDKTSAQNICGVSIEEPSVPSQTPGQENKLHDSESSFELQGKDSNGANTTDADLEVRENPQDVLTGSAVDVSNTDELLADLSQKDTQKNQLNCSLSSEFPEPEKMLLASTGDVDHANELSQVTEEKGVIESDGSVNRITSLSGKKRRPMETISALQNGSSGKIYGRSRLRKNTEYVPDDDDLLASILVGKKTPLLRIGSTPPHKATSPKRPRLAPRLCIPKRKVLLDDTTVLHADAIRQQLMNTEDIRRMRKKAPCTRPEIWMIQKSSLEDEIFNEPMMTGISSIMNDLHTRRYDPETTRNYSCENIDGQMQGPSHVSLTAEVQSSTSKNGCDDQKHSVPFLPLLEHSGNDGSCMMLPTEINNGKDAGVGTPMPSAAPSSEYEPRDGSSVMNDEAKHFTENDCTIDLASAGGDSKDRMVDNNALAIADDDHIMHIEEAHASNDASTVDRGMGPPDAITSSDPQDMCAVAEANGEALISELDNVNRESEGHLVTDPVPGTDDRIIEGEIVGAKVENEEKLMHEGNLISDVICSERLETVSSSPSQINNESGNVPSALRENSSFQEFIPDGGIIVESTPMDLVTGKECSDFCSTIDGNDTEFLNVDDEIDYHEGDDYVPNAEGGSLENSGWSSRTRGVARYLKILFDEEFGRGRKSVAMDQLIAGKTRKEASRMFFETLVLKSKDFIQVEQERPSDYITIRPRSKLLKAEF >Ma06_p01630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1316553:1334617:-1 gene:Ma06_g01630 transcript:Ma06_t01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPDSAGDFVDHHVSTKEQITLQDTMDGTGYSTLQFGLDERFGDGNASQIRLDLDEDLFLEDSHPSSQLASSNVAPGECAVHQGQSSSSIPLTQMDIDHDQNGLVEDIDTEATKELSNSHERHTHPSILCMKKNDIYNIQTPDLNEVFSPNDHVEGPSAAPSQSFVGSIADGVPTPDLAECPHAPPTPGLMEEMFLGNMHEGPALSPQTKPSSSFDEVLKHGNSNLQNGHPDSVTDSGVMPEVTVAPDSANIVQVVVSPTSELVEHKEQVTATVETEMECQQKNSSDLQNGYVCSETKDVTVDEQIQDNGEAMPPEVAQIESLVSSNASYEESNSKAHVDRSQVDTEVAISNSCGVEGQPSGCCSTEHVEDVNPSLNGDASALSSDFHLRSFTSEINQVKILSAQDKTSAQNICGVSIEEPSVPSQTPGQENKLHDSESSFELQGKDSNGANTTDADLEVRENPQDVLTGSAVDVSNTDELLADLSQKDTQKNQLNCSLSSEFPEPEKMLLASTGDVDHANELSQVTEEKGVIESDGSVNRITSLSGKKRRPMETISALQNGSSGKIYGRSRLRKNTEYVPDDDDLLASILVGKKTPLLRIGSTPPHKATSPKRPRLAPRLCIPKRKVLLDDTTVLHADAIRQQLMNTEDIRRMRKKAPCTRPEIWMIQKSSLEDEIFNEPMMTGISSIMNDLHTRRYDPETTRNYSCENIDGQMQGPSHVSLTAEVQSSTSKNGCDDQKHSVPFLPLLEHSGNDGSCMMLPTEINNGKDAGVGTPMPSAAPSSEYEQPRDGSSVMNDEAKHFTENDCTIDLASAGGDSKDRMVDNNALAIADDDHIMHIEEAHASNDASTVDRGMGPPDAITSSDPQDMCAVAEANGEALISELDNVNRESEGHLVTDPVPGTDDRIIEGEIVGAKVENEEKLMHEGNLISDVICSERLETVSSSPSQINNESGNVPSALRENSSFQEFIPDGGIIVESTPMDLVTGKECSDFCSTIDGNDTEFLNVDDEIDYHEGDDYVPNAEGGSLENSGWSSRTRGVARYLKILFDEEFGRGRKSVAMDQLIAGKTRKEASRMFFETLVLKSKDFIQVEQERPSDYITIRPRSKLLKAEF >Ma08_p29080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40816713:40816973:-1 gene:Ma08_g29080 transcript:Ma08_t29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDVDIAMATLEEFLLQGQSPATSPPKEKLLAKLIDGYIQEIARDKNFMSI >Ma08_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11063335:11066472:1 gene:Ma08_g13940 transcript:Ma08_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDENGRGMTRPASFRVTDTGNRRALRDIRNVVGAPPYACPIGKRGFPDKGSLDDKKLTLVARRPATRKFVATLASKSQPYQQGTNGQHREVGSQSQYNPPVPLVSKSSCLDSCTTIDVDYNSSNDIALPMVEEMDNSDIKEVAMDDLVIETLPSIDICDSNNPLAVVEYVEDIYSFYRQTEVTSCVSPDYMSQQFDINEKMRAILIDWLIEVHYKFELMEETLFLTVNIIDRFLARQTVARKKLQLAGVTAMLLACKYEEVSVPVVEDLILISDRAYTREEVLEMEKLIVNTLQFNMSVPTPYVFMRRFLKAAEADRKLELLSFFIIELCLVEYKMLKFRPSLLAAAAIYTAQCSLRGLKYWTKTSELHSNYSEDQLLECSRLMVDFHHKAGLGKLTGVHRKYTTLKYGCTAKSEPALFLLNTIL >Ma08_p13940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11063335:11066472:1 gene:Ma08_g13940 transcript:Ma08_t13940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDENGRGMTRPASFRDTGNRRALRDIRNVVGAPPYACPIGKRGFPDKGSLDDKKLTLVARRPATRKFVATLASKSQPYQQGTNGQHREVGSQSQYNPPVPLVSKSSCLDSCTTIDVDYNSSNDIALPMVEEMDNSDIKEVAMDDLVIETLPSIDICDSNNPLAVVEYVEDIYSFYRQTEVTSCVSPDYMSQQFDINEKMRAILIDWLIEVHYKFELMEETLFLTVNIIDRFLARQTVARKKLQLAGVTAMLLACKYEEVSVPVVEDLILISDRAYTREEVLEMEKLIVNTLQFNMSVPTPYVFMRRFLKAAEADRKLELLSFFIIELCLVEYKMLKFRPSLLAAAAIYTAQCSLRGLKYWTKTSELHSNYSEDQLLECSRLMVDFHHKAGLGKLTGVHRKYTTLKYGCTAKSEPALFLLNTIL >Ma04_p27530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28715942:28716786:-1 gene:Ma04_g27530 transcript:Ma04_t27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLCFMVLLQVLKVGQFIICKEFSSTKQKILFRKAFITWWCQDVQAMLFDKHRSENF >Ma09_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35458294:35463883:-1 gene:Ma09_g23650 transcript:Ma09_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLSRSKTLTLSRLLLLHPSAPGNPVTSAHGLRAFGSLAPHPPPDPRSAAIAPAYRPDRPSAAALGLFRAPVFGQLGFGKNMSHMAGGVEDPNCLKGKEIDSSKVMAFSPLEGTLTRERKSGLTNESLKVKRMELSIKTTYALIPALLLISKSTLTTSALVLCVYWQIYGFFKEILLDYVHHDVTRKWVLIYFKLLLFILAKDTILAFDLV >Ma09_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9620182:9620828:-1 gene:Ma09_g14120 transcript:Ma09_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIISMHPGEIASFGYLSPSDSPAFSVTSHLSSLFGPYLAQQLQTTPLMHCLSSSAAWDEAGGHQLSVAEERRKRRMISNRESARRSRMRKQKHFSELWTQVVHLRSANRQLLDELNRVMRERDRIMHENDQLRHEETELRKKLERLPAVFGCAPHGAEKL >Ma09_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3516626:3520896:-1 gene:Ma09_g05470 transcript:Ma09_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFSALSLGGGRAPRRLPRVMTVPGTLADLQEEEERANSVASDVQSSQAQDRIIIVANQLPVRARRRPDDRGWTFAWDDDSLILQLKDGLPDDMEVLYVGSLRVDVEAHEQDDVAQALLERFQCVPTFLPPDLHERFYHGFCKNNLWPLFHYMLPFSADHGGSGSRFDRSLWESYVLANKLFSQKVIEVINPEDDYVWIHDYHLMALPTFLRRRFNRLRMGFFLHTPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLRSVLRLPDKEWRVNQLRQQFEGKTVLLGVDDMDIFKGINLKLLAFEHMLKLHPKWQGSAVLVQIANPPRGRGRDLKEIQGEIEESCERINKAFGHEGYSPVVFIDRPVSVVERIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIADSQGSQADSPRNSMLVVSEFIGCSPSLSGAIRVNPWNIETTGEAMNEAISLSDGEKQLRHEKHYRYVSTHDVAYWSKSFMQDMERTCKDHFRRRCWGIGLGFGFRVVALDPNFRKLHVDGIVSAYVKAKSRAILLDYDGTLVPPTSMNKRPSADVIRIINTLCADKKNVVFLVSGRGRESLETWFLPCEKLGIAAEHGYFIRWSRDKEWETHCQNTDFGWMQIAEPVMKLYTESTDGSSVETKESALVWHHQDADPGFGSAQAKEMLDHLESVLANEPVSVKSGQFIIEVKPQGVTKGLVAEKILSSMVENGRQADFVLCVGDDRSDEDMFEDIAGVVTKKLVAPHTSIFGCTVGQKPSKARYYLDDTTDVINMLRALADASEPSLSSPEEILEPMPSAETKSDYSQ >Ma09_p05470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3516626:3520782:-1 gene:Ma09_g05470 transcript:Ma09_t05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFSALSLGGGRAPRRLPRVMTVPGTLADLQEEEERANSVASDVQSSQAQDRIIIVANQLPVRARRRPDDRGWTFAWDDDSLILQLKDGLPDDMEVLYVGSLRVDVEAHEQDDVAQALLERFQCVPTFLPPDLHERFYHGFCKNNLWPLFHYMLPFSADHGGSGSRFDRSLWESYVLANKLFSQKVIEVINPEDDYVWIHDYHLMALPTFLRRRFNRLRMGFFLHTPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLRSVLRLPDKEWRVNQLRQQFEGKTVLLGVDDMDIFKGINLKLLAFEHMLKLHPKWQGSAVLVQIANPPRGRGRDLKEIQGEIEESCERINKAFGHEGYSPVVFIDRPVSVVERIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIADSQGSQADSPRNSMLVVSEFIGCSPSLSGAIRVNPWNIETTGEAMNEAISLSDGEKQLRHEKHYRYVSTHDVAYWSKSFMQDMERTCKDHFRRRCWGIGLGFGFRVVALDPNFRKLHVDGIVSAYVKAKSRAILLDYDGTLVPPTSMNKRPSADVIRIINTLCADKKNVVFLVSGRGRESLETWFLPCEKLGIAAEHGYFIRWSRDKEWETHCQNTDFGWMQIAEPVMKLYTESTDGSSVETKESALVWHHQDADPGFGSAQAKEMLDHLESVLANEPVSVKSGQFIIEVKPQGVTKGLVAEKILSSMVENGRQADFVLCVGDDRSDEDMFEDIAGVVTKKLVAPHTSIFGCTVGQKPSKARYYLDDTTDVINMLRALADASEPSLSSPEEILEPMPSAETKSDYSQ >Ma08_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7856009:7862502:-1 gene:Ma08_g10710 transcript:Ma08_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06960) UniProtKB/Swiss-Prot;Acc:Q9M903] MGRLRWAEEGCGRWELDMEAPVTMEGTARAVPGDPLPLGLSRGRRITRPKQLDFMHRFMSSPLVPSFAGDPANGGQGLLLHHAHTVHLTENWSTTIMDQLDVQKISLLFKEFASNHGKEISWPKIIFKHLLDIFSWGLGTEFLFTQNSSFLVEMYNVKKGNRGKAIFQQKLPHHNLTLETAWPGLFVDNNGTYWDVPLSLAVDLASISSSSGLSYHLCLQHNSGKPKHFGGDQTTQVPPSLLPGLCARAAASIKENWSIWRKKEGKLRMVQPYDVFLSDPHITASGVLGAVASASLGDCSARLPIEDGLERCKAFRLYARKNNLAVFADLFASVSCTAQHGNFQRLFLDLTRFNARLDFPSGSAFLTGVAHLSQDLYNSRQLNLEAICAVCPELTVSLQQQIAGPFSFRVDSRILFDPKDRYHIARLDESIFAIDWALKVLGSAKATAWYSPKHREAMVELRFFES >Ma03_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28575989:28576492:1 gene:Ma03_g24310 transcript:Ma03_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKAYTRAAPDEASGHGPEPEITFPTGASERPDHDDALVISAKVANAQVRRIMVDIGSSADILYFDAFQKLGLARENLSPICSTLTGFTGDSISPLGAVTLPLTLGNSSRSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYYQTIKFPTHAGVGEVTGSP >Ma05_p26970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38459698:38464019:-1 gene:Ma05_g26970 transcript:Ma05_t26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALSPLHVRLAAIMVDRKWIAPLAVGATVSLVLLLFLTTLSSPDAPLALLPLSLLPSALLSHPSSPLAALYAPVFVESKLRPAPPSVRLSTASPRPPRLAYLISGTVGDGNMLKRVLLALYHPANRYVVHLDLEAPVEEREDVRDYIARHSLFTAVGNVRMITKANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHTFSNLPRDLNFIDHTSDIGWKEFQRAKPVIIDPGLYMTKKSDVFWIPQRRSVPTAFKLFTGSAWMALSRSFIDYCIWGWDNLPRAVLMYYANFISSPEGYFHTVICNAQEFHNTTVNHDLHFISWDNPPKQHPHYLTMDDMSRMVEGNAPFARKFHRDDPVLDKIDAELLFRGPNMIVPGGWCAGSRKNGSDPCLVVGNTTILRPGPGAIKIERLMLSLLSDEKFHLSQCK >Ma04_p38620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36067838:36069877:1 gene:Ma04_g38620 transcript:Ma04_t38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSILCRRVGLKDLVSNVTVYSGANEASGGLSLIFRRWATKKTAGSTKNGRDSRPKNLGVKKFGGEKVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGHVRFERHKLSGRKWVHVDPSEGHVLHPIYTNAGLEADAESRLC >Ma04_p38620.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36067836:36069890:1 gene:Ma04_g38620 transcript:Ma04_t38620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSILCRRVGLKDLVSNVTVYSGANEASGGLSLIFRRWATKKTAGSTKNGRDSRPKNLGVKKFGGEKVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGHVRFERHKLSGRKWVHVDPSEGHVLHPIYTNAGLEADAESRLC >Ma04_p38620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36067836:36069877:1 gene:Ma04_g38620 transcript:Ma04_t38620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSILCRRVGLKDLVSNVTVYSGANEASGGLSLIFRRWATKKTAGSTKNGRDSRPKNLGVKKFGGEKVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGHVRFERHKLSGRKWVHVDPSEGHVLHPIYTNAGLEADAESRLC >Ma04_p38620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36067798:36069877:1 gene:Ma04_g38620 transcript:Ma04_t38620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSILCRRVGLKDLVSNVTVYSGANEASGGLSLIFRRWATKKTAGSTKNGRDSRPKNLGVKKFGGEKVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGHVRFERHKLSGRKWVHVDPSEGHVLHPIYTNAGLEADAESRLC >Ma04_p38620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36068062:36069877:1 gene:Ma04_g38620 transcript:Ma04_t38620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSILCRRVGLKDLVSNVTVYSGANEASGGLSLIFRRWATKKTAGSTKNGRDSRPKNLGVKKFGGEKVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGHVRFERHKLSGRKWVHVDPSEGHVLHPIYTNAGLEADAESRLC >Ma04_p38620.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36067813:36069877:1 gene:Ma04_g38620 transcript:Ma04_t38620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSILCRRVGLKDLVSNVTVYSGANEASGGLSLIFRRWATKKTAGSTKNGRDSRPKNLGVKKFGGEKVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGHVRFERHKLSGRKWVHVDPSEGHVLHPIYTNAGLEADAESRLC >Ma10_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30393285:30395516:-1 gene:Ma10_g20110 transcript:Ma10_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRAPPRLEPRRTGNALIVAAMLLSLCILSLIKARYCSAPYAKLRSLAEIESIEVPESAAAKSWGAALPEDEDDEGDVATPPKIVAEETVTVISKPICSETSKRSNVCRAEGDARLRGSSRTIFLHPSLTDREWKIKPYCRKHDGPAMKNIEEWTLKPLASNEPPPRCTVHHKVPALVFSIGGFTGNLFHDFTDVIVPLFISSYQFHGEVQFVVSENKPWWVSKFISILNQLSNYDIIDADDDDPDAVRCFPRVIVGLSFHKELGVDPSKTATGYCMVEFKAMLRKAYGLERPTAAPWADQRDAKRKPRLLIISRRKTRAFLNERGMADMAMSLGFDVRVSEPDNTTDLAKFARLVNSADVMIGVHGAGLTNMVFLPAGAVLIQVVPMGGLDWVARDTFKKPSSDMQLKYMDYHIKADESSLSDQYPKNHPVLKDPDSIQKQGWYTTSKIYLENQNVKPHLRRLRNTLLEALRHLPHGRKEA >Ma03_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27136024:27138180:1 gene:Ma03_g22370 transcript:Ma03_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLCLLLVLLHCLASTQACGKTHSHVFNVEEVPRTRLCRTKSIMTVNGQFPGPNLHAHRGDTIVVKVHNHASKNVTIHWHGVKQPRNPWLDGPEFITQCPIKPGASFTYTIILSTEEGTVWWHAHNDFDRATVHGAIVVRPRRGTRYPFPHPFQEFVIMLGEWWNRGANVILEEALSTGGEVNSSDAFTINGRPGDLYPCSSAGTFRMPVEHGKTYLLRVVNAAMSNGLFFSVASHTVVVVGSDGNYVKPLSSDYIMITPGETMDLLLEANQPPDALYYMAGRAFSYLSLGSFPTTATTAIVEYVSDLPLESTKPLLPTLPYYNDTAAATNFSFALRSPANAAHPVDVPKTVDQDMFIAVAINELECPQASCAGPNGTRLRASLNNISFVDPPIDILEAYYAGIGGVFGENFPSMPPYYYNFTATDQPLSLLLPTLGTEVRVLEYGARMEVVFQGTSLVMGDNHPMHLHGYSFYVVGWGYGNFDKKRDPLRYNLQDPPFKNTIGVPINGWVAVRFTANNPGVWFMHCHIERHMIWGMNTVLIVKDGEGPKEKVLPPPRYMPPC >Ma04_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20755709:20756371:1 gene:Ma04_g18670 transcript:Ma04_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRDLRGGKTSTAPSACLPTEVRAGSREAPMDVEAGHPRKKAKTSSVKASGELAAQPARAVVVSAGRARKSLGRGEVVPSRDVAGKAPREPSIRELCRLQARGEDELYQARAMGDLSPGEPSDLLTARWEGLSRGNRVWANGDSAAGFVRGGLHPDIARDLYTLPSEVLLVRSAKSLLWGTHYAAALMDHVHDAG >Ma01_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14495844:14497243:1 gene:Ma01_g19060 transcript:Ma01_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDALSSPHRRSQNSVFLSSATKKHVSDHSELGSWSTILERHRFLLTMLALLAFLCTIYLYFAITLGATDSCSGMSGADKALCQAKSLHKGKLKFF >Ma06_p28710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30303695:30321523:-1 gene:Ma06_g28710 transcript:Ma06_t28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGDQEKPTPESGDKPPKRKMKTPYQLEILEKAYAVDTHPSETLRAEMSVKTGLSDRQLQMWFCHRRLKDRKLPPTRRQRRDEESLPLTPPPPVLPPQNDMPSSESGGVGLSSSPYGSSVESRRAVSRAAAVVSRTGADMSAVGRQYYDPVLPPPPTHLNRLTMAELRILASVEAQLGEPLRQDGPGLGVEFDPLPPGAFGAPIEISAQQKQPVWPYDGTVCGRHDIKTTKASSFPSGIEHFSPSSSKGKRKPANGGSHTVHLHMGPRPLPEYRFLPEQPSVRSEAYDMASQSHYYDSSIDVPNNRVTSVPSGGKNLHVNDQEAPSYTFQGQMSGASLRSQQSRKQKIPSDLMEYGSAARSDSIPSPASDTQFHTNQVVGLENPHISSDRTSRDENISWLGRKRKSDEARTAKEEEAHQKRIRKELEKQDNLRRKREEQIQREIERHDRERRKEEERMMREKQREEERFQREQRRENERREKFLLKESRRAEKLRQKEELRRDKEAARLKAATERATARRIAKEYMELIEDERLELMELAAANKGFSSIFALENETLQQLDSFRSMLTAFPPISVGLKKPFAIQPWADSDEKIANLLMVWKFLITFADVLGLWPFTLDEFVQSLHDYDSRLLGEVHVALLKSIIKDIEDVARTPASTLGASQSSTVNPGGGHPQIIEGAYAWGFNIRGWQRHLNYLTWPEILRQFALAAGFGPQLKKRNVDRVYSRDENEGNNGKDIISNLRNGLAAENAFALMKERGYTHRRGSRHRLTPGTVKFAAFHVLSLEGSNGLTILEVADKIQNSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRSPYRKDPADADAVLSAAREKIQVFLSALSDSEEAEKDTEDVDEAERDEDSEGDAADDPEVDDACIDAKLDKNDPFASELKDSMTLTLSCQEEGGENGVTACTSFGIVEKGPKMPSEKSKTVSTSGVSHLPDGNSNYIEASNLGMEDTEIDESNFGEPWVQGLSEGDYSELSVEERLHALVALVGVAVEGNSIRIVLEERLEAASALKKQMWAEAQLDKRRFKEECPGRLQGTAFSINKAEAAVSNGARVGSQMALDNVDKGNDGNLEAISNELFFEPNRVNFGNTSIGHELTTADVHPVHQHVYATEKSRSQLKAFIGHKAEQLYVYRSLPLGQDRRRNRYWQFSTSSSPNDPGSGRIFFESKDGHWRLIDSEEAFDALLAALDTRGIRESHLHSMLQRIESTFKEAIRRNKKFVSSDLVGDPVITGLTKTSSSPDCSMELDSPSSSLCGLASDALENSSSFRIELGQSKAEISAAVRRYQGLFRWMWKECYNPYQLCAMKYGKKRCSELLHTCDSCFQSFSAEERHCPSCHKTFKAFHNSDAIFSEHMPLCEQKRKSDPEWKLQVSDSSLSIGIRLLKAQLSMIEVSIPAEALQAFWTEGYRKSWGVKLQSSSSAEELFQILTLLEGAIKRGVLSTTFETTAELLSSANPGVAADNNTAHSGSVPVLPWVPSTSAAVALRLLDLDSSISYMLHPKLESHKGKEGDYITLPSCYVVVHDKQEVESMGTPDQVDHQNEGRWLHLGRGGRGRSSRGRRGRGRGRGGRRLIGSGNSSRTELRTENNCSFEKATRKYTRRGRTRGQGHSRGRRTVRPRQRSENRVATIDKRSLLGSFVTASSSSKQCRIEASPESSDGEQWGIGETEKTYVEDDDSRPCLESGENGQASGEDYDDQAVVSSDRDECDADKPQGLVDDETEDDLGDMEGDEEGEADDDYHNGNDLNTYMDDEDVEIGDNADDVGDAEGNGDEDDDVAWSASSEYSD >Ma04_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23468300:23468583:-1 gene:Ma04_g20710 transcript:Ma04_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLRGIIGRKWFNQLRGKAIALHSQVITEFCKAIGADGKQRRALIRLAKKNGERLGFLA >Ma10_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28086734:28091310:-1 gene:Ma10_g16340 transcript:Ma10_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAADYNPRTPEDVFRDFRSRRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPAELPEPALGINFARDGMAEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARKRLFHMINGLPTIYEVVTGTSRKQSKERTNNSSKSNKSGLKNSRQSESYTKASKMPPPKEGAGSEGEDNEDMEEHGNTLCGACGDNYANDEFWICCDVCEKWYHGKCVKITPARAEHIKQYKCPGCSTKRARA >Ma02_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15995731:15997353:1 gene:Ma02_g04650 transcript:Ma02_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTAVLPTNEQTLRASFVRDEDERPKVAYNQFSLDIPVISLSGIDDDAAGGKRAEIRRKVVEACEDWGIFQVVDHGVDAGLISDMTRLAKEFFALSPEEKLLFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPIRARDYSRWPDKPEGWRSVVESYSEKLMGLACKLLEVLSEAMGLDKEALTEACIDMDQKVVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATKDGGKTWITVQPVEGAFVVNLGDHGHFLSNGRFKNADHQAVVNSNYSRLSIATFQNPAPEAIVYPLAVREGEKPILEEPITFAEMYRRKMSRDLELAKLKKLAKTEQKQQPELLEKTKDINLAKATGLDEILA >Ma09_p30500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40595555:40600830:-1 gene:Ma09_g30500 transcript:Ma09_t30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPFDLRRPARRGWISGVWMWLVAGGFGVLLLLVMLSREKLPRSALPLIRQKFHHTSLIEGLNITDEMLSPHSFTRQMVDQISLAKALVIISKDSNNLQFAAELSAQIRKCQALLSKSATMATPLTTEEWETAIHDMALLLYQAQELHYDSATMIMKMKGQFESLNEKMKSEAEKNTKYGQIAAEELPKGLYCLGIRLTMEWFGNPNLQRDLLERKHSLEKLRDNNLYHYCIFSDNVLATSVVVNSTSMNSKNPDKIVFHLVTDEVNYAPMKAWYSMNNFRGATIEVQKVEDFSWLNASYVPVLKQLQNSETQNFYFSGTGDNRTPIKFRNPKYLSMLNHLRFYIPEVYPALQKVVFLDDDVVVQKDLSKLFTIDLNGNVMGAVETCMETFHRFHKYLNYSHPLIRAHFDPDACGWAFGMNVLDLGEWRKKNVTGIYHYWQEHNADHTLWKLGTLPPGLLAFYGLVEKLDANWHVLGLGYTNVDPLLIKNGAVLHYNGNMKPWLKIGMEKYKGLWDKYVDYSHPLLQQCFMHG >Ma08_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6177498:6183138:1 gene:Ma08_g08730 transcript:Ma08_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSMVRIDRLIANDGVEGSFGCSDRVARPPADVGTVLAGCREDKKPSSEGKKLSQEVGSGAVVECRICQEEGEERHMEAPCACNGTLKFAHRTCIQRWCNKKQNITCEICNQIFGPNYIVPPSRPNSDVMAIDVRA >Ma07_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32228349:32234035:-1 gene:Ma07_g25120 transcript:Ma07_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENNTSRQVTFCKRRNGLLKKAYELSVLCDAEIALIVFSSRGRLYEYSNNSIKSTIERYKKAYANTSNSSCTIDTNSQQYYQQEAAKLRHQIQILQNANKHLMGESLSSLSVKELKQLENRLERGITRIRSKKHELLFAEIEYMQKREVELQNDNIYLRAKVAENERAQQEVIVSTGAEFDALPTYDSRNYYHVNNMLEAASHYSHHQDQTALHLGYEMKDSSAAKNMI >Ma06_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22126500:22135237:-1 gene:Ma06_g23940 transcript:Ma06_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLLVLVGGIVGYARRGSTASLAGGAGSGLVLLLAGFVSLKAFEKRRNSYLAFGLETVCALALTFVMGKRYLETSKIMPAGVVAVLSALMSLFYLYKIATGGNHIPPKAD >Ma05_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4166109:4177295:-1 gene:Ma05_g05500 transcript:Ma05_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKDQLLARLKELQIDFVCYDHPAVLTVEAQAKYVGHLGGALSKNLLLKDKKHRYYVVSALANTNIDLKVLSQRLGLGKGGLRMAAEEALQEILQVPLGCVTPLALINDSARDVSLLLDQGFKSQQSCYFHPLTNEVTLSISASSLDKFLISIGRQPSYVDLEANPAVGKDNPPDLASLAPSGVPTLPDKVENAVTSIPTGNDGLTVKKPTKLAGEAKSPAALQKEKLQKVQSSIDPLAEATNIEKLVKEIMDKTSTAFLNEITKDLNDPQIAPSVSDVVKRRVSSDLEHMMMSLKNAAYTQGFQAGFQATLRSSLQSISMQK >Ma09_p10380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7064457:7071111:-1 gene:Ma09_g10380 transcript:Ma09_t10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAAYASPNQVTTDIIQQYLDENKQLILAILDNQNAGKADECAENQAKLQRNLMYLAAIADSQQVPTLAQFPPNTVMQSGPRYIPHQQAQPMTPQSLLAARSSMLYAQSPMSTLQQQQQAALHGQLGVGSGGNSGFNVLHGEASIGGNGMLAAGVFSDFGRSNLVKQEAGNASSAEGRGGNPGRQSGDGAEPLYSKGSEEEANQ >Ma09_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7064457:7071111:-1 gene:Ma09_g10380 transcript:Ma09_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAAYASPNQVTTDIIQQYLDENKQLILAILDNQNAGKADECAENQAKLQRNLMYLAAIADSQQVPTLAQQFPPNTVMQSGPRYIPHQQAQPMTPQSLLAARSSMLYAQSPMSTLQQQQQAALHGQLGVGSGGNSGFNVLHGEASIGGNGMLAAGVFSDFGRSNLVKQEAGNASSAEGRGGNPGRQSGDGAEPLYSKGSEEEANQ >Ma04_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23904202:23909243:-1 gene:Ma04_g21310 transcript:Ma04_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRILPTFLLSRPITAAIASGCRRSFRWATAAADDDDNTIVQEVTEGNEKPRTAPLSPPHCDLTSARVLRVLRLRNPYAAFASVKEFENLGFRHTLDTYSELVGVLGEAGHRKRLIFLFSDMFLMNSRSLGFEVSDVFDVLYRRSYGTSVMIVVFDALIKAYVLCRMPQQAADALSQLPSLGFVPSIKTCSYLMNYLAESGNLDLVLQVFEKMMMLGIDLDAYAFTILIKSLCREGKLDETLGVLDVMRKVGIQPDRITYHTVIEGMCTNGKPDLAYVLLKEITRRGILLGRIAYNKVISRLCKEKRVREAENVLEDMTRQGVVADAFSYRCLVKGHCIGGNFLRALDLYEEMVSKGIRTDHVIKTCSYLMNYLAERGKSDLVLQVFDKMKMFGIGLDAYAFTILIKALCREGKLDETVGVLDVMREVGVQPDRITYHTVIQGMCTNGKPDLAYVLLKVITKSGILLDRVAYNKVISRLCKEKRVQEAENVLEDMNRQGILADAFSYRCLIKGHCIGGNLLRALDLYEEMVSKGIRTDHVIVSLLLQYLCKAGMTSKALEYFSRFRESGILLDKILYNIAIDIHCKLGNMSEAVKLLLEMECQGLSPDRIHFTSLIKGYCNKGDMANARKVFIHMLNISVDPDPVTYNILARGFCRNGPVDDAFYLVAYMFNHGIQPSEITYHLVIDSLCREGKLEESNILLNTLAERGVAQSSLLVSAMVSCHLQTHCTVEAYALFIWLFRQGYHVNEIACSKLITELCKEGDIRRASVVFKSMLSRQITPDEICYSKLIRAYSRIGDMTSAHVWFKDMLKRGLSLDVVVYTTLMDGYCKVNRLHEAFQLFVEMIESGISADVLALTVILDGHLKETRRQDWLYYNNREDKVKMRSKCLMLLHNMRTMEIKLDVICYNVLIDGYCRLEYVQLAHKLFSEMIERGLSPDACMYTALVCGYCRLGEVRKAEDLVDEMLLKGIRPDKVTISVIERWSLRPRMMQFQ >Ma02_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22604436:22607928:-1 gene:Ma02_g14600 transcript:Ma02_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCLCSSSSSPKPHLSFNIFPNSSPFCARRHPLLVSFHPIPLKGLILSPSSRSSLQISPPFAVAEALEQAAETSASPRGASSSKVDKSGRFCSPRAARELALMIAYAACLEGSDPVRLFDKRVNAKREPGYVFDKAILLQYDHMSFGGAPVEVGTEEEAEGLMLQNDKDSANEAEVLSAPPKLVYNKFVLRLTRNILVAVVDRWNDHVRLIAKVIPQNWKDEPAARILELCILHLAMAEITSIGTRHQIVINEAVDLAKRFCDGCAPRVINGCLRTFVKEYNTVDTVRPSEAPTA >Ma06_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16023394:16024141:-1 gene:Ma06_g21680 transcript:Ma06_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSSAAALAASVSQNIALLVNRRGYAAAAAAAAGVRRSVEQKTVARKVVDSSTTAASSSTSASSNSWVPDPVTGYYRPSNLRAQVDAAELREMTLSHKQ >Ma09_p09750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6423292:6427307:1 gene:Ma09_g09750 transcript:Ma09_t09750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAGNILNNIMETIADGVQQHKPEIEEQNTASARVRRLFGRQKTIHKILGGGQPADVLLWRNKKISSSVLTCATVIWVLFEWLNYHFLTLIAFALFLGMLIQFAWSSASVVLNRSTSQVPRLVIPDDLFANVAVSLGAQINQFLGFIQDVACERNLKQFLVVVASLWAAAIIGTWCNLLTVVYVGFVCAHTLPIVYEKYEDQIDDFLYNLLGQFQNHYSKLDVSVLSKLSKGNLRSKKND >Ma09_p09750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6423291:6427307:1 gene:Ma09_g09750 transcript:Ma09_t09750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAGNILNNIMETIADGVQQHKPEIEEQNTASARVRRLFGRQKTIHKILGGGQPADVLLWRNKKISSSVLTCATVIWVLFEWLNYHFLTLIAFALFLGMLIQFAWSSASVVLNRSTSQVPRLVIPDDLFANVAVSLGAQINQFLGFIQDVACERNLKQFLVVVASLWAAAIIGTWCNLLTVVYVGFVCAHTLPIVYEKYEDQIDDFLYNLLGQFQNHYSKLDVSVLSKLSKGNLRSKKND >Ma09_p09750.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6423292:6427307:1 gene:Ma09_g09750 transcript:Ma09_t09750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAGNILNNIMETIADGVQQHKPEIEEQNTASARVRRLFGRQKTIHKILGGGQPADVLLWRNKKISSSVLTCATVIWVLFEWLNYHFLTLIAFALFLGMLIQFAWSSASVVLNRSTSQVPRLVIPDDLFANVAVSLGAQINQFLGFIQDVACERNLKQFLVVVASLWAAAIIGTWCNLLTVVYVGFVCAHTLPIVYEKYEDQIDDFLYNLLGQFQNHYSKLDVSVLSKLSKGNLRSKKND >Ma09_p09750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6423286:6427307:1 gene:Ma09_g09750 transcript:Ma09_t09750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAGNILNNIMETIADGVQQHKPEIEEQNTASARVRRLFGRQKTIHKILGGGQPADVLLWRNKKISSSVLTCATVIWVLFEWLNYHFLTLIAFALFLGMLIQFAWSSASVVLNRSTSQVPRLVIPDDLFANVAVSLGAQINQFLGFIQDVACERNLKQFLVSFMSGCSKLVGCCYYRDVVQSPHCCLCRICLRSYAADSV >Ma09_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6423292:6427307:1 gene:Ma09_g09750 transcript:Ma09_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAAGNILNNIMETIADGVQQHKPEIEEQNTASARVRRLFGRQKTIHKILGGGQPADVLLWRNKKISSSVLTCATVIWVLFEWLNYHFLTLIAFALFLGMLIQFAWSSASVVLNRSTSQVPRLVIPDDLFANVAVSLGAQINQFLGFIQDVACERNLKQFLVVVASLWAAAIIGTWCNLLTVVYVGFVCAHTLPIVYEKYEDQIDDFLYNLLGQFQNHYSKLDVSVLSKLSKGNLRSKKND >Ma06_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6085438:6087071:-1 gene:Ma06_g08630 transcript:Ma06_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLRYLVLVLILNLWSSCISAETLPDEALALQSLASKWQVGPGNWAGSDPCGSNWVGINCSNSHIIYIVLSGLSISGSLSDEIQNLPELVHLDLSFNPGLGGPIPRTIGNLVKLRRLVLVGCSFSGFIPPELGKLSRLAFLSLNSNKLRGSIPGELGNLSSLIWFDITDNEISGSIPVSDGTNLGLDMLKKCQHFHFGKNNLSGPIPPELFQSNTSVRHVYD >Ma09_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12254473:12255737:1 gene:Ma09_g16800 transcript:Ma09_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPPLLYFAPPSSSSPPTLYLPVSARLSLRLQRNLILQPRSFDGLTVSLPRAFAERRLTIVHASSETDGNEGSEAEASPPEASETAMSVENLPLESKMQLKLEQKLRMKLAKKIRLRRKRLLRKRRMRKKGRWPPSKMKKLKNV >Ma04_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2936929:2938604:1 gene:Ma04_g03810 transcript:Ma04_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDDCSIRPCLRWIKSPESQANATVFLAKFYGRAGLMNLINAGPDHLRPAIFRSLLYEACGRIVNPIYGSVGLLLSGSWQLTQAAVEAVLKGAPIVQIPSETAASTPTPPLKASDIRHISKDPGAGGCARAAAELHKVNKAGSRFKRSGAKAARPAAIHPLEFHESTGSDSTEPAADAGVGGGGDEECQVEESMSSADIAEASSHVSQGEPDRAEAEEDDEVGLELTLGSGPFSRSHRDEARRVHDPDACTTDLGLAS >Ma02_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21749200:21750077:1 gene:Ma02_g13290 transcript:Ma02_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFTAECSHAFHFPCIIAHVMSHASLACPICFASRCHAPFLFVLRRREDDKPVFDKGGEQQLGLNRVAVIAVVAIAAEVALLSEEQRHRSYMVALKVKEPPIGSFAPLCAPIDLMTGEKLRMLKRAMRLVVSSLGLGDRLSIVACSTATSAKRLLPLRQMSRQGHRTVR >Ma10_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21869269:21873539:1 gene:Ma10_g07490 transcript:Ma10_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTAAAGMGMGGRAPFTAAQWQELEHQALIYKYLMAGVPVPPELVIPIQRSFEALAGRYYHHPALAYCSYYGKKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRGRNRSRKPVESQSASQMQSSSSTVTSIAPAGSGGGGNGGGSFQSIPQLSVAGHSNTQQSLCLGTSSSSQLPLGPGPFGNRYFCGVKPGADEHNFFSETSGSTRHLGMDSIDGSWRLMPSQVSSFPPSEARGPSLLQSACPPPQSVQDLGQVSFSSISKQQQQHSFVGSKFDSPQPAKHESQFLRPFFDEWPKTRDSWSDLEEDRSNRASYSTTQLSISFPMASSDFSTSSSRSPKHD >Ma02_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27469939:27472644:-1 gene:Ma02_g22000 transcript:Ma02_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGGVVLAVALALLLCGASEAWTGEIRGRVVCDVCGDASVGPEDHVLQGAEVAVLCITKSGDVLNYQAFTNLKGIYKVAETMPESDRWVSCLARPVSSFHEHCTQRGDAHSGIKFSYNRPSGHSHTVKPFLYKPASTPLYCS >Ma05_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4437277:4441107:1 gene:Ma05_g05870 transcript:Ma05_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTIIPSSTAVKFPDLEVSFPDGRCVKLPINAAQTVTDTSQMPAPYVSLLCLSFRASSLGMVESWILPFRDAFSDAGTVQIYEVSFIDSWLLSLSPARRLILKMMRKSNDPHRQVMYAFGDHYYFRKKLQIVNLLTGYIFLLDGLGRIRWQGFGYATEEELSWLLSCTSLLLDEK >Ma03_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27848524:27850413:1 gene:Ma03_g23210 transcript:Ma03_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATYRATARHPSSSPVSSLCPSKASPSSLPFPLRPCPNQPLLRSHLRLSSSASVAAASSFAELPYPSSDDVFAFDDKPREECGVFAIVGDPEAAQMCYLGLHALQHRGQEGAGIVSSDGASLHSCTGLGLVSEVFSHTSKLEPLVGSAAIGHNRYSTAGATSALANVQPFVASYRFGQLAVAHNGNLVNYHSLRYELESRGSIFSTTSDTEVILHLIATSTTRPLLARIIEACESLEGAYSLVLLTADKLFAVRDPHGFRPLVMGRRPNGAIVFASETCALDLISAEYVREVNPGEVVVVDGHDMSIITSCLLPKKPRKGCVFEHVYFALPNSVVFGHPVHASRYNFGAALARESPAPGADIVIPVPDSGFFAALGFAEASGLPFRQGLIRSHYVGRSFIEPNQEERNLAVKLKLAPVYGILEGKSVVVIDDSIVRGTTSSKIVQLIKNTGKAREVHMRIASPPIISSCYYGVDTPRAEELISNRLDVEGVRRAIGSDSLAFLSLESLRVAFGKEGHMFCDACFTRRYPVLPREHEAVNVAEE >Ma06_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:264233:268185:-1 gene:Ma06_g00350 transcript:Ma06_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWESILGKAGRRFVKRKDSDAGENGRALEQPQSSFYSDFHTSEGAKHQQQRLCGPFVAMTFNFIVAVGIIMANKVVMGKVGFNFPVALSLIHYVTSWLLMAIFKALSLLPASPPSKATPFSSLFLLGAVMSLATGLANVSLQHNSVGFYQMAKIAVTPTIVLAEFMIFNKKVSIHKVITLAIVSISVAVATVTDLEFNFFGACVALAWIVPGAINKILWSNMQQTGNWTALALMWKTSPITIFFFVALMPLLDPPGVLSFNWNWNNVAAIIISALFGFLLQWSGALALGATSATSHVVLGQFKTCVIMLGGYVFFNSDPGMISLCGAVVALSGMSFYTYLNMLKESAAASKKLLPKQNSFTLKPKSTMDDQKVGMDIVDSV >Ma09_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10287863:10294453:1 gene:Ma09_g14980 transcript:Ma09_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESAHPVVLAWGSGEDGQLGMGNWEEKDWAYSIKSLEPKNVVAVVAGSRNSLAICGNGQLYTWGWNQRGTLGHPPETKTESVPSLVDALAGVKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKEDGSRALRRDIAIPQRCAPKLSVRQVAAGGTHSVVLTQDGHVWTWGQPWPPGDITQISTPVRVQGLERVRLIAVGAFHNLALLEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSGLTLVDIAAGGWHSTALTNVGEVYAWGRGEHGRLGFGDDKSSKMVPQKVQLLVGEDIVQISCGGTHSVACTRDGRMFSFGRGDHGRLGYGRKVTTGHPMEVPIKLLPPRDSGSTDGQWCAKLVACGGRHTLAMASWVEEPGDSI >Ma06_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11923278:11928725:-1 gene:Ma06_g17570 transcript:Ma06_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHGKPLQIPESQEEGEPVPNTEEPTTVPGTPRQPKFPFCSPSPLPGYYKNSPANSSVTSTPLRFLKRPFPPPSPAKHIKALLARRHGSVKPNEAPIPEGSEVEVGLDKNFGFSKQLFSKFELGEEIGRGHFGYTCTAKVKKGDMKGEEVAVKVIPKAKMTTAIAIEDVRREVRILSCLTGHKNLVQFYDSFEDEDNVYIVMELCKGGELLERILSRGGKYSEEDAKAVIVQILSAVSFCHLQGVVHRDLKPENFLFTSEGEKSTLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPTFDEAPWPSLSSQAKDFVKKLLNKDYRKRMTAAQALCHPWLRNPEVKIPLDIIVYKLVKAYICSSSLRKSALRALAKTLTVDQLYCLREQFALLGPNKSGYISLQNLKTALSRNSTEAMKDSKVLDYVNTVSSLQYRKLDFEEFVAAAISVLQMEALDTWEQHARHGYEFFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIKHSDGKLSLLGFVKLLHGVSSRAIPKS >Ma06_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3445316:3449860:1 gene:Ma06_g04630 transcript:Ma06_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLIKLPQHILLPFAGVDLMARMGSNNIQAKLVLLGDMGTGKTSIVLRFVKGQYFDSQESTIGAAFFSQVLSLNEGIVKFDIWDTAGQERYRSLVPMYYHGAAAAIIVYDISNMDSYVRAKKWVRELQRQGNPYLILALVGNKIDLEAKRKVESEEGLQYAQENGLFFIETSAKTAENINELFYEIAKRLAKARPPQSSGMNLSNETQVRERRLFCCSG >Ma03_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22181330:22183073:1 gene:Ma03_g16960 transcript:Ma03_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDRYSNLFKGSLNHYGFPSHTSATHSLYAAFTNYTYVQSSPASPPLREALPLLSLSPTRHGHQQEEDVEEEEEEEEEEELETSCSAFVEGDGDGKSNWLSRGDSVEEEAVSVALHIGLPSPREADLVSRVSSTSVKEDKELEEDDDGVDAIPLRHPTIGKLTRGQYWIPTPSQILIGPTQFPCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLKGTQPTGMLRLPCYCCAPGCRSNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGRGHAPYGLDCFDEEDEPSSEIEQDSDHHHQVQQQCSQSR >Ma11_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2569640:2572207:-1 gene:Ma11_g03450 transcript:Ma11_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASRMSSTCVTRWSTRASLGTSSWRGVRVPAVLRECRRIIADSEIMKEDDNNWPPPDRVGRQEMEIVMNNEHISFTTSKIRSLVDVQGSQDPKGLRVFYYLVRCFVVSLISLQFKIKPIES >Ma08_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9278096:9278871:1 gene:Ma08_g12280 transcript:Ma08_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSFVLVFTFGLVIILSKTGVEGRRLEENPLDSSLRGVQGKEYVHADNKVVFISSSTGGSNDEEAKKDINSIPGEKKVKAAADASLGYSFGATKDAENDKMFDVSTMSRTRPTDKLPHHNLRDSAKRP >Ma05_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11489906:11496016:1 gene:Ma05_g15410 transcript:Ma05_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSARVFSEPVDGGTDIYSCPSSSADHLVVMVHGILGSVADWEFGANQFVRMLPDKVIIHCSERNMHRLSLDGVDVMGERLAEEVAEVVKSRPELQKISFVAHSVGGLVARYAIGRLYSPPRRKTIEDPLLDVHNDSPSGTICGLEAINFITVATPHLGSRGNKQVPFLFGVTAIEKVASHVIHWIFGRTGRHLFLTDDDEGKPPLLQRMVDDYDDLCFMSGLQAFKRRVVYANVGYDHIVGWRTSSIRRNSELPKWEGSLSENYPHIVYEEQSKGSITDECADLSLMDGDSDMLEEKLVRGLTRVSWERVDVSFHGSRLRYAAHSVIQAKFSFMHSDGEDVIRHIIDHFLL >Ma01_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:278027:282823:-1 gene:Ma01_g00390 transcript:Ma01_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSLLLRPLAVAAVTITAVTFFVAFLHRQSPASSPLPLSDPMAARSFVLWLHGLGDSGPANEPIRTFFSSPEFRLTKWSFPSAPQSPVSCNYGAVMPSWFDIYEIPVTAESPKDENSVLKAVQTVHAMIDKEIANGISPENIFICGFSQGGALTLASVLLYPRTLGGGAVFSGWVPFNSSIIARISPEAKKTPILWSHGLADRTVLFEAGQAGPPFLEQAGMSCEFKAYPNVGHSIANEELRYLESWIRTRLKSSS >Ma04_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:768541:768965:1 gene:Ma04_g00820 transcript:Ma04_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVIVLVASSREGVASETYTVLFIPIIPSPSPRNVIKYLHHVKLTNKHEPLVPKRVLTVRRNHPGNQFHIGTRPPIRHSRIYQN >Ma07_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:548305:551336:1 gene:Ma07_g00640 transcript:Ma07_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OSB1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G47720) UniProtKB/Swiss-Prot;Acc:Q9SX99] MLRRPSTVRRGSVPWNSCSFIGTVIFPVKRYASDFPGVYTSLEVKWPSCDSSSSSFWIHLHLSDKLEKVSLKYLKPNDIIYVRGRLDSYKKHFEDGSHAIFYKVHVVDLNFVKRDRQIQKSTEMEISVVEELTVPVSSTADDDKELRDRLHLWQVFFANPYEWWDNRQKKFFSGSADFRHKNTHDGLWLRPDDPSWVRRQLQLYDSNITMNRRSKVHEWEMEDLL >Ma04_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26185769:26190618:1 gene:Ma04_g24130 transcript:Ma04_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEEPVPSRYVKLTKEQDAPLEEIRPGELNQPVHVPQLEVRRCAECGQPLPESYQPPGDEAWTTGICGCSEDPESCWTGLFCPCVLFGRNVERLREDTPWTTPCICHALCVEGGVALAVATAVFHGIDPRTSFLIGEGLVFAWWMCGIYTGIFRQSLQKKYHLKNSPCDPCMAHCCMHWCAICQEHREMKGRLSDNLVMPMTVVNPPPVQEMSNRNSEVPETPPQQTQHIELETQAL >Ma09_p02840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1943142:1946458:-1 gene:Ma09_g02840 transcript:Ma09_t02840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRICLGLSIACLALILVDGSFAAFTPADNYLLACGSSQNVSVQGQVFLPDSQQSSFSLRTSGDGTLSTSDSALPSPIYQSLRIFSEPASYNFYLRQQGRHWIRLYFYPIANSGHNLSAAPLTVITDEFVLMNNFTFKNSNRTYLFKEYSVNVTSDCLALTFIPSHGSVSFVNGIEVVSVPDELIYDQALAVPNAPFSGLSVLGLETMYRLNMGGPLLTPQHDSLGRTWENDAKYLHVNSSAVKASADLATITYPDGITIETAPRLVYSTAETMGDANVTDLNFNITWVFSVDPSFLYLIRFHFCDIVSKALNTLVFNVYINSDIAIASLDLSSLKGDLSVPYYKDFVSNSSDGSNTMTVSVGPDVVADFSNAILNGLEIMKISNGAKSLDGVYAVKDLLPESPPGRNKLGIVIGMVLGSMTVITLMTLCYCCFIVRRRKTKPHDHAWLPLPFYGHSHTISKVSTTSQKSGTASCISLASTNLGRVFMFQEILDATNKFDENLVLGVGGFGKVYEGTLEDGTKVAIKRGNPRSEQGLAEFQTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPSLQWRQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPALNPVLPREQVNIAEWAMNWQKKGMLERIVDPALTGKINATSLRKYGDTAEKCLAEQGVDRPTMGDVLWNLEYALQLEETSSLDDPYENSIRSISGIVLPHMEPLDNSISVVEGANTGSENDAEDATTSAVFSQIVNPRGR >Ma09_p02840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1943142:1946458:-1 gene:Ma09_g02840 transcript:Ma09_t02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRICLGLSIACLALILVDGSFAAFTPADNYLLACGSSQNVSVQGQVFLPDSQQSSFSLRTSGDGTLSTSDSALPSPIYQSLRIFSEPASYNFYLRQQGRHWIRLYFYPIANSGHNLSAAPLTVITDEFVLMNNFTFKNSNRTYLFKEYSVNVTSDCLALTFIPSHGSVSFVNGIEVVSVPDELIYDQALAVPNAPFSGLSVLGLETMYRLNMGGPLLTPQHDSLGRTWENDAKYLHVNSSAVKASADLATITYPDGITIETAPRLVYSTAETMGDANVTDLNFNITWVFSVDPSFLYLIRFHFCDIVSKALNTLVFNVYINSDIAIASLDLSSLKGDLSVPYYKDFVSNSSDGSNTMTVSVGPDVVADFSNAILNGLEIMKISNGAKSLDGVYAVKDLLPESPPGRNKLGIVIGMVLGSMTVITLMTLCYCCFIVRRRKTKPHDHAWLPLPFYGHSHTISKVSTTSQKSGTASCISLASTNLGRVFMFQEILDATNKFDENLVLGVGGFGKVYEGTLEDGTKVAIKRGNPRSEQGLAEFQTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPSLQWRQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPALNPVLPREQVNIAEWAMNWQKKGMLERIVDPALTGKINATSLRKYGDTAEKCLAEQGVDRPTMGDVLWNLEYALQLEETSSLDDPYENSIRSISGIVLPHMEPLDNSISVVEGANTGSENDAEDATTSAVFSQIVNPRGR >Ma09_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1943142:1946458:-1 gene:Ma09_g02840 transcript:Ma09_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRICLGLSIACLALILVDGSFAAFTPADNYLLACGSSQNVSVQGQVFLPDSQQSSFSLRTSGDGTLSTSDSALPSPIYQSLRIFSEPASYNFYLRQQGRHWIRLYFYPIANSGHNLSAAPLTVITDEFVLMNNFTFKNSNRTYLFKEYSVNVTSDCLALTFIPSHGSVSFVNGIEVVSVPDELIYDQALAVPNAPFSGLSVLGLETMYRLNMGGPLLTPQHDSLGRTWENDAKYLHVNSSAVKASADLATITYPDGITIETAPRLVYSTAETMGDANVTDLNFNITWVFSVDPSFLYLIRFHFCDIVSKALNTLVFNVYINSDIAIASLDLSSLKGDLSVPYYKDFVSNSSDGSNTMTVSVGPDVVADFSNAILNGLEIMKISNGAKSLDGVYAVKDLLPESPPGRNKLGIVIGMVLGSMTVITLMTLCYCCFIVRRRKTKPHDHAWLPLPFYGHSHTISKVSTTSQKSGTASCISLASTNLGRVFMFQEILDATNKFDENLVLGVGGFGKVYEGTLEDGTKVAIKRGNPRSEQGLAEFQTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPSLQWRQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPALNPVLPREQVNIAEWAMNWQKKGMLERIVDPALTGKINATSLRKYGDTAEKCLAEQGVDRPTMGDVLWNLEYALQLEETSSLDDPYENSIRSISGIVLPHMEPLDNSISVVEGANTGSENDAEDATTSAVFSQIVNPRGR >Ma05_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20911492:20911728:1 gene:Ma05_g17620 transcript:Ma05_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSSVGASWADQWDYSNHGSGGQVLEKKKKSSGRSWKSGMEKTKAVAVLGFNKAKEGSSKGFHWIKDKYQKTTQKH >Ma07_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2336037:2338425:1 gene:Ma07_g02990 transcript:Ma07_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFNRYLFCCSYSHNVVPKWKFIAFVSTEAETDHPDIELKAGIDLLGRVDELFFDTYDGYEPVNDPSLDNCFISTSYDATTHFESTVVDVLSMYTKITGRTFDLSIDLSAAEE >Ma10_p28220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35182437:35184045:-1 gene:Ma10_g28220 transcript:Ma10_t28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFCNAHIAEFDEYWQKKEAMARANVQNAYNPNPESVTKHFNDAVLKDLEKNNTRRGLRGKRRYDGPCMATNPIDRCWRCQENWAHHRKRLATCAKGFGRHVTGGKNGDFYVVTDPSDLDLVNPRKGTLRYGVIQDRPLWIVFARDMVIRLTEELIVNSNKTIDGRGVDVQIMNGAGITIQYVDNIIIHNLRIHDIKAGNGGMIRDSEHHYGLRTRSDGDGISIFGASNVWIDHVSMSNCMDGLIDAIQGSTAITISNSHFTQHNDVMLFGASDAFSGDAVMQITVAFNHFGKGLVQRMPRCRWGFVHVVNNDYTHWMMYAIGGSQHPTILSQGNRFIAPPTLFAKEVTKREYSPEEVWKSWQWRSEGDLLKNGAFFVPSGAGKLGNVSYKDLIKAKPGTFVTRLTRFSGALKCVPNRPC >Ma06_p33880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34343022:34343890:-1 gene:Ma06_g33880 transcript:Ma06_t33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKALNHLLTLLLFLSFILSLDAAPLSRRLALRNQDDTAIKAAEQVINKPMLFEEGVPVNGRMDIELDDYPGSGANSRHDPKNPVKP >Ma11_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11757040:11757310:-1 gene:Ma11_g11090 transcript:Ma11_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPKHNQTSARDGFKIIFQQMSCCFFSVVRKDI >Ma11_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22996061:22996983:-1 gene:Ma11_g17770 transcript:Ma11_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFQQQQLPPPPPVYATSYRAHGGSVGPVIAVLAVIAVLGVIAGIVGRLCSGRTIMGYGHYDLEGWFEQKCASCIDGRLEVARPSANGTGAAQQAKPSDRRPEAPAEAAES >Ma08_p32050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42772131:42773066:-1 gene:Ma08_g32050 transcript:Ma08_t32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKGWLLHRLFDHRLEENVEEREPVTTSAGELGRLNIVGSAEIGPHPCWPGDLCLSRSPGDVDAGEFIISVPHVKQLSDAGGKLIIASDGIWDASTSEMAANCFCQAWFLAPSESVSGHAGSIFSTGSKPWQGAVAPFSLFPHKHPHRFLIFLLVCCHIFFLMGCMIISLYLYLH >Ma06_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12504429:12510016:1 gene:Ma06_g18400 transcript:Ma06_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERRRREGGTGGDSMPRREGRDHGGRSHHSRSEREREREREREREPSPKRPKRDGKSASERKFSGNHDLNVDDNKERKTRRRFQDALPVENSSATDTKVKSANLKDGSHRKVDPDNTKQSDQMDAPWARSHFQHDERGGAGHGGRSYVRRDADEGRNNAKEQTGDRVDKIEASDMQRKYDSRTRAHAGDNNEWRHDGFYELEVEAAAPRKRPAFSERKMATEKDSVAAPPTGPESRNHHDHQMLGAVRREEKGNNFSRGDKPERCFNRADDRYDKRGDRYLQRNEINRAGYQSREMHGGRDVRGRERFTGRYGERNMSRESGFQAEKWKHDLFDEANRSPTPKNEEEQIAKVEALLSL >Ma10_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30140087:30142521:1 gene:Ma10_g19630 transcript:Ma10_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGHQDTLHGGGGWYAVEPEMERGKRKTTMRAAEEGGEVDELLAALGYKVRSSDMADVAHKLEQLEMAMVSSAATNDDALLSHLASDTVHYNPSDLSTWVDNILSELNAPPPPLPTPPPLTSADAYDLKPLPALPAPADSLVLGSDLQSEVTPSPRDRKRMKVCSSPPSSSTTTDAATSAAAALPVVVVDSQEAGIRLVHALLACAEAVQQDNLKAADALVKQISVLATSQGGAMRKVAGYFAEALARRIYRPQPRGGVGCCSFDSVAFDDMLHMHFYESCPFLKFAHFTANQAILEAFAGCRRVHVVDFGMRQGMQWPALLQALALRPGGPPSFRLTGIGPPQPDNSDALQEVGWKLAQLAESIRVDFRYRGFVAASLADLEPYMLLDQDADGGGGGKDEEPEVVAVNSVFELHRLLARPGALDKVLGTVRAVRPRIVTVVEQEANHNGGTFQERFTEALHYYSTMFDSLEGGAAAAGADDGGPDQALSEVYLGRQICNVVACEGAERTERHETLAQWRGRMDRAGFEPVPIGSNAFKQASMLLALFAGGDGYRVEEKDGCLTLGWHTRPLIATSAWRVAAPAEDPLSASAAR >Ma11_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15953336:15955377:-1 gene:Ma11_g12140 transcript:Ma11_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKAFCMNGGSGDLSYARNCTIQSVIVSSTKAVRVDAVVEFYSTFFPENLIIAELGCSSGPNALFSAFDMMEAVEQRCLQLRRSPPEFHLLLNDLPANDFNTIFRSLPEFYQQRRRMESSGCGQFFVSGVPGSFYGRLFPSRTLHFVHSSSSLHWLSQVPLELQDKSNAPMNKGKIYLSKTSPNCVAEAYLMQFRKDFSLFLKCRAKEIVAGGHMVLTLMGRTRGSEPSWPEYSYMWELLGEALMDMASQGIIEEEKVDSFNAPYFSPSLEEVKQEIEREGSFSIRTLDLFEASWDAAHGSRQTKQEAAALGEITHAKRMAKGVRAVLESMLESHFGEGIMEELFSRYTSLLEGYYSRNKPQVTNIVIALTRKLEEENILRASVF >Ma09_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5318534:5322889:1 gene:Ma09_g08080 transcript:Ma09_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQSFGTGSPKSFHAYPRVDFDLESGNARKSFRKSKSTPLIDPIRKINSVVNRIHYVCKLHPVAVFLASLFVCLTMLVVLSVYETRFRMMGFRRNDDLSLSLGLYPLANLRNLVMVAGHSIYTSTTCGKVDSEDSWFLEPYQKNPGQAATFLAHIKEGVESAAKDKRSLLLFSGGETRKDAGPRSEAQSYWSVAESQGWFGRQDSVRSRALTEEHARDSFENLLFSVCRFRELTGTYPQNITVVSYDFKKERFAHLHRLAIGFPEGRFFYIGTPAAPGAQEAAEKGEAHVRAQFQEDPYGCLGSLHRKRLKRDPFHRLIPYPNGCPELKGLFSYCGPVPYPASLPWIE >Ma03_p11770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9139920:9147221:1 gene:Ma03_g11770 transcript:Ma03_t11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASQDAIMQLSALVDEVDEPLKVTFKNIHMGYPSETLVRFLKAREWSIPKAHKMLVDCLNWRIQNHIDIILNKPIIPADLYRKIRDSQLVGMSGYTKDGHPVFAIGVGLSTYDKASVNYYVQSHIQINEYRDRVILPAASKKYGRHIGTCVKVLDMTGLKLSALNQLKLLTIISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRRKVQVLQGCGRDELLKIVDPASLPHFCKREGSGSSRHSTSRSDDCFSLDHPFHQQLYNYIKQKGQEYVALLKQGSFHVDVPEPDPEGIKIVKTIESEMHKIGDLNGLNHSLSDLKIDTV >Ma03_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9139920:9146085:1 gene:Ma03_g11770 transcript:Ma03_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASQDAIMQLSALVDEVDEPLKVTFKNIHMGYPSETLVRFLKAREWSIPKAHKMLVDCLNWRIQNHIDIILNKPIIPADLYRKIRDSQLVGMSGYTKDGHPVFAIGVGLSTYDKASVNYYVQSHIQINEYRDRVILPAASKKYGRHIGTCVKVLDMTGLKLSALNQLKLLTIISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRRKVQVLQGCGRDELLKHQPEQVTKLSSVQVP >Ma04_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19358960:19370671:-1 gene:Ma04_g18000 transcript:Ma04_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPEAIREQIEKLEKMKADGALDKARKHKKRQLEDTLNLVLKKRKEYEEKMREKGEAPVMFSHLGPPRRRTNAEEEERVRHPKPEDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRIPLPASSSVPPPPPPPPPPLPSVDELTSRDDTTIPPSVPLPPPPPAQLKAVDNLSASLPPPPPPGPLPKEPAPASTLLPTPPPPPQQPLQPPPPGTTAKEADKVADSSDRPVLKDSNMITAVLPPPPPPGLPPKSANHQTDSTSSESVPNNAPSSNESSNMAPLLPPPPRQPPPMTHRIPLINPLQPDMTPHGIAHFPPDLRPPLVVPGISGRPLPPPPPGIIPPTIARPMFAAPPGPPPYPRPPFQPGPLIQHEDDFDALRPSVPQKPSFVKSAASTVVKRPLAQHNPELTAMVPASVRVRRETAAPKAKPKPQPSAAMGPATKPSALTSAGKPDTATTSAPKLQSMDDSYMAFLEDMKALGALDE >Ma06_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5923525:5926287:-1 gene:Ma06_g08360 transcript:Ma06_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTAAKTHMIKADRHLFSSSDDSVVMKQILATHSPDGRDINTRPLLRIVEDVLQRATPTVIVTPQAQLEPVDDKAHHVEVGGMLEALAYTIHRISCEITCKCSGGGDAHGTTLVLLNSLANYTWDAKVVIALAAFAVSYGEFWLTAQLHTINPLAKSVALLKQLPDILEHTDALKPRFDTINNLIKAMLDVTKCIIQFKELPSEYISPDTPAMAMALAHVPTAVYWTIRSVVACASQIVSLIGLGHEYISSTSEVWELSSLAHKVGSIHGHLTKQLDLCNRHIGEKKHIEAYQTLVRLFETVHIDNLKILRVLISSKDDLPLIDGSTKRRVSVDVLRRKIVMLFISDLDIIHEELFVLIQIYNEAHHGKLDRSYEVVWLPVTDRHVPWTAAKEETFNRLASNMPWYSLHHPSLLDPAVIKYIRDLWHFDKKPLLVVLDPQGKVVCPNALHMVWIWGSQAFPFTSNREEALWKEELWRLEFLVDEIDPIMLGWVKEGRHVCLYGGEKIEWIREFTNVMKRVSQEANIPIEMVYVGKSNPKERVKKAINVIANEKLSGYWQDPVMVWFFWVRLESMWHSKMQSGRTIDNDPIMREVMTMLSFDGSDDGWAIISHGSMDMVKSHGRKIIDCLLQFDSWKGSVQEQGFVPALTGALEPYHTHEHCTRLILPGDTGRITEQVVCAECNRPMEKFVLYRCCND >Ma05_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4160261:4164348:1 gene:Ma05_g05490 transcript:Ma05_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSVINASPSATCETMLLRSSPSSSAFRYAARRISAVRMAATSTASEEKGASSVEKEQRNQLPSNPPPLQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPEFVKEAAIQAIKEGKNQYARGYGVPELNSAIAARFKKDTGLEVDPEKEVTVTSGCTEAIAATMLGLINPGDEVIIFAPFYDSYEATLSMAGAKIKSITLHPPDFAVPVDELKSAITKNTRAIMINTPHNPTGKMFSREELELIASLCIENDVLVFTDEVYDKLAFEAEHICMASLPGMYERTVTMNSIGKTFSLTGWKIGWAIAPPHLTWGFRQAHSFLTFATSTPMQWAASVALKAPDTYFEELKRDYLVKKEILVEGLKAAGFIVYPSSGTYFVVVDHTPFGYENDVAFCEYLIREVGVVAIPTSVFYLDPEEGKNLVRFTFCKDEDTLRAAVKRMKERLYKR >Ma09_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1717731:1718897:-1 gene:Ma09_g02440 transcript:Ma09_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSPLMLQVLHARNEAPNQLPFLEVTAALLIMILTPNIKQSMQLYKCRLFIISFRAFDTISFDLSLAMAPALSFLHRMMLCVFFLATAMASYNISDYGAKPDGRTDSAKPFLNAWAAACNSTETAAIYVPAEQRDQDLRRRNSRRALCLTAATNWLPFEYVQGLSIFGGTIDGQGQAFWACRKAGRRCPQRAMQQHPDTGRDNHRPNTNGIHVQKSSDVAVIDTSIETGDDWIPMGEGSTTTGSVVVVVERSIGSLGSTPSEAGMQNITVTSVAFSGTENGLLIN >Ma05_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21803621:21808589:-1 gene:Ma05_g17880 transcript:Ma05_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKLCQSAMLLCSLVAILSCGLAEVMAQTTLELDTISGTGTERILVEEGNTTGLASTLSARTERVDPLDSFKKYKGGYNITNKHYWSSTVYTGRYGYIIGTIWLVGGLVSACVLRIATKTCSVRKERKQKKRLPCSKKYCVSPMLIGILLTCLSLVASGIVLGGSFKFYSRVKSIENIIVETSEEASQTIYNVTEAVAAMESVNNLYGGIEESSNLNSTSQMLYDEAANIQRQAENRMHLVNKGIKMLKVVTIFSIVLNLIAVLVALALRSLRLYQAMYLFITLCWLLTFFFWINFGLYFFLYKFSGDTCAALDGYQLNPQNSTLSSILRCSEHLPANFGLQEIREGIHDTIDQVNSNISTVKSSILPDLEYICNPFSGPPQYSYQPDNCSSNTIKIGDIPEKYTYSASDDGACSQGEFVSDNNYTRVLVYSMQKLLDGFPHMERLANCQLVKDAFSKILLKECKPLRNYAHLTCAALAVLSVIMVLLVLIVTSEAHHDHKYHSSDGSVRPHLAYAERSFDTTEMATENFELRL >Ma10_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29260783:29263098:-1 gene:Ma10_g18260 transcript:Ma10_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNAKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTESGNTKDDLKLPTDENLLAQLKDGFAEGKDLVVTVMSAMGEEQICALKDIGPK >Ma05_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28752498:28753437:1 gene:Ma05_g19760 transcript:Ma05_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLVIIYICSSTLYTFPFGCLCQILSLQVSPVFTAPECCLGSSYHGRVADIWAVGVTLYCMILGCCPFVGDSLKDTYDKIVHNPLNLPKELDSELKDLQQGLLCKEDDIMWTNPWVIRDCGPIPWTSCRCNSGSFQKENEMGSKSDTTDT >Ma06_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11239472:11241471:1 gene:Ma06_g16610 transcript:Ma06_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEDIYTKDGTTDLHGNPAIKKDTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLHQGNATAANNVTDWSGTCYVMPLLGAFIADAYAGRYWTIASFMIIYILGLTLLTLTASVKGLEPTCHSGVCDPTRAQTAVVFTSLYLIALATGGIKPCVSSFGADQFDETDESEKKRKSSFFNWFYFSINIGSLVAASALVWIQTNVGWGWGFGIPAVAMAVAVVSFFLGTPLYRHQKPGGSPLTRIAQVMVASLRKSGVKLPANKSLLYEITREYSAIRGSRKMDHTDQLKFLDKAAVQTQEDRINGAVNPWRLCTVTQVEELKSIVRLLPIWASGIVFSTVYSQMGTMFVLQGNTLDRHMGPRFEIPSASLSIFDTISVIVWVPIYDRVVVPVARRFTGRERGFTHLTRMGVGLVISIFAMSSAGVLEVARLRVVARHKRYDGVYVPMSVFWQVPQYVIVGAAEVFTFIGQLEFFYDQAPDAMRSMCSALSLTTAALGNYLSSLLVTIVSRITTKNGKPGWIPDDLDRGHLDYFFGLLAVLSLVNFGVYLVMAKWYTYKKAIDNETKAEAPNDM >Ma04_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2381819:2383221:-1 gene:Ma04_g02970 transcript:Ma04_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCRSPKLDPENPIPPPLPSEKASSRPQTPARVDALAGSPIRSPLRHADSTIPPPVVTVAKANRMSRDDASAVARLDGTSGVRAGERGLGTASAIVRRQRVTRADPVLRVAAVLLCMISFSVMASDKTEGWAGDSFDRYKEFRFLVAINVIAFVYSLFQVYAKIHRVIAMKYIIASPKRYYFDLAMDQVLAYLLMSASSAAASRNDAWVSRFGRDDFTDKASGAVAMSFLAFGVFALSSLLSAYNLLRTP >Ma01_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6662245:6667767:1 gene:Ma01_g09220 transcript:Ma01_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMESVVARPSSALRLKPPLPLHHLRCLGFGAGPLVVRGRWRSLDLSVAVARNGALSTNSAPQSNGVYTVGDFMTKKEDLCVVKPSTSVDEALELLVENRITGFPVIDDNWNLVGVVSDYDLLALDSVSGSGRVETSMFPEVDSTWKTFNEVQKLLGKTNGKVIGDVMTSAPLVVRETTNLEDAARILLATKYRRLPVVDSAGRLVGIITRGNVVRAALQIKHANERNSQV >Ma01_p09220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6662260:6667771:1 gene:Ma01_g09220 transcript:Ma01_t09220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMESVVARPSSALRLKPPLPLHHLRCLGFGAGPLVVRGRWRSLDLSVAVARNGALSTNSAPQSNGVYTVGDFMTKKEDLCVVKPSTSVDEALELLVENRITGFPVIDDNWNLVGVVSDYDLLALDSVSGSGRVETSMFPEVDSTWKTFNEVQKLLGKTNGKVIGDVMTSAPLVVRETTNLEDAARILLATKYRRLPVVDSAGRLVFHVEGWDHHKGKCSQSSTPNKACK >Ma07_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8546723:8550564:1 gene:Ma07_g11510 transcript:Ma07_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSAPKPIRCRAAVCRAGGEPLQIEEVVVAPPKAYEVRIKIICTSLCHSDVTIWSIKDPAGFPKIFGHEAVGVVESVGEHVEEVSVGDMVLPFCLAHCGDCVDCRSVRSNVCTGVPLGLLDGVMPRDGTSRFTDASGAPVQHFLNVSSFSEYTVVDVTRVVKVAAAMPPEKACLLSCGVSTGVGAAWKAAAVEPKSTVAVFGLGSVGLAVAEGARLQGAGRIIGVDLNPDKFEIGKKFGITDFVNPKDIGERSVSEVIKEMTGGGADYCFECIGLASLMQDAFQSCRPGWGKTIILGLEMHLSPMSISTLEILQGKSIIGSLLGGIKPKTDIPILMNRYLNKELHLDEFITHEVGFHDINKAFELLMAGKSLRCIIWMDR >Ma02_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23280464:23283567:1 gene:Ma02_g15650 transcript:Ma02_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLGLLRVRVQRGVNLASRDAGGSDAYVVLRMGDQKLKTSVKKNCLNPEWNEDLTLCVTDPIQPLKLEVYDKDTFTPDDIMGDAELDIQPFMDAVKMDLAGIPNVTIITTVRPSRQNCLVDDSHISRKDGSVAQDVVLRLRNVESGEVELQLLWVNISRAQDI >Ma10_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15470525:15471283:1 gene:Ma10_g04820 transcript:Ma10_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPIITLAFHLFLSRLSNSIHHSLPNCCPWSKLVDRFAFSCSDSLTDATSCLRKNLTYFYINYMTIIVVVLVISITSHRPLLATNITANFVDVLKCLFQCRSHI >Ma05_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35846644:35848154:-1 gene:Ma05_g23690 transcript:Ma05_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVIRRAFPTTLRGPACAWYSGLRTGTVASFDQLAKDFELNFLANARSKPSVALLLGLHQREDEFLSHFVNRFATQIWGLSDAHPSLLMQAFMVGLRPSRFFWSLVERPPTALPKMLQRANQFVAAEAWMAKK >Ma07_p20550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28408177:28410542:-1 gene:Ma07_g20550 transcript:Ma07_t20550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYNEEYSQIGVSREEKDKLVGEVIRFVLFKTHQNSGCPIKREELTQLITKNYRQRSLPALVINEAREKISNIFGYEMKELQRSRPASNKQGRAPQQSAVEAKSYIVLSQLPADIYTKYIEDKKTSHMTGFTFVVISIVHLAGENLWHHLRRLGLNESDENNAVFGNTKQTLEMLVHQRYLQKEKVNGPEGNIIMYELAERALDESIAEKLKDYIGQVVSNDTAAEAD >Ma07_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28408177:28410537:-1 gene:Ma07_g20550 transcript:Ma07_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYNEEYSQIGVSREEKDKLVGEVIRFVLFKTHQNSGCPIKREELTQLITKNYRQRSLPALVINEAREKISNIFGYEMKELQRSRPASNKQGRAPQQSAVEAKSYIVLSQLPADIYTKYIEDKKTSHMTGFTFVVISIVHLAGGKISEENLWHHLRRLGLNESDENNAVFGNTKQTLEMLVHQRYLQKEKVNGPEGNIIMYELAERALDESIAEKLKDYIGQVVSNDTAAEAD >Ma02_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21238713:21240149:1 gene:Ma02_g12540 transcript:Ma02_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADRAMNGGPAPVHQQKRQITAGVVVGGGGGSSGGTVAVKKAPPKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGSGTIPASFSTTSPSVATSSKPTSSPAPFILGKRLRADAADDSAKYDRFIAAGGGATIGIGPATGFWALPARADFGQVWSFAAPEMVASQHAAFTGTFAGQPMGEASAARVGNYLPLAGHLNLLASLSGPPGATSAAAAAQAEDETR >Ma09_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3531887:3532734:-1 gene:Ma09_g05490 transcript:Ma09_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGSSNSPSPFLTKTYEMVDDLSTNSIVSWSPINAGFVVWKQLELLGICSPSISSTIISPASSGCSILKVSERLTLIGGSLQMTISNEDKSIY >Ma11_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3300532:3302071:-1 gene:Ma11_g04170 transcript:Ma11_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEWERTLGMELGMGMGDTSSTTSAAVAQPEGAAASPAYLSGAPAAADTVRVDDVLDFSGHHIFPDFGTAAATDVQFFAPTNPFSADASQPLPAETNSSSDFQCLQASFDFYIPREEEAELEWLSQFVEDSFSDVPCQYTSLAAPSDDSHPRVDQCISSRAARSKRSRTSNPSAVWSSLTPPQQPSPSSSSSSSDLPSSLPTSIGAKSISSSSSSGSRGKKSGGGEGGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKEFLCLRHENQPSPSSAAAAAATRPELLLDDYSVC >Ma10_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21844274:21856493:-1 gene:Ma10_g07460 transcript:Ma10_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGKKKPEAAAAAAEAAGEDGRVEDGSGDVGDEEKEEEEDEEEEEEEEGEEVGEAEAGEVPRLEDGFYEIEDIRKKRVRKGQIQYLIKWRGWPETANTWEPFENIQSCADIIEAFEERSRSPRARRRKRKHGGPYGIAAHKKRSLQTEESKTAPNPTSLNQNDATGTAVAGADGDKSMGLVGKKLVVEEEIGDLKKSRAEEVNLTTSLDRMGDDQNLEVLNSAEQIGTNGHVSVNPPEAREEDGSTDGFSKVESTRASQGNVVTGAKRRKSGCVRRFQQGSAMGHQDEQQKTSMRRQTGSCGKGEKSGNKNVNSELEDKNKLDDTGELPSITKLLKPVRFYASVTNNVQQVSITFKALRSDGKEVLVDDKELKANNPLLLISFYEQHLRYSPNQ >Ma09_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4174665:4178934:1 gene:Ma09_g06500 transcript:Ma09_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREHSNSEEVVLAAEVGHARLITLNRPRQLNVISSRVVFLLAQFLEKWEKDDDSQLVIFKGAGRAFCAGGDLKMFYEGRKDDSCLEVVYRMYWLCYHIHTYKKPMVALANGIVMGGGASMVVPTKISVVTEKTVFSVPEASIGLHTDCSFSYILPRLPGYLGEFLALTSARLNGKEMIAAGLATHFVPSEKLHELENCLVNLSSTDEDSIRAIVEEFSLNVQPDEESILNKLSTIDKCFCEGSVEEIIKSFEAESKMDGNEWISPILKGLKRSSPTGLKITLKSIRQGRKRTLAECLKNEFRLTMNLLRSVISSDVYEGIRALSIDKDNAPKWNPSSLQEVSREKVDLVFEPFADELELQIPTDEKSRWSGKYEDTVYPSLK >Ma04_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19381043:19382781:1 gene:Ma04_g18010 transcript:Ma04_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDQWASLGSLVASIMFLWAVVGRYVPLHHLEHSLTKHSRRLFAFVYPYVKVTIPEFSGERMKRSDAYTYVEAYLSNSCSQNASRLKAELGKDSGSLTLSMDEHEEVTDEFEGAKLWWASVSRSPPPQSISWYPPPDSRRYYRLTFHRRHRDLVVGQYLAHVLREGREVELRRRQRKLYTNNAGNNWYGSKSTVWSHVVFEHPSTFDTLAMDPGKKRELMDDLIAFRNSKDYYAKIGKAWKRGYLLYGPPGTGKSTMIAAIANFLDYDVYDLELTSVKNNTELRKLFIGTTSKSIIVIEDIDCSLDLTGKRKRTKSKKEDEGGEDKTKLPGEEEDKEESKVTLSGLLNFIDGLWSACGGERLIIFTTNHVEKLDPALIRRGRMDKHIEMSYCHFEAFMVLANNYLGIDSHPLFDTIKELMEEVKMTPADVAENLMPKSVKDDAGSCLEGLIQALEMARGAAAKVDDGSGADETVESE >Ma10_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30946078:30951696:-1 gene:Ma10_g20910 transcript:Ma10_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVGSPSFLRTPHSSFPFSNPLSSSVRSSQRKAARTRSPLATCCANGESHVGAVVTPPRRALLLAGISALPFLTLGAMAADVVVVQDKQDVKKPYDQDVIITEKQDDIKPEIQDLQDSALQDSSQEPNQFEQANLQNAPANSSISLQNGVGIIASGVLGALYATSQKEKTATKSTLESLESKLNEKEAVMFMMKENFEKMLQREQEEKKKQEKKFEEAETSLLNQLASTSETKAALLQELQNESKLVEELRAQISQLESSITRIAAEKNMLEAEFKEKVDNVDVLQDRVVLLGLQINDTEKNIESLKVSLSENESECKKLSSNVEQLRNELALANSTIQQLKEELLGTKAELNSKISLIDSLNEKIQSLSSEKDNCVQRIKDLMKDYDHLKTSSDRRAAHDAELLSKKEDQIRDNEEKLELAIAEGRDNNAIIAELRKEKDDLKALLEREASTVKILKGELQSTQEALGASKFEASNLSKDLDEARGSYEKLMTEVSKIQDDFSETKNTLAGSLEEAKSNAKFLSVELISVKAVLKKTNEELDITSKELKNAVADHENLKKELVETYKRLEAAMHEVNEERKVVSTLNRELDVLGNQILTDSEARRSLEADLDEATKSLDEMNESALLLSKELESSNSRNVSLEAEKEMLLKSLTEQQKVTKEAQENIEDAQNLVMRLGGDKENLEKRAKKFGEELASAKGEILRLRRQISVGKESVDELELELHPKANEAAAGAPFSVRKTANRRRKRRNT >Ma03_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6829685:6831866:1 gene:Ma03_g09270 transcript:Ma03_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVQTPQPQSPSSSISTISFSFSSHTSVPKLDEPKQKKAGKRKARTANADAPCLKVKKTSNFKGVTRHKLTGRFEAHLWDRTSWNGRKNKKGRQVYLGAFKDEETAARTYDLAALKYWGPQTILNFPAQTYATEYYMMHQISREECVASLRRRSDGFSRGVSKYRGVARHHQNGRWEARIGHVGGSKYLYLGTFDTQEEAAQAYDMAAIKYRGSKAVTNFDVGRYTQNPSFPQAQSCPAPPLELFPELTQPQPQPYFFEPADFVTLHNLVPLQVTDTVAEIPLLDGTSGFPFDLTDGDAFAELYTGFDVATSHMDVESKLFDSDLWQTFESFLKEIEGDDALLSVGGASNNEPKAC >Ma09_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29470129:29470820:-1 gene:Ma09_g20510 transcript:Ma09_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALALCFDHRKSRDMACMSELSSSKQHKYIWYALFGYVVGLITALAAGVLTQSPQPALLYLIPSTLGPLDFHSWARNEISELWNGGSPAPSEKVRTVDV >Ma07_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2220748:2223676:1 gene:Ma07_g02820 transcript:Ma07_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSSDRSPKCFLNQNWDRSVDHISQFGSALGSFMSPQSSFELERGLQRIGHLSEEVPPQSHFMDSSGNSQALGGLVIAGNLALAHLDQFPADPGFAERAARFSSFDGQSYGWFSGHFELPGSGKPSTAAIIQSLTASGLQIGHLESNRQIPVSNGLQLKMNSAGPAISREEMSLSDPISASNGKKRKAPSKSKEKDAASPKPSTDPPKVADEEDSDTKRCRSSGKIHEIGIDNVKPKLEQNDGARSNGNAEQKQRKAGNDKPSEPPKDYIHVRARRGQATDSHSLAERVRREKISQRMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFDNLANLRAKDMIQIGGPVPNSAYSPEMSMVSSYADVPQQKDILHSIATNGMDFHCSMNLLDSTGHQDLNAHQACLGGFGDSSSQLGFFWEDDLQNIVQMGIGQDQEIVASSHNFHGGTSPAAQMKIEL >Ma07_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2296642:2305984:1 gene:Ma07_g02930 transcript:Ma07_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFGLEKKSTREKKRWGFGKSKHGEFSSFIPLYREPSSIEKILEDAENEQHQRLHLVPPKKIQQSKSPALRPAVIPNYVHISATRIQAAYRGYKARQSYGALRGLMRLQRVMRGQHVKHQTMNTMRCMQMLVRVQSQIHSRRLQMVESRSLQLHHTPRMSEKEMESNFGEWSVAHQTEAGGYGEWDDSLLTKDEEEARMRRKVEAVIKRERALAYAYSHQLLKVTPRSAEAMLTGLRSASAPWWWTWLERQLPSDQAYAAPPVETPRFLAAGLPRATPAPAPAPQQPHRHRLRRRHEDADDASLTSCPPFEVPNYMAPTASAKAKVKDHHANQEPKKKRFSFALGQSIGSLFGGKETMGGGGCGDGSGCGASQRTERRGKHRSTVSVGGMSIDSIVSLPAGAVAGRRSFV >Ma04_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5739474:5742256:-1 gene:Ma04_g07940 transcript:Ma04_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNVVSCLIFLLGLLFSSGLAKESEDDLSFNPSLMQKAKSNKESILLKPIVYEPERQSNRNLHTRNKLQSSRTVREMDVVTPLTTVPMINPTTTPTTVTPNYNPFPTTSTPSMTPTTNPYSTPTMATPSSPSGQSWCVASQTASQTALQVALDYACGYGSADCSAIQQGGSCYNPDTVRDHASYAFNDFYQRNPIPTSCDFGGTAVITNVDPSTSTCQYPSTSTSSSVLNTTYPTGSTLFGSVPPATSGSTLMLNSITPPIIVICLLMSLIFLSVTR >Ma10_p25330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33529184:33530784:-1 gene:Ma10_g25330 transcript:Ma10_t25330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALVVDDLLAKPTLEAAAGNRKHAFVDTNTTSSSADPAASAKLKECRICHEEDHDSNMEIPCSCRGSLKYAHRVCVQRWCNQKGDTMCEICLQQFNPGYTTLPKLYDYGSTPMNFSWEISAQDFRDTQFITMFPSDHGAIDSAVGYRDHSRTSNTFCCRSITFMVLLVLRHALPLLISDDDEYSFTLFSMLVLKTAGVILPIFLVLGIINKIQRRRRRRVNWIALVTSEGERSPE >Ma10_p25330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33529393:33530784:-1 gene:Ma10_g25330 transcript:Ma10_t25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALVVDDLLAKPTLEAAAGNRKHAFVDTNTTSSSADPAASAKLKECRICHEEDHDSNMEIPCSCRGSLKYAHRVCVQRWCNQKGDTMCEICLQQFNPGYTTLPKLYDYGSTPMNFSWEISAQDFRDTQFITMFPSDHGAIDSAVGYRDHSRTSNTFCCRSITFMVLLVLRHALPLLISDDDECWC >Ma01_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4707135:4707707:-1 gene:Ma01_g06560 transcript:Ma01_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVRAHIAGSHVTVTVTCVASTVLAQLPALRSASGYSKLVVGLDIEWLSSDRNGKVALLQLCSGTQCLIVQLHHLDYVPVSLAGFLADPTVDFVGVGITSDAQKLREDYGWGVHNVVELAPLAAKKYGPNAGQSLVDLSRSVVEISIDKPASVTCSDWTAKHLSHDQIVYAATDAYVSYLIGEKLLAN >Ma11_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20469715:20473693:-1 gene:Ma11_g14770 transcript:Ma11_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEVRVQNVWVMRHGDRIDDQEPLWVAHAKRPWDPPLAEGGLIRAWTAGKRLRGAGVPIHRVVVSPFLRCLQTAAEVIRALCCVVDDDSLLLAMETSQDAVLDPSRVKVSIEYGLSEMLNSEAVGSTVAPKDKKWFPHISDLGALLPSGTLDQSAESVYKELPHWEESVLEARKRYVSVIRALADKYPNENLLLVSHGEAIGASITSALEDSMVFDVEYCASCHLRRKILSNPLQSFNTEDFKVVTESGQTGIAYGSIS >Ma06_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6672196:6673140:1 gene:Ma06_g09540 transcript:Ma06_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLWTVVTHLHSLAGPTITLVYPLYASIQALESPSKLDDEQWLVYWILYSFLTLLEMAAESILYWVPVWYQIKLVLVAWLVLPQFRGAAFVYDNFVRQRLRKCEEGKAADCSSSSPKDKNMSSKRSGASKDKDNDKNKFAPFVTLKKANIN >Ma04_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25087783:25090176:1 gene:Ma04_g22890 transcript:Ma04_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHSSILFLFASFLLSAEFIAVDAQLFIRGPFRLIDGPFSHFAEPSDGPDDRGLQRYIIHVRRPEGPLFTIATEWRNFYVSLLTKANAQFSLQGDDSPVSRILHAYRNVMTGFAAMFTAIEVEAMSKLDWFVHAYPDRTYDLLTTHTPEFMGLRDPTSGGGGRGGVWKESNMGEGVIIGVLDSGVTPGHPSFDDKGMPPPPSRWKGQCDFKTSACNNKLIGAQSFIRSYGLPAVSAVPPVDNEGHGTHTASTAAGAPVDHADALGHAAGVAAGMAPRAHVAVYQVCDRGRCAGADILSAMDAAIEDGVDVISLSVGSAPAPFHADPVAIGAFGAIKKGIFVSCSAGNSGPGVSTLSNEAPWVLTVAATSTNRTIRATVKLGDGQEFDGESLYQQPPDFGSKNLPLVWAGDGSKMNASKCRNGSLDGLDVRGKVVLCERGGNNRIDKGKVVQGAGGAGMILMNEQVDGYSTLADAHVLPASHVAYAYGSKIKDYIKSSSNPTAAIVFKGTTMNTSRAPAVPSFSSRGPSKESPGILKPDIAAPGVSILAAWPSTLGQGSQPQPTSTTKLTGFVFNMMSGTSMSCPHVSGVAALLKKAHPSWSPAAMKSAMMTTAYTSDNTGQPIVDERLLPAGLFSFGAGQVDPAKALDPGLVYDIDPDDYVPYLCGLGYTDAHVRSVIHSSVSCSLAKRITEGELNYPSILVPLGGNHPTAVVFSRTVTNVGEAHETYSSEIDAPEGVSVRVEPTSLSFTTVNEKKTFKITFESKGGGGSGNAEGQLKWVSGKHVVRSPIAISFK >Ma05_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7056225:7056350:-1 gene:Ma05_g09810 transcript:Ma05_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAFVFNPSGFEWQKTVDDWTDWTKWMENLGGIGIAADRS >Ma06_p32260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33190202:33196238:-1 gene:Ma06_g32260 transcript:Ma06_t32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILTLPVQNPPTLEFSAADIVWSRVEGWREKMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPEMAYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRNYATKRKTPAGRPNTKRGCVCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPLDKKAAGTRAMFAPYISDELRLQIMSLLYVGVPVETIMQRHSQMVQKQGGPCSRDDLLTHRYVRRLERKIRRSAYELDPDDDVSIGLWIESHRDLIFFYENFSDSDPFVLGIQTEWQLQQMIHFGNRSIIASDSRFGTYKLKYPIHSLLVFDSNKNAIPVAWVITPNFASRETHKWMGALYDRVHSKDPTWQLGGFIVDDPSADVLSIREVFRCSVLISLWRVLHALRKNLMKKCPEREMHAMMSRGLGEAVSSICKGHGDMNLFEAFLEDFVDCSDFLDYFMATWLPRFGAWTDAVKSLLVASSEVSTAIESYHQQLKLRLLNENDSNVYQRADWLVDKLGTKVHSYYWLDEYSEKDNFARYWRDEWRCGFTSWRQAIQIPDSDVAIDGTCAKVVNQKERNKVHVVSNPGSDFAICDCHWSRMGNLCEHVIKSTKVYRDRGLAASSTSLIQFNRMLTSIFNCPPHDSVIRDHAIALAVSVQTQLKTLVDLESGSALPDIKVLREQQVAKDMIDSVTEKPKNADKDRISNCLSVSDNIKEVLKNESIALETLNDGEDVVMQSTGTLDDLQPNEGELVAAVSMISDHTENEAGTLFYRNNGLANDNRCEVAHSSDPMIIDTIKNISGGCGSSEKPLIIHEENANISFDDIDRAKGCQAGYVADGSCNNSVTIETATVDSICGVRTLAGEPVSWEVAAGVCNERLMDDNAAKENVGVDVSEQIDGLADKAREESSKSAQLSLEIGPNPNSNCGSSLMDVEPVVEVQVANSCSPCGKDGAKLATNASPVGNSASNSMEESGNDLMVT >Ma06_p32260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33190202:33196231:-1 gene:Ma06_g32260 transcript:Ma06_t32260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILTLPVQNPPTLEFSAADIVWSRVEGWREKMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPEMAYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRNYATKRKTPAGRPNTKRGCVCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPLDKKAAGTRAMFAPYISDELRLQIMSLLYVGVPVETIMQRHSQMVQKQGGPCSRDDLLTHRYVRRLERKIRRSAYELDPDDDVSIGLWIESHRDLIFFYENFSDSDPFVLGIQTEWQLQQMIHFGNRSIIASDSRFGTYKLKYPIHSLLVFDSNKNAIPVAWVITPNFASRETHKWMGALYDRVHSKDPTWQLGGFIVDDPSADVLSIREVFRCSVLISLWRVLHALRKNLMKKCPEREMHAMMSRGLGEAVSSICKGHGDMNLFEAFLEDFVDCSDFLDYFMATWLPRFGAWTDAVKSLLVASSEVSTAIESYHQQLKLRLLNENDSNVYQRADWLVDKLGTKVHSYYWLDEYSEKDNFARYWRDEWRCGFTSWRQAIQIPDSDVAIDGTCAKVVNQKERNKVHVVSNPGSDFAICDCHWSRMGNLCEHVIKSTKVYRDRGLAASSTSLIQFNRMLTSIFNCPPHDSVIRDHAIALAVSVQTQLKTLVDLESGSALPDIKVLREQQVAKDMIDSVTEKPKNADKDRISNCLSVSDNIKEVLKNESIALETLNDGEDVVMQSTGTLDDLQPNEGELVAAVSMISDHTENEAGTLFYRNNGLANDNRCEVAHSSDPMIIDTIKNISGGCGSSEKPLIIHEENANISFDDIDRAKGCQAGYVADGSCNNSVTIETATVDSICGVRTLAGEPVSWEVAAGVCNERLMDDNAAKENVGVDVSEQIDGLADKAREESSKSAQLSLEIGPNPNSNCGSSLMDVEPVVEVQVANSCSPCGKDGAKLATNASPVGNSASNSMEESGNDLMVT >Ma06_p32260.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33190202:33196238:-1 gene:Ma06_g32260 transcript:Ma06_t32260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILTLPVQNPPTLEFSAADIVWSRVEGWREKMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPEMAYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRNYATKRKTPAGRPNTKRGCVCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPLDKKAAGTRAMFAPYISDELRLQIMSLLYVGVPVETIMQRHSQMVQKQGGPCSRDDLLTHRYVRRLERKIRRSAYELDPDDDVSIGLWIESHRDLIFFYENFSDSDPFVLGIQTEWQLQQMIHFGNRSIIASDSRFGTYKLKYPIHSLLVFDSNKNAIPVAWVITPNFASRETHKWMGALYDRVHSKDPTWQLGGFIVDDPSADVLSIREVFRCSVLISLWRVLHALRKNLMKKCPEREMHAMMSRGLGEAVSSICKGHGDMNLFEAFLEDFVDCSDFLDYFMATWLPRFGAWTDAVKSLLVASSEVSTAIESYHQQLKLRLLNENDSNVYQRADWLVDKLGTKVHSYYWLDEYSEKDNFARYWRDEWRCGFTSWRQAIQIPDSDVAIDGTCAKVVNQKERNKVHVVSNPGSDFAICDCHWSRMGNLCEHVIKSTKVYRDRGLAASSTSLIQFNRMLTSIFNCPPHDSVIRDHAIALAVSVQTQLKTLVDLESGSALPDIKVLREQQVAKDMIDSVTEKPKNADKDRISNCLSVSDNIKEVLKNESIALETLNDGEDVVMQSTGTLDDLQPNEGELVAAVSMISDHTENEAGTLFYRNNGLANDNRCEVAHSSDPMIIDTIKNISGGCGSSEKPLIIHEENANISFDDIDRAKGCQAGYVADGSCNNSVTIETATVDSICGVRTLAGEPVSWEVAAGVCNERLMDDNAAKENVGVDVSEQIDGLADKAREESSKSAQLSLEIGPNPNSNCGSSLMDVEPVVEVQVANSCSPCGKDGAKLATNASPVGNSASNSMEESGNDLMVT >Ma06_p32260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33190202:33196238:-1 gene:Ma06_g32260 transcript:Ma06_t32260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILTLPVQNPPTLEFSAADIVWSRVEGWREKMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPEMAYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRNYATKRKTPAGRPNTKRGCVCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPLDKKAAGTRAMFAPYISDELRLQIMSLLYVGVPVETIMQRHSQMVQKQGGPCSRDDLLTHRYVRRLERKIRRSAYELDPDDDVSIGLWIESHRDLIFFYENFSDSDPFVLGIQTEWQLQQMIHFGNRSIIASDSRFGTYKLKYPIHSLLVFDSNKNAIPVAWVITPNFASRETHKWMGALYDRVHSKDPTWQLGGFIVDDPSADVLSIREVFRCSVLISLWRVLHALRKNLMKKCPEREMHAMMSRGLGEAVSSICKGHGDMNLFEAFLEDFVDCSDFLDYFMATWLPRFGAWTDAVKSLLVASSEVSTAIESYHQQLKLRLLNENDSNVYQRADWLVDKLGTKVHSYYWLDEYSEKDNFARYWRDEWRCGFTSWRQAIQIPDSDVAIDGTCAKVVNQKERNKVHVVSNPGSDFAICDCHWSRMGNLCEHVIKSTKVYRDRGLAASSTSLIQFNRMLTSIFNCPPHDSVIRDHAIALAVSVQTQLKTLVDLESGSALPDIKVLREQQVAKDMIDSVTEKPKNADKDRISNCLSVSDNIKEVLKNESIALETLNDGEDVVMQSTGTLDDLQPNEGELVAAVSMISDHTENEAGTLFYRNNGLANDNRCEVAHSSDPMIIDTIKNISGGCGSSEKPLIIHEENANISFDDIDRAKGCQAGYVADGSCNNSVTIETATVDSICGVRTLAGEPVSWEVAAGVCNERLMDDNAAKENVGVDVSEQIDGLADKAREESSKSAQLSLEIGPNPNSNCGSSLMDVEPVVEVQVANSCSPCGKDGAKLATNASPVGNSASNSMEESGNDLMVT >Ma06_p32260.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33190202:33196231:-1 gene:Ma06_g32260 transcript:Ma06_t32260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILTLPVQNPPTLEFSAADIVWSRVEGWREKMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPEMAYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRNYATKRKTPAGRPNTKRGCVCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPLDKKAAGTRAMFAPYISDELRLQIMSLLYVGVPVETIMQRHSQMVQKQGGPCSRDDLLTHRYVRRLERKIRRSAYELDPDDDVSIGLWIESHRDLIFFYENFSDSDPFVLGIQTEWQLQQMIHFGNRSIIASDSRFGTYKLKYPIHSLLVFDSNKNAIPVAWVITPNFASRETHKWMGALYDRVHSKDPTWQLGGFIVDDPSADVLSIREVFRCSVLISLWRVLHALRKNLMKKCPEREMHAMMSRGLGEAVSSICKGHGDMNLFEAFLEDFVDCSDFLDYFMATWLPRFGAWTDAVKSLLVASSEVSTAIESYHQQLKLRLLNENDSNVYQRADWLVDKLGTKVHSYYWLDEYSEKDNFARYWRDEWRCGFTSWRQAIQIPDSDVAIDGTCAKVVNQKERNKVHVVSNPGSDFAICDCHWSRMGNLCEHVIKSTKVYRDRGLAASSTSLIQFNRMLTSIFNCPPHDSVIRDHAIALAVSVQTQLKTLVDLESGSALPDIKVLREQQVAKDMIDSVTEKPKNADKDRISNCLSVSDNIKEVLKNESIALETLNDGEDVVMQSTGTLDDLQPNEGELVAAVSMISDHTENEAGTLFYRNNGLANDNRCEVAHSSDPMIIDTIKNISGGCGSSEKPLIIHEENANISFDDIDRAKGCQAGYVADGSCNNSVTIETATVDSICGVRTLAGEPVSWEVAAGVCNERLMDDNAAKENVGVDVSEQIDGLADKAREESSKSAQLSLEIGPNPNSNCGSSLMDVEPVVEVQVANSCSPCGKDGAKLATNASPVGNSASNSMEESGNDLMVT >Ma11_p16120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21696929:21702657:-1 gene:Ma11_g16120 transcript:Ma11_t16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSASDDLDPLLDSALDDFSKLGLAPAAQRSDGDGDDGEGRATLPSMASAPRVQGLGMGLPDLGGARTKAQQRARPGGSHASEALEKLTQLTREAVRGLESATGAAVPRGGDAGFDEDRMVGELVKQFEELAGSQDVESIVETLMQQLLSKEILHEPMREIGERYPKWLEEHKNDLNPEEYDCYYRQYELILKLNDVYENDPENFSKIVDLMQTIQECGQPPSDIVQELAPDLDLSNFGQFSPDQLDATSDCCIM >Ma11_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21696929:21702657:-1 gene:Ma11_g16120 transcript:Ma11_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSASDDLDPLLDSALDDFSKLGLAPAAQRSDGDGDDGEGRATLPSMASAPRVQGLGMGLPDLGGARTKAQQRARPGGSHASEALEKLTQLTREAVRGLESATGAAVPRGGDAGFDEDRMVGELVKQFEELAGSQLLSKEILHEPMREIGERYPKWLEEHKNDLNPEEYDCYYRQYELILKLNDVYENDPENFSKIVDLMQTIQECGQPPSDIVQELAPDLDLSNFGQFSPDQLDATSDCCIM >Ma07_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11748118:11749086:-1 gene:Ma07_g15550 transcript:Ma07_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGGGGGDNAGVKISSEIVVAAIIFFFMVVVLAFFFYLYAKRRLRSALRTRSRSRFDFAAADLGPLPVPGRGLEAAMLRSLPVTVYRAADFKQGIECAVCLSQLTDGEVARLLPKCGHGFHLDCIDMWFCSHSTCPLCRRPVGINPNAEPVSGLPTVHAQMNAGNSVTTATVENRDGSLESSSSSSGALVIEIPRRVAEEFPPTSRLTTEEPTSPVPARFRSLMRLWSQGRRAAGASHSLSEGGDIEATREGSSGKH >Ma08_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37300763:37301580:1 gene:Ma08_g23960 transcript:Ma08_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNKSNGASGSEMEE >Ma08_p23960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37300760:37301619:1 gene:Ma08_g23960 transcript:Ma08_t23960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNKSNGASGSEMEE >Ma02_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22995947:22996102:1 gene:Ma02_g15200 transcript:Ma02_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRDTEYKTHTHTHSLSLSLSHVLYCNRNLADPNTNDCSSHSPFFYDPN >Ma10_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31373106:31373895:1 gene:Ma10_g21700 transcript:Ma10_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERDPLVVGRVIGDVIDPFVRTTTLGVSYGSRKVTNGREFKPSQVVNQPRVEVGGHDLRSLYTLVMVDPDAPSPSEPNLREYLQWLVTDIPGTTGATFGQEVISYESPRPTLGIHRFVFVLFLQLGRQTVYTPGWRQNFNTRDFAELYNLGSPVAAVYFDCQRESGSGGRRMYPM >Ma04_p35760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34370647:34374143:1 gene:Ma04_g35760 transcript:Ma04_t35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSFLAFLHLLFSLLLALPLAQASPMSQAHALLHWKSSLRGPTPRALQSWNIDTHNTTTASPCHWFGITCTNGLVTNVSVLRAGLAGTLDTLDFTALRSLTVLRLRRNSLFGAIPAAIANLSSLADLDLSHNRFSGELPASLAVLSGLVKLDLSANQVGGKLSSAAVANWTRLTHLQLQFNRLTGTIPDEIRYLSNLRVLRLYKNRLSGSIPHQVGELTNLVVLNLNTNNLTGSIPPSIANLTKINSISLYHNTLSGPIPSCLGNLSQLKLLYLLNNGINGPIPHEFGNLVNMEDLRLSENLLTGTIPSSLGNLTNVETLYIYMNELSGPIPPVLGRLSMLKSLSLNFNQLSGTIPHALGNLLSLEDLVVSKNQLSGLVPPSFGNLTRLTDLEIFQNQLSGPLPQELANLTNISQLQLSSNDFSGPLPPDVCKGGKLEYFSANENHFDGPISRSLRNCTSLVRLQLSNNHLTGDVSQVFGVYPNLDFIDLSDNRFSGELSTNWVKCPNLATLHMSGNMITGRIPPQFGNITQLASLDLSSNQIVREVPKQLGGLTLLLNLNLSNNLLSGRIPSEIGNLSSLATLDLSNNHLTETIPMQIGQCLRLLDLRLSANELNGSIPLEIGRLVNIQEMLDLSHNSLTGTIPSDIGRLDKLENLNLSHNGLSGPLPSSYSDMQSLLTFDVSYNNLEGPIPENRFLRNAQVEWFEHNKGLCGKVLHLSPCPQPASRHGSNKRHKIVLASVLPPVVLLFLVILLGLIITLRVRRKNLKENNTEASAKDLLSALDFDGKVLYDEIVEATENFDEKYCIGVGGYGSVYKAQLRTGQVVAVKKLHSLDDERGFRSEIEALTKIRHRNIVRFYGFCSHARCMFLIYDYIEKGNLSTILSSEEVAIELDWSKRVCLIKDVANALSYMHHDCSPPVVHRDISSKNILLDSELKARVADFGIARSVNPDSSNWSEHAGTPGYMAPELSYTMKITEKHDVYSFGVVILEVLQGRHPGELISARPSDGQNILLMDLLDQRIPLPKPEESNAVMLAAKLALQCISIRPQSRPSMQHVSQALDAGKVEATRQPFHTVQLHQLMRFT >Ma03_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2654386:2655555:-1 gene:Ma03_g04070 transcript:Ma03_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGLLLLFADAKLKQQRHHSSLRVKWLSHYSSAQQILLVGEGDFSFSLALANAFGSADNLVVTSLDSYDDLLQKYSKAKSNLKSLREMGATALHGVDATTMKLHSELTMRRFDRIVFNFPHAGFSGNEGLMPVIDLHRRLVMGFFRNACRMLQPHGEVHVSHKMGGPYAQWNLEELASEWSLVLVDCADFRKDEFPGYCNKRGEGPRCDRTFPLRASCTYKFRLGDLDETKASSSSSSSSSA >Ma05_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19090193:19091719:1 gene:Ma05_g16870 transcript:Ma05_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLCLLLMFGSVAAQPSPGYYPGSLFRPLRFYQGYSNLWGPQHQSVSQDQYSLTIWLDSSSGSGFKSTRPYRNGYFAASIKLQSGYTAGVNTAFYLSNNQAHPGNHDEVDIEFLGTTFGKPYTLQTNVYVRGSGDGRLIGREMRFHLWFDPTADFHRYAMLWNPDEIIFFVDDVPIRRYEKKTEATFPDRPMWMYGSIWDASSWATENGKYKVDYQYQPFVARYTDFKIGGCSAFAPSGCRPVRASPSGGGLSPQQRAAMEWVQRNHLVYDYCQDYSRDHSLTPEC >Ma10_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30758113:30763105:1 gene:Ma10_g20700 transcript:Ma10_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCVAVKDKPLPSPAQFDVSTYRNIRRSPTWSFRWDNRTHIEDAMDNAVQFSHHHSGNVGPQIRSESPTEAESLFVRGSPSDAFHLEKWHESPIRSGSAGKLKDVSADDQSIKSNSSGKSKGSLMLSYFASAPDVKFSKSVPSTPSSSSYKADPSSSRSCSLPSDPTLSRKACRSPGCQLSWQISDGSRIPSLHSLDENRKISVSVPSTPSSSSFKADPSSSMSCFVPLDPTSSRRACRSPGFQLCRQISDSRIPSLQSLNENSSPEGRHSFVLSFRSNELSTGGSHGESSDGWSMRTFSELVASSNRERWSFDSDNLTSSSSKITGSNPQQTTQVSPDQPTCKVCSKLLMEHCVVAVLVCGHIYHAECLEKMTTEIDRYDPTCPVCTHGEKSAAKLFKKAETKARNKLSRIGVADNDPHGDAICDRHKKVGDGPKMVPVLAPNRLLAGFWERYRRE >Ma05_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6904498:6905959:-1 gene:Ma05_g09530 transcript:Ma05_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDQEHMTFITDLGVYFYKVIPFGLKNASATYQRSVNKMFTPQIGRNVEVYVDDMIVKSRVAADHLTDLAETFSTLRRYGLRLNPVKCIFGVSSGRFLGFIVHERGIDVNPKKNPKDFRWTAQCEEALGQIKQHLASLPRLASVTPEEKLSVYLATSQHAAHPIEVITDQPLRQVLSKFDVTGRLLKWSIELEEFDIRYVPRTAIKAQSVADFIAELVEDGNGSSEQSEEAWDLHVDGSATSSSAGAWLVLLAPDGRSFERSFRFGFRATNNEAEYEAPLVGLKLALEMQVDAIHVFTDSQLIAEQLSGGYEAREPTMTKYLVEVKSLASNFSHFTISRVPRSQNERADELAKMASGPDHGNCPEVKELPFRAISVSAITPAEARATWV >Ma07_p26140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33059820:33065233:-1 gene:Ma07_g26140 transcript:Ma07_t26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLEARVSYEFFKQLLLLLLLMAPLPPSSSEFTVVMPDSSALVDAPQTGFSDSARTDPAEQRAVYEIMEATGNGWATSIPDVCRGRWHGIECMPDTNDVYHVVSLSFGALSDDTAFPTCDPARSSLSPALLALPHLRSLFFYRCFTGSPQPVPAFLGRLGPTLRSLVLRENANTGPIPAELGNLTSLRVLDLHGNHLSSSVPPSLQLLRNLQLLDLSHNQLYGPVPELNLPSLTVMDLSRNLLHGRIPTSFGRWDSLVKMDLSRNRFSGSIPDSLCDLQNLVLLDLSHNRLAGPLPRSLGGLRSLTALILTGNPMSSAMIPKDAFAGLAALNTLILSNMGLQGSIPESIGELGSLRVLHLDGNKLNGSIPKSFQKLQKLTELRVNDNQLMGPIPIGREMLWKMGKKLRLYNNSGLCYDMSYGRYEGIESMSGISYCETESKDAASARSHTTKHYLSSTSRDGGHGRPSSLSSSGAARKLHLAQEELVDLIAPLLVSLLVFL >Ma09_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11154436:11165101:-1 gene:Ma09_g15820 transcript:Ma09_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEQKEEKLFPPIITPKGLFFPEIDGERERILSSRVISLLWGDLTGSVEKWVALVRKRSGRFPPSGFPHRHPKSETMFDACPITNRQRSIEIPDMQELSCDLLNKQRCGQPPEMSLWGRLGNASVLDIETSNFSWDALSSLHHTEHTSSSEQSEDEMNKAMEVTVNSGGVVFFALFSSSADLSTHEAAAVIKIASSRMATQSERLGYEFGKWLGVHTPQARVVHNSNPEWHQIKYATEKARDAAVSAGDEVGEITCSELLEALELSRCLFLMNYVHGSPLLESSNAFQNREAAMRAAAALGRVLMLDLILRNEDRLPCRQLGWRGNYANLLIADKVTSGNMDALHEGSSAARSYAPQVTRFLQKERRMVPPTSEPSCQSSDVFYEFSNKLVKKEIVNNDSNGDFHVVAIDSGVPRRPPAGKRAKDHERYPKLIELLLNNVDYSSNLLYEISGGRLGHPGPGEANSVSDSCSSLDDTDMAAVVHEFRGGFRAALRDLQSFHLFLLTLYQKLDGLLRVFLSIISKSSGESDRDDMGTLDSPSHSAGFGYSTPSPACKQHIANEVNVESTDSTLQKATTKSSSAGSRGSPESVSPVSRENWNGRYFKGSEEHSHSLRLTMKLRDFHKFAKVDTELNKEIELWNGLLRADVVKFCQEKNFNTGFFEGSDNNIAVDAYELKVRLEHILERIALISDAASTERPSLVTNNLFIGGALTARSMYTLQYLGITHILCLCSNETGQSESQYPDLFQYKNFSIGDEEDTDISDIFEEASDFIDHVEQSGGKVLVHCFEGKSRSATVVLAYLMLRKGYTLSEAWNTLKKVHRRAQPNDGFAKILLDLDRRIHGKTSMNWQHKRPVMKVCPICGKNAGLSTSSLKLHLQKSHRRISAGSVDSAMKMEIQKAMEVLKISRGSSVSPTQKQSQSLTDSF >Ma06_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6782032:6785911:1 gene:Ma06_g09750 transcript:Ma06_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTWILALVVLQSVFDGIYGLEQEVNRRTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILHKDPRCLNHMFAAEIYMHRFLLSSPVRTLNPEEADWFYAPVYTTCDLTPSGLPLPFKSPRMMRSAIELISTNWPYWNRTEGADHFFVVPHDFGACFHYQEETAIERGILQLLKRATLVQTFGQRNHVCLKDGSITIPPYAPPQKMQTHLIPPDTPRSIFVYFRGLFYDVGNDPEGGYYARGARASVWENFKNNPLFDISTDHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADDIVLPFADAIPWEEIGVFVAEEDVPKLDTILTSIPIEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHNEGVFLKPGQQILNWTAGPVGDLKPW >Ma04_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15604154:15610147:1 gene:Ma04_g16420 transcript:Ma04_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKSIAFIVLFDLLCSARIARSDLDGVVGFLERAKEPEFFDWMVGVRRRIHENPELGYEEFSTSELIRKELDALGIPYLHPVAVTGVVGYVGTGKPPFVALRADMDALAMQEEVEWEHKSKVPGKMHACGHDAHVAILLGSAKILQEHRDDLQGTVVLIFQPAEEGGGGAKKMIEAGIVENVDAIFGFHVAVDIPVGTVASRPGPIMAGSGFFEAVISGKGGHAAIPQHTIDPILAASNIIVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFTKESFYQLKQRIEEVIVAQASVQRCSASVDFLNKDRPFFPVTVNSKDLHGHFQKVAAKMLGVSNVVDRKPVMGAEDFALFGEVIPAAYYYFVGMVNETQGPMYPAHSPYFTINEAALPYGAALHASLAMSYLSEKHTPLSSTEKVHDEL >Ma08_p28890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40693930:40699501:-1 gene:Ma08_g28890 transcript:Ma08_t28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSADNIRGFALALSSSVFIGSSFIVKKIGLKKAGMYGVRAGSGGFSYLYEPFWWLGMITMIVGEIANFAAYAFAPAILVTPLGALSIIVSAVLAHFVLDEKLHVFGVLGCILCVVGSTNIVLHAPKEINIESVKQVWNLATEPGFIVYSCVVVIVVLVLIIRFAPRYGQTHMVVYVGICSMMGSLTVMSVKALGIALKLTFSGMNQFVFVQTWFFTVVVVICCLLQLNYLNKALDTFNTAVISPVYYVMFTTLTIFASMIMFKDWASQNASQIVTELCGFVTILSGTFLLHKTKDMGNSMNKEPIIFSETELSHH >Ma00_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:42007788:42007985:-1 gene:Ma00_g04860 transcript:Ma00_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYCAAPADPERKRKAWRNKKLTRTNPFSIDYHRGLVPGLVVLMNPTGRDIGSRYELGQVLGRG >Ma06_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24111009:24191576:1 gene:Ma06_g24810 transcript:Ma06_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSVEARRRYPAVKDAAEHAILKLRSLSSPNEIAHNEDILRIFLMACDVKSVKLSVIGLSCLQKLIAHDAVTPSAVKYILSTLKEHAEMTDESVQLKTLQTILIVFQSHLHPLEEDNMAQALGICLRLLESSRSSDSVRNTAAATFRQAVALIFDSVACIESLPPGKIGSGSHTSRASTIMDDISRSFNNSVSLDSDFVSEGPAKREGLSKVGKLGLRLLEDLTALAAGGSAIWLRVISLQRAFALDILEFILSNYTAMFQKLIPYEKVLRHQICSLLMTSLRTNAELEGEAGEPTFRRLVLRAVANVIRMYSLSLVTESEVFLNMLVKVTRLDLPLWHRILVLEVLRGFCVEARTLGLLFWNFDMNPKNTNVVENMVKALARVVSTIQMVPESSEESLAAVAGMFNSKAKGIEWSMDSDASNAAVLVASEAHAITLAIEGLLGVVYTVATLTDEAVEVGELDSPRCDTDPSAECTGKTAILCVSMVNSTWLTILDALSLILMRSQGEAIILEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINIPSEGEKKSVLLSPGSKRSDSLPDQRDNVVLTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLASLDRTIHSPHASTQEVSASASRLARETSGHYTDFHILSSLNSQLFESSALMHVSAVKSLLSALRQLSSQCSSGNSQAQSQQIGGVAFSVERMTSILVNNLHRVEPLWDQIVAHLLELADNSNPQVRNLALDALDQSICAVLGSDEFQGIKASQQLPDSHDAKDAEVGSFECVFLSPLLVLYMSSQSLDVRAGSLKILLHVLERHGDKLYYSWPSILKLLRAVADASERDLVPLGFQSMRIIMNDGLSTIPTHCLDVCIEVTGAYSAQKKEINISLTAIGLLWTATDFIAKGLAHSLIQEIDDGIAPGVDPKDEQAIHTMEVHEPIISKSLIDYNKLLFSVFSILQNLAGDQRPEVRNSAIRTLFQTLGSHGQKIPGSMWEDCLWNYVFPILDRVSHLASTSSREEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETIVLVLGGITRLLRSFFPFLQSLGNFAACWELLLDFVKNSILNGSKEVALAAINCLQTIVNSHCPKGNLAVSYVKSMLDVYELVIQMFPNYTSSAASKVKQEILNGLGDLYTQAHIMFDADMYLQLLAILHLAIRSSKSSGDMENEAIQENLPPVQRTILEILPLLRPTERLSSMWSQFIKALLCYLIGYEARSHKIINDMELAVRSNHDHEGLEKDSHNASSSSPENKSRDFTNHKEINMKPKPDVANGASSVSMTKSQPSFPHSATSDDTASSHLSPLFGEKLLPVIVKLYLEASPSEKCCISAEILHGLGRCMSTRRDNPNGTLWKVAVDGFNCVLIDAITRVNSENKFDQNTYKSYRASLWNEVADVYEIFLVGSCGRVLSSRTLLVEALLADEVIEMNVLSTLGDKVLKSHIDAPLEILERLITTLDRCASRIDSLPLESVGLMPSHCSRFSLSCLQTIFSLCSFTCEDSWHQTRSEVSKLTVTILLRRCETILDKFLTDENSLGEHPLPSVRIDETVYVLQELARLSIHSDAAAVLQVPPSVREILKKNNNIGRAHLYVLFPSFCELVVSREVKVRELVQVLLRLIATDLGLQRPR >Ma06_p24810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24111009:24191576:1 gene:Ma06_g24810 transcript:Ma06_t24810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSVEARRRYPAVKDAAEHAILKLRSLSSPNEIAHNEDILRIFLMACDVKSVKLSVIGLSCLQKLIAHDAVTPSAVKYILSTLKEHAEMTDESVQLKTLQTILIVFQSHLHPLEEDNMAQALGICLRLLESSRSSDSVRNTAAATFRQAVALIFDSVACIESLPPGKIGSGSHTSRASTIMDDISRSFNNSVSLDSDFVSEGPAKREGLSKVGKLGLRLLEDLTALAAGGSAIWLRVISLQRAFALDILEFILSNYTAMFQKLIPYEKVLRHQICSLLMTSLRTNAELEGEAGEPTFRRLVLRAVANVIRMYSLSLVTESEVFLNMLVKVTRLDLPLWHRILVLEVLRGFCVEARTLGLLFWNFDMNPKNTNVVENMVKALARVVSTIQMVPESSEESLAAVAGMFNSKAKGIEWSMDSDASNAAVLVASEAHAITLAIEGLLGVVYTVATLTDEAVEVGELDSPRCDTDPSAECTGKTAILCVSMVNSTWLTILDALSLILMRSQGEAIILEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINIPSEGEKKSVLLSPGSKRSDSLPDQRDNVVLTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLASLDRTIHSPHASTQEVSASASRLARETSGHYTDFHILSSLNSQLFESSALMHVSAVKSLLSALRQLSSQCSSGNSQAQSQQIGGVAFSVERMTSILVNNLHRVEPLWDQIVAHLLELADNSNPQVRNLALDALDQSICAVLGSDEFQGIKASQQLPDSHDAKDAEVGSFECVFLSPLLVLYMSSQSLDVRAGSLKILLHVLERHGDKLYYSWPSILKLLRAVADASERDLVPLGFQSMRIIMNDGLSTIPTHCLDVCIEVTGAYSAQKKEINISLTAIGLLWTATDFIAKGLAHSLIQEIDDGIAPGVDPKDEQAIHTMEVHEPIISKSLIDYNKLLFSVFSILQNLAGDQRPEVRNSAIRTLFQTLGSHGQKIPGSMWEDCLWNYVFPILDRVSHLASTSSREEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETIVLVLGGITRLLRSFFPFLQSLGNFAACWELLLDFVKNSILNGSKEVALAAINCLQTIVNSHCPKGNLAVSYVKSMLDVYELVIQMFPNYTSSAASKVKQEILNGLGDLYTQAHIMFDADMYLQLLAILHLAIRSSKSSGDMENEAIQENLPPVQRTILEILPLLRPTERLSSMWSQFIKALLCYLIGYEARSHKIINDMELAVRSNHDHEGLEKDSHNASSSSPENKSRDFTNHKEINMKPKPDVANGASSVSMTKSQPSFPHSATSDDTASSHLSPLFGEKLLPVIVKLYLEASPSEKCCISAEILHGLGRCMSTRRDNPNGTLWKVAVDGFNCVLIDAITRVNSENKFDQNTYKSYRASLWNEVADVYEIFLVGSCGRVLSSRTLLVEALLADEVIEMNVLSTLGDKVLKSHIDAPLEILERLITTLDRCASRIDSLPLESVGLMPSHCSRFSLSCLQTIFSLCSFTCEDSWHQTRSEVSKLTVTILLRRCETILDKFLTDENSLGEHPLPSVRIDETVYVLQELARLSIHSDAAAVLQVPPSVREILKKNNNIGRAHLYVLFPSFCELVVSREVKVRELVQVLLRLIATDLGLQRPR >Ma03_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25864505:25868093:-1 gene:Ma03_g20770 transcript:Ma03_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGLPCCVLSFALLLLPLSIASVELQKAPVSGRKQLEEDGFGAWRRFVAEVPSAGDATAQNSTFVLAAERTYRQDPMNGYQRYTGGWNIRNQHYWASVSFTAMPLFAIAVAWFLGFGIVLLLICCCYCCCRRRKHSYSRAADVISLILLVLLTCAAIAGSVILYDGQGRFHKSTSATLDYVVGQANLTVDNLQKFSSSLADARNIGVDQIFLPTDMQSKIDVLHTKINTSATALSTQTSKNSKSIHGVLDAVGWNLIIVSAVMLLLAFLGFVFSIFGLQFLVSILVVVAWILVAGTFILCGIFLLIHNVVGDACVAMDEWVDHPHAHTTLDDILPCVDVATADESMQRSKQVTFQLVNLVNQVIVNISNADFPPGMAPLYYNQSGPPAPPLCNPYLPDMSNRTCLPGEVDFNSASKVWKGHVCQTARVGGSDVCTTVGRITPSIYYQMTAATNVSHGLYYYGPFLAQLADCTFVRETFAALASNNCPGLDLYSKLIFVGLVILSAAVMFSSTFWMVYARERRHRKYNKQLSGRERVPLDF >Ma08_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14666638:14667890:1 gene:Ma08_g14740 transcript:Ma08_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLLTFFSLLVASTLAADPDMLQDICVADLNSAVKVNGFACKPAAEVTEADFFFQGLAKPGATNTTMGSLVTAANVEKIPGLNTLGVSMSRVDYAPGGLNPPHTHPRATEIVFVLDGTLDVGFITTANKLITKTITKGDVFVFPRGLVHFQKNNGDVPAAALAAFNSQFPGTQSIAATLFAATQPVPDIVLSKAFQIGTRDVKKIKSRLAPKK >Ma08_p29370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40984061:40984290:1 gene:Ma08_g29370 transcript:Ma08_t29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSYMNSAVHSSVKLVLSWMCKLCSSEPSATEMADIVEQSILS >Ma06_p27890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29751799:29752732:-1 gene:Ma06_g27890 transcript:Ma06_t27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGSEKKMKALVMWFLLIWYVSQQAVITPAMSRSLEGGTADEKNYYPPDPHGGSKRLFRMLYESMEAMSCILHQTLVDLRGADSNGTPCTTPPSTHGSPPSTHGTPLPPSPGGGGGGGGGGHYNPPSTPAITTPLSPPVPLAPPAPPYQVIPTPPATPMDPDPNTPPFSCDYWRTHPTAIWALLGFWCPLSQIFGMPAASFFGSNPSLVEAMSDARSDGIGALYREGTASFLNSLVDRDFFFTTQQVRDAVNAAVVSDEGAAAQAELFKKANKGHLKHH >Ma08_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32673457:32678914:1 gene:Ma08_g18910 transcript:Ma08_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCFLLDLRTISPPLLCDLKQALLQLANLYASWSDRAERKRGKEIPFLRDNVGLCYLHWCRNSSSSCTELKIAYRPGERFSVCDFHHAVNSISSDNFLPDVSKFMHTESSTEEMPLTDLLNKNALYKWGSDDVPKKVIVICSSITTSIESLRRSLMDAAERCITVEFVVLEQADTNICYDDALKLMQFSDGICDLENCVIRSYPLDTWILNSLVKKWFQELKDDTQEPLQAVFLFKNGIVGSVNQMHCNLFPSYTHIIDGFSSCQTCRCHGYPVDTAINNKTKLSCPLTLHELEASELIDNVVRVGEQSVLFLPSFEGCFSPRRISASLTLSVIECTSLASLSEGLIMGASFFVTPSTHEIESASDESDNVDLNVQIFRGLCRTLFLLDQGLVCSSTCNTETMQDGTFLSYYLLQPSAGGPMLLRRLASSEEILPIPEIAQTSEVAIPEEIENSIRASLTKVELRDYNPLQHERGFHAKLNWLVKESLQFGSITSLCVPANPELNLNDLQQQPLTQVSEGQMSLNQHKEKTSSCLTEEWERLLIVDELTSSSPPSFPILRTKTSNLRAQAKPLDEKTSRILERLEAPKQQIPTNFLNEEMKKPLLPFNSSSSQPLKPNFQKLKRKQR >Ma10_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20255545:20266561:-1 gene:Ma10_g06760 transcript:Ma10_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLSELFEHPDASPEDLFSILEAFEDAVSRDMAPFDGRQQRSGHEDDEKAGKALKKRKLSDAPGAQTEQPKTSHITVERNRRKQMNEHLSVLRSLMPCFYAKRGDQASIIGGVVEYIKELQQVLQSLEAKKQRKAYGEVVLSPRPVSSPRPSPWSPRPPPLSPRMALPISPRTPQPGSPYMPRMQQNYAPPTVPPSHESSPSFGSSASELTANSNSAVAEVEVKFSGPNVILKTVSHRIPGQVLKIVAALEGLALEILHVSISTMDDTMLNSFTIKIGIECELSAEELAHEIQQTFS >Ma10_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3752717:3765345:-1 gene:Ma10_g00840 transcript:Ma10_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVVFFAVDDEAGGGGIDGDDIAAGVLARFQSSEREDHQHLCAAVGTIAQALKDQGIPLTPVAYFGATASSLDRLSRDPASGSDPAAASLLSFLAVAFPRVSRPVILSRWTEVSEILVRILGFNSLPPGGVKSGLRCASYLLAVGDKTNWSALSPLYAVLLSFVTAQRLKVRKECHSCLSDVLRSFQNKTVLLSASESITAIFERSLLLAGGTSSESASSEGSKGAMQVLCILNAMKGCLPLMSKKYTNTILKYLKNLLELRQSIVTRCIMEVLHVLCSSSTADVAPELLEDLLSFIALSVSDKEKSADQMASTAHLLHLGTRKVYHLNKEICVVKLPLIFNALGDILASEHEEAIVAAMEALKGLICTCIDETLIEQGVVKIKAADGGLRQSGPTIIEKICATIEGFLGYRYNAVWDMSFQVLSTTFIQLGKSSYYLMAGAVKSLADMQNLSDEDFSFRKQLHECVGSAVSTMGPENFLSILPLNLDADVSDANVWLLPILKQHVAGARLSFFAEHILVLAKDIKQKSYKLEKEGLIFSARSAKGLVYALWSLLPAFCNYPVDTSSGFKVIQEELCNALREEPDLRGIICCSLQTLIRQNNDIISNKSTGPDDKISPSATEEDHYSKSESEENLKAIQSFAPEFFSVLSETFLTCSKDSGGCLQAMIHDFALISDKKVVKKVFMATMHKLLKVTKEAVKMNQLNCSGTMLTDSSSNEASLSHERALLLDLAVSLLPGLGDKEIDLLFSAIKPAFQDEEGILQKKAYKILSIILKERGHILSNNLEELLELMIASLPFCHFAAKRHRLDCLYTLIIYISKDLFDHKRRDIISAFITEIILALKEANKKTRNRAYNLLVKIGHVYEDEEGGGKDNLLQLFNLIAGGLAGETPHMISAAVKGLARLAFEFSDLIGAAYNLLPSAFLLLQRRNQEIAKANLGLIKVLVVKSKADCLQMHLKTMVEGLLRWQDDTKNHFKAKIKLLLGMLVRKCGFDAVKEVMPEGHMKLLTNIRKIKERKERNAKSDDGESEDGESVTSRTTISSHRKWNHSRLFSDFGDEDTGDDSDAELAVAKTFSGQHRRAFTGPASGSSSIGSIRKRKAAKSLPEDLFDQSEGDPLDLLDRQKTRLALRSSAHLKRRKTFSDEPEIDADGRLIVREDGCKPRKENIFPDKDSDARRHIDSRSLPSSSIKTQKKRQKTSDSGWAHPGSEYTNKKAGGDVKRKDKLEPYAYWPLDRKLLNRRVESRVVARKGMASVMKLTKKLEGHSASSALSLKGLAFKKQRKGGKKR >Ma11_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3986129:3986563:1 gene:Ma11_g05170 transcript:Ma11_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALFLIILWIFCTVILLAISYSCLRSTCDPPPGDRTAAAATSTTAATSRMRSSSTAANTSRTAGGGAGRDVLSALPVFAYSTAQKKLNCSVCLMDLKEGEKGRFLPRCLHVFHVDCIDMWLATHLDCPVCRASVDPEAPELAV >Ma10_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29462867:29466822:-1 gene:Ma10_g18590 transcript:Ma10_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPSCDPNDLEGSTRLIEDLTANARQVQQQVLNEILTRNEGTEYLHGFLRGHKGRDLFKKKVPVVEYDQVKPYIDRLANGEPSQIISAQPISELLTSSGTSGGQPKMMPSTDEELDRKTFLYNLLIPVMNQYVDGLDQGKGMYLLFIKPEISTPAGLTARPVLTSYYKSRHFRSRPFNRFNVYTSPDEAILCPDSKQSMFCQLLCGLAQRDEVLRVGAVFASAFLRAIKFLEDHWPELCSNIRTGTVSDWITDASCRDAVGRILREPNAELADVIEWECRREPWEAIVRRLWPRTKYVDVIVTGSMAQYIPLLEFYSGGLPLVSTMYASSECYFGINLRPLDLPSDVSYTLLPNMAYFEFIEADKTEGGETGSVECNYTGDLIKVVDLVDVEVGRYYELVVTTFTGLYRYRVGDILKVTGFHNAAPQFRFVHRRNVVLSVDTDKTNEEDLLKAVTQAKLLLEPLGCLLTEYTSYADTSSIPGHYVLFWELKTKASSDPSDVDSAVMEDCCSTIESCLDSVYRRCRSRDRSIGPLEIRVVRCGAFDALMDYCVSLGSSVNQYKTPRCIKSREAIQLLEEKVVGKFFSRKLPFWKPYTMIEAKAG >Ma09_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35862365:35867322:-1 gene:Ma09_g24180 transcript:Ma09_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLRNRGSAILLGLLLGSLFAFSIAKEEAIKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIINKDGKPYIQVKIKDGEVKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGMDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDHRIMDYFIKLIKKKHGKDISKDNRALGKLRRESERAKRALSNQHQVRVEIESLYEGLDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLSGIAPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLTQEEIERMVQEAEEFAEEDKKVKEKIDARNQLETYVYNMKNTINEKDKLADKIETEEKEKIEAALKDALEWLDDNQSAEKEDYEEKLKEVEAVCNPVISAVYQRSGGAPGGGADGGDDDDSHDEL >Ma05_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:502065:508779:1 gene:Ma05_g00810 transcript:Ma05_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTAKGDELEQKAEKTLGGWGIFGNKYDDAAELFDKAANCFKLAKNWERAGSVYFKLADCHLKLDSTHEAASAYVDAANCYKKISIQDATQSLNQAVKLFLEIGRLNMAARYYKELGELNEQEQNLENAMDYFERAADLFQSEEVTTSANQCKQKVAQFAAQMEQYPKAIEIYEALARHSVNNSLLRYGVKGILLNAGICHLCKGDVVAITNALERYQEIDPTFSGTREYKLLADLADSMDEGDVAKFTDAAQEYDSMTRLDSWKTTLLLRVKNAIKAKEEEEDDLT >Ma11_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1709600:1710938:1 gene:Ma11_g02370 transcript:Ma11_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRAQHHHRHRHSSSPPRISRTPFPLVTITLTILLPAVAGDLCTLVYKGCANQTLSGSGAAYMQPLAALSSALTARAAAAKFYKTTTSSAVGGQPLFGLFQCRGDLSPSDCSACVGCVLPMWTSLCGPAAAARVQLNGCYALYQVSGFPQVSGTQMLYKACGSGGGGGGFEVKRDTAFAQLQSGVAGGQGFYATSYASVYAVAQCEGDLYAGDCSECIAQAVQKSEVECGGAASGQVYLDKCYISYSYYANGVPHGGGGGGGVGGGGGGGA >Ma04_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4999661:5003232:-1 gene:Ma04_g06870 transcript:Ma04_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGGDEDEDVLKQLLAPISDLDLNPDLSLSSSSSYSEGLLSPMNSNFSALGSPDLLRAILELLPPGDLARSACVCRMWRAVASDREMKEWAFREPWKVRRVLGEPSSVAFWRHPGLDRFAISHRLRRGDTVPGLALRYSVQVMDIRRLNNMMSDHGIYSRERLLIPISKPELLLNRTCYIELDEHAKREVVVLYLEGGPDGKAGYPANRTITERGKRKIFNSVKRSMQVDDGTAEYYLSVSDGDPRAAMLQFTEDLRWEQQNRDT >Ma11_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26238586:26239612:1 gene:Ma11_g22470 transcript:Ma11_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREKGIALFYSGLGPSLTGIVPYIAVNFCIFTLKKSLLEKYQKRPQTSLTTALISASLATLMCYPLDTVRRQMQMKGSPYSNIFYVFPGIMESDAFFGLY >Ma11_p15670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21328823:21353261:1 gene:Ma11_g15670 transcript:Ma11_t15670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALGDDQGDRPSPDDLPVEAAGPSAGGEVAPRVPRASASSAPVSRCSSPLARIRQAGHARSNSFQKWRWHMQRAWRWGPGGGGSGLGSGSREQSVRATINFEMMANQKRQWYQIKAKSRDHMQHNEPSSLYEHFFIVGLHSYANVEAIEEAFAKRKTWESEVAKSEILDLRKLQYHGCIPTLEPQILFKYPPGKRAAMRENELPAFCFPEGVKARLLERTPSMSDLNEVVFGQEHLSRDDLAFVFCLKASDNAPFYGVCLHVQEIVQRAPGILGAVSPLPHSSCKSSRFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLDRITHFVSEMAVTDSISHGVTIPEQLYENSESPNKLLFSSWMEYAIPIDSVSSLVSSSVFPLEREVSPSPCRTLESHSPESASEASDFCHLRELDRETRRVWQHYDDNISETSGSRSDSFERLNGCFDNGQTSPDVGMMYSSASGRLHRVESLESVYSSVRDVGSDDDDDEYELNSKQETSVGDEKVMEWAKANNNEPLQIVCGYHALPLPPRGGEIIFNPLEHLQPIKYCRPSVSSLGFDGISNAVPSSPKQAIEVNTRLAAAEEALALSIWTTSTICHSLSLETVLTLFAGVLLEKQIVVICPNLGVLSAIVLSIIPMIRPFQWHSLLLPILPKKMLDFLDAPVPYIVGLQHKPTNIKMKTANLIQINVSKEKVKACSMPRLPGYKELVSDLGPIHARLSCENSIAKRHPVYKCSEVQAEAAGQFLNAMRRYLESLCSNLRSHTITNVQSNNDKVSLLLKDSFIDSFPTKDRQFVKLFVETQLFSVLSDSRLSRYESE >Ma11_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21328823:21353261:1 gene:Ma11_g15670 transcript:Ma11_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALGDDQGDRPSPDDLPVEAAGPSAGGEVAPRVPRASASSAPVSRCSSPLARIRQAGHARSNSFQKWRWHMQRAWRWGPGGGGSGLGSGSREQSVRATINFEMMANQKRQWYQIKAKSRDHMQHNEPSSLYEHFFIVGLHSYANVEAIEEAFAKRKTWESEVAKSEILDLRKLQYHGCIPTLEPQILFKYPPGKRAAMRENELPAFCFPEGVKARLLERTPSMSDLNEVVFGQEHLSRDDLAFVFCLKASDNAPFYGVCLHVQEIVQRAPGILGAVSPLPHSSCKSSRFLVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLDRITHFVSEMAVTDSISHGVTIPEQLYENSESPNKLLFSSWMEYAIPIDSVSSLVSSSVFPLEREVSPSPCRTLESHSPESASEASDFCHLRELDRETRRVWQHYDDNISETSGSRSDSFERLNGCFDNGQTSPDVGMMYSSASGRLHRVESLESVYSSVRDVGSDDDDDEYELNSKQETSVGDEKVMEWAKANNNEPLQIVCGYHALPLPPRGGEIIFNPLEHLQPIKYCRPSVSSLGFDGISNAVPSSPKQAIELKLFDKVNTRLAAAEEALALSIWTTSTICHSLSLETVLTLFAGVLLEKQIVVICPNLGVLSAIVLSIIPMIRPFQWHSLLLPILPKKMLDFLDAPVPYIVGLQHKPTNIKMKTANLIQINVSKEKVKACSMPRLPGYKELVSDLGPIHARLSCENSIAKRHPVYKCSEVQAEAAGQFLNAMRRYLESLCSNLRSHTITNVQSNNDKVSLLLKDSFIDSFPTKDRQFVKLFVETQLFSVLSDSRLSRYESE >Ma10_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30705059:30707464:1 gene:Ma10_g20630 transcript:Ma10_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALKAVAEKVGPASRKQALTLTDAAAARIRHLLNVRQRLYLRLGVKARGCNGLSYNLNYADEKGRFDELVEDKGVKILVDPKALMHVIGTKMDFVDDALKSEFIFINPNSKGQCGCGESFMTRSSSPNVKPADL >Ma10_p20630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30705059:30707464:1 gene:Ma10_g20630 transcript:Ma10_t20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALKAVAEKVGPASRKQALTLTDAAAARIRHLLNVRQRLYLRLGVKARGCNGLSYNLNYADEKGRFDELVEDKGVKILVDPKALMHVIGTKMDFVDDALKSEFIFINPNSKGQCGCGESFMTRSSSPNVKPADL >Ma10_p20630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30705059:30707464:1 gene:Ma10_g20630 transcript:Ma10_t20630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALKAVAEKVGPASRKQALTLTDAAAARIRHLLNVRQRLYLRLGVKARGCNGLSYNLNYADEKGRFDELVEDKGVKILVDPKALMHVIGTKMDFVDDALKSEFIFINPNSKGQCGCGESFMTRSSSPNVKPADL >Ma04_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6981010:6986281:-1 gene:Ma04_g09810 transcript:Ma04_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLKQINTYRKVFVGGLAWETKNETLRRHFEQFGEILEAVVIADKKAGRWKGYGFVTFRDPESARRACADQSPLIDGRRANCNLASLGRLGTFPTFGDLYIGAVSLPRGSYVGSAAPQQSVPFSYREGLPYLPYSLFWLWSINSVSPME >Ma04_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3796707:3798414:-1 gene:Ma04_g05020 transcript:Ma04_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCDVCGKKEALVFCCADEAALCDACDRRVHCANKLSGKHRRFSLDYPSVHSNPLCDVCQEKRAILFCQEDRAILCRECDSAIHSTNHLTMKHSRFLLTGVRFSAAPLSAPDTEAAAAANSRDGAIWKSKKGKAMVADPTAAVVPGDPIKTAAFPSASASFSATSSGNASSISEYLTKTCPGWHVEDLLVEDTAAAPAMDGFFEVNMSLPCLDLHGGGLEDICAAHAPQFLPHCPPAAHYQHWLVDKEVASSFPHRDKSGKERWSDDAFMVPQISSVPTPNKKPRNSVWYY >Ma06_p29410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30794123:30809210:-1 gene:Ma06_g29410 transcript:Ma06_t29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVSEEEGLPHLSSQAYLEGKAVGESRALVADLCRHFYNLGWVTGTGGSITVKVHDDAVPKPHQLIVMSPSGVQKERMMPEDMYVLTGSGAVLSAPSQKPYPHKLPKCTDCAPLFMKAYQMRNAGAVIHSHGMESCLITMLHPFSKEFRITHMEMIKGIQGHGYYDELVIPIIENTAHERELTESLSQAMAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLFDVALKLHQLGIDYSSPSHGLIGSTNAFQDKNKHLNDAVKAIENGEHCPETSRHCIVLDIEGTTTPITFVTDVLFPYARDNVRKHLVSTYESEETKEDIKLLRTQVEEDLKQGVSGSVPIPSNDVKNDVVDSLVTNVEAMIKADRKITSLKQLQGHIWRAGYENKELQGIVYEDVPEALKKWHVNGTKVYIYSSGSREAQRLLFANTLYGDLRKYLSGFFDTTVGNKKESRSYLEISQTVGVNKPSQILFVTDVYQEAVAARAAGLEVLISVRPGNAPLPDNHGFKTIRSFAEI >Ma08_p06670.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4528962:4532933:1 gene:Ma08_g06670 transcript:Ma08_t06670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQKSSHRSLPKRIILVRHGESQGNLDMAAYTTTPDYRIPLTVLGVEQARDAGERICQVVSEGGRAAAWKVYFYVSPYERTRSTLREMGRAFPRKRIIGAREECRIREQDFGNFQVEERMKAIKETRERFGRFFFRFPEGESAADVFDRVTSFMESLWRDIDMRRLEQEDNANVNLVIVSHGLTSRVFLMKWFKWTVDEFERLNNPGNCEIRVMQLGPGGDYSLAIHHQPEELQRWGLSPEMIADQQWRATAVRGRWYDSCPWHLDNFFHHLKDSSEDDESSDERRSA >Ma08_p06670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4528962:4532933:1 gene:Ma08_g06670 transcript:Ma08_t06670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQKSSHRSLPKRIILVRHGESQGNLDMAAYTTTPDYRIPLTVLGVEQARDAGERICQVVSEGGRAAAWKVYFYVSPYERTRSTLREMGRAFPRKRIIGAREECRIREQDFGNFQVEERMKAIKETRERFGRFFFRFPEGESAADVFDRVTSFMESLWRDIDMRRLEQEDNANVNLVIVSHGLTSRVFLMKWFKWTVDEFERLNNPGNCEIRVMQLGPGGDYSLAIHHQPEELQRWGLSPEMIADQQWRATAVRGRWYDSCPWHLDNFFHHLKDSSEDDESSDERRSA >Ma08_p06670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4528962:4532933:1 gene:Ma08_g06670 transcript:Ma08_t06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQKSSHRSLPKRIILVRHGESQGNLDMAAYTTTPDYRIPLTVLGVEQARDAGERICQVVSEGGRAAAWKVYFYVSPYERTRSTLREMGRAFPRKRIIGAREECRIREQDFGNFQVEERMKAIKETRERFGRFFFRFPEGESAADVFDRVTSFMESLWRDIDMRRLEQEDNANVNLVIVSHGLTSRVFLMKWFKWTVDEFERLNNPGNCEIRVMQLGPGGDYSLAIHHQPEELQRWGLSPEMIADQQWRATAVRGRWYDSCPWHLDNFFHHLKDSSEDDESSDERRSA >Ma08_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4528937:4532933:1 gene:Ma08_g06670 transcript:Ma08_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQKSSHRSLPKRIILVRHGESQGNLDMAAYTTTPDYRIPLTVLGVEQARDAGERICQVVSEGGRAAAWKVYFYVSPYERTRSTLREMGRAFPRKRIIGAREECRIREQDFGNFQVEERMKAIKETRERFGRFFFRFPEGESAADVFDRVTSFMESLWRDIDMRRLEQEDNANVNLVIVSHGLTSRVFLMKWFKWTVDEFERLNNPGNCEIRVMQLGPGGDYSLAIHHQPEELQRWGLSPEMIADQQWRATAVRGRWYDSCPWHLDNFFHHLKDSSEDDESSDERRSA >Ma10_p29570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36118731:36122369:-1 gene:Ma10_g29570 transcript:Ma10_t29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKDEDEYVRPTPTLAPEVAIV >Ma11_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22674958:22683961:-1 gene:Ma11_g17360 transcript:Ma11_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSGKLMPNLDQKSTKALNLTVLQRIDPFVEEILMTATHVTFYEFNIELNQWSRKDVEGSLFVIKRNAQPRFQFIVMNRRNTDNLVEDLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNSHDCEDIANLFSRILNAYSKVPPKAKVSSKSEFEELEAVPTSSVIEGPLEPTTSSNAAPIPDVPDDPFANFFSNAVNIGNASNATTLAVQPTVDAATIPVTHHGPFVNPSVVPTFQPTSVIPALSTLLVAPSDTLESSSGNSNRATNLVKPSFFSPSLSSSALVTPPVSSLTLTAPPVYPPVTMQHPYGTPLLQPFPPPTPSASLTPAPIFGPVITRDKVRDALLRLVQNNEFIEIVYRELLNAHCP >Ma05_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9231765:9233396:1 gene:Ma05_g12810 transcript:Ma05_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGNVVLFPFLAHGHMNPFLDLARLLSARYPHLTLTLVTTSGNLAGFRRLQLPTSIRLVALPFCPSDHGLPPDADTSFALPPHLVTHLNHVIEGCLLPSFRRLLSDLISAASPPVICVIADMFLGWTAPVAKEHGVFHATLYTSGPYAMSIYYSIWINLPHVDGSDGEDDEITVPGVPGVTVRRRQLTPNMRSARSPDHKGASFVRRQAVLCSSSGATLWNTAEVLEKPFLDAWARSTGQPVYAVGPLFAAAGRVARGDGGLAAECLAWLDRHVSGSVVYVSFGSQNRLPAEEAAELAAALEEQGRPFLWAAPAPDQAPPPPPAGKSEWTSGLVARGWVPQVDILRHAAVGAFVSHGGWNSTLESLRFGVPVVVRPMGGEQFCNAKLVAEVLGAGAEAVGGREVAEAVAEVMGEGERAREVRRRAREIAEGLAAAVEGGGSGGQGASLTALDEFVYSATSRDTCGAAVGVDV >Ma06_p34680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34820743:34821332:-1 gene:Ma06_g34680 transcript:Ma06_t34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSRLLFYIQDGEDDGDEDIDLIRPSTLLMPALFHI >Ma03_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2597142:2602164:-1 gene:Ma03_g03980 transcript:Ma03_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLIHRVEEWVRQQPIEQIYVAFAVLIFTISFFGLFRVLRRSKSNTILLAGLSGSGKTVLFYQLRDGSPHLGTVTSMEPNDGTFVLHSELEKNGKLKPVHLIDVPGHSRLRPKLDELLPHSAGVIFVVDSLDFLPNCRAAAEYLYDILTKAIIVKRRIPMLILCNKADKVTAHSKEFIRKQLEKEIDKLRASRSAISTADIANDFTLGIPGETFAFSQCRNKVTVAESSGLTREVSQVEQFIRELVKP >Ma01_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:519387:521036:-1 gene:Ma01_g00690 transcript:Ma01_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKVENGDVEIRDVWARNLESEIYVIRNIVGDFPFIAMDTEFPGVIIHDTGAFQELADANYQYVRANVDRLHLLQLGLTFFNAAGSLPASPDSGRPIVWQFNFREFDVGRDPSSAHSVKFLEKTGMDFKKNQEEGVDVKLFAELFIPSGTVLDDSVYWVAFQSAFDFAYLLKILTCKPLPETREGFFEILQKFFPLLYDIKRVIHLNDNFHGGLNSLATLLGIERVGIAHHAGSDSFVTAMVFLKLRGHPVVGSMENYVGLVFGLDAETAQIFSKKS >Ma05_p19570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28006340:28018871:-1 gene:Ma05_g19570 transcript:Ma05_t19570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRSLEEVIIRVLSSTFAIKASRIKGLTGVWVGNKKVAAIGIRVSRWISYHGLAVNVTTDLSPFQRIVPCGLQDREINLLISLFLMIDRNYQRKNLRWGSSSQYVISLLVMQGA >Ma05_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28006340:28018901:-1 gene:Ma05_g19570 transcript:Ma05_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLASHGFMIPCLPRMNSEGMRNRPFYSMRFANVDGVYHVADDSATSHRVQRRRCDCYDHYKQLIPYMEAWCWQKSLVRMRHDLVGRDEDHSDMLIILQHPPVYTLGTGSLEKYLHFNIQDSPYEIYRTERGGEVTYHGPGQLVMYPIVNLRYHKMDLHWYLRSLEEVIIRVLSSTFAIKASRIKGLTGVWVGNKKVAAIGIRVSRWISYHGLAVNVTTDLSPFQRIVPCGLQDREINLLISLFLMIDRNYQRKNLRWGSSSQYVISLLVMQGA >Ma05_p19570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28006395:28018901:-1 gene:Ma05_g19570 transcript:Ma05_t19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLASHGFMIPCLPRMNSEGMRNRPFYSMRFANVDGVYHVADDSATSHRVQRRRCDCYDHYKQLIPYMEAWCWQKSLVRMRHDLVGRDEDHSDMLIILQHPPVYTLGTGSLEKYLHFNIQDSPYEIYRTERGGEVTYHGPGQLVMYPIVNLRYHKMDLHWYLRSLEEVIIRVLSSTFAIKASRIKGLTGVWVGNKKVAAIGIRVSRWISYHGLAVNVTTDLSPFQRIVPCGLQDRETETIRERI >Ma05_p19570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28006346:28018855:-1 gene:Ma05_g19570 transcript:Ma05_t19570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLASHGFMIPCLPRMNSEGMRNRPFYSMRFANVDGVYHVADDSATSHRVQRRRCDCYDHYKQLIPYMEAWCWQKSLVRMRHDLVGRDEDHSDMLIILQHPPVYTLGTGSLEKYLHFNIQDSPYEIYRTERGGEVTYHGPGQLVMYPIVNLRYHKMDLHWYLRSLEEVIIRVLSSTFAIKASRIKGLTGVWVGNKKVAAIGIRVSRWISYHGLAVNVTTDLSPFQRIVPCGLQDREVCSLKELLGNSLSGKIEDSVLIDTAHESLVKEFSEVFQLSLSNRSIS >Ma05_p19570.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28006347:28018901:-1 gene:Ma05_g19570 transcript:Ma05_t19570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLASHGFMIPCLPRMNSEGMRNRPFYSMRFANVDGVYHVADDSATSHRVQRRRCDCYDHYKQLIPYMEAWCWQKSLVRMRHDLVGRDEDHSDMLIILQHPPVYTLGTGSLEKYLHFNIQDSPYEIYRTERGGEVTYHGPGQLVMYPIVNLRYHKMDLHWYLRSLEEVIIRVLSSTFAIKASRIKGLTGVWVGNKKVAAIGIRVSRWISYHGLAVNVTTDLSPFQRIVPCGLQDREVCSLKELLGNSLSGKIEDSVLIDTAHESLVKEFSEVFQLSLSNRSIS >Ma04_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24496673:24496927:1 gene:Ma04_g22110 transcript:Ma04_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVTSLYTLDKELGRGQFGVTYLCMEKSTGRKYTCKSVSKRKLVTKRDMEDMRREVMILQHLTGQPNIVEFKGAYEDKYSVHLV >Ma02_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24415603:24419322:1 gene:Ma02_g17570 transcript:Ma02_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVGDQSARQAPAVMGSSKLRYALRSAARSKDGKPSVPEPTVSSAPKRGRSSPAVSRSVNVLDLSGKDKSAKPSRRLSIPTKPAHPPTIKSMTPISEARARISNIQGKSDTPRSDISKSMTKRKFNVLSSVSYWLTQIKLSESASWHSISLGFFKLALESGCEPSSRVREELKSYIQRHNLLTEQKEYVKDLLQNYNIVEDLDKLNISESCSKLPEEVTQKSDKDRSKTRSMKPKSLNSENLAVVANSNNKDIIQRRQPTYKNRGLYSKDAVNCVPMKDTNSINTRKNSQKPRTKPVNENTEVESSQNPTETGNNANSSPVGETLHENKENTDVIIEETSCAEEIQVN >Ma01_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10493998:10495936:-1 gene:Ma01_g14360 transcript:Ma01_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFVDAERFECLKPSLPFMEMDTNLELMRQLAELNGSAMHSPSMGLMDYSEEYYLPHQSEFSIPYIDDLTGPPAERQKPIAESQPAGSVGEQSHGGRKRKMIAESNASSHNFSEVYSVIGSAEVNTEKKNGSESGRRRKSNSKEAGKPKEVVHVRARRGQATDSHSLAERVRRRKINERMRCLQDLVPGCYKTMGMAGMLDEIINYVQSLQNQVEFLSMKLSAASSFYDYSLGVEAVAITQVDGAYEGEEAERAQRKGHGDCTSVHSISMPSF >Ma09_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4303577:4304528:1 gene:Ma09_g06730 transcript:Ma09_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGSSNMNDKRVDSSQETEVRKGPWTMEEDLILVNYIAHHGEGVWNNLARSAGLNRTGKSCRLRWLNYLRPDLRRGNITPQEQLLIMELHARWGNRWSKIARQLPGRTDNEIKNYWRTRIQKRVKRSESDDFQNAMLTDESGAGTSQTNSSNDGRDQPSHPDEQTATAADGVDPQFPAESSYNFWTFEDFWSMQLPDDDYMK >Ma11_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3564737:3569490:-1 gene:Ma11_g04570 transcript:Ma11_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MASPRGVGSDLWAEIMSQEEEPQPITQIAVVYGRRRRTVEDPNAKDAATNRPSGENRVSLGPSKRPSWNRSLSTRGRESIVVAAGVNFRPRPKQKGAPQKGKQGSRAKKAGQEPPDFTKEKNYFQEVDSFELLEESPSPRNFGTWALGTKHDIIVHDLPAILERWRITKLASRHGSQQLFKIMETPLVPSVHSSCSASDDLAAKTPERVLRTKAPLNVTSHKKILTPQFDNSIVTSFDELHIDEEEVANIMSEDVETSREVLDSNKPEEATQIDPSISQRASLTGEYLSTFDQLMMVCRQSSPISLGEVFSRYCELRCIVKIGEGTYGEAFKAGETVCKVVPIDGDLLVNGEVQKKSEEVLEEVMLSLTLNNLKGTRGETNKENACTGFIETKDFYVCQGAYDPGLISAWEDWDARHSSENDHPKEFTEKQCFIVFVLADGGKDLESFVLLNYDEARSLLVQVTIALAVAESACEFEHRDLHWGNILLKRNNDPMTDFTLQGKKMRAKTFGLTISIIDFTLSRINTGEAILFLDLSADPGLFEGPKGDKQFDTYRKMKDLTDDCWEGSFPKTNVLWLIYLVDILLMKKSFKRTTKDERDLRSFKKRLSSYDSATASLADSFFSDMLVDHCV >Ma07_p25990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32961897:32965465:-1 gene:Ma07_g25990 transcript:Ma07_t25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g01030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01030) UniProtKB/Swiss-Prot;Acc:Q9SV26] MEQTLSSRSFRPSSPCKRSADLRKRWRRTSSPVPQALLQPSPAAVETSPAVLTFPSPSLALDDPIYSTSLVSVKQVHARIVKAAEPRSSKSAMDFLIQVYSKFGDFRSAAAVFFIALQSDTLSWSYLIDSFDGAGERSTRELLEIFRELHRIGITLSVGIFRRALRNCAELVDFWLGSQIHAHVTKAGLANETYIRCSLLDFYARCCSSESADRLFEESSFTEDSILWNNFIVLNVERGKWIEALDLFRMMQLVGLEADEVTVAKALHACGRLEAVKQGKVIHGHVIRSGSFQCALVSNSLISMYSKNSLVKLARRVFEYMGGRTLVSWNSIISCCSLNGFLEDALELFRDMVAFGAEPDLVTWNCLISGHSHHGSPHRTFELLRKMQEDGFQPNSSSITSVLRPVTLSGLVELGKTIHGYAIRHGLDRKVFVGTALTDMYVRCRNLSNARSVFHSMKHRNVLTWNSMISGYAHEGLFEEALQLMEQMEEEGEQPDLTTWNGLISGYSIHGRSKQAVVLIRQLKANGVVPNVVSWTAVISGCCRNERYEDAIYFFREMLSEGVQPNSVSVACLLRACAAMALLGKGRELHCFAARRDLDDDIFVATALIDMYAKSGSLAEANRVFEKLRHRNLASWNAMIMGFAAHGRGEEAISLFDRMCGEGIKPDGITFTAVLSGCRHSGLVTQGWKLFDGMKGFGVTPTLEHYTCMVDLLARCGYLDEAWDFIQSMPLEADAGIWGSLLAACRTHRNVELAEMAAKQLFQLEPSNPANYVLMMSIYACENRWEDAEDVRDAKNAAGVESRGGWSWIQIDQTVHVFGVEGGPPHPDTGEIYFELYRLVSEMRRRGYVPDTSCIAHHIGEEEKEKLLLSHTEKLAMAYGLICTGEGTAIRVIKNTRVCSDCHTVAKYMSQMTGREILLRAGARFHHFRDGKCSCNDFW >Ma03_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3459034:3459489:1 gene:Ma03_g05300 transcript:Ma03_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKVEKIRQVVQLKQVMRRWRALSLRRRGEEDGSGGAVRPGSVAVYVGQERRRFVIPTRFLNLPVFAALLQRAEEEYGFPPAGGLALPCDPAFFRYVLDALHRDEPRFGALGLDAFLALFADLGGATSPCREPLSYNGFSPLLPKTKAR >Ma09_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9737988:9739386:1 gene:Ma09_g14250 transcript:Ma09_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFIYDSPRQDHGGRLRAPVIITSIMAAFGDVIFGYDLGISGGDAALMNAVILGVVSVTSVLVSTFLVDRCGRKLLFIVGGALMILCQLYYASPSLSLSLSLLTLLYPLLLGFSWGPLNWIIPTEVLPVEIRSAGQSIDVAANLCVTFVQRRSFLAMLCRFKHGTFAYFSAWVAIMTAFIVVFLSETKGVPLESIDDLWRCHWYWRWFLVDGSKQDMKPPQP >Ma10_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22769887:22770646:-1 gene:Ma10_g08380 transcript:Ma10_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKSGELYRGSMIECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIRGKGSALGVGRGRAVAMRAR >Ma04_p12730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9608147:9612494:-1 gene:Ma04_g12730 transcript:Ma04_t12730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRHTIVLMQSSPNRATRTFMDYGSISQAMDGICGLYERKLKEINPTIQNITYDISDLYNFIDGLADLSALIFDHSIQAYLPYDRLWIKHRMFQHLKRLASQ >Ma04_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9608147:9611052:-1 gene:Ma04_g12730 transcript:Ma04_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAALVEANRHTIVLMQSSPNRATRTFMDYGSISQAMDGICGLYERKLKEINPTIQNITYDISDLYNFIDGLADLSALIFDHSIQAYLPYDRLWIKHRMFQHLKRLASQ >Ma04_p12730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9608147:9612466:-1 gene:Ma04_g12730 transcript:Ma04_t12730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQANRHTIVLMQSSPNRATRTFMDYGSISQAMDGICGLYERKLKEINPTIQNITYDISDLYNFIDGLADLSALIFDHSIQAYLPYDRLWIKHRMFQHLKRLASQ >Ma10_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20321226:20324963:-1 gene:Ma10_g06780 transcript:Ma10_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQPWTGVERRSNHIPVKLFALVLLVYLTFRILFSGFVVLLPVPESPAVPVDRSDSREVAVGVVSDAKPRKDKCNLFTGEWIPNPSGPAYTNESCRFIESPQNCMKNGRPDMGYLFWRWKPHGCDVPPFNAQKFMEVMRNKTWALIGDSILRNHAQSLICLLSKAEDAVEIYHDEQYKSRTWRFPSHNFTISLIWSPFLIKAEIFENDDGESKSENRLHLDTLDDNWTSQYTSFDYMVVSGGQWFLKTAVYMENNTVVGCHYCPKLNLSELGYEYAYSKTLNSVFHFVATSEHKPIVIYRTWAPDHFEYGEWFSGGVCNRTAPYKAGEFDGREVDRVMRKIELEEFNRAVALDGTENAAHLKLLDTFQLSLLRPDAHSGPYRRFHPFEKDKNAKVQNDCLHWCLPGAIDAWNDLIMKLTLDE >Ma09_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9610921:9613357:-1 gene:Ma09_g14100 transcript:Ma09_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNVTSRRCGDGGSFPSPPTGDGSDSRRDLSHACARDLRLRRRHPFRRRLHPQLRGLALLIPVVGCSRQDSEVVYRRRYDSREQHVELQHRCAYYL >Ma05_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4470896:4472416:1 gene:Ma05_g05930 transcript:Ma05_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTAHFVLVPLMAQGHTIPMVDMAHLLASRGVHVTFITTPANASRIESDIQRARGSGIPIQFVSLRFPCEEFGLPQGCENLDCVTSREHAVAFLHACRTLRGPLTSILRQQNPPPSCVISDTMQYWTADMARELGIPRLTFSGSGGLFHLFRHLFLQNKSNDKVNNGAESVVLPGFPHHIEIARALIPGSLFIPEMAKFREEMMEEDCRSDGVVVNTFSDLEAPCIDQYQEVIGKKVWTIGPMFLCNRDAAYIAARGNKASIDQAQCLRWLDSMQPSSVIYVSFGSLARTSPSQVIEIGLGLEASNCPFLWVIKAGNRSAEVETWLSQGFEERTSSRGLIIRGWAPQVMILSHPAIGGFMTHCGWNSLLEGIAFGVPMITWPHFAEQFLNEKLIVEVLRTGVAIGVKTMTPWQVDKDVVLVKKDDMVRAVSRLMGEGEEGEAMRNRSRELGHKARAAVEEGGSSCENVTLLIQQIKASMKLAQ >Ma01_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9372259:9376715:-1 gene:Ma01_g12800 transcript:Ma01_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFHQQAAYVDAKIFLFYFVGELKTNKAYQEWSNKASERETPSNPVVSRLESREQETDKGAVWLCSLFGRSGSESIDFSGSEPWPMKLTIPTVVQKFVDQFRLSSTFSRDMQAAGYSFNMPLVHQRHFTAANYINGAPQTRVMPGCRASYDLSMV >Ma06_p21980.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16848497:16876483:-1 gene:Ma06_g21980 transcript:Ma06_t21980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNNYRSGSMQKSSEGIRLTVTTILGVIFGFFVGCSFPNFSSIRLSLVPSFSSTVEMDFTGDTRSYDTVINPHMTGKSSTEKHRSGDPLKIYVESNPHGAEFLPPGIVVAETDFYLRRLWGNPEEDLTTRPKYLVTFTVGFEQRKNVDMAVKKFSEDFTILLFHYDGRASEWEELEWSKRAIHVSIRKQTKWWYAKRFLHPDVVAAYDYIFIWDDDIGVEHFDAEKYLHLVKKYGLEISQPGVDPNKGLTWEMTKRRGDSEVHKETVEKPGWCTDPHLPPCAAFVEIEAPVFSRNAWRCVWHMIQNDLVHGWGLDFAFGRCVEPAHEKIGVVDAQWIVNRVIPSIGDQVRERCMLEWSQFQARLAQADRAHIDGTTRG >Ma06_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16848497:16876483:-1 gene:Ma06_g21980 transcript:Ma06_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSSSSMMGNNYRSGSMQKSSEGIRLTVTTILGVIFGFFVGCSFPNFSSIRLSLVPSFSSTVEMDFTGDTRSYDTVINPHMTGKSSTEKHRSGDPLKIYVESNPHGAEFLPPGIVVAETDFYLRRLWGNPEEDLTTRPKYLVTFTVGFEQRKNVDMAVKKFSEDFTILLFHYDGRASEWEELEWSKRAIHVSIRKQTKWWYAKRFLHPDVVAAYDYIFIWDDDIGVEHFDAEKYLHLVKKYGLEISQPGVDPNKGLTWEMTKRRGDSEVHKETVEKPGWCTDPHLPPCAAFVEIEAPVFSRNAWRCVWHMIQNDLVHGWGLDFAFGRCVEPAHEKIGVVDAQWIVNRVIPSIGDQVRERCMLEWSQFQARLAQADRAHIDGTTRG >Ma06_p21980.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16848497:16876408:-1 gene:Ma06_g21980 transcript:Ma06_t21980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNNYRSGSMQKSSEGIRLTVTTILGVIFGFFVGCSFPNFSSIRLSLVPSFSSTVEMDFTGDTRSYDTVINPHMTGKSSTEKHRSGDPLKIYVESNPHGAEFLPPGIVVAETDFYLRRLWGNPEEDLTTRPKYLVTFTVGFEQRKNVDMAVKKFSEDFTILLFHYDGRASEWEELEWSKRAIHVSIRKQTKWWYAKRFLHPDVVAAYDYIFIWDDDIGVEHFDAEKYLHLVKKYGLEISQPGVDPNKGLTWEMTKRRGDSEVHKETVEKPGWCTDPHLPPCAAFVEIEAPVFSRNAWRCVWHMIQNDLVHGWGLDFAFGRCVEPAHEKIGVVDAQWIVNRVIPSIGDQVRERCMLEWSQFQARLAQADRAHIDGTTRG >Ma06_p21980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16848497:16876483:-1 gene:Ma06_g21980 transcript:Ma06_t21980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNNYRSGSMQKSSEGIRLTVTTILGVIFGFFVGCSFPNFSSIRLSLVPSFSSTVEMDFTGDTRSYDTVINPHMTGKSSTEKHRSGDPLKIYVESNPHGAEFLPPGIVVAETDFYLRRLWGNPEEDLTTRPKYLVTFTVGFEQRKNVDMAVKKFSEDFTILLFHYDGRASEWEELEWSKRAIHVSIRKQTKWWYAKRFLHPDVVAAYDYIFIWDDDIGVEHFDAEKYLHLVKKYGLEISQPGVDPNKGLTWEMTKRRGDSEVHKETVEKPGWCTDPHLPPCAAFVEIEAPVFSRNAWRCVWHMIQNDLVHGWGLDFAFGRCVEPAHEKIGVVDAQWIVNRVIPSIGDQVRERCMLEWSQFQARLAQADRAHIDGTTRG >Ma06_p21980.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16848497:16876483:-1 gene:Ma06_g21980 transcript:Ma06_t21980.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPFRSRQFSFFQREDSRDSWSHVVFINYWMNFLSSSSMMGNNYRSGSMQKSSEGIRLTVTTILGVIFGFFVGCSFPNFSSIRLSLVPSFSSTVEMDFTGDTRSYDTVINPHMTGKSSTEKHRSGDPLKIYVESNPHGAEFLPPGIVVAETDFYLRRLWGNPEEDLTTRPKYLVTFTVGFEQRKNVDMAVKKFSEDFTILLFHYDGRASEWEELEWSKRAIHVSIRKQTKWWYAKRFLHPDVVAAYDYIFIWDDDIGVEHFDAEKYLHLVKKYGLEISQPGVDPNKGLTWEMTKRRGDSEVHKETVEKPGWCTDPHLPPCAAFVEIEAPVFSRNAWRCVWHMIQNDLVHGWGLDFAFGRCVEPAHEKIGVVDAQWIVNRVIPSIGDQVRERCMLEWSQFQARLAQADRAHIDGTTRG >Ma06_p21980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16848497:16876483:-1 gene:Ma06_g21980 transcript:Ma06_t21980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNNYRSGSMQKSSEGIRLTVTTILGVIFGFFVGCSFPNFSSIRLSLVPSFSSTVEMDFTGDTRSYDTVINPHMTGKSSTEKHRSGDPLKIYVESNPHGAEFLPPGIVVAETDFYLRRLWGNPEEDLTTRPKYLVTFTVGFEQRKNVDMAVKKFSEDFTILLFHYDGRASEWEELEWSKRAIHVSIRKQTKWWYAKRFLHPDVVAAYDYIFIWDDDIGVEHFDAEKYLHLVKKYGLEISQPGVDPNKGLTWEMTKRRGDSEVHKETVEKPGWCTDPHLPPCAAFVEIEAPVFSRNAWRCVWHMIQNDLVHGWGLDFAFGRCVEPAHEKIGVVDAQWIVNRVIPSIGDQVRERCMLEWSQFQARLAQADRAHIDGTTRG >Ma03_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7576258:7577067:1 gene:Ma03_g10130 transcript:Ma03_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAEVLVAVKSSPDRFWEAIRGSTELFPKVFPEQYQSIEIVEGDGRSAGTVRLLKYAQGVPLVTFAKEKIEEVDDASKQVSYSLIDGELVSYYKNFKASLKVEPNGDGSQVKWCMEYEKANIEVPDPDLVTETATKTFSALDEYLAKN >Ma09_p29090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39655210:39655380:-1 gene:Ma09_g29090 transcript:Ma09_t29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACDGCNFHIKRCKRCIRDIWIILPPTEMFKGVNSLIVSMNFLPSPFCLFGLSKG >Ma04_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10817214:10821987:1 gene:Ma04_g14270 transcript:Ma04_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEILSSYSSICGIYDGSRFGKSSVRKQERVRPSFCFLNLRPSKLSCLQNNCIVVHSPVLLVVAQSGRLCELKPLVMSRMTKISFSIRRDHVVSACYCKSGVAYRQQISMLTWDQEVRFSTNEYIQKQLPLGSSVIQQELGVEITHDLSCSNSERQFQLSEGKLLFLEEQDEELLSKRVLILSRLNKVKSALEMFTSMTASGLRPNAHACNSLLSSLVRNGSHDDALKVFEIMNEKGMATGHTFSLVLKAVASAQGCNSALEMFKALEEAGISKTFDVIVYNTMISICGKARNWIETERTWRKLRQNALRSTMITYELLVSIFVQCGQPEFAVHAYYDMIQSGLEPSEDILNAILSSCTKEGKWDLGLNIFQKMLDRGIKPNMIAFNSMINCLGKAGKDDLAFKVYNLLKSVGHRPDGYTWCALLCALYRSNRYADAIQLFEGIKTKQDVDLNAHLYNMALMSCQRLGLWERSLQLLWQMEKSGIQMSTTSYNHVISACEVAREPKVALQVYRHMIQQKCAPDTFTYLSLIRSCIWGSLWTEIEEIMEVVAPNSSLYNALIHGLCLRGKIEVAKIMYKKMRSIGLKPDGKTRALMLQHLPCDSRRR >Ma05_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24234560:24236401:1 gene:Ma05_g18620 transcript:Ma05_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNHVAFLFFSFAVGFVLFSMLLLFLRKRRCCSCDVCKAYVSSSWAAEFDNLCDWYAHLLRESPTGTIHIHVLGNTVTANPANVEYMLRTRFDNFPKGKPFSALLGDLLGQGIFNVDGDAWRFQRKMASLALGSVAVRSYAFGIVAGEIGRGLLPLLSSIADRGDGAVVDLQDVFRRFAFDTICKISFGLDRGCLELPMPMSEFAAAFDTASRLSARRGTATAPLIWKLKRLLNVGSERELKRTIRMINVLAEEVIRQRRKLGFASSHDLLSRFMGSVVDDDDKYIRDIVISFLLAGRDAVASGLSSFFLLLSRHHNVAKAMREEIAGVTKADGADVVSYEQLKEMHYVHAAIYESMRLFPPVQFDSKFCLEDDVLPDGTFVSRNTRVTYHPYAMGRMDSIWGPDCDEFRPGRWLRGGVFTPESLFKYPVFQAGQRVCLGKELALMEMKTVIVSVVSKFDVEVLPGGRPLKFVPGLTATINGGLPARVCRPKI >Ma09_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6986448:6988800:-1 gene:Ma09_g10250 transcript:Ma09_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSLLPSASSLLLLRRHALPVGRRSRPVAPVRALSAPATLTQDDLKKLAAVKAVEYVSSGMVLGLGTGSTAAFVVAEIGALLSSGKLSDIVGVPTSKRTYEQALSLGIPLSTLDDHPRIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFVVVADETKLVTGLGGSGLAMPVEVVQFCWKYNQTRLQELFKEEGCEAKLRLDDGGKPYVTDNSNYIVDLYFKTPIKDAPAAGKEISALEGVVEHGLFLDMATAVIIAGKDGVTMTTK >Ma03_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27868143:27869604:-1 gene:Ma03_g23250 transcript:Ma03_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSADGSGSSNSSISTLTIFGIGGVGKTTLARQAYNHERLKDYFHHKVWLCVSDNFNVERLTKEIIESITKNKCDLSNLNTLQVVVKEKLTSKRFLLVLDDVWNEDSLEWERFCAPLRSGVPGSKILVTTRSRKIAEMVGNPIPLDGLDETSYWKLFKKCAFGSEYAGECPQLEDIAKKIASRLKGLPLAARMVGGLLKERMNETDWRNIAESEIWQIQHDGKGVLVLPVLQLSYQCLPSHLKRCFLFCAMFPKDHRFDKEDLVRLWMAEGYVARDNNMTMEDTGSRYFLDLVNRSFFQEAPWRSIYVMHDLIHDLAQFISEGEFCRIDDDKSKEIPNTTRHLSATLTDGTKLMKFSCYEKLRTLMINYGSYMYGFRVADSLLTGVQIERLKNIRLLILQRCGLRELPEKIGGLIHLRYLDISYNRYIRRLPESLCDLYNLRVLDLFECDLQNLPHGMSKLINLMHLNAQD >Ma06_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:67567:69173:-1 gene:Ma06_g00100 transcript:Ma06_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGMLLQIERASQGRRCRLFCPTCPYMVIKHNLVKKELEHIFSGADSMKFAPRTAATCPRCHHGEAFFRQMQIRSADEPMTTFYRCCNERCNYEWRDD >Ma10_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27702480:27703677:1 gene:Ma10_g15680 transcript:Ma10_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTLSSPFDDHLCRSKSAKVPIPSTNVADLNSHRSVGIGNVKDLTIKMHR >Ma03_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28810654:28810968:1 gene:Ma03_g24490 transcript:Ma03_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSCTSSAPPPKANLVTHTLHTLYKTISFLPTRPSLRPSRVDPCTMTATNLLLFVSLGSIDHCDTELSLSPGGVYHRHSSYSDTSASSSRYRCSIIVCAYHWI >Ma10_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29149654:29151330:1 gene:Ma10_g18030 transcript:Ma10_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPFPELSSSVRLKYVKLGYQCLVNNFVAFLLIPVMIAVPLVLVRRGPDEIMALWHSLQLDLTQVLFSVFLLVFVAAVYFVSRPRPVYLVDYACFKPPTTCRVPSATFMEHAWLINWDEKSVQFQTRILERSGLGEETCLPPASHYIPPNPTMEAARAEAQLVIFSAIDDLFKKTGLRPKNIDILVVNCSLFSPTPSLSSMIINKYELRSNIRSFNLSGMGCSAGLISIDLARDLLQVHPRSNALVISTEIITPNSYTGNQRSMLLPNCLFRMGAAAILLSNRRRDARRAKYRLLHVVRTHKGADDRAYRCVYQEEDAEGHTGISLSKNLMAIAGEALKSNITTIGPLVLPMSEQLLFFLTLVGRKLINHKWKPYVPDFKLAFEHFCIHAGGRAVIDELQNNLQLTAEHVEASRMTLHRFGNTSSSSLWYELSYIESKGRMRRGHRVWQIGFGSGFKCNSAVWKCLRTVETLVDGPWADCINRYPVDIPDVVKP >Ma03_p27420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30825917:30830937:1 gene:Ma03_g27420 transcript:Ma03_t27420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRFASLRVSALPSGRWKKKRSGGIVPAILEANRMVRLVAPWPIPFSASQDSAPLDYDPSRELLGIDVDPQPRSVHSGAQKLRSWFGPNGQYIRELPCPSCRGRGYTPCTECDIERSSSGCSQCNGKGIRICRQCFGDCVIWEESIDERPWERARSSSPLKVKEDDEVDKLDIKVDVSRKSKRTYQSPSPEVSLKISRSLRSLNAKTGLFSNRMKIIHQDPILRAQRIAAIKKTKGTPEARERASEASKAFFRDPENRLKRSIAMKGVKFYCSICGEEGHRRHYCSTLRETSAPIRFRCRKCGKLGHNRRTCGKSKSDRKPQKGSQSASRHCSLCGQNGHNRRTCTELNQPGLGNSSCTETPLISVTKRTYSCRLCLEKGHNSRSCSKREAI >Ma03_p27420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30825917:30830937:1 gene:Ma03_g27420 transcript:Ma03_t27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRFASLRVSALPSGRWKKKRSGGIVPAILEANRMVRLVAPWPIPFSASQDSAPLDYDPSRELLGIDVDPQPRSFFRSVHSGAQKLRSWFGPNGQYIRELPCPSCRGRGYTPCTECDIERSSSGCSQCNGKGIRICRQCFGDCVIWEESIDERPWERARSSSPLKVKEDDEVDKLDIKVDVSRKSKRTYQSPSPEVSLKISRSLRSLNAKTGLFSNRMKIIHQDPILRAQRIAAIKKTKGTPEARERASEASKAFFRDPENRLKRSIAMKGVKFYCSICGEEGHRRHYCSTLRETSAPIRFRCRKCGKLGHNRRTCGKSKSDRKPQKGSQSASRHCSLCGQNGHNRRTCTELNQPGLGNSSCTETPLISVTKRTYSCRLCLEKGHNSRSCSKREAI >Ma11_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5562038:5565760:1 gene:Ma11_g06960 transcript:Ma11_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLLACWDKIFNCSHFQFRPFSLPQTSVTETSAPELANLLFWLMVVGYSIHNIEVFFDMERIFGAPELVTFHGSQSQTSEAFESLMHSLS >Ma02_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25595222:25600667:1 gene:Ma02_g19420 transcript:Ma02_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGKGERPKSDAFPASLSKRLRRPPPTEAAEGEPAEATAAASPSVVLVTGLPADCTVLELKSRLEMYGPISRTRIDVDGRGFVTFRSDHAAEAAISASLDPAFGVTVRSKKVLVVRASDPIPAKMGAGISSTSRLLRAEIPLSRHGPSKEKLDAGATAERSKSGPEVSHDGREIIAYDDLF >Ma11_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16363758:16364177:-1 gene:Ma11_g12440 transcript:Ma11_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKWEETIQQWYTSSHTSKLDYLDFAETHSPTRKELAHNLAVIYDRTCLSSRVNLKNFKVIIEKNQSLEREIKRLKHSIKTLTALLSENRPLTKQEVRDLVAEISKQPKLVEEEALKLTQSLNQKLHRVEQLLSRIEK >Ma01_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19959847:19982314:-1 gene:Ma01_g21090 transcript:Ma01_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQWLFYEIPMNWFIDAQIMEQKTGYLSDKSNLWLELLKKFSIKDWKNNLCNRLFGSKKVRGTWWRKLLLLWIIGWFLGSLWIFWFMNSQAVEKRREMLASMCDERARMLQDQFNVSMNHLQALAILISTFHHAKDPSAIDQITFARYAERTAFERPLTSGVAYAVKVLRSEREQFEKQQGWKIKRMDSTEQPPAREEDADLENRDEYAPVIFAQDTYRHVISFDMLTGKEDRENILRARESGKGVLTAPFRLLKSRRLGVILTYAVYKSELTSKATPAERIQAAIGYLGGIFDVEALVDKLLHQLACKHSMMVNVYDKTNPDEPIIMYGSNMTNVGLYHISTLNFGDPIRKHEMHCRFKQKSPLPWLAITTSIGTLVIALLVGYIFHATVSRIAKVEDDYRQMMELKGRAETADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQEDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRAVLDDILSLFYGKAQEKGLELAVYVSDQVPDVLVGDHGRIRQIITNLIGNSIKFTERGHIYLTVHIFEEVLNSLDKEVEVHSTDTLCGFPVANRRRSWESFKNFKLELPASDPSLLSTSSNHINLIISVEDTGVGIPLEAQSRVFTPFMQVGPSISRIHGGTGIGLSISKCLVGLMKGEIGFVSQPQIGSTFTFTVVLTRACTNSNEYKSSEFHGMIALVVDHRPARAKVTKYHLQRLGVNAILEIDPNQVLSRLTSGTSTINMVLVEKETWSKDSSIWPFIISKLKGNQLDIPKILLLANPTSSVKNNASSSMEYISTIITKPLRASMLQVSLRRAMGCGDGEHSRNGRLPQLSLRGLLHEKQILVVDDNIVNLRVAFGALKKYGAEVTCAESGKKAIGMLKPPHKFDACFMDIQMPEMDGFEATKRIREMEADINKQIECREVPVETFENVLHWHVPILAMTADVIQATHEECLRCGMDGYVSKPFEGEQLYREVTRFFKQPQKRIDNEVKC >Ma01_p21090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19959847:19982314:-1 gene:Ma01_g21090 transcript:Ma01_t21090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFIDAQIMEQKTGYLSDKSNLWLELLKKFSIKDWKNNLCNRLFGSKKVRGTWWRKLLLLWIIGWFLGSLWIFWFMNSQAVEKRREMLASMCDERARMLQDQFNVSMNHLQALAILISTFHHAKDPSAIDQITFARYAERTAFERPLTSGVAYAVKVLRSEREQFEKQQGWKIKRMDSTEQPPAREEDADLENRDEYAPVIFAQDTYRHVISFDMLTGKEDRENILRARESGKGVLTAPFRLLKSRRLGVILTYAVYKSELTSKATPAERIQAAIGYLGGIFDVEALVDKLLHQLACKHSMMVNVYDKTNPDEPIIMYGSNMTNVGLYHISTLNFGDPIRKHEMHCRFKQKSPLPWLAITTSIGTLVIALLVGYIFHATVSRIAKVEDDYRQMMELKGRAETADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQEDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRAVLDDILSLFYGKAQEKGLELAVYVSDQVPDVLVGDHGRIRQIITNLIGNSIKFTERGHIYLTVHIFEEVLNSLDKEVEVHSTDTLCGFPVANRRRSWESFKNFKLELPASDPSLLSTSSNHINLIISVEDTGVGIPLEAQSRVFTPFMQVGPSISRIHGGTGIGLSISKCLVGLMKGEIGFVSQPQIGSTFTFTVVLTRACTNSNEYKSSEFHGMIALVVDHRPARAKVTKYHLQRLGVNAILEIDPNQVLSRLTSGTSTINMVLVEKETWSKDSSIWPFIISKLKGNQLDIPKILLLANPTSSVKNNASSSMEYISTIITKPLRASMLQVSLRRAMGCGDGEHSRNGRLPQLSLRGLLHEKQILVVDDNIVNLRVAFGALKKYGAEVTCAESGKKAIGMLKPPHKFDACFMDIQMPEMDGFEATKRIREMEADINKQIECREVPVETFENVLHWHVPILAMTADVIQATHEECLRCGMDGYVSKPFEGEQLYREVTRFFKQPQKRIDNEVKC >Ma08_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6743259:6743462:1 gene:Ma08_g09330 transcript:Ma08_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAVNSLLYVHFIWMEYSGWKTCAMLHAASLVNGGKTINYPPMQRAPLMKGGPHVRVLMSQFATID >Ma01_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14666717:14677635:1 gene:Ma01_g19200 transcript:Ma01_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISEITEEAPKAEGDGPPDPKVEQSDDAEPTLAAAETTAQDTNPIELEQTQPQPPTPTQEPLPMSEQQEQSPLPQDTPPEPSESNPPSPPPPSSSSAPPDDSQLAAPAPPLSAPVKVPYNEYALRVAYIMRSYLHMRPGSATAHAPAASAADGEDRCRATMEVTRRENGRWGVSKIELEHTHPLDPPPDPAGTLAAGGLVPVVGMEFDSISAAKQYYSAYSEKMGFQSKMGSGKRSRGTRLLIMQRFSCSKGHFPTYNNAAENSTKKRKRGTYKKRTEKEAEEAKKDGNAVEVIQLESSTDKEGMAVDEHRGEVQSGRPETSDAGKTPSSSVNEKGKGKDAGKVPLVSNPGQSRLLRELGIRVSRYTHEERRNIIHKYMQKRSGRQAVDRSIKIPSRQALAERRQRGVGGKFLSREETQTMNRQEETIEEEPELPAEVVANAGGVPIVGMVFENEDKAYDYYIKYAGSIGFSVRKGWWDKSARNVTRSRVYVCSREGFRPKNEARRPRAETRTGCPARMAIKLTSSGKYRITEFVPDHNHQLAAPLDMQMLSSKKLLTKVQPVGRQNASIIPAGYKNYLRAKRSRDVQLGDTGALLEYFQRMKGDNPSFYYAIQVDEYDQMTNVFWADAKSMIDYHYFGDVVCFDTSYKVNDYGRPFALFIGVNHHKQTVIFAAAFLYDETVESYKWLFESFKTAMCGKQPKTIFTDRCSAISDAIAAAWPGTVQRLCIEQIYQNATKQLANVFESLETFAHDFSQCIYDFEDEDEFLLAWNLMLEKYNLKDNEWLTKLYEERENWSSAYGRQTFSADIKSTLRVESLSSLLKEHLNLEKDLRHFLDIYEMLLEERRYAELQADYNANQGTPRIPPLRLLWQASSAYTPAVFEIFRREFELFLDCMVYSGGEVGSLSEYQVTVKEKSKVHYVRFDSSDGSVICSCSKFEFVGIQCCHVLKVLDFRNIKELPPQFILKRWRKDAKAGSLSESHGVTLDSDPKSSVSKRYGSLCRVLFKLAARAAENEEAFRLMVNHSDQLLEQVEQILQSKLLEKPSVSGTSKGQPHNLIDSVNPGQDNGNETQKPSGKKKNNGGTRRRQQNEVEVNKRQKARKGPSDEAEVAIRDSESHIPPTSMPSQPGNPSNQFLAPNQFMQGPFVTSHQFGLGAVQGFHPMTQFGQDSSAQTLPPQPFPSSSHFTQGFPTPDLQALQFIGSNAQLDHQSSDQGQCAIPVWDFL >Ma01_p19200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14666717:14677635:1 gene:Ma01_g19200 transcript:Ma01_t19200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLISEITEEAPKAEGDGPPDPKVEQSDDAEPTLAAAETTAQDTNPIELEQTQPQPPTPTQEPLPMSEQQEQSPLPQDTPPEPSESNPPSPPPPSSSSAPPDDSQLAAPAPPLSAPVKVPYNEYALRVAYIMRSYLHMRPGSATAHAPAASAADGEDRCRATMEVTRRENGRWGVSKIELEHTHPLDPPPDPAGTLAAGGLVPVVGMEFDSISAAKQYYSAYSEKMGFQSKMGSGKRSRGTRLLIMQRFSCSKGHFPTYNNAAENSTKKRKRGTYKKRTEKEAEEAKKDGNAVEVIQLESSTDKEGMAVDEHRGEVQSGRPETSDAGKTPSSSVNEKGKGKDAGKVPLVSNPGQSRLLRELGIRVSRYTHEERRNIIHKYMQKRSGRQAVDRSIKIPSRQALAERRQRGVGGKFLSREETQTMNRQEETIEEEPELPAEVVANAGGVPIVGMVFENEDKAYDYYIKYAGSIGFSVRKGWWDKSARNVTRSRVYVCSREGFRPKNEARRPRAETRTGCPARMAIKLTSSGKYRITEFVPDHNHQLAAPLDMQMLSSKKLLTKVQPVGRQNASIIPAGYKNYLRAKRSRDVQLGDTGALLEYFQRMKGDNPSFYYAIQVDEYDQMTNVFWADAKSMIDYHYFGDVVCFDTSYKVNDYGRPFALFIGVNHHKQTVIFAAAFLYDETVESYKWLFESFKTAMCGKQPKTIFTDRCSAISDAIAAAWPGTVQRLCIEQIYQNATKQLANVFESLETFAHDFSQCIYDFEDEDEFLLAWNLMLEKYNLKDNEWLTKLYEERENWSSAYGRQTFSADIKSTLRVESLSSLLKEHLNLEKDLRHFLDIYEMLLEERRYAELQADYNANQGTPRIPPLRLLWQASSAYTPAVFEIFRREFELFLDCMVYSGGEVGSLSEYQVTVKEKSKVHYVRFDSSDGSVICSCSKFEFVGIQCCHVLKVLDFRNIKELPPQFILKRWRKDAKAGSLSESHGVTLDSDPKSSVSKRYGSLCRVLFKLAARAAENEEAFRLMVNHSDQLLEQVEQILQSKLLEKPSVSGTSKGQPHNLIDSVNPGQDNGNETQKPSGKKKNNGGTRRRQQNEVEVNKRQKARKGPSDEAEVAIRDSESHIPPTSMPSQPGNPSNQFLAPNQFMQFGLGAVQGFHPMTQFGQDSSAQTLPPQPFPSSSHFTQGFPTPDLQALQFIGSNAQLDHQSSDQGQCAIPVWDFL >Ma05_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7934617:7939742:1 gene:Ma05_g10890 transcript:Ma05_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEIETEEHQKAIIISKRKRTKKKYSIIIPTYNERLNIALVIYLVFKHLQDIDFEVVIVDDGSPDGTQDIIKQLQNVYGDDLILLRARPKKLGLGTAYYHGLKHASGDFIIIMDADLSHHPKYLPNFIRKQMETGASIVTGTRYVRNGGVHGWNLVRKLTSRGANVLAQTLLWPGVSDLTGSFRLYERTAFEDVVSSCVSKGYVFQMEMIVRASRKGYHIEAVPITFVDRVYGSSKLGGSEIVEYLKGLFYLLFTT >Ma01_p10150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7273395:7280264:-1 gene:Ma01_g10150 transcript:Ma01_t10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQNGGSKPSASGSGAYTIDLENFSKRLEAFYSHWGKHKSDFWSSSDAVAIATPPTSEDLRYLKSSALNIWLLGYEFPETIMVFMNKQIHFLCSQKKANLLETIKKSAHEAVGADLIIHVKAKHDDGTALMEEIIRAICVQSKSDSPIIGYITKEAPEGRLLETWSEKLGSSSLQLTDVTNGFSDLFAVKDVSELTCIKKAAYLTSSVMKNFVVPKLERVIDEEKKVSHSSLMDDTEKAILDPSRVKVKLKAENVDICYPPIFQSGGQFDLRPSASSNDEDLYYDSTSVIVCAIGSRYNSYCSNVARTFLIDATASQSKAYEVLLKAHDASVSALKPGNTVAAAYQAALAVVQKEAPELLPNLTKSAGTGIGLEFRESGLSLNSKNNRLLKAGMVFNVCLGFQNLQAQTNNPKTEKYSLLLADTVIVSEKPPEVLTAGCSKSVKDVAYSFNEEEEEEPPRVRSDMKSTGVLPSKATLRSDNQEMSKEELRKQHQAELARQKNEETARRLAGGGSAGAEGRGPARTSSELIAYKNANDIPFSKELAIQVDQKNEAILLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIIFNVPGTPFTPHDANSLKFPGATYLKEITFRSKDPRHSSEVVQLIKTLRRHVASRESERAERATLVTQEKLQVSGNRMKLIKLPDLWIRPSFGGRGRKLTGTLETHVNGFRYATSRPDERVDIMFANIKHAFFQPAEREMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGRRSALDPDEIEEEQRERERKNRINMEFQNFVNKVHDLWAQPQFKGLDLEFDMPLRELGFHGVPHKSSAFIVPTSTCLVELIETPFLVVTLSEIEIVNLERVGFGQKNFDMAIVFKDFKRDVLRIDSIPSSSVDGIKEWLDTTDLKYYESRLNLNWRPILKTITEDPEKFIEDGGWEFLNMEASDSDSENTEESDQGYEPSDVEPESASDDEGKDSESLVESDEDEEDSEEDSEEEKGKTWEELEREASNADREKGDESDSEDEKRRRKAKALGKSRIPDRRDPKGAPPKRRKFK >Ma01_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7273395:7280264:-1 gene:Ma01_g10150 transcript:Ma01_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQNGGSKPSASGSGAYTIDLENFSKRLEAFYSHWGKHKSDFWSSSDAVAIATPPTSEDLRYLKSSALNIWLLGYEFPETIMVFMNKQIHFLCSQKKANLLETIKKSAHEAVGADLIIHVKAKHDDGTALMEEIIRAICVQSKSDSPIIGYITKEAPEGRLLETWSEKLGSSSLQLTDVTNGFSDLFAVKDVSELTCIKKAAYLTSSVMKNFVVPKLERVIDEEKKVSHSSLMDDTEKAILDPSRVKVKLKAENVDICYPPIFQSGGQFDLRPSASSNDEDLYYDSTSVIVCAIGSRYNSYCSNVARTFLIDATASQSKAYEVLLKAHDASVSALKPGNTVAAAYQAALAVVQKEAPELLPNLTKSAGTGIGLEFRESGLSLNSKNNRLLKAGMVFNVCLGFQNLQAQTNNPKTEKYSLLLADTVIVSEKPPEVLTAGCSKSVKDVAYSFNEEEEEEPPRVRSDMKSTGVLPSKATLRSDNQEMSKEELRKQHQAELARQKNEETARRLAGGGSAGAEGRGPARTSSELIAYKNANDIPFSKELAIQVDQKNEAILLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIIFNVPGTPFTPHDANSLKFPGATYLKEITFRSKDPRHSSEVVQLIKTLRRHVASRESERAERATLVTQEKLQVSGNRMKLIKLPDLWIRPSFGGRGRKLTGTLETHVNGFRYATSRPDERVDIMFANIKHAFFQPAEREMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGRRSALDPDEIEEEQRERERKNRINMEFQNFVNKVHDLWAQPQFKGLDLEFDMPLRELGFHGVPHKSSAFIVPTSTCLVELIETPFLVVTLSEIEIVNLERVGFGQKNFDMAIVFKDFKRDVLRIDSIPSSSVDGIKEWLDTTDLKYYESRLNLNWRPILKTITEDPEKFIEDGGWEFLNMEASDSDSENTEESDQGYEPSDVEPESASDDEGKDSESLVESDEDEEDSEEDSEEEKGKTWEELEREASNADREKGDESDSEDEKRRRKAKALGKSRIPDRRDPKGAPPKRRKFK >Ma10_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22835245:22838936:1 gene:Ma10_g08490 transcript:Ma10_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTITLRSSDGEVFEVEEAVAMESQTIKHMIEDDCADNGIPLPNVTSKILAKVVEYCKKHVDAAARSSSSDDAPSVTEDDLKTWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Ma02_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14364643:14367657:1 gene:Ma02_g02980 transcript:Ma02_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEPFSVLFVCLGNICRSPAAEAVFTDLVRKRGAESKFKIDSAGTIGFHEGNPADPRMRAAAKRRGIDVTSISRPIRPSDFWEFDLILAMDMRNREDILSAYGRWRSKEPLPEDASKKVKLMCSFCKKHNEAEVPDPYYGGLQGFEKVLDLLEDACESLFDSIMAEKSQTSVS >Ma10_p10020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24129883:24135914:-1 gene:Ma10_g10020 transcript:Ma10_t10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENKTRLWKRKCSEKNMIKKEKILELERSLEDLNEQLSSVLTESSVKDDLLTKQGKVANEAMSGWEKAEAEALSLKQKLDDALLQKRTAEERLVNTDIALKECMQQLRVIKKDQQLIINNASLKISREQDNMRTLEQGMIETNKRLTELLIQNSNLNRVLEAREQLVKELSVSKSNSEAKFMEAMASLDSAEKLNASLKYEVCMLQKELEIQNKETELNRRSADAAHRQHLESINKIAKLESECQKLRVIARKRLPGPAALAKIRNEVERLSSNSVETRKKKSNSTSEAFNTKDIKLEECYDGSSKGATSRVERLHAIEDENQILKESLTKKNSELQALSIMLAHTESKLSKVETQLKELPKGQACFEPASSSPVSYDLPLSSISENDSNEDNISCAESWTTSLISELKHFKSGKPAVQPCKIYGISGFSLMDDFVEMEKLAVVSADKHFGSSLGMCGDNNACVTNKEPLTGLGLLEATNKELVTIKDFSDFIEENNEVQVTNISFEKYPTWLQDILTIIVQKHHILEKSLNAILEDVRVALSDWDYSIKARCSDSLYCSDKVLQLLKHSSSDSIDGAINAGILDSEHSTRSNFERPVRKLVKLVEGIIQRNIRSKSGQHMLSGDDEGTYSHQKSASANGHVAHALLWESSEFTAVLQKFVAVCNDLLNGKVDLQQFAAEVTSIVDWIANHSFALQEVSDMKEMFRKYLDADKSCSDNELKAVIYTTKDNDKLDGHEEPSFDKERKIPLVSASNGLCILFTMEDIESNLKCENEHLKSEIMCMESRKKDLEEMLQASSTKNKTLIAQIHESEEDISNLQIELATLKESNGQIENQVLSQKLISEDLGVQLTVAKAELNEAYQKLSSLEVELGHKSNCCEELEEACLELQLQLEIASSKETPKYIMTQDEKQIQADCDIVAASEKPSDASLSEKLISSPATTKSKCQPRLFDHMRTDDHATTGEFKPPNTKEIICTEVRNLTAAASESPRSGLLYGRNIHMNHGYGNLANSITQLSPKKLDDSYKQKGGADAGMLTVAPKRQNGVNSLRNLLLQRKQESSKKLALPMGSR >Ma10_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24129883:24135876:-1 gene:Ma10_g10020 transcript:Ma10_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWEKAEAEALSLKQKLDDALLQKRTAEERLVNTDIALKECMQQLRVIKKDQQLIINNASLKISREQDNMRTLEQGMIETNKRLTELLIQNSNLNRVLEAREQLVKELSVSKSNSEAKFMEAMASLDSAEKLNASLKYEVCMLQKELEIQNKETELNRRSADAAHRQHLESINKIAKLESECQKLRVIARKRLPGPAALAKIRNEVERLSSNSVETRKKKSNSTSEAFNTKDIKLEECYDGSSKGATSRVERLHAIEDENQILKESLTKKNSELQALSIMLAHTESKLSKVETQLKELPKGQACFEPASSSPVSYDLPLSSISENDSNEDNISCAESWTTSLISELKHFKSGKPAVQPCKIYGISGFSLMDDFVEMEKLAVVSADKHFGSSLGMCGDNNACVTNKEPLTGLGLLEATNKELVTIKDFSDFIEENNEVQVTNISFEKYPTWLQDILTIIVQKHHILEKSLNAILEDVRVALSDWDYSIKARCSDSLYCSDKVLQLLKHSSSDSIDGAINAGILDSEHSTRSNFERPVRKLVKLVEGIIQRNIRSKSGQHMLSGDDEGTYSHQKSASANGHVAHALLWESSEFTAVLQKFVAVCNDLLNGKVDLQQFAAEVTSIVDWIANHSFALQEVSDMKEMFRKYLDADKSCSDNELKAVIYTTKDNDKLDGHEEPSFDKERKIPLVSASNGLCILFTMEDIESNLKCENEHLKSEIMCMESRKKDLEEMLQASSTKNKTLIAQIHESEEDISNLQIELATLKESNGQIENQVLSQKLISEDLGVQLTVAKAELNEAYQKLSSLEVELGHKSNCCEELEEACLELQLQLEIASSKETPKYIMTQDEKQIQADCDIVAASEKPSDASLSEKLISSPATTKSKCQPRLFDHMRTDDHATTGEFKPPNTKEIICTEVRNLTAAASESPRSGLLYGRNIHMNHGYGNLANSITQLSPKKLDDSYKQKGGADAGMLTVAPKRQNGVNSLRNLLLQRKQESSKKLALPMGSR >Ma01_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23978169:23986718:-1 gene:Ma01_g22200 transcript:Ma01_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQATAGEDLGLLIEELKKRHQRDLQNLTLTTQPLKTMWLFLFATLQYLRQTLLYVLRKGDWLVALTILVTALGLFLVNGDVSNEKHVQEFLNYATFGLWWIALGVTSSIGLGSGLHTFVLYLGPHIAFFTIKAMNCGRVDLKTALYDTIQLKKRPSWLEKDCSEFGPPLYESLPGSLVRVPISSILPQVQLEAILWGLGTALGELPPYFISRAASLSGNKLEMEEFNAASSEEDGFLSAYVNQIKCWLLTHSQYLNFLTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATLIGKALIKTHIQTLFIISLCNNQLLEWLENELIWVLGLIPGLPSVLPNLIAKLHMVQQKYLSTPVPEPTLTDGRAKQWNLSVTMIWNIVIWLMLMSFFVKIVTATAKGYLKEQQELEMTKKKLALKST >Ma08_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3385411:3385939:1 gene:Ma08_g04900 transcript:Ma08_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAFYKLFGTSPDEARKEIGQAYFDCLSLGGRFSSDSTALIGGLIASPLHLVIHFLVAVTHGVGHLLLPIPSVRGLRGSARLISSAAGIVLPLMKAEGVRQTFFPSTFPAYYRDPPAQLKQ >Ma07_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2183390:2187479:-1 gene:Ma07_g02770 transcript:Ma07_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGSAADKRKSEEQKPKEQKPKASENKPATTE >Ma01_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28976657:28977324:-1 gene:Ma01_g23700 transcript:Ma01_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKEQSHDDAWVHARKLDGNRHHWQCIYCDYIGKGGGITRVKMHLAGGYPDVAKCKNIPTEIRKLFQNKLKQAKEDTLKKKARVEEEYHRATQEPIYDQYEGCGDEVNPDLTAGIRASLEHQHTVDEAMRHRRPDSQLEHGSGSGIQRSTSMRQPTAPSQLGRTSSMRYGGLRGFMRGLGRRSAPDIVDIDP >Ma08_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6334773:6336560:1 gene:Ma08_g08910 transcript:Ma08_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPLPFTFGMLGNLISFMVFLAPIPTFYRVYRKKSTEGFHSLPYVVAVFSCMLWIYYAYVKTDSILLITINSFGVFIETAYITIYLIYAPKKTRIMSMRIFVLLNVVVFAAIILLTQLLFTGSIRLKVLGWICVGFSVSVFAAPLSVIRLVIRTKSVEFLPFYLSFFLTLSAIAWFGYGLFTKDIYVQLPNVLGFLFGVAQMVLYIVYKKKKNVVVEPAGIEHIVRIAELAIAPASELQAGVEENDHRKKASEGSTEGVEKAKMAAEEGIEIDAV >Ma05_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2221644:2223436:1 gene:Ma05_g03120 transcript:Ma05_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERIRREEIKTLLLKLAIPLSRPLAGFTFSIIAKKSRTSSKSPSSTSSQVDQFMDSSPCISLSEFEDELSLQGQESSSMCLQEEEEEEEEEEEEEEEIMRVQCPENPCEVSIINFQDAHSLEEEVESLKCLVDSINGRSCEVESQFWDYCDAKEQESLLQKLKLECLGLKLECLEAQNQRLEATISKQQGALESLESMRTELKCLWWKAKKLSKLNRLHLHEARRQALILDSRDAELSKINEELKGVKDIADQLLKGKKVLDSRMDSFTANYQSASESKEETLQDSIRILSNNEMPDRLDQFRYRCYLEMEELIYLGWVGSCLRNELEVKPVQEMGGQWIMELPANDQVKSFRVELHDTDRSSPVAGIGHEACSVATARKNHSCPEKPTYCSSSVTELGHEACLDVAARKNHLGLMNQEEEEHEVKPVQRIGGQWIMELPANDNVMSCRVELHDSDCSPVPGIGHETCSGPKKPIYCSSSVAELGIESCLDVAARKNHSGLKKPKLLYKLKGWARGKGKPKQFRD >Ma06_p26430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28279493:28281084:-1 gene:Ma06_g26430 transcript:Ma06_t26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAARKKLDDDFSAAAPRHQSVALVIGATGIVGSSLIGILPLPDTPGGPWKVYGVSRRSPNPNVVSSSAASSSDSADINPIRHIQCDVLDAADAAAKLSPLSDVTHVFYVAWASRFNEAENRTANAAMLRNVLAAVLPSAPNLRHVCLQTGRKHYIGPFESIGKISAHDPPFHEDLPRLNVPNFYYDQEDILFDELSKRDGAVTWSIHRPGTIFGFSPTSLMNIIGTLCVYAAICRKEGAPLKWFGSRTAWDGFSDASDADLIAEHQIWAAVDPYAKNEAFNCSNGDVFKWKHLWALLADQFGLESVGYEGEEGRFKLEDAMREKELVWNEIVAENELVPTKLEEVGTWWFADAILGVEMPQLDSMNKSKEHGFLGFRNTLTSFNSWIDKMKAFRIVP >Ma05_p18460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23896779:23903527:-1 gene:Ma05_g18460 transcript:Ma05_t18460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAFADRATSDALIGPDWAINIELCDIINMDPGQGKDALKILKKRLGSKNPKIQLLALFVLETLSKNCGDNVHQQIVKRDILHEMVKIVKKKPDLNVREKILVLIDTWQEAFGGAGGKYTQYHAAYQELRATGVEFPPRTENTAAIFTPQTHPVPHQPATSVYENMALESSLQSDVSAFSLQDIQNARGIADVLSEMLNALDPKNPEDLRQEVIVDLVEQCHSYKKHVMLLVNSTGDEELLFQGLALNDDIQLVLERHDNMSKGTAPSVRAPVASPVPLVNVIYEADQLEDDFSELSLRTSRDNATAHGRKASTAKSQSPFLPPPQPSKPISTDASRVNYSSGDVFRSEQALDAPADPPVPPATLSPVIPSASAPSLESDELPRYDETIQAAKFSTDQLPKVPREHQPSGLLPPPPSKYGQRQQFFEQQKHGLSGGDSGVSFNGSLDQNWSLYSNQENTSLGPKHDQQHLEGQNVSPSTRQTKPEDALFKDLVDFARAKSSSPTKPSNSHRTR >Ma05_p18460.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23896779:23903527:-1 gene:Ma05_g18460 transcript:Ma05_t18460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAFADRATSDALIGPDWAINIELCDIINMDPGQGKDALKILKKRLGSKNPKIQLLALFVLETLSKNCGDNVHQQIVKRDILHEMVKIVKKKPDLNVREKILVLIDTWQEAFGGAGGKYTQYHAAYQELRATGVEFPPRTENTAAIFTPQTHPVPHQPATSVYENMALESSLQSDVSAFSLQDIQNARGIADVLSEMLNALDPKNPEDLRQEVIVDLVEQCHSYKKHVMLLVNSTGDEELLFQGLALNDDIQLVLERHDNMSKGTAPSVRAPVASPVPLVNVIYEADQLEDDFSELSLRTSRDNATAHGRKASTAKSQSPFLPPPQPSKPISTDASRVNYSSGDVFRSEQALDAPADPPVPPATLSPVIPSASAPSLESDELPRYDETIQAAKFSTDQLPKVPREHQPSGLLPPPPSKYGQRQQFFEQQKHGLSGGDSGVSFNGSLDQNWSLYSNQENTSLGPKHDQQHLEGQNVSPSTRQTKPEDALFKDLVDFARAKSSSPTKPSNSHRTR >Ma05_p18460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23896779:23903527:-1 gene:Ma05_g18460 transcript:Ma05_t18460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAFADRATSDALIGPDWAINIELCDIINMDPGQGKDALKILKKRLGSKNPKIQLLALFVLETLSKNCGDNVHQQIVKRDILHEMVKIVKKKPDLNVREKILVLIDTWQEAFGGAGGKYTQYHAAYQELRATGVEFPPRTENTAAIFTPQTHPVPHQPATSVYENMALESSLQSDVSAFSLQDIQNARGIADVLSEMLNALDPKNPEDLRQEVIVDLVEQCHSYKKHVMLLVNSTGDEELLFQGLALNDDIQLVLERHDNMSKGTAPSVRAPVASPVPLVNVIYEADQLEDDFSELSLRTSRDNATAHGRKASTAKSQSPFLPPPQPSKPISTDASRVNYSSGDVFRSEQALDAPADPPVPPATLSPVIPSASAPSLESDELPRYDETIQAAKFSTDQLPKVPREHQPSGLLPPPPSKYGQRQQFFEQQKHGLSGGDSGVSFNGSLDQNWSLYSNQENTSLGPKHDQQHLEGQNVSPSTRQTKPEDALFKDLVDFARAKSSSPTKPSNSHRTR >Ma05_p18460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23896779:23903138:-1 gene:Ma05_g18460 transcript:Ma05_t18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAFADRATSDALIGPDWAINIELCDIINMDPGQGKDALKILKKRLGSKNPKIQLLALFVLETLSKNCGDNVHQQIVKRDILHEMVKIVKKKPDLNVREKILVLIDTWQEAFGGAGGKYTQYHAAYQELRATGVEFPPRTENTAAIFTPQTHPVPHQPATSVYENMALESSLQSDVSAFSLQDIQNARGIADVLSEMLNALDPKNPEDLRQEVIVDLVEQCHSYKKHVMLLVNSTGDEELLFQGLALNDDIQLVLERHDNMSKGTAPSVRAPVASPVPLVNVIYEADQLEDDFSELSLRTSRDNATAHGRKASTAKSQSPFLPPPQPSKPISTDASRVNYSSGDVFRSEQALDAPADPPVPPATLSPVIPSASAPSLESDELPRYDETIQAAKFSTDQLPKVPREHQPSGLLPPPPSKYGQRQQFFEQQKHGLSGGDSGVSFNGSLDQNWSLYSNQENTSLGPKHDQQHLEGQNVSPSTRQTKPEDALFKDLVDFARAKSSSPTKPSNSHRTR >Ma05_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23896779:23903527:-1 gene:Ma05_g18460 transcript:Ma05_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAFADRATSDALIGPDWAINIELCDIINMDPGQGKDALKILKKRLGSKNPKIQLLALFPDLNVREKILVLIDTWQEAFGGAGGKYTQYHAAYQELRATGVEFPPRTENTAAIFTPQTHPVPHQPATSVYENMALESSLQSDVSAFSLQDIQNARGIADVLSEMLNALDPKNPEDLRQEVIVDLVEQCHSYKKHVMLLVNSTGDEELLFQGLALNDDIQLVLERHDNMSKGTAPSVRAPVASPVPLVNVIYEADQLEDDFSELSLRTSRDNATAHGRKASTAKSQSPFLPPPQPSKPISTDASRVNYSSGDVFRSEQALDAPADPPVPPATLSPVIPSASAPSLESDELPRYDETIQAAKFSTDQLPKVPREHQPSGLLPPPPSKYGQRQQFFEQQKHGLSGGDSGVSFNGSLDQNWSLYSNQENTSLGPKHDQQHLEGQNVSPSTRQTKPEDALFKDLVDFARAKSSSPTKPSNSHRTR >Ma06_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9622239:9641051:1 gene:Ma06_g14070 transcript:Ma06_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MSGPPQQRQVSLRGASAKEITRDALLEKLAHERELRSYQRRASAAALFIQRVWRRYIVIKKVSEQLQEEWEALADHYDDHMTSGWISNNFLRPFLFFATRSPALWKLQLRNVKCVMKCFGILLQSISSADAQKNFCLLSVGTQQEKSKWLYQAQRLVSLCLFFLAECDNSSHVGDLVPLTALAMRLVVSLTDIKGWKNLRADDIGDAHFAVNRLIGFMTTNLSGIYSCFRKYMLRHGPQNASCRTIFSSSENNLLIIASAMTLSLRPFHLKRLDVNDSNVVDVNDASKKYCIYILTIPYLTRLLPTLLLPALKHERVLLPCLTVLSVSKDKIFDEMLNLDQSEMSGLTAKAIPSLGWALANIVNLSIENNDSGASGCFVQGLNCQLYVHAVNCISENFLLWLESNEGLVKKDSDDILVTSDSFPGDADSDECTRAMFHTDLLRPVHQQWLLRKLLTMTKTITPAEAADSFVTNQSLEDPRNWSLQDVIYFYYYFLRIFSLLNPVVGSLPILNVLSFTPGFLLELWEILESSISCGTDHVSHDVKQFRDEPFERQTEVISDTRQPRNMKDSGSKWANVLQKIAGKSTNETHACSRDVPLFPSQCAEESYDIWDIGTMRQGAQGISKDLSCILYLFCATYAHLLLVLDDIEFYEKQVPFTLQQQRRIAAVLNTFVYNSLVHNGNSCRPVIDVAVRCLHFLYERDCRHKFCPSFLWLAPARKGWFPVAAAARAHEAAFSNLQGTDTSTIPAVSSILTTVPHVYPFEERVQMFRELIKLDKVSRRVAGELSGPASGSIAIVVRRDHIVEDGYKQLNFLGPKLKSCINVSFINESGLPEAGLDYGGLSKEFLTDLSKSGFNPEFGLFSQTSTSDSSLIPNMAARLLDNGIEMIEFLGRVVGKALYEGILLEYSFSLVFVQKLLGRYSFLDELSTLDSELYRNLIYVKHFDGDVTDLALDFTVAEDICGKRIVTELKPGGTNISVTNENKLQYVHAMADYKLNRQILPFANAFYRGLIDLISPSWLSLFNANEFNQLLSGGINDFDVDDLRSNTKYSGGYSETSRTVKLFWEVVKGFKAIERCMLLKFVTSCSRAPLLGFKHLQPAFTIHKVACDLPLWATLGGQDVDRLPSASTCYNTLKLPTYKRSSTLRNKLLYAISSNTGFELS >Ma07_p27380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33789187:33790216:-1 gene:Ma07_g27380 transcript:Ma07_t27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSSVKVDEEIISKKTHSKDHQYNLNRSSKMEHVDLENTSKKNSNFTKSRFQKLAEELEFDETVNKPLRYYLCHWRCLRSSRASELNH >Ma02_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13123053:13127277:1 gene:Ma02_g02120 transcript:Ma02_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPKRRKRDQATIACLASNWSMHIHLRHDRKLLSSSLARERLYAYDTLLSNSGSTLLLVAASMTTWKFAGNIISFVVYLAPVPTICKIYQNKSAEGYSSLSYNLSLFSCLIWVYYAHLKPNSVLLISINAIGCIILTCYITIYLVFATRKDRISTIQVFVALNLVTFIGILFLTLLLFSGTNRLTVLGWICVGFSISVYAAPMSTIRRVVRTRSVEFMPVNVLVCLTLSAAIWFGYGVFTKDAFISVIITST >Ma03_p31370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33611953:33615044:-1 gene:Ma03_g31370 transcript:Ma03_t31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGWHRGL >Ma09_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29549177:29551327:1 gene:Ma09_g20540 transcript:Ma09_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTLTGVLRKAAGDFPSRRAISISGRLELSYARLHQLVDAAAACLADAGVLPGDVVALAFPNTVELVIVFLAVIRARAVAAPLNPAYTKEEFAFYLSDSESKLLVINAEGNAAAEAAAAELGIPRSAASLPDASGTLELSLPAGSTAADGTALPLDTRVNDPSDVALFLHTSGSTSRPKGVPLTQFNLAASVKNIRSVYRLSETDSTVIVLPLFHVHGLVATLLSSLSAGAAVALPAAGRFSASTFWADMRASGATWYSAVPTIHQILLDRHATRPEPAYPELRFIRSCSASLAPAILEHLEAAFGAPVLEAYAMTEAAHQMASNPLPEDGPRKPGAVGRPTGLEMAILDEEGARRPPNVPGEVCIRGPNVAKGYKNNPEANKAAFAFGWFHTGDVGFLDADGYLHLVGRIKELINRGGEKISPIEVDAVLLEHPDIAQGVAFGVPDDKYGEEINCAVIPREGADMEEAEVLRHCRKNLAAFKVPKRVFITDSLPKTATGKIQRRIVAEFFVPPAKAPRAGA >Ma03_p32580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34315456:34316900:1 gene:Ma03_g32580 transcript:Ma03_t32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNRRHYNRRRWRPELAGEIVEVPGGHIVRSTGRKDRHSKVYTAKGLRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIQNAKAAIEQLAELPRHHAPAYECIEVNEQPMQAASDGHNSIEQSLSDAKTVAPLGYGFPSSFDSKTIDDTIRTFPGATAAASSPSAHAMTYRDDTPDLPLHTGGWPQDLRLSLQSFHEPIFEEHHRSPDSTQQSFRSVTTSLAQGATSVTCPVNKETMVWWNAGNTSAGSGGEFMHSAALPPQSAVLHLVNSQFQICTQREPLQSSNFPSFRAWRNPTALHPSLVSTVADAFVSESYGGFSGFHIPTRIQGEEQHNGIATIHSASPQEYKLRERDDANATLDF >Ma08_p15510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15682073:15686063:-1 gene:Ma08_g15510 transcript:Ma08_t15510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPGSSGKIGDSITAITAIDGNITSQRPGAFEMPKPSLRGLNKPKCIKCGNVARSRCPFQSCKSCCAKAENPCHIHVLKHNGTLPDKPPPSSSTTIEQPSNDVSSSGASGRLNSLRQISTNVANILRARKPLNRKDAVNINRWRFMKLREHFERDIEVENEAFDRYMQNVNLLEETFSITQGTEPGDQTALVAGSSEKLVSEIKMKLKSNSERAESFQERTRNLIDQKLCELQKGEFVSDDCSINDDDLDDHREFKRSRQIMKWRHDRNSTMDDVINKLNKAHSEEDIRGCMDLKLQCTSDNLTSEDHSTPKQESADVTALSFCSPPKMWMTAHVDQETLANINTQFSSLSSIAEL >Ma08_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15682073:15686846:-1 gene:Ma08_g15510 transcript:Ma08_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPGSSGKIGDSITAITAIDGNITSQRPGAFEMPKPSLRGLNKPKCIKCGNVARSRCPFQSCKSCCAKAENPCHIHVLKHNGTLPDKPPPSSSTTIEQPSNDVSSSGASGRLNSLRQISTNVANILRARKPLNRKDAVNINRWRFMKLREHFERDIEVENEAFDRYMQNVNLLEETFSITQGTEPGDQTALVAGSSEKLVSEIKMKLKSNSERAESFQERTRNLIDQKLCELQKGEFVSDDCSINDDDLDDHREFKRSRQIMKWRHDRNSTMDDVINKLNKAHSEEDIRGCMDLKLQCTSDNLTSEDHSTPKQESADVTALSFCSPPKMWMTAHVDQETLANINTQFSSLSSIAEL >Ma08_p15510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15682073:15686846:-1 gene:Ma08_g15510 transcript:Ma08_t15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPGSSGKIGDSITAITAIDGNITSQRPGAFEMPKPSLRGLNKPKCIKCGNVARSRCPFQSCKSCCAKAENPCHIHVLKHNGTLPDKPPPSSSTTIEQPSNDVSSSGASGRLNSLRQISTNVANILRARKPLNRKDAVNINRWRFMKLREHFERDIEVENEAFDRYMQNVNLLEETFSITQGTEPGDQTALVAGSSEKLVSEIKMKLKSNSERAESFQERTRNLIDQKLCELQKGEFVSDDCSINDDDLDDHREFKRSRQIMKWRHDRNSTMDDVINKLNKAHSEEDIRGCMDLKLQCTSDNLTSEDHSTPKQESADVTALSFCSPPKMWMTAHVDQETLANINTQFSSLSSIAEL >Ma09_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1580528:1581943:1 gene:Ma09_g02200 transcript:Ma09_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESCNLDKDEAEILKPSSPSPSTPAPPSSSPVPPSLFLKPCEESLNLRAETPATETAVAASTTPKTDKESKPEEESKPQVRFSNRCSTCGRKVGLTGFRCRCGDLFCGRHRYSDVHDCSFDYKALGREEIAKANPVVKAAKIIKI >Ma04_p26940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28224786:28229138:-1 gene:Ma04_g26940 transcript:Ma04_t26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFDELLHANTPPASKRVRCASSPTTSLRVSPSRASPSFDLNDGDLIAAYLARLRSLFPDMDQQLLERTLEVSGNDLDSAIKSLNDLRLGAADFNLVSGVSKSENGAEMNVQLPTEGTMKANGVDSAAAIGLPNVDALPTDGSEWVELFVREMMSAADIDDARTRASRALEFLEKSIMARAGAEVIENLHKENMVLKEQVEVLLRENSVLKRAVAIQHDRQKEYEEKSQELQHLKQLVSQYQEQVRTLEINNYALSMHLRQAQQGSSIPGRFHPDVF >Ma05_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14514356:14529973:-1 gene:Ma05_g15890 transcript:Ma05_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) UniProtKB/Swiss-Prot;Acc:Q6NQH4] MHNSASAGPPAKPRVGSSQVSEASLKRKRGVFQKDLQHMMYGFGDDPNPLPETVSLVEDIVVEYITDMVHKAQNIASKRGRLLTEDFLYLVRKDPPKLHRCTELLSMHEELKLARKAFDVNEETLATAD >Ma05_p15890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14514356:14530380:-1 gene:Ma05_g15890 transcript:Ma05_t15890.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) UniProtKB/Swiss-Prot;Acc:Q6NQH4] MHNSASAGPPAKPRVGSSQVSEASLKRKRGVFQKDLQHMMYGFGDDPNPLPETVSLVEDIVVEYITDMVHKAQNIASKRGRLLTEDFLYLVRKDPPKLHRCTELLSMHEELKLARKAFDVNEETLATAD >Ma05_p15890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14514356:14529920:-1 gene:Ma05_g15890 transcript:Ma05_t15890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) UniProtKB/Swiss-Prot;Acc:Q6NQH4] MHNSASAGPPAKPRVGSSQVSEASLKRKRGVFQKDLQHMMYGFGDDPNPLPETVSLVEDIVVEYITDMVHKAQNIASKRGRLLTEDFLYLVRKDPPKLHRCTELLSMHEELKLARKAFDVNEETLATAD >Ma05_p15890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14514356:14530380:-1 gene:Ma05_g15890 transcript:Ma05_t15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) UniProtKB/Swiss-Prot;Acc:Q6NQH4] MHNSASAGPPAKPRVGSSQVSEASLKRKRGVFQKDLQHMMYGFGDDPNPLPETVSLVEDIVVEYITDMVHKAQNIASKRGRLLTEDFLYLVRKDPPKLHRCTELLSMHEELKLARKAFDVNEETLATAD >Ma05_p15890.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14514356:14529990:-1 gene:Ma05_g15890 transcript:Ma05_t15890.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) UniProtKB/Swiss-Prot;Acc:Q6NQH4] MHNSASAGPPAKPRVGSSQVSEASLKRKRGVFQKDLQHMMYGFGDDPNPLPETVSLVEDIVVEYITDMVHKAQNIASKRGRLLTEDFLYLVRKDPPKLHRCTELLSMHEELKLARKAFDVNEETLATAD >Ma11_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21410309:21411101:1 gene:Ma11_g15730 transcript:Ma11_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCGSPAGKRRIMVVADPGRESAAALEWALYHAVLEHDDIILLHVEPVSARRASALSSFLSRPPSAVSPVLFPQAAVAAAVGAGDGCGDYEFLDAMRARCQAVQPTVRVQIERVEMESKDKAAAILTQTQLSRADLLVIGQRRNATSFLGCKLSGSMSSKGPDTAEFLIENSKCLCVGVQKKGQTAGYLLNTKTHKNFWLLA >Ma11_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14761681:14770788:-1 gene:Ma11_g11670 transcript:Ma11_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSTNPADRMPNKHSLDITLEELVRTREEIKRARESAVQSWIDSMPSIDELESSRSVLSRTRNRVTMYTTAIASLCSLLNSTGRSIRHKQAEESNSKMAAAEMRQEAHRRREEMESLKVEMEEMGASRNKVKMKLQIKSQLLKALQLKLRAIDMEMEAVNESVDATLHQAKHLRADHKMIALSHEEYNVMCRRMEEEAAAASSRIVWWDGKRCAAADRKANALQRLQALQREREIAGVMSKEAHLEAGKKTKTKASPLQGKLKETEGYYQQPRRRARRQSSEKEVRKKQSILQKTRRFIMRKLKWFFN >Ma05_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32832810:32836164:1 gene:Ma05_g21130 transcript:Ma05_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFAAVRIPSPVLVGARSAGEKPCAPFVSCPAGHGLHRTHVRSTRLGRPLLAVSSDVLSGQKSLGSNSSPSHHAVVSREEALVLYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLETRDSVVSTYRDHVHALSKGVPARAVMAELFGKATGCCRGQGGSMHMFSAPHNLLGGFAFIGEGIPVATGAAFSSKYRHEVLKESNPNGLDVTVAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAVEAIGRARSGEGPTLVECETYRFRGHSLADPDELRKPDEKAHYAARDPIITLKKYILENSLASETELKAIEKKIDELIEDSVEFADSSPPPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTEGTAQV >Ma02_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27124672:27133684:1 gene:Ma02_g21550 transcript:Ma02_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGMVAGSHKRNEFVMIRQGGEAGPKLLKKFDGQECQICGDTVGLSDSGDLFVACNECAFPVCRACYEYERKEGNKSCPQCKTRYKRHKGSPRVDGDDEEEDVDDLDNEFNCRQGNVEAGHTWQLQGQAEDVDLSSSFRHEPQHRIPYLTSGQQVSGEVPDATPDRHSIRSPSSGYVDPSLPVPVRIVDPSKDLNSYGLGSVDWKERVEGWKLKQDKTIMHVTNKYNDGKGDMEGTGSNGEDLQMVDDARQPLSRIVPIPSSQLNLYRVVIILRLIILCFFFQYRVTHPVHDAYPLWLTSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKTPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALREAMCFMMDPALGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVFKSCCGSRKKRKGGNKSYIDNKKRAMMRSESSVPIFNMEDMEEGIEGYEDERSLLMSQRSLEKQFGQSPIFIASTFMEQGGIPPSTDPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERVAYINTIVYPITSIPLIAYCVLPAICLLTGKFIIPEISNYAGMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTSFTVTSKSSDDDGDFAELYVFKWTSLLVPPTTVLVINMVGIVAGVSYAINSGYQSWGPLFGRLFFAFWVIAHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVHIDPFTSSTQKAAVMGQCGVNC >Ma01_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1726301:1728725:-1 gene:Ma01_g02570 transcript:Ma01_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLKRAVHMLPRRISGLIKQRCSEGVRRNVSASLVPAFYTDPARSTEHVELPRRRQRSERKPLVTAVNELKRRARSERQSRQVVREITLRPPENGLLVKRLVPVAHEVYNARQVLFGCASRVLGNVPVHVCRVCGEVHVGTVPHLIRTCDVAGSPASKEHSWARGGIEHVLPLVESFHLYDRLGRAVSHEERLLVDRIPAVVELCIQAGVDVPEYPTKRRIFPVYNVAGKMIDFERKFPRDYSNGKDIQTHGFWEKKNSQRCSDSQPFPYADSAQGIATQGMEAWEKLRSGVSKLMTEYIAQTCGFCPEVQVGIKGHRARICQAYKHQMRDGQHAWQEATLDDLVPPVYVWHVPDARSGKPLMNELRRYYGKLPAVVELFSQAGASIDDAYRGMMRGDVTVPELDEEKLVI >Ma11_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4912738:4915133:1 gene:Ma11_g06140 transcript:Ma11_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIHRLSHSHSLVLLVYIHIAPSQEYRWIGVHRAQKQAPSLRAVPILFSQHSMASPLLLLPVLMAPVVFAAVLAFLVRPRPVRVPIKGRHVFITGGSSGIGLALARLAAAEGAHVSILARRAAQLQEARDAIRLATGVDVAIFSADVRDADAVAKAVEAAGPVDVLVCNHGVFTPQELEKQDLEEVRFMVEVNLMGTFNLIKAALPTMKQRTKVTGLPASIAIMSSQAGQVGVYGYTAYSASKFALRGLAEALQHEVIADDIHVSMIFPPDTETPGFAEEHRRRPDLTNIIAGSSGGMKADDVAKKALDGIKSSRFIVPCNFEGTMLSIATAGLSPQSSFLTALAEVLGAGFMRFMGLCFQWNWFSSIENWHSKKKGL >Ma10_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15618393:15619555:-1 gene:Ma10_g04970 transcript:Ma10_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIDCVVVEGNEERIVSLRRDSGTARQ >Ma09_p14420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9864055:9866188:-1 gene:Ma09_g14420 transcript:Ma09_t14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLRLPSSHNPHLSASSPSFLSLPSPSRVTCSPRRASGVLLLLLRREISAFAALSSSAPAAPVETAESSGAARTRLIAQNVPWTCTPDDIRTLFSKHGNVVDVELSMYNSSRNRGLAFVTMASEEEALAALSHLNSYDLDGRVIKVEFARSVKKAPVVAAGPVPKYNVFVGNLTWRVRSRDLRELFSGSGNILSAEVIFQSNPRRSAGYGFVSFASKEEAEAAITTLNGNKLMGRRIRLVLGKDQAVDAENKVDTYEQSDEISDEVDAS >Ma09_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9864127:9866188:-1 gene:Ma09_g14420 transcript:Ma09_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLRLPSSHNPHLSASSPSFLSLPSPSRVTCSPRRASGVLLLLLRREISAFAALSSSAPAAPVETAESSGAARTRLIAQNVPWTCTPDDIRTLFSKHGNVVDVELSMYNSSRNRGLAFVTMASEEEALAALSHLNSYDLDGRVIKVEFARSVKKAPVVAAGPVPKYNVFVGNLTWRVRSRDLRELFSGSGNILSAEVIFQSNPRRSAGYGFVSFASKEEAEAAITTLNGNKLMGRRIRLVLGKDQAVDAENKVDTYEQSDEISDEVDAS >Ma09_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9254312:9256550:1 gene:Ma09_g13640 transcript:Ma09_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGDEMAPVKLLVGVLCLVFLLFSAAVPVLGVNEEEQEAMEGSSVSLAVDPSFQFQNSRLRDAYIALQTWKRTAIFSDPQNLTGNWVGPEVCSYFGVYCAASPDDPYLTVVAGIDLNHADLAGYLPRELGLLTDLALFHLNSNRFCGTVPPTFRRLRLLYELDLSNNRFVGKFPEVVLHLPALRYLDLRFNDFEGPIPPALFERPLDAIFLNSNRLRAGIPATLGHSPVSVLVLANNDLGGCIPSSIGGMANTLNEIILLNDNLTGCIPTEVGLLRRVTVFDVSFNRLQGPLPESIAGMVSVEQLDVAHNRLTGRIPPGVCDLPRLQNFTYSYNFFTGQPPSCGRGGRSAVFDGKANCIPGQPDQRSPKQCSSAVAQPFDCRKSKCWSGGVPSPYLKPPSPRPTAPRRWPVYSPPSPVGNKPGRHYKPAPRTPPPPPQYESSPSTRSHPPPPSYSPKQSPPPSSSGYHASSPPPAPPAHDYEPAKPPHSPLPPTLVSPPPTHHYQTPTPPAPVQPPTYTNPPYHTSPPSPLSPTESPPPPPLKSPSPLPTPVPASPPPSQKPWQAPPPPVHSSPQPSPKYAPPPFEHLSSPPPPPTVMKQSPPPLLPPPAELPPPPAPPKCHGKEHPPPILPPVVGVSYASPPPPAIPYY >Ma10_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32839878:32841571:1 gene:Ma10_g24120 transcript:Ma10_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGFDGAGIRKVISGDPRYLCCNVEKNLTPKFQFLRDLGLSESDIVDVIRNNNEILCRNVHRSFVPRLELWESILGSRELVLKHLKKTGWFFFPSVEKTLHPNLKFLRDECGIPEERLSLVLRSHPQLISQKPDSLRALVARADELGMPRQSRMFMWTLNILQRVSRERFEAKVELMSISVQLLQWSLKEQLHWADQESPALVLTLLLGCMDFSQSIKTLSMLS >Ma03_p31580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33752285:33756771:-1 gene:Ma03_g31580 transcript:Ma03_t31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANRIGNLLKKSVTSSPSLYQVIRCMSSSKIFIGGLSYGTDDQSLREAFTSYGEVVEARVIMDRETGRSRGFGFVTFTSNEEASAAISGFDGKDLHGRMVRVNYANERTGGFRGGYGGGGYGGGGYGGGGGGYGGRGGYGAGGGYDGGSANNYGGDNYNTGPAGGGGGYGGGSYGSGGGGSYGGGSYGSGGGGGGGYGGSAGGYDGASAGGGYGVAGGGGGSDNYVSGADGGFGGGSGGYGRSSGFYGGSSGGNYNAAAGGDSKPQSSNFGKDDVGYGNDNQDDLLEDDFKGDNDDEPDDYANKQS >Ma11_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21525550:21532694:-1 gene:Ma11_g15910 transcript:Ma11_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNETIALKKIRLENEDEGVPSTAIREISLLKEMQHNNIVRLQDVVHSEKRIYLVFEYLDLDLKKHMDSCPELAKDPRLVKTYLHQILRGIAYCHSHRILHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSIGCIFAEMVNRRPLFPGDSEIDELFKIFRVLGTPNEDTWPGVTSLPDFKSAFPKWLSKDLVTVVPNLTAAGVDLLSKMLCLDPSKRITARQALEHEYFKDLGLVP >Ma01_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11293595:11297772:-1 gene:Ma01_g15600 transcript:Ma01_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein [Source:Projected from Arabidopsis thaliana (AT1G14750) TAIR;Acc:AT1G14750] MSTADSASISGRKRSFHAAIASNPTEFRSGFPRRDHVPLFPNIERVSSDALLDVAENEEEEGESTSSCFQSDVSHESKVIGSSVSGAPLSDLRRITQTYSRRIKEGRSGRDPKRSKVEVSKRDSAIGTEVSEILEAFPRGNSKKKAPASKVLEKEPEISDSSCLGSITDVNGGGTLKSATDEAAGRSQISRNSVAPIKKLRRDRDLDSDLACSERIPNEGEEEEEESSEYSTCNEMTLSEIEEELFGLCSSEAFISDNNSSSSSELVVSSSSSDGFSEKTLDRAAPSATFSLFLQLARQFLPSSFSMDPGTQFEAFEEFTLMRFEDEEDEESYRRLRSRERRDAAVHNYAEEYSNTTNDGGLILEQRIVMINWMVEHSSAMELQSETLFLGVSLMDRFLSRGYFKSEKNLQLLGIACVTLATRIEENQPYNSIRQISFKVGNNYYSRGEVVAMEWVVQDVLRYKCLLPTTHHFLWFYLKAARADANVQNLSKYLAVLSLLDHERLSYWPSSIAAGLVILACLATNHDDSCQMVMETHVRTKNDDLPECMQSLQWLVKYAC >Ma03_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17341975:17342178:1 gene:Ma03_g15850 transcript:Ma03_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCAKKGKMLARTRSSVYSCFLVHALCFRRNITELSLNCIRNVVCQSPWASFSNGRRLLPPLGDFM >Ma03_p28980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32016557:32022883:1 gene:Ma03_g28980 transcript:Ma03_t28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAMADSVISWLIGMLMDKAKEKVDSWLGVPEDIKKLRRTLRSFQSVLDAENRRIEDKAVNDWLMELKDVLYDADDLLDEWRAEKCTPGEPPLKRVKRNISSISFRNVVGNKIKDLNDRLEEINFLESKGFFLLVLFCFSLFLHPQQVPQVSRITSPTMKSDMVGEQLEDDAMALMKQLTKQDPSKNVVVLAIVGIGGIGKTTLAKKVFNDGKIKASFRTTIWVCVSQKFSETDLLRNIVTGAGGSHGGEQSRSQLEPAVERLLRGNKFLLVLDDVWGAQIWDDLLRNPLQGGAAGSRVLVTTRNAGIARQMKAAHVHEMKLLPPEDGWSLLCKKVTTNAEDERDAQDLKDTGMKIVEKCGGLPLVTTDIQHIVNLTKRHESVRTLLVEGSRGIVGDVDDSLKNLVRLRVLHLMHTNIESISHYIGNLIHLRYLDVSHSHITELPESICNLTNLQFLILKGCFKLRQIPQGIDRLLNLRTLDCKDTDLESLPCGIGRLKHLNELVGFVMDTPTGSCPLEELGSLQELRYLSVDRLGRAWLEAEPGRDTSVLKGNHKLKNLHLHCLSTLTSDCHTEEEIERMEKVLDVALHPPSSVVSLSLDGFGLRYPSWMASASISSLLPNIRRLELIYCLHWPLLPPLGKLPSLEFLEIVGADAVTTIGPEFFGCEVAATGHDRERNSKLPSSSSPPPPPSLFPKLRQLELWNMTNMEVWDWVAEGFAMRRLDKLVLENCPKLKSLPEGLIRQATCLTTLDLSDVCALKSIRGFPSVKELSTSGESDLEIVADLPALEFLNLGTYRRRNNHLPEWLAQQSFTTLQRLDVRGTTQILRRCLQNGADWPMIERFPKFSIEDGRGNYINYIKHSCTFDTNLVDDDAVFAAVAAEEEEEEEEEEVNEL >Ma09_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:20084454:20086747:1 gene:Ma09_g19150 transcript:Ma09_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHVDEHSNYNVLSSIATSDGHGENSSYFDGWKAYDNDPFHPIDNPQGVIQMGLAENQLCLDLMQQWIKQNPQASICTGEGVSEFKDVANFQDYHGLPDFRKAIARFMGKARGGGATFDPERIVMSGGATGAQETIAFCLANPGEAFLIPTPYYPGFDRDFRWRTGVQLLPIQCHSFDNFKITEPALVTAYQRAQTANIRVKGILVTNPSNPLGTTLDRETLRTLVSFANEKRIHLVCDEIFSGTVFDKPTYVSVSEIVEEEPYYDRDLIHIVYSLSKDLGVPGFRVGVIYSYNDAVVSCARKMSSFGLVSTQTQHLLASMLGDDDFTTKFLAESRRRLSRRHKYFTAGLHRVDIKCLESNAGLFCWMNLTHLLNEATVEAELKLWRVIIKEVKLNISPGSSFHCSEPGWFRVCFANMDDNTMETALKRIRKFVSPGNHTAAAQAKKKNKRWDAALRLSLPRRFEELSIMTPRLMSPHSPLVQAAN >Ma02_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25890203:25893896:-1 gene:Ma02_g19690 transcript:Ma02_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRQRPEKRRRLSRPRPFLGFSSFARSLLFSHSAPSPDPSASSKPEGALLLLFPPRLKPPSEIERVESEQEDDEEAEVGRFLAHVFSLICWFDCEVKDVIFFGAKLDMMQADFGFFDPKPGDFNGAKLLLRNYLDDKQWDLSGFVDLILEQTTVGTVVKLDEHDEARDGNGDGDDDAGPFALISALNLGRYEGHKCMKEFKEYLLQVCPDEGLRKRLKPFLEEKVSDVGLLVSQRFVNCPYQLVPPLYGALFDEVSWATEDEPTKELQDFFRFKYYLLVTRIFEDKNANQRKAKVNCDSSDPIIYIKPEDEIFQELSLLSFTFRLHAEQLAPRELKNYREKGLVMVVIADEVPKFREKLKSLFTES >Ma06_p27520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29498999:29499819:1 gene:Ma06_g27520 transcript:Ma06_t27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHFFHHHKEEEQPAEEQLTEEAVYSETAYSGGGDAYAETVVVAESASDDYEKYKEEEKQHKHKEHLGKMGAVAAGAFALYEKHEAKKDEEHGHRHKIEEEIAAAVAVGSGGYAFHEHHEKKEAKEEAEEASGKHHHHLF >Ma02_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27047360:27051106:-1 gene:Ma02_g21430 transcript:Ma02_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGGCFTFSCIHWPWPFSLLLPLLLFSSRGLSASLSDARSTDHLALLSFKSFVYDDPSKALASWNSSLHFCQWQGVRCHNRSGEPRVTALELVSLRLAGALSPSLANLTFLRRLDLSTNSLQGPIPQELGLLSHLRHLRLDNNSLDGTIPLSLFQNCSKLQTFNLRLNNLIGAVPRNLSDCLELHIIRLDNNGLEGEIPSDLGSLSKLSWLDLWSNSLAGSIPPQIGNLASLTLLSLAGNNLNGPIPAAIGNLSSLSQLVLSNSQLTGAIPATIGNLSSLTWLDLSNNSLAGTIPPEIGNLVHLGYLDLHINHLNGTIPSEIGNLVNLTALFLGGNQLSDTIPISLGHLQSLYILILTSNKLEARNAAEWSFLDALTNCTRFAVLDTASNNLGGMLPKSIANLSTTLIWLNLYDNQIYGSIPAEIGNLINLNTIGMWSNLLSGTIPASLGSLVRLETLKLGANKLVGEIPVTLGNLTRLSSLSLASNELYGSIPSTLGKCPLETLDLASNKLNGTVPKDIMFIPTFNKYLNVSHNSLSGFLPFEIGKLINIQTIDVSDNRLSGGVPSTISECEVLENLYMQGNLFQGSIPSSLNQLKGLQVLDLSSNNLSGQIPNFHNMTYLNLSYNNLDGEVPKVGVFSNASAFSVAGNNNLCGGVRELGLRPCPDQASKKKHLSGKLIAVISLAAGILCVIFLLSLFAARWWFHKSRTHSPVASCIKEQHRKVSFAELLRATDGFSPANLIGMGSFGSVYKGTMDWEDHKAVAVKVLNLLQRGASRSFTDECEALRNIRHRNLVKVLTSCSGVDFRGNDFKALVFELLQNGSLDKWLHPEADERGTSRTLSLIQRMNISIDVASALGYLHHHGPTPIVHCDLKPSNILLDHDMVAHVGDFGLARFLRRTVSKSFQRSTNSVTLKGSIGYAAPEYGMANKVSVQGDAYSFGILLLEMFTGKRPTDDSLKGLNLHQYVEMAVPEKVVEIIDPCLLSEEGETEAEADRTNPSTSEFSTRALECITSVLRVGILCSKESPKERMHMERVIRELHDIRDAIL >Ma03_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1527701:1529592:1 gene:Ma03_g02230 transcript:Ma03_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPTGRPWLLRLASQARMDPPPPQPQPQPAQPLRPPTVGRLPSITTRVPVLPAPAPQTQPTPPPPTQPAAPPAATDGASLPQKASTNGDADAGAEVPKSQRPESPKPEARTPSTPPAPPSPRSETLTTAPQPPPSSRPAATTPMLQRSPSPRPETTTPTPPVQPSPPSLRSETIAAAPQTPPSSRPAATTPTLQRSPSPRPETTTPTPPAPPSPRSETMATAPQPPPSSRPEATTPTLQRSPSPRPETTTTTPQAPPSPRPVAAALLQRAISPRPVTTTPTPEPPPSSRPVAATPSLQRSPTPTLETTSPTPTPTLPPLPSPSPVAAATLSPAPPQSPKDIKTSSPTPPHSPRTNSKPASSATLMPHPEPESKPLAETPPKRTVEQDNGDKKGAKNSRNGVGEPREKNHNSKHNASPNDTKIPSLSPKAEGNHSGSGNNRASTAAAKKDENEMVAITIAGHNLGAVMDAGSSYSRRTKKHLFHDTKDDRSQEEHATVDAEGYSSEEKPVITLVNSNVQSVNNALLFNSSCTVGSPGVHIILTSRRRQPKKKSH >Ma03_p25320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29413589:29414122:1 gene:Ma03_g25320 transcript:Ma03_t25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRACRSLRMLHVPIEIKHDKLDLHKSSPKWTDTTHPALEHHGYC >Ma05_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31897655:31904874:-1 gene:Ma05_g20280 transcript:Ma05_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRSCLSREVKNGLEILKRKRLQQTKSGFIPEAINASNTMSRSGGDALRTSASCGTRMHGNVDAFSRVSVSVEDAFSKHQVKKFDMSDLEWIEKIPECPVFSPSKEEFENPLDYLQRIAPVASRYGICKIISPISASVPAGVVLMKEQAGFKFTTRVQPLRLAEWAADDKVTFFLSGRKYTFRDFEKMANKVFSRRYSSAGCLPAKFMEEQFWHEIAFGKTEMVEYACDIDGSAFSSSPRDQLGQSKWNLKRFSRLPKSVLRHLANAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGHAATDFEKVVWNHVYDSDILQCEGEDAAFDVLLGKTTMFPPNILLEHNVPVYKAVQRPGEFIITFPRAYHAGFSHGFNCGEAVNFAVGDWFPLGTVASQRYALLNRMPLLPHEELLCREAVFISKILLNPDSKSPRPSSEDFHSQRCIKFSFAYLMRFQHRARWSLMKSGACAFINTETVLCSICKRDCYISYVRCNCIKDPICLRHERELRSCLCGFDRIIFLRGDILELEAISRKFEQEIDVLEEVLKQIQQGDDFYLGTSPFNNAEHDGYVPYCEIKFESSPDIRGDNPERSGVCILEGSNKDVAWESLSSPGTMTSSVRLSGGSLHIVYAKTNIGIISCSGSPSSCQSAVLIPERHAAASSCQAGSSDTSVMQNSDDSDSEIFRVKRRSAIKLGKRSTGDVDSNLPEHQGLKRLKKLHREGRHLATADHPAPATSGYFQKNFESGVSRTSRGMFPMSSKIRPDGGQVGVKLKVNLQSNLPDTIVAVEDPPSSELKS >Ma05_p20280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31897657:31902870:-1 gene:Ma05_g20280 transcript:Ma05_t20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGFKFTTRVQPLRLAEWAADDKVTFFLSGRKYTFRDFEKMANKVFSRRYSSAGCLPAKFMEEQFWHEIAFGKTEMVEYACDIDGSAFSSSPRDQLGQSKWNLKRFSRLPKSVLRHLANAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGHAATDFEKVVWNHVYDSDILQCEGEDAAFDVLLGKTTMFPPNILLEHNVPVYKAVQRPGEFIITFPRAYHAGFSHGFNCGEAVNFAVGDWFPLGTVASQRYALLNRMPLLPHEELLCREAVFISKILLNPDSKSPRPSSEDFHSQRCIKFSFAYLMRFQHRARWSLMKSGACAFINTETVLCSICKRDCYISYVRCNCIKDPICLRHERELRSCLCGFDRIIFLRGDILELEAISRKFEQEIDVLEEVLKQIQQGDDFYLGTSPFNNAEHDGYVPYCEIKFESSPDIRGDNPERSGVCILEGSNKDVAWESLSSPGTMTSSVRLSGGSLHIVYAKTNIGIISCSGSPSSCQSAVLIPERHAAASSCQAGSSDTSVMQNSDDSDSEIFRVKRRSAIKLGKRSTGDVDSNLPEHQGLKRLKKLHREGRHLATADHPAPATSGYFQKNFESGVSRTSRGMFPMSSKIRPDGGQVGVKLKVNLQSNLPDTIVAVEDPPSSELKS >Ma09_p29790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40148990:40153991:1 gene:Ma09_g29790 transcript:Ma09_t29790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYLTALTTLFSYGLLFVFGQLRDFFRKIIDWSKSTSKGLKGYAPICLGLEDFYTRRLYLRIQDCFSRPIASAPDAWIDVVERYSNDNNKTLHRTSNTTKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSSSTCSIRVDGGTTDLHNELEELVARFVGKPAAITFGMGYVTNSAIIPILIGKGGLIISDSLNHNSIVNGARGSGAAVRVFQHNNPSHLEEVLRELIAEGQPRTHRPWKKIIVIVEGIYSMEGELCQLPEIISVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQYLKYSCPAHLYATSMSPPAVQQVISAIKVILGEDGSSRGAQKLAHIRENSNFFRSELQKMGFEVLGDSDSPVMPIMLYNPAKIPAFSRECLKQNVAIVTVAFPATPLLLARARICISAAHSKEDLIKGLEVISKVGDLVGIKYFPAEPPNHQGQGHKKLE >Ma09_p29790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40148990:40153931:1 gene:Ma09_g29790 transcript:Ma09_t29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYLTALTTLFSYGLLFVFGQLRDFFRKIIDWSKSTSKGLKGYAPICLGLEDFYTRRLYLRIQDCFSRPIASAPDAWIDVVERYSNDNNKTLHRTSNTTKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSSSTCSIRVDGGTTDLHNELEELVARFVGKPAAITFGMGYVTNSAIIPILIGKGGLIISDSLNHNSIVNGARGSGAAVRVFQHNNPSHLEEVLRELIAEGQPRTHRPWKKIIVIVEGIYSMEGELCQLPEIISVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQYLKYSCPAHLYATSMSPPAVQQVISAIKVILGEDGSSRGAQKLAHIRENSNFFRSELQKMGFEVLGDSDSPVMPIMLYNPAKIPAFSRECLKQNVAIVTVAFPATPLLLARARICISAAHSKEDLIKGLEVISKVGDLVGIKYFPAEPPNHQGQGHKKLE >Ma08_p09830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7143657:7153665:-1 gene:Ma08_g09830 transcript:Ma08_t09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIGSIPHDRLVRHLPFRQQIVNWKSDYKRLLLLAYQSFGVVYGDLSTSPIYVYASCFAGRLNNYRDEQTVFGVFSLIFWTFTLIPLLKYVMIVLGADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSTYYRAGYIPQIAIYSPLKRFLEKHKRLRTCLLLIVLFGACMVIGDGVLTPAISVLSSISGLQVRAKKLVDGEVVIISCVVLVGLFALQHKGTQRVAFMFAPVVIIWLLFIAAIGLYNTIYWNPRIIHALSPHYIVKFFEHTGKDGWISLGGILLSVTGTEAMFADLGHFNETSIRIAFVGLIYPCLVLQYMGQAAFLSKNIHDVSSSFFESIPQSVFWPVFVISSLAAIVASQSVISATFSIVKQCHSLGCFPRVKIVHTSRWIHGRIYIPEINWILMVLCLSVTLGFRDTTIIGNAYGIASMTVMFITTWLMALVIIFVWQNSVIFALLFLIFFGSIEGAYLSSSLIKFTQGGWVPFVLSFIFMVIMYVWHYGTHEKYLFNLQNKVSMKWILTLGPSLGIVRVPGMGFIYTELATGVPSIFSHFVTNLPAFHQVLVFVCMKSVPVPYIPPDEQYLIGRIGPRTYRMYRCIIRYGYKDVQKVEDNFENQLILSIAKFIQMEGEGSSTGSYDSSPEGRMVVIRTTDTSGTRLVTRDADESECNSTPIRSSKSVTLQSLQSLYEEESPHVSHRHRVQIELSETEDINCEVKEELMALLEAKQAGVAYIMGHSYVKARKTSSFMKKIAIDVAYSFLRKNCRGPAVALNIPHISLIEVGMIYHV >Ma08_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7143658:7149800:-1 gene:Ma08_g09830 transcript:Ma08_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNIGLICYGSSGAGNCSTSYCSNQVNLIGDFPYATPSLPSRTSCLSEVVIISCVVLVGLFALQHKGTQRVAFMFAPVVIIWLLFIAAIGLYNTIYWNPRIIHALSPHYIVKFFEHTGKDGWISLGGILLSVTGTEAMFADLGHFNETSIRIAFVGLIYPCLVLQYMGQAAFLSKNIHDVSSSFFESIPQSVFWPVFVISSLAAIVASQSVISATFSIVKQCHSLGCFPRVKIVHTSRWIHGRIYIPEINWILMVLCLSVTLGFRDTTIIGNAYGIASMTVMFITTWLMALVIIFVWQNSVIFALLFLIFFGSIEGAYLSSSLIKFTQGGWVPFVLSFIFMVIMYVWHYGTHEKYLFNLQNKVSMKWILTLGPSLGIVRVPGMGFIYTELATGVPSIFSHFVTNLPAFHQVLVFVCMKSVPVPYIPPDEQYLIGRIGPRTYRMYRCIIRYGYKDVQKVEDNFENQLILSIAKFIQMEGEGSSTGSYDSSPEGRMVVIRTTDTSGTRLVTRDADESECNSTPIRSSKSVTLQSLQSLYEEESPHVSHRHRVQIELSETEDINCEVKEELMALLEAKQAGVAYIMGHSYVKARKTSSFMKKIAIDVAYSFLRKNCRGPAVALNIPHISLIEVGMIYHV >Ma08_p09830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7143658:7153731:-1 gene:Ma08_g09830 transcript:Ma08_t09830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIGSIPHDRLVNWKSDYKRLLLLAYQSFGVVYGDLSTSPIYVYASCFAGRLNNYRDEQTVFGVFSLIFWTFTLIPLLKYVMIVLGADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSTYYRAGYIPQIAIYSPLKRFLEKHKRLRTCLLLIVLFGACMVIGDGVLTPAISVLSSISGLQVRAKKLVDGEVVIISCVVLVGLFALQHKGTQRVAFMFAPVVIIWLLFIAAIGLYNTIYWNPRIIHALSPHYIVKFFEHTGKDGWISLGGILLSVTGTEAMFADLGHFNETSIRIAFVGLIYPCLVLQYMGQAAFLSKNIHDVSSSFFESIPQSVFWPVFVISSLAAIVASQSVISATFSIVKQCHSLGCFPRVKIVHTSRWIHGRIYIPEINWILMVLCLSVTLGFRDTTIIGNAYGIASMTVMFITTWLMALVIIFVWQNSVIFALLFLIFFGSIEGAYLSSSLIKFTQGGWVPFVLSFIFMVIMYVWHYGTHEKYLFNLQNKVSMKWILTLGPSLGIVRVPGMGFIYTELATGVPSIFSHFVTNLPAFHQVLVFVCMKSVPVPYIPPDEQYLIGRIGPRTYRMYRCIIRYGYKDVQKVEDNFENQLILSIAKFIQMEGEGSSTGSYDSSPEGRMVVIRTTDTSGTRLVTRDADESECNSTPIRSSKSVTLQSLQSLYEEESPHVSHRHRVQIELSETEDINCEVKEELMALLEAKQAGVAYIMGHSYVKARKTSSFMKKIAIDVAYSFLRKNCRGPAVALNIPHISLIEVGMIYHV >Ma07_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10571601:10571780:-1 gene:Ma07_g14150 transcript:Ma07_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQAFPGGDHSFEFAFDSSNFSGRVLQIEIMAGPATSNGGTTARRRKVRGSPLPARL >Ma04_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26243812:26256372:1 gene:Ma04_g24190 transcript:Ma04_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRRCSYSRDKEKVTVLPPYPEAYDHCEIPLPAAAAVDWTALPDDTVVQIFSRLNYGDRACLASTCRAWRLLGSSPSLWTSLDLRAHRCDLDTAAALAGRCAHLRRLRFHGSSSASALINLQARGLREIAGDYCRDITDATLSVLAARHEALESLQIGPEPCGRITSDAVRHVAMCCTRLRRLRLSGIREINGDAINALARHCPQLAEVAFLDCSMVDEGALEKVVSLKFLSVAGSRNLKWATASLSWSNLPSLVGVDVSRTDISASAVSRLLSTAKTLKVLCALNCAALEEEGSHSPTAFSNTKGKLLFAQFTDVFKGIASLFRGSVVKEQTIFEEWRSLQNEDKILSDIMNWLEWILSHSLLRIAESNPHGMDEFWLRQGAALLLSLVRSPQEDVQERAATGLATFVVTDDENATVEPARAEAVMRNGGIPLLLELARSCREGVQSEAAKAIANLSVNSKVAKAVADEGGIGILANLARSSNRLVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVNLIFKWTSGVDGVLERAAGALANLAADDKCSVEIAMAGGVHALVTLARLCKVEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTYSQNEGVRQEAAGALWNLSFDDRNREAIALAGGVEALVALAHACANASQGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNTGNALRIIEEGGVPALVHLCSSSGSKMARFMAALALAYMFDGRMDEVALVGSSLEGCSKSVNFDGARRMALKHIEAFVLTFSDPHVFSMAAASSAPAALAQVADAARIQEAGHLRCSAAEIGRYIAMLRNPSSVLRACAAFALLQFTIPGGRHAMHHAGLMQKAGAPRVLRAAAAAATAPVEAKIFARIVLRNLEHHQLEASA >Ma04_p24190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26243812:26256372:1 gene:Ma04_g24190 transcript:Ma04_t24190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRRCSYSRDKEKVTVLPPYPEAYDHCEIPLPAAAAVDWTALPDDTVVQIFSRLNYGDRACLASTCRAWRLLGSSPSLWTSLDLRAHRCDLDTAAALAGRCAHLRRLRFHGSSSASALINLQARGLREIAGDYCRDITDATLSVLAARHEALESLQIGPEPCGRITSDAVRHVAMCCTRLRRLRLSGIREINGDAINALARHCPQLAEVAFLDCSMVDEGALEKVVSLKFLSVAGSRNLKWATASLSWSNLPSLVGVDVSRTDISASAVSRLLSTAKTLKVLCALNCAALEEEGSHSPTAFSNTKGKLLFAQFTDVFKGIASLFRGSVVKEQTIFEEWRSLQNEDKILSDIMNWLEWILSHSLLRIAESNPHGMDEFWLRQGAALLLSLVRSPQEDVQERAATGLATFVVTDDENATVEPARAEAVMRNGGIPLLLELARSCREGVQSEAAKAIANLSVNSKVAKAVADEGGIGILANLARSSNRLVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVNLIFKWTSGVDGVLERAAGALANLAADDKCSVEIAMAGGVHALVTLARLCKVEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTYSQNEGVRQEAAGALWNLSFDDRNREAIALAGGVEALVALAHACANASQGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNTGNALRIIEEGGVPALVHLCSSSGSKMARFMAALALAYMFDGSCAHRMDEVALVGSSLEGCSKSVNFDGARRMALKHIEAFVLTFSDPHVFSMAAASSAPAALAQVADAARIQEAGHLRCSAAEIGRYIAMLRNPSSVLRACAAFALLQFTIPGGRHAMHHAGLMQKAGAPRVLRAAAAAATAPVEAKIFARIVLRNLEHHQLEASA >Ma04_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:449675:450129:1 gene:Ma04_g00510 transcript:Ma04_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSRSTQATSSRITDEQIADLLAKLQALLPEHRIRSTDRVSAAEVLQDACNHIRSLQREVDGLSGRLAQLLAMTDSSDAQAAVIRSLLM >Ma08_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34801383:34817196:1 gene:Ma08_g20720 transcript:Ma08_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MASETLCASDQRGGNFCRESKGHNEINPGESQKSFSCSCECHGKSASQARNGSVSFGERFHKDHLGDHSGGLLTEKSNQTDVGSERLVGVSLSDVRTVTNASEKACLHEEHESCDACNSIKLQQYMKKQRNNTLQDLYFQEEYDDDDDDDSDWEPVSPFVIKKWFCANCTMPNFDDVCHCEVCGEDRECEFFRFDPIDSLVGQEAQMSNETDAGSSDLSLRTRTAIGFDEKMLLHAEVEMKSHPHPERPDRLRAIASSLAAAGIFPGKCSLIPAREITQEELLRVHSLDHVEAVQHTSHMLASYFTSDTYANEHSACAARVAAGLCSDLARTIVSGSAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQSAGAKKVLIVDWDVHHGNGTQEIFEGNKSVLYISLHRHENGRFYPGTGAVDEVGIMDAEGFSVNIPWSCGGVGDNDYIYAFQHVVLPIALEFAPDITIVSAGFDAARGDPLGCCDVTPAGYAQMTHLLTSISEGKLLVILEGGYNLRSISTSATAVVKVLLGDNPYCEMSDIVPSRAGLQAILQVLKVQLKYWPILKATYLVLQSQWGLVASDNNEEEEASGWTSVVEMGK >Ma08_p20720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34801375:34817196:1 gene:Ma08_g20720 transcript:Ma08_t20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MASETLCASDQRGGNFCRESKGHNEINPGESQKSFSCSCECHGKSASQARNGSVSFGERFHKDHLGDHSGGLLTEKSNQTDVGSERLVGVSLSDVRTVTNASEKACLHEEHESCDACNSIKLQQYMKKQRNNTLQDLYFQEEYDDDDDDDSDWEPVSPFVIKKWFCANCTMPNFDDVCHCEVCGEDRECEFFRFDPIDSLVGQEAQMSNETDAGSSDLSLRTRTAIGFDEKMLLHAEVEMKSHPHPERPDRLRAIASSLAAAGIFPGKCSLIPAREITQEELLRVHSLDHVEAVQHTSHMLASYFTSDTYANEHSACAARVAAGLCSDLARTIVSGSAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQSAGAKKVLIVDWDVHHGNGTQEIFEGNKSVLYISLHRHENGRFYPGTGAVDEVGIMDAEGFSVNIPWSCGGVGDNDYIYAFQHVVLPIALEFAPDITIVSAGFDAARGDPLGCCDVTPAGYAQMTHLLTSISEGKLLVILEGGYNLRSISTSATAVVKVLLGDNPYCEMSDIVPSRAGLQAILQVLKVQLKYWPILKATYLVLQSQWGLVASDNRDQMKKRRHLVGPVWWKWGSKRFVYELFYGRPHSRHVHVKRMRLG >Ma08_p20720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34801384:34817196:1 gene:Ma08_g20720 transcript:Ma08_t20720.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MASETLCASDQRGGNFCRESKGHNEINPGESQKSFSCSCECHGKSASQARNGSVSFGERFHKDHLGDHSGGLLTEKSNQTDVGSERLVGVSLSDVRTVTNASEKACLHEEHESCDACNSIKLQQYMKKQRNNTLQDLYFQEEYDDDDDDDSDWEPVSPFVIKKWFCANCTMPNFDDVCHCEVCGEDRECEFFRFDPIDSLVGQEAQMSNETDAGSSDLSLRTRTAIGFDEKMLLHAEVEMKSHPHPERPDRLRAIASSLAAAGIFPGKCSLIPAREITQEELLRVHSLDHVEAVQHTSHMLASYFTSDTYANEHSACAARVAAGLCSDLARTIVSGSAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQSAGAKKVLIVDWDVHHGNGTQEIFEGNKSVLYISLHRHENGRFYPGTGAVDEVGIMDAEGFSVNIPWSCGGVGDNDYIYAFQHVVLPIALEFAPDITIVSAGFDAARGDPLGCCDVTPAGYAQMTHLLTSISEGKLLVILEGGYNLRSISTSATAVVKVLLGDNPYCEMSDIVPSRAGLQAILQVLKVQLKYWPILKATYLVLQSQWGLVASDNRDQMKKRRHLVGPVWWKWGSKRFVYELFYGRPHSRHVHVKRMRLG >Ma08_p20720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34801384:34817196:1 gene:Ma08_g20720 transcript:Ma08_t20720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MASETLCASDQRGGNFCRESKGHNEINPGESQKSFSCSCECHGKSASQARNGSVSFGERFHKDHLGDHSGGLLTEKSNQTDVGSERLVGVSLSDVRTVTNASEKACLHEEHESCDACNSIKLQQYMKKQRNNTLQDLYFQEEYDDDDDDDSDWEPVSPFVIKKWFCANCTMPNFDDVCHCEVCGEDRECEFFRFDPIDSLVGQEAQMSNETDAGSSDLSLRTRTAIGFDEKMLLHAEVEMKSHPHPERPDRLRAIASSLAAAGIFPGKCSLIPAREITQEELLRVHSLDHVEAVQHTSHMLASYFTSDTYANEHSACAARVAAGLCSDLARTIVSGSAKNGFALVRPPGHHAGVRQAMGFCLHNNAAVAALAAQSAGAKKVLIVDWDVHHGNGTQEIFEGNKSVLYISLHRHENGRFYPGTGAVDEVGIMDAEGFSVNIPWSCGGVGDNDYIYAFQHVVLPIALEFAPDITIVSAGFDAARGDPLGCCDVTPAGYAQMTHLLTSISEGKLLVILEGGYNLRSISTSATAVVKVLLGDNPYCEMSDIVPSRAGLQAILQVLKVQLKYWPILKATYLVLQSQWGLVASDNRDQMKKRRHLVGPVWWKWGSKRFVYELFYGRPHSRHVHVKRMRLG >Ma03_p17880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23494856:23497559:-1 gene:Ma03_g17880 transcript:Ma03_t17880.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MDSGNHQIPENANEHCPGSQSEEAGKADACAGCPNQQICATAPKGPDPDLVTIAERMATIKHKILVLSGKGGVGKSTFAAQLSFALAEMDSQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVDWGELDYLVVDAPPGTSDEHISIVQFLQATGIVGAIIVTTPQQVSLIDVRKEISFCKKVGIQVLGIVENMSELRQSISDFRFVKSTESGHENDVTEWALSYIRSNAPELLSVISCCEVFDSSRGGAAKMCAEMRVPFLGKVPMDPHLCRAVEEGRSCFTDQKCTVSAPALRTIIEKLVSSCQ >Ma03_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23494856:23497561:-1 gene:Ma03_g17880 transcript:Ma03_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MQMSSEEAGKADACAGCPNQQICATAPKGPDPDLVTIAERMATIKHKILVLSGKGGVGKSTFAAQLSFALAEMDSQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVDWGELDYLVVDAPPGTSDEHISIVQFLQATGIVGAIIVTTPQQVSLIDVRKEISFCKKVGIQVLGIVENMSELRQSISDFRFVKSTESGHENDVTEWALSYIRSNAPELLSVISCCEVFDSSRGGAAKMCAEMRVPFLGKVPMDPHLCRAVEEGRSCFTDQKCTVSAPALRTIIEKLVSSCQ >Ma08_p25430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38267508:38271959:-1 gene:Ma08_g25430 transcript:Ma08_t25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRSSSAGKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCAQSRPADHNGKSAPKPMQAPPPYSSAAGYMGYGTPSSMYLRAPPYGSSFFNGPALPPYDMPFSGGSAYHYEYGSRLSIGSPYGPFHMSGPPPYSSGSMMGTGGMYGMPPMVDRYGLGMPMDHGAMGARSGAYSDESSQNKNTGEGRENDWTCPNCGNNNFSFRTVCNMRKCNTPRPGSQGSKSENSKSSKPKMPEGSWKCEKCNNINYPFRTKCNRQNCGAEKPSHSISHGLTSSEDDQ >Ma06_p07740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5487785:5489497:1 gene:Ma06_g07740 transcript:Ma06_t07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFKTGYLPMIAASLRSCSIGRSGGVATLPLTPAPLVAAHLLEASDESSGEVMVELNSDTALPYRWEQRLDMRTGEVYYINLETGTKTRKDPRTAVIAAATYSSSYISGKEISSSDDSCSRVGGSEDYESSVDTANSCLSSLSSSSPPDTVADPGGAQILVAAGCRSCFMYFMVPKSVDACPKCGGGLLHLGRYGCV >Ma06_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5487785:5489497:1 gene:Ma06_g07740 transcript:Ma06_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNIQMIAASLRSCSIGRSGGVATLPLTPAPLVAAHLLEASDESSGEVMVELNSDTALPYRWEQRLDMRTGEVYYINLETGTKTRKDPRTAVIAAATYSSSYISGKEISSSDDSCSRVGGSEDYESSVDTANSCLSSLSSSSPPDTVADPGGAQILVAAGCRSCFMYFMVPKSVDACPKCGGGLLHLGRYGCV >Ma01_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28376579:28384987:1 gene:Ma01_g23590 transcript:Ma01_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLMQAPKLETKAAKPGHKGAGEREKLPEAKRSEIANEVLADPIAEKLRQQRLVEEADYKSTAELFAKKGVEKTLDSFIPKSEGDFLEYALLLSHKICPYEKSFHYIGLLKDVIRLSMTSLKAADAKEVASSVTAIANEKLKAEKEANAGKKKQGTKKKQLHVDKAEDDYGTAGRYDDMDDYDFM >Ma01_p23590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28376579:28384987:1 gene:Ma01_g23590 transcript:Ma01_t23590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWEEEDFEPAPPGLISNQPKGQWDDEDVEDENVKESWEDEEVPVQAPKLETKAAKPGHKGAGEREKLPEAKRSEIANEVLADPIAEKLRQQRLVEEADYKSTAELFAKKGVEKTLDSFIPKSEGDFLEYALLLSHKICPYEKSFHYIGLLKDVIRLSMTSLKAADAKEVASSVTAIANEKLKAEKEANAGKKKQGTKKKQLHVDKAEDDYGTAGRYDDMDDYDFM >Ma11_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7061626:7069729:-1 gene:Ma11_g08940 transcript:Ma11_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKACWLPLLAKHCECGVTDGSLYVPLDCEWIWHCHRLNPVQYKKDCEETFGRILNNHNVKSSLQGNSKLEMTEKWSRLYPEEPFELDCSSTSSEEILDKYPGAASSISYDLVSAVKRQSSFCYQVSRPSMHDNRFLEAAVNRYKGFLHLIKKNEDQSKKLFCVPTYDIDLIWHSHQLHPASYCNDMIKLLGKVLEHDDTDSDRSKGKKLDVGFSETTKQWEDTYGLRYWRAGTMYRGKFPSQVISHSYFSSHRDVNKTIPYNSQHCIALTHPMVVEVLLEIVEVKNLPDCQKGDLFVSFSKKKPDVLFYGGGTLSIQSESGKKHVASFKCEPTGEMILALTSKLNSKICSSRRASIIGTTSISLQDLMNPDSELSIEKWFELKSHSRTMDTEPVCLWVAMSFTVPVPAPFEFRMFKTHPISTNTCFLPLSGKEMFRSWTCFLDHSGDEVIRLCIRNLKKSKGSNTTASEKKVVGISSLWRQQRLLAECADNRWSLNDYNFSLVVEKIKGQDGSILEIKGDHQMKLFLGKWLEYESDSLKVRNDKELFITLVELSAENPYGKAVALFDMKSSSVEVNEERFVLLGVLLAFILKDIIKGGGENALLILNKEDVEEISKSNVESVKQKSERGLTQIPPWQQ >Ma11_p08940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7061626:7069766:-1 gene:Ma11_g08940 transcript:Ma11_t08940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDQESAWLEAQGIDISEDLVAAARRQLAFLAAVDRLRRLYDGPLLDRAICRYKACWLPLLAKHCECGVTDGSLYVPLDCEWIWHCHRLNPVQYKKDCEETFGRILNNHNVKSSLQGNSKLEMTEKWSRLYPEEPFELDCSSTSSEEILDKYPGAASSISYDLVSAVKRQSSFCYQVSRPSMHDNRFLEAAVNRYKGFLHLIKKNEDQSKKLFCVPTYDIDLIWHSHQLHPASYCNDMIKLLGKVLEHDDTDSDRSKGKKLDVGFSETTKQWEDTYGLRYWRAGTMYRGKFPSQVISHSYFSSHRDVNKTIPYNSQHCIALTHPMVVEVLLEIVEVKNLPDCQKGDLFVSFSKKKPDVLFYGGGTLSIQSESGKKHVASFKCEPTGEMILALTSKLNSKICSSRRASIIGTTSISLQDLMNPDSELSIEKWFELKSHSRTMDTEPVCLWVAMSFTVPVPAPFEFRMFKTHPISTNTCFLPLSGKEMFRSWTCFLDHSGDEVIRLCIRNLKKSKGSNTTASEKKVVGISSLWRQQRLLAECADNRWSLNDYNFSLVVEKIKGQDGSILEIKGDHQMKLFLGKWLEYESDSLKVRNDKELFITLVELSAENPYGKAVALFDMKSSSVEVNEERFVLLGVLLAFILKDIIKGGGENALLILNKEDVEEISKSNVESVKQKSERGLTQIPPWQQ >Ma07_p26050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33015348:33017511:-1 gene:Ma07_g26050 transcript:Ma07_t26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEALGSIKALMVFRDELRVNQRQCCLLVDAFNLAYHGIAEEMRSHLRFDEKLIKWKALEPPLKELHRIFREGEQYIKQCLEARDWWGKAVALGQNADCVEFHIHDLLWCIPVVVEAIENVGEITGTDQEEIYRKKFVFSKKYEKDWLEPKLFQLKLGKTYLVSQELCSRMDTAWKEDRWILSERIAEKRSPGSKPLTKQENRLAELLVSPNGKLFPCSLLTGSSDYQVRRRFGSGNNYKEVQWLGESFSVKHVIGEIEPLMSEISLLSSITHPNVVRYMYSFVDEEKKESYMVMELMSKDLCSYINEISSTRRKVPFPLLVAVDTMHQIARGMEYLHGKNIYHGDLNPSNILVKTRNSSPDGYLHVKVTGFGLSSVKNSKPSANQAPATNPCIWYAPEVLLEQETSGESGSASKCTEKADVYSFSMLCFELLTGKIPFEDDHLQGDKMSKNIRAGARPLFPFHSPKFLTNLTKRCWHADPSQRPSFSSICRVLRYIKRFLVMNPDHSQPDAPMPPVDYFDLETSLSKKFASWARKDTPRVSEIPFQMYAYRVVEREKTSANVKDKCSDSGSEVASLCGDENVFSITPPDDAVSTSVNTAKSSSQNSADAKNKSSAKKANGKSNKQTGQHQKLGSTRPPHLSMFGRNLRTNPGSRIQPIMMSPRRRATGHASDSELT >Ma02_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20478269:20482661:-1 gene:Ma02_g11190 transcript:Ma02_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACLFSSAKMAAVWCMVILGFAMAAVRVHCQSTDTLGFISIDCGIAAGSTYVDPTTNIPYVSDTPYIDAGVNQNISAAYVTNLMGRRYLNVRSFPNGTRNCYTINSITPSSKYLIRASFFYGNYDGLGSQSRLFDLYLGVNLWKTINITDPGSGYRTDVITVAASGSFSVCLVNTGHGTPFISGLDVRPLKEILYPAVNASRSLVLTRRLNMGPTDTFIRYPDDSHDRIWDPFNNIPFWAETSTNSTVENFVDDKFEAPSAVMQTAVIPVNSTKLMISWEPELGDVNEYYAVMYFSEFLTLTGNMSRQFYVYLNGQLWYAKPFTPDYLFSDAIFGTNPAEGYQQYNVTIQALDNSTLPPILNAMEVYSRMSDVNVPSDAGDVDAMIAVKAWYKIKRNWMGDPCSPKALAWDGLNCSSSLSNPPRITALNLSSSGLTGEIATFFASLTAIQILDLSHNNLTGTIPAILAQLPSLKILDLTNNNLNGSVPSPLLTKAQNGELTLRIESNPSLCGNGTTCEITPTTKKKKLSAPIIVIICLAPLLLLLVVVSIIWRLRKPPSSGKGNSVEPQNEETLKRVKEHQDGLLQLENRQFTYMELKSITNNFERVIGKGGFGTVYHGCLEDGTQVAVKIRSQSSSQGTKEFLAEAQHLTRVHHRNLVSMVGYCKDEPYLALVYEFMAQGTLQDHLRGRAGGGRALSWRQRLQIAVQAAQGLEYLHKGCKPPLVHRDVKTGNILLSESLEAKIADFGLSKAFQSEINNTHVSTVVMGTPGYLDPEYYATNQLSEKSDVYSFGVVLLELLTGQAPVITAAGNAHIAHWVRQRLARGNIEDVVDGRLQGESDVNSMWKCADVALRCASPVAHQRPDMAEVVTQLKESLQLENPYVDSRTESLYAEASDVSQNSALEIERVAAMSVADRPSAR >Ma06_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13322500:13324447:-1 gene:Ma06_g19450 transcript:Ma06_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGASNGEEPEANLITVSGDIDNFLAVLLAVGDGRDGRHEPPEIPESTVEKFVVLVEEEMATYETGDEKWPSGGAESSLLDAIDRVSKLTTGLRKFSSEPKYQQAMNRSGTVLHQAMCFLEDEFHSLLQDARTKQEAVSSSSKTKPQPSFSRLYEFDRHVPPSSEPSSCVPSPAYPPEAVERLHKIADAMISAGYDAECCQVFAVARRNAFEAGLPSLGFEKVSIEDVLKMAWESLESEIATWIKAFRHTITASISAERELCEAVFASHRAISDRLFRSLAHCAIIQLLTFAEAVTMTKRSAEKLFKVLDIYEAMRDVMPIVDALLPDEADQEESSILVDPKTEISSVRFRLGEAAVAIFCELESSIKADSSKTPVPGGAVHPLTRYVTNYLKYACEYKNTLEQVFKEHRHSEKPSSTDGDGDNSRGSGGGGRGDNRGNNGGNGNYNPFAAQLVGAMDLLHSNLESKSKLYKDLALSNIFLMNNGRYVAKKVKGSQTEKYIKFGAEDLENLIDELFDGNPSSMASKRRT >Ma10_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11243160:11243828:-1 gene:Ma10_g03070 transcript:Ma10_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEESVHPLLNRRPAFFRSRRRKMAVMRLGSRRGWRGRGRGRRLLAGLLRRMRLRWLAAKYRAALKRLRACHAALVRDVIEGAASMQAVQSRIMMESYFAAPFLPVAAVNCHPHYYARP >Ma11_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21414432:21418715:-1 gene:Ma11_g15760 transcript:Ma11_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLYYFGYCSNYQASQENAVVDFKDWQIPLGRRFRSLKLWLVLRLYGVANLQSYIRNHIHLAEQFEQLITSDSRFEVVAPRTFSLVCFRLIPPPNDQNNGYKLNHSLLDAVNSSGKIFLSHTVLSGNFILRFAVGAPLTEDRHIKTAWQVLQEQATTLLKDY >Ma08_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5475230:5481487:-1 gene:Ma08_g07890 transcript:Ma08_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQMEVIQRKLEMLNYPRSNAPAQSLLFAGVERYALLEWLFFRLLGDRSPFTQQNWQGDSMDRDEENTRIQHLAEIASFLGITSSVDTEAIQGKGSYEERVELLHLFVDLVEASQYADNPEWSVDEQLAKDVQLVDSIAERQAQIFSEELKLFPADVQIQSVYPLPDISELELKLSEHSKKLTNLQQMVQELASKYDYNPNEDYTEVELKLRSHLESFLETVKYFNLIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSVRDSYAAMSVGSLSTTTDEPSSITKIILDCESALTFLNRSLSILSTSVAREQGKTP >Ma09_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9142647:9179235:-1 gene:Ma09_g13540 transcript:Ma09_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MAAVARSLAGVEVPILGSDKAEWIEVTVPSSSFSFAAASAPQPSPLANGGAAFAPRDAAGCHAIEGVDTKSYLIWRLHKDSPNILEVVEVIASKEFPQTGLHLVFQDALCPSAFLCKNVINSGSGNAYLLYVLTVSGVAYLLSLRSPFSYISGSSFPQREYVEFNVAPPTQITAMTAAAGCLVTGRQDGVVSCFQLGILDPSSPGFATELRDDVGIGRLWNLMSRQKAIGAVQDMVISDVCKRKCLFVIHADGLLRVWDLVSHNRVVSYNTSSHELEGTKPSRLWVNEANYDANLIYLAILHDGVPLSDIEMVAVYHFGLGTGDKLLLSPQPSSQSIHLDEGKLIDLKFEYDKLWILKGDGSMLYDLSQTDFDMKHTVAYGLQEDFVADQLFQSSEHALDDLMWTDHSIFSSVKDQAAYLVSSLYLRRLLQPGVYQSTALRATLLEHKKYISDYEFQSLTMDGLKKEIFAIIEGEGAATNSTTTVYYWRSFCTHFLRHWCQNSTPYGFFVDSSQNVVGLIRKNSVSLFRSLEGIEQLIYGFSDEFNYMKSSGMSLQDNVIDPEVLFEVLRCMSHISHQLGPAASALYYESLINPSISSEDIMSQLLKILEAGYCPSVISLIQQIGVDAAWEKKQTAHRSQRKFAVDILVSLNLLCSKATNWLVVLDTIEKFLTYLNPHRSVQEIDSKCMCNINSILLVQATSQVARMMFEAAFDLLLLLGYLVNISGQVNLLQTDIVRIKVRLIPTIYEVITKWLIIHFMGTTPTTRPTIEDFSSRLSLLHIGNRTGRNSWDGKLGSSDFTLACLFEFPTTFEGLEFLCSTSLPNPSKLNHLVQKYCSLIACSLTVAESNLSPSPTIELASLLLHHGQYEAAESLFIIVDGYSRSKKVSISAQTTDGEWCAHLHLLGFCLLVRAQSELQGVLKEQKLHEAVRCFFRAASGREAPKYLQNLRFETGFQHPEECASAAIWRLHYYQWAMQIFEQYGVSEGACQFALAALEQVDEVLNSSDNDLTENETTIRGRLWANVFKFTLDLKHYHDAYCAIISNPDEESKYICFRRFVIVLCENRAAKVLCDGGLPFVGLIEKVEQELVWKAERSDIFAKPNPYKLLYAFEANRNNWRKAACYMFRYSVRLKKEANSNGNHQDSSVLQERLHALSAAINALQQVDHAYAWIESQYGNDLSNYQGSPNKKPRNVSAENFAGGLAPESSAINYSIDIDMLEKEYIITSAHHMLSLVNNKMKFPGIQKLSNVVDVLIEENLYDMAFTIVVNFWKGSGLKRELEQAFVALSQKCCPNRVGSAFMGSNVKTNNLLLTYSEEETPADSKKTASPVFSKFKGNDQWETLELYLEKYRKLDPRLPVTVAETLLYTDPQIELPLWLVHMFKGGRKVSWGMTGQEPDSATLFRLYVDYGRHAEATNLLLENLDSFSSLRPADIINRKKMSATWFPYIAIERLWCQLEEMRSSGHMVDHCDKLKKLLQEALMKLLKQVQLDSDDALAAAAASKMQNPGS >Ma09_p13540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9142215:9179235:-1 gene:Ma09_g13540 transcript:Ma09_t13540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MAAVARSLAGVEVPILGSDKAEWIEVTVPSSSFSFAAASAPQPSPLANGGAAFAPRDAAGCHAIEGVDTKSYLIWRLHKDSPNILEVVEVIASKEFPQTGLHLVFQDALCPSAFLCKNVINSGSGNAYLLYVLTVSGVAYLLSLRSPFSYISGSSFPQREYVEFNVAPPTQITAMTAAAGCLVTGRQDGVVSCFQLGILDPSSPGFATELRDDVGIGRLWNLMSRQKAIGAVQDMVISDVCKRKCLFVIHADGLLRVWDLVSHNRVVSYNTSSHELEGTKPSRLWVNEANYDANLIYLAILHDGVPLSDIEMVAVYHFGLGTGDKLLLSPQPSSQSIHLDEGKLIDLKFEYDKLWILKGDGSMLYDLSQTDFDMKHTVAYGLQEDFVADQLFQSSEHALDDLMWTDHSIFSSVKDQAAYLVSSLYLRRLLQPGVYQSTALRATLLEHKKYISDYEFQSLTMDGLKKEIFAIIEGEGAATNSTTTVYYWRSFCTHFLRHWCQNSTPYGFFVDSSQNVVGLIRKNSVSLFRSLEGIEQLIYGFSDEFNYMKSSGMSLQDNVIDPEVLFEVLRCMSHISHQLGPAASALYYESLINPSISSEDIMSQLLKILEAGYCPSVISLIQQIGVDAAWEKKQTAHRSQRKFAVDILVSLNLLCSKATNWLVVLDTIEKFLTYLNPHRSVQEIDSKCMCNINSILLVQATSQVARMMFEAAFDLLLLLGYLVNISGQVNLLQTDIVRIKVRLIPTIYEVITKWLIIHFMGTTPTTRPTIEDFSSRLSLLHIGNRTGRNSWDGKLGSSDFTLACLFEFPTTFEGLEFLCSTSLPNPSKLNHLVQKYCSLIACSLTVAESNLSPSPTIELASLLLHHGQYEAAESLFIIVDGYSRSKKVSISAQTTDGEWCAHLHLLGFCLLVRAQSELQGVLKEQKLHEAVRCFFRAASGREAPKYLQNLRFETGFQHPEECASAAIWRLHYYQWAMQIFEQYGVSEGACQFALAALEQVDEVLNSSDNDLTENETTIRGRLWANVFKFTLDLKHYHDAYCAIISNPDEESKYICFRRFVIVLCENRAAKVLCDGGLPFVGLIEKVEQELVWKAERSDIFAKPNPYKLLYAFEANRNNWRKAACYMFRYSVRLKKEANSNGNHQDSSVLQERLHALSAAINALQQVDHAYAWIESQYGNDLSNYQGSPNKKPRNVSAENFAGGLAPESSAINYSIDIDMLEKEYIITSAHHMLSLVNNKMKFPGIQKLSNVVDVLIEENLYDMAFTIVVNFWKGSGLKRELEQAFVALSQKCCPNRVGSAFMGSNVKTNNLLLTYSEEETPADSKKTASPVFSKFKGNDQWETLELYLEKYRKLDPRLPVTVAETLLYTDPQIELPLWLVHMFKGGRKVSWGMTGQEPDSATLFRLYVDYGRHAEATNLLLENLDSFSSLRPADIINRKKMSATWFPYIAIERLWCQLEEMRSSGHMVDHCDKLKKLLQEALMKLLKQVQLDSDDALAAAAASKMQNPGS >Ma08_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3981386:3982252:-1 gene:Ma08_g05890 transcript:Ma08_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSKGSSVEVYKCKEGSYSWSTARVLSGNGHTYFLRYDRRPTDRPLVVERVPRKFLRPPPLPIGGMFSDWAPGDVVEAFEDCTWYPAVVVSVVAVGRFDVRLDGSSRRLNVRTCELRLRQQWKDNTWSLIPKDSGNRRPSNHPLPAESYISRNEDEERSTKRPRIISSPSESCNTAGWTRRGMELPSRHHPHASVPPPRALDLQDLQTAIGGMLEADGDRSPSSSVGSS >Ma08_p00170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:152118:155880:1 gene:Ma08_g00170 transcript:Ma08_t00170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKWLEFKASTLNNGAVKEEPIHEKPMSKETDISSDQALSGLADYNSTHAEKTITNLRGENSAANLLREKFLHEIAVVRRKRASRVEVGFSILSVVCVALVGTSLGYLSRSLGPSGNSSMD >Ma08_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:152447:155880:1 gene:Ma08_g00170 transcript:Ma08_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLLSKEVFFFSFKGTNYVSSSTAYDGLFHDLIVFWEIVLICMDYKWLEFKASTLNNGAVKEEPIHEKPMSKETDISSDQALSGLADYNSTHAEKTITNLRGENSAANLLREKFLHEIAVVRRKRASRVEVGFSILSVVCVALVGTSLGYLSRSLGPSGNSSMD >Ma00_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:38324960:38325492:-1 gene:Ma00_g04440 transcript:Ma00_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMKLASQRAVVIFSVSSCCMCYSVKSLFHELGVNAAIHELDEEPRGVEMEKALVKLLGHRPVVPAIFIGGRLVGSIDRIMSLHLSGKLMPLLRDAGGLWL >Ma01_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1584549:1586296:-1 gene:Ma01_g02360 transcript:Ma01_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAKRIHAHLLVSGTISDPYAAGKLVAFCAVSDRGDLDYAGLVFRCLPCRSAFLWNTMIRAHVERGRPADALRLYYQMFAAGFLPNNYTFSFLLRACLDRDSLSDGRKFHAMIVGLGWESYDFVQNGLIHMYSYCGCVDSARKLFDCSSNRDVISWTAMINGYAKLGKLDAARELFDRMPERNAVSWSAMITMYAQFGRFKEALEVFSEMQLARVQPNHAGIVGALSACGSLGALDQGKWIHVFAERNGMELDKILGTALIDMYAKCGCVNNALEVFHSMPERDVYAYTAMISGLSNSRHIEEAMDLFSRMEEEGVRPNEVTFICVLSACGRMGLVDRGREIFESMEGVYKIKPGVEHHGCLVDLLGRAGRVEEAKKVVREMPMEPDSYVLGALLNACSMHGEAELGRETVESLKKLGLDHSGVHVLMSNMCASADRWEDVARVRREMEMRKVMKVPGCSMIEVDGVACEFIAGDRSHAQMKEILVAIKRIDMQLRSFGDDTFAIEFEQNP >Ma08_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15728943:15735260:1 gene:Ma08_g15530 transcript:Ma08_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVADIGSLAVRIPPDPAGLATSRHVGRDFHRRGWVSRQALRRSLAVKSMRIAERGGQAPESGSSNGHLYPSGTFNERETMVASNSQRKTKIVCTIGPSCNTRDMIWKLAEAGMDVARLNMSHGDHESHQKIVDLVKEYNAQSKDHVIAIMLDTKGPEVRSGDVTHPILLKEGQEFNFTIKRGISSENTVSVNYDDFVNDVEVDDILLVDGGMMSLTVRSKTADTVKCKVIDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNQVDFYAVSFVKDAKVIHELKDYLKRCNADIHVIPKIESADSVPNLQSIISASDGAMVARGDLGAELPIEDVPLLQEEIIRRCRSMQKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHNVASKTESTISSTVKHSIPAMVVQAVSGEDFSQSRMSALFASHATAMANTVGTPIIVFTQTGSMAILLSHYRPSSTIFAFTNEERVKQRLSLYHGVLPIYMQFSGDAEETFSRAIKFLLGHGHLKDGEYVTLVQSGIHSIWRRQSTHHLQVRKVGG >Ma08_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32403561:32404733:1 gene:Ma08_g18800 transcript:Ma08_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALYQSEEPLGAAIADALRAGTIRSRDELFITSKLWCSDAHPHLVHSALQKTLRNLQLEYLDLYLVHWPVSTRPGKYEIPLAKEDLLPIDMSAVWRAMEECHALGLTKSIGVSNFSSKKLETLLSIAKIPPAVNQVEVNPLWQQQKLREFCVAKGIQVCAYSPLGAKETYWGQNCVMDCGVLKDIAAAKGKTLAQVCLRWVYEQGDCVLVKSFNEERMVENLDILDWELSEEEKHKIGQIPQRKGYAGWDFVSDDGPYKSTAELWDGEI >Ma06_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1878039:1881658:1 gene:Ma06_g02420 transcript:Ma06_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLIRLGFSLLLSFSVAARALKRKSVDSSAVLVGIPIMVIHMLAGYRFAALLLVFFSTSSKVTRLGEAKKRATDAEFKEGGQRNWIQVLSNSIIATILVVIFARITQGHDRCLDSKDSTVITALLGGIVGHYACCNGDTWSSELGMLSSAQPRLITTFKKVRKGTNGGVTVHGLLAAVAAGFVIGVAFALVGMVTTECSADIAKRQLFVVPIATAAGLFGSLIDSFLGATLQFSGYCTVRKKVVGNEGPTVVKISGASILDNNAVNAVSILLTTLITSVTCMYIF >Ma09_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4474640:4475968:-1 gene:Ma09_g06960 transcript:Ma09_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRLAREEGDLGRKRPQVGAAEEGSGFFSCLPDDLVVAILCRLSASTARPSDLLGVLIACKRLNRLGLDPLVLSNASVESLAIRAMNWSASAHRFLKRCADAGNLEACYILGMIRFYCLGNRRSGLSLMARAAICNHMEALYSLAVIHFNGSGRSNSDKDLSAGVAFCARAASLGHEDAIRVLGHCLQDGYGVRRNAAKGRRFLLLANARELAVVLSTSSSSLPHCRRLCSLLRDFWCSTPAGARHPANQFMVEWFAARGGVVVESEGLSLCSYGGCGRPETRRHEFRRCSACGVASYCSRACQALHWKLAHKAECVPMERWLDLAAAAADGAPADGGDL >Ma08_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37513180:37514271:-1 gene:Ma08_g24280 transcript:Ma08_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPPLTTHLLNKFQSDSVPSESSPSSRMSPAVLFIVVVLAVLLFISGLLHFILRCFIRKRHPRPSSSRSAPELSGSDALQRQLQQLFHLHDSGVDQALIDALPVFLYKDVVGSKEPLECAVCLCEFDGEDELRLLPVCGHAFHLSCIDTWLLSNSTCPLCRGSLFLQGMAVEDPVFFYLGDSTDEGEASPAERAAAVAAADEGDEIAADRRRVFHVRLGKFRNLGNGNVGGDCNEDSSIAIGSVRREEGETSSSSLTARRCFSMGSYQYVVADAQLQVALKGCSALRNGDGRGIRGTDARGNSPGNEAVEGERLSIESRHESFSVSKVWLWSNKKGKVSMSSDTSALDTNSPWKTVRVGDA >Ma07_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28050889:28052195:1 gene:Ma07_g20110 transcript:Ma07_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSKQPQPYYPPPPPTTDPYYPPPQPTMGVPVPTAPGTFQIQTPAGGAWSTGLCECCDDGSNCCITCFCPCITFGQIAEIVDKGATSCGTSGALYALIMYVTCCQCLYSCFYRSKLRAQLGLREEPCADCLVHCCCETCSLCQMYRELNRRGYDMSIGWHANMERQGQPATIPPPVQGMSR >Ma01_p14090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10321688:10324558:1 gene:Ma01_g14090 transcript:Ma01_t14090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAISVLQVANRSHRRLMNSPPQTNTTDGAGSQKKSSSSAMPIMVSILVVVIVGALIYCIYCWRWRRRNAVRRAQIENLGPISSSDLSVIDLSTIEAATDNFSEDKKLGEGGFGPVYRAVLRGGQEVAVKRLSTKSRQGNVEFKNEVQLIAKLQHRNLVRLLGCCVERDEKLLIYEYLPNRSLDAFLFDPSRRSQLDWRRRYVIVVGVARGLLYLHEDSMLRVIHRDLKASNVLLDNRMNPKISDFGMAKIFEGEDHEVNTGKVVGTYGYVAPEYAMQGTFSVKSDVFSFGVLLLEILSGERNGGSHVQRHGQTLLRHAWELWDDDRASEFVDPSLGDCYPTNEASRCFHVGLLCVQENPEDRPTISSVLVMLKSEQMPLPPPNEPPSFTRLRTTPDSRKSSAARSDSPTMHSLNQVTVTSIDPR >Ma01_p14090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10321688:10324558:1 gene:Ma01_g14090 transcript:Ma01_t14090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAISVLQVANRSHRRLMNSPPQTNTTDGAGSQKKSSSSAMPIMVSILVVVIVGALIYCIYCWRWRRRNAVRRAQIENLGPISSSDLSVIDLSTIEAATDNFSEDKKLGEGGFGPVYRAVLRGGQEVAVKRLSTKSRQGNVEFKNEVQLIAKLQHRNLVRLLGCCVERDEKLLIYEYLPNRSLDAFLFDPSRRSQLDWRRRYVIVVGVARGLLYLHEDSMLRVIHRDLKASNVLLDNRMNPKISDFGMAKIFEGEDHEVNTGKVVGTYGYVAPEYAMQGTFSVKSDVFSFGVLLLEILSGERNGGSHVQRHGQTLLRHAWELWDDDRASEFVDPSLGDCYPTNEASRCFHVGLLCVQENPEDRPTISSVLVMLKSEQMPLPPPNEPPSFTRLRTTPDSRKSSAARSDSPTMHSLNQVTVTSIDPR >Ma01_p14090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10321688:10324558:1 gene:Ma01_g14090 transcript:Ma01_t14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAISVLQVANRSHRRLMNSPPQTNTTDGAGSQKKSSSSAMPIMVSILVVVIVGALIYCIYCWRWRRRNAVRRAQIENLGPISSSDLSVIDLSTIEAATDNFSEDKKLGEGGFGPVYRAVLRGGQEVAVKRLSTKSRQGNVEFKNEVQLIAKLQHRNLVRLLGCCVERDEKLLIYEYLPNRSLDAFLFDPSRRSQLDWRRRYVIVVGVARGLLYLHEDSMLRVIHRDLKASNVLLDNRMNPKISDFGMAKIFEGEDHEVNTGKVVGTYGYVAPEYAMQGTFSVKSDVFSFGVLLLEILSGERNGGSHVQRHGQTLLRHAWELWDDDRASEFVDPSLGDCYPTNEASRCFHVGLLCVQENPEDRPTISSVLVMLKSEQMPLPPPNEPPSFTRLRTTPDSRKSSAARSDSPTMHSLNQVTVTSIDPR >Ma01_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10321687:10324558:1 gene:Ma01_g14090 transcript:Ma01_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAISVLQVANRSHRRLMNSPPQTNTTDGGSQKKSSSSAMPIMVSILVVVIVGALIYCIYCWRWRRRNAVRRAQIENLGPISSSDLSVIDLSTIEAATDNFSEDKKLGEGGFGPVYRAVLRGGQEVAVKRLSTKSRQGNVEFKNEVQLIAKLQHRNLVRLLGCCVERDEKLLIYEYLPNRSLDAFLFDPSRRSQLDWRRRYVIVVGVARGLLYLHEDSMLRVIHRDLKASNVLLDNRMNPKISDFGMAKIFEGEDHEVNTGKVVGTYGYVAPEYAMQGTFSVKSDVFSFGVLLLEILSGERNGGSHVQRHGQTLLRHAWELWDDDRASEFVDPSLGDCYPTNEASRCFHVGLLCVQENPEDRPTISSVLVMLKSEQMPLPPPNEPPSFTRLRTTPDSRKSSAARSDSPTMHSLNQVTVTSIDPR >Ma07_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3869598:3871081:-1 gene:Ma07_g05300 transcript:Ma07_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWLDCPSLNLDLNVGLLPFPVDSPKAVSAVESKHVDKKVSIKNEKAIKALEAELVLATEENKKLSEVLAATIASYSAVRKQLIEQMNTPPPEGGSRSNSPPGKRKSESLDANMESTSSEGSSKRVRDDCKPKVWKLHVRSDPSDTSLVVRDGYQWRKYGQKVTRDNPCPRAYFRCSFAPSCPVKKKVQRSAEDTSILVATYEGEHNHDLRSRPGAPSLRPNTAAPDLKSSGSQPEMESQEFQRSLVEHMAFSLSEDPAFKAALATAISGKMLPLRTS >Ma01_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9182178:9185903:-1 gene:Ma01_g12580 transcript:Ma01_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAICSVTDLRINFIVYLLIIDIEETLELFMDIQILGDRTLRKLAFSHVVDNIQRMNQKHKNEAKNRKLQNILFLMLQGEEEQRAKRSLVILCDLHRRRVWFDDRTANAICTACFHSSSRIMISALSFLLGYEQIEEEDDSEASSSEDDTTSQQPITLSREAIYKANHKGTAASKKKKKAKLQRAIRNMKRQQRITSQSNSSSYYSPLTHLKDAQGFAEKLFSRLQRCNERFEVVILFLMHAIIIFEIFTLISCLLIYIASLVVAFSIEIINVLENWPEYLNLHVTKIGMYYKELYVALCSIQNVLNG >Ma03_p29660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32503482:32514692:-1 gene:Ma03_g29660 transcript:Ma03_t29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSIQSTSAASSSSRHFDPPTNPHPRRTLDWVPIIWHRIRALLQVLVAVVLRKFWGSVPSRPGSTSNRESAEDDHNNTSMGDLDRLPTDVFVQVLKLLGPKEAARSGLVCKSWKVLVSDNRLWVFFLTHGKHPFDTVLFAETHLRSGPMLYFDHSQQISFMHIFAERAIVPGAIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNLESPVHSRLHHFFSTIYSRMQVRASSHPIIVSVPICYPEDTEAARASRRQLREAIYSVLFDMNAPAVCAIDQAVLALYAARRTSGIVVNIGFNVTSVVPILRGKVMHEVGIEVLALGALKLTGFLKELMQQRNINFQSLYTVRTIKEKLCYVASDYDAELHKDTKQSCEVASEGLFTLSKECFQTGEILFQPHIGGLHSMGLHQAVALCMNHCLAAEVATNDGWFKTVVLAGGTSCLPGLPERLEKELHKLLPPLISEGIKVIPPPYGTDSAWFGAKIISNVSTFCDAWCITKKQFRQNPRRISGD >Ma01_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7394609:7396902:-1 gene:Ma01_g10270 transcript:Ma01_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADAHRTDLMTITRHVLNEQSKHPESKGDFTILLSHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNQVFVKALISSGRTCVLVSEEDEEATFVDPSLRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMVKDKDNVSLDDVLQPGKHMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFMLTHPDIKIPKRGKLYSVNEGNAKNWDTPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKQSPNGKLRVLYEVFPMSFLMEQAGGQAFTGEQRALDLVPTKIHQRSPVFLGSYDDVEEIKALYAAEEKKA >Ma07_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9511799:9513247:-1 gene:Ma07_g12610 transcript:Ma07_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSTETEEWIEVETKGTNTDVDVAGSSDSRTPLTSISAWTRWVLGSVVGIAVPLYRRILRREDAVEKAAESAAEAAEKIAEVTEKIASDVADELPDGGRLKDKAVQVEQICEEVEKAAEEAEAFIHKVDHVKEEVDTMVEPIIEKGEEIEKEIQEQETPINSGVDQPKAT >Ma07_p12610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9511744:9513254:-1 gene:Ma07_g12610 transcript:Ma07_t12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSTETEEWIEVETKGTNTDVDVAGSSDSRTPLTSISAWTRWVLGSVVGIAVPLYRRILRREDAVEKAAESAAEAAEKIAEVTEKIASDVADELPDGGRLKDKAVQVEQICEEVEKAAEEAEAFIHKQVDHVKEEVDTMVEPIIEKGEEIEKEIQEQETPINSGVDQPKAT >Ma04_p30510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31158513:31168423:-1 gene:Ma04_g30510 transcript:Ma04_t30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRDSGVPADSFYEARTECAEGPKSKFKIKAGKTLSARRWHAAFTPEGCLDIASVLSRIQRGGVHPSIRGEVWEFLVGCFDPKSTFDEREQLRQHRRVQYARWKEVCQELDSHVGSGRIITAPVITEDGQPIQDPLVLQEANPSHIPSSREQATGGSESNTHVCLDKQIIEWKLTLHQIGLDVLRTDRTLVFYEKKENLAKLWDILAVYAWIDKDVGYCQGMSDLCSPIIILLEDEADAFWCFERLMRKLRGNFRCTERSVGVENQLQSLASITQVLDPKLHQHLETLGGGDYLFAVRMFMVLFRRELSFGDSLYLWEMMWALEYYPDMFSMYEEPELAIEKNETSKGKVKSIRQFGKFERENIKNGSKGSETPLPITVFLVASVLKEKSAKLLQEARGLDDVVKILNDVSGDLDAKKTCSHAIKLHKKYLKMAKKI >Ma04_p30510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31158513:31168423:-1 gene:Ma04_g30510 transcript:Ma04_t30510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRDSGVPADSFYEARTECAEGPKSKFKIKAGKTLSARRWHAAFTPEGCLDIASVLSRIQRGGVHPSIRGEVWEFLVGCFDPKSTFDEREQLRQHRRVQYARWKEVCQELDSHVGSGRIITAPVITEDGQPIQDPLVLQEANPSHIPSSREQATGGSESNTHVCLDKQIIEWKLTLHQIGLDVLRTDRTLVFYEKKENLAKLWDILAVYAWIDKDVGYCQGMSDLCSPIIILLEDEADAFWCFERLMRKLRGNFRCTERSVGVENQLQSLASITQVLDPKLHQHLETLGGGDYLFAVRMFMVLFRRELSFGDSLYLWEMMWALEYYPDMFSMYEEPELAIEKNETSKGKVKSIRQFGKFERENIKNGSKGSETPLPITVFLVASVLKEKSAKLLQEARGLDDVVKILNDVSGDLDAKKTCSHAIKLHKKYLKMAKKI >Ma01_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9371142:9372062:-1 gene:Ma01_g12780 transcript:Ma01_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRIKVLSHCDEETLQMLYIISQPRHKTLLSSITSKECSISRKIEIDTGNGLLETLLLEVQLVLPLLFCLLTGLCPNSFGK >Ma11_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18703138:18707767:1 gene:Ma11_g13660 transcript:Ma11_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRNVRVPNVPGSGAAGTLVKVALIGGTAVYVALNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHLMIPWFERPIIYNVRARPHLVESTSGSRDLQMVKIGLRVLTRPLPDQLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERARNFSIALDDVSITSLSFGKEFTNAIEAKQVAAQEAERAKFIVEKAEQDKKSAIIRAQGEAKSAQLIGQAIANNPAFLALRQIEAAREIAHTIANSSNRVFLQSDDLLLHLQELNFESTTKPKK >Ma10_p27340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34675904:34678239:-1 gene:Ma10_g27340 transcript:Ma10_t27340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRHHGSSNMAVLLQSQGMYKEFSGPLFAPGTPSSGSLDLKDGHGNSLERPLFRPVELDEIGDDDSGEYPHQPDKKRRLTTCQVQFLEKSFELENKLEPERKLQIAKVLGLKPRQIAVWFQNRRARWKTKQIEKDYESLKSSYDALKMDHDSLVKEKEDLKAEVLSLTKRLLKEENGSLESFEPKRLPDNLQNLDSTLAFEVKKLHGQTMLCKQEDISSANSAILDSESPHHTHEGDCSMLMELNSYSNAFRLDHSDHSQIGDVDDVKHCNFLSPEDTSCGSEFHVAEQVFWLWP >Ma10_p27340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34675904:34678239:-1 gene:Ma10_g27340 transcript:Ma10_t27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRHHGSSNMAVLLQSQGMYKEFSGPLFAPGTPSSGSLGSWSLADLKDGHGNSLERPLFRPVELDEIGDDDSGEYPHQPDKKRRLTTCQVQFLEKSFELENKLEPERKLQIAKVLGLKPRQIAVWFQNRRARWKTKQIEKDYESLKSSYDALKMDHDSLVKEKEDLKAEVLSLTKRLLKEENGSLESFEPKRLPDNLQNLDSTLAFEVKKLHGQTMLCKQEDISSANSAILDSESPHHTHEGDCSMLMELNSYSNAFRLDHSDHSQIGDVDDVKHCNFLSPEDTSCGSEFHVAEQVFWLWP >Ma02_p16800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24002236:24009979:-1 gene:Ma02_g16800 transcript:Ma02_t16800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQDQDLEVHDNTGDDRSEVPPRCIRCGISANATPHMRRGPEGPRTLCNACGIAWTKNLYKQGKLRRMIDSNSPAYEITMAKLVPEIDMEFESEEKAYEFYNKYAGHVGFSVRKSSSGKSSENVTRSRTFVCSRQGFRKDKKGAKEVKRPRPETRIGCLARMTIKITPSGKYRVTEFIGEHNHQPAPPSTTHMLRSQRITIELQAAEADLSDDSATTPKTTGETAPKPIGGPRNVRFLPADYKNNLRSKRMKAMQMGDAGSVLKYLQSMQLDDPAFFYSIQVDEDDKLTNIFWADSKSIMDFNYFGDVVCLDMTYKINGYGRPLAPFLGMNHYKQTTIFGAALLYDESVESFKWLFETFKIGMRGKQPKTLLTDPFMPIINAVAVVWPGTSHRHCVWHVYQNAVKHLNHVFQGSKTFSKDFCKCIYDYEEEEDFLLAWRAMLEKYDLRNNEWLLKLFEDRDKWALPYGRDIFCADMKSSLQTESLSGVLKKFLSPQLDLLSFFKHYERVLDEHRYAELQADFHASQSFPRIPPSKLLRQAANVYTPVIFEVFRKEFEMFMDCMLFSCGEVQTISEYKVVVTEKPREHYVRFDSRDCSAYCSCKKFEFTGIQCCHVLKVLDFRNIKELPLKYYLKRWKKDAKSGSEGGNRVIATDSDPKSPTSSSLSVPVPSYTQQQGFHGMSHFGQDSSVSDLHQDSFQANTQLNQVVAQTHGPWSLVTRANSS >Ma02_p16800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24002236:24009979:-1 gene:Ma02_g16800 transcript:Ma02_t16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQDQDLEVHDNTGDDRSEVPPRCIRCGISANATPHMRRGPEGPRTLCNACGIAWTKNLYKQGKLRRMIDSNSPAYEITMAKLVPEIDMEFESEEKAYEFYNKYAGHVGFSVRKSSSGKSSENVTRSRTFVCSRQGFRKDKKGAKEVKRPRPETRIGCLARMTIKITPSGKYRVTEFIGEHNHQPAPPSTTHMLRSQRITIELQAAEADLSDDSATTPKTTGETAPKPIGGPRNVRFLPADYKNNLRSKRMKAMQMGDAGSVLKYLQSMQLDDPAFFYSIQVDEDDKLTNIFWADSKSIMDFNYFGDVVCLDMTYKINGYGRPLAPFLGMNHYKQTTIFGAALLYDESVESFKWLFETFKIGMRGKQPKTLLTDPFMPIINAVAVVWPGTSHRHCVWHVYQNAVKHLNHVFQGSKTFSKDFCKCIYDYEEEEDFLLAWRAMLEKYDLRNNEWLLKLFEDRDKWALPYGRDIFCADMKSSLQTESLSGVLKKFLSPQLDLLSFFKHYERVLDEHRYAELQADFHASQSFPRIPPSKLLRQAANVYTPVIFEVFRKEFEMFMDCMLFSCGEVQTISEYKVVVTEKPREHYVRFDSRDCSAYCSCKKFEFTGIQCCHVLKVLDFRNIKELPLKYYLKRWKKDAKSGSEGGNRVIATDSDPKSPTSSSLSVPVPSYTQQQGFHGMSHFGQDSSVSDLHQDSFQANTQLNQVVAQTHGPWSLVTRANSS >Ma02_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24002236:24009979:-1 gene:Ma02_g16800 transcript:Ma02_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQDQDLEVHDNTGDDRSEVPPRCIRCGISANATPHMRRGPEGPRTLCNACGIAWTKGKLRRMIDSNSPAYEITMAKLVPEIDMEFESEEKAYEFYNKYAGHVGFSVRKSSSGKSSENVTRSRTFVCSRQGFRKDKKGAKEVKRPRPETRIGCLARMTIKITPSGKYRVTEFIGEHNHQPAPPSTTHMLRSQRITIELQAAEADLSDDSATTPKTTGETAPKPIGGPRNVRFLPADYKNNLRSKRMKAMQMGDAGSVLKYLQSMQLDDPAFFYSIQVDEDDKLTNIFWADSKSIMDFNYFGDVVCLDMTYKINGYGRPLAPFLGMNHYKQTTIFGAALLYDESVESFKWLFETFKIGMRGKQPKTLLTDPFMPIINAVAVVWPGTSHRHCVWHVYQNAVKHLNHVFQGSKTFSKDFCKCIYDYEEEEDFLLAWRAMLEKYDLRNNEWLLKLFEDRDKWALPYGRDIFCADMKSSLQTESLSGVLKKFLSPQLDLLSFFKHYERVLDEHRYAELQADFHASQSFPRIPPSKLLRQAANVYTPVIFEVFRKEFEMFMDCMLFSCGEVQTISEYKVVVTEKPREHYVRFDSRDCSAYCSCKKFEFTGIQCCHVLKVLDFRNIKELPLKYYLKRWKKDAKSGSEGGNRVIATDSDPKSPTSSSLSVPVPSYTQQQGFHGMSHFGQDSSVSDLHQDSFQANTQLNQVVAQTHGPWSLVTRANSS >Ma08_p29670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41177751:41180734:1 gene:Ma08_g29670 transcript:Ma08_t29670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSLATDAFGVLTISLVFLTATLGLLCIFHSLYFQFWISRRHYLQLRYFNGPWVSRIILILVSIWWGFGEIVRLRFLHTRLFSSQAWQRSICKFYILSNLGFAEPSMFLILSFLLHASLQKRESGTLSRRWNRKSLAYVLLFCFPIFIMQIAIILVGAGFSNEEQSDKKISISKFFTCASSLTDGDTVCKYPLLSTIILAGFYALLICYVTYVGAQILSLVINKGLRRRIYVLIASVILLLPLRALLLGFSVLPSPGSLLYEASVFLSFLMLLFCTTVGICTLVFFPVSDSLALRDIGHAGIRGMPYDDYYHDGALLIVNQSDQRPSRNSDESAKSGSTSFCSINLGGFAASEDISEARLSHGPAIIPSSVS >Ma08_p29670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41177751:41180734:1 gene:Ma08_g29670 transcript:Ma08_t29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSLATDAFGVLTISLVFLTATLGLLCIFHSLYFQFWISRRHYLQLRYFNGPWVSRIILILVSIWWGFGEIVRLRFLHTRLFSSQAWQRSICKFYILSNLGFAEPSMFLILSFLLHASLQKRESGTLSRRWNRKSLAYVLLFCFPIFIMQIAIILVGAGFSNEEQSDKKISISKFFTCASSLTDGDTVCKYPLLSTIILAGFYALLICYVTYVGAQILSLVINKGLRRRIYVLIASVILLLPLRALLLGFSVLPSPGSLLYEASVFLSFLMLLFCTTVGICTLVFFPVSDSLALRDIGHAGIRGMPYDDYYHDGALLIVNQSDQRPSRNSDESAKSGSTSFCSINLGGFAASEDISEARLSHGPAIIPSSVS >Ma07_p27620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33934499:33939502:1 gene:Ma07_g27620 transcript:Ma07_t27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGPPEKADEFVPHPVKEQLPGVDFCLTSPPPWHEALLLAFQHYLVMLGTTVIIPTILVPLMGGGNEEKARVIQTFLFVAAINTLTQVYFGTRLSAVIGGSYTYLLPTISIILSRRYAYIINPHERFEHTMRAIQGAFIAASSFQIIVGFCGLWRIFIRFLSPLAAVPFVTLSALGLFYIGFPSVANCVELGLPAIILLILFALYVPHTVSGRRVIFDRFALLIVVAIVWLYAYILTVAGAYKNRPPQTQISCRTNRSGLIGASPWIRVPYPFQWGSPIFHAGDIFAVMAASFASLIESTGTLIAVSRLSSATPVPPSVFSRGIGWQGIGILLDGMFGTANGSAASVENAGLLGLTRVGSRRVIKISACFMFFFSILGKFGALLASIPLSIFAALYCVLFAYAVSAGLGLLQFCNINSFRTKFILGFSFFMGLSIPQYFREYYVVSGYGPVHTRSITFNDMVNVIFSSPATVAAIVAYFLDCTLLRGEASIRRDRGWHWWDKFRSYRTDTRSEEFYALPYNLNKYFPSL >Ma01_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1205696:1213285:1 gene:Ma01_g01800 transcript:Ma01_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVHAKAIRGSREASPKSAAFLPPDLPSPSMALPGAAGPLAASSAPAAAAAEDPSKKIRKPYTITKSRESWTEQEHDKFLEALHLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKIQKNGASEHVPPPRPKRKAAHPYPQKAPKYALQISQGTTPHQASCLLEPHSAFGIDTSSMSRNSNTNEAVPSGLNSSLHPVSASHLTSDDMGHAGNLVVKNCCSSSTISPVTWPTCEMADHENHVTALRVMPDFAQVYSFLGSVFDPSTTGHLQKLKEMDPIDVETVLLLMGNLSINLTSPDFEAHRKLLASFSGGTEDLDSGIANNLTCETVAPLW >Ma10_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19090761:19099824:-1 gene:Ma10_g06440 transcript:Ma10_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILNISFIILYPINLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFDHLASWVEDARQHANATMTIMLIGNKCDSAHTRAVSTEEGEQFAKEHGLIFMEASAKTAQIVEEAFIKTENCCDDTQEIPPGWCF >Ma08_p33570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43834978:43836549:-1 gene:Ma08_g33570 transcript:Ma08_t33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MAQLSSAHGPLVYLRLGAVDAVTTDDPEVIREILLRQDDVFASRPRTLAAVHLAYGCRDVALAPFGSHWKRMRRTCMEHLLTTKQIESFSGHRKIEACHLARDVWRKARDGEPVNLRQFLGNFSMNNVTRMLLGKQYFGPELAGPAEAAEFMHITHELFWLLGLIYLGDYLPLWRWLDPLGREKKIREVEKRMDRFHQKIIEEHREAMARRKEAGDGENKEAMDFVDVLLSLPGEDGKERMDDTEIKALMQDMIAAATDTSAVTNEWAMTEVIKHPGVLRKVQEELDRVVGRDRLVQESDLAHLTYLRCVVRETFRLHPAGPFLIPHESTRATKLMGYDIPAKTRVFINTYALGRNRRVWDDVDAFRPERHLPPEGSGGRVEISHGADFKILPFGAGKRRCPGAPLGVTMVLMALATLFHCFDWSPPEGVRCEEIDTEEVYGMTMPKAKPLMAAARPRLAPNLYD >Ma01_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:746653:747340:1 gene:Ma01_g01070 transcript:Ma01_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLASSSVRLRALLPRSCSRRVPTVGKRTLRHTPPPPRRNMSASADAAAVTAGVPAPSPPGSGGDNAPGADVVVQYVVLRRDLIDSWPLGSVVTQGCHASVAAVWNYRHHPDVLAYCSDHNLDSMHKVTLEVKGETQLRNLSDKLKTGGIDHKLWVEQPEDFPTCLATRPYPKSQVASFFKKLKLCK >Ma07_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7459834:7462971:-1 gene:Ma07_g09970 transcript:Ma07_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIDETLPEWRDKFLSYKDLKRRLKLIAAGGGGERPAKRPRVADDDRAASSAPEDSAMREEEEDFMRLLESELDKFNYFFVEKEEEYIIRQKDLQDRVAEAISNNSKELMKVSKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALMRQSFIHKVLQQPFFTTDLLYKLVKECEAMLDQLFPKNKSSTSEEDCVREKGEQKPAEPRSSLAGGVLELEEIEYMKSLYMKSTIAALRALKEIRSGSSTVSFFSLPPLQNSGLEERWNNVPVLEQVAK >Ma06_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2301981:2302112:-1 gene:Ma06_g03110 transcript:Ma06_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNLKQDVGVHHVYIESRGETLPTQQEEERRMNLTHCLQFPI >Ma05_p31940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41629819:41632031:-1 gene:Ma05_g31940 transcript:Ma05_t31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSREQKKGVLHERLQLLRSVTNSSAPSKASIIVDALKYIEELKQNIEACKRDLAEESCLPMVTVETLEKGFLINVFCDKNCPGLLVSVLEAFEALGLEVLDASISCTDSFRLEAVGGEPQNESVDAQMVRQVVVQAVKKCAES >Ma10_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27991854:27994125:-1 gene:Ma10_g16180 transcript:Ma10_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIEQAHGREVFSLLRVKSQRSNPSEERIAWLHDQLIGKDLEFDTPFGKRSLTYADHTASGRSLHYIENYILQQVLPVYGNTHTDDSFVGSKTTRMAHKAAEYIKRCMGGGHDDAIIFCGAGSSAAIKRLQEVIGIAMPSIMRDRVVEELREEERWVVFVGPYEHHSNLLSWRQTTAEVVEIGMDDEGLLDMDALRLQLMSPKYANRPMLGSFSACSNVTGIFTDTRSVARVLHEHGAFACFDFAASGPYVEIDMRSGDVEGYDAVFLSPHKFVGGPGTPGILLMNKALYQLRSSPPSTCGGGTVAYVNGFDEKDTLYYHEIEEREDSGTPPIIQKIRASLAFWVKEHVGHDLIALREQVYTETALRRLLSNPNIIVLGNTTVKRLPIFSFLVVPPGGGSGGTMRDGTGRDQNRWVEGLKMKGKPLHGRFVTKLLNDLFGVQARGGCACAGPYGHHLLGVDMELSLRIRSAIHEGYSGLKPGWTRISFSYYTSKEEFAFILAAVEFVAMHGHRFLPLYRFDWATGDWSFRKRTFKYHIMKAELEVAAQDLFGDAGVEAKRTRTWSSRAVEDDESKTSGGGQRYESYFERARQIAASLADHASSGGAAPPAGIDRSLILFRI >Ma02_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15903190:15905654:-1 gene:Ma02_g04480 transcript:Ma02_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYVGGGGGMPGWLGGLVEESFFVGCEAHESRRKNEKNIFCLGCCTSICTHCAPAHRSHPLLQVRRYVYNDVVRLDDLEKLVDCCFVQPYTINSAKVVFLKPRPQSRPSKGSSNICLTCDRILQEPFHFCSLSCKVDHVLLRGEDLSSILFRFEDSDFAFSRFESLQVDEDDGPIAANATLEQPAQSKEECLPPSHGGGGSGSSGTRKSRFFPRIVLSLNNRRKGAPHRSPLS >Ma03_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1623467:1639107:1 gene:Ma03_g02380 transcript:Ma03_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDASSASPGTLPPNVSAAVNGVAFCGTLSGQLFFGWLGDKLGRKKVYGMTLMMMVICSVASGLSFGHTAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVAIVVSAAFKSRFDAPAYAVDRAGSTVPEADYIWRIILMLGALPAALTYYWRMKMPETARYTALVAKNAKQAAADMSKVLRVEIVVEQNEAATEEANSFGLFSREFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFSAINWIPKAATMNAIEEVFRIARAQTLIALCGTVPGYWYTVAFIDIIGRFAIQIMGFFFMTVFMLGLAIPYHHWTTKGNHIGFVVVYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAMVGAFGFLYAAQSRDPAKRDKGYPAGIGVRNALFVLAACNLLGLVFTFLVPESKGKSLEEMSGENEAEELMESLQLLASSRSVTIVTGDGSDSRRNRVTAIIVVGALGYVFIWWKGWKLSDMMFVTRRGLSDACTRVGKQLELLSSSIAAAKRQISSRMDRVDSNLDECKELAAATKCEVSQLHGDLSLFHTEVESVHRAVQTLETKLGRIEGSQDFATRGVYQLCQFVERLEQGRNQEFLQDSPTTSQRAIELPQTSSVVARTSSLPPLAVESPSPSSTSPSIALESPRILRSSTAVSASGLKELQDISNAIKPGSMKSNMMSGNTSEVSNGTTEEPNGTAPSSSRSIWKSTEEQNSAVPSSSRSMWKLPSISFLSRTRSSAT >Ma07_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7116095:7125935:-1 gene:Ma07_g09540 transcript:Ma07_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHVGMGLSKVLFLVGAGFTGSILLRNGKLSDMLSELQELVKGLEKSAEKAGVDPEHADAVASQVRRLAMEIRQLASARPITVLNGNSGSGNMASLVVPAATLGALGYGYMWWKGISLSDLMYVTKHNMTKAVASMTKHLEQVSAALAQTKKHLTQRIENLDGKLDEQKEMSGEIKKEVIDARGKLDNIGLELTTLQQLVWGLDGKMNAIEDKQNFACAGVMYLCQFVEGKGGKMPDYLVDGPKNAGKRGFLGSGEARSLKGLQHIAEVIESGNFDQTKTEAIFQNDTDSSVIT >Ma04_p12340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9294782:9305951:1 gene:Ma04_g12340 transcript:Ma04_t12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQAGEWDDVERYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAASANGARAPPPTNGPLVGAIPKSGGFPPMGAHSPFQPVVSPPASAIAGWMTNANPQLPHAAVAQAPPGLVQPPNTAAFLKHPRTPTSAPGMDYQTADSEHLMKRMRMGQSDEVSFSSATHPPNIYSQDDIPKSVVRTLNQGSNVMSLDFHPVHQTILLVGTNVGDIGIWEVGSRERMVHRTFKVWDIGTCMPLQSALMKDATISVNRCLWSPDGSIFGVAFSKHIVQTYAFNVSGELRQQLEIDAHAGGVNDIAFSHPNKSLSIITCGDDKTIKVWDATTGQKHYTFEGHETPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHLVEWNETEGAIKRTYSGFRKRSLGVVQFDTTKNRFLAAGDEFMIKFWDMDNTNILTTTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANPDGLRLVRMLESRAFEGPRGSSQQISGNVKPPIVNSLGAVSNVSSPMAAAIEVTDRTLPVVSMSSLAAMDNNRTLDIKPKISDDSEKIKNWKLADIVDSAHLKALRLPDSMTTSSKVVRLLYTNSGLAVLALGSNAIHKLWKWTRNERNPSGKSTASVAPQLWQPSNGILMTNETSDNNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKKITGLAFSQSLNVLVSSGADAQLCMWSIDGWEKKKTRFIQAPTSRAAQLVGDTKVQFHNDQTHLLVVHETQLAIYDSKLECLRSWSPRDALPAAISSAVYSCDGLLVYAGFCDGAVGVFEADGLRLRCRIAPTAYISSSISSSGAVYPMVIAAHPSEPNQIALGMTDGAVHVVEPSDADSKWGVAPPQENGALPAIAANPANSSQVSEPPPR >Ma04_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9294801:9305951:1 gene:Ma04_g12340 transcript:Ma04_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQAGEWDDVERYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAASANGARAPPPTNGPLVGAIPKSGGFPPMGAHSPFQPVVSPPASAIAGWMTNANPQLPHAAVAQAPPGLVQPPNTAAFLKHPRTPTSAPGMDYQTADSEHLMKRMRMGQSDEVSFSSATHPPNIYSQDDIPKSVVRTLNQGSNVMSLDFHPVHQTILLVGTNVGDIGIWEVGSRERMVHRTFKVWDIGTCMPLQSALMKDATISVNRCLWSPDGSIFGVAFSKHIVQTYAFNVSGELRQQLEIDAHAGGVNDIAFSHPNKSLSIITCGDDKTIKVWDATTGQKHYTFEGHETPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHLVEWNETEGAIKRTYSGFRKRSLGVVQFDTTKNRFLAAGDEFMIKFWDMDNTNILTTTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANPDGLRLVRMLESRAFEGPRGSSQQISGNVKPPIVNSLGAVSNVSSPMAAAIEVTDRTLPVVSMSSLAAMDNNRTLDIKPKISDDSEKIKNWKLADIVDSAHLKALRLPDSMTTSSKVVRLLYTNSGLAVLALGSNAIHKLWKWTRNERNPSGKSTASVAPQLWQPSNGILMTNETSDNNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKKITGLAFSQSLNVLVSSGADAQLCMWSIDGWEKKKTRFIQAPTSRAAQLVGDTKVQFHNDQTHLLVVHETQLAIYDSKLECLRSWSPRDALPAAISSAVYSCDGLLVYAGFCDGAVGVFEADGLRLRCRIAPTAYISSSISSSGAVYPMVIAAHPSEPNQIALGMTDGAVHVVEPSDADSKWGVAPPQENGALPAIAANPANSSQVSEPPPR >Ma05_p27920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39032393:39035677:1 gene:Ma05_g27920 transcript:Ma05_t27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNHHWLAFSLSPQELPSSQSHQHHPGDDVSTDCFGLYASFGLVDALNRPHHQTQDWNSKSLDFDGGASELSMLVGSNGSRNNAVEEEPKLEDFLGDACGSRGMYMFSDTSSVTSSARDGALMGNPIGVSTMKTWLRSQPTPYQQANNSSSDTAGATTGQASVVLSMSMSTGSQSGSALPLLVTEVSCGGESSSSDNKQKAIGGSLDSQTGAITAAPRRSVDTFGQRSSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEERAARAYDLAALKYWGPTTTTNFPINNYEKELEEMQHMTRQEFIASIRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIRFRGLSAVTNFDMNRYDVKSILESNTVCGTGKRLRDVASEHAEHAGRRTIAFHRPQPLELSGLPCKQEEDAVVAAAHGLGGLHQLHLGTDTHSFFQPNSALHHLASVDSSWLEHSTGSNSVTYNGVMAGSSGSYQGSEKTFYLSQQSPSGSATWTPAAAQAMAWRSNCMAAAAGHGTPLFTVWDDA >Ma10_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16376791:16380164:-1 gene:Ma10_g05320 transcript:Ma10_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLAVALAVAATLSVFLLPAHGTGSTSTLAIAHGSPSIVCGVLAGVSPRSIQCARADATGALSFPLFPNVSFASVSGGHGSLCGLRADGTAFFCWDAATLTQKRVYNDSTAIEELAVGDVQIAGVDRNRTFVHWWRADSAFPDKLTGSYRSLTSGRGFTCAISTNGSVSCWGPRSGEISVAFVNSNMSTIVAGDSHACGISTAGRLICGGNNEAGQSTPPSDSAFEFGSLALGSSHTCAVRRYNGTVVCWGGGAGGEYTPANTTAFVFIVAGGNLTCGLTVVNFTVLCWGTNRSDAAVPALPLPRVLPGICTSNESSCDCGPFPDSETLCSGSGVICRRCDDRLERTSPPPPSPSPPPSTVSSKRTNKGWLAFAIVGSVGAFAGVCSIVYCAWFGVCRHKKIHNSVQPTITAAGNGASHAGHSSATGAGSIVPSPTTSPSGSRSRTFWRQGSRVMRRQRSGPSSFKDRAEEFSFSELAAATKNFSLETKIGAGSFGTVYKGKLPDGREVAIKRSESGPRTKKFQEKESAFQSELAFLSRLHHKHLVGLVGYCEEREERLLVYEYMKNGALYDHLHPKNGDQTSVLNSWKMRIKVLLDAARGIEYLHSYAVPPIIHRDIKSSNILLDGNWIARVSDFGLSLMGPESGDGHLSMKAAGTVGYMDPEYYGLHHLTVKSDVYGLGVVMLEVLTGRRAIFKDEEGGNPTSVVDYAVPCIVAGEASKVLDARVGQPGPHEAEAVELVAYTAVHCVNLEGRNRPNMTDIVANLESALALCEGSHGSISSGSAD >Ma10_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14672019:14676803:-1 gene:Ma10_g04040 transcript:Ma10_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKTQKTKAYSKRYQVKYKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFSNKDICAQVISASIAGDLVLASAYAHELPQYGLEVGLTNYAAAYCTGLLLARRVLKMLEMDDEYQGNVEATGEDFSVEPAESRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKNDEKQLDAEVHRNYIFGGHVASYMRALMEDEPDKYQTHFSEYIKKGIEPDDIEEMYKKVHAAIRADPSAIKSTKEPPTEHKRYNLKKLTYEERKAKLIERLNALNASGGADDDDEDEDDE >Ma04_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17973776:17976095:-1 gene:Ma04_g17540 transcript:Ma04_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVHRDRNTICVMDASCSLGVSLVDRLLQRGYTVHAAAYNHGDSSGNLKRLSGENTRLKLFQADPFDYHSIVEAMKGCSGLFYTFEPPQDQFYDELMVEIEVRAAHNVLEACAQVETIERVVFTSSVTAVVWSENQEPVTDVDERGWSEPSFCRTFKLWHALAKTLAEKTAWAMAMDRGVDMVAVNAGLVTVPGISVTSPYLKGAQRMYEGGVLVTVDVGFLVDAHVAVYESPSAYGRYLCFSDAVCRPHDAVKLAQLLSPSDAGAPPCDELRVVQQRIQNKKLHKMMVEFDAGKHVDE >Ma08_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2151647:2159241:-1 gene:Ma08_g02860 transcript:Ma08_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSRKRAIRPMVGKKKPRVSGGGGGDSFFESDTKQRGRRISKDDDLESMESDDDLADLDAGQEEEEEGEGVPEETVDEKRVRVAKEHLERIRAIAKRVEEEEEEDEEEGRDEREGKRDSLVAEILQKEQLEESGRVRRYIASRVLSPEPADEFRLLVKHRQSVTAVALAEDDSRGFSASKDGVILHWDIESGKSEKYLWPSEDVLVSHYAKSLQNPARKRSKNVLALAVSSDGRYLASGGLDRHVHLWDTRTRQHLQAFHGHRGPISCLTFRQGTAQLFSGSFDRTIKLWNAEDRTHMDNLFGHQSEILTIDCLRRERLLTVGRDRTMRLWKVPEESQLVFRAPAASLECCCFINDNEFLSGSDDGSIELWSVTRKKPTHLIKNAHAPSLSSNDFSYKEDETIMSNGGNMDGNCSSAHLWVSSVAVCRGSDLAASGAANGVVCLWAVESDNKGIQPLFSYSLAGFINSLAFAKSARFLVAGVGQEPRLGRWGHVRNARNGVAIHPIRLKEDRTTIL >Ma08_p02860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2151647:2159241:-1 gene:Ma08_g02860 transcript:Ma08_t02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSRKRAIRPMVGKKKPRVSGGGGGDSFFESDTKQRGRRISKDDDLESMESDDDLADLDAGQEEEEEGEGVPEETVDEKRVRVAKEHLERIRAIAKRVEEEEEEDEEEGRDEREGKRDSLVAEILQKEQLEESGRVRRYIASRVLSPEPADEFRLLVKHRQSVTAVALAEDDSRGFSASKDGVILHWDIESGKSEKYLWPSEDVLVSHYAKSLQNPARKRSKNVLALAVSSDGRYLASGGLDRHVHLWDTRTRQHLQAFHGHRGPISCLTFRQGTAQLFSGSFDRTIKLWNAEDRTHMDNLFGHQSEILTIDCLRRERLLTVGRDRTMRLWKVPEESQLVFRAPAASLECCCFINDNEFLSGSDDGSIELWSVTRKKPTHLIKNAHAPSLSSNDFSYKEDETIMSNGGNMAHLWVSSVAVCRGSDLAASGAANGVVCLWAVESDNKGIQPLFSYSLAGFINSLAFAKSARFLVAGVGQEPRLGRWGHVRNARNGVAIHPIRLKEDRTTIL >Ma06_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4253849:4260523:-1 gene:Ma06_g05720 transcript:Ma06_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVENGRSVDQGVFLTWEDLWVSAPGRKGGHVSILCGITGFARPGEVLAIMGPSGCGKSTLLDALAGRLASNVSQKGDILINGQKQKLAFGTSAYVTQDDVLMTTLTLPDSMSRAEKRARAEATIREMGLESAMDTRIGGWASKGISGGQKRRVSICVELLTRPRLLFLDEPTSGLDSAASYVVMNRIARLARREAMTVVAAIHQPSSEVFELFHGLGLLAYGRTVYFGPPQMADEFFASNVFPCPSPSNPSDHYLRTINKDFDMDNEDSLAHKSRSASQAIEVLVRSYSSSDISHEVTQQIALMRNTGGSLVKKRSQASFFTQTRVLTRRSFVNMYRDFGYYWLRFAIYIALCLCVGSIYYDVGHSFGSIQARGSMLMFTAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGATAFTIANALSATPYLALISVVPGAMAYYLVGLQRPADHFVYFALVLFVCMMVVEGLMMIVASLVPDFLMGIITGTGIQGIMMLNGGFFRLPQDLPKPVWRYPMHYMAFHKYANQGFYKNEFLGLTFPGNVAGGSPIITGEEILKGVWQVEMGYSKWVDLAILLAMVMLYRLMFLGAVKIAEKVKPKINALYVSGKSMFLVYEYGKMMRDVCLD >Ma03_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17850509:17852222:1 gene:Ma03_g16120 transcript:Ma03_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLEPLDQDLHRCPGLAEAAEEMRAIGKISIPTALTGLVLYSRAMISMLFLGGLGQLELAGGSLSIGFANITGYSVLFGLAMGMEPICGQAFGAKQRKLLGLTLQRTILLLLSASIPIAFLWLNMKRILLWCGQDERISSTAHIFITFAIPDLFFLSVLHPLRIYLRSQNITFPVTYCSLVSVVLHLPLNYLLVVHLKMGIAGVALAMVWTNLNLFICLLLFVLCSGVCKDSWVRPSMDCLRGWSTLLKLAAPSCVSVCLEWWWYEFMVLFSGLLANPKAAVASMGILIQTTSLVYVFPSALSLGVSTRVGNELGANRPAKARTAAVVSLACAVLLGLAAMVFTTSMRHQWGRLFTNDAETLKLTALALPIAGLCELGNCPQTTGCGVLRGSARPTTGANINLGSFYLIGLPVAILLSFVGKMGLPGLWLGLLAAQASCAAIMAYVLAGTDWRMEVERARELTRASNSSPPPPPIAPITADTSGINSVLSRSTSEDKKRAAALEGILCMNGDVKRVSSSETDHLISYV >Ma09_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4106602:4107575:1 gene:Ma09_g06420 transcript:Ma09_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVFDSEIVLHEARAGALAPPGSPSASSDRHCRLHELVQEIFTGPKISVTTAITHSSAVGTPKPAVDIKEREGLERQKEIKENQRTGHPEDRRREKRGRGREGKEERHGRVQAFGVLDRLAVRRPLVGHFHRRGRRLAGRVGAELRVPVLLLLRGRGQSGDGSHTAALHGDTVLRRSDSLLTALSGVAYVHTCEIDMEPSKVVLLHGNELITSTLGL >Ma04_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2312966:2315365:1 gene:Ma04_g02830 transcript:Ma04_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLERPWSLGLGVAWLLLLACAVWALNCAWWRPRRQDRLLRAQGLQGTPYRFLRGDLKEDERLLAQALSKPMPLSHHIIPRVEPFLHAAMNDLGSRFFSWFGPVPRVMIMDPEFVREILSNKFGHFERETLSPVGRALVTGLLSYNGGKWAKHRRILNPAFHVEKLKRMLPAFSTSCGDLVGRWENLVGQEGSCELDVWPEFQYFTGDVISRAAFSSNYEEGRRIFQLQLELAQLVVQAIHSAYIPGYRFLPTPMNNRIKAINKEIRSLLRGIIRKREEAMKTGEASGQDLLGLLMESNIKQFQEHGNKNAGMTIDEVVDECKLFYFAGQETTAILLTWTMVVLSMHPEWQERAREEVLQVLGKDKPEIDGLNRLKIVTMILYEVLRLYPPLLLIQRRTYKTVEIGNVSYPPGTLLAMPIVFLHHDQILWGEDASEFKPERFAEGIAKASRDQVAFFPFGGGPRVCIGQNFALLEAKMGLSTILQRFWFELSPSYAHAPHNAVTLRPQHGAQLRLHKLGVVS >Ma02_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18680224:18681431:1 gene:Ma02_g08270 transcript:Ma02_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALRSCAVLFPSLLSSSKSQFNGSFSLPCANGASRFSMSAEWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFIAIAFVEHQRSMEKDPEKKKYPGGAFDPLGFSKDPAKFQEYKIKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIVIPRSVLP >Ma10_p23740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32515748:32516851:-1 gene:Ma10_g23740 transcript:Ma10_t23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIPGLTNDVARECLARVPFIAFPTLCSVCKLWRQELRDPTFHRFRKSTGIAQPVVVVVQSVPDIAQSARPRPVVYRLVIFEPATGVWSSLPPSPDLPFGPPLFCRLAAVGTELVVVGGWEPRNWATTDKVHVYDFLTGEWRRGSPLPDPLRSFFACAAMHGSDKGCRVVYVAGGHDENKNALRSAFAYDVTGDSWKPLPDMARERDECCAVILRGKFLVLGGYSTEAQGMFSRSAEVFDATAGSWGPVEEAVLEKAAWPVTCVAGEDGRMYQCTGKEVIVRLEGGAWATVAELPGEMRLVLNAVAWEGKLMVMGLERSGGSLVANILDMKATTTMTTPASASWRKVEVPPEYQGRLLGVCCLVI >Ma04_p37090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35154091:35154770:1 gene:Ma04_g37090 transcript:Ma04_t37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATYKSNLLLFLFFVLVTIDLSTSARNLDHKTTTSPATDQTITFIMNDVLGRRHSGAPLRSPQPMSVDLGRTPFRDQTGPASGWLPILASAPALQAGTVTAVDEELAGNVELGSPLSGKAQGIYVTSVEDKSSHIVAMRVTFAGGRGETGDSLSLFGVHQPAQAESHIAVVGGTGRYRDANGIAILQAVASKSSSERESMQHKVLSVHVYLK >Ma06_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16800511:16801910:-1 gene:Ma06_g21970 transcript:Ma06_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYPTQFPTQQSSSSSTSFRSRHPSHRSSNMIGITPVFGSLRGGSSSGFSGLNDEMRARTSHGDAKNEIKPGKKKENKVRKPRYAFQTRSQVDILDDGYRWRKYGQKTVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDESVVVTTYEGVHSHPIEKPNDNFEDMLNQMQIYSNFWS >Ma04_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26740680:26747395:1 gene:Ma04_g24840 transcript:Ma04_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEATTPSKSEKPYSPVQLQEQPAVHPYPDWAAMQAYYGPGVMPPPYFGTSVVPGHAPHPYMWAPQPFIPHFGSPYAGMYPHGVYPHSSLPPGTHGHYPGLAPSSAATKAVVMATPLSIEMPDKASGSRDKGVMTKLKRFDGLTVSVDSRSTNNAAGAYGNELSQSGYNSAAGSSNGSDGSNSAGGSKDQRKRSSEDIQSSDDRKVESNSDPAQGGERSSSSKLSSGVTVAHAKIAGNPVINVPSPCQAQMMSVKTIDTPGRPPTIALVPGCNSVPSELWTQDERSLKRERRKQSNRESARRSRLRKQAENEELAKKVEALSAENRTLISKISQVTESSETLRLENAALLEKLNARSVHAEESSPDKMETDSAPSVVVENFLSMIDKQSSSSLSEKQDDEKSDKSNGKLRQLMDTSPTTDAVAAS >Ma04_p24840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26740010:26747395:1 gene:Ma04_g24840 transcript:Ma04_t24840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEATTPSKSEKPYSPVQLQEQPAVHPYPDWAAMQAYYGPGVMPPPYFGTSVVPGHAPHPYMWAPQPFIPHFGSPYAGMYPHGVYPHSSLPPGTHGHYPGLAPSSAATKAVVMATPLSIEMPDKASGSRDKGVMTKLKRFDGLTVSVDSRSTNNAAGAYGNELSQSGYNSAAGSSNGSDGSNSAGGSKDQRKRSSEDIQSSDDRKVESNSDPAQGGERSSSSKLSSGVTVAHAKIAGNPVINVPSPCQAQMMSVKTIDTPGRPPTIALVPGCNSVPSELWTQDERSLKRERRKQSNRESARRSRLRKQAENEELAKKVEALSAENRTLISKISQVTESSETLRLENAALLEKLNARSVHAEESSPDKMETDSAPSVVVENFLSMIDKQSSSSLSEKQDDEKSDKSNGKLRQLMDTSPTTDAVAAS >Ma04_p24840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26739993:26747395:1 gene:Ma04_g24840 transcript:Ma04_t24840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEATTPSKSEKPYSPVQLQEQPAVHPYPDWAAMQAYYGPGVMPPPYFGTSVVPGHAPHPYMWAPQPFIPHFGSPYAGMYPHGVYPHSSLPPGTHGHYPGLAPSSAATKAVVMATPLSIEMPDKASGSRDKGVMTKLKRFDGLTVSVDSRSTNNAAGAYGNELSQSGYNSAAGSSNGSDGSNSAGGSKDQRKRSSEDIQSSDDRKVESNSDPAQGGERSSSSKLSSGVTVAHAKIAGNPVINVPSPCQAQMMSVKTIDTPGRPPTIALVPGCNSVPSELWTQDERSLKRERRKQSNRESARRSRLRKQAENEELAKKVEALSAENRTLISKISQVTESSETLRLENAALLEKLNARSVHAEESSPDKMETDSAPSVVVENFLSMIDKQSSSSLSEKQDDEKSDKSNGKLRQLMDTSPTTDAVAAS >Ma04_p24840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26740010:26747395:1 gene:Ma04_g24840 transcript:Ma04_t24840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEATTPSKSEKPYSPVQEQPAVHPYPDWAAMQAYYGPGVMPPPYFGTSVVPGHAPHPYMWAPQPFIPHFGSPYAGMYPHGVYPHSSLPPGTHGHYPGLAPSSAATKAVVMATPLSIEMPDKASGSRDKGVMTKLKRFDGLTVSVDSRSTNNAAGAYGNELSQSGYNSAAGSSNGSDGSNSAGGSKDQRKRSSEDIQSSDDRKVESNSDPAQGGERSSSSKLSSGVTVAHAKIAGNPVINVPSPCQAQMMSVKTIDTPGRPPTIALVPGCNSVPSELWTQDERSLKRERRKQSNRESARRSRLRKQAENEELAKKVEALSAENRTLISKISQVTESSETLRLENAALLEKLNARSVHAEESSPDKMETDSAPSVVVENFLSMIDKQSSSSLSEKQDDEKSDKSNGKLRQLMDTSPTTDAVAAS >Ma04_p24840.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26739993:26747395:1 gene:Ma04_g24840 transcript:Ma04_t24840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEATTPSKSEKPYSPVQLQEQPAVHPYPDWAAMQAYYGPGVMPPPYFGTSVVPGHAPHPYMWAPQPFIPHFGSPYAGMYPHGVYPHSSLPPGTHGHYPGLAPSSAATKAVVMATPLSIEMPDKASGSRDKGVMTKLKRFDGLTVSVDSRSTNNAAGAYGNELSQSGYNSAAGSSNGSDGSNSAGGSKDQRKRSSEDIQSSDDRKVESNSDPAQGGERSSSSKLSSGVTVAHAKIAGNPVINVPSPCQAQMMSVKTIDTPGRPPTIALVPGCNSVPSELWTQDERSLKRERRKQSNRESARRSRLRKQAENEELAKKVEALSAENRTLISKISQVTESSETLRLENAALLEKLNARSVHAEESSPDKMETDSAPSVVVENFLSMIDKQSSSSLSEKQDDEKSDKSNGKLRQLMDTSPTTDAVAAS >Ma06_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23143486:23144829:-1 gene:Ma06_g24620 transcript:Ma06_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFLSRSRRSIPKLLHFLLSPAKSPNALSSSTPLFRSHHRLATPGSTSTNPQIPNPFFLLRSFSTTAAANLRSRPLSKRTPTIPSLIPTPFSSLPRIPTLPKTLYPDPRNLSAGPSGGSEPEGGPQVPEFRHQEIVGPTVERDDSALANETRQVLDGLARSIYSLSSAFALLGVAHLGLGAWIVFSVRPPDEVLVQGLAAFGFPFSMAFLVRRALKPIVFFRKMEEQGRLQILTLALQATKNLNLLFIRARVVSFCCIVGISAGSLAALLGR >Ma06_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10570210:10572070:1 gene:Ma06_g15600 transcript:Ma06_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPGHSPRQISTPPSSSSLAARTPSTADGAPSSSSEVAAGNPRKRRAAVLDEDTYVAAIERIVERDFFPDIPRLRDRLDWLEAVRSGDPVLIRDAQLKILERRAAAGEPGAATASARRSRTRTPGSSFFPSSATPFGSTPSIPPAGDGPSGPATHDDPLADVDTSLSLDEFLGRYTSEDNESFSKIMEKVNRKRKEKYAHLLEGEKETPIKTLEDVERDRITDGYGTSGQPVSTLDGWKYTAKNLLMYDPADRGEVPLTEEEQAERLKGLTKEINRSNTRFHGKSASESRPTKDEESVAILYTPVAGTTPTGAAWPFADREAERSKKYDLEDLRKTPNPFFEESTKKGENGYSFVRTPSPAPGVDESPFMTWGEIEGTPLRLDLEEMPAGIGGSSDGPHFRIPLPSSRDVKAHSLAREAARKVRERSKMFQKPPLPSPARGGSASPSVRTLSPAAQKFVRNAIAKSSRSVDETLRASYRGSSPFASTPKEKTRFSRDGSLGSRSPSTRQGSTPPW >Ma05_p28820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39682971:39685988:-1 gene:Ma05_g28820 transcript:Ma05_t28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIYVVYYSMYGHVAKLAEEIKKGASSIEGVEVKLWQVPETLPEEVLGKMGAPPKTDAPIITPDDLAEADGILFGFPTRFGMMAAQFKAFLDATGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTYAGDGSRFPSELEMQQAFHQGKYFAGIAKKFKSSS >Ma06_p33810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34307325:34307849:1 gene:Ma06_g33810 transcript:Ma06_t33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPQLLSLPPSPSSEYERGEAGGDTRPTESVSLSLSLSASRVDLQLRNNGAHLHEVVQPPLR >Ma10_p30640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36936921:36945155:-1 gene:Ma10_g30640 transcript:Ma10_t30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAIRSCGSVQSIIPTSGVTTSLHTILALMKQRWWKDLALTKTLSFARDRVVEAYYWILGVYYEPQFSRARVMATKIVLITSILDDIYDDYSTLEESQLLTDAIQRWDFEAVDQLPEYSKDFFLKLLFAVREFETELAAEEKFRIFYLKEALKSQARAYFEESRWRDEKYVPTLEEHLGVSKMSTTYPLLASAILVGMGEVATKEAFEWAASFPKIVDASSAIGRIMNDITSYEVINPHDFPHSPVVYGLVMW >Ma02_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26361286:26377010:1 gene:Ma02_g20360 transcript:Ma02_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPASTPPPPPPPPPPPPLPSLPKDPPPETDQTAPKDGGAAGACGAREGRASEGDAGVEVESGVEKKAEEGDHPMDGGDAPPPPPPATVFRIRLKQPPSSLRHKMSVPELCRNFSAVAWCGNLNAIACASETCARIPSSTTNPPFWIPIHIVNPERPTECAVFNVKADSPRDSVQFIEWSPRSCPRALLVANFHGRITIWTQPSQGPVNLVRDASCWHCGYEWKQDLAVVTKWLSGMNPYRSLPSNSSTLASTKSTFEEKFLSQQSQNSVRWPSFLCVCSVFSSGSIQLHWAQWPPAQNDAEPKWFSTSKGLLGAGPSGIMAADAIITESGTMHVAGVPLVNPSTVVVWEVMPGPGNSFQATAKINTGCAVPPSLNPPSWKGYSPLSAYLFSLQEYFIAEEKQGKRLTDHEINEVASLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGNPASSFGGQPPMQTVWLTRVNKSIPPTDDLRNPQTCSAKPMISDELNSSDSSVERANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGANFNPVDSYHINVGSTIAAPAFSSTSCCLASVRHDTIKDRMILKIIRVLPPAIGSSQSKVNSATWERAIADRFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSIQHRQQHGPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPATLLLEPWQASGETLSAIDADKMVVEQALVSSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGASGARSMVASPTHSSTSATSQGSQSGVPSASGNSQMQAWVQGAIAKISNNADGGSSTAQNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLIFRRRQSPRFIGNFQKNPDSSLQKAQPVFNGKVDDSSAISRPTIGVAKTEEGQAVRSGQLIVGAKGLEEGSTNKSVRFGSGNAGQGYTSEEVKVLFLILVDLCRRTAALQHPLPASQVGASNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEDWHRRNMFGGPWSDPDDLGPLDNTLKPKLGGSLSSSITNFVEGHNDYSGAQGLWPRKRRSSERDAAFGLKTSVGLGSYLGIMGSRRDVITAVWKTGLGGVWYKCIRCLRQTCAFAQPGDLNPQNEREAWWISRWAHGCPMCGGAWVRVV >Ma06_p37130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36287980:36292147:1 gene:Ma06_g37130 transcript:Ma06_t37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCPKKPGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHYVKKYQRYEKRHSNIAAHISPCFRVKEGDHVIIGQCRPLAKTVTFNVLKVIPAGSTGGGKKAFTAV >Ma07_p26270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33135173:33140544:-1 gene:Ma07_g26270 transcript:Ma07_t26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTLTKGEENTKKLRKPKPWKHNEPITRTHLKQMRDEFWDTAPHYGGQKEIWDALRAAAEADINLAQAIVDSAGIIISNADMTLCYDERGAKYELPKYVLSEPTNLIRDS >Ma10_p31240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37433992:37441231:-1 gene:Ma10_g31240 transcript:Ma10_t31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPQFTITLGRSGQVVKRARPIVDGSHSVDDMPSLGGKRSVRERLESNTANYNSYRRQYENKRQRTDGHDSRFIDKNMRDKQIKTDHRVGRDDLRWKLMKKSLSRRNLDAEGQRDVDLREKLSRNVQASSRSDSRHVTESSASGLGRRIPSTRSADDLLELDSHRKSYSWTSDRQRLGSPDRFISTQRHMPPSRRYEELRHASAIRSIDASRPSSFLTNSGIGDASRSLNFMAKDMSVNANPVVRAPAPGIIEPRSVLKPEEPLTVASLLHSLGLGKYAILFQAEEVDMTALRQMGDSDLKELGIPMVSLCRWHYFLRFLIESH >Ma10_p31240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37433992:37441143:-1 gene:Ma10_g31240 transcript:Ma10_t31240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPQFTITLGRSGQVVKRARPIVDGSHSVDDMPSLGGKRSVRERLESNTANYNSYRRQYENKRQRTDGHDSRFIDKNMRDKQIKTDHRVGRDDLRWKLMKKSLSRRNLDAEGQRDVDLREKLSRNVQASSRSDSRHVTESSASGLGRRIPSTRSADDLLELDSHRKSYSWTSDRQRLGSPDRFISTQRHMPPSRRYEELRHASAIRSIDASRPSSFLTNSGIGDASRSLNFMAKDMSVNANPVVRAPAPGIIEPRSVLKPEEPLTVASLLHSLGLGKYAILFQAEEVDMTALRQMGDSDLKELGIPMVSLCRWHYFLRFLIESH >Ma04_p28670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29686736:29690528:1 gene:Ma04_g28670 transcript:Ma04_t28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGWKRAFCTSVRRDTDAAATRTRVEAGEKHQRSPRSCAKLSFFSGGGGSNPSTPRLALAEAAPGLRCRTKSKTPDNKTVQCDAATSSVPTATTPRSRSPALFHRQAFSAPSSPRTASRFALFKHLSRSRCRICSLSLKASQETPVFTAECSHAFHFSCIAAHVRSHASLACPVCSASWRHAPFLSALRRREDDAPEPGAAGETENWNPNRRTTNGGSRNTSKGGERQLGENRLAAGAAAAVVAAKVYDDDEPLLVVSKPNQGGVMRFNPIPEAANEDKDEEDGEDEETEDVERDDEFYGLLATPRARSASRTDGGVRRRPTSRSRAGGGLVSVMPQAALLSEGRRHRSYVVVLKVKAPPIGSFAPLRAPIDLVTVLNVSQGMTGEKLRMLKRAMRLVVFSLGPGDRLSIVAFSAAAGAKRLLPLRRMSRQGQRAARQVVERLVVVGGGAAARGASVSDALRKATKVLEDRRERNPVATIMLLSDSRQQQPEQEKKGSNHHDYKPLRSPRGTGGGHIGLHPLATTTAATRFAHLEIPIQDAGFGDGGAERPPQKQGQVPSEDAFIKCVGGLVSVVMQDVRLQLVFPSGEFSAVHPCGGGGGGCEVALRGGTSVIRLGDLYADEERELLVELRLPVAPPGGQGGHHRLSVKCNYKDPATQDLAFSAEQILLLPPLLQHHSELGRSASSSASPTTSLRLRNIFVSTRAVAESRRLADLSDYATARHLLSSARALILQSASDGRQDHHLVQNLDAELAALQRRRYQAQHRLHHDQLQEEVLSPSGMRRRRREAPAEVRGEPLTPTSAWRAAEQLAKVASTRKSLNRGGDLHGFENARF >Ma02_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22139077:22150535:-1 gene:Ma02_g13980 transcript:Ma02_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPIKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQVTSGRAKYNASIDCLVWKIRKFPGQTESTMSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >Ma01_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9503355:9507333:1 gene:Ma01_g12980 transcript:Ma01_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 60 [Source:Projected from Arabidopsis thaliana (AT1G42990) UniProtKB/Swiss-Prot;Acc:Q9C7S0] MAGAVDSPKTPDEHLELDLDFDFDIDYLLKTFSDEGVGVLLDPSAGLCVDDCPPPSNVSEEDKYDSPSGEPDGPSDSFSSYVSHLEKFLMEEEEGEAHVAAKEGFEADDFVACLFAEASRDEGGEVATPDSEASKRKEREEEEDEEEPPVAADGEDDDPVNKKRRRQMRNRDSAMKSRERKKMYVKELEMKNKYLEAECRRLDYVFRCCTAENLALHQRLQKERLYDAPTAKQESAVLFMESLLLGSLSWLVSIVCLFLVPVLPNLSPKDINPLERGLADEVVSAKKVTNKRLETNLRSGLVVFRKRCRGMRTRMKNLFVAPAFYSDYLIVP >Ma04_p24210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26271570:26272085:-1 gene:Ma04_g24210 transcript:Ma04_t24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHAPTLALHILLALLSTCIAQSPSASPTSSAAPPASPSIATPPAVATPPVTTPPPVATPPPVATPPPATPTMPPATAPTSSPPSPVAPVAASTSTPTAAPVPAPAAVPPSPSTSPSTSPSPTQSTSPSSGPEATAPLPSSPTGSGSRAYVHGGSKVGPLALAGAVVLLL >Ma08_p33320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43663350:43663616:-1 gene:Ma08_g33320 transcript:Ma08_t33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPLLVAVLCLLLAITGRLHAESCIGVYWVKMATREAYEKLVPPATTTTT >Ma01_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1392169:1395655:1 gene:Ma01_g02080 transcript:Ma01_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLPCLLFVMLHWSNAQPSPGYYPSYRFRPLRGFDRGFNNLWGPEHQSVSGDQSSVTIWLDRNSGSGFKSIRPFRNGYFGASIKLQPGYTAGVITAFYLSNNQAHPGFHDEVDIEFLGTTPGKPYTLQTNVYVRGSGDGNIIGREMKFHLWFDPTAGFHNYGILWNPNEIIFFVDDVPIRQYPRKSAGTFPQRPMWLYGSIWDASSWATEDGKYRADYRYQPFVARFTRFIVRGCAPSAPPRCRPAPSSSYGAGLSRRQFAAMGWAQRHFMVYNYCRDPKRDHSLTPECRS >Ma02_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17113133:17118051:1 gene:Ma02_g06050 transcript:Ma02_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSESQPGGRKSRSSFVATPDRWENSRSSAASFASSAAMGGRKSPVRKRNAFKAFLRAFVSFFSSSSFKPEITSPRPRTTRTLESPYATPSRLSHSSLRESRKGTIHGRDSPWQRETGSTQFTMEEILKATKNFSPSLKIGQGGFGTVYKATLDDGTPIAVKRAKKSTYENHLSVEFQSEINILGQIEHLNLVRFFGYLEDNDERVVVTEYVPNGTLREHLDGFRGNFLELSARLDIAVDVAHAVTYLHMYADHPIIHRDIKSSNILLTENLRAKVADFGFARFGINEAGVTHISTQVKGTAGYLDPEYLRTYQLTDKSDVYSFGVLLVELISSRRPIERKRELKERITIKWALKNFQQGKTIQVLDHNIPHTPANNLALEKILELAFQCLAPSRQSRPSMRSCAEILWNIRKDYRELLSSDLLSQTSHQRNLSISGDET >Ma02_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23572160:23579318:-1 gene:Ma02_g16090 transcript:Ma02_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIMSGPLDQFPKSGLDGFHDEKRDRKSDAEISEDERRTRIESLKKKAINASTKFRHSLIKRGRRSSKVMSVAIEDVRDAEEMQAVDAFRQILILEELLPSRHDDYHTMLRFLKARKFDIEKTKQMWADMLQWRKEFGADTILDDFDFKELNDVMEYYPQGHHGVDKEGRPVYIERLGQVDANKLMQVTTMDRYVKYHVKEFERSFVVKFPACSIAAKRHIDQSTTIIDVQGVGCKQFTKAARELISRIQKIDGDNYPETLCRMFIINAGSGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFLGGTCNCEGGCLHSDKGPWKDPEILKMVQSGVGSCGSQHLATGTEEKTISEDEVVYPKKQDSFCKETILAVDDMYSVSPNILRSVIEHPHMSPVREEEDSSDLQTAPEKFPTPYSNDDYVPIVDKAVDASSNKVPDEKLAIPNGSYALSGTYNGPDGFSNQIVSGVMAFVMGIVTMMRVGRATPRKIANADINDAIPMLKGQMHQSQLPAPTVSAAEFSSVLKRLGELEEKVSVLSTKPAEMAPEKEEMLNAAVMRVDALEAELAATKKALEDALVQQQEILAYIDKKKKRKNKLNPFCWYSRDGKKKSGRLN >Ma06_p22300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17887543:17892187:-1 gene:Ma06_g22300 transcript:Ma06_t22300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYVDILPIFGSSCNTSSAGIVAKDKKYRQLDCSICFLLGAYRAYRAFDILNWIYCYFTEPHCVHWIIGRTMSSSSYQHDLVLFQGQPTVVKISKPYVWNAKLCSIQVCLFYFFEFRYKIRYLF >Ma06_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17887543:17892187:-1 gene:Ma06_g22300 transcript:Ma06_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYVDILPIFGSSCNTSSAGIVAKDKKYRQLDCSICFLLGAYRAYRAFDILNWIYCYFTEPHCVHWITWISGLAQTFLYVDFFYYYLNSWKNNVKLKLPA >Ma04_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19563380:19574989:1 gene:Ma04_g18090 transcript:Ma04_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSWRRVSFADGRQCKGGGRFRDMLLVLFVLCGVSCLIWLLWGLDDYGISERKVRVRDLWNESDQMLLDQHNLNKDQLQALALLISSLDQGRIYECMNKSVADEILSSSLFHALAELQSETGHFLNQQHWDVETISDKKESVQVTIQHHAMFLKTLFNILCLVVGIVIFLVGFVLGRFSKSSDQFQQQKHYKPQNSKGSGKWSKKFLVIGVLLGLLVAIWIFVSMNADITERRKETLVNMCDERARMLQDQFNVSMNHVHSLAILVSTFHHGKQPSAIDQKIFAEYTARTSFERPLMSGVAYALRVLHSEREEFEKQHGWKIKKMETEYQSLVKDDYNPEKLDPSPVQDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATGKGVLTSPFHLLKSNHLGVVLTFAVYNTNLPPNATAEERIKATVGYLGASFDVPSLVEKLLHQLASKQTVVVNLYDTTNVSAPIRMYGPDVAGAREIHISYVDFGDPTRKHEMHCRFKHKPPLPWSAITMSIGVAVIVLLIGHIFHAALNRIEEVEDDYRQMRELKGQAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDATQQDFAMTAQSSGKALITLINEVLDQAKIESGRLELEAVPFDLRDVLDNVLSLFSDKSQAKGIEMAVYVSEQVPEILIGDPGRFRQIITNLVGNSVKFTEMGHIFVSVDPVEEVKSAKNVLCETLSGFHVVDKQKIWENFTMFKSSTEGNDAINLMVTVEDTGVGIPQDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSKPGIGSTFSFTAVFRQGCKNAGEIKRHYSDPTSSDFRGMRGLVADGRSIRARILKYHLQRLGIHIDIVTDQDSALCTILDPCSTSGRGRLDMVLVDKDAWGEGEGILFPHLLLDHRRNGTVMPQESPKMFLVATSLSPSEVRDLKSAGYVDSILKPLRLSMIAACLRKALGVGHKRQHLKRQLVPLKSLLSGKSILVVDDNAVNRKVAAGVLKKFGALVTSADSGKEAIRMLQPPHNFDACFMDVQMPEMDGYFSLSFLIIYKMDIFSGLAIYIFYAA >Ma08_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27102130:27104093:-1 gene:Ma08_g17800 transcript:Ma08_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRSDHEMNLTPSHEDSSLGAKELPFAALLVSRLKERTRDAEIETAQLSNDLQMARGEAKELSLKIEESNAKAMVHKLKLQNLLGDTKRILHEANHSHYAQVLTELEGVKKQSRKLQLEISSALDTKASAEREVEDSSTKSRLFVSYIDEIKEEIAIATVEEALISLAGIEADRELKEVEARREAEVDRFRKSMEKTKSTINDLHQDVNYAGKLQKKLAVTASDVNVLQNEIELIRAMDKNCHHNSSENSSSSLQSTDAELETAKRELLSLKQGGFQLMAEMDAVRDVLQQVAYEKEHSSNLKKRTDSSLAHLNHKLNKAMSKLEIALLAEKRTQAVVSNISAALQQMQTDIGAAKTETQRLTEEIVAVRMEIVKTKDHSVLMEERLEAATDELKAAKASEAVALEKLRTVTESAMSDRAMISALYGSTVTISKHEQAAEAWGDDGKKLCRAKKGNVYRKAVQDREPVKKIVRPSDRSMQQQWQGDLSL >Ma02_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19163389:19167695:1 gene:Ma02_g08960 transcript:Ma02_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMDKNCFGEGDPVFDLESGVNSVNKEQQEAKDTVFSAGQDKGVLGRVWNGFVAISGSIKGERSVKLYHSTSNTVELPLTKEEALVDKRLGQEAKVGLSEKKIGVEKTKKKGCKKPPKPPRPPNSPPLDAADQKLMKEIAEVAMMKRARIERMKKKMKNAKLTHSNGNFWAFIITILFVIVIIWQGVISRGSSNFSFHGSPESSVRARGGFISIQFYKNASKNVLHASTSASPNNVSG >Ma11_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26353734:26356120:1 gene:Ma11_g22640 transcript:Ma11_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTVGAVNRVPLSLHGSSSGAPVPSSAFFGSSLKKVNSGPSHGRNSTATFKVLAADLDESKQTSKDKWSGLAYDVSDDQQDITRGKGLVDSLFQAPMGDGTHIPVMTSYEYISQGLRQYNLDNTKDGFYIAPAFMDKLVVHITKNFMALPNIKVPLILGVWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCTGIFRTDNVPTEDIVKLVDSFPGQSIDFFGALRARVYDDEVRKWVGDIGVDKVGKKLVNSLEGPPTFEQPKMSLDTLMEYGNMLVKEQENVKRVQLADKYLSEAALGDANADAMKTGSFYQ >Ma00_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36084677:36085208:1 gene:Ma00_g04290 transcript:Ma00_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNQYRLNQNPIQIQFSTYGQKKCKNFQEHLEHFISKQKSCFQVVLNRLLINQYLIDWSKAINKQHLSKSLRFFLSKSLPFLFKTLPLFFMSIGC >Ma06_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2387361:2389556:-1 gene:Ma06_g03200 transcript:Ma06_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGSDASAAAAERELPLSQKAVKVLGNVCFSAFVLSVLVFTVIAVTYQPPDPWLDSPKAIISKSLAATLPNATFRTDDSVLPTGEDLVPVPPRNDTAADAANDTIPADPTNDTNPADPVNNATAADGASVLLPSLPPPASDCDADAPINCSDPRALVAIRRFNARVFRRSIVFLSYEKPVPGSASGECDAAWRFRNRREKSWRRYRDYRRFRLVPADNCTYEVVSAGKFRSGANAAPKPLPSRRSSSPSSPAQILDAEINDTIPTLGSDSDFRKGKYLYYTRGGDYCKGMNQYLWSFLCALGEAQFLNRTLVMDLNICLASTYNPSGRDEEGKDFRFYFDFEHLKESASLVEESEFLRDWRRWERSSGRKTGGKITVHKVPTYKVTPMQLKKDKSTIIWRQFDGPEPENYWYRVCEGRAAKYIQRPWQAIWKSKHLMNIVSQIAGRMDWDYDAVHVVRGEKARNKELWPNLDADTSPEALVQKLLKVIRQWRNLYIATNEPFYNYFDKLRSHYKVHLLDDYKEMWGNTSQWYNETMVLNNGRPVVFDGYMRVAVDTEVLYRAKNRVETFNNLTRDCKDGINTC >Ma02_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22766087:22766332:-1 gene:Ma02_g14840 transcript:Ma02_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAIKVVHKILARVCLPDSVTYSAVVNGFCHTGKIDYSRKMQRHMQKNGCKPITVTYNFLAKWTLQNWILIRGTGNVEQE >Ma01_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4987977:4991716:-1 gene:Ma01_g06890 transcript:Ma01_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MAAVLEGLGVPVLETILSKVAFSDAAAAACVSTTLRSVASDDALWRHFCARDLAVCDQPLDPDGNPCHSFKEAYRRWFESFGMYPFPLVRRAKECWSSIKSWMAVNLPEVNDTLRKGASEAEIKSAEKILGVQLPIPTKVLYRLCDGQQTLTPDLVENKLLAPLGVIGGYEFYDYIVNVHLLPLRLVVTETKRIARQLGFLTRSKSIVVAASYYTEKFFFLNCTNGQLYVGTRNLREDGEMMPCVPQSLIRPAVDIGHDMPQDALLLWLEEHCRRLQSGMIKTRELKDCRSICIFPETPPYCSVAVTNGVQVRSSAIFVPEASEGNGGDYYYSYSIRMSLLPEGCLLDGTYYSSCQLCSRHWIIRSRDIIVSDVGGEAVIGKFPLLIPGKEEFVYQSCTPLSGAPGSIEGSFTFVPGRISKPEGRHFDAKVAPFILEEPEYIF >Ma09_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17830577:17832334:-1 gene:Ma09_g18360 transcript:Ma09_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLPTPSESVLTLVLVNTAFTVAILKELLRSTLHLLRLRAPPPPPPPQAEPAGGGTAEPTLTDQFRSRSRPVRFGSALGRRRAPAERPADCRVCLARFEPESMVNRLPCGHLFHKACLETWLDYHHATCPLCRTHVLLGEEPSLSSSVSTFSWPWF >Ma09_p18360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17830577:17832214:-1 gene:Ma09_g18360 transcript:Ma09_t18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLPTPSESVLTLVLVNTAFTVAILKELLRSTLHLLRLRAPPPPPPPQAEPAGGGTAEPTLTDQFRSRSRPVRFGSALGRRRAPAERPADCRVCLARFEPESMVNRLPCGHLFHKACLETWLDYHHATCPLCRTHVLLGEEPSLSSSVSTFSWPWF >Ma06_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6033173:6034265:-1 gene:Ma06_g08540 transcript:Ma06_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMELSLGGSSLSLLSESTNSSPTRSEANPRRKRKQTWDDPTIHTSIDLQLNDPLPLDWEQCLDLQSGRIYFLNRKTLRKSWSRPKEQKLDLELNIATFASSENKTTSASPEKPTKSSSSGNMVAAVCVNCHLLVMLCKSSPSCPNCKHNSLPPPAQPISGKLETVKSLETLSLLH >Ma06_p37320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36408610:36417225:1 gene:Ma06_g37320 transcript:Ma06_t37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDFRLLRPLVHLLVPLFIHWIAEEMTVSVLVDVTTRALCPGQSSCAKAIYINGLQQTADVIEVSKRSTTFGWITGLFSVSHVLGNILARFLPEGWIFEVSIFLLVCATLYMKIFLIETVKVAPRQSENRSCSFIVLEVLQDRWNSMKDTIFVFSSSTTLKRISFISFYYELGMSGISSILLYYLKSAFGFNKNQFSEILLMVSFGSIFSQILVLPHINSLMGEKGVLCIALIASIAYGVLYGLAWAPWVPYLSASFGVIYVLVKPSTCAIISKAVISSDQGKAQGLIAAVQSVASLLSPVVMSPLTSLFISSDAPFDCKGFSILVASISLIISLGHASLLHSENSNKLPEHEPGQYGDETVEAPLLTQP >Ma06_p37320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36408610:36417225:1 gene:Ma06_g37320 transcript:Ma06_t37320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDFRLLRPLVHLLVPLFIHWIAEEMTVSVLVDVTTRALCPGQSSCAKAIYINGLQQTVVGIFKMVVLPILGQLADEYGRKPLLLITISTSIVPFAILAWDVSRAAVYIYFVLRTISYIISQGSILCISVAYAADVIEVSKRSTTFGWITGLFSVSHVLGNILARFLPEGWIFEVSIFLLVCATLYMKIFLIETVKVAPRQSENRSCSFIVLEVLQDRWNSMKDTIFVFSSSTTLKRISFISFYYELGMSGISSILLYYLKSAFGFNKNQFSEILLMVSFGSIFSQILVLPHINSLMGEKGVLCIALIASIAYGVLYGLAWAPWVPYLSASFGVIYVLVKPSTCAIISKAVISSDQGKAQGLIAAVQSVASLLSPVVMSPLTSLFISSDAPFDCKGFSILVASISLIISLGHASLLHSENSNKLPEHEPGQYGDETVEAPLLTQP >Ma09_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7586382:7589272:1 gene:Ma09_g11190 transcript:Ma09_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRRLSTKASRQDIHKAFKPSARINRSHFAYQRRILFVHARFPKKYPPLLHVLVSSDSCRGGTGFKGSSDAFGEVDAFRALHIVSLLHVKEVLGICLRICGVFYSR >Ma02_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28768648:28770525:1 gene:Ma02_g23990 transcript:Ma02_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGGRGRRGTSSRETSPPVRARLSYSQQQEQQRLTRPQRKVQVIYYLSRNGQLEHPHFIELPHLPNQQLRLRGYLLPHPPRCSLSSHLTSCSLLLTDVMERLTLLRGKGMPSLFSWSCKRSYKNGYVWNDLAENDVIYPVDGVEYVLKGSEIIPGAYERFRHVPANGRQPKPLPVSHKLHVELEEDEEEEDEQSEEEATEEEEARRGKRTAVGTGYTRCSRGVSTDEIERVEHRTTPTELPLDDSSPPSSTSSDKPPTHAAGGASRRPEDTDQAAEPGQTRNSVLLQLIACGSAALKGRSCPSSGTSKATASASSAPCGGSGSGRHRGMVSRLASRGIEDDELRCFSENPRLCHPLVEDKEYFSGSIVEGSRAPTEPSLKKSSSFNEERISKLGIAEGKLEVEESRGGGVKGKCIPGRRRSSGRQQ >Ma11_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5778778:5780219:1 gene:Ma11_g07230 transcript:Ma11_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRFSERQPIGTAAQSQDKDYKEPPLAPLFEPGELSSWSFYRAGIAEFVATFLFLYITILTVMGVVKSDTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFRKGLYESNGGGANVVAAGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNKDHAWDDHWIFWVGPFIGAALAAMYHQVVIRAIPFKSRP >Ma07_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1666345:1679184:1 gene:Ma07_g02160 transcript:Ma07_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANSVLPKGGGKSPSPGRLASVYSEVQTDRLHHPLPLPSVLKGPFKLLDGPPSSAAGNPDEIAKLFPNMFGQPSAKLVPTGSVPADLPQGLKIGVVLSGGQAPGGHNVISGVFDYLQERARRSTLYGFKGGPAGIMKCKYVELTPEFIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAMKLDLDGLLVIGGDDSNTNACLLAENFRQKNMKTRVIGCPKTIDGDLKCKEVPASFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNIAIIGEEVAAKKQTLKNVTDYITDIICKRAKLGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDKEGLWKKKLQQQSQLLFEFLPQAIQEQLLLERDPHGNVQVAKIETEKMLISMVETELEKRKSEGTYAGHFKGQSHFFGYEGRCGLPTNFDAAYCYALGYAAGALLHSGKTGLISSVGNLDAPIDEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFRKFASMRDEWALNNRYISPGPIQFIGPGSNNVNHTLLLELGAQA >Ma10_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30729898:30732881:-1 gene:Ma10_g20660 transcript:Ma10_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSIEIPDCYYPKEPSLVCPSSSTPRHTLYLSNLDDQKFLRFSIKYLYAYKKAVGWEALRTSLSKVLVDYYPLAGRVRTSAEDGEKLEVDCNGEGALLAEGYVDLTVEKFLEGSRRPNRSWRKLLYKVEAQSFVAVPPLIVQMTHLSCGGMILCTAINHCLCDGIGTAQFLHAWALITSKPNANLPTNPFHGRHILKPRDPPQIAFSHPEFSRPLPQVGPDSDYITQILLSQPLVPVSLTFTTSHILHLKQQCVPSLKCTSFEVLASHVWRAWIKSLDPPASLHIKLLFSMNMRQRLKPELPSGYYGNGFVLACAETSAEELIASNPHYSVKLVQEAKERLNDDYVRSMIDLLDARKVKPELSSSLVISPWTKLGLEDLDFGEGRPLHMGPLASEIYCLFLPVIGDLQAFTVLMSIPQAVADRFQHYCTKDLDDEEENGIKEDGGRGYA >Ma06_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1004932:1009384:-1 gene:Ma06_g01250 transcript:Ma06_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDFCDGIGIARILNATLVLPKFEVAAYWNESSGFADVFDVDYFIQQTQGFVEVVKELPEEITSREPFRVDCSKRKGQFDYVEGVLPALLEHRYISLTPAMSQRRDRYPVYAKAALCQGCYNALRLNKALEAKGSELFKAIPKPFLTLHLRFEPDMVAYSKCDYPGLSSTSLAAIEAARGDRKSWTGDAARSWRNRGKCPLTPKETAFILQSLKIPTNTTIYLAAGDGLLEMEGFTSVYTNVYTKSSLLDSKDFNLMHGNTKAALDYYVSINSNAYIATYFGNMDKMVSAMRAANVHYRTLALSRRAFANLTALGLEGVELANALWKSHREDFVMGRGTALPDCFCESTL >Ma04_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22521169:22530914:-1 gene:Ma04_g19860 transcript:Ma04_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYDDVDMGYEDEPPEPEIEEGVEEDQENNNEDMPDDVVGAEGEEKEQERVERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Ma04_p35050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34000943:34006254:1 gene:Ma04_g35050 transcript:Ma04_t35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTEGSEIHMGDLGGAASAKAEDGEIWTFSVRAFNSPLPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCRCNDPGLLLPRANLSFWRNGRLVQERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYIAARCRDNEIAIIAKIESIDSLKNLEDIVLASDGAMVARGDMGAQIPLEEVPSAQQKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVKQRADALMLSGESAMGQYPEKALTVLRSVSLRIERWWREEKHHEAMELPDIASSFSDKISEEICNSAVKMANNLGVDALFVFTRTGHMASLLSRCRPDCPVFAFTSSTSVRRRLNLLWGLIPFRLSFSGDMESNLNRTFSLLKARGMIQSGDLVIALSDTLQSIQVMNVP >Ma02_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22641997:22642827:1 gene:Ma02_g14660 transcript:Ma02_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNCMGLMVVVAVSSSVALVVLQIHKRLASDFIKKLESEIGRERNRPKKKVRFAPDVMEPSSNNKEYRRRRMMSSL >Ma03_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27175006:27186661:-1 gene:Ma03_g22440 transcript:Ma03_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVGSESLRRSVCEKWEKVWTKRALMGLVLGQIVSLLITSTGFSSSELARRGVNAPTSQSFLNYLLLATFYGAFVIRRKRPLQLSWYYYLVLAVVDVEANVIVVKAYQYTSLTSVMLLDCWSIPCVILFTWVFLKTKYGFRKFAGVAICVAGLVMVVFSDVHASDRAEGGPNPVKGDIFVIVGSTLYAVSNVGEEFIIKKADRVELMAMLGAFGAVVSAIQISILECSELKDIKWTAGAVLPFLGFAMAMFLFYSTVPVILKICGATLLNLSLLTSDMWAVLIRIFAYHQKVDWMYFIAFAAVAVGLVIYSVQRKKDEEGAQVAEASDERQKEKDEEAVVLDNLTRGSIVAVEGQRSEDVKQQPSITSLPK >Ma09_p16230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11578767:11595398:-1 gene:Ma09_g16230 transcript:Ma09_t16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSDLKDLEDDSDGLSLRVQFPVDVASLRPSQLEELVKGVAFDLSDRELFCVEEQDVFDRVYSLVRSFAVLPPSSKFNLVETLRSNLSVLLPNVDSLSRVPHFSSPSPSSPGAAADEVPDIATRIASHRNALKIYTFFLLNIALLEESSSSYSSGVSSKVVQGRKKNPTYAWNWEAQRSRIINLVANSLEINLTLLFGSNDIDESYLSFISKCTFSLYENQALLKDADTREGLGRIIGTIATKHQRTAQSCASILHLIHKFDYTVPHLAELVAAAEKKYGDGCLAVALIREVGRTDPKDYVRDGVGAENIGRFLVELADQSPKFMSTNVGVLVPHFGGESFKIRNALVGVLGRLVAKAYKDVEGDVSSKCLRLRGKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHAISIGLWNEVAVVASGRLEDKTAMVRKSALNLLITMLQHNPFGPQLRVAVYEATLEKFKEKLLVMEPSNTSDDTSEADNLHAGSSVEQGESVSDSCLTFNEEQQGEAAAIPEIGNLEQTRALVASLEAGLRFSQCVTSLMPTLVQLLASSSATDVENTILLLMRCRQFQIDGSDASLRRMLPLVFSQDKSIYEAVESAFVTIYIRKNPMETAKNLLDLAIDSSIGDLAALEFLISSLMSKGEISMSTISALWDFFIFNVNGVVAAQSRGALSILCMAAKSNPGILGSHLQDIIDIGFGHWAKEEPLLARTACVALERLSEEDKDKLRSSSSKVFAALHSLVAGFWLPDHIWYAAVDKAISTIYSVHPLPEAFAADIVKKYLNSVFGCTASDEMLNDVSLGPSNFMSTVPTAKLGRFLFIISHIALNQLVYIESCIRSIQKQKLKKEKLKVEKQQVNGGSAEVEMQGINAELGLGASEDAVIDSLSEKAEKEIVSGGSTEKNLIGFCAPFLSRLCRNLNLMQKFPELQASTMLALCRLMIIDSDFCESNLQLLFTVVESASSETVRSNCTVALGDLAVRFPNLLEPWTENMYARLRDPSESVRKNAVLVLSHLILNDMMKVKGYIYEMALRIEDEDKRISSLAKLFFNELSKKGSNPIYNLLPDILSRLCNQNIKEEDFYNIMQFLINSIKKDRQMEALVEKLCNRFSGVSDVKQWKHIAYCLSQLTFSEKGLKKLVGSFKAYEHSLCDDLVMDHFRSIVSKCKKFAKAEVRSCIEEFEEKLNKIHTAKKEQETTARNAQVHQQKVGSLEGFLIKEKSVVGNTSEDKNVSAKEGSPEAKDTISEESCLSSVMRECEADGMEVQSPQTFHRVRGKSKDQQHKITKTMVP >Ma09_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11578767:11595398:-1 gene:Ma09_g16230 transcript:Ma09_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPSDLKDLEDDSDGLSLRVQFPVDVASLRPSQLEELVKGVAFDLSDRELFCVEEQDVFDRVYSLVRSFAVLPPSSKFNLVETLRSNLSVLLPNVDSLSRVPHFSSPSPSSPGAAADEVPDIATRIASHRNALKIYTFFLLNIALLEESSSSYSSGVSSKVVQGRKKNPTYAWNWEAQRSRIINLVANSLEINLTLLFGSNDIDESYLSFISKCTFSLYENQALLKDADTREGLGRIIGTIATKHQRTAQSCASILHLIHKFDYTVPHLAELVAAAEKKYGDGCLAVALIREVGRTDPKDYVRDGVGAENIGRFLVELADQSPKFMSTNVGVLVPHFGGESFKIRNALVGVLGRLVAKAYKDVEGDVSSKCLRLRGKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHAISIGLWNEVAVVASGRLEDKTAMVRKSALNLLITMLQHNPFGPQLRVAVYEATLEKFKEKLLVMEPSNTSDDTSEADNLHAGSSVEQGESVSDSCLTFNEEQQGEAAAIPEIGNLEQTRALVASLEAGLRFSQCVTSLMPTLVQLLASSSATDVENTILLLMRCRQFQIDGSDASLRRMLPLVFSQDKSIYEAVESAFVTIYIRKNPMETAKNLLDLAIDSSIGDLAALEFLISSLMSKGEISMSTISALWDFFIFNVNGVVAAQSRGALSILCMAAKSNPGILGSHLQDIIDIGFGHWAKEEPLLARTACVALERLSEEDKDKLRSSSSKVFAALHSLVAGFWLPDHIWYAAVDKAISTIYSVHPLPEAFAADIVKKYLNSVFGCTASDEMLNDVSLGPSNFMSTVPTAKLGRFLFIISHIALNQLVYIESCIRSIQKQKLKKEKLKVEKQQVNGGSAEVEMQGINAELGLGASEDAVIDSLSEKAEKEIVSGGSTEKNLIGFCAPFLSRLCRNLNLMQKFPELQASTMLALCRLMIIDSDFCESNLQLLFTVVESASSETVRSNCTVALGDLAVRFPNLLEPWTENMYARLRDPSESVRKNAVLVLSHLILNDMMKVKGYIYEMALRIEDEDKRISSLAKLFFNELSKKGSNPIYNLLPDILSRLCNQNIKEEDFYNIMQFLINSIKKDRQMEALVEKLCNRFSGVSDVKQWKHIAYCLSQLTFSEKGLKKLVGSFKAYEHSLCDDLVMDHFRSIVSKCKKFAKAEVRSCIEEFEEKLNKIHTAKKEQETTARNAQVHQQKVGSLEGFLIKEKSVVGNTSEDKNVSAKEGSPEAKDTISEESCLSSVMRECEADGMEVQSPQTFHRGVSKCSTRKVKGSATQDHKDNGSVRRRIRSTRR >Ma11_p23290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26674124:26682340:1 gene:Ma11_g23290 transcript:Ma11_t23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKKNKKKKGNQNKVAEDATSNSEKVAVQESNNGSGLEENCNAHNSTDVHGQNVGVSESDMEHDKNKIYEENFAKLQEQVRELEYEKALWFQKQANLEEKIKVLQEEVYLCAQKEAFVEEKLKNVESIKDSLVLTEISLKERIARVEEASTALDTQVKELQGLRNTFFEENQQLMEKVTGLEKKIQSIEERAPSHENLTETMTKLPEDDFSAQQTEASSALFGDQTSGSTELSEKVNEPYIIPEKHGGHPSGTDLNGVEMLDRASSPSHYVSDFREKIKDSGETVHPSVQLQENFGANNGINDLDSETVNRPGPPPSDEPRGSEPATVPFDEIQIHKEDFTGVQNNEIAEAVPLSDAPLIGAPFRLISFMAKYVSGADLVKQNSSRSGH >Ma11_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26674134:26682340:1 gene:Ma11_g23290 transcript:Ma11_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKKNKKKKGNQNKVAEDATSNSEKVAVQESNNGSGLEENCNAHNSTDVHGQNVGVSESDMEHDKNKIYEENFAKLQEQVRELEYEKALWFQKQAIYAPLKANLEEKIKVLQEEVYLCAQKEAFVEEKLKNVESIKDSLVLTEISLKERIARVEEASTALDTQVKELQGLRNTFFEENQQLMEKVTGLEKKIQSIEERAPSHENLTETMTKVNEPYIIPEKHGGHPSGTDLNGVEMLDRASSPSHYVSDFREKIKDSGETVHPSVQLQENFGANNGINDLDSETVNRPGPPPSDEPRGSEPATVPFDEIQIHKEDFTGVQNNEIAEAVPLSDAPLIGAPFRLISFMAKYVSGADLVKQNSSRSGH >Ma11_p23290.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26674134:26682340:1 gene:Ma11_g23290 transcript:Ma11_t23290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKKNKKKKGNQNKVAEDATSNSEKVAVQESNNGSGLEENCNAHNSTDVHGQNVGVSESDMEHDKNKIYEENFAKLQEQVRELEYEKALWFQKQAIYAPLKANLEEKIKVLQEEVYLCAQKEAFVEEKLKNVESIKDSLVLTEISLKERIARVEEASTALDTQVKELQGLRNTFFEENQQLMEKVTGLEKKIQSIEERAPSHENLTETMTKLPEDDFSAQQTEASSALFGDQTSGSTELSEKVNEPYIIPEKHGGHPSGTDLNGVEMLDRASSPSHYVSDFREKIKDSGETVHPSVQLQENFGANNGINDLDSETVNRPGPPPSDEPRGSEPATVPFDEIQIHKEDFTGVQNNEIAEAVPLSDAPLIGAPFRLISFMAKYVSGADLVKQNSSRSGH >Ma11_p23290.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26674134:26682340:1 gene:Ma11_g23290 transcript:Ma11_t23290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKKNKKKKGNQNKVAEDATSNSEKVAVQESNNGSGLEENCNAHNSTDVHGQNVGVSESDMEHDKNKIYEENFAKLQEQVRELEYEKALWFQKQAIYAPLKANLEEKIKVLQEEVYLCAQKEAFVEEKLKNVESIKDSLVLTEISLKERIARVEEASTALDTQVKELQGLRNTFFEENQQLMEKVTGLEKKIQSIEERAPSHENLTETMTKLPEDDFSAQQTEASSALFGDQTSGSTELSEKVNEPYIIPEKHGGHPSGTDLNGVEMLDRASSPSHYVSDFREKIKDSGETVHPSVQLQENFGANNGINDLDSETVNRPGPPPSDEPRGSEPATVPFDEIQIHKEDFTGVQNNEIAEAVPLSDAPLIGAPFRLISFMAKYVSGADLVKQNSSRSGH >Ma11_p23290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26674134:26682340:1 gene:Ma11_g23290 transcript:Ma11_t23290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKKNKKKKGNQNKVAEDATSNSEKVAVQESNNGSGLEENCNAHNSTDVHGQNVGVSESDMEHDKNKIYEENFAKLQEQVRELEYEKALWFQKQAIYAPLKANLEEKIKVLQEEVYLCAQKEAFVEEKLKNVESIKDSLVLTEERIARVEEASTALDTQVKELQGLRNTFFEENQQLMEKVTGLEKKIQSIEERAPSHENLTETMTKLPEDDFSAQQTEASSALFGDQTSGSTELSEKVNEPYIIPEKHGGHPSGTDLNGVEMLDRASSPSHYVSDFREKIKDSGETVHPSVQLQENFGANNGINDLDSETVNRPGPPPSDEPRGSEPATVPFDEIQIHKEDFTGVQNNEIAEAVPLSDAPLIGAPFRLISFMAKYVSGADLVKQNSSRSGH >Ma11_p23290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26674134:26682340:1 gene:Ma11_g23290 transcript:Ma11_t23290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKKNKKKKGNQNKVAEDATSNSEKVAVQESNNGSGLEENCNAHNSTDVHGQNVGVSESDMEHDKNKIYEENFAKLQEQVRELEYEKALWFQKQAIYAPLKANLEEKIKVLQEEVYLCAQKEAFVEEKLKNVESIKDSLVLTEISLKERIARVEEASTALDTQVKELQGLRNTFFEENQQLMEKVTGLEKKIQSIEERAPSHENLTETMTKLPEDDFSAQQTEASSALFGDQTSGSTELSEKVNEPYIIPEKHGGHPSGTDLNGVEMLDRASSPSHYVSDFREKIKDSGPPPSDEPRGSEPATVPFDEIQIHKEDFTGVQNNEIAEAVPLSDAPLIGAPFRLISFMAKYVSGADLVKQNSSRSGH >Ma10_p16350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28098048:28103285:-1 gene:Ma10_g16350 transcript:Ma10_t16350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASESDRSGRKSSKDHDRDRDSSKNRHRDPERDRDRDHKHRHHHHSGSKNSDDKRQRDDDRRRRSRDLSPSDTEADTRRERSSSRPPDSVDRERSEERRHHSSYKRKEYGDEEGLEKSGKRARVSDGERDVKRERRRFDDRAAEDSNGDHKEARRDERSSRSGREEGLKVREEARGEKRAKDGNLSDGEDKKKQRKEGRRFSDTVKLEKKEADLDDKLLHKKEVKDEHRSKDRVKEETLESAQEERMSAVNSKNRIVHSQEENDFLGNLHLEKRSSTASVTAQESHTLASKITTNSAASHHLLPSKVSSFTTTNENEGVSIRSDEVPGKSSTDGTATSVAAKSGSLSLDALAKAKRALQMQKELSEKLKKIPVLNKVVSSSTDNSQVASDKDGGKISLVGAFQSGPSGSMSIASATDAQTMQNVPEVAPSENISAAVGVGVLPGLTNIRNIEAVKRAQELAAKMGFRQDPEFAPLINMFPGQLSADMAVPQKPAKAPVLRLDAQGREIDEHGNVINMPKLTNLSTLKVNINKQKKESFQIIKPDLDAIASSTSHFDERMGINKTKLLRAKRMSFQFVEEGKWSKQAEIIKFKSQFGEAQAKELKIKQNQLAKAKAEPESNPNLIAVGVRLKKEKQKDEIPEIEWWDKPILPTGSYGDDVEHKLNMEKITIYVEHPLPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKERDRQEMIRQGLLEPPKPKVKMSNLMKVLSSEATQDPTKLEMEVRSAAAEREQAHVDRNIARKLTPAERREKKEKKLFEDPNSLETVVSVYKIKDLSHPQTRFKVDVNAQENRLTGCAVISDGISVVVVEGGKKPIKRYGKLMLRRINWAAAVGNEEEGAEEADGPANSCVLVWQGSVAKSSFNRFLVHQCRSEAAARKVFSDAGVPHYWDLAINFSEELL >Ma10_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28098048:28103285:-1 gene:Ma10_g16350 transcript:Ma10_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASESDRSGRKSSKDHDRDRDSSKNRHRDPERDRDRDHKHRHHHHSGSKNSDDKRQRDDDRRRRSRDLSPSDTEADTRRERSSSRPPDSVDRERSEERRHHSSYKRKEYGDEEGLEKSGKRARVSDGERDVKRERRRFDDRAAEDSNGDHKEARRDERSSRSGREEGLKVREEARGEKRAKDGNLSDGEDKKKQRKEGRRFSDTVKLEKKEADLDDKLLHKKEVKDEHRSKDRVKEETLESAQEERMSAVNSKNRIVHSQEENDFLGNLHLEKRSSTASESHTLASKITTNSAASHHLLPSKVSSFTTTNENEGVSIRSDEVPGKSSTDGTATSVAAKSGSLSLDALAKAKRALQMQKELSEKLKKIPVLNKVVSSSTDNSQVASDKDGGKISLVGAFQSGPSGSMSIASATDAQTMQNVPEVAPSENISAAVGVGVLPGLTNIRNIEAVKRAQELAAKMGFRQDPEFAPLINMFPGQLSADMAVPQKPAKAPVLRLDAQGREIDEHGNVINMPKLTNLSTLKVNINKQKKESFQIIKPDLDAIASSTSHFDERMGINKTKLLRAKRMSFQFVEEGKWSKQAEIIKFKSQFGEAQAKELKIKQNQLAKAKAEPESNPNLIAVGVRLKKEKQKDEIPEIEWWDKPILPTGSYGDDVEHKLNMEKITIYVEHPLPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKERDRQEMIRQGLLEPPKPKVKMSNLMKVLSSEATQDPTKLEMEVRSAAAEREQAHVDRNIARKLTPAERREKKEKKLFEDPNSLETVVSVYKIKDLSHPQTRFKVDVNAQENRLTGCAVISDGISVVVVEGGKKPIKRYGKLMLRRINWAAAVGNEEEGAEEADGPANSCVLVWQGSVAKSSFNRFLVHQCRSEAAARKVFSDAGVPHYWDLAINFSEELL >Ma08_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3995647:4003707:1 gene:Ma08_g05910 transcript:Ma08_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALRNPKSRRLLRFSSVSPLLYSCCRGAIVGSEPKILTDAILGSESAGALWSRRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLSEEGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIVQGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPIRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEEVEVLGLMQGGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGTLKTYTKFEAEIYVLTKDEGGRHTAFFSNYRPQFFMRTADVTGKVELPESVKMVMPGDNVTATFELISPVPLEAGQRFALREGGRTVGAGVVAKVIS >Ma06_p33970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34390228:34395082:-1 gene:Ma06_g33970 transcript:Ma06_t33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPFGHHGHHHHRREEEEEREEHRYPPPDHHHHRREEEEEREEHRYPPPGHHHDDGQAPPPSSFFSGGGGEEYGRPAYPPVQHVSHEGGPGFDQPNPCPPAPGYYGGGGGEHFHHHRPPYSSGTHHLGGHEGWAEEPSRPRQPTVRIFTKAEENYSLSIRDGKVILAPNDPSDDYQHWIKDLSYSTKVKDEEGFPSFALINKVTGEALKHSIGATHPVRLVPYNPDYLDESVLWAESRDTGEGFRCIRMVNNIRLNFDAFHGDKDHGGVRDGTILVLWEWLKGDNQRWKIVPYCKSLYLGGRPPPPRTVRIYTKAEPNYSLSIRDGDVILAPNDPQDDYQHWYKDMRYSNQVKDEEGFPSFALVNKVTGEAIKHSTGAKNPVRLIPYNPDYLDESILWSESNDTGRGYRCIRMVNNIRLNFDAFHGDKDHGGVRDGTTVVLWEWLKGDNQRWKIVPQ >Ma05_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1034884:1042038:-1 gene:Ma05_g01690 transcript:Ma05_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLTVVAEAADYSFAVEYNGPPLPYEIPRAVPIDVNRIPLAAVAPPSAVLSDLPVVHPLPSPFKKPPPPLPAPTLIPPLPAASPTSVIENHDDMDGPDADVSGALGSSGFPDISTELSEVVESSGAVGFSDDLGYDATSSNEMVPNRLSTESALSSELSFRSSASGDEDEDEAVATHAKKALLVTFQESGQSMSPAIGLTPRTRSEELEMPIKKGACYRCLKGSRFTEKESCLACDARYCSGCVLRVMGSMPEGRKCVSCIGYPILESNRERLGKSSRVLKRLLSSREVQLVMKAEKDCETNQLRPEDICVNGKKLTLEEMVLLKSCSCPPKLKPGLYWYDKVSGYWGKEGHKPDRIITPHLNVGGTLMPNASNGNTGILINGREITKIELQMLKWAGVHCAGSPHFWLNADGTYLEEGQKNIKGQIWGKPIMKLLCPVLALPFPSKVANPSGEEVNKLFNRAVSECFDVKALQKILLVGHHGSGTGTIFKQAKFLYRSVPFTEDERQDIKLMIQTSIYNYLLILLEGREWFEEESLNEQRENQQLDSSGDDISDKQKKATEFSLSPQLKAFSDCLLKVVASGKLEDFFPNAPLVEELWNDSAIQATYKRLNELQSLPSIASYFLKQVVDISRIEYVPSDIHILYADGITSSDGLACTEFHFPPLACAGSSIDDDDRQETMFRYQLIRVHSKGLGENCKWLDMFDDVQIVVFCVAITDYDEYYEDSNGVVMNKMMESRRLFECIASHPTFEQKDFLLILSKFDLLEQKIDMSPLTSCEWFDDYSPVVSRHPQSKTSRGVPIGATKAQQAFQYIAVKFKRLFFLMTRRKLYVVQANGLDSDSVDAALRYSREIIKWEEDRFVGGTLESMYSTEPSSFSQ >Ma09_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32450076:32457839:-1 gene:Ma09_g21450 transcript:Ma09_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRCSARFRAAISTSFHRRLHAQPPPGSRLDEAAAGPLCCCLESRSVVRFRGPDTIKFLQGLLTNDVLRLDPKLLPAGEGGDSHTSYIPTPNLNHRSPPPVYAALLTPQGRFLYDFFLYRPPGADEKLNRTGSGPGSEEPEEPFTLLADVDAAVMDELLDCFKKYRLRSKVEIDNVAREYSCWQRFGSNLCGKAPTSDEPEAVSVGWGKGIDQAGVAAALGNDLGWRWFKDPRLDCLGFRGIFPANSTPPLVEADKEVNEQHYLLWRLQKGVPEGSTEIPKGDAVPLEYNLVGLNAISFDKGCYVGQELIARTHHRGVIRKRLFPLKFVTDNGEEMQQEVSPNSDIVDYASNKKVGTVTTALECHGMGLVRLDDGLKQSSDLRIKGREDLTVRVIRPDWWPVEWTQLQEQRTVAA >Ma09_p22420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34371956:34379965:1 gene:Ma09_g22420 transcript:Ma09_t22420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMKKRASPPTLWLGLQLILWLSVLACTLALIGIGSRSPSPAALVEVPFSGTPKIAFLFLARSNLPLDFLWHAFFQNAEEDKYSIYIHSEPGFVFDRTTTRSPFFFGRQIQDSIKVVWGEATMIEAERLLFAAALKDPANRRFALISDSFLDKREGRYNPKMFPTIPKDRWRKGSQWTILVRKHAQIVVADTVVFPEFRRFCKRRIEPVLGGKQNHKEATQKEHNCIPDEHYMQTLLSMNQLEDELERRTLTYTSWNQSIDAQEKNNWHPKKFEFADASLQHITEIRNINHIYYETEYRTEWCQCNVIFVPCFLFARKFSRSAAMRILKEGLIGPFDAGAVLFTSS >Ma09_p22420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34371920:34379965:1 gene:Ma09_g22420 transcript:Ma09_t22420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMKKRASPPTLWLGLQLILWLSVLACTLALIGIGSRSPSPAALVEVPFSGTPKIAFLFLARSNLPLDFLWHAFFQNAEEDKYSIYIHSEPGFVFDRTTTRSPFFFGRQIQDSIKVVWGEATMIEAERLLFAAALKDPANRRFALISDSCVPLYNFSYIYNYVMSSSKSFVDSFLDKREGRYNPKMFPTIPKDRWRKGSQWTILVRKHAQIVVADTVVFPEFRRFCKRRIEPVLGGKQNHKEATQKEHNCIPDEHYMQTLLSMNQLEDELERRTLTYTSWNQSIDAQEKNNWHPKKFEFADASLQHITEIRNINHIYYETEYRTEWCQCNVIFVPCFLFARKFSRSAAMRILKEGLIGPFDAGAVLFTSS >Ma09_p22420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34371956:34379965:1 gene:Ma09_g22420 transcript:Ma09_t22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMKKRASPPTLWLGLQLILWLSVLACTLALIGIGSRSPSPAALVENAEEDKYSIYIHSEPGFVFDRTTTRSPFFFGRQIQDSIKVVWGEATMIEAERLLFAAALKDPANRRFALISDSCVPLYNFSYIYNYVMSSSKSFVDSFLDKREGRYNPKMFPTIPKDRWRKGSQWTILVRKHAQIVVADTVVFPEFRRFCKRRIEPVLGGKQNHKEATQKEHNCIPDEHYMQTLLSMNQLEDELERRTLTYTSWNQSIDAQEKNNWHPKKFEFADASLQHITEIRNINHIYYETEYRTEWCQCNVIFVPCFLFARKFSRSAAMRILKEGLIGPFDAGAVLFTSS >Ma09_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34371956:34379380:1 gene:Ma09_g22420 transcript:Ma09_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMKKRASPPTLWLGLQLILWLSVLACTLALIGIGSRSPSPAALVEVPFSGTPKIAFLFLARSNLPLDFLWHAFFQNAEEDKYSIYIHSEPGFVFDRTTTRSPFFFGRQIQDSIKVVWGEATMIEAERLLFAAALKDPANRRFALISDSCVPLYNFSYIYNYVMSSSKSFVDSFLDKREGRYNPKMFPTIPKDRWRKGSQWTILVRKHAQIVVADTVVFPEFRRFCKRRIEPVLGGKQNHKEATQKEHNCIPDEHYMQTLLSMNQLEDELERRTLTYTSWNQSIDAQEKNNWHPKKFEFADASLQHITEIRIVDRK >Ma05_p16850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19082382:19086903:1 gene:Ma05_g16850 transcript:Ma05_t16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLSRCTSGKGKGKGMGMGMGNGGGDGSGRTHVYLNVYDLTPVNNYLYWFGLGVFHSGIEVHGMEYGFGAHDYPTSGVFEVEPKSCPGFIFRRSVWLGTTDMSHSEFRLFIEDVAGKYHGDMYHLIIKNCNHFTDEVSRHITGKPIPGWVNRLARLVSGSFFNCILPENIQVTAVGQLPLHPAYSEDGSDSCASSFVEASEEEDADHHLLKKTNSDFAHANDKTLRLGRDVM >Ma05_p16850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19082379:19086985:1 gene:Ma05_g16850 transcript:Ma05_t16850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLSRCTSGKGKGKGMGMGMGNGGGDGSGRTHVYLNVYDLTPVNNYLYWFGLGVFHSGIEVHGMEYGFGAHDYPTSGVFEVEPKSCPGFIFRRSVWLGTTDMSHSEFRLFIEDVAGKYHGDMYHLIIKNCNHFTDEVSRHITGKPIPGWVNRLARLVSGSFFNCILPENIQVTAVGQLPLHPAYSDGSDSCASSFVEASEEEDADHHLLKKTNSDFAHANDKTLRLGRDVM >Ma05_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19082384:19086985:1 gene:Ma05_g16850 transcript:Ma05_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLSRCTSGKGKGKGMGMGMGNGGGDGSGRTHVYLNVYDLTPVNNYLYWFGLGVFHSGIEVHGMEYGFGAHDYPTSGVFEVEPKSCPGFIFRRSVWLGTTDMSHSEFRLFIEDVAGKYHGDMYHLIIKNCNHFTDEVSRHITGKPIPGWVNRLARLGSFFNCILPENIQVTAVGQLPLHPAYSDGSDSCASSFVEASEEEDADHHLLKKTNSDFAHANDKTLRLGRDVM >Ma05_p16850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19082388:19086903:1 gene:Ma05_g16850 transcript:Ma05_t16850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLSRCTSGKGKGKGMGMGMGNGGGDGSGRTHVYLNVYDLTPVNNYLYWFGLGVFHSGIEVHGMEYGFGAHDYPTSGVFEVEPKSCPGFIFRRSVWLGTTDMSHSEFRLFIEDVAGKYHGDMYHLIIKNCNHFTDEVSRHITGKPIPGWVNRLARLGSFFNCILPENIQVTAVGQLPLHPAYSEDGSDSCASSFVEASEEEDADHHLLKKTNSDFAHANDKTLRLGRDVM >Ma01_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1350167:1353744:-1 gene:Ma01_g01970 transcript:Ma01_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLFQGLPPPSTASPPQLPEQPAASSSKRDSPPPPAPAPILKSALKRDKPSDPQDAAPQKRLRFRTSVDATEAQVIEAMQKIASHIKNPAKFSKASKLAVQLIQAGSVKSGTSSQFFDILEAAMASPSVCNEASLRMDYQALFSAVQDVAECFSKQQKNQLATWILQAVVANDLYTDDSFVYSKAAGKIKDAISSLPHATVDDDKEEAAALALAENKAVGKDTAELGTTTSATLSETKIHVSDPFGLDVLLPSKSKKDERARGKDVTTSNQKEEEAEEPKRFIKSQREVLLLCLEIAARRYKVPWAQTVIDILVKHAFDNIDKFTARQRDAIEKLWASIREQHIRRKQGKSVTGKLDMNAFEYLQEKYAREKISIRHAVGGGGERRAEQWLG >Ma04_p35670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34340635:34341409:1 gene:Ma04_g35670 transcript:Ma04_t35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTVDFHMNKKILEEVAIIPSKRLRNKIAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDESAIKVDHIEIDGQTFDMLAALGMADLPGVEKQAVASAPPAYSSRPVGGYGRRL >Ma09_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25840364:25840885:1 gene:Ma09_g19660 transcript:Ma09_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDHKEEDDDVKLQLGLVPLMPPEPARVFTCIYCHKKFVSSQALGGHQNAHKLERSLAKRNRELSLIARTRASQIHVAAPGHGSTSLEGRVERKEEQAATVTQWRGGDSGFDGKGGIADDIDLSLRL >Ma06_p25200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25473069:25475932:-1 gene:Ma06_g25200 transcript:Ma06_t25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSCQVVDVDDDEYAKLVRRMNPPRVVIDNDACDHATVIRVDSVNKHGILLEVVQVLTDLNLVITKAYISSDGSWFMDVFNVTDRDGNKIWDEEIVSYIQKSLGSDACFFPKLRSSAGVMPSNEHTFVELTGTDRPGLLSEICAVLANSKCNVVRAELWTHNARVAAVVRVTDESTRRAVEDPEKLSMIKELLCNVLKGSRTASMTVSMGHTHTERRLHQMMFSNRDYERIGATQGDDKSWPQVAVMDCSEKDYSVVIMRSKDRPKLLFDTLCTLTDMQYVVHHGTVDSGEEEAYQEYYIRHVDGHPISSEAERQRVIDCLEAAIERRATEGLELVLRTEDRIGLLSDITRIFRENGLTITRAEISTEDGKAFDTFFLSEMSGNPVEAKTIDSISRQLGHMIVRLKQNPLLASKSPEVAGAAGFLFGNLFKASFQSFWLVGSSYS >Ma07_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9120634:9123413:-1 gene:Ma07_g12230 transcript:Ma07_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMNINTVRGNKISLALIILICTTLVIWACNKVAILGIPHGQLDILSPVVPLRRSMNSTDGPNQHQSADEDLSVVEKVEINPSKETKPILSLSPIGSPARNLSREGGLDTERKTIPLMNVCNYAKGKWVADNRRPLYSGHGCKQWLSPMWACRLMKRTDFSYESFRWQPQGCEMPEFSGSKFLKRMQNRTIALVGDSLGRQQFQSLMCMITGGRKNPRVKDVGKEYGFTKARNAIRPSGWAYRFPETNTTILYHWSASLCELEPLNVSDPATNYAMHLDRPANFLRKYLHRFDVLVLNTGHHWNREKFRANGWEMYVDGKKNTKAKLAAMGDAKNLTIHNVIKWLDSRLPHLPHLKAFLRASSPRHFVNGEWNSGGSCDNTVPLSVGSEVSQDGSGDPVAEAAVRGTEVKLLDITALSLLRDEGHISRYGIKASQGRHDCLHWCLPGVPDTWNEILYSQI >Ma10_p26310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34063935:34065051:-1 gene:Ma10_g26310 transcript:Ma10_t26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRLLDPAPFDHIGLVGEEDHLSNTLHNERIVES >Ma02_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14459271:14463003:-1 gene:Ma02_g03090 transcript:Ma02_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVALAVASSFSRPSTAAAKLVPRRGLAGGGDHHGPPKINMWEDLLSPSKWKEEHFVLTSLTGWALLFYGGYKLFGGKKDTKEVVGEKLVH >Ma06_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14696891:14702421:1 gene:Ma06_g20570 transcript:Ma06_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPFDLRRPARRRWLSIVWVWFVAAGLGVLLLLVVLSREKLPRSDPPLVRQKLYRSSFPEGLNITDEMLSPYSFTRQIVDQISLAKALVVISKDSNNLQFAAELSAQIRKCQALLSSAATTGTPLTTEESEAAIRDMALLLYQAQQLHYDSATMIMKMKGQFQSLDEKMKSEAEKSTKYGQIAAEELPKSLYCLGIRLTMEWFRNSNFQRELSEGRHRMEKLRDNGLYHYCVFSDNILAASVVVNSTTMNSKRPEMIVFHLVTDEVNFAPMKAWFSMNSFRGATIEVQKVEDLSWLNASYVPVLKQLQNSDTQNYYFSGSGDNRTPVKFRNPKYLSMLNHLRFYIPEVYPALQKVVFLDDDVVVQKDLSELFTININGNVMGAVETCMETFHRFHKYLNYSHPLIRAHFDPDACGWAFGMNVLDLREWRRKNVTGIYHYWQERNADHTLWKLGTLPPGLLAFYGLVEKLDAKWHVLGLGYTNVDMSLIKNGAVLHYNGNMKPWLKIGMEKYKGLWDKYVDYSHPLLQQCFVHG >Ma02_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22892536:22893409:1 gene:Ma02_g15010 transcript:Ma02_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGRKNSTVSPCAACKLLRRRCTQECVFAPYFPADEPHKFAIVHRVFGASNVSKLLQEIAVQHRGDAVSSLVYEANARVRDPVYGCVAAISSLHRQIQALQAQLAVAHAQMAHLRTQNAACLDRVGLGHGQISMGGTGCSTSTGSSSSLSPKHHTLDTVALDQPGSSQPPVW >Ma01_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8159545:8160345:-1 gene:Ma01_g11310 transcript:Ma01_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIPSSSHSEAELKAAEALLKLSRQDEVPRQRRFICNMCKREFGTRQSLGGHRASHRGQKGCYERARERRENRRQDGTKRKRKRGREAAGPPSEADPVDAAAPPPPAIHREEARKHGPAADGSRTRKRMRKETMEMSDAAKPIETAAAAEASQERRYICSWCNKQFSSWQALGGHRTNHKGQKGCSEKAKEATEEITRKRKKKETESSEGKPIEAVAAATPATSSPTVGSSVSTSTITAEGTEEMTRGKKRRRLDLNLSPPASD >Ma05_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24050304:24051050:-1 gene:Ma05_g18520 transcript:Ma05_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSYLFGVPIRKTLFGFILLLSLAPSSVETQISDQPTAYQMLEQYDFPRGILPQGVRRYVLNQDGSFEVYLSGDCEFKVTGGYLLHYKRKITGAVASGSLTNLRGVSVKVLFLWFGIDEVVRSGDEIDFYVGPLSASFGLSNFEECPRCRCGFDCSAAMVSDS >Ma03_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10653936:10656958:-1 gene:Ma03_g13520 transcript:Ma03_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKDAAAFPLVAVAVDKDKSSQAALKWALDNVVTKNQILILIHVNTKASPGNQEDAAAAVHELLVPFRCFCQRKDVNCVDIVLDDTDVTRAVVDFVAQAAIEKLVVGASRSGFIRSFRGADISTGISKSVPDFCTTYIVTKGKLSTTRNAIRAAPTVSPLRAKLQNQANRRASNSTDHRHGDAAFGTHKLRKQTQTIESPINRKTRLGTAIFSGDSDASFASSSRTSTDRSLAQRFSYMSDGTDRSSESVQSPTKSFGAYSYGTGFSSLSHDSSSSEASVRDYVEAEMNRLRLELQQTMDMYSSACKEAIVAKQKAMELHLWNMGEQQRLEEARVAEEAAFAMVGKQKAKCKAALEAAEVAKLIADSETRKRRDAEMKLVGGYEEDEALDSCSAADLRYRKYTIDEIEAATDRFAKNRKIGEGGYGPVFRCYLDHTEVAVKVLRPDAAQGRSQFQQEVEILSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPPMPWQYRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDQNYVSKISDVGLARLVPPSVADSVTQYRMTSAAGTFCYIDPEYQQTGMLGTKSDVYSLGVLLLQLITGRPPMGLTHHVERSIERGTFAEMLDPSVRDWPVEEALSLAKVALRCVELRRRDRPDLATIVLPELNRLRAIGVDNMRPFELDNSILSSPVHSEVSVQDLRSGPLHLQSGYESPRSQRGELSIFGRR >Ma02_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21502745:21507713:-1 gene:Ma02_g12900 transcript:Ma02_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGDGATSCGAGASSVETRQRRSSSNVGKRTLLSRLLLIDFPDTSDLSTGILWWAIGKYYTADLSIWTAHLDEQFSIRSMPIYKQLDALAMVFDMSDEYSFTALQGLVHQAYYIIYRILCLDDKCLLVDGDIQGIDRLYGALSAHMWPGMILKSGNKTTNPSLLSYIYSLFFYLLPVILELNPSCSSAGMFLTVSAYFFLLRTNLNSLFFVFVSLPMTEFTDDEIKYESLSHEQKPRSNFEQEELESDVVNTTKLDEDAYDGLADLQRLTYEIGNIHDNFRLMPDSQRREMDPKLAMKTAATFGG >Ma03_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25178345:25178992:-1 gene:Ma03_g19920 transcript:Ma03_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPSSNILARSPAEPRATRHRVRTRTRTRTSSLSGSASSLSSSSAATSPSASPSPRSSSVPFSWEQRPGIPKAPPLPPAPAAADTDAHLLLPLPPPARSQSDLSTPRKKRPSPRAPASPDPFAAALALCAKSLPDVGDEMEELWGATDAPRRVASMTDRFRLFDLYGSCKATCSVVEATVRLPRARSFGSLARRPGSTTIAGSRLAYKHVPN >Ma03_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28009292:28018766:-1 gene:Ma03_g23460 transcript:Ma03_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASMASAACVDEISAAGLFLRAVAMVPAAHYLAASLLVLLSLFYNLFEFHFLRDLLHGFRGDPVVLTFNPASKIYEGVVSKCRIFHRRYLPTPWLASPHLQTAFLHFFGRPPCVTYRRQLFSVRDGGTIALDWLLASDVAGGYSNMDKVISKDDTAPLVIVIPGLTSDSASPYVKHLTYVMAKQGWNVVVSNHRGLAGISITSDCFYNAGWTEDVREVINHLHQEYPKAHIFAVGTSVGANILVKYLGEDEDNTPVTGAASICSPWDLVVCDRFLTRKPVQRLYNRALTMGLKDYAKLHKPVLARLANWEGIRKSCSVREFDSHATCLVGKFETVDTFYRCCSSVNFTGNIVVPLLCISALDDPLCTKEAIPWDECRANKNIVLATTAHGGHLAYFEGLAAQSLWWVEAVREFLSVLHTSPFIHGQKKAPTHGLHSLLESSIDKVPFVNVMEDGMVAAMTSDGAHDEHKEDLHNPINHDMKPENEVAYGDQSGDRKEVDADLQQSAVSLGQGVEEESYDNAIPDVTSSVTGSMNQLSRRYRTSLWLLTYIAIVTTWPLVGSVLHNIFRKKLKGFLPAAWIRR >Ma04_p32810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32708017:32711095:1 gene:Ma04_g32810 transcript:Ma04_t32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNVYVLFYLLAFLCIQPNVCDGALTSGCIPAERSALLEFKRGLKDPTNRLSSWVGEDCCKWEGVTCSNHTGHVVKLDLHNPHPFSDFGGEPYNNWTLGGELRPSLLGLKHLKYLDLSMNDFGGINIPEFMGSFHRLQYLNLSRAGLGGLLPHQLGNLSNLQYLDLYNDLDPNFVVPVREFSIGDALWISHLSSLKHLNLKSVNFQNGTHWLEALNMLPSIVEIYLSLCEIGSVPLSLPHVNFTSLSVLDLSDNLIDSTIPSWLSNISGLEHLDLSENDLQGNIPPTFGNLASLKELNLAFNPLQGGIPTSFKNLCKLQNLILPGINISQDLLGLDESFSGCIKMSLESLDLSGTNISGQLPEWLLQLRKLKVLNLGWNLISGPIPLSLGQLASLQELYLGVNQLNETIPESVGRLSQLVTLDLQHNNLEGVMSEAHFGNLTELKYLCLSSNSLALKVESNWLPPFRLESLQMDSCKLGPEFPAWLQSQINIFEIDMSNAGIIDAMPNWFWSLISTAEYVSVSGNQISGHVPNLLHLNNLDWLDLSSNYFEGPLPYFPPGMYFLDLSNNLFSGTISLDIMNMPYLIYLSLSKNNLSGQIPFSVCQLQALQVLDLSKNTLSGVLPNCWNNSSGIVIMDFSSNNISGVIPKSICSMASLQSLHLNNNSLYEELSLSLKDCTKLVILDAGHNDLKGEIPTWIGESLTSLRFLNLRSNMLVGDIPPNLSRLSSLQFLDLADNELSGTIPRSFGNFTAMKVIENFSSSTTDQIRYKEHMFITTKGNTLSYDESLLLMNILDLSDNNLFGGVPEEVTGLFGLFSLNLSGNHFTGEIIENISKLQQLESLDLSRNNFSGTIPSGLVALTYLAHLNLSYNNLSGEIPLGNQLLTFTDPSIYIGNPGLCGFPLNQSCKDSETTQGQSNSDDGDENEMIWFYTSMALGFVVGFWAVWGTLILNKNWNLYYFRFIDNMFDKVYVFTILKVSMIRKRCCSQQE >Ma02_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24767514:24769860:-1 gene:Ma02_g18170 transcript:Ma02_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRSGRPASGSIVSELEGTLLKDVDPFPYFMLVAFETSGLVRFVVLLLLWPLLRLLDLFRLGQLGLRLMVFVAVAGVQESEIEAVARAVLPKFYVEDVDVAAWNAFSAFERRAVVTRCPTVMVERFAKDHLGAVEVVGCELEVSRSGYATGFLKKVEKSLAEQVMAVCGKEKADVGLCTSASAQSFSFLCKELHRMPVSADPVHNEEEQRRPPPVIFHDGRLVRRPTPFTALLTILWIPFGIVLAFVRIAVGLTVPIWVIPYIARPFGGALIVRGRPPPPVSGSTTGVLFVCTHRTLMDPVVLSTVLGRKVPAVTYSISWLSEILSPIRTVRLSRDRQVDAERIRSELAKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKAMDPIFFFMNPRPIYEVTFLNQLPWEATCSAGKSPHDVANYVQRILAASLGFECTNYTRKDKYRMLAGNDGTVNFDLASPLVERAKEVLRFLRWTT >Ma09_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36379387:36384328:-1 gene:Ma09_g24760 transcript:Ma09_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRPLHSSVAAAAAYKPHPKARLLRRLNPISSMAADPPPPQLESEEDPDERFCAALDLCSFSDPPPLSPTEPIGRPLSGEIRVDRAWAHWNRLGSPKLVVAPMVDNSELPFRMLCRKYGAEAAYTPMLHSRIFSETEKYRSMEFTTCKEDRPLFVQFCANDPDVLLEAAKMVEPYCDYVDINLGCPQRIARRGNYGAFLMDNLPLIKALVQKLSQNLQVPVSCKIRIFPNLQDTIVYARMLEEAGCALLAVHGRTRDEKDGKKFRADWNAIKAVKDAIGIPVLANGNIRHMEDVYNCLDHTGADGVLSAESLLENPALFAGFRTMEPKENNADEIKDNGGLDQADLVVEYLKFCEQYPVPWRMIRSHVHKMLGDWFRIHPQVREELNAQSKLTFEWLHDMVTRLKELGGGIPLYTEEANTAARAATCNS >Ma08_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:702452:709293:-1 gene:Ma08_g00740 transcript:Ma08_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQQNDFDRLLFFEHARKTAEAAYASNPLDADNLTRWGGALLELSSFQSGDDSIKMVKDAISKLEAALEVNPSKHDTLWCLGNAHTSHAFFTQDRETAMGYFDKATQCFQQALELAPKKKKSDLKYDILGWVILAVGIVVWVGLAKSHGPPPPPR >Ma02_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21650569:21653428:-1 gene:Ma02_g13100 transcript:Ma02_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVSLSLHFHRPPFPTCYCSVYVTLTHSLFSPHTLPLMAFPCPHRLLILIVTLIAAAEADLSATGGAKKKTFVFRVDHRAKPSVFPTHAQWYASAAFSGGGGATPLPLLHVYDTVFHGFSASLVPAHAAVLSAHPSVLAVFEDRLRRLDTTRSPQFLGLRNQDGLWSDSDYGSDVVVGVLDTGVWPEHRSFSDRNLGPVPSRWRGACETGPGFPVSLCNRKLVGARFFSKGHDASFVGGGGGINQTVESRSPRDADGHGTHTASTAAGRHAFRASMAGYAAGIAKGVAPKARVATYKVCWKGSGCLDSDILAGFDRAVADGVDVISVSIGGGDGIASPYYLDPIAIGSFGAVSRGVFVASSAGNDGPTSMSVTNVAPWLTTVGAGTIDRTFPADVILGDGRRLSGVSLYSGKPLTGSKYPLVYPGKSGGLSASLCMDNSLDPKMVGGKIVICDRGSSPRVAKGHVVKDAGGVGMILANGLSNGEGLVGDAHVLPACAVGYSEGDVIKAYVASAAVPTATIQFRGTVLGVKPAPVVASFSGRGPNGLTPSILKPDLIAPGVNILAAWTGAAGPTGLDSDSRRTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAIRSAMMTTGRLDDNRRKSMTDESTGKPATPFDIGAGHLNLDRAMDPGLVYDIATQDYVAFLCAIGYDPRTLQVITNAPTACPAKRPAAEDLNYPSISVTFPAAAGAAANQSRTVRRTATNVGSMAEAVYKARVEMAEGQGLAVAVTPRKLAFTAGARRQRFKVSVTATAEGDGGPRFAYLVWSDGSHEVRSPIVVSWIQPL >Ma07_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2664995:2665786:1 gene:Ma07_g03450 transcript:Ma07_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFFETSNGKHFSIEIGFFDTVLEIKEKIQKYEGFPVSTQKLVFNGQELEDDRDTEHYDILQNSRIHLALSDQDSAPPPAKLDAHPRVNVVVNVTVSKRQLTLDADATDTVGQLKARIHDLEGIPTSRFMLFHGGAELQDHQTLADCGVADDPEVSLVVRPFPPSPPSSSAPLQGSKKLRLMVLPKCGTKKVPVDVNASDNVSELRKELQRLYGVFNFHLPTDGYFFIYKQNVMDEDKSFRWHDVKQGDTIEIFNGSVTGGS >Ma10_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25618386:25620916:1 gene:Ma10_g12570 transcript:Ma10_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKKLQDLLPGIINQLGPDNLENLKRLAEHLQKQAPGTGPAAKQDDDEDDVPELVPGETFEAAADENQAS >Ma09_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:462713:464899:1 gene:Ma09_g00680 transcript:Ma09_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNKRIQLSEYESATRESKPTRSDAIEMHGNASSAESASEVPFEEDNVSSTKNGSDGMEEEEGISRLCESSSGNCKPENDREVLETLTAGDSSESDGAAPERKPMCDFSDHRSDVCDMEGDIRVIGKNLSSVMLVTPSDHKGSRDRNESWQIRPYPRKFDDSAMAKVRALDLTSPHGDREAPRCTVNHAVPGILFSTGGHSGNCFHDFADVLIPLFQTAGPFQGQVQFIIADRQGWWMHKYRPYLMKLSSYDIIDYDNDDRVHCFKHAVVGLRAERDLMIDPAGAPEGYSIMDFVRLTRSAYSLERDRAWAAAGEPPGKKPRLLLIARGGTRRFMNLEEVVGMAEGVGYEVVASEPDFFDVGRFARVVNSCDVMVGVHGAGLTNFVFLPTDAIVIQVVPLGKLDWIATNFYAEPAMGMKLRYLQYDISVEESTLKEAYPRDHQVFKDPESIHKQGWFKLRDIYLKQQNVELDVNRFRPVLRRAFELLREKKYS >Ma03_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13142242:13148054:-1 gene:Ma03_g14520 transcript:Ma03_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGRLGRLSTRYAPATVFSGPVRKWKKRWIPLSAPNSNAAANANGARSNLLLYKWAPVSSPANGTPQAEEPPPKKFRYVPISVIEEQKQEAAEKLDDENKPVEADPSLQPNQIDSSDTKPDMNNIAVEEAQASDKDQVAAEDSNRTNFDLNLGLKAAEGDRETKSRNAEQDEAGDQPDKVSSGKNMEMKSATNSEPPNKLKRKAVAADLKTRV >Ma11_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1107897:1109603:-1 gene:Ma11_g01570 transcript:Ma11_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYKVAGASEYLAITGYNVRDIKLAKKAFVFPGQRCTKFDMSPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDVDSLLRYAKLISPHDKLSNHVKELVQGVIEGETRVLAASMTMEEIFRGTKSFKQEVFEKVQLELNQFGLVIYNANVKQLVDVQGHEYFSYLGQKTQQEAANQAKVDVAEARMKGEIGSKERDGHTKQNAAKIDAETKIYSTQRDGEGKKAEAKVKAEVKIFENKREAEVAEANAELAMKKAEWQRQAKVAEVEAAKAVAIRDAELQMEVERRNALRETEKLKAELLSKTLVDYEMKVQEANWELYKRQRAAEGLLYEQEKLAEGQKAVAEAARFARQQEAEGELYAKKKEAEGMVALAQAQKLYLTALLEALGGDYAALRDYLMINGGMYQDIARLNAEAVRGLQPKISVWTNSGDAAADGGAMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGKMADTPVV >Ma06_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21270714:21271430:1 gene:Ma06_g23340 transcript:Ma06_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIRATNPQRKKEEAKTLPTRQHVFLPCFDVLLFLLLISAPVRVAVQPSDGSTWSLLDATFSFWPSLAMVVGIFTVMFSVTFLLLVYVKFCRFTVVELSRNDGFLLPQCRFSGINKAAVESLLVFWFSGLRDAWDGLECIVCLFRFDGAELLRLLPRCKHAFHIDCIDRWLAAHSTCPPCRRKVNAEDDNAVFEYFTSSRFLFASCRHEEAVNYVDRVGRSFRKINIPAEGTNRAQA >Ma08_p01810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1500272:1506144:1 gene:Ma08_g01810 transcript:Ma08_t01810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSNRIEDEFLGGAMLDLNLASSSEHSGAGQVDDSRSSNSSGLNAEVMVDVIDEDYCSTQPAAAFEFSILKSCTSGEGGNEVEEENEDDRRQPGLVTRQLFPPPPVVPHVSQLPMVASSSSSTRPHWLDFTFSHAEAPTELRVIHKQQQQQQQQLQPQQQQQQQPQPQQQVKRSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTADAAARAYDRAAIRFRGVDADINFNLSDYEEDLKEMKNLPKEEFVHALRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQVLGKKAYDKAAIKYNGKEAVTNFDPSTYEEELLLEGDKEGHDMDLNLRISQPAVHNQRGNQNPTCVQFEASDADKARQDETEEL >Ma08_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1500272:1506144:1 gene:Ma08_g01810 transcript:Ma08_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSNRIEDEFLGGAMLDLNLASSSEHSGAGQVDDSRSSNSSGLNAEVMVDVIDEDYCSTQPAAAFEFSILKSCTSGEGGNEVEEENEDDRRQPGLVTRQLFPPPPVVPHVSQLPMVASSSSSTRPHWLDFTFSHAEAPTELRVIHKQQQQQQQQLQPQQQQQQQPQPQQQVKRSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTADAAARAYDRAAIRFRGVDADINFNLSDYEEDLKEMKNLPKEEFVHALRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQVLGKKYIYLGLFDSEIEAARAYDKAAIKYNGKEAVTNFDPSTYEEELLLEGDKEGHDMDLNLRISQPAVHNQRGNQNPTCVQFEASDADKARQDETEEL >Ma08_p01810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1500272:1506144:1 gene:Ma08_g01810 transcript:Ma08_t01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSNRIEDEFLGGAMLDLNLASSSEHSGAGQVDDSRSSNSSGLNAEVMVDVIDEDYCSTQPAAAFEFSILKSCTSGEGGNEVEEENEDDRRQPGLVTRQLFPPPPVVPHVSQLPMVASSSSSTRPHWLDFTFSHAEAPTELRVIHKQQQQQQQQLQPQQQQQQQPQPQQQVKRSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTADAAARAYDRAAIRFRGVDADINFNLSDYEEDLKEMKNLPKEEFVHALRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQVLGKKYIYLGLFDSEIEAARAYDKAAIKYNGKEAVTNFDPSTYEEELLLEGDKEGHDMDLNLRISQPAVHNQRGNQNPTCVQFEASDADKARQDETEEL >Ma09_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35164949:35166036:-1 gene:Ma09_g23270 transcript:Ma09_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPNSFKLALLKQMLLGFQLSAVSSNSMSFHERKNAIKLSADAAMVFARGSTRWTRGLVASLSKNRNNDGLLRGILGKKYERLSLPCCNSWKIPRSRKILKRCLGLCSRRKKATGPQHDVESSSTLARRLAKKRIQVLKKLLPGGESMEGFSLLDQTLDYAVSLKAQVDIMRRLLKISKASNLNK >Ma04_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3268379:3270456:-1 gene:Ma04_g04310 transcript:Ma04_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEASGAGRNTTPTKGTLTPTRFQPLYRPPGMHRHAGRRAGPCDRGRRGEHRWERLHEDAFDADEPDVDDETFAMALQDSEEQEVAVRLMAVAGLNGYQMIMMTMAITLNYKIASYITYYQDAWQEVDPDDWTLIFLLLQELNALGEVVGTESRGLSADVISALPSVSYKANNVQDSNSEQFIICCLEYEDGDSLVLLSCKHIYHLECINTWLQINKVTF >Ma06_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8519535:8524526:1 gene:Ma06_g12260 transcript:Ma06_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALCLPSRPHSKPYFSAADCRSSDSGARPRIEMPAASSLRGGRASPLILRFPPNFVRQLSIKARRNCCNIGVAQIAAASWSNDPPAFEGPRPAASSDASAVPEAAGSDGSTLVGGGVDHSGVDLGVPVVQDAKASVVAKTAALFSSDGSLAVHAGERFGRGISTDGITTPVVNTSAYWFSNSDELIDFKEKRHASFEYGRYGNPTTQALEEKMSALERAESTLFVSSGMYASVAMFSALVPAGGHIVTTNDCYRKTRIFIESELPKMGILATVIDPADTESLKSTLEQNNVTLFFTESPTNPFLRCIDIELVSRLCHNNGALVCIDGTFASPVNQKALALGADLILHSATKFIAGHNDVIGGCISGSEELISKIQLYHNVVGGVLNPNDAYMILRGMKTLHLRVQNQNSTALRMAQLLEEHPKIIHVYYPGLPSHPEHHIAKCQMTGFGGVVSFEIAGDLSTTKKFIDSLKIPYIAPSFGGCESIIDQPAIMSYWDLSRPERTAKYGIKDNLVRFSFGVEGFEDLNADNLQSLEKI >Ma06_p10400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7175591:7181172:1 gene:Ma06_g10400 transcript:Ma06_t10400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTMPSSDSWQPVLTADSTDAYYWLNWRVLLCAIWVLSSMIIASILIWKFEGSNTETQGSSPESHCPLYEDELWRPCLTEVHPVWLLVFRLIAFAILLAFLIINGAVDGRGIFYYYTQWTFILVTIYFLLGSVLSVYGCNKYLRKVTGDKVAVTRSDAEHGTYAAPANGANGIIQQSGVRAAGFWGNLFQVIFQTSAGAVMLTDCVFWLIIFPFLAIRDYNLNFVLICMHSLNAVFLLGDIALNSLSFPWFRIAYFLLWTAIYVIFQWVIHACVDIWWPYPFLDLSSTYAPIWYFVVAVMHIPCYAAFPLIIKMKHILLSRWFPLSYSPTK >Ma06_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7175591:7181172:1 gene:Ma06_g10400 transcript:Ma06_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTMPSSDSWQPVLTADSTDAYYWLNWRVLLCAIWVLSSMIIASILIWKFEGSNTETQGSSPESHCPLYEDELWRPCLTEVHPVWLLVFRLIAFAILLAFLIINGAVDGRGIFYYYTQWTFILVTIYFLLGSVLSVYGCNKYLRKVTGDKVAVTRSDAEHGTYAAPANGANGIIQQSGVRAAGFWGNLFQVIFQTSAGAVMLTDCVFWLIIFPFLAIRDYNLNFVLICMHSLNAVFLLGDIALNSLSFPWFRIAYFLLWTAIYVIFQWVIHACVDIWWPYPFLDLSSTYAPIWYFVVAVMHIPCYAAFPLIIKMKHILLSRWFPLSYSPTK >Ma06_p10400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7175504:7181172:1 gene:Ma06_g10400 transcript:Ma06_t10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTMPSSDSWQPVLTADSTDAYYWLNWRVLLCAIWVLSSMIIASILIWKFEGSNTETQGSSPESHCPLYEDELWRPCLTEVHPVWLLVFRLIAFAILLAFLIINGAVDGRGIFYYYTQWTFILVTIYFLLGSVLSVYGCNKYLRKVTGDKVAVTRSDAEHGTYAAPANGANGIIQQSGVRAAGFWGNLFQVIFQTSAGAVMLTDCVFWLIIFPFLAIRDYNLNFVLICMHSLNAVFLLGDIALNSLSFPWFRIAYFLLWTAIYVIFQWVIHACVDIWWPYPFLDLSSTYAPIWYFVVAVMHIPCYAAFPLIIKMKHILLSRWFPLSYSPTK >Ma08_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4641571:4646485:1 gene:Ma08_g06810 transcript:Ma08_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFTGHCLQKSYRTTLLLAYQSFGVVYGDLSISPIYVYKSTFSGKLRLHEEDAEILGVLSLVFWTLTLIALCKYIIFVLAADDDGEGGTFALYSLMCRNSKMGLLSTPHAAQEHLTAYNPEVHCDETRTSLSIKRFIEKNQSSRLVLLLFVLLGTSMVIGDGVLTPTMSVVSAVSGLRIKVPGLHENYTVLISCIILMCLFALQHHGTHRVGFLFAPILISWLVCLSTIGIYNTIMWNPRIVRALSPHYIYYFFNKAGKDGWSSLGSLVLCITGAEAMFADLGHFSKISIRIAFAAVVYPCLVLAYMGEAAYLSKHTEDLQQSFFKALPEGVFWPVFVIATLATVVGSQAIISASFSIISQCRALGCFPRVRIIHTSSHIHGQIYIPEVNWILMLLCLILTIGFRDTFMIGTAYGLAVITVMLVTTCLMFLIITTVWKQSILLALLFTITFGSLELLYLSACLAKVHHGGWLPLLISMAILMTMFTWHYGTAKKRAFELQNKVSLDRLLSITPSLGLVRVPGIGLVYSNATNGVPPMFAHFVTNFPAFHRVLIFVCLQTLTVPKVPHDERFLVARIGEPAHGLFRCVVRYGYKDERSDSYEFENQLLLKVAQFLRQEGGGLSQPDSELSSIGESSPSWLVLGGGDGGGRKRVRFQGDEATAEVRQEVWELLEGREAGVSYMIGHTCVVAHESSPLIKKLAIDVVYGFLRRNSRSPAVSLGIPHASLVEVGMVYHV >Ma08_p30410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41681295:41695549:-1 gene:Ma08_g30410 transcript:Ma08_t30410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHKVYCRFSLIYPTLCPPPPPPPPLRSLPHGGGLFCSPPLRVPNSLRFRRVRHMRSHVASSAPSRFARLVPFASAAESGVADPNGSPAPTSLPLEDEESLSLGGYRLPPKEIRDIVDAPPLPVLSFSPHRDKILFLKRRSLPPLSELARPEEKLAGIRIDGNYNARSRMSFYTGIGIHSLTDDGKLGPEQEVHGFPDGAKINFVSWSRDGRHLSFSIRVDEVNLQEENNSSKLRVWVADVESGKARPLFQSPDIFLNAVFDNFVWVNDSMLLVCTIPISRGAPPKKPLVPSGPKVQSNEQKNVVQVRTFQDLLKDEYDEDLFDYYSTSQLVLVSLDGTMKTVGPPAVYTSIDPSPDDKYILVTSIHRPYSYIVPCGRFPKKVELWTTTGKFVREICDLPLAEDIPIAFNSVRRGKRSINWRSDKPSTLYWVETQDGGDAKTEVSPRDIVYMESAEPINGEQPEVLHKLDLRYGGISWCDDTLALVYESWYKTRRTRTWVIAPDSKNVNPRILFDRSSEDAYSDPGSPMMRRTQAGTYVIAKIKKQDEGTYILLNGRGATPEGNIPFLDLFDVNTGVKERIWESDKEKYYETVVALMSDYTDGELPIDQLRILTSKESKTENTQYFLQAWPDKKSFQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYSPTKEGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASSEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGISRSGAYNRTLTPFGFQNEDRTLWEATNTYIEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDMWLQKYCVESSDQSSDLYSSSGESPNSSENKAISATGSVPDHESTQEDGFYFTPRSLL >Ma08_p30410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41680946:41695549:-1 gene:Ma08_g30410 transcript:Ma08_t30410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHKVYCRFSLIYPTLCPPPPPPPPLRSLPHGGGLFCSPPLRVPNSLRFRRVRHMRSHVASSAPSRFARLVPFASAAESGVADPNGSPAPTSLPLEDEESLSLGGYRLPPKEIRDIVDAPPLPVLSFSPHRDKILFLKRRSLPPLSELARPEEKLAGIRIDGNYNARSRMSFYTGIGIHSLTDDGKLGPEQEVHGFPDGAKINFVSWSRDGRHLSFSIRVDEVNLQEENNSSKLRVWVADVESGKARPLFQSPDIFLNAVFDNFVWVNDSMLLVCTIPISRGAPPKKPLVPSGPKVQSNEQKNVVQVRTFQDLLKDEYDEDLFDYYSTSQLVLVSLDGTMKTVGPPAVYTSIDPSPDDKYILVTSIHRPYSYIVPCGRFPKKVELWTTTGKFVREICDLPLAEDIPIAFNSVRRGKRSINWRSDKPSTLYWVETQDGGDAKTEVSPRDIVYMESAEPINGEQPEVLHKLDLRYGGISWCDDTLALVYESWYKTRRTRTWVIAPDSKNVNPRILFDRSSEDAYSDPGSPMMRRTQAGTYVIAKIKKQDEGTYILLNGRGATPEGNIPFLDLFDVNTGVKERIWESDKEKYYETVVALMSDYTDGELPIDQLRILTSKESKTENTQYFLQAWPDKKSFQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYSPTKEGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASSEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGISRSGAYNRTLTPFGFQNEDRTLWEATNTYIEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDMWLQKYCVESSDQSSDLYSSSGESPNSSENKAISATGSVPDHESTQEDGFYFTPRSLL >Ma08_p30410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41680946:41695635:-1 gene:Ma08_g30410 transcript:Ma08_t30410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHKVYCRFSLIYPTLCPPPPPPPPLRSLPHGGGLFCSPPLRVPNSLRFRRVRHMRSHVASSAPSRFARLVPFASAAESGVADPNGSPAPTSLPLEDEESLSLGGYRLPPKEIRDIVDAPPLPVLSFSPHRDKILFLKRRSLPPLSELARPEEKLAGIRIDGNYNARSRMSFYTGIGIHSLTDDGKLGPEQEVHGFPDGAKINFVSWSRDGRHLSFSIRVDEEENNSSKLRVWVADVESGKARPLFQSPDIFLNAVFDNFVWVNDSMLLVCTIPISRGAPPKKPLVPSGPKVQSNEQKNVVQVRTFQDLLKDEYDEDLFDYYSTSQLVLVSLDGTMKTVGPPAVYTSIDPSPDDKYILVTSIHRPYSYIVPCGRFPKKVELWTTTGKFVREICDLPLAEDIPIAFNSVRRGKRSINWRSDKPSTLYWVETQDGGDAKTEVSPRDIVYMESAEPINGEQPEVLHKLDLRYGGISWCDDTLALVYESWYKTRRTRTWVIAPDSKNVNPRILFDRSSEDAYSDPGSPMMRRTQAGTYVIAKIKKQDEGTYILLNGRGATPEGNIPFLDLFDVNTGVKERIWESDKEKYYETVVALMSDYTDGELPIDQLRILTSKESKTENTQYFLQAWPDKKSFQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYSPTKEGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASSEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGISRSGAYNRTLTPFGFQNEDRTLWEATNTYIEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDMWLQKYCVESSDQSSDLYSSSGESPNSSENKAISATGSVPDHESTQEDGFYFTPRSLL >Ma08_p30410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41681295:41695549:-1 gene:Ma08_g30410 transcript:Ma08_t30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHKVYCRFSLIYPTLCPPPPPPPPLRSLPHGGGLFCSPPLRVPNSLRFRRVRHMRSHVASSAPSRFARLVPFASAAESGVADPNGSPAPTSLPLEDEESLSLGGYRLPPKEIRDIVDAPPLPVLSFSPHRDKILFLKRRSLPPLSELARPEEKLAGIRIDGNYNARSRMSFYTGIGIHSLTDDGKLGPEQEVHGFPDGAKINFVSWSRDGRHLSFSIRVDEVNLQEENNSSKLRVWVADVESGKARPLFQSPDIFLNAVFDNFVWVNDSMLLVCTIPISRGAPPKKPLVPSGPKVQSNEQKNVVQVRTFQDLLKDEYDEDLFDYYSTSQLVLVSLDGTMKTVGPPAVYTSIDPSPDDKYILVTSIHRPYSYIVPCGRFPKKVELWTTTGKFVREICDLPLAEDIPIAFNSVRRGKRSINWRSDKPSTLYWVETQDGGDAKTEVSPRDIVYMESAEPINGEQPEVLHKLDLRYGGISWCDDTLALVYESWYKTRRTRTWVIAPDSKNVNPRILFDRSSEDAYSDPGSPMMRRTQAGTYVIAKIKKQDEGTYILLNGRGATPEGNIPFLDLFDVNTGVKERIWESDKEKYYETVVALMSDYTDGELPIDQLRILTSKESKTENTQYFLQAWPDKKSFQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYSPTKEGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASSEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGISRSGAYNRTLTPFGFQNEDRTLWEATNTYIEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDMWLQKYCVESSDQSSDLYSSSGESPNSSENKAISATGSVPDHESTQEDGFYFTPR >Ma08_p30410.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41680944:41695549:-1 gene:Ma08_g30410 transcript:Ma08_t30410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHKVYCRFSLIYPTLCPPPPPPPPLRSLPHGGGLFCSPPLRVPNSLRFRRVRHMRSHVASSAPSRFARLVPFASAAESGVADPNGSPAPTSLPLEDEESLSLGGYRLPPKEIRDIVDAPPLPVLSFSPHRDKILFLKRRSLPPLSELARPEEKLAGIRIDGNYNARSRMSFYTGIGIHSLTDDGKLGPEQEVHGFPDGAKINFVSWSRDGRHLSFSIRVDEVNLQEENNSSKLRVWVADVESGKARPLFQSPDIFLNAVFDNFVWVNDSMLLVCTIPISRGAPPKKPLVPSGPKVQSNEQKNVVQVRTFQDLLKDEYDEDLFDYYSTSQLVLVSLDGTMKTVGPPAVYTSIDPSPDDKYILVTSIHRPYSYIVPCGRFPKKVELWTTTGKFVREICDLPLAEDIPIAFNSVRRGKRSINWRSDKPSTLYWVETQDGGDAKTEVSPRDIVYMESAEPINGEQPEVLHKLDLRYGGISWCDDTLALVYESWYKTRRTRTWVIAPDSKNVNPRILFDRSSEDAYSDPGSPMMRRTQAGTYVIAKIKKQDEGTYILLNGRGATPEGNIPFLDLFDVNTGVKERIWESDKEKYYETVVALMSDYTDGELPIDQLRILTSKESKTENTQYFLQAWPDKKSFQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYSPTKEGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASSEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGISRSGAYNRTLTPFGFQNEDRTLWEATNTYIEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYSARESIMHVLWETDMWLQKYCVESSDQSSDLYSSSGESPNSSENKAISATGSVPDHESTQEDGFYFTPR >Ma10_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31219310:31220009:-1 gene:Ma10_g21390 transcript:Ma10_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGCPIEMEPKTLNEGELSNAREAAVEIIQTKVAKEASTIFTQGKKAAKTAEQMRKEIEKRDQLEKLVGEGEGSGVRSGSWDLPCTDDEISDGITSTEPLSSPF >Ma08_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37214016:37217124:-1 gene:Ma08_g23850 transcript:Ma08_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIDNRRFGKAKVRCYGGLDDEDEDEEVAGWKKKYGGGHHEAEPAVHDPRDEGTADSWVERSPSLIRLTGKHPLNCEPPLGQLMHHGFITPVPLHYVRNHGAVPRADWGTWTVEITGLVKRPVRLTMDELVRDFPPVEIPVTLVCAGNRRKEQNMVRQTMGFNWGPAAISTAVWRGARLRDVLRRCGIRDRKDGVLFVCFEGAEDLPGGGGSKYGTSLRREAAMDASRDVMLAYMQNGELLKPDHGFPVRIIIPGFIGGRMVKWLRRIIVAPQESNSYYHYKDNRVLPSHVDAELADAEAWWYKPEYIINELNINSVIITPGHDEMLPVDTSTIQKPYLMRGYAYSGGGRKVTRVEITYDGGETWMPCALHHPEKPNKFGKYWCWCFWSVAVEVTDLLGVKEVAVRAWDESLNTQPEKLIWNVMGMMNNCWFKVKVNVRRHQKDEIGLVFEHPTQPGSQSGGWMAPQKHLETSEVASMKKSVSTPFMSTSTKRYAMSEVREHASAASAWIVVHGHVYDCTAFIKDHPGGADSILVNAGSDCTEEFDAIHSDKARALLEAYRIGELAPSGNTFEASLRATIREVSRPLALVSPHEMVQCKLVSRKAASRDVRLFRFALPSADQVLGLPVGKHIFLYATIDDKLCMRPYTPTSSVDDVGHFELLIKVYFKGENARFPNGGLMSQHLESLPVGSTLDVKGPFGHIEYTGRGNFLVQGKPRIAKRLAMIAGGTGITPVYQVIRAALQDPEDHTEMHLVYANRTAEDILLREELDGWAREHPAQLKAWYVISEVKQGERWRYSTGRVTESILRGHIPVGGSDDTLVLACGPPPMIQLAVVPNLEKMGYDTANSLLMF >Ma09_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10027842:10031436:1 gene:Ma09_g14680 transcript:Ma09_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSEAKLERNGSMTLIRERAIPVACLLRVPLLVLLAGSFRRPSSKWIQEAAVGGTGKSMMPSLSFVCFTSSVALDRFLHGLLAAGFDEQSCLSRYQSVLYRKESPHVPSSYLVEKLRNYEALHKKCGPNTELYKKAVEQLKSDHGTQSTECNYVIWISYSGLGNKMLSIASAFLYALLTNRVLFIDRGFDMADLFCEPFPESSWLLPLDFPINEFDAFDMKTPKSYGNMLKDKVIGNGDDGTSTHSLPDYIYLHLSHDYGDYDKLFFCEDDQRFLRFVPWLLLRSNNYFVPSLFMIPTYEEELKHLFPEKDTVFHHLGRYLFHPTNSVWGLITRYHQSYLAKADERVGIQVRTFETDAGPFQYVLDQILACTQKAKLLPDASGQDTVVSTPKTKSKAVLMTSLNSGYSENIRNMYWEHPTVTGEIISVYQPSHEGYQQTEKQMHDMKALAEIYLLSLTDALVTSAWSTFGYVAQGLGGLRPWILFKPENHTAPDPPCRQAMSIEPCFHAPPFYDCKAKAGADTGALVPYVRHCEDMSWGLKVVDQNEW >Ma11_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:732701:734588:1 gene:Ma11_g00980 transcript:Ma11_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMASLSATLLVFFLVQGWSAAKFTLTNNCDYTVWPGVLSNAGDAALSTTGFGLETGQSKSLDAPAGWSGRLWARTLCATDSSGRFSCGTGDCGSGKVECSGGGATPPVTLAEFTLGGGGGGGGMDYYDVSLVDGYNLPMVVVAQGGSGGGCGSTGCVADLNGVCPSDLKVVATRSSSQGSEVVACMSACEAFGSPQYCCSGAYGNPNTCKPSSYSQFFKNACPKAYSYAFDDATSTFTCADADYLITFCPSTASQKSSSQNPESSAGAGLPSSDGDGGAMEFVGGDEFRGASPTVAIRVSLAILVTSWLL >Ma08_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16100187:16121924:-1 gene:Ma08_g15850 transcript:Ma08_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPICSRFIHLEDSQDVANASNGFKFRFISYNILAQVYVKSSYFQHSPSSCLKWKARSQAILMDFKNFDADFLCIQELDEYSTFYKSNMENLGYLSLYIKRSGQKRDGCGIFYKPSSAQLLQMEEIDYNDLVNCASALNEIGNNKVLDLETRDAPIEELSRKHQSDDPHVRLKRDCVGLLPAFQLNDSSNHIIVVANTHIYWDPNWADIKLAQVKYLLSRLSQFKELVSNKFTCIPSVLVAGDFNSTPGDEVYRYLVSASEVAPIQLRSLYAAHGGEPTFTNCTPDFTGTLDYIFLSGSRLRAVSLLEVPGPESADVIGGLPNHHHPSDHLPIGADFSVLQSP >Ma06_p26070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26674929:26676268:1 gene:Ma06_g26070 transcript:Ma06_t26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSGDASDIFDSIVLLDETLCQEGFKDGYRDGLKTGEEEGREVGLKMGFQVGEELGFYQGCVDVWNSLIQVDPGSFSSRLQKSIQQLRDLLKKYPLLDPENEHVQEMMDAMRLKFRIISANMGVKLEYKGYPKSSKQGMEDM >Ma03_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3603858:3606351:1 gene:Ma03_g05510 transcript:Ma03_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKLFFFVPCLVFFLLSAAVAVLGVNEGEDETMEGGGVSLAVDPSFQFANSRLRDAYIALQTWKRTAIFSDPQNLTGNWVGPDVCSYFGVYCAASPDDPYLTVVAGVDLNHADLAGYLPKELGLLSDLALLHLNSNRFCGTVPPTFDRLRLLFELDLSNNRFVGKFPEVVLHLPALRYLDLRFNDFEGPIPPGIFERPLDAIFLNSNRLRAGIPATLGSSPVSVLVLANNDLGGCIPSSIGGMANTLNEIILLNDNLTGCIPLEVGLLRRVTVFDVSFNRLQGPLPESVAGMQSAEQLDVAHNRLTGRIPPGVCDLPSLQNFTYSYNFFTGQPPSCGRAGRAVAFDGKVNCIPGLPDQRSPKQCSSTAAHPFDCRKSKCWSGGAVPSPYTKPPSPMRPPKYASRRRQLPPPPAPVGGKSRGYFKRASPPPPQYESSPSTRSHPPPLPSYSPKQSPPPSPSGYHASLPPPAPPTHGYEPAKPPHIPSPPTTVSPPTQYYPTPSPVPVQPPTYTSPPVAPPSQKPWQAPPPPAGYSSPPLPKYAPPPLEYSSSPPPPPPVVKPSPLPHEHPSPLPSTPKQSPPPSLPPPSELPPPPAPPKRHEEPAPPILPPVVGVSYASPPPPVIPYY >Ma11_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22217170:22232327:1 gene:Ma11_g16780 transcript:Ma11_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MAAVNAVFASPSCSSPLSFPRSSSSYRRSIFASHRPHRSGRQSLSNDHSNRRKNDVVEGVSQKRFPGRGKDDRTYLPSDGSRGDAGLSQSQSQSQSQSQSTVFKSIGTQKDKGMVYDLKEQQADETGKFQDSAFLNAVVKVYCTHTSPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVEHGTQVKVKRRGDDTKFVAKVLARGIECDIALLSVDSEDFWKGAEPLRFGHLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDHGECIGVAFQVFRSEEAENIGYVIPTTVVSHFLNDYERSGKYTGFPSLGVLLQKLENPALRACLKVSSNEGVLVRRVEPTSPANDVLKEGDVIVSFDGVQVGSEGTVPFRSTERIAFRYLISQKFSGDVAELGIIRDGTYMKVRTVLQPRVHLVPFHIEGGQPSYLIIAGLVFTPLSEPLIEEECEDSIGLKLLAKARYSLARFKGEEIVILSQVLANEVNIGYEDMGTQQVMKFNGTPIKNIRHLAHLVDTCKDKYLIFEFEDNFLAVLEREAAAAASPFVLKDYGIPCERSSDLLEPFIDLEEDKQILNQDIGDSPVSNFEIGFDGLLWA >Ma02_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20942898:20944147:-1 gene:Ma02_g12100 transcript:Ma02_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPQPPTNSSSVSLSVSLIIIAAIIVFVVLASFFIHLFLRFLSCRRGSSVAALPLPLDRSRSASSSAAAAAANFVPFDQEKANSLPVYSLAASPKSSPDCAVCLSPLRRHDELRLLPACRHAFHSSCVDPWLQTTPSCPLCRASVSLPASLLPALPSAVPPLSDEPDSSRLGSFRIEIGSVSRRMTPSGELSGNPSPLPAPLPSSLRTYSIGSSFEYLVDEEVEAVVARITRRTEKVEKRGDTNTSTEADAATAPPGDAVAEAAGGGPRLLKEYVDQLSSSASSSFSSLRFSNRWSHRYDGDGVRNSWDLERSAWQEVEESGLHDFFHWLMGA >Ma08_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5927355:5941985:-1 gene:Ma08_g08490 transcript:Ma08_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAAPSPAAGPIGHTVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGLDICTRRPLVLQLVHRPRRTDAAEGGEEWGEFLHLNGQKFFDFSEIRREIQAETEREAGGNKGVSDKQIRLKIFSPNVLNITLVDLPGITKVPVGDQPSDIEARIRTMILSYIKHKTCIILAVSPANADLANSDALQMARIADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGIVNRSQQDINLNRSIKDALAFEENFFRKQPAYHGLSHCCGVPQLAKKLNQILVQHIKNVLPGLKSRINAQLVAVAKEHAAYGDVAESKAGMGVKLLNILTKYCEAFSSIVEGKNEELSTDELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPKSALFVPEVPFEVLVRRQIGRLLDPSLQCAKFIYDELIKMSHRCLASELQRFPVLRKSMDEVVGSFLREGLQPAETMITHIIEMEMDYINTSHPNFVGGSKAVEVAQQQVKSARLAASAPRAKDGVDSDKLQASVKNLKSRAIIAKSGANGVVPDHHQDARIVGDSDRPGSSGSTTGSSWVSSIFGVSEDRPSLKEVPVNKPYGMPVHNVETSLSMIQLREPPIILKPSENQTEQEALEIAITKLLLKSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIRKLYRENLFEDLLREPDDITARRKRIRETLWVLQQAYKTIDEIPLEAESIEKGYTLDADPTGLPKIHVHPSSFYAGNNDLTSQYSASPKNPRPRKSAHSGEQPPPLFSSSVANGLGSYPTFDV >Ma09_p29340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39807080:39815786:-1 gene:Ma09_g29340 transcript:Ma09_t29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH39 [Source:Projected from Arabidopsis thaliana (AT4G09730) UniProtKB/TrEMBL;Acc:A0A178V449] MACGGATGKALLSSSSSSLFFSRTLFSYNKPRFPPPLRVFPGFTRALCLSAAPTAIATTDEEPAPQPLRHSLLLERLRLRHLRDQSKGIDQGRAPISPSLPRPANVAVKKERKEKKRGSASSFEELGLGDEVMGAVKEMEISVPTEIQCIAVPAVLEGKSVVLGSHTGSGKTLAYMLPIVQLMRQDEALSGVLMRPRRPRAVVLCPTRELCEQVFRVAKSISHHARFRSTMISGGGRLRPQEDSLSEPIDMVVGTPGRILQHINDGNMVYGDIKFLVLDEADTMFDQGFGPDIRKFLGPLKNRAAKPGDHGFQTVLVTATMTKAVQNLVDEEFQGIIHLRTSSFQKKVASARHDFIKLSGAENKLEALLQVLEPSLSKGNRVMVFCNTLNSSRAVDHFLTENQIFTVNYHGEVPAEERVENLRKFKSEDGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVAKKDIPLATRIEEAMKKNESLEALSANSVRRDAFISKQIDQKYKAKVILTKSSSKAGKKATSAQKSVAASKGKASASTKSKTTVAISKPIKSPVGGKREPTRGNKLQQGRKSVITRIPKSKLSVVGFRGRSSAGRKQSPKPT >Ma06_p29270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30704065:30706505:-1 gene:Ma06_g29270 transcript:Ma06_t29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLSMPLILASSVTFVIGFMLIPWVLGMLTVFYLAGIVSNLSVLGRAILFPSSLFGPMVVKATEASSKYSMVLQTNYCLNFQRIRRSLLGMQAVLYWLSVDSWVPAILTVLRATQYEVWTSNLLCLTCKLVVSFICLLTWYNIFHKFYTPN >Ma11_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6197005:6204630:1 gene:Ma11_g07760 transcript:Ma11_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQMKPSPLDLLSSILTGGDGAPPEAGLAVILATSIAVLVGCAFIFFWRSSLGGKASSPVQPPKPLTVNVVREVEADDGKRKVTVFFGTQTGTAEGFAKALADEAKARYDKAVFKVVDLDEYAADDDEYEEKMKKETLALFFLATYGDGEPTDNAARFYKWFTEGNERGNWLETLQYSIFGLGNRQYEHFNKVAKVVDETLADQGAKRLLSLGLGDDDQCIEDDFNAWKELLWPELDRLLRGEDEVSGASTPYTAAIPEYRVVFIKHEDVANLEKSWSLANGHAVHDIQHPCRANVAVCRELHTPDSDRSCIHLEFDIAGTGLAYETGDHVGVFSENCIETVEEAERLLGYSSDTYFSIHADKEDGTPLSGGSLTPPFPSPCTLRTALTRYADFLNSPKKSALLALAKYALDSSEAERLRFLASPAGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAISPRLQPRYYSISSSPRMAPTRIHVTCALVYEKTPTGRIHKGVCSTWMKHSVPLEESQECSWAPVFVRQSNFKLPADPSLPIIMIGPGTGLAPFRGFLQERLALKEAGTQLGHAILFFGCRNRKMDFIYEDELKNFVETGALSELILAFSREGPTKEYVQHKMAEKALDIWNIIEQGGYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKTESMVKSLQMDGRYLRDVW >Ma09_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7215624:7219239:-1 gene:Ma09_g10630 transcript:Ma09_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASLSSLGPEMCPFPMVGAPPPLGPVIRRLGGGAPAEESAVTDQSGRNSKGRRRKRDSVASDDESSKLASTSGGQGLMDNETKRLKAMHTNEIGSIKTEVEASSGNGNKIIDQNPGTSEPPKHDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASILDEIINYIQALQHQVEFLSMKLEAVTSHVNSGFEFFPHKDFGAQAYDTASSLPFSSQAAREYEQGSATEWLHMQVGGALERVT >Ma09_p10630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7215624:7219221:-1 gene:Ma09_g10630 transcript:Ma09_t10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASLSSLGPEMCPFPMVGAPPPLGPVIRRLGGGAPAEESAVTDQSGRNSKGRRRKRDSVASDDESSKLASTSGGQGLMDNETKRLKAMHTNEIGSIKTEVEASSGNGNKIIDQNPGTSEPPKHDYIHVRARRGQATDSHSLAERVIGKASILDEIINYIQALQHQVEFLSMKLEAVTSHVNSGFEFFPHKDFGAQAYDTASSLPFSSQAAREYEQGSATEWLHMQVGGALERVT >Ma04_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17245531:17247406:-1 gene:Ma04_g17050 transcript:Ma04_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQLSKRPSMRRDPKVNGGGATRSSAAQTGIQQYTAELSSYEEACRLYPELQSFDATVQQRTSHAICTLAQGVEIGSLAFDTLREITDGILETNQEVVRFLLECKKDIWKNPELFDLVDDYFNCSIQTLDYYTELERCLKKARDSQLIIQFALQRFEEEDEAESREDDKTKYIETLKKLRQFKAAGNPFTEEFSQVFHSVYTMQQSMLEKLLLRKRKLDRKLKSVKAWRKVSNMIFVAALAAFIICSIVAAAIAAPPVAAALAAASAIPIGSLGKWVGSLLKRHQDALVEEKELLSWMQIGTCVALKDLDTLRLLVDKLEIHFNSVLENADFALRDEEAVKFAVEEIKKQMEFFMNNIEDLGKQVDQCSRYIRKARTVVLQRIMMHPHPHPHPK >Ma04_p17050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17245531:17247406:-1 gene:Ma04_g17050 transcript:Ma04_t17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDPKVNGGGATRSSAAQTGIQQYTAELSSYEEACRLYPELQSFDATVQQRTSHAICTLAQGVEIGSLAFDTLREITDGILETNQEVVRFLLECKKDIWKNPELFDLVDDYFNCSIQTLDYYTELERCLKKARDSQLIIQFALQRFEEEDEAESREDDKTKYIETLKKLRQFKAAGNPFTEEFSQVFHSVYTMQQSMLEKLLLRKRKLDRKLKSVKAWRKVSNMIFVAALAAFIICSIVAAAIAAPPVAAALAAASAIPIGSLGKWVGSLLKRHQDALVEEKELLSWMQIGTCVALKDLDTLRLLVDKLEIHFNSVLENADFALRDEEAVKFAVEEIKKQMEFFMNNIEDLGKQVDQCSRYIRKARTVVLQRIMMHPHPHPHPK >Ma03_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27783381:27786986:-1 gene:Ma03_g23160 transcript:Ma03_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVEVADAADLMPKDGHGSASAFVEVEFEGQRQRTQTKQKDLSPAWNETLVFNVADPSQLPHRTIDVSVYHDRGRASSVGGGGGNQRNFLGRVRLSGVSVAPSAAEAVAQRFPLEKRGLFSHIRGDIALRVYALPDAFPSSTNPADTAPAPAVDLPIDPFPSVGEPAPVDAKEPKKKKKSSASVAPEEPRVFYSIPASGDGGGEAVSQMSQAAAGATPPPVAVASVAHTRAEPPPPVAVASVAHARAEPPPPAAVVHIRPPMPLARPGPDFGIVETAPPLAGRLGYRSGDKIASTYDLVEQMRYLYVNVVKARDLPTMDLTGSLDPYVEVKLGNYKGTTKHLEKNSNPVWQQVFAFSKDRIQSSQLEVVVKDKDLVKDDFVGRLVFDLTEVPLRVPPDSPLAAQWYRLEDKKGDKIPKGELMLAIWIGTQADEAFPDAWHSDAHSASGQDALANTRSKVYFSPKLVYLRVQVIEAQDLVPADKSRPPNVALKVQLGHQLRRTRAAAGSANPTWGEELMFVASDPFDEPLVFTVEDRVAANKDEPIGRLVLPVSTAFSRSDHYKVVESRWYNLAKPSASAEEAGGGEKKDKFSSKIHLRLYLEMGYHVLDESTHYSSDLQPASKHLRKPSIGILELGILSARNLIPMKAKDGRTTDAYCVAKYGPKWVRTRTLLDTLTPQWNEQYTWEVFDPCTVITVAVFDNCHVVGSKDDVKDQRIGKVRIRLSTLEADRVYTHLYPLLVLQPSGLKKTGELHLAVRFTCTAWVNMVALYGKPLLPKMHYVQPISVLHMDYLRHQAMMIVATRLARAEPPLRREVVEYMLDVDLHMWSLRRSKANFRRITSLLSGIGAIGKWFDGIRNWKNPVTTILVHVLFLILVCYPELILPTIFLYLFMIGVWNYRFRPRHPPHMDTKLSHAESAHPNELDEEFDTFPSTKTADMVRMRYDRLRSVAGRVQTVVGDLATQGERAQAILSWRDPRATAVIIILSLIVAVFLYVTPFQVVVVLIGMFLLRHPRFRRKMPSVPFNFYRRLPAKSDMLL >Ma05_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2884548:2893511:-1 gene:Ma05_g03870 transcript:Ma05_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MALDLFPLAGMVFDDNAAAADRGRVVSVSMFVAVLCLCMVVGHLLEESRWTNESITSIVIGCIVGTIILVASKGKNSHIVRFDQELFFMYLLPPIIFNAGFQVKKKQFFHNFLTILLFGVVGIFISFVIISAGSWKLFPKIGFTGLSIQEYLALGAIFSSTDTVCTLQVLHQDETPRLYSLVFGEGVVNDATSIVLFNTIQKLDVSKLEGWAVLHVFGDFLYLFFTSTILGIAIGLLTSYALRALYFGRHSTDREIALMVLMAYLSYMMAELSQLSGILTVFFCGIVMSHYAWHNVTENSRITTRHIFATMSFIAETFIFLYVGMDALDIEKWKAMKTSLKTCFGIYGVIIFLVLLGRAAFVFPLSILSNYISSHSETSLITLRHQVVIWWAGLMRGAVSIALAFSQFAHSGVTWDPVYATMITSTMVVVLFSTLVFGFLTKPLINVVLPHHVHHGSDQQPKTDDITLSLIPPEDSAESGLQQARRSLSRLLARPVHTIHAYWRKFDDKYMRPVFGGPT >Ma09_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24576461:24576700:-1 gene:Ma09_g19530 transcript:Ma09_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVNELIHSGDASMELYGNKTHCHVPILAMTADVIQATHEECLRCGMDDYVSKPFDERQLYSAVAHFFESDMVDGVS >Ma07_p25930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32906561:32906923:1 gene:Ma07_g25930 transcript:Ma07_t25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLPSLSRESKRHESRSRSHGDVLEEEVAPAPSRKDERKRSVRFVDQGDGKKDRVRVKVVMTKKEAALLLSMLASRRASRVEDMLRELKRGRGCSLSSTVPVPGKDCWRPTLETIPEI >Ma03_p16340.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18579684:18587430:-1 gene:Ma03_g16340 transcript:Ma03_t16340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADHCESPILEPKISQDDGTENFINCTESSDRNCGKPQDLTYTPDLLADERLAKGLFQYGCPHYRRRCHIRAPCCGKIFYCRHCHNEAMNSIDVERRHRHELPRQEVQQVICSLCGTEQEVQQICINCGVCMGKYFCGTCKLFDDDVSKQQYHCSGCGICRIGGRENFFHCFTCGCCYSIVLKNSHSCVEGAMHHDCPVCFEYLFESTNDISVLPCGHTIHVNCLKEMQQHLQFACPLCSKSVCDMSEVWEILDMEIAATPMPGSYHNKKVRILCNDCGAISEVQFHVVGQKCLKCKSYNTRQT >Ma03_p16340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18579684:18587429:-1 gene:Ma03_g16340 transcript:Ma03_t16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADHCESPILEPKISQDDGTENFINCTESSDRNCGKPQDLTYTPDLLADERLAKGLFQYGCPHYRRRCHIRAPCCGKIFYCRHCHNEAMNSIDVERRHRHELPRQEVQQVICSLCGTEQEVQQICINCGVCMGKYFCGTCKLFDDDVSKQQYHCSGCGICRIGGRENFFHCFTCGCCYSIVLKNSHSCVEGAMHHDCPVCFEYLFESTNDISVLPCGHTIHVNCLKEMQQHLQFACPLCSKSVCDMSEVWEILDMEIAATPMPGSYHNKKVRILCNDCGAISEVQFHVVGQKCLKCKSYNTRQT >Ma03_p16340.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18579684:18587429:-1 gene:Ma03_g16340 transcript:Ma03_t16340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADHCESPILEPKISQDDGTENFINCTESSDRNCGKPQDLTYTPDLLADERLAKGLFQYGCPHYRRRCHIRAPCCGKIFYCRHCHNEAMNSIDVERRHRHELPRQEVQQVICSLCGTEQEVQQICINCGVCMGKYFCGTCKLFDDDVSKQQYHCSGCGICRIGGRENFFHCFTCGCCYSIVLKNSHSCVEGAMHHDCPVCFEYLFESTNDISVLPCGHTIHVNCLKEMQQHLQFACPLCSKSVCDMSEVWEILDMEIAATPMPGSYHNKKVRILCNDCGAISEVQFHVVGQKCLKCKSYNTRQT >Ma03_p16340.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18579684:18587430:-1 gene:Ma03_g16340 transcript:Ma03_t16340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADHCESPILEPKISQDDGTENFINCTESSDRNCGKPQDLTYTPDLLADERLAKGLFQYGCPHYRRRCHIRAPCCGKIFYCRHCHNEAMNSIDVERRHRHELPRQEVQQVICSLCGTEQEVQQICINCGVCMGKYFCGTCKLFDDDVSKQQYHCSGCGICRIGGRENFFHCFTCGCCYSIVLKNSHSCVEGAMHHDCPVCFEYLFESTNDISVLPCGHTIHVNCLKEMQQHLQFACPLCSKSVCDMSEVWEILDMEIAATPMPGSYHNKKVRILCNDCGAISEVQFHVVGQKCLKCKSYNTRQT >Ma03_p16340.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18579684:18587430:-1 gene:Ma03_g16340 transcript:Ma03_t16340.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADHCESPILEPKISQDDGTENFINCTESSDRNCGKPQDLTYTPDLLADERLAKGLFQYGCPHYRRRCHIRAPCCGKIFYCRHCHNEAMNSIDVERRHRHELPRQEVQQVICSLCGTEQEVQQICINCGVCMGKYFCGTCKLFDDDVSKQQYHCSGCGICRIGGRENFFHCFTCGCCYSIVLKNSHSCVEGAMHHDCPVCFEYLFESTNDISVLPCGHTIHVNCLKEMQQHLQFACPLCSKSVCDMSEVWEILDMEIAATPMPGSYHNKKVRILCNDCGAISEVQFHVVGQKCLKCKSYNTRQT >Ma03_p16340.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18579684:18587429:-1 gene:Ma03_g16340 transcript:Ma03_t16340.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADHCESPILEPKISQDDGTENFINCTESSDRNCGKPQDLTYTPDLLADERLAKGLFQYGCPHYRRRCHIRAPCCGKIFYCRHCHNEAMNSIDVERRHRHELPRQEVQQVICSLCGTEQEVQQICINCGVCMGKYFCGTCKLFDDDVSKQQYHCSGCGICRIGGRENFFHCFTCGCCYSIVLKNSHSCVEGAMHHDCPVCFEYLFESTNDISVLPCGHTIHVNCLKEMQQHLQFACPLCSKSVCDMSEVWEILDMEIAATPMPGSYHNKKVRILCNDCGAISEVQFHVVGQKCLKCKSYNTRQT >Ma03_p16340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18580098:18587430:-1 gene:Ma03_g16340 transcript:Ma03_t16340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADHCESPILEPKISQDDGTENFINCTESSDRNCGKPQDLTYTPDLLADERLAKGLFQYGCPHYRRRCHIRAPCCGKIFYCRHCHNEAMNSIDVERRHRHELPRQEVQQVICSLCGTEQEVQQICINCGVCMGKYFCGTCKLFDDDVSKQQYHCSGCGICRIGGRENFFHCFTCGCCYSIVLKNSHSCVEGAMHHDCPVCFEYLFESTNDISVLPCGHTIHVNCLKEMQQHLQFACPLCSKSVCDMSEVWEILDMEIAATPMPGSYHNKKVRILCNDCGAISEVQFHVVGQKCLKCKSYNTRQT >Ma03_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18579684:18587429:-1 gene:Ma03_g16340 transcript:Ma03_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADHCESPILEPKISQDDGTENFINCTESSDRNCGKPQDLTYTPDLLADERLAKGLFQYGCPHYRRRCHIRAPCCGKIFYCRHCHNEAMNSIDVERRHRHELPRQEVQQVICSLCGTEQEVQQICINCGVCMGKYFCGTCKLFDDDVSKQQYHCSGCGICRIGGRENFFHCFTCGCCYSIVLKNSHSCVEGAMHHDCPVCFEYLFESTNDISVLPCGHTIHVNCLKEMQQHLQFACPLCSKSVCDMSEVWEILDMEIAATPMPGSYHNKKVRILCNDCGAISEVQFHVVGQKCLKCKSYNTRQT >Ma03_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28291835:28293704:1 gene:Ma03_g23870 transcript:Ma03_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWEDMEEAAEACRSRFSLLFFSLAAVVVLLAMVLRVLRSMPWWCSCPVCEAYVTNSWAARFDNLCDWYAHLLRESPTRTIHIHVLRNTVTANPDNVEHMLRARFDNYPKGKPFSAILGDLLGRGIFNVDGDPWCFQRKMASAELGGASVRFFASCAVASEVRGRLLPLLDVACGGGRVLDLQDVFRRFAFDSMCKISFGLDPGCLELSLPMSDFAAAFDKASRLSAWRATATMPLVWKAKRLLNWGSERELGDAIGLVNLLAKELIRQRRKLGFSSNHDLLSRFMACVDDDDDKYLRDIIISFLLAGRDTVASALTCFFFLLSRHPDVRSAIRDEIDRVVERDAVTASYDQLRDLQYVHAAIYESMRLYPPVQFDSKFCLEDDVLPDGTAVRRGTRVTYHAYAMGRMEELWGSDCSEFRPERWLRNGAFNPESPYKYPVFQGGVRVCLGKEMALMEMKTVITAVVRQFDVEVISADEGGGGNRPPKFATGLTASLKGGLPVRVRRRVESSAEPRRGAC >Ma02_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29091403:29092221:-1 gene:Ma02_g24510 transcript:Ma02_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGWAGVSPRISFSHDLTQADISGGDDHRLDLSLPLDVSASSDFDFVLGADIFSHDSSLADDLFSDGKLLALPIKNPPSSSSAASPSSLPSASAADPPAAPKPASARRRKRGSLREIMASCSEGGVGIGRPSPRRSSSLNCGDSRRSLCPFPLLRSKSSGSMPMNPSSTKHCDNSSINTIASFSSPFTELFRKGSKEPKTRVYYYSGSSRRSHGNAVRISPIINVPTPSSMSIFSFLLCKHGDKNMAKSSAVTCYP >Ma11_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:340109:343727:1 gene:Ma11_g00500 transcript:Ma11_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILTQERLLGVALGAAFTASVVLENRRAIHGSISDNRPVYYEEHMLGKKMSSEFAQMWNKAVDGTLGQLIIYLSSRGW >Ma06_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5155561:5157949:1 gene:Ma06_g07240 transcript:Ma06_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKSNTYVPIGHVDQLPRGEKASGEAPRSLASVARHVGGACLHVLASPGTGTLVFASVCLVLAYKHKKSKLTASSTAAAATIPKAPQQSPSTPVTPVLYRSVSFGMLYGGENAMQRIMHAHEARLDSTKLNKAVDDLSAELTNERKDYSKLNVLAASFEMSGREKEAIKILEAALEKSANNKEEMHEIEMLLVEMLIYEGDYVKALGYTTLCGEETSAADPRVPLYKAAIFALMGKMYRAKECYETFKEIQKNYNRLKFYKDGSTVHFVVPEFDQFMTIVNNIKKEIKDAHSTTTVASKGNQGTQQVQPGGTAVDQAKATPQAPQGGTATDQGTQQTQGGTPQVGTRQPKQEGTATDQGTQAQELNKLSNNPQVTLMALSVVLRPCSTFLAIPVQYPIQLTNCGYVNIVSSSKLFKLQLLDLLLP >Ma08_p33640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43910971:43915837:-1 gene:Ma08_g33640 transcript:Ma08_t33640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPINRSRSQSRAGSPWSFPGMDYSDSRRKPQVVAKLVMVAILTAFCIFILKQSPAFSGPSLFLRREVGATHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLEGLFPEPGRLQFIYADLGDARAVNRIFAENAFDAVMHFAAVAYVGESTLDPLRYYHNITANTLTLLEAMSAHGVKTLIYSSTCATYGEPEKMPITEGTPQLPINPYGKAKKMAEDIILDFSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTNDGTCIRDYIDVTDLVDAHVKALDKARPSKVGIYNVGTGKGRSVKEFVKACKKATGVEVKVEYLSRRPGDYAEVYSDPSKINQELNWTAQYINLQESLSVAWRWQKAHPNGYGSQSVITL >Ma08_p33640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43910971:43915614:-1 gene:Ma08_g33640 transcript:Ma08_t33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPINRSRSQSRAGSPWSFPGMDYSDSRRKPQVVAKLVMVAILTAFCIFILKQSPAFSGPSLFLRREVGATHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLEGLFPEPGRLQFIYADLGDARAVNRIFAENAFDAVMHFAAVAYVGESTLDPLRYYHNITANTLTLLEAMSAHGVKTLIYSSTCATYGEPEKMPITEGTPQLPINPYGKAKKMAEDIILDFSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTNDGTCIRDYIDVTDLVDAHVKALDKARPSKVGIYNVGTGKGRSVKEFVKACKKATGVEVKVEYLSRRPGDYAEVYSDPSKINQELNWTAQYINLQESLSVAWRWQKAHPNGYGSQSVITL >Ma08_p33640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43910971:43916014:-1 gene:Ma08_g33640 transcript:Ma08_t33640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPINRSRSQSRAGSPWSFPGMDYSDSRRKPQVVAKLVMVAILTAFCIFILKQSPAFSGPSLFLRREVGATHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLEGLFPEPGRLQFIYADLGDARAVNRIFAENAFDAVMHFAAVAYVGESTLDPLRYYHNITANTLTLLEAMSAHGVKTLIYSSTCATYGEPEKMPITEGTPQLPINPYGKAKKMAEDIILDFSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTNDGTCIRDYIDVTDLVDAHVKALDKARPSKVGIYNVGTGKGRSVKEFVKACKKATGVEVKVEYLSRRPGDYAEVYSDPSKINQELNWTAQYINLQESLSVAWRWQKAHPNGYGSQSVITL >Ma08_p33640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43910971:43916424:-1 gene:Ma08_g33640 transcript:Ma08_t33640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPINRSRSQSRAGSPWSFPGMDYSDSRRKPQVVAKLVMVAILTAFCIFILKQSPAFSGPSLFLRREVGATHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLEGLFPEPGRLQFIYADLGDARAVNRIFAENAFDAVMHFAAVAYVGESTLDPLRYYHNITANTLTLLEAMSAHGVKTLIYSSTCATYGEPEKMPITEGTPQLPINPYGKAKKMAEDIILDFSKKSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTNDGTCIRDYIDVTDLVDAHVKALDKARPSKVGIYNVGTGKGRSVKEFVKACKKATGVEVKVEYLSRRPGDYAEVYSDPSKINQELNWTAQYINLQESLSVAWRWQKAHPNGYGSQSVITL >Ma09_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6035499:6040849:1 gene:Ma09_g09100 transcript:Ma09_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MDGARVQLGEPIGDAVSRIRFAPGSNNLLISSWDSVLRVFDVDGCVLRVRAPSDGALLDCCFEDEKAALSASSDGCIRRYDFCSETQTIVGNHDDSVTFIDHSKETGYASGSVDGCVALEYFDPSKSHEMGCVFRCHPKSKSGRCHLVTVNDIGFHPCSDTFVTGDNEGYAIIWDIQSKKRLYELPRYPCSVASLSYNHSGQLLAVSSGCIYQEAREVEEAPQIFIHAMENIAKPSRLS >Ma09_p09100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6035499:6040849:1 gene:Ma09_g09100 transcript:Ma09_t09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MDGARVQLGEPIGDAVSRIRFAPGSNNLLISSWDSVLRVFDVDGCVLRVRAPSDGALLDCCFEDEKAALSASSDGCIRRYDFCSETQTIVGNHDDSVTFIDHSKETGQFISAGLDKKLMFWDLHMKNGHAGCTQVVYSDIWSISLCQTYLVAVVGKQINVYDLRNLRVPVQIKESSMSYQIRCVRSFSSCEGYASGSVDGCVALEYFDPSKSHEMGCVFRCHPKSKSGRCHLVTVNDIGFHPCSDTFVTGDNEGYAIIWDIQSKKRLYELPRYPCSVASLSYNHSGQLLAVSSGCIYQEAREVEEAPQIFIHAMENIAKPSRLS >Ma10_p28890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35638424:35639460:-1 gene:Ma10_g28890 transcript:Ma10_t28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALGVHSGSKDAAETSPDMDRDEHKDEGAIGDADRKLSCTSDVNEEEHGSDNEDGEEKRAVVLGPQIALKEQLEMDKDDESLRKWKEQLLGNIDLTDVGEVLEPDVKIQDLTILTPDRPDLVLPIPFVPDAKGFAFALKDGSHYRLRFSFTVSDNIVSGLMYTNTVWKSGMRVENTKVMLGTFSPQKDPYTYELEEETTPAGYFARGSYSAKTKFVDDDGKCYLDLSYYFEIRKEWPTPA >Ma02_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27993978:27994663:1 gene:Ma02_g22770 transcript:Ma02_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSIASSPPEAASPAHCRAHKAFLLCNYVLLGAASSCIFLTLSLRLIPSACGLLLILLHALTIASAIAGCAAASGSSSRWYGAHMVATVLTAILQGSVAVLVFTRTSDFLADGLRSYVREEDGAVILKMVGALCLVIFCMEWVVMALAFVLRYHTYVDGSGVRRSAKVQQEGDVSNWPWPLQV >Ma03_p26230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30040261:30040770:1 gene:Ma03_g26230 transcript:Ma03_t26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSVHQKSPREGKAKKKPVKVVYISNPLRVTTSPAKFRGLVQKLTGRDSNVADTDARANSPTADSVPGRAVACDYGGTPGRVDPALHSGVAPYEAVEATPYEVFDDVFARQILDTFPGFERSTLYVI >Ma03_p31640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33785018:33786983:1 gene:Ma03_g31640 transcript:Ma03_t31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALVIFSPKGKLYEYSTNSSMEKLLQRYYESSYAERALVEADPRSQVSWCHEYGKLKAKVEALQKRQRQLMGEELEALTLKELQQLERQLDTSLRLMRSRKNHLLFDSIEELQRKASSTHYARSMNWGYVLDA >Ma09_p25410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36879568:36879840:-1 gene:Ma09_g25410 transcript:Ma09_t25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILQQERAGNLCSLIQARAYAREMNATLFFSSATHSINVNKIFKFVAAKLFNLPWEAERNLTIGEPIIDFEVLFYWFLAFFSLRVQDCT >Ma02_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28158637:28159422:1 gene:Ma02_g22940 transcript:Ma02_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQHLLGQAFLLVIQPHRWRGRKATTVGSRKRALRKCRHSKIVTANGPRDRRMRLSIDVARSFFRLQDTFDFDKASKTVQWLLTVSKAAIEELGTLSSAEHSGCSNRSPKSESSALVCQDSSAISSSKNKSSTVTAAAREVKKSKARKGGVKPSRKVEYHSALARESRAKARARARERTREKQRMTSLDIIKEETSLNNMNSLMEFANIEEDESCAPNWCLNVASDTAAAYQVPVIPVFENSGNEIMDSDLFVPWIRFRG >Ma09_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34493623:34493913:1 gene:Ma09_g22580 transcript:Ma09_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVDPPNGIGNVGKYYYSMRQTLFEVDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFVNCVDAVRTLRELKLLRHLQHENVISLKL >Ma01_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3934082:3938302:1 gene:Ma01_g05570 transcript:Ma01_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFAMARCGRVLMVPCRTVRRKSSVLYSLFSGFCNSADLPELPDWFRYPQGEQNFVDSDDDFVLPTKLEFLEDSGCSLKAHDWRLDYRRGSYDIDDGDSDLDTICSILKSNFTSVEAVFQALNGFSVHVSDDLVDKILKRFSDDWSRSFGFFMWADTQVGYEHSPHSYDMMVDILGKLKQFNVMWRLVDEMVRLGGLVSLATMTKVMRRLARAGRWNDAIRTFHRIESFGVKKDTIAMNVLLDTLCKERSVEHARDAFLELRIEVWPNASSFNTLIHGWCKARKLEEARRAMEEMREFGFSPCVITYTSLIEAYCLEKNFRMVDAILDEMRVHGCQPNIVTYTIIMHSLGKAKEIQEALMIYDKMKTDGCAPDTSFYNSLIYILGKAGRSRDTNGIYEEMCKNGISPDVTTFNTLISAACDHSQEENALKLLFSMQEVHCKPNIETYTPLLKLCCKQKWVKILMYLLGHMFKKDISLDLSTYSLLVHGLCRNGKVDQSCLFFEEMVLKGFIPKQHTYNILIKELKRKNMESLKVKIQQLMLQAESMKLSNLHAVNKDDIE >Ma01_p05570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3934082:3938302:1 gene:Ma01_g05570 transcript:Ma01_t05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFAMARCGRVLMVPCRTVRRKSSVLYSLFSGFCNSADLPELPDWFRYPQGEQNFVDSDDDFVLPTKLEFLEDSGCSLKAHDWRLDYRRGSYDIDDGDSDLDTICSILKSNFTSVEAVFQALNGFSVHVSDDLVDKILKRFSDDWSRSFGFFMWADTQVGYEHSPHSYDMMVDILGKLKQFNVMWRLVDEMVRLGGLVSLATMTKVMRRLARAGRWNDAIRTFHRIESFGVKKDTIAMNVLLDTLCKERSVEHARDAFLELRIEVWPNASSFNTLIHGWCKARKLEEARRAMEEMREFGFSPCVITYTSLIEAYCLEKNFRMVDAILDEMRVHGCQPNIVTYTIIMHSLGKAKEIQEALMIYDKMKTDGCAPDTSFYNSLIYILGKAGRSRDTNGIYEEMCKNGISPDVTTFNTLISAACDHSQEENALKLLFSMQEVHCKPNIETYTPLLKLCCKQKWVKILMYLLGHMFKKDISLDLSTYSLLVHGLCRNGKVDQSCLFFEEMVLKGFIPKQHTYNILIKELKRKNMESLKVKIQQLMLQAESMKLSNLHAVNKDDIE >Ma08_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15790358:15793502:-1 gene:Ma08_g15600 transcript:Ma08_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEISPAAQMDRIKTVVVLVQENRSFDHILGWMKSINPDIDGVSNDRELSNPLSASDPNSSLVYFGNQSVYVDPDPGHSIQAIYEQIYGVPFTAGVTPITPPGIDSPPMNGFVQQAEKEKPGMSSIVMNGFKPEAVPVYESLVREFAVCDRWFASMPASTQPNRLFVHSATSHGFTSNDTKKLVAGLPQKTIFDSLHEAGLSFGIYYQYPPATLFYRNLRQLKYVGDFHPFDLKFKKHCKKGKLPNYVVIEQRYFDLKLLPGNDDHPSHDVSEGQKLVKEVYEALRSSPQWNEILFVIIYDEHGGFFDHVPTPVRVPSPDDIVGPEPFYFKFDRLGVRVPTLFISPWIEPGTVVHRPSGPHPTSEFEHSSIPATVKKIFNLKDFLTKRDEWAGTFETVLTRTTPRTDCPEILPEPRKLRPTEAAETAKLSEFQTELVHLGAVLNGDHTKDTYPHKLVENMTVADGAQYVQDALQTFLEECENCRNNGFDGSHVVVVNPARDATVQDTRSLLQKMLSCLLCNRS >Ma04_p26040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27653142:27660736:-1 gene:Ma04_g26040 transcript:Ma04_t26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarylacetoacetase [Source:Projected from Arabidopsis thaliana (AT1G12050) UniProtKB/Swiss-Prot;Acc:Q8RW90] MGLRSFVEVFPDSHFPLENLPYGVFRPTSTARGDGSRPAPRPGVAIGDFVLDLSVISAAGLFDGPILRDSPCFLQPCLNMFVGMGRPAWKEARLTLQRLLSAEDPTLRDNTSLREKSLLNMNEVEMLLPTVIGDYTDFFSSMHHAKNCGTIFRGPENPIPVNWFHLPIAYHGRASSVVISGTDIIRPRGQGYPTEKSPPYFGPTQKLDFELEMAAIVGPGNELGKPINVDEAADHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALEPFACDGPKQDPQPLPYLAESKHINYDIPLEVWIKPAGHEHPVILTRSNFNNLYWTLTQQLAHHTINGCNLRPGDLLGTGTISGPEAESLGCLLELTWNGQKPLNLIGAARKFLEDGDEVFFTACCKGKDYNVGFGSCTGKVLPAIP >Ma07_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3699156:3705111:1 gene:Ma07_g05070 transcript:Ma07_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRKAYGALKDSTKVGLAKVNSEFKDLDVAIVKATNHVECPPKERHVRKIFAATAASRPRADVAYCIYALGRRLSKTRNWTVALKTLIVMHRTLREGDPTFREELLSYSRRGSVLQISNFKDDSGPLAWDCSAWVRTYALYLEERLECFRILKYDIEAERLMKSPQHSAKGHSRTRSLCCPDLLEQLPALQQLLFRLIGCQPEGAAFGNFLVQYALALVLKESFKIYCAINDGIINLVDMFFEMSKYDAIKALEIYKRAGQQAEALSEFYEICKHLELARNFQFPTLRQPPTSFLATMEEYIREAPGIGSISSKKLEYEEKNEPTEDQEEAPPPETEKPEEDEKQPPEEEQPPEPEPIMEAEPQPATMGDLLGLDEINPAAAELEESNALALAIISPGNDTKASTAHDLFGTDSSGWELALVTTPSSNTSHLVESQLAGGFDRLLLESLYEDSSRRQQIAAAYSGGGMDANPFDFGDPFAMSNSIAPPPSVQMALMAQQQQQLYYQQQLQQQYYSPQQQQQQQQQMMMMMVPYQPPYPQQQISSANPFGDPFAGFPQGSTPQGNQNLL >Ma10_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29279159:29282100:-1 gene:Ma10_g18300 transcript:Ma10_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTPGAVSKTVKDVSPHEFVKAYSAHLKRSGKLELPEWIDIVKTGRFKELAPYDPDWYYIRAASMARKIYLRQGIGVGGFQKIYGGRKRNGSRPPHFCKSSGAIARHILQQLQNVNIIEIDPKGGRRITSQGQRDLDQVAGCVWGTSITLSSVT >Ma05_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32793213:32809960:1 gene:Ma05_g21080 transcript:Ma05_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYRGLNVRRDRGGCCPPMDLMRSEAMQLVRIIVPVESAHLTLSYLGDLGLFQFKDLNADKSPFQRTYANQIKRCGEMARKLRLFKEQMAKAGISHSEMAMTQTRIDFDEMEIKLGELEAELIEVNSNNEKLQRSYNELLEYMLVLKKAGEFFYSAQSSATAQQREIEARQTGDGSLDSPLLLEQEMLTDPAKQVKLGFVSGLVPKEKAMAFERILFRATRGNMYLRQAAVDDPVIDPISGEKIAKNVFVVFYSGERAKTKILKICEAFGANRYPFTDDIGKQMQMISEVSGKITELKTTIDLGMLHRDNILKNISYQFEQWNNLVRTEKAIYHTLNMLSLDVTKKCLVAEGWSPVFATSQIQDALQRATYDSNSQVGSIFQVLHTKESPPTYFQTNKFTSAFQEIVDAYGIAKYQEANPGVYTIVTFPFLFAVMFGDWGHGMCLLLATLMLIFREKKLSSQKLGDIMEMMFGGRYVILMMALFSIYTGLIYNEFFSVPFEMFGRSAYACRDLSCRDATTEGLVKVREAYPFGVDPKWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKFFSNSINTWYQFIPQLIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFPGQKTLQLVLLLLALISVPWMLFPKPILLRKQHNERHQGQSYTMLHNTEESLEIEEDHDSHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGFNNIAILIIGIVVFVCATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALLTDEED >Ma05_p25380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37338873:37342402:-1 gene:Ma05_g25380 transcript:Ma05_t25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 6 [Source:Projected from Arabidopsis thaliana (AT3G21350) UniProtKB/Swiss-Prot;Acc:F4IXJ7] MATTPLPPAGQVALPAVGNPGGGASEGMPPPPGTDMTGICFRDQLWLNTYPLDRNLIFDYFALSPFYDWSCNNEQLRARSIHPLDISHLSKMTGTEYALSEVMEPNLFVIRKQKRDGPERVNPMLTYYILDGSVYQAPQLSSVFAARIGRALYHISKAFNTAASKLEKIGYADAENEESSAELKSAKETIDLKELKRVDHILASLQRKLPPAPPPPPFPEGYAPSASDAEKGPDSQQASEQQPSVDPIIDQGPAKRMKFQ >Ma10_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24053090:24064544:1 gene:Ma10_g09940 transcript:Ma10_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAIAGSRPTLLITNDDGIDAPGLRFLVDLLVAADRYRVLVCAPDSDKSGVGHSITWHRALSAKRAEIMGATAFAVSGTPADCASLGISGKLFDGVIPDLVISGINIGSNCGYHVVYSGTVAGAREAFLYGVPALAISHNWKGRKSDVHDLKLAADACLPLINAVLYELKAKAYPEGSFLNVDVPTDVANHKGFKITKQGKSMTRIGWEQTYSSTPALESYQTANMNIDSGSGPANNASSALVEDELLFKRVVIRKNDVEEEEEDTDHRALEEGYITITPLGALSHTEMEAVPYFKGWLMRLAERTSSSSL >Ma05_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17517434:17534261:1 gene:Ma05_g16590 transcript:Ma05_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQDSRNFGWELSDEIGFNWKKLLHNKTQEIIRLNGIYKRLLSNAGVTMFEGEGKLIDAHLVEVTQPDGTAKQYSAKHILIATGSRAQLVNIPGKELAITSDEALSLDELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRKELPLRGFDDEMRAVVAKNLEGRGIRLHPGTNLSELRQSGDGIRVLTDHGDQITTDVVLFATGRLPNTKRLNLQAVGVEVDKTGAVKVDEYSRTTVPNIWAVGDVTNRINLTPVALMEGTCFSKTVFGGQPTKPDYINVPCAVFCIPPLSVVGLNEQQALEQTKSDILVYTSSFNPMKNTISGRQEKTTMKLVVDAETDEVLGASMCGPDAPEIMQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSITRCVAAGTKLKTNL >Ma02_p15260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23035379:23036185:1 gene:Ma02_g15260 transcript:Ma02_t15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTSSPCAACKLLRRKCTPACVFAPYFPPDQPTKFASVHRVFGASNVAKLLNELSPSQREDAVNSMAYEAEARLHDPVYGCVGYIWLLQHQLNQVQRDLYDAKKELSTYLSAPIADPQKPAHQLLHYRQRLQGASPSAAPPTVTVMTLGLATPEASSHQQILMHELQQQMAAVQQIAETAVASTREQEMLRSYEQQQKEHARFSAVSMEGGGRGYCPTAFEGLLCVQQQHTPKQQVLPMGRSSGHHHERSGALALDTCRLSFPGKS >Ma08_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35939882:35942120:-1 gene:Ma08_g22320 transcript:Ma08_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLMEGTVNSGCPNYKQIFSSDEKSFCCGSTHPPSMGERTGEKYLSEPPQENFSDAADEESDEDVDIEELERRMWRDRMRLKRLKEQQQNKNKEQVDSAKQRQSQEQARRKTMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNFRGWWKEKVRFDRNGPAAIAKYQADTAILGSSSETNSATAGLHSLQELQDTTLGSLLSALMQHCNPPQRRFPLEKGVAPPWWPTGREEWWLQLEIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRKLVRQSKGLQDKMTAKESTTWLAVIKQEEDFYMKMHPDACFPPSSGSGNTGATSLGSSCIEYDVEGVDDGESMDTVNHKSHAEVNAFDLGSTAKNEKTVITASVKEETKMEFIQKRGAAEPESMQNQRIYTCGNVQCPHSDFRHGFLDRNARNSHQYLCKYQNTIPQGIGSAISGPKMKGNKPPIFSLPFNTQLDPTSLGSGLNSVDISDVGVPYDGQKSINELMSFYDNNVNANKNLNMGGIGMSKMMNSVQPRIQMEDNFPQGTRLGGNVFEEVDNLVQQQQQFLFHEDIMPFEQQFGDQPNGLSGDFSFCPFNMHSMNYSDTLQREMGDPLQKSESLNWFY >Ma02_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14754305:14757547:-1 gene:Ma02_g03390 transcript:Ma02_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEDLIRSIELWLRLTKKQQPLVDPNLDPVLLVPGIAESILTADDNGKVERMWVRIIIGADHEFRANVWSEFDPSTGLDGCRWEPPPRGGIP >Ma05_p31440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41356205:41357951:1 gene:Ma05_g31440 transcript:Ma05_t31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRQRWRAEEDAILRAYVKQYGPREWNLVSQRMNVALNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTPKRLGKWWEVFKEKQQRQQNESNKAATSPAEPAKYDRILENFAEKLVRERRGVPLLMAAPFLPLWLSNNGGSHGPPSPSVTLTLSSSTVPPTPKPWLQSEGGADGGLGLAMSQKGMALGVSGSVVVDGHIMTELVECCREVEEGHRAWMEQKKEAAWRMKRLELQLESEKTGKRREKMEEIEAKVRALREEQQAALERIEAEYGEQIARLRKDAEAKEQKVAEQWAAKHVRLSRFLDQVGYRQWPPAETHGR >Ma02_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7793372:7804132:-1 gene:Ma02_g01060 transcript:Ma02_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRQVDSWSKRLGSNANGNAPFGGSVIGSFSKTCFEKDFPSLQAEGRQGLSDASGVSTPGLRTAVQSLPFSSPFIIGTSALAEVPVKVETNGNVLSPVAQVAPISQASATGSTMTGLNMAEALTQLSVDTQRIEELTLKKCKQLIPMTPLMPKALSCNSSEKTKSKLAIGGDYSSPTKVGQRLHVNLTVGKLARSDIAKTSQTGNFQVLNREKKNVSPAAKDNSNVGKPMNLIGVAPSAVVLPLKSPTDQNLKVDKNGALSHTSFGERKLLTQAQNRNDFFNLLRKKSSSSARAILEPTSVGLTSKLDKSEEVNLQITSPTTMENNNLSLVSDLDCSTEIRNCTNGDFCASDESKRFWTDNGETNGCADIVVDPEEEAFLQSLGWDKNAWEEALTKEEIEAFLKKHPILGTRNRDH >Ma09_p17940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13926950:13937496:-1 gene:Ma09_g17940 transcript:Ma09_t17940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILKGRDGTSLTSNQASSVEKETHVGTESFTDSKMDMFQHTESALNLATVPEISESLDDIKNNVACKIDMEDSDSNKLANQALPIETNLSPELSSNSYQLETYSIQFEKSRKKEISLSGFSYDIQHICSDVTSTCTLQPVAASVDANEFSRVSSSDEVRRIMEVKCDIKAKATSTSEVIKKTESCFDNSGDGQHVAAEGSPKLLPSGQKDECATLLFQLENKVLRDASSLIHSREASKLGSGDGSESGQSAVHEKNSLDFKNRCNDSYAVHVKEHAPSSVNHVVKDVSSDVTKDRDQSSNLAALSNTETLLSSSRKKLIVLDLNGLLADINQEYHYAHKAHRRVGGKLVFKRPFCDDFLKFCFERFHVGVWSSRRRYNVDVVVDFLMGNLRHKLLFCWDQSKCTDTGYTTIENMHKPLVLKELKKLWNNEGHDLPWEKGEYSPSNTLLVDDSPYKAICNPPHTAIFPFPYKFTDENDNSLGPGGDLRAYLEGLTMADDVQLYVQGHPFGQQAILDSNPSWNFYLKIIDKIQNSSSLTASRG >Ma09_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13926950:13937496:-1 gene:Ma09_g17940 transcript:Ma09_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIFFVKTTLSYNAKDQFKAGEGANPRTKSRNKMGKIKRGGIFIRRKKEKAMGKKRKTLASDNDIAQTSVVHEDGSNSILQDASLKHCIVYQRRRKKNSSDTNSAVSLSGIFEMVSILKGRDGTSLTSNQASSVEKETHVGTESFTDSKMDMFQHTESALNLATVPEISESLDDIKNNVACKIDMEDSDSNKLANQALPIETNLSPELSSNSYQLETYSIQFEKSRKKEISLSGFSYDIQHICSDVTSTCTLQPVAASVDANEFSRVSSSDEVRRIMEVKCDIKAKATSTSEVIKKTESCFDNSGDGQHVAAEGSPKLLPSGQKDECATLLFQLENKVLRDASSLIHSREASKLGSGDGSESGQSAVHEKNSLDFKNRCNDSYAVHVKEHAPSSVNHVVKDVSSDVTKDRDQSSNLAALSNTETLLSSSRKKLIVLDLNGLLADINQEYHYAHKAHRRVGGKLVFKRPFCDDFLKFCFERFHVGVWSSRRRYNVDVVVDFLMGNLRHKLLFCWDQSKCTDTGYTTIENMHKPLVLKELKKLWNNEGHDLPWEKGEYSPSNTLLVDDSPYKAICNPPHTAIFPFPYKFTDENDNSLGPGGDLRAYLEGLTMADDVQLYVQGHPFGQQAILDSNPSWNFYLKIIDKIQNSSSLTASRG >Ma08_p15960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16625932:16636909:-1 gene:Ma08_g15960 transcript:Ma08_t15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLPNDSDKRMIPKDQIDSPSIEEGNSSGSLNGGNQVLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKHTGLSRCGKSCRLRWANHLRPNLKKGAFTPEEEQLIIELHAKMGNKWARMAAYLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPNICYQVSCENQQSNHSSDYSYNEKQPDEFLQGGNLDIPDIVFDNYTNNGSLSYAPSFPDIAVSSILSHRFGFQNYALPNPPVCCVERPVETEHVVPASNGTVNGGCPRLDNVIFEPSGKMQESFGLSCLYDPDSSSDSLAHHGGAIPGSCASLNDTFSASSLIPGTLKLELPSLQYTETDSNSWLACSSTPFDLVNTYVKSPPTASLQTDCVSPRKSGLLEALLHEAHVLSSAKKEPSEKISISFITPAELADGPKLSINDLKLVEHKDPVSPLGRSAAFVFNDGTPLISGNLLDEFPHPLAPSNLDSILVDAEHVSSPNLGEQDISHCRDSFRPDALLGSAWLENSSQNAIDHSVFNDAISILLGQDMCNEYKPVPAETSSGVMQGFGLDSYPWNNMPSACQMP >Ma08_p15960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16625932:16636578:-1 gene:Ma08_g15960 transcript:Ma08_t15960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLPNDSDKRMIPKDQIDSPSIEEGNSSGSLNGGNQVLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKHTGLSRCGKSCRLRWANHLRPNLKKGAFTPEEEQLIIELHAKMGNKWARMAAYLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPNICYQVSCENQQSNHSSDYSYNEKQPDEFLQGGNLDIPDIVFDNYTNNGSLSYAPSFPDIAVSSILSHRFGFQNYALPNPPVCCVERPVETEHVVPASNGTVNGGCPRLDNVIFEPSGKMQESFGLSCLYDPDSSSDSLAHHGGAIPGSCASLNDTFSASSLIPGTLKLELPSLQYTETDSNSWLACSSTPFDLVNTYVKSPPTASLQTDCVSPRKSGLLEALLHEAHVLSSAKKEPSEKISISFITPAELADGPKLSINDLKLVEHKDPVSPLGRSAAFVFNDGTPLISGNLLDEFPHPLAPSNLDSILVDAEHVSSPNLGEQDISHCRDSFRPDALLGSAWLENSSQNAIDHSVFNDAISILLGQDMCNEYKPVPAETSSGVMQGFGLDSYPWNNMPSACQMP >Ma08_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16625932:16636910:-1 gene:Ma08_g15960 transcript:Ma08_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLPNDSDKRMIPKDQIDSPSIEEGNSSGSLNGGNQVLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKHTGLSRCGKSCRLRWANHLRPNLKKGAFTPEEEQLIIELHAKMGNKWARMAAYLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPNICYQVSCENQQSNHSSDYSYNEKQPDEFLQGGNLDIPDIVFDNYTNNGSLSYAPSFPDIAVSSILSHRFGFQNYALPNPPVCCVERPVETEHVVPASNGTVNGGCPRLDNVIFEPSGKMQESFGLSCLYDPDSSSDSLAHHGGAIPGSCASLNDTFSASSLIPGTLKLELPSLQYTETDSNSWLACSSTPFDLVNTYVKSPPTASLQTDCVSPRKSGLLEALLHEAHVLSSAKKEPSEKISISFITPAELADGPKLSINDLKLVEHKDPVSPLGRSAAFVFNDGTPLISGNLLDEFPHPLAPSNLDSILVDAEHVSSPNLGEQDISHCRDSFRPDALLGSAWLENSSQNAIDHSVFNDAISILLGQDMCNEYKPVPAETSSGVMQGFGLDSYPWNNMPSACQMP >Ma05_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9077859:9083257:-1 gene:Ma05_g12550 transcript:Ma05_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEYLDKMQLRQSYRNVWHTDLMSTIQADFPFCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYLPCSGKCGERRCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQVACIFSIVAMIVGSEEIQEASQILSCMSDFVYCTVCACMQTQHKVEMDKRDGKFGPPPAMAVPPVQQMSRIDQPIPPPAGYAPQPAYGQPYGYPPPAYPPTGYPPAYPPGSYPPAGSYPPPGSYPAPGYPPTGYPK >Ma06_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3992586:3998747:1 gene:Ma06_g05380 transcript:Ma06_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCSILVHFDKGSPAMANEIKEALEGSDVEAKIDAMKKAVMLLVNGETLPQIFITIVRYVLPSDDHTVQKLLLLYLEIIDKTDARGRVLPEMILICQNLRNNLQHANEYIRGVTLRFLCRLSEPEILEPLVPSVLANLEHRHPFVRRHALLAVAAIHRLPGQAGEQLLPDASELVEKVLASEQDPSARRNAFLMLATCAQTRAVVHLLSQADHVPEWGELLQMAALDLIRKVCRSNPSEKGKYIKIIISLLNSPSAAVVYESAGTLVSLSSAPTAIRAAANTYCQLLVSQSDNNVKLIVLDRLNELKLLHREIMVEMIMDVLRALSSPNLDIRRKTLDIALDLITSRNVDEVVLMLKKEVVKTQSTELEKNGEYRQMLVQAIHLCAIKFPEVASTVVHLLMDFLGDTNVASSIDVILFVREIIEANPKLRVSIITRLLDTFYQICTARVCSCALWIIGEYCLSLSEVESGIQTIKQFLGDLPFYVATEDGEAADASKKPQQVSTATLSSRRPVVLADGTYATQSAASETALSAPMVLPGSLASSLNLRSLILSGDFFVGAVVACTLTKLVLRLEQVQPSKAEANKACSGALLIMTSMLQLGQSSFFPQPIDNDSHDRIVLCIRLLCNTGDEVRKIWLQSCRQSFAKMLAEKQFREAEEIKAKAQISHAQPDDLIDFYHLKNRKGMSQLELEDEVQDDLKRATGEFMRDGDDANKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNSLIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISVEKQTDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGN >Ma01_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11641382:11643664:1 gene:Ma01_g16020 transcript:Ma01_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKIETGHQDVVHDVAMDYYGKRLATASSDMTIKIVGVSGSSHQHLATLSGHHGPVWQVAWAHPKFGSMIASCSYDGRVIIWKEGSKPDEWIQAHVFTEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARTDGGWDTTRIDQAHPVGVTSVTWAPALAPGVLVGSGQLDPVQKLASGGCDNTVKVWKFYNGNWKMDCFPALQMHSDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGDQWEGKVLNNFNAPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVEP >Ma09_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36459112:36462820:-1 gene:Ma09_g24840 transcript:Ma09_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIALSSGYRMPIIGLGVWRMESKSIRDLILSAISIGYRHFDCAADYQNEAEVGEALAEAFRTGLVKREDVFITTKLWNSDHGHVLEACKDSLKKLQLDYLDLYLVHFPVATRHTGVGTTASALGEDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYSKIKPSVNQIETHPYFQRESLVKFCQKHGICVTAHTPIGGAAANAEWFGTISCLDDPVIKTLAEKYNKTAAQVILRWGVQRNTVVIPKTSKIDRLHENLKLFDFVISDEDMETIKGIDRKYRTNQPAKFWGIDLYA >Ma10_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31395841:31400325:1 gene:Ma10_g21740 transcript:Ma10_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKWLPQSLLGGGQDQEEDPLGEFDDDSCSLSPLQRLYGFAASLVVGLAFMLLSLIVFYKPIKFAIMFTFGNILAVGSTAFLIGPVQQARMMLDPVRIYATAVYVGSVILALLCALWIHSKVLTLIAIISEICALVWYSLSYVPFARRMVSELLISCCDTEI >Ma08_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35968843:35973987:-1 gene:Ma08_g22370 transcript:Ma08_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSGRDGVEDGGDEDASVRSRSDADPGSTNVRRVRSVDSVESWPPESPGRSRSPLMFAPQVPVPPLHGAADAPPVFNQLWMNEPDQLSDGPLEKGIPTLITWNRGGNVVLVEGSWDDWTSRKHLQRSGKDHAILMVLPSGVYRYKFIVDGQLKYIPDLPFVSDEMGNITNLLDVHDYVPENVESISEFDLPPSPDSSYSWSLTDEDFAKEPPLVPSQLHLTVLGMQNTDEEASPKPQHVVLNHLFIEKGSSSQSMVALGLSHRFQSKYVTVVLYKPVRR >Ma02_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26498941:26502423:1 gene:Ma02_g20590 transcript:Ma02_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGMFKFLRPRLRPQPTDVAAAATWGVAATATAVWLIQPFDWLKKQLFQNPEPEK >Ma07_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29581312:29591522:1 gene:Ma07_g21490 transcript:Ma07_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGAADAQIEDLFVSKKPIKNPLVPIGALMTAGVLTAGLVSFRQGNSQLGQKLMRARVVFQGATVALMVGSAYYYGETFRGSKNKNLAGRVAADQSHKWVFEELQDHEINALPGWSNLVDDSPFLLLLPPPPPYPSLGACDLDLVWNQESFLLLAKLPPFRDLESRICCEAPSLLAAPSDDSRVCSKSMRKEMVVTPYQSSGPHWLLTQVKNRGGASSSHHSSHLGALSRDSIPFQPSPTTTAAAAAASSPTSRISPAVLFTIVILAALFFISGLLHLLVRFLLRKRPPSSSISSSPHSNAHARRRRNADLPGPGTLQRQLQQLFHLHDSGLDQAFIDALPLFLYKEILGSKEPFDCAVCLCEFAPDDKLRLLPVCGHAFHLGCIDTWLLSNSTCPLCRGAIFVQGLAIENPMYGFDDYREEDEEGFSGDQEAEADERMFSVKLGKFKKLSTGASDGAVDDGSSMGESKVSREIGETSSSNLDARRCFSMGSYQYVVSDASLQVAFTGSTMNGDGRGRRVNAMSRDESFSVSKIWQWSDKKGKFPVCSDSAPLDGHLPWIRPSLEDA >Ma05_p17760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21568067:21609634:1 gene:Ma05_g17760 transcript:Ma05_t17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTLDQFLSRSLHSRANIIGEDAAVATARRMYSTEKPCGVDFYNGGLPPPPQMILAESSGEDHEVRAPKKRAETWIQEETKSLIALRREIDGLFNTSKSNRHLWEQISTKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQIKGSGSVKMSYYKELDELLKERSKKAGFKSSAASKVDAYLHFPDKGLEDANIPLGPVEANGRSPLNMDRPLEHDRHPLAITAAEAVAASGVNPWSWRDPSVNDNNASYDGRVILVKWGDYSRRIGIDGTAEAIKEAIKSAFGLRTKRAFWLEDDENVIRSLDRDMPLGSYTLHLDEGISIKVCIYEETDRIAVHTEEKTLYTEDDFRDFLSHRGWAGLRELSSFKNVVTLDDLRPGAMYQGMKLLSD >Ma05_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21568066:21609634:1 gene:Ma05_g17760 transcript:Ma05_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTLDQFLSRSLHSRANIIGEDAAVATARRMYSTEKPCGVDFYNGGLPPPPQMILAESSGEDHEVRAPKKRAETWIQEETKSLIALRREIDGLFNTSKSNRHLWEQISTKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQIKGSGSVKMSYYKELDELLKERSKKAGFKSSAASKVDAYLHFPDKGLEDANIPLGPVEVYNNSYIHDYLANGRSPLNMDRPLEHDRHPLAITAAEAVAASGVNPWSWRDPSVNDNNASYDGRVILVKWGDYSRRIGIDGTAEAIKEAIKSAFGLRTKRAFWLEDDENVIRSLDRDMPLGSYTLHLDEGISIKVCIYEETDRIAVHTEEKTLYTEDDFRDFLSHRGWAGLRELSSFKNVVTLDDLRPGAMYQGMKLLSD >Ma09_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13254196:13277057:-1 gene:Ma09_g17730 transcript:Ma09_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRSAISENDQDYRHRNIAKLMFIHMLGYPTHFGQMECLKLIAASGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLLTRDPNIKKKAALCSIRIIRKVPDLAENFLSPAASLLKEKHHGVLIAGVQLCTDLCKVSVDASNYLRKNCTEGLVRILRDISNSPYAPEYDIAGITDPFLHIRLLRLMRMLGQGDSDTSEYINDILAQVASKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAVAVDSQAVQRHRTTILECVKDSDASIRKRALELVFLLVNDTNAKPLTKELIDYLEVSDQDFKGDLTAKICLIVEKFSQEKKWYIDQMFKVLSLGGNFVKDDAWHALIVAISNAPDLQGYSVRSLYKAFQTSSEQVSLVRVTVWCIGEYGEMLVNNVGVLEVEEPMTVTESDAVDVLEACLTRYSSDIATRSMSLIALLKLSSRFPPTSERIKEIITVHKGSVALELQQRAIEFNSIIQRHQNIKSSLVERMPVLDESAYIGKRTSSSQANIVADKSSQPSSTATTLKFPNGVAKPPAAPLVDLLDLSADDTSVPSSTSNDFLHDLLGIGLTNSPSSGIAPSGGTDILMDLLSIGTPVQNDTASKVVSSNQGFAPTTKPVPTTIQVMDLLDGLPSNGSLPGSQTPVYPSITAFESSTLKIMFSFTKQPEKPHVNKIHATFVNLSSDAYTDFVFQAAVPKFVQLHLDPASSNQLPASGNGTITQTLTVTNSQHGQKALAMRVRMVYKVNNQEKSEQGQIDNFPPGL >Ma09_p30570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40642193:40642426:1 gene:Ma09_g30570 transcript:Ma09_t30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAGGCLPMGDLICYWLMSWISMSPRKRLFILQSKYKNLKGLVEN >Ma10_p29690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36186325:36188618:-1 gene:Ma10_g29690 transcript:Ma10_t29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAPPIGRSRAIPAARSRAGADPPLEVRRRNQALSQLIRSGRLREARRFFDALLDRRSVVSWNCMIGGYVRHRELVEARKLFDEMPQRDVVSWNSILAGYALSRDPGELEEACRLFERMPTRDIISWNTMITGYARNGRMEEAMQLFGRMPDANVVSWNTVMTGYLGVGDVQRAVELFDRMPIHDAASLNALVSGLIHNNRLEEAEEFLLGKRRKAKVIDGAIDAYNTLIAGYAQQGKVEEAKRLFDLIPHGPYQDAEVDAKKMHRKVRSFERNVVSWNSMIMCYVKASDILAARALFNEMPEKDLVTWNTMIAAYTQASAMDEAEALFQEMPNTDSWTCNSMICGFTQKGQVERARRIFDEMPRKSIVSWNAMIAGYEQNGDYDGAIDLFANMLVAGERPDRHTLSSVLSACAGHAKLLLGTKVHQLITKTIIPDIPINNALITMYSRCGKLMDAKAIFDGMGSQRNVVTWNAMIGGYAQHGQARGALELFEEMKRRCIRPTYITFIAILNACGHAGLVAEGRREFDSMVNEFRIAPKVEHYASLVDLIGRHGHLKDAREVISSMTVKPDKAVWGALLGACRVHNNVALAQVAAEALVEIEPESSAPYVLLHNMHVDEGKWDNATEIRKTMDKNRVVKQPGYSWIEMHNKVHIFVSGDTSHPSSHEIFSLIESCNRHIRDSQLD >Ma11_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4405587:4407100:1 gene:Ma11_g05720 transcript:Ma11_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPTMLTPLLEKSKSNRSSSDSQNIPTPTPPQVHHCIPCAGSGAGRHKSLAVLSGHVGSVSCLALCGEFVLSASHGKDIIVWQQPDLRRFARFGHGSGSVKALVTVGSRIFSGHQDGRIRVWKVSRGSENIFRLTATLPTTKDYLGRFLNQGNYVQTRRNHRRLWIEHADSISCLAVDGGFVYSGSWDKTLKVWRVSDLKCLESIRAHDDAINGLVAHRGALYSASADGKIKIWAKEKSSHRLKGVLEGHKDVSWNSVVVVCEDGRLAYGGGSDGRIMGWQGGEGGGNWKLVCDVRAHEMSVLCLCAAGEFLCSGSADKSIGVWRRRGGGGLCRVGIIGGHEAPVKCLQASAHSVGEGFMLYSGGLDRSLRVWWVSREQGEVKKVEGNNGGAEEALDKLS >Ma01_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10692036:10701840:1 gene:Ma01_g14640 transcript:Ma01_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MPSPYGPSSNLWVLLGLGVASIFIMTRRMRRRALKEDFGAFVERLQLLPPPPPAPPKAPHPLSGLTFAVADIFDIEGYVTGFGNLDWARTHESAARTAPIILALVDGGATCIGKTIIDELAYGMSGENKHYDTPTNPTVPERVPGGCSSGSAVAVASGLAEFSLGVDTIGGVRIPGAYCGILAFRPSYAAVSTLGFVPVSPSLDTIGFFSKDPNVLRRVAYLLIQAPYSGLRQPRNIFIADDCFELLKIPTSRVTRVLINSVEKLFGKKLLKHLNLGDYLSTKLPSLKQLQNGKKNGDSKSTLLSLAKAMQSLFNHEFRNNHEEWISSTKPALDPFISAQINRLADDSLALDCCQSAREESRLALNALLKDEGILVLPTVLGLPPKLNAKELSSEDYLNHTFCLSAIASMSGCCQVTIPLGLFEKCPVSVSFIARHGGDHLLLDTIQTMYAILQEQADIASKSNFSKSSITNEESAEIAKEKGNVAYKDKQWQKAISLYTEAIKLNGKNATYYSNRAAAYLELGSYLQAEADCSSAINLDKKNVKAYLRRGTAREMLGYYKEAIEDFKYALVLEPTNKTANLASNRLKQLFQ >Ma02_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21351169:21351378:1 gene:Ma02_g12720 transcript:Ma02_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMCRSCNHHVGTLETNIISGMFVSYKAHIYYHVYVSDHNIYICLTFLLFKFVVLMIVIAMKLMKSLF >Ma02_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13818577:13831118:1 gene:Ma02_g02590 transcript:Ma02_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGTKINEDGIAYYNNLINYLLEKGIQPYVTLYHWDLPYFLHESMGGWLSEKIVQYFALYAEACFEKFGDRVKHWITINEPRQTAVNGYGYGFFAPGRCENSSAEPYLAAHHQLLAHAAAVAVYRKKYKDAQGGVIGLTIDCEWAEPFSDKLEDKIAADTWLDFQLGWYLDPIYYGDYPLAMRERVGDLLPKLSEADKELLQNATDFVGLNHYTTRFVAHAENQRDIHFYQVQESERIAKWQSGEPIGQRAASEWLYVVPWGFQKVLNYIAKRYHNPPLYVTENGMDQEETETASLEEVLDDKMRVRYYQTYLAAVAQAIRDGVDVRGYFAWSFLDNFEWAEGYTKRFGLVYVDYKNGLTRYPKSSALWFSSFLKANEQDVVKEINQA >Ma04_p05230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3909873:3922937:-1 gene:Ma04_g05230 transcript:Ma04_t05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESGGEDARDVAEPYSPPSPSVGVDEARLSQCDRAECGGEAGCFSVHQGSSVASPHFGSDVDVLKLAALESVPDLTYAGDGDGEAASLEVKEADDSPIFLRGQVAEHDEAEVSLPQGFPVGPSCCSDGGFEKVIAFEPDAGDLLKEEVLHMAAEETKRTLIPQWQQGKDSLKQEVSTSLGSPAVSPSGSDGVLLKFASFETDATATIAGEDGAVEEANMDVDSVQVPLQHHEKDGVQEEVLMLPCSSDVGLLKFAASETVISANTANDDVALEEAVTQMDVDGVLSFACKQAENCGGEDISMPKSPVALSPSVSKERLEKVAAFEPDSLATAGDDDAVKEDDTVKEEVSLVDADKLDNVPLPLYEQIVDVGGQELTMPQVSLAEFPSVSDREDENIAKIEHDASTMSHGSADVIDDEPAQKDAKTDGTSVVEQRGGGRRKRGRPPKAQGQAARTLSRRKEEEEVCFICFDGGDLVVCDRRGCPKVYHPSCVNRDEAFFRAKGRWTCGWHICSSCERSAHHMCFTCTHALCRGCIKESGFFCVRGNKGFCQTCMSTVMLIETNEHGNEKMIRVDFDDKSSFEYLFKDYWLELKAKLSLTLEELTRAKNLTKKSDLATEKEDSSDDLHNAKQAQVSSSESSPEHKESLSSRRKIRKRSRNTVNEEGAVKVVENDGTSVSRDSNWASDELLEFVLHMKNGDRTVLSQFDVQGLLLEYIKTNKLRDPRRKSQIVCDSRLQNLFGKQRVGHFEMLKLLESHFLLKDVSPLDTEDKQGGVVDPDPDTDQTNARTSDISLKIMPDKRRKTRKKVEKELLNDLDDYAAIDVHNISLMYLCRNLMEELVDDISFEEKVIGSFVRIRISGVGQRQDLYRLVQVVGTSRAAERYKSGKKTTDVTLEILNLNKEEVIPIDIISNQEFTEEECKRLRQSIKCGFIGRLTGDVQEKAGSLQAVRINDWLESEKLRLGHLRDRASEKGRRKELRECVEKLQLLNTPEERNRRLNEVPEIHTDPHMDPDYESAEEEESDIRKQDYYNRSRGSSFVRNGKEVKSPGKGGSILSASWSGLRKNSNTWESNRSTLIEGASITDSSSGRGVNTIEFSLNQANDVCQASSSEASKNHGIASSSDASLYNEKVIRSEQLADGAQGNKKPSLPGGLAAIANESDKIWHYQDPSGKVQGPFSIIQLRKWNTTGYFPRNLKIWRTSEKQEDSILLSDALVGQFEKDLPEWEPHNNSTSQSATNLEGIQRGSINIALSNSKNDAQKHKFGENEKWDTRDSSNVSIPTAQPSSKCWLIAEGSINKHLSSSASLQTTTSASVSTSRGREELQCSLMINESSRSSSHSLRELDACSGQVVLGQNVILDGAKSHSASRSTTDTVFLGQTIALTQHPDKIDDLGNFHKKNSELESSSASENQTAPELPLREEMNRPSYVMDFGGSSSTKIGAGLCSNQSLEMKMILSHRPAASGILSSEHDQLSSSQSDDRQDHLFVLHGQHDPDKELLRVHDRSSDETTRKSDGSGRNSDFVACAQRGTSVSSVCFHPERLSFQLETSDPSKDPKENTHVTEVENLAPAASSKIFRVDSMRNSAPLAVSVDNQLHQTLTIPYPGSNLVPEVSSEAPDLSAHPSNAAANLFVQSISAQRSQTSAAPTPEPHKPGHEPMHSATHTKSSNKSTPSVPHMTPNGWNTATDSAIGNNTHSLPSDGSNTLSSSQFGVNATLVPVQQTQLGFDTGSSVQNTGFAVAAQNPNLNLGPVQGTGNMNWTPVPQGNLNAGWGMVAHGSMNMPCGQSAQTIANLNMGLGAQNQVNTMMNTGWVAPARGNTNMNVAWVSPVVGNTKQPSGWGGQSQGNLTANPVWTMLLPGHHTNPSPGWVAPAPSNANRSWETPAQGTMNTDASWGSQQGNINPCWVPSAGNSQSSSTRPPQSRSRHLGRCDNLQGNDSGQAKQRPSWNRMQSGGGSSLPPRGQTGICKFHEMGHCKKGASCNYFHP >Ma04_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3909873:3922937:-1 gene:Ma04_g05230 transcript:Ma04_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESGGEDARDVAEPYSPPSPSVGVDEARLSQCDRAECGGEAGCFSVHQGSSVASPHFGSDVDVLKLAALESVPDLTYAGDGDGEAASLEVKEADDSPIFLRGQVAEHDEAEVSLPQGFPVGPSCCSDGGFEKVIAFEPDAGDLLKEEVLHMAAEETKRTLIPQWQQGKDSLKQEVSTSLGSPAVSPSGSDGVLLKFASFETDATATIAGEDGAVEEANMDVDSVQVPLQHHEKDGVQEEVLMLPCSSDVGLLKFAASETVISANTANDDVALEEAVTQMDVDGVLSFACKQAENCGGEDISMPKSPVALSPSVSKERLEKVAAFEPDSLATAGDDDAVKEDDTVKEEVSLVDADKLDNVPLPLYEQIVDVGGQELTMPQVSLAEFPSVSDREDENIAKIEHDASTMSHGSADVIDDEPAQKDAKTDGTSVVEQRGGGRRKRGRPPKAQGQAARTLSRRKEEEEVCFICFDGGDLVVCDRRGCPKVYHPSCVNRDEAFFRAKGRWTCGWHICSSCERSAHHMCFTCTHALCRGCIKESGFFCVRGNKGFCQTCMSTVMLIETNEHGNEKMIRVDFDDKSSFEYLFKDYWLELKAKLSLTLEELTRAKNLTKKSDLATEKEDSSDDLHNAKQAQVSSSESSPEHKESLSSRRKIRKRSRNTVNEEGAVKVVENDGTSVSRDSNWASDELLEFVLHMKNGDRTVLSQFDVQGLLLEYIKTNKLRDPRRKSQIVCDSRLQNLFGKQRVGHFEMLKLLESHFLLKDVSPLDTEDKQGGVVDPDPDTDQTNARTSDISLKIMPDKRRKTRKKVEKELLNDLDDYAAIDVHNISLMYLCRNLMEELVDDISFEEKVIGSFVRIRISGVGQRQDLYRLVQVVGTSRAAERYKSGKKTTDVTLEILNLNKEEVIPIDIISNQEFTEEECKRLRQSIKCGFIGRLTVGDVQEKAGSLQAVRINDWLESEKLRLGHLRDRASEKGRRKELRECVEKLQLLNTPEERNRRLNEVPEIHTDPHMDPDYESAEEEESDIRKQDYYNRSRGSSFVRNGKEVKSPGKGGSILSASWSGLRKNSNTWESNRSTLIEGASITDSSSGRGVNTIEFSLNQANDVCQASSSEASKNHGIASSSDASLYNEKVIRSEQLADGAQGNKKPSLPGGLAAIANESDKIWHYQDPSGKVQGPFSIIQLRKWNTTGYFPRNLKIWRTSEKQEDSILLSDALVGQFEKDLPEWEPHNNSTSQSATNLEGIQRGSINIALSNSKNDAQKHKFGENEKWDTRDSSNVSIPTAQPSSKCWLIAEGSINKHLSSSASLQTTTSASVSTSRGREELQCSLMINESSRSSSHSLRELDACSGQVVLGQNVILDGAKSHSASRSTTDTVFLGQTIALTQHPDKIDDLGNFHKKNSELESSSASENQTAPELPLREEMNRPSYVMDFGGSSSTKIGAGLCSNQSLEMKMILSHRPAASGILSSEHDQLSSSQSDDRQDHLFVLHGQHDPDKELLRVHDRSSDETTRKSDGSGRNSDFVACAQRGTSVSSVCFHPERLSFQLETSDPSKDPKENTHVTEVENLAPAASSKIFRVDSMRNSAPLAVSVDNQLHQTLTIPYPGSNLVPEVSSEAPDLSAHPSNAAANLFVQSISAQRSQTSAAPTPEPHKPGHEPMHSATHTKSSNKSTPSVPHMTPNGWNTATDSAIGNNTHSLPSDGSNTLSSSQFGVNATLVPVQQTQLGFDTGSSVQNTGFAVAAQNPNLNLGPVQGTGNMNWTPVPQGNLNAGWGMVAHGSMNMPCGQSAQTIANLNMGLGAQNQVNTMMNTGWVAPARGNTNMNVAWVSPVVGNTKQPSGWGGQSQGNLTANPVWTMLLPGHHTNPSPGWVAPAPSNANRSWETPAQGTMNTDASWGSQQGNINPCWVPSAGNSQSSSTRPPQSRSRHLGRCDNLQGNDSGQAKQRPSWNRMQSGGGSSLPPRGQTGICKFHEMGHCKKGASCNYFHP >Ma10_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31030330:31031581:1 gene:Ma10_g21040 transcript:Ma10_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGTLVYGIKYTIPEYICTFLVAGGVSSFALSKVRWFPLFPDVGKFLTIVYQLKQILANTTITTTRKFVSIVVSSLISGNPLSPKHWASVVMVFSGLSLQIFLKWRKIQRTKKGRKAT >Ma01_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19799904:19800868:-1 gene:Ma01_g20940 transcript:Ma01_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTENGSLLMDNILHSILLYRTISYQVIPHISISLGHLETRPEPQTSFTPLYSVLFDGLVRQALSKYLFLGFDADPSFDIAACI >Ma04_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23317633:23320533:1 gene:Ma04_g20520 transcript:Ma04_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLWRRSVQSSLALCRFDSIPISPGLPLLHRRHWALPCESLLSDSESSSAPEDCCSHHYHSPRTTERISYRPCRLAASRRRYSLSRQWSCKADMMKRMRANLSHRGWILGSPNSLEVELCERNVVALLNDLFEGSSDAALAFYFFRLSQWYNGSKHGVRAVSTMVHIAVTGNMNHIAVKLLRSIARNVDEHSDGVQHQLVFDVLRETYSSRRVLETVYSMLVMCYVDKGMVKMAIRLVDDMRNLGMYPTIRVYNSLIKLLLESKQYEVAWQLYVEMPSSSFGSNQWIVRIVSLFIRELGAHGDFDCACKLLFEIQKYGGQADVVVYTTMINALCKWGLLKEATALLYKMLQLGISHDDVLVSSVVNGYCKAGRLVDAAHLLNSLSGIPDVFVYNSFILMLCKNGNMVEAYELFKEMFEVGLDPDSFNYTAIIDGYCRACELDQALKTFALMLKRGVKPTVMTYTVLIESYCDRNDLCGAEYMLSAMKMEGVQPDVVTYNTLINGYSKKGHMHRAYELKDVMEKDGVSTDVVTYNILMHGLIKRGYVKESREVFNELVRRGFSPDRITFTNVIDSYSQDGNLEEAFLIWCSMSNNEITPDVVTCSALLNCFCKRQRMQDASVLFHKMLDAGLEPDLILYNTLICGFCKEGNISEACRFMFMMNENDIFPNNLTYEALVCCFEKIGVKNAAENAAMKMQEIFFEKCND >Ma06_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1425994:1426311:1 gene:Ma06_g01740 transcript:Ma06_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLDVGIDIPPLVYTSLSHFFDTRRTEQVREFDVQ >Ma11_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27063325:27079906:-1 gene:Ma11_g23880 transcript:Ma11_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHHSKFVENSVKNEHAMSPDKPIYLVGDSFGGCLALAVAARNPNIDLVLVLVNPATSFGKSQVQPLIPILEALPSNLHVTVPYLLSFIMGDPVKMAMASVEDNLPILQTFEELSNSLTSLLPLLSDLADIIPRDTLLWKLNLLKSGAAYVNSRLHAVKAEVLVLSSGKDNLLPSGDEADRLWASLKNCKVRYFKDSGHTLLLEDGINLLTILKGTITYRRSRRHDNVTDYLPPSVSDFKTIHQSDRWFKVATSPVIFSTLKDGRVVRNLAGVPDKGPVLLVGNHMLIGLELRPIYEEFLREKKVIIRGMGHPFLFSKATETSRKEISTIDTMSIYGALPVTPSNMYRLFSRGSFVLLYPGGAREALHRKGEEHKLFWPKNPEFVRMAARFGATIVPFGVVGEDDIVELVLDYDDQKNIPFIKEWIEEMNQDVGKIRVGVDDDISNQQLYFPGLLPKLPGRLYYLFGKPIETRGMDILKHRKNANVLYLQIKSEIERIISYLKRKREEDPYRNITQRTLYQASWGYSAEVPTFDP >Ma11_p23880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27063325:27080212:-1 gene:Ma11_g23880 transcript:Ma11_t23880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAYKGLAFAALLAWYRWRWNGVDFASQISWKVRCLRSMNEWIYEFSSVFEVRCLHIPINDRTPFEGLVKFVENSVKNEHAMSPDKPIYLVGDSFGGCLALAVAARNPNIDLVLVLVNPATSFGKSQVQPLIPILEALPSNLHVTVPYLLSFIMGDPVKMAMASVEDNLPILQTFEELSNSLTSLLPLLSDLADIIPRDTLLWKLNLLKSGAAYVNSRLHAVKAEVLVLSSGKDNLLPSGDEADRLWASLKNCKVRYFKDSGHTLLLEDGINLLTILKGTITYRRSRRHDNVTDYLPPSVSDFKTIHQSDRWFKVATSPVIFSTLKDGRVVRNLAGVPDKGPVLLVGNHMLIGLELRPIYEEFLREKKVIIRGMGHPFLFSKATETSRKEISTIDTMSIYGALPVTPSNMYRLFSRGSFVLLYPGGAREALHRKGEEHKLFWPKNPEFVRMAARFGATIVPFGVVGEDDIVELVLDYDDQKNIPFIKEWIEEMNQDVGKIRVGVDDDISNQQLYFPGLLPKLPGRLYYLFGKPIETRGMDILKHRKNANVLYLQIKSEIERIISYLKRKREEDPYRNITQRTLYQASWGYSAEVPTFDP >Ma06_p26630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28467166:28471337:-1 gene:Ma06_g26630 transcript:Ma06_t26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEIEAAMASIPSKKEELRKAFEALQAFSSCLASFTLKWKDLEDHLASIESLIGDRLRELDSKSHSSVKAEANPETAPEVVPRRQLSSLCVNMDGVGLRSYIIVNRNDIAEIRKELGAAIRFAPDPAKLVLDAMDGFHRPRAEGEKDGDVQVIKRTCLSLLEQVQILAPEIKSSVKDQAKKVAVEWKGQILDDSDKGMDAFAFLQLLATYRLASEFNTDEILDLFVLISRRKQALELCKRLGLVQNMSDLIQKLNSKSRQLEAIKFVHALDLFDKYPPVPLLEAYLRESRKAAQGARKRGNNSSQLQNEAISKELAAAKAVIKTVEECKLESEFSCEDLQKRITRLEQQKADKKRTATAATATNSRTSKQQQPSNKRPRSSTTLSYPVRSHPLPSCAQNQSHLGLTEQQSSYAGLGRSYGLTTTAALYDVALPSIPGTIELSGKPSPRSYLYPSEFHASSSLYNRPASYGGYPMSGLGTSYGSSFYPLGYQK >Ma02_p22780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27999497:28014769:1 gene:Ma02_g22780 transcript:Ma02_t22780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNGMVECSVCHSKIVSPSPRSVSRAYDKHRSKVSSRYRALKFLLVVGDCILVGLQPILVYMSKVDGKFKFSPISVNFLTEVAKVLFAIIMLLFQGRRQKVGEKPLLSISTFVQAARNNVLLAVPALLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSIIQWEALALLLIGISVNQLRSLPEGSTALGLPVTMIAYVYTLVFVTVPSMASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGIVGTALFKGPNSFNILEGHSKATMFLIFNNAAQGILSSFFFKYADTILKKYSSTVATTFTGIASAALFGHTLTMNFILGLSIVFISMHQFFSPIAKVKDETPLRKLEMMEDQHPRSKEASFIDMTAGAAEDASHHIGHDERQPLLPI >Ma02_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27999505:28014769:1 gene:Ma02_g22780 transcript:Ma02_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNGMVECSVCHSKIVSPSPRSVSRAYDKHRSKVSSRYRALKFLLVVGDCILVGLQPILVYMSKVDGKFKFSPISVNFLTEVAKVLFAIIMLLFQGRRQKVGEKPLLSISTFVQAARNNVLLAVPALLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSIIQWEALALLLIGISVNQLRSLPEGSTALGLPVTMIAYVYTLVFVTVPSMASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGIVGTALFKGPNSFNILEGHSKATMFLIFNNAAQGILSSFFFKYADTILKKYSSTVATTFTGIASAALFGHTLTMNFILGLSIVFISMHQFFSPIAKVKDETPLRKLEMMEDQHPRSKEASFIDMTAGAAEDVYSESSFLLTYRT >Ma02_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16278697:16278933:1 gene:Ma02_g04870 transcript:Ma02_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIFFQDVEGIIGCSVGESPHVPIHQGNWILINAALNDAFDPGPRYQPPAPALLICTASRGITEHLRLAIDLCSES >Ma11_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27424453:27426276:-1 gene:Ma11_g24470 transcript:Ma11_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEAAGLKPTSARDRPDAATRSRLPRRRQIRKTFNNLKITVFCGVVTILVLRGTVGIGSLAGAGGDAAAADQKVVEDIDRILREIRSDSDPDDADQIPFGFNSTAASLNYTSAAVVAAAENYTLGPRISDWDEQRRRWLGENPGFPSRTPGGKPRILLVTGSPPNPCDSSIGDHYLLKATKNKIDYCRLHGIEIVYNMAHLDRELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMAFEIPLDRYAAHNLVVHGYPDLIFEKHSWIGLNTGSFLLRNCQWILDLLDAWAPMGPKGPIRDEAGKILTANLKGRPAFEADDQSALIYLLLSQQDKWGDKVYIENSYYLHGYWAGLVDRYEEMMEKHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLRMYGFAHGSLASPNIRRTKKQTAKPLEFLDQLNLKAGIETRG >Ma10_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32953996:32954634:1 gene:Ma10_g24270 transcript:Ma10_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRTGLRRNCLLPLFETYRLRDLLFFSSSVGSIAAVGGTISPDPYFMVEYLMNSCGFSPSKAAKFSKPLAHLRSNEKPEAVLNFMRSQGFDGVGIMKLISWNPKYLCFNVETNLAPKFQFLCDLGLSESDIVVAIVKNHDILGLNVHRSFVPRLEMLESLLGSRDLVLKLLKKTRWFFSSGVEKKLHPNLKFLRDECGIPEERLSLSS >Ma10_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17505438:17506823:-1 gene:Ma10_g06090 transcript:Ma10_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLELKAKRTYRFIVFKIDEKLKQVIVEKVGEPTLGYDDFAASLPNNECRYAIYDFDFVTEENCQKSKIFFIAWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVIRGRAN >Ma01_p09770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6996635:7014292:-1 gene:Ma01_g09770 transcript:Ma01_t09770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPVVKSVKEFEDDEAPSTTPSTRNSLLHRLAPENDTETNQTLSKKSSHEIPTPQFNTVETYERDYSRTFIQTTSYIRGRGARAEIGEFVEYDLDDEDEDWLEEFNNERKIISSDKFETLLFKLEVLDHKARERAGVITPTFGAPISVLLHLDSAAEALQSLSVRFAILQSVYNYWKTKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRSFDQAKRVVEALIKREGKKRLLMECEVNLQRVQMKYKHEAQLIEDRMVFPSLQRASCKLASSDDDYMDSDDTTNGHPYARPASAHPKYADSKFAMVPTGQMKRELKQRSASNGWLQKRDPDEPILLFTRPLDPDKLAAVGIMQPPCPPAENGSVASTYRFHGRIGRGGRIIFDRCNPLLRSPIGEESLPCVAYPRSSPPDG >Ma01_p09770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6996635:7014292:-1 gene:Ma01_g09770 transcript:Ma01_t09770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPVVKSVKEFEDDEAPSTTPSTRNSLLHRLAPENDTETNQTLSKKSSHEIPTPQFNTVETYERDYSRTFIQTTSYIRGRGARAEIGEFVEYDLDDEDEDWLEEFNNERKIISSDKFETLLFKLEVLDHKARERAGVITPTFGAPISVLLHLDSAAEALQSLSVRFAILQSVYNYWKTKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRSFDQAKRVVEALIKREGKKRLLMECEVNLQRVQMKYKHEAQLIEDRMVFPSLQRASCKLASSDDDYMDSDDTTNGHPYARPASAHPKYADSKFAMVPTGQMKRELKQRSASNGWLQKRDPDEPILLFTRPLDPDKLAAVGIMQPPCPPAENGSVASTYRFHGRIGRGGRIIFDRCNPLLRSPIGEESLPCVAYPRSSPPDG >Ma01_p09770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6996635:7014292:-1 gene:Ma01_g09770 transcript:Ma01_t09770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPVVKSVKEFEDDEAPSTTPSTRNSLLHRLAPENDTETNQTLSKKSSHEIPTPQFNTVETYERDYSRTFIQTTSYIRGRGARAEIGEFVEYDLDDEDEDWLEEFNNERKIISSDKFETLLFKLEVLDHKARERAGVITPTFGAPISVLLHLDSAAEALQSLSVRFAILQSVYNYWKTKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRSFDQAKRVVEALIKREGKKRLLMECEVNLQRVQMKYKHEAQLIEDRMVFPSLQRASCKLASSDDDYMDSDDTTNGHPYARPASAHPKYADSKFAMVPTGQMKRELKQRSASNGWLQKRDPDEPILLFTRPLDPDKLAAVGIMQPPCPPAENGSVASTYRFHGRIGRGGRIIFDRCNPLLRSPIGEESLPCVAYPRSSPPDG >Ma01_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6996635:7012667:-1 gene:Ma01_g09770 transcript:Ma01_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRENNVQSFEKLRQVRRSFDQAKRVVEALIKREGKKRLLMECEVNLQRVQMKYKHEAQLIEDRMVFPSLQRASCKLASSDDDYMDSDDTTNGHPYARPASAHPKYADSKFAMVPTGQMKRELKQRSASNGWLQKRDPDEPILLFTRPLDPDKLAAVGIMQPPCPPAENGSVASTYRFHGRIGRGGRIIFDRCNPLLRSPIGEESLPCVAYPRSSPPDG >Ma05_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20482394:20504307:-1 gene:Ma05_g17550 transcript:Ma05_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVPILSENPGTSKRKRSHVHPPEPQEQDGGGGGGEASTELRKKKKITDQRGAWANLDLILSLQIKDIPIQRKIEIAFDYVSLIGDGDDQGAEVVGIPRLVSFLIDWIQLHLISFESSKRNAEFCDSCLDYRCWAVLRFCLQKPSVGVSSNLLRAVTRVLHHALLLFDGDSSLLKEESDRLFKHVFECLSLLLLANSRAFYNAGVELWVSCAAEAVSLVLKVFMNDELGSSSGGVLTSLSSLLLEDFVGFLRFHPNPRNVFGAFVDRLLEPLLELLVLLQLRVNEGKCQEAHNLLRIVKEVLSNGVFHPAHINGLLCLKSSNAEEGRRLKGINESYHRHFFRRLEKMIAEKKAVSLGGFGYLLCLFINEVRSKKNASLASKVNNASGRHTEIPEKAEETSKPLFGVFTQFLEPLVLECKRCAELDLSQDKELLEIRLVEGHCMLKSVNETLTSFIDEKIYMPTEDTSEESYFNFLKHIYDIIVYISGKIYLFWLSVLHVDNVRIKRILPLLAKEIFVSVGYFLEIEYKAVGNDLVELWLMIFAYLNVQMPLADTKPCSLLVSGILKLSCQVINIYGELRQVNSPIFALCRAVRLFAVASDAESTGKSVFVASSPLSAEICLKSMTALLMSDSFQLAISNSIKSIPERQANGCILQLNTDITNSLEWIKHGSVRNGIFLGETSTLNSCMLHLGMQAELLGKVLSEIYTIVLDSLTITSTNSVLVGNSVDNLMKSIRPSFSCLVQNPLDGVSSSIHYISGRKLSNHELPEHQNESQSIPISISWFFVFLFRMYTSCRSLYRQSISLMPPNSAKKASEAIGNIFYVCSGIEWRNNWKSLDDGYFSWIVRPSISLLDVIQSLSDVFFSNSSPVYAPLVYVFHAMAIQRLNDLDRMLKAYEFLQEDSQLSQVPLENLDMQKLSKQLNRLIATSRKEAVKVTKFLSGYLPLLASEGKCICSQSFKTGEVKCLPPDDGTLDENSLPSQIWRFLCQNINIWCSHASNKYLKMFLSHLLLYSLPCGGPVREPCIGETLCNKVDMHQIALELISDSALYEQAVLSKHLTSKLCQVLKKSFTFLINHDSTSCKDMYSLSEWSEILTTLIQGPAVDMGGRHALPTSLSASNLVHSDISCTIPSGRQSGLSFHMQLKVCEDLLYLFCKMPGVHVTATSFVDYATYILNLERLVISNLLTSRESLVNGDNLFELWRLFISCRRAMKHLVVASVENAEIPEASYLLTVFNHSTILWLLKTADELVGLPHAFFGEKYFSQMKTMTFSLVDHTAYIFLTVGKQLMSTALQSIINNEKLHMKLPLHYDKTRKDAYNVIDQHIVTSENVGPWKYLELLAEILADQIRDSTVILKDMGHALKEEIDHNILSLNKLSCVISCLQGFLWGLASTSDSIGIDHVTDKQQSQSLRFNHSCLSRLSNYIVLFENFVYSCISIFIVDDGQDNETHPTHNLPYNNSLYRNVLIESASGCSHHHEPFSVGEQGARSKCSACYRIDIKDSSDDEHTKNSSVKKERSSDSHKKWVINAFRAVQNVDLSNLQNLRGSFLQNLLEGESPHLAFMVRQLFLASAAILKLKCTLLFSNSLKPHGNFYYLSSKSMGLLVQTSHIILQGIAEMVGRPNPFTFVWVDGPLKYLEVVGNYISLSDPTLTKDVYAQLLDIHLRVIGKCISLQGKSATLSSHETGSKTKMLQRETHVSVHKKQSLVGEYSINEFKSQLRLSFRKFIGRPVKLQLRTAVQAIERALIGTPQGCHMVYEVRTGNFDGGTVSPNVAGGIDCLDMVLEYVSEQKQVIKENISSLLGCLFNIVLHLQQPKIFYIEKLPYNKTEINPDSGSVVLMCVEVLTTIAGKHSFQMDTCHASQCLQIPMVLFRDFSHLKDSHDCSLSTSIPEAGPFHDVHDCNVDRQFSIDLYTSCCKLLYTTLKHRTRKVEQCVAVLQDSVITLLNCLENFDTNSHSRKGYFTWDVQEAVKCASFLRRIYEEIRQQKDALGRHSIYFLSSYINVYSGYGPFQTGIKREIDEALRPGIYSLIDICTASDIQQLHTVLDESSCRSTLSTLLHDYQLDFQYEGKV >Ma07_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28803073:28804274:-1 gene:Ma07_g20780 transcript:Ma07_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVLRSHDCLKNRLHLDAYGFRRPPPAKPHRKKSPPPPHSSRPAHPPPPPPPRKAGRQGPPPPARLPPLQAFKPSCSANQPRDGLRSPSPPKDGAQSDGETCQRRALVMEEVRIMKRGEELKPRASVAADLSLEGDDSALGTANLRPEPEILPRKVGLAVLESAYAGPAFLASPSPSSLPIPTFFLKKAVVKGDEAVKALPSRGLAW >Ma05_p30530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40890159:40891110:1 gene:Ma05_g30530 transcript:Ma05_t30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEQERRELDERARKGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRKEQLGTEGYQEMGRKGGHSTGVGGAMREGGDVDETK >Ma03_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29199670:29200521:-1 gene:Ma03_g25070 transcript:Ma03_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPAKGGRGKAKSSKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIASGGVLPNIHQTLLPSKAGKGKGDIGSASQEF >Ma04_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1861469:1865480:-1 gene:Ma04_g02120 transcript:Ma04_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMNRSPYFSLLPKALAFVPCCHLADAAVFLATHSYSAASGAPQSSFMAEYLVSTCGFDPDQAAKASKLLGRVESRHQPDSVLGFFKSYGFDNTQVKKVISANPRSLLLDVERTLAPKFRALQDLGFSCSDITHLVGSNKHVIGHKTQTLVSKIQLLQGLLGSNDFFVKLCKSNRWFLGFSIEKRIQPNIEILRDCGITDQKLSTILRRHPRLIIQKAEVLKALIRDDENLGVACASGTSIQTLKVLHSVSKKNFTAHLEFFKGFGWSEDDFLAAFRKAPTLVGVSLKSLQRKMEFLVNEAGCSPSYLALRPYCLLMSLEKKLMPRHRIVTGLKSRGVCISNLSMATYMIYPEKKFLEKFVNCYKEYPELIELYNVAPKNRTAL >Ma06_p29430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30812918:30821861:1 gene:Ma06_g29430 transcript:Ma06_t29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKVAIVIGAGIVGSMFTKEVHFSDVTYLFSGAFKIVTKHLKQDNGNIQSSSKPQTESLLAQVNTLRQELQLLASSRSVTIVTGANSGSGTCGITAVIVFGAVGYLCIWWKGWKVSDMMFVTRRGLSDACTTVGKQLELISASIATAKRHLSQRIDRADINLEECKELATATKCEVSKLHGDLGLIHTDVESVHRAVQTLETKLFRIEDRQDFATQGVYHLCQYVEKLEQSKSQELIQDSPSSSSQAIELPQATPLVTKTDSLPPLTLETPSSSMSLSSSSAVEKSKVMIFDIYLDFYECHIIVSDQLKVSGVLQLATAVLVSDLVGLLPPLTLETPSTLSSLSSPSSSRSANESSKIIRPSTAVSASGLKENAMQYDGDVKAKNGRKERLRVISLLEIFEALYA >Ma10_p26480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34154897:34157237:1 gene:Ma10_g26480 transcript:Ma10_t26480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLITHFSEFQLATVGTFLIHESIFFLSGLPSIYFERSGLFSKYKIQKKSNTSEAQEKCIVRLVLYHVCVNLPVMLVSYPTFRFMGLRSSLPLPHWSVIISQIIFYFILEDFVFYWGHRILHTKWLYKHVHSIHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMILRVLETVEAHSGYHFPWSPSNFLPLYGGADFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKGYRKLRAIEEVEGKNY >Ma10_p26480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34152774:34157235:1 gene:Ma10_g26480 transcript:Ma10_t26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLDSCWLYLITHFSEFQLATVGTFLIHESIFFLSGLPSIYFERSGLFSKYKIQKKSNTSEAQEKCIVRLVLYHVCVNLPVMLVSYPTFRFMGLRSSLPLPHWSVIISQIIFYFILEDFVFYWGHRILHTKWLYKHVHSIHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMILRVLETVEAHSGYHFPWSPSNFLPLYGGADFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKGYRKLRAIEEVEGKNY >Ma07_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3550621:3554380:1 gene:Ma07_g04840 transcript:Ma07_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVIQKQRRASVQDRKRAVHGDPNTRKLKLRTPPVSVSGKRKRKLFKKWRREQKEAMEKGLVTMEDVEMAVAEGSSEGTSMKPKVKFHLKKGSMLKLKRSRGKGKSKRKSLKSPSAPVDSMVE >Ma09_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8088949:8095679:-1 gene:Ma09_g11950 transcript:Ma09_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDYGVPRDLSGLQKQRALYQPELPLCLQGTTVRVEFGDTTIAADPADDHAIRQAFPHTSGQPLAHFLRATANVPDAQIIEEHPAIRVGIVFCGRQSPGGHNVIWGLYNAIKSHNPESTLFGFVGGTEGLFARRILKITDDILSTYKNQGGYDLLGRTKDQIRTSEQVNAAMSACQELNLTGLVIIGGVTSHTDAAQLAETFVESKCQTKVVGVPVTLNGDLKNQIVETDVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILAEDVAVSKLTIFDITKQICDAVQARAEKDKYHGVILIPEGLVESIPELYALLQEIHGLHRQGVSVETIPSQLSPWASALFEFLPPFMRKQLVLHPESDDSAQLSQIETERLLAHLVETEMNRRLKEGTYIGKKFNGICHFFGYQARGSLPSKFDCDYAYALGHVCYHILAAGLNGYMATITNLKNPVNKWRCGAAPIPAMMTVKRLYGPGATAIGKPAIHPATVDLEGKAYELVRLRASSFLMDDIYRNPGPIQFEGPGADAKSISLCVEDQDYMGRIKLLQEYLDKVKSIVKPGCSQDVLKAALSAMASVTDVLALMSSSSMNGQTPL >Ma09_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32883994:32912144:-1 gene:Ma09_g21590 transcript:Ma09_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSDPFQEEDVNPFADSGVRGKAAGSNYGGGSLGSADLKKKERELQAREAELNKREKELKRKEEAAAQAGVVIDEKNWPRFFPIIHHDIANEIPIHLQRLQYLAFASLLGLTACLFWNIIAVTTAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYRAMRTESALNFGWFFLFYLLHIAFVIYSAVAPPIFFKGKSLTGILPAVDLISSKALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKREAARGAMRAAI >Ma02_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20907061:20910014:-1 gene:Ma02_g12040 transcript:Ma02_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLLSTVSHHSSLPDTYVRPESQRPRLHEVVRDANIPTIDLGSPDVSRVIAQVGDACRSFGFFQVVNHGVPVELMLAMMAVASEFFRLPPEEKAKHYSDDPTKKMRLSTSFNIRKETVRNWRDYLRLHCYPLEEFVPGWPSNPASFKEVVSGYCREVRQLGLRLLGLISLSLGLEEAYMVKVLGEQEQHMAVNYYPKCPEPELTYGLQAHTDPNALTILLQDPKVAGLQVLKNGKWIAVNPQPDAYVINIGDQLQALSNGRYRSVCHRAVVNSETDRMSVASFLCPCNSAVISTPEKLVVDGSPAIYRSYTYEEYYNKFWSRNLDDEHCLELFKSQR >Ma01_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8340570:8341664:1 gene:Ma01_g11530 transcript:Ma01_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERIRRRKWKSLKERLSLMGCCASGWGFRASNPTTITINDEEEAEEYGIHRNHREEQAEEAGSGEAVEMNLAAALAAERQYRAISAAADERLEQDEAEGVERVSLMRLLEEGEENRGGGGDGWCWCCVCMGRRKGAAFIPCGHTFCRVCAREMWVNRGSCPLCNRPIVDILDIF >Ma02_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10322747:10324949:1 gene:Ma02_g01560 transcript:Ma02_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAMHAVTDPYAEPIFVDRLGTGLDSISIGVPLEDFRHGQPAPILYRLNILLMLCLLKTYQIWEQQAAVMVIKYDTWKTCFSLHNQIVLQFLQSMRTSGALDHSTTKLNLPFIEVGSIHNTCWTLAFELQYVSHIFNHCLILLGRCLHCPDCGSGGCIVPLGFIIHLIMFTQDSAYE >Ma07_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:807614:812941:1 gene:Ma07_g01060 transcript:Ma07_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYRNGEVWDFEMETPVSRDRADVILGLDGGAAATVCVCISAALPSFAGRLPNPFPVLARAVAGFSNHNSVGESAAKETIEKVMAEALLKAGSNRSAVRAVCLALAGVNHPSDQERILDWLRNIFPVRVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPILGDWGSGYGIAAKALTAIMRAHDGRGPPTFLTYGILSMLGLSSPDELIGWTYADPSWARIAKLSPAVVSSAEAGDEVANRILHDTVLELTASVKAVVQRLDLCGEDGKDSFPLVMVGGVLEANKSWDIGKAVVDCITKIYPGAHPVRPTVEPAVGAALLAWNHVISNLVSDYGR >Ma04_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14918341:14925734:-1 gene:Ma04_g16190 transcript:Ma04_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G04920) UniProtKB/Swiss-Prot;Acc:Q8RY24] MAGNEWINGYLEAILDSGGAVADDQKVSSPVSVRDGGDHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNSRERSTRLENMCWRIWHLTRKKKQLEWENVQRTANRRWEREQGRRDATEDMSEELSEGEKGDTVGELTQGETPRKKLQRNFSDIQSWSDDEKERKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELARALSMMPGVYRVDLFTRQITSPEVDWSYGEPTEMLTSGSYDAEGNDVGESTGAYVIRVPCGPRDTYLRKELLWPYLQEFVDGALAHILNMSKVLGEQIGGGHPVWPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKQDIDATYKIMRRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLERVLRARARRGVNCHGRYMPRMVVIPPGMDFSSVSIQEDTADADGDLKDLIGADGASPRAVPPIWSEVMRFFTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSTGNASVLTTVLKLIDKYDLYGLVAYPKHHKQSDVPDIYRLGAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQAIADALLKLVAEKNLWHDCRKNGWRNIHLFSWPEHCRTYLSRVAACRMRHPQWKTDTPTDEALVEEESFGDSIWDVHESSLRLSMDGERSSLGGSLEYDPAEVGKVAGEGDPEMQDQVKRILSKINRQAPKPQGGISNSNNQNEVSGPTINKYPLLRRRRRLFVIAADCYDSNGGPDRKMLQLIQEVFKAIRSDSQMSKISGFALSTAMSISQVLSLLKSGKIPATDFDALICSSGSEVYYPGTAQCMDAEGKLCADPDYATHIEYRWGYDGVKRTLVKLMTSQNAQDNKKSTSIIEEDVQSSNPHCVSFVVKDSAEARPVDDLRQKLRMRGLRCHLMYCRSSTRLQVVPLLASRSQALRYLFVRWGLNVGNMYVIVGERGDTDYEELVSGYHKTVIMKGMVEKGSEELLRTAGSYHKEDTVPGHSPLVVFANKGIAAEEIMRALKEASKAASGM >Ma08_p26880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39311645:39311848:-1 gene:Ma08_g26880 transcript:Ma08_t26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSSILRMLPPLVSFLSYIARCYFCTFGCDCMLLDATAVLLCKKFDPSNSIIVERSGGLCSLINY >Ma11_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23106144:23110348:1 gene:Ma11_g17920 transcript:Ma11_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKGLVVASPGSAEARHRSSAMDDDTGKRKSQKVFRVDKCKILFSERSSNCKFESLKLALIIVTCCTILTLAFSPIMSKEQQLRPGSRTRLADVARMWQTTLSDPRYISQLDVEWGLMSGVLEDVKAKEGDLRIGLLNFNSSEVEFWLRTLPHAEVSSVHLDCADPSITWKVLYPEWIDEEEEYDVPTCPYLPQPQDKKGSRYDLIAAKLPCDRSGSWSRDVARLHLQLAAAKLAASASAGRSQVHVLLVTDCLPVPNLFGCRDLARHQGNLWLYKPETAALQEKLRLPIGSCELAIPFEAKVRMYTEVGRREAYATILHSAEQYVCGAIAAAKSIRLAGSVRDLIILVDGSISERERSGLEDAGWKVRTIRRIRNPKAKRDAYNEWNYSKFRLWQLTDYDKIIFIDADLLVLRNIDFLFAMPEISAIGNNATLFNSGVMVIEPSNCTFQLLMEHIDEITSYNGGDQGYLNEIFTWWHRTPKHTNFLKHFWAGDTAKRKARKDSLYGADPPVLYVVHYLGLKPWLCYRDYDCNWDLQMFWGFASDAAHATWWKVHDALSENLQRFCLLQTKTKAFLEYNRRQAAKANFPDGHWRRNISDPRLHICHERFCSWERMLLHWGEANSSNASS >Ma06_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9840448:9843603:-1 gene:Ma06_g14360 transcript:Ma06_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLKVEEEHCMFETSHVLGALLASSPLLTQAWGRCVHANAGSSSFVLQRCDDAVYVAFSSTPSSTPTALGGGFFDLVPISSGGHELFAPLEGAAHPVLVQAGALRLFLSSYRSPDFQMLITETRNKSVIFTGHSVGGSIASLAALYFLCSSSRPDAPSPASLVCITFGSPLLGDETLSRAILRERWGGRFCHVVSQHDIMPRLLFCPVNALPPQLAMSICTLMQSWHLSMRYPQFPRPALQLTDDQKAELQGYISMHIGAAASEQTQHISPYRPYGNYALCSAEGAVCIDDPLVAAKMLHLTFTTGSASISFEEQHISYGNLVVELSQNLQFRRRLHLEDDAPKSNRSAGVSLALETSGIGIQDMGAMEAREWLEMSMCRRPKLQCASLAIKLAKVTPCRAQIEWYKALCDDDTGYYDSFKLRRASKKDARVNMNRIRLGQFWDELLDMIQNNNLPPDFHKRSKWVNAAQFYKLLVEPLDIAEYYRCQWPRTRRHYLTHGRERRYEVFDRWWNDRNKEAPEKAAAHRKRSKFAGLTQDSCFWAKVEEARDSVKNAWAEKNPTKLVKLWENLHGFESYANGLIRRKEVSVDVLAPRSSYSLWVEELKDLKSKQACRLPSSSVLVGVTGGT >Ma09_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:443597:444821:1 gene:Ma09_g00640 transcript:Ma09_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKVGDRSTKIKPPSTFLHKKAPKQARSQFQELVNADGLVF >Ma07_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26979239:26980275:-1 gene:Ma07_g18990 transcript:Ma07_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQLAKQVERRKSVAAEKLALIELFEGSGDQFPGCDYRPADRKTWMSALGPANLRVHQIVWPGTHDSATDKIGLRFISRPFAQCQSCSVYRQLAGGARVLDIRVQKDHRVCHGILLTYGVDVVIRDVKRFLSETEHEIVVLEIRTEFGHEDPPDFDKYLVEQLGEHLIPQDAAVFEKTVAELLPRRVICVWKPRKSPAPKPGDPLWSGGFLKDHWIDTDLPKTKFENNLKRLGEQQPNASRKYFYRVENTVTPQPDNPVVCVRPVTGRIQGHARLFIAQAVGRGIADRLQVFSTDFINDDFVDACVGLTHARIEGTA >Ma01_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5817284:5819244:1 gene:Ma01_g08110 transcript:Ma01_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPTAFDPFAEANADDSGVGAKEYVHVRVQQRNGRKCLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSSFLVQAGIVKKEHIKIHGF >Ma11_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1835047:1835919:1 gene:Ma11_g02520 transcript:Ma11_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVTLSMVLFGAALAGALAADARGIPSDFIRSSCRATQYPHLCERCLSTYAPPVRRSPRGVAAAALAVSADKARSASAFVRRVSVGVRPVRSRESGAVQDCMETMRDSVDRLRRSVQEMKRMGRARSPRFAWHLSNVQTWVSAALTDEGTCLDSLSQNAGPTVRAAIRKRVVEVAQVTSNALALVNRLSPRI >Ma01_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10297569:10299182:-1 gene:Ma01_g14050 transcript:Ma01_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHREGMPHFVLVPLMTQGHMIPMTDLALLLADRGVLVSFITTPCNAARIKDTIHRARDSGLPIRFVELPFPGAEEGLAEGWENIDDLPRAELYVNFFRATYLLQQPLELYLQGQQKPYPSAIISDFCLPWTLKVARNLRIPRIAFSSMSCFALLCTFNIWRYKVYDGITEEHQPFTVPGLREQIEVTRAQASEFFPGPIFENIAKDVREAEFAADGIVVNSFQDLEHAFIEGYQEAMGKIVWTTGPLFLRSRSVADMATRGRKASIDVDHCLSWLGTMKPRSVLYVGFGSLTRTDPSQLMDIGLGLEASDHPFIWVMRYSEESAEKIEQWLAGGFEERVGSRALIIKGWAPQLMILSHPAIGGFLTHCGWNSILEAISAGIPMITWPHFTDQFLNERMIVDVLKVGVPVGVKEPNFIGMQRSETMVSRNDVERSVRSLMDEGKEGEERRQRAERLGEKANAAMNEGRGSSQSNVTRLIEHFSGNATV >Ma01_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8037568:8037789:-1 gene:Ma01_g11140 transcript:Ma01_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSIIYNFERNGHQARPNIQHSERLLVYGEAVNVGDPPNSGEETRIPRSNELKHQQQKRYAKHFMLLPCFRT >Ma01_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27184224:27205988:-1 gene:Ma01_g23150 transcript:Ma01_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGTGGSGSAAARRPHSHGMADLIGTGSADRDIDKILVALKKGTQLLKYGRKGKPKFYLFRLSNDESTLIWFSTSGERTLKLASVSRIISGQRTSVFQRYPRPDKDYLSFSLIYNDGKRSLDLICKDKVEVEVWFSGLKTLISAGQYGRPKIDGWSNGLYNDDGREFASDNISNHSIGPTLDTSEDRLTSCGLQKIQSFEYPVYSERSDVSNMHTKGASSDGIRISTSSVPSTSSHGSAPEDFDASGDVYVWGEVISDISARVSADRNSNPSSARTDVLLPKSLESNLVLDIHHVACGVRHAALVTRQGEVFTWGEESGGRLGHGVAADTVNPRLVESLSASNVDFVACGEFHTCAVTMSGELYTWGDGSYNAGLLGHGTDVCHWMPKRVSGILEGLQVSYVSCGVWHTALITTTGQLFSFGDGTFGVLGHGNRESLLHPREVESLTGLKTMAVSCGVWHTAAVVEVIVTQSSASSGKLFTWGDGDKHRLGHGDKEPQLEPTCVSSLIDFNFHKLACGHSLTVGLTTSGHVFTMGSTVYGQLGNPHSDGRLPCMVEDKLLGESVVEVASGSYHVAVLTARGEVYTWGRGANGRLGHGDLEDRKTPKMVEALKDRHVKHISCGSSFTAAICQHKWVSGTEQSQCSACRQAFGFTRKKHNCYNCGLVHCHQCSSRKALRAALSPNPGKPYRVCDTCYVKLTNSFDSGGFISKKIMKPRISGESKDRLDRLDTSLSKALPSSNLDLVNNFDSKVSIEGKNSNSLSVTQSPQVPSVLQLKSIAFNASTDVHQAVPRPAVKSTNRSRAVSPFSRKPSPPRSTTPTPTMAGLSFSKSFSDNLKKTNDILNQELLRFHSEVDNLRQRCERLEFQLEKSEKKAQEATTLAMEESAKSKAAKEVIKSLTAQLKEMAKRLPQGVYDTDPIKLMHLSNGSTDSHAIQQSDSSFGNLSSNGTSDCLDSNAPGFHSSSKDELSEQNHAARGTSGTTKNSTYFWNHAVTCSNYLEDLSNVEHNGNGEMPVTITRSEDLDSPNSDSNQVEAEWIEQFEPGVYITLIALRDGTRELKRVRFSRRRFGEQQAEAWWSENAERVHDKYKFRGLNSTL >Ma11_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22956512:22957293:-1 gene:Ma11_g17720 transcript:Ma11_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTASHYCHTHKAFLFCNYTLLGAATSCVFLTLSLRLIPSPCGLLLVALHALTAVAAASACATTPASTARWHAAHMASTVLAAIFHGAISVLAFTRTPDFLVELRSYVREEDGAVILKMVGGLGLAIFCLEWVALAVAFLLRYHAYVEGGSTATASKRSSKVVGSDEELKDWPWPFQV >Ma05_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6428410:6430950:1 gene:Ma05_g08700 transcript:Ma05_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVVVSQHRNQCFGRSRSQLSDRFGSAPSRAFRGINCRSFESGTDILCSPPSRVSSFNSHPAPKSPCFYLEPPKRSRRSKPIPINPPPSPKGATFNGDCSYSELWAGPAYSNSPPPSSLPIPRFSLRQKRSVSFELPIPKPEVSLRSISKSAPCSPTRESTSSAKDTLPYTATATATENLRRILHLDIADD >Ma09_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3040973:3041503:-1 gene:Ma09_g04720 transcript:Ma09_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A2 [Source:Projected from Arabidopsis thaliana (AT5G05290) UniProtKB/Swiss-Prot;Acc:Q38866] MANPKFSLYSALVLCFFSLSVFASTAFADSAWENAHATFYGGGDASGTMGGACGYGNLYSQGYGTSTAALSTALFNDGLICGACYELRCADDPRWCLPGSIIVTATNFCPPNYALANDNGGWCNPPLPHFDLAQPAFLRIARYRAGIVPVSFRR >Ma08_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2494267:2496994:-1 gene:Ma08_g03430 transcript:Ma08_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGEHGSHGGAGAAGDFRTKVWSMTGGPYCRPKHWKRNTAIAFLGAFLVCIPIAIKSAELEQRPHYPVRPIPSQLWCKNFGKKEY >Ma04_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1982983:1984189:-1 gene:Ma04_g02280 transcript:Ma04_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIHLLLCSSLAMTMAVAATFYQDFDLTWGDGRAKILNNGQLLTLSLDRTSGSGFQSKKEFLFGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLTGDPYTLHTNVYTQGKGNREMQFKLWFDPTKDFHAYSVLWNPRHVIFMVDGTPIRDFKNLESRGIPFPKNQPMRIYSSLWNADDWATRGGLVKTNWNNAPFTASYRNFNADACVWSSGISSCAPRNSSSAVPAAARGWWSQELDTPSQDRMRWVQKNYMIYHYCTDLKRFPQGFPPECSMT >Ma04_p31760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31979025:31979676:1 gene:Ma04_g31760 transcript:Ma04_t31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSHLIGFSFLLLLLPLGGGERERERERIRECVCERERERERERDQISRGYQEQTLTKLFSVGGGVKTTSPAKDLREEYAKNTVFSPTEDSIVHEKEKRRKAKPFMTWTRKLECKEKRSSIGIR >Ma03_p25960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29850754:29856399:-1 gene:Ma03_g25960 transcript:Ma03_t25960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPLAAAPHPPPPPPPAASFAVRAAESAHHRLYEFAKTALIKIFAFPYATVCDLYCGGGANTDKWDDAQIGHYIGVDASLSGISEARDMWEGQRKPYTAEFCELDPSVENLDSYLHDKGTPADIVCCLQHLQSCFESEEKVRSLLRNVSSLLRPGGYFFGITPDSSTIWAKYQKNVEACHNKGIGMKPNAVPSCIRSENYVITFEVEEEKFPLFGKKYQLKFANDMTSETHCLVHFPSLIRLARDAGLEYVEIQNLAEFYDDNRTQFAGMLFSYGANFVDPRGKLLARSFDLLGLYSTFVFQKPDPDVVPPVVTPMLLDGNYSHEEHEWLGGSWRQPAPTEEDRNGQTDTNLVPGTVLGEHDKGILGPGPADLRFPDPL >Ma03_p25960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29850754:29856399:-1 gene:Ma03_g25960 transcript:Ma03_t25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPLAAAPHPPPPPPPAASFAVRAAESAHHRLYEFAKTALIKIFAFPYATVCDLYCGGGANTDKWDDAQIGHYIGVDASLSGISEARDMWEGQRKPYTAEFCELDPSVENLDSYLHDKGTPADIVCCLQHLQSCFESEEKVRSLLRNVSSLLRPGGYFFGITPDSSTIWAKYQKNVEACHNKGIGMKPNAVPSCIRSENYVITFEVEEEKFPLFGKKYQLKFANDMTSETHCLVHFPSLIRLARDAGLEYVEIQNLAEFYDDNRTQFAGMLFSYGANFVDPRGKLLARSFDLLGLYSTFVFQKPDPDVVPPVVTPMLLDGNYSHEEQHEWLGGSWRQPAPTEEDRNGQTDTNLVPGTVLGEHDKGILGPGPADLRFPDPL >Ma06_p32440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33382143:33385234:-1 gene:Ma06_g32440 transcript:Ma06_t32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKKYIYTIDDDCFVAKDPSGKEINALEQHIKNLLTPSTPYFFNTLYDPYREGADFVRGYPFSLREGAQTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVVLSKDCSTVQKCYVEMSKQVREKLGKIDPYFNKLADAMVTWIEAWDELNPSAKG >Ma07_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11044243:11044874:1 gene:Ma07_g14680 transcript:Ma07_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSSKMPSMGHSTRLLRRRRSLLTRPGSRSNRCRRGLPLGGPTIAADRGRGNPRMRIPLMIQWKVRLSFLCLPP >Ma04_p30030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30789361:30790276:1 gene:Ma04_g30030 transcript:Ma04_t30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGIRLSCCCRSRAAAPIRLGSPQQKPKPKPKQKLKFVADRGASVAEAAGGDEAKKAAVTGRKIVVAVDSGPEAKAALQWALSHSLHDNDSLVLVSVVKPRRRRDRSQTEWSSRSHQAFLAMQGMCQARRPEIKVELSVVEGRERGPAIVEEARKQGASLLVLGQKKRSIAWRVVRLWSGNSMRGGVVDYCIQNASCMTLAVRRKSRRGGGYSITTKHHKNFWLLA >Ma00_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44302487:44303458:-1 gene:Ma00_g05120 transcript:Ma00_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCFNWRLVIFFVLSMGFQGELAGAHGRRQLVQSLEAADDGVCTAVVSPRGYECREYEVKTQDGYILTMHRIPQGRGGGSAGKRQPVLLQHGVLSDGLTWLLNPPQQSLPFVLADNGFDVWITHGRGTRWSRRHESLKTSDTAYWAWSWDELASYDLPATVGFVFRKTGQKLHYVGHSMTLDDATGNSDSSISVL >Ma03_p26970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30497289:30499548:1 gene:Ma03_g26970 transcript:Ma03_t26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFQQHASWRLEGGGQVIDLETAVKDGILGGGGLPSGKDGTLGAAAEKLDLKKTIEELDSAAEDVPSVFICPISLEPMVDPVTLCTGQTYERGNILKWLSLGHLTCPTTMQELWDDAVTPNRTLHQLISAWFSQRYLLVKKRSEDVQGRAAELVQSLKKVKGQARVEALKELQKMVVAHPSLGNALADSGGVALLSSLLGPFTSHAVGSEVIAILVNLSLDSDTKASLMQPARISLVVDMLNEGTIDTKINCTRYIEMLMAEKSFRCEFMLSLSLLVALLRLAKDKRHPNGIAAALSLLEAICSHEQVRSSLVSVGAVTQLVELLRNLSPEGSVPALHILDDLSTIPEGLSALKDCPQTIPNTVRLLMRASEAGVQYALSILWAVCKLAPDECASLAVEAGLPANLLLVIQSGCNPELKQRAAELLKLCSLNYTTTLFISKCKLTRTIQ >Ma06_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7297438:7298039:-1 gene:Ma06_g10550 transcript:Ma06_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPILSEILLSGFTINSTLRRRTHLVQSLSVVFLYWFYVFS >Ma09_p30430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40559340:40561955:-1 gene:Ma09_g30430 transcript:Ma09_t30430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTVPPVCMLRGNTKCQCNSLLIKSPSSLSSMKRISKASSLRASNRFRACAMAFYKVKLIDPEGKEHEIDAPDDSYILDAAEDAGVELPYSCRAGACSTCAGQLVSGNVDQSDGSFLDETQMSKGYILTCVSYPRSECVIYTHKEGELY >Ma09_p30430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40559340:40561956:-1 gene:Ma09_g30430 transcript:Ma09_t30430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTVPPVCMLRGNTKCQCNSLLIKSPSSLSSMKRISKASSLRASNRFRACAMAFYKVKLIDPEGKEHEIDAPDDSYILDAAEDAGVELPYSCRAGACSTCAGQLVSGNVDQSDGSFLDETQMSKGYILTCVSYPRSECVIYTHKEGELY >Ma09_p30430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40559340:40561955:-1 gene:Ma09_g30430 transcript:Ma09_t30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTVPPVCMLRGNTKCQCNSLLIKSPSSLSSMKRISKASSLRASNRFRACAMAFYKVKLIDPEGKEHEIDAPDDSYILDAAEDAGVELPYSCRAGACSTCAGQLVSGNVDQSDGSFLDETQMSKGYILTCVSYPRSECVIYTHKEGELY >Ma01_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9572893:9575092:-1 gene:Ma01_g13090 transcript:Ma01_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEEELFLRTIYERARSIYCSRAIMTTSDGVWLNDNPFHFSLPILLFQLTVIFVVCKLTHAVLRRLGQPLIISQIMVPVTAGIIVGPDMLSRNLKFQYLIYEPQSYEQLSIVGIFGCIMYFFVVGVKADLGLIPKVGKKPVAIALFCTLLPVVSVYITATALRHKIPPPNSTSSAPSSAASCINTYVLSVKIGSPLRALEALSCLAALVVIIMFVLRPLVLWLIRRTPEGALLDEASFVAVLLMAFVCALLAGIIGYDVFTGPFFFGLVLPGGAPLGATLVERMDRMVMGLFLPVAIVQAGTRMRMLLLTDTLRWGLFELFLVICVVSKFLGVILPCLYCKMPHRDTVSLALMMTTKGIYEIVAATLWQDDRVRFLQHPPPPAAD >Ma04_p32040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32187943:32192948:-1 gene:Ma04_g32040 transcript:Ma04_t32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFNLRRRERSCRLEGTAGAPNSRFLGDSSERGSEVSSLGKEMMNCARRFAFRELATAAQNFKEANLIGEGGFGRVYIGQLDCSQVVAIKQLNRDGLQGNKEFLVEVLMLIMLRHENLVSLIGYCADGDERLLVYEYMPKGSLEDHLFDPSLSKPPLEWNTRIKIAVGVAKGLTYLHDVANPPVIYRDMKAANVLLDDDFNPKLSDFGLAKLGPDGDNTHVSTRVMGTYGYCAPDYALSGKLTMKSDVYSFGVLLLELITGQRAFDSSRIGGEQYLTNWSRPFLSDRRKFNLLADPFLQGRFPQRASHQLVVIASMCLQEQPHFRPIMADVVVALNHVASQPYIPEPDSKIKTSPSPPPGEVTGTPS >Ma04_p12070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8627174:8631077:1 gene:Ma04_g12070 transcript:Ma04_t12070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEKDCQENHVDGNDWEVVSLSASMMASAADQMGFDPSNVSKDKEFKKSEPESSPLIMSSHFVFPPMEYETVKLKDSNCEFGNRVMNEDVIPGDEKGNTTNKTLEESFKTKLDGSLHGIQFSEKDKDPSFGGIDIGEGKATQEMSLVLEEEMLLNSHGINDDHAETGMSGSFPCNEQADDSKPINRSYQSPDSPLSYPNASSQIAENKNDRFSLPCGAWWKRHAITLYNHAKEANTMWSVIVVAALMGLAILGQRKQQEKPQLQQLQLRLSTNGQCINLMLGPMNKLESILATDHQHGSALGSAARNVDGNR >Ma04_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8627174:8631077:1 gene:Ma04_g12070 transcript:Ma04_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEKDCQENHVDGNDWEVVSLSASMMASAADQMGFDPSNVSKDKEFKKSEPESSPLIMSSHFVFPPMEYETVKLKDSNCEFGNRVMNEDVIPGDEKGNTTNKTLEESFKTKLDGSLHGIQFSEKDKDPSFGGIDIGEGKATQEMSLVLEEEMLLNSHGINDDHAETDSPLSYPNASSQIAENKNDRFSLPCGAWWKRHAITLYNHAKEANTMWSVIVVAALMGLAILGQRKQQEKPQLQQLQLRLSTNGQCINLMLGPMNKLESILATDHQHGSALGSAARNVDGNR >Ma05_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21898241:21900314:1 gene:Ma05_g17930 transcript:Ma05_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPRGGRFWCLVVYSRGRFPFPCATCVFLHHPTCFSSDTNQIHHLMQLYLQIFQNDTYNCKLQLQSLFR >Ma11_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:581958:586471:1 gene:Ma11_g00800 transcript:Ma11_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILEALVEISRKPNFADVAVELAMFAAPLWIAVLAGLLLGWAWRPGWAAGLVRALNPDSAPPPQPSCSAGPDSSVATAPTKADGDRSEKLAVTEEDLEHLCRLVEVTDGGPVWHKMMDKSLPNMRYLAWRRDPETGPPQYRSSTVYEDATPEILRDFFWDDEFRIKNGWDDMLLQHTTLKECPTTGTMVVQWVRKFPFFCSDREYIIGRRIWESGRTYYCITKGIPCLDIPRRNKPRRVDLYYSSWCIRPAESKRDGQMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKKIEPGLRAYQAARRTNKPPSRFVFMAQINSKIDAEQLRSFEISSDASAEIVEAEKQNLACSIPKILLVGGAVAMAYTLDHGLLTKAVIFGVVRRFAKIGKRL >Ma08_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3501556:3506200:1 gene:Ma08_g05120 transcript:Ma08_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAVCFRCHRTAPSSVDHYRTLARKNKVWSASVPSLLAGHEAIRKWGFQMKSSNGYPLNVVSSQKEEPYIDHSKAKEDQIDSTVSITVVGASGDLAKKKIFPALFALFYEDCLPKHFTVFGYARTQLTDEELRNMIGKTLTCRIDKRENCGDKMEQFLQRCFYHSGQYSSSENFLELDRKLKDKEVGKSANRLFYLSIPPNIFVDVVRCASRTASSPTGWTRVIVEKPFGRDSQSSGDLTRSLKQHLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRKFIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLVQILALFAMETPVSLDAEDIRNEKVKVLRSMRTLQLDDVVVGQYKGHTRGGRSLPAYIDDPTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALNTRRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVIRVQPDEAIYLKINNKIPGLSMRLDSSNLNLLYASRYPREIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEDKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSSQYS >Ma04_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22544366:22552274:1 gene:Ma04_g19890 transcript:Ma04_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSIASGRSSVSDPGGDLGTKRAKFDQEGGEILTERHLVEDKVSTMDQRISLYHLDLDASTSSTDPPARFEAATMDQLPREMHGMTIREDKPDGHNEKELDGSMTNGKGTMTGQIIATTIGGRDGQPKQTISYMAERIVGTGSFGVVFQAKCVETGEAVAIKKVLQDKRYKNRELQIMLLLDHPNVVQLKHYFFSTTEKDEIYLNLVLEYVSETLYRIVKYYSRMNQHLPLIYVKLYTYQICRALAYIHRVIGVCHRDIKPQNLLVNPHTHEIKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYSTAIDMWSVGCVLAELLIGQPIFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKLFHKWIPPEAVDLVSRLLQYSPNLRFTALEACAHPFFDELRDPNTRLLNGQPLPPLFNFTSQELEGTSPELIQRLIPEHVSILTLYGALK >Ma04_p19890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22544366:22552274:1 gene:Ma04_g19890 transcript:Ma04_t19890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSIASGRSSVSDPGGDLGTKRAKFDQEGGEILTERHLVEDKVSTMDQRISLYHLDLDASTSSTDPPARFEAATMDQLPREMHGMTIREDKPDGHNEKELDGSMTNGKGTMTGQIIATTIGGRDGQPKQTISYMAERIVGTGSFGVVFQAKCVETGEAVAIKKVLQDKRYKNRELQIMLLLDHPNVVQLKHYFFSTTEKDEIYLNLVLEYVSETLYRIVKYYSRMNQHLPLIYVKLYTYQICRALAYIHRVIGVCHRDIKPQNLLVNPHTHEIKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYSTAIDMWSVGCVLAELLIGQPIFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKLFHKWIPPEAVDLVSRLLQYSPNLRFTALEACAHPFFDELRDPNTRLLNGQPLPPLFNFTSQELEGTSPELIQRLIPEHYSYLVWSPEVIGDQT >Ma10_p29420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36023150:36028174:-1 gene:Ma10_g29420 transcript:Ma10_t29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSNARACLAAEAAAALDGAVSVALRRAHAQTTSLHVVYALLLSSSSSYPSPAAGRGADGGSRAPCSILLGDALSRARSSVYSPRLQFKALELCFGVALNRLPSSSSNRQAVEEGGGGDEPPVSNSLMAAIKRSQAIQRRNPETLYLYQQQQTPGGGGASSFSGVKVELQQLLLAILDDPVVSRAFGDAGFCSTDIKLAILGPPPPILRFPRAARSSPLFLSNFSAGDGFETVLTSRELVFPFPTATAQLCSDGGGENCRRIGEILARKSSGRNPMLVGVGAGEAARDFAQVVERQNWAVLPPELHGIKLVSIEKEVAELSTGGCDQLAVGIRLEEMGKKAESPGAILNIGDLKRAVEGCAECNDKESCLVSEVTRLLEVHHGRLWVMGWSATYETYMKFLSKHPMLDKDWGLQLLPITSVRTGMGRSLTRPSSLMESFVPLGGFFPTAYESKGIFSSVYSSVFRYDDCNDKCEQEVSLTLSVDGQQNANLPFWLHKANMVSLNDGSYAAKAKDDQTVLNAKIMDLQKKWNDNSQCLHHGCQKTNTDDCAAVACTMNPSYISNMERACSWNGENPDDDQRPRGHGIPYPISVGTQKIIMAGKRNSLPSVLEPENEDLSLKLQVRPSKGEQLQREFFQSHQGDEHASPSSVTSVMTDLVLGTLHEPLCNEENFVWQEQKYHLEDLSGCLPSMSADMIRKFGPDVAVESSSDEKSTQPLVLTHSFSQVSNDCASAYNKSSLISSNTRHKFDLSNYKSLCSSLINKVCQQEEAAIAATQAIVHCKTGERRRGASLRGDVWLSFRGPDKIGKKRVAMALAETIYGIKENFVSIDLSYQDCVAHPRSICNQQDAIGNGLQCRGKMNADHIATELSKKLGSVVFLENVDKADLLVQNSLSQAIRTGKFPDSHGRELGINDAIFILTSSGTQGQNFSRRKDHSSFSEENILAASCWQMKIFLEPSPETAKLSFASTQKPRNNQVYEHSVFVSKRKLDISDDLMSAKRAQTTSNVFLDLNLPVEDVEGHKDHSKSENSAAWMEDFLGLVDATVDFKPIDFDAFADSILKDINKIFLSNVGSDCVLEIEQKAMEEILAAAWSLEDRGALNNWFEQVLVRSLTELRCRYNLSSTHNILRLVACKDTFAQEHAPGVLLPSRIIIE >Ma10_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26654197:26665310:1 gene:Ma10_g14130 transcript:Ma10_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDQEFSTPPPASRQFGITKPISTAGPTETDLKRTIELEEFLVDAGLHEISEEATKREEVLGEIDKIVKSWVKQLTRQRGYSDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEKEEVSELQPVPDAHVPVMKFKFHGISIDLLYASISRLVVPQDLDISHGSVLYDVDEATVRSLNGCRVADQILRLVPNIENFRTALRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQIGNKICEDIELNKAGWGALFEPYLFFETYKNYLQVDIVAVDAEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPNEYEDPSKQCSHCAFFMGLQRKQGVKIQEGQQFDIRGTVDEFRHEVNMYMFWKPGMELYVSHVRRKQIPSYVFPEGYKRPRPSRVICQQLVDKTSGEDIGEECEGGSSERRLKRKGDADCSGARPNKPEKRASISPTHEKLPTTDQQDHEEVIFNDSVDVRANSLKDLMAVKGIEDCVEASCGNDKDDNARKLANGSSFLECGEASAGDLFCNSEMIKVDLEQFAGSNCTIVGGSQELVDDDKQGTSPETEHLKNGSAQNGVADVSEPNLALGVALEAHGSVTADAPQKPALRLSLTSTA >Ma10_p14130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26654196:26665310:1 gene:Ma10_g14130 transcript:Ma10_t14130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDQEFSTPPPASRQFGITKPISTAGPTETDLKRTIELEEFLVDAGLHEISEEATKREEVLGEIDKIVKSWVKQLTRQRGYSDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEKEEVSELQPVPDAHVPVMKFKFHGISIDLLYASISRLVVPQDLDISHGSVLYDVDEATVRSLNGCRVADQILRLVPNIENFRTALRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQIGNKICEDIELNKAGWGALFEPYLFFETYKNYLQVDIVAVDAEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPNEYEDPSKQCSHCAFFMGLQRKQGVKIQEGQQFDIRGTVDEFRHEVNMYMFWKPGMELYVSHVRRKQIPSYVFPEGYKRPRPSRVICQQLVDKTSGEDIGEECEGGSSERRLKRKGDADCSGARPNKPEKRASISPTHEKLPTTDQQDHEEVIFNDSVDVRANSLKDLMAVKGIEDCVEASCGNDKDDNARKLANGSSFLECGEASAGDLFCNSEMIKVDLEQFAGSNCTIVGGSQELVDDDKQGTSPETEHLKNGSAQNAKPGTWSGPRSSWKCDC >Ma10_p14130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26654194:26665310:1 gene:Ma10_g14130 transcript:Ma10_t14130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDQEFSTPPPASRQFGITKPISTAGPTETDLKRTIELEEFLVDAGLHEISEEATKREEVLGEIDKIVKSWVKQLTRQRGYSDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEKEEVSELQPVPDAHVPVMKFKFHGISIDLLYASISRLVVPQDLDISHGSVLYDVDEATVRSLNGCRVADQILRLVPNIENFRTALRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQIGNKICEDIELNKAGWGALFEPYLFFETYKNYLQVDIVAVDAEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPNEYEDPSKQCSHCAFFMGLQRKQGVKIQEGQQFDIRGTVDEFRHEVNMYMFWKPGMELYVSHVRRKQIPSYVFPEGYKRPRPSRVICQQLVDKTSGEDIGEECEGGSSERRLKRKGDADCSGARPNKPEKRASISPTHEKLPTTDQQDHEVKGIEDCVEASCGNDKDDNARKLANGSSFLECGEASAGDLFCNSEMIKVDLEQFAGSNCTIVGGSQELVDDDKQGTSPETEHLKNGSAQNGVADVSEPNLALGVALEAHGSVTADAPQKPALRLSLTSTA >Ma03_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11588479:11595072:-1 gene:Ma03_g14430 transcript:Ma03_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLTVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRSILKKIVSTKESMGEIMRNSSFALTEVKYVAGDNIKHVVLESVKSASLRVRSRQENVAGVKLPRFEHFVDHGAGDAKSDLTGLARGGQQIQACRVAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTINYIKGELDELEREDFFRLKKIQGYKKREIERQQQAAKNFAEEQYAEKISLKKGISINSAHNLLTVGVEKDEDIIF >Ma03_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3088418:3091895:1 gene:Ma03_g04720 transcript:Ma03_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELKTAPADFRFPTTNQTRHCFTRYIEYHKCVAAKGEETPDCHKFAKYYRSLCPGEWVEKWNEQRANGTFPGPL >Ma03_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6628162:6639049:-1 gene:Ma03_g09030 transcript:Ma03_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPASAVEPILAAADEPTAAAAMWEWGSLFDFTDVDEDPLILPWGTSDEAQTLPPLSTAELSSLPLPFAEPAPSGIEDGMGRVRKRDARLVCPNYLAGRVPCSCPEEDEEAMQEVAVAGPRKRSRKGGASRVVRCQVPGCEADISELKGYHKRHRVCLRCANSSSVVLDGEHKRYCQQCGKFHVLPDFDEGKRSCRRKLERHNKRRRRKPNDLNSIVEKETDTQEDSLPDVSFDGEPMKETVDEFTCNTAETVVSNKSLDGEPLAESEDGGGSPTGSLPSLKNDDIVISREAKKDERITNSKSALSSSFCEKKSTYSSLCPTGRISFKLYDWNPAEFPRRLRHQIFQWLANMPVELEGYIRPGCTILTVFIAMPQSMWDKLSQNVAHYVRDLINTPESLLRGRGTIFVYLGNMIIHVLQDGATLTNIKMEVQAPRLHYVYPFYFEAGKPMEFVACGSNLEQPKFRFLVSFAGKYLKYDSFHVISTGKSRYLDGNKAVCINNSEHEMFRIKVTHLDSEVFGPAFIEVENAAGISNYIPVLVANKQICSELERMEEPVVDSCYASHIMSQNFIANSSPGFCEILASRQSAMSALLLDIAWLLKAPYLEEKEAFWSFTNVQRLTSMLKFLLQNELFSVLQAIMHHLDNIILTEGFDKPDNWTSDVDQKLYDDFLNHVREILYQRTLNDTRLTEPKIPLCGLLMPQTSQKTSMCAKNYTNQDNEAIGDSSCSTSLHPAIEHDVNVPLVTKEIVDRRGCHQNPVFKPSLDDIFSNKIMRTRFPLFVVVSVVLCLAACIILFHPHEAGEFAISVRRCMFGGPPS >Ma03_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27578171:27583996:1 gene:Ma03_g22850 transcript:Ma03_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSPKHFSARSIFNITASVGGLALFLIIASLVLVPSLLGSAFHDYLLGVDRYRMPDSVSLVNSQTEVLLLPPNAQDGHNDTVTRESSPDPDVPSSLADATKGVTDGTMVTKSESTSEAPNLTMVIQFGDLPSPAISNGSGKSDLGNSDDDLASSNDISAVVLPSSQPADSRLVDSRSCDLYSGKWIYDPAGPLYTNNTCPMITQMQNCQGNGRPDKDYENWRWKPERCNLPRFDARKFLELMRGKTLAFVGDSVARNQMESMLCILWQVEAPKNRGNRRMQRWYFRSTSTTIIRIWSSWLVHKTSETFQSVPDSVVKVHLDLPDEDFMEYLPKFDVVVLSSGHWFAKQSAYILNGTLVGGQLWWPKKAGKMQINNIEAFGVSVESCLTAIASHPNFTGLAIVRSYSPDHYEGGAWNTGGSCTGKVRPVSTVVRNGFTDVMHEKQVSGFERAVKRARAGSKLRLMDITEPFGYRPDGHPGPYRSPDPKKITKRGPNGEPPPQDCLHWCMPGPIDTWNEILLEVIRREFEDKQ >Ma10_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13638590:13647242:1 gene:Ma10_g03410 transcript:Ma10_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIDDYRDSRRDEEDFRRPGGSQVAADSLPLGRHSLGANGNFPAAHLDIDEKLLIDPKLLYIGAKIGEGAHGKVYEGKYHNQIVAIKVLNGGSTPDEKVTLQARFIREVNMMSRVQHENLVKFIGACKDPFMVIATELLPGMSLKKYLSSIRPKQVDLHKAISFALDIARAMDCLHANGIIHRDLKPDNLLLTANQKNVKLIDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQMRPPLPEDTPPELVFIVQSCWVEDPSTRPSFSQIIRMLNAFLYTLPPAPSPEPDAVPAVMNTRGAITATSSPRRGGKLSFLRQLFAAKKAGSSSSSSA >Ma10_p03410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13638590:13647242:1 gene:Ma10_g03410 transcript:Ma10_t03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIDDYRDSRRDEEDFRRPGGSQVAADSLPLGRHSLGANGNFPAAHLDIDEKLLIDPKLLYIGAKIGEGAHGKVYEGKYHNQIVAIKVLNGGSTPDEKVTLQARFIREVNMMSRVQHENLVKFIGACKDPFMVIATELLPGMSLKKYLSSIRPKQVDLHKAISFALDIARAMDCLHANGIIHRDLKPDNLLLTANQKNVKLIDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQMRPPLPEDTPPELVFIVQSCWVEDPSTRPSFSQIIRMLNAFLYTLPPAPSPEPDAVPAVMNTRGAITATSSPRRGGKLSFLRQLFAAKKAGSSSSSSA >Ma07_p26510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33278211:33285148:1 gene:Ma07_g26510 transcript:Ma07_t26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWFYLLLEIAVLVTVHGQDSRDFISIDCGITSNTNYTHGKTDILYVSDDQFTDTGINHQVASNYVSSSLDELLLTVRSFPNASRSCYALKPVIQYRKYIVRATFMYGNYDDLNRANAVKPLLFDLYMDVNFWQTINVSDPTSIYEVEAVAVALADSVSVCLVDTGSGTPFISALELRPLVDVMYPSANTSQSLVLNYRLNIGPSTNSSALRYPDDPYDRIWRPWTSPDAWMEISTTETISNSEKDLFQPPTAVMQTAATPSGNSSKMEFYWTFADAQVPNNEFYVNLFFTEFERNTSRLFNVYLNDVLMKNYTPPYESVGYLYSTRPLDQASEYHWALNSTGLSTLPPILNAIEVFTAMHLTRAATASGDADAINAIKEQYQVKRSWMGDPCAPEQYPWDGLNCSYGTDSSRIIAINLSSSALTGVISSSFAKLTEIKYLDLSYNDLTGPIPDALGTLSSLQVLNLTGNNLNGSIPASLLKKSQQGALTFSYEANPNLGTDGTSNGSKKKSGTPMTVTYIVVPVVVVLLLVVIIFVVWRVRKFRGSTQDIHARPMIDNFSIPATVNPENPFQHENRQFSYKELEKITRKFTNVLGKGGFGTVFLGYLEDGTRVAVKTRSESSSQGTKEFLAEAQNLAKIHHRNLVSLVGYCMDGEHLALVYEFMSQGTLQDHLRGKTPGATALTWGQRLQIAVEAAQGLEYLHKGCKPPLVHRDVKSANILLSESLEAKIADFGLSRAFDNANHTHVSTAVVGTPGYLDPEYSSSYQLSAKSDVYSFGVVLFELMTGQPPIVATGNHATGLAQWARQQLNNGNIEDVIDPKLTRGYDINSVWKAADVALRCTEHESRRRPAMADVVMELKESFALESAYYRSEFPSTTSNWNPHTQTASERSQTSAFEVEQFPRFTPSAR >Ma06_p33960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34387611:34389198:-1 gene:Ma06_g33960 transcript:Ma06_t33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSVVSKEEEECMNLPPGFRFHPTDEEVITHYLSPKVVDHSFSATAMGEVDLNRCEPWDLPSNAKMGEKEWFFFCQKDRKYPTGTRTNRATETGFWKATGKDKEILKGRGVVVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGTSTLIPNLPKSAKDEWVVCKVFHKDTGLLKKSTLPALERIDSLGDDFLDSTALPPLMDPPCLDSATRPGSSFSNKDDSLNFQDVHASFSSMMGVDYYQVGPDLPDSSSYSFLGSMNLSYLHQEGAMLGALAAAENDAPSAITRHCKVEQSSNHSVGCHSQETGLSTDHNTEISSVPSKHYDELGDPSSTVPGFDLENFWQY >Ma10_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20179044:20180124:-1 gene:Ma10_g06740 transcript:Ma10_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTTARESRTYGHLAARDRLEYINAGLYVLAPVLLVGGFTAQLSAAPAFAKSGLALALIGLALVLVVNAHDLVAHLAGVDFCLSLVEFDVQLALVEFSVPLAHIVGTILNFIGILFFLIQMEKRYSPRLESHAVNTLISGPIFWVIGSIHNICQVYETANGHVQILQKCVQVPLLMGSLLFLVAGILNNHDTFGSIHTTSKIMGKSWVWLCLSGSCLFFVGGLLNVVKVSKMQRRDGVRLEKLRGSAHESSARRREGQLPLILESSRRKKAEEVTPHYKAMP >Ma04_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20352106:20353789:-1 gene:Ma04_g18430 transcript:Ma04_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQGVGGSRCYLLDVPPVSRPSMVHQMEFEHPKENAQGLGFLVPPDGTPFLLSTDVVPFTNAAAASCDKSMSKPWSNEEVATSDSKGRNDQTGSCRDGGANSWWRSSSLEKGKVKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHTHSPCDDASSSEHECFSSF >Ma05_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4931089:4933390:-1 gene:Ma05_g06690 transcript:Ma05_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSSSSSVCPQFSRPSNTPLTSWQDGQGIPESWCQLLLSPQFLSVCCSSTAYCQRLNPQITDPHLFCPIDEINHRHSLPLLLSFPGQMQILNENGMKNSGEQDQECNDEQKKDLRSRGLCLVPVAFMMHVGSGNGADLWAPALGGGFR >Ma04_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5967149:5973957:1 gene:Ma04_g08300 transcript:Ma04_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFGRNPPHSSNNKEEEPWLAQCLKPENYLPGMIIGFLLGLFLDLSATRRVRDPRGKSSSRPGKHQSMSAAASSSSPRGTSEELKMVLIVRQDLKMGAGKIASQCAHAATGMYAELLQSHRSLLRQWEQYGQAKIVLSCKNQQEMNKLKEAADRCGLPTFVVADAGRTQVLAGSRTVLAIGPGRKADIDSVTGKLHLL >Ma06_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4787185:4787850:-1 gene:Ma06_g06620 transcript:Ma06_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTWLGEHSKPATISSRNRELSGNFPALLALQFPPDLSVHYSFRRVDSFPTRERERERERVVDEDDENGAARGSDPGGDSLPPAAQEEGPCRGVRQEESPAQERVLPPARSRVPLRPLSQESTLPLNTAPASPKKRPPTAACYKSKRRAPAENGRIHPPGLDSLYATTLRRKSYA >Ma05_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34776638:34778533:-1 gene:Ma05_g22980 transcript:Ma05_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMADQFDFFSRRCAWVNGPIIVGSGPSGLAVAASLKEHGVPFVILERSDCIASLWQKRTYDRLKLHLPKQFCQLPNFPFPEDYPEYPTKKQFVDYLESYAKHFEISPRFNQSVQSARYDETSGLWRVRTVGTGAEAGNRSHEMEYIGRWLVVATGENAEKVVPELEGLGEFGGDVTHACDYKSGEAYRGKRVLVVGCGNSGMELCLDLCDHDAFPAMVVRESVHVLPREVLGKSTFELAVMLMKWLPLWLVDKILLVLAWLVLGSISKYGLRRPSTGPLELKNTQGRTPVLDIGALGKIRSGDIKVVPGIKRFSPGRVELADGQVLDIDSVVLATGYRSNVPQWFQGCDFFSKDGFPKTPFPNGWKGQSGLYAVGFTKRGLSGASSDAVKAAKDIGRMWREETKPAKRPVACHRRCISQI >Ma05_p23470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35529636:35537553:1 gene:Ma05_g23470 transcript:Ma05_t23470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MESYSWHARRSRCHHLWVSRVADVLTDYLGGLNEDLIKDNFIIVYELLDEMMDNGFPLTTEPNILREMITPPNIVSKMLSVVTGKNSNVSTRLPDATASCIPWRKTNMKHASNEVYVNLVEEIDAVFSRDGALVKCEIYGEVQVDSHLPGLPDLTLSFSNPSILNDMRFHPCVRFRPWESDQILSFVPPDGEFKLMTYRVKKLKSTPIYVKPQLTSDTGNCHINVLVGVRNDPGKTIDSITVQFQLPFCVASANLTSNHGTVNILADKTCSWSIGQIPKDKSPSLSGNLVLETGLDRLHVFPVFQVGFKIMGVALSGLQIHKLDIKNAPSQPYKGFRALTQAGEYEVRS >Ma05_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35529641:35537549:1 gene:Ma05_g23470 transcript:Ma05_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MLQCIFFLSDSGEVMLEKQMTGHRVDRSICAWFWDYVLSQGGDPSKILPVIASPTHYLFQLFRDGIIFLACTQVEMPPLMGIEFLCRVADVLTDYLGGLNEDLIKDNFIIVYELLDEMMDNGFPLTTEPNILREMITPPNIVSKMLSVVTGKNSNVSTRLPDATASCIPWRKTNMKHASNEVYVNLVEEIDAVFSRDGALVKCEIYGEVQVDSHLPGLPDLTLSFSNPSILNDMRFHPCVRFRPWESDQILSFVPPDGEFKLMTYRVKKLKSTPIYVKPQLTSDTGNCHINVLVGVRNDPGKTIDSITVQFQLPFCVASANLTSNHGTVNILADKTCSWSIGQIPKDKSPSLSGNLVLETGLDRLHVFPVFQVGFKIMGVALSGLQIHKLDIKNAPSQPYKGFRALTQAGEYEVRS >Ma03_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29084648:29092682:1 gene:Ma03_g24880 transcript:Ma03_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPSNVVLLRSGDQVTAALKKANHDKLRAIFYFTAAWCGPCTAIVPVIEELSHKFPNVTTYKIDVDQEGLGSLLGNLRIYSVPTFHIFHNGEKATEIVGADVERLDTMENLYKVSREKYCLNHHQIRT >Ma06_p32480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33417725:33419571:-1 gene:Ma06_g32480 transcript:Ma06_t32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFSFTLFLVLILLLYLLFSAKKNNTKKNLPPSPPSLPFIGHLHLCRKPLHRCLARLTALHGPVLLLRFGGRPVLVVASPAAADECFTTHDITFANRPILPSRKYLLYKNNTTLDFANYGPYWRHFRRVATVEVLSSHRLRSSSDARAHEVRSMARELLRACDAAADGLAKVELKTRLFQLAMNVLMRTIAGKRYSGEEGVVSEESKRFMVTVEEIFALSGASNVVDFIPLLRWVDYGGVRRKLMRLHRVRDEFLQQLIDELRTKGGDESQTTEAKEEKTTISDLLSLQKTDPENYSDQIIKSLISILLSAGTDSTARTIEWALSLLLNHPNAMDKTRAEIDARVGNGRLLDESDLPNLPYLHCVVAETLRMYPAGPLLVPHESSDECVVGGFHVPRGTILLVNAYAMHRDPKTWDEPARFMPERFEGGKGEGKRMAPFGKGRRKCPGEGLAARMMGLALGTLIQCFEWGRVGDKEVDMAEGSGLTLPKAVPLEATCRPRQTLAHLLSEI >Ma06_p03050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2266991:2269024:-1 gene:Ma06_g03050 transcript:Ma06_t03050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFEDGMMRHHRTRSAGAEASAYEAAGATLSRDPKHRLRWTPELHDRFVDAVAKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQTGRETKAETSSKGSNPADTSYSADSSVFGGPESVGGTPLAEAIRYQLEVQRKMNEQLEVQKKLQTRIEAQGRYLQAILEKALNSLSLDMNASASVEATSSSQLTDCNLALSGSMDDATKNSTSLKRSNTSAFQLHREGRQEHEDSKLGNDAGTVLLDLNAKGSYQLFGVAGGSELSLRIQPQGI >Ma06_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2266990:2269024:-1 gene:Ma06_g03050 transcript:Ma06_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFEDGMMRHHRTRSAGAEASAYEAAGATLSRDPKHRLRWTPELHDRFVDAVAKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQTGRETKAETSSKGSNPADTSYSADSSVFGGPESVGGTPLAEAIRYQLEVQRKMNEQLEVKTTGTIQQCYLIGFSRKEHAWQVQKKLQTRIEAQGRYLQAILEKALNSLSLDMNASASVEATSSSQLTDCNLALSGSMDDATKNSTSLKRSNTSAFQLHREGRQEHEDSKLGNDAGTVLLDLNAKGSYQLFGVAGGSELSLRIQPQGI >Ma06_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10435466:10438165:1 gene:Ma06_g15370 transcript:Ma06_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVENMPGGWPFGLESMTVRLSMGNFQAAATSTNSHHMHSDSFASYSSSDLDTLSTRSFFQDRSITLGSLIGMTPVDRNVRLAKSFLSEELERASRINLPHDSAESDEEMFSCCICVAFMDNVLPGRTGSRY >Ma06_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23944384:23947392:1 gene:Ma06_g24750 transcript:Ma06_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDRFSLGESRWWPPGFRFHPTDEELVLYYLKRKFCGRRVKLPVIGEVDVYKWEPWELPEKSVLKSGDKQWYFFSPRDRKYPNGSRSNRATEFGYWKATGKDRTISQNSKATGNKKTLVYYHGRAPKGERTDWVMHEYTLDDQVLLSYDNVQDSYALYKVFMKSGPGPKNGEQYGAHFREEEWVDEAADESFKSQDNIELSRNQENIEAPITKPLHHLTSSGVMHDAGNTLPINELEDLLLKLPEEQDMVGQFSEHSAYISEVDVETEAGKHNFSPPSTETTTSFEDDSTRCELSILEASFQVAEPHSCFVQPVENPEMTSLTYNSHQSPEETHGEFLEIKDFNEPESVIWSGNNSSNGDQTDGSDGFYDSYDYFDDPLSFYNDFEPLGFPAQNSYLDSFGDDGVLNESYHVLTNLWEHNLVSGFSNADTNRIFMASPASGVPYASASSNIEKPEEQGTHVRGTSESWLSSALSNLLDSVPSSPALASENTFINRALERVSSFRSGQVGVHGPNTTTGEHAATSIRRGDHRNEGVLFISLLVGLGAVFWVLTVGATITVFKGFLARFNSP >Ma02_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6203335:6209073:-1 gene:Ma02_g00720 transcript:Ma02_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP22 [Source:Projected from Arabidopsis thaliana (AT2G38730) UniProtKB/Swiss-Prot;Acc:Q9ZVJ4] MASGGAATNNNNNSVVVAAAAAAATPTVAVEWHLRPPNPKNPIVFFDVTIGSIPAGRIKMELFADIVPKTAENFRQFCTGEYRKANLPIGYKGCQFHRIIKDFMIQAGDFLKGDGSGCVSIYGSKFEDENFIAKHTGPGLLSMANSGANTNGCQFFITCAKCDWLDNKHVVFGRVLGEGLLVVRKIENVATGPNNRPKLACLVSECGEM >Ma06_p30200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31538886:31539651:-1 gene:Ma06_g30200 transcript:Ma06_t30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGQVDLEDVPSLDLLTEVLHRLKCASKPDKHLILIGPPRSGKGTRSRIIKDEYCLCHLATGDMLRAAVAAKTPLGIKIK >Ma09_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28050877:28050942:1 gene:Ma09_g20190 transcript:Ma09_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSARVRRFFLREELGVVRE >Ma04_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3641229:3642977:-1 gene:Ma04_g04770 transcript:Ma04_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLLLLLLVLVPIFTFSSAAHSNASASPPSSFGVNYGQVADNLPSPPDVIPLLLSLGATRVKLYDADPAVLRAFAGTGVEIIIGLPDRCVPRLIAPSAALAWVKTNLQPYLPATRIAAVTVGNEVLSGNDSVLIRSLLPAMQSLHSALVALGLDHQVTVTTAHSLAVLAVSYPPSASAFRRELLPYVAPLLAFLAKTCSPFLINAYPYFAYKADPKRVDLDYALFEPNAGVVDPGSGLRYSNMLHAQVDAVRAAIVAAGGGKEVEVVVSETGWPSAGDDDEAGATAENARRYNGNLMRMVAARKGTPSSPGVPLQVYVFALFNENLKPGPSSERHYGLFKPDGTPAYDFLAANSSATVSSSSGGNTAQSPSPATDTSSTGYYTISSATGMKPLLWHPTAVVNVVMVAATFQYYGVLGYLD >Ma08_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36286315:36288684:1 gene:Ma08_g22800 transcript:Ma08_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEETLKSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCVLAARSLFFRRFFCGSDPSSQRRPGGAAVIPVNSVTYEVFLLILQFLYSGQTAVVPQKHELRPGCGERGCWHTHCTAAVDLALDTLAAARSFGVKQLEQITEKQLGSMVEKASIEDVMRVLMAAWQQDMQQLWTTCSLLVAKSGLPAELLAKHLPFDVVARIEELRLRSSLARRPSFLACHHYTHPIDVAISSADIEDHHQKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNCPAGAAGKTPLHIAAEMVCPDMVAVLLDHHADPNIRTTEGVAPLDILRTMTSDFLFKGTLPGLSHVEPNKLRLCLELVQSAALVMSRDDANYGAGTNPSTAIYPRTNTETGACNATPSSSSMINLSLDSRMVYLNLGMAEQFGCKMDDNGGDESSPSSLYPSHGFP >Ma01_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28770037:28770639:-1 gene:Ma01_g23660 transcript:Ma01_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMIENYKAEAEVYHGDLALCKKKSMQLLQELGLPKGLLPLEDVQEFGYHRASGFMWLVQKKKIEHTFKKIKQHVSYATEVTAFVEQRKLKKITGVKTKELLLWLSVVEVFIDDPSSGKITFKTGTGLSDSFPVPAFEAEE >Ma09_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4261216:4262409:1 gene:Ma09_g06670 transcript:Ma09_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQEEEASVGGDNLSTTKDQASSIVDVRGCQALHVTYHSTAMVICTVKNVLYSAEIICFWQVVGSPRFLLWLGMVVMFRGLLGQLDAIPCPSRTLVGSLGTLLDRHQDKLAREIGLARGEAQEESESDTHHLRKRVQSCFEIGANAIRIGGRATAIARTDGKPDVDHHHHHRHHLLLLRGRTVDANKCRACSSFNICSLLVPASAAFDGKEGNGNGRCDEMTWECVMNFFGQAKQCGFHMHIGAAELRGRAEVMGGGVKRRCKIQLKMDLCGENVKCNDIYGLYHFTL >Ma01_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9776585:9781653:-1 gene:Ma01_g13360 transcript:Ma01_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPYATMMLINCSHCHTPLQLPPGASSIRCAICRAITYVSDPRSVHMPPGAPHSLPPPGPAPLPPPSWGLAPPPMPGGGRRKKAVICGITYRNTRSELKGCINDAKCMKYLLINRFGFPESSIIMLTEDERDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGSQQRDYSGEEADGYDETLCPVDFETQGMIVDNEINATMVRPLPRGVKLHAIIDACHSGTMLDLSYLCRMNRSGQYAWEDHRPRNGAWKGTSGGEVISFSGCDDDQTSADTSALSGITSTGAMTYCFIQAIERGHGTTYGSILNSMRSTIRSTGDAISGGPVTSLITMLLTGGSMPGTLRQEPQMTSNEMFDVYAKPFSL >Ma08_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8327384:8331676:-1 gene:Ma08_g11270 transcript:Ma08_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative recombination initiation defects 3 [Source:Projected from Arabidopsis thaliana (AT1G01690) UniProtKB/TrEMBL;Acc:F4HU48] MKLRINKACDLGSISVLPPRRSGGMSSGGDGLGRSQASQIRSQSQQSFSQGISLSQLSQSSFEEPLVNDQRFGSQEKDNSLRKISSLAPIALTREESQLQLTRASSNVMHRWNAASMSDNRFQVSEELEHKLRHIESSINRVGMILDSVQSDVMQVNRAAKELSLEVEGIRQKVSLLENSMQQMVKWEDDIKAFIGGSLTSISDQLIKISSSGKVNEIASAVATLQEQMFSRLARLECEVCRFFSEKEVRESGIKSSNNQHSVKFQSPMSGNYMDIKESLEGNIEEKKVSAAPLMSNKQRSPPFKKEGKLESFKSKLTEPKHTVKHKHIIPHTKQFNIVM >Ma08_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5383571:5390393:-1 gene:Ma08_g07840 transcript:Ma08_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYQAWKGNNSFLLCGRLIFGPDSRSLFVTVALIVVPVVIFCVFVARNLLHEFPAYDAGYAVLVVAIAFTIHVLLLLLMTSARDPGIVPRASHPPEEEFSHDTSTHSEIGGRHTPSLTFPRIKEVMVNGIPVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFLFVSSSTLLCIYVFAMCALYIKFLMDEDYPTVWKAMKHSPASVVLMIYCFVSLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRVSAFDRGCLSNFIEVLCTEIKPSRSKFRAYVQDEIPRPPPVGRTRDMEEEPASSPRVKVEDDLDIGGDLLKISRRRNYEEVDEEMGGRNSNGLHRALSESELMVDSETEIPVIRTETKNSSRGRRSGSWDLSPEVLAASSLAAEGDIPSSQKPVP >Ma08_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27322583:27323359:1 gene:Ma08_g17880 transcript:Ma08_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASADSARLKKKKKSVGKGDNSGKVYECRFCSLKFSKSQALGGHMNRHRQERETETLNRARQLVFSSEALARPGAIGLRDLNVGGFHQYGDPCLQFRPVYQGLPTQQPNPPPMQQQLYRPPRPSTGNYYIGHVVSGSPHCQRHHHPSYGAPLSRGRADHEKNWGCAHGHVQHMSRNLRS >Ma11_p19480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24339601:24341857:-1 gene:Ma11_g19480 transcript:Ma11_t19480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSSPRAIGNGKVDSKAKYHSVDVAEEGEDDEAFAGRRRKSPESHGKTYIASFSLHPHRCSLPHFQPRRSQDVGVMSGAILFIQKDLHITEVEEEVLVGCLSIISLLGSLAGGRTSDAVGRKWTMALAAIIFQIGAAIMALAPSFPVLMMGRLLAGVGIGFGVMIAPVYIAEISPAAARGSLTSFPEIFINLGILLGYISNYAFSGFSEHVNWRIMLGVGILPSVFIGFALFVIPESPRWLVMQKRAEEARSVLSKIIEDEGEVERRLAEIEKAAGAATANKWEEKAVWKELLSPSPSLRRMLIVGCGIQCFQQITGIDATVYYSPTIFRDAGIKSDSELLAATIAVGVTKTCFILVAIFLIDRVGRKPLLYASTMGMTLCLFLLGVALSLNHHGTGLISPAAGIGLAIVAVCGNVAFFSVGIGPICWVLSTEIFPLRLRAQASALGAVGNRVSSGLVAMSFLSVSRAITVAGTFFIFSAISALSVAFVFYCVPETKGKSLEQIEELFQASREGQNEEVELQDAEHLLQE >Ma11_p19480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24339601:24341834:-1 gene:Ma11_g19480 transcript:Ma11_t19480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRERMTKRSPGGGGRVRRAMARLTSAPAPSSPPLIPFFLAMVASSFPFITIIIVIWFYCCPKQIASFSLHPHRCSLPHFQPRRSQDVGVMSGAILFIQKDLHITEVEEEVLVGCLSIISLLGSLAGGRTSDAVGRKWTMALAAIIFQIGAAIMALAPSFPVLMMGRLLAGVGIGFGVMIAPVYIAEISPAAARGSLTSFPEIFINLGILLGYISNYAFSGFSEHVNWRIMLGVGILPSVFIGFALFVIPESPRWLVMQKRAEEARSVLSKIIEDEGEVERRLAEIEKAAGAATANKWEEKAVWKELLSPSPSLRRMLIVGCGIQCFQQITGIDATVYYSPTIFRDAGIKSDSELLAATIAVGVTKTCFILVAIFLIDRVGRKPLLYASTMGMTLCLFLLGVALSLNHHGTGLISPAAGIGLAIVAVCGNVAFFSVGIGPICWVLSTEIFPLRLRAQASALGAVGNRVSSGLVAMSFLSVSRAITVAGTFFIFSAISALSVAFVFYCVPETKGKSLEQIEELFQASREGQNEEVELQDAEHLLQE >Ma11_p19480.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24339601:24341834:-1 gene:Ma11_g19480 transcript:Ma11_t19480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRERMTKRSPGGGGRVRRAMARLTSAPAPSSPPLIPFFLAMPRRSQDVGVMSGAILFIQKDLHITEVEEEVLVGCLSIISLLGSLAGGRTSDAVGRKWTMALAAIIFQIGAAIMALAPSFPVLMMGRLLAGVGIGFGVMIAPVYIAEISPAAARGSLTSFPEIFINLGILLGYISNYAFSGFSEHVNWRIMLGVGILPSVFIGFALFVIPESPRWLVMQKRAEEARSVLSKIIEDEGEVERRLAEIEKAAGAATANKWEEKAVWKELLSPSPSLRRMLIVGCGIQCFQQITGIDATVYYSPTIFRDAGIKSDSELLAATIAVGVTKTCFILVAIFLIDRVGRKPLLYASTMGMTLCLFLLGVALSLNHHGTGLISPAAGIGLAIVAVCGNVAFFSVGIGPICWVLSTEIFPLRLRAQASALGAVGNRVSSGLVAMSFLSVSRAITVAGTFFIFSAISALSVAFVFYCVPETKGKSLEQIEELFQASREGQNEEVELQDAEHLLQE >Ma11_p19480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24339601:24341734:-1 gene:Ma11_g19480 transcript:Ma11_t19480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAILFIQKDLHITEVEEEVLVGCLSIISLLGSLAGGRTSDAVGRKWTMALAAIIFQIGAAIMALAPSFPVLMMGRLLAGVGIGFGVMIAPVYIAEISPAAARGSLTSFPEIFINLGILLGYISNYAFSGFSEHVNWRIMLGVGILPSVFIGFALFVIPESPRWLVMQKRAEEARSVLSKIIEDEGEVERRLAEIEKAAGAATANKWEEKAVWKELLSPSPSLRRMLIVGCGIQCFQQITGIDATVYYSPTIFRDAGIKSDSELLAATIAVGVTKTCFILVAIFLIDRVGRKPLLYASTMGMTLCLFLLGVALSLNHHGTGLISPAAGIGLAIVAVCGNVAFFSVGIGPICWVLSTEIFPLRLRAQASALGAVGNRVSSGLVAMSFLSVSRAITVAGTFFIFSAISALSVAFVFYCVPETKGKSLEQIEELFQASREGQNEEVELQDAEHLLQE >Ma11_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24339601:24341857:-1 gene:Ma11_g19480 transcript:Ma11_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSSPRAIGNGKVDSKAKYHSVDVAEEGEDDEAFAGRRRKSPESHGKTYVRTCAFFASLNSVLLGYDVGVMSGAILFIQKDLHITEVEEEVLVGCLSIISLLGSLAGGRTSDAVGRKWTMALAAIIFQIGAAIMALAPSFPVLMMGRLLAGVGIGFGVMIAPVYIAEISPAAARGSLTSFPEIFINLGILLGYISNYAFSGFSEHVNWRIMLGVGILPSVFIGFALFVIPESPRWLVMQKRAEEARSVLSKIIEDEGEVERRLAEIEKAAGAATANKWEEKAVWKELLSPSPSLRRMLIVGCGIQCFQQITGIDATVYYSPTIFRDAGIKSDSELLAATIAVGVTKTCFILVAIFLIDRVGRKPLLYASTMGMTLCLFLLGVALSLNHHGTGLISPAAGIGLAIVAVCGNVAFFSVGIGPICWVLSTEIFPLRLRAQASALGAVGNRVSSGLVAMSFLSVSRAITVAGTFFIFSAISALSVAFVFYCVPETKGKSLEQIEELFQASREGQNEEVELQDAEHLLQE >Ma09_p28050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38891297:38892364:1 gene:Ma09_g28050 transcript:Ma09_t28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding RATCKDWCSALLPVVIPSPWLLLVGKNSDTCNFLSLPTRRSFTYSLLPELRGVQYVGSQAGWLAVFNKNLDVSLINPLTAARICLPSFLTLPNFELVDGSCEIAYAKAGGDKWNLLQTTSTQHRSYEDIMYHNGKFYCITVEAEVFAFDLSGVSPTVTVVAESTSLGLTHFDYHIPCSRVRHIHSKYLACSSTGELFLILRHAVLSYESLGWKAIMVWRYNPQRQPCWEAVKNLGNKSLLIGINNAISISTENFRGARRDCVYFTEAPVRTRVNGRPEIIHSIVVSDVERGKWARARANSQLQPHLLPPIWFTPSML >Ma02_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14514930:14519161:1 gene:Ma02_g03180 transcript:Ma02_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLAPEQIAEFKEAFSLFDKDGNGHITTKELGNVMRTLGQNPTEAELQDMINEVDADRSGTIDFKEFLNLMAHKMNAGSEEELKEAFRVFDKDQNGFISAVELRNVLVNLGEKLTDEEINEMMHEADTNNDGQIDYKEFIKVMNAKRRPGEGSDSKKAETSPRHSKHSGDDPPSKCSQVCSNCTVL >Ma09_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32367956:32370018:1 gene:Ma09_g21380 transcript:Ma09_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELIISPSSSSSCLHQPTATALQHRLQRLLDARPEWWAYAIFWRASPDHRLLSFADGHFRGARSVADRRSGADAVDDAEWFYAVSLSRSFVVAGDATAVAAVPARVYSSLAPVWLAGAHALQACGCDRTREAQLHGIETLACVQVPGGVLELGSTDIIGENWVVMQQAKAVFSTLPHDAALATGTAPTIATAPSPAVRKDGAGHSSSVDSGHSDSDGGLTVEHRRPKKRGRKTVSGTREAPASHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLADAVAYIQELKAKVDKLEAEGKPAMKEITVEQTAGHSADAGAATSSTTTSATTLTKAAMEVEVKLLGAEALIRVQSEDRGHPSARLMAALRDLELRVHHASVSSLEQVVLQDVVAKVPTELQREDGLRAALLARLDKH >Ma11_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16408411:16412279:1 gene:Ma11_g12450 transcript:Ma11_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMKRLEVVLLLLAIAVVVQGNGRGGDGYCNHKRGPTPRPHSVTITEFGAVGDGVTSNTLAFQNAVFYLRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLDKDAVIIGSQDASHWPVVEPLPSYGQGIDLPGPRHCSLINGYNLTDIVITGDNGTIDGQGSVWWEWFHSQTLNYSRPHLLELVSSSDIVISNLTFLNPPAWSIHPVYSSNVEIQNVKIHCSSASSYTNGIVPDSCSNCCILDCSISVGHDAIALKSGWDNYGISFNRPSSNIHINNVHLQTSLGSALAFGSEMSGGISDIQVEQLHIHDSFTGIKFKTTRGRGGYIEDIIISDVEMENVHEAFQITGHCGAHPDDQYDPDALPMIKQITIKDVVGTNISIAGSLSGMDHGPFSAICLANISLSVTSGASNSWICSNVSGFSESVVPQPCSDLSSNSSLSCFSLENFNALVDFQ >Ma04_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25905826:25909726:-1 gene:Ma04_g23820 transcript:Ma04_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAAVAAMELRKTRKDRVAISSAFDTFKNNYLFVYSLMMAGDWLQGPYVYYLYSQYGLNKGEIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYIVSCLTKHCPEYTSLLIGRILGGISTSLLFSAFESWLVAEHNKRGFDPQWLSVIFSKAIFLGNGLIAIVSGLLASLLVDNLEYHPVAPFDAAACFLTFGMAVILSSWSENYGDPSESKDLITQFNGAAAAIASDEKIALLGGIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSIASRLMTHATLKVESYMQIVFAISAVTLLLPIVCNFLVAPSEQGDGISFGGCIQLLGFCVFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNAFPITIMFGMCSIFLFMASVLQKRLLEISDSHKSMIQVWTDMTEGDDEAETLNI >Ma06_p38470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37214464:37220284:-1 gene:Ma06_g38470 transcript:Ma06_t38470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRDGGQKKHLVPSLCVVVLFIGFLVLYYGSFFGPRGQHANSALEYGSRISRSFGWSSVDNGEVGESKESILGQEGGEDGLVPKSFPVCDDRHSELIPCLDRNLIYQTRLKLDLALMEHYERHCPQPERRYNCLIPPPPGYKVPMKWPKSRDEVWQVNIPHTHLAHEKSDQNWMVVKGDKIVFPGGGTHFHYGADKYIAHLANMLNFTNNNLNNEGRIRTVFDVGCGVASFGGYLLSSDIISMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAAKKNQTVIWVKPLTNDCYLKREPGTRPPLCRSDDDPDAVWGVPMEACITPYSEQNQRDEGSGLAPWPSRLTTPPPRLADFGISTDMFEKDMEIWRLRVDNYWSLLGTKIRPNTLRNVMDMKANMGSFAAALKDEPVWVMNVVPEDGPNTLKIIYDRGLIGSVHDWCEAFSTYPRTYDLLHAWTVFSDIEKKGCSAQDLLIEMDRILRPTGFMIVRDRKPVIDFIKRHLAALHWESVAVEDAEPNSDSEDGEMVFVIQKKMWLIEESGKDSA >Ma06_p38470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37214464:37220284:-1 gene:Ma06_g38470 transcript:Ma06_t38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRDGGQKKHLVPSLCVVVLFIGFLVLYYGSFFGPRGQHANSALEYGSRISRSFGWSSVDNGEVGESKESILGQEGGEDGLVPKSFPVCDDRHSELIPCLDRNLIYQTRLKLDLALMEHYERHCPQPERRYNCLIPPPPGYKVPMKWPKSRDEVWQVNIPHTHLAHEKSDQNWMVVKGDKIVFPGGGTHFHYGADKYIAHLANMLNFTNNNLNNEGRIRTVFDVGCGVASFGGYLLSSDIISMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAAKKNQTVIWVKPLTNDCYLKREPGTRPPLCRSDDDPDAVWGVPMEACITPYSEQNQRDEGSGLAPWPSRLTTPPPRLADFGISTDMFEKDMEIWRLRVDNYWSLLGTKIRPNTLRNVMDMKANMGSFAAALKDEPVWVMNVVPEDGPNTLKIIYDRGLIGSVHDWCEAFSTYPRTYDLLHAWTVFSDIEKKGCSAQDLLIEMDRILRPTGFMIVRDRKPVIDFIKRHLAALHWESVAVEDAEPNSDSEDGEMVFVIQKKMWLIEESGKDSA >Ma06_p30780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32019021:32019218:1 gene:Ma06_g30780 transcript:Ma06_t30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVRVIHGKPSLLSQCVSISLKPCSFLYPFPFRSHLTHHIQELKRAHQHVTSPDLVLTRILPT >Ma11_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:977588:981636:1 gene:Ma11_g01390 transcript:Ma11_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHAALASSRIPSNTWFHSKAAHSHPTSCFSKRLEVAEFSGLRSSACVTFATNGRDASFSDVLAAQLSTETARAVLVKGETVAKLKVAINGFGRIGRNFLRCWHGRNDSPLEVVVVNDSGGVKNASHLLKYDSILGTFKADVKIVDNETISVDGKPIKVVSNRDPLQLPWAALGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYTHEVSNIVSNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGMTAEDVNDAFRKAANGPSNGVLAVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGIPAQGSGDPLEDFCQTNPETKECKVYEF >Ma03_p29680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32529100:32549876:1 gene:Ma03_g29680 transcript:Ma03_t29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQAASGVVKYVHFNFYTSEEIRKISVKKITKPDLLDAKNSPIPDGLYDPALGPLNDNDSCKSCGQLSVRCPGHCGHIDLAKPLYNPLLFKTLQGLLQITCFFCHKFKINEEKVKRYVAQLDLIVKGDINGARSLEANTWSEIFFPEEETAESITSNFDNANSKHLTWTSLQQSEALSIFSKFMRERRKKCDNCGKKNPTINSPIFGWLNKTTQESDIRANFILDSSLDQSSSEAKYSSITQSRGESASEMDEESPLSKKKVKLGDLPPEFIKQMSSSGQKHLLPSEVEFILNNLWKNEANLCMLISDIHCKNLSISRGNKGFAMFFLKTLLIPPSKFRPAAGSSGRGVLEHPQNTLLSKVQQANIALKNCIVANPDHPDILRSWMDLQKCVNVLFDSTKGFAKSDKEASGIRQLLEKKSGILRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFSLRLTYPERVTPWNVNKLRCAIINGADIHPGATHYKDKERMYKLQASQNMRSAISRKLPTSRGMTAQLGTGPESEFEGKVVYRHLQDGDIVLVNRQPTLHKPSMMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHLPQDEISRAEAINIVNANKQYIVPTSGHPIRGLIQDHIVSAVLLTKMDTFLTREEYHQLLYASSVPPTSYSQRNRFGQKVSVSWSDYEIQPLPPAIWKPTPLWTGKQVITAILNFVTRGRLPLTIEKRGRIQKEYIGEDHTLLVLHIHNNELVHGMIDKAQFGTYGLVHAVHELYGPDVAGTLLSVFSRLFTSFLQIHGFTCGVDDLLLSQKSDIERERILKKSEIQSGEVHMRFTRTKDGDGDPMKLQREIEKVLRGNGDSATALLDRMMSNSLNSLTSEINQTLFPNGLLKPFLKNCLSLMTTTGAKGGLVNMTQISSLLGQQELEGKRVPRMVSGKTLPCFPPWDISSRAGGFISDRFLTGLRPQEYYFHCMAGRDGLVDTAIKTSRSGYLQRCIIKNLECLKVSYDHTVRDADGSVIQFIYGEDGIDVLKASHISEFKMLLDNQKVVLQKFSDQISDTSLAKSNAYIRELPCSLRDKATDFILKNQKSFPHQINQKDFMKLMKLKYLSSLAEPGEAVGVVAAQSVGEPSTQMTLNTFHLAGKGDMNVTLGIPRLQEILMTASKDIRTPLMNCPLHVWKTKDDAERLAAKLRRVSLADVVERMEVCTVPFSIHGNQISTIYKLKMTLYPSELYPAFSELTLEDCKEVLETTFVEAMEDAIAKHLDMIFRISDIKVASGKEDNDFEEGVDEDESRNKSNTVEENVDGGDEDYESLDDQGTDSRRRKQQANDEVEYDDGIEKESFVAAGEHDEEMQSGFESEIDHVEADEDYLMGGGSPGFDMDIATPESPSKADSTPVSEDGKKKSKLTEKGKKETKSKADKKAKKPKSSKKKIRRTIYMMAEGLKFEVHYIFRSEPRILLAEIAQRTAKRVYVKEYKNIERCSLKENKKSTDPFMLQIAGVNFSTLWDLEEFLDIIHIYSNDIHAMLNTYGVEAARATIIKEVTDVFGLYGIQVNIRHLSLIADFMTFHGGYRPMNRVGMGDFNTSPFGKMTFETATKFIIESAFHGEVDTLESPSASVSLGQPVKMGTGCFDLMQNLQL >Ma03_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24575282:24575350:1 gene:Ma03_g19210 transcript:Ma03_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGFEYSDDEPEEQHVDIEN >Ma08_p25410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38259255:38259479:1 gene:Ma08_g25410 transcript:Ma08_t25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTNETNGPYHKAGSAQIHVKFEYETSYTLKFIGRKEVILRPLYSLCLALNGLGIYLINYQINGSLFEMNAIC >Ma03_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3986966:3989461:-1 gene:Ma03_g05790 transcript:Ma03_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRRTFSMNQVPTGGYQSSGPQRSNQEVMHELRTRADELERLFAARRPGSSGCRMSSVGGVRLGDARVDSADEVFDEMPMEPSGEPTSGLVEFDGDLLLNMVDNLQYNMKQSLSGSSKGRLYEQYLQMRDAKLRRERGSAKWVRKKEVMMEMEDGLEKISAEIKVRFGDSADRKDSVLLGHHSLRKQRSFSVRSAIEFREQHDMEYLDEQAQNDQHKSLGTVGTRKLLSSKSISSSTSRNLTGLVPRSSRTAHSTFTKQRIQSVYPSAQMTRESPDLRKENMKPLARLGNVPTHSDWRTFDRSKSTAAGIDSYKEERQPRIRHTRNSSVSFGELKELIPRNSDSSSLALSSFPREKNQNTVSKKIQISGEPKAFLRRGGGIGPGAGASIAKSRASKIPESQSNGEDDEKLVNQQEYSADMVRNTDEEFGRTNELGSSGDHEYVAQDDGSSAFTPNFDESCSNVDEVPGERDLHIHPSISSVYEASDIDGSVDPPRGNSDIRNTHHLNLMPEADAARVRKKWGGVERLVFATNESDQPLKDIKKVFKKLLRFGSKSKNAEKRPNDSISTSTASEGGDDTEDGSKMGRQSASVVLHEGRIFHEQGAPFALYRL >Ma03_p15500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15416685:15425576:-1 gene:Ma03_g15500 transcript:Ma03_t15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESADEGGSRDPALGADGDPRRSPKASTCKGKSCKGCLYYSWRLKSDARIPVGVGIGRTLPQVPNYIIGESEMEATKEGRSLSDFKYTCVGYSVFLDTKNDNAEKPENQAELPFCAGIELLVDRRASTAGHVPANVHKEDATPFTTTSTPISSPFRG >Ma03_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15416685:15425576:-1 gene:Ma03_g15500 transcript:Ma03_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESADEGGSRDPALGADGDPRRSPKASTCKGKSCKGCLYYSWRLKSDARIPVGVGIGRTLPQVPNYIIGESEMEATKEGRSLSDFKYTCVGYSVFLDTKNDNAEKPENQAELPFCAGIEMLHHSQPRAHRSAHPSGDELSNRFRKNAGLVASGVAKNLNRVGNYIKESVDNILYPHRR >Ma08_p34760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44752819:44755226:-1 gene:Ma08_g34760 transcript:Ma08_t34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISVLFFFLSLLLLATAGVSSGAPTKRVGIYELRRGEFSVKVTNWGATITSVVLPDSKGKVADIALGYDGIRPYTNDTTNFGALVGRVANRIANARFTLNGRTYHLLPNDGNNTLHGGPKGFGRVIWTVKDKVDGEYPYITLYYRSVDGEQGFPGDLDVYVTYKIDDDFVLSVIMHAVPLTKPTPINLAQHTYWNLGGHESGSILEDRIKIFASHITPVNDQLIPTGEIRSVSGTPFDFREPAKIGSKIGRVPGGYDINYVLSSPADVQGVRKVAVVEDGRGSGRVLELWANQPGVQFYTGNFLKHERGKNGHYYKIHDGLCLETQGFPDSVNHPKFPNQIYSPGQVYKHFMQFKFSFK >Ma05_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3819518:3821044:1 gene:Ma05_g04990 transcript:Ma05_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAATHLLQHTLRSLCIHKSSHWVYAVFWRILPRNYPPPKWDLQRGFYDRTGGNRRNWILAWEDGFCNFAASTCEQDRQTKGLHPDLFFKMSHEIYNYGEGLIGTVAADHSHKWVFDEPQDHDTSYLSTWSNATNSQPRTWEAQFQSGVQTIALIAVREGVVQLGSLTKVTEDLSFVILLRKNFNFLESIPGVLLPHPSSSAFPVSIDGCGGGGPQNWPVDAGAPLVPAAEFYEVTPSMSSLESLLSKLPPVVPPPEMPVLMQKPSPGVMEAEKVAKEEYAYRSGILELDGECSSSLPYHVHVSKADEGF >Ma07_p17000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15994938:16001411:1 gene:Ma07_g17000 transcript:Ma07_t17000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTPAVTFGEDQEISKPTTVYIWDMDETLILLKSLLDGTYAGVFNGMKDTKKGFEIGKHWENHILRVCDEFFFYEVGLHKVLDQQMIKLWNDLYSLTDSYTGGWLSSAHALLEQTLAKTKPSASDHSSEMTPRSIITKDQSINVLVTSGSLVPSLAKCLLYRLDDVISANNVYSSWEVGKLQCFSWIKERFGSPNVRFCVIGDGTEECAAAETMRWPFIKIDIRPASPYRFPGLTMEMVQSYIDVIYGPQDSKDEKMKG >Ma07_p17000.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15994879:16001411:1 gene:Ma07_g17000 transcript:Ma07_t17000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTPAVTFGEDQEISKPTTVYIWDMDETLILLKSLLDGTYAGVFNGMKDTKKGFEIGKHWENHILRVCDEFFFYEVIENYNEPYLDALSEYDDGRDLSNYDFSNDGFSSPYDDANKRKLAYRHRSIAEKYSQGLHKVLDQQMIKLWNDLYSLTDSYTGGWLSSAHALLEQTLAKTKPSASDHSSEMTPRSIITKDQSINVLVTSGSLVPSLAKCLLYRLDDVISANNVYSSWEVGKLQCFSWIKERFGSPNVRFCVIGDGTEECAAAETMRWPFIKIDIRPASPYRFPGLTMEMVQSYIDVIYGPQDSKDEKMKG >Ma07_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15994938:16001411:1 gene:Ma07_g17000 transcript:Ma07_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTPAVTFGEDQEISKPTTVYIWDMDETLILLKSLLDGTYAGVFNGMKDTKKGFEIGKHWENHILRVCDEFFFYEVIENYNEPYLDALSEYDDGRDLSNYDFSNDGFSSPYDDANKRKLAYRHRSIAEKYSQGLHKVLDQQMIKLWNDLYSLTDSYTGGWLSSAHALLEQTLAKTKPSASDHSSEMTPRSIITKDQSINVLVTSGSLVPSLAKCLLYRLDDVISANNVYSSWEVGKLQCFSWIKERFGSPNVRFCVIGDGTEECAAAETMRWPFIKIDIRPASPYRFPGLTMEMVQSYIDVIYGPQDSKDEKMKG >Ma07_p17000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15994879:16001411:1 gene:Ma07_g17000 transcript:Ma07_t17000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTPAVTFGEDQEISKPTTVYIWDMDETLILLKSLLDGTYAGVFNGMKDTKKGFEIGKHWENHILRVCDEFFFYEVIENYNEPYLDALSEYDDGRDLSNYDFSNDGFSSPYDDANKRKLAYRHRSIAEKYSQGLHKVLDQQMIKLWNDLYSLTDSYTGGWLSSAHALLEQTLAKTKPSASDHSSEMTPRSIITKDQSINVLVTSGSLVPSLAKCLLYRLDDVISANNVYSSWEVGKLQCFSWIKERFGSPNVRFCVIGDGTEECAAAETMRWPFIKIDIRPASPYRFPGLTMEMVQSYIDVIYGPQDSKDEKMKG >Ma07_p17000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15994879:16001411:1 gene:Ma07_g17000 transcript:Ma07_t17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRTPAVTFGEDQEISKPTTVYIWDMDETLILLKSLLDGTYAGVFNGMKDTKKGFEIGKHWENHILRVCDEFFFYEVGLHKVLDQQMIKLWNDLYSLTDSYTGGWLSSAHALLEQTLAKTKPSASDHSSEMTPRSIITKDQSINVLVTSGSLVPSLAKCLLYRLDDVISANNVYSSWEVGKLQCFSWIKERFGSPNVRFCVIGDGTEECAAAETMRWPFIKIDIRPASPYRFPGLTMEMVQSYIDVIYGPQDSKDEKMKG >Ma10_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24240340:24242922:1 gene:Ma10_g10190 transcript:Ma10_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERTDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAAMMSAIYEEYKDEDGFLYMTYSSENTFGSL >Ma11_p21210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25457262:25464922:-1 gene:Ma11_g21210 transcript:Ma11_t21210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKAAVNFNGEVFESPSFCTTLRQAEHSAAEVALAALSHRGPSHSLAARILDETGVYKNLLQEISQRVGAPLPSYTSVRSGLGHLPVFTCTVELAGITFTGEPAKNKKQAEKNAALAAWSSLKRLAKEAASSSTEQNNDEQEQITIARALLNYRLKEKIAMANNPHATPFPKKFPVQLDKKPASLQSPLSVSKILPLIRQNSIPRGRATSRGINDGAHSSFQENHNERPQNFPVAAAAPYFPVRPFGRPCHGMAPPVTIRTAVPVFSAPPLPPPPSQVCQQRLPVIGHTQIRMASPVRIRQSVPVFSAPPPLPPVTSVIPVRVKEPLPVVSPPSLDKVLVPVIASALPVQVKESVPVATASLSVNERSPVTAPAVPVLVKEPLSSIQTHEPSISSLACPAVKPLSQIEDFVDGRDAKDLEESESTAMESLKRLDIC >Ma11_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25457262:25464898:-1 gene:Ma11_g21210 transcript:Ma11_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKAAVNFNGEVFESPSFCTTLRQAEHSAAEVALAALSHRGPSHSLAARILDETGVYKNLLQEISQRVGAPLPSYTSVRSGLGHLPVFTCTVELAGITFTGEPAKNKKQAEKNAALAAWSSLKRLAKEAASSSTEQNNDEQEQITIARALLNYRLKEKIAMANNPHATPFPKKFPVQLDKKPASLQSPLSVSKILPLIRQNSIPRGRATSRGINDGAHSSFQENHNERPQNFPVAAAAPYFPVRPFGRPCHGMAPPVTIRTAVPVFSAPPLPPPPSQVCQQRLPVIGHTQIRMASPVRIRQSVPVFSAPPPLPPVTSVIPVRVKEPLPVVSPPSLDKVLVPVIASALPVQVKESVPVATASLSVNERSPVTAPAVPVLVKEPLSSIQTHEPSISSLACPAVKPLSQIEDFVDGRDAKDLEESESTAMESLKRLDIC >Ma11_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6630946:6641508:1 gene:Ma11_g08340 transcript:Ma11_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEDAPPPPAAAAADAGVQGEAAPTRRSTKPGRKRLFLTFSVLLSFLSGLPFFLKSTEIHRSPLPFESIDALSRRLQFDPPSLPCHFRAVFLRSGADRTLAARLQSAIAADMGRRAGNRLSCGGCGRGFAVSVTVDSGEECVSDDGGVAGSCLWTCGAVSLDGSGEDDSAVDELLDSVMRGAGGKECVDAGGGRVYTVVVMEKEDVESVRVVVGKHRHAWIVGKVSETDTVSIISKVFVKYFMNGGKEGRGMEKGIGEFVPVGADGTVVLSFSLLNADPSDWVYDWEFQEMSKIMLAPVAKALTPIANISIESQVLYHTPKSSNSYWDEKYGGYIFSLRDLPFFVNSNEWHLDTSVTAAGRSKVLQFVVYVPSAIECPLLLQLPNGEISKTNSFISPMWGGIVIWNPPQCTGDSQKKHLEGSTLPPQELEKIFQVFIAQLRMLFGLTSNYIDSSETEISKFLDSERGFTDWELDVLFRHHACFNLHSCVNTLESLAKLVQSLPRMIVMDEIGKQVKYSLEAASLAQMNASLGIYDASAASSRKAKALAEDAFFHPSIMSISYSSIEHYFAIYMPFFAPVSLHVLLAAIKELKRYKREKAKYMAFAADQARSS >Ma03_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26751057:26753704:1 gene:Ma03_g21840 transcript:Ma03_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLHLTPFLIISVLQVCSCIQDVQSENNCSVLSRSGEGFVEVKFPFRLNDQPDSCGFQGFELYSQGNFTMIKLPFDQALAVDYIYYLDQGLSVFDPDGCLFRRLLDLNLSASPFTLPSITDIYSYSYKPINCSSKASSIYFTPVSCLSDLPGYYYLAESSSPMQELSSCETLTRDQFQLSYDGQRLFLVWDKPDCRRCNGDCRLNRTSNKTECMPYPSSYDPDTYTSSYDPDIYASSYKGSHARDVTIGLCAAGFVAIVTIIILLVYKRFSKMKKKKQNLAVERFLKEYKSMKPTRYSYPDLKKMTDDFKTILGQGGYGSVFKGKLHNGVPVAVKVLDNPTVSGDEFTNEIAAIGTIHNVNIVRLLGFCVEGSKRALIYEFMPNESLDKYIMEEGERSTKFSWTKLHDISMGIARGIGYLHQGCEQRILHLDIKPQNILLDQEFNPKISDFGLAKLCSKERSAVSMTAARGTMGYMAPEVFLGSNKTVSHRSDVYSFGMVLMEMVGGRKNTADEGENYAQIYFPEWIYNQLKQGEELGLVTDSVEDAEIAKKLTMVALWCVQWRPADRPSMKVAVQMLEGSLERLPLAPNPFAPQVEKKNMGDTDANDT >Ma04_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3193379:3198457:-1 gene:Ma04_g04160 transcript:Ma04_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAPADRLAAPSLRIHHPSSLSRRLLVPSRLPATSLFPHRRPRVVAMAVETGGANSKPRVLVAEKLGEAGLALLKGLADVDCSYNLSPEELRAKIALCDALIVRSGTKVGRDVFESSGGKLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNIARSDASMKAGKWERNKYVGVSLVGKILAILGFGKVGSEVARRAKGLGMHVIAHDPYAAADRAHAMGVELVTFDEAIASADFISLHMPLTPSTSKILNYEAFAKMKKGVRVINVARGGVIDEEALVKALDSGVVAQAALDVFTKEPPSADSKLVLHEKVIATPHLGASTVEAQEEVAIEIAEAVIGALKGELAATAVNAPMVPPEVLTELAPFVVLAEKLGRLAVQLVAGGSGVKSVKVTYASARTSVDLDTRLLRAMITKGLIEPISDVHINLVNADYTAKKRGIRITEERIPLDGSPEDPIESIQVHIANAESKFPTAVSGLGEITVEGRVKDGIPHLTKIGSFQVDASMEGSLILCRQVDQPGMIGTVGSILGEQNVNVSYMSVGRIAPRKHAVMAIGVDDEPTKAALKKIGDIPAVEEFVFLKL >Ma05_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:268706:271228:1 gene:Ma05_g00440 transcript:Ma05_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSHLSMVEARLPPGFRFHPRDDELVCDYLERKVSGENGNGGMHGWPVIVDVDLNKCEPWELPEMACVGDKEWYFFNLRDRKYATGQRTNRATRSGYWKATGKDRRVARRGMLVGMRKTLVFYRGRAPKGRKTDWVMHEFRIEASSDPPNFSFEEDWVLCRVSSKTRGVITKPDVKNYDDDTSSSFKNTYITFDQAPRSLEGFEQVPCFSNFTAQLAAAAPPVGSPDLSYYDNKFSARPAFDHFPKLNPPNIIQENLGSYFGENAVSHIWNPF >Ma05_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2844689:2848915:1 gene:Ma05_g03830 transcript:Ma05_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRWFGRRNKSGGGKGKEETRKAVVLDGSDIKELVEDEATFSGFVESKFRELDVDGDGRLSVKELQPAVSDIGAAIGLPAPGSSPKSDHIYSEVLSEFTHGKQEEVSKSEFKEVLSDILLGMAAGLNRDPIVILRIDGEDLKEFVESPMFETEAISMFSGTESAHASLRKCLTTALQQLTVDHGMPPASDSWVITNIVEPSFQSFSSDLLEQPASQDILENFKKLLGNVIRRLQEHPVIVAHSENTFDGSGIKRLLSNKFELDKLLDSVWRDLPKDHNQQTSKEYLRIALDRMAASASLPPYSAVDQVDVIINEAIGMVKGDDGKIVEEAGFKRTLTEILGSIMLQLEGNPVFVSTNSVVHEPLAASSTVLPSTSISTEVNE >Ma06_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10127989:10134095:1 gene:Ma06_g14840 transcript:Ma06_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEAVALIRSSFDHPPPPAFPLAAEAPPSPGEPRSAFPGPREGVGLETGGDRSLFPRDPGVAASGDGSAPSPDPPESMAATKVQKVYRSYRTRRRLADSAVVAEELWWQAIDFARLSHSTVSFFDHMKHESAISRWNRVCLNASKVGQGLSKDEKALKLAFLHWIEAIDPRHRYGHNLHFYYEEWCKSEAGQPFFYWLDIGDGRDLDLIDCPRSLLRKQCVKYLGPQEREHYEYVPIDGKIIHKLSGMLLDTTSGTKETKWIFVISTSGRLYAGQKKKGIFHHSSFLAGGATRAAGRLTAENGILKCVWAYSGHYRPTEENFNNFLDFLRENGINLDNTQILSSSNEDYYDGPKKSQLEKVIDAMKVSKTPWLALPEVLKNTTGEPSVPTQAARGQAEYKRSLSGGLQSPKADVPKKAILERINSKRKASSYQLGDQLSSKWCSGAGPRIGCVADYPLEVRIQALEFVNLSPRMISPSTSKPLPRMHSAF >Ma06_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4931196:4931564:-1 gene:Ma06_g06880 transcript:Ma06_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLLFLWLTLLLLLLCGRSQHPSSVPRKMLLSTKADLSASPNARLHQNVSHSHGRDSPLAVDGGEIDPRCGVEKRLVPTGPNPLHN >Ma07_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17240512:17251207:-1 gene:Ma07_g17370 transcript:Ma07_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAALNSLHARPAAMGAERKWIAPLAVGATVSLVLLLCLTTFSSPDDPLALLPLSLLPSALLSHPSNPFAALYAPVFVEPKLRPTPPSSHLRPSPPPPRLAYLISGTVGDGNMLKRVLLAVYHPANLYVVHLDLEASSEERDDLRNYIAGHPLFASVKNVRMITKANLVTYRGPTMVANTLHAAAILLKEGGNWDWFINLSASDYPLVTQDDLLHTLSYLPRDLNFIDHTSNIGWKAFQRAKPIIIDPGLYMSKKADVFWIPQRRSVPTAFKLFTGSAWMALSHSFIDYCIWGWENLPRTVLMYYANFISSPEGYFHTVICNAKEFRNTTVNHDLHFISWDNPPKQHPHYLSINDMSPIVDSNAPFARKFRRDHPILDKIDAELLFRRPNMIVLGGWCVGSRENGSDPCLVIGNTTILRPGPGAIRLERLMLSLLSEENFRLRQCK >Ma05_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34586965:34589344:1 gene:Ma05_g22800 transcript:Ma05_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSQTMDIPEGVTVKVNAKIVEVEGPRGKLTRDFKHLNLDFELIEGGKKLKVDAWFGSRKTTAAIRTSISHVQNLISGVTKGYRYKMRLVYAHFPINASITNSNTCIEIRNFLGEKKVRKVDMLEGVQIFRSEKVKDELVLDGNDVELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVNEEA >Ma11_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25343519:25347181:-1 gene:Ma11_g21070 transcript:Ma11_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGHPLLRGGRRAEKGGYRHGLSAAQMRTLSAMCGTFVPTVPIEAACLGGGKDEAPDEAVEAFFLASGSDSPVPDEVAELLVRRGQKEAVALVKLVLWVLATRLGTLVLCGSLSLSWRFPFINKFSDMPVEKREHILMKWNREKSFIFLRLVFVIVKVFCLYVFYSMTNEEEENRAWKAIGYNTPSEEKPHKSRGERPLEKGIIETMDKTDSSLRQSLIEKGLAVTDNPREHTYTINCDVVIAGSGCGGGVAAAVLASSGHKVVVVEKGNYFTAEDYTALEAPSMDQLYEAGGILSSLDVKMMLMAGSTVGGGSAVNWAACVRTPDFVLREWAEEHHLRLFDSPTYTSAMDSVCARLGVTEKCTKEGFQNQVLRRGCQNLGLEVESVPRNSSEDHYCGTCCYGCRSGDKRGTDTTWLVDAVDRGAVIITACKAERFIIEEDRQDSSSKKKKKCLGLIARTLNNAITKTLRIEAKATISACGSLLTPPLMVASGLRNPNIGKNLRLHPVLLAWGYFPESVSDLKGNIFEGGIITSLHKVKASENSNATRSIIETAVLGPASFATLFPWVSGKDMKEKMFRYSRTAHVFALVRDRGAGTIEGEGRLKYRLDRSDKENLREGLRRALRILVAAGAAEVGTHRSDGQTIKCKGMKEEELEEFLDGIDSVGGPRSRSDVWTLHCSAHQMGSCRMGASEEEGGVDERGESWEAGGLFVCDGSVVPTAVGVNPMITIQAIAYCLSEGIAEYLKRRA >Ma01_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3622683:3625764:-1 gene:Ma01_g05140 transcript:Ma01_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIRIYFVMEYVRGGDLFSRVAKGRTLEDAARRYFQQLVSAVAFCHAPGVFHRDLKPENLLVLSRRGYDGAKLMEPPWFKKGFRRVRFYIEDDQLHSLDDASSSQQEPGCHGRRVFMRSTSFLPPPPPPKGFDLSGLFEETGEDRFPSKEPVSKIISKSEEIANLMRFTVRRNGCRVGLAGTREGEHGPLTIAVEVFELISSIVVIEVTKKAGDGEVYMEFCNKELKPRLLHLVFESPPVGKTSSSNNWFICSYRACISEAVSAFDQ >Ma01_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11623034:11623858:-1 gene:Ma01_g15990 transcript:Ma01_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGGRVERAYWSLTASQVMAANPGHYVAVIIVAPPPSALNSAASSSSACHDSGGGRGARVKHLRLLRANDTLHIGHVYRLVSFQDVPREFASKRHVRLSRLLAKPTEMTSPSSTRGNGSGPASAGGRRHQSRRVFEPVGSPATVEEEAKAATELEEVVRGMMAAETTAATRTTRARAGGAAPARHGRWRPALRSIAEVGS >Ma01_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2081004:2083244:1 gene:Ma01_g03200 transcript:Ma01_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVFFLTPSLFPSTSGRVHASPAFHYLYAIIFAKDPPLPRLNPSYAATGTHCRRTFEKRNRIEASRSDPSTESSFAVGSYSTYSITIPPLPTETIRKLQDLSPVAFRTVKTRERRAKMTLAAEPAEGQQRSLPTPFLTKTYQLVDDPAVDDVVSWNEDGSTFVVWRPAEFASDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLQDIHRRKTSTAAPPLAPATIPGTAHVRRARSSTYSGEEQVLSSNSSSGPPPNHSATTRPRGEAELGEENAWLRKENERLSREVAQMKKLCREIATLISRHASGRQERGEGVEGQEAAAPLLELMPPGPAAEEVEEEEEATKSEVPPSQPGASPKLFGVSIGVKRPRLEDGDGPPVSQEVKTESVESAWPNPKDVSPERGGRQPWVIHCPRPSRKACITPDRPIDDGART >Ma08_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34463873:34466178:1 gene:Ma08_g20380 transcript:Ma08_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLGLEETELRLGLPGGGGGGGDAEAVKNSGKRGFAETVDLKLQLQTPVDVKEAVAEAASEKVKRSVSQKSLVSCGSDPEKPSAPKAQVVGWPPVRSFRKNILSVNSEKGSKEEGEKSSISAAFVKVSMDGAPYLRKVDLKMYRSYQELFMALQKMFISFTGGNYGSQGLSGRDFMNESKVMDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPKAMEKCKNRS >Ma06_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8862620:8865180:1 gene:Ma06_g12850 transcript:Ma06_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSSALFFYYLLLLGSALFLTNEAIALPEVPNLTTLSFEEGYTQLFGDSNLILHLDGRTVHLSLDQRTGAGFASQGLYLHGFFSASIKLPADYAAGVVVAFYMSNGDVFEKTHDELDFEFLGNIRGREWRVQTNVYGNGSTAVGREERYGLWFDPTEDYHQYSILWSNERIIFYIDNTPIREVVRTQTMGGDFPSKPMSLYATIWDGSTWATSGGRYKVNYKFAPYVAEFTDLVLHGCVVDPSNHNRTCLESNAGVYNAMMISTDQRAAMERFRKKHMTYSYCHDRIRYLTPSPECSLGPETKSFLITGEARSSYHHHHGKRNGRSTVDVAL >Ma06_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11952770:11953543:-1 gene:Ma06_g17610 transcript:Ma06_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMGLPSASFSDVARSLSYDLSLQRRRLAFLLVSPFHFSLTLSYLRSLTLPQKTLLLARYLLSCLRKLLPSLSGPSHPLRLRDLDAALLLLAMCDAYDPTVARLSNWHSAVSDNVLRSMLSPSGLGTDAWAVVRHYVDDAVKCRRLTEALSDIGSGWQKVDGEAGAWVAAVVALPSVECRTGGWECVICKEEMAAGRDVCELPCRHRFHWGCVLGWLGKRNTCPCCRHELPTEDVFCEMGRLWRAAAKMGDQWTT >Ma06_p26370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28210341:28214475:1 gene:Ma06_g26370 transcript:Ma06_t26370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGAKQRSSDPALSKPNPFDSDSESEMNPKRGMSSSGTATASAAARNRYKNDFRDEGGLENQSVQELESYAAYKAEETTQKVNDCLKIAEVIREDASNTLVMLHQQGEQITRTHETAVSIDQDLSRGEKLLGSLGGFFSRPWKPNKAHQIKGPAPTTDDSLSKKVSHMEQREKLGLSSKPQSNPRNYSEPTSAMDKVQMEKAKQDDTLSDLSDVLGQLKGMAVDMGSEMDRQNKALDALHDDVDELNSRVKGANQRARHLLGK >Ma06_p26370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28210341:28214475:1 gene:Ma06_g26370 transcript:Ma06_t26370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGAKQRSSDPALSKPNPFDSDSESEMNPKRGMSSSGTATASAAARNRYKNDFRDEGGLENQSVQELESYAAYKAEETTQKVNDCLKIAEVIREDASNTLVMLHQQGEQITRTHETAVSIDQDLSRGEKLLGSLGGFFSRPWKPNKAHQIKGPAPTTDDSLSKKVSHMEQREKLGLSSKPQSNPRNYSEPTSAMDKVQMEKAKQDDTLSDLSDVLGQLKGMAVDMGSEMDRQNKALDALHDDVDELNSRVKGANQRARHLLGK >Ma06_p26370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28210341:28214475:1 gene:Ma06_g26370 transcript:Ma06_t26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGAKQRSSDPALSKPNPFDSDSESEMNPKRGMSSSGTATAARNRYKNDFRDEGGLENQSVQELESYAAYKAEETTQKVNDCLKIAEVIREDASNTLVMLHQQGEQITRTHETAVSIDQDLSRGEKLLGSLGGFFSRPWKPNKAHQIKGPAPTTDDSLSKKVSHMEQREKLGLSSKPQSNPRNYSEPTSAMDKVQMEKAKQDDTLSDLSDVLGQLKGMAVDMGSEMDRQNKALDALHDDVDELNSRVKGANQRARHLLGK >Ma01_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20055474:20060492:1 gene:Ma01_g21100 transcript:Ma01_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40950) UniProtKB/Swiss-Prot;Acc:Q9FLN4] MATVAFNLVGAFKGLSLGSSSASSYLRGDLGLPRPGSGTSISFPMRSPLTIESAHKKGAGSTKNGRDSRGKRLGVKIYGDQVAQPGAIIVRQRGTKFHPGNNVGLGKDHTIFSLIDGLVKFEKFGPDKKKVSVYPRVEQPENPNSYKARKREYFRLQRERKKARKEGVVSPQLVLASSEEASEVSPVC >Ma02_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14917473:14918612:-1 gene:Ma02_g03490 transcript:Ma02_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEHSDSTVIQPSQFSSESQCLSRKKLEGPFEIVASKSIDVNKNRSFGKRDVGNSRVVPDVGKYDDRVDANALIYLAAILEYLVAVVLELAGNAARDNKKNRIIPRHIQLAIRNDEELSKLLGTIMIASDGVLPSIH >Ma06_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14104088:14114781:-1 gene:Ma06_g20060 transcript:Ma06_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) UniProtKB/Swiss-Prot;Acc:Q9FN08] MAKNAGLLILSLSFLFFLFFSVAVSRNVTFDHRSLIIDGQRKLLISASIHYPRSVPAMWPDLVAAAKRGGVDVIETYVFWNGHEPSPGQYYFEDRFDLVRFAKIVRDAGMYMILRIGPFVAAEWNYGGVPVWLHYVPGTVFRTDNEPFKSHMASFTTFIVQMMKRENLFASQGGHIILAQIENEYGDIESVYGDGGRSYSRWAANMALSQNIGVPWIMCQQYDAPDNVINTCNSFYCDQFKPSSANKPKFWTENWPGWFQNFGTAKPHRPPEDIAFAVARFFQKGGSLQNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLVRLPKWSHLKELHEAIKLCEQTLLYGTSKFLSLGSHQEADIFEHSGKCVAFLANVDERNDKLVIFRNVRYNLPAWSVSILPDCKNVVFNTAKVRSQNSRVEMVPENLKASAGSGKLSWQVYTEKAGVWGAPDLIKNELVDHINTTKDTTDYLWYTTSFYIDGNEFLSNGSLPILMVESKGHAVQAFVNGQLQANSSGYTIKLEAPITLRAGVNEIALLSMTVGLQNAGPFYEWLGAGLTSVKISGLRNGGLDLSGAAWAYKIGLVGEHQNIFKADGNHDVRWTPASDPPKNQPLTWYKVEVAPPQGNEPVALDMQSMWKGQAWLNGKAIGRYWSLTSSVYNKCTQNCDYRGPFSPDKCRTGCGEISQRWYHVPRSWFQPSGNTLVFFEEKGGDPTRITFARRRVTAGAPA >Ma03_p26830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30411793:30416243:1 gene:Ma03_g26830 transcript:Ma03_t26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAFHRLTSPHLIRKKPPSAARKKKKKKRTGIPFSKPQPLEHQVAEEEEEGMGEEQVLGFKLKPSASSRLHAIQPLGNLLLLGDASASVNARDYGLGILRALPDDLLLDVLALLPARDLAALSAASRSLYVFATHDTLWRALVLDHLHGNFSFRGSWRSTFLSAVSSHPPPSSSALVIRNFYSDYLFQSWLCANIEMKPEWLEIDNIERRRGISVEEFISRYEEPNKPVLLEGCLDEWPAMKGWSRERLVRICGGVKFAVGPVEMTLERYFQYADATKEERPLYLFDPMFAEKVSELGSEYEVPNYFREDLFAVMGKERPDYRWIIIGPAGSGSSFHVDPNSTSAWNAVIKGSKKWVMFPPEVVPPGVHPSPDGAEVACPVSIMEWFMNFYAACRKWKKRPVECVCRAGEVVFVPNGWWHLVVNLEDSIAITQNYVSRRNLFNVLDFLGKPNASELVSGTKDRVNLYEKFRSALDASYPGMIDEVTAKAEEKMAQKKKPSFWETVTDTKVGGFKFSF >Ma07_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6061444:6062026:-1 gene:Ma07_g08120 transcript:Ma07_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDAAFDALDPSWFDADPAPSTAGSAKPSPDEHRRLRRKISNRESARRCRMRKQRHLEELRAESARLRALNRDMASRVGDLSHRCLLFRRANHRLRVESAALSRRLDELRRLVLLRQVLMVAASPPVASHGGFGGVGCDQAWAASLIA >Ma06_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3328425:3334137:-1 gene:Ma06_g04500 transcript:Ma06_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDAFFSKGFKGAKCKTLLKLTIPRIKLLRNRREIQLKQMRKDIAKLLENGQEATARIRVEHIIREENMMAAQEILELFCELITVRLPIIETQRECPLDLKEAISSICFAAPRCADLPELQQVQMLFAAKYGKEFVMAATELLPDCGVNRQIIELLSIRAPSVEVKLKLLKEIAEEHELDWDPSTTESEYLKPHEDLLNGPNNFTNGSALPLPPQKHDALSSDVEESLTEPDSEADFDSLDLPEVPKDSVRAALDVPSASGNVSNLPSSMHDLGLDHQASKSIPDEDMPHVPPMAPAGNDLEPSIASPYNHPNVEHKQFVPFASSPSSFSVSAQQNETLPPPLSSMSESLKPSQPAHPPPLSSSISLSPKQSEPALSPPSLSSLPDNRDQNEPPPSHPLLFPVASSAKHSEPITSAVSSASLPSTKNELAASLSREKSEINVDLQDILAAAQAAADSAERAAIAARAAANLAQVRITDLATKRSNRTSESCGEELQGEGSEQANNSARPTFHQQYSFGSNKNLAAYGQEWKPDSPTLPSHEVPLSSHVPQRLPSLEDDPYFSYPNLFTSKDTEVKSGIHDSSDNSVSHQTSD >Ma09_p23500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35330739:35335927:-1 gene:Ma09_g23500 transcript:Ma09_t23500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVPASDHASPIRESISGSRFTSLRGVRWRIDLGILPKSPASIDDIRRVAADSRRRYAHLRRRLIVDPHLSKDESKSPDLIVDNPLSQSPDSLWSLYFRNAELEKMLDQDLSRLYPDQEGYFQTATCQAMLRQILLLWCLIHPECGYRQGMHELLAPLLYVLHIDLQYLSQVRDEYEDYFSDEFHGVSLPEIELSSAYRFEKIMNMNTAATEKDGSVQEKSAKVRSLDELDPETKDIFLMSDAYGVEGELGVVLSEKFMEHDAYCMFDYLMNDANSVVVMAELFSPSPSIGSSTGLPPIIEASSAMYQLLSITDSSLHSHLVELGVEPQYFALRWLRLLFGREFSLEDLLVVWDEIFSFPNLISFPDKANESELGCRILCSPRGAFILAMAVSMLLQLRSSILATEYATACLQRLLNLPEDISVKKLIEKAKSLQSLALDTVFSCSSQGGIPGHQCGGRRGQSLSYGSSPVTPNLLSDSYWEEKWRLMHAAEELDKENCGDTVSSDMADGPLIERFNLPRTAYDPCPTDFQSENKNVGPSDTSTGVDRNHGIYRSDKVPRISATEESLCLEIEAETDYVEEHIDQDVIVKGLDVAEESRFNADNSLSTATSPHRMVNDHEYDSEDSSITSSSLIGRTCDETNSAEKPCNTSIDKEENSISSSRSGQGPYSVEKQAAGLKKWKPLSGRFQWLRRFNRGYAEGNMGNRIAVEPQKSHIVADNCGNISSAPASDPCHNSFEVSRNIEDEDKNVIGTSGDPCHSSFEARREIEDEDKNVAGNLRGIAKAMLENIQVIESIFKQDQGLDGSKDSKSTTISGDGQVAAMAALEELRNISNFLSGCDD >Ma09_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35330739:35335242:-1 gene:Ma09_g23500 transcript:Ma09_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQDLSRLYPDQEGYFQTATCQAMLRQILLLWCLIHPECGYRQGMHELLAPLLYVLHIDLQYLSQVRDEYEDYFSDEFHGVSLPEIELSSAYRFEKIMNMNTAATEKDGSVQEKSAKVRSLDELDPETKDIFLMSDAYGVEGELGVVLSEKFMEHDAYCMFDYLMNDANSVVVMAELFSPSPSIGSSTGLPPIIEASSAMYQLLSITDSSLHSHLVELGVEPQYFALRWLRLLFGREFSLEDLLVVWDEIFSFPNLISFPDKANESELGCRILCSPRGAFILAMAVSMLLQLRSSILATEYATACLQRLLNLPEDISVKKLIEKAKSLQSLALDTVFSCSSQGGIPGHQCGGRRGQSLSYGSSPVTPNLLSDSYWEEKWRLMHAAEELDKENCGDTVSSDMADGPLIERFNLPRTAYDPCPTDFQSENKNVGPSDTSTGVDRNHGIYRSDKVPRISATEESLCLEIEAETDYVEEHIDQDVIVKGLDVAEESRFNADNSLSTATSPHRMVNDHEYDSEDSSITSSSLIGRTCDETNSAEKPCNTSIDKEENSISSSRSGQGPYSVEKQAAGLKKWKPLSGRFQWLRRFNRGYAEGNMGNRIAVEPQKSHIVADNCGNISSAPASDPCHNSFEVSRNIEDEDKNVIGTSGDPCHSSFEARREIEDEDKNVAGNLRGIAKAMLENIQVIESIFKQDQGLDGSKDSKSTTISGDGQVAAMAALEELRNISNFLSGCDD >Ma04_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23094405:23099113:1 gene:Ma04_g20390 transcript:Ma04_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFSEFGVNGGPAAKALKPKFNLFMKHASSHIGFEVPHVEMRQVIAGTIFLKGLGGLLFIFSSSFGAYLLLLYLAFITPVMYDFYNYDVEKSEFVQLFSKFIQNLALIGALLFFLGMKNSIPKRPKKKVSKTKTN >Ma10_p29320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35946554:35949361:-1 gene:Ma10_g29320 transcript:Ma10_t29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPMTSLLVFMGLNLVLVNTLSPVYDFVCFLPYWERRRERRRKEREAASEKVSQTT >Ma06_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14862558:14866155:-1 gene:Ma06_g20710 transcript:Ma06_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAREARRRKILERGSDRLALITGQGRSVPDSSPPPPPSPLTKEDDPRTSISPFSGYDATSSHFSNQYGSNKDTSGTAAEIAGGERSNGISRTRACNEIVGGKEDEINICESRNVAEVKTHQKDRDSKLLNPPVPQKSSDQVVDTVESQAREKKQILFSSKQVSRSVSTSENTRLLFAVTVALMVILSNHGYALGGAASIINFRPLFLVMLSDITIVLGLLMTTQARVEKVKEKGSKTGKEEYGPAANTNTDDALEAFLMFQKVARAVFMDCSICAAIMISGLCI >Ma05_p31240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41246170:41250023:1 gene:Ma05_g31240 transcript:Ma05_t31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQNQAEEAAIAASGITTFNNETEQGGGKEEDDETASVFSMKSLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQLFYGFLGSWTAYLISVLYIEYRTRKEKENVSFKNHVIQWYEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAAVHGQVEGVVHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPKSRWRDAAVILMLIHQFITFGFASTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTPSARQNAAEKPPLILPSWTAMYVVNAFVVGWVLVVGFGLGGWASITNFVRQIDTFGLFAKCYQCPKPQPAPALAPQQQRH >Ma04_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:358103:363018:1 gene:Ma04_g00370 transcript:Ma04_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAPASSSELHVASAAAYLVWEDVTAVLPNYGGARQPKKLIDGLSGYAVPGRIMAIMGPSGSGKSTLLDSLAGRLGRNVVLTGRVLLNGKKRRLDYGVVAYVRQENVFLGTLTVRETITYSAHLRLPATMSKKEVASVVEGTIEEMGLHDCADRAIGNWHLRGISGGEKKRLSIALEILMRPRLLFLDEPTSGLDSASAFFVIQTLKQIALDGNKTIISSIHQPSSEVFALIDDLCLLSGGEAVYTGDAKLATKFFAEVGFPCPSRRNPSDHFLRCINSDFDHVNTTMKGSMKLHEEAESSIDPLSKLGTSEIKAILIQRYKSSEYAMATKRQIQDISKIEGLIFESEKGSQASWWKQLRTLTKRSFANMSRDIGYYWLRIIIYMVVSVCVGTIYFDVGTSYTAILARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTCERQNGHYGVAAYILSNFLSSSPFLVAIAFASGSITYFMVKFRNGFSHFAYFTISLYASIAVIESLMMIVASLVPNFLMGIITGAGIIGIMMMTAGFFRLLPDLPKPVWRYPVSLISYGSWALQGNYKNDLIGLKFDPLIPGDPKLSGEYIIENIFGISLNRSKWIDLMAIFVLLISYRVLFFLILKLREKISSVFRMIYVRATLKQIMKPKRRTMSFSLRHSTEHPMALGEGLSSPLP >Ma06_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17603070:17605383:1 gene:Ma06_g22250 transcript:Ma06_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINNRCGSFGSLKSDNASSSKQGLPSPTTSAEESNVLTASMTEEKDQSTLKGLKLDADIDVEVQSPDRALLESLFADQVDFGADFMISSPRRDLMACSPRRDFMACSPRRDFMACSPMRDCTRISSPKRDYMVSSPKREYMVSSPKRENMVSSPKRVGLSPTHSHHNSFTYVRGMHGSPGLIQPSYYSSNLCKGKSQSPLHKVCNSSSSLYASCSSSTELLHGESLALPAVDAFYWDYSRDGYEVCAMGAEFSSEALAAPPMASLPSLLDCFVMESRYGNSANDMMAAGVQFSGEDDRYQQVGGGGAVQHQEHGFLCAVGPNATSSTTTATNTTKVEASYHGLVGGSSAVVPTELEQEQDSGLQLVHLLLACAEATSKDDLVAARRYLHNLNHVVSPFGDSMQRVASCFTDALSARLSPSTSSSRKYARFPPPAPDVLKIYQIIYQACPYIKFAHFTANQAIFEAFESEDCVHVIDLDILQGYQWPAFLQALAARPGGAPALRITGVGHPADSVRETGRHLAELAHSLRVPFEFHAATVERLEDLRPSMLHRRVGEALAVNSVNRLHRVPGAHLGPLLAMIRDQAPKIFTLVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPSDSAARAKVEQFLLAPEIRNIVACEGSERVARHERLERWRRVMEGRGFEGVALSANAVNQSKILLGLYPCDGYRLTEDKGCLLLGWQDRPIIAASAWRC >Ma04_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:784597:786502:-1 gene:Ma04_g00850 transcript:Ma04_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESRIAAIMPRLKTFLIVLFLILLSESSSCQAGGNQDGKESVCLVTKIYIRKALLETTLDYDYGRPNIKHDPTVKGKPGIGGKP >Ma00_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5505968:5515529:1 gene:Ma00_g01290 transcript:Ma00_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVSKTVEQMVADMAAAKACGADLVEIRLDHLSNFDPRRDLQLLLDDRPLPALVTYRPKWEGGEYEGNDKQRFQALCLAMELGAEYVDVELKVADDFVRLISEKKPENFKLIISSHNYQSTPSNEELSSLVARIQAAGADIVKIATTAVDIVDVARMFQVIVHCQVPMIGLVMEEKGLISRLLCPKYGGYLTFATLGAGKESAPGQPTISDLLNVYNFRQIGTDTKVFGIIGKPVGHSKSPILHNAAFKSVGLNAVYVPFLVDVLADFLNAYSSADFAGFSCTIPHKEAAVRCCDEVDPIAKSIGAVNTIVKWPTDGKLIGYNTDYVGAISAIEDGIRGSKGVGKDETVSPLAGKVFVVIGAGGAGKALAYGAKEKGAKVVIANRTYERARELANLIGGHALTLSELEKFHPEDGMILANTTSIGMQPNVDETPLKKQALGAYAVVFDAVYTPKVTRLLREAEESGATVVSGLEMFIRQAMGQFELFTNLAAPENLIHEIVKKYT >Ma03_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24209693:24213190:-1 gene:Ma03_g18660 transcript:Ma03_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPSTSLQQTLQAVVQSVRWTYSLFWQPCPQQGILVWGDGYYNGSIKTRKTVQPVEVSTEEASLQRSQQLRELYESLSSGEANLPARRPCAALSPEDLTEAEWFYLMCISFSFPPGVGLPGKAFARQRHVWLTRANEVDSKVFSRAILAKSAHIQTVACIPLVDGVLELGNIEKVEEDMALIQRAKRHFMDYFDAHTKPALSEHSTSNPFARADHHHPLYQQPAMMQQMNMDIHASNREEDAAHDDEEDNDEGDEIEGSSRSELGAMKYSVDVSVPPGLTVAAAETIPAAEASELMQLEMSEDIRVGSISDCSNNLDPEQMPAAQSICKNWNCLLEDLGNGFQQPLGTQEQDLSPEDAHYSETVSSVLRHNLKRWVGSSPFGDVIQSQKSAFSRWSSERDRLPVCSRGSCISQWLLKSVVLNIRDLHCKLSDGSSPKSTDGEGGNRFQKGAAQEEISANHVLAERRRREKLNERFMILRSLVPFVTKMDKASILGDTIEYVKQLRKRIQDLEARNRQSDRRLKAMEVDKPDYAVNYKLDNHAVDKRKLQGLEGSNGVGVHASVVEEDALLELQCTHRDGLLLKVLQGLHELGLEVSSVHFSASNAVCNAELRAKVKEVHGKRVNIADVKKTIDQIFSDH >Ma10_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15952818:15953870:1 gene:Ma10_g05210 transcript:Ma10_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPAAASYVPHQRATAGVGGGAVMAGERCTAAHEVLEAVAGHYENPAGEMCAAHDVQEAVARHHDHAVGPNQCCSAVVQAVAAPVAAVWSVVRRFDKPQVYKHFVKSCSVIVGDGDVGTLREVRVVSGLPAATSTERLEILDDESHVLSFRVVGGEHRLANYCSVTTLHPVVGGSAGGGCTLVVESYVVDVPPGNTTEETRVFVDTIVKCNLQSLARIAEALVRQSTAVAGIEAGVRRANP >Ma04_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4863120:4863937:1 gene:Ma04_g06670 transcript:Ma04_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETLRLRKGGFAAAAAENKGSTAVLGGEVTETHFRGVRKRPWGRFAAEIRDPWKKTRKWLGTFDTAEEAARAYDEAARSLRGPKAKTNFGCPGDHGIQSSGPQISWGAVPRWRSALDGRDLTIGPPPALAGGGSGCLGYRLGTVEVATRGDQEMEKALGAAMGRKVEDEAEAAKQKQPFSFDLNLPAPLF >Ma09_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28999946:29000776:-1 gene:Ma09_g20290 transcript:Ma09_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGRSFWFMQLVFVSILLVPTLSSSSGSDREALEIGIGVGVGGGAAPSTPQPECPPPPPRRQPQPSDFENILQHRGFFVIQRFKQTITCDPKNKTGSWMGFHICNNDGRGYQGFYCETPPGLNNMRTIASVNFNGFTLSAPTVCGFVDQLPDLALFHANSNSFGGTVPALANLPFFYELNLSNNCLSGGFPASMLPLVDLAFLDLRYNGYAGPVPSVVFLIRTWVHPEHPAQPRQHHRRLPNPRQQRRASRLRRSSGCCGRWKEERKWKSLRAIS >Ma09_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:885415:890232:1 gene:Ma09_g01220 transcript:Ma09_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSLTLARRRAPRLPIPSSSPRSLSGSLDPDLGLPDEVADAPAKPTPPPIEPSAEADALARLLLQHHNPFHAMESPLQLAGVGLSDSLVLQTLLRLRHASKVALGFFVWARDHAHHQHASDAYGLMVDILGKVRQFDVAWQMIIEMDQRGVGPTPRTFAVLVRRYVAAGMAQQAIRTFDDMEAFVGREPNGEEFKMLLDTLCKYGYPKEATELFNKRKFKYEPDEKTYAILIYGWCKVNRHEMAQRFLNEMVDRGLEPNVVTYNILLNGICRRASLHPDNRFDRTIQAAEDLLNQMRNKGIEPDTISYSIILHVYSRAHKPELSLYMFRSMKEKGICPTVATYTSLVKCLASCGRLEEAEELLSEMVRDGVCPTPATYNCFFKEYRGRKDVVGATKLYKKMKEMGLTSGPDIHTYNILLGMFSKLNRMEIIWEIWNDMVTSGTGPDLDSYTLLIHGLCDKKKWREACQYFMEMIEKGYLPQKVTFETLYRGLIQSDMLRTWRRLKNKVEEESLKFGAEFQHYHFKPYKR >Ma06_p25490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25826461:25847767:-1 gene:Ma06_g25490 transcript:Ma06_t25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MAAGFSLPSYPLLSLQLFPSKPSLFTVPWLVRSPSRHPEKWRFLVSPSLSSASVASPSLNPLVGSLKAGHLKGTKWRDLWSLNSWVVRDYYRLVTFVNAFEPQMLNLSDEQLRGKTAEFRQRLSQGETLADIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGHGVHVVTVNDYLAQRDAEWMGSIHRFLGLSVGLIQGGMKADERRSNYCCDITYTNNSELGFDYLRDNLSGNKRQLVMRWPRPFHYAIVDEVDSVLIDEGRNPLLISGEDRKDAARYPVAAKVAELLERGIHYNVELKDNSVDLTEEGVALMEMVLETNDLWDEKDPWARFLMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEEKRRWSEGIHQAVEAKEDLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVVEVPTNLPNIRIDLPIQAFATVRGKWEYVREEVESMFQLGRPVLVGTTSVENSEYLSDLLRARNIPHNVLNARPKYAAREAEIVAQAGRKYAITISTNMAGRGTDIILGGNPKMLAKKIIEDNLLPFMAQEAPDVENDGEQISQKGFSKIKIGPSSLALVAKAALIAKHVCKSKRNDWPYGKAKSVIAESIQMSQSLGMEGLDNQLEEDSEIYPLSTAVALAYLAVLKDCETHCFNEGVEVKMLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNNEDIPIEGHTIVKQLLALQINAEKYFFGIRKSLVEFDEVLEVQRKHVYSLRQLILAGGSESCCEQVFQYMQAVVDEIVLENADPEKHPSNWSLRKLMDEYIQIGGNILAESFAEVREEELLMSLEQIHGLRIMEVENFSLPNLPIPPTTFRGIRKKILSLRRWLGICTDETIKKGRYQGTTNLLRKYLGDFLIASYLEVVQDSGYDDSYIQEIEREVIVKTLDSFWRDHLINMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRMTVESLLHYWSSPMESTDLYAP >Ma03_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24585276:24588701:1 gene:Ma03_g19220 transcript:Ma03_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLVSFFPFVLLLSKSLPLEPLPQWASETRLVSILLFQEVLSFLAPLLERCRPVRAGNLTLPSSLMSPGKGRPHRVENVEELAEMSVLDLPELALDCILGRLSPAGLCNMAAVCSSLKERCRSDHLWEKHMKEKWGRVIGNAARREWKLYLASTRDSAVGAEDINRSKKWNGVLSCLWPISWLNFRIDSGNKHKSPLPDDSIMSWYRSLESGKLWFPAQVYNREHGHVGFMLSCYDAEVSYDCSTDTFHARYPPHGRRMMIMEEGVQWDRLRAPPVNTPAYDLHISDCLSDLRAGDHIEIQWRRNKEFPYGWWYGVIGHLESCDGNELLCHCHLSDTVILEFNQYTPGSRWRRASINRKAHREEGNETDGFYGGIRKLQSKDEISKWRQLWPKDVLV >Ma06_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8886627:8889255:1 gene:Ma06_g12880 transcript:Ma06_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKEQQVEVLWPRLVANKLLRRPVGNNSFVADLPCSDVLLELANLDEFDPKRPRKYLKDTRKYKLYVGTWNVGGILPSDDVNLEDWLDINNDYYDIYVLGFQEIVPLSAKNVLGAEKRRILAQWNSLVRTTLNKSSSNLEGRKEPKVGERHKACPAKEGFARDFRCIISKQMVGVLVSVWARHELQYYIRHPSVSCVGCGVMGCLGNKGSVSVRFCLHETSFCFVCCHLASGGRKGDEMNRNSDAVDVLSRTSFPRGPSLDLPHKILDHDRVILLGDLNYRISLPEAITRSLVEQKQWDILLERDQLRTEVSKGRVFEDWQEGAITFSPTYKYYPNSDKYYGCIQGQKGEKRRAPAWCDRILWHGDGLKQKRYDRCESWLSDHRPVRAVFTASVDVRRSFNSLGSFFLSERFDRPDDEHANGGGGRRRSINVDAL >Ma05_p20730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32390032:32393479:1 gene:Ma05_g20730 transcript:Ma05_t20730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEFYQASARKKFDAAQSNKMASRSSNRSSSMPDNEFVELLWENGPVVMQGKSNRPRKTSIATTNFSLPADRAEGKDSTAIANMPKLGVLEAMDPFVSNFSPSDPSGNAGIISTQFDNMVPWINYPIEEDPASSNYCSEFFSEISGISMPANENNSFGHSTPYVEHGIVSKALEAGSCQSSLPSIMSRIENSPKKDVSSMDLMNSSLFLRPGMKGKANLQSVENSATATASSNRIESTVIQSCSGLQSTSGIQGELNSVSSMLEMGSSAETPREIASVEPLEDVCEQDMPRKNLKSVIEKDHEAIAASSSVGSGNTAGTASGDPAQGAKRKNQGEECGNHKEDLEDASTPSRKPDADAAKGRNAKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCSKVDKASMLDEAIEYLKTLQLQVQMMSMGSGLCMSPVMLPGGMQHLRVPPIAHFAQMGMNMTFGYGMGMLNMNGSPGCSLVAAPPPMPGTQFPCSPLQAPQGLYGMPRPTNLPMFAQGQALPVPVPRVLPPFKSMSGLPANLNSVPEASTTTTSNPSRAPDAETSSSFKDQKASASDSQGTKGSSQRCLSTPSISDDEPVELVNGT >Ma05_p20730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32390032:32393479:1 gene:Ma05_g20730 transcript:Ma05_t20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEFYQASARKKFDAAQSNKMASRSSNRSSSMPDNEFVELLWENGPVVMQGKSNRPRKTSIATTNFSLPADRAEGKDSTAIANMPKLGVLEAMDPFVSNFSPSDPSGNAGIISTQFDNMVPWINYPIEEDPASSNYCSEFFSEISGISMPANENNSFGHSTPYVEHGIVSKALEAGSCQSSLPSIMSRIENSPKKDVSSMDLMNSSLFLRPGMKGKANLQSVENSATATASSNRIESTVIQSCSGLQSTSGIQGELNSVSSMLEMGSSAETPREIASVEPLEDVCEQDMPRKNLKSVIEKDHEAIAASSSVGSGNTAGTASGDPAQGAKRKNQGEECGNHKEDLEDASTPSRKPDADAAKGRNAKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCSKVDKASMLDEAIEYLKTLQLQVQMMSMGSGLCMSPVMLPGGMQHLRVPPIAHFAQMGMNMTFGYGMGMLNMNGSPGCSLVAAPPPMPGTQFPCSPLQAPQGLYGMPRPTNLPMFAQGQALPVPVPRVLPPFKSMSGLPANLNSVPEASTTTTSNPSRAPDAETSSSFKDQKASASDSQDRPNDV >Ma05_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32390032:32393479:1 gene:Ma05_g20730 transcript:Ma05_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEFYQASARKKFDAAQSNKMASRSSNRSSSMPDNEFVELLWENGPVVMQGKSNRPRKTSIATTNFSLPADRAEGKDSTAIANMPKLGVLEAMDPFVSNFSPSDPSGNAGIISTQFDNMVPWINYPIEEDPASSNYCSEFFSEISGISMPANENNSFGHSTPYVEHGIVSKALEAGSCQSSLPSIMSRIENSPKKDVSSMDLMNSSLFLRPGMKGKANLQSVENSATATASSNRIESTVIQSCSGLQSTSGIQGELNSVSSMLEMGSSAETPREIASVEPLEDVCEQDMPRKNLKSVIEKDHEAIAASSSVGSGNTAGTASGDPAQGAKRKNQGEECGNHKEDLEDASTPSRKPDADAAKGRNAKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCSKVDKASMLDEAIEYLKTLQLQVQMMSMGSGLCMSPVMLPGGMQHLRVPPIAHFAQMGMNMTFGYGMGMLNMNGSPGCSLVAAPPPMPGTQFPCSPLQAPQGLYGMPRPTNLPMFAQGQALPVPVPRVLPPFKSMSGLPANLNSVPEASTTTTSNPSRAPDAETSSSFKDQKASASDSQGTKGSSQRCLSTPSISDDEPVELVNGT >Ma01_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9817033:9818698:1 gene:Ma01_g13430 transcript:Ma01_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWLLIFFSLVLSAVVVALLLEHGARNRRLPPGPPILAILGNYLYHRWFLLKPEDVLRELHARYGPIITVRLDLQPTILIADRTIAHKALVERGAFFSDRPAPTPAFRLFNGSRHIISSSAYGPIWRLLRRNLTSEILHPARVKLYAGGRAWVLGVLTQHLRSQADSHDGVVVPIENFQFAMFCLLCLMCFGEKLDEKAVRDIKDAQRSRLLFASKLRVLNFVPCISRHLFRNRLKTAMEMGERRTQLYLPLIEARKRYKQQHLQKMTSSKEKERFVVSYVDSLLDIELPEEGGRKLSDNEVVSLCSEFLTGGTDTTSTALQWIMANLVKHQGIQAKLWEEIERAAAGSGEEEDIKAEDLQKMPYLKAVIMEGLRRHPPAHFLPPRAVTEDVSLCGYLIPREATVNFFVAGMNWDEKAWEEPMEFKPERFLGIEGGAVDITGSREIKMMPFGAGRRICPGLGLARLHLQYFVANLVRRFEWKAVEGEEVDLSEKVEFAVVMKNPLRARLIPRRKT >Ma10_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14236940:14242107:-1 gene:Ma10_g03630 transcript:Ma10_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKASLAGTESARASSSSVEEEHSLPDPVAAVEGGGPEASPSPSPSPANADGRSFSQLLAGAMASPAASPRPAPIVTVPVVAVPCFLAPAALVESQGFSGQFAMTHQAVLATVTAQAQMQAQAACPSSSNSVTNSFPQPLLSTVSPMPLQQLPPQTPKKTPGDVYNWRKYGQKQVKSSDNARSYYRCTDSNCSAKKKVEHCPDGTVVEVIYRGKHNHDPPQKHRYTRDRGAQSSGPPVENESLDNPSTEPDESDPSTCKAEQNSNNETPKQQLYCSSDCEFDAGAKSEEDIAEEPDPKRRLSENSKSSSAPILKTIREYIVQTEIDVRHLSDGYKWRKYGQKMVKGNHNPRSYYRCTHSGCPVRKHVERVSHDAKALLITYEGEHNHDQPTSKPASDPPLTTSQSNVAAGMSSEQLSTSNSLSVEQSSKESCQNIVVEEVAGDKKLELGGDGALESAQALLSIGFSSSSTEGTGRNNSESMKSPILTENRAAASVQNT >Ma09_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6085031:6087656:-1 gene:Ma09_g09160 transcript:Ma09_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRSSSLMLLLLVICCSSTAAVAAAKKRTYIVHMAKSQMPPAFAEHRHWYDASLRSVSDTAEILYAYDTVAHGFSARLTPAEARAMERRPGVLGVMAEARYELHTTRTPEFLGLDRTEGFIPQSNTTSDVVVGVLDTGVWPERKSYDDAGLGPVPASWKGACEEGKDFKAANACNRKLVGARFFSKGYEARMGPINLTKESRSPRDNDGHGTHTSSTVAGSAVPDVDFLGYAAGTARGMSTRARIAVYKVCWLGGCFGSDILAAMDKAIEDGCGVLSLSLGGGMSDYYRDNIAVGAFSAMAMGVVVSCSAGNAGPGASTLSNVAPWITTVGAGTLDRDFPANVLLSNGKNYTGVSLYSGKPLPSSPLPFIYAGNATNTTNGNLCITGTLLPDKVAGKIVLCDRGINARVQKGLVVRDAGGAGMILANTAANGEELVADAHLLPATAVGEIAGDAIKSYLFSDPNPTATIAFRGTKVGVKPSPVVAAFSSRGPSAITPDILKPDLIAPGVNILAAWTGSVGPTGQAVDPRRTEFNIISGTSMSCPHVSGLLALLKGAHPDWSPGAIKSALMTTAYAAYPGDGGILDVATGRAATPFDFGAGHVDPPKALDPGLVYNLTNEDYLDFLCALNYTPLQIARLSRLTNYTCDRQKAYEVSDLNYPSFAVAFATASTTVKHTRTLTNVGAPGTYKATVSAPEDVKVVVEPTALTFAALGEKKNYTVTFSTASQPSGSTAFGRLEWSDAQHVVASPLAFSWT >Ma10_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20798305:20801810:-1 gene:Ma10_g06930 transcript:Ma10_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKRRSVLFLAVCFLLRSQAAAAEDNTWDLAEEEDSELERELKTLNKPYVKSFKDEYGITYDCVDIYKQPAFDHPLLKNHTLQHAVVVFEAKKGPQYYGASADLEVFQLSGVSINQASTSQIILTKGERGPKNYINTVQAGWQSDGYQKTGCINLVCHGFVQDRFTSNWMLYNDREPVGYWPKEIFNNMADSSQVQMGGLVYSPFDEASPPMGNGVK >Ma07_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27251503:27255619:-1 gene:Ma07_g19200 transcript:Ma07_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAALSLQSCSWIGTEKVGRKTGGFARLSNRCLEERKVAMVRFGDPRRSGASIRTGHAERKIFCCHKKPSGSENFHNSFDEALVLKRKSEEVLPYMSGRCIYLVGMMGSGKSTVGKILAEVLRYSFFDSDKLVEQAVGVSSVAQIFEEYSEAFFRDNESEVLKDLSSMRRLVVATGGGAVIRPINWKYMKQGITIWLDVPLEALARRIAAVGTASRPLLHQEPGDPYTKAFAKLTALSEQRGKAYANADARVSLEYIADKKGHGDVHALTPTDIAFEALTQIESYVIENAAALNHRFILG >Ma03_p27610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30927001:30929527:1 gene:Ma03_g27610 transcript:Ma03_t27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLYLGLPRSPRSLGVGLGSDLALSSLPLLPSSYTSVQDNQAPTPMSGVVEPSDSHPPYSPSHAEYIPDLPAVLLSDIVDNREYTPYLPAYERYGPSFLPVLPEPDTPYSPPGVQPLPAVEEPDETAVPNVDSHMPYDPAAPPRLADEPIAYSPPYVSGSTDPQDHGAASFSFYPSITTETGEFLCPEDGSSSRPERLRCPEYRFRRLIESSHRWPNRRFRSSLPPAGERFRFGSPSLPNPEQSVHDVLDSQRPPECNGKHKVIAEDNAAETSGEEMEEKGRSAANFECNICFDMAAEPVVTSCGHLFCWSCLYQWLHVHSDHKECPVCKGEVTESNITPIYGRGISQPNVEKKIEENGESTLRIPPRPGGNRFESFRQQLRPVSRRLGEGLAATWRRIIDQHMRSGNSFDAYADPSLQEIFDNVHRRALSRLRERRVQRGVNRESGSITGEVRMPENNLRNNANSIFRDGVDLWQRFSMYGIDTDRLSAMASSIRRVVGRLASNTNGFDASTPSIDPPNLEPLVTGPRVEAALTVDRSSVSSTMAVIQGDVASSDALAEPNSVGSSRSIRRRGRSSTSGSFDVDGGPLFSRKRRRLN >Ma01_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13979381:13983943:-1 gene:Ma01_g18670 transcript:Ma01_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQSNKFFVKPRQPPARFDAASASGSEPEGAVRKPNKDILEHDRKRQIQLKLLVLEETLADQGYTEAEISEKLEEAKKTIEAEAAAAAAAEDGSGGRRKDRPPLPNRRFADTKTHHIAARKEKQLETLREALGIKSEKKSPEHELIEQDEEEDLEPGEFVDDKHQEEKMDLKGVEKQRDKYEDRQWESNKEKQMVEIKNESRKSRTAVPKRQERGIGKGKYQDSSDSESYRKNDDEERMKHRKSTQRDNSKDVDAVRSSKKVKSIKNEESDCSDSDSDSDGGIARRQTEKNIKNNRRHDSGSDSESEPDRKKPIRGRAKSRRHDSEDSDSETDSERKHKRERERQRKHVSVTVSRKKENIDYQKHETRSRRHDSEDLEDDIDDEKSSRVKMEKYVKSSRRDKMPDSDTESEKINTKYRKQMESRRHDSNDSGYDTDVNINKKRLSVVKQGESSKRHIDDTSDSDSREKDTTERKIAERKSYVAHHDKSRKLSERKVENNSRKKQQNDTDDESSETDSGMDNTGRNLSATRHGKSIKNYVGKAENDNRKKQRHDTDESSETDSGTDDSHRRMKKRREFRRNQDSVSEDSESRSSESSSDTYSDDSSDDSQEKDRHRKTYKDYSRKVEHKNVNQQKSLVDEQMTQFTSARQDTGERSRDGHRTGDSNTKKEHLNASERNVTSDRFEPKRESKDFDDYGRQDARRKRKADDDLASEVRNSKFRTCLAEDERRKETKSRNDRDYEDRKREEDQVVKNLDVKQAKEFVDEYGSRRYNENKQYLNDIKRGKDEDKRQHPDDADRHRHTEDSGQRRHTEDSGRRRHTEDSDRRRHTEDSDRRHHTEDSDRRRHTEDSDRRHHTEDSDRRRHTEDSDRRRHTEDSDRHRHIEDSGRRRHTEDADRHRHAEDASWHHQVEHADRRHHTEDGGRPRR >Ma04_p26700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28072937:28086705:-1 gene:Ma04_g26700 transcript:Ma04_t26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELSQLAESMMQAAALLADEDVDEGSNKRRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISVDLQRDGSLSSKSIVLQIDNKSQQVSASALRHSLQDRLSKGSGRSRADEINLKLRTSTAPSLKLIDLPGLDQRAMDDSVVSDYGAHNDAILLIIIPAAQAPDISSSRALRLAKEFDGEGTRTIGVISKIDQAAGDQKHLAAVQALLLNQGPRSAADIPWVALIGQSVSIASAQAGGVGSESSLETAWRAETESLKTILTGAPQNKLGRVALVDTLAKQIRARIKLRLPNILSGLQGKSQIVQDELSRLGAQMVQSAEGTRAIALQLCREFEDKFLEHIALGEGAGWKVVATFEGNFPSRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLLDIISAAANATPGLGQYPPFKREVVAIASTALESFKTEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREDELKNRSSKKANEAEQAILNRASSPQPGAQGGSLKSMKEKSNQPEKETKEGSALQIAGPSGEIIAGFLLKKSAKTNSWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNIEDLSEEDEPPKSSKDSKKANGPEKGPSLVFKITSKVAYKTVLKAHSAVVLKAENMADKVEWVNKIRKITGSRGTPLKGATDSEASPAIRQSHSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSTAKIEELLQEDTNVKRRRERFQRQSSLLSKLTRQLSIHDNRAAAASWSDGNSGTESSPRTNVSPGDDWRSAFDAASNGTVDGSYTESSRSSSRRHGYPTQNGDASSGANSGSRRTPNRLPPAPPQGSSSMYRY >Ma05_p31040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41157730:41158312:1 gene:Ma05_g31040 transcript:Ma05_t31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYVGDEECMQVWSDRGPLLQGRLIVRRGRWIALFGLHKWDTSASIDSEDAVLFADLCVFCLVGEAEETEKKVLPWRKLRTRVWLQVRQRLWRGCKMYPELTERETTIQTMIGRVDATSQMYYVAWFNL >Ma08_p27090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39470923:39473823:1 gene:Ma08_g27090 transcript:Ma08_t27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELLLLISTAVIAVIIFFAFRSNGNGDGKAYKLPPGKMGLPLIGQTIAFMQPHSSASLGDFVDRSMDKYGKIFRMNLLGKPTIVSTDPDFNRLILQSEGKMFENSCPTSIAEIMGRWSMLALVGDIHRELRSIAVNFMSNVKLRTYFLGDIERQAVKILDSWTENATFSAQEAAKKFAFGLMVKHLMSMDESMPETEQLRREYHTFMKGMASIPLNLPGTAYRKALQSRAIILTIMGQKLDERITKIREKCEGLEEDDLLASVSTHPTLTREQILDLILSMLFAGHETSSGAISLAIYFLQACPKAVRQLREEHAEIARLKKERGETALTWDDYKKMEFTHAVINETLRLGNIVHFLHRKAIKDVQYKGYDIPCGWEVVPIISAAHLDPSIYDEPQCYNPWRWKAILATVTKNSNVMSFSGGPRLCPGAELAKLEMAVFLHHLVLKYDWELAERDFPVSFPFLGFPKGLPIKVRRFGGNQVA >Ma08_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36396868:36398419:1 gene:Ma08_g22910 transcript:Ma08_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATCLDLLVAIILPPLGVFLKFGCKVEFWLCLLLTILGYIPGIIYAVYAITK >Ma08_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3713378:3718873:-1 gene:Ma08_g05430 transcript:Ma08_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAYLRLSLVASPPPPPPPLHPPVLDSPRSRVSRQRRHGAASVALTFPPRRLDHLKVHAVVTEDERPKWWEKNAGPNMIDVHSTEEFIHALKEAGDKLVIVEFYGTWCASCRALYPKLCKTVQENPDIVFIKVNFDENKPMCKRLNVRVLPYFHFYRGADGLLESFSCSLAKFQKIKDAIAIHNTNRCSIGPPIGVGEVDLFESSPPQQQPAEAGSR >Ma02_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16388451:16390334:1 gene:Ma02_g05050 transcript:Ma02_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITASTHGHLPPLFHSPDFHSLHHYQHLQQQQHPMPPKIEEEHNGSTGIHGSRKRDHDDSNDDGNNNSNGGESKELVPTTSAGGGDGEIMRRPRGRPAGSKNKPKPPIIITRESANVLRSHVMEIAGGCDIVESIATFACRRHRGVCILSGSGTVVNVTLRQPASPSAVVTLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQIVGGSVVGALIASSPVIIMAASFGNAAYERLPLDEEEPLQAQQGGLGSPGLVGQSPPPQQQLLDPNNPLLHGLPPNLLNNVQLPAEAYGWATGGGGRTPY >Ma02_p05050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16388451:16390334:1 gene:Ma02_g05050 transcript:Ma02_t05050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRITASTHGHLPPLFHSPDFHSLHHYQHLQQQQHPMPPKIEEEHNGSTGIHGSRKRDHDDSNDDGNNNSNGGESKELVPTTSAGGGDGEIMRRPRGRPAGSKNKPKPPIIITRESANVLRSHVMEIAGGCDIVESIATFACRRHRGVCILSGSGTVVNVTLRQPASPSAVVTLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQIVGGSVVGALIASSPVIIMAASFGNAAYERLPLDEEEPLQAQQGGLGSPGLVGQSPPPQQQLLDPNNPLLHGLPPNLLNNVQLPAEAYGWATGGGGRTPY >Ma06_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2105299:2107152:1 gene:Ma06_g02790 transcript:Ma06_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDPGVASATSVPALHLGNPNSVDDHRSHHFGRRDQEPNTTATTTNSSGSNNHPNDDGGTAEDQSAGGLEAGSVEGASSTAGRRPRGRPPGSKNKPRPPIIITRESPSALRSHVLEVASGTDIMDAVAAFARRRQRGVCILNGSGVVTDVTLRQPGAPGVVTLHGRLEILALSGAFLPAPSPPGATGLTVYLAGGHGQVVGGNVVGELVASGPVMMVAATFSNATYEQLPLVVEEPATAVAPPSTEGLPQNPCDSGVGVRSSSQQPHGGWDPAAMPLYNLPPNLLPNGPMPHEVLGAWASAAAPRPPPSY >Ma05_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9613264:9615747:-1 gene:Ma05_g13270 transcript:Ma05_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTFLALSLLDNVYPKGNIEKTNFVIRRRAKLDFSAAWQSLSFPSLVILNVPSMSDLQAPLRPKRKKVLVDYLVQFRWIVVIFVVLPASCFIYFKLFLGDVKSAMKSEKRRQKEHEENVKKVVNRLKQRDPKKDGLVCTARKPYIAVGMRNVDYKRVRHFEVDLSAFRNIIEVDKERMVAKVEPLVNMGQITRYTVPMNLALAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAMEVVLADGRVVRCTKDNEYSDLFYGIPWSQGTLGLLVSAEIKLIPIKEYMRLTYSPYRGTLKELAQAYADSFAPRDGDPSKVPDFVEGMIYTPTEAVHMTGRYASKEEAKKKGNVINNVGWWFKPWFYQHAQTALKRGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRWLLGWMMPPKVSLLKATQGEAIRNYYHEMHVIQDLLVPLYKVGEALEYCHHEMEVYPIWLCPHRLFKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDIGVYYAPGPVFRGEEFNGAKAVRNLEEWLIQNHSFQPQYAVSELTEKNFWRMFDGSHYEHCRQKYGAVGTFMSVYYKSKKGKKTEKEVQDAESEILEPAYAEEA >Ma00_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17122813:17124629:-1 gene:Ma00_g02320 transcript:Ma00_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFDANTHLPPRKRLLAELKRDSSDFDCLPPIPSVSGDFGAQLRDVISSPSSTPEEVIEVAKSVALATAEVATAARNAATEKAAAAAKAKAAAKSALLFLDLISRSRNLRKGCLSKHKVRKKQIPIELLYKTHLPAGSQEADEELARKLHLAMNSSPRLSNNNRKCIQNFGREVHCNGNGVCIEKSPVLHKDSVRMTDKYFIDKSKEKIEGCSEAEGEQEESDYCTEEQKCGSRVRVITEGRKVRIKQKKLPLSQYGLGDQAEVKKIVALCKPSIFH >Ma02_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26209782:26216503:-1 gene:Ma02_g20180 transcript:Ma02_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHHRDDCAENGGDDNGHLAKRLRPASCPISAAEIAEEFAHHDPSVARINNGSFGSCPASVLAAQLRWQRLFLRQPDEFYFHRLQPALLHSRILVKELINAADLDEVSLVDNATTAAAIVLQHASWAFSEGGFRKGDAVVMLHYAYGAVKKSIHAYVARAGGHIIEVPLPFPVSSNEEIVLEFRKALELGKANGRRVRLAVIDHVTSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGSVEVDVQDIGADFYTSNLHKWFFCPPSVAFLYTKKCSASSRLHHPVVSHEYGNGLPLESAWIGTRDYSAQLVVPSVMDFIGRFEGGIEGIRKRNHEKVVEMGKMLADAWGTFLGSPPEMCCSMIMVGLPGCLGILSEKDALKVRSLLRKEFKVEVPIHYQLPKDGEVVEKDGSSTVTGYVRISHQVYNMEGDYHKLRDAVHKLVNDGFNCTMFPS >Ma11_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6387221:6388177:1 gene:Ma11_g08030 transcript:Ma11_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEQPPPASVHVDATGASSSSPTPPHPRRIPSWRHSRRLRIEIDLHLPRCGLRPSKVLIHSFWISLVGLFLIRLATAVTTATEVRVFSIAGAVAAFLPWLLLFLSSAAITVLLETGVCDLTWIFSGSGGGPPGGEPPLVSGHGDDDRDGEGIGGGEASYAIVSSTMVTDASTFSTTQREPSLVGIGGGEASYGIVPCTMGTDASTSSTTQREPSQVSCQCDGDRDDEGEGSGGGEARYGIVSYTTGTEASSSSGTRSEPRLVSGPGDEDCDDEGEGSGGGEASYGISSSTAGSEASTSSATPPAVLPESVESAPPV >Ma08_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35121338:35122555:-1 gene:Ma08_g21150 transcript:Ma08_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRKKQRRASSRRESQEVSSVEWQFINMTEQEEDLIYRMYRLVGDRWDIIAGRIPGRKPEEIERFWIMRHGEGFAAARRYGKYR >Ma11_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20956266:20976447:-1 gene:Ma11_g15270 transcript:Ma11_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKAVENPELAGEKPSANGGAAVSEGRDRGKSSRRRSSDDAERDSERRSKQSRSAERSGREHRERHRERHHESGSSDRRERERHRERERDRDEREAERLREKERIKEREKEKEREKEKEREKEKERSRRSRSRSEKHRDEDREKPREKSRERDFRERDQKKEEAPEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTAAGGAGLTGANSGAARKLYVGNLHLNITEPQLRQVFEPFGQVELVQLPLDPQTGLCKGYGFIQFARLEDARAAQSLNGQLEIAGRTIKVSAVTDQAGVQDVGTNAGDLDDDEGGGLSLNASSRALLMQKLDRTGTASSVPGALAASAVNPSLLPAPTASVLGPPLVSSILQPSVPSLAGLPASGLPVASATAPALQTAGVPSECLLLKNMFDPSVEAEPDFDLDIKDDVQDECSKFGTVKHISVDKNSAGYVYLRFDNITSAMKAQNALHGRWFAGKMITATFMSLQQYEAKFPNTS >Ma09_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5573254:5574149:-1 gene:Ma09_g08440 transcript:Ma09_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFKLANVPRDSDDELGEFVPPPAAVTRMVVMGSEVAPVRLCTEDGRAWETSVTVAALMRVVAGESADATEVMERSLSATPSFSFSWGGQDEANPSATGLREQVAGRKYRGVRQRPWGKWVAEIRDPHRAARVWLGTFETPEAAARAFDEAALRFRGSKAKLNFPEDARLGPLPPVMAAASHSTSSMTSNSASSYYVSSSLASSLPSPFSPLSP >Ma06_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3363427:3364793:1 gene:Ma06_g04540 transcript:Ma06_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASHSQFASLPHPLPPPPPRLLNQPSFRPRATLLSRVFRVPTGRCHRQRHPWGGGTVRALDAAQAFDYESRASEELEQRARLKIAIVGFGNFGQFLARTFAAQGHAILAYSRSDHSAAAGSLGAAFFRDPHDLCEQHPDVVLLCTSILSAEAVLRSLPFQRLRRSTLFVDVLSVKEFPKNLLLHILPPDFDILCTHPMFGPESGKHGWAGLPFVYDKVRIGDSEDRVDRCRRFLDIFAREGCRMVEMSCAEHDENAAEIQFLTHTVGRILAKLDLKSTPINTKGYETLLKLVENTCSDSFDLYNGLFMYNKNSTELLERLELAFDSLKKELFGRLHDILRKQLFESPNGSSAPVAKDN >Ma11_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20620386:20625437:1 gene:Ma11_g14910 transcript:Ma11_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCVREGPDHAPRFKAAVNFNGEVFESPSFCTTLRQAEHSAAEVALGALSQRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPSYTTIRSGLGHLPVFTCTVELAGITFTGEPAKNKKQAEKNAALAAWSSLKILAKEAANLSTEQENNDEQEQITIARALLNYCLKEKMAMANNPHATHFPRRFPIQLDKRPASVQPPPSVSKILPLIQQKSSPRVRATSPRINNSTHVSSYQQAENQTVRPQKFPAAGAAPYFPVRHFNGPCHGMAPPVTVRTAVPVFSVPPLPPPAAQLRQLSSAIGHNTPIRMASPVRIRQSVPVFAAPPSVPRQMTPVIPAQVKEPLLVVSSSPSVFSSASIKESAPVTAPAVPVQIKEPVPVATSSPSVKEMSPVIAPAIQVQVKETLPSVDVQESSVVPTACAPPKPSIQIEETVAGDVTKDLEESECTVMDSLKRLEI >Ma03_p25350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29427525:29431132:1 gene:Ma03_g25350 transcript:Ma03_t25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATASRLLSAGTKIIAVGRNYAAHAKELGNAVPKVPVLFMKPTSSYLQNGGTIEVPHPLESLDHEVELAVVIGKKARDVTEASAMDYVGGYALALDMTAREIQSAAKSAGLPWTVAKGQDTFTPISAVLPRSMVVDPNNLELWLKVDDEIKQKGSTKDMIFKLPFLVSHISSIMTLVEGDVILTGTPSGVGPVKIGQKITAGITGLIDVHYDVQRRQKPLSV >Ma06_p28100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29878889:29880246:1 gene:Ma06_g28100 transcript:Ma06_t28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEKLRNIMDKKHDIRNMSVIAHAPDCFLCQIAWLGFAKKYAAKFGVDELKNELKNTDSPTCKRSEERQLTGKAFTKRVMQAWLPASDALIEMISSTFLLLPRHKSIVRRTCTKVHSMMCIHMQSETVIRTALLCRMYRR >Ma09_p27980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38845448:38848500:-1 gene:Ma09_g27980 transcript:Ma09_t27980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MAARLTANAIAAVNDGDLDLKPVVQVLDLKRIAEPQERYRAVVSDGSAAQKALLAVKFNEAAKSGAVRSGSVVQLLEYVCSTVKNQRIIVILEMKIIIRDCETIGNRKLHPEFASETLDLSSSSNFGNSRVQSLVPAAKLVSSSAMNSGNKVQNFNVQSVYRPPSNYKSYGSIMKNEAPARVIPIAALNPYQGRWAIKARVTAKGDIRRYNNAKGDGKVFSFDLLDSDGGEIRATCFNAVVDRFYEAVEVGKIYLISKGSLKPAQKNFNHLNNQWEIFLESTTTLECCPDEDSSIPTQHFNFRQIIDIENAENNSIIDIIGIVESINPSMTILRKNGMETQRRILNLKDVSGRSVELTLWGDFCNREGRQLQEKLGSGLFPALAVKAGKVSDFSGKSVGTISSTQLFIDPDLPETYILREWFDGGGKDASSHSISKDMMPGLALNDSRKTVSQIKDEGLGRGNKPDWVTVKATISFIKTDNFCYTACPLLIGDRPCNKKVTKLASGNWCCDRCSKEFEECDYRYLLQAQVQDHTGVTWVTAFQESGEEIIGCSAKELYQLKHQEHDDKKFGDIIRRCLFEQYLFRLKIKEEHYGEEQRVKITVVKTDTVNPSMESKYLLDLIGKLCT >Ma09_p27980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38845448:38848123:-1 gene:Ma09_g27980 transcript:Ma09_t27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MKIIIRDCETIGNRKLHPEFASETLDLSSSSNFGNSRVQSLVPAAKLVSSSAMNSGNKVQNFNVQSVYRPPSNYKSYGSIMKNEAPARVIPIAALNPYQGRWAIKARVTAKGDIRRYNNAKGDGKVFSFDLLDSDGGEIRATCFNAVVDRFYEAVEVGKIYLISKGSLKPAQKNFNHLNNQWEIFLESTTTLECCPDEDSSIPTQHFNFRQIIDIENAENNSIIDIIGIVESINPSMTILRKNGMETQRRILNLKDVSGRSVELTLWGDFCNREGRQLQEKLGSGLFPALAVKAGKVSDFSGKSVGTISSTQLFIDPDLPETYILREWFDGGGKDASSHSISKDMMPGLALNDSRKTVSQIKDEGLGRGNKPDWVTVKATISFIKTDNFCYTACPLLIGDRPCNKKVTKLASGNWCCDRCSKEFEECDYRYLLQAQVQDHTGVTWVTAFQESGEEIIGCSAKELYQLKHQEHDDKKFGDIIRRCLFEQYLFRLKIKEEHYGEEQRVKITVVKTDTVNPSMESKYLLDLIGKLCT >Ma02_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10083543:10085353:1 gene:Ma02_g01520 transcript:Ma02_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKKGMLKPLRYISQIFDNKEPEMQIGFPTDVKHVAHIGWDGPSVGSPSWQMKDYHSAPLSSSFGADGRESPPSNSWGSQEFSRGGGIEDSPARQTPEPSRLSAASAEPSPDSPDVAPASTKPRHSRRHQSDGSLPSDSPSRDSADGSRRGRKPRKKDAAGPSDSPAQDMPAIPKQSHRKKNRAAKSKAPASTEEGSTKPAPVAAMPSAGEDHAAQ >Ma02_p01520.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10083543:10085353:1 gene:Ma02_g01520 transcript:Ma02_t01520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKKGMLKPLRYISQIFDNKEPEMQIGFPTDVKHVAHIGWDGPSVGSPSWQMKDYHSAPLSSSFGADGRESPPSNSWGSQEFSRGGGIEDSPARQTPEPSRLSAASAEPSPDSPDVAPASTKPRHSRRHQSDGSLPSDSPSRDSADGSRRGRKPRKKDAAGPSDSPAQDMPAIPKQSHRKKNRAAKSKAPASTEEGSTKPAPVAAMPSAGEDHAAQ >Ma02_p01520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10083543:10085440:1 gene:Ma02_g01520 transcript:Ma02_t01520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKKGMLKPLRYISQIFDNKEPEMQIGFPTDVKHVAHIGWDGPSVGSPSWMKDYHSAPLSSSFGADGRESPPSNSWGSQEFSRGGGIEDSPARQTPEPSRLSAASAEPSPDSPDVAPASTKPRHSRRHQSDGSLPSDSPSRDSADGSRRGRKPRKKDAAGPSDSPAQDMPAIPKQSHRKKNRAAKSKAPASTEEGSTKPAPVAAMPSAGEDHAAQ >Ma02_p01520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10083543:10085353:1 gene:Ma02_g01520 transcript:Ma02_t01520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKKGMLKPLRYISQIFDNKEPEMQIGFPTDVKHVAHIGWDGPSVGSPSWMKDYHSAPLSSSFGADGRESPPSNSWGSQEFSRGGGIEDSPARQTPEPSRLSAASAEPSPDSPDVAPASTKPRHSRRHQSDGSLPSDSPSRDSADGSRRGRKPRKKDAAGPSDSPAQDMPAIPKQSHRKKNRAAKSKAPASTEEGSTKPAPVAAMPSAGEDHAAQ >Ma04_p20140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22843386:22846272:1 gene:Ma04_g20140 transcript:Ma04_t20140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRKRSPRNKPLFFLSVALLVLFALFLAFCLSSTADVLRSLLPSSSGTLDAARSTQCGFSLRGERFLWFAPHSGFSNQVSELKNAILFAAILNRTLIVPPVLDHHAVVLGSCPKFRVSSPTELRTAVWDHIMELVRDRRYVSMGDIIDLSVVTSSMVRTVDFRIFASAWCGLNMEQACSGGLCCAISGIKSAVGNFDQCRSLLSGLQGNNNQCTYAVEDDCRTTVWTYLQDNDETLDSFQAGKELLKKKKISYVRKRRSISKALGPGSKAAMSPILAFGTLFSAPYRGSESYIDIHEVPGDPRIQSLLKKMEFIPFAPEILAAGKEFALNKIRKPFLCAQLRLLDGQFKNHWKTTFSTLEQKLKSLELKPNQRILSDPINIFLMTDLPSVNWTGTYLADLAKDSTYQLYSMEENSELVMEAAKRLMASENGIRSSVLPRNYEGSRKKKACNQVLLPDILLYIEESVCSCASLGFVGTAGSTIAENIELMRKKNTCKL >Ma04_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22843386:22846272:1 gene:Ma04_g20140 transcript:Ma04_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRKRSPRNKPLFFLSVALLVLFALFLAFCLSSTADVLRSLLPSSSGTLDAARSTQCGFSLRGERFLWFAPHSGFSNQVSELKNAILFAAILNRTLIVPPVLDHHAVVLGSCPKFRVSSPTELRTAVWDHIMELVRDRRYVSMGDIIDLSVVTSSMVRTVDFRIFASAWCGLNMEQACSGGLCCAISGIKSAVGNFDQCRSLLSGLQGNNNQCTYAVEDDCRTTVWTYLQDNDETLDSFQAGKELLKKKKISYVRKRRSISKALGPGSKAAMSPILAFGTLFSAPYRGSESYIDIHEVPGDPRIQSLLKKMEFIPFAPEILAAGKEFALNKIRKPFLCAQLRLLDGQFKNHWKTTFSTLEQKLKSLELKPNQRILSDPINIFLMTDLPSVNWTGTYLADLAKDSTYQLYSMEENSELVMEAAKRLMASENGIRSSVLPRNYEGSRKKKACNQVLLPDILLYIEESVCSCASLGFVGTAGSTIAENIELMRKKNTCKL >Ma00_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1765166:1766703:-1 gene:Ma00_g00600 transcript:Ma00_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNSHSSSSQPKRELPALLHAARPFLRGELERVDPSLPSLLAVLRSVGAGECWHKHSTFLAHLSDVYRALKLWCVPDAVARCGLFHSAYSNSYVNLAIFDPGTGRDRVRDLVGIPAERLIHLFCIVPRQPLIHDDLLFHYSDADLANHLALSEDSLRQAREGGASDPTEPWRAKLRTLLPPEGIKVRHIRTGEDVPLSRRLVATFLLMTMADFADQLFDFQDKLFDNDDGRLTFHGNSWSTLWPGQGKPGLWLNSISKMGALYSLIAREEEIYMEERKRAGQGGEALCSSERDEEIELVIPPVFDNCTKLLAAKEQILARDLYWEAVCSGGEEEQGWERVKKLLIESCEKNPFVGEPHLVLGQVYLNLGKYEEAEWEAEKGLKLILEWGSSWDKRMSWEGWVAWGRVLLTKAEERSWPQTSWGILNLGLVK >Ma04_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5360339:5361882:-1 gene:Ma04_g07410 transcript:Ma04_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNVILILPLLVFPMALLPAVCLSAGGSNDLHPLVLIPGSGGNQLEARLTKDYKPSSLLCALSATRKGKDGWFRLWFDPTVLVPALTRCFAERMTLYYHAALDDYRNAPGVLTRVPCFGSTQGLLYLDPHLKHITEYMATLVNSLEQLGYVDGENLFGAPYDFRYGLAAEGHPSKVGTQYLEDLKELIESASASNGGKPVILLSHSLGGLFALQLLVRSTSSWRQKYVKHLLTLSAPWAGTVQEMLTFASGYTLGIPIVDPLLVRAEQRSSESNQWLLPSPKVFGHVPLVVSGNKSYSAWDMQGFMEDIGFEEGVYPYKTRVLPMTDKFEEPGVPVTCVVGSGVETPETLFYGEDGFDVQPEVVYGDGDGTVNLVSLLALESEWAGSASQDLKVIRLPGVSHTSILKDKSALKEIVAEICSINAITASSLVFRA >Ma08_p29500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41064026:41072331:1 gene:Ma08_g29500 transcript:Ma08_t29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MESSSDASYAVATEVGWYILGENQEHVGPYALSELQEHFANGYISENTVLWSEGRSEWAPLSSIRELYAGMPIKKLDGSAADLPDEDDDFTKWQKEVQEAEAAAEALKNGTTISVLAEGGEGLQEGAGLGADDQPTTPPDGEEEFTDDDGSTYKWDRALRKWVPQDNLLSEKSGYGVEDMTFAQEEEVYLAPEVPEPSVSEAKDSSTKSMESKPETKRKLPDKPTEKKEANKPPESWFDLKVNTHVYVTGLPDDITVAEIVEVFSKCGLIKEDPETKKPRVKIYTDKETGRIKGDALVSYLKEPSVALAIQILDGTPLRPGGKTVMSVSQAKFEQKGEVFVAKQTDKKKKKKLKRVEDKILGWGGHDDAKATILATVVLRNMFTPAELRADESLLPELEQDVREECVKLGPIDSVKVCESHPQGVILVKFKDRKDATKCIELMNGRWFGGRQIHASEDDGSVNHALIRDIDAEMSRLEQFGAELDAD >Ma08_p29500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41064032:41072331:1 gene:Ma08_g29500 transcript:Ma08_t29500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MESSSDASYAVATEVGWYILGENQEHVGPYALSELQEHFANGYISENTVLWSEGRSEWAPLSSIRELYAGMPIKKLDGSAADLPDEDDDFTKWQKEVQEAEAAAEALKNGTTISVLAEGGEGLQEGAGLGADDQPTTPPDGEEEFTDDDGSTYKWDRALRKWVPQDNLLSEKSGYGVEDMTFAQEEEVYLAPEVPEPSVSEAKDSSTKSMESKPETKRKLPDKPTEKKEANKPPESWFDLKVNTHVYVTGLPDDITVAEIVEVFSKCGLIKEDPETKKPRVKIYTDKETGRIKGDALVSYLKEPSVALAIQILDGTPLRPGGKTVMSVSQAKFEQKGEVFVAKQTDKKKKKKLKRVEDKILGWGGHDDAKATILATVVLRNMFTPAELRADESLLPELEQDVREECVKLGPIDSVKVCESHPQGVILVKFKDRKDATKCIELMNGRWFGGRQIHASEDDGSVNHALIRDIDAEMSRLEQFGAELDAD >Ma08_p29500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41064026:41072702:1 gene:Ma08_g29500 transcript:Ma08_t29500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MESSSDASYAVATEVGWYILGENQEHVGPYALSELQEHFANGYISENTVLWSEGRSEWAPLSSIRELYAGMPIKKLDGSAADLPDEDDDFTKWQKEVQEAEAAAEALKNGTTISVLAEGGEGLQEGAGLGADDQPTTPPDGEEEFTDDDGSTYKWDRALRKWVPQDNLLSEKSGYGVEDMTFAQEEEVYLAPEVPEPSVSEAKDSSTKSMESKPETKRKLPDKPTEKKEANKPPESWFDLKVNTHVYVTGLPDDITVAEIVEVFSKCGLIKEDPETKKPRVKIYTDKETGRIKGDALVSYLKEPSVALAIQILDGTPLRPGGKTVMSVSQAKFEQKGEVFVAKQTDKKKKKKLKRVEDKILGWGGHDDAKATILATVVLRNMFTPAELRADESLLPELEQDVREECVKLGPIDSVKVCESHPQGVILVKFKDRKDATKCIELMNGRWFGGRQIHASEDDGSVNHALIRDIDAEMSRLEQFGAELDAD >Ma07_p28890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34787920:34793516:1 gene:Ma07_g28890 transcript:Ma07_t28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSNCHPSPVKENRLVAVPVVAGSSGLGLPYAPEDWPCPGDRWRWKVGSRKSSSGHWVDRYLYAPPTCPKSGGRRHGNGFPSRVSVEEYIRKEFPDADVNAFFSSFIWRVPCADFTPQKENDNYACSYSRSFDTNERTKCELAIGPGDCKAGNVMCSLQGKVKTNTSSAKDCDICCSEVGFCHDCCCILCCKTVDWAYEGYGFIRCEASVDEQYICGHVAHVECALRSYMAGTVGGSIGLDVEYYCRRCDNKTDLMPHVTKLLKVCESVDSKEDMEKILNLGFCILRGSEQERAKNLQNHIRFAIAKLKRGVPLDEIWKVEDSITILPAGDMHHNGNEITVLGAMDTRTEETRDVCRHKVELLKKIEAADCRAQSYITSDYNSVSVKLEGEIDQVLRQLKRSQEMEYRIAEQKLYVQKDYLLSLYRQLDYERAELENPAPSPVAGDCDALLTNVLHRVDQIKHEEEKLREMMKIASGFGQTPKSVLGDHYGLVIND >Ma04_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7923154:7923807:1 gene:Ma04_g11270 transcript:Ma04_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTYGNPIQTDEYGNPMPATQGYGVGAGAAGKEQHHGGGGITGMLHRSGSSSSSSSEDDGQGGRRKKKGLKQKIKEKLPGGHKEHESSVEKQHEKKGFVEKVKEKMPGHHKE >Ma07_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8801452:8806579:1 gene:Ma07_g11880 transcript:Ma07_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLYTDFVDLMKKPAVAETLIDILLCTVPIWVAVMIGLVIGWSWRPRWTGLLFLGLRSKLRFLWTAPPGLGARRLWFAFTALSVFSVCHKLCSSSIFKGKAGRSQDVEEDSSVAKTAASQILPVVEGDGGRSSSNIGLSMSEHEIVTEKDLKHLLHLLDGKVGDTVWQNLMERTTSNMIYQAWHHEPEMGPIIYRSRTVFEDASPELVREFFWDDNFRLKWDPMLAHFKILEEFAESGTMIVHWIKKFPFFCSDREYIIGRRIWESGKAYYCVTKGVPYSSLPKSVKPRRVELYFSSWCIRAVESRKQDGQLSACEVTLIHYEDMGIPRDVAKVGVRHGMWGAVKKLQSGMRAYQVTRKTEMSPSRSALMARVTTKLPTDGTITVEGTETSSRPSEIACNDDAGKEHHQGIDWKWVVIGGVAVVCGLQTGMIGKALAFGAARRLARK >Ma03_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9477748:9485944:1 gene:Ma03_g12260 transcript:Ma03_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFFLVPFLLLAILLAFKVLLSGKTQLRLPPSPPGLFFLGNLHQLSSLPHRSLLDLSRKYGPVMLIRMGQVPALIVSSADAAQELFKTHDLAISTRPATKAAMKITYGARNISFAPYGERWRQAKKLAVVHLLSPKRVLSMRALRHEEVRRMMERVAAACAGGGRPRGEVELSEVLYEYANATVYHAAAGKETKEGRTAARYRAMIDDGSVLLGGFQVDDAFPALGWLSAITGTDAKLDRIERRIDEFLSGILQEHIERAEQGFNRNEDFVDVLLSLQRDDAGDLGFAEIDIKAITSVSCELWPLNFTRQDLIAAGTDTSFASLEWAMAELVRNPRAMKKLQDEVRQVADGKPMVVEEDVNQMTYLKAVIKEVLRLHAPVPILVPRETMSSFSLQGYHVPAKTRVIVNAWAIARDPKSWEAPEEFKPERFMDNAVDYRGNDFLFIPFGAGRRMCPGINFAMATIQIALANLLYHFNWELPDGLNPVDLDMSEAPGLTTPKKIPLRLIAVPKDPLV >Ma04_p31620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31871083:31876604:-1 gene:Ma04_g31620 transcript:Ma04_t31620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLKASITVFTDMTVLHKELDETSCPICMEYPHNAVLLICRSHEKGCRSYICDTSYRHSNCLDRYRKLVANNNKTSQTGLLAPENAHTGSAPTPSPVMGRNLVETNEENNMVENTDGISISLFERLEENNSNQVHETTMEGHGEERQGQEESGGIETSESNSLKCPLCRGAVLGWTIVKEARQYLDQKLRSCTRESCSFSGNYKELRRHARRIHPTTRPADVDPSRQRAWRRMEHQREYDDTLSAIRSAMPGATVIGDYVIDSGDGLLHDGERNGSGERARPWWTTFFLFHVVRSPIESLDEPRGSSRAWRRHRRLGHHNLWGENLMGVQDVDEDSTGDDAQVPRRRRRFLRTRPDEDQP >Ma04_p31620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31871083:31876585:-1 gene:Ma04_g31620 transcript:Ma04_t31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLKASITVFTDMTVLHKELDETSCPICMEYPHNAVLLICRSHEKGCRSYICDTSYRHSNCLDRYRKLVANNNKTSQTGLLAPENAHTGSAPTPSPVMGRNLVETNEENNMVENTDGISISLFERLEENNSNQVHETTMEGHGEERQGQEESGGIETSESNSLKCPLCRGAVLGWTIVKEARQYLDQKLRSCTRESCSFSGNYKELRRHARRIHPTTRPADVDPSRQRAWRRMEHQREYDDTLSAIRSAMPGATVIGDYVIDSGDGLLHDGERNGSGERARPWWTTFFLFHVVRSPIESLDEPRGSSRAWRRHRRLGHHNLWGENLMGVQDVDEDSTGDDAQVPRRRRRFLRTRPDEDQP >Ma02_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16908428:16910483:-1 gene:Ma02_g05640 transcript:Ma02_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAEHSSALALLHHHHQPPPHPHAQPPASPSAAGGIVRCYRKGNWTLHETLILITAKRLDDERRAGASSSLAHCSPSAAAGGGPVAVPRSAEQRWKWVENYCWRNGCLRSQNQCNDKWDNLLRDYKKVRGYEARAGGGELPSYWAMERHERKERNLPTNLAGEVFEALTDVLSRRAARRANATPVSSRPPPPPPSPPRLPQPPANPPPPPPLPPPPPPPPPPAQPSSMLVVLGAVEMSESSESEENEEAGAAEPEAKRRRLRRLGSSVVRSATVLARTLLACEEKREQRHRELVELEERRLRLEEERTEMRRQGFAGLISAVNNLSGAIHALVADHRNGDHR >Ma01_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5509024:5514944:-1 gene:Ma01_g07600 transcript:Ma01_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSMSSQLATADQIRTTKSIKRLRHHVMQAKEGGQKDPNLPPSMASMATFIVKSPSTPHPILNTFKPQLPSRLRLVSPLRLPSAATAGAVKGRNPTPRRPGVSCSLIEPDGGRLVDLVAPEGPDREALRREAAGCPQIKLSRIDLEWVHVLSEGWASPLGGFMREAEFLQTLHFNCLRLGDGSVVNMSVPIVLAIDDAQKRAIGDLRRVALVDAQDKPVAILSDIEIYKHNKEERIARTWGTTAPGLPYVEEAITKAGNWLIGGDLEVIERIKYNDGLDQYRLSPVELREEFSRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGFTKADDVPLYWRMKQHEKVLEDGVLNPETTVVAIFPSPMHYAGPTEVQWHAKSRINAGANFYIVGRDPAGMSHPIEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDTKQKKMAFFDPSRPQDFLFISGTKMRTLAKNRENPPDGFMCPGGWKVLVEYYDSKETQEGNKFREAVPA >Ma09_p01650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1218586:1227798:1 gene:Ma09_g01650 transcript:Ma09_t01650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLFSFLRHPAPLAPQNPNPSPDPIPIPRAADLPTVISLLTDLATLAESTLKSVSDFLSLPPSSAAAVGDGFCRCPYDRNHCMPPESLFRHSLLCASAPGAPLLDLGFLDALRYPGSLKSEAELRTENSFVQSLPAPDADLCFSLDSQLGDLGSGFFYKDCPAVVTTPEPDVATTFTLPGILSAECANFASDRDGESWVLGEGKIRILPSEYWALRCEVEAWNDFPVSYSYTVLRVMSSLNLVEERGLLKRWVISNSPQFGIVIDVAMREHIYLLLKLCLKVIGREARSSLKLFLDNERLCNPKSLNFECPRLVRSFRWLASQMSILYGEMNSKLFATGMLKESLVQTGSSLMLTSLGRENMENDGSIVINDACVDDTRCGSDEYKSYEDMKLENPSKDASRGQIFVSQVAAAVAALHEKSLLEKRIKALRFSQPHSKSQLILEHSYALARGFEERGKRPNYRPVLEHDGLFWHRAQNQDLGKAKTREELLAEERDYKRRRMSYRGKKAKRNPTEVIRDIIEEHMEEIKQAGGIGCNVKLSADAAIFPVNHDAQNDAISDLCKLQTSDLDDPDARRNTRHKESLDGDAIQSHRSNVNKDFLKSHSKLKYEQHEHWEDQDKSMHERWKNYGSSSSSSHKSLASSRDRIAHEKEHGAAVPPSSKYDSMNSGYFSRSRDHINQSTLTSKSSRREYDHISRDKSKERDRTFGRHRSESVTQDTFEDRYNPSDSYDDYHATYSNVSADSTYYSSGKVYNPREDGKYNHEQRSRRRSKDYK >Ma09_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1218586:1227026:1 gene:Ma09_g01650 transcript:Ma09_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLFSFLRHPAPLAPQNPNPSPDPIPIPRAADLPTVISLLTDLATLAESTLKSVSDFLSLPPSSAAAVGDGFCRCPYDRNHCMPPESLFRHSLLCASAPGAPLLDLGFLDALRYPGSLKSEAELRTENSFVQSLPAPDADLCFSLDSQLGDLGSGFFYKDCPAVVTTPEPDVATTFTLPGILSAECANFASDRDGESWVLGEGKIRILPSEYWALRCEVEAWNDFPVSYSYTVLRVMSSLNLVEERGLLKRWVISNSPQFGIVIDVAMREHIYLLLKLCLKVIGREARSSLKLFLDNERLCNPKSLNFECPRLVRSFRWLASQMSILYGEMNSKLFATGMLKESLVQTGSSLMLTSLGRENMENDGSIVINDACVDDTRCGSDEYKSYEDMKLENPSKDASRGQIFVSQVAAAVAALHEKSLLEKRIKALRFSQPHSKSQLILEHSYALARGFEERGKRPNYRPVLEHDGLFWHRAQNQDLGKAKTREELLAEERDYKRRRMSYRGKKAKRNPTEVIRDIIEEHMEEIKQAGGIGCNVKLSADAAIFPVNHDAQNDAISDLCKLQTSDLDDPDARRNTRHKESLDGDAIQSHRSNVNKDFLKSHSKLKYEQHEHWEDQDKSMHERWKNYGSSSSSSHKSLASSRDRIAHEKEHGAAVPPSSKYDSMNSGYFSRSRDHINQSTLTSKSSRREYDHISRDKSKERDRTFGRHRSESVTQDTFEDRYNPSDSYDDYHATYSNVSADSTYYSSGKVYNPREDGKYNHEQRSRRRSKDYK >Ma09_p01650.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1218586:1227026:1 gene:Ma09_g01650 transcript:Ma09_t01650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLFSFLRHPAPLAPQNPNPSPDPIPIPRAADLPTVISLLTDLATLAESTLKSVSDFLSLPPSSAAAVGDGFCRCPYDRNHCMPPESLFRHSLLCASAPGAPLLDLGFLDALRYPGSLKSEAELRTENSFVQSLPAPDADLCFSLDSQLGDLGSGFFYKDCPAVVTTPEPDVATTFTLPGILSAECANFASDRDGESWVLGEGKIRILPSEYWALRCEVEAWNDFPVSYSYTVLRVMSSLNLVEERGLLKRWVISNSPQFGIVIDVAMREHIYLLLKLCLKVIGREARSSLKLFLDNERLCNPKSLNFECPRLVRSFRWLASQMSILYGEMNSKLFATGMLKESLVQTGSSLMLTSLGRENMENDGSIVINDACVDDTRCGSDEYKSYEDMKLENPSKDASRGQIFVSQVAAAVAALHEKSLLEKRIKALRFSQPHSKSQLILEHSYALARGFEERGKRPNYRPVLEHDGLFWHRAQNQDLGKAKTREELLAEERDYKRRRMSYRGKKAKRNPTEVIRDIIEEHMEEIKQAGGIGCNVKLSADAAIFPVNHDAQNDAISDLCKLQTSDLDDPDARRNTRHKESLDGDAIQSHRSNVNKDFLKSHSKLKYEQHEHWEDQDKSMHERWKNYGSSSSSSHKSLASSRDRIAHEKEHGAAVPPSSKYDSMNSGYFSRSRDHINQSTLTSKSSRREYDHISRDKSKERDRTFGRHRSESVTQDTFEDRYNPSDSYDDYHATYSNVSADSTYYSSGKVYNPREDGKYNHEQRSRRRSKDYK >Ma09_p01650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1218586:1227798:1 gene:Ma09_g01650 transcript:Ma09_t01650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLFSFLRHPAPLAPQNPNPSPDPIPIPRAADLPTVISLLTDLATLAESTLKSVSDFLSLPPSSAAAVGDGFCRCPYDRNHCMPPESLFRHSLLCASAPGAPLLDLGFLDALRYPGSLKSEAELRTENSFVQSLPAPDADLCFSLDSQLGDLGSGFFYKDCPAVVTTPEPDVATTFTLPGILSAECANFASDRDGESWVLGEGKIRILPSEYWALRCEVEAWNDFPVSYSYTVLRVMSSLNLVEERGLLKRWVISNSPQFGIVIDVAMREHIYLLLKLCLKVIGREARSSLKLFLDNERLCNPKSLNFECPRLVRSFRWLASQMSILYGEMNSKLFATGMLKESLVQTGSSLMLTSLGRENMENDGSIVINDACVDDTRCGSDEYKSYEDMKLENPSKDASRGQIFVSQVAAAVAALHEKSLLEKRIKALRFSQPHSKSQLILEHSYALARGFEERGKRPNYRPVLEHDGLFWHRAQNQDLGKAKTREELLAEERDYKRRRMSYRGKKAKRNPTEVIRDIIEEHMEEIKQAGGIGCNVKLSADAAIFPVNHDAQNDAISDLCKLQTSDLDDPDARRNTRHKESLDGDAIQSHRSNVNKDFLKSHSKLKYEQHEHWEDQDKSMHERWKNYGSSSSSSHKSLASSRDRIAHEKEHGAAVPPSSKYDSMNSGYFSRSRDHINQSTLTSKSSRREYDHISRDKSKERDRTFGRHRSESVTQDTFEDRYNPSDSYDDYHATYSNVSADSTYYSSGKVYNPREDGKYNHEQRSRRRSKDYK >Ma03_p28590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31721799:31725022:1 gene:Ma03_g28590 transcript:Ma03_t28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEDTVLGRRIRHKPEMPVPLVPYPTPPVPFTPPNGGQSQLVCSGCRNLLLYPLGATSVCCAVCSAVTAVPPPGTEMAQLICGGCHTLLMFIRGATSVQCSCCHTVNLALEANQVAHVNCGSCHMLLMYQYGARSVKCAVCSFVTSVGALPSTEQKPSS >Ma02_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24133227:24142685:1 gene:Ma02_g17070 transcript:Ma02_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEQGLLLPYMLGFPQEVSGVDHHLLPFTACEDLVSTDLPNFDPYMGNLVQTSAISEYDLGGVGDLFKAPEPILEELLLALDPITDAMSVMSGYGSTITEETIKIADMESIQNEDFLGDAFYDCQKDLFAKSAAGVLPEALDIAVAAVQTEENLAGERSSAEGSLPKSISFGCLSSVDCFNISSVESSFLGVNDMNLDAAFGMRRVYSEGDIQILGIDNLVHGNMNIVPSSNLATIVDVKIEVKIEERREKLSRYRTKRKKRNYGRKIKYACRKALADSQPRIRGRFARMEDRYAKTE >Ma02_p17070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24133227:24142685:1 gene:Ma02_g17070 transcript:Ma02_t17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEQGLLLPYMLGFPQEVSGVDHHLLPFTACEDLVSTDLPNFDPYMGNLVQTSAISEYDLGGVGDLFKAPEPILEELLLALDPITDAMSVMSGYGSTITEETIKIADMESIQNEDFLGDAFYDCQKDLFAKSAAGVLPEALDIAVAAVQTEENLAGERSSAEGSLPKSISFGCLSSVDCFNISSVESSFLGVNDMNLDAAFGMRRVYSEGDIQIEVKIEERREKLSRYRTKRKKRNYGRKIKYACRKALADSQPRIRGRFARMEDRYAKTE >Ma02_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17176668:17183469:1 gene:Ma02_g06140 transcript:Ma02_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFPFLNGASKAELQARFSASVRSNSTTSTECNVRRSGPEFNTGDVSSIGADSLGRSLYPSFSQIPSNLRVFTFSELRNATRNFSRSLMVGEGGFGCVYRGTIKSFKDPNMRIEIAVKQLNRKGLQGHREWLNEVNVLGVVEHPNLVKLIGYCAEDDERGIQRLLVYEYMPNGSVEDHLSRQFKTILSWSMRLRVALDAARGLTYLHEQMDFQIIFRDFKSSNILLDKDWNAKLSDFGLARQGPTEGISHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWSYGVFLYELLTGRQPIDIDRPKGEQKLLEWVKPYISDVRKFRIIMDTRLAGEYSFKSATKLASVANRCLRQQPKSRPKMSEVLEMVQQIVGSTGAGVPQAPLRNSDLEQGHPRVEKKKVLRRTIGEQKKGQGMQLVWQGCGLKLVKSC >Ma03_p12090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9341339:9346278:1 gene:Ma03_g12090 transcript:Ma03_t12090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNFEYDARQSELERLFSRYGKVDRVDMKSGFAFIYMDDERDAEDAIRALDRTEFGRQGRRLRVEWTKQERGGRSASSRRSPSNMRPTKTLFVINFDPINTRMRDLERHFEPYGKISNVRIRRNFAFIHFELQEDATKALEATNMSKLMDRVISVEYALRDDDDKRNGYSPDRRGRERSLERRGRDTRRSPSPYGRGRERDSPDYGRGPSPYNKPEQRGSPNYGRDGSPGYERYPSQSPRQEEQ >Ma03_p12090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9342682:9346278:1 gene:Ma03_g12090 transcript:Ma03_t12090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERDAEDAIRALDRTEFGRQGRRLRVEWTKQERGGRSASSRRSPSNMRPTKTLFVINFDPINTRMRDLERHFEPYGKISNVRIRRNFAFIHFELQEDATKALEATNMSKLMDRVISVEYALRDDDDKRNGYSPDRRGRERSLERRGRDTRRSPSPYGRGRERDSPDYGRGPSPYNKPEQRGSPNYGRDGSPGYERYPSQSPRQEEQ >Ma03_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9342525:9346278:1 gene:Ma03_g12090 transcript:Ma03_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERDAEDAIRALDRTEFGRQGRRLRVEWTKQERGGRSASSRRSPSNMRPTKTLFVINFDPINTRMRDLERHFEPYGKISNVRIRRNFAFIHFELQEDATKALEATNMSKLMDRVISVEYALRDDDDKRNGYSPDRRGRERSLERRGRDTRRSPSPYGRGRERDSPDYGRGPSPYNKPEQRGSPNYGRDGSPGYERYPSQSPRQEEQ >Ma07_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2312385:2312570:-1 gene:Ma07_g02950 transcript:Ma07_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCKKQHAVSHGDTQDMLDLMLLAFTVTCCLREHSIFLEFSVSLPCIKGDLCLTGCCGWV >Ma05_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25664866:25724783:-1 gene:Ma05_g18960 transcript:Ma05_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKSHRPRSKRDDDSDEEGVGGGAASLPDLLQFCSRAETLISELLCLSDRVPPEFLNRHFESVLFDLRYFDSPSMFETRIEGNIKLEALEDQLRESCSAFMHRFFLLANGAVVYHMELLKYLNELQEGLYVQCTLDRVLDDECGRQLLAESIQLFGCLLLLVEHRMGGFLREKILVAYLRYTRCFDYPNIKQICSFCHAHRPLSDTILDVSSLSLRSAMILIEKPEVILARFPFPKLVVDAIISRLRSDDLYDQARHYPDPQHRTVALSLQARCLYILLLYSTEFLHDGFVMREIVDRFFKDHWVVPIFLHYAVDLLASWDAYKEAKLSISSCHSPTLIRDRCHNHCSQVRHLLSKIDLVLTGSVLTKDYVLDRFQNLLSLVRNCNVALRWLLLHRISIGRKFREIVTSVGISEQVDEDCLLVLLLKTSQLEFKVKELIVELLENKEALWNEKKHGASECIEELSGHCSGSWASPCKTKNESLKDWFGKLSQEVCLLDHRRAGSSGRIIYRMISTLKDIEKFHQIEENVQCKQHLSELQKYLQDMIKTLNLDNDALSTFSVITDAIYAWGYIPRFGELLGKKIELDPSLMLILHMFFLKFRRWVDAPLLRVAQNESPDLPCVSNFYSSQFAAQICTALDTLPVLLLEIFKEDGIQNQSFYSVNRIDKDKLEDLMLLDKQLKSGRAFNRASIISQGITILSRNFFGLINLNIKDWLVEQTIKELGKRIENRLNCFCLSSSVSHGILEANLRTLSTYIHSQMQMVETFQDLFHIHGRCILEEILTNFLKQSAQKVYTELLKQKQESVPFSALLINLSKSDTFYGNLLLQVLQLTDPSQSMFIEPMSGWFDAEGHELLGLLFFDVLDSCVGQEGLCILDSLLCILLKDSLEHALRSLKSLLDASVLNELHKMDDYLGPATSLPLLGWTSYKNMIKNASDSWEPLVPCFATIGQLQLVRCLISFKLQSTSKIKAGRVYSVVEGLNASIYSQRDEILESINSEMKDNPSIKFLQAFNKERKLCGLFSPLQTIYISEEPPILLGRSASILSISQLPQYVLDSHLGTLTSKTKKSIIDFSPVAIGLGTFLKQFHPSHMTQYVQYMGQYVRITAEIAYGGVYDPQILSGDPASEVLKPAFWLMYFCRHMSISKNLAESCLPLSLVAMLQM >Ma09_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:434695:435271:1 gene:Ma09_g00610 transcript:Ma09_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSIVACPSLHPSSFPARPSDRHAGPHLPNRQRSIEVAAGRDGYGWDYSGGGGLVDENMIVLRKRIHEMKMAERNYEAPSEWMDWEKRYYARYHADVSDVLCLLQTLLMNTRPSVAIGLMAVLALSVPTSAILILFHLIQASKWILAGMHLG >Ma09_p30400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40530319:40531804:-1 gene:Ma09_g30400 transcript:Ma09_t30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSYLDIEAELSLADKKKRELRAEDATEEAEKLAMKELGINRAKLFGWPNTYVLTKAMGEMLLGHSRGDLPMVILRPTIITSVQSDPLPGWIEGTRTIDSVIIGYAKGKITCFFGDLDVIMDVVPGDMVVNAMMATMAAHSKQQTEFTYHIGSSVRNPVTYATLEHCGFRYFLANPRVGRDGSVMPTKRLSFIESMVLFRVFMTLRYKLPLEVMHLVNLLSCGRLARGYNELNRKYKFMMYLVDLYKPYVYFDGRFDDLNMERLRMAMKRDDAEAKMFDFDPKHIDWEDYFSSIHIPGVMKYAFK >Ma11_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19526969:19528860:-1 gene:Ma11_g14250 transcript:Ma11_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTVLIVGILLSVVVHLLLRRRLRSNPRLPLPPGPKGIPVLGALPQIGPMAHASLAELAKRYGPIMHLKMGTVRVVVASTAGAARSFLKARDLQFANRPSTISGKDVTYDGQNFVFSNYGPRWKLLRRFSNLHLLGPKAIAEQAQVRADEVGRLLRSMRESSKNSRPVFVQEAVVCSNANIIGQVVLSRRVFAAQGEESSKFKHAVTEMLTGVGLFNISDFVPAIAWMDLQGVQRHLRRAHLRMDAILSEFVAEHQETAHERKGRPDVLDLLLANKVDADGVSLSDVNIRGFIFDMFVAGTDTSSVTIEWALAEMLKNPTILKKAQAEMDQVIGKSRRLEESDIPKLPYLRAICKEALRLHPSTPLGIPHYSFESCEVDGYYIPEKTRLLINIWAIGRDPNVWEDPLEFKPERFLSGKNAHIEPLGNDFELIPFGAGRRICAGMQLGLVFVQYTLGSLVHSFDWKLADDVEELNMEERFGLVLPKAVPLKTAVSPRLADSAYM >Ma08_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5118411:5119727:1 gene:Ma08_g07470 transcript:Ma08_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVGCFANQAVRVAEATCATRDASSAVTELPIQNAITCFYRSLLSSRKELLTAVTWSRNQAGASLSVTVEDGSSASSKLLRKKKGSLSFASGGGSDIALHWDVSAATYASGPEPTKDFYVVVVADAELGLLLGDRWGEFVKKLDGGLPVAEFRMVGRTEQVRGPGAYSTRAQLGDGGEEHEIEIVCRGDERDAEGSELHVAIDKERLVSVERLQWNFRGSKTILVDGSTVDVMWDMHDWWFSGASPCALFVFRRRNSMGDRRVWPDEESALGISGFSLLIQAFKSL >Ma02_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23192268:23196140:-1 gene:Ma02_g15530 transcript:Ma02_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEELADPKKYLEDSCKPKCARPLHAYQACVRRIKGDESGHKHCTGQYFDYFSCVDNCVAPKLFPKLK >Ma02_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22665551:22670650:1 gene:Ma02_g14690 transcript:Ma02_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMMRWRPWPPLVSKKFQVRLVVRRVEGVSAGDEAAEEVRRKVAAEVRWKGPKVALSSLRRTVKRNRTREEEVGDGGVVAWNEEFEMVCTLTAHRRNAFHPWEIVFAVFNGSNHGAKNKESVLGVASVNIAEFTSTADQEIELYLPLLLPGAVESHLALHLALNILELRPSQDSSDMIQRSPAAPSSPFSGDVIPPEKDELSALKAGLRKVKILTELVSTRKSKKTCQDDAGSEGKCSTRSDDAEFPYPCDTDSPDDELDEEVEESKEDTNVRKSFSYGTLASVNNIGYEMREDGDHEDCIYYNHRRSDVGCSHAEDTIASVPEFSLSKRSILPWKKRKLSFRSPKPKGEPLLKKAYEEGGDDIDYDRRLLSSSDESLSAGRHKWYDDSAMNRSSLSDFGDDYFVIGSWESKELVSRDGQMKLATQVFFASIDQRSERASGESACTALVAVIADWFHRNKDMMPIKSQFDSLIREGSLEWRNLCDNQAYRECFPDKHFDLETVLQAKIRPLSVVPRKSFIGFFHPEGPASNSGFDFLHGAMSFDSIWDEISRIGLDCPSDGCCPQLYIVSWNDHFFVLMVEHHACYIIDTLGERLYEGCQQAYILKFDDSTAIHKVSSGSKNVDSEAAAAGNGVAGSLDLEKGNVVVEGDLVCRGKEACKEYIKSFLAAIPIRELEADIKKGRISSTPLHRRLQIEFHYTELSSELGLATLSSSTESVPELSWPVKPAGAISTAPAVLVV >Ma07_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9729991:9735731:-1 gene:Ma07_g12910 transcript:Ma07_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTERSHQSLLPTFLYSPTPASGTRALDRILGRTTASPVTAGIAASPAPVVAQAPNEPGKIEMYSPMFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGIRGFFRGWVPTLLGYSAQGACKFGFYEYFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVKSEGVMGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPTPKDQCSKTRQLGISFAGGYIAGIFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVSPAPELAKLKAA >Ma07_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8417345:8418089:-1 gene:Ma07_g11320 transcript:Ma07_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFLSLFLVTALVSLSFCEGHGRKLIMRGLLSETENGLWTGRDMEETVMDYKEPGANTNPRSGVFFSTPPSPPRP >Ma08_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4169601:4171764:1 gene:Ma08_g06160 transcript:Ma08_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESPYGVLPTDIVTQILARLPVYSLFRCRSVCKLWNRLPFEKHFVDLYFRIGPKDPILLLEFPESSAFLSVDRFRGVSAFSLGFLNDKVKIRASCNGLLCCSSVRNRGVYYVCNPVTREFRVLPRARERPLTRCQPEYEATLVGLAFDPVSWKFNVVLAGFHRFFGHRPHGEFVSLVFDSETNSWSRSVSLMHDEFTHMNRCQVVFSCGLLHWLTHSCSYVLAFDLKSGVWGKILLPDEVLTTRSGSRVYLLELEGSVSVVQITGVWMSIWVLRNHDTEQWTLVDRVHLRCISAFAASIFPVSQSRDVAFMATQKKILIYNLKGKVWKEVYGANGTVTYPLWFSSYGFRSTLFPCHL >Ma08_p06160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4169601:4173796:1 gene:Ma08_g06160 transcript:Ma08_t06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESPYGVLPTDIVTQILARLPVYSLFRCRSVCKLWNRLPFEKHFVDLYFRIGPKDPILLLEFPESSAFLSVDRFRGVSAFSLGFLNDKVKIRASCNGLLCCSSVRNRGVYYVCNPVTREFRVLPRARERPLTRCQPEYEATLVGLAFDPVSWKFNVVLAGFHRFFGHRPHGEFVSLVFDSETNSWSRSVSLMHDEFTHMNRCQVVFSCGLLHWLTHSCSYVLAFDLKSGVWGKILLPDEVLTTRSGSRVYLLELEGSVSVVQITGVWMSIWVLRNHDTEQWTLVDRVHLRCISAFAASIFPVSQSRDVAFMATQKKILIYNLKGKVWKEVYGANGTVTYPLWFSSYGFRSTLFPCHL >Ma05_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11210504:11212821:-1 gene:Ma05_g15120 transcript:Ma05_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRTLIPCSSSFEAASSLFFGPCSFDTLPLRAPRPAPVPPSACSHSSQVEEGTDMEALKPSLRMENMYAAQQLLQDQGVSSEEACWVVERGNYLGEGFAVDDLLNLGEFAEDEMQAEEAEVGGKFETEAGAQHTEPERCSSYSSPPSSSSALSFELPPPPPLSDIFPAHDAEELEWVSYIIDDSITEFPPPCSGVAPLARHENRHPCTAAPQCQRPFLLGPSVCALSTEAMGIVKAKRSKRSRSATAAWSKSGPVPFADTSSFSSADNSTTTSAASCSSTSSSSPLLIHDQPAGGFDQSFLIYGHHPPPRMKQTPKKRGRKPKVPPSAAPAAIGERRCSHCGAQKTPQWRAGPLGSKTLCNACGVRFKSGRLLPEYRPACSPTFVSHMHSNSHRKVLEMRRKKEEDPITAAAPPVVSF >Ma07_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26734288:26745039:-1 gene:Ma07_g18790 transcript:Ma07_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAYRGGATAAEDGVVLLHGDLVLTVIEARRLPNMDMFSEHLRRCFTSCGPPFSNYSCGAVRHQQHHHHHHHRKIITSDPYVTACLAEATVARTRVISNSEDPIWNEHFKIPLAHRAAALVLHVKDNDVFGAQLIGTVSVPTARIASGKKIQGWFPILGANGKPYKRDTALHLSMEFTAVEKKPEYQHGITGDPEKLGVRDTYFPLRQGGSVTLYQDAHVREGELPEVNLEKGAMFKHENCWEDICHAILEAHHMIYLVGWSIYHKVKLVREPTRPLPNGGALTLGDLLKYKSQEGVRVCMLVWDDKTSHDKLFLKTGGVMQTHDEETRKFFKHSSVICVLSPRYASSKLSIVKQQVVGTLFTHHQKCVLVDTQASGNKRKITAFIGGLDLCDGRYDTPDHRLFCDMDTVFLNDIHNPTFAAGTKGPRQPWHDLHCKIEGPAAYDILKNFEQRWRKATKWREFSLRFRKASRWHDDALIHLERISWILSPSLSVPDGDPSLWVSQEEDPENWHVQIFRSIDSGSVKGFPSNVQESLKMNLVCRKNLVIDKSIHTAYVKAIRSAQHFIYIENQYFLGSSFAWPSYKNSGADNLIPVELALKIASKIRAKERFAVYVVIPMWPEGDPTSNAVQEILFWQVSCYFRRARQ >Ma07_p18790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26732120:26745039:-1 gene:Ma07_g18790 transcript:Ma07_t18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAYRGGATAAEDGVVLLHGDLVLTVIEARRLPNMDMFSEHLRRCFTSCGPPFSNYSCGAVRHQQHHHHHHHRKIITSDPYVTACLAEATVARTRVISNSEDPIWNEHFKIPLAHRAAALVLHVKDNDVFGAQLIGTVSVPTARIASGKKIQGWFPILGANGKPYKRDTALHLSMEFTAVEKKPEYQHGITGDPEKLGVRDTYFPLRQGGSVTLYQDAHVREGELPEVNLEKGAMFKHENCWEDICHAILEAHHMIYLVGWSIYHKVKLVREPTRPLPNGGALTLGDLLKYKSQEGVRVCMLVWDDKTSHDKLFLKTGGVMQTHDEETRKFFKHSSVICVLSPRYASSKLSIVKQQVVGTLFTHHQKCVLVDTQASGNKRKITAFIGGLDLCDGRYDTPDHRLFCDMDTVFLNDIHNPTFAAGTKGPRQPWHDLHCKIEGPAAYDILKNFEQRWRKATKWREFSLRFRKASRWHDDALIHLERISWILSPSLSVPDGDPSLWVSQEEDPENWHVQIFRSIDSGSVKGFPSNVQESLKMNLVCRKNLVIDKSIHTAYVKAIRSAQHFIYIENQYFLGSSFAWPSYKNSGADNLIPVELALKIASKIRAKERFAVYVVIPMWPEGDPTSNAVQEILFWQGQTMKMMYEIVAQELKSMNLENAHPQDFLNFYCLGNREIAPKENLQQQSLDKSPMSLSQKFRRFMIYVHAKGMIVDDEYVMIGSANINQRSLAGSRDTEIAMGAYQPNHTWTKNKRHPHGQVYGYRMSLWAEHLGMVDDRFEKPDSTECVNFVNRIAEDNWSRYTADEIIPLKGHLLKYPIKVDSDGKVRPLPNHEYFPDVGGKILGAPTALPDTLTM >Ma07_p18790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26732120:26745039:-1 gene:Ma07_g18790 transcript:Ma07_t18790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAYRGGATAAEDGVVLLHGDLVLTVIEARRLPNMDMFSEHLRRCFTSCGPPFSNYSCGAVRHQQHHHHHHHRKIITSDPYVTACLAEATVARTRVISNSEDPIWNEHFKIPLAHRAAALVLHVKDNDVFGAQLIGTVSVPTARIASGKKIQGWFPILGANGKPYKRDTALHLSMEFTAVEKKPEYQHGITGDPEKLGVRDTYFPLRQGGSVTLYQDAHVREGELPEVNLEKGAMFKHENCWEDICHAILEAHHMIYLVGWSIYHKVKLVREPTRPLPNGGALTLGDLLKYKSQEGVRVCMLVWDDKTSHDKLFLKTGGVMQTHDEETRKFFKHSSVICVLSPRYASSKLSIVKQQVVGTLFTHHQKCVLVDTQASGNKRKITAFIGGLDLCDGRYDTPDHRLFCDMDTVFLNDIHNPTFAAGTKGPRQPWHDLHCKIEGPAAYDILKNFEQRWRKATKWREFSLRFRKASRWHDDALIHLERISWILSPSLSVPDGDPSLWVSQEEDPENWHVQIFRSIDSGSVKGFPSNVQESLKMNLVCRKNLVIDKSIHTAYVKAIRSAQHFIYIENQYFLGSSFAWPSYKNSGADNLIPVELALKIASKIRAKERFAVYVVIPMWPEGDPTSNAVQEILFWQGQTMKMMYEIVAQELKSMNLENAHPQDFLNFYCLGNREIAPKENLQQQSLDKSPMVYGYRMSLWAEHLGMVDDRFEKPDSTECVNFVNRIAEDNWSRYTADEIIPLKGHLLKYPIKVDSDGKVRPLPNHEYFPDVGGKILGAPTALPDTLTM >Ma07_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:187223:188936:-1 gene:Ma07_g00170 transcript:Ma07_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MAASVATSSLLSNPKSLISDNHHRSSFSGFRLPATALRSLPGRGRPSLSVTAASAAPRYDLDSFRFEPIKESVVSREMTRRYMTDMITYADTDVVVIGAGSAGLSCAYEISKDPSVRVAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEADSYVVIHHAALFTSTIMSRLLARPNVKLFNAVAAEDLIVKEGRVAGVVTNWALVSMNHDTQSCMDPNVMEARVVVSSCGHDGPFGATGVKRLKGIGMIDSVPGMKALDMNAAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTIGAEAVQPELVLASSDAGEIVDA >Ma08_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4859486:4862654:1 gene:Ma08_g07100 transcript:Ma08_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYCCCCGGRDLSPRRFGYLRNLPCSLLPSFASSRHPSTRFLPPLCSLPNPNLTVSPPAPANSNAAAATLPSVPRYREDGLDDEALDAFLADLSPKEQTVLLKRQRDWRRALHLLRRMRSLAHYLPNPFHYNVVLRTLGLARRWDELRLCWLEMAKDGILPTNNTYATLIDAYGKAGLVKEALLWLKHMRARGVSPDEVCMNTVVRILKDSGRFDEGERFFRGWCNGKVEFDVLETETDGSDSISPNSFLLTELFKSGSRAPVSKKIAPGVEDGPRRPRLAATFNTLIDLYGKAGRLQDASDAFAEMLRSGIAPDTITFNTIINICGTNGLLSEAESLLAKMRERRVDPDTKTFNILMSMYASVGNVKTVLKYYNKIREVGLCPDTVSHRIILQVLCERSSVGELEDAIEEMTKAGARVDEQSVPVVMKMYINQGMLNEANMFLEKHCASTGISSRNYAAIIDAYAEKGLWKEAEDVFYGKRGTRNKNDVVEYNVLIKAYGKAKQYDKALSLFEDMRNFGTWPDGCSFNSLIQMLSGGDFPDRAWELLGRMRDAGFRPRCETFSAVIASYSRKSMISEALEVYREMKALGVEPNEVVYGSLIDMFAEAGKVEEALHYFNLMEESGLPINGIVLTSLVKAYSKVGCWREAQELYTKMKTLDGGPDTIASNCMINLYADLGMVTEAKLIFNDLRKNGEADGISYATMMYLYKSMGMLEEAIGVAQEVQKSGLLTDCASYNSVIAAYAVNGKLKDSAELLQQMISRKILPDASTFKSIFTLLKKGGFAMEVVSQLESSYNEGKRFARQAIITSLFSMVGLHACALESCDLFLSAGMPLESFAYNSAIYAYGASGMVDKALNLYMRMQDEGLKPDIVTYIYLAICYGKARMVEGLRRIYGLLKYQELEPNESLYKALIDAYKIAGRHDLAELVEQEMRFSVDRPTDDDSEAEDC >Ma07_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:594298:595181:1 gene:Ma07_g00700 transcript:Ma07_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLVNQASVLVGFRPSWSGPAEPEQNLSAVSPDERRRLRRMISNRESARRSRARKQRHLEELRGQAGRLRSQNHELADRLGGLARRCLLLRQDNDRLRAEASALCRRLADLRREVALCQFHRLVAPPPLGAHHELAWASLIV >Ma04_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17285463:17294542:-1 gene:Ma04_g17090 transcript:Ma04_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLRTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDETSGDGTTSTVLFIGELMKQSERYIDEGMHPRVLVDGFEIAKRATLEFLEKFKTPAVMGDVPDKEILKMVARTTLRTKLYEALADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKKIIELKNKVCSGNDNNFVIINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHILGEEKYTFVENVKNPLSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTIEDEAVVLGAGAFEVAARQHLVNNVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIALTGEHDRGNIVGLNHHTGEPIDSNMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPS >Ma02_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26702502:26711574:-1 gene:Ma02_g20890 transcript:Ma02_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKNESRVSRTDGDSSPAGSASSQEDEEEPCAGIGKAETDASDSSDVDSGMESDEFDPAELGEPGSQLCQVGNQSCSIPLDLCDLPDLGSILSLDTWNECLSEEERFMLAEDLPDMDWETFGYTLKELLSGQNFHFGCPLGTLFNRLKGGLCDPRIVLYRRGLSFLQQREHYHHLCKYQNSMVRSLVGIREAWQNCSVYSIEERLRLLNILRSQKSLSHERKGDVGIEMDSESADSDDRYLNKRFKMGQQFAKPSFDITPHEIGMAREPVKLGKEYSKGVLKVTAPKVPAHQNIGELGIHPSSLKHGTVPKSRVATPQIALTQQDKYAGYDMGASKRTKHHIGGDHEDTEEGYDGSQGDWIAGRRRAVTKSRLPKTGKKKEPQRRYDAGMYSDQEPEGYGGFSHSQGKSRNAEHAVTIASYGHESRELTRNADYADREWVYPTTGRAQNHMLTNPLQRNKMHEEAITSGHSVKSDNWNSRAKNCKVGNEYKAGKSKAGNELKNTSYQPVPRQKGDSYLQKDPRARILQGKVKNNMTQYDGMDVDYSSGATMISQSEETESDSSDQVEDDGYRNSAVKKLEHQSGDVAGHRAGVVRSTYNPKKPNKLMKVDKKGISDFSDAGRSIHTQDVESYPVKGKHGRLVKKALVPHPNERLTYPEKRYKGMANMDHSPQQSFYSHDYGSGVMDEYMENLDEISKSRGGKNTINKLGNMMETSDVDAAEERSNMPLMGCNSVPKKPKRKVDGHYLNELDESLHLQLSPEQQQIDDLNVLRKGKRKADAETDNLTLITADLVTSEKDKDGELKAKPQKKPFTLITPTIHTGFSFSIVHLLSAVRKAMITPHMEDTILTANHLEDNRTKQKTEEQNKMHQVVNGTHLPYSFENMDNHSLEQNILPSLTVQEIVDRVRSNPGDPCILETQEPLQDLIRGVLKIFSSKTAPLGAKAWKPLVVYEKSNRSWSWAGPVASSLSDNDNAEEETSSEAWGIPHKMLVKLVDAFANWLKSGQETLQQIGSLPPPPTSLLSNLDEKERFKDLRAQKSLNTISPSSDEVRTYFRREEFLRYSVPDRAFSYTAADGKKSIVAPLRRGGGKPTSKARDHFMLKPDRPPHVTILCLVRDAAARLPGSIGTRADVCTLLRDSQYIVENISDAQVNQVVSGALDRLHYERDPCVQFDSERKLWVYLHRDREEEDFEDDGTSSTKKWKRQRKDSIDQSDIGAVNDVDAGVLVGGSSSGQDHVDDLNVDAAFISAGEKAELVSEDMRPDMENIHPLMDTTTVIKKSQGNWDGPGVNSLRENRLVCQENSTDEDFDDETFSQERPIRLQYDLTMKNGLY >Ma08_p26650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39155042:39156991:1 gene:Ma08_g26650 transcript:Ma08_t26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSTRQLLLLLVALAFAFFFLSLMCYPRPFMAISRGDHLADCDLADGEWVRDFDGSGYTNGSCPLMLEHNCGKYGKDQDYVNWRWKPKQCQLPRFQGKVFLEMMRGKTMAFVGDSVSRNQMSSLICFLSQVEIPLGAKNEDEDTVKTWYFQSYDFTLMQLWTKFLVEAAEQVINGTGTGFYDLHLDRIDMSWSGKLPLLDYLIISDGHWFFRKLYLHEYDKLVGCVYCSEGNLTDFGINFAIRKAFRTAFQFINKCEECEGLVTVVRTFAPAHFENGTWNDGGDCSRTRPFEEGAISLTATEYDMRSAQVEELESMRSAKGGKGFGLLDVTKAMMMRPDGHPSSHRDFMGMEGFNDCVHWCLPGPVDMWNEMLLAVLKKGLIAT >Ma10_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29405530:29409551:-1 gene:Ma10_g18510 transcript:Ma10_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGENKGGSSTAEEGEEVERCPVEEVALVVPETDDPSLPVMTFRAWFLGLTSCSLLIFLNTFFTYRTQPLTISAILMQIAVLPAGRFMASVLPDREVKVFRNWGFHLNPGPFNIKEHVIITIFANCGVSIGGGDAYSIGAITVMKAYYKQSLSFLCALIIVLTTQILGYGWAGMMRRYLVDPAEMWWPSNLAQVSLFRALHEKDSRSKGPSRMQFFLVFFVASFAYYTLPGYLLPILTFFSWVCWTWPRSITAQQIGSAYHGLGVGAFTLDWAGISAYHGSPLVTPWFSILNVAVGFLMFIYIIVPLCYWKFNTFDARKFPIFSNQLFTTTGHKYDTTKILTPEFELNVAAYESYGKLYLSPLFALSIGSGFARFTATIIHVLLFHGSDIWRQSKSAMKSVKLDIHAKLMRRYNQVPQWWFLVLLVGSIALSLMMSFIWKEEVQLPWWGMIFAFGLAWIVTLPIGVIQATTNQQPGYDIIAQFMIGYVLPGKPIANLLFKIYGRISTIHALSFLSDLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLGVAWWMLESIENICDVESLHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGHGGLYRNLVWLFLVGAVLPVPVWVLSKIFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLITGTIFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLLFFALQNQDHNLKWWGTELDHCPLASCPTAPGISVEGCPVF >Ma03_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5190265:5193161:-1 gene:Ma03_g07400 transcript:Ma03_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFMLLLLLASSIASLTTSASDDYTDFVYAGCSQPKYTLGSPYQLNVDSVLTSLANAAAFSSYANFTSSAAGGSFPAYGLFQCRGDLPFSDCDSCVRSGLSRLSAFCPSAAGAAVQLRGCFLRYGNDSFLGKPDTSVLYKKCGPAAAGGYNSDQLGMRDAALADLTSGAAGGSYRVGAAGYVQAMAQCVGDQSAKECDDCVAAAVAHLRAVCGFAVAGDAYLGKCYAKYWSNGVYTTSKTDHGDEAGKTLAIIIGLMAGVALIIVFLSFLRRAGHDGKY >Ma06_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22193632:22225432:-1 gene:Ma06_g23960 transcript:Ma06_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQASAPSPSLQRPGVAALPAYTPQQSVSALVAASQNRLTVLAANHARLRAVADRLDLLLCGGISSGPSDLFHLIFALARGIDYALSVNDIPGIANRLPSLIIQVYQRRNDPLLRPAIMVLMISAKNACKNRWFLSPDANELLSMANELCSSFCMSVSDTIVGIAQDTISKIMPRFYPQLQFCRLVVSFEAKPGYDILMADFHIPRNTCLDERICLLVAQMDNLETSSCIVSPQHVSFLVNGKGVERRTNVSMETGPQFPTDITKMLKYGTNIIQAIGYFSGNYMIAIAFMSKVTTPCATRMDDYVHPVIEKLASDSDIIEGASRITLNCPISFKRIKTPVKGHLCKHHQCFDYDSFMEMNSCKPSWRCPSCSTPTSCIDLRIDQKMTKILQEVGENVADIVIFADGSWNSFVEHNESINQVHEGRSQQQENSNTENQNNLADVVDLTIAKSPEVGPFQNSAYTAENYGCEIEDRKPFRDDEGLPIPLHASGASVSNTSLCTQAPAYYTKSGIWPRNMSSVSSSVSGRMVGANANALGTLESILPNVLLNPIHTDAVSPVLNRDPAGFELSQPTLNFQQVPQVTQLAENVQLEPLHEGSSINNNEAGRPIPRQVIKTPRAVQALPAQTQIPSSSRTAQTGLLSFNSMISNSYATVSHQSLSPLTAVAGALNSVSGEMQINELSRTSSIAAASLHSMTQEHHSNSALQQVVGLSAPNMISTRTPQGQPRGVDAYKAIVQFLLEHPPQLPYQRRHHLANPSGSSQPSYISSSQAQQVSHNAAYATEIGAGTTHSTPLAPQHAAQRATPQTGATSIPFTTGVASVVPTLTDAGRAPPSFGSDGLPALPYEHNWRPTGRMRGSLTGYAYTSALNQYLATPQSAQTQPPPFSAGVSADQLPALTANTLSVQASMTQQSTTGHIDGNQLTSSYGIFDIYEQMNH >Ma02_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26679285:26679965:-1 gene:Ma02_g20860 transcript:Ma02_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYPAVSEEYQKAVEKAKRKLRGLIAVKNCSPLMLRLAWHSAGTYDVVSKTSGPFGTMSFPTELAHGANNGLDISVRLLETIKKQFPILTYADFYQLAGVVAVEGTGGLEVPFHPGREIRMGWMHVLTCDSFSVTSFLVGLR >Ma06_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:750124:755650:1 gene:Ma06_g00920 transcript:Ma06_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRALLLAIVFVVADLPWVLGFSLTAPAFLWSPQPYRSPHYNNKEFVDYRTISSKDLTKLVLSEGGWSNILCTKESLDENTDVAVVFVGRKLHSSDISSPKQSDPSLIDILKLSFTTSNFSMAFPYVAIDEQETLENSLIEGFEENCAQGLGLNRIAYLDSCSINGGNLEKLNSLHSVHDFLASRTSGKMDLVVLCSGRSEESGHTQSEGEVFSDIVDLLKQSGAKYTVLYTSRPYKTLQYPAHLALRFLADGTQSNASNNSTCDGVCQIKSSLLEGIFVAIVLLIILISGLCCMIGIDTPTRFETSQES >Ma04_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14628168:14633411:-1 gene:Ma04_g15960 transcript:Ma04_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWRCGLIPWMNRKVVDPFLQIIKKGAEPKQLAFSAALGMSLGLFPICGVTVFLCGMAIAILRHHCHAPSVMLANFVATPIELSMVIPFLRLGELITGGRHFPLTSDALSKVVSGQASQGVLIAILHAVFFQCFVQAEDQLFSGVQ >Ma04_p15960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14628678:14633418:-1 gene:Ma04_g15960 transcript:Ma04_t15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWRCGLIPWMNRKVVDPFLQIIKKGAEPKQLAFSAALGMSLGLFPICGVTVFLCGMAIAILRHHCHAPSVMLANFVATPIELSMVIPFLRLGELITGGRHFPLTSDALSKVVSGQASQGVLIAILHALLGWIVTVPFILGTLYMFLVPCFKFLVKKFNSHPSSPMKLVHPHTEIKIKVRDV >Ma06_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5301345:5307557:1 gene:Ma06_g07470 transcript:Ma06_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAPPSSVAAVVPASCSWPAPASVSVLPALRLPFRRRRSVSSRLYSSKSSSGADESLDGSSSCPGIGGTLHPAVPPPTRSGRGVPVFVTLPADAVTPSGRMTRRKTMGASFMALATAGVEGITVECWWGIVERETPGVYDWGGYMDLVMMARRCGLKVRAIIAFHQWGTGPGDPCWIPLPQWVLKEMDKEPDLAFSDRFGRRNKEYISLGCDVLPVLRGRSPIQAYSDFMRSFRDTFKDFLGVVVTEIQVGMGPAGELTYPFCPTEKLIRASTAAELGEFQCYDKYMLASLSACARNIGMRVWGYGAPLDASDFLKNPVETGFFRSDGSWNTPYGPFFLEWYSGLLLHHGERLCITTGAIFLGTGVKISAKVGGMHWHYGTNSHPTEATTTGYYNTVIRDGYLPILRMFARHRMTLCCTCFDLLDSEEKNNSRSSPETFLRQLLCAARMCNLPLTGENSFARLDDASLNQVIYNSRLYYGGAYETSLSFNYVRMNRNLFDSHNWNRFTRFMRRMSNNQTFQARLDSRGSESFLSSISAL >Ma05_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19009024:19012311:1 gene:Ma05_g16830 transcript:Ma05_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAICELKMSTSQHPSADKGLGGHESGSTKEQSPSAVWKSQNSNATVLEEALKGKSALEEQNELAPDVDSFRSMDSFEESRGSSFNGASHPPEPMDTDLVKTVYVAIDQEKSNAGCLMRGLSVKGPFIEDLSIRVPVTKPNAALLSPDGTSPDEPNESGAVSSPFSVPHALQSMETTFLPPDSEEKECVWDASLPPSGNVSPHSSIDSSCVATAMSVVNSCTNTYRSDGMTSDGMLSLERACESTKGSVIGDSLGSAKTSISRASDSSGLSDDSSWSNITGSANKPHKGNDPRWKAILAVRSRDGILGMSHFRLLKRLGCGDIGSVYLSELSSTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKSSSFDSDPSKRAVGAFCVQPSCIEPSSVCVQPACFMPKLFPHKSKKKTRKPWAEAPRQQVATLPELVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGSGNRATLFNVVGQQLRFPDTPSTSYASRDLIRGLLVKEPQHRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPAKFGSAEGFGTSGKRIVGADVKSGGKYLDFEFF >Ma07_p10420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7749044:7750951:-1 gene:Ma07_g10420 transcript:Ma07_t10420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDPGVTSATSVPPVHLRNLNRADDLPSHHFNRRDREAGAAATTTTVSSGSNNHPNDDEGNADDSAGGLEMVEAGSAGAPTSTACRRPRGRPPGSKNKPKPPVIITRENPNALRSHVLEISSGADVMDAMATFARRRQRGVFILSGSGVVTDVTLRQPGAPPGVITLPGRFDILSLSGAFLPAPSPPGATGLTVYLSGGQGQVVGGNVIGELIASGPVMVIAATFSNATYERLPLDDEEPAAAGAMPGTEGIQLQQSPGVSEGGGRPFSRQPHAGLDPSSMPLYNLPPDLPPNGQTPHEVFGAWASAAAPRPPSSY >Ma07_p10420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7749044:7750951:-1 gene:Ma07_g10420 transcript:Ma07_t10420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDPGVTSATSVPPVHLRNLNRADDLPSHHFNRRDREAGAAATTTTVSSGSNNHPNDDEGNADDSAGGLEMVEAGSAGAPTSTACRRPRGRPPGSKNKPKPPVIITRENPNALRSHVLEISSGADVMDAMATFARRRQRGVFILSGSGVVTDVTLRQPGAPPGVITLPGRFDILSLSGAFLPAPSPPGATGLTVYLSGGQGQVVGGNVIGELIASGPVMVIAATFSNATYERLPLDDEEPAAAGAMPGTEGIQLQQSPGVSEGGGRPFSRQPHAGLDPSSMPLYNLPPDLPPNGQTPHEVFGAWASAAAPRPPSSY >Ma07_p10420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7749044:7750951:-1 gene:Ma07_g10420 transcript:Ma07_t10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDPGVTSATSVPPVHLRNLNRADDLPSHHFNRRDREAGAAATTTTVSSGSNNHPNDDEGNADDSAGGLEMVEAGSAGAPTSTACRRPRGRPPGSKNKPKPPVIITRENPNALRSHVLEISSGADVMDAMATFARRRQRGVFILSGSGVVTDVTLRQPGAPPGVITLPGRFDILSLSGAFLPAPSPPGATGLTVYLSGGQGQVVGGNVIGELIASGPVMVIAATFSNATYERLPLDDEEPAAAGAMPGTEGIQLQQSPGVSEGGGRPFSRQPHAGLDPSSMPLYNLPPDLPPNGQTPHEVFGAWASAAAPRPPSSY >Ma07_p10420.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7749044:7750951:-1 gene:Ma07_g10420 transcript:Ma07_t10420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDPGVTSATSVPPVHLRNLNRADDLPSHHFNRRDREAGAAATTTTVSSGSNNHPNDDEGNADDSAGGLEMVEAGSAGAPTSTACRRPRGRPPGSKNKPKPPVIITRENPNALRSHVLEISSGADVMDAMATFARRRQRGVFILSGSGVVTDVTLRQPGAPPGVITLPGRFDILSLSGAFLPAPSPPGATGLTVYLSGGQGQVVGGNVIGELIASGPVMVIAATFSNATYERLPLDDEEPAAAGAMPGTEGIQLQQSPGVSEGGGRPFSRQPHAGLDPSSMPLYNLPPDLPPNGQTPHEVFGAWASAAAPRPPSSY >Ma07_p10420.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7749044:7750951:-1 gene:Ma07_g10420 transcript:Ma07_t10420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDPGVTSATSVPPVHLRNLNRADDLPSHHFNRRDREAGAAATTTTVSSGSNNHPNDDEGNADDSAGGLEMVEAGSAGAPTSTACRRPRGRPPGSKNKPKPPVIITRENPNALRSHVLEISSGADVMDAMATFARRRQRGVFILSGSGVVTDVTLRQPGAPPGVITLPGRFDILSLSGAFLPAPSPPGATGLTVYLSGGQGQVVGGNVIGELIASGPVMVIAATFSNATYERLPLDDEEPAAAGAMPGTEGIQLQQSPGVSEGGGRPFSRQPHAGLDPSSMPLYNLPPDLPPNGQTPHEVFGAWASAAAPRPPSSY >Ma07_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7749044:7750951:-1 gene:Ma07_g10420 transcript:Ma07_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDPGVTSATSVPPVHLRNLNRADDLPSHHFNRRDREAGAAATTTTVSSGSNNHPNDDEGNADDSAGGLEMVEAGSAGAPTSTACRRPRGRPPGSKNKPKPPVIITRENPNALRSHVLEISSGADVMDAMATFARRRQRGVFILSGSGVVTDVTLRQPGAPPGVITLPGRFDILSLSGAFLPAPSPPGATGLTVYLSGGQGQVVGGNVIGELIASGPVMVIAATFSNATYERLPLDDEEPAAAGAMPGTEGIQLQQSPGVSEGGGRPFSRQPHAGLDPSSMPLYNLPPDLPPNGQTPHEVFGAWASAAAPRPPSSY >Ma09_p28750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39401686:39416154:1 gene:Ma09_g28750 transcript:Ma09_t28750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMETWKIMEDKMIDINKRSCIFILQAFSKGGYIKEAFNWLNFLAENDRMHYAPMFNIFLSGCWSSKSFNHVDRCLELMENQLVGKSEITHWELLKLAVLQRNLSAVHEIWKEYTRYYSPSVIMLRKFIWCYAKLGDLDSGNAALKHLLVLARQGSASLSISGTGNYQSSAVDIPIPTKEKSYEKRFSLDNLSSLTFEGNPEKNEGPVEVSMDESSMGHHSIMKNLELKKSFESQSKLNIIDALLLKINGNTFFNELGKGRCIPVAHTIFDGAVRIDSSNFQVKQEDFSELSSGKMKQGLEMTSCPLKNLLRWSFNDMIHACAQSNNYQMAEQLFLQMLDIGLKPSEHTYDGFVKAAIEGKGVDYGMKVVKMMQERNIKPYNNTFAVLSVGYSRTLELDMAESFSNKISDKLPKNIHTFNTLLAACGFMDEPERAVRVLAKIKRLKIKLNIRTYELMFTLFGTVNVPYERGNILSHMDVTKRIGAIEMDMMKNGIHHSYASMKNLIRALGSEGMIQDMLRYLDIAENLLWQTDAHQTNDLYNIVLHALVKAKEVSRLLNSAVNRHKAIEVFRSMRSCGLPANVATYNIMIECCSMLKCFKSACAIISLMLREGFYPQTLTYTALIKVLLANEDFEGALNLLDQSHSEAIQLDVQLFNTILREAYIKRRIDVVELVVESMHREKIQPNPTSLWYTFSAYVESDFHSTAMEALQVLSMRMISEDNEILQGKKSVFEELILNEDPDVESEIIKTFKGSQEFLATALMNLRWCAIMGYSISWSPEESQWAKRLANKVST >Ma09_p28750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39401686:39416154:1 gene:Ma09_g28750 transcript:Ma09_t28750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAPMFNIFLSGCWSSKSFNHVDRCLELMENQLVGKSEITHWELLKLAVLQRNLSAVHEIWKEYTRYYSPSVIMLRKFIWCYAKLGDLDSGNAALKHLLVLARQGSASLSISGTGNYQSSAVDIPIPTKEKSYEKRFSLDNLSSLTFEGNPEKNEGPVEVSMDESSMGHHSIMKNLELKKSFESQSKLNIIDALLLKINGNTFFNELGKGRCIPVAHTIFDGAVRIDSSNFQVKQEDFSELSSGKMKQGLEMTSCPLKNLLRWSFNDMIHACAQSNNYQMAEQLFLQMLDIGLKPSEHTYDGFVKAAIEGKGVDYGMKVVKMMQERNIKPYNNTFAVLSVGYSRTLELDMAESFSNKISDKLPKNIHTFNTLLAACGFMDEPERAVRVLAKIKRLKIKLNIRTYELMFTLFGTVNVPYERGNILSHMDVTKRIGAIEMDMMKNGIHHSYASMKNLIRALGSEGMIQDMLRYLDIAENLLWQTDAHQTNDLYNIVLHALVKAKEVSRLLNSAVNRHKAIEVFRSMRSCGLPANVATYNIMIECCSMLKCFKSACAIISLMLREGFYPQTLTYTALIKVLLANEDFEGALNLLDQSHSEAIQLDVQLFNTILREAYIKRRIDVVELVVESMHREKIQPNPTSLWYTFSAYVESDFHSTAMEALQVLSMRMISEDNEILQGKKSVFEELILNEDPDVESEIIKTFKGSQEFLATALMNLRWCAIMGYSISWSPEESQWAKRLANKVST >Ma09_p28750.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39400388:39416154:1 gene:Ma09_g28750 transcript:Ma09_t28750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNLFRAVRRSFANFCDAQIVRKRAWKNGVRRTQPSHAFSGSHDFNIYHLRDNVGTGFVQLQVVNALWKGDRQLASKMLLDLGEVNDKLSAKDFACILEYCARTPDPLFAMETWKIMEDKMIDINKRSCIFILQAFSKGGYIKEAFNWLNFLAENDRMHYAPMFNIFLSGCWSSKSFNHVDRCLELMENQLVGKSEITHWELLKLAVLQRNLSAVHEIWKEYTRYYSPSVIMLRKFIWCYAKLGDLDSGNAALKHLLVLARQGSASLSISGTGNYQSSAVDIPIPTKEKSYEKRFSLDNLSSLTFEGNPEKNEGPVEVSMDESSMGHHSIMKNLELKKSFESQSKLNIIDALLLKINGNTFFNELGKGRCIPVAHTIFDGAVRIDSSNFQVKQEDFSELSSGKMKQGLEMTSCPLKNLLRWSFNDMIHACAQSNNYQMAEQLFLQMLDIGLKPSEHTYDGFVKAAIEGKGVDYGMKVVKMMQERNIKPYNNTFAVLSVGYSRTLELDMAESFSNKISDKLPKNIHTFNTLLAACGFMDEPERAVRVLAKIKRLKIKLNIRTYELMFTLFGTVNVPYERGNILSHMDVTKRIGAIEMDMMKNGIHHSYASMKNLIRALGSEGMIQDMLRYLDIAENLLWQTDAHQTNDLYNIVLHALVKAKEVSRLLNSAVNRHKAIEVFRSMRSCGLPANVATYNIMIECCSMLKCFKSACAIISLMLREGFYPQTLTYTALIKVLLANEDFEGALNLLDQSHSEAIQLDVQLFNTILREAYIKRRIDVVELVVESMHREKIQPNPTSLWYTFSAYVESDFHSTAMEALQVLSMRMISEDNEILQGKKSVFEELILNEDPDVESEIIKTFKGSQEFLATALMNLRWCAIMGYSISWSPEESQWAKRLANKVST >Ma09_p28750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39401630:39416154:1 gene:Ma09_g28750 transcript:Ma09_t28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWKIMEDKMIDINKRSCIFILQAFSKGGYIKEAFNWLNFLAENDRMHYAPMFNIFLSGCWSSKSFNHVDRCLELMENQLVGKSEITHWELLKLAVLQRNLSAVHEIWKEYTRYYSPSVIMLRKFIWCYAKLGDLDSGNAALKHLLVLARQGSASLSISGTGNYQSSAVDIPIPTKEKSYEKRFSLDNLSSLTFEGNPEKNEGPVEVSMDESSMGHHSIMKNLELKKSFESQSKLNIIDALLLKINGNTFFNELGKGRCIPVAHTIFDGAVRIDSSNFQVKQEDFSELSSGKMKQGLEMTSCPLKNLLRWSFNDMIHACAQSNNYQMAEQLFLQMLDIGLKPSEHTYDGFVKAAIEGKGVDYGMKVVKMMQERNIKPYNNTFAVLSVGYSRTLELDMAESFSNKISDKLPKNIHTFNTLLAACGFMDEPERAVRVLAKIKRLKIKLNIRTYELMFTLFGTVNVPYERGNILSHMDVTKRIGAIEMDMMKNGIHHSYASMKNLIRALGSEGMIQDMLRYLDIAENLLWQTDAHQTNDLYNIVLHALVKAKEVSRLLNSAVNRHKAIEVFRSMRSCGLPANVATYNIMIECCSMLKCFKSACAIISLMLREGFYPQTLTYTALIKVLLANEDFEGALNLLDQSHSEAIQLDVQLFNTILREAYIKRRIDVVELVVESMHREKIQPNPTSLWYTFSAYVESDFHSTAMEALQVLSMRMISEDNEILQGKKSVFEELILNEDPDVESEIIKTFKGSQEFLATALMNLRWCAIMGYSISWSPEESQWAKRLANKVST >Ma09_p28750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39400388:39416154:1 gene:Ma09_g28750 transcript:Ma09_t28750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNLFRAVRRSFANFCDAQIVRKRAWKNGVRRTQPSHAFSGSHDFNIYHLRDNVGTGFVQLQVVNALWKGDRQLASKMLLDLGEVNDKLSAKDFACILEYCARTPDPLFAMETWKIMEDKMIDINKRSCIFILQAFSKGGYIKEAFNWLNFLAENDRMHYAPMFNIFLSGCWSSKSFNHVDRCLELMENQLVGKSEITHWELLKLAVLQRNLSAVHEIWKEYTRYYSPSVIMLRKFIWCYAKLGDLDSGNAALKHLLVLARQGSASLSISGTGNYQSSAVDIPIPTKEKSYEKRFSLDNLSSLTFEGNPEKNEGPVEVSMDESSMGHHSIMKNLELKKSFESQSKLNIIDALLLKINGNTFFNELGKGRCIPVAHTIFDGAVRIDSSNFQVKQEDFSELSSGKMKQGLEMTSCPLKNLLRWSFNDMIHACAQSNNYQMAEQLFLQMLDIGLKPSEHTYDGFVKAAIEGKGVDYGMKVVKMMQERNIKPYNNTFAVLSVGYSRTLELDMAESFSNKISDKLPKNIHTFNTLLAACGFMDEPERAVRVLAKIKRLKIKLNIRTYELMFTLFGTVNVPYERGNILSHMDVTKRIGAIEMDMMKNGIHHSYASMKNLIRALGSEGMIQDMLRYLDIAENLLWQTDAHQTNDLYNIVLHALVKAKERHKAIEVFRSMRSCGLPANVATYNIMIECCSMLKCFKSACAIISLMLREGFYPQTLTYTALIKVLLANEDFEGALNLLDQSHSEAIQLDVQLFNTILREAYIKRRIDVVELVVESMHREKIQPNPTSLWYTFSAYVESDFHSTAMEALQVLSMRMISEDNEILQGKKSVFEELILNEDPDVESEIIKTFKGSQEFLATALMNLRWCAIMGYSISWSPEESQWAKRLANKVST >Ma05_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19229037:19232566:-1 gene:Ma05_g17040 transcript:Ma05_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFDTEFSNGGVQEEAAVAPASATARPFDDGSLGYDPRLPSQRYDAYSSFAADEHAKDPASDDMFPGAASADGGGGYGFQPEDVPIHHDGGGSMSASPEGYGFSSSPSPFVMPEADEVANGGEENGEIFITDGPLLPEPDQMREEGFLLREWRRQNAIELEEKERKEKERRNEIIAEAEEYKRAFYEKRKLNCETNKTQNREREKLYLANQEKFHANVDKQYWKAIAELIPHEIANIEKRRGKKEQEKKPSIAVIQGPKPGKPTDISRMRQILVKLKHTPPPHMKPPPPPAPEPAKGAKVGATATGKQPVSPAKLAKDGGGGTAAVEKQLATPAEDPKANGTTGSPKGENPKVEEQADKAPEPSSDA >Ma05_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10489658:10497928:-1 gene:Ma05_g14390 transcript:Ma05_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGTRWMHYLKQLSSANVPAALIEKGQNRVIDASLTLIRERAKLKGELLRSLGGVKASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELNDPRVLTDVGDVPIQEIRDCGVDDDRLMNIISDSVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPLDILHLDAHPDIYDAFEGNKYSHASSFARIMEGGYARRLLQVGIRSITREGREQGKRFGVEQYEMCTFSRDRQILENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Ma05_p14390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10489658:10497984:-1 gene:Ma05_g14390 transcript:Ma05_t14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGTRWMHYLKQLSSANVPAALIEKGQNRVIDASLTLIRERAKLKGELLRSLGGVKASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELNDPRVLTDVGDVPIQEIRDCGVDDDRLMNIISDSVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPLDILHLDAHPDIYDAFEGNKYSHASSFARIMEGGYARRLLQVGIRSITREGREQGKRFGVEQYEMCTFSRDRQILENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Ma03_p25620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29592687:29598545:-1 gene:Ma03_g25620 transcript:Ma03_t25620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g17170/K14A17_29 [Source:Projected from Arabidopsis thaliana (AT3G17170) UniProtKB/TrEMBL;Acc:Q948R9] MEATLMASSSSVAQRTLFFQSPRSHGFSSRRHSSSASDAFQTNSPVDRRNGRGLVATGAKKRNKKVDSHSFAPRPDESTGPFPEVVMLKKKVVKEDGRVLPEFADDEEEKLFEFLSLQLESDLNLERMRHYEVVYLIHEDHADEVDTVISKVQEFIREKKGRIWRLNNWGLRRLAYKIRKATHANYVLMNFELDAKFINDFKSMLDKDERIIRHLVMKRDEAITEDCPPPPEFHTLHAQQGAVDEDFDSEGEVEDEDWGDDEMELEMAGQDDFDNEADANIIIVDADSENDRDDGTGRRARILKTMKVSR >Ma03_p25620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29592687:29598545:-1 gene:Ma03_g25620 transcript:Ma03_t25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g17170/K14A17_29 [Source:Projected from Arabidopsis thaliana (AT3G17170) UniProtKB/TrEMBL;Acc:Q948R9] MEATLMASSSSVAQRTLFFQSPRSHGFSSRRHSSSASDAFQTNSPVDRRNGRGLVATGAKKRNKKVDSHSFAPRPDESTGPFPEVVMLKKKVVKEDGRVLPEFADDEEGQKRNLAHFKIYISLLLVLILEVIRSLSFAEKLFEFLSLQLESDLNLERMRHYEVVYLIHEDHADEVDTVISKVQEFIREKKGRIWRLNNWGLRRLAYKIRKATHANYVLMNFELDAKFINDFKSMLDKDERIIRHLVMKRDEAITEDCPPPPEFHTLHAQQGAVDEDFDSEGEVEDEDWGDDEMELEMAGQDDFDNEADANIIIVDADSENDRDDGTGRRARILKTMKVSR >Ma05_p25480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37414467:37415149:1 gene:Ma05_g25480 transcript:Ma05_t25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSGKKRTITVMKIDGTTLKLKAPVQAEDLLRDYRGYDLLESEAVKQLGVGARPLAPDAPLHPGKLYFLVQLPRAPEQRAPRRAWSGGLPVSARERLENLAFTRRTMSDVSVAGRPSRVEAEEGSDGTVRLRLRIPKAEMEKLLQESKNDTEAAEKIMVLCVAKNGGAPKLPAMETGQKEKRARFAAMPAEIIA >Ma03_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10534102:10534879:-1 gene:Ma03_g13400 transcript:Ma03_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDIMMGDSSHAIQNRPPVKEIAFAVSLLVFGSLAIVVGSVMAANRVGGDRAHGVFFAVLGSVLFLPGFYYTRIAYYAYKGYKGFSFDNIPSV >Ma07_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11019459:11019730:1 gene:Ma07_g14640 transcript:Ma07_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTLKVPSEFQGVITGDINKRKGTIVGNDQDGDGTVVVAHVCRFQSSPLNDLLTCRNCILMRPGQ >Ma07_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29689987:29713064:-1 gene:Ma07_g21620 transcript:Ma07_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts UPF2 [Source:Projected from Arabidopsis thaliana (AT2G39260) UniProtKB/Swiss-Prot;Acc:F4IUX6] MEHAEDECRVGGEHHGKQDDEESIARLEEHRKSIDAKIALRHSNLNPERPDSGFLRTLDSSIRRNTAVIKKLKQINDEQREGLLDELRSVNLSKFVSEAVAAICDAKLRASDIQAAVQVCSLLHQRYKDFSPSLVQGLLKVFFPGKCGDELDVDKSLRAIKKRSTLKLLMELYFVGVIDDCSILVNIVKDLTTLDHLKDREVTQTNLSLLTSFARQGRFFLGLQQPGQEIYDEFFKGLNVTADQKKFFKKALHSYYDAVTELLLSEHNSLRLMELENAKVLSAKGELSDENAASYEKLRKSFDHLFRGVSSLAEAIDMQPPVMPDDGHATRVTTGVDASSSVSAKETSVLEPVWDDEDTRAFYESLPDLRAFVPAVLLGEAEPKLNEQQLKAHDQQNETTLEPDNDVQDTAETCADSEFSPECRTDEKGKDKDDKDKERIRDSEKEKSKEDTERKDGEKDKIKGLDGTSLDSLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVPTMLLSMLEEEFNFLINKKDQTNIETKIKNIRFIGELCKFKIASAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMSNMLEILVRLKNVKNLDPRHSTLVENAYYLCKPPERSARVTKVRPPLHQYIRKLIFSDLDKSTVEHVLRQLRKLPWSECDSYILKCFLKVHKGKYSQVHLIALLTAGLARYHDEFAVALVDEVLEEIRLGLELNDYEMQQRRLAHMRLLGELYNYEHIDSSVVFETLYLIIAFGHGTPEQDVLDPPEDCFRIRLVITLLQTCGHYFDRGSSKRKLDRFLVYFQQYVLSKGPIPLDVEFDIQDTFADLRPNMTRYSSIEEVNAALLELEENERTASTEKTSNDKHSDGESQKGQSHTMASTITANGKSTTNGIEENGRVHEEAGDSESYSDSGSVYQEGHEDEEEPLYEDKSDDGSDGDEDDDDDEGPVGSDEEDSVLVRQKMVKVDPKEEEDFEREMKALMQESLESRKLELRSRPTLNMMIPMNVFEGSKDPRFVEGESGEETVDEEGGSGGQNRVRVKVLMKRGNKQQTKQMYIPRDCSLVHSTKQQEAAEVEEKLEIKKRILEYNEREEEELSGASSQAGNWMPAGNSGSNRPAGRGNWDGTRTGGRPRQNSSSGYYHSYGRRR >Ma07_p21620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29689987:29713064:-1 gene:Ma07_g21620 transcript:Ma07_t21620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts UPF2 [Source:Projected from Arabidopsis thaliana (AT2G39260) UniProtKB/Swiss-Prot;Acc:F4IUX6] MEHAEDECRVGGEHHGKQDDEESIARLEEHRKSIDAKIALRHSNLNPERPDSGFLRTLDSSIRRNTAVIKKLKQINDEQREGLLDELRSVNLSKFVSEAVAAICDAKLRASDIQAAVQVCSLLHQRYKDFSPSLVQGLLKVFFPGKCGDELDVDKSLRAIKKRSTLKLLMELYFVGVIDDCSILVNIVKDLTTLDHLKDREVTQTNLSLLTSFARQGRFFLGLQQPGQEIYDEFFKGLNVTADQKKFFKKALHSYYDAVTELLLSEHNSLRLMELENAKVLSAKGELSDENAASYEKLRKSFDHLFRGVSSLAEAIDMQPPVMPDDGHATRVTTGVDASSSVSAKETSVLEPVWDDEDTRAFYESLPDLRAFVPAVLLGEAEPKLNEQQLKAHDQQNETTLEPDNDVQDTAETCADSEFSPECRTDEKGKDKDDKDKERIRDSEKEKSKEDTERKDGEKDKIKGLDGTSLDSLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVPTMLLSMLEEEFNFLINKKDQTNIETKIKNIRFIGELCKFKIASAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMSNMLEILVRLKNVKNLDPRHSTLVENAYYLCKPPERSARVTKVRPPLHQYIRKLIFSDLDKSTVEHVLRQLRKLPWSECDSYILKCFLKVHKGKYSQVHLIALLTAGLARYHDEFAVALVDEVLEEIRLGLELNDYEMQQRRLAHMRLLGELYNYEHIDSSVVFETLYLIIAFGHGTPEQDVLDPPEDCFRIRLVITLLQTCGHYFDRGSSKRKLDRFLVYFQQYVLSKGPIPLDVEFDIQDTFADLRPNMTRYSSIEEVNAALLELEENERTASTEKTSNDKHSDGESQKGQSHTMASTITANGKSTTNGIEENGRVHEEAGDSESYSDSGSVYQEGHEDEEEPLYEDKSDDGSDGDEDDDDDEGPVGSDEEDSVLVRQKMVKVDPKEEEDFEREMKALMQESLESRKLELRSRPTLNMMIPMNVFEGSKDPRFVEGESGEETVDEEGGSGGQNRVRVKVLMKRGNKQQTKQMYIPRDCSLVHSTKQQEAAEVEEKLEIKKRILEYNEREEEELSGASSQAGNWMPAGNSGSNRPAGRGNWDGTRTGGRPRQNSSSGYYHSYGRRR >Ma09_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8099575:8101480:-1 gene:Ma09_g11960 transcript:Ma09_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPIEPQKIQEHFEDFYEDIFEELGKFGEIESLNVCDNLADHMIGNVYVQFREEEQAAAAMRALQGRFYSGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRSSIRRSRSRSPSPYLRRGSR >Ma10_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24151945:24177440:-1 gene:Ma10_g10050 transcript:Ma10_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAKQRQLFSTHDASPRSFKSREWDSMSRWSEYISLEEFPSSTPMNGRSLGSDAPPNSGTVPKVLHMEWVVQLSKVAEGLLAKMHRLNHILDKPDLGSHTFSDAFWKAGIFPNFPRICMLVSKKFPEHPNKLQLERVDKLAMDTLTENAQDYFQKLEPWVMLLLDLMAFREQALRVILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKIPRKMMLQLYNMLHTILKGGRDCEFYHRLVQFVDSYDPPAKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTKKMRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIAMVVLKEHLVLTLFRDEYELLHEDYQCYVLPRILESKKLAKSGRAKQKEADLEYNVAKQVEKMISEVHEQALTSCNAIHRERRILLKQEIGRMVLFFSDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIPSSKFKSVRAIPIEIDAADPTIGFLLDGMDKLCHLVRKYMAAIKGYALSYLSSSAGRIRFLLGTPGMVALDIDSTLKNLFQQVVHCLENIPKPQGETVSSITCDLSDLRKYWLSILMIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSRHGSLKKLYFYHHHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASAIVPDELNKVGRDSILYVESLIESIMGGLEGLINILDSEGGFGSLEMQLVPEQAAFCLNNVLKGSTASFKSPKGWSMQKPGSESYPENTSSVKMLEAAMQRLTNLCSVLNDMEPVCVLNHVFVLREYMRECILGNFRRRLLAVMITDNFLQRPSIIESLLQRHIGIIHMAEQHISMDITEGIREVLLTESFTGPVSYLQKFEKPTEMQTGSAIELVGNWYLENIVKDISGAGVLFIPIRHCFKSSQVIGACTAESYTDVRELKALIRIFGGYGFDRIDRLLKEHTAALLNCIDTALRSNREALEGLAGSVNYGDRIEREANIKQLLDLDTLVGFCIQAGQAVAFHKLLVEAAGAVLEENAPLIFSLLRGVAKQLPVDIPEKDDITRLRRLANMVGADEDHDTEWIHAIMAEVGVANDSSWSFLPYLCSAFMASNIWSMTTYNVNTGGFNNNVHSLARCINAVIAGSEYVRMERVQQPRSLSNGHAGEISEPETLNRMSVEANIKSALQIFVKCSAGIVLDSWSENSRTYIVPKLIFLDQLCELSRYLPRSTLEIHIPYTILRSIYRQHYGNSATMITELLAPSPRQSPLISLTHASPAVRPHRGDSTPMSYDPGYLSSSIHRHDDAHEGDSLRLKTSDKQQRNTRNSGPLEYSSSRKVKFAEGSSSGGQGPSPLLRFAVSRSGPLSYK >Ma02_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28327143:28330485:-1 gene:Ma02_g23240 transcript:Ma02_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYKMENCPVRVNSIGQLYHDCMSDRRSRFWQIDNQPNPRSEVICPQPRRATRAPYFINALNRVSSKPKGFLPMYRVDCAPEILELILSQDDLDNDPDSSNQVGGFFRGSPPVRTNNPVIHDVQFAKQAQSLASPRANSPGMKQAGRVERGSPTCGSSLGGSPKVRIEGFACGRSSEKHCVAPALA >Ma01_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4406484:4410097:-1 gene:Ma01_g06160 transcript:Ma01_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLEFFVERFLEKITNFAEGEICKVLYVGAEVKTLERRLTRIKGFLRTAEQRRHVDPDMDTWVRELKDVMYDADDIIDLCIFEGGSLLKVTNPPFFRPIKHRYEISGRIKRLNDRLIEITGDRSIIPVVENVEKGLPPPPASYPKTSSIEVKADIIGTQIEGAAQNLINKVLEVSENRKCVVFGIVGMGGIGKTTLARKVFNDERIIQNYPIRVWLCVTKNYSETDLLKEMIRSVGGSVEGAESRAELEPKLVSLLSKNLLLVLDDVWSPEVWEDLLKNPLMHEAASNCRIVVTTRDVNVARNLGDNVHHVEKMDEECGWELLWKTVWDNRKKGDSSIFKEIGTKMVQKCDGLPLAIKVLAGALRSRRSPMEWEKVLRSDLWTMKKLDEKIPGVLYLSYEDLPSHLKQCFLYCSLFPKKAHMYRKDLTRHWVAEGFTKENGELSMEEIAEGYYEDLIWRNLLQVDPTFVDGSRCTMHDLLRSLAQFLIQGEGVYASDLLSVNTTNPLTKLRRLSTSNIGERVELPSRIIEENCLRSLLIFDSPRAHTIGDDLFKKLRNLRVLLLNDTSIESLPKSIGKLSHLRHLDLDRTKIREIPESVGGLRNLQTLSVSGCKSISKLPKTITKLYNLQCLRLQDTPLTSLPKGMGSLTNLSELDAFIVGDDGDLKELQPLSKLRSLSIYRLDRAITNAGSVLEDKPFLRQLYLFWESTDREKYSGSSSLTDPFTAEEICSQLCPPSSLQYLFIKCYSGLRFPGWFRSTSLDTAFPCLLYLSLHDLPSCWELPPLGLLPELKVLSIRGANEITSIGADFTGDRNLRGSPAFPMLEVMRFSNMPNWEIWTTYEINRVQFQSLAINEDELHLFADLLEPPTGDTGSSSGNSNSRKLLPNLKELWLVDCPKLKALPEGLCPTNLKLLHMEGTHSFGEIKNLDFLTDNLTVKHNNSLRRISNLPSLKYLQVDDCPNLEYVGDLAGLQHLHLSCPPPAQSLPPWLSDLVEQQQSFRKLELQCSLPLLKRCLVGEVNWQIIRQIPEVRIRATDANEFIWYNKDPYMYDTNVGSA >Ma06_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18649288:18652464:-1 gene:Ma06_g22560 transcript:Ma06_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCGCFLSSFPSNFFFFFFLKREKRRRRRRRRKRREENSCGKAAARRCVALGRKREEEKKRRRRRGKREGRRSGARGGSS >Ma09_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10848309:10884134:-1 gene:Ma09_g15540 transcript:Ma09_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cadmium/zinc-transporting ATPase HMA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37270) UniProtKB/Swiss-Prot;Acc:Q9M3H5] MESLAFAARSRNSPAALDQFPICKRTTRARATQQRRSSSSPFNYRTPTLVHRYRRPNSFAHSSSLIFSKSPLLYPPSGPRILRPLCCSAHGNADHGVRSHSYHSHHGHSHSHDHDHHHHHGHGHSHGHGEDGLPNEAQKAVLRFAKAVGWADLADLLREHLQLCCCSMVSLLLAAACHCLLPGRAGKPLQNALIAVAFPLVGVSAALDAIVIIASGKVNIHVLMALAAFASVFMGNSLEGGLLLAMFNLAHIAEEYFTSQSMIDVKELKDNFPDYALLLDVNGDEPPQFSKLDYAKVPVCDLEVGSYILVRAGEAVPVDGEVFQGASTITIEHLTGETKPLERGVGDAIPGGARNLEGMMVVKVTKSWEDSTLNKIVELTKEGQLNKPKLERWLDEFGEYYSKVVVALSLGVALLGPFIFKWPFIGNSVSRGSVYRALGFMVAASPCALAVAPLAYATAISACARKGILLKGGHVFDALAACKSIAFDKTGTLTTGKLMCKAIEPIHGHWFGESKSDVSLCCMPNCESEALAVAAAMEKGTTHPIGRALVDHSLGKDLPDIFIKSFECLPGRGLFATLTGIKSGTWQDDISKASLGSVEYIASLCKSMDESEKIKEAARTSAHGSDFVQAALSINKKVTLFHFEDEPRPGVVEVISTLKDKARLRIMMLTGDHELSAMRIAKIVGIDEVYCCLKPEEKLNRVKTTSRDRGGGLIMVGDGINDAPALAAATVGIVLAQRASATATAVADVLLLQDNITGVPFCIAKARQTTSLVKQSVALALSCIVFASLPSVFGYLPLWLTVLLHEGGTLLVCLNSVRALNNPTWSWKEDLRQALYQLKKVLVDLTKWRPSWNSTIQPSAL >Ma10_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30646114:30650350:1 gene:Ma10_g20520 transcript:Ma10_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pinoresinol-lariciresinol reductase 3 [Source:Projected from Arabidopsis thaliana (AT4G34540) UniProtKB/Swiss-Prot;Acc:O65679] MAMVGEEKAGGSRSKVLVIGATGRFGQELVKASLAAGHPTYALVREPTFSRADASLLLRSFSASGVTLLKGSLQDFPSLLEALKQVDVVICAVPSSQVLEQKLLIRAIKQAGCIERFIPSEFGADPDKVQTVEMDHDFYKKKAEIRRCIEKEGIPHTYISCNFFQRYLLPSLIQPGLKTPPTDKVKIFGSGNVKAVFVKESDVATFTICAIDDTRTLNKVLYLRPSGNAYSQNELTEIWEVKMGKKLEKIHVTEEQLLKSIHGS >Ma10_p20520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30646114:30649211:1 gene:Ma10_g20520 transcript:Ma10_t20520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pinoresinol-lariciresinol reductase 3 [Source:Projected from Arabidopsis thaliana (AT4G34540) UniProtKB/Swiss-Prot;Acc:O65679] MAMVGEEKAGGSRSKVLVIGATGRFGQELVKASLAAGHPTYALVREPTFSRADASLLLRSFSASGVTLLKGSLQDFPSLLEALKQVDVVICAVPSSQVLEQKLLIRAIKQAGCIERFIPSEFGADPDKVQTVEMDHDFYKKKAEIRRCIEKEGIPHTYISCNFFQRYLLPSLIQPGLKTPPTDKVKIFGSGNVKAVFVKESDVATFTICAIDDTRTLNKVLYLRPSGNAYSQNELTEIWEVKMGKKLEKIHVTEEQLLKSIHETPFPSNIDLFFIYSAFVKGDHTYFSIDSSGLDGSELYPHVRCTTVSEFLDMLSERTSI >Ma10_p20520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30646133:30649211:1 gene:Ma10_g20520 transcript:Ma10_t20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pinoresinol-lariciresinol reductase 3 [Source:Projected from Arabidopsis thaliana (AT4G34540) UniProtKB/Swiss-Prot;Acc:O65679] MAMVGEEKAGGSRSKVLVIGATGRFGQELVKASLAAGHPTYALVREPTFSRADASLLLRSFSASGVTLLKRFIPSEFGADPDKVQTVEMDHDFYKKKAEIRRCIEKEGIPHTYISCNFFQRYLLPSLIQPGLKTPPTDKVKIFGSGNVKAVFVKESDVATFTICAIDDTRTLNKVLYLRPSGNAYSQNELTEIWEVKMGKKLEKIHVTEEQLLKSIHETPFPSNIDLFFIYSAFVKGDHTYFSIDSSGLDGSELYPHVRCTTVSEFLDMLSERTSI >Ma10_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23305076:23306673:-1 gene:Ma10_g09100 transcript:Ma10_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTYGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIINLHEIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIHKPSKAAQTATPSGFDHVQPVFSTADQFDAILHHNLPKPSAADPIFPPPPCPLFMFDVSAGDSGSATGSTKEEFVQEVTTLTSDLWNPTHQQDQAMPPFLAFTACMESNYLPPLVDGMGGMVPCCVADDEEASRHPFEKQELSEWVDSRQYSSPLIWDQVAGTSLGGEALPTAPSTMDAMITSFSSSLSRIN >Ma07_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4501582:4508337:-1 gene:Ma07_g06250 transcript:Ma07_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSAPHSPHISGMRSAGSALAEQEKYLAELLAERQKLSPFVPVLPHSYRLLNQEILRITTLLENASLLDQTGLEHGSPLITGGVFSNGGAADMNGWASTFQSERLGILQPSSGNGWVVPPGSSSGLLVKKTIRVDIPVDHYPNYNFVGRLLGPRGNSLKRIEATTGCRVLIRGRGSIKDPSREEMMRGKPGYEHLNEPLHILVEADLPVEIVDARILQAREILEDMLKPVDESVDFFKKQQLRELAMLNGTLRDDGSHTSGSVSPFHNSLGMKRAKTRG >Ma09_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1361158:1361406:1 gene:Ma09_g01820 transcript:Ma09_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVSSEGSSRADQRDTSDHADLAKGKEESEKKNKKCGGGLKKGLEKTKTVASSGLKKVKEGSSTGFQWIKGKLQKGTDKQ >Ma04_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6745933:6746587:1 gene:Ma04_g09520 transcript:Ma04_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLRSTRIAIVLLLSLIALEDYAALARRDIAAYCKSHEELGGETTTIVGTSREKWLRGRMMVVEEVKAARSTANTGADHLVSQCGNGGDGIMRSGCGLKHRSRPVRVHYAGLVPFSSDYSAPRKHPPKNN >Ma09_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:211408:213808:-1 gene:Ma09_g00240 transcript:Ma09_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGPITQDWEPIVIRKKAPTAAAKKDEKAVNAARRSGAEIETVKKSTAGTNKAASSSTSLNTRKLDEETENLTHERVSSELKKNIMQARLGKKLTQAQLGQLINEKPQVIQEYESGKALPNQQIITKLERVLGVKLRGKK >Ma03_p33070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34579950:34581441:-1 gene:Ma03_g33070 transcript:Ma03_t33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSKDSIGYEAQAAAPFVEKTYAMVNDPRTDSLILWGKKNNSFLVLSPNEFSQFLLPYYFRHSNFSSFVRQLNTYGFRKVDPDRWEFAHQSFLRDQIHLLPRIIRRTKRAHAGLFACSSSSSSSSEKEKGAMEGEVDEMLLQELFRLRQEQRALEEELQVMSKRLKATERRPHQMMSFLAKMADDPRSLSRLVISKQQQQQQQSSTAAKKRRLIISPPPPPPAAATQLDDGLFLPSILVPGIQQTMFEPLDHGSDQLIMEEVKPFALITDVSAINSYDAELIGPNSASEISFLPEFELSMTGSETAAAEANFPFSLLGHGFY >Ma11_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4728771:4730056:1 gene:Ma11_g05920 transcript:Ma11_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLRLSLCEHEKRDCTLCYSAGKIRMATAVPAAREGPDSSCIFWGRASPFMAAATGTSNDPNPSTPYRGVMLLPDGHWGAQIYARGHRVWLGRFESEIAAAGAFDSAAVKLHHGDYSHRNLPATRHAAHERRFQETFSIDDVLDMIRDGSYCSRLEEYTRLHAAPIRDLPHARTTSNVTFLEMFVKELTPSDVGKLNRLVIPKKHATQYFPPVVAETADEVRVEFVDREDRPWTFRYCYWKSSQSYVFTKGWNKFVKEKRLQAKDTVAFYRCEESDGHRRTYCMIDIIRHNSGGDRSGGSSRSTSGVIGLGLGFKRKKMENGDEEDHCSCGFSRRKSGVMGVGLDLSLERVTAKKDDEGGVVTGSAAPRKSSETQDKKKGLKLFGVWIADENDRRG >Ma03_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1069586:1071280:1 gene:Ma03_g01530 transcript:Ma03_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGRGRRGGSSREASPDRARLSYSQQQQQRLVTPLRKVQVIYYLSRNGQLEHPHFIELPHLPNQQLRLRDVMERLTAYRGRGMPSLFSWSCKRSYKNGYVWNDLAENDVIYATDGVEYVLKGSEIIPGAYERFQHTAASSEQPKPLPICHRLHLEVGEDDGEEVDEEGTAEDEAEEEVRGGTRTAGDETRTSRPRYSHRSRGVSTDEIERVEDRTATRAHQAARTELPLDDASPPSSTSSDKPPTHGPGGGSADGDSRRFEKPEETPEPGLARNSVLIQLITCGSAALKVRSSPGGGTTKAADSKLHHGVVSRLASRADEDAEPRRILENPRFCHPLVEDKEYFSGSIAEGSRPPPEPCLEKSSSFREERSSKLGIGGRLEVEEGREGAVKGKCIPGRRKSSGKQQ >Ma08_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3440518:3445460:1 gene:Ma08_g05020 transcript:Ma08_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGRCVDFPVSTGAGDTIMATSWVVGSGGPAASVRCRQGKRTALPKVGPPSSTGPLLWLPRSPPNRFCNGMLESLSYDTASLPLALDGGGGRCRGGVQGGGGDAGNAQGPSAAAAGGGVGAVRGPVRLGPPTLAAHAVRAGAVDPHQWASLVWLCGPLSGLIVQPLVGHLSDHCASPLGRRRPYIAGGAAAIAASVLLIGYSADIGHALGDRADGGTRHRAIAVYLLGFWLLVVGNNTTQGPCRALLSDLTGKDRRRTRVANAYFSLFMALGNVLGFATGSYSRWFSILLFNVTAACSINCANIISAFLLDVVLLMITTTVSVLSAKEIPLSSASGVIDCAGEVQVQLNDGHEAFLWELVGSCKYLTLPIWAVLIVTALTWIGWFPFFFLDTDWMGQEMCKGSPNEGKNYQTGVRMGATGLMLNSIVVGCTSVVLEKLCRKWGAGFTWGIANIFMFLWFLAMLIISSLAKNVEYPKDGLPPDGVVIAALVIFTVLGAPLEASLFYCLFFSLQVTYYSLCNDICMYRTFRAWSGIGHGHFKSGNCDTSGTHILGKWAIGPIIWEWQYTSLCCRGTCSFCERTCGNYWSPSVPYCRTKGSKISILLMRP >Ma00_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36079031:36084587:1 gene:Ma00_g04280 transcript:Ma00_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLYKTFRFRIQFILKNIFFQKYMLFHLFYDRNKRGYTLHHDFESEERFQEMADLFTLSAIEPNLVYHRGFAFSIDSYGLDQKKFLNEVFNSRDESKKKSLLVLPPLFYEENESFY >Ma04_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26205182:26206147:-1 gene:Ma04_g24150 transcript:Ma04_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIVVYLSDPIAASMAHKKPITISGCATTQHHLLLLPIMKEPLTQDCEANNYSSSSPSHTKTSPASCCCSSPSTDAATGVSKRKARKAGGEETEKPGNDGKQHASYRGVRMRSWGRWVSEIREPKKKSRIWLGTFPTAEMAARAHDVAALAIKGQSAYLNFPELASRLPRPATAKPKDIQAAASLAAATAFDDHTPSPCPTQTELPLSHSPAPTTPPSDDHGALFDLPDLLLDLREGFCYSSSWAPSTADDGVEFRVEEPFLWGYC >Ma03_p26340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30092004:30098454:-1 gene:Ma03_g26340 transcript:Ma03_t26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERKGDYLGKTVQVVPHITDAIQEWIERVAVIPVDGEEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPNILACRSAKPLEENVKEKLSQFCHVPVSNIITLYDVTNIWHIPLLLKKQRAHEALIKVLDLQRVAKEPMLEEWMRRAEIYDTLQDPVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLVVDWIAATDLEENTEIETPEVHKRSWDLLKAADGVLVPGGFGDRGVKGKILASKYARENQVPYLGICLGMQIAIIEFARSVLNLPHANSTEFDPDTTTPCVIFMPEGSKTHMGGTMRLGSRRTYFDVSSCKAAKLYGNVSYVDERHRHRYEVNPHMVPEFEKSGLAFVGKDETRKRMEIIELPSHPYFIGAQFHPEFKSRPGKPSALFLGLIAASCGQLDTLLRAPIHHSNLVPRHISTNGSHITIYGSVPTKSFQNGNLKKHTKSLANGNFHSNGNGVLPSH >Ma08_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1896102:1903290:-1 gene:Ma08_g02440 transcript:Ma08_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRGFKKRKRGKTNVAASASTAAGQGGDDWWVDFSRRITGHLSEEPRKFESVFKISRKTFDYICSLVRDDLMGKTSNFAFADGKFLSVEDQVAVALRRLSSGESLLNVGVSFGMNQSTVAQVTWRFVEAMEERALHHLKWPTTQEMKDIKSKFEKIWGLPNCCGVIDATHIMMCLSTVDSSSKIWVDHENNHSMVLQAIIDPDMRFRDIVTGWPGSMNELPVLRSSGFFKMCEKGARLDGDTVEIPKGLELREYIIGDSDFPLLPWLLTPYQGKDLSDAKTEFNKRLSAAGVVAQRALARLKAMWKIIQGVMWRPDKHRLPRIILVCCLLQNIIIDLDDEVRHEMPWSHEHDTSYKQQLCDMADDNGVTLRDQLSQYLSCRLPP >Ma03_p00060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:118502:122961:-1 gene:Ma03_g00060 transcript:Ma03_t00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGFLPSPFPAFSRSPPLPYALSRNCLRSCNSRHVLSHCAQNRGSSRTCIATRAAVSRGEDDDEEKEGEGDGRSPGAAKGSGTSARGRRLLKVREEKRKREYDRILNYPSWAKILENACKDDAELRAVLGDSIGNPELMRKRVEERVRTKGRDFRKSKTGSVLAFKVSFRDFNPLDSFIWFELYGAPSDRDVDLLGSVIQSWYVMGRLGAFDSSNLQLANSSMDYNPLYDSDKAVQSMSSSFHDIGDVEFQDNWGRVWVDLGTSDFFAVDVLLNCLTVLSSEYLGIQQVVFGGRRMGDWEEGMMSAEYGYKHFKI >Ma03_p00060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:118502:122961:-1 gene:Ma03_g00060 transcript:Ma03_t00060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGFLPSPFPAFSRSPPLPYALSRNCLRSCNSRHVLSHCAQNRGSSRTCIATRAAVSRGEDDDEEKEGEGDGRSPGAAKGSGTSARGRRLLKVREEKRKREYDRILNYPSWAKILENACKDDAELRAVLGDSIGNPELMRKRVEERVRTKGRDFRKSKTGSVLAFKVSFRDFNPLDSFIWFELYGAPSDRDVDLLGSLANSSMDYNPLYDSDKAVQSMSSSFHDIGDVEFQDNWGRVWVDLGTSDFFAVDVLLNCLTVLSSEYLGIQQVVFGGRRMGDWEEGMMSAEYGYKHFKI >Ma03_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:118502:122961:-1 gene:Ma03_g00060 transcript:Ma03_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGFLPSPFPAFSRSPPLPYALSRNCLRSCNSRHVLSHCAQNRGSSRTCIATRAAVSRGEDDDEEKEGEGDGRSPGAAKGSGTSARGRRLLKVREEKRKREYDRILNYPSWAKILENACKDDAELRAVLGDSIGNPELMRKRVEERVRTKGRDFRKSKTGSVLAFKVSFRDFNPLDSFIWFELYGAPSDRDVDLLGSSWYVMGRLGAFDSSNLQLANSSMDYNPLYDSDKAVQSMSSSFHDIGDVEFQDNWGRVWVDLGTSDFFAVDVLLNCLTVLSSEYLGIQQVVFGGRRMGDWEEGMMSAEYGYKHFKI >Ma04_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24870296:24874113:-1 gene:Ma04_g22590 transcript:Ma04_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGSEEDEGVDAYRKGGYHAVRVGDRFAGGRYIAQRKLGWGHFSTVWLAYDTRSQSFVALKIQKSAPEFAQAALHEIELLSAIAQGDTSNSKCIVRLIDHFKHSGPNGQHLCLVIEFLGDSLLRLVKYNHYKGIGLNRVRNICRSILVGLDYLHREVGIIHTDLKLENILLVSTIDLSKDPVRSVLTPILERPEGNPNGSVVVNMIEKSFKKKAKRARARISETSASTSGILQLERTLEGIDLRCKIVDFGNACWCDKQLTDDIQTRQYRSPEVVLGAGYSFSTDMWSFACMAFELATGDMLFAPKSGQGYSEDEDHLALMMELLGKMPKKVATTGSRSKDYFDRYGDLKRIKRLKFWPLDQLLVDKYKFSEADAHEFAEFLCPLLDFATEKRPTAEQCLQHPWIKIRDAKANSKNSGAGVGKLEIGMSKLNVQVGR >Ma03_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2229982:2232334:1 gene:Ma03_g03320 transcript:Ma03_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPVGDSGFCRRFPNGLGFDFGSDGSSSSMVLDQERRAPSRLGGKRVGAGILDAKTAMAMKSHSEAERRRRERINGHLAVLRSMVPCDDKMDKAALLAQVISHVKKLKRNAAEINKSYTVPSDTDEVRVEVEGDMTIAGRLMVRASLCCDDRPEILADLRQALSGLHLKTVRAEISTLGGRMKNVLTMTSEGTFSNVDKHLFVASVHQALNSILDRVKSREDFLPRASFSNKRQRISPF >Ma06_p20290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14350406:14355520:1 gene:Ma06_g20290 transcript:Ma06_t20290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLLLRTISSFSPLRVLLLLSAVLILSFLLFFPKPLLRPPDGAATPRPPAPTPTSPRHLLFGIASSSRSWPRRKPYLRIWWRPGLMQGAAFLDSPSAPASGDDDDSRLPPARVSADSSNLPYAYKGGLRSAVRVARIVKELVDGVVGNGSSPDARSDDIRWVVLGDDDTLFIPENLAGTLARYDWEQWYYVGAGSESVEQNAKHSFSMAFGGGGFAISYPLAKALARVLDSCLVRYAHLYGSDDRVFSCLAELGVGLTHEPGFHQFDLRGDIFGILSAHPLSPLISLHHIDRVEPIFPGMTHVAALDHLFKAVNIDPGRILQQTICYDSTKLLTVSVSWGYVVQVFEGNQLLVDLLSLPQTFTPWRRGRNSSLIPYMLNTRELNKDPCKRPDIFFFESVALDKEKIQSNYTRHAFGNCQQNMSPAKDLKQIRVFSQQLRRQSGLAIRRECCDVSQTSSETVMEINIRKCKDDELIAMHW >Ma06_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14350406:14355520:1 gene:Ma06_g20290 transcript:Ma06_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLLLRTISSFSPLRVLLLLSAVLILSFLLFFPKPLLRPPDGAATPRPPAPTPTSPRHLLFGIASSSRSWPRRKPYLRIWWRPGLMQGAAFLDSPSAPASGDDDDSRLPPARVSADSSNLPYAYKGGLRSAVRVARIVKELVDGVVGNGSSPDARSDDIRWVVLGDDDTLFIPENLAGTLARYDWEQWYYVGAGSESVEQNAKHSFSMAFGGGGFAISYPLAKALARVLDSCLVRYAHLYGSDDRVFSCLAELGVGLTHEPGFHQFDLRGDIFGILSAHPLSPLISLHHIDRVEPIFPGMTHVAALDHLFKAVNIDPGRILQQTICYDSTKLLTVSVSWGYVVQVFEGNQLLVDLLSLPQTFTPWRRGRNSSLIPYMLNTRELNKDPCKRPDIFFFESVALDKEKIQSNYTRHAFGNCQQNMSPAKDLKQIRVFSQQLRRQSGLAIRRECCDVSQTSSETVMEINIRKCKDDELIAMHW >Ma06_p20290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14350406:14355520:1 gene:Ma06_g20290 transcript:Ma06_t20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLLLRTISSFSPLRVLLLLSAVLILSFLLFFPKPLLRPPDGAATPRPPAPTPTSPRHLLFGIASSSRSWPRRKPYLRIWWRPGLMQGAAFLDSPSAPASGDDDDSRLPPARVSADSSNLPYAYKGGLRSAVRVARIVKELVDGVVGNGSSPDARSDDIRWVVLGDDDTLFIPENLAGTLARYDWEQWYYVGAGSESVEQNAKHSFSMAFGGGGFAISYPLAKALARVLDSCLVRYAHLYGSDDRVFSCLAELGVGLTHEPGFHQVFEGNQLLVDLLSLPQTFTPWRRGRNSSLIPYMLNTRELNKDPCKRPDIFFFESVALDKEKIQSNYTRHAFGNCQQNMSPAKDLKQIRVFSQQLRRQSGLAIRRECCDVSQTSSETVMEINIRKCKDDELIAMHW >Ma06_p20290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14350406:14355065:1 gene:Ma06_g20290 transcript:Ma06_t20290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLLLRTISSFSPLRVLLLLSAVLILSFLLFFPKPLLRPPDGAATPRPPAPTPTSPRHLLFGIASSSRSWPRRKPYLRIWWRPGLMQGAAFLDSPSAPASGDDDDSRLPPARVSADSSNLPYAYKGGLRSAVRVARIVKELVDGVVGNGSSPDARSDDIRWVVLGDDDTLFIPENLAGTLARYDWEQWYYVGAGSESVEQNAKHSFSMAFGGGGFAISYPLAKALARVLDSCLVRYAHLYGSDDRVFSCLAELGVGLTHEPGFHQFDLRGDIFGILSAHPLSPLISLHHIDRVEPIFPGMTHVAALDHLFKAVNIDPGRILQQTICYDSTKLLTVSVSWGYVVQVFEGNQLLVDLLSLPQTFTPWRRGRNSSLIPYMLNTRELNKDPCKRPDIFFFESVALDKEKIQSNYTRHAFGNCQQNMSPAKDLKQIRVFSQQLRRQSGLAIRRECCDVSQTSSETVMEINIRKCKDDELIAMHW >Ma02_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6556036:6556816:1 gene:Ma02_g00800 transcript:Ma02_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDILFLKREREREREREREREREREDDDDDGVNELFRYS >Ma11_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15546677:15548228:1 gene:Ma11_g11950 transcript:Ma11_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFAQGLDCLLPSFLSMDADPSLELMSQLAELNGSAMENPSMGVMGYSSDHHFSLLPFTDDLFSFLSIPEPEHCSGDRKRKTMAAPHTSSGSHSELFSEDGTAEAKTKKKKKKNFQGGKSNSKQVEKPEEVVHVRARRGEATDSHSLAERERRKKINERMRRLQNQIPGCHKTMGMARMLDQTISYVRSLQNQVEFLSMELSAASYLSDFGLGVDAIATAQAEKAHEGGEAGRLLRKRHGDCNGFHMPF >Ma01_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12362277:12373314:-1 gene:Ma01_g16900 transcript:Ma01_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSRGAQCLPPLVSWIVTAILLVSPAAHAGAGSDAAVKFLKVPSAFSSSSSATFQFEVTEGGNGGSCRNCSVSCKLDNYSSSTCELKEVTYSGLLDGKHMFEVCVSGFRRVRCASYNWTVDTVSPTAHISVPSPFTNALNVSVNVTFSEPCTGGGGFRCSSSNCNLLVYGAGHVLPSTLKVLLPDREFSLMVGISADVQFGRLVLVMDKGFCTDNAGNTFKRTSNSSFILHFDRRSVFMNMTTHITQRLLQLNGEIRTAEATNTAKDLRIYLSFSEPVLNSSEEILGLLHASSGLLVPTNRNNLGNRRFGYIVHNISSMTVVTITCDANKIISRQGTPISLSDPITFLYDNQRPSVRLSTTFHMRTKHKIPVLIKFMKPVFDFNSSAILISGGSMQSFHEVAKSTYVIEVNANSSLISVEVPENKTTDIAGNKNLPSNILRVKNYSRPTISSLVSIVATVALAMTSVVAALLTVSTSSLLSSGTISRQKSHLVSEPSRNLVRILCHIQVFALCRWLVVTMPIEYYEFSRGIEWTIPYIRLPWETGSNSTFEDSTLTFETYSELWETSKLTAFKSSLVTNQIPELNPFEHGKPLTPGEYMLFLENQNMKPEAEFIMISRNSDSRWKYFGRNMFWLAVLGGGLIFLHVAILCILKLRKKNPEKQKEFGALVFPRFEIFLLLLALPCICQASAAIIKGRTSAGVVIGIIPLGIATSFLISLLLFLSLGITMGKLLQYKEVHQEGQEFHWYQEFVRVTLGPGKRGQWTWKDKQNSVNLTKLGPLFEDLRGPPKYMLTQIAGGSNQAKHEDQIIASDDETEDAEAPFIQKLFGILRIYYTLLESVKRVSLGILAGAYSSNKLSRIPVLIVLSITSFQIFFLVLKKPFIKKKVQLVEIISVASEVGLFGACLALLENHFSDANERKIGLFMLAMFIIMLTAQLVNEWYALYRQVIRLSLTRVSFSSGLKRALGGLVLIVRPTCLSTELSEQLSSSHGEGDSGSTVSPVSRIQRASDTSERSWLRQLRELARASFSREDAGPPVDPSSSTRQRSGLWSGKRSGSSSVTSSADFKSKGDLKAKSRGLYKDLEAIFSAK >Ma10_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28124902:28130306:-1 gene:Ma10_g16400 transcript:Ma10_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44050) UniProtKB/Swiss-Prot;Acc:O80575] MASFAATELGRLFPSLLATPRNPRPVPSPLGRSPETLVSFSSSIRAAPAALELCARNGRAPVVAAGTKHLIGSLTKTEGLKFAVVVARFNEIITNLLLEGALETFQRYSVDDDDITVVKVPGSFEVPVVAQRLGKSGKFDAILCIGAVIRGDTSHYDAVANSAASGVLNAGLSSGVPCIFGVLTCDDMDQALNRAGGKSGNKGAEAALTAIEMASLFKHHLLK >Ma08_p33290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43655806:43662270:1 gene:Ma08_g33290 transcript:Ma08_t33290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFMTHHPKLALPTASWFTMAIRSPALSLLLAACLLLALAGRLRAEPCIAVYWGQNGFEGGLREACATGYYKYVLIAFLNQFGNGRIPQMNLAGHCDPNNGGCTFLSSDIISCQQDYNVKVMLSLGGGIGSYRLASKEDAREVAHYIYNSFLGGSSSNRPLGNAVLDGVDFDIEGGSRNHWDDLARYLKAYSTTEQKVHLSAAPQCPMPDYFLQPAIDTGLFDYLWVQFYNNYCQYSSGNAVTFAQIWNQWVSANVSKVFLGLPASPQAAGSGFVTPDELIDSVLPIVKRSEKYGGIMLWSRYHDVIYGFSPQVKNHVCPDRMASLLSMTVRPFIKV >Ma08_p33290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43655806:43662270:1 gene:Ma08_g33290 transcript:Ma08_t33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFMTHHPKLALPTASWFTMAIRSPALSLLLAACLLLALAGRLRAEPCIAVYWGQNGFEGGLREACATGYYKYVLIAFLNQFGNGRIPQMNLAGHCDPNNGGCTFLSSDIISCQQDYNVKVMLSLGGGIGSYRLASKEDAREVAHYIYNSFLGGSSSNRPLGNAVLDGVDFDIEGGSRNHWDDLARYLKAYSTTEQKVHLSAAPQCPMPDYFLQPAIDTGLFDYLWVQFYNNYCQYSSGNAVTFAQIWNQWVSANVSKVFLGLPASPQAAGSGFVTPDELIDSVLPIVKRSEKYGGIMLWSRYHDVIYGFSPQVKNHVCPDRMASLLSMTVRPFIKV >Ma06_p36470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35868472:35870468:-1 gene:Ma06_g36470 transcript:Ma06_t36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFRSDMGTDPYDSVGDDRWIIASASDRGSSRGEIDSLLHGAGYRVRSTDLRHVAIGLEQLESTMVGDQCVASSAEAIHYNPSDLTAWVDSMLSELAPDPHLLRHPLSTAAANTWADPTQQRPSLHRLENHHQHRNVPAVSLQSMDEEEDAAIRLVHLLVSCADSVQRGDSEMAGSLLDQTRLALARVNTGFGIGKVAGYFVDALCRRLYPPPPSATGGGGSAANLEILYHHFYEACPYIKFAHFTANQAILEAFQGHDRVHVVDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGAPSPDGRDALREVGLRLAELARSVQVRFAFRGVAATRLEHVRPWMFQVAPGEAVAVNTVLQLHRLLGDRASPEGGDAAAAEPIDTVLEWIVGLKPKIVTVVEQEADHNKPSFLDRFTEALFYYSTMFDSLEGGRVGGSHRGGQQQQQTVVAAVAEAYLQREMCNIVCCEGAARVERHEPLARWRDRLGRAGLRAVHLGSNAFKQASMLLTLFSGEGYCVEEVAGCLTLGWHSRPLISASAWRADDADDASPPRDHQTLVLQDHSSSITSGSNNVVDGDDHLHQHSVMRSHMGSSDRINDTGSGSSCSRV >Ma03_p31220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33512628:33513246:-1 gene:Ma03_g31220 transcript:Ma03_t31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREEADVSSDCSSGCQSGWTDYLDKSCGECPQPLVCGKGGSFEEEEEDLSMVSDASSGPPHFPEEDEHSCGYLRSSTCFHAGGCLCSALTPAAGLAAGGAKKRRVEPEQQRQRSSLLDDTASSPLFSFSKACYIPDPYSPSSDFNPLLSFNEAISEFSCGFKRNLQLEKQMGHLQSSVPETS >Ma03_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15232766:15234453:1 gene:Ma03_g15420 transcript:Ma03_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLATHSLLHLHLTNPFLLLLSLLLPVLLLFLRRQRTAASSTKLPLPPSPSRIPILGNLHQLGSLPHRTLRAMAARHGPIMLLQLGQVPALVVSSVDMAREVMKEQDHIFASRPSLKVPNMLLRDGRDVAFAPYGNYWRQVKKVSLLHLLSAKMVRSFRTVRREEVARMTDEISRSSSSGPVDVTGALKSLANHIISRITLGSSSKQESWDRHIVDLLGEASTLTGAFHAGDYFPSLPWLSRLSGLEERVKRVLDVIDPILDEIIEKHSRRSREDERETDFVDILLSLQEDPEMKRFISNETIKAIVTDMYAAGTDSTHIVIEWAVAELIRNPGTMKKLQDEVRSVAGSKHTLEEDDLVNGMDYLRAVIKESMRLHPPGTLLVPRELIEETTIAGYRIPKGTRTFVNVWAIGRDPNIWEAPDDFRPERFLGSSVDYRGQHFELAPFGAGRRMCPGIGFSVTIIELALANLMLQFDWKLPDGMKKEDMDMIETFGVTTRIKSGLRLVATPRF >Ma04_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6067103:6067970:-1 gene:Ma04_g08490 transcript:Ma04_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPRTLVAIMVLAFAIVLPAVQAQAPAPSPTSDGTSIDQGIAYLLMLVALVLTYLIHPSDAFSPHELF >Ma05_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11411412:11412849:1 gene:Ma05_g15340 transcript:Ma05_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative expansin-B2 [Source:Projected from Arabidopsis thaliana (AT1G65680) UniProtKB/Swiss-Prot;Acc:Q9SHY6] MPFRHTETKQKSAIVVPSNNNNPTPEVSLTLNLTRTNATLQAICYINLSLRLLRSSDDGPHFTSSVFPLSSLFLHGFDIFPLSRWPLHPKLSNFSTTSTGWSSAGATWHGGANGTESDGGACGYGAAVHLPPFSPVIAAGNGSTFTSGKGCFQHLFHYVKCTGNPARSGNPVTVVMTDECPGSACLNEPRPFRHERDCIWSHVTARANISATRRRTSPSTVHTSGVRSPWDQRGLPCGSGRRMDRHAAVVGCGLEVEIGLGVAGAFLDSPDVRRFRQGPHGRMTYRSLVNCKA >Ma02_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23682246:23686825:1 gene:Ma02_g16220 transcript:Ma02_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPREGSWGSRVESCPRMKRFRRPRRCPAGLVGGWSSEAIRLTGFCTAGDGTKRLRTHLWHAKRFTMVKHWGFYLPLGLHGRGQGSRAVLKWFKYGAHLHDASYCLPIQIEGLHIGCVENGFVPIALCTPSTAPEKLSNQVAHGVYYGKAMLYHIETSVIYMWQPFLRDSDHVNAEKDGVSNSSGSTHKDECSLPIRKLWIWIHAASFNEGFGVIDNACQKQMHECGVYVRCFTLEGKIARLEVMGSKAIKIIKKILHPISHLTPCSNSQVQKSILLCHAEKLPSYAILSLKVHDPRDLPSSRTEVTDNELSTILEGYFQGEDSLWSRPETNGIFLSDSISLWDCSNNLNPPVPESIICKEKHDRRLKDFYLEPSTHAGAQLNFCMGWSIILPLSWVKTFWTLLVSHGCHAIDLRERRWVASNHTHLSWLMKLLHWILHLSSILLR >Ma03_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15048615:15052105:1 gene:Ma03_g15250 transcript:Ma03_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGYLCLRCDVEFVASSLLASPAVISGSEFVPCFVQTIAKSNRSDRSCTPRGSEQWECLGWLEVTKDDGIAVPSRQ >Ma03_p15650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16575440:16581992:1 gene:Ma03_g15650 transcript:Ma03_t15650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRFRYPLSLPLSSLSSSSPAHGCRRFRCAAGNQALFSVDATKLVLEVKEKLEREHPNLPVGKNGRDDEDMILWFLKDRKFSVEEAVMKLTKAIKWHEDFGVSDLCEESVKSVYGTGKAYVHDFLDNNGRPVLVVVASKHFPAVGNTRKQEPIEDEKLCVYLIETALNKLPPGTEEILGIFDLRGFRVENGDVQFLKFLMDVFYYYYPRRLGQVLFVDAPFVFQPIWQLVKPLMRSYASLVRFCDMETVRKEYFTESTVPTDFKD >Ma03_p15650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16575440:16581992:1 gene:Ma03_g15650 transcript:Ma03_t15650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRFRYPLSLPLSSLSSSSPAHGCRRFRCAAGNQALFSVDATKLVLEVKEKLEREHPNLPVGKNGRDDEDMILWFLKDRKFSVEEAVMKLTKAIKWHEDFGVSDLCEESVKSVYGTGKAYVHDFLDNNGRPVLVVVASKHFPAMDVFYYYYPRRLGQVLFVDAPFVFQPIWQLVKPLMRSYASLVRFCDMETVRKEYFTESTVPTDFKD >Ma03_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16575440:16581992:1 gene:Ma03_g15650 transcript:Ma03_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRFRYPLSLPLSSLSSSSPAHGCRRFRCAAGNQALFSVDATKLVLEVKEKLEREHPNLPVGKNGRDDEDMILWFLKDRKFSVEEAVMKLTKAIKWHEDFGVSDLCEESVKSVYGTGKAYVHDFLDNNGRPVLVVVASKHFPAKQEPIEDEKLCVYLIETALNKLPPGTEEILGIFDLRGFRVENGDVQFLKFLMDVFYYYYPRRLGQVLFVDAPFVFQPIWQLVKPLMRSYASLVRFCDMETVRKEYFTESTVPTDFKD >Ma02_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15907679:15908105:-1 gene:Ma02_g04500 transcript:Ma02_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVSTPNVSVVDLTCGLASYDGVKAAIKCASEGSPKGILGFTDEDDVSNDFIGDSG >Ma06_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8353943:8354565:-1 gene:Ma06_g12000 transcript:Ma06_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRFLLSSTVRRFSSSALSGGAAAESRSQKLERIADVLLSLTKEERKDYSTLFRLKLGLNHLVQAGGSVPWAGAGPGAEAAKPAEEKEKTAFDIKLEKFDAAAKIKVIKEVRTFTDLGLKEAKELVEKAPVVLKKGVTKEEAEAIAAKLKEVGATVALQ >Ma09_p22080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34083034:34089569:1 gene:Ma09_g22080 transcript:Ma09_t22080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFFMMSNNQCDTTPDLCLKDQGHPPYSESSVPADMMYLSFSNSGAYADASAGSTQTQQNHNELPVASTIISQGLTAGNSDILNSYPGDHAYNAWKDGRNEMLFMQTIDGSINVMEDQQHGDDPRMSLRSQLGIINRQSLSLQQSSVSAMQNQGLSLSLSTQMPVPSIQYQPNSSDISYIGSHQSTSGNVRPFREEGFQNKSVHGNISPYGLSSLASSISNSKYLRAAQELLDEVINVRKALRQKAEKNQCLYTSAGSMTCKDATGGSNGEGMTPNPQDASANSSSELSPSERQDLQNKVSNLLGMLDEVDRRYKQYHNQMQIIVSCFDAIAGCGAAKPYTALALQTISRHFRCLRDAISGQIRATRKSLGEPDSSSKSVGLSRLRYIDQQLRQQRAMQQFGMMQQHAWRPQRGLPESSVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEEFGDVEIDSNSSSENPPKLKEDIQSSEDHEDLQNHATGRCQTSQMSDSSRPNIIPAMDVDESAAGFQNGTATQDSFMNLKANDQRSIGQDASFLQDALAHPDGNGRFLAYQMAELGCYGNGGVSLTLGLQHCDVGLPISDNQQSLLAMRGNDGYSAAVPAGADTADYDYANMGDQRHRFGSAHLLHDFVA >Ma09_p22080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34083034:34089569:1 gene:Ma09_g22080 transcript:Ma09_t22080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFFMMSNNQCDTTPDLCLKDQGHPPYSESSVPADMMYLSFSNSGAYADASAGSTQTQQNHNELPVASTIISQGLTAGNSDILNSYPGDHAYNAWKDGRNEMLFMQTIDGSINVMEDQQHGDDPRMSLRSQLGIINRQSLSLQQSSVSAMQNQGLSLSLSTQMPVPSIQYQPNSSDISYIGSHQSTSGNVRPFREEGFQNKSVHGNISPYGLSSLASSISNSKYLRAAQELLDEVINVRKALRQKAEKNQCLYTSAGSMTCKDATGGSNGEGMTPNPQDASANSSSELSPSERQDLQNKVSNLLGMLDEVDRRYKQYHNQMQIIVSCFDAIAGCGAAKPYTALALQTISRHFRCLRDAISGQIRATRKSLGEPDSSSKSVGLSRLRYIDQQLRQQRAMQQFGMMQQHAWRPQRGLPESSVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEEFGDVEIDSNSSSENPPKLKEDIQSSEDHEDLQNHATGRCQTSQMSDSSRPNIIPAMDVDESAAGFQNGTATQDSFMNLKANDQRSIGQDASFLQDALAHPDGNGRFLAYQMAELGCYGNGGVSLTLGLQHCDVGLPISDNQQSLLAMRGNDGYSAAVPAGADTADYDYANMGDQRHRFGSAHLLHDFVA >Ma09_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34083395:34089569:1 gene:Ma09_g22080 transcript:Ma09_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFFMMSNNQCDTTPDLCLKDQGHPPYSESSVPADMMYLSFSNSGAYADASAGSTQTQQNHNELPVASTIISQGLTAGNSDILNSYPGDHAYNAWKDGRNEMLFMQTIDGSINVMEDQQHGDDPRMSLRSQLGIINRQSLSLQQSSVSAMQNQGLSLSLSTQMPVPSIQYQPNSSDISYIGSHQSTSGNVRPFREEGFQNKSVHGNISPYGLSSLASSISNSKYLRAAQELLDEVINVRKALRQKAEKNQCLYTSAGSMTCKDATGGSNGEGMTPNPQDASANSSSELSPSERQDLQNKVSNLLGMLDEVDRRYKQYHNQMQIIVSCFDAIAGCGAAKPYTALALQTISRHFRCLRDAISGQIRATRKSLGEPDSSSKSVGLSRLRYIDQQLRQQRAMQQFGMMQQHAWRPQRGLPESSVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEEFGDVEIDSNSSSENPPKLKEDIQSSEDHEDLQNHATGRCQTSQMSDSSRPNIIPAMDVDESAAGFQNGTATQDSFMNLKANDQRSIGQDASFLQDALAHPDGNGRFLAYQMAELGCYGNGGVSLTLGLQHCDVGLPISDNQQSLLAMRGNDGYSAAVPAGADTADYDYANMGDQRHRFGSAHLLHDFVA >Ma09_p22080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34083398:34089569:1 gene:Ma09_g22080 transcript:Ma09_t22080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFFMMSNNQCDTTPDLCLKDQGHPPYSESSVPADMMYLSFSNSGAYADASAGSTQTQQNHNELPVASTIISQGLTAGNSDILNSYPGDHAYNAWKDGRNEMLFMQTIDGSINVMEDQQHGDDPRMSLRSQLGIINRQSLSLQQSSVSAMQNQGLSLSLSTQMPVPSIQYQPNSSDISYIGSHQSTSGNVRPFREEGFQNKSVHGNISPYGLSSLASSISNSKYLRAAQELLDEVINVRKALRQKAEKNQCLYTSAGSMTCKDATGGSNGEGMTPNPQDASANSSSELSPSERQDLQNKVSNLLGMLDEVDRRYKQYHNQMQIIVSCFDAIAGCGAAKPYTALALQTISRHFRCLRDAISGQIRATRKSLGEPDSSSKSVGLSRLRYIDQQLRQQRAMQQFGMMQQHAWRPQRGLPESSVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEEFGDVEIDSNSSSENPPKLKEDIQSSEDHEDLQNHATGRCQTSQMSDSSRPNIIPAMDVDESAAGFQNGTATQDSFMNLKANDQRSIGQDASFLQDALAHPDGNGRFLAYQMAELGCYGNGGVSLTLGLQHCDVGLPISDNQQSLLAMRGNDGYSAAVPAGADTADYDYANMGDQRHRFGSAHLLHDFVA >Ma09_p22080.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34083034:34089569:1 gene:Ma09_g22080 transcript:Ma09_t22080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFFMMSNNQCDTTPDLCLKDQGHPPYSESSVPADMMYLSFSNSGAYADASAGSTQTQQNHNELPVASTIISQGLTAGNSDILNSYPGDHAYNAWKDGRNEMLFMQTIDGSINVMEDQQHGDDPRMSLRSQLGIINRQSLSLQQSSVSAMQNQGLSLSLSTQMPVPSIQYQPNSSDISYIGSHQSTSGNVRPFREEGFQNKSVHGNISPYGLSSLASSISNSKYLRAAQELLDEVINVRKALRQKAEKNQCLYTSAGSMTCKDATGGSNGEGMTPNPQDASANSSSELSPSERQDLQNKVSNLLGMLDEVDRRYKQYHNQMQIIVSCFDAIAGCGAAKPYTALALQTISRHFRCLRDAISGQIRATRKSLGEPDSSSKSVGLSRLRYIDQQLRQQRAMQQFGMMQQHAWRPQRGLPESSVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQVSNWFINARVRLWKPMIEDMYKEEFGDVEIDSNSSSENPPKLKEDIQSSEDHEDLQNHATGRCQTSQMSDSSRPNIIPAMDVDESAAGFQNGTATQDSFMNLKANDQRSIGQDASFLQDALAHPDGNGRFLAYQMAELGCYGNGGVSLTLGLQHCDVGLPISDNQQSLLAMRGNDGYSAAVPAGADTADYDYANMGDQRHRFGSAHLLHDFVA >Ma09_p30050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40372585:40373157:1 gene:Ma09_g30050 transcript:Ma09_t30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRESMRASRLLETSERGGRENRSERSSMLPECGDEGSEDKESEGDEGNAQQGLALVTLIPFLAVELLRVLLRNGAAVAPKIRSDCVSRPSGRLAEVSRTELPRSPSSFSSLSVGVSYGFFLTFH >Ma06_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12969647:12970741:1 gene:Ma06_g18940 transcript:Ma06_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMLGSTSILPRRHTTTPVSLFDWGKRRKAEEKPPHKYHDIDLPCSRSLVANTHLRGRELKCCYRASIDGFSAVDFHRCCDFKGPCVVVGYTTTSFKFGAFNPEGYRSTDDYYDTFDAFLFYWKEAGGSAAADDPVILPKIGGSGAAIFDYARGGPQFGADGLLIGPPLTPVMGVFTGPDASSGVGDLRQAKSRLGLSYAKREDGKESVFGDVSKATLVEVQVFCCPQIASLY >Ma06_p35660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35434300:35435561:1 gene:Ma06_g35660 transcript:Ma06_t35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCYARKHKKLGLPAKVLENVPTRLSYLELMKATDDFSSENLIGVGSYGSVYRGVLGDGKTLVAIKVLNLVQRGAFKAFVAECEALRSIRHRNLVKILTTCSSVDLRGNEFRAIVFDFMPNGSLESWLHPDTDRNLYSKRLGLLRRLDIAIDVAAAVSYLHDHCETPIIHCDLKPSNVLLDGNMTARVGDFGLARFLSNGTDRYLSSSVAMKGSIGYMAPEYGMGRQVSTHADVYSYGVLLLELFTGRRPTDDMFKDGLTLQKHVEGAFTKGARVTGIADPSLFSDEEEGEDTSVLRTGSQASERITRCLESVLMVGLCCAKESPRERITIKDAVTRIETIKSLLLTAKM >Ma04_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11295202:11296529:-1 gene:Ma04_g14910 transcript:Ma04_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSMSSSWTAKENKMFEKALAVYDRDTPDRWHKIARAIGGKTADEVKRYYDLLVEDVRRIEAGQMPYANYRSSNGRG >Ma10_p10620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24471386:24477880:1 gene:Ma10_g10620 transcript:Ma10_t10620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLIRSCIDSIRQISDQLADSILYLDAGCLEAFHFIGAFPVLLELGVHAVCSLENASPLDMTTSWNSMFVGPTRKVVIITSRLLSDAHRYILRCLGTNRTVLRCIIFTSISEIAHSAYIDSPLGPDAFNEYESLLIQDYEELLKKSGKRGLHFHQEREAEASENDGWADLASGASYQSVEASSKGDIYADDLVNVTGKQESKKLLVSVHHFPMILCPLSPRVFVLPSEGTVAEACLSNDSDDSVSPGLPSISTGLPSDGEDVPPGATLTANFLYHLAAKMDLKMEIFSLGDTSRLIGKMLMDMSSLYDVGRRNKRSAGLLLIDRTLDLLTPCCHGDSFLDRLFCSLPRRERISSSLPAKSSPAPKLGTHIKVQRAPLDIRIPFGTIFSTDEHATNSTQLSEGIGAFISGWNAGAIVNESSDHADKIITSALDNGYSLLSGSFQSNCAGANYLETLLDRRAKDGAILIKKWLLETLQHENINVNLKGRPGLLSASEIHAMLKRLAQNQMSLIQNKGIIQLVLAAVLALSEPHNSHWDAFVNAEKILSITSLDTSQSLSSQIRDFINTSTSVRSNEQDRRVRPSQNLLSFQDALLLSMIGYILAGESFPTSASSGPFSWEEEHSLKEAIVDAVTENPSSAKLQFLHGLCNELEAKLNEQEDSAKPPKVDAFDDQWGSWDDEEADDQNEQAYGDMQLKLELRDRVDQLFKFFNKLSSLKRRNLTLREGLVASSKYGSDADTRKGLLYKLLTTLLAKYDVPGLEYHSSAVGRFLKSGFGRFGLGQAKPSFGDQSVLLVFVVGGINNLEIREAMEAVAEYGRPDIELIVGGTTLLTPSDMFDLLLGSSSYI >Ma10_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24471386:24477880:1 gene:Ma10_g10620 transcript:Ma10_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLIRSCIDSIRQISDQLADSILYLDAGCLEAFHFIGAFPVLLELGVHAVCSLENASPLDMTTSWNSMFVGPTRKVVIITSRLLSDAHRYILRCLGTNRTVLRCIIFTSISEIAHSAYIDSPLGPDAFNEYESLLIQDYEELLKKSGKRGLHFHQEREAEASENDGKQESKKLLVSVHHFPMILCPLSPRVFVLPSEGTVAEACLSNDSDDSVSPGLPSISTGLPSDGEDVPPGATLTANFLYHLAAKMDLKMEIFSLGDTSRLIGKMLMDMSSLYDVGRRNKRSAGLLLIDRTLDLLTPCCHGDSFLDRLFCSLPRRERISSSLPAKSSPAPKLGTHIKVQRAPLDIRIPFGTIFSTDEHATNSTQLSEGIGAFISGWNAGAIVNESSDHADKIITSALDNGYSLLSGSFQSNCAGANYLETLLDRRAKDGAILIKKWLLETLQHENINVNLKGRPGLLSASEIHAMLKRLAQNQMSLIQNKGIIQLVLAAVLALSEPHNSHWDAFVNAEKILSITSLDTSQSLSSQIRDFINTSTSVRSNEQDRRVRPSQNLLSFQDALLLSMIGYILAGESFPTSASSGPFSWEEEHSLKEAIVDAVTENPSSAKLQFLHGLCNELEAKLNEQEDSAKPPKVDAFDDQWGSWDDEEADDQNEQAYGDMQLKLELRDRVDQLFKFFNKLSSLKRRNLTLREGLVASSKYGSDADTRKGLLYKLLTTLLAKYDVPGLEYHSSAVGRFLKSGFGRFGLGQAKPSFGDQSVLLVFVVGGINNLEIREAMEAVAEYGRPDIELIVGGTTLLTPSDMFDLLLGSSSYI >Ma03_p32640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34357991:34369576:-1 gene:Ma03_g32640 transcript:Ma03_t32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDVEPGNDRRIPPREARATLLRRNHGFFLYLRGGRRMARRSHLTEIGCIACDELAELGAGDREGWLDDPSLLAALHSYSLAVASAARPLVLVLGWDPDRRSPSSPRHPVKIHPSLSPSDGRITALEWLPFGDLLALALGTSSGLLLVYSVGGDLIHKQFVHPGRVLRLRFRETESKGGFAQDSVSEELCVVLPGVVARFDGTDIQSLLRRWLQEAGSRMWENSRHKLESEDEISYGNIPFQLWNVGKFGNCSDAAITGVKPPPLLELQSSQRYYGAVTVGDNSVISAYRLSEDRSRSLVGTILSKVVPVTFSTIASFSKLIWRSEQSATRKSHPTSQPFAKASPLTCLKDPPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFVEMLVNRDKASSSSRCYEYTKSDYCLCLAIHAPRKEIIEIWKMRTGPRLLTIQCPKGSKILQPSTRFVSSSQTPYIPLEVFILNGDSGQLSVLNRCVV >Ma04_p35680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34344710:34345178:1 gene:Ma04_g35680 transcript:Ma04_t35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHVRAADATIGAGRKRKDRDQSDFPRAESPAWSDRSRPAPARDNRLLAGCLAHEFLTRGTLLGKRWEANGSEPNNKFAGPILSGSGAEPPSSYAELSYLLKAEGARFPGVVNPSQLARWIRM >Ma07_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:227384:230644:1 gene:Ma07_g00230 transcript:Ma07_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAARTQFPESTARAIRPSKTTTTPRRAHRRRRIKNPSFPGGRRSRPATPLPTWKLGDADRSRRQEEDEDVRGSAAAFSARTLAAALWHSQVVEVGSGGRLGFEPDPRHVNFPNVCDHDRTALHTSISNEFASPSSTSRSKTTIKKHEFSGMFTNFALERTTKWEAGCSGSAEGIYHTFGHQKLLKDWLNTASVVSVLQAELEQAHCHINELEKERESAKEKLDHFLKKLGEEKASWQINEHEKVRNIITSIKDDLNRERKSRKRMEILNSKLVNELAEAKLSAKQYLQNYEKERKARELIEEVCDELAKEIGDDKAKVESLKRESTKIREEVDEERKMLQMAEVWREERVQMKLVDAKLMLEEKYAELIKLQSDLDSFLKSCDITNANASSLKEAEVLNVVASSMKFQDVEFLYQPPASGDFLVSDELKSRDEANERGIEKCYGYSPKSHASRIHTVSPETDIFLESCVSHAEGQGSCNSPKGSDPSANGIHEESHASVSRTDWNLKSDASNLKSETSEICSGTTKQSRKKVPYIGKFWRSSCTSNSYNKKTPLDISNGKLPNGGLLNAALSPDMISGEAGLSSPSVEQGSSSNSINPHVGRGTKGYVEWSRDTKNHSLKTKLLGMRMENQKVQLRHVLEHKS >Ma04_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19831827:19833593:-1 gene:Ma04_g18170 transcript:Ma04_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVSVVHVLAAMVGVSSGQGLVPGVIIFGDSVVDAGNNNHLSTLVRANFPPYGRDFAQHSPTGRFCNGKLATDFTVENLGFTSYPPAYLSQDATGNNLLHGANFASAASGYLDSTANLYQAISLTQQLQYYKEYQSKVERVAGKPKAAALFAGAIYLLSAGSSDYVQNYYINPLLSGSYTPDQFSDLLLQSFTTFVQNLYNMGGRRVGVTSLPPMGCLPAAVTLFGGGSNGCVARLNKDAVAFNKKMNAAAQALKKSHPELKLVVFDIYNPLLDLIDHPGKNGFFEARKACCGTGTIETSLLCNAGSPGTCSNATGYVFWDSFHPSEAANKVLADALLLQGIDLIS >Ma07_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1004475:1007978:1 gene:Ma07_g01300 transcript:Ma07_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQLPSIVRVTRPTRPDRARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYYEIILLDPSHSAIRNDPRINWICKGVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNQTLSLRRYR >Ma00_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30200583:30200702:-1 gene:Ma00_g03620 transcript:Ma00_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNACLRSLLRKYDYDMYGSGGWSTLNLLLSPFTYCSIS >Ma01_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12509248:12512592:1 gene:Ma01_g17090 transcript:Ma01_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVLRSFTSSCSVAFGTRRFLPHLFGAATCRFFARSMAADSATASGPFRKVQIQRDDTTFDAYVAGKDNAPGIVVLQEWWGVDFEIKNHALHIAQMNPGYRTLIPDLYRGKLGLDAAEAQHLMEGLDWQGAVKDIRASVNWLKSTGSSKVGVTGYCMGGALSIASGVLVPEADAVVAFYGSPPPQLADPSLAKAPIQAHFGELDNIVGFSDISAAKALEEKLKSSGVPYEVYIYPGCAHAFMNASPDGIKRRKEMGMADGDPAAVELAWSRFSSWMGQYLLSP >Ma11_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22252118:22253302:1 gene:Ma11_g16810 transcript:Ma11_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRTMEVTMISANDLNDVNIFSKMDVYAVVSIAGEPRSSQRTPTDKNCGKNPSWNVTLRFSVPADPDAAARLVLHVLLRSERALGDRDVGEVHVPVKELQPPSSSSAPQFVSYQVRKPSSGKPKGVLNLSFRFLDSPAADHAAAAPTVAYPPAGFPAPGAESKPADSVTAYPPPGNDSKVGEPVTAYPYPGTSNSTLPPPAKDSKTGEPATAYPPPGPSGPYPPPGGYPPYPPPQQYGYAPPPAGYGYPPPQYGYAPPPGGYGYPPPPAGYGYSAVPPAKPPKKNKFGAGLGAGLLGGALGGLLIGDMVSDAAAYDSGYDAGFDDGGGFDF >Ma01_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13252277:13265826:-1 gene:Ma01_g17960 transcript:Ma01_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLSQLESRYLDACHKLEVLPNSSILSSLSKVKHQKAHFEQCHLEILIDHLTSSDIPPLIDTLSEMSLSDINAVDLCQKSSNVLLDGESLLLLLSAVNQKLRVVDLINSSFWKDALRDICQRGTTCQILNLRFSSIRKLNMIGKFMQLHTLNLDFSVHLTSFHISCFSCMPKLMRLSMCETRVANLWMTSAALSKLCSLAELRFQNCLCCYDTGPCPASGGVIRPGNEKFCSSKQQFSSYYGSQSMANENILEQTMPHYSQDTLDGLCLENLLSVNHSLLGSTSEELSEESDPEFSSDIQGIDNMRKISDTFTEFDGQANSNIFLRPSELSTPSSSSVPCTTPISEIMDASGSLNNDNSFTGQATLGEFCCQPNHSDNILFDDIFFPKDEGSSSDMLNGGRTTRMSLKNHISSHPSPICFERYYREFIITSLPRLRVLDNLPIGSLERQEAKVIFNRYFECAPYNRQYKDSLINILQRREISSGIFSQKASKVKQPYFRESNHSFLRSLSASKVSSSPRPHLHSISKFRSCSNEETKSFRPRQFEYHPTDPRLMVFGTLDGELVVINHESEKLVGYLPSVGALNSILGLCWLKKHPSKLIAGSDNGSLQMYDVRQMPSKITDRFCTMDASMHTFDDFEQLTSVHVNSSDEYFLASGFSNHVALYDIGSGRRLQVFKDLHKEHINVVKFAHHSPTVFVTASFDKDVKMWDLRQGPSQPCYTAPSSRGNVMVCFSPDDYYLLASAVDNEVKQLLAVDGRLHTSYDIASTGSAQNYTRSYYMNERDYIITGSCEEHVVRICCAQTGRRLKDVYLEGRGSKNSMFIQSLRGDPFRDFHMSILAAYWHPFTKSEIVKVNLLQTEECNEENTSGQCALASSGMGG >Ma07_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28231160:28232250:1 gene:Ma07_g20320 transcript:Ma07_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMFNPDHPSLHDAAMSPRMSFSSDFNMQPPPARTPGPPPDSDFEFSVGSHPMMAADQLFFKGRLLPLKDNHQCGGHRITTLRDELRANGSGERLPKGSIKWRGLLGLRKTAAKKGDKKEDELPGKSPQDL >Ma00_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4594888:4596097:-1 gene:Ma00_g01140 transcript:Ma00_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQYPIGQDPFGLRTIFYENIKFLFFVLSLDESDFLRNVSRENLIWLDNVWLVNKDRFFSKVRNVLSNIQYDSTRSIFVQVRDSSQLKGSSDQSIDHFDSIRNEDSEYPTLIDQTDIQQLKERSILWDPSFLQTERTEIESDRFPKCLFGSSSISIRSFFSDRWSELHLGSNPIERSTRDQKFLKKKQDVSFVPSRRLENKEMVDIFKIIMYLQNTVSIHPISSDPGCDMVPKDEPDMDSSNKI >Ma06_p37730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36713720:36726505:-1 gene:Ma06_g37730 transcript:Ma06_t37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQSVEEFKGRPRLPRFALPRRYDLTIALDLVRSTFSGAVEIAINVVSSTRFLVLNAADLSVDHQSVWFRSQESDRQETKRPSEIVEIDADEILVFGFDDLLPIGEGVLGIRFTGTLNDQMKGFYRSTYEYNGEKRNMAVTQFEPADARRCFPCWDEPALKATFKIALEVPSDLIALSNMPVVNEKADGPIKTVSFQESPIMSTYLVAIVVGLFDYVEAPLPDGIKVRVYTQVGRSNQGKFALDVAVKALELYIKYFSVPYPLPKLDMVAIPDFAAGAMENYGLVTYREIALLYDELHSSASVKQSVAITVTHELAHQWFGNLVTMEWWTDLWLNEGFATWMSYLATDSLFPEWSIWTQFHGQTTSGLRLDALAESHPIEVDVNHANEINEIFDSISYSKGASVIQMLQSYLGASSFQKALALYIKRYAYSNAKTEDLWAVLEEESGEPVKNMMSSWTKQKGYPAVYVKIKRHELEMNQSQFLSDGTLGDGQWIVPLTLCFGSYDVQKKLLLKTKVDKLDIMELLGLQEGKAGLSEESSQENAAHNWIKFNVNQTGFYRVHYDNELAARLKFAIDANQLTGTDRFGILEDSFALCVACKQTLSSLLSVLSAYREETDHIVLSHIVKVSYKIVNLVADATPELSDDIKLFFINLLQFPSEKLGWDARKGESHLDIMLRGELLAALAQFGHEKTINEAIRRFHIFLNDRITSVLPPDTRKAAYVAVMKSVSISNKSAYESLLEIYRQTDESEEKVRILSCLTSCPDPDIILESLNFLLSSEVRNQDAVYGLGVSREGHETAWRWFKENWDLIEKTWPSGFLLSSFIVAIVTQFGTAEKAAEVEEFFVSRSKPAFARTLNQSLERVRINARWIEYTRKEASLGEVMKELAGRGT >Ma03_p26880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30448136:30454213:1 gene:Ma03_g26880 transcript:Ma03_t26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQGFVTKLGHLLAFLPIFLLLLLLGILKAAFIAPIVFVTVLVGNTALIVGLYPVHAVWTCYCIARTKKFGSLFKVLILLLMPLPILLWPFASMIGTILTGLGFGIALPLMATFEAVRERVPNKFSRCFTAGTWSSIKGGFTIVRDFKDICFHSYFSIMDGLVEATGETIMDMRISQVPGCLLAGILGVLIDVPMISLIVLYKVPVMLFKGWKQLIQDLIGRSGPFLESVCVPFAGLLILLWPVAVELTAVAGILSSFSLGCYAAAVAYQENSTMSGILYVITVISMFDEFTNDFLYMREGSCFPRPKYRKAAISRSPSLPIKRDPIQDDSYPAKRPLIKTASMKVQELKAVVIWDNFFKSCESVGKELVRAGAIHISDLEEWQNSKNKIVNIGLPSYVFLQCCVRSIKSGSVGFVLRDNVEVTSLNRPEGRIFDWLFEPMLVLKEQIKAANLETTEEEYLYKLALYCSDARRFSSWQNGGIAPAEEVKRAQLEGLSRRSQGFSLTISRMPTFRRRFEEVVKALLQEARQVLQRNGHGIEPAL >Ma08_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35272306:35274185:-1 gene:Ma08_g21380 transcript:Ma08_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMADEDYDYLFKVVLIGDSGVGKTNLLSRFARNEFSLESKSTVGVEFATRTIHVDNKLIKAQIWDTAGQERYRAITNAYYRGSAAALVVYDVTRHVTFENAERWLRELRNHTDANLVVMLVGNKADLGHIRAVSVEEAQAFVQRERLFFMETSALDSTNVENAFTRVLTQIHHVVSNKKVLGVGADPAALPGGQTIKLNTEDDDDDVRAVKKTGCC >Ma07_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8163824:8170883:1 gene:Ma07_g11020 transcript:Ma07_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGRTRAARTDMPDETWRKRSRRAGRGDRSCFPRTCRPASRAHAGEASRLEDLRMWPRQQSGTTRAAVKEGKRWLLPCCSQVEVEVEEFDHTSQAQAILYLQFCSNHKGALRGNKVGYAFHYKKKSVIKTEEEEESLLGKIRGRGRRRSVAKAGGSGWVELLLRSKFFGVCEEHKETRKSEENIYCVDCGRRMCPHCVAGPACPHRAHRLLQIRRYVYQDVVRVHDMQKLLDCSRVQPYTVNGAKVVLLNPRTQSKPSKSNAGGSACQLCRRSISELNRYCSIACMADVEGAAAPGPIGCNPSEPFLPTLTAGLEGSQSPSCSFSPQPSDACRVDFHPRITEPPSPKVHRRKGRPRRAPLL >Ma10_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28806241:28811486:-1 gene:Ma10_g17380 transcript:Ma10_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVCLGISCANIFLLARSYLIGLLLWMSPNAVHFCDCCQPGLLLLYDVKISCIGIKYTRICSVTDLAEIT >Ma04_p26410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27870051:27879526:1 gene:Ma04_g26410 transcript:Ma04_t26410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMDNHILYGVPQVENVESFISNLHLSISNGFPHAEPVFSFKTDEGKLVQGILQMLQGHCSSLFYWDEKQQRFFVKDGIYVLHLSYTSLYSILSRFLFAGTCLKQVELYVQKVQSTHVRVPTLNAFANSITSWLKRLRDVALKEEVNYVSSDTGPTATLLGITNSLSSLCAGAEVLLQVVYGAIPVTYLQTSIPASELAVQILNHLFKKLNELCLVQGGKEEAYHMLLFLFTESLLPYLEVLDSWLYDGILDDPFEEMFFYANDGVAIDQPAFWEMSYLLREGRWRKLKSNHGLGTENVSNGKARRKMSDQEPISVSTTAGGREHSDIDDVACPIFLKDMAKAIVSAGKSLQLVRHVQDENILLSYDSKVSGCCKTSKGLEMGPQTSDRQGHPKITRSDMVEDENVNCDYTDEQSIFHFHETNQTRVMGFLTLPEIFLVSMVGLLGDNDQTYKYLRMSSPKIYQICEPFLLKCNMGLGIQDGEHTAPTCGKTWQKFLADVVYRRGHRDINREDYADCKTSFSVSSFDSEGTEKAAELHRENWQDDESVSLLGKTILNSLCPGNPVITVSREFLRKNMSSWNELNISKNYHLPPINDEKLRENIFSDRYLDARMVGDPLSKGALPRLGRTDYAFGFQFDEWEHIRRQDDERTLETLYALPTLLPCLQQESSAVSELLPFQKNSTLASRILKWIQSNKVKDTLQPAVIIQECLAVYIREQVDHVGKHMLLRLMNDWKLLDELGVLRAIYLLGSGDLLQQFLIVTFNKLDRGDIWDDEFELNTMLQESIRNSADGALLSAPDALVVSVTKHGADNEETETGNGSTPRNARNHCFGINALDILKFTYKVSWPLDLIANVEALKKYNQVMGFLLKVKRAKFVLDKARRWMWKGRGSSTHNYKHHLLVEQKLLHFVDAFHQYVMDRVLHSAWSELCNGMASAGSLDEVIEVHDTYLLSIQRQCFVASDKLWALIASRIKTILGLALDFYAIQQTLSTGGAAAAIKARCEMEVDRIEKQFDDCVAFLLRILSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLQTVPSFETAAKPG >Ma04_p26410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27870051:27879525:1 gene:Ma04_g26410 transcript:Ma04_t26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMDNHILYGVPQVENVESFISNLHLSISNGFPHAEPVFSFKTDEGKLVQGILQMLQGHCSSLFYWDEKQQRFFVKDGIYVLHLSYTSLYSILSRFLFAGTCLKQVELYVQKVQSTHVRVPTLNAFANSITSWLKRLRDVALKEEVNYVSSDTGPTATLLGITNSLSSLCAGAEVLLQVVYGAIPVTYLQTSIPASELAVQILNHLFKKLNELCLVQGGKEEAYHMLLFLFTESLLPYLEVLDSWLYDGILDDPFEEMFFYANDGVAIDQPAFWEMSYLLREGRWRKLKSNHGLGTENVSNGKARRKMSDQEPISVSTTAGGREHSDIDDVACPIFLKDMAKAIVSAGKSLQLVRHVQDENILLSYDSKVSGCCKTSKGLEMGPQTSDRQGHPKITRSDMVEDENVNCDYTDEQSIFHFHETNQTRVMGFLTLPEIFLVSMVGLLGDNDQTYKYLRMSSPKIYQICEPFLLKCNMGLGIQDGEHTAPTCGKTWQKFLADVVYRRGHRDINREDYADCKTSFSVSSFDSEGTEKAAELHRENWQDDESVSLLGKTILNSLCPGNPVITVSREFLRKNMSSWNELNISKNYHLPPINDEKLRENIFSDRYLDARMVGDPLSKGALPRLGRTDYAFGFQFDEWEHIRRQDDERTLETLYALPTLLPCLQESSAVSELLPFQKNSTLASRILKWIQSNKVKDTLQPAVIIQECLAVYIREQVDHVGKHMLLRLMNDWKLLDELGVLRAIYLLGSGDLLQQFLIVTFNKLDRGDIWDDEFELNTMLQESIRNSADGALLSAPDALVVSVTKHGADNEETETGNGSTPRNARNHCFGINALDILKFTYKVSWPLDLIANVEALKKYNQVMGFLLKVKRAKFVLDKARRWMWKGRGSSTHNYKHHLLVEQKLLHFVDAFHQYVMDRVLHSAWSELCNGMASAGSLDEVIEVHDTYLLSIQRQCFVASDKLWALIASRIKTILGLALDFYAIQQTLSTGGAAAAIKARCEMEVDRIEKQFDDCVAFLLRILSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLQTVPSFETAAKPG >Ma04_p26410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27870051:27879526:1 gene:Ma04_g26410 transcript:Ma04_t26410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAIAIAGVPQVENVESFISNLHLSISNGFPHAEPVFSFKTDEGKLVQGILQMLQGHCSSLFYWDEKQQRFFVKDGIYVLHLSYTSLYSILSRFLFAGTCLKQVELYVQKVQSTHVRVPTLNAFANSITSWLKRLRDVALKEEVNYVSSDTGPTATLLGITNSLSSLCAGAEVLLQVVYGAIPVTYLQTSIPASELAVQILNHLFKKLNELCLVQGGKEEAYHMLLFLFTESLLPYLEVLDSWLYDGILDDPFEEMFFYANDGVAIDQPAFWEMSYLLREGRWRKLKSNHGLGTENVSNGKARRKMSDQEPISVSTTAGGREHSDIDDVACPIFLKDMAKAIVSAGKSLQLVRHVQDENILLSYDSKVSGCCKTSKGLEMGPQTSDRQGHPKITRSDMVEDENVNCDYTDEQSIFHFHETNQTRVMGFLTLPEIFLVSMVGLLGDNDQTYKYLRMSSPKIYQICEPFLLKCNMGLGIQDGEHTAPTCGKTWQKFLADVVYRRGHRDINREDYADCKTSFSVSSFDSEGTEKAAELHRENWQDDESVSLLGKTILNSLCPGNPVITVSREFLRKNMSSWNELNISKNYHLPPINDEKLRENIFSDRYLDARMVGDPLSKGALPRLGRTDYAFGFQFDEWEHIRRQDDERTLETLYALPTLLPCLQQESSAVSELLPFQKNSTLASRILKWIQSNKVKDTLQPAVIIQECLAVYIREQVDHVGKHMLLRLMNDWKLLDELGVLRAIYLLGSGDLLQQFLIVTFNKLDRGDIWDDEFELNTMLQESIRNSADGALLSAPDALVVSVTKHGADNEETETGNGSTPRNARNHCFGINALDILKFTYKVSWPLDLIANVEALKKYNQVMGFLLKVKRAKFVLDKARRWMWKGRGSSTHNYKHHLLVEQKLLHFVDAFHQYVMDRVLHSAWSELCNGMASAGSLDEVIEVHDTYLLSIQRQCFVASDKLWALIASRIKTILGLALDFYAIQQTLSTGGAAAAIKARCEMEVDRIEKQFDDCVAFLLRILSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLQTVPSFETAAKPG >Ma09_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10475602:10481099:-1 gene:Ma09_g15150 transcript:Ma09_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPEVALSSSSTTRAMPVLGMGTGGYPFTVDAKKKLAIRHAIELGYRHFDTASLYQFEPALGEAIAEALHLGLINSRSDLFITSKLWCTDAHPDLVLPAIRETLRNLKLDYLDLYLIHWPMSLKPGACSFPIKSEDIVPLDLKSVWGAMEECQRLGLAKSIGVSNFTRKKIAELLQTAKIPPAVNQVELNPVWQQKNLREFCKEKGIHVIAYSPLGGQAWPGSKNLVLESEVLNDISKAKGKTVAQVSLRWIYEQGASMVVKSLNKERMKENMGIFDWELSEEDHLKISQIPQCKRISTYSLLSPQESRNSIDLLDVDIVED >Ma03_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28085860:28099926:1 gene:Ma03_g23560 transcript:Ma03_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G67100) UniProtKB/Swiss-Prot;Acc:Q9FHA3] MEEPTVSGRRARSRGSDSAVRSAALERLKALRSSGGRRSDAGGLQIKMEAPIYDTVAEEDYAALVARRREEARGFIVDDDGLGYTDEGQEEDWSNPNLPYFSGDEEDLSGGEEERPKKRKAPRKDPAPKKPPPSSLSAAAAMMGKQRLSSMFTSSVFKKNDRTKGSSLSSDSIVDDVIAEFAPDETDREERRRRSLASGILNMSRTAILTPPVAQIKAELPQPVVNDRVVESGKAKDEEVLAESERGLQEDDYMGHENHVDMEAREEKDLDHSVEPAIDGGFNEVKSDAKMEEPQNEKRLSLNAKIKVENDASVMSATAGWRAVCGEEAHAGPEAGVNESKVNVDVDEKSEFTLDTDGSLPFYIIDAHEEMFGGNSGTLYLFGKVKAGSAYHSCCVIVKNMQRCVYAIPKDSVFDSKTIAEFETDTPDSRNSEAALRTTLQEMASGIKSEIAKRLLDLNVSTFSMAPVKRSYAFERSDIPTGEQYVVKINYPFKDPPLPADLRGEYFLALLGTYSSSLELFLIKRKIKGPSWLSISKFMSCPAAQRVSWCKFEILVDCPKDICVTSSKTLLEIPPVIVTAINLKTVINEKSANEIVTASVICCHKAKIDGPMVPSEWKKWGTLTHFSVVRKLEGGIFPMGLTKEVSDRNLRAGVNTVSLESSERALLNRLMIELNKLDSDILVGHNISGFDLDVLLHRAQACKVPSSMWSKIGRLKRSVMPKLTKGGGLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAKTQLNRDAKEISPHDIPSMFQSSKTLLELVEYGETNAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYIVPDKIPARAKEHSTMKRKLTNGEEGGDDDVDHVSPDNDAYHVDQGKGRKGPAYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEYNICFTTVERSPEGLVPSLPSLRTTGVLPELLRNLVERRRMVKSWLKTASGLKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDIAKAKAIAGKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKVLFKDGMPYEVIERKGLDMVRRDWSLLAKEIGDFCLSQILSGSTCEEVVESIHSCLMKVQEDMRNGEIALEKYVITKTLTKAPKDYPDAKNQPHVQVALRLKKNGYSGCSAGDTVPYIICCQQDSMSGSSTGIAQRARHPEEIKKDYSNWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQFKSSESVGQDPSSVLSAIIDDEERYRGCEPLRLSCPSCSSTFDCPPVSSLLSTMHKESQSDSQAEDLTGANFWKRMRCPRCPDSIDGCEISSAMLANQVKRQAESFICTYYKGLMMCDDEICKYTTRSLNLRVIGDSERGTVCPNYPRCNGHLIRQYTEADLYKQLSYFCHVLDATRFLEKLEQKVTAPIEKELNMIRPVVSPAASVIQKIRDRCSYGWVQLDDLCISV >Ma05_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5286080:5290450:-1 gene:Ma05_g07260 transcript:Ma05_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRILKELKDLQNDPPVSCSAGPLGEDMYHWQATIMGPVDSPYAGGIFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARTWTQKYATN >Ma07_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4843723:4845708:1 gene:Ma07_g06730 transcript:Ma07_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTISHVPPGFRFHPTDEELVDYYLRKKVAARKIDLDVIKDVDLYKIEPWDLQEICRIGAEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYSKHRLVGMRKTLVYYKGRAPNGQKSDWIMHEYRLETSENGPPQEEGWVVCRVFKKRVPTARKASDELPWYDEQGSFMQDIDSPKRTMPQPAMEYHHHHQLYSYKREIKLHYRSPHEALDHELPPVEPPMIFSYLNHGDSLQRLIFSENEAVQPRHQLQATTTDNNDENVSQASDQVTDWRILDKFVAAQLSHDGSKKPIYSDEDDLLQVADEQEVAMEFPSTSTSSCQMYPWK >Ma10_p01390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4571157:4582420:1 gene:Ma10_g01390 transcript:Ma10_t01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MENDPNPHDPSSAGGGEEAKKGLVVLLVGAPGSGKSTFCNDVMAAARRPWVRVCQDTIANGKQGTKSQCLMSAAAALKDGKSVFIDRCNLEQEQRADFVKLGEAQVAVHAVVLDLPARLCISRSVKRTGHEGKLQGGKAAAVVNRMLQKKELPKLSEGFSRITFCHSENDVKEAVKAYNNLGPSGNLSAGVFGQKNKDDKVQLGIMRFLKKVDKQDQDAGKDITSDQNLTQANVPRQDSIGNTFTAELENKNEDQGSLNDENSSERSFVGRSSCNDVHTLAFPSISTSDFQFDLEKASDIIVDSVSDFLNKFDSVRLVLVDLSDKSKILSLVRKKAAKKNIESNKFFTFVGDITQLYTKGNFRCNVIANATNWRLKPGGGGVNAAIYRAAGDSLEIATKERAETLSPGNSVVVPLPSSSPLHLREGVTHVIHVLGPNMNLQRPNYLKNDYVEGCKILRDAYSSLFENFASIFKCQMRNESKRSSSGISNSQNPLKGTTGTCFSHSDQKIKLEGWYDSERNKKCKGFPFNAAAKEKISTPHGGHGYAHSETHSDVLNWDENSSNLTSSSNPGLEVKEKNCAEVSKRTWNAWAQALHVIALNPEKHKDVVMEMSDDFVVLNDLYPKV >Ma10_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4571157:4583196:1 gene:Ma10_g01390 transcript:Ma10_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MENDPNPHDPSSAGGGEEAKKGLVVLLVGAPGSGKSTFCNDVMAAARRPWVRVCQDTIANGKQGTKSQCLMSAAAALKDGKSVFIDRCNLEQEQRADFVKLGEAQVAVHAVVLDLPARLCISRSVKRTGHEGKLQGGKAAAVVNRMLQKKELPKLSEGFSRITFCHSENDVKEAVKAYNNLGPSGNLSAGVFGQKNKDDKVQLGIMRFLKKVDKQDQDAGKDITSDQNLTQANVPRQDSIGNTFTAELENKNEDQGSLNDENSSERSFVGRSSCNDVHTLAFPSISTSDFQFDLEKASDIIVDSVSDFLNKFDSVRLVLVDLSDKSKILSLVRKKAAKKNIESNKFFTFVGDITQLYTKGNFRCNVIANATNWRLKPGGGGVNAAIYRAAGDSLEIATKERAETLSPGNSVVVPLPSSSPLHLREGVTHVIHVLGPNMNLQRPNYLKNDYVEGCKILRDAYSSLFENFASIFKCQMRNESKRSSSGISNSQNPLKGTTGTCFSHSDQKIKLEGWYDSERNKKCKGFPFNAAAKEKISTPHGGHGYAHSETHSDVLNWDENSSNLTSSSNPGLEVKEKNCAEVSKRTWNAWAQALHVIALNPEKHKDVVMEMSDDFVVLNDLYPKATKHVLVLSRLHGLDCLVDVHKEHLPLLKRMHSAGLKWAKMFLCEDSSLIFRLGYHSVPSMRQLHLHVISQDFDSAHLKNKKHWNSFNTLFFRDSADAIKEIEKHGVATVIEDESLLKMELRCHRCRSAHPNIPRLKSHIANCKAPLPTHLLHNGLLVSAASKSVG >Ma03_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29201342:29218795:-1 gene:Ma03_g25080 transcript:Ma03_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLCYVKMIDIISAVGELNGLSSQDLNKLLKDSENFTIQCKTEQGSLKQINVEKLAASLPLHLIATLLSPDRDMRMGHVLRGIRLLYTLSDLATRHARLEQILLDDVKLSEQIMDLVLYMLIVLACRKQDNHIGSSPVLHSTLVACSLHILTSYLSSQWHDLVHVLLAHPKVDIFMDAAFDAVHEDIRILGIKLQLFNSELLSNKSNLPAAERTAHYICQQCEASLQFLLSLCHQKLFRDRLLRNKELCKHGGILSLAYSILKLNISHCFKNSFDFVAAVSRLKAKILSILLQLCEAESISYLDEVAGSPKSMHLAKSVAIEFLEILRIAFRSEVRLLGDTQYKSNPMGLLLLNALRLADIFSDDSNFRSFFMSKSIPVLAEILAVPHENFSLNWCSSNIPVTEEDVNIEYDPFNAAGMALGSLNDASESVHSAAFLLPETNSTCPINFSGMPSATYAQQRTSCLVKIIANLHVFVPNICEEEERDLFLNNFHKYLVMKSPESSGDHSSFDMQKAATVCKNLSSLSQYAISLTPNFLIDEDVHLLSKYADELQNLTHPEVGDRFIQENVVKLEEDMKPEYGPLMQQSSLYWTKFPNSTFSRSQQDTQFVLGNVPSISRKQDETIQDDDLKRNSNDDVDLKRRVGENSRCQEVEQLKIMNHSTTGLPEDFEVSHDRKKNIIDQPEYLRSGEKDTNDCDMKEDDKAENGLSEEKQPRKRKRNIMNETQVLLIEKALLDEPEMQRNAASLQSWADKLSSQGSEITSSQLKNWLNNRKARLARAAREVRAPSEGETYPDKSCGPSSSHFCDSSESAGEEIYAAPARGSTHQSIPKSGGMITRSARCEDVEMTAPDFVRGAHQNRPSIISCSFEPGQFVSVVDVDGKLVGKGKIIQVEGRWHGTSLEDSGTCVVDVTELKIEKWKEVQHPSEAAGRTFEEAAAKNGDIMRVAWDVSRILALP >Ma03_p25080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29201342:29218795:-1 gene:Ma03_g25080 transcript:Ma03_t25080.3 gene_biotype:protein_coding transcript_biotype:protein_coding METDLCYVKMIDIISAVGELNGLSSQDLNKLLKDSENFTIQCKTEQGSLKQINVEKLAASLPLHLIATLLSPDRDMRMGHVLRGIRLLYTLSDLATRHARLEQILLDDVKLSEQIMDLVLYMLIVLACRKQDNHIGSSPVLHSTLVACSLHILTSYLSSQWHDLVHVLLAHPKVDIFMDAAFDAVHEDIRILGIKLQLFNSELLSNKSNLPAAERTAHYICQQCEASLQFLLSLCHQKLFRDRLLRNKELCKHGGILSLAYSILKLNISHCFKNSFDFVAAVSRLKAKILSILLQLCEAESISYLDEVAGSPKSMHLAKSVAIEFLEILRIAFRSEVRLLGDTQYKSNPMGLLLLNALRLADIFSDDSNFRSFFMSKSIPVLAEILAVPHENFSLNWCSSNIPVTEEDVNIEYDPFNAAGMALGSLNDASESVHSAAFLLPETNSTCPINFSGMPSATYAQQRTSCLVKIIANLHVFVPNICEEEERDLFLNNFHKYLVMKSPESSGDHSSFDMQKAATVCKNLSSLSQYAISLTPNFLIDEDVHLLSKYADELQNLTHPEVGDRFIQENVVKLEEDMKPEYGPLMQQSSLYWTKFPNSTFSRSQQDTQFVLGNVPSISRKQDETIQDDDLKRNSNDDVDLKRRVGENSRCQEVEQLKIMNHSTTGLPEDFEVSHDRKKNIIDQPEYLRSGEKDTNDCDMKDDKAENGLSEEKQPRKRKRNIMNETQVLLIEKALLDEPEMQRNAASLQSWADKLSSQGSEITSSQLKNWLNNRKARLARAAREVRAPSEGETYPDKSCGPSSSHFCDSSESAGEEIYAAPARGSTHQSIPKSGGMITRSARCEDVEMTAPDFVRGAHQNRPSIISCSFEPGQFVSVVDVDGKLVGKGKIIQVEGRWHGTSLEDSGTCVVDVTELKIEKWKEVQHPSEAAGRTFEEAAAKNGDIMRVAWDVSRILALP >Ma03_p25080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29201342:29218795:-1 gene:Ma03_g25080 transcript:Ma03_t25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIISAVGELNGLSSQDLNKLLKDSENFTIQCKTEQGSLKQINVEKLAASLPLHLIATLLSPDRDMRMGHVLRGIRLLYTLSDLATRHARLEQILLDDVKLSEQIMDLVLYMLIVLACRKQDNHIGSSPVLHSTLVACSLHILTSYLSSQWHDLVHVLLAHPKVDIFMDAAFDAVHEDIRILGIKLQLFNSELLSNKSNLPAAERTAHYICQQCEASLQFLLSLCHQKLFRDRLLRNKELCKHGGILSLAYSILKLNISHCFKNSFDFVAAVSRLKAKILSILLQLCEAESISYLDEVAGSPKSMHLAKSVAIEFLEILRIAFRSEVRLLGDTQYKSNPMGLLLLNALRLADIFSDDSNFRSFFMSKSIPVLAEILAVPHENFSLNWCSSNIPVTEEDVNIEYDPFNAAGMALGSLNDASESVHSAAFLLPETNSTCPINFSGMPSATYAQQRTSCLVKIIANLHVFVPNICEEEERDLFLNNFHKYLVMKSPESSGDHSSFDMQKAATVCKNLSSLSQYAISLTPNFLIDEDVHLLSKYADELQNLTHPEVGDRFIQENVVKLEEDMKPEYGPLMQQSSLYWTKFPNSTFSRSQQDTQFVLGNVPSISRKQDETIQDDDLKRNSNDDVDLKRRVGENSRCQEVEQLKIMNHSTTGLPEDFEVSHDRKKNIIDQPEYLRSGEKDTNDCDMKEDDKAENGLSEEKQPRKRKRNIMNETQVLLIEKALLDEPEMQRNAASLQSWADKLSSQGSEITSSQLKNWLNNRKARLARAAREVRAPSEGETYPDKSCGPSSSHFCDSSESAGEEIYAAPARGSTHQSIPKSGGMITRSARCEDVEMTAPDFVRGAHQNRPSIISCSFEPGQFVSVVDVDGKLVGKGKIIQVEGRWHGTSLEDSGTCVVDVTELKIEKWKEVQHPSEAAGRTFEEAAAKNGDIMRVAWDVSRILALP >Ma07_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28150371:28152587:-1 gene:Ma07_g20200 transcript:Ma07_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFHAPWISFVLLALVPFLSKNPFVAFCHNTPNHETDQPRTYIIQLETPTASLDEEGLKIWYKSFLPESNDNSERLLHSYSEVFSGFAAKLTEEEVKNMAKKEGFLRAHPDRVLPLHTTHTADFLGLKVGQGLWQASGLGKGVIIGVLDSGITPNHPSFDDRGVPPPPPGKWKGSCNLKTGCNNKLIGAKSLVAGDTAKPPIDVYGHGTHTSSTAAGNFVRNASAFGLARGTAAGTAPHAHLAIYKVCNDDGCSESAVVAGFDAAVKDGVDVISMSLGGSPTRFDQDPVSIAAFRASVEKGVFVSCSAGNDGPFKSTLSSVAPWVLTVAATTMDRSLQATVELGDGRKINAESMDQPPNFPEGPVPLFITDTSYDYHNCYTVSEKVKGKVAVCQADDLICTGKAAIVKAAGGIGMIVVNLDEEGYTMVDRTCNFPTASVPFNDGNPIITYVNSTSNATATISFRGTVLGVTPAPAIAHFSSRGPARECYSIIKPDISGPGVNILAAWIDRRTANDTFVIQSGTSMAAPHLSGVAALVKSLHPDWSAAAIKSAIMTTSDDKDRQGRFIQDEQRGSASFYAMGAGHVNPSKAVDPGLVYDLDIDDYIAYICGKYGNAGAKAIVRGRSIKCETVKNLTEAQLNYPTITLTPRNINHTVSRTVTNVGPTNSSYKVKVNVPDTVFLTVEPQILNFTQVNERKSFTVSAKWTGRPVNSVEGKLRWISDKHVVRSPVVVTDRQI >Ma08_p32120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42813012:42817145:1 gene:Ma08_g32120 transcript:Ma08_t32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPASASASASSCSSFAIETLTLNATGGGALIPGLPDDIAELILASLPYSHQSRLRVISRSWRALLTPLVLFPLRRRLRLPCHHLLALFPADPSITPPCLFDTATAAWAPLPRMPCNYHRYGLSNFVPVALGHHLYVLGGSQFDARSYPLGHPIASAAAHRLNLAAPPPLSWERLPDMLLPRGSFACAPLRPSENGGDGDEGRIIVAGGGSRHSMFPLEGNRMSSVECYDVKEGEWRMRKGLPRDRAGCVGFLVKRDAGEEEEFWVMGGYGDYRTVSGVVPADVYYKNAMVLGLKSGKWREVEDMWEEGQRRKLGAVAPLDGEDGQVKEIFMLDSNNIFRYDFALNRWIKESSLPRKIPLNGSCGFVAMNGELYVLTTLIIQCQNTSDQRRVSKKRLTIEIQIYDPRKKRWRFLTTNPPFNRLIDFKAAVTCTIQL >Ma11_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6180301:6181937:-1 gene:Ma11_g07750 transcript:Ma11_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAKAVVPESVLKKRKREEQWALAKKQELDARKKKARENRKLIFGRAQQYAKEYESQEKELIRLKREARMKGGFYVSPEAKLLFIIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATMNMLQRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIPLTDNLVVEQGLGKHGIICVEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >Ma02_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23414664:23415128:1 gene:Ma02_g15880 transcript:Ma02_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAGPATMTAADPPALSRFRPPPPRLPRRSSARPAPSPSSKLLSLPSLTAQTVLHLSSPPLPLLSCRCTYPPFPFSFVEAVAVWKCLRVLRLGILLLCCTKSYSYYYCMARLFPPFLRERKLGKVEELNWLHFCSLLTIDFCLFHLWRLMM >Ma07_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7169643:7171753:-1 gene:Ma07_g09580 transcript:Ma07_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGDGVRVLTGGKLRMETETEEPQQLYSSRVLCLQDNRLNGSIPSQFGCLLSLQQFRIGGNPYLTGQLPPQLGLLTNLTTFGAAATGLSGAIPVEFGNLVSLQTLALYNTDISAPVPPELGSCSELTSLYLLMSKITGTIPPELGKLQKLTSLLLWGNSLTGLIPGELANCSELAVLDLSANKLYGEIPGELARLVVLEQLHLSDNMF >Ma05_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10221186:10227904:-1 gene:Ma05_g14060 transcript:Ma05_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMSVKVLDKNERIMHSLKRKREPDSYFTSGHGLVAHSHITDRSSLRGCNMMSCKSNFSCSFQSHIVNKYRNFSKSGLPVRVLSFEDGEWRDFPENIISLVQEDFRLKKGITEADIQNQQFLLDFMHMIYIDLKTGLQKPIAWIDVDGKCFFPEVCPEHYHFGKGKQVHMICDPNGTREVEAHLKISVSAAESSSLRLDDEAMFNVKRIKSEENSACAEDNETVGENDPCSFLPPNVSASGSWQEKVRPADDQRISAVQHLLLHSLGKVIDAKDIFGICKTPVENDLGLNRLGFFQEQVVVTQKLRGNANVRYAWLASSKGAVEEMMLKGVLKIPEQKPLFGNGIHLAPANCSNVCARYSNVDDNGFIHMMLCRVIMGNMELIPMGSNQHQASHENFDSGVDDLQNPKHYIIWDLNMYTHIYAEFIVTINLPTNAKECLASDGGMSYVSALTNSNSPCSLYQDKSHPSPVVANQLRGLSSGRAPRTPTSPWMPFSMLFAAISTKVPPQDMDLVNTHYEDFKKRKISRIDLVKKLRQIIGDKLLGSTIMRLQHMLPPMARHQAPKS >Ma03_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17559207:17561382:1 gene:Ma03_g15990 transcript:Ma03_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSGLHCRRERSMELKKDRRFDSMGAAHEGIKTVAIEKVCEFSTSSVTCVCIVTWNMNGKASSGDMAELIGWHRRFDLLAVGLQEVPKHDVGVQLQAALAETHRLLAAATMQSLQLFVFGPKNSQPFAKETRVDKHAVRGCGGLVGRKKGAVGVHIEFNGIRMVFISCHLSVHAAHARNVEERNSQCRHISHSLFAKDGNPCRRHCHVTVWMGDLNYRLQGISTHPARSLIRKNLHNLLTSKDQLLREAESGEVFDGYCEGSLSFKPTYKYDVGSNNYDTSYKVRVPSWTDRILFKIDSSSGIDAALHSYESIDRVKTSDHKPVRAHLCLKVKSV >Ma06_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20951830:20953813:1 gene:Ma06_g23190 transcript:Ma06_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNIDIRSWYLQVSSLLSLILWLLLLESLHKKSGNEYLINLVDSPWACLTSLLRITDGALVVVDCVEGVCVQTETVLRQALGERIRLVLTVNKMDRCFLELQVEGEEAYQTFQRVIEKANVIMATYVDTLLGDVQVYIQRTCTRVLLMIYMPMLSEIVIRRALLCYIKTWYVKSVRRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKKNASLTNEKEVDAHPIRAMKVCVSPVVRVAVRCKVASHLRKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQEGFMGGAEIVVSDPVVVSFRETVLEKSWRIGPRDDPKARSKILSEEFGWDKDLAKKIWCFAVAEENMRGICFEVCDVVLHADAIHRGGGGQVIIPTATRVIYAAQLTAKPRLLEPVYLVEIQAPEEALGRYLWCSKPEARSRL >Ma04_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6138168:6139652:1 gene:Ma04_g08590 transcript:Ma04_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLASKLSPLFIVAIGDNKLDFPDVGLAKLTLPHPLYRSHHPPPFPVDHLLQVLNEIRFFHDYAHHVAASDSTGEMLRDVYQIPSGRVHVILNGVDEDKFAPDVRLGAAFRKEIGLRGGAALVMGVAGRLVKDNGHPLLFEAFSRLVPRHPDVYLVVEGSGPRAQRYADLGANVVALGPVPPSKPKAFYKSLDVFLNPTLRPQGLDLTLMEAMQCGKPVATTRFPSIKGTILVDEELGYTFSPNVEAVSEVLAQRGTACREFAKSMFVATKMALAYERLFLCMKNETHCTYPSAFDESPLL >Ma09_p06220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3991189:3994189:-1 gene:Ma09_g06220 transcript:Ma09_t06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLMEDKKIDLDAPLLSVRRFSAGAVAASSPTPPVSNGEEGDQRPPPPRRSSLPFYKSDLKSGPVRVPGVVPFVWEQTPGQPKDGSVRSSNVKPLVAPKPPPGNSVRTRKAVTFASDYVTARSSESPKDDPKIVEGENVDKEEKVGKKPEHQPVLAVDNREEEVEEDDDDNDAFSDALDTLSRTDSMLMNCSVSGLSGVPEAAKLSGRFPKDPPVRDFMLERFLPAAQAMACESPQYAFRKATAPPREAIKPTDRVLISDNRRPAPIPYQNKPDYVPHYAKELEQVDSYDDDEEDEEYYQDAGNLSSKACGLLPKFCLKSSFCLLNPVPVMKVQRHLRRPPRGRTGNPQIRNMHPLSHSRVEEEPSWGAVFRHKLEQEHQAQVAELSRFRSESDSLTPDGSSPYQHSVTSPYQSEGPPTSLHEEKGPLGVVSRESKSSKADGSDVGEKVVENHWEINHSSQMGSGTMSPAPEKTLYVDVENRLGSSDSKSSSFNTVKDTRSMTSSGEVGTESKRMEESLVAKVHERDALHPEVCNVAEPVLPFTLKKLNHGYINGDTDLKHGYKDSDPPNLKSNRNPLQSLLPPPLPKSPSESWLSRTLPSVASKNPAPQSLLGIQLHPRKQTLHVSSIDVIQETTIKPSKPRHRQIRFAEVLAKPESPKSEI >Ma09_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3991189:3994189:-1 gene:Ma09_g06220 transcript:Ma09_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNLMEDKKIDLDAPLLSVRRFSAGAVAASSPTPPVSNGEEGDQRPPPPRRSSLPFYKSDLKSGPVRVPGVVPFVWEQTPGQPKDGSVRSSNVKPLVAPKPPPGNSVRTRKAVTFASDYVTARSSESPKDDPKIVEGENVDKEEKVGKKPEHQPVLAVDNREEEVEEDDDDNDAFSDALDTLSRTDSMLMNCSVSGLSGVPEAAKLSGRFPKDPPVRDFMLERFLPAAQAMACESPQYAFRKATAPPREAIKPTDRVLISDNRRPAPIPYQNKPDYVPHYAKELEQVDSYDDDEEDEEYYQDAGNLSSKACGLLPKFCLKSSFCLLNPVPVMKVQRHLRRPPRGRTGNPQIRNMHPLSHSRVEEEPSWGAVFRHKLEQEHQAQVAELSRFRSESDSLTPDGSSPYQHSVTSPYQSEGPPTSLHEEKGPLGVVSRESKSSKADGSDVGEKVVENHWEINHSSQMGSGTMSPAPEKTLYVDVENRLGSSDSKSSSFNTVKDTRSMTSSGEVGTESKRMEESLVAKVHERDALHPEVCNVAEPVLPFTLKKLNHGYINGDTDLKHGYKDSDPPNLKSNRNPLQSLLPPPLPKSPSESWLSRTLPSVASKNPAPQSLLGIQLHPRKQTLHVSSIDVIQETTIKPSKPRHRQIRFAEVLAKPESPKSEI >Ma07_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11779470:11782718:1 gene:Ma07_g15620 transcript:Ma07_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPKVVPYKPPFACPLRLLRLSSSTRTRARAEATARTGFVMSSAPALLAALVVLSSSAVPSSPDTLYDVPQTLSGDDKKQARIQRPKSRKAEKCVGKCVTTCILGGAGSPGEGPFNIRRPLVVFKDGFRSRQYCLVECSDICNLIKDGEDGP >Ma04_p33080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32891113:32894102:-1 gene:Ma04_g33080 transcript:Ma04_t33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQPNIHQHRRPAQGAAHHHRQHHPVYPTAEFARRWVPFCKKHSIEPRTPEFYFSQKIAYLKDKVQSSFVKERRAMKREYDEFKVRINALVITAIRLASPTRPLCRCEGRSLPLNARVRSHGLKLFTLTAPFLLNLDCDHYSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDQNAWSMLINMKGLDGIQGPVYVGTGCVFNRQALYGYEKPKSEKRRKMTCDCWPSWCYGCELREAVRAVAGVHRVDPHGRRGNAEGYQLRRPYQGGHPRNQLRIRREDRVGQGDWVDIWFGDGGHIDGLKMHCRGWKSVYCIPERAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGNLKLLERFAYTNTIVYPFTSIPLLAYCTIPAICLLTGKFIIPIIENIASVWFLSLFLSIIATGILELRWSGVTIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTAKAGEDSEFGELYLFKWTTLLIPPTTLIILNMVGAVAGVSDAINNGYGSWRPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQQGPVLKQCGVEC >Ma07_p27960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34115095:34119096:1 gene:Ma07_g27960 transcript:Ma07_t27960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 7 [Source:Projected from Arabidopsis thaliana (AT1G69440) UniProtKB/Swiss-Prot;Acc:Q9C793] MEGRPHPTKLVELCNPHQLTHLQDGSCHSRKYQAYPALLPLPLPLPLPPPVLLHIPPICTLPHSINPKPRAHIHRAWRKEKPLEAQVAVLPLPPDIKLIRPQTDLMPEIKISDMGGKCVDCHLKPVADQQALVATRRPDAGGAEGPVIHLLANHFLVRFDPLQKIYHYDVNISPRPSKEIARMIKRKLVEDNSDILSGALPVFDGRKNLYSPVEFQEHKLEFFISLPIPVTKHVRDEGGSVLLENKKSKLFRVNIRLASKLNGEELSKFLKEEEDGASLPQDYLHALDVVLRENATENCIQMERSLYSNTMGGAKDIGGGVVGMRGFFQSLRPTKQGLSLNVDFCVTAFHESIGIIPYLQKRCDFLRDLSHKKTRGLIGEEKREVEKALKNIRIFVCHRETDQRYRVYSLTDEVTENLKFRDRDGKYLMLVDYFKDQYNHDIQFRNLPCLQISKSKPCYLPMELCMVCEGQKFLGKLSDEQASRILKMGCQRPGERKDMINGVMGGRVGPASGLYAAQFQLQVSREMTQLCGRVLEPPKLKLGDGGQVRDITPSRYDRQWNLLDSHVAEGSHIRRWALISFGGTPEQRSCIPKFISQLSYRCEQLGIFLNKKTIVNPSFEQIQVLNNVSALENKLKKLHEAASGNLQLVICIMEKKHRGYADLKRIAETVIGVISQCCLYSNLTKLSSQFLANLSLKINAKLGGCNVVLYNTLPCQLPRIFSDDEPAIFMGADVTHPHPLDDVSPSVAAVVGSMNWPAANKYISRMRSQTHRQEIIEDLEAMVGELIEEFLFAVKKLPKRIIFFRDGVSETMFHKVLKEELQAIRVACLRFFNYKPTITFLVVQKRHHTRLFFNEKKASYGQFSDENIPPGTVVDTVITHPREFDFYLCSHWGMKGTSRPTHYHVLWDENQFKSDEVQKLIHNLCYTFVRCTKPVSLAPPAYYAHLAAYRGRLYLDRSDSTTFTRSTLSRAGPLQTAPLPKLRDNVKKLMFYC >Ma07_p27960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34115095:34119096:1 gene:Ma07_g27960 transcript:Ma07_t27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 7 [Source:Projected from Arabidopsis thaliana (AT1G69440) UniProtKB/Swiss-Prot;Acc:Q9C793] MEGRPHPTKLVELCNPHQLTHLQDGSCHSRKYQAYPALLPLPLPLPLPPPVLLHIPPICTLPHSINPKPRAHIHRAWRKEKPLEAQVAVLPLPPADIKLIRPQTDLMPEIKISDMGGKCVDCHLKPVADQQALVATRRPDAGGAEGPVIHLLANHFLVRFDPLQKIYHYDVNISPRPSKEIARMIKRKLVEDNSDILSGALPVFDGRKNLYSPVEFQEHKLEFFISLPIPVTKHVRDEGGSVLLENKKSKLFRVNIRLASKLNGEELSKFLKEEEDGASLPQDYLHALDVVLRENATENCIQMERSLYSNTMGGAKDIGGGVVGMRGFFQSLRPTKQGLSLNVDFCVTAFHESIGIIPYLQKRCDFLRDLSHKKTRGLIGEEKREVEKALKNIRIFVCHRETDQRYRVYSLTDEVTENLKFRDRDGKYLMLVDYFKDQYNHDIQFRNLPCLQISKSKPCYLPMELCMVCEGQKFLGKLSDEQASRILKMGCQRPGERKDMINGVMGGRVGPASGLYAAQFQLQVSREMTQLCGRVLEPPKLKLGDGGQVRDITPSRYDRQWNLLDSHVAEGSHIRRWALISFGGTPEQRSCIPKFISQLSYRCEQLGIFLNKKTIVNPSFEQIQVLNNVSALENKLKKLHEAASGNLQLVICIMEKKHRGYADLKRIAETVIGVISQCCLYSNLTKLSSQFLANLSLKINAKLGGCNVVLYNTLPCQLPRIFSDDEPAIFMGADVTHPHPLDDVSPSVAAVVGSMNWPAANKYISRMRSQTHRQEIIEDLEAMVGELIEEFLFAVKKLPKRIIFFRDGVSETMFHKVLKEELQAIRVACLRFFNYKPTITFLVVQKRHHTRLFFNEKKASYGQFSDENIPPGTVVDTVITHPREFDFYLCSHWGMKGTSRPTHYHVLWDENQFKSDEVQKLIHNLCYTFVRCTKPVSLAPPAYYAHLAAYRGRLYLDRSDSTTFTRSTLSRAGPLQTAPLPKLRDNVKKLMFYC >Ma04_p38710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36116587:36117985:-1 gene:Ma04_g38710 transcript:Ma04_t38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHCITTHRTFHLLLSVLLLLSSTPGQYSAEGRPSFRLLEVANLQGRGEEKAMVRALIGSRPPICERRCVTCGRCEAVQVPVIPQAKSRSRQFLGVAILRGDYSSNYKPLSWKCKCGDVIFNP >Ma04_p38710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36116649:36117545:-1 gene:Ma04_g38710 transcript:Ma04_t38710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHCITTHRTFHLLLSVLLLLSSTPGQYSAEGRPSFRLLEVANGRGEEKAMVRALIGSRPPICERRCVTCGRCEAVQVPVIPQAKSRSRQFLGVAILRGDYSSNYKPLSWKCKCGDVIFNP >Ma05_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7036619:7042861:1 gene:Ma05_g09760 transcript:Ma05_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVSSFYAATVGGLVAFSLLMLLASAPAASGAASHVVLGGGEISPKSILGGENLAPWANGLLRFSPVASGPPALAPDAKIPLVLAEKRTRRPDILNKFSIYRGGWDVTDKHYWASVGFTGAAGFVLAFVWFILFALALGTHHYYRWSIEIDEKNSSCSKQICLLLLLVFTCAALIGCVLLSVGQDDFHGEVLDTLDFVVNQSDFTVQVLKNVTDFLLLAKTISVDQLSLPRDVQNKVDKINVDLNDAANTLSGETAKSSGKIRQVFDDIRCTLIVVATMMLLLAILGFLLSVLRHKHAIYIFIISGWLLVAVTFVLCGFFVIVSNAVGDTCTAMGEWVHNSRAETSLSNILPCVDEQTTNLTLYQSKEVIVQLVNVVNTAISSQVKTVSYNQSGVLMPPLCSPYDSRMHERQCEPGEVSFVNASKVWQNYTCVISDSGLCGTVVGGVTPEVYKQLVAAVNASYALDHYTPFLLNLQNCQFVRGAFNSITTFFCPRLKLDLRMVTAGLGLISSGVMFCLILWVLYANRPQREEVFAKQHGIKTAVVAQIL >Ma09_p24230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35909194:35913637:1 gene:Ma09_g24230 transcript:Ma09_t24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEESCCSVWTREQEKAFENALATHPEDCGDRWEKIAAGVPGKSIEDIRHHYELLVEDIDDIESGRVPVPSYLPVEGGGAKKGGNSHGDPSHGGRTSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGDGDASAPQGPVTGLASGSATVNRPELQFPVPQ >Ma09_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35909157:35913637:1 gene:Ma09_g24230 transcript:Ma09_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEESCCSVWTREQEKAFENALATHPEDCGDRWEKIAAGVPGKSIEDIRHHYELLVEDIDDIESGRVPVPSYLPVEGGGAKKGGNSHGDPSHGGRTSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGDGDASAPQGPVTGLASGSATVNRPELQFPVPQ >Ma09_p24230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35909142:35913646:1 gene:Ma09_g24230 transcript:Ma09_t24230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEESCCSVWTREQEKAFENALATHPEDCGDRWEKIAAGVPGKSIEDIRHHYELLVEDIDDIESGRVPVPSYLPVEGGGAKKGGNSHGDPSHGGRTSRSDQERRKGIAWTEDEHRRLEEHISQLCNIKDAHTSCKSCTEILYPFEFNEQGTEKDEHPRYHQRRRWRCISPSRPSHWFG >Ma09_p24230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35909143:35913637:1 gene:Ma09_g24230 transcript:Ma09_t24230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEESCCSVWTREQEKAFENALATHPEDCGDRWEKIAAGVPGKSIEDIRHHYELLVEDIDDIESGRVPVPSYLPVEGGGAKKGGNSHGDPSHGGRTSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGDGDASAPQGPVTGLASGSATVNRPELQFPVPQ >Ma04_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18045422:18054370:1 gene:Ma04_g17580 transcript:Ma04_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSCGSGGVDGGQGATTAKKERASVPNPGLNGNVPPPFLSKTYDMVDDPATDAIVSWGPENNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPSHVHGHSQQEQTPSQSASVTACVEVGKFGLEEEVERLKRDKNVLMQELIRLRQQQQASDHQLQTLMKSLKGMEQRQQQMMSFLAKAMRSPGFFTQLVEQNDRSRRITGVNKKRRLPKEGSSDGEKPEGQIVKYQPLINEAAKAMLRQILKMNTSTMLESVGTSDNLFIENFHSPSETFDSTSSLNSAVTLSDVPSNSGVSFMPASSGFSAICSASAESSDQSSAVDTKMMGADELTDMGVLSAVPEDISLSPTDISIPEFPELLEIVANDDAINIPVENLVVPEPENLYVNPNVSALQEAVPIETDDHSADCNVNFHNTDEKLPGIVDAFWEQFLMASPLSGDTEEVESTLQEAKEYQQSNVDSEWNSTHHMNHLTEQMGLLSSDPKV >Ma04_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24769489:24770983:-1 gene:Ma04_g22430 transcript:Ma04_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPEQDNHHGSSNNRAASSSSSSRTSPSSTTSTLLPRTPKRRTMEEVWRDISLCSLHHQERQLTPMNHHQRHSPATSPSFRATMLQDFLAGPLNRPHAVPPDDVPRPRSSTTPLTQLSLSPTLEIQPMGSDAHANSSSSGYNASFISPAFSGNMRRPPSPIGLFSFCSKEAVSENPSASCDRRHKRMIKNRESAARSRARKQAYMNELELEVAHLLEENSRLRKELEELRSAMAAKHPKRKALQRSSTAPF >Ma07_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2684479:2686361:-1 gene:Ma07_g03500 transcript:Ma07_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIATESRGGGGGGGGGGAKQRRAGCCCTKDEFLPDESFQSWANYMKALRETGMRLKNRIAARSMDDTEINEVRARSGHEMKRNLSWWDLIWFGIGAVIGAGIFVLTGQEARNAAGPAVILSFVVSGVSSMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVVSCAAVARSWTSYFATLLNHQPDDFRIHIASLSPDYSRLDPIAVVVIVAICLAAVFSTKATSRFNYVSSIIHLAIIVFIIAAGLSRADPKNLSDFMPFGARGLFSASAVLFFAYVGFDAVSTMAEETKNPAKDIPLGLVGAMCITTLCYCLLAFTLCLMQPYSQIDPNAPFSVAFEAVGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPWFAQVHATTGTPINATVAMLVATATIALFTELSILSNLLSISTLFIFMLVAVALLVRRYYVNGETSATERNKLIVCIVLILGSSVGAAGYWAAGVDGWVGYVVAIPVWFLSTGFLWLGVPQAKKPKMWGVPMVPWLPSASIAINIFLLGSIDGLSYMRFGIWTALLLVYYFFLGLHASYDTAKAAAAAAAAAAAAAATAEGANRR >Ma03_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10649462:10652274:-1 gene:Ma03_g13510 transcript:Ma03_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLVWNPGFDFDEKIVGFIKGYRRVFDLACIDHRGTPENPARTCTLQPEEGAICWGAAYCVRGGIKKERAAMKYLERRECEYDQKTSVDFYKEGETSEPAVTGVLVFISTMDKEANRYYLGPAPLVEMARQIATASGPCGNNREYLFLLEKAMSDIGHEDDYVIELANEVRKVLESLKQRTPVMHLPLQSHAPFLHIKTLPETTVAVDS >Ma09_p25360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36855639:36856523:-1 gene:Ma09_g25360 transcript:Ma09_t25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPPYLPLPKMEESINSSMDSAFLSKVGSASSEESGWTMYFEDFMATAAEKAGGVFSSGAVSEPSVVSDAASSVAWKLSASFEVTEDYRELSLKKTKGKRLVDDDSLEDTASSPVSSPKVTDFNYLTKNTGKKDDHRDTA >Ma07_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5169931:5177901:-1 gene:Ma07_g07110 transcript:Ma07_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGLLKIHPSELKLPFEVKRQSSCCMQLTNKTDNYVAFKVKTTSPKKYSVRPNMGIVQPRSAITITVTMQAQKEAPPDYQSKDKFLIQSVIANDGATTKDITAEMFNKAPDKVVEEFKLRVVYIPANPPSPVPEESEEGISPRPSMLENKTQSSTLFDAVSRSLEEAPRDESEEEETMISKLTEEKKYAMQQNKKLQKELELLRQERRKNRSGFSVTFVTIVALLGIIIGFLIKKT >Ma07_p22490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30402111:30404766:1 gene:Ma07_g22490 transcript:Ma07_t22490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLESQSFLISRALPSSCEQESKLAYMTYHLLEITTNKRPTIVESLELNEAAMIKKAKSADDLGPPFQEEKALPLDESNYNGQHSDTNSLIGQIGCDMSIKCLLHCSRSDFGALASLNRAFNSLFRTGELYKLRRQTGIIEHWVYFSCNILEWEAYDPYCGRWITLPKMPQNDFFMRSDKESLAVGTELLVFGRDYTSRIAHIVLRYSILTNSWSQGIEMNSPRCLFGSASFGEVAIVAGGVDAQNTILSSAELYNSETQTWVTLPSMNKPRKMCSGVFMDNKFYVIGGMRSPTELLTCGEEYDTERHSWRVIPNMSLGLNGPSGAPPLVAVVNNELYAADYAEKEVRKYDKENNSWVTLGRLPERPDSVNGWGLAFRACGDRLLVIGGPRVLGGGMIELNSWTPRDGPPEWNLIARKHCGSFVYNCAVMGC >Ma07_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30402111:30404766:1 gene:Ma07_g22490 transcript:Ma07_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESQSFLISRALPSSCEQESKLAYMTYHLLEITTNKRPTIVESLELNEAAMIKKAKSADDLGPPFQEEKALPLDESNYNGQHSDTNSLIGQIGCDMSIKCLLHCSRSDFGALASLNRAFNSLFRTGELYKLRRQTGIIEHWVYFSCNILEWEAYDPYCGRWITLPKMPQNDFFMRSDKESLAVGTELLVFGRDYTSRIAHIVLRYSILTNSWSQGIEMNSPRCLFGSASFGEVAIVAGGVDAQNTILSSAELYNSETQTWVTLPSMNKPRKMCSGVFMDNKFYVIGGMRSPTELLTCGEEYDTERHSWRVIPNMSLGLNGPSGAPPLVAVVNNELYAADYAEKEVRKYDKENNSWVTLGRLPERPDSVNGWGLAFRACGDRLLVIGGPRVLGGGMIELNSWTPRDGPPEWNLIARKHCGSFVYNCAVMGC >Ma07_p22490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30402111:30404766:1 gene:Ma07_g22490 transcript:Ma07_t22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLESQSFLISRALPSSCEQESKLAYMTYHLLEITTNKRPTIVESLELNEAAMIKKAKSADDLGPPFQEEKALPLDESNYNGQHSDTNSLIGQIGCDMSIKCLLHCSRSDFGALASLNRAFNSLFRTGELYKLRRQTGIIEHWVYFSCNILEWEAYDPYCGRWITLPKMPQNDFFMRSDKESLAVGTELLVFGRDYTSRIAHIVLRYSILTNSWSQGIEMNSPRCLFGSASFGEVAIVAGGVDAQNTILSSAELYNSETQTWVTLPSMNKPRKMCSGVFMDNKFYVIGGMRSPTELLTCGEEYDTERHSWRVIPNMSLGLNGPSGAPPLVAVVNNELYAADYAEKEVRKYDKENNSWVTLGRLPERPDSVNGWGLAFRACGDRLLVIGGPRVLGGGMIELNSWTPRDGPPEWNLIARKHCGSFVYNCAVMGC >Ma07_p22490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30402091:30404766:1 gene:Ma07_g22490 transcript:Ma07_t22490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLESQSFLISRALPSSCEQESKLAYMTYHLLEITTNKRPTIVESLELNEAAMIKKAKSADDLGPPFQEEKALPLDESNYNGQHSDTNSLIGQIGCDMSIKCLLHCSRSDFGALASLNRAFNSLFRTGELYKLRRQTGIIEHWVYFSCNILEWEAYDPYCGRWITLPKMPQNDFFMRSDKESLAVGTELLVFGRDYTSRIAHIVLRYSILTNSWSQGIEMNSPRCLFGSASFGEVAIVAGGVDAQNTILSSAELYNSETQTWVTLPSMNKPRKMCSGVFMDNKFYVIGGMRSPTELLTCGEEYDTERHSWRVIPNMSLGLNGPSGAPPLVAVVNNELYAADYAEKEVRKYDKENNSWVTLGRLPERPDSVNGWGLAFRACGDRLLVIGGPRVLGGGMIELNSWTPRDGPPEWNLIARKHCGSFVYNCAVMGC >Ma09_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5221697:5222920:-1 gene:Ma09_g07960 transcript:Ma09_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMAVGRAVDLTRLYGYDALLQKLEEMFDIEGELSSVVKKWEVVYTDDEDDMMMVGDDPWHEFCSMARKIYIYTCEEAKRLTPRVKLPAVGEVIRSKKAAADADATGNNQEDQT >Ma06_p12600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8730441:8732813:-1 gene:Ma06_g12600 transcript:Ma06_t12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENCAPRLTRAAAKRAASAQVPSSPAQPPAKRKRVALSELPTLSNVVTLATADPSTPPPSKLKSKPRKKVEESDDRELARVEVATSSDGGSDVDDPQMCAHYASDIYQYLRSMEVEAKRRPLAKYMETVQSDVTANMRSILVDWLVEVAEEYKLVSDTLHLTISYIDRFLSFNAINRQRLQLLGVSSMLIASKYEEISPPNVEDFCYITDNTYTKQEVVKMESDILKFLRFEMGNPTIKTFLRRFIQAGQEDGKYSNLQFEFLGSYLAELSLLDYGCVQFLPSVIAASAVFVARFTLDPKSNPWNKRLKECTGYNVSDLKECIHAILDLQLNKKASTLLSIRDKYKQHRFKCVPSLVPPTEIPAIYFNDPKL >Ma09_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34910993:34917111:-1 gene:Ma09_g23010 transcript:Ma09_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRGKKKKNSGGKNGTESKHPRSNEHNPKVFGEGTTIFLDMAHDMKEEGNKLFQKRDYEGALLKYENAIKLFPKNHANTAYLHSNIATCYMQMEPKQYHRAIKECNLALEASPKYSKALLKRARCLEALSRLDLACKDVDVVLNLEPNNITALEISERVKKVMEKKGFVLDDNADLSLTEVVAVKEKLKKKKKKKKKKKRSHKAEEKVITEEKHIDVKEQPMWVVKLVFAEDIRWAQIPANCSMLRLRETVGRKFPSLKAILIKYRDREGDLVTITTSEELTWARQSAEPQGSVRLYLTEVSPECAPWFEDAETNSSSTVQGTNHNVAAEYHSIMNDEEKSSPSYVDDWIIQFAHLFKNYLGFSSDSYVNLRELGMKLSSEAIEDTITSEEAQEIFELAEGNFQDMAALALFNWGNIHLSRARKKLFLSEDASKESIHEKMKDSYEWAQDEYIKAGKRYKDALKIKPDFYEGFLALGLQQFERAKLSWCHVLGSKVDLEAWPSEKLIELYNNAEDNMEKGTNMWEKMEEQRIKELSELKDDKSLLEKMGLDGFFKDLSMDETAEQAANMRSQINRIWGTILYERSVMEFKLGIPIWEEFLVAAVEKFKLAGVSPVDIAVITKNHCANRTSQEGSTFMIEEIVQAWHETHDDKRWMIGVPSFRLEQLFRRRV >Ma08_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24952662:24953655:-1 gene:Ma08_g17350 transcript:Ma08_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 6 [Source:Projected from Arabidopsis thaliana (AT3G02970) UniProtKB/Swiss-Prot;Acc:Q9M8T8] MASIHQPKESTTFLLFLLLLSFTDLCIASRKLSSLYQPPPTILTYHRGALLEGNIPISVLWYGKFSPSQRSIITDFLLSLTPPHRPTTFAIITPTVSKWWRTVDYYVQKAGKRKTHVLLTNQVLDEGYSMGRLLRRSDVSELARRLGVMAGGVALVLTAADVAVEGFCSAACGMHGSVAAGSARSAYIWVGDSVSQCPGQCAWPFHKPAYGQQGQPLGAPNGDVGLDGMVINLATLLTGSVTNPYGGGYFQGDRDAPVEVAAGCPGVYGEGAYPGYAGKLRVDVKTKASYNVEGEKGRKYLVPALLDPISNSCLPMV >Ma05_p06590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4871407:4876721:-1 gene:Ma05_g06590 transcript:Ma05_t06590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRVLLSVLALWSAICYVGGGAGSLVEDKQALLDFLASTVHTRSLDWSPITDVCSRWYGVTCSADGSRVITVRLPGIGFSGPIPPNTLSRLSALQILSIRSNSLTGPFPADFANLTALTGLHLQLNSFSGPLPSDFSPWKNLTALDVSFNDFNGSIPTTISNLTQLTALNLSNNSFSGQIPDLELPNLLFLNLSNNHLEGTIPKSLQRFPNSSFSGNDLSPIYPLTPSSLPSPLPPSPPQVPSSMTARKLSESAILGIIVGGCALLFAMLALFLYHCYSKRKDESLISGKGSKGDRSPEKAVTRNQDANNRLMFFEGCTFAFDLEDLLRASAEVLGKGTFGTTYKAVLEDATTVAVKRLKEASVVKKEFEQQMEVAGRIKHENVAELRAYYYSKDEKLMVYDYFNQGSVSSLLHAKRGQDRTPLDWEARLKIALGAARGIAHIHMENNGKLVHGNIKSSNVFLNNQQYGCVSDLGLPSIINPMAPLVPRTVGYRAPEVTDTKKASQASDVYSFGVVMLELLTGKSPVPIVGSGDEVIHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMNCVARVPERRPKMAQVVRMIEGVRRFDSGNRPSTEARSEGSTPTPVQGTEARSEGSTPTPVQGTEAPSTPQ >Ma05_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4871407:4876721:-1 gene:Ma05_g06590 transcript:Ma05_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRVLLSVLALWSAICYVGGGAGSLVEDKQALLDFLASTVHTRSLDWSPITDVCSRWYGVTCSADGSRVITVRLPGIGFSGPIPPNTLSRLSALQILSIRSNSLTGPFPADFANLTALTGLHLQLNSFSGPLPSDFSPWKNLTALDVSFNDFNGSIPTTISNLTQLTALNLSNNSFSGQIPDLELPNLLFLNLSNNHLEGTIPKSLQRFPNSSFSGNDLSPIYPLTPSSLPSPLPPSPPQVPSSMTARKLSESAILGIIVGGCALLFAMLALFLYHCYSKRKDESLISGKGSKGDRSPEKAVTRNQDANNRLMFFEGCTFAFDLEDLLRASAEVLGKGTFGTTYKAVLEDATTVAVKRLKEASVVKKEFEQQMEVAGRIKHENVAELRAYYYSKDEKLMVYDYFNQGSVSSLLHAKRGQDRTPLDWEARLKIALGAARGIAHIHMENNGKLVHGNIKSSNVFLNNQQYGCVSDLGLPSIINPMAPLVPRTVGYRAPEVTDTKKASQASDVYSFGVVMLELLTGKSPVPIVGSGDEVIHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMNCVARVPERRPKMAQVVRMIEGVRRFDSGNRPSTEARSEGSTPTPVQGTEARSEGSTPTPVQGTEAPSTPQ >Ma05_p06590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4871407:4876721:-1 gene:Ma05_g06590 transcript:Ma05_t06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRVLLSVLALWSAICYVGGGAGSLVEDKQALLDFLASTVHTRSLDWSPITDVCSRWYGVTCSADGSRVITVRLPGIGFSGPIPPNTLSRLSALQILSIRSNSLTGPFPADFANLTALTGLHLQLNSFSGPLPSDFSPWKNLTALDVSFNDFNGSIPTTISNLTQLTALNLSNNSFSGQIPDLELPNLLFLNLSNNHLEGTIPKSLQRFPNSSFSGNDLSPIYPLTPSSLPSPLPPSPPQVPSSMTARKLSESAILGIIVGGCALLFAMLALFLYHCYSKRKDESLISGKGSKGDRSPEKAVTRNQDANNRLMFFEGCTFAFDLEDLLRASAEVLGKGTFGTTYKAVLEDATTVAVKRLKEASVVKKEFEQQMEVAGRIKHENVAELRAYYYSKDEKLMVYDYFNQGSVSSLLHAKRGQDRTPLDWEARLKIALGAARGIAHIHMENNGKLVHGNIKSSNVFLNNQQYGCVSDLGLPSIINPMAPLVPRTVGYRAPEVTDTKKASQASDVYSFGVVMLELLTGKSPVPIVGSGDEVIHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMNCVARVPERRPKMAQVVRMIEGVRRFDSGNRPSTEARSEGSTPTPVQGTEARSEGSTPTPVQGTEARSEGSTPTPVQGTEAPSTPQ >Ma02_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19820827:19824454:1 gene:Ma02_g10150 transcript:Ma02_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRIPPPWRVLGTATAFVFGGLFTLSLASSVAMRSLQSLAEAKRKKVALPCSACKGKGFYGCKLCNGKSTIEWSPLYDPIVINPCLCPTCEGNSYDQQVEISVAS >Ma06_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:481656:491534:-1 gene:Ma06_g00630 transcript:Ma06_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKPKSQSGVWTTVKPFVNGGVSGMLATCVIQPIDMVKVRIQLGQGSAVQVTKNMLANEGFGSLYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLLQKAAIGLTAGAIGACIGSPADLALIRMQADATLPAAQRRNYKNAFHALYRIIADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDSLGFGEVSTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKVEKSMGL >Ma05_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6677811:6678819:1 gene:Ma05_g09150 transcript:Ma05_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRRSRRRGRPQTLLPLHASPTPNAVSCWYCDFKIYAFNEAVFSLGRRYARFLKVWFTVGAAFSFVTMIGVSMVRNIFFIFLKLNIFIYNHNFGNVMLIENKYCLCDLSMITLFLSLVNTSELCG >Ma09_p25310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36831981:36833329:-1 gene:Ma09_g25310 transcript:Ma09_t25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFHLFPPPNSRLPQPHPSRQKLPATPFRGPRCTSSSPSIGNPDPASDSNPTLEANQSEELPDLPPAAITTSFEIEKRRKSAILREPGRRSGLQRPEPPNFEIGWKRTKEIKVEKPKGWEIADFLEKLEGLMARGRFGTAELLAKTGEIVAERAREEAEVLQAGGEVEERMVTELHRVLRLMEMDLAMVRAAVKEETLAERIEQARARCRQAILVALSF >Ma02_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24053243:24053866:-1 gene:Ma02_g16940 transcript:Ma02_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVLAAEAAATAVLLFNTPLRKLLVLGLDRLKRGRSTVMVKTLAATAVLVLASSIYSMAEIRLRFAELGAPTPTDQILMSRHLLEASLLGYSLFLALIIDRLHLYIGELHGLRNSMKAVMKQNRVIGTAKTGSS >Ma06_p34370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34630522:34633189:1 gene:Ma06_g34370 transcript:Ma06_t34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGSFDTSANSTPPAAFTFSSPYASFSDLLAGGGGDDGGGGGGRSLSRDFAGTGGGGVPKFKSAPPPSLPISRPPISPSSCFAIPAGLSPAELLDSPVLLSSANILASPTTGTFPAQALNLRIASAACRPENKDGTRASSDFSFQTIANPRSFLPALGALKGHEPWKHHDAGNHDSGLSSMRSNIKVEAVAPVRTDSFEIPTLQANSQAGHGSAVQTDYGHSNQASLALKDQRKLDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGTHNHPKPQANRRSSSAAAQAIQGPVPSEASENSFGGRSGTPLDSVPTPDDSSVSFGDDDVDMSSQRSNQGGDDYEEDEPDAKRWKAEGESEGLSAAGNRTVREPRVVVQTQSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTMGCPVRKHVERASHDLRSVITTYEGKHNHDVPAARGSGGGGGGAHLLARPQPENNGMAAAIRPSVMANHANPNNVFRGRPGASESQAPFKLEMLQSTGSYGFSGYQNSMNAYMNQQQQQQQAQMFPNKTKEEQKEDSFLDSLLC >Ma09_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36706720:36711859:-1 gene:Ma09_g25150 transcript:Ma09_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTLQELGIVLMAPDTVKPTTSTDPELNENKQPQLTKQRSRTWTHKTQLESPTIPQPRYTSGGHMNISHEARPGKRVREVRKQSGTDVKQPDVKQLLIECAKALSENKIEEFELLVGKAHRAVSISGEPLQRLGAYMLEGLVARHESSGTNIYHGLRSRKPESKELLSYMGILYDICPYFKFGYMAANGAIADALKNEDRIHIIDFQIAQGTQWVTLIQALAARPGGPPHVRITGINDPVAEYTQGDGLQLVEKMLLGLSKKFSIPLEFKGLSVCGPEVTREMLDIRTGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESNTNTTPFLTRFIETLDYYSAMFESIDATLKRDSKERIDVEQHCLAKDIVNIIACEEKDRVERHELLGKWRSRLSMAGFKPYPLSSYVNSVIKTLLSYYSNKYTAVEKDGALLLGWKTRNLISASAWH >Ma04_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26720536:26720718:-1 gene:Ma04_g24810 transcript:Ma04_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCVYVILFFDSWCVICELKANTPRRMNGYEFFIRSISSIDVRFKSIIVYFSCACHRQ >Ma04_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2057414:2059016:-1 gene:Ma04_g02380 transcript:Ma04_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGSVPALLFFLLLVICCAAVAASSTMDAPNEELGVNLAATKNQKHKHSGFSQAECPGACQYRCSKTAYKKPCMFFCQQCCFKCKCVPPGTYAHKEVCACYNNWKTKRGGPKCP >Ma04_p02380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2057358:2059012:-1 gene:Ma04_g02380 transcript:Ma04_t02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGSVPALLFFLLLVICCAAVAASSTMDAPNEELGVNLAATKNKHKHSGFSQAECPGACQYRCSKTAYKKPCMFFCQQCCFKCKCVPPGTYAHKEVCACYNNWKTKRGGPKCP >Ma06_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4372982:4374063:1 gene:Ma06_g05920 transcript:Ma06_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADAEVVIEVPFLARVYKSGRVERFLGNDVLPAGVDPATGVASKDVIVDPATNLTLRLYLPDLAGSSSERKLPVLVYYHGGGFVIETAFSPTYHNYLNSLVAAAGVVAVSVEYRRAPEHPLPAAYDDSWAALRWVASRPAEEAWLSERGDLGRVFLAGDSAGANIVHQMAVRAADEGLGGGAAIRGLVLIHPFFWGAEPLESESRDPNAREWVGRIWAFVRPGTAGLDAPWINPLAEGAPSLARLPCSRVLVTVAEKDLLRCRGQAYYAALKRSGWEGEARLLETEGEQHVFHLLSPKSDKALAKLQAVAAFLTAD >Ma09_p27330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38337206:38337853:1 gene:Ma09_g27330 transcript:Ma09_t27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFHLFLLPNASALPSIAAVIVFAISVLWLYPGGLAWALSRAYRSIPGPPPLRFRRAPCPRQARRVAQSLRLDGLLPRLHTLRLVKPPRHSPGDPQQLGLRRSPCPYELLFHRRVLAQSQEDHRHLSVQSDEKHRKAIGQQMIHDVMASTETDGIVGIKKVLHYGSLNNVMMSDVGKRFDFGKVEGMELERPVTEGYELLGAFNWSDHFPPLA >Ma02_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17039128:17039537:1 gene:Ma02_g05910 transcript:Ma02_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVVRRRKEKAAVAAESGKTTGSGLRKEQQQQKERKSRASVVGIIESSSVFGGDGWGQEIVFNCFSA >Ma06_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1336204:1337774:-1 gene:Ma06_g01640 transcript:Ma06_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELNSSIILLLVVLISTHLLSSANEVYNIAEYGAKSDGRTDSTKPLLGAWQAACGSPGPSTVHVPAGSFLVRQVTFNGPCKSSKIVIRIDGTLVVASDLGRVGEWIVFDHVEGVSVFGGTIDGRGASLWACKATGRRCPGGATSLTFRNSKRIMISGLTSMNSELYHVVINDCEGVTVQGVRIMAPGNSPNTDGIHVQMSSYVTITGAVIRTGDDCISIGPGTTNLWIEQVNCGPGHGISIGSLGKGYDEEGVENVTVNTAVFTGTENGLRIKTWGRPSEGFVKGVVFEHAVMQNVRNPIIIDQNYCPDNKGCPDQNSGVKISQVRYNDIHGSSASQVAVNFDCSASNPCTGIGLQDIKLTYGNRPAESSCKHADGTTSGFVVPPSCI >Ma10_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27727766:27730023:-1 gene:Ma10_g15740 transcript:Ma10_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKGPAPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQANYDKMLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGAIRMVSAHASQQIYTRATNT >Ma06_p25580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25961103:25964808:-1 gene:Ma06_g25580 transcript:Ma06_t25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSIASARGRPTAVRWFKRWVPQDVVATGGKCHLLKWVTEGMLKALEDKSKDSVAEEQKPEPRTETLYLCTYEGCGKAFIEFGALKKHTHTHGEKQHVCQYEGCGKKFVDSSKLKRHYLIHTGERQFVCPHEGCGKAFSLDFNLKSHMKTHSLENYHVCPYPECGKKYTHESKLKSHLKAHHEKVTTVEMVKRKPASDKPHNTSKSAATAYVSASAERRFACPYEGCGKAYIHEYKLNLHLRKEHPGHNPVENRKSALSIDQTIDEPSNQDAYTTKGGIGKNSKRRKPNLTLLMPPAKLPKRTRPNLVPLDTKTVKNHMQTKEMYDRGNIKTDGWSHHDMNDDDEMEAVK >Ma08_p27840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39966957:39984607:1 gene:Ma08_g27840 transcript:Ma08_t27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEGGEEKPPPPEGWKKPPAEGGDKPPKRKMKTPYQLEILEKTYAVEAYPSETLRAELSVKTGLSDRQLQMWFCHRRLKDRKFPPTRRQRRDDDSLPLTPPPPVLPPPNDMLSSESGGVGLSSSPFSGGLGSSGESRRPVPRAAARIGTDMSALGRRYYDPQGLLPAPPNQLTMGELRILASVEAQLGEPLRQDGPVLGVEFDPLPPGAFGAPIEMPAQQNQTVRPYDGNMFERHDAKTMKGPSFLCSMEHLLSSSSNGKRKTTAGGSHIIHPQMGSRALHEYQFLPEQPSVRSEAYDRISQSHYYDSPVDVSSTRVTSLPSGGKSLHGNDQEAPSYTFQGQMSSASLLSHQGRQQTIPSISTDCDSTHSNSFQVPASDTQFGTHQAMGLENPYLSSDRRILRDEDFSRLERKRKCDEARIAKEVEAHEKRIRKELEKQDVLRRKREEQMRREMERHDRERRKEEERMMREKQREEERFQREQRRENERREKFLLKESRRAEKLRQKEELRREKEAARLKAATERATARRIAREYMELIEDERLELMELATARKGFSSIFALDSDTLQQLDSFKILTAGMLSAFPPSSVRLKRPFAVQPWADSDVNIANLLMVWKFLITFADVLGLWPFTLDEFVQALHDYDSRLLGEIHVALLKSIIKDIEDVARTPAMTLGASQSSTANPGGGHPHIIEGAYAWGFNIRSWQRHLNYLTWPEILRQFALSAGFGPQLKKRNVERVCFPDEHEGNDGEDIISILRNGSAAESAAALMQERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSRGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRSPYRKDPAEADAVLSAAREKIQVFQSALSDSEGAEKDTEDADDAERDEDSEGDAADDPEVDDASIDAKLDKDDPFTSELKDSKASTLLGKETGGEIGVIPQTNFGNVEKGPTIPSENSRTASTSHASQLPDANSNFHEENNTDMEETEIDENNFGEPWVQGLSESDYYELSVEERINALVALIGVAIEGNSIRVVLEERLEAASALKKQMWAEAQLDKRRFREEYSSRLQSAAFGGYKAETALTNGAREESQTPLDNVDKSNDGNLEAINNERFLEQNQVNYGNMSVGQELTCADVLPVQHYGYATERSRSQLKSFIGHKAEQLYVYRSLPLGQDRRRNRYWQFSTSSSPNDPGSGRIFFESKDGHWRLIDSEEVFDALLAALDTRGIRESHLHSMLQRVETTFKEAIRRSKKSFNSAVSVGDHVKIGVPKMMVSPDCSMELDSPSSTLCGLASDALEKSTSFKIELGRNEVEKTAALKRYEGFLRWMWKECYNPYMLCAMKYGKKRCSELLHTCNSCFQSFLAEERHCPFCHKTFKAFHNSDAILSEHVALCETKRKSDPDWKPQLSDSTLPIGIRLLKAQLSMIEVSIPAEALQAFWTEGYRKSWAVKLHSSSSAEELFQILTLLESAIKQDFLSSNFETTTELLSSTARVASEIIAAHSGSIPVLPWVPDTSAAVALRLLDLDSSISYMLQQKLEYHKEKEGDYIKLPSRYAVVNNMQEVEPMGTPDQLDYHNDGRWLDTGSGYRGRGRGSRGRGGRGRGRGGRGLRGSGSSSRVEFRTDNIGSFEKATRKYTRRGRTRGRGRRRGRRTIRPRQRSDNRVATIDKRSLLGSFITADSSSNQARIEESPASSGGEEWGLGEDAGKTYVEEDDNSAGFESDENGRASGDEYDDQAADSARDDYDEGKSTGLIDDETEEEDGGDMVDEEGEEDDVGGGHDLDAYMDDDDDDEMRDNPEDVGDAEGNGDEDEGASSFSSEYSD >Ma08_p27840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39966957:39984607:1 gene:Ma08_g27840 transcript:Ma08_t27840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEGGEEKPPPPEGWKKPPAEGGDKPPKRKMKTPYQLEILEKTYAVEAYPSETLRAELSVKTGLSDRQLQMWFCHRRLKDRKFPPTRRQRRDDDSLPLTPPPPVLPPPNDMLSSESGGVGLSSSPFSGGLGSSGESRRPVPRAAARIGTDMSALGRRYYDPQGLLPAPPNQLTMGELRILASVEAQLGEPLRQDGPVLGVEFDPLPPGAFGAPIEMPAQQNQTVRPYDGNMFERHDAKTMKGPSFLCSMEHLLSSSSNGKRKTTAGGSHIIHPQMGSRALHEYQFLPEQPSVRSEAYDRISQSHYYDSPVDVSSTRVTSLPSGGKSLHGNDQEAPSYTFQGQMSSASLLSHQGRQQTIPSISTDCDSTHSNSFQVPASDTQFGTHQAMGLENPYLSSDRRILRDEDFSRLERKRKCDEARIAKEVEAHEKRIRKELEKQDVLRRKREEQMRREMERHDRERRKEEERMMREKQREEERFQREQRRENERREKFLLKESRRAEKLRQKEELRREKEAARLKAATERATARRIAREYMELIEDERLELMELATARKGFSSIFALDSDTLQQLDSFKSMLSAFPPSSVRLKRPFAVQPWADSDVNIANLLMVWKFLITFADVLGLWPFTLDEFVQALHDYDSRLLGEIHVALLKSIIKDIEDVARTPAMTLGASQSSTANPGGGHPHIIEGAYAWGFNIRSWQRHLNYLTWPEILRQFALSAGFGPQLKKRNVERVCFPDEHEGNDGEDIISILRNGSAAESAAALMQERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSRGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRSPYRKDPAEADAVLSAAREKIQVFQSALSDSEGAEKDTEDADDAERDEDSEGDAADDPEVDDASIDAKLDKDDPFTSELKDSKASTLLGKETGGEIGVIPQTNFGNVEKGPTIPSENSRTASTSHASQLPDANSNFHEENNTDMEETEIDENNFGEPWVQGLSESDYYELSVEERINALVALIGVAIEGNSIRVVLEERLEAASALKKQMWAEAQLDKRRFREEYSSRLQSAAFGGYKAETALTNGAREESQTPLDNVDKSNDGNLEAINNERFLEQNQVNYGNMSVGQELTCADVLPVQHYGYATERSRSQLKSFIGHKAEQLYVYRSLPLGQDRRRNRYWQFSTSSSPNDPGSGRIFFESKDGHWRLIDSEEVFDALLAALDTRGIRESHLHSMLQRVETTFKEAIRRSKKSFNSAVSVGDHVKIGVPKMMVSPDCSMELDSPSSTLCGLASDALEKSTSFKIELGRNEVEKTAALKRYEGFLRWMWKECYNPYMLCAMKYGKKRCSELLHTCNSCFQSFLAEERHCPFCHKTFKAFHNSDAILSEHVALCETKRKSDPDWKPQLSDSTLPIGIRLLKAQLSMIEVSIPAEALQAFWTEGYRKSWAVKLHSSSSAEELFQILTLLESAIKQDFLSSNFETTTELLSSTARVASEIIAAHSGSIPVLPWVPDTSAAVALRLLDLDSSISYMLQQKLEYHKEKEGDYIKLPSRYAVVNNMQEVEPMGTPDQLDYHNDGRWLDTGSGYRGRGRGSRGRGGRGRGRGGRGLRGSGSSSRVEFRTDNIGSFEKATRKYTRRGRTRGRGRRRGRRTIRPRQRSDNRVATIDKRSLLGSFITADSSSNQARIEESPASSGGEEWGLGEDAGKTYVEEDDNSAGFESDENGRASGDEYDDQAADSARDDYDEGKSTGLIDDETEEEDGGDMVDEEGEEDDVGGGHDLDAYMDDDDDDEMRDNPEDVGDAEGNGDEDEGASSFSSEYSD >Ma10_p20650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30716671:30726886:-1 gene:Ma10_g20650 transcript:Ma10_t20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFDRFAWPCFEGLSSHEDHKERKSDFENSEDERRTRIASLKKKAINASTKFKHSLRKKNRRKSNTRVNSISIEDIRDIEELQDVDAFRQSLILEELLPAKHDDYHMMLRFLKARKFDIEKAKHMWAEMLQWRKEYGTDTILDDFEFSELNEVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMQVTTMDRYIRYHVREFERSFLIKFPACSIAAKKHIDSSTTILDVQGVSLKNFSKTARELIQRLQKIDNDNYPETLFRMFIINAGPGFRLLWNTVKSFLDPKTTSKIYVLGSKYQSKLLEVIELSELPEFLGGSCICSDLGGCLKSEKGSWMDPNILKMVLGGEAQCARQVVTVSNGEGKVIAHAKPQYTAIKSSDTSTAESGSEAEDGASPKAARSYLSYPHLTPVHEEVKIVKADRFSAGFPQCDEHIPMVDKTVDAGWNREVYNQSLDALNDAGEYSTLETRNSSSGIRAQTIAVLITFFMTLAALICSLTSHVKRRIQEKTSGTSQNDSSVSVEPLLQEEFHPPPAPGFTESDFSCLLKRLSDLEEKVNALQSRPSEMPLEKEELLNAAVHRVDALEVELIVTKKALHEVLMKQEELLAYIDRQEEAKFRFCR >Ma10_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30716671:30726886:-1 gene:Ma10_g20650 transcript:Ma10_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFDRFAWPCFEGLSSHEDHKERKSDFENSEDERRTRIASLKKKAINASTKFKHSLRKKNRRKSNTRVNSISIEDIRDIEELQDVDAFRQSLILEELLPAKHDDYHMMLRFLKARKFDIEKAKHMWAEMLQWRKEYGTDTILDDFEFSELNEVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMQVTTMDRYIRYHVREFERSFLIKFPACSIAAKKHIDSSTTILDVQGVSLKNFSKTARELIQRLQKIDNDNYPETLFRMFIINAGPGFRLLWNTVKSFLDPKTTSKIYVLGSKYQSKLLEVIELSELPEFLGGSCICSDLGGCLKSEKGSWMDPNILKMVLGGEAQCARQVVTVSNGEGKVIAHAKPQYTAIKSSDTSTAESGSEAEDGASPKAARSYLSYPHLTPVHEEVKIVKADRFSAGFPQCDEHIPMVDKTVDAGWNREVYNQSLDALNDAGEYSTLETRNSSSGIRAQTIAVLITFFMTLAALICSLTSHVKRRIQEKTSGTSQNDSSVSVEPLLQEEFHPPPAPGFTESDFSCLLKRLSDLEEKVNALQSRPSEMPLEKEELLNAAVHRVDALEVELIVTKKALHEVLMKQEELLAYIDRQEEAKFRMKMFCI >Ma08_p29100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40830503:40832617:-1 gene:Ma08_g29100 transcript:Ma08_t29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSFRLRLPSLTSSCSSLLRFRTSPTQPPLPSLFFTYATTTASPVSDDDDVAAAADHLPFPSQESVLYVLKKFDKKPQQALSFFRRVTARPGFAPGPPAYNLMLRILGRPDHLTDFWAFLRSMHEVGHNVDQGTYLTLLSDFKRHKLAAESAALAQFYSRTAQHATSAAAVSAAARTILDSDDWNEGVEKKLELPLTEVAVAGVLREVRARPAQALAFFRWAGGRSGYDHGSVAYNALARVLAQEDSMGQFWDLIQEMKEKGHEMDIDTYIKVSRHLLKSRMMKEAVELYELMMDGPYKPAIQDCGMLLRQIALYDAPDLDLVFRVVRKYEAAGYSLSKVVYDGIHRSLTSNGKFDEAEEILKKMRLEGYEPDNITYSQLVYGLCKAKRLDDACKVFDEMEAAGCTPDLKTWTVLIQGHCTAGEVDKALELLTKMIEKNCEADGDVLDVLVKGLCSNNRADAGCTLFHEMVEKVHVKPWQATYKHLIQELLKSGMLEEALKLLSSMKIHKFPPYADPFPSYIAKCGTTVDAEEFLKALTVNNYPSPAAYLHVFQSLFKEGRYSEAQDLLYKCPHHIRKNVDISKLFGSIKSEKAS >Ma02_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18091535:18092395:1 gene:Ma02_g07400 transcript:Ma02_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHGVLLPLRGPSSSLSLSRHLRRQLTLTSFHSSKASTRPRSLLLPVASAAFTVSDGELETRGFRVRRSSDGLDVAALNDVFARVGFPRRDPDRLRRALGHAGGAVVWVEESKRGGGPGKPVAFARATGDGVFNAVVWDVVVEPSLQGMGLGRAVMERLVADLRRSGVSNIALYAEPRVVGFYRPLGFAADPDGIKGMVYSRRNQKKR >Ma03_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9016610:9020549:1 gene:Ma03_g11610 transcript:Ma03_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVNHPTVVQKFAGQFHLGSTFSQDMQAIGYNFNTTSVYKRHFKTANYTNGALQSPVVPACRASYDLSMLSSASPIFANAPAEKGLASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGISDCFKRTMQEEGVASLWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGKLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKKEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >Ma05_p31070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41167508:41167915:1 gene:Ma05_g31070 transcript:Ma05_t31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAHHQPSALLSPSLHPHSFPFLPPYHADPFRLSFFTKGFACSFAVSLSFNMDEKRKLSKEESRNSCSGSRRVVAEGSGPFAKESASAREGQRGRTTTASSFSSRCASVAKEQRAKFYIVRRCVTMLICWRDYP >Ma03_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7018868:7049693:1 gene:Ma03_g09490 transcript:Ma03_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVSRCLGACRLLQCGGALGGGGGRVERCLIPACVVDSRRCRGVREDRIEREFSVLKSPNPPLLAGRFPFGNVGGVDLVAKGSCFRRWSCFLSDSSPPMGGRPSRDLGHLHKGHASFSTSANETVSKLPVNGAGIKKQKDVVEKYSPDEQIADMTILRTLAKYLWLKDNYEFRLRVLLSLGLLVGAKVINVQVPFLFKLAVDWLSVAAGSVNSLASFTETNATLLALFGSPAAVLLGYGIARTGASACNELRNAVFSKVALRTIRVVSRKVFAHLHDLDLRYHLSRQTGALNRIIDRGSRAINFILSSMVFNVAPTILEIAMVSGILAYKFGATFAWITSLSVAAYVAFTLIVTQWRTKFRQAMNKADNDASTRAIDSLLNYETVKYFNNESFEVAKYDTYLQRYEDAALKTQSSLAFLNFGQNLIFSTALSTAMILSSYGIMSGAMTVGDLVMVNGLLFQLSLPLNFLGSVYRETRQSLIDMKSMFHLLEEEPDIQDASNAKPLHFKGGTIEFNNINFGYLAERKILDGISFTVPAGKSMAIVGTSGSGKSTIIRLLYRFFDSDSGSIWVDGQDIREVTLESLRKCIGVVPQDTVLFNDTIFHNIRYGRLSATEEEVYDAARHAAIHETIMKFPEKYSTIVGERGLKLSGGEKQRVSLARAFLKAPSILLCDEATSALDSTTEAEILNALKTLANNRTSIFIAHRLTTAMQCDEIIVLENGKVVEHGPHEVLLSRAGRYAQLWAQQNNNDVLDVAAKVEA >Ma05_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20044638:20045483:1 gene:Ma05_g17360 transcript:Ma05_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVDGGAPHRHRDSHSHSTPPEDTPFHLLEITIISAQELYPAARCLKTYASAWVDPEHKLYTRVDRAGHADPTWNDKLVFRVDDSFLRSDMAAVTVHLHATRGRFSPLPDHLLGTVRVVLSALGPAAGPRRCVALQVRRPSSLRPHGIINLGVAILDSFAKNMPLYYDLNSKSAFAYKDLIGAKRGKSNGPRWPSIDCDGWQPERNGSVEMASGVEEREREELRSKLEMWKAEVTLDHEADDRSNCRDSDKRRRRRRGSSGRLSCFSYTGEVMD >Ma04_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17836216:17836436:1 gene:Ma04_g17490 transcript:Ma04_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAMSWINFMISSDKHIKLIYKYIGSKISIHHLWSPIIQYQ >Ma04_p32100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32223377:32228712:1 gene:Ma04_g32100 transcript:Ma04_t32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSSLLGFLGFGTGIGVGLLIGYCFFIYFQPTDVKDPEIRPLAELDSKSLEQMFPEIPQWVKNPDFDRIDWLNKFLELLWPYLDKAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTLQGMKVYITDEKEIIMEPSLKWAGNPNVTVVVKAFGLKATAQVIDLQVFATPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADVMSVPGLYRFVQETIKKQVANMYLWPKTLEVPIMDPSKAMKKPTGILNVVVVRAYKLKKKDLLGKSDPYVKLKLADDNLPSKKTTVKRSNLNPEWNEEFKLVVKDPESQALELSVYDWEQVGKHEKMGMNAIPLKDLIPDETKSLTLELLKNMDPSDPQNDKLRGQIVLEVTYKPFKEGEVANNISDGENDVEKAPEGTPVGGGLLVVIVHEAQDLEGKHHTNPYVRILFRGEEKKTKYIKKNRDPRWEEEFQFMCEEPPTNDKMHVEVLSRPPSIGIHSKEILGYVVISLADVVNNKRINEKYHLIDSKNGRIQVELQWRSS >Ma01_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10853830:10855067:1 gene:Ma01_g14890 transcript:Ma01_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEGEEGSESKQHHLSAGTLDPVSQKKHAAMLDRLATLHQSRLQQSAARSAAAAAASPAFESVSAFLDRFAESRRTIEADLQGCRAIAADPASAARLKPELEKMAASIADLDRLVAENSYFLPSYEVRSSLRAISALKESLEAANSELLPRKKFSFRNKAPKKDPTFLVKEVEEAKVSAPGKPDLAVVRETPGFRNKEGSILIKHFRVSEEGEGDFTLADLNSCEIYLRGRLRALFIHRLTNCRVFAGAVLGSILIEEVNDCLLMLASHQIRIHHARATDFYLRVRSRPIIEDSSGVRFAPYRLFYEGIKEELRDSGLEEETGNWANVDDFKWLRAVQSPNWSVIPEEERVQTMNVSDATEEPCEDKQ >Ma10_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32327978:32330767:1 gene:Ma10_g23320 transcript:Ma10_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRMVMNFILRKDVRGFHKGKDGDPGDRGRAFEDIEASLSELHSFEGARRLQQRVCGPTVALSFNFLVSVGIIMMNKLVLGKVGFNYPIFLTFIHYSLSWLLMAILKAFFLLPASPPSKSTPFSSLLALGIVMSLSSGLANVSLKCNSVGFYQMAKIAVTPTIVLAEFMFFRKTVSYQKVLALTIVSAGVAIATVTDLEFNLFGACIALAWIIPSAVNKILWSNLQQQHNWTALALMWKTTPVTLFFLVGLMPWLDPPGALSFRWNSTNSSAIFMSAVLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGFLLFDSNPGISSICGAVTALGGMSLYTYLNLSTSHKQGAKPAK >Ma02_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20124109:20125196:1 gene:Ma02_g10610 transcript:Ma02_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGAAEVFTEEQEALVLKSWNVMKKDSANLGLKLFLRIFEIAPSATRLFSFLRDSDVPLDKNPKLKRHAMSVFVMTCESAVQLRKAGKVTVKETTLKRLGASHFKYGVVNEHFEVTRFALLDTIKEAVPDMWCPEMKAAWGEAYNKLVAAIKEEMKPIPSP >Ma05_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12192621:12195342:-1 gene:Ma05_g15780 transcript:Ma05_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQASFHVLFIWPLVVASCALSSAASSLRQELDRVVGLPGQPPVGFRQYAGYVTIDEGLGKALFYWFFEATHRPAEKPLLLWLNGGPGCSSIGFGEAQEIGPFLVKKDVPELEHNEYAWNKAANLLFLEAPAGVGFSYSNITDVQGDNATAFGSYSFLVKWFQRFPQYRLNEFYIAGESYAGHYVPQLANVILEQNMKAKKEDHINLKGLVIGNAVMDSETDIRGMVDYAWDHALISDRVYHNIKTSCNFSAVAPTQECSKALQQYYQVYQIIDMYSLYTPRCESGYPKFSSSVAQGEESLMSISKFEDLLKIPMGYDPCLQTYATVYFNRPDVQKALHANVTRLSHPWSLCSSSVVRAWSDSDRSVLPIIGKLIDAGLRMWVFSGDTDARVPVTSTRYTLNKLGLSITEDWSPWYSHKQVGGWTIIYEGLTFVTVRVAGHQVPTFAPRQSLQIVEHFLANKKLPSFPF >Ma05_p26110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37845750:37848852:-1 gene:Ma05_g26110 transcript:Ma05_t26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLADNPQLFEGDELMAEAVPAESGGSAEKRRREEAGEEDSVSKRQKPEGCLEEEGTDGVEGEEEVGGDVEVRRSRDGGESEVASIGPKVFTSSVEMFDYFLKLLRSWLPNLNINKYEHMVLLDLLKKGHPEPAKKIGEGIEAFQVRYHPTYKSRCFFLIRVDGTTDDFSFRKCVDKILPLPDHLKVQSTSDNDRVLGNKHGSHQRGGGRRGGRGYGKRGGFRK >Ma10_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4652795:4655524:1 gene:Ma10_g01440 transcript:Ma10_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMNKAGTYWFSKKAGKEISSVGDDINSLSNSIEGGAKWLVNKIKGKMQKPLAELLKEYDMPIGLFPEDATNYEFNEETGKLAVFIPSVCEVGYKDSSVLRFFTTVTGYLEKGKLADIEGIKTKVLIWVKVSCVSTEGTKVHFATGLKKTRSRDAYEVVRNGVSVDKF >Ma01_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9164534:9168145:1 gene:Ma01_g12550 transcript:Ma01_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVHVIEARGLPVMNMNGSCDPYVRLQLGGRRAKTKVIKKNLNPFWDEKFSFLVGELSEELTVSVLNEDKYFNNDFLGKVKVSLLKVLDAENLSLGTAWYQLQPKNKKSKNKKRGEICLTIQLSPRNTILDESSIVSQAPSGDLASSSETSQLMREAPLCTSGGKIDSSSLMDLEETRATKENKSNTTSFVELLSQMFRGKNAESVPLAENRDLDSLEQSEGTSTKADVCADSADNVPCENKFEELLETMASKCLGSDMPGHLPGGILLDQSYAIAPGDLNSLLFSPDSNVRKSFQTILSYDAGTPGRRVQLPYSDLSLPCTKYQPFQHAQTKLGARRLRSGALICAAALSARCAAEQTQTVTRQSSTITIAPIQGKEKSPELDDGGTGFPPRDDDDGGGGGGGGGGHWSGGFFFFGLLAFLGLMKDQESEGPYQNNKRRY >Ma07_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3850809:3853556:-1 gene:Ma07_g05270 transcript:Ma07_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGDDAGSWCRHALSNHALVADVLLSFRDPRILLIPWQHRLDPDRTTAAPGSLPSSEPSDTKTAHSEKDDERPSSSLPPPLPAEEFPDWGRRIRRSWTVKASRIREATAGKSRRRRRPSPLSALDGCSSASTSWTSRDGEEGSSSPEKAAKMPRSFDDAAPGGGLPSKSSLDGPKAPVADANAAMALEPPLPVSRQSVSKKFLLPDLNELPDCLD >Ma03_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27301719:27311256:1 gene:Ma03_g22550 transcript:Ma03_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHACQECGASFRKPAHMKQHMLSHCIERPFSCPVDDCHASYRRKDQLTRHSLTHQGGLFTFPVSNCNCKFGIKADMKRHMREIHEDDSSREGQKQYVCHEPGCGKTFKYPSKLKHEDTHGKSLCLESGCLRTFTSAECLKAHIRTCHQHVDCEVCGTKQLRKNMKRHERKHEMNEMTDRTKCNIKGCECTFSNV >Ma07_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6822198:6836019:1 gene:Ma07_g09090 transcript:Ma07_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSPPEVPMELHAHNRDKLVRALRDHLAASSRPTRGFVLLQGGEEQTRYCTDHAELFRQESYFAYLFGVREPGFFGAIDVASGKSFLFVPRLPADYAVWLGEIKPLSFFKERYMVDLVFYVDELVLVLHDHSNEPGKPLLFLLYGLNTDSNNFSKPAAIEDMNKFDTDLSTLHPILTECRVIKSKMELDLIQYANDVSSEAHIEVMRRIRSGMKEYQLESIFLHHIYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRILEEGDMALFDMGAEYHFYGSDITCSFPVNGKFTKDQIVIYNAVLAAHNAVLQSMRPGVCWIDMHKLAEKTILESLKNEGIVIGDIDKMMERRLGAVFMPHGLGHFLGIDTHDPGGYAQGLERPKEPGLKALRTIRELKESMVITVEPGCYFIDAMLVPAMEDAVTSKFLNKKEIEKYKKFGGVRIESDVVVTADGCKNLTNCPRETWEIEAVMAGAPWPLDHARFKSQNGSSGA >Ma07_p09090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6822178:6836019:1 gene:Ma07_g09090 transcript:Ma07_t09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSPPEVPMELHAHNRDKLVRALRDHLAASSRPTRGFVLLQGGEEQTRYCTDHAELFRQESYFAYLFGVREPGFFGAIDVASGKSFLFVPRLPADYAVWLGEIKPLSFFKERYMVDLVFYVDELVLVLHDHSNEPGKPLLFLLYGLNTDSNNFSKPAAIEDMNKFDTDLSTLHPILTECRVIKSKMELDLIQYANDVSSEAHIEVMRRIRSGMKEYQLESIFLHHIYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRILEEGDMALFDMGAEYHFYGSDITCSFPVNGKFTKDQIVIYNAVLAAHNAVLQSMRPGVCWIDMHKLAEKTILESLKNEGIVIGDIDKMMERRLGAVFMPHGLGHFLGIDTHDPGGYAQGLERPKEPGLKALRTIRELKESMVITVEPGCYFIDAMLVPAMEDAVTSKFLNKKEIEKYKKFGGVRIESDVVVTADGCKNLTNCPRETWEIEAVMAGAPWPLDHARFKSQNGSSGA >Ma00_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:27245653:27246509:1 gene:Ma00_g03260 transcript:Ma00_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDGEVEETGRGKEEGGEEKAEEKKESRIHQSPVSTVVLKIRLHCDGCIHRIKKNIYKIKGVQEVTVDAAKDLVTVRGTMDAKTLRAVLKDKLKRGVEVVPPKKHAGSGGGGDEKKDKGGDGGGGEKREEDGGGGEKKEKEGGGGAEKKEAVAMSGGSYGGYGYRFEIVHAPQIFSDDNPNACSIM >Ma03_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26976228:26977603:1 gene:Ma03_g22190 transcript:Ma03_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLSPMPSSPQFSSIYRRLALLPCRFVGISTSFRAHRRLLFLRAAAQSPGPAGDDASSLSQEDAQKKNSKTAAPDLPSLPYPNIPIWARWVLGAAVILAVPFYRRFLKIEGEVKKTAKAAVGVVEKVAEATEKIAMEVAEALPENTKLKQVALEVEEIAEVVDNEAKLAETILEEVDDVVEKVDTLVEPIVDELEGGEGEVTRQGKDSKETI >Ma07_p18390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25412883:25416860:1 gene:Ma07_g18390 transcript:Ma07_t18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSMRKSFKDSLKVLEADIQHANSLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCSLAGALGLLRVLIYKVYVDGKTTMSIQERKASIKEFYGVIFPSLIQLQKGITDMEDKKQKSICVERYRRREDDDKQQLSEIDVEREEECGICMETNSKVVLPNCGHAMCMRCYYEWHSRSQSCPFCRDSLKRVNPNDLWILTDRRDVVDMATVVKENLRRLFMYIEKLPLVMPDSVLDVYDSHVK >Ma07_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25412883:25416860:1 gene:Ma07_g18390 transcript:Ma07_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSMRKSFKDSLKVLEADIQHANSLCRASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCSLAGALGLLRVLIYKVYVDGKTTMSIQERKASIKEFYGVIFPSLIQLQKGITDMEDKKQKSICVERYRRREDDDKQQLSEIDVEREEECGICMETNSKVVLPNCGHAMCMRCYYEWHSRSQSCPFCRDSLKRVNPNDLWILTDRRDVVDMATVVKENLRRLFMYIEKLPLVMPDSVLDVYDSHVK >Ma09_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13400446:13401739:-1 gene:Ma09_g17800 transcript:Ma09_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAKTLSRWSLDQPKPGSNSGYIVLKDEESEPESACCFGCPEETRIRNLPFPQDRILTIKYSPLKGENGSNKATVCFIPAVGHPLSSNRYYVIVAKGRKRGKVYTCSKEDIACCSCHGGVKDAKLRAFDHRNVYQVMEIKDDGNGKFTAKSVAPDGHPPSLLGREHWKLYASKPKHYALREAWGLDAGLRACLPDLNFPIGAADGPKITVGRWYCPFMFVKEACRLRDQMKRSMFYEISLEQFWQKVYACENHSGHDKVVEVNALFGSLLVMMDGGKEVVQDRTVHGDDGMVWFKPLDSRAKGIGLSLAMWESIKWEQGRGGWIADEEERMVRLEQYEGMNRWKKFACYVLVERFVVKRIDGSLVLTFDFRHSIKVRSKWD >Ma09_p26390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37674095:37676810:-1 gene:Ma09_g26390 transcript:Ma09_t26390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVPKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREVYDQYGEDALKEGMGGGGGHDPFDIFSSFFGGGPFGGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICQKCKGKGSKSGASMKCSGCQGSGMRVTIRQIGPGMIQQMQQPCNECRGTGETINEKDRCPQCKGEKVVPEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQLKDHSKFKRKGDDLFFEHTLSLSEALCGFQYVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPESLTLDQCKALETVLPPRLATQMTDMELDECEETTLHDVNIEEEMRRKQAQAQEAYEEDDDVHGGAQRVQCAQQ >Ma09_p26390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37674095:37676810:-1 gene:Ma09_g26390 transcript:Ma09_t26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVPKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREVYDQYGEDALKEGMGGGGGHDPFDIFSSFFGGGPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICQKCKGKGSKSGASMKCSGCQGSGMRVTIRQIGPGMIQQMQQPCNECRGTGETINEKDRCPQCKGEKVVPEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQLKDHSKFKRKGDDLFFEHTLSLSEALCGFQYVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPESLTLDQCKALETVLPPRLATQMTDMELDECEETTLHDVNIEEEMRRKQAQAQEAYEEDDDVHGGAQRVQCAQQ >Ma07_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28067755:28073842:-1 gene:Ma07_g20140 transcript:Ma07_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLGSFHYNFEQRSKERLLSSTLLPHLYDDPSTRQGGCFRRLADRIAAAWAFIRWLAVEGWEFGRSDPRKVVFAAKMGLALTLISLLIFLREPFRDLTKYSVWAILTVVVVFEFSIGATLSKGLNRGLGTLLAGGLALGVAELAILTGDLEEVTVVISIFVAGFFASFIKLYPTMKPYEYGFRVFLLTFCFIMVSGYRTRAFIETAVSRFLLIALGAGVGLGINICIYPIWAGEDLHNLVAKNFTGLAKSLEGCVNGYLQCVEYERVPSKILTYQAYDDPLYSGYRSAVQSTSQEDALLGFAIWEPPHGPYKMWNYPWKCYVKVSGSLRHCAFMVMALHGCILSEIQAPPESRQIFSSELKRVGIEGAKVLRELGDLIKTMRKLDKPNFLFEVHEAAEVLQKKIDRKSYLLVNLESWEGVEFPDGTKGIPGRPNITEDDSKCYTARSNGEAVVDHRSPPLSTSSDIHNLVTSDTGSLPKMDSMFRRQMQWPGRQSFNLEVMLNGEESRTYESASALSLATFASLLIEFVARLQNLVDAFEELSEQANFKGNVDESVSETAGLWTRIRKFHVRKEELV >Ma02_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16832385:16833997:1 gene:Ma02_g05570 transcript:Ma02_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGFRRSLSLSGLSPNASPARRRPRDAPRHARSASLPCRSQSALSLIQDEIRSLRSGTASDLERIDRLLAALDDLLRLSRIQDPLRRCPALADRLLDGFLRLLDAQGSFRSAVLALGQHHAEACTAVRRRDPVRLASAARSLRRAEKELVLLATAIKDLTRCPPFTPGLWADAAEAEVAGIVTEAVAATATAMSAVYLGIAAVSSAAASAAAAASTSKDSWMVRALRRPSPSKKREAEEAEMGAMEKLEERMEGLEEGSERVYRSLVNIRVALLNALTPSL >Ma08_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34817847:34818281:1 gene:Ma08_g20730 transcript:Ma08_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDSPAIPTPHVEEDCRGILRVLSDGSILRSPNPTHHPPLSRGWCCPRRLEGRPVLLLRPPPSPVQASKSPPGPATKFPVFYYFHGGGYCLGARDWPASTTAAFAPEHRLPAAALLWLRSQAGAADPWLAELADLGRVFVSG >Ma03_p09510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7061254:7064531:1 gene:Ma03_g09510 transcript:Ma03_t09510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTGTGPPTGGRNVQQFCGGGTKEGDESLDLFWRIHQNRASSHHGSDHQKVSITQERTGKGSLMKSRMDDLLSADVGKHDYDWLLTPPGTPLFTFSKATDDQKSRANPGCRSNPRSASTDKASRLSVSRSENANSAKPARSSSTTRSSMSNAYSSSTYLSNNSRTAVLDTSTASVTSKPTTPATRSTQSAPSRSPTPVKARPSLPSSMDKPRPSQSFRTAAPTVRPQAPSNTSNSSSSAAATRPSTPIRRAATTPAAAPAITRSASVGRVPATNNRKSSPVMRPSSPAPRARTVPDIPSEAPPNLRTKVPERAVSAGRQRPGTALAVRATSNSEAAAAVSAVRRQSSSPVIARGRLQENSSGNRMGNRVNEVKAADNKKSLASEPAARRPTKPASPTENTAGFGRSISKKSMDMALKHMDIRQSMGGLRGAALFPQSIRSAAQKLRPGRSVAADCNGAAMSNGDLAVRPPNEPFMERECKLDLYESSRCDAILLKEDSKNMNWLHSTEDKSDQRPLFDYRFGQLPEPFGPL >Ma03_p09510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7061482:7064531:1 gene:Ma03_g09510 transcript:Ma03_t09510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTGTGPPTGGRNVQQFCGGGTKEGDESLDLFWRIHQNRASSHHGSDHQKVSITQERTGKGSLMKSRMDDLLSADVGKHDYDWLLTPPGTPLFTFSKATDDQKSRANPGCRSNPRSASTDKASRLSVSRSENANSAKPARSSSTTRSSMSNAYSSSTYLSNNSRTAVLDTSTASVTSKPTTPATRSTQSAPSRSPTPVKARPSLPSSMDKPRPSQSFRTAAPTVRPQAPSNTSNSSSSAAATRPSTPIRRAATTPAAAPAITRSASVGRVPATNNRKSSPVMRPSSPAPRARTVPDIPSEAPPNLRTKVPERAVSAGRQRPGTALAVRATSNSEAAAAVSAVRRQSSSPVIARGRLQENSSGNRMGNRVNEVKAADNKKSLASEPAARRPTKPASPTENTAGFGRSISKKSMDMALKHMDIRQSMGGLRGAALFPQSIRSAAQKLRPGRSVAADCNGAAMSNGDLAVRPPNEPFMERECKLDLYESSRCDAILLKEDSKNMNWLHSTEDKSDQRPLFDYRFGQLPEPFGPL >Ma03_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7061254:7064531:1 gene:Ma03_g09510 transcript:Ma03_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTGTGPPTGGRNVQQFCGGGTKEGDESLDLFWRIHQNRASSHHGSDHQKVSITQERTGKGSLMKSRMDDLLSADVGKHDYDWLLTPPGTPLFTFSKATDDQKSRANPGCRSNPRSASTDKASRLSVSRSENANSAKPARSSSTTRSSMSNAYSSSTYLSNNSRTAVLDTSTASVTSKPTTPATRSTQSAPSRSPTPVKARPSLPSSMDKPRPSQSFRTAAPTVRPQAPSNTSNSSSSAAATRPSTPIRRAATTPAAAPAITRSASVGRVPATNNRKSSPVMRPSSPAPRARTVPDIPSEAPPNLRTKVPERAVSAGRQRPGTALAVRATSNSEAAAAVSAVRRQSSSPVIARGRLQENSSGNRMGNRVNEVKAADNKKSLASEPAARRPTKPASPTENTAGFGRSISKKSMDMALKHMDIRQSMGGLRGAALFPQSIRSAAQKLRPGRSVAADCNGAAMSNGDLAVRPPNEPFMERECKLDLYESSRCDAILLKEDSKNMNWLHSTEDKSDQRPLFDYRFGQLPEPFGPL >Ma09_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1585254:1592782:-1 gene:Ma09_g02220 transcript:Ma09_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAASSTAPPVLLPSHQHRLRRASLVFSSLVLKPRPPLSISSSLFGHRRRRGPPDPKSSSHDRNLEVAIDPSAIAARASATAGRLRRSSEERLRRFLSAGEEAYHDLRTSVRVDRSRNRVVFSCRESSLLFVSNLFLWSFVAILAARALVWLGLGFRSRWRFGDWAVIKRDRSLGGREVVVGRSFRGRDWNKKSFTVSRSPLSPVRGTELKTVENVAKIRREKQEKLPEWWPDSIPAPVIAAGKQDYQREVDRLVRAILDYRMSGKDYRYDDIIQLREICKVSGARASFETANARDSFYRASVDFVLNSCSRAIIPSDKPQIGGEDVRQFIAGLAVNIGLTNSRAITLVSAAVAARTRSCFLQCWALEVQGKRTEALEELLKICLIHRTFPPEEHSAEMEMVGSGLKRHLTIEQRKHLLSLYKETCGADDHRSIVEALGLER >Ma09_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19133594:19134313:1 gene:Ma09_g18810 transcript:Ma09_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMMDLGSQSGLHIIDTSIAVDCTRDVRLRRTLRSLVQHMVPCCVFPPSDASHDFVDTRSTHGSGSSTTTIVTGTFFCYRRGRVSFCLHDEPSRNSPILLLDFTIPTAYLAKEMQHGVLRIALECDRSRPRSSMPMALFSVPSWSMYCNGRKVGYAVRRQMSEDEAAIFKLMQSVSVGAGVVPRETKSGSGDLLYLRASFERVIGSVDSESFHMINPVGSTGQQLSIFLLRT >Ma07_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31270461:31270991:1 gene:Ma07_g23730 transcript:Ma07_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHVATFLLLCVAPFWIFNIAALNIHNYVIGDVIGIAGVVLCAFGLLYGGFWRIQMRRRFRLPRDAFCFGSASLTDYVKWMFCWACALAQEVRTGNFYDVEDDSLFRKLMDGEEERQTIATETRDGSEVLRGDATTPPVQPLMGSEDVVGGANVSTQFPPASISAGEEDDAPSST >Ma04_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25756553:25759747:1 gene:Ma04_g23650 transcript:Ma04_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRKPLMLKDYLELDWNSETSSAGFRCVPRRAEDAATVRCLLDAELRGGAGRELPRTRSMSPLISAMFNAVRLLHVAASGGARRSGDEGLRSSSFSKRLRGSFWRRRGNEEENRVNLRNIVRLRSFQEEEEDEVGDERRSFDFPSPVVSSCSSDKESDSCSSDFVPSSNASSGDTEAATGSTTAAAMDVKDDSPLASPNRSPKGRNSVQEDAAASVAGTKATEGQGEESPACHSEEEEKEQLSPVSVMDFPSEEDEEEDDDDDDTASPSFHHSLAKLERTKLQLLQNIRRFESLANLDSIDLDRHVANSDDLYDSTNHLASLDTDEEEEEEEERDRRERKAWGLLGELKDVCHVDAHGSIEKLLLDFFIQGLPCSGDDARPMNPTAHHGPAERPLLDTARGWIEGAGCRDLDDYHGEATLREMERNRRWRCFQEQEKEVGSEVEGLVLVLLMEELVGDLLPY >Ma07_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24380584:24380835:1 gene:Ma07_g18090 transcript:Ma07_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLVFLCIKSVPVPYVRHERFLVGRIGPKECRVYRCIARYGYHDIHKDDLEFEKDLCLQYSRVYSVRCLSAEWYCRTIREE >Ma02_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21193936:21197690:-1 gene:Ma02_g12480 transcript:Ma02_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLLFVLLPMIVSEGRVTKRGASAVERRSVLDDGLGRTPPMGWNSWNHFQCNINEQTIRETADALVNSGLANLGYRYVNIDDCWAELNRDSQGNLVPKKSTFPSGIKALADYVHGKGLKLGIYSDAGTLTCSKTMPGSLGHEEQDAKTFAAWGIDYLKYDNCNDQGLKPSERYPKMSRALKNSGRPIFFSLCEWGVDDPATWASTLGHSWRTTEDIFDKWDRMISLADQNDRWAAYAKPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALVKAPLLIGCDVRSITKEAYDILSNQEVIAVNQDSLGVQGRKIVLGPNNTEVWAGVLSGGRVAVVLWNRGSSRATITAQWPNIGIVSPSAIVKARDIWAHADIGNLQGQITVTVDSHACKMYILTLQ >Ma11_p18640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23645610:23652003:1 gene:Ma11_g18640 transcript:Ma11_t18640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLQALVTDFVLKLKRRKIEGSQATAKQTAELLRQVVSQQRMPYTNQASVLIDAVRAVGEQLIAANPIELAVGNIVRRVLHIIREENLSSTTAAMEGLGLTAGSDDDEGEHEDRPGLSAAAVAAAARSTLRAPSLQTLLEDIPESAVAPHPSSSGGDSEGKSKSDRGSRSKKLKHDVIEAINELIQDIDTCHELISEQAVEHIHQNEVILTLGRSRTVKEFLCAAKEKKRTFRVFVAEGAPRYQGHVLAKELVGKGIQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGINMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNEFRCPSDLLDFGEFSDCMDYGSLGGSPLLHVVNPAFDYVQPKLVSLFITDTGGHNPSYVYRLIADYYSADDLVVQQNST >Ma11_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23645610:23652003:1 gene:Ma11_g18640 transcript:Ma11_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLQALVTDFVLKLKRRKIEGSQATAKQTAELLRQVVSQQRMPYTNQASVLIDAVRAVGEQLIAANPIELAVGNIVRRVLHIIREENLSSTTAAMEGLGLTAGSDDDEGEHEDRPGLSAAAVAAAARSTLRAPSLQTLLEDIPESAVAPHPSSSGGDSEGKSKSADRGSRSKKLKHDVIEAINELIQDIDTCHELISEQAVEHIHQNEVILTLGRSRTVKEFLCAAKEKKRTFRVFVAEGAPRYQGHVLAKELVGKGIQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGINMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNEFRCPSDLLDFGEFSDCMDYGSLGGSPLLHVVNPAFDYVQPKLVSLFITDTGGHNPSYVYRLIADYYSADDLVVQQNST >Ma05_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4319926:4322726:-1 gene:Ma05_g05680 transcript:Ma05_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPLLAEDAVVGRPPPPSHDGCRNPDAGFSDGTGALLLVLGYLRLPELLAFQRVSRFFRDAVAGDGLLWRRVAVQSPLSGRLTDDALLRITSRAEGKLESLALMDCWKITDDGLMQVVDRNPGIAKLHVPGCTYLTANGIVRIVQWLYEHKGNLKSLQIHGICNITKDHLDILKLLLLGSNHQQVLPPTNDRYWHSFTFINHDDRPIDVDICPKCKHVRMVFDCTRENCRSMKSQWTECRGCFFCIARCEDCGGCLDFDELGEETVCSHLLCVKCWLDLPKCNICNQPYCKGHSNFLEGSSKFLGFVCEQCMESTSSSNYSTCG >Ma08_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6627221:6629449:1 gene:Ma08_g09150 transcript:Ma08_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNSSFTGASSACLLQRERSRRRRLHVPGVTCRQGSNGDRSDAARQQQSPPLLDRRDMLLGLGGLYGVTAGPKVLAAPIMPPDLSKCYPATAPALDNKCCPPYDPGETISEYSFPATPLRVRRPAHIVKDDQEYMDKYKEAVRRMKNLPADHPWNYYQQANIHCQYCNYAYHQQNTDDVPIQVHFSWIFLPWHRYYLHFYERILGKLIDDDTFTIPFWNWDTKDGMTFPAIFQDAASPLYDPKRDQRHVKDGKILDLKYAYTENTASDSEIIRENLCFIQKTFKHSLSLAELFMGDPVRAGEKEIQEANGQMEVIHNAAHMWVGEPDGYKENMGDFSTAARDSVFFCHHCNVDRMWDIYRNLRGNRVEFEDNDWLDSTFLFHDENEQLVKVKMSDCLNPTKLRYTFEQVPLPWLGKINCQKTAETKSKATTELSLTRVNEFGTTAQALDASNPLRVIVARPKKNRKKKEKQEKVEVIQIKDIQVTTNETARFDVYVAVPYGDLAGPDYGEFAGSYVRLAHRMKGSDGTAEQVPKKKGKLKLGITPLLEDIDAEDADKLVVTLVLRTGSVTVGGVSINLLQTDSTAAI >Ma10_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20389712:20395649:-1 gene:Ma10_g06850 transcript:Ma10_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPALGEWEPSDLDFVSTSNFSLQCSIGSHTTNAKFEWVDYRPAVFRKLQEFGEIDNDDYTDSIRGHETLKFLVSPEKATSLCLQHDDRFIIKILSKSEMKVLLEILPKYYHHLKKYRRSLLPKFYGLYVVKPQGGKKVRFVVVGNILRSDISIHKKFNLGISPQGRLVSKAGAEEDLNVAFHLHAAVRHLLLRQIKHDCSFLKEVGVTEYSLLLGMHVCSAPFEAVFQGHYTTTHKEGSDDTEKKTSDGPDATQCDPAEDMTTDDNSGSSCPIEFASEIGIRMTARVVNTQRKEGNLASLCHPRKQSPNNVILYLGIVNILQGHSKLKHVEHVFRSLQSDSPVILAVNPKVYSTHFEEFLGKFFPESEAEKIMKEL >Ma02_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29144868:29145149:1 gene:Ma02_g24620 transcript:Ma02_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDRKTMTGYRWVAYTQTFVAATGCEWELSAKQAIGPELAHRRCLMIDAEKAKSKRFGLHDWGVQPTRVESPAAAPLISSSSGGGGRVHLWP >Ma03_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24630750:24652812:1 gene:Ma03_g19310 transcript:Ma03_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRADYSPQDDLLEQEFMLKGRWFQRKDLEVINGRGKKLQCSHYVPLVIPEGKVLPCVIYCHGNSGCRADASEAAIVLLPSNITVFTLDFSGSGLSEGEHVTLGWNEKDDLTAVVDYLRTDGNVSCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIIKKKANFDIMDLDTIQVAKRCFVPVLLGHAIDDDFIRPHHSDDIYSSYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLDPPKSVSDELYFHRMHDFFGQDNWDNVHEYENQNSVEPPQELAPSGTEDAIAQLRSRRPMSRTEVPRDISSQEKQHEDEEHGSTCNVGPSSTLYPEDFSSGDSYGWLTPQTKENFLEFLPLESLPDVPCSIEDEERMIMEAMIESLKDLEMRHQQDTHSAVDTATSKSADDLEAFTGESGGPNDTAERSTSSSTSCNSTVDPNLNQSQDVEAACKNSASCVTLGDDCGEVNRSTSAQDADADAAAGTRATLVVQKNPTSHIMEGLSRRWGLNFFKNNQ >Ma11_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11183827:11187068:-1 gene:Ma11_g11000 transcript:Ma11_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAADSGMMLHAPSRRRTVVEHADGGVDVVLATSIGNGEDLGPAVRHSFESGKPEALLHQLRNIVRKKEVEIEELCKLHYEDFIVAVDELRGVLVDADELKSMLSSENLRLQEVASALLLRLEELLELYLIKKNVTEALQTLKVCVQVSKLCLTCNMHVSNSRFYPALKTLDMIERNYIQNTPLKPLRKVIEKQIPALKLHIEKKVCSEFNDWLVHIRSAAKEIGQLAIGQASSARQREEEKRARRREAEEQSRTGVGDVACALDIEHIDEDSMLEFDLTPVYRAHHIHTCLGIEEKFRDYYYKNRLMQLNLDLQISSAQPFLESHQPFFAQIAGFFIVEDRVRRTAGGLLSDSQVEAIWETAIAKMTSVLEDQFSRTDTASHLLLIKDLVTLLGATLTGHGYRVAPLLEVLDSSRDKYHELLLSECWKQISDILASDSFEQMVIKKEYEYNMNVLSFQLQSSDIMPAFPYIAPFSSSVPDVCRIVRSFIEDSVNYLSYGGHINFYEVVKKYLDKLVIGVLNEALLNMIHTGNLGVSQAMQIAANIAVLEGSCDLFLWQAAQLCSVPLRLVERPHAGLTAKAVLKASQNAAYNALVNVIDSKLDEYLALMNGINWTADEAPENANDYIHEVVVYLDFLISTAQQILPAEALYKIGVGALNHISDSIVAAFLSESLKRFTLNAVIGIGNDLKTLEAFAAERFQSTGLSELKKDRSFRDCLVEARQLLNLLVSNQPENFMNPVIREKNYGALDYKKVASICEKFKDAPDRLFGSLSSRNTKQDARKKSMDMLKRRLKDFS >Ma02_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20583704:20585977:-1 gene:Ma02_g11440 transcript:Ma02_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYEKAVDRCLGWGGGAGAGGWRSLLLLVALVASTAALYAFFSSTLRVSAWFLVPPPSNSSPEEFVLLPSPPPTHRHTRPPLGRHPASTPPPTEVPVSTASPSLIAPSPSPAEGAYDPKTPPLVPDGPGFTPSPGFLPVSTVTPPSVAPLPPPRVGDDAMTDLQLIHAKKEIAGAPVVLHDPDLYAPLFRNVSVFKKSYKMMERILKVYIYEDGPKPLCHTPHLDGIYASEGWFMKLMEENTRFVVKDPNKAHLFYLPYSSRQLRTHLYVAGSRSMQPLSIFLRDYVNSISAKYPFWNRTRGADHFLVACHDWATYTTNLHEDLRKNTIKVVCNADVSEGVFVRGKDVSLAETYVRTPNSPRKAIGGRPASRRSILAFFAGQMHGRVRPILLRYWRGRDRDMRIYEVLPDEIAAKMSYIEHMKSSKFCICPMGYEVNSPRIVEAIYYDCVPVIIANNFVLPFEEVLDWGAFSVVVAEKDIPKLKQILLGISGRRYVRMQTNVRRLRKHFLWNDKPVKYDLFHMILHSIWYNRLNHYSL >Ma00_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17747825:17748700:1 gene:Ma00_g02440 transcript:Ma00_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKAGKIELEQYVHFYLDPGRSYASLAHLKQIVTMHGLNQLHNCPKRHIIECLESIETMSPARKTLEKSVTSRAFLLADEVVADLTDIGWEECHVRSLLTLDPRSGAVVVHELEVPADGIGGFSPAAVLPSVAPRRERHQRRGRSAPAADFEILKGRPRSKRKHISINAISPTSSTTAASGAVGASPSTWTSIPPPPSPQSE >Ma11_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17763305:17766985:-1 gene:Ma11_g13420 transcript:Ma11_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGERSASDSKVETISRLAQWKIESFGPCTYRRSDAFKIGIWNWYLSVEKNRYVHIRLFPEPSRVSKEQPPVAKFVLRVSSPAPGRRPCISPVNEKLIRSSEDFAWAIDSNFLGRFTIDVEFLDLKIHPLDGGEACSIWPNEGMLQSLSSKSTLRCLSRMLEDGIHADVTIKTSDGVLKAHKAVLASSSPVFESMFLHDLKEKESSTIKIEDMSLDSCSALLGYIYGTIKQEDFWKHRLALLGAANKYDIADLKDCCEESLLADINSSNVLERLHEAWLYQLNELKKGCLMYLFDFGKIYDVRDEMNNFFRHADRELLLEVFQEVLTVWKP >Ma03_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4468056:4469823:1 gene:Ma03_g06470 transcript:Ma03_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASHTPHAVCIPYPAQGHITPMLKLAKLLHSKGFRITFVNTEYNHRRLLNARGPSALDGLPDFRFETIPDGLPPSDDDATQDIPALCRSTMTTCLPPFLDLLSELNDPSSERPPVSCIVSDGVMSFTLDAARQLGIPEVLFWTTSACGFMGYIHYRHLVERGLTPLKDVDELTNGYLDTPVDWVPGMKNMRLRDFPSFIRTTDPNDTMLHILMAETGRASMASAIVLNTFDELESSVLNAMAAILPPMYTVGPLQLLTSQIPPSPLDAMGSNLWKEEPACLRWLQGKKPGSVVYVNFGSITVMTNQQLIEFAWGLANSGYEFLWIVRPDLVKGESAVLPQEFTVETKERGLLASWCPQEEVLAQPAVGGFLTHSGWNSTLESICSGVSMLSWPFFAEQQTNCRYVCVEWGSGMEIDSDVKREVVEGLIRELMGGEKGKEMKRRMTEWKEAAGRAAQPGGSSSANLERMVKEVLLPRKGS >Ma05_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10765068:10765348:1 gene:Ma05_g14690 transcript:Ma05_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQSLFSPLKKLWIRLHSAHRKRRGIHILYEDVKSCPYEDVQVLWSMLVESRTPPLRLKR >Ma05_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15331061:15333220:-1 gene:Ma05_g16130 transcript:Ma05_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFPVRELGFGVQIPMPTRAEAGSGGLLKRSLTEMERQRQQQQMQMQNAHFLRSVRQRTLLAPHASSHLSPPLLPVVLSSGSSSSVSANLTASGFARPQEHSSVPQAAGTGVERMRDRLQELERRLLLDEEEEEEEGEVSASSSAVTTAEWSDAMQQIITPQAPVPTPLSSSPTSSSSSTVSSSVSCSPPSTSTATVAAASSSRQMLLDTAAAIADGNLETATANLAVLNRVANSRGDAEQRLTAMMVGALLSRLNPAQAGSTSLPIAALCSGEHFAAAQMLYELSPCFKLGLVAANLAILEATKDQSMIHILDFSLGQGGQYAALLHVLAERHRFQPAVCPPALRITVVADPSFPFTNPNSSGNLRAVGDRIEKLAERAGLEVRFSVVHRRAAELDASTLGCQPGEALAVNLAFALSRVPDESVSPANPRDELLRRVRALRPRVVALVEQDINTSTAAFAGRFAEACAHYGALLESLDATVNRDSAERARVEAGLARRAVNSVAREGMDRVERCEVFGKWRARMRMAGFESVPLGRDVIEPVKARLASVAPDHGFTIREETGGHGLGFGWKGRAITVASAWR >Ma04_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20279705:20283502:1 gene:Ma04_g18390 transcript:Ma04_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTSFMTNPIDPSKSHKASDESPSLLPLSPRKPACRLRAAKASNHGMIERAPAVHGGWKAEQLHGLSGVHRVPGGTARVPVYVMLPLDTVSPEGRLQRARALNASLMALRSAGVEGVMVDVWWGLVEKDGPLRYNWEPYLELAQMVERNGLKLQMVMSFHQCGGNVGDSCSVPLPPWVLEETRRDPDIVYTDRSGRRNPEYISLGCDTLPVLMGRTPIQVYSDYMRSFKDRFNCYLGHVIVEIQVGMGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMKASLQAAAVAAGHEEWGKDGPHDAGHYNQFPEDTGFFRREGTWNTHYGKFFLEWYSGTLLEHGDRVLAAAEAIFRGTGAKLSGKVAGIHWHYRTRSHAAELTAGYYNTRFHDGYLPVAKLMATRGVVLNFTCMEMRDEQQPGHANCSPELIVRQVKQAAKAAGAELAGENALERYDEKAYSQVLATSRADDSIDLSAFTYLRMNKRLFEGENWRHFVSFVKSMSEGGRKVVPHKSDCSHSDLYVGHITGCKNSKMAEASAA >Ma04_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7723804:7730223:1 gene:Ma04_g10940 transcript:Ma04_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKSKNSTEESLERWRKLVGVVKNPKRRFRFTANLSKRSEAAAMKRSNHEKLRVAVLVSKAALQFIHGIALHSEYIVPDEVKKAGFQICPDELGSIVEGHDVKKLKVHGGVNGIADKLSTSTTNGLIATEESLKHRQDIYGVNKFTESQVRSFWIFVWEALQDMTLIILAVCACISLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISVQVTRDGFRQKISIYDLLPGDLVHLAIGDQVPADGLFMSGFSLLINESSLTGESEPVNVNSDNPFLLSGTKVQDGSCKMLVTTVGMRSQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLVFAVVTFAVLAEGLIKHKFQHGSYLSWSTDDALELLEYFAVGVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGNIKEVNNHEEIKHVCSQVPDVALKVLMQSIFYNTGGEVVINQAGKLEILGTPTETALLEFGLLLGGDFQVARQETKIVKVEPFNSEKKRMGVVLQLPGGGYRAHCKGASEIILAACDKVLDSAGNTVLLDESTFSQLKSTIESFASEALRTLCLAYMEIENGFTADEQIPINGFTCIGIVGIKDPVRPGVKDSVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGVAIEGPDFRSKSLEEMMDLIPRLQVMARSSPMDKHTLVKHLRTMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACITGHAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRSPVGRKGGFISNTMWRNILGQALYQFIVIWYLQREGKGLFQLEGPDSDLALNTLIFNSFVFCQVFNEISCREMEKIDVFHGILENYVFVAVITCTIIFQFIIVQFLGEFANTTPLTLYQWFACVFIGFLGMPISAAIKMVPVGSK >Ma04_p10940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7723372:7730223:1 gene:Ma04_g10940 transcript:Ma04_t10940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKSKNSTEESLERWRKLVGVVKNPKRRFRFTANLSKRSEAAAMKRSNHEKLRVAVLVSKAALQFIHGIALHSEYIVPDEVKKAGFQICPDELGSIVEGHDVKKLKVHGGVNGIADKLSTSTTNGLIATEESLKHRQDIYGVNKFTESQVRSFWIFVWEALQDMTLIILAVCACISLIVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISVQVTRDGFRQKISIYDLLPGDLVHLAIGDQVPADGLFMSGFSLLINESSLTGESEPVNVNSDNPFLLSGTKVQDGSCKMLVTTVGMRSQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLVFAVVTFAVLAEGLIKHKFQHGSYLSWSTDDALELLEYFAVGVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGNIKEVNNHEEIKHVCSQVPDVALKVLMQSIFYNTGGEVVINQAGKLEILGTPTETALLEFGLLLGGDFQVARQETKIVKVEPFNSEKKRMGVVLQLPGGGYRAHCKGASEIILAACDKVLDSAGNTVLLDESTFSQLKSTIESFASEALRTLCLAYMEIENGFTADEQIPINGFTCIGIVGIKDPVRPGVKDSVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGVAIEGPDFRSKSLEEMMDLIPRLQVMARSSPMDKHTLVKHLRTMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACITGHAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRSPVGRKGGFISNTMWRNILGQALYQFIVIWYLQREGKGLFQLEGPDSDLALNTLIFNSFVFCQVFNEISCREMEKIDVFHGILENYVFVAVITCTIIFQFIIVQFLGEFANTTPLTLYQWFACVFIGFLGMPISAAIKMVPVGSK >Ma07_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26616173:26616438:1 gene:Ma07_g18700 transcript:Ma07_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFGPGSSNSPCRENGAGEHKQNPQLIQVAVLYELIRASLVGDLLP >Ma10_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28010217:28017826:-1 gene:Ma10_g16230 transcript:Ma10_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHLNISSVIGTSGDALYDELWHACAGPLVTLPRKGERAYYFPQGHMEQLEASTNQGLDQHVPVFNLPSKILCKVVNVELKAEPDTDEVYAQITLLPEPNEGEITSPDPPLPEPERCKIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQDPPWQELVAKDLHENEWRFRHIFRGQPRRHLLTTGWSVYVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAITTGTLFSVFYKPRASRSEFLISLNKYLEAKSYKLSVGMRFKMRFEGDETPERRFSGTIVAVIDKVSSQWADSEWRSLKVQWDESSSIQRPDSVSPWELEPLVAATPPTSQPVQRIKRTRPPASSVMLPEPSPAPGTWKFQVENSQMFSFSGSQRGEKLYSPSKPTSLFSSASQPSSIGFNANIAPSTAASSHMCWPIRTETQSDTFSASINREPCDKKQETGKGCRLFGIQLFESSEIEETSRVPTISGVGLDKPATSLEADSDQQSQPSNIDQSDAAAVNSEPENLCLKLAQETQGRQLRSCTKVHMQGMAVGRAVDLTRLIGYDQLVQKLEEMFDIEGELSGGVKKWVVVYTDDEDDMMLVGDDPWHEFCSMVRKIYIYTSEEAKRLCPKKKLPVISEAAKSGSEKAFLDADADIVAD >Ma10_p16230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28010217:28017031:-1 gene:Ma10_g16230 transcript:Ma10_t16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHLNISSVIGTSGDALYDELWHACAGPLVTLPRKGERAYYFPQGHMEQLEASTNQGLDQHVPVFNLPSKILCKVVNVELKAEPDTDEVYAQITLLPEPNEGEITSPDPPLPEPERCKIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQDPPWQELVAKDLHENEWRFRHIFRGQPRRHLLTTGWSVYVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAITTGTLFSVFYKPRASRSEFLISLNKYLEAKSYKLSVGMRFKMRFEGDETPERRFSGTIVAVIDKVSSQWADSEWRSLKVQWDESSSIQRPDSVSPWELEPLVAATPPTSQPVQRIKRTRPPASSVMLPEPSPAPGTWKFQVENSQMFSFSGSQRGEKLYSPSKPTSLFSSASQPSSIGFNANIAPSTAASSHMCWPIRTETQSDTFSASINREPCDKKQETGKGCRLFGIQLFESSEIEETSRVPTISGVGLDKPATSLEADSDQQSQPSNIDQSDAAAVNSEPENLCLKLAQETQGRQLRSCTKVHMQGMAVGRAVDLTRLIGYDQLVQKLEEMFDIEGELSGGVKKWVVVYTDDEDDMMLVGDDPWHEFCSMVRKIYIYTSEEAKRLCPKKKLPVISEAAKSGSEKAFLDADADIVAD >Ma09_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13197957:13201617:1 gene:Ma09_g17640 transcript:Ma09_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLFGGTTQKCQACRKTVYLVDQLAADGRIYHRACFRCHHCRGTLKFSNYSSIEGVLYCKPHYDQLFKMTGSLDKSFEGAPKSAKIDRSSGQQGVANSRYASIFLGTRDKCVECKKTVYPIEKVAVDGNSYHRPCFRCTHGGCTISPSNFVTHEGRLYCKHHHAQLFMTKGNFSSFTKVEEDKHEDILLQVPSDHVAVEGGQVS >Ma05_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1089806:1091925:-1 gene:Ma05_g01790 transcript:Ma05_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLSKIREESKQTWSLAGPAILTGTFQFSIATVTAAFVGRLGALQLSAVSVAQGVIAGFAYGAMLGMGSALETLCGQAVGAGQFHMLGIYMQRSWVISAATAMVLTPLYVFATPILRLLHQSKDISEVAGKYCGWIVPQLYAYAVNFPLQKFFQSQSKVWVVTLVSGAVLGVHALLNWVFVSKLGHGLLGAAMVENVSWWLINLAQMIYLLAGFFPESWRGFSLSAFQNLGAFGKLSLASAIMLCLELWYYTAVVILVGCLKNPEIAVGAISICMNYEAWTLMVALGFNAAVSVRVSNELGANHPKAAKFSVVIAVATSVLIGIFFTAVVLIFRKRLAELFTDVPELIRETSKLGYLLGATILLNSMQPVLSGVSIGAGWQTLVAFVNTACYYLFGLPIGAVLGFKLELDELGIWTGMLIGTAAQTGVLLLITARTKWQKEAMQAEERVRTWGGGGIEPRVPTQ >Ma01_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1957039:1957185:1 gene:Ma01_g03000 transcript:Ma01_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPPTSNSPVNVFIGDRDDSFHGSFILGALLLLLLLLLLLLYIYIYI >Ma09_p08850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5881321:5893432:1 gene:Ma09_g08850 transcript:Ma09_t08850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIACFLLLILFAQACGQQDDVRMDFSIVVVVEKVRNELLLWNQGNKIFQNWSGDPCSPSPWEGFTCQMLNHTFTITHLNLSSNNLQGSIPTSIGDLSELRELYLEDNNFTGSVPESFVSLHHLSNLSIKCNPHLDSQLPPGLSRRNLTVSSGNCLRKNASETSSSQRRIYLLGAGGSLTFSLAFAICFNCFYKRANHSDRRDHLDIKNIVFSESSVDDVSTNLVVHQFSLKYIESATCNYKTLIGEGGFGTVYRGILPHGQEVAVKVRSATSTQGTREFNNEVNLLSTIMHENLVPLLGYCSENDQQILVYPFMSNGSLQDRLYGASAKRKILDWPARLSIVLGAARGLMYLHTFFERCIIHRDVKSSNILLDHSMCGKVADLGFSKFAPQEEDSGASLEVRGTAGYLDPEYYSTQQLSSKSDVFSFGVVLLEIVTGREPLNIHRPRSEWSLVEWAKPYIRESRIEEIVDPSIKGQYHPEAMWRVVETASCCVEPIGSYRPNMVDVVRELEDALIIENNASEYMRSIESMGGSNRYLSLEKKIIIPSAEPTGP >Ma09_p08850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5881676:5893432:1 gene:Ma09_g08850 transcript:Ma09_t08850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRMDFSIVVVVEKVRNELLLWNQGNKIFQNWSGDPCSPSPWEGFTCQMLNHTFTITHLNLSSNNLQGSIPTSIGDLSELRELYLEDNNFTGSVPESFVSLHHLSNLSIKCNPHLDSQLPPGLSRRNLTVSSGNCLRKNASETSSSQRRIYLLGAGGSLTFSLAFAICFNCFYKRANHSDRRDHLDIKNIVFSESSVDDVSTNLVVHQFSLKYIESATCNYKTLIGEGGFGTVYRGILPHGQEVAVKVRSATSTQGTREFNNEVNLLSTIMHENLVPLLGYCSENDQQILVYPFMSNGSLQDRLYGASAKRKILDWPARLSIVLGAARGLMYLHTFFERCIIHRDVKSSNILLDHSMCGKVADLGFSKFAPQEEDSGASLEVRGTAGYLDPEYYSTQQLSSKSDVFSFGVVLLEIVTGREPLNIHRPRSEWSLVEWAKPYIRESRIEEIVDPSIKGQYHPEAMWRVVETASCCVEPIGSYRPNMVDVVRELEDALIIENNASEYMRSIESMGGSNRYLSLEKKIIIPSAEPTGP >Ma09_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5881321:5893432:1 gene:Ma09_g08850 transcript:Ma09_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIACFLLLILFAQACGQQDDVRMDFSIVVVVEKVRNELLLWNQGNKIFQNWSGDPCSPSPWEGFTCQMLNHTFTITHLNLSSNNLQGSIPTSIGDLSELRELYLEDNNFTGSVPESFVSLHHLSNLSIKCNPHLDSQLPPGLSRRNLTVSSGNCLRKNASETSSSQRRIYLLGAGGSLTFSLAFAICFNCFYKRANHSDRRDHLDIKNIVFSESSVDDVSTNLVVHQFSLKYIESATCNYKTLIGEGGFGTVYRGILPHGQEVAVKVRSATSTQGTREFNNEVNLLSTIMHENLVPLLGYCSENDQQILVYPFMSNGSLQDRLYAKRKILDWPARLSIVLGAARGLMYLHTFFERCIIHRDVKSSNILLDHSMCGKVADLGFSKFAPQEEDSGASLEVRGTAGYLDPEYYSTQQLSSKSDVFSFGVVLLEIVTGREPLNIHRPRSEWSLVEWAKPYIRESRIEEIVDPSIKGQYHPEAMWRVVETASCCVEPIGSYRPNMVDVVRELEDALIIENNASEYMRSIESMGGSNRYLSLEKKIIIPSAEPTGP >Ma09_p08850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5881321:5893433:1 gene:Ma09_g08850 transcript:Ma09_t08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIACFLLLILFAQACGQQDDVRMDFSIVVVVEKVRNELLLWNQGNKIFQNWSGDPCSPSPWEGFTCQMLNHTFTITHLNLSSNNLQGSIPTSIGDLSELRELYLEDNNFTGSIKCNPHLDSQLPPGLSRRNLTVSSGNCLRKNASETSSSQRRIYLLGAGGSLTFSLAFAICFNCFYKRANHSDRRDHLDIKNIVFSESSVDDVSTNLVVHQFSLKYIESATCNYKTLIGEGGFGTVYRGILPHGQEVAVKVRSATSTQGTREFNNEVNLLSTIMHENLVPLLGYCSENDQQILVYPFMSNGSLQDRLYGASAKRKILDWPARLSIVLGAARGLMYLHTFFERCIIHRDVKSSNILLDHSMCGKVADLGFSKFAPQEEDSGASLEVRGTAGYLDPEYYSTQQLSSKSDVFSFGVVLLEIVTGREPLNIHRPRSEWSLVEWAKPYIRESRIEEIVDPSIKGQYHPEAMWRVVETASCCVEPIGSYRPNMVDVVRELEDALIIENNASEYMRSIESMGGSNRYLSLEKKIIIPSAEPTGP >Ma09_p08850.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5881321:5893433:1 gene:Ma09_g08850 transcript:Ma09_t08850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGFSHDDRMFPSSDSIRASMRPARNLSSNNLQGSIPTSIGDLSELRELYLEDNNFTGSVPESFVSLHHLSNLSIKCNPHLDSQLPPGLSRRNLTVSSGNCLRKNASETSSSQRRIYLLGAGGSLTFSLAFAICFNCFYKRANHSDRRDHLDIKNIVFSESSVDDVSTNLVVHQFSLKYIESATCNYKTLIGEGGFGTVYRGILPHGQEVAVKVRSATSTQGTREFNNEVNLLSTIMHENLVPLLGYCSENDQQILVYPFMSNGSLQDRLYGASAKRKILDWPARLSIVLGAARGLMYLHTFFERCIIHRDVKSSNILLDHSMCGKVADLGFSKFAPQEEDSGASLEVRGTAGYLDPEYYSTQQLSSKSDVFSFGVVLLEIVTGREPLNIHRPRSEWSLVEWAKPYIRESRIEEIVDPSIKGQYHPEAMWRVVETASCCVEPIGSYRPNMVDVVRELEDALIIENNASEYMRSIESMGGSNRYLSLEKKIIIPSAEPTGP >Ma09_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8489750:8491023:1 gene:Ma09_g12620 transcript:Ma09_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKRPRPPMRRTTSMAEFAPNVVLPNDKASQPSSDHRKASDVLHHQREPQGAAELPAQRRDAGPADWLEARYQWVLDASPCGGRSRRNSGDFAVVETAPFLRACGLCKRRLGPGRDTFMYRGDIAFCSHECRQQQMKQDERKEKCSLTSIKDAATATNGSEQAGKGETVAAA >Ma07_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4412350:4416951:1 gene:Ma07_g06110 transcript:Ma07_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKCSTLVFWSAFFLFWAAGTALLSPKGVNFEVQALMGIKASLMDPHSVLENWDQDSVDPCSWTMVTCSPENLVVGLATPSQNLSGTLSPSIGNLTNLKILFLQNNNMSGPIPPEIGKLSKLQTIDLSNNYFSGEIPDSLGNLNNLQYMRLNNNSLSGAFPESLADLPQLAFLDLSYNNLSGTIPKIPARTFNIVGNPLLCPSASEQKCYGMMPMPMSFNINSSQNSPTLMRSKSHKLILVFGSTFGSICLVSLGFGLLLWWKQMRDQHIFFDVNDQHHEEVSLGNLKRFQFRELQIATNNFSSKNILGHGGFGNVYRGQLQDGTLVAIKRLKDGNAAGGEMQFKTEVEMISLAVHRNLLRLLGFCMTATERLLIYPYMSNGSVASRLKAKPTLDWSTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRAVEFGKAANQKGAILDWVRKIHQEKSLDMLVDRDLKNNYDRVEVEEIIQVVILCTQYLPGHRPKMSEVVRMLEGDGLAERWEASRRIEAHKLKVPEIFSEQYSDITDDSSLLIDAIELSGPR >Ma05_p30040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40578590:40581031:1 gene:Ma05_g30040 transcript:Ma05_t30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLLVQDMMYPGGPNYGQIFPYNDERNVSYGGFHQLSTGECILGEGDLVDPPPDRFAEAADEDSDEDVDIEELERRMWRDRMRHKRLKEQQQSKNKEQGDAEKQCQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAVAKYQADNAIPGFDSDFNSGSVSPHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGIPPPWWPTGREGWWPQLGIPKEQGPPPYKKPHDLKKAWKVGVLTAVIKHISPDIEKIRRLVRQSKCLQDKMTSKESATWLAVIKQEEDMYMKMHPCALAPPSAGSGVTGAISFNSSEYDVEGIDEAKNEDVTIYNLVADGNTLNLGASSGNRMFGSALMKEENDVEFIQKRASSECELMMNQRTYTCDNVLCPHNDFHSGFLDRNARNSHQYICKYQNTLPPGSGMTSSSFQVPENKPLAFPLQLNTESNHPSIGSRLNPVDISELGIPSDGQKSIDELMNFYDNSISGSKNMNLEGVTMLEGSNSHQPRIQMENNFLGQGSGIACNLFEEVGSLMEQSRYVQENTVPFEQELASGGFMTGSGFNISEMNYSDTLHRGIGDSSQKQDGFNWFC >Ma08_p29130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40849501:40849740:-1 gene:Ma08_g29130 transcript:Ma08_t29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNWCLQSLVLHLMLCRQFFRHLLKYRI >Ma11_p19140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24078181:24098190:-1 gene:Ma11_g19140 transcript:Ma11_t19140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRKLLPACGNMCVCCPALNPSSRRPVKRYKKLLAEVFPKNLDGLPNERKIMKLCEYAARNPIRIPKIAKYLEQRCYKELRNEHINFVKIITEVYSKLLYICKEQMAYFAISLLDVIIELLDSKQQDGIKILGCQTLTRFICSQADNTYTWNIEGLVQKVCMLARQNGEEQKSLLRASSLQCLSAMIWFMSEHPYIFAGLDEIIYAILENYRTDERNGDDDERHESHHNWVDEVVRGEARGVVTIMSGLSPCNVVIRQRPESKDSTLLTREERECPEVWSQICIEKLAELANESITTRRVLEPMFAYFDKGRHWASRHGFALVVLCDIAYLGKNSENEQLILAAVIRHLDHKNVVHDPQIKSDIVQVATSFVRQLKSRAVVAEIVVSDLCRHLRKSLQATVESVGLQISNWNDSLQNSIEDCLLEIIKGVGDVHVVFDMMAITLEKLLSTTMEKLSAASVVARATIRSLLILAYIISLASSVKHPQVFPDALLTQLLRTMMHPDVETRVGAHQIFSVLLGQNPDHPRHESEYLYETKKWQSRTTSVFESATALLEKLRKEKECLNLDKKGTDANDGNKIRTVGDEEWKRNWVQKSLPYFSKLSSSVIDRIATYTGSLENNLSVIDLTEDQATQLLYAFWIQANRADNKPSDFQAIAHSFSLTLLSLHLKNSNCSIMVQFFHMLLSLRKISLEPNGLLPSSCQRSLFTLATGLLAFAGKIFHIPGLNDVLKPFMLSEIDPYLRIGEDFQIYVMPQSDMNNYGSESDQQAANSGLSNLRNIVGDSDLLVLDMIVSGLSTLIDQEKDVLAKQLAGIFLFEDAPLFGLEPAVDWISGQALVVSEESVPFDEECSRTSSVNGDTVSQSPVTEIPGFFSRMPPPAFPSVISVGQLLESALHVAGQVAGTSVSTSPLPYGTMAGQCEALGMGTRKKLSSWLVGSHESMSDNPSPSLHMDEQKAVPKVNSHGLEQASVPMEPWLALRLPPASPFDNFLKAAGC >Ma11_p19140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24078181:24098103:-1 gene:Ma11_g19140 transcript:Ma11_t19140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRKLLPACGNMCVCCPALNPSSRRPVKRYKKLLAEVFPKNLDGLPNERKIMKLCEYAARNPIRIPKIAKYLEQRCYKELRNEHINFVKIITEVYSKLLYICKEQMAYFAISLLDVIIELLDSKQQDGIKILGCQTLTRFICSQADNTYTWNIEGLVQKVCMLARQNGEEQKSLLRASSLQCLSAMIWFMSEHPYIFAGLDEIIYAILENYRTDERNGDDDERHESHHNWVDEVVRGEARGVVTIMSGLSPCNVVIRQRPESKDSTLLTREERECPEVWSQICIEKLAELANESITTRRVLEPMFAYFDKGRHWASRHGFALVVLCDIAYLGKNSENEQLILAAVIRHLDHKNVVHDPQIKSDIVQVATSFVRQLKSRAVVAEIVVSDLCRHLRKSLQATVESVGLQISNWNDSLQNSIEDCLLEIIKGVGDVHVVFDMMAITLEKLLSTTMEKLSAASVVARATIRSLLILAYIISLASSVKHPQVFPDALLTQLLRTMMHPDVETRVGAHQIFSVLLGQNPDHPRHESEYLYETKKWQSRTTSVFESATALLEKLRKEKECLNLDKKGTDANDGNKIRTVGDEEWKRNWVQKSLPYFSKLSSSVIDRIATYTGSLENNLSVIDLTEDQATQLLYAFWIQANRADNKPSDFQAIAHSFSLTLLSLHLKNSNCSIMVQFFHMLLSLRKISLEPNGLLPSSCQRSLFTLATGLLAFAGKIFHIPGLNDVLKPFMLSEIDPYLRIGEDFQIYVMPQSDMNNYGSESDQQAANSGLSNLRNIVGDSDLLVLDMIVSGLSTLIDQEKDVLAKQLAGIFLFEDAPLFGLEPAVDWISGQALVVSEESVPFDEECSRTSSVNGDTVSQSPVTEIPGFFSRMPPPAFPSVISVGQLLESALHVAGQVAGTSVSTSPLPYGTMAGQCEALGMGTRKKLSSWLVGSHESMSDNPSPSLHMDEQKAVPKVNSHGLEQASVPMEPWLALRLPPASPFDNFLKAAGC >Ma11_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24078181:24098259:-1 gene:Ma11_g19140 transcript:Ma11_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRKLLPACGNMCVCCPALNPSSRRPVKRYKKLLAEVFPKNLDGLPNERKIMKLCEYAARNPIRIPKIAKYLEQRCYKELRNEHINFVKIITEVYSKLLYICKEQMAYFAISLLDVIIELLDSKQQDGIKILGCQTLTRFICSQADNTYTWNIEGLVQKVCMLARQNGEEQKSLLRASSLQCLSAMIWFMSEHPYIFAGLDEIIYAILENYRTDERNGDDDERHESHHNWVDEVVRGEARGVVTIMSGLSPCNVVIRQRPESKDSTLLTREERECPEVWSQICIEKLAELANESITTRRVLEPMFAYFDKGRHWASRHGFALVVLCDIAYLGKNSENEQLILAAVIRHLDHKNVVHDPQIKSDIVQVATSFVRQLKSRAVVAEIVVSDLCRHLRKSLQATVESVGLQISNWNDSLQNSIEDCLLEIIKGVGDVHVVFDMMAITLEKLLSTTMEKLSAASVVARATIRSLLILAYIISLASSVKHPQVFPDALLTQLLRTMMHPDVETRVGAHQIFSVLLGQNPDHPRHESEYLYETKKWQSRTTSVFESATALLEKLRKEKECLNLDKKGTDANDGNKIRTVGDEEWKRNWVQKSLPYFSKLSSSVIDRIATYTGSLENNLSVIDLTEDQATQLLYAFWIQANRADNKPSDFQAIAHSFSLTLLSLHLKNSNCSIMVQFFHMLLSLRKISLEPNGLLPSSCQRSLFTLATGLLAFAGKIFHIPGLNDVLKPFMLSEIDPYLRIGEDFQIYVMPQSDMNNYGSESDQQAANSGLSNLRNIVGDSDLLVLDMIVSGLSTLIDQEKDVLAKQLAGIFLFEDAPLFGLEPAVDWISGQALVVSEESVPFDEECSRTSSVNGDTVSQSPVTEIPGFFSRMPPPAFPSVISVGQLLESALHVAGQVAGTSVSTSPLPYGTMAGQCEALGMGTRKKLSSWLVGSHESMSDNPSPSLHMDEQKAVPKVNSHGLEQASVPMEPWLALRLPPASPFDNFLKAAGC >Ma11_p19140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24078181:24098260:-1 gene:Ma11_g19140 transcript:Ma11_t19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRKLLPACGNMCVCCPALNPSSRRPVKRYKKLLAEVFPKNLDGLPNERKIMKLCEYAARNPIRIPKIAKYLEQRCYKELRNEHINFVKIITEVYSKLLYICKEQMAYFAISLLDVIIELLDSKQQDGIKILGCQTLTRFICSQADNTYTWNIEGLVQKVCMLARQNGEEQKSLLRASSLQCLSAMIWFMSEHPYIFAGLDEIIYAILENYRTDERNGDDDERHESHHNWVDEVVRGEARGVVTIMSGLSPCNVVIRQRPESKDSTLLTREERECPEVWSQICIEKLAELANESITTRRVLEPMFAYFDKGRHWASRHGFALVVLCDIAYLGKNSENEQLILAAVIRHLDHKNVVHDPQIKSDIVQVATSFVRQLKSRAVVAEIVVSDLCRHLRKSLQATVESVGLQISNWNDSLQNSIEDCLLEIIKGVGDVHVVFDMMAITLEKLLSTTMEKLSAASVVARATIRSLLILAYIISLASSVKHPQVFPDALLTQLLRTMMHPDVETRVGAHQIFSVLLGQNPDHPRHESEYLYETKKWQSRTTSVFESATALLEKLRKEKECLNLDKKGTDANDGNKIRTVGDEEWKRNWVQKSLPYFSKLSSSVIDRIATYTGSLENNLSVIDLTEDQATQLLYAFWIQANRADNKPSDFQAIAHSFSLTLLSLHLKNSNCSIMVQFFHMLLSLRKISLEPNGLLPSSCQRSLFTLATGLLAFAGKIFHIPGLNDVLKPFMLSEIDPYLRIGEDFQIYVMPQSDMNNYGSESDQQAANSGLSNLRNIVGDSDLLVLDMIVSGLSTLIDEKDVLAKQLAGIFLFEDAPLFGLEPAVDWISGQALVVSEESVPFDEECSRTSSVNGDTVSQSPVTEIPGFFSRMPPPAFPSVISVGQLLESALHVAGQVAGTSVSTSPLPYGTMAGQCEALGMGTRKKLSSWLVGSHESMSDNPSPSLHMDEQKAVPKVNSHGLEQASVPMEPWLALRLPPASPFDNFLKAAGC >Ma02_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15797062:15800612:1 gene:Ma02_g04310 transcript:Ma02_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVVLGGSEDVKGTVYFSQEGDGPTTVTGSISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPVGKEHGAPEDDNRHAGDLGNVTAGEDGTVTISKVDNQIPLSGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >Ma02_p04310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15796927:15800612:1 gene:Ma02_g04310 transcript:Ma02_t04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVVLGGSEDVKGTVYFSQEGDGPTTVTGSISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPVGKEHGAPEDDNRHAGDLGNVTAGEDGTVTISKVDNQIPLSGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >Ma11_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1380248:1385989:1 gene:Ma11_g01910 transcript:Ma11_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVCIKNWGKDKTFGEEVAELQRMLHDEEKVHEILERALLPQNALLTLRISSFLPKKTKELLAELVVVEEEIARLESEISKVQVGLPNMQGAEEQKTSKVFNFKDTNVNVIVEPSSDKSVASSHVPGQEILQQKISLETKPLFFINQAIKGDYLVNGFSNIRSAGSLTRSFHYKGSQRAVEIKERASRKGRMPQKASLPKLPPKHLSNKDANVENFLTVFKEHSTVNSSDKNGGEYQPNKLSEKILKCLICIFLRFIRTSRALDLEKSGNLLRSANVLLRSGNFQIDGSLMLKGKIPTQREIRHHDPYEIFEIEDSLLRDIGPYKNLVKFTSCSLDHKDISSSLALLKKLRILISSLHKVDLTFLTHQEKLAFWINVYNICIMHSFLELGMPSNPEMAEGLKNKATLNIGGHKLNALAIKHLILKQSSNSNEDGWKVHKDDKEMVPKDYGLEQSNPNIVFALCSGCKSSPAVRIYTADGVVGELEKSKMDYLQASIVVTSTKRILIPHLLHSNMHDFARDLDSLVDWIVNQLPTSWPLRKSMLECLKGRTAAKISHTVDVIPNNSEFQYLLPM >Ma01_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3261495:3263012:1 gene:Ma01_g04750 transcript:Ma01_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSKCSYAKHRKKTKELRAKVLALEEEIKEMKRVREHEARAFERHAAAFASKEAEWEQERRKHREEASKLGKRLKEEEDRIRCLEEEMAAGRGDKEWFRLGTDYLVEHMKEEQARREEAVEKWKQLYLAIKTELDDLIQRTRQGERLYLGDEEGGTIERLQKEVKAKEETAETLRSRVDEMEKEACKRDREIDILRQSLRILSNKKRGRIGKNQLRDLH >Ma04_p05540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4127269:4135136:1 gene:Ma04_g05540 transcript:Ma04_t05540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATB, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52440) UniProtKB/Swiss-Prot;Acc:Q9XH75] MGAATLSPAVCCHCCSSSSRPKASALLIASPRRVRICPKRSPLSHLLRSIPGLGPGLLSPWSSLKQLGSFVPPRKHARKDKGNCHGKVVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFKSTLEREIGLDEVASSTNYINKSALYADEKQQASVDPNGKPSTGSYTSEELLKVTEEQLTASAAISQAEAPTPAEQPQESTSETLAATTLEETNPTQADAQVQSSEKAENQK >Ma04_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4127269:4135086:1 gene:Ma04_g05540 transcript:Ma04_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATB, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52440) UniProtKB/Swiss-Prot;Acc:Q9XH75] MGAATLSPAVCCHCCSSSSRPKASALLIASPRRVRICPKRSPLSHLLRSIPGLGPGLLSPWSSLKQLGSFVPPRKHARKDKGNCHGKVVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFKSTLEREIGLDEVASSTNYINKSALYADEKQQASVDPNGKPSTGSYTSEELLKVTEEQLTASAAISQAEAPTPAEQPQETLAATTLEETNPTQADAQVQSSEKAENQK >Ma01_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8981248:8985471:1 gene:Ma01_g12390 transcript:Ma01_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNEDRKFGLEKSHGDHLNNHSSGVSADWQFNTPAMSAAPPHPSTAASLVPPLTSSPLLSAPVPEAFVPGLWNYHTMSVGGSNIQNTTSAIGSIPIGRPVAMSSKGMLLSTPPVIFPPSLPHFPADSGFIERAARFSCLSGSSFMDMNLLRPSQSVAPARKASKVVMETQVQNTELSMTGDKAVSLPAAYGSIDQSRMDTQRDRRSSHISNSESQETNFCEGGQEGNVDSSDVAGNSSPSDLGANKRKRTIRDMEKDQVQRGPQSSSEATKDDTETKHKVEQNSSKHGGKNGKDNSEAAKEGYVHVRARRGQATNSHSLAERVRREKISERMKYLQELVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSAVNPQLDFSVERLLAKNLRHSHGSPLSIAGFSQEMSYPQVYPSQQGLGHAGGSTMVNLSDTYRRTMNTQLPTMSGYKEPSMQMHNPWNEEIIMQMDYDANHPPNAQEINRKPDGFTI >Ma01_p12390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8981312:8985471:1 gene:Ma01_g12390 transcript:Ma01_t12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNEDRKFGLEKSHGDHLNNHSSGVSADWQFNTPAMSAAPPHPSTAASLVPPLTSSPLLSAPVPEAFVPGLWNYHTMSVGGSNIQNTTSAIGSIPIGRPVAMSSKGMLLSTPPVIFPPSLPHFPADSGFIERAARFSCLSGSSFMDMNLLRPSQSVAPARKASKVVMETQVQNTELSMTGDKAVSLPAAYGSIDQSRMDTQRDRRSSHISNSESQETNFCEGGQEGNVDSSDVAGNSSPSDLGANKRKRTIRDMEKDQVQRGPQSSSEATKDDTETKHKVEQNSSKHGGKNGKDNSEAAKEGYVHVRARRGQATNSHSLAERVRREKISERMKYLQELVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSAVNPQLDFSVERLLAKNLRHSHGSPLSIAGFSQEMSYPQVYPSQQGLGHAGGSTMVNLSDTYRRTMNTQLPTMSGYKEPSMQMHNPWNEEIIMQMDYDANHPPNAQEINRKPDGFTI >Ma09_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33858562:33866316:1 gene:Ma09_g21890 transcript:Ma09_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNMGGSSARPARRDPYEVLCVSRDSPDQEIKVAYRKLALKYHPDKNANNPEASELFQEVAYAYSILSDPEKRRQYDAAGFEALESQGMDMEIDLSSLGTFNTMFAALFSKLGVPIKTMVSAIVLEEALYGNVTVEPLPLGTSFSGKVDKHCAHFFGVTISDQQAQAGIVIRVTSAVRSKFKLLYFEKEAHGGYGLTLQEESEKTGKVTSAGMYFLHFQVYRMNLAVNVLEMAKDPEAAFFKSLEGLQPCEVSELEAGTHTFAVYGDNFFKPATYTIEALCANSYEDTKGKLKEIESKILAKRNDLRQFEVEYKKALAHFQEVTNRYSHEKQSVAELLKQRNHIQSSFTINSNVINSKEDASSSSSAPTANGSPSMKDKSTKRKWFNLNRRDK >Ma10_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24743465:24745968:1 gene:Ma10_g11140 transcript:Ma10_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGDRPKMWSDNTTPSGTNDKEAAFKDLSSSMNALSFGFIATAILVSMFLVMAIFEHLLRSRASHPPSQTNAHGHLEMRQEQDQMPPKMIKNWQNVATSSTVDFSVLMPGQLYPTYLAQPAPLPCPREGIIWPSHDHHAFASP >Ma05_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10286143:10300962:1 gene:Ma05_g14160 transcript:Ma05_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAPIAMREVLTLPSLGINPQFVTFTHVTMESDKYICVRETAPQNSLVIIDMSMPMQPLRRPITADSALMNPNAKILALKAQLQGSTQDHLQIFNIDQKTKIKSHQMPEQVVFWKWINPKMLGIVTQTSVYHWSIEGEGEPVKMFDRAANLTNNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGHMQLFSVEQQRSQALEAHAASFASFKAAGKENPSTLVCFASKTTNAGQIASKLHVIELGAQPGKPGFTKKQADLFFPPDFADDFPVAMQISQKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASTVGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVSLAKRGNLPGAENLVVQRFHELFSQTKYKEAAELAAESPKGILRTPETVAKFQSVPVQSGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARCTPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSSEWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDACIQLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHFLYTNNMLRYIEGYVQKVNPGNAPMVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVAECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGMIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLVPENDYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAVEFAFRVEEDDVWSQVAKAQLREGLVSDAVESFIRADDATQFLDVIRAAEDANIYHDLVKYLLMVRQKIKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDDALYEAAKIIFTFISNWAKLASTLVKLRQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSDYYQNRGCFNELISLMESGLGLERVHMGIFTELGVLYARYRPDKLMEHIKLFSTQLNIPKLIRVCDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDLLHVLALRVDHTRVVDIMRKAGHLHLVKPYMVAVQSNNVAAVNEALNKIYVEEEDYDRLRESVDMHDNFDQIGLAQRIEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNLYKDAMETCSQSGDRELSEELLVYFIEQGKKECFASCLFICYDLIRPDVAVELAWTNNMLDFAFPYLLQFIREYTSKVDELIKDKIEAQNEVKVKENEEKDLVAQQNMYAQLLPLALPAPPVPGMGGPGMGGPFPPPPPMAGMGMPPMPPFGMPPMATY >Ma05_p26070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37832739:37839517:1 gene:Ma05_g26070 transcript:Ma05_t26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNAAVAAKGGVPGLHFPGRGNRVSAGFGVVGKRTAGSNRLWMPDRGFCGGSSRLGSSIGFGVEMARMRSGMEGIFRSREKARYVRVQASGDLESVPSDKPQTKSSGNVLPYVGVACLGAILFGYHLGVVNGALEYLARDLAIVENTVLQGWVVSTLLAGATVGSFTGGALADKFGRTRTFQLDVIPLAVGAFLSATAQDVRTMIIGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILMALVAGLPLAGNPLWWRTMFSIAIVPSVLMAVGMAFCPESPRWLFQQGKLLQAETAIKKLYGKEKVTEVMHDLRAGGEGTTESDAGWFDLFGKRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGASNVFGTAIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKPLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFVIGLYFLSVVNKFGISKVYLGFATVCLLAVLYIAGNVVETKGRSLEEIERALSVAV >Ma10_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1847476:1849401:-1 gene:Ma10_g00590 transcript:Ma10_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRNLWGGDKEKKETKDYSSYGGEARTEKKRWSFRKSRSSGDVAPGQNASTAAAIEAAWFKCFYAESEKEHSKHAIAVAAATAAAADAAVAMVRLTSHGAGARDQLAAVKIQTAFRGFLARKALRALRALVKLQALVRGYLVRKQAAKTLHSMQALVRAQATVRAQRARNLRPEIRHRRSLERSKDLMSSFQRWRLPTSLDSTIIDRSPKMVEIDASYPKKLSSSRPTATSFTDPADDIPLRGFSSQIPARISVPSRRNFEENDWYCINGDRCRCSVTAQSTPRYVNLSCDVAATPVLRQSLSVSNSPTYMAKTQSSKAKLRSQSAAKQPPDPAETRRRQPLSEVNVEARANVAGIATKKPRPQAQEAFNFKKAVIGRLDRSSELMTKEADREAYSHRRW >Ma10_p00590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1847476:1850017:-1 gene:Ma10_g00590 transcript:Ma10_t00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRNLWGGDKEKKETKDYSSYGGEARTEKKRWSFRKSRSSGDVAPGQNASTAAAIEAAWFKCFYAESEKEHSKHAIAVAAATAAAADAAVAMVRLTSHGAGARDQLAAVKIQTAFRGFLARKALRALRALVKLQALVRGYLVRKQAAKTLHSMQALVRAQATVRAQRARNLRPEIRHRRSLERSKDLMSSFQRWRLPTSLDSTIIDRSPKMVEIDASYPKKLSSSRPTATSFTDPADDIPLRGFSSQIPARISVPSRRNFEENDWYCINGDRCRCSVTAQSTPRYVNLSCDVAATPVLRQSLSVSNSPTYMAKTQSSKAKLRSQSAAKQPPDPAETRRRQPLSEVNVEARANVAGIATKKPRPQAQEAFNFKKAVIGRLDRSSELMTKEADREAYSHRRW >Ma01_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15827244:15827654:1 gene:Ma01_g19930 transcript:Ma01_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma10_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33276963:33277223:1 gene:Ma10_g24870 transcript:Ma10_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWNHISNCMGITSFGEHLQRNQQQTGYLSMQLSSISQSPESFCHAFLPTSEADDASETSSGVVIIIITRIIPSALFRRKANLNL >Ma08_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4521734:4522490:1 gene:Ma08_g06650 transcript:Ma08_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTSAKGGRGKAKSSKSVSRSHKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIASGGVLPNIHQTLLPSKAGKGKGDIGSASQEF >Ma08_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15474275:15477955:-1 gene:Ma08_g15400 transcript:Ma08_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLAASPSLRFTGGFVAGSRLPGDFLRYKISKPSLCCPSSPASFARKCCLSASMLVPFRRDDAREPPPPDLASSLYKNRIVYLGMPLVPSVTELILAEFLYLQSEDAVQPINLYINSAGAAKVGEKLPSVTEAFAIYDVMRFVKPPIFTLCVGNAWGEAALLLAAGTKGHRAALPSSRIMIRRHAAQCQEEDTDSVRKIVRDTNFELICLYSFHTGEPPWKISEDIRRPKYLTPHEAVEYGIIDKVLYNEREPKER >Ma08_p15400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15474275:15477948:-1 gene:Ma08_g15400 transcript:Ma08_t15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLAASPSLRFTGGFVAGSRLPGDFLRYKISKPSLCCPSSPASFARKCCLSASMVGEKLPSVTEAFAIYDVMRFVKPPIFTLCVGNAWGEAALLLAAGTKGHRAALPSSRIMIRRHAAQCQEEDTDSVRKIVRDTNFELICLYSFHTGEPPWKISEDIRRPKYLTPHEAVEYGIIDKVLYNEREPKER >Ma06_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14213458:14214410:1 gene:Ma06_g20170 transcript:Ma06_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDSCVPPGFRFHPTEEELVGYYLGTKVASQKIDLVVIKDVDLYGIEPWDLQDRCRHGHDEQREWYLFSHKDRKYPSGTRTNRATAAGFWKAMGRDKPVISSSRVIGLRKTLVFDKGRAPNGRKTDWLMHEYRLQSSELASSQAKGWVVCRAFQKPTPTQRPCASNVSATYNNSLETTVSMRGNFCDERAVGYSIIPRAEILEGDVVGSDETSAGKKRSNRNEHMMDWKVLDKLLSLSQSNESSTACFLPQFDSS >Ma06_p30260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31568693:31571388:1 gene:Ma06_g30260 transcript:Ma06_t30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESCNLDKDEAEIFKASSSSSPSASPPSPPSSSSSPPPPPSSTGLLKSCEESTTERRERPEIPAPAMTFTASSSSKLDEESESSKPDEESHTPVRFSKRCSTCRKKVGLTGFRCRCGDLFCGRHRYSDAHECSFDYKAAGREEIAKANPLIRAAKIIKI >Ma11_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22931942:22932241:-1 gene:Ma11_g17660 transcript:Ma11_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSISKHVVIMELWFPRNQCRCFKMNRYLLISSSKYVTLRMFGSKRKFVAILYKSYYTFLENYELCLINHCLRQAFTKELYNVPKIIFVKQYVRSVSS >Ma05_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6171654:6175161:1 gene:Ma05_g08350 transcript:Ma05_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MVRMRCPYCSGTARRCATTPSGRCVTECCSCGRVVEERQLHTHHLFSLRAFDNPLPLVTPDLLHLPFPPPPPSPSPCAATADDEEDPFHPTGFITAFSTWSLEPSPLFVRSASSFAGHLAELERTLDSSSSSSSSSSSSDASGGPLVSMDHLRAYLQILEVSSILGLDHDIADHAFQLFRDCSSTTCLRNRSIEALATAALVQAIREAQEPRTLQEISTASNLPQKEIGKYIKILGEALKLSQPINSNSIAVHMPRFCTLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPAVPPEKAFPMTTITSGRSSTSKVDVVDLYHLNANHDKDKHPETVKPNKAFDSMECSHTVTMKETEKKSNSHVRSRTSFDSRDLNQGAFCQPQQVPFGMFSCKLETEKDRKDDQGIINLNETESSLLEYEGSKDLKICDSAPQMAQTSVPSSSKRYTSPWHLNAPPAVSGYYSRFEKQHIGVDFASGSREIGKSGSNDADGHYHKEEN >Ma02_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25475788:25476708:1 gene:Ma02_g19220 transcript:Ma02_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKAFEAGVALTVMAIMLSTLASAQSGCTTAIISLAPCLSYITGNTSTLSSSCCSQLDRVVRSQPACLCSVHNVWASSLGVTINQTRSLAMPAACNVRTPPISECNSVAGGPAKSPTASPTSLATPANLARGTPSTPSSLRAEDGSMATRATTSDGTSYKSPPSLMLSILCLAACVSFANL >Ma10_p26900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34376126:34379642:-1 gene:Ma10_g26900 transcript:Ma10_t26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFLHGMLHATIFEADSPKNPGRASGGVRKFLRKLVGDLKTTVGHGESYTQLYATIGLEEARSGRTRMIPDEPANRRWYETFRIYWAYSPVTEILVGEEVDRGLEICDEDWNPVGDAKIHVKVQYFDASRDRNWARSDIFDAISNAHHLIYMAGWSVHTEITLIRDSKRPKLGGGITLGELLKMKANEGVRVLMLLWDDRTSVGSLKKDGHMATHNEETANYLRNTTMYCAFCPRYPDDEPWHDIHSWLEGPFAWDVLFNFEQCWRKRGKDVLVQLQYLSHVIIPPSPVMFPEDRETWNVQLFRYIDGVSLYTDITQSLRAKGMEANPKDYLTFLGNREVKKSGEFEPEEHPQPHTDYSRAQEARRFLIYVHTKMMIVYYKYGSANINQRSMDGNRDSELAMGAYQPFRLTSSKLSYRVTYEGVVTQLPGMEFIPDTKARILGAKSDRLPPIITT >Ma04_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17607897:17610579:-1 gene:Ma04_g17330 transcript:Ma04_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRKPAFGASDPPPVVKHVGSYVRKRGNLPVLVVVLTIVVFAFLMYVEDIESLARHSLFPRKTDEASPDEILISSSVVEHQGQPATHKYSQSNLPKTKTTNQQQQQEQEEGKDGSKAPKVQELPITVDNAATSVTNVTVAREAGHGITPPFIIGDEPIVTVPDTCDLSRGDWVYDDVNYPLYREDQCEFLSAQVACLKNGRKEDMYQKWRWQPKDCTLPKFDARLPLERLRGKRLMFVGDSLNRNQWESMVCMMQSAAPPGKNGRKRDGSRIIFIAEEYNATVEFYWAPFLVESNSDDPRIHSIVDRIIKADSIEKHAVQWKEVDYLVFNTYIWWMNTEKIKVSRPSARDWTEYEEISRPQAYERVLRTWSKWLDENVDPKRTLVFYMSMSPLHSRSSDWGNADGIKCAKETLPLTNMTGVSLGTDMSMFRQAKKAAESTSRVPVTFVDITAMSELRKDAHTSVHTTRQGAVMTAEQKANPAAYADCIHWCLPGLPDVWNQILLANILSAGSRTH >Ma04_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:327475:345243:-1 gene:Ma04_g00340 transcript:Ma04_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 5 [Source:Projected from Arabidopsis thaliana (AT5G15920) UniProtKB/Swiss-Prot;Acc:Q9LFS8] MAHRAAKRSKLNQRGEDDYLPGNIVEIEIHNFMTYDHLKCQPGSRLNLVIGPNGSGKSSLVCAIALGLAGEPQLLGRASSVGAFVKRGEESGYIKISLRGETELEKIVITRKIDTSNRSEWAINGVAVPKRDVITIIQKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGNPDLPVQHQELIEKSGQIKKLEVSVRQNRDTLNQLKTLNAELEKDVERVRQRQKLLDFVDLMKKKLPWLKYDMKKMEYMEAKKQETEAKKKMDKAAKILNDLKRPIEERKKEKAMHESTSKKICNQVTDNAKKRMEVFERESEMVVQVRGKYAEMEELRRHEESCQQRITKAKEDLLAAEKELADNPIYEAPTDEIERIGNQILELRINANEVKSQRKEKENILLQKKLILKQYIDRLKEMENNNNKLLQALRNSGSDKIFEAYKWVQEHRSELRKEVYGPVLLEVNVPDLLHASYLERHVPNYIWKSFITQDSADRDFLVRNLKSYDIPILNYVEGRGINRVLFQVSHEMRELGIYNRLDQVFEAPDAVKDVLISQAALEKSYIGSRDTDRRADEVSRLGILDLWTPESHYRWSMSRYGGHISALVDSVPPSRLFSCSVDVGDLEKLKSTKVELEQVIGELEGSLKMLQAQQRQLEDEEANLHKQQDQITQSYKLAKKKRCDLERLVVQRRCKLDSLNKEDDLELGTKKLIDQAAKLNEKRFQMAIKIKNSLIEAVALKWKCAEKHMMSLELDGKIREMETDLKQHEKSALVATTHFGNCKKETEQCKEQLHDAKRHAESVAIITEDLGQEFLKMPGTIEELEAAIQDNISEANSILFLNQNILEEYENRQCKIDAIAAKLAVDDKELSRYLREIDTLKENWLPTLRNLVAKINDTFSRNFREMAVAGEVSLDEHDMDFDMYGILIKVKFRQSGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLEYSNACSILNIMNGPWIEKPAKVWSGGQCWRAVMGLTGESVS >Ma09_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2535104:2537333:1 gene:Ma09_g03840 transcript:Ma09_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKQALRNINSLSLFSNIEVNPRPDEKNEGGIIVEIKLKELEQKSAEVSTEWNIVPGHNGRPTLASIQPGGTVSFEHRNINGLNRSIVGSVTSTNLLNPQDDLSFKLEYVHPYVDGVTNPRNWTFRASCFNSRKLSPVFTGGPGVDEVPPVWIDRVGLKANITENFTRQSKFTYGHVMEEITMLDETSSICTHGVRALPNGGLSMNGPPTTLSGTGIDRMAFARANITRDNTKFINGAIVGQRDVFQLDQGLGIGSNFPFFNRHQLTLTCFVPLKQVEEGVGKSPPPVLVLHGHYGGCVGDLPSYDAFTLR >Ma02_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19848944:19852484:-1 gene:Ma02_g10200 transcript:Ma02_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMSSKNNGCLTHRRRCPCGDEQCCIRLDEDEEDISMDPSALSEPNLMQGAQHITAEATAVDAPYVGQSFRTDAEAQEYYTNFARKNGFAIRRERSKGNPAHPLGVYKRELVCHRAGVSLPRKTVELKRQRNKKSSRCRCEAQMIIKKNVLKGTSCWIVVHFSNEHNHQLLDSEEVRHLPAYRNIPPAVRERILFLSKAGCTVNLMMRALEMERGAKPGHLTFTERDLRNFLQANKGIDRETEGSELLKACKAMRDKSSDFRYDYTLDANEKLEHIAWSYPDGVHAYKVFGDVVIFDTTYRLYAYDRPFGVWFGVDNYGNLIFLGCVLLQDERPASFKWALQSFVSLMDGKFPQTIMTDLDMGLREAMMSELPNTKHAFGIWYVTHRLSSWFSTLLGSQYNSFMNAFHQVHSLESENDFVQQWGHMVNEFGLASDRHIAILSLYQSYWALPFLHGWFLGGLTTSSSVSIKPFFRGFLNAQTRLKDFVEQVGVAIELQNQAGEEATMRQNYHNVKIKTCMPIEEHCLSILTPYAFDMFQKELISSTQFAVYESQRETYLVRHRLKADGGYIVQCFPSEQELCCSCKGFESCGILCRHTLRVLSLKNCFMLPDRYLLMRWRRESSLFPKSSGYNYRSQALRSLSSIIIQESSITKDRFNYVQWHMSKLLTHVRNMPTVDEVVSDMETVTSVDTTVSNRRSRARLRKVKSVVEMPNETQELSKAQTFSETLELSELP >Ma02_p10200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19848944:19852484:-1 gene:Ma02_g10200 transcript:Ma02_t10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMSSKNNGCLTHRRRCPCGDEQCCIRLDEDEEDISMDPSALSEPNLMQGAQHITAEATAVDAPYVGQSFRTDAEAQEYYTNFARKNGFAIRRERSKGNPAHPLGVYKRELVCHRAGVSLPRKTVELKRQRNKKSSRCRCEAQMIIKKNVLKGTSCWIVVHFSNEHNHQLLDSEEVRHLPAYRNIPPAVRERILFLSKAGCTVNLMMRALEMERGAKPGHLTFTERDLRNFLQANKGIDRETEGSELLKACKAMRDKSSDFRYDYTLDANEKLEHIAWSYPDGVHAYKVFGDVVIFDTTYRLYAYDRPFGVWFGVDNYGNLIFLGCVLLQDERPASFKWALQSFVSLMDGKFPQTIMTDLDMGLREAMMSELPNTKHAFGIWYVTHRLSSWFSTLLGSQYNSFMNAFHQVHSLESENDFVQQWGHMVNEFGLASDRHIAILSLYQSYWALPFLHGWFLGGLTTSSSVSIKPFFRGFLNAQTRLKDFVEQVGVAIELQNQAGEEATMRQNYHNVKIKTCMPIEEHCLSILTPYAFDMFQKELISSTQFAVYESQRETYLVRHRLKADGGYIVQCFPSEQELCCSCKGFESCGILCRHTLRVLSLKNCFMLPDRYLLMRWRRESSLFPKSSGYNYRSQALRSLSSIIIQESSITKDRFNYVQWHMSKLLTHVRNMPTVDEVVSDMETVTSVDTTVSNRRSRARLRKVKSVVEMPNETQELSKAQTFSETLELSELP >Ma11_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2983988:2987287:-1 gene:Ma11_g03900 transcript:Ma11_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGEDREEGVMATDFFWSYTDEPHASRRKEILARHPQIRELFGPDPWAFLKVSAVVLLQLWTATYLHDASWLKILVVAYFFGSFLNHNLFLAIHEISHNLAFSTPSYNRWLGILANLPIGVPMSVTFQKYHLEHHRYQGVDGMDMDIPSHVEAHVVTNAIAKSIWVLFQLFFYALRPVFLKPKPPGLWEFTNLTIQLALDASLVYFWGWKSFAYLILATFVGGGVHPMAGHFISEHYVFNPEQETYSYYGPLNLMTWSVGYHNEHHDFPRIPGTKLYKVKDIAPEYYENLKSYRSWSQVIYMYIMDRTVGPFSRMKRKAPKSAVTVKKDE >Ma03_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18654224:18658781:1 gene:Ma03_g16370 transcript:Ma03_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGRDESKQKENPCFHDFLGMSVHCAEKTPPPDLLSSGGHSGAADDAYAASVVVSAARHRGLMSPTCDLGSERQGVKGSEVFHFHGRKSAVPGPDVGNTLSGRKRSSSESIHSGSINRVHPVCSDSLESSCLVKMFGKEVVSEHLGKSHDDKIMLSMLRPPRPTSLILHPLSSRSDSPTFKEEQSLSKNSGQISNHAPRFSQAGMYLGLASSLYAYKDANAVAMIISQSATDDGSQTAIGGSGVMSTDNPINMAFGRNSTGFLNKPKSSLAIESEPSNIVRRSTTSSAGRQMTIFYAGQAHVFDNVQPNKADVIMALARINGGSWSTSHTSKSSERTTMNEAKVPVHGDMGHGISQLAGIPLASVLSGVTSGGGTIGRDGRSMTQATEPTMGDRRDA >Ma06_p28990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30520628:30521329:1 gene:Ma06_g28990 transcript:Ma06_t28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGSSCWTAKQNKMFEKALAVYDKDTPDRWHNVARAVGGKSAEEVKRHYELLVADIILIEKGQMPRANYRSSGHRG >Ma04_p25970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27586996:27590231:1 gene:Ma04_g25970 transcript:Ma04_t25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQTNDLHDLCFGSLGNAPFEALDLSYKAPAFLGCCFPVARNPFSSHTPRRGFMPPDLPTPGSSCVGSSPAAFYAAEQMMGLPLLDYRSVSKPTRTSSEAWSSNKPAASASFFEQDGISCRSRDDALDSVLNLRMLQSRVPSSRDDFSNFLREDPQPHPGSRQAGWSAASPPLVIHDPSVGCGPSSSSTEKQNSQLQTEKQLPKALSTAPGTALSNKTRIRWTQDLHERFVECANRLGGAEKATPKGILKLMNSAGLTIYHVKSHLQKYRIAKHMPERAEGKFERRAAANVAELDPKIGMQFTEALRLQLDVQVRLHEQLEIQKNLQLRIEAQSRKLQQMLEEQAKSNTSHVQTENMDVSSAGDSPAESFDDAQLLHQVSIED >Ma01_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8029832:8036980:1 gene:Ma01_g11130 transcript:Ma01_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAFLALRSASDWWDEVNNSSLWQDRIFHALSLLFGLISVVALIQLVRIECRVPEFGWTTQKVFHFLNFLVNGVRSLVFVFRRGIQKINPVIIQHILLDLPGLAFFTTYALLVLFWAEIYYQARSVSTDGLRPSFYTINAVVYVIQISLWLVLWWKPVQAMIVLSKLFFAGVSFFAALGFLLYGGRLFLMLKRFPVESKGRRKKLQEVGYVTTICFLCFLLRCIMICFNTFDKAADLDVLDHPILNFIYYLFVEILPSALVLFILRKLPPKRGITQYHPIH >Ma09_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35540544:35560357:-1 gene:Ma09_g23830 transcript:Ma09_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRDRALAELYDATESLSRPEITPILQGTCHIQGPVDEYDYELQGTDTITQILQGACHIEDPVNEYDHEPQTTNLEQFPVETKCLNILKGPHTSRAEPGTCNVCSAPCSSCLHFNRLSPLMESKIEDGQSDNISSRKEDDSSSCIAASGYVVKSRVHDKLNNAFSETSHLSSSSYDSSIENAESKELSRASEAHDASDNVVVNSKVSLDAAEDNNFLHEETSYTTGNPFVLNGSTTSELHQRKASAITVEKNRQECHIENNSHISGFKDANNAIHACLGELGEKVIGGSSVSADNLLVRNDEKAIQKEAAQQCNNSEIEENQKSSGNGKSSVKNSNTSSFRDDVLCQKTDNIEDPCSSSNISLKAQFPCSGSSKNISLSQCSMDDEKPPVEGKLVACNVDGKKDITLGVTKEDSGKSQPQLISLKDSDECLGAENGDNSRFQLHVATNSRGANQQSDKPMSNSQSSQVPSEPNSMCEVSTEIEDDVKVCDICGDAGQEELLAICSRCSDGAEHTYCMRIMLDKVPEGEWLCEECQLKEAENQMIGKSEAQTEAIEAPSVSEYNQITGSTSNSFPCVENKGDNADTRTDNKELGNSNSFKRKGENLEVTCVTKEKISEACGASTKTTIPMKPTLHSHENSSNKPDFVKVKPSALITSCGQPEVISQPVPRSQTSSVPDLSKPQAHLEPTRGPLSKSASFNNSKVPKVKQLVENIPQNKKMTREFNSSSIRKEGPLRTITKSASFRSESSSFSSVKTMSKVQSLNPPQPDDPRGVKQQKERSAVDLKGSIPGSRFVSPSASTTSISPLKVDSKVQQNDPRLKRTSDSSNLGNNRGSNDAATLGSLANDVKKQPSSSLSQTSACTSSIRSCKNEDQKPFQLVPKAAELTHRDDKTKDHTFSSNSRQAASASNRLPRCHRCNETGHSTQFCAVDKLRISAMKPSSERSLRDMDNRNVKSKDGAEVLGWKLGTKRTSRSPDQSEEVSLCSADANSELTAKDFSSSSLNFRNLPSLEGTSDVQNFSKATNGIHAHQKVENHKKAMLIAGEGIALDIGDDLNLKKPIIQTLSNEASIPMHPLRASVIPELDYIWQGAFEVLRTAEAPTLFDGFQAHLSTYVSPKALEVASQFPCKIQLEEIPRLRSWPLQFHINSPKDDNIALFFFAKDIESYGKYYWKLLENMLKNDLALIGNIDTVELLIFPSNMLPENSQRWNKLFYLWGVFRGRKINSLVDLPSLERKPSVCNLNSKTIIQDLPTTFDSGLCCSLHASDEDSKELSESDRSPKEKPSKSGACTDPVVIPSGNNNGIHNTEKPPIVQKTLCQVVADDKVLREKASCLLSENCSFKNINFLPSTPIAYPEPKLQIPSVPVAYSEPKFQIDIERLPSEIENDLTSPDNLAGDSDSREVFVHHVPANNKKVSDYEGPTYSVSLNCGQGNDSKVQNFKQKENFITSESILNNQLSENVEVDRLSWKLKPNQKRAQPISFETIKNSSGCMLKRSSDVMLWKDEASCTSLTDEKVHKKMRLDSGGHLPCISTGENLSSSISSEMQPLLSCLTNDSIYCENVSESLKNSERHFFPIDPGPQTSTKADNLVYVLSSDDEDSPESNAPDLELGLGRKKRPIEQDIFPLLSPKVGEKSHRDKMPASAVDCDDLRASLSLSLAFPASEKAQTVKPIPQAEQLLPDKPCINTLLLFGGYKDSEHG >Ma09_p23830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35540544:35560327:-1 gene:Ma09_g23830 transcript:Ma09_t23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRDRALAELYDATESLSRPEITPILQGTCHIQGPVDEYDYELQGTDTITQILQGACHIEDPVNEYDHEPQTTNLEQFPVETKCLNILKGPHTSRAEPGTCNVCSAPCSSCLHFNRLSPLMESKIEDGQSDNISSRKEDDSSSCIAASGYVVKSRVHDKLNNAFSETSHLSSSSYDSSIENAESKELSRASEAHDASDNVVVNSKVSLDAAEDNNFLHEETSYTTGNPFVLNGSTTSELHQRKASAITVEKNRQECHIENNSHISGFKDANNAIHACLGELGEKVIGGSSVSADNLLVRNDEKAIQKEAAQQCNNSEIEENQKSSGNGKSSVKNSNTSSFRDDVLCQKTDNIEDPCSSSNISLKAQFPCSGSSKNISLSQCSMDDEKPPVEGKLVACNVDGKKDITLGVTKEDSGKSQPQLISLKDSDECLGAENGDNSRFQLHVATNSRGANQQSDKPMSNSQSSQVPSEPNSMCEVSTEIEDDVKVCDICGDAGQEELLAICSRCSDGAEHTYCMRIMLDKVPEGEWLCEECQLKEAENQMIGKSEAQTEAIEAPSVSEYNQITGSTSNSFPCVENKGDNADTRTDNKELGNSNSFKRKGENLEVTCVTKEKISEACGASTKTTIPMKPTLHSHENSSNKPDFVKVKPSALITSCGQPEVISQPVPRSQTSSVPDLSKPQAHLEPTRGPLSKSASFNNSKVPKVKQLVENIPQNKKMTREFNSSSIRKEGPLRTITKSASFRSESSSFSSVKTMSKVQSLNPPQPDDPRGVKQQKERSAVDLKGSIPGSRFVSPSASTTSISPLKVDSKVQQNDPRLKRTSDSSNLGNNRGSNDAATLANDVKKQPSSSLSQTSACTSSIRSCKNEDQKPFQLVPKAAELTHRDDKTKDHTFSSNSRQAASASNRLPRCHRCNETGHSTQFCAVDKLRISAMKPSSERSLRDMDNRNVKSKDGAEVLGWKLGTKRTSRSPDQSEEVSLCSADANSELTAKDFSSSSLNFRNLPSLEGTSDVQNFSKATNGIHAHQKVENHKKAMLIAGEGIALDIGDDLNLKKPIIQTLSNEASIPMHPLRASVIPELDYIWQGAFEVLRTAEAPTLFDGFQAHLSTYVSPKALEVASQFPCKIQLEEIPRLRSWPLQFHINSPKDDNIALFFFAKDIESYGKYYWKLLENMLKNDLALIGNIDTVELLIFPSNMLPENSQRWNKLFYLWGVFRGRKINSLVDLPSLERKPSVCNLNSKTIIQDLPTTFDSGLCCSLHASDEDSKELSESDRSPKEKPSKSGACTDPVVIPSGNNNGIHNTEKPPIVQKTLCQVVADDKVLREKASCLLSENCSFKNINFLPSTPIAYPEPKLQIPSVPVAYSEPKFQIDIERLPSEIENDLTSPDNLAGDSDSREVFVHHVPANNKKVSDYEGPTYSVSLNCGQGNDSKVQNFKQKENFITSESILNNQLSENVEVDRLSWKLKPNQKRAQPISFETIKNSSGCMLKRSSDVMLWKDEASCTSLTDEKVHKKMRLDSGGHLPCISTGENLSSSISSEMQPLLSCLTNDSIYCENVSESLKNSERHFFPIDPGPQTSTKADNLVYVLSSDDEDSPESNAPDLELGLGRKKRPIEQDIFPLLSPKVGEKSHRDKMPASAVDCDDLRASLSLSLAFPASEKAQTVKPIPQAEQLLPDKPCINTLLLFGGYKDSEHG >Ma09_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9232428:9234153:-1 gene:Ma09_g13610 transcript:Ma09_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETSADGTVNLMVWHCIIPGKQGTDWEGGHYPLTLHFSEDYPSKPPKCRFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITAKQILVGIQDLLDRPNPADPAQVDGHQLFIQDLSEYRRRVRQQAKQYPALI >Ma04_p34640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33724228:33725670:-1 gene:Ma04_g34640 transcript:Ma04_t34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRCTDGVDGKEDPDGGATRWDEATAMEALGDVRRARKRFVGVRQRPSGRWVAEIKDTIQKIRMWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSSLQPSKPSVLPSKITNLLLMRLKARNHSALVEMQQQQQQPQLQQPGEEAHRPQYEDGAEEGDDIHFADFLNDPSNSMLHLSHADLGGADYMHDSIQESYTYKDGDHVDFTSDYELGGAVEFGGCGEDEESLDVGVMDFGFMDEVQESSCFYSPFEIMGEIEEPIEQESCVDEPSMIRAAVKRMKYERKISASLYALNGISEYLKLQLGERRGGRMEDHLSALTSACREQQQGYEMQLVEGEGVEVEAENSSSSYSSSYITTICSSSSTSSSQSPPPSSALSSGSEGELFFWSSLDLPPI >Ma03_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3207327:3207927:1 gene:Ma03_g04830 transcript:Ma03_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAGFNATAVAAAAAPVVTGGGDHLTWHSPVPYLFGGLAAMLGLIALALLILACSYWKLSGYLEGGEDTGSGVELYVKPVAAPTVYEEKVVVIMAGDAKPTFLATPMSTRASSYGDRSSQNDDDDDDDHDAKKKEETGNETKTKTKDQTQSHRENQDAQV >Ma03_p24600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28890844:28896606:-1 gene:Ma03_g24600 transcript:Ma03_t24600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPSCYLLQLFGSLDEDCGSFQKYLLAYLLLAKNGNILEEVKRDIFDRDVNVQSLNLTGLNLRSVVKARPGGGYTDQRSNYHLFPGLYDDITLDCLALTCRSDYPSLACLNRKFNSLIRSGYLYKLRRQHGVIEHWVYLACSLMPWEAYDPFRRRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREVTGFAIWMYSLVRRDWSRCPPMNLPRCLFGSGSSGDIAIVAGGSDMAGHVLKCSEMYNSEFGTWETLPDMNVPRRLCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRAWRRIWNMYPGGNRATQSPPLVAVVNNQLYAADQSTNEVKKYDKANNIWNVVRTLPVRADSSNGWGLAFKPCGDKLLVIGGHRGPQGEVIVLHYWCPEDGNVGGADWDVLSIKERAGAFVYNCAIMGC >Ma03_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28890844:28896606:-1 gene:Ma03_g24600 transcript:Ma03_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPSCYLLQLFGSLDEDCGSFQKYLLAYLLLAKNGNILEEVKRDIFDRDVNVQSLNLTGLNLRSVVKARPGGGYTDQRSNYHLFPGLYDDITLDCLALTCRSDYPSLACLNRKFNSLIRSGYLYKLRRQHGVIEHWVYLACSLMPWEAYDPFRRRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREVTGFAIWMYSLVRRDWSRCPPMNLPRCLFGSGSSGDIAIVAGGSDMAGHVLKCSEMYNSEFGTWETLPDMNVPRRLCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRAWRRIWNMYPGGNRATQSPPLVAVVNNQLYAADQSTNEVKKYDKANNIWNVVRTLPVRADSSNGWGLAFKPCGDKLLVIGGHRGPQGEVIVLHYWCPEDGNVGGADWDVLSIKERAGAFVYNCAIMGC >Ma03_p24600.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28890844:28896606:-1 gene:Ma03_g24600 transcript:Ma03_t24600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPSCYLLQLFGSLDEDCGSFQKYLLAYLLLAKNGNILEEVKRDIFDRDVNVQSLNLTGLNLRSVVKARPGGGYTDQRSNYHLFPGLYDDITLDCLALTCRSDYPSLACLNRKFNSLIRSGYLYKLRRQHGVIEHWVYLACSLMPWEAYDPFRRRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREVTGFAIWMYSLVRRDWSRCPPMNLPRCLFGSGSSGDIAIVAGGSDMAGHVLKCSEMYNSEFGTWETLPDMNVPRRLCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRAWRRIWNMYPGGNRATQSPPLVAVVNNQLYAADQSTNEVKKYDKANNIWNVVRTLPVRADSSNGWGLAFKPCGDKLLVIGGHRGPQGEVIVLHYWCPEDGNVGGADWDVLSIKERAGAFVYNCAIMGC >Ma03_p24600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28890844:28896606:-1 gene:Ma03_g24600 transcript:Ma03_t24600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPSCYLLQLFGSLDEDCGSFQKYLLAYLLLAKNGNILEEVKRDIFDRDVNVQSLNLTGLNLRSVVKARPGGGYTDQRSNYHLFPGLYDDITLDCLALTCRSDYPSLACLNRKFNSLIRSGYLYKLRRQHGVIEHWVYLACSLMPWEAYDPFRRRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREVTGFAIWMYSLVRRDWSRCPPMNLPRCLFGSGSSGDIAIVAGGSDMAGHVLKCSEMYNSEFGTWETLPDMNVPRRLCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRAWRRIWNMYPGGNRATQSPPLVAVVNNQLYAADQSTNEVKKYDKANNIWNVVRTLPVRADSSNGWGLAFKPCGDKLLVIGGHRGPQGEVIVLHYWCPEDGNVGGADWDVLSIKERAGAFVYNCAIMGC >Ma03_p24600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28890844:28896606:-1 gene:Ma03_g24600 transcript:Ma03_t24600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPSCYLLQLFGSLDEDCGSFQKYLLAYLLLAKNGNILEEVKRDIFDRDVNVQSLNLTGLNLRSVVKARPGGGYTDQRSNYHLFPGLYDDITLDCLALTCRSDYPSLACLNRKFNSLIRSGYLYKLRRQHGVIEHWVYLACSLMPWEAYDPFRRRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREVTGFAIWMYSLVRRDWSRCPPMNLPRCLFGSGSSGDIAIVAGGSDMAGHVLKCSEMYNSEFGTWETLPDMNVPRRLCSGFFMDGKFYVIGGMSSHTDSLTCGEEYNLETRAWRRIWNMYPGGNRATQSPPLVAVVNNQLYAADQSTNEVKKYDKANNIWNVVRTLPVRADSSNGWGLAFKPCGDKLLVIGGHRGPQGEVIVLHYWCPEDGNVGGADWDVLSIKERAGAFVYNCAIMGC >Ma01_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21370894:21372609:1 gene:Ma01_g21560 transcript:Ma01_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEKINSRRYKGCIGTTGLCRRGMIKGFISNDLM >Ma11_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26356366:26359091:-1 gene:Ma11_g22650 transcript:Ma11_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDSSPLISTFASYFATSLQFNVCEGESSPNGHWLAPTTPTLVNLYNDEDDFSHHQQQAKKSIMVRVKEKAKKWKQMLVKKRHGGKENSVTPPWGVSLDEADEEDPNYHGAPSNHIRCFLPVTSEYEPEMALDSYEDSASDDQSTTHYPSPSENSTITQLSAFDREIEMKQANDGHRQPASDHGGDVKVIPAPPETTVITPTNDKTMVKDKYLPQPPVSNGGDNDKTLSETVTETLAPVYTMISKATQALSSKIQESGPRYETTAKQVWDKGVSMKEYLMQKLEPREEDKALRDVITDAVTPRNVRHSFADVGIASNRKEPVSSLMAKEEPLPLADPRSGRKIAQVRTFTTY >Ma06_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14020931:14021848:1 gene:Ma06_g19930 transcript:Ma06_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGIAALLPLLPFAFLLLVALRRRSISEFQPNHEPPLPPSPRGLPVIGHIHHIVGKPTHQALRDLAAQHGPLVLVRVGQVDVVVVSSREAAEEVLKTQDANFADRPALAAAKVITYGCVDVAFSPYGSYWRHLRKICATELFSMKCVKSLASAREDAILRLLRDVSMTPPAVPINLSDKFMTVIGDIISAAVVGKRFEHQQNNLLLPLVKEALLSLSRFSFADSFPKLKFVDVVTGTSFRLNRIRREFEKITDGIIKQHQRKKAGGAGDVEEDLVDVLLRIKDQGDLSLHSIKAVIQVGTSPLS >Ma08_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15757749:15760345:-1 gene:Ma08_g15570 transcript:Ma08_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGEGITTRSPAAGGAPAGLTAEEYAGLRSAIETHHRYDVGPGQCSSILAQRIRAPAATVWSVVRRFDRPQIYKHFIRSCALKDGSDGGRELRPGCLREVSVITGLPASTSTERLDLIDDGRRVLGFTIVGGEHRLRNYRSVTTVDELPAGEGGEPRTVVLESYVVDVPEGNTVDDTKLFADTVVRLNLQKLASVTEAATRSEKK >Ma06_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22954339:22957065:1 gene:Ma06_g24430 transcript:Ma06_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVNFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAYDGYTLPKLYIKMQYCVSCAIHSKVVRVRSRTDRRNREPPQRFRRRDDLPRPGQGPRPAGAGNPPRP >Ma06_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:868537:877247:1 gene:Ma06_g01060 transcript:Ma06_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKLRYAVFCGLLERPSPPLLCRRVRLSGPFSTCSDSTWSLVSTSDMGNRYQRDKEFSDSGSKSLLGIGKSVLSRCFYLCEKKVDTFVEESSLQDILRVYADMSPETTRRFWRVSVLRPDDFLEILLGIGNGDCSLRKVEFLLKLFRWAKKQSADFDHLPRSYEVMISVLIRAQMYKDAESLLLGVESREIFSNSAALVSGIIQGYAEDCKLEKAMVLFDKARERGTVPSASCYQSLLSLLAKKKKVELVTKVYMDMMKVGLASYSQDCILKIVIVGLTKNERILEAITVLRQLRCSGIEASHFALSAIAEGLCKKKDFEDMFNFLEEWGHAPEVHICNKIISSLCIDLGTQESWSFMQRMEVLGFKPDAITFSILICHSCRARKLRDGYIYLSECLFRGIVPTAYIYNALISAGFMEGLHRHANDVFEDMLEKGIMPDQTTFKILLAGYCKYRKFDEVKQVLIDMRNRCLTSLTSLEDSLSKALIFLGLDHLKVKIKRDNNVGIPRSEFFDCLGNGLYLDTDVEEYETSLAGILDCAMVPDFDSQLMRGNDKVNMQTALKTKDELTQWGQNLSLSTYSKLFRHLCATPHHLKEATSLLDDIPESLELLDGETLNLLLKNLSKNGMAAPAMMILERLFKRKLLVESQSFMELIVGLSKERDIDGLQECCNQAYSSMWLPSSKDLRPLFSSLCRWGLIKEVLELFDRILENCPALVSSLCTSVLKELCMTGYTNVGCILVEELLERNLVMDHAPFVYISMGFLKEQQLVESLGILDILHNKNMTLPINVCQRMIPLLLRFNRFEEAIALKESVLTRKPDCGFLIYNIFLSELSRTRKFTDGLSQLQEMLLSRILPNDNALNALLQMCCQKNNIQKAFELLGILIRVHGNLSISGYRSLVRQMLLKGLISHALRLKDLIQQKDKLVELSLYNILIFCLFQTGNSSIVETLLKDMQHMHILPDKNTYDFLVHGFHKCGEVIKSVEALDTIIFKGWRPSNRSLRIVICQFCNDGKLEKALELSQVMEHNKWKHGSVIQNELAMALLRCRGLSEAELFLDRVAKKGLIPANIDYNNLIQNMCMHSGIQNAVDLLNIMFKKGNLPSEMSYSSVIHGLCVCKAFDQALDFHAEMLHQNLQPSVEVCNALVKGLCANWRTDDAQRILRTMLQYGPPPTYSLYSHVLDCYHLNNDLDKASELLQEMQLAGHSPNFETHWSLISNLSSLEKKSDDGDKSILSGLLCGSRLPVTNSKSKVASAFK >Ma06_p01060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:868537:877247:1 gene:Ma06_g01060 transcript:Ma06_t01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKLRYAVFCGLLERPSPPLLCRRVRLSGPFSTCSDSTWSLVSTSDMGNRYQRDKEFSDSGSKSLLGIGKSVLSRCFYLCEKKVDTFVEESSLQDILRVYADMSPETTRRFWRVSVLRPDDFLEILLGIGNGDCSLRKVEFLLKLFRWAKKQSADFDHLPRSYEVMISVLIRAQMYKDAESLLLGVESREIFSNSAALVSGIIQGYAEDCKLEKAMVLFDKARERGTVPSASCYQSLLSLLAKKKKVELVTKVYMDMMKVGLASYSQDCILKIVIVGLTKNERILEAITVLRQLRCSGIEASHFALSAIAEGLCKKKDFEDMFNFLEEWGHAPEVHICNKIISSLCIDLGTQESWSFMQRMEVLGFKPDAITFSILICHSCRARKLRDGYIYLSECLFRGIVPTAYIYNALISAGFMEGLHRHANDVFEDMLEKGIMPDQTTFKILLAGYCKYRKFDEVKQVLIDMRNRCLTSLTSLEDSLSKALIFLGLDHLKVKIKRDNNVGIPRSEFFDCLGNGLYLDTDVEEYETSLAGILDCAMVPDFDSQLMRGNDKVNMQTALKTKDELTQWGQNLSLSTYSKLFRHLCATPHHLKEATSLLDDIPESLELLDGETLNLLLKNLSKNGMAAPAMMILERLFKRKLLVESQSFMELIVGLSKERDIDGLQECCNQAYSSMWLPSSKDLRPLFSSLCRWGLIKEVLELFDRILENCPALVSSLCTSVLKELCMTGYTNVGCILVEELLERNLVMDHAPFVYISMGFLKEQQLVESLGILDILHNKNMTLPINVCQRMIPLLLRFNRFEEAIALKESVLTRKPDCGFLIYNIFLSELSRTRKFTDGLSQLQEMLLSRILPNDNALNALLQMCCQKNNIQKAFELLGILIRVHGNLSISGYRSLVRQMLLKGLISHALRLKDLIQQKDKLVELSLYNILIFCLFQTGNSSIVETLLKDMQHMHILPDKNTYDFLVHGFHKCGEVIKSVEALDTIIFKGWRPSNRSLRIVICQFCNDGKLEKALELSQVMEHNKWKHGSVIQNELAMALLRCRGLSEAELFLDRVAKKGLIPANIDYNNLIQNMCMHSGIQNAVDLLNIMFKKGNLPSEMSYSSVIHGLCVCKAFDQALDFHAEMLHQNLQPSVEVCNALVKGLCANWRTDDAQRILRTMLQYGPPPTYSLYSHVLDCYHLNNDLDKASELLQEMQLAGHSPNFETHWSLISNLSSLEKKSDDGDKSILSGLLCGSRLPVTNSKSKVASAFK >Ma07_p28100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34259893:34265638:1 gene:Ma07_g28100 transcript:Ma07_t28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSKKTQASRPHASEMLKIYENSDPNIPISPPLPSKKPVKSPSIGSAQSNKPIPKTPGRIFAPLPLPERARKFIVAKKSSKRAGNGLDFERCRKESYEALRASQEEFFRRDRSSDVAEVADSAISEADGSIDGGDKDGTDVTEKALDSVVEDLEGGSEARNLRNLVMEEAMSGMPEPGSGRVKHLVKAFENFLSISKDDEADKHEDRKPMVLNWALPGFQPSTKVAAEAVVSSTSIFSSAGFFPSRELEKNLRLYSSVESNGDRFSWGRRTSGGGRNKRNSSESLRRSWNKMLKVTSQHPFKLRTEQRGRIKEEQFVKKVKAMLLEEEKQQIPIAQGLPWTTDEPEHLVKPPVKEGTEPIDIVLRSDVRAAERAEFDQLVTERMNFAEQLRLEREMRQKLEEKEEIRQLRRELVPKAQPMPYFDRPFIPKKSERPRTIPKEPRFHIRQRKSSCAPMLGR >Ma11_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16887802:16892554:-1 gene:Ma11_g12940 transcript:Ma11_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLWASRVASYLRISVFHRGFSTVIKDLKYSDTHEWIKVDGSSATIGITDHAQDHLGDVVYVELPEVGTTVAQGKGFGAVESVKATSDVNSPVSGEVVEVNSELTGSPGLVNASPYENGWIVKVNMGDTGELNSLMDSEQYSKFCEEEDAKH >Ma10_p31510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37637057:37637973:-1 gene:Ma10_g31510 transcript:Ma10_t31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQVLHMVGGAGETSNALNYEFQEKSLFIMTKPMPEDAIEGVYELLLPERTVVADLGCSSGPNTFAGGLRGARRRQWHVGRHGASGATGDPVKEQKGDMLVPYYVVGLPGSFYGRRFPCRSVHLFHSSPTILKCQVLRGLETEQGASLNERNIYLAETSPPEVIETCQHF >Ma02_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21671537:21673859:1 gene:Ma02_g13160 transcript:Ma02_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPDEYQKLVNTMMNDPRVVIDNVVCATATVVRVNSARKRGMLLEAVQLLTDLDLTIKKGYISSDGRWFMDVFHVADGCGHKLADPALLSRIERSLGGEAASSSPDDNSYDGDVGGLAGLTALELTGTDRPGLLSEVFAVLRDLECNVVEAKVWTHNGRIASLIFVKDHDSGSPIADAHRVHRIEVRLRNVLRGDHDVRGAKTTVVSPSMTHSDRRLHQLMFADRDYERVSSNGASSSSNALVAVQNWTGRGYSVVSVNCRDRPKLLFDVVCTLTDMEYVVFHGTIGTDADRAYQEFYIRHMDGSPISSEAERQRVIQCLQAGIERRASEGLMLELSMPDRRGLLADVTRTFRENSLSVTRAEITTEAGEARNEFRVTGTDGQLPDRRVINAVIERIGKDHLKLKEERAPPSHQKATAPREEAAEHGGGGVVGLLGLGNLVMRNLYYLGLVRSCS >Ma01_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2938797:2941345:1 gene:Ma01_g04420 transcript:Ma01_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAPKAQVVENGEAFCLKADPLNWIKAAESLTGSHLDEVKRMVEEFRKPQVRLEGATLTISQVAAVAAARSPVRVELSEEARDGVRASSEWVMESMNKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGSGPESGNTLPSSAAKAAMLVRVNTLLQGYSGIRFEILEAIASLLNNGITPCLPLRGTITASGDLVPLSYIAGILTGRPNAKAVGPDGKVIGAAEAFRLAGIADGFFELQPKEGLALVNGTAVGSGLASMVLFEANVLAVLSEVLSAVFCEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKMAQKLHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRASTKSIEREINSVNDNPLIDVSRSKALHGGNFQGTPIGVSMDNTRLAVAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSTTYLVALCQAVDLRHLEENLKSAVKSTVSQVAKRVLTMGANGELHPARFCEKELIKVVDREHVFTYVDDPCSATYPLMQKLRQVLVAHALENGDKEKDAGSSIFQKIATFEEELKAQLPKEVEAARSAVEGGKAAIPNRIEECRSYPLYRLVREELKTGFLTGEKVTSPGEEFDKVFDAICQGKVIDPLLECLKEWDGAPIPIC >Ma02_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18824756:18826623:-1 gene:Ma02_g08500 transcript:Ma02_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVRPDPAAMDAEPFVEMDPTGRYGRYDDLLGTGAVKRVYRGFDQEEGIEVAWNQVRLRSFVHDQQMQDRIFAEVRLLQSLRHDNLIALHDVWTDDDGRSTLNFITEFCNSGSLREYRNRHRHVSLKALKKWSRQILLGLEYLHNREPCIIHRDLNCSNVFINGNVGQVKIGDLGLAAAVEKSHAAHSVLGTPEFMAPEMYEEEYTELVDIYSFGMSVLEMVTREIPYSECDNVAKIYRKVTTGVRPAAMAKVKDPEVTAFIERCLGRPRVRPSASELLNDPFFHGIDEDGPISAPPPPPLPPQPWPSALHAGLALLHRQRPAVPTSLPSRIG >Ma05_p26140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37856147:37861382:-1 gene:Ma05_g26140 transcript:Ma05_t26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGNGEHRKGGETPVVFQGTEYSPFRTTVALALWLGGIHFNAALVLATLLLLPLRLAAAVFGFLLFLMLIPVDHKSKLGSRLSRYICKYASGYFPVTLHVEDIKAFNPDQAYVFGYEPHSVLPIGVCALANHTGFMPLPKVKVLASSAVFYTPFLRHIWTWLGLVPASRKNFYAYLEAGYSCIIVPGGVQEMLHMNHFSEVAFLKSRKGFVRIAMETGRPIVPVFCFGQSHVYRWWKPGGKFFVPIARALKFTPIVFWGRFGTPIPFRHPMHVVVGRPIELMKNPKPTTDEINEVHAQFVHAFQELFEKYKYKVGYPDLQVSIL >Ma04_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26037359:26045258:1 gene:Ma04_g23960 transcript:Ma04_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCSAKNIAVAMDADADGGDDHRRPQSPPTASAPAAAAAASGMTPARSTVTPAGYSSSAGSWPSPYPRSAAGSPLPPGVASSPASSTPRRFFRRPPSPAKHIKASLAKRFGRPKPSEGPIPEDGGGVVVGQAEGERLLDKSFGYEKNFRAKYEVGKEVGKGHFGHTCLAIAKKGELKGQLVAVKIISKAKMTTAISIEDVRREVKILKSLSGHANLVKFYEAYEDDLNIYIVMELCEGGELLDRILSRGGKYAEKDAKIIVMQMLSVIAFCHLQGIVHRDLKPENFLFTTRDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRAVLRADPNFDDSPWSAVSAEAKEFVRRLLNKDYRKRVSAAKALTHPWFRGEQWQVPLDILVYKSVKAYLRITPFKRAALKALSKALTDDELMYIRCQFNLLDPNNDGYISLEHLKKALWRNSTDAMKESRIPDILNALEPLSYRRMDFEEFCAATISPYQLEALEEWEQMATTAFRYFEEEGNRVISVVELAQEMNLAPAAYSMVRDWIRHADGKLSFLGYTKFLHGVTIRSSKTRYDN >Ma11_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2027929:2029567:1 gene:Ma11_g02780 transcript:Ma11_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDINEWSIIDSLPSYGRGRDTTGGRYSNFIMGYNLTDVVITGNNGTIDGQGETWWKMFRNKELNYTRGYLIELVYCMQVLISNITLVDAPSWNIHPVYSSQVIVSGITILAPVNSPNTDGINPDSSSNVRIEDCYIVSGDDCVAIKSGWDEYGIAFNMPSKHIVIRRLTCISPSSAVIALGSEMSGGIQDVRAEDITAIHSESGVRIKTAIGRGAYVKDIFVRRMDLHTMKWVFWMTGTYGQHPDDKFDPKAIPVVQNISYSNVVAENVTMAAKLEGIPGAPFTGICIYNVTAEVRKSKKPIWNCTDVEGVSSHVTPTPCALIPESPDSITHCPFPEDVLPVDFVGLTECSYQRTKA >Ma04_p03790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2919651:2924983:-1 gene:Ma04_g03790 transcript:Ma04_t03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIEYARRFGELIVALDEERRKMEAFHRELPLCLHLINQTIESYKQLMVSAETLSHELAVKEFIPLRPRSVSSEDDTIHHQEWIRSTQLVAQEQDPLPKTPIAIKAKMTWGDAQYLDKEEEIAPSESLACQDTEVASGEEGNGDGGKKKKKKKERSQPERKVKRYWSEELHKRFLHALEQLGGCHAATPKLIRKLMKVDGLTNDEVKSHLQKYRLHARRSCPVEEISTSSNIQFVVVRGIWIPTPDCAILTSTDAAAHAAPVIGVSETGRYADVSPLPSPLMILDRSYQQTDKHSKGRNPRE >Ma04_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2919651:2924983:-1 gene:Ma04_g03790 transcript:Ma04_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIEYARRFGELIVALDEERRKMEAFHRELPLCLHLINQTIESYKQLMVSAETLSHELAVKEFIPLRPRSVSSEDDTIHHQEWIRSTQLVAQEQDPLPKTVHPQKPIAIKAKMTWGDAQYLDKEEEIAPSESLACQDTEVASGEEGNGDGGKKKKKKKERSQPERKVKRYWSEELHKRFLHALEQLGGCHAATPKLIRKLMKVDGLTNDEVKSHLQKYRLHARRSCPVEEISTSSNIQFVVVRGIWIPTPDCAILTSTDAAAHAAPVIGVSETGRYADVSPLPSPLMILDRSYQQTDKHSKGRNPRE >Ma07_p28870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34771015:34772343:-1 gene:Ma07_g28870 transcript:Ma07_t28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQPTRPVRGQEQPIRYGDVFAVSGSLAGEAISPRDAAMMQSAENRALGQTPQGGAASVMESAAMWNEQCGLVGHEQFSDTPGRQGVSVTQTDIPGCPGQRLVTEFVAGQAVGQYFFNTAPGGGADEAGGVAVEMWTDKVSIGEALEAAATTAGNKPVDASDAAAIQAAEATAAGANAVTPGGVAAAAQAAAAANALKYRDDEKTKLGDVVADASVWMAMDKEATREDAERVVAAEMRNNPELRAHPGGVGASMVAAARLNQER >Ma06_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16947958:16950825:-1 gene:Ma06_g22030 transcript:Ma06_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAKVPKFGNWETAESVPYTQYFDQARSKNDRKTTTNPNGGTENSKIVSTDVESLVDASSTKTGSNLETTKPKDPRPLRKDAGNINQTDTPVSKEGVVRKPDNKRRSNRQHGGYQGKSVDRAANDPPPSGKKTALEGTPGRIRTTPGDRACGTPHHDVTVPPFAGWDENDPASGEEYTGIFKLIAENRRTPGTPYEPPQPSGQKQGSTGTKGCGCLSWILK >Ma07_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30068167:30071564:1 gene:Ma07_g21950 transcript:Ma07_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIEGILHMVGGAGETSYASNSKFQEKVLHMAKPILEEAIGGVYMSLLPERMAVVDLGCSSGPNTLEVVSEVLDVIGKLRRSLGRQEMPEILFFLNDLPGNDFNHVFRSLGDYKRKVEEEKGKLLVPYYVVGVPGSFYGRLFPCQSVHFFNASCCLNWLSQVPEGEQGVPLNNKNIYVAETSPLEVVKAYQDQHQRDLSGFLRCRHAELSYGARMVLSFLGRKGGYPPSGDVGYFYGLLAEALSALVSQGIIEEDKLVTFNMPYYAPSMEEVKAVIHREDLFDLEQAQIFETNWDPIDDSDDDSAAFDSIVSGKNVAGYVRAAFQPLIEEHFGDAILDELFSIYAANVSRHLLQQKSKHYLFVISLKKKEEADGDGAAAW >Ma03_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15947698:15965049:-1 gene:Ma03_g15570 transcript:Ma03_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNKLVHELSMDTETSSDADSIAGEEDNEENIYPSSPLSQASRLSYSSTFTRYDRHFIRSIKFMLSWILWPAVFLLRLPHIVFQLACFRRGGTVPTGNLRVRHISSPHQTRRSVHTKDHVVQRTTDRRRGVIEDLHLAIEIFIESAFETAHNVAHFLLSPSQLHRRLFGWFYSNGGTRKDNYGVDMNMVIPTKTLGDDEPTPTERQHTLHYSLNTDARTCQDVITELGYPYEAIRVVTSDGYVLLLERIPRRDSEKVVYLQHGILDSSMGWISNGVVGSPAFAAFDQGYDVFLGNLRGLVSREHVDVNISSRKYWRYSINEHATKDIPAMIEKIHEIKTSELNKLSRPDLDGEVHDQPYKLCAVSHSLGGAVMLMYVITSRIELKPHRLSRLILLSPAGFHDDSTIVFTLLEKLLLLLGPVLASIVPGLYIPTRFFRMLINKLARDFQNYPALGGLVQTLMSYVVGGDSSNWIGVLGLPHYNMYDMPGVSLHVALHLAQMKRARKFIMYDYGSAAANIEAYGMPEPLDLGKNYELIDIPVDLVAGRKDKVIRPLMVRKHYRLMKKADVQVSYKEFEYAHLDFTFSHREELLAYVMSRLLLVAPTQKHHRAQGAVRLKPNGTQSTSESDDLEHSGTRSEIVPYS >Ma03_p15570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15947698:15965093:-1 gene:Ma03_g15570 transcript:Ma03_t15570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRFVDNLLAVTKESVKTFTYESLINIARLINGVSALVLTILPGKTTILEGIHGWELRPTLRGPRLPRWMENGVSSFNKLVHELSMDTETSSDADSIAGEEDNEENIYPSSPLSQASRLSYSSTFTRYDRHFIRSIKFMLSWILWPAVFLLRLPHIVFQLACFRRGGTVPTGNLRVRHISSPHQTRRSVHTKDHVVQRTTDRRRGVIEDLHLAIEIFIESAFETAHNVAHFLLSPSQLHRRLFGWFYSNGGTRKDNYGVDMNMVIPTKTLGDDEPTPTERQHTLHYSLNTDARTCQDVITELGYPYEAIRVVTSDGYVLLLERIPRRDSEKVVYLQHGILDSSMGWISNGVVGSPAFAAFDQGYDVFLGNLRGLVSREHVDVNISSRKYWRYSINEHATKDIPAMIEKIHEIKTSELNKLSRPDLDGEVHDQPYKLCAVSHSLGGAVMLMYVITSRIELKPHRLSRLILLSPAGFHDDSTIVFTLLEKLLLLLGPVLASIVPGLYIPTRFFRMLINKLARDFQNYPALGGLVQTLMSYVVGGDSSNWIGVLGLPHYNMYDMPGVSLHVALHLAQMKRARKFIMYDYGSAAANIEAYGMPEPLDLGKNYELIDIPVDLVAGRKDKVIRPLMVRKHYRLMKKADVQVSYKEFEYAHLDFTFSHREELLAYVMSRLLLVAPTQKHHRAQGAVRLKPNGTQSTSESDDLEHSGTRSEIVPYS >Ma06_p33800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34295361:34306921:-1 gene:Ma06_g33800 transcript:Ma06_t33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESWPTLGDARARGSPDCADKVTPAAVPPPMAMGNAGPAPWNVPPPPPPPPVQGSMGMRKSGGFGSNNSSKHHSVHSNKHGPRRNTLVNGGPSFPVHFAYHQQPGQPVFYPVLQPQTLIVHDYAYQTCRTPFPNGQPHIVKSGESHMPVFVPTGQAGGNEGNRNLQPLPRGDPSNWHPGAGYGGRPYNVCEPPNNFNQTWGNHRAFGPRDSINMPQAIGPRTFIRPVPRFVGPAPGFINGPGFTGPPPPMYYVSPAPLEMMHGPPRFSSHPSPPVYPSLTPEMAALRTNIVRQIEYYFSDENLKTDVYLISLLDEQGWVPIYRIADFNRVKKMTNNIPLILDALRGSSLVEVKDDKIRRRGDWSKWVKVSTHATLSPQPQPMESQSSVRVENIDTTETNGHCISHNSHQAVTEDNDEYSDQTNHCHIKETNKYSEFITGDMPTSDGKIVYDRDSKNNKEVESGLFSEAGQRDPCSGCSFTLGNDSTGSYVDVNIKLGDAVSSRNSEDAANLSSNDPKLEKIFFQTESSGTQNSGFDSGFLDESLIFNAQSTFMLDEELELEQTTNEMEHLSLRKRVDDEEDEMDVNDQDVHRLIIVTQDIRGDHDDRTGSGQSETISNELASAINDGLYFYEQELHAKRSSSRRNKVGTVNKSGESRTSITASSSLHLKANINIGNNASEEAGQANSRRRQNKGTSKSHTSHKRRLFPSNFRNYGSGRNHHGIVSESPPSNSVGFFFGSTPPENPGLMSSKLSCSPHSFLSGSPPVGSMPKSFPPFQHPSHQLLEENQFKQQKYLKFHKKCLNDRKRLGIGCSEEMNTLYRFWSYFLRDMFNKSMYDEFCKLAMEDAAAKYNYGLECLFRFYSYGLEKHFRDDLYDDFEQLTLEFYKKGNLYGLEKYWAFHHYREDRGSTEPLRKHPELERLLREEYRSLDDFRAKEKADKVSGKECSSSRGGGSVD >Ma02_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29308574:29310140:-1 gene:Ma02_g24910 transcript:Ma02_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSMQIFMESSDWLKGVVQEDSGMDSSSPSSEVMSCSRPPPVMEERLRPQHDQALKCPRCDSTHTKFCYYNNYSLSQPRYLCKTCRRYWTKGGTLRNVPVGGGCRKNKRSGAKKTADLLHPSASSSLKEATSSCLHQPFPLVQLPHLESIFTNTCRNIDFMECKYDLMLDNPMDGLDLMDGKLGAILTRNQLPLGGVNPGLGEAASHGSASASFHSMIGTCGFCIDGNHAGLMEACRGLALPFDGHEESNSVEVKPGDWINLSTQWADQCCVDAGRAVMGCDKGLASWAGLMNGMAPR >Ma06_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4415164:4418283:-1 gene:Ma06_g05990 transcript:Ma06_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLRPLLLLPLFYLSCSLSLTLTSSQPTLQRNIETFFPSLPSPAFQYPSSPPAPSSLRPTDTGVTKAVVVAAVSSCAFCGFLFVVFYFFVARRREEKAVQPVKSTRLRPLRSNANPARRRSAFVDDDGLDALYWREFDTKFCSHCNKGYLFGPREDADEERREYDVFASPPGNDRRIQENSLLPASFIDSSSRNFHDRSSYWRRPTQVNQQLPPASGRAIPLTSRQSLLGSSSTSSQPPSTAMFSASHSPPPQSGSPWSLLAAPTETSNMSQPPRPPAPPSPPLPPTTKFNAATPPPPLPPVMNSNAAAPAPPPPPPVMKSNAAAPAPPPPPPATKSVPKPAPPPPPLPNNNNPAPAAPPPPPGGRRPGGAPSSGPPPPAPARTSAAGAADGGPKKLKPLHWDKMNPINVEHSMVWDKISNGSLKVDEDTMEALFGSAFSNRKAVDAAANPSTSKAAITQIVLLDPRRSQNIAIVLRSTAISRRDIIDGLTEGCGLSTDVLERLTKIAPTKDEENLVLGYTGDPSKLADAESFLFHILHAVTVPFARLDAMLFRSNYALEVGTFKRYLQVLEQACKELKTPTRGPFLKLLETVLKAGNRMNAGTARGNAQAFNLTALLKLADVKSSDGSTTLLHFVVEEVVRSEGKSLVVNRNYSLHRSNSGATLDRSTSRSAGREEREKEYIMLGLPIVGGLSDKFANLKRAAGIDYSELITTCPTLQARVTEIRRVLETCGVGGFAREMQTFVEESEDELKAARGEQARVLELVKKTTEYYHAGDSSVKGAHPLQLFTIVKDFLDMVDKTCVDIARNIQQKKKKHSPAAARTGSGSTSASQSEPSSREGSERRMRPMARFPNLPPDFLYRNSMSDSSSDED >Ma01_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9415911:9420965:1 gene:Ma01_g12870 transcript:Ma01_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKRGPPDAANGSGPASKRTRESDSFQHGIGSKSKPCTKFFSTAGCPFGEGCHFLHYVPGGLQAVAQMNNLGNPALAPPVRNPAALSAIHDGAPAPAVKTRLCNKYNTAEGCKFGDRCNFAHGEQELGKPKVSSTDGPMAPPMGGRPGGRYEPVRRYEPVPPSTVGAAASFGVSATAKISVDASLSGAIIGKGGVNTKQICRLTGAKLSIREHESDPNLKNIELEGSFDQINRASAMVRELIVNISATTGLPARNSAPAATTGSRSNYKTKLCENFGKGSCSFGDRCHFAHGESELRRASG >Ma08_p27100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39474758:39482711:1 gene:Ma08_g27100 transcript:Ma08_t27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRKHNSHDDQDHAALPHQEKVEELRAAIGPLSGRSFMFCTDACLRRYLEAWNWNVDKSKKMLEETLEWRATYKPEEIRWPEVATEGETGKVYRADFHDREGKSVLVLRPGRQNTCSHDSQLRHLVYLLENAIINLPQGQEQMVWLIDFTGWSLRNSVPIKTARETANVLQSHYPERLAAAFLYNPPRIFESFWKIVKYFLDPKTCEKVKFVYPNKEESMEVLRKSFDFQMLPEDFGGESNVHYDHEEFARLMAKDDAKTAAIWGEDHAAGASEVAHLVSMNENSNSKKEQVKTTR >Ma03_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4482078:4483778:-1 gene:Ma03_g06500 transcript:Ma03_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGSKMQRLYDACDMVFSSGRAELPTPRQLGWLQGILDAMEPADVGIDGSSGDESTSCVASSHGLILGHAIKQITYIHIHECEDFSIGVFCFPAGARLPLHDHPRMVVLTKVLYGSIALKSYDWVTTPISNPKRSGLAKVVADDSVLQASSKASVLFPRSGGNIHSLIALTPCAILDVLAPPYSEEQGRPSTYYVDIPIPPLPGFVVLEEIDTPDDLRVAGAPYLGPELLFDLDSC >Ma03_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23197547:23198363:1 gene:Ma03_g17590 transcript:Ma03_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRQTRGSSPTPGVFTRSRSELFVHRNRSGRVRPDPSRGPRPRLDSISPPPSSERLSAAAVKRVISPEASPVKRDAIRFVEGGKKSIDPAEEQAERIELAGGGADEVVEPSGGPEVELIHCKPPVYQNAMEVESVSQDLTTASGPEAVADVTADSTYPPKSRL >Ma03_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11564645:11566249:-1 gene:Ma03_g14390 transcript:Ma03_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGHTQPEAFPSTSTDSLRAQLLLVSQRLDEVQKEVRRSRGELGEDIHQGSPFVPEIQDQTVPQNFRLPSLDTYDGSTDPADHIAAFRTQMALYGTSDALMCRAFPTTLRGPARTWYDGIRTGTIASFDQLARDFELHFLASARPKPSIALLLGLHQREDESLSHFVNRFATQIRGLSDAHPSLLMQAFMTGLRRSRFFWSLVERPPSAVPEMLQRANQFIAVEAWMAGKQEKHTRVRPEPVRGQQPAATRRRLDQSDLLAPRPPLPPLGTSRTEIFLQIKERGLLRAPVPMKNPRELADQSKHCSFHRQNGHDTEDCHELKRQIEELVRAGHLSRYIRRNGESSPLPEGPVERHIDVISGGPTAGGTSMSGRKAYARSARIDAPQRGPDPKVAFPPEDIKPPQHDDALVIMARIANAQVRRIMIDTGSSAHVLYLDAFQKLGLTKESLKPICSTLTGFTGDSILPLGTVTLPLTLGAPPRTKTVMPTFLVVDLHAAYNAILGRPTLNKIRVVVSTYHQTVKFPTPAGTGEV >Ma07_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28914502:28919664:-1 gene:Ma07_g20910 transcript:Ma07_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSISQEPSSLLDLQCLLPLDSFLGRISFFPTSSSSSSSVPFGLPLCSAPPSRRNRRPAALASLSGGAPLSSSRLHRLWGEFNRFLRLHCERRVPIGFGSIGVSNDEIRLDGEGPAVVEDDGVPVNGVAEYERPKKVLILMSDTGGGHRASAEAIKAAFNQEFGDEYQVFVTDLWTDHTPWPFNQLPRSYNFLVKHGALWKMTYYGTAPRLVHQPHFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLKKIIFTTVVTDLSTCHPTWFHRLVTRCYCPSSEVTKRALKARLQPSQIKVYGLPVRPSFVKPVPPKAELRRESGMDEDLPAVLLMGGGEGMGPIEATARALGDTLFNENLGEPLGQILVICGRNKKLANRLQSIDWKIPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEAGNVPYVVDNGCGKFSKSPKEIANIVAQWFGPKSDELRAMSQNALKLARPEAVFKIVHDLHELVRQRTLVPQYSCST >Ma04_p27940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29118708:29123391:1 gene:Ma04_g27940 transcript:Ma04_t27940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACPTIAKNVAELIGKTPLVYLNNLTTGCVARVAAKLEMMEPCSSVKDRIGYSMIADAEEKGLIQPGKSVLIEPTSGNTGIGLAFMAAAKGYRLILTMPASMSLERRIILKAFGAELVLTDPILGMNGAVHKAEELAAKTPNSYILQQFENLANPRIHYETTGPEIWKGTEGKVDALVSGIGTGGTITGTGKYLKEQNPEIKLYGIEPSESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQVSSDEAIETAKLLALKEGLLVGISSGAAAAAAIRIAQRPENEGKLIVVVFPSFGERYLSSVLFQSIKKEAECMVFEP >Ma04_p27940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29118642:29123391:1 gene:Ma04_g27940 transcript:Ma04_t27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACPTIAKNVAELIGKTPLVYLNNLTTGCVARVAAKLEMMEPCSSVKDRIGYSMIADAEEKGLIQPGKSVLIEPTSGNTGIGLAFMAAAKGYRLILTMPASMSLERRIILKAFGAELVLTDPILGMNGAVHKAEELAAKTPNSYILQQFENLANPRIHYETTGPEIWKGTEGKVDALVSGIGTGGTITGTGKYLKEQNPEIKLYGIEPSESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQVSSDEAIETAKLLALKEGLLVGISSGAAAAAAIRIAQRPENEGKLIVVVFPSFGERYLSSVLFQSIKKEAECMVFEP >Ma04_p27940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29118692:29123391:1 gene:Ma04_g27940 transcript:Ma04_t27940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACPTIAKNVAELIGKTPLVYLNNLTTGCVARVAAKLEMMEPCSSVKDRIGYSMIADAEEKGLIQPGKSVLIEPTSGNTGIGLAFMAAAKGYRLILTMPASMSLERRIILKAFGAELVLTDPILGMNGAVHKAEELAAKTPNSYILQQFENLANPRIHYETTGPEIWKGTEGKVDALVSGIGTGGTITGTGKYLKEQNPEIKLYGIEPSESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVVQVSSDEAIETAKLLALKEGLLVGISSGAAAAAAIRIAQRPENEGKLIVVVFPSFGERYLSSVLFQSIKKEAECMVFEP >Ma07_p08440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6266595:6274749:1 gene:Ma07_g08440 transcript:Ma07_t08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDDDKSIYVGGLPYDCTQEDLRRAFDLYGAIVDVKIINDRQVGGKCYGFVTFRNPRSAVDAIMDMNGRKIGGRAVRVNEVHTRGGRPNFQRENFHRNADRDDDWERGRERERDHIQDRDHYLDRSNERFRDRDRQRERETDFERGRDFDRARAHSLDRDRDREDDDHERAWERNRDREADRDMDWNGDRDLDKNKDHDIDKELDKEQQPRQRHGAGFSDRQSRDLSSNSSDDYRGQVKEQLELSIQRREELQKELTIVGEKVEEKQQLVSDLQKKSQKLEDALVAAKKLTSQRHSMLMQLRACFIRAQDFAERLKSSEQELQSLADAAMFEVDMGEDAGARDGPSYANGQIIGGKMLER >Ma07_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6266595:6274749:1 gene:Ma07_g08440 transcript:Ma07_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDDDKSIYVGGLPYDCTQEDLRRAFDLYGAIVDVKIINDRQVGGKCYGFVTFRNPRSAVDAIMDMNGRKIGGRAVRVNEVHTRGGRPNFQRENFHRNADRDDDWERGRERERDHIQDRDHYLDRSNERFRDRDRQRERETDFERGRDFDRARAHSLDRDRDREDDDHERAWERNRDREADRDMDWNGDRDLDKNKDHDIDKELDKEQQPRQRHGGAGFSDRQSRDLSSNSSDDYRGQVKEQLELSIQRREELQKELTIVGEKVEEKQQLVSDLQKKSQKLEDALVAAKKLTSQRHSMLMQLRACFIRAQDFAERLKSSEQELQSLADAAMFEVDMGEDAGARDGPSYANGQIIGGKMLER >Ma03_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23787922:23793621:-1 gene:Ma03_g18200 transcript:Ma03_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTGGNDTEPVKTETTPPTSRRGRKKSSVWEHFTIEEVSGGCTRACCKLCKQTFAYSSGSKIAGTSHLKRHIALGSCPKIKFQNKLALAKVDGDTLDPPKRRYRSSGFSSVFDQDQSYVNLAKMIIVHEYPHDMVEHPAFVAFVHSLQPLFRMVDVNTIEGEVLSLYQKEKQNLIQVFGTMPGRISLTIGLWTTSQTLGYICLCGQFIDSEWKLHRRMLNFMMVSSPHSENALSEAISVSLSDWNMKSRLFTITLDNTCSSHDIYSANLRDHLSNKNMLMLKGQLFVVRCYANILNVIAEDVIASIHGIIYNIRESIKFVKASPAREEKFAEIALQLEILSTKALSLDVTTQWNTTYLMLVAALEYKQAFNFLETCDDNYNEAPTADDWKKVDVVCTYLKLLYDSANVVMAAADLTANIFFHEAWKTQAELTNATLSEDTMVSSIAKEMHEKFDKYWKDCSLVLALAVVMDPRFKMKLVEFSFSKIYGADSARYVKLVDDSVHELYLEYVEQPLPQTLAYVDQGEANHANGDDKNVPTTSMPAADGLQDFDMYLSELAVNQSSKSEIDQYLEESLMPRIQEFDILNWWKLNYLKYPTLSKMARDILAIPVSMVDTGCSIFSSGTGSRVLDEYRSSLRPETVEALFCAKDWLQYLPTMAEPPLAAVVNMET >Ma02_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22171462:22173129:1 gene:Ma02_g14010 transcript:Ma02_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPRRFTVGYALAPKKQQSFIQPSLVELARRRGIDLVPIDGTRPLAEQGPFDCLLHKLYGEDWKAQLDDFATRNPGVPIVDPPLAIERLHNRISMLQVVSELEIPQNRETFGIPSQVVIYDSGTLSNSGVVGALRFPVIAKPLVADGSAKSHKMSLVFHRDALLKLKPPLVLQEFVNHGGVIFKVYVVGDYVQCVKRKSLPDVSEEKLECSEGSVTFSQVSNMTTQDPTEVEYYMHLDEAEMPPLSFVTEIAKGLRQAMGLCLFNFDVIRDVKVGNHYVVIDINYFPGYAKMPCYENVLTDFFWNIVHENKGQDAEVSAISSNDKDSKLSVGNHCKMAEDMENDG >Ma09_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2358387:2359152:-1 gene:Ma09_g03560 transcript:Ma09_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPLGFGSRRGRIFDPFSLDAWDPIQGFPFHTSLSFSPATVPGEASAIAAARVDWKETPEAHVFKADFPGLRKEEVMVEVEDGRVLRISGERSREHEEKTDTWHRVERSSGKFLRRFRLPENAKVEQVNAAMENGVLTVTVPKEGVKKPDVKSIEISG >Ma09_p29510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39942136:39949312:-1 gene:Ma09_g29510 transcript:Ma09_t29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MFTASFAPGASPQIPTWHPAQSSLSSFWQSEDVHEHLRKLKETVGLAKALKTELEEILRVRRSRDPNSERVSLSLDCPSPRELSPSLNDSIATGVSRCFEDESNLQILKLIEAKRISLDVQESLSMEAANTLLSTLKYQLVPLNGITSQAIPWEEKSAAVKFANKLQKSKRNKLWKKKKRKRVAELARKLREDYDKADHDADEWRAREIANDMARRKVESMKEIAKLKSREERKRLESELELFLVVEKLQELHSIRIQKLKKQGHFIPEEDDKFLERVRAAVEEEERQAAAAADTHAAKDAIATAEESRKVMQNAITEANSIDEIEGEPSKTKGQSERSLVMDANMKSEQQKDEALGWGSGYDSVSNLPFEFLHYYHGSSNDMGTLIEVRRTWDAYIRPGGSRIPGHWVRPPPPADAVWASYLIQPK >Ma09_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34225371:34227347:-1 gene:Ma09_g22240 transcript:Ma09_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALVSSGGGDCLGVSMASAPSPYICNGDDFLSPYTIFSTIDTAPLPASGTFAISPSSVSFEEAEASSTATEDRLCLARLALRYREITERYGICLSHLCDTAEEVESLRRENASLRTANGELARRLELLAGKHTGRFPSSAGVVLMDELPPLSIAETPKPGDSPTSVLTFQERICGGHRSATGPVAEKHVSPPKITSVRSSGYLKLNSAGGPGSDTNRNDRFRVPSPVMIGSGAYFPSILFSYYKLRQAHLGGGSNDSKGERVGGADDEKEGESGGGALELEVFRQGMFKTELCNKWEESGVCPYGEHCRFAHGIAELRPVLRHPRYKTELCRMILFGDACTYGHRCQFRHSLSPSDHQRLRRCP >Ma04_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10833986:10835440:-1 gene:Ma04_g14310 transcript:Ma04_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHCLVDRGFRITFVNTQFNHDRVVAALQIDSTMEQINLVSVPDGLDQEEDRNDLGRLTEGLMKTMPLCLEELIRKSSEAGDRITCMIVDESMAWALEIAKKMGLRPAAFWPASAQLLVTLLRIPELTSKGVIDAEGAATRQEMFQLGPGMPPMNTAHFVWNCIGDRRTQRTVFNYILDNNRAIEIAEFVICNSFKEIEEPVFASAPRILPVGPLLTGLRPGRPAGHFWPEDTTCMSWLDEQPPSSVIYVSFGSFTIFDRRQFQELALGLEATGRPFLWVVRPDLTAASDDAYPPGFETRVAGRGRMVAWSPQHRVLAHPSVGCFLSHCGWNSTMEGISNGVLFLCWPYFADQFLNQSFICDVWKVGLRLMADENGIVKQERIESKVEELLRDEEMRSKALLLKDIAHRNISRGGSSSQNLQAFVDAMKTSTKESPESLRI >Ma06_p33280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33965232:33966923:-1 gene:Ma06_g33280 transcript:Ma06_t33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRETSGEQQVAVEEEEEDVVLPGFRFHPTDEELVGFYLRRKVEKKGFSIEIIKEIDIYKHDPWDLPKVISAGEKERYFFCLRGRKYRNSIRPNRVTRSGFWKATGIDRPVCSDTGDCIGLKKSLVYYKGSAGKGTKTEWMMHEFRLPSKNTSNTSLSMQEAEVWTICRILKRNGVCHRKSPPKWKESNNTKTQADSSSKTSSFESDSGNETMFFAASSYLQGGVMYVSNCYAEREQMYGSQWNSIAQAPMAALRSDVASSPNVNDIFGDGNWDELGRIMESMTDQDMASCCRYT >Ma10_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29878306:29887425:-1 gene:Ma10_g19290 transcript:Ma10_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQFFVLSQRGDNIVFRDYRGEVSKGSAEIFFRKVKFWKEDEEEEAPPVFNVDGVNYIHVKIAGLFFVTTTRVNVSPSLVLELLQRIARVTKDYLGVLNEDSLRKNFVLVYELLDEVIDFGYPQTTSTEVLKSYVFNEPIVIDSTRVPPLGPASMFMQGTKKMPGTAVTKSVIVTEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGSPEIRLALNEDLSIGRNSSSVYDYRSSAGGAVILDDCNFHESVRLDSFDVDRTLSLIPPDGEFAAMNYRMTQEFKPPFRVSALIEEAGQLKAEAIIKIRADFAASVTANTIIVQMPVPTYTARVSFELESGAVGQTADFKEGAKRLEWCLKKIVGGSEHTLCAKLTFSQESHGNIAREAGPINMNFTIPMYNASKLQVRYLHIAKKSPSYNPYRWVRYVTQSNSYVARL >Ma10_p17250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28710490:28713186:-1 gene:Ma10_g17250 transcript:Ma10_t17250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKTSSLADWTLDELVMQLHRDPIVLFAGYKLPHPLQYKIIVRIQTTSQSSPTQAYSQAIDDLDKELDYLKKGFEDEKTRFEEKLRQGY >Ma10_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28710490:28713168:-1 gene:Ma10_g17250 transcript:Ma10_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIVNAASFTVEREDHTIGNILRMQLHRDPIVLFAGYKLPHPLQYKIIVRIQTTSQSSPTQAYSQAIDDLDKELDYLKKGFEDEKTRFEEKLRQGY >Ma03_p33690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34968712:34971778:1 gene:Ma03_g33690 transcript:Ma03_t33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSRFRGVSRHRWTGRFEAHLWDKGSWNATQRKKGKQVYLGAYDDEEAAARAYDLAALKYWGPSTYTNFQVSDYDKEIQVMQSVTKEEYLASIRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPENPLDADSLLTLFCSVLLPELAAACHFLYLRKWRHHHYLNRCAPRQH >Ma10_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32368748:32369307:1 gene:Ma10_g23430 transcript:Ma10_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWQRMVVLPAKRALVAVAARVRTRKAGRGMLVKLRNEVQTCGYEDVQVMWEMLQRSAAELAGAPRGSKQTPAWSRRTSSSSARSVPRPLCHERRGSRSY >Ma02_p07560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18196704:18201310:1 gene:Ma02_g07560 transcript:Ma02_t07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNLGVLHYVLDHIYGAFVHRTKLTTPFFSKGWGGSKLDLLERFVKQLFPATETQNWPPTLVQPKWKTVWETSNACLREGIFRTPCDEQLINALPPESYNARVAFLVPKTVPPQKMACVVHLAGTGDHTFERRLRLGGPLLKENIATMVLESPFYGQRRPRLQRGAKLLCVSDLLLLGRVTIDESRSLLHWLEVEAGFGKTGICGLSMGGVHAAMVGSLHPRPIATLPFLAPHSAVVAFCEGVLKYATAWGALREDGEQKTGMTLEQARERLRSVLSLTDVTRFPIPKIPEAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNDEFRKAIVDALNRLQWREES >Ma02_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18196784:18201310:1 gene:Ma02_g07560 transcript:Ma02_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNLGVLHYVLDHIYGAFVHRTKLTTPFFSKGWGGSKLDLLERFVKQLFPATETQNWPPTLVQPKWKTVWETSNACLREGIFRTPCDEQLINALPPESYNARVAFLVPKTVPPQKMACVVHLAGTGDHTFERRLRLGGPLLKENIATMVLESPFYGQRRPRLQRGAKLLCVSDLLLLGRVTIDESRSLLHWLEVEAGFGKTGICGLSMGGVHAAMVGSLHPRPIATLPFLAPHSAVVAFCEGVLKYATAWGALREDGEQKTGMTLEQARERLRSVLSLTDVTRFPIPKIPEAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNDEFRKAIVDALNRLQWREES >Ma10_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15847318:15854947:1 gene:Ma10_g05130 transcript:Ma10_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIDGVGFEDGKLTAAGMPSFVSSTTVVQNNSREGNTTQRISDFGVLEQSITFHIDPAVSVKHSGQSINSDPSQFGVLGKPIASTEIAPSAARVESLTSFQHKEKLHSLISFSSGQFENWGESMADASPRTDTSTDVDTDDKNQRLERGQLAFAAASDSSDRSKDKTLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQKARQQGIFISNSGDQSHATSGNGALTFDVEYARWLEEHNRQISELRAAVNAHASENDLRVIVDGVMAHYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQMMGICNLQQSSQQAEDALSQGMDALQQSLSETLAGSLGPSGTSGNVANYMGQMAMAMGKLGTLENFLHQADNLRQQTLQQMHRILTTRQSARALLAISDYFSRLRALSSLWLARPQE >Ma10_p05130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15847318:15854947:1 gene:Ma10_g05130 transcript:Ma10_t05130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADASPRTDTSTDVDTDDKNQRLERGQLAFAAASDSSDRSKDKTLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQKARQQGIFISNSGDQSHATSGNGALTFDVEYARWLEEHNRQISELRAAVNAHASENDLRVIVDGVMAHYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQMMGICNLQQSSQQAEDALSQGMDALQQSLSETLAGSLGPSGTSGNVANYMGQMAMAMGKLGTLENFLHQADNLRQQTLQQMHRILTTRQSARALLAISDYFSRLRALSSLWLARPQE >Ma10_p05130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15847318:15854947:1 gene:Ma10_g05130 transcript:Ma10_t05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIDGVGFEDGKLTAAGMPSFVSSTTVVQNNSREGNTTQRISDFGVLEQSITFHIGSASGLLYPAVSVKHSGQSINSDPSQFGVLGKPIASTEIAPSAARVESLTSFQHKEKLHSLISFSSGQFENWGESMADASPRTDTSTDVDTDDKNQRLERGQLAFAAASDSSDRSKDKTLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQKARQQGIFISNSGDQSHATSGNGALTFDVEYARWLEEHNRQISELRAAVNAHASENDLRVIVDGVMAHYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQMMGICNLQQSSQQAEDALSQGMDALQQSLSETLAGSLGPSGTSGNVANYMGQMAMAMGKLGTLENFLHQADNLRQQTLQQMHRILTTRQSARALLAISDYFSRLRALSSLWLARPQE >Ma02_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6117243:6118116:1 gene:Ma02_g00700 transcript:Ma02_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDFLQVKLHFSQRRWSLAEGKSEASLFSTTGSDTMIEIIENKNNII >Ma09_p25810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37168201:37171164:1 gene:Ma09_g25810 transcript:Ma09_t25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCSSWVKLHDFLQSPENLDRLYCEIHLLKTLKHKNIMKFYTYWVDTSERNINFVTELFTSGTLRQYRQQHRRVNIRAVKHWCRQVLNGLLYLHSHEPPIIHRDLKCDNIFINGNQGEVKIGDLGHAAMLWKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYNECTHPVQIYKKVISGIKPKALYRVKDPEVRQFVEKCLATASERLSARELLKDPFLQVDDLGLSYDKGNISSINCILGQPSLGLIQSNVSFITNEFSKILHPETELQNGWDYDVTKMEALGMDLLDSHEDEHPAIMDITIKGSRREDGNIFLRLRISDKDGHVRNIYFLFDIEADTSLSVAMEMVAELAILDYDVTRIADMIDREVASLVLERKPGPRLEGTPGVPAATFCQNCASNVLSCGSLLDSLSLRNPCCSNMKSVHCCQLEGAEMPGQCEELMYPVEGSELRMTGRPPMLSTGQGLIAPTMNVND >Ma09_p25810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37167988:37171164:1 gene:Ma09_g25810 transcript:Ma09_t25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVQVQDPDYSEFVEVDPTGRYGRFNDILGKGASKTVYRAFDEYEGIEVAWNQVKLHDFLQSPENLDRLYCEIHLLKTLKHKNIMKFYTYWVDTSERNINFVTELFTSGTLRQYRQQHRRVNIRAVKHWCRQVLNGLLYLHSHEPPIIHRDLKCDNIFINGNQGEVKIGDLGHAAMLWKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYNECTHPVQIYKKVISGIKPKALYRVKDPEVRQFVEKCLATASERLSARELLKDPFLQVDDLGLSYDKGNISSINCILGQPSLGLIQSNVSFITNEFSKILHPETELQNGWDYDVTKMEALGMDLLDSHEDEHPAIMDITIKGSRREDGNIFLRLRISDKDGHVRNIYFLFDIEADTSLSVAMEMVAELAILDYDVTRIADMIDREVASLVLERKPGPRLEGTPGVPAATFCQNCASNVLSCGSLLDSLSLRNPCCSNMKSVHCCQLEGAEMPGQCEELMYPVEGSELRMTGRPPMLSTGQGLIAPTMNVND >Ma11_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24344678:24346205:1 gene:Ma11_g19490 transcript:Ma11_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLVLNEVSDLCIGKPPLISLPLSAATVSDALLALKRGGEPHLAVLDPDRAPPGKKAVAVAGKICVADILCFLCSDDNLASPAAALERPVTALLPKGTGLVRLVEPQFSVLEALDLIVDGAQNLVIPIRSLGRKKLDQGGDGVATAAKFCWLTHEDFVRYFLNSIALFCPIPTLSIDDLGLVQSADALTIRHDEPGLSLLPLVRRALTEQAAVAVVTDDGQLLGDISPCALSAGYNTVAVAAGIATLKAGDLMTFIDHYGSPPESLVRAIKAGLKEKGLQEMLHLMEDELSSFSNSSSASSSDDDSSEEEKRLRRPSKSFSSTGRRSSEPEVCHPGSSLVAVMVQALVHRVSYLWVVDEEDYGLIGIVTFADMLCVFREQLQPSL >Ma06_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8105655:8114475:1 gene:Ma06_g11630 transcript:Ma06_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQSKFVSVNLNRSYGQPSSSTSSVYGRSRPGSGGGGSGGGGMVVLSRARSSASSAAKTGQKLAVPPPLNLPSLRKEHERFDPASSASAVGHGSAALGARAGSSALGWSKPVIPPPSSMVEKDAVGRVQAQLGRPAIGGDDMAGSPYMPPGARPGGQPINATPVPGFSEKAVVLRGEDFPSLRATFTSAPKQKEASGQKQKQHQGTVVLLEAREEASELRAPLQMRPQIRSSRLITSNVAEGDEGSIRPPGSSEQSQKQDGYLPGLLPLVRLQHTSDWTDDERDTGLSIPGRERDRGFLRPESVQARDVYDGRGLHDTEAGGTRSREFFKGGSFVKDVKAANSESQDSGSWRSPLIPRDRLNTNVLGVNRDRHYGRPSSGSRELNTEGGNGWSSFVENGDRFAKRRQDSQYARVDLVSPENIHNGRVVAETFTGRSAEQSVHGHYSIHASNWSKGSSSLNAPVSKMQFLTGSKVTPLNDPMPKFGRERRSPSSAGKPFFEDANFNSKDPFSEGIKDMNVKIFKKKKDLEKQVDFPDPVRESYEAELERILRTQEQERQRAMEEQARALELARRQEEDRERLAREEEEKRRLLEEEAREAAWIAEQETLEAARRAEEQRIAREEEKRRYQMEEERRKEAARKKLLELEARIARRQAEDKEKDDGVPSFVSASDELVPDVVKEREVPQVAEVGVWEDGERLVERITRSALSDSPLMGRFSEVGSRSQILGDSISSFVDRGKHTYGSMILPSYAEENVPRNPRQDAFGYRRGLPKKEIHGGIVSSQMPDEYHQQRKQRWNSTKEGDHFMRNIDIDAEFIDSVKFRDAAMAPNNSHESPNAPYSEISSENSMVDGFTSFTRYRQPLRQPRVLPPPHVTAVQRRDHAERANSSRFTDDEFDYDHPSRSEQKSLHAGYDSVFPETLRHPGTADFLEENAIHSVQGPEKMSPRCDSQLSLSVSSPPSSPAPLSHHEMDISRDSPPLPTSADGEHTVVSDGEHIVLPLDRGTTDRTMSSRSVSPGIDDEWPIVNSEEVQEQEEYYEEDDDYQDLAEAHEGDDENLDSAQVIEDMRTDSGEMEQVILGFNEGVEVKLPSIDKFEITRSNSKDFLAIQAGSAVSVEEPISNGEITHQGGTINNSFIIVSETERSLQILSLDPMVSSSHSTNSVEASQNPIVPAQHMMVPASNFSTASTASDSPILSLPSAAVSQGEAPISLQFGLFSGPSLIPSPVPAIKIGSIQMPIHVHTQISPSLPQVHPSQPPLFQFGQLRYAPAISKSVLPLAPHSTSFVQPPAPASYSFKQNPAGCLCYQSPHNYSSQNKSEDKMPSVSSVTQSNLAQNLIEPSQGTLSSGQLKVILDPGKNVSMASQSLVGIPSLVEKKGKNDSIYQAEHHGNDDVTVKKTYKLTGKRKESQAQQHAELQSSRFFSGGKPPLKTSSTLFGGRRKRYTYTVKNAGSRSSFAGVDTIQADPSGFQRKARRNIRRTELGVREHIERKHTLGSESFNNRTGQDKVSKDHSTANGISIKNIGRRDAALDWPTKINDSENLTSGASISRVVSYDRKTKKAIGKETALQSITSFDKSHAGKGNINTSHILEEDVDAPLLSGVVRVFKQTGIEVPSNEDDFIEVRSKRQMLNDRREQRAKENKSKSRVSKAPSKQISVSQNNAANSNSHKAEIFSVGDTPNVVCSNPSVGAGNGSTKLEPSLVFTDNMTSQTLPPIGTPLVNVDSESGLNDLKSCQVISVPAASESGLMLSPGPLDPKNINPDRTTLPLSSWGTANMSHQVIALTQTQLDKAMKPAQFRSQVVSSIVLEPHKPVLSVVTSESTVSSLLASEKIQFGAIMPPNILPPVSRAISKGLGPPDSCRSELKVGQNLPANNYSMFFVEGKCHGEPCPNLEDAEAEAEAAASAVAVAAITNDEIVGSGIAASSDTKSFTTADGTALASGDVASSQEVAAQSANEESLTDALPADLSVDTPLSVWPALPSPQTSQPLLSQFPVAAPSHFPGFEMNHILDARTFAYGSHDESTGSQGQTHQCQKAAALGSGSAGAWPQCHSGVDSLYRPTSGFNGPFISPGGIPGVQCPPQMVFYNHFAPVGQFGQVGLGFMGATYIPAGKQPDWKQNQVCSTTSDNNGNLSSLNVVSGQGTPTSVPVQNISPGSPLMAVAPPLTMFDMSPFQPTANIPLQAWSHVHPPLHSVPLTMPPQQHHVESRIPSQFNWSVSGDTATGNHRFGEAHSSVSAEISRNIPFPTYTSSEISDGLSLVKQPTSSTANIHTIKPSDSTTSGNEKKVPKMVTRTIGSGVVDSGGIGMSNSNSSGQVTGLPTQQPTSSGQQHLRPIGYADQQGGVSQRTGSGCEWHHRRTGFQARKQVTGADKNNGPPKMKQIYVAKPSINRLPNQGQTRT >Ma05_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6475698:6481375:-1 gene:Ma05_g08800 transcript:Ma05_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGSEDGRGDLRKPFLHTGSWYRMGMGSRQSSLMASSASVIRDSSISVVLCTLIVALGPIQFGFTGGYSSPTQDDIIADLGLTLSEFSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIASIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNLRGALGSVNQLSVTIGILLAYLLGMFVHWRLLAVIGILPCTILIPALFFIPESPRWLAKMGMMEDFEASLQVLRGFDADITVEVNEIKRSVASSTRRTAIRFSQLKQKRYKLPLMIGIGLLVLQQLSGINGILFYASNIFKAAGLTNGNLATCGLGAIQVVATGVTTWLLDRAGRRILLIISTIGMTLSLLLVSVAFFLEGAFSEESHSYYVLSILSLVGLVAYVIAFSLGVGAIPWIIMSEILPVNIKSLAGSVATLANWLTSFVITMTANLLLNWSTGGTFTIYTVVSALTVLFVIIWVPETKGRTLEEIQWSFR >Ma03_p30450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33069403:33077553:1 gene:Ma03_g30450 transcript:Ma03_t30450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVKFVPRDQLLSSSAKKPHSDSDGSGGEEKKYSSTIKKSKTKKRSKRDGGDASSEDDVHKMISTRGKRVKNKNKKNKRSKKHHSSYDDDDDDEGDDSTLRTSDSESLDVKEVKRSKSRRKRRRRRQELSEDDYSSSSDEYAEKKEDSDDDGRDLLHQNDKELARKELGLDWMLRPAGRMTENSRAEVEEETEEHKTVEVTRTNPKELNPYLKDNGSGYPDDASISEASDRLFSSSVVGDGGASWRLKALKRAKEQAAREGRKLDEVVEERWGSLGQLTATVVAHRAAPARAHLRAINDRKRGPMELSEVAPSDETKEGIQEVRSGGRDYLRDVSSQIPQMRKPRHDDLSWKRNKGRNMSMEDKSLISSAISSLNRFANDGNFMDNIARFQSKDDGISNSSSGASPKASSNCMEKRLHTPRDRGPDEEASAQKQVQSANQLAAKVLQLRMKGKHDEAEKLSKEMEAMVDKPDAGTQSVRVETEGSTSRYIRKQMSREHKRREDDADLHLAKTITQNKQYSLGEDEEYGFDVAPSRKRNKKKEEMPAERSISRRLLTQQERCQFCFENPSRPKHLVVSIANFTYLMLPQWQPVVEGHCCILPMQHEAATRSVDKNVWEEIRNFKKCLLKMFARDDKDVVFLETVVGLAKQRRHCLLECIPIPHLLASQAPMYFRKAIEEAEEEWGQHDMKKVIPTSGNLRQVIPENFSYFHVEFGLDKGFVHVIDKDSNFNSGFGLNVIRGLLRLPEEDMYRRRRHEPIEKQNQAVANFAREWEHFDWTRDLD >Ma03_p30450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33069403:33077553:1 gene:Ma03_g30450 transcript:Ma03_t30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVKFVPRDQLLSSSAKKPHSDSDGSGGEEKKYSSTIKKSKTKKRSKRDGGDASSEDDVHKMISTRGKRVKNKNKKNKRSKKHHSSYDDDDDDEGDDSTLRTSDSESLDVKEVKRSKSRRKRRRRRQELSEDDYSSSSDEYAEKKEDSDDDGRDLLHQNDKELARKELGLDWMLRPAGRMTENSRAEVEEETEEHKTVEVTRTNPKELNPYLKDNGSGYPDDASISEASDRLFSSSVVGDGGASWRLKALKRAKEQAAREGRKLDEVVEERWGSLGQLTATVVAHRAAPARAHLRAINDRKRGPMELSEVAPSDETKEGIQEVRSGGRDYLRDVSSQIPQMRKPRHDDLSWKRNKGRNMSMEDKSLISSAISSLNRFANDGNFMDNIARFQSKDDGISNSSSGASPKASSNCMEKRLHTPRDRGPDEEASAQKQVQSANQLAAKVLQLRMKGKHDEAEKLSKEMEAMVDKPDAGTQSVRVETEGSTSRYIRKQMSREHKRREDDADLHLAKTITQNKQYSLGEDEEYGFDVAPSRKRNKKKEEMPAERSISRRLLTQQERCQFCFENPSRPKHLVVSIANFTYLMLPQWQPVVEGHCCILPMQHEAATRSVDKNVWEEIRNFKKCLLKMFARDDKDVVFLETVVGLAKQRRHCLLECIPIPHLLASQAPMYFRKAIEEAEEEWGQHDMKKVIPTSGNLRQVIPENFSYFHVEFGLDKGFVHVIDKDSNFNSGFGLNVIRGLLRLPEEDMYRRRRHEPIEKQNQAVANFAREWEHFDWTRDLD >Ma03_p30450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33069414:33077553:1 gene:Ma03_g30450 transcript:Ma03_t30450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVKFVPRDQLLSSSAKKPHSDSDGSGGEEKKYSSTIKKSKTKKRSKRDGGDASSEDDVHKMISTRGKRVKNKNKKNKRSKKHHSSYDDDDDDEGDDSTLRTSDSESLDVKEVKRSKSRRKRRRRRQELSEDDYSSSSDEYAEKKVEDSDDDGRDLLHQNDKELARKELGLDWMLRPAGRMTENSRAEVEEETEEHKTVEVTRTNPKELNPYLKDNGSGYPDDASISEASDRLFSSSVVGDGGASWRLKALKRAKEQAAREGRKLDEVVEERWGSLGQLTATVVAHRAAPARAHLRAINDRKRGPMELSEVAPSDETKEGIQEVRSGGRDYLRDVSSQIPQMRKPRHDDLSWKRNKGRNMSMEDKSLISSAISSLNRFANDGNFMDNIARFQSKDDGISNSSSGASPKASSNCMEKRLHTPRDRGPDEEASAQKQVQSANQLAAKVLQLRMKGKHDEAEKLSKEMEAMVDKPDAGTQSVRVETEGSTSRYIRKQMSREHKRREDDADLHLAKTITQNKQYSLGEDEEYGFDVAPSRKRNKKKEEMPAERSISRRLLTQQERCQFCFENPSRPKHLVVSIANFTYLMLPQWQPVVEGHCCILPMQHEAATRSVDKNVWEEIRNFKKCLLKMFARDDKDVVFLETVVGLAKQRRHCLLECIPIPHLLASQAPMYFRKAIEEAEEEWGQHDMKKVIPTSGNLRQVIPENFSYFHVEFGLDKGFVHVIDKDSNFNSGFGLNVIRGLLRLPEEDMYRRRRHEPIEKQNQAVANFAREWEHFDWTRDLD >Ma05_p27890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39005091:39005315:1 gene:Ma05_g27890 transcript:Ma05_t27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKGPYLALHLGLEKDVWVRAGCLPGLTPEHDEIVRAERKLTPELLTGRSNMACRRRSHQVRSQPLPVLYFSL >Ma07_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10094378:10095104:1 gene:Ma07_g13400 transcript:Ma07_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMKPCGYCNFPDIYSSWQSAGQYRNRWSLKLQGGDRKPFCICTLLFPTRMMIMNSIMSLASYPGYASSKS >Ma09_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4743371:4743493:-1 gene:Ma09_g07220 transcript:Ma09_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSFAGAVKQLAACSGRSNHIFSVWTTPTLTPFFIFVSG >Ma02_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19992556:19992702:-1 gene:Ma02_g10410 transcript:Ma02_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLLPETAFRQHGIMELLSEIYEEDNLIEIDIARGSIKCSRLEIEA >Ma09_p27220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38258817:38260100:-1 gene:Ma09_g27220 transcript:Ma09_t27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTNITSTTVLNSQEKEPHVEKDSTMDRPNWSSLPLNIVTLISEELSLPHRICFHATCNAWYFATLLKPIPSPLLLIRNDESEHSDSCLFVSPITEFFFIYFPINELHGTRCVGSNAGWLAILDEQLDVSLLNLLTKTRIYLPSFITSPLYNPPYESKFFTTDFNDLLAYARNNCCLKIFRDFIVKKVVFSSKPTIHSYIAMILYGTDPEIAYTKAGNDTWIFLGMSSTMKYYYEDIMYHDGKFYSITNESEVQVFDLSGDYPVMMLLIERLAPSTEYLDNISGSTINDMYNKFLACSSTGEMFLFLWHRDQTHYPNNGALPRPKDFMLMKVKPEKSHCWATTKDMGNMCLFIGSNNPILIPNKDLEGLKGDHIFSIETFPNEEVDGYTRNIGYFDLKEERWMSFSESSQSPLYLRPPIWFTLSLH >Ma11_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2995361:2997113:-1 gene:Ma11_g03920 transcript:Ma11_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLVSNEVSDLCIGKPALRSLPLSATAVGDALLALRRGGEPHLAVLAADRAAPEKRAVVGKLCVADVLCYLCSDGNLASPAAALERPVSALLPKGAGLVRRVEPQFSVSEALDLILDGAQSLVVPIGSVSRKKLAAAEFCWLTQEDFVRYFLNSIALFSPVPALSIDALGLVRSADALAICHDEPGLSIIPLVRRALSDQTAVAVVTDDGRLLGEISPAALSACDETVAVAAGIATLTAGDLMAFIDYYGSPSESLVRAIKAGLKEKGLHEMLELMEDELSSFSISSSSASSSSSDDESSGGRRLRKLRSRSFSIGRRSEEPEVCHPGSSLVAVMVQALAHRVSYLWVVDEDDYGLMGIVTFADMLRVFREQLQQSSL >Ma01_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10481274:10483893:-1 gene:Ma01_g14330 transcript:Ma01_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRDCYRGAGAAAGARRASGNARQSEDAEGKMSNHVRRTEVNSLDLNNFPEEHGKEAFDGSSPSTAIDRFKKKKNGGKDESYKVYECRFCSLKFCKSQALGGHMNRHRQEREVETLNRARQLVFSNEGPVAAAAGHMGFSDASYGVSQTIPLGDFQHGGDNICEQFLPVFHIPPTQSPQPPPFCSCIGPSISSHSNPYIRDYDNGRVPTARWRHQHYVSATANFTCFGVPLANTSQMDGVVAQVVRDNMRGHW >Ma04_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4406921:4407654:-1 gene:Ma04_g05880 transcript:Ma04_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSKSDGPDSAAEPDADHFEFFSFPSPPAMCAAADVFLGGKILPFGAPPPKRAENLGDLCWQETYAASECSRRRRRFWGGRVKAEYRRLRSMSDCHEMVPPPAARQQRPRWYLCVLGSVRVPATMDMSDIRSRQRRQSAAAEAEPGRGPSGDVGRATWRLLRSLSCTGLESASAVTVPLRFAEHVQDT >Ma08_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27045206:27047345:-1 gene:Ma08_g17780 transcript:Ma08_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAATAVSVGASGPGYPDSADSSPRSRGGDSWDEPFPPSAAAASSRLRLMCSYGGRIVPRPTDKSLCYLGGETRMVVVDRHSSLADISAKLSRKLLGGRPFSLKYQLPNEDLDSLISVTTDEDLENMIDELDRISAAASGGGGSTRSSRLRLFLFPSKSESAPSSTIGSLLDESKSETWFVDALNSAIGGMSLDGLPRGHSADSATVDSLLGLEDNSSVHSRKGGGGGGAASHPEPEQLVLPRPDSAGKLARHGQDVHSVPDSPMLDTTSSFGSTSSAPSLSNLPPIPVPTDDRYADHRISGLDDHLAHMNLSSDSATSQRPDDGFKEPIYAHHLQVPPPIPIPTASDSIPTISASDNSNRVFSDDEKSDHGVRKPPQPPKPTQVDAPSSDPASSYRAPLPPVDAPGFVLSSVHPEQLQQQQTHSQFHQQQPQFISTNPHYIHHTGAGTGVPMASYYSIMPQSMQQLPQAHPFDPHIPMYYVPVRQTTPYPYAGTGYHVMQHPHLSQSPATMANYGYEVTAAPGHPQMYYSQASSQPALSRQYQMASSTAVIPEAGAPGDSNASKTS >Ma08_p17780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27043596:27047345:-1 gene:Ma08_g17780 transcript:Ma08_t17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAATAVSVGASGPGYPDSADSSPRSRGGDSWDEPFPPSAAAASSRLRLMCSYGGRIVPRPTDKSLCYLGGETRMVVVDRHSSLADISAKLSRKLLGGRPFSLKYQLPNEDLDSLISVTTDEDLENMIDELDRISAAASGGGGSTRSSRLRLFLFPSKSESAPSSTIGSLLDESKSETWFVDALNSAIGGMSLDGLPRGHSADSATVDSLLGLEDNSSVHSRKGGGGGGAASHPEPEQLVLPRPDSAGKLARHGQDVHSVPDSPMLDTTSSFGSTSSAPSLSNLPPIPVPTDDRYADHRISGLDDHLAHMNLSSDSATSQRPDDGFKEPIYAHHLQVPPPIPIPTASDSIPTISASDNSNRVFSDDEKSDHGVRKPPQPPKPTQVDAPSSDPASSYRAPLPPVDAPGFVLSSVHPEQLQQQQTHSQFHQQQPQFISTNPHYIHHTGAGTGVPMASYYSIMPQSMQQLPQAHPFDPHIPMYYVPVRQTTPYPYAGTGYHVMQHPHLSQSPATMANYGYEVTAAPGHPQMYYSQASSQPALSRQYQMASSTAVIPEAGAPGDSNASKTS >Ma04_p01510.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1356533:1360612:-1 gene:Ma04_g01510 transcript:Ma04_t01510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKLRPQRPGNDHHLRRSSFIILQNEDTHELEKTLGDDSDDCELGEVGYEYVMVGGQTCGIPYELYELPDLKEILSVETWNYYLTEDERFSLAAFLPDMSQETVWIAIKELLTDVDVFFGSPLKKFYSGLRGGLYSPQVTHLREGIHFLQRSEYYHSLRSYHENLSQVFVEMKEVWNRCRPTTSIEERVQIWNSRKENKPVFVVDLNTFPDEGTLKKGDSNDRIVETVPFSKKTKMYMNQSHDSKVLVSGLVCSTKRKAKGFLKLKPIVTNSVPIQMMQALPDKSGKPSMRLPKGVLKIKPRYDPLSEEKLRPKPEQISVDGWGTHAHQVLPPQFAFKRDNLNLSKRLPFSHQVDRDGRTYRDTEDKQDWQRDEDLYAGCGSVDYSESERFQRKPKMIIDLRHDDVEICKGWFPSQTNQNLRIYPQEDGHIRECQGKKSSWNSSNTQPNRSFESLADPKKESFKHALENYQERKPSALISEACFGVSNNCTHQHEILTKFSDHLDHQCKDNGAVNVAVSGVKEGLMLPITYKRKKPQRKLNQVNSLKQQQPNVVSLESAAPSGILKPKPMAIKIKFSGLTGYNA >Ma04_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1356533:1360612:-1 gene:Ma04_g01510 transcript:Ma04_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKLRPQRPGNDHHLRRSSFIILQNEDTHELEKTLGDDSDDCELGEVGYEYVMVGGQTCGIPYELYELPDLKEILSVETWNYYLTEDERFSLAAFLPDMSQETVWIAIKELLTDVDVFFGSPLKKFYSGLRGGLYSPQVTHLREGIHFLQRSEYYHSLRSYHENLSQVFVEMKEVWNRCRPTTSIEERVQIWNSRKENKPVFVVDLNTFPDEGTLKKGDSNDRIVETVPFSKKTKMYMNQSHDSKVLVSGLVCSTKRKAKGFLKLKPIVTNSVPIQMMQALPDKSGKPSMRLPKGVLKIKPRYDPLSEEKLRPKPEQISVDGWGTHAHQVLPPQFAFKRDNLNLSKRLPFSHQVDRDGRTYRDTEDKQDWQRDEDLYAGCGSVDYSESERFQRKPKMIIDLRHDDVEICKGWFPSQTNQNLRIYPQEDGHIRECQGKKSSWNSSNTQPNRSFESLADPKKESFKHALENYQERKPSALISEACFGVSNNCTHQHEILTKFSDHLDHQCKDNGAVNVAVSGVKEGLMLPITYKRKKPQRKLNQVNSLKQQQPNVVSLESAAPSGILKPKPMAIKIKFSGLTGYNA >Ma04_p01510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1356533:1360612:-1 gene:Ma04_g01510 transcript:Ma04_t01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKLRPQRPGNDHHLRRSSFIILQNEDTHELEKTLGDDSDDCELGEVGYEYVMVGGQTCGIPYELYELPDLKEILSVETWNYYLTEDERFSLAAFLPDMSQETVWIAIKELLTDVDVFFGSPLKKFYSGLRGGLYSPQVTHLREGIHFLQRSEYYHSLRSYHENLSQVFVEMKEVWNRCRPTTSIEERVQIWNSRKENKPVFVVDLNTFPDEGTLKKGDSNDRIVETVPFSKKTKMYMNQSHDSKVLVSGLVCSTKRKAKGFLKLKPIVTNSVPIQMMQALPDKSGKPSMRLPKGVLKIKPRYDPLSEEKLRPKPEQISVDGWGTHAHQVLPPQFAFKRDNLNLSKRLPFSHQVDRDGRTYRDTEDKQDWQRDEDLYAGCGSVDYSESERFQRKPKMIIDLRHDDVEICKGWFPSQTNQNLRIYPQEDGHIRECQGKKSSWNSSNTQPNRSFESLADPKKESFKHALENYQERKPSALISEACFGVSNNCTHQHEILTKFSDHLDHQCKDNGAVNVAVSGVKEGLMLPITYKRKKPQRKLNQVNSLKQQQPNVVSLESAAPSGILKPKPMAIKIKFSGLTGYNA >Ma04_p01510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1356533:1360612:-1 gene:Ma04_g01510 transcript:Ma04_t01510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKLRPQRPGNDHHLRRSSFIILQNEDTHELEKTLGDDSDDCELGEVGYEYVMVGGQTCGIPYELYELPDLKEILSVETWNYYLTEDERFSLAAFLPDMSQETVWIAIKELLTDVDVFFGSPLKKFYSGLRGGLYSPQVTHLREGIHFLQRSEYYHSLRSYHENLSQVFVEMKEVWNRCRPTTSIEERVQIWNSRKENKPVFVVDLNTFPDEGTLKKGDSNDRIVETVPFSKKTKMYMNQSHDSKVLVSGLVCSTKRKAKGFLKLKPIVTNSVPIQMMQALPDKSGKPSMRLPKGVLKIKPRYDPLSEEKLRPKPEQISVDGWGTHAHQVLPPQFAFKRDNLNLSKRLPFSHQVDRDGRTYRDTEDKQDWQRDEDLYAGCGSVDYSESERFQRKPKMIIDLRHDDVEICKGWFPSQTNQNLRIYPQEDGHIRECQGKKSSWNSSNTQPNRSFESLADPKKESFKHALENYQERKPSALISEACFGVSNNCTHQHEILTKFSDHLDHQCKDNGAVNVAVSGVKEGLMLPITYKRKKPQRKLNQVNSLKQQQPNVVSLESAAPSGILKPKPMAIKIKFSGLTGYNA >Ma11_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24203618:24211239:-1 gene:Ma11_g19290 transcript:Ma11_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPISPELEEIDGKIQDIFRALQNGFQKLDKIKDPSRQTKQLEELTGKMRECKRLIKEFDHELKDQESRIQPEHNKQLNEKKQSMIKELNSYVALRKTYQSNLGNKRVELFDMGAGTSDPVTQENVKMASDMSNQELIDNGRKQMDETDQAIERSKMVVEQTIEVGTQTAANLKGQTEQMGRIVNELDTIHFSIKKASQLVKEIGRQVATDKCIMFFLFLIVCGVIAIIIVKIVHPNDKNIRDIPGLAPPAGRKLLSLEALGN >Ma11_p19290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24203618:24211239:-1 gene:Ma11_g19290 transcript:Ma11_t19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPISPELEEIDGKIQDIFRALQNGFQKLDKIKDPSRQTKQLEELTGKMRECKRLIKEFDHELKDQESRIQPEHNKQLNEKKQSMIKELNSYVALRKTYQSNLGNKRVELFDMGAGTSDPVTQENVKMASDMSNQELIDNGRKQMDETDQAIERSKMVVEQTIEVGTQTAANLKGQTEQMGRIVNELDTIHFSIKKASQLVKEIGRQVATDKCIMFFLFLIVCGVIAIIIVKIVHPNDKNIRDIPGLAPPAGRKLLSLEALGN >Ma04_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:757742:760530:1 gene:Ma04_g00800 transcript:Ma04_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMAAAAATLHPDEMNAAAAPTSLHLPPFPTLYIGDLHPAVTDAELIYAFTRFGTVASARVCRDRASGTSLGYAYLNYLSFSDAEKALKAMNHSLLHGRPMRIMWSQRNPMSRKNGIGNLFVKNLEISVSGTVLEDLFAVYGTVESCKVAIDGSGRSRGFGFVQMDSEEAAQLAIKALNGVILPGSSKKLCVTKFVKKSERQALPEGPSGTNLYIKNLDWDITDDVLRQRFSAYGNISSAVVMKDRGGKSRGFGFVDFESPEAAKNALENLNGSDLGSKSLYVRYAQKRSERDKLLRLRFAGRPEHKFTKIQGSTVFVKNLERSIDNAALRRLFSESGMVLRTKVIYDKNGLSRGFGFVCFSSAEEANEAVQRFNGNMFYSRRLYVTIAQNKEDRQKNLQLQFANFKIVPVQHAVSHDSIPAAYHVPNYDWFQNQMHINGNGHYGNVNDGSNSSRGARMTLY >Ma06_p36880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36112682:36114655:1 gene:Ma06_g36880 transcript:Ma06_t36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSAVESALFLLFLPKTEALPSIVAFLLAALSVLWFYPGGLAWALSRAGRSIPGPRGMVLALSGAAAHRSLATLAETLKATGLMAFSVGLTRFVVSSRPDTAREILNSSAFADRPIKESAYELLFHRAMGFAPFGEYWRNLRRMSATHLFSPMRIAASGEHRRTIAQQMIRDVMASMEKNGAVEVKKVLHFGSLSNVMATVFGKKFDFGKGQGMELEELVTEGYELLGEFNWSDHVPLLSWLDPQGIRKRCRGLVSRVNVFVGGIIGEHRRRRSGGESVDGDFVDVLLDLEKEERLSDSDMVAVLWEMIFRGTDTVAILLEWIMARLVVHQEIQRRAQSELDGVVGNSRAVADGDIPNLPYLQSIVKETLRLHPPGPLLSWARLAIHDVHVGDSFIPAGTTAMVNMWAITHDERIWPNPESFEPERFMEEDVSVLGSDLRLAPFGSGRRVCPGKALALATVHLWLAQLLHQFKWVPVETGVDLSECLKMSLEMQNPLVCKAFPRY >Ma09_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7342785:7344298:-1 gene:Ma09_g10800 transcript:Ma09_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVKYITSHGHSCWSTVPKEAGLQRCGKSCRLRWINYLRPDLKRGTFSEEEERIIIDVHRILGNRWAQIAKHLPGRTDNEVKNIWNSCIKKKLISQGLDPTTHNLFPSSRPTNGSINSDELHQLHYTRSPTTPFTISPPIRSHDRMKSPMELNPLLETPPHASVVPLHETAPVPNFQYQDDHVLMSFKDHGSHASLDFMNGSSSSSSLDHTNMSSSSFHEPGFIDDCMWDDSTVEPLEALQQAEDLVQAQPTMCRMGVDEASEKGMVMETSYGSATLDLEMMESALLPCGEFCSGSSMEHLQWDC >Ma01_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20088673:20090100:1 gene:Ma01_g21110 transcript:Ma01_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRIEKFQAMKRCRRRKQCFIPRLIQYLLTVSSLGLLLSCPLWLHPVCSCLSLFFSVSLPSMAAVMLSPKCLFVVCNVIVVFLIGESKLSRSYQSADIYEEYMKSKVKLERQAEDESKKGHVTDRALDEEDDSGGGEGEGMVAGYEDLDGEEEKDSSEIDKKATDFIARVNWQRRLEARSLLH >Ma06_p30440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31707165:31719001:1 gene:Ma06_g30440 transcript:Ma06_t30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASANPSGNTDGANGGPLRAGGGDAGGGGSSVPGNPSNGTTPGPAQALKHNPGLSIEWSAEEQTILEEGLSKYASESIIVRYAKVAMELRDKTVRDVALRCRWLSKKENSKRRKEDHNLTRKSKDKKEKITDSAKSSGHLGTRPNVPPYALPMLPVDEDDISYKAIGGRTGELLENNAETFTKISANFANLRIQDNINLFCQTRDSILAILKDLNDMPEIMKQMPPLPVKLNEELANSILPRTTMPMQN >Ma08_p31750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42557561:42558026:-1 gene:Ma08_g31750 transcript:Ma08_t31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNMLELEMLSHEWQAYISRTHSLRKTFISVKGIYYQAEVEGQKITWLTPHALQQVLTDDVDFNVMLTFSEFYVVIHNNY >Ma04_p30650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31251505:31255082:1 gene:Ma04_g30650 transcript:Ma04_t30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETTKSNPIRFGILGCAEIARKVARAIGLAPNAVIVAVGSRSLDKARRFIADNGLDADAVRAFGSYEAVLEDPGVDAVYVPLPTSLHLRWAVAAAERGKHLLLEKPTALCAAELDRILSACRSHGVQFMDSTMWMHHPRTAKMRELLSDSARFGQLKTIHSCFSFSGNPAFLQNDIRVKPDLDALGALGDVGWYCIRSILWAADYELPTKAIALQGTVKNEAGVILSCGSSLLWEDGKVATFQCSFMADLMMELIVGGTHGSLRLSDFVIPFEEEKAPFTFGSGLFFNELVTGWQSLPSTHIVTASLPQEALMVQEFSRLAGSIRDSTGKPDDKWPTISKKTQLVLDAVKASIDQGYRPVDIVG >Ma08_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7312640:7316332:1 gene:Ma08_g10030 transcript:Ma08_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELIYRGGHDAYRSVSGGDYVPKPEKRILWLSRPIRYLLREQRLVFVLVGMALGSLFFALAPSSSSSSAVAVEIALRSVEPTRFGAFQQHHHRTAFEAANKGFVGGKVPLGIKRKGLRVVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPIKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAQVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGNQTRSFQYVSDLVEGLMRLMEGEHIGPFNLGNPGEFTMVELAKVVQETIDPDAKIEFRPNTEDDPHKRKPDITRAKELLGWEPKIPLRQGLPLMVSDFRKRIFGDHSDANPSTTSKTTGTGSS >Ma08_p27250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39556268:39561589:1 gene:Ma08_g27250 transcript:Ma08_t27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGVLGMDALVGASTESGNLFSSSLLSQETEVGRQRGVFLSAFHKHERPAEPADYDLRSFKMARSEALVSASTKAAHFLHRSNSLPLLPDGEQMLSFSSTSKQSDMAIASDGTLPYYNHPSAPSSTQCYLRNAALYSGSSNANMQGVLARVRGPFTPSQWLELEHQALIYKYLVANVPIPATLLIPIKRSLGASGFPPLSAGSFASVGWGPFHLGYSGNADPEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKHVEGQTGHAAKAVSVITSSQSASAVPDAISSGSLTNSQRQSKSLQSNNADPFHAQSNGVLINKEDQNDPSQNSKGLSVLSPVNQNSMNNLFPVSKQHNPFEETSSGRDFGFISTDSLLNPPSSSFSDNISFIPNSKPEVHSHPLRHFIDVCPKTQSDRSTVTWPDVEDTQLNKTQLAISIPMACSDFSSSSSSNYDKLPLSPLKLSREYDLVNTGLGVGLLNEVCHQQVCWKPVSWEASMAGPLGEVLTSTNPTPKNQSKNCSSSSSLNLLSDGWDSGCQLESSPTGVLQKASFGSLSSSTGSSPRAENLKIHESTGSLCDDLLGSTIVNASTVPSL >Ma09_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35505525:35509581:1 gene:Ma09_g23760 transcript:Ma09_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTVKVSNVSLSASLHDIKEFFSFSGDIEYVEMQSADEWSQIAYVTFKDSQGAETALLLSGATIVDLSVIVTPAPEYQLPVTVSAPTVNKEVKVTGGGESASHKAEDVVTSMLAKGFILGKDAIGKAKAFDEKHQLTSTASAKVSSFDKKIGLTEKISMGTSAVNEKVKEMDQKFQVSEKTKSAFAAAEQKVSVAGSAIMKNRYVFTGASWVTGAFNKVAKAASDVGSKTKEKVAAEQEHRI >Ma07_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5191235:5193203:-1 gene:Ma07_g07140 transcript:Ma07_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAFSFDHFSSSPSEQLCYAYCNFCDTILAVSVPRSSLFMTVTVKCGKCTNLLSVNARGLLFPEVNQFRLGHSFLTLPHHKLSDEIPCSPTSLLMDPTTMNTTTTTTTNTLVASVNRGTDDKLRRTPVLNKPLEKRQRIPSAYNRFIKDEIQRIKAVNPDITHREAFSAAAKNWAHFPHIHFGLMPDQLMKKASFRLQEGEDVLLKDSF >Ma00_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2818299:2827733:-1 gene:Ma00_g00740 transcript:Ma00_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESGHSLRGAPSRHQQLRRWPSPMPLLVALVVLAEIAFLSRIDVAEKAASVVEQWSTSLYSSSSSSSSSSSSSSVVEEEDKVPEDIKRCEEWLEREDAVPYSRDFRKDPIFVFGMHKDWSSCDVDCDFGSANDKVPDAAFGLPHDPAIAGVLRSMESSHYYPENDVGVARRIGYKVVMTTSLSSDVPVGYFSWAEYDIMSPIQQKTEEALAAAFISNCGARNFRLQALDMLEELGIRIDSYGSCHRNRDGNVNKVETLKRYKFSLAFENSNEVDYVTEKYFQSLVAGTIPVVVGAPNIQDFAPSPGSVLHIKELHDVASVAKTMRDLAANPDAYNHTLRWKYEGPSDSFKALMDMAAVHSSCRLCIHLATKIHDEEEKSAAFQNRPCHCTSSSGTVYHLFVRERGRFKMESIYLRSGKLTLEALKSAVLTKFRSLNHTSIWKKERPAMIRGGNDLKIYRIYPVGITQQKALYTFQFDDDAELEKYIESNSCAKLEVIFV >Ma11_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22375937:22381350:1 gene:Ma11_g16970 transcript:Ma11_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSASPPDLAADASPQGGRDRRSSDHNANIREEISSGPNSRRPNLTSLQIPERTLENSLPSARSYTLSSPGSVRAGLPPRPSSTRTKSSIRSFFPQRSLKTRSSAPEGDRTVLLIPGTPSSEGKQDKPSTSRQFSFTKVFSSFSTKGAHSLPVTPVAISDSSSTQERHVVDLSNLEEKNLQTQIRRSFSVPGNAKNRSLQRTDSTGFVRVIVATPRPVVVNNTFESDAIETVNVSEDEGEDIPEEEAVCRICFVELTEGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVKNLPVTLLRLQNPQTVNRHPSNAIRRQEVNSYRVWQDLPVLVMVSMLAYFCFLEQLLVTEMASRALAVSLPFSCVLGLLSSMIASIMVTKSYIWAYASFQFAIVILFAHIFYNVLRVSPVISVLLSSFTGFGIAISMKSLIVEFLRWRHRRHVHLAQQQNDSRQQQESRNLSEAENDRQQESERQIQNPNPL >Ma04_p00600.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:566505:578135:-1 gene:Ma04_g00600 transcript:Ma04_t00600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKFYQDSSIVNRPNSDGEMTSVTTMQAINEKIMSLDFRNCFTEIKTIDSQLSYQNGVLIVVTGSFIGQENVKSKFAQSFFLAPQENGGYFVLNDVFRFLSETQPREMNHFLSDGTNDDALKAPLTSDTEQNLQEYHDVETLQTEEDGDNMEDVLNQSEDGGSGVEDEVVVDLPANASEIDSQTTHEVIASGAQDDVPKKSYASIVKVMKGSPSPALVHVTPKAKVAAEKPVVVSPTPATVPETSVPAINDVPENKNNVEEEGHSIYIRNLSPNATAEKVEEEFKKFGPIKPGGVQVRSHKVERYCFGFVEFESLKSMQAAIEASPITIGGRQAIVQEKRTTTRAVVNGVVTNSNSGSGGRGRFQLGRGAFRNDNFRGRGNFVSNMGFRRNEFRNRAEYSGRGWDPTSGGSNGYQPRAFQNGNGMVGRPRGPKPGVVSA >Ma04_p00600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:566505:578137:-1 gene:Ma04_g00600 transcript:Ma04_t00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTASPVSPLSPQVIGNAFVQQYYQILHQSPEMVHKFYQDSSIVNRPNSDGEMTSVTTMQAINEKIMSLDFRNCFTEIKTIDSQLSYQNGVLIVVTGSFIGQENVKSKFAQSFFLAPQENGGYFVLNDVFRFLSETQPREMNHFLSDGTNDDALKAPLTSDTEQNLQEYHDVETLQTEEDGDNMEDVLNQSEDGGSGVEDEVVVDLPANASEIDSQTTHEVIASGAQDDVPKKSYASIVKVMKGSPSPALVHVTPKAKVAAEKPVVVSPTPATVPETSVPAINDVPENKNNVEEEGHSIYIRNLSPNATAEKVEEEFKKFGPIKPGGVQVRSHKVERYCFGFVEFESLKSMQAAIEASPITIGGRQAIVQEKRTTTRVVNGVVTNSNSGSGGRGRFQLGRGAFRNDNFRGRGNFVSNMGFRRNEFRNRAEYSGRGWDPTSGGSNGYQPRAFQNGNGMVGRPRGPKPGVVSA >Ma04_p00600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:566505:578133:-1 gene:Ma04_g00600 transcript:Ma04_t00600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKFYQDSSIVNRPNSDGEMTSVTTMQAINEKIMSLDFRNCFTEIKTIDSQLSYQNGVLIVVTGSFIGQENVKSKFAQSFFLAPQENGGYFVLNDVFRFLSETQPREMNHFLSDGTNDDALKAPLTSDTEQNLQEYHDVETLQTEEDGDNMEDVLNQSEDGGSGVEDEVVVDLPANASEIDSQTTHEVIASGAQDDVPKKSYASIVKVMKGSPSPALVHVTPKAKVAAEKPVVVSPTPATVPETSVPAINDVPENKNNVEEEGHSIYIRNLSPNATAEKVEEEFKKFGPIKPGGVQVRSHKVERYCFGFVEFESLKSMQAAIEASPITIGGRQAIVQEKRTTTRVVNGVVTNSNSGSGGRGRFQLGRGAFRNDNFRGRGNFVSNMGFRRNEFRNRAEYSGRGWDPTSGGSNGYQPRAFQNGNGMVGRPRGPKPGVVSA >Ma04_p00600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:566505:578135:-1 gene:Ma04_g00600 transcript:Ma04_t00600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTASPVSPLSPQVIGNAFVQQYYQILHQSPEMVHKFYQDSSIVNRPNSDGEMTSVTTMQAINEKIMSLDFRNCFTEIKTIDSQLSYQNGVLIVVTGSFIGQENVKSKFAQSFFLAPQENGGYFVLNDVFRFLSETQPREMNHFLSDGTNDDALKAPLTSDTEQNLQEYHDVETLQTEEDGDNMEDVLNQSEDGGSGVEDEVVVDLPANASEIDSQTTHEVIASGAQDDVPKKSYASIVKVMKGSPSPALVHVTPKAKVAAEKPVVVSPTPATVPETSVPAINDVPENKNNVEEEGHSIYIRNLSPNATAEKVEEEFKKFGPIKPGGVQVRSHKVERYCFGFVEFESLKSMQAAIEASPITIGGRQAIVQEKRTTTRAVVNGVVTNSNSGSGGRGRFQLGRGAFRNDNFRGRGNFVSNMGFRRNEFRNRAEYSGRGWDPTSGGSNGYQPRAFQNGNGMVGRPRGPKPGVVSA >Ma04_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:566505:578137:-1 gene:Ma04_g00600 transcript:Ma04_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTASPVSPLSPQVIGNAFVQQYYQILHQSPEMVHKFYQDSSIVNRPNSDGEMTSVTTMQAINEKIMSLDFRNCFTEIKTIDSQLSYQNGVLIVVTGSFIGQENVKSKFAQSFFLAPQENGGYFVLNDVFRFLSETQPREMNHFLSDGTNDDALKAPLTSDTEQNLQEYHDVETLQTEEDGDNMEDVLNQSEDGGSGVEDEVVVDLPANASEIDSQTTHEVIASGAQDDVPKKSYASIVKVMKGSPSPALVHVTPKAKVAAEKPVVVSPTPATVPETSVPAINDVPENKNNVEEEGHSIYIRNLSPNATAEKVEEEFKKFGPIKPGGVQVRSHKVERYCFGFVEFESLKSMQAAIEASPITIGGRQAIVQEKRTTTRAVVNGVVTNSNSGSGGRGRFQLGRGAFRNDNFRGRGNFVSNMGFRRNEFRNRAEYSGRGWDPTSGGSNGYQPRAFQNGNGMVGRPRGPKPGVVSA >Ma10_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15886149:15887551:-1 gene:Ma10_g05180 transcript:Ma10_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRGLSCSIALCSLIISFFFVPLASAQLSPTFYNTSCPNALSIIRTAVRAAVARDRRMGASLLRLHFHDCFVQGCDASLLLDDTSTFTGEKTANPNNNSLRGFEVIDAIKSKLESACKQVVSCADIVAVAARDSVVALGGPTWTVELGRRDSTTASLSSANTDIPGPTADLRTLISSFSGKGLSASDMAALSGAHTIGQARCFLFRARIYNDTNIDPSFASSLQSNCSSSGGDNELSPLDSTTPTVFDNDYYANLVRERGLLHSDQQLFMNGGGSVESQVGSYATNSAKFFSDFASAMVKMASISPLTGSSGEIRINCRKIN >Ma09_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8504234:8506159:1 gene:Ma09_g12640 transcript:Ma09_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFEHDLIHNMPDIQPEKRVPVDADEEDGDPDGNGDEDGGSGVGEEGSSDKDSEGHGNPDDANSKEVPGGERNGQNDDEEEEPEDQGDDNDDDKEEDDENGENEDEIEDEEEDQETDEEEDDEEETLRPPKKRKK >Ma09_p12640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8501664:8506159:1 gene:Ma09_g12640 transcript:Ma09_t12640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHEAQTTVPPPPLLLLLPLTCPSQALLRGMPAVAHLQDLIHNMPDIQPEKRVPVDADEEDGDPDGNGDEDGGSGVGEEGSSDKDSEGHGNPDDANSKEVPGGERNGQNDDEEEEPEDQGDDNDDDKEEDDENGENEDEIEDEEEDQETDEEEDDEEETLRPPKKRKK >Ma09_p12640.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8498710:8506149:1 gene:Ma09_g12640 transcript:Ma09_t12640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRLGMLLQQCSQKKAFRHGIALHASVIKTGMDSDLVLSNHLINLYAKCKDFESSHQIFDHMSNRNIVSWSAMISGYDQAGKPSMALDLFAKMPLQPNEYIYGSVISACATLFALTQGSQIHGHSLKNGYDQISYVSNSLMSMYIKCDCFDDALCIFSSISEPNSVSYNVMITGFAENLKLSKGLELFRLMNKQGLDPDEFSYMALIGICSSVEDLHVGIGLHCQTVKLGLDTTVFVGNVILMMYSTCGLFEEVEKAFMLIKEKDVITCNTFIVACSNCGEHTKGLMVYKDMTATKNNFSLSPDEFTTASALAVSAELASFHHGGQIHAHLIRTRMVLDIAVYNAIINMYAKCGCSKYASHVFNLMPNRNLISYNTMIAAHGNHGHATAALEIFKRMRYEGFVADSVTFVGLLTACSHAGLVDEGLALFNSMQETYGICPMIEHLSCLIDMLGRSGRLEEAECYVKASAFQNDSVIWGNLLSSCRLHKNVVVGERAAIKLLELQPGTSSPYVLLSNLYASDGRWEDVAAARKMLKCTGVKKEPGHSFIEVKGIAEKFTVGDFSHAQIEEIIVTLESLNWMTKKLYMSALFD >Ma09_p12640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8498710:8506149:1 gene:Ma09_g12640 transcript:Ma09_t12640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRLGMLLQQCSQKKAFRHGIALHASVIKTGMDSDLVLSNHLINLYAKCKDFESSHQIFDHMSNRNIVSWSAMISGYDQAGKPSMALDLFAKMPLQPNEYIYGSVISACATLFALTQGSQIHGHSLKNGYDQISYVSNSLMSMYIKCDCFDDALCIFSSISEPNSVSYNVMITGFAENLKLSKGLELFRLMNKQGLDPDEFSYMALIGICSSVEDLHVGIGLHCQTVKLGLDTTVFVGNVILMMYSTCGLFEEVEKAFMLIKEKDVITCNTFIVACSNCGEHTKGLMVYKDMTATKNNFSLSPDEFTTASALAVSAELASFHHGGQIHAHLIRTRMVLDIAVYNAIINMYAKCGCSKYASHVFNLMPNRNLISYNTMIAAHGNHGHATAALEIFKRMRYEGFVADSVTFVGLLTACSHAGLVDEGLALFNSMQETYGICPMIEHLSCLIDMLGRSGRLEEAECYVKASAFQNDSVIWGNLLSSCRLHKNVVVGERAAIKLLELQPGTSSPYVLLSNLYASDGRWEDVAAARKMLKCTGVKKEPGHSFIEVKGIAEKFTVGDFSHAQIEEIIVTLESLNWMTKKLYMSALFD >Ma09_p12640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8498710:8506149:1 gene:Ma09_g12640 transcript:Ma09_t12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRLGMLLQQCSQKKAFRHGIALHASVIKTGMDSDLVLSNHLINLYAKCKDFESSHQIFDHMSNRNIVSWSAMISGYDQAGKPSMALDLFAKMPLQPNEYIYGSVISACATLFALTQGSQIHGHSLKNGYDQISYVSNSLMSMYIKCDCFDDALCIFSSISEPNSVSYNVMITGFAENLKLSKGLELFRLMNKQGLDPDEFSYMALIGICSSVEDLHVGIGLHCQTVKLGLDTTVFVGNVILMMYSTCGLFEEVEKAFMLIKEKDVITCNTFIVACSNCGEHTKGLMVYKDMTATKNNFSLSPDEFTTASALAVSAELASFHHGGQIHAHLIRTRMVLDIAVYNAIINMYAKCGCSKYASHVFNLMPNRNLISYNTMIAAHGNHGHATAALEIFKRMRYEGFVADSVTFVGLLTACSHAGLVDEGLALFNSMQETYGICPMIEHLSCLIDMLGRSGRLEEAECYVKASAFQNDSVIWGNLLSSCRLHKNVVVGERAAIKLLELQPGTSSPYVLLSNLYASDGRWEDVAAARKMLKCTGVKKEPGHSFIEVKGIAEKFTVGDFSHAQIEEIIVTLESLNWMTKKLYMSALFD >Ma03_p27440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30838114:30838943:1 gene:Ma03_g27440 transcript:Ma03_t27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAALDQKLAMAKLCSHEGVVAGAKAAVVATVAAAIPTLASFKILPWARSNLNPTAQALIISTVAGAAYFIVADKTVLASARKNSFEGGNINVPKNP >Ma01_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8829993:8832865:1 gene:Ma01_g12140 transcript:Ma01_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMSIAYHKPPSSSSSSSSPWSSSLIPAPRGGSSSASSAASPTRREERRNLPTAAAALVAGGTAALAMAASAQALPVDAPVLALAALQEPENALSLPTWAIHVSSVIEWVIAMVLVWQYGEKSGFQTWKGLTWGMVPLLGGALCACTWHFFYNAESLEILVALQAALTVLGNMTMCFAAFRIYKASQEGSKSS >Ma09_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34700024:34702593:1 gene:Ma09_g22810 transcript:Ma09_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKERTASRNPSLVRGIGKFSRSKMYHKRGIWAIKAKHGGSFPRHEPKPASAPTAAVKPPKFYPADDVKTPIPNRRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIATSTKVDISGVNVDKFDDKYFTKEKKKTTKKGEGEFFETDKEETKALPQEKKDDQKAVDSQLIKAIEAVEDLKVYLGARFSLRSGMKPHELKF >Ma11_p10100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9340423:9376131:-1 gene:Ma11_g10100 transcript:Ma11_t10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFWRPGSERPRATLVDDEEGGVLFVSAPPPSSSSGFGHSSLERQRQRLPVFKYRDAILYLVENHATTIIVGETGSGKTTQIPQYLKEAGWAEGGRVVACTQPRRLAVQSVASRVAEEMGVKIGEEVGYTIRFEDQTNPSVTVIKFLTDGVLIREMMDDPLLTKYSVIMVDEAHERSLSTDMLLGLLKKIQRRRPELRIIISSATIEAKSMSTFFQARKKDLRHAAEDSVPSHLPAVLSVEEPAGDILVFLTGQDDIDAAVKLLREDTQDDRRRTSGLLVLPLYSGLSRVDQDLVFAPTPKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQRFYNPISDIESLVVTPISKASARQRAGRAGRIQPGKCFRLYTEEYFLKEMPTEGIPEMQRSNLVSCVIQLKALGIDNILGFDWLASPPPEAMVRALEVLYSLGILDEDAKLTSPIGYQVAEIPLDPMISKMILSACNFGCSEEIITIAAVLSVQSIWVSMWGAQKEFDEVKLRFAAAEGDHVTYLNVYKGFLNSGKSSQWCYKNYLNYQAMKKVVDVREQLSRLLRRLGLALKSCEKDTQILRKAIIAGFFANSCHLEEYSHNGLYKTVRSSEEVYIHPSSVLFRVNPKWVVYHSLVSTNKHYMRNVIAIDPSWLIEAAPHFYQVRTPNLAPC >Ma11_p10100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9340414:9376147:-1 gene:Ma11_g10100 transcript:Ma11_t10100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFWRPGSERPRATLVDDEEGGVLFVSAPPPSSSSGFGHSSLERQRQRLPVFKYRDAILYLVENHATTIIVGETGSGKTTQIPQYLKEAGWAEGGRVVACTQPRRLAVQSVASRVAEEMGVKIGEEVGYTIRFEDQTNPSVTVIKFLTDGVLIREMMDDPLLTKYSVIMVDEAHERSLSTDMLLGLLKKIQRRRPELRIIISSATIEAKSMSTFFQARKKDLRHAAEDSVPSHLPAVLSVEGKGYTVEIHYVEEPVPDYLQAAVGTVLSINDKEPAGDILVFLTGQDDIDAAVKLLREDTQDDRRRTSGLLVLPLYSGLSRVDQDLVFAPTPKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQRFYNPISDIESLVVTPISKASARQRAGRAGRIQPGKCFRLYTEEYFLKEMPTEGIPEMQRSNLVSCVIQLKALGIDNILGFDWLASPPPEAMVRALEVLYSLGILDEDAKLTSPIGYQVAEIPLDPMISKMILSACNFGCSEEIITIAAVLSVQSIWVSMWGAQKEFDEVKLRFAAAEGDHVTYLNVYKGFLNSGKSSQWCYKNYLNYQAMKKVVDVREQLSRLLRRLGLALKSCEKDTQILRKAIIAGFFANSCHLEEYSHNGLYKTVRSSEEVYIHPSSVLFRVNPKWVVYHSLVSTNKHYMRNVIAIDPSWLIEAAPHFYQVRTPNLAPC >Ma11_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9340414:9375814:-1 gene:Ma11_g10100 transcript:Ma11_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKIGEEVGYTIRFEDQTNPSVTVIKFLTDGVLIREMMDDPLLTKYSVIMVDEAHERSLSTDMLLGLLKKIQRRRPELRIIISSATIEAKSMSTFFQARKKDLRHAAEDSVPSHLPAVLSVEGKGYTVEIHYVEEPVPDYLQAAVGTVLSINDKEPAGDILVFLTGQDDIDAAVKLLREDTQDDRRRTSGLLVLPLYSGLSRVDQDLVFAPTPKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQRFYNPISDIESLVVTPISKASARQRAGRAGRIQPGKCFRLYTEEYFLKEMPTEGIPEMQRSNLVSCVIQLKALGIDNILGFDWLASPPPEAMVRALEVLYSLGILDEDAKLTSPIGYQVAEIPLDPMISKMILSACNFGCSEEIITIAAVLSVQSIWVSMWGAQKEFDEVKLRFAAAEGDHVTYLNVYKGFLNSGKSSQWCYKNYLNYQAMKKVVDVREQLSRLLRRLGLALKSCEKDTQILRKAIIAGFFANSCHLEEYSHNGLYKTVRSSEEVYIHPSSVLFRVNPKWVVYHSLVSTNKHYMRNVIAIDPSWLIEAAPHFYQVRTPNLAPC >Ma03_p28060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31234436:31236094:1 gene:Ma03_g28060 transcript:Ma03_t28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPPGSQYVQQYLSTALSQRGPAALPYAEDAKWLIRQHLVALAEAYPSLRPRAATFTHDDGRSAHLLQAEGTLPIVYRGAAYNLPSAVWLLEPYPRRPPAVFLTPTRDMLVKPGHPLVEPSGLVRAAAVPYLATWVFPASNLVDLVRSLSHLFGLDPPLYSRPVTTVHPNPPPPNPNPDPVPSLSSSSPLQSRIYSSLPSPYGRFPPSPQIQQPVRPTEDSAEAFRRNAVAKILENVHRDAAGLRTAQEAEMEAILSVQANLRGRGEELVRGVREMVEEMEGLEQQLQLILMYTDVLEGWTRETEGKKRGRDVLDADEVFELVDGLSRQILECTAADLAVEDTVYSLDKAVQEGAITFESYLKSVRALCREQFFHRATIAKARAAQVTRLAARVPLYAS >Ma01_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3704386:3704891:1 gene:Ma01_g05270 transcript:Ma01_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVLIITDLKIKQGGATRTTNKSGMPDAVFVAAGSSPRWHLSPLRVQSPSRPSFLIARSEFGI >Ma03_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3818180:3818535:-1 gene:Ma03_g05610 transcript:Ma03_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEERQPQVISCRRGIGCVIVSRVHPASRMTFIRIIPGVRGTSVTLLAVMGSRNNTSGKVFPAGVVSLVSLIMAGGYLHGILRSSKTL >Ma11_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3370281:3372683:-1 gene:Ma11_g04240 transcript:Ma11_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKDKVSFSTRPFRCARACFARWPNRALSVWERPLTYRTSKLLQLPSQTRLTCLLETSGRKPRLIPSLASPKSTFRSSISPGSSIAVSLGKRTLLEVMAKNLGVAPEEFSSIFQDQPQAVKINDYPPCPRADEVLGLSPHTDGTGLTLLLQVNDVEGLHIRNGRAGEGGGDWFPVKPLPGAVIANIGDIIEIINSTQTSHNQHISIVCDTDSIQ >Ma07_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:597800:599038:1 gene:Ma07_g00710 transcript:Ma07_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKPMHHGMRLFELLEEQQEPFLLDVYLLEHGYSDRAVKTDAAAFMCWPAGACRRLRRLSTHGFKRKRAGFLRCLLNKVVCSRKAWRWDAAATGIGRWRLFGSFFEMKGKDDAADFRRLSCSGGTDGAEPDREEQWRAFCSSDQLSPVSVLELHSDEVGDEEPSISCVNSSKETTEAPWMGFEEQLHSNRHDPRQANYSECHFFEPHYFLLDCRKEAQGKLSTSRGCSSPEIIQEEILSWEDQRGGSGNISRLIDFDFSKSREEWSHFQHEIGEVGIEMEHIIFDEIREETVLDVLHCHCALARC >Ma04_p33870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33295881:33296869:1 gene:Ma04_g33870 transcript:Ma04_t33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSRNQHHHHQQQHLGVNKLGKNIRKSPLHQPTYYSNPKPQHPPPPLPQQQQQQPPPPQPQVYNISKNDFRSIVQQLTGTPSRDNSPAPPPPRPRPPQPRPSSTRLQKIRPPPLAPIARPPPPPLAASRPPPRYPPPIPYQNPNPNLPPAAVDPSSFPRRLPSAAAAGPAWADSPVSAYMRYLESSLINSDTSRQPHPQPQQHLPPLPSPGLLPSPRPPIPLPSPRAVANSSFMPPPPSPSASALPSPSTFLNILSPRSPYPLLSPGFQYPPPLTPNFALSPLSQSGILGAGPVPGPHPPPSPGLWFPQSPSGFLPILSPRWRDML >Ma09_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5103911:5109870:-1 gene:Ma09_g07780 transcript:Ma09_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSLRIGRSFTSSFFSSAVKSSLLRQFQLKWVYNAQPSRPRSVRAFVTARAERFPLRKKKKRLDEACLERFQEYSRTLIQSWILQGKVIVDGRVVSKAGTPVSNSSVVEIKAEVPKYVCRAGYKLEAAIEKLTVDVVGKVALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVADKIRRDERVSVIERTNLRYLPGLPQEVDIVTLDLSFISVLLVMPAVINVMKAESTLVTLVKPQFEARRSQVGGGGIVRDPQVHQEVLEKIIKGVEGYGFCSKGWIESPIKGAEGNTEFLVHFQRIPADSM >Ma01_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14640279:14641390:1 gene:Ma01_g19180 transcript:Ma01_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVSSLARMLTGYGEEGEGQREQELVTRDLLGGAAEVDLDLQVPAGWERHLDLSTGKTYIQKRESEPAPRLLHDLNLPPPSPSVGQKLEYQSVCTLEKVKSALERESRLLPRPDASPSPPPSSSSASSSASASFLSRRWTVEQAQDGVASTGPATMMAMAVAVCPACLLYVLVSEVDPRCPRCTAHVPVNVPHKKPWIDLNFSLHADNCDLY >Ma10_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19623893:19673382:1 gene:Ma10_g06530 transcript:Ma10_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVATAAGMAVVLYFLLSWRIAAARTDGEEDHGGGGSVHKVERVAAGRRRIARRPAQPPATWREAVATLVETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSDCVELKGPEVLAELIRLLRLLNLCYLFSKRPFPIFLESAGYSPEDVLLQEPKAGLLKPAFTILDDKETKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLDEGGVKKLVLGYAHCGMVAAARWIAKCTTPCLLKAVNQHPDFKIKIVGHSLGGGTAALLTYILRERKEFFSSTCVAFAPAACMTWELAESGKDFITTVVNGSDLVPTFSTASIDDLRSEVTASSWLNDLRDQIQRTRILNVIYRSASALGSHLPSISSARAKVAGAGALLRPVSSKTQVMVKRAQYVAQAVARSQSSFSSWTCMGARRRAIGTVTKEKEPILPSAPQRNSEKISVDYCDNEMVNEPQFDSSKDSDDEETEKEELIQQDQVGGASAVDQLTDGDLWFQLEKEINEQSDSTVGDGQEDVVAAVKKIMDEENAVLQANKQQISAVAFETHQFYPPGRIMHMVVLQTSESDPTENVIMDEKNVGIYESPRYLYSKIRLSRTMINDHYMPMYKMMMELLIEKLAKDDDDDQRKTLI >Ma04_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20157039:20157886:1 gene:Ma04_g18320 transcript:Ma04_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELPPACSASVAASLHRREFLIISPLLFPSITALTQRSISSLATMPVSALLARTPTSHTSSTYLAFVGWSVHSGKATMGTPSDTASSVEFHPQCVTKQPNAGWARTASCGLHRTTRPRSGGAFANARGSVFSTAARTTHKKFFPDASRPHASSSICSALTDATLPKETYTTERGGFEFSHLMHVSSSASRRLRP >mito6_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000017.1:62350:63105:1 gene:mito6_g00010 transcript:mito6_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLLQPSFCMAKTKSYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRILYVHVPVARMSIVTYIATAINSSLFLLTKHPFFLRSSGIGTEIGAFYTFLTLVTGGFRGRPMWGTFRVWDARFTSVFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSPVNWWNTLHQPGSISLSGTSIHAPMPIPILSNFANFPFSTRILFVLETRLPIPSFPESPFTEEIEAREVIQTNKNLVHSLLHPWL >Ma08_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33367320:33373517:1 gene:Ma08_g19580 transcript:Ma08_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEILHKLKAKAGFASSSETGKGRCKISGRNIRHGYHLVKGKASHPMEDYLVAEFKKVDDHELGLFAIFDGHLGHDVADYLRSHLFENILKEPEIWSDIESAIRKAYDRTDQKILEKAAELGRGGSTAVTAILIDGVKLVVANIGDSRAVISKNGVARQLSVDHEPSTEQRLIEEKGGFVSNIPGDVPRVDGQLAVARAFGDCSLKAHLSSEPDVADDVIDDDTESLILASDGLWKVMSNEEAVDLIKDTRDPQAAAKHLTEEAVARKSKDDISCIVVKFT >Ma04_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2794908:2799949:1 gene:Ma04_g03620 transcript:Ma04_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEMASSSNPEPERDAEETNLNANPVAPPVAPVVCLMRFASDSAAGGFMGSIFGYGKGLVNKRGFRGSFGDAGSSAKTFAILSGVHSLVSCFLKRLRGKDDVINAGVAGCCTGVALSFPGAPHALLQSCLTFGAFSFVMEGLNKQQPAAAKSFSSGQEIAKSPKNVLPPFTLPLPPTLMEGFSSFCKSLSKPRSPIPR >Ma09_p28970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39591429:39593699:1 gene:Ma09_g28970 transcript:Ma09_t28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRSEEDAILCAYVTQYGPREWHLVSQRMNAPLHRDAKSCLERWKNYLKPGIKKGSLTEEEQRVVIGLQAKYGNKWKRIAAEVPGRTAKRLGKWWEVFKEKQQRRQRESSSRSAVCSIEPSKYDQILENFAEKLVKDHHHISPLLMATPLLPPWLSNNNGAHRPASPSVALTLSPSTMPPAPARWPPTERAADNSLGSSRLQHGMAPGFTAVDGRMVSDLVECCKEIEEGHRAWMSHKREAAWRLQRVELQLDAEKAHKRREKMEETEAKIRALREEQQAALDRIEAEYREQILGLRRDAEAKEQKLAEQWASKHMRLSKFLEQCGCRQWPSAEMNGR >Ma09_p28970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39591161:39593699:1 gene:Ma09_g28970 transcript:Ma09_t28970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRSEEDAILCAYVTQYGPREWHLVSQRMNAPLHRDAKSCLERWKNYLKPGIKKGSLTEEEQRVVIGLQAKYGNKWKRIAAEVPGRTAKRLGKWWEVFKEKQQRRQRESSSRSAVCSIEPSKYDQILENFAEKLVKDHHHISPLLMATPLLPPWLSNNNGAHRPASPSVALTLSPSTMPPAPARWPPTERAADNSLGSSRLQHGMAPGFTAVDGRMVSDLVECCKEIEEGHRAWMSHKREAAWRLQRVELQLDAEKAHKRREKMEETEAKIRALREEQQAALDRIEAEYREQILGLRRDAEAKEQKLAEQWASKHMRLSKFLEQCGCRQWPSAEMNGR >Ma11_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3485428:3488657:-1 gene:Ma11_g04500 transcript:Ma11_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGFVDDENLEAIVTRIEQKSRKIESLLKQSKPVEALKTALEGSPLKTRDERCKSAIWIVVHRAIMAIKDVDAMFSSLDPEYYDTLMKYLYRGLATGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >Ma02_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25095045:25095731:-1 gene:Ma02_g18660 transcript:Ma02_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGPLLRQQQGYWTSLLGISWKRHVLHGLNYSSTNVRFVMRTQN >Ma05_p28780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39654408:39659549:-1 gene:Ma05_g28780 transcript:Ma05_t28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSSWGLIIGVTIGVVIGVLLAVGALFCIRFRKKHAQIRLSSSRRASTIPMRVNGVDSSSVLSDSTMDQESPKHPETEADGSSFWIEGTKRKNLVSVSGIPKYFYKDLQKATRNFTTLIGQGAFGPVYKAQMPTGETVAVKVLATNSKQGEKEFQTEVLLLGRLHHRNLVNLVGYCAEKGQHILIYVYMTNGSLASHLYSEKHEALRWDLRVNIALDVARGLEYLHDGAVPPIVHRDIKSANILLDHSMRARVADFGLSREEMVSHQASNIRGTLGYLDPEYVSSRSFTKKSDVYSFGVLLFELIAARNPQQGLMEYVELAAINAEGRVGWEEIADPQLDGVFDISELNDVAALAYKCINRLSKKRPSMRVVVQALSQILKTSHRKHHSRRWLPITVEEESLDIELSEHQSSVSGHQREESIDSVSDLPDV >Ma08_p34410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44415908:44419710:-1 gene:Ma08_g34410 transcript:Ma08_t34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MAGGGRARPNILVTGTPGTGKTTTCSLLADATGVCHINVGDLVREKSLHDGWDEEFECHIINEDLVCDELEDKMEDGGNIVDYHGCDFFPERWFDCVVVLQTDNSILHDRLSSRGYMGPKLINNIECEIFQVLLEEAKASYPEDIVIALQSDTVEDISRNVTKLTEWFNNWRPRRSS >Ma03_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6052475:6075264:1 gene:Ma03_g08380 transcript:Ma03_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYALNPQLDIAQILQEAKSRWLRPSEICEILRNYQRFNLTPDPPYKPPGGSLFLFDRKALRYFRKDGHNWRKKRDGKTVREAHEKLKCGSVDVLHCYYAHGEDNENFQRRSYWMLDGQLEHIVLVHYRDVNEGSRSTIPHLLNTDAMRMSHTDGSQTSSAVCSYLDHLTFPTQPSYATSAHAADWNRQAPSSEFEDAESGEEHSEASLADSLSHSGIHVASSANHTGQENVAGCSGSLAHLRSSDSIDTGILGRLFGPSSANQVPLQNLILGEDQQKNCEVSQGAGSLGGASFDHHTAASKMPEFFNISRKDSGMLEENVSIEQAKWSVNMPKIFPNTTSEGNEVVKYVTDSGNSILISDHQKTSIGEGTKENQVKVENSENISNLDHGHLVDIPGYMFQVPNTNLSQSTLQTINNGSSKVTVASDQPLSYEAQILYGLKKSLENEGDLKKLDSFGRWMSKEIGKDCDDSLMASDSCNYWNAMDAQNDDKEVSSLSSHMQLDMDSLGPSLSQEQLFTIHDFSPDWAFSGIETKVLIAGTFLGSVEPRSIKWSCMFGEFEVSAEVLTSNVIRCKAPLHTPGRVPFYITCSNRIACSEIREFEYRENFSSFSSVPERDLEEVILQVRFAKLLSTGLDRNWLVCSVENCEKCFLKQKLLLMLRDQENEWNVIDKDSKAFHSDLRIPKDGLIQKLLKGKLYEWLLCKVHEEGKGPNVLDENGQGAIHLAAALGYEWAMSPIVCAGVSPSFRDVIGRTGLHWAAYFGREETVVELVRLGAAAGAVEHPTSKVPAGKTAADLASSRGHKGIAGYLAEADLTSHLSSLTVKESEMGRLSATLAAEKAIENVQEQNTVSLDGGNGEQLSLRGSLAAVRNSAQAAARIQAAFRLHSFRQRKLTDSKDKDTEISVDLMVRSYLNKFPKINHYNESLHMAAVKIQQKYRGWKGRKEFLKIRDRIVKIQAHVRGHQVRKQYKKVVWSVSIVEKAILRWRRKGAGLRGFRAENTTICIEGKVGATDEYDFLRLGRRQKVASVEKALARVQSMARHPEGRDQYMRLVACSRKSKLGDEGGGSAQLQNTEEENGGG >Ma03_p08380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6052475:6075264:1 gene:Ma03_g08380 transcript:Ma03_t08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYALNPQLDIAQILQEAKSRWLRPSEICEILRNYQRFNLTPDPPYKPPGGSLFLFDRKALRYFRKDGHNWRKKRDGKTVREAHEKLKCGSVDVLHCYYAHGEDNENFQRRSYWMLDGQLEHIVLVHYRDVNEGSRSTIPHLLNTDAMRMSHTDGSQTSSAVCSYLDHLTFPTQPSYATSAHAADWNRQAPSSEFEDAESGEEHSEASLADSLSHSGIHVASSANHTGQENVAGCSGSLAHLRSSDSIDTGILGSLGGASFDHHTAASKMPEFFNISRKDSGMLEENVSIEQAKWSVNMPKIFPNTTSEGNEVVKYVTDSGNSILISDHQKTSIGEGTKENQVKVENSENISNLDHGHLVDIPGYMFQVPNTNLSQSTLQTINNGSSKVTVASDQPLSYEAQILYGLKKSLENEGDLKKLDSFGRWMSKEIGKDCDDSLMASDSCNYWNAMDAQNDDKEVSSLSSHMQLDMDSLGPSLSQEQLFTIHDFSPDWAFSGIETKVLIAGTFLGSVEPRSIKWSCMFGEFEVSAEVLTSNVIRCKAPLHTPGRVPFYITCSNRIACSEIREFEYRENFSSFSSVPERDLEEVILQVRFAKLLSTGLDRNWLVCSVENCEKCFLKQKLLLMLRDQENEWNVIDKDSKAFHSDLRIPKDGLIQKLLKGKLYEWLLCKVHEEGKGPNVLDENGQGAIHLAAALGYEWAMSPIVCAGVSPSFRDVIGRTGLHWAAYFGREETVVELVRLGAAAGAVEHPTSKVPAGKTAADLASSRGHKGIAGYLAEADLTSHLSSLTVKESEMGRLSATLAAEKAIENVQEQNTVSLDGGNGEQLSLRGSLAAVRNSAQAAARIQAAFRLHSFRQRKLTDSKDKDTEISVDLMVRSYLNKFPKINHYNESLHMAAVKIQQKYRGWKGRKEFLKIRDRIVKIQAHVRGHQVRKQYKKVVWSVSIVEKAILRWRRKGAGLRGFRAENTTICIEGKVGATDEYDFLRLGRRQKVASVEKALARVQSMARHPEGRDQYMRLVACSRKSKLGDEGGGSAQLQNTEEENGGG >Ma03_p08380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6052475:6075264:1 gene:Ma03_g08380 transcript:Ma03_t08380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYALNPQLDIAQILQEAKSRWLRPSEICEILRNYQRFNLTPDPPYKPPGGSLFLFDRKALRYFRKDGHNWRKKRDGKTVREAHEKLKCGSVDVLHCYYAHGEDNENFQRRSYWMLDGQLEHIVLVHYRDVNEGSRSTIPHLLNTDAMRMSHTDGSQTSSAVCSYLDHLTFPTQPSYATSAHAADWNRQAPSSEFEDAESGEEHSEASLADSLSHSGIHVASSANHTGQENVAGCSGSLAHLRSSDSIDTGILGRLFGPSSANQVPLQNLILGSLGGASFDHHTAASKMPEFFNISRKDSGMLEENVSIEQAKWSVNMPKIFPNTTSEGNEVVKYVTDSGNSILISDHQKTSIGEGTKENQVKVENSENISNLDHGHLVDIPGYMFQVPNTNLSQSTLQTINNGSSKVTVASDQPLSYEAQILYGLKKSLENEGDLKKLDSFGRWMSKEIGKDCDDSLMASDSCNYWNAMDAQNDDKEVSSLSSHMQLDMDSLGPSLSQEQLFTIHDFSPDWAFSGIETKVLIAGTFLGSVEPRSIKWSCMFGEFEVSAEVLTSNVIRCKAPLHTPGRVPFYITCSNRIACSEIREFEYRENFSSFSSVPERDLEEVILQVRFAKLLSTGLDRNWLVCSVENCEKCFLKQKLLLMLRDQENEWNVIDKDSKAFHSDLRIPKDGLIQKLLKGKLYEWLLCKVHEEGKGPNVLDENGQGAIHLAAALGYEWAMSPIVCAGVSPSFRDVIGRTGLHWAAYFGREETVVELVRLGAAAGAVEHPTSKVPAGKTAADLASSRGHKGIAGYLAEADLTSHLSSLTVKESEMGRLSATLAAEKAIENVQEQNTVSLDGGNGEQLSLRGSLAAVRNSAQAAARIQAAFRLHSFRQRKLTDSKDKDTEISVDLMVRSYLNKFPKINHYNESLHMAAVKIQQKYRGWKGRKEFLKIRDRIVKIQAHVRGHQVRKQYKKVVWSVSIVEKAILRWRRKGAGLRGFRAENTTICIEGKVGATDEYDFLRLGRRQKVASVEKALARVQSMARHPEGRDQYMRLVACSRKSKLGDEGGGSAQLQNTEEENGGG >Ma08_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37460315:37461833:-1 gene:Ma08_g24180 transcript:Ma08_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQQLLVYQLLIFPLLCVPLPEASGTAPSGVGRVIHIGSAISSLAAKGPAAAPPKQTNLTSVMARKGCATFAGLLASTADAEQTFVSNVDAGLTVFCPLDQAMKPFLPKFKNLTADGKLSLLLYHAIPVFYSIELLKTGNGVMNTLATDGTAKNYNLTVQNEGEQVTLKTRLTVSTITATLIDKDPLAVYAIDKVMEPAELFKPPEAPAPAPAPEADKMAEAPKARKSKRASPPAPAGPEEQPADQKAADESAAGRTGVAALAAAVIATAVAVIAA >Ma01_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8009527:8015901:-1 gene:Ma01_g11110 transcript:Ma01_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVAENVGALVRRLSRHLNRKITDVLALLFRHKSAGSLGAVAGFAIAVVFAWKFLRPSRGRRTSDRRKPRASPSTSREAAGADPSPSEGFVPLRPLKVSDAIEAVASPVELTLGQIVRKKLGGCRKMTCQLLGVILEEKTPEELQKHATLRLSVLEVLEEISKYCDLYLMETVLDDESEERVLSALENGGVFQTGSLIKDKVLFCSTDVGRTSFVRQLEADWHIDSNLEIISQLLRFIRFQLYISEMESGQIAPNVFTSTCLEQFFS >Ma03_p23980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28361927:28372830:-1 gene:Ma03_g23980 transcript:Ma03_t23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMGAKLQQQEALEKQSAWVLATPAMPITPEKSPTTGPRDLNFSETATSSPPNEVQNHNVGSHQTILLNPTPGFSPIHFENVLVLTNPTGMQNIASFAWNDQPLALSNGKLLLSGSICHGDSHLLDRTFVGFCPNLRFTPEEAACTSNTKAMPVLVAPVTPAKGNKTNDSIQMHKEVEELIRGRRKEAGETQCYTTVHHDSSKLVASATPDKGKEIEDNIREVITPVDVDLIRNGNSQGGDQSEQSSFKKGTSSQELGVKLPTHASVSSVTSQLDIEQDEERINQGRELSSTTKKKGTRKRHRPKVLKDGLLTSKKPVTPQRVGKKREGQTGKRSYMRKNRSSNCPNTPSDTPRDTGDTSGTVSKSANQRTDNETKFIRRKLDFASESQPVDEYLEHAFTKSASQARGRCSTDSATCCRAKLNVQLGEGLEVEVENSGTGIAFDLNCSINQVLEESIRLPEDPSPLHRPSRRELLKKNWKYLARKSGNANSTDDSNFVGVSNLQNVKFQLKSDGDSDMTLMQDEVDYKQVESNYSTYPTSSDTHLIQPWFSNIPRFPQECKRRRTGTGHDGQFGQMARRDFKLFSSADEQKLIPTTVSQSPDFMLSFGQTKRATKKRSKIPIRAHKLVRNVTTAGCDYSLETPESRHQACMGSLFADAHVTMRTGKRTQRKRAHLKAISTMDHEDELVHFHEPVGLTSTSEDLQNFDFLHLHIIPVQECRRRSNILEPFGTNQMSQAIVPYTNQTDNCMAVAAEPQYSLVSYDSSMMVPYTETYSEIKRKRPRAKVDLDGETNRVWNLLMGKMVSDAEGPDMDREVQWGEQRQVFCGRADSFIARMRLIQGDRHFSPWKGSVLDSVIGVFLTQNVSDHLSSSAFMALAAKFPFKSRTDNVENRMSTLTEEYGSIPFTDDIDSLFVCHIGDVEVNKMDKANESTRINVKGDDLDNFFGQIVHAQGREVEVSLEAPNGRIEISIPIAENSESEAKRSLDDAVSSQTSVSSSVGSLNCTNHDTSFVGLTPHELLDIGLNGSVVSGSHSYASCQGHIQISGVNVVQEICNQDNDGNMLSEKCGALDQESEEERGIVDGLNSHGDPCQEISSTSNYQVNCSRNFSSCVPIVASESSDSDNIENADVIKMKSISSLLSSDPGSNNTHNKLVQRSFKDSSMETDSESRQRSSSMSNVTVSHDTCPSAIKQTSKSLVFSNADEITTILQQGEMKQYFQVVNSQHEVEFLAQKQHCQPQESFSNSCDDGKGNSMVTKAVEPDSKVQAFGLLEFPTEKLKGTPRVKKTKAESQKAKTFDWDSLRKEVCHNGYMSERSKDRMDSLDWDAVRCSDIREISETIRERGMNNVLAERIKDFLNRLLKDHGSLDLEWLRNVPPDKAKDYLLSIRGLGLKSVECVRLLTLHQHAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLDLYPVLETIQKYLWPRLCTLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGDCRHFASAFASARLALPGPEERRLVKSTVPNASETHQTTIFDPMPLSQIEESCFLRGIRSTNEPIIEEPPSPEHEFQGTLENEIEEVFYEDPCEIPTINLNLEEFTQNLQNYMLENMELQEGEIEKALVAITNEAASIPMPKLKNVKRLRTEHLVYELPDAHPLLEGLEPREYDDPCPYLLGIWAPGETAQSTEPPGTCCNSQEMGRICNDMTCFACSCRREEQTQTVRGTLLIPCRTANRGSFPLNGTYFQVNEVFADHQSSHSPLVVPRRLLWNLPRRTVYFGTSIPTIFRGLTTDEIRFCFWRGFVCLRGIDRQTRAPKPLSPRLHLAASHAQKKTSMKVGKKTQHKTASKS >Ma05_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34249415:34263201:1 gene:Ma05_g22520 transcript:Ma05_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MSNDILDTQKGDLAWASYALLRNTNSMSVIIAVNDVICVRGYWNTPEDKDASKDHLLNWFNSQIQKTGFFDLKDMLYFPQCSESELGTLLPKGTLSALIQPVIRTSDPVVNFAMKNEGFILLASSVKYAYSEKDRAWIRAVANKFLHKISKDL >Ma05_p22520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34249415:34263201:1 gene:Ma05_g22520 transcript:Ma05_t22520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MSNDILDTQKGDLAWASYALLRNTNSMSVIIAVNDVICVRGYWNTPEDKDASKDHLLNWFNSQIQKTGFFDLKDMLYFPQCSESELGTLLPKGTLSALIQPVIRTSDPVVNFAMKNEGFILLASSVKYAYSEKDRAWIRAVANKFLHKISKDL >Ma05_p22520.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34249415:34263201:1 gene:Ma05_g22520 transcript:Ma05_t22520.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MSNDILDTQKGDLAWASYALLRNTNSMSVIIAVNDVICVRGYWNTPEDKDASKDHLLNWFNSQIQKTGFFDLKDMLYFPQCSATESELGTLLPKGTLSALIQPVIRTSDPVVNFAMKNEGFILLASSVKYAYSEKDRAWIRAVANKFLHKISKDL >Ma05_p22520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34249415:34263201:1 gene:Ma05_g22520 transcript:Ma05_t22520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MSNDILDTQKGDLAWASYALLRNTNSMSVIIAVNDVICVRGYWNTPEDKDASKDHLLNWFNSQIQKTGFFDLKDMLYFPQCSATESELGTLLPKGTLSALIQPVIRTSDPVVNFAMKNEGFILLASSVKYAYSEKDRAWIRAVANKFLHKISKDL >Ma03_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22590370:22593474:1 gene:Ma03_g17340 transcript:Ma03_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYSSIASGIKAAIAQNCVPVGKSIHAYMIKIGSLQETTLWNHLLNLYAKARCLDDARALFDEMPERNLVSYSTLMSASSGLGDPMCALRLLSHLRKAEIELNQFVFSASIVACRKLRKLRLGEQTHAQVMVSGWGSDSFVNAALINMYSKLGDLASAVSLFGLSPVEDPVMFNTMVSGYVSFGAHEEALRLFQQARRSFNLHPTEFSFGSMIKACSELERRIGEQLHGLILKMRLDSNCFVGTSLIDMYGRFGDTQSLEMVFQSVQTFDVALYNAMIGGLIRNGLDTFALDYFHEMRSKGFISNDCTLSGVLKACGGLKSLDLGRAIHGFVEKSSFRQDVVVNTALIDMYIKCGSIKESCQVFGCMHKRNTVSYNSLISGHGQDGNYREALALFNDMNCKHIDVDLATFVALLSSCCGCEWVVYVHAIKHGFASDLMVRTTLLDGLFKDGAADQALEFFDTMRERNVISWTTMISGLTLSGHYSDAMKFFKTMISTEVSPNSFTYSSVLKACGHLAGPEEGKHIHACVIKHGVMDDYTSSALLDMYARCAALEESRRLFDELTNKDIVVWNTMITGYAQHGYGHEALEMYALLEKENVHPNHVTFVSLLSACSHRGLVEDGIQLFDLMVSKHGIVPSMEHYACMVDLFGRSGMLDRAEQLINGMPFEADVSIWATLLSACKLHGNLDLAELARDQVMKMQGEDVPTVVLMSNMYCEVGRQHDAENLRKITGSQTRKEPGFSWVHAAEEPLFSYGAT >Ma02_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22982596:22990098:1 gene:Ma02_g15170 transcript:Ma02_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVEADPPAEADGYSFATEYDGPPLTYDIPRACPIDVDRIPLAALAPPSAARPSPPLVLPFPSPKRPPQVPVASPTSVIENHADVSGALGSSGFLDPSTEPSEVADGSGALWFSGDLKGVAASSSEIIPNRPSLESALSSGFSYRSPASWNEDGEDAIAMQAEEESLGTFQESGLSSYSMSPAIGVTPQTRSEELETKIKKGACYMCLKGSRFAVKETCLVCDARYCSSCVLTAMGSMPEGRKCVSCIGSPILESNRKRLGKSSWMLKRLLSSLEVEQVVKAEKNSETNQLRPEHICVNGKKLSLEEMVLLQSCPCPPALKPGLYWYDKVSGYWGKEGHRPDRIITPNLNIGGTLMQNASNGNTGILINGREITKVELQMLKWVGVNCAGNPHFWLNADGTYLEEGQKNIKGKIWGKPVMKLLCPVLSLPFPDKVANPSGEEVNDLVRRSVSEYLDKKTLRKLLLVGNHGSGTSTIFKQAKFLYRSVPFPEVERQDTKLMIQTSIYNYIGILLEGRELFEEESLAERKGNQQLRFSGDAELDKEKIVTEYSISPRLKAFSDWLLKLMASGKLEDIFPAATREYAPLVEELWNDSAIQATYKRSSELQPIPSIASYFLERVVDISRVEYEPSDMDILYADGITSSNGLACVDFHFPELPCAGMSINDHDHQETLSRYQLIRVHNKGLGENCKWLHLFEDVRIVIFCVSLTDYDEYYEDLNGVVMNKMMENKRLFEIIARAFKQINFLLILSKFDLLEQKIATAPLTLCKWFDDFDPVASHHRRNNSRNNRGTNIESTKSEQAFQYVAVKFKRLFLSITGRKLFVTWANGLDSDSVDTAIRYASEIIKWDEEWSMCDSIESMYNTEPSLFSH >Ma02_p15170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22982596:22990098:1 gene:Ma02_g15170 transcript:Ma02_t15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFVEADPPAEADGYSFATEYDGPPLTYDIPRACPIDVDRIPLAALAPPSAARPSPPLVLPFPSPKRPPQVPVASPTSVIENHADVSGALGSSGFLDPSTEPSEVADGSGALWFSGDLKGVAASSSEIIPNRPSLESALSSGFSYRSPASWNEDGEDAIAMQAEEESLGTFQESGLSSYSMSPAIGVTPQTRSEELETKIKKGACYMCLKGSRFAVKETCLVCDARYCSSCVLTAMGSMPEGRKCVSCIGSPILESNRKRLGKSSWMLKRLLSSLEVEQVVKAEKNSETNQLRPEHICVNGKKLSLEEMVLLQSCPCPPALKPGLYWYDKVSGYWGKEGHRPDRIITPNLNIGGTLMQNASNGNTGILINGREITKVELQMLKWVGVNCAGNPHFWLNADGTYLEEGQKNIKGKIWGKPVMKLLCPVLSLPFPDKVANPSGEEVNDLVRRSVSEYLDKKTLRKLLLVGNHGSGTSTIFKQAKFLYRSVPFPEVERQDTKLMIQTSIYNYIGILLEGRELFEEESLAERKGNQQLRFSAGDAELDKEKIVTEYSISPRLKAFSDWLLKLMASGKLEDIFPAATREYAPLVEELWNDSAIQATYKRSSELQPIPSIASYFLERVVDISRVEYEPSDMDILYADGITSSNGLACVDFHFPELPCAGMSINDHDHQETLSRYQLIRVHNKGLGENCKWLHLFEDVRIVIFCVSLTDYDEYYEDLNGVVMNKMMENKRLFEIIARAFKQINFLLILSKFDLLEQKIATAPLTLCKWFDDFDPVASHHRRNNSRNNRGTNIESTKSEQAFQYVAVKFKRLFLSITGRKLFVTWANGLDSDSVDTAIRYASEIIKWDEEWSMCDSIESMYNTEPSLFSH >Ma09_p27450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38440838:38442602:-1 gene:Ma09_g27450 transcript:Ma09_t27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESFMDLLGSEEGKDHLFSPPSCLSSPSSSSSCYSSSTSAQMLWFGGKEEDAVAVLYGVPQISTDSSLSSPSSSPPSTTISTCKSPNKTKVGRGRRTTSVTATKVNTTKKPKTAGSSCPGTIMVRKDKLGERIMALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYLQRLPSSAHLHDGREKNDLRSRGLCLVPVASTEHVARSNGADLWSPAAHGK >Ma11_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7280434:7284890:-1 gene:Ma11_g09120 transcript:Ma11_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNAKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDETLLAQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPK >Ma08_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8447980:8448348:-1 gene:Ma08_g11420 transcript:Ma08_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETARIRARHQSLQRRRKGLKKADELAVYCGVDLCIISYGPEDDRPDAWPENPSTVRRVIRRFDEARKAGKIKEMVGVGLRDCRKQENPLASTYSSWCAVLDECQEEESNELVNKLDDCF >Ma01_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1379131:1383647:1 gene:Ma01_g02060 transcript:Ma01_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMRRYAPQTSPERTKVWTEPPPKHPLQQQQQQGRKVPVVYYLCRNRHLEHPHFIEVPLSSPEGLYLRDVIDRLNVLRGRKMAAMYSWSCKRSYKNGFVWHDLSEDDLILPAQGNEFVLKGSELLDQTPPDRNNNGTSNVKVQNPKHPLQDSPPVCFKGQEASCSSSSATVVIKEAKLPLTSTMQPNPPPAMQEDELSPSTRRSGSSGNFSPEPCGRTAPSSALGSPDPADYRICKPIGAQDASTQTDDGGGRRTCGTNTRVVGVSTDDRPPHLEYSESQNEQTMCVKEETEIVKVERSPPPTFSSIPFSCGKMNTLESLIRDEVNKRNNFRVVEEEDVFLPTGPKFKATNMLMNVITCGSISVKDHYGFGFVPTYRPRFTDMKFSSPMFSNPIVLGEINCLPESQRGMGLKMKKKEYINGSMIEPNKYKEETGEGVADLKQSSLFDEDRSFNIPFMKKDKEKAVDSAQSKCLPRTIKITSSKHSRNDQNETMMSPVSDKRNSSAGPDIFKSSPISSSNGGSKRIVDDSSVKGLSMRLESFREEKEKVIKIEERLTSGARVIIQSRSRCDDSEAALEGDVCQQCLKCP >Ma09_p25840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37197107:37205576:-1 gene:Ma09_g25840 transcript:Ma09_t25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADAVANAEISAAATSASPSNVSSVAAAPSRGGRPAYVPPHLRNRQPSSEPLAPTLADGPSGARQPPAGAAPAGASRWGSGPIRDVGRPISGGGRGGQGGWHSRRDREVNPFANDDETTEATFSSQENSGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMSGPPGPRQRGSRTSYPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDMLERARVSLQHIKYLALDEADRMLDMGFEPQIRRIVEQMDMPSRGQRQTMLFSATFPKEIQRLASDFLYSYIFLAVGRVGSSTDLIVQRVEFVLDSDKRSHLMDLLHAQRDSGAHGKQALTLVFVETKRGADSLEHWLCMNGFPATTIHGDRSQQEREHALRTFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGNSGLATAFFNESNASLARSLSELMQEANQEVPQWLSRYAAARSHGGGGGGRNRRSGGPRFGGRDFRRDSAFSRGGGGDYYSGGGGYGGGSYGASSGYGSGGYGSAGVTSAWD >Ma09_p25840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37197107:37205576:-1 gene:Ma09_g25840 transcript:Ma09_t25840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADAVANAEISAAATSASPSNVSSVAAAPSRGGRPAYVPPHLRNRQPSSEPLAPTLADGPSGARQPPAGAAPAGASRWGSGPIRDVGRPISGGGRGGQGGWHSRRDREVNPFANDDETTEATFSSQENSGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMSGPPGPRQRGSRTSYPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDMLERARVSLQHIKYLALDEADRMLDMGFEPQIRRIVEQMDMPSRGQRQTMLFSATFPKEIQRLASDFLYSYIFLAVGRVGSSTDLIVQRVEFVLDSDKRSHLMDLLHAQRDSGAHGKQALTLVFVETKRGADSLEHWLCMNGFPATTIHGDRSQQEREHALRTFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGNSGLATAFFNESNASLARSLSELMQEANQEVPQWLSRYAAARSHGGGGGGRNRRSGGPRFGGRDFRRDSAFSRGGGGDYYSGGGGYGGGSYGASSGYGSGGYGSAGVTSAWD >Ma11_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1067031:1068151:1 gene:Ma11_g01500 transcript:Ma11_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSASKVQKSKAATDATVSKDEGSDISKYSQSVPDVGFKYGANQDGVPSAWSLQDRLLLCQGERCWLQDLTSNAPPPPTTGFQDPRDEQVNDVSRGFIDRLQLCKDERRQLQEQGLSKAQANACKKLVLDYLKIKQERKHSSAKARKGRRNPDARHIEATVEPLGPKK >Ma10_p11690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25114782:25120279:-1 gene:Ma10_g11690 transcript:Ma10_t11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFLNNGGRSAHRLLVHHLRAPPSGDLSKPSMSHARAYVRSYPLSYKPRKSSLRVSPTVSFLLSHPSASTVAAASSASTSSFLRNGFVGWYLGMIESRPVLTKSLTAAAIFAAADISSQITTLTSPDNLDLVRTLRMAGYGMIILGPSLHFWYNFVARILPKQDMITTLKKMLLGQTTYGPLMTAAFFSVNAVLQALGMQFILFPLDNIPHIHGKPAESKHSEELSGKLLSEFAVL >Ma10_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25114775:25120279:-1 gene:Ma10_g11690 transcript:Ma10_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFLNNGGRSAHRLLVHHLRAPPSGDLSKPSMSHARAYVRSYPLSYKPRKSSLRVSPTVSFLLSHPSASTVAAASSASTSSFLRNGFVGWYLGMIESRPVLTKSLTAAAIFAAADISSQITTLTSPDNLDLVRTLRMAGYGMIILGPSLHFWYNFVARILPKQDMITTLKKMLLGQTTYGPLMTAAFFSVNAVLQGETRGEIIARLKRDMFPTVKRGFMYWPFCDFITFKFVPVLLQPLVCNSFSFLWTIYLTYMASLQKVSIQKN >Ma09_p26590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37810900:37811628:1 gene:Ma09_g26590 transcript:Ma09_t26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVLLGFWVSPFGQRCQIALAEKGVEYEYREETVPRDKSPLLLESNPVYKKIPVLIHDGKPVCESLIIVQYIDEAWPDRAPLIPADHYGRAQARFWADFVDKKVCESALNLLKLKGEAQEAAKEEFIECLRLLECELGDKKYFGGDAFGFVDIALVPLTSWFYSFGTYGGFSVEEAVPKLVAWSKRCLERESVANSLCDPLKVYEYAKKIFG >Ma09_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9368100:9369674:-1 gene:Ma09_g13820 transcript:Ma09_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKMGNNKGEKSNFSLTCRLLSQYLKEKNGFGGLGLEMAAAKPLDQQAKGKSRAPTTMSLLPGADVSGDDQTQNNEDENPLKSMDLFPRNSGFDSGLLPKEESGKTPEIKRQTEKGQLTIFYGGKVLVFDDFPAEKAKDLMRMASKENISSQNFSFSTPHPAAAGADCPPKPDPISPADSLAKATASDMPIARKNSLHRFLEKRKDRINTKAPYQVHGSSAATNEAKPESSQFWLNLGRQVPQAEHGSETSKLHMYQCEGKLV >Ma11_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3489045:3522466:-1 gene:Ma11_g04510 transcript:Ma11_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAQLQAIRSVLRGTSEAQIRPSVLFDSRAASDIDLKTIFPIALSGLEVLIELDTRFRSYKDSLFSQTSLELNREKMVPKEGEKVNRSICSYLRLLSGYLQLHAALKTLEYLIRRYLVHIYNLDELILCALPFHDTETFVRIVQLLDLGNSKWLFLEGVKASGAPPPRQVIVQQCVRDKGLLETLCNYTSPTKEFQHSRPVICFCTAVAVEALGAIPKLDTDTVQRVLGFVFNGLNPAMSGNQDHKAAALMVVGLLATRATLSSKLIQSLIFFIARMAQHDANQSVDLPWLRVIIMALVTLVQSQSKQMLPKKTVMILREIRDFPGVLSGLYNEFNIWGFFQLYMESLVEHSRSEDSLLDTLLVMIEALPSKDLVEIIVSKVLASCMKLSQRMDTSDPHEAGNWGKKILNVLNKHYPRELRGAIRKFLENSRMNLKDEENIVQRFCLMFDGALDTPSEISDSKLWFLLEHPKAVVRQATLSNIAASGILKTIAADPKKLMNVQDAIVRALHDEDLSVVRAALSVDGLARIASPPCLLKAYDHVLSRCTSICNKNISQTSLASDIAVSCLERMVLEFQSQHLDYSIEVAKMIFPLLLVLPKTWRVNMKTLELVNKVKWSFYAEISTAHNSVKFDQMKNPHFDHAASINSRTIKALAETFVANPQENIQWLIECSSYSKQSKTLFFFIIFQALMIINAEFGSPMKIYQACFLAFKNEWHEMELQEGLVPAEEFNVDKFGKSCLELVKQLSSADVEALNCNILICVFWTILKSYNEAAKHNKLEDFAENFNILDELYLFFTTSPSRKLFRSHIQFLVMNCCRSPFEFLSKFFTEEGFSVDVQVQSLDLCGTICSLCALPERRNVEEDNYLQLLLGFPSLLVPLTNCDKDIRSSAMHCIQQFYNLWCTFDVSRLKNGNDMILSQFSSSTFRDFLAFLVNEKTLISSDVDFLPSYLTSMLGSSSNNLLAPDNSQNRFDSPAKDGILLFILHSGLKCSLYGKLKILSLLSGMGTLLLNVEGVKSLLIELVERRTLLNGELDKMHQKLSKNEIQVLCLLLEICFPLSSTACLDEDILECVLRALRVDGFSTNDPAVLDPCVTVLRKLTPVFFDNLKTELQDELFGNLVILFRNDNGDVRNATREALLRLNINCSTIVRFLELIVVQGHEVGSSKRIKRRKHPSNNAFALRQDILRTEESRLSVLMALLDMMLQKKDIKERPFLVKPLFVLLEKIFSDDWLIDLANHGKKEIVSSSEVAESVTSAVYHAQQTTLLILRDISDSLLSNLPLSDNVFSDVKMGLLVEIARSTDDITTRNHVFLLLSSITKVYSGWISEHIIDIFAVIGESALKQNDSHSQHVLEDLISTMVPCWLSKTKSIDKLLQIFIRALPEVAEPRRLTLMVYLLRILGEESSLGILIFHLFRSLILRITEAPEIPRDFNNLFSSVTLTEWEYTFAVEICNQYTCKIWFPCLVKLVQLLRENSGEEESLLELYLVMQFTVHKLQDTKLVFELESGRDAGYLQMGLRALLQQVVLHLQLIRSRRKLHGITMDIIKQLRSSANAILNVITSWMVPSTYFEGISQLLEHTDKNVKKQTLGLLCETVKNHGLIQKKQKDKKAKFSFPLVIDDNAKPAFTDLCLKIVQLVDGKIDTSDTRVKLVAISSLEALSKEFPSDSSIFASCITTIVKHICSDDLAISSGCIRATGTLITVLGSKALPQLPLIMKNMIEKTHEISICPMIKLKHIHSDISDGISGNKLLILLSVLTTIEVAIDKLGGFLNPYLKDILDLIVLHPEYALDLDLKTKMKADSVRKLLVVTIPVRLMLTPLLQIYSSALECGESSLSLVFEMLASMIRSMDRPAIGTYHVKIFEHCLIALDLRRQLPESIKNINMVEQSVIDAMTVLTMKLTETMFRPLFFHSLEWAESEFEGSDCVQSRSLDRSISFYNMVSKLTEHHRSLFIPYFKHLLEGCVRYLTEAHDGNEALAKRRKKAKVADSFSHSKYELLFLKQWHLRAVILKSLYKCFLYDNDLKLLDSTNFQVLLKPIVTQLVVEPPKSLEQMQEVPSMEEVDESLVLCLGQMAITSRSDVLWKPLNHEVLMQTRSEKIRPKILGLKIVKYLVEHLKEEYLVFLPETIPFLGELLEDAELPVKTLAQEILKEMETLSGESLRQYL >Ma09_p30550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40623544:40631093:1 gene:Ma09_g30550 transcript:Ma09_t30550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYEGTPSTSFGPPKSKLRYDSYDGSRASEDESFDNARRVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYAHPKDAVLDIACGKGGDLIKWDKARIGYYVGVDIAEGSIKDCRTRYNGDSDQQQRRKKFSFPARLICADFYEVRLDKYLHDDAPFDVCSCQFALHYSWSTEARARQALANVSALLQPGGTFIGTMPDANVIIKRLREAEGLEFGNSVYWICFDEEYSSKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHVFKSLAQEYDLELVFVKNSHEFVNEYLRRPEFTDLMRRLGALGDGNQDQSTLSQDEWDVAYLYLAFVLRKRGQPNLSGRNNNTNRGKMFLSEDDVEFIGGSHDP >Ma09_p30550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40623544:40631097:1 gene:Ma09_g30550 transcript:Ma09_t30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYEGTPSTSFGPPKSKLRYDSYDGSRASEDESFDNARRVADHYSARSNQTLEEREASPIIHLKKLNNWIKSVLIQLYAHPKDAVLDIACGKGGDLIKWDKARIGYYVGVDIAEGSIKDCRTRYNGDSDQQQRRKKFSFPARLICADFYEVRLDKYLHDDAPFDVCSCQFALHYSWSTEARARQALANVSALLQPGGTFIGTMPDANVIIKRLREAEGLEFGNSVYWICFDEEYSSKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHVFKSLAQEYDLELVFVKNSHEFVNEYLRRPEFTDLMRRLGALGDGNQDQSKLFCSMTLSVPLMMMMMALTQVSKNAGTLSQDEWDVAYLYLAFVLRKRGQPNLSGRNNNTNRGKMFLSEDDVEFIGGSHDP >Ma09_p29290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39776386:39776895:-1 gene:Ma09_g29290 transcript:Ma09_t29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGPRLATQRSCACLPLNVGDWCGSRRRTAGFARMPDGEYDDECAPLARHSARSSATRLRGLWRRIMKEKRRIFNPASPAPMAYDPHTYAQNFDEGSAWEEPEDLSRSFSARFAVPSRVLQRIR >Ma06_p35810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35552385:35553221:1 gene:Ma06_g35810 transcript:Ma06_t35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPFGFGPWRSDVFDPFSLDIWDPFEGFPFESPLYFPRLSFPPVAFSGEASAFNAARVDWKETPEAHVFTADLPGLRKEEVKVEVEDGRVLQISGERNQEREEKTDTWYRVERSSGKFLRRFRLPENAKVDQVKAAMENGVLTVTVPKEGVMVPNVKSVDISG >Ma03_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28639927:28665719:1 gene:Ma03_g24390 transcript:Ma03_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC8 [Source:Projected from Arabidopsis thaliana (AT3G10380) UniProtKB/TrEMBL;Acc:A0A178VA38] MSSGRNKGIFDGLPIPADKAYLKEGLSRIDESWAAARFDSLPHVVHILTSKDREGEVQFLKEQSDLVEDVVDEVVHVYHHGFNKAIQNYSQILRLFSESAESISVLKVDMANAKKLLGSRNKQLHQLWYRSLTLRHVLALLDQIESVSKVPARIEKLIADKQLYAAVQLHVQSMLVLEREGLQVVGALQDVRSELAKLRGTLFYKVLEELHNHIYNKGEYNSATLSISEREDEVLTATGLEYSVNSLQPLSRRTRLVKVDSYFGGSVSADGSYRPGSIDDGSSFDGHDDDSVEIAESGASDGAFPSARVHAEGHIRSISRQTPAWLSNAMPDEFLESMKKTDAPLYVKYLRTLVECLCMLGKVAAAGAVLCQRLRPTIHDIITSKIKVHAARKSSRSSIDPVTKKTQSDVNDSKGIFEGFRVLKQKNKNNSSISGAQVVVSPVSPVMTPMGAAQAAVSEFLNSILDYVIHILENHVVVGELLESKSSQQGDNMVTPKSASGDTVWGADSESSQTGGFSVGFSLTVIQSECQQLICEILRATPEAATADAAVQTARLANKGPAKEKRNGSEGLSFAFRFTDAAASIPNRGSSQGWQRGPSAQQEGYGTSAVLPDQGIYLAASVYRPVLQFTDKVASMLPQKYSQLGNEGLLAFVEKFLKDHFLPAMFVDYRKCIQQAISSPAAFRPRANGSVYRPLVEMGRPVLQGLLATNCLAKEVLGWAHLMPKYAIELVEFVQTFLERAYERCRASYTEAVLEKLSYMLIGRSDVEGLMRLHPSNVLLQKSHDEFSLENNVSDAETVEVEIEMSDLLLGLRPIKQENLIHDDHKLILLASLSDSLEYLADSVERLGEIFGRPPASTEDKIMNNQSHRHARSTSKIPKSFASIADENRRLATDCLRVLRLEMQLETIFHLQEMTNREYLEDQDAEEPDDFIISLTTQIARRDEEMAPFVADIKRNYIFGGISSIAANASIKALAEIKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRLDRVRTYYELLNLPFEALLAFIQEHEYLFTRTEYSSLLKVNVPGREIPVDAEKRISEVLGN >Ma04_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3978556:3981385:-1 gene:Ma04_g05340 transcript:Ma04_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPFLGSSQHYRAYEICVEKDLVREQVFLLGRMGDVKQALAVIISKSEDMGGARVMLLVFRSVLRSEPFCRNASDSDDDSENDDTQSSRPRMNSVLCTMAGR >Ma07_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9803322:9804660:1 gene:Ma07_g13060 transcript:Ma07_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSSATRMSDEHLLPAAAAARRSLLEPDGLPSYDPHSDISKKLVQRARLSENAVHVIPVVVVLCAIILWFFSAHESRI >Ma09_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11973142:11979577:1 gene:Ma09_g16600 transcript:Ma09_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMMVSPMQCQIRFPSKSLQGKPMITSKMWNSLLLNAQRFKTKRSVSAFKVLAVKSDNGVVSRLEDLLKLDMAPFTDKIIAEYIWIGGTGIDIRSKSRTITRPVEYPSELPKWNYDGSSTGQAPGEDSEVILYPQAVFKDPFRGGNNILVICDSYTPSGEPIPTNKRYRAAQIFSEQKVIDEVPWYGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCAVGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWCSRYILERITEQAGVILSLDPKPIEGDWNGAGCHTNFSTKSMREEGGYEVIKKAILNLSLRHMDHISAYGEGNERRLTGKHETANINTFSWGVANRGCSIRVGRDTEKQGKGYLEDRRPASNMDPYVVTSLLAETTILWQPSLEAEARAAKE >Ma09_p16600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11973153:11979577:1 gene:Ma09_g16600 transcript:Ma09_t16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMMVSPMQCQIRFPSKSLQGKPMITSKMWNSLLLNAQRFKTKRSVSAFKVLAVKSDNGVVSRLEDLLKLDMAPFTDKIIAEYIWIGGTGIDIRSKSRTITRPVEYPSELPKWNYDGSSTGQAPGEDSEVILYPQAVFKDPFRGGNNILVICDSYTPSGEPIPTNKRYRAAQIFSEQKVIDEVPWYGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCAVGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWCSRYILERITEQAGVILSLDPKPIEGDWNGAGCHTNFSTKSMREEGGYEVIKKAILNLSLRHMDHISAYGEGNERRLTGKHETANINTFSWGVANRGCSIRVGRDTEKQGKGYLEDRRPASNMDPYVVTSLLAETTILWQPSLEAEARAAKE >Ma02_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22855462:22856568:1 gene:Ma02_g14960 transcript:Ma02_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHPLSLTASPSTSPASTSSSFPSFLEPPVRSDLSSSMEVEVSLREGKTGPVTLDLLGGGVAVGPKDLDLGLKPSFGCHGDLHPLDLNLLPDDVFGRKKKAAPCPRSQSVCTIEQVKWALERAQRESRGRVTAQRREQAAPGSPGYSSPSSSSSSSVTTVSTKRQAEDGHEGGEEGSEDGEDSGGGGASLVAAGCPSCLSYVLISKANPRCPRCESQVPLPTAPQKKKPRIDLNLALTPQ >Ma10_p28550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35415201:35417768:1 gene:Ma10_g28550 transcript:Ma10_t28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSPPHPSSTMTLLCGALVFLVAAALGVRADDPYRYFTWTVTYGPISPLGAPQQGILIDGQFPGPRLDCVTNDNIIINVINQIDEPLLLTWNGIKQRKNSWQDGVLGTNCPIPPKGNFTYKFQTKDQIGTFTYFPSTGLQRAAGGFGALNVYSRPRIPVPYAPPAGDFSLLVGDWYKTSHKALQKTLDSGGPLPFPDGLLINGAEKTSSFAGDQGKTYLFRVSNVGLMTSINFRIQSHKMKLVEVEGSHTLQNDYDSLDVHVGQSLSVLVTLDQVPRDYYIVASTRFTRKVLVATGVLHYSNCNSGLAGPVPEGPGTAGFHWSMLQARTFRWNLTASAARPNPQGSYHYGNITRTRSIDLANSAAVISGKQRYAVNGVSFVVPDTPLKLADNFNITGVFTWDTIPIPPSAAPAVPGTPVLRFNLHDFIEVIFHNAENTTQTWHLDGHDFWVVGYGSGKWTPELRKRYNLIDAITRHTVQVYPSGWSAILVSLDNQGTWNLRSAMWARQYTGQQLYIRVWTSEKSYSNEYDIPHNALFCGKATGLHA >Ma09_p28290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39101856:39104438:1 gene:Ma09_g28290 transcript:Ma09_t28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSFLHLSALALLTFSSLSCAKSYSNISLGSSLTTSGPNTYWLSPSGEFAFGFRPLETDSSSFLLAVWFEKIESKTVAWYPRPYKPVTAGSSVELTTDGQLLLKDRTGSSLWDPGVSNAAYAAVLDTGNFILAGANGSPRWQSFQDPADTMLPSQALELDTKLLSRLTDTDYSEGRFKLIMQNDGNLVLYAVAVPSSFQYDPYWSSDSVGNGTGLIFNQSGSVYLSRKSNTNINITSVEMSSLEDFYQRATLDFDGVFRQYIHPKNGSGNGAWPSDRWTMVGLTPTDICSSSNFKLGSGICGFNSYCTTSDNSSVVCECPPQYSFMDPSRRYKGCKPDFPAQSCDADESEAAPLYGFRTLVDVDWSLSDYEEYSPISEDQCREECLTDCFCALAVFDAGSGSCWKKKIPLSNGRKASDVNRRGFLKIPTDNTSQPFSSTGEEKGKRTWILLGSLFLGVSLCVLMISILLVTYWSFVHNKMLHKRQPSSSLPTLSLRSFTYPELEEATNSFREVLGSGASGVVYKGFLKDEAGTRVAVKKLDKVSRETEKEFMNEVISIGQTYHKNLVRLVGFCCQGTDRLLVYEFMSNGSLMALLSGDVRPSWDQRVQIALGIARGLLYLHEECISQIIHCDIKPQNVLLDDNLVPRISDFGLAKLLKTDQTRTKTDIRGTKGYVAPEWFKNAGVTAKVDVYSFGVMLLEIICCRRNVDLELGEAEEAILTFWANDRFRDGRLDLLVEGDEEATLDMRRVERFVKVALWCIQEEPSMRPTMHKVAQMLDGTVAVPIPPDPSSYISSLQ >Ma06_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3137277:3142106:1 gene:Ma06_g04350 transcript:Ma06_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVLHVDRLMTPQTVETEGGSASSAEAAPVPALTLSSISEAGSGDEKGGQEESKVAEEEEPLIQMVDCRICQEEDHIKNLEAPCACSGSLKYAHRACVQRWCNEKGDTTCEICHEQYKPGYTAPPRGHPDETTIEINGGWIITGSPLDLHNPRILAMATAQRHLVEAEYDEYATNASGAAFCRSAALILMALLLLRHALTITNADGDDDDDDASTYFSIFLLRAAGFLLPFYIMAWAISILQRRRQRQEAATLAASEMAFILQSGERRGLHFTLAPESPATPHQEPQQ >Ma10_p27400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34714784:34718160:-1 gene:Ma10_g27400 transcript:Ma10_t27400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGPGGNPKLKLSVPGPEASIGKFLTQSGTFQDGDLLVNKDGLRILSQSEEDRPPPIKPLDDQLSLADSVDDQLSLADLDAVKVVGKGNGGIVQLVRHKWTSQFFAVKVIQMNIQENIRKQIAQELRINLSTRSPFVVVCYQCFYDNGVISIVLEYMDGGSLADFLKNVKTIPEAYLAAICKQVLHGLTYLHHEKHIIHRDLKPSNILINHSGEVKISDFGVSAIIASSSGQRDTFTGTYNYMSKELLDRNMVTKVIFGAWV >Ma10_p27400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34714749:34718158:-1 gene:Ma10_g27400 transcript:Ma10_t27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGPGGNPKLKLSVPGPEASIGKFLTQSGTFQDGDLLVNKDGLRILSQSEEDRPPPIKPLDDQLSLADSVDDQLSLADLDAVKVVGKGNGGIVQLVRHKWTSQFFAVKVIQMNIQENIRKQIAQELRINLSTRSPFVVVCYQCFYDNGVISIVLEYMDGGSLADFLKNVKTIPEAYLAAICKQVLHGLTYLHHEKHIIHRDLKPSNILINHSGEVKISDFGVSAIIASSSGQRDTFTGTYNYMSPERITGQKHGHKGDIWSLGLIMLECATGQFPYPPCDSFYELLEEVVEQPPPFAPPDQFSAEYSSFISEW >Ma05_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7504306:7518453:-1 gene:Ma05_g10410 transcript:Ma05_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSFPERHAGRLGSFNNKNLMATDSKCLRVKLVLLGDSGVGKSCIVLRFVRGHFEPTSKVTVGASFLSQTLALQDSTSVKFEIWDTAGQERYASLAPLYYRGAAVALVVYDITSLETFRKAQYWVKELQKHASPGIIMALVGNKADLHESRAISFQDAMEYAEKNGMFFIETSAKTADNINQLFEVLLEA >Ma03_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9167515:9175647:1 gene:Ma03_g11800 transcript:Ma03_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGILAGCSHGVRVLFPVATSVNPARNLSSKELVPRVLLPGARRSARLRCTRSDEGDDFVNSVEVQEWESGMSLNDIAAKQGIRIRRSHRTGHPSEGSKDEKDTPRNILEQIIWDKEVEVAQFKQTKPLETLKKAVEGAPPVRDFVGALRESYRRTGVPALIAEVKKASPSKGVLREDFDPVQIAKTYEKHGAACLSILTDEKYFQGSFQNLEAVRKAGVECPLLCKEFIIDSWQIYNARSKGADAVLLIAGVLPDLDIKYMTMICRELGLAALIEVHDEREMDRVLKIDGIQLIGINNRNLETFEVDISNTKKLLEGERGEIIQQKGIIVVGESGIFTPQDISYVQGAGVRAVLVGESLIKQVDPGKAISGLFGKDVSK >Ma03_p11800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9167504:9175647:1 gene:Ma03_g11800 transcript:Ma03_t11800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGILAGCSHGVRVLFPVATSVNPARNLSSKELVPRVLLPGARRSARLRCTRSDEKSTDGRATLTYKGDDFVNSVEVQEWESGMSLNDIAAKQGIRIRRSHRTGHPSEGSKDEKDTPRNILEQIIWDKEVEVAQFKQTKPLETLKKAVEGAPPVRDFVGALRESYRRTGVPALIAEVKKASPSKGVLREDFDPVQIAKTYEKHGAACLSILTDEKYFQGSFQNLEAVRKAGVECPLLCKEFIIDSWQIYNARSKGADAVLLIAGVLPDLDIKYMTMICRELGLAALIEVHDEREMDRVLKIDGIQLIGINNRNLETFEVDISNTKKLLEGERGEIIQQKGIIVVGESGIFTPQDISYVQGAGVRAVLVGESLIKQVDPGKAISGLFGKDVSK >Ma06_p28520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30182066:30184634:-1 gene:Ma06_g28520 transcript:Ma06_t28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRIDLDAPLLSVRRFSAGAASPAPSVSKEEEGDQRPPPPRRSSLPFYKSDLKSGPVRVPGVVPFVWEQTPGQPKEGSVPTSDGKPLPSPKPPPGKIMKQKEPDLSRAAAAPAAKLGNSVRTRKAVAFACDGGTAISPESPVDAPKNVEVRKVQNKLIQESVPAANNLEEEGGGGDDDDDAFSDALDTLSRAESLLMNCSVSGVSGMPDAAKLSGSVPKDPQVRDFMMERFLPAAQAMVCESTQYTFRKAAGPPREATKPVERVAINDRNRRPPAPMRYHNMPDYFPQYAKELEEGDSNDDDEDDEYSDDDAGHLPSKACGLLSRFCLKNSFCLLNPVSGIKDRGRLPPPPRGRTRDPQLWNLHRLSLGPVEDENSWETVYRHKLGQNSRPQVAEVSKLRSESDSQTADGSVTGGSSPYHNEGNGFLGNPRSKSSKTDDSDAGDKDINRWETNHSSSRIGLGSMSPALEKTLYIDLANRPGSSDSKSSSFNTVKDTRGMLSSGEVGTGSRRMEESFVAEACEVSEAAEPVLPFSSEKPNHMDMNGDDNHKHVDNDRDPLHLSDDDLNPLRSLLPPPLPKSPSESWLSRTLPSVRSKNPAPRSLLGVQPLPRKQTLQASSADIKQETSVEPSEPLHRQILFAEVLAKYESPKSEN >Ma04_p31160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31558535:31559429:1 gene:Ma04_g31160 transcript:Ma04_t31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFSASLALFLTLNLLFFNLIAACGGGRGGGGGGGGGGGGGGGGGGTPYTPKPSPCSRPTPTPSPIPPSPGGEAKCPRDTLKLAACTNVLNGLINATVAQPPKEPCCSLIEGLVDLEVAVCLCTAIKANILGININLPIDLSLLVNYCGKNVPKGFQCP >Ma09_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8127052:8128499:-1 gene:Ma09_g12000 transcript:Ma09_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKEEPFHFHYGCGDNGLQRSPTEKPAFTLGQIKKAIPPHCFERSILRSFSYLVHDLLFAVLFLYFAVAVMPKLPSGLALAAWPLYWVLQGCILIGVWVIAHECGHHAFSDYSILDDVVGLVLHSSLLVPYFSWKYSHRRHHSNTGSIERDEVFVPKPKSALSWFTKYLNNLPGRVLTLAITLSLGWPLYLAFNVSGRAYPRFACHFDPYGPIYSDRERGQIFISDAGIVAASFVLYRVAASYGFWWLVRVYGVPLLIVNAWLVIITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAMEATKVIKPLLGEYYQSDDTPLLKAMWREVRECIYVEPDEDSKQKGVLWYRNEF >Ma10_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28749449:28751331:-1 gene:Ma10_g17300 transcript:Ma10_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNWVLEIHDQLESVEPAAETGQWSNRSIYKVPACIKDLNRMAYKPQVVSFGPYHHGDPDVWPMEEHKHRALLHFLRRANKRLDEFVAAMGEVVQQLQDAYQGLDDPWTEKDRFLQLMILDGCFMLEIMRVATGASSDYAFNDPIFSSHGMLYTVPYIKRDMLMIENQLPLLALDTLVSVESSKPKLEDTINKLVLKFCASGNGRPSTASMALGLHPLDVFRKSLLYDPTHRSPPVTPDRDGSSEIVRSAVELYEAGIRFKKSKTNSLRDISFHHGVLRLPVIVVDDATEYMFLNLMAFERLHCGAGNEITSYVFFMDNIIDSAKDVSLLHAKEIIQNAVGSDKAVAKLFNRLSKDVVLDPESTLDDVHRKVNNYCRKDINMWRANLIHTYFRSPWAVLSLAAAIFLLVLTVLQTVYTIIPFYTDNGGDAPSPQ >Ma09_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6933398:6934291:1 gene:Ma09_g10160 transcript:Ma09_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 20 [Source:Projected from Arabidopsis thaliana (AT3G03760) UniProtKB/Swiss-Prot;Acc:Q9SRV3] MDPDGGGEKESGEKPNRRAARVDAGKRAAAVTPGSPREGAAGSPCGACKFLRRKCLPGCVFAAHFASEQGPARFAAVHKVFGASNVSKLLSIVPAGRQHDAVVTICYEAQARLADPVYGCVSTILAMQQQVAALQAELSMVHSQLLNTRLAVASVLQASHRAQHMAALQPAYSNNSSASRDMVDVGSFPEGLDLGDTDPASRDLEPLQLLQQSQDEEEDASHDSVAFRNEPFVPK >Ma02_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24081698:24082941:1 gene:Ma02_g17010 transcript:Ma02_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSIILVLCLQLIFQVMEGELQINMRVPFHLFLLNIRHQMPLINLNKVGSRLHLHYQNTTKPQIVFFRTVHLMTVMLFVRLLNWAIHFIYLIRQISIMTVIAGWRRHRYTHQNHQVTMNSILLIMQESPLRQPLRTRTTGMATGPCKTREDISHIGFMRTVMIAFA >Ma09_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33594119:33594328:-1 gene:Ma09_g21730 transcript:Ma09_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLCLHLIHQRHAPKNTKDLATPSSQTDTQWCIFGS >Ma02_p03790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15241608:15254471:1 gene:Ma02_g03790 transcript:Ma02_t03790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPTNPFGQSSSSSFGSQSVFGQTSSSGNNPFAPKPFGSSNPFGSQTGGSVFGSTSTGVFGQPSTPAFGASSAPAFGSSMPAFGASSTPAFGGSSSSFGGSSLFGQKPAFGSFGSSPSQSSPFGSTFQQTQPAFGNSLFGSTSPFGASSQPAFGATTTPTFGSASTPSFGATTTPTFGATSTPAFGSTATPAFGSTSTSPFGTTGGSFGVSSSPAFGSTVTPSFGAPTAPTFGSSSTPAFGASTAPTFGSPTVSGFGASSTPSFGFGSTPSFGQSASAFGSTPFGASPSPFGAQSSPFGAQATTPTFGSPGFGQSTFGGQPGGTRAAAFSPTPEVDGGTGSQPAGKMESISAMPAYKDRSHEELRWEDYQRGDKGGPNPSGQPAGAINFSASSQTSPFGSAGTFGQSSTNPFSSTTPSNPFALKPPSFGSSGFGSTSSSIFSSPFTTSSSSPFGSTSSTSPMFGAPTGSTFGSSLSSMAFGGTTSSSAFGSTPSIFGSSMAGPTSTFGTGLGFGNTQSSGLFQSSAAPFGQASSLFGQPNTGFQQAMSTFGSNQFASQSTGFGGSLFSSSTPSVFPSSTPVGFGQTAPSVQTPFQLAPVAQTSSTFSFPNVGQAQPASSGGFGSISNIFSQGTFTQNAASQSNMVMQPAPVTNPFGTLPAMPQMSIGRMGSAPSIQYGISSMPVADKPTLLRASSMLVPRHLSQRRIKLPPRKYSAKNDGPRVAFFADDETQSTPKADAYFVPRENPRALIIRPIEQWPPRSNMEKENIMKNGSIPAAENGKVSGEPSAPAFKETYAGDNDEYATENGHTREHTGTTVSASKSLQKENGNGDDQMQKVTDSSYVKISGHRAGKAAIVYEHGADIEALMPKLRQDDYYTEPRIQELAAKERAEPGFCRHVKDFVVGRHGYGSIKFYGETDVRGLDLESIVQFNHREVIVYSDDSKKPPVGQGLNKPAEVTLLNIKCMNKKTGQQYTEGPNVEKYKEMLTLKGEEQGAEFLSFDPVKGEWKFKVKHFSCYEFFLETDCS >Ma02_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15241608:15254471:1 gene:Ma02_g03790 transcript:Ma02_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPTNPFGQSSSSSFGSQSVFGQTSSSGNNPFAPKPFGSSNPFGSQTGGSVFGSTSTGVFGQPSTPAFGASSAPAFGSSMPAFGASSTPAFGGSSSSFGGSSLFGQKPAFGSFGSSPSQSSPFGSTFQQTQPAFGNSLFGSTSPFGASSQPAFGATTTPTFGSASTPSFGATTTPTFGATSTPAFGSTATPAFGSTSTSPFGTTGGSFGVSSSPAFGSTVTPSFGAPTAPTFGSSSTPAFGASTAPTFGSPTVSGFGASSTPSFGFGSTPSFGQSASAFGSTPFGASPSPFGAQSSPFGAQATTPTFGSPGFGQSTFGGQPGGTRAAAFSPTPEVDGGTGSQPAGKMESISAMPAYKDRSHEELRWEDYQRGDKGGPNPSGQPAGAINFSASSQTSPFGSAGTFGQSSTNPFSSTTPSNPFALKPPSFGSSGFGSTSSSIFSSPFTTSSSSPFGSTSSTSPMFGAPTGSTFGSSLSSMAFGGTTSSSAFGSTPSIFGSSMAGPTSTFGTGLGFGNTQSSGLFQSSAAPFGQASSLFGQPNTGFQQAMSTFGSNQFASQSTGFGGSLFSSSTPSVFPSSTPVGFGQTAPSVQTPFQLAPVAQTSSTFSFPNVGQAQPASSGGFGSISNIFSQGTFTQNAASQSNMVMQPAPVTNPFGTLPAMPQMSIGRMGSAPSIQYGISSMPVADKPTLLRASSMLVPRHLSQRRIKLPPRKYSAKNDGPRVAFFADDETQSTPKADAYFVPRENPRALIIRPIEQWPPRSNMEKENIMKNGSIPAAENGKVSGEPSAPAFKETYAGDNDEYATENGHTREHTGTTVSASKSLQKENGNGDDQMQKVTDSSYVKISGHRAGKAAIVYEHGADIEALMPKLRQDDYYTEPRIQELAAKERAEPGFCRHVKDFVVGRHGYGSIKFYGETDVRGLDLESIVQFNHREVIVYSDDSKKPPVGQGLNKPAEVTLLNIKCMNKKTGQQYTEGPNVEKYKEMLTLKGEEQGAEFLSFDPVKGEWKFKVKHFSCYEFFLETDCS >Ma02_p03790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15241607:15254471:1 gene:Ma02_g03790 transcript:Ma02_t03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPTNPFGQSSSSSFGSQSVFGQTSSSGNNPFAPKPFGSSNPFGSQTGGSVFGSTSTGVFGQPSTPAFGASSAPAFGSSMPAFGASSTPAFGGSSSSFGGSSLFGQKPAFGSFGSSPSQSSPFGSTFQQTQPAFGNSLFGSTSPFGASSQPAFGATTTPTFGSASTPSFGATTTPTFGATSTPAFGSTATPAFGSTSTSPFGTTGGSFGVSSSPAFGSTVTPSFGAPTAPTFGSSSTPAFGASTAPTFGSPTVSGFGASSTPSFGFGSTPSFGQSASAFGSTPFGASPSPFGAQSSPFGAQATTPTFGSPGFGQSTFGGQPGGTRAAAFSPTPEVDGGTGSQPAGKMESISAMPAYKDRSHEELRWEDYQRGDKGGPNPSGQPAGAINFSASSQTSPFGSAGTFGQSSTNPFSSTTPSNPFALKPPSFGSSGFGSTSSSIFSSPFTTSSSSPFGSTSSTSPMFGAPTGSTFGSSLSSMAFGGTTSSSAFGSTPSIFGSSMAGPTSTFGTGLGFGNTQSSGLFQSSAAPFGQASSLFGQPNTGFQQAMSTFGSNQFASQSTGFGGSLFSSSTPSVFPSSTPVGFGQTAPSVQTPFQLAPVAQTSSTFSFPNVGQAQPASSGGFGSISNIFSQGTFTQNAASQSNMVMQPAPVTNPFGTLPAMPQMSIGRMGSAPSIQYGISSMPVADKPTLLRASSMLVPRHLSQRRIKLPPRKYSAKNDGPRVAFFADDETQSTPKADAYFVPRENPRALIIRPIEQWPPRSNMEKENIMKNGSIPAAENGKVSGEPSAPAFKETYAEYATENGHTREHTGTTVSASKSLQKENGNGDDQMQKVTDSSYVKISGHRAGKAAIVYEHGADIEALMPKLRQDDYYTEPRIQELAAKERAEPGFCRHVKDFVVGRHGYGSIKFYGETDVRGLDLESIVQFNHREVIVYSDDSKKPPVGQGLNKPAEVTLLNIKCMNKKTGQQYTEGPNVEKYKEMLTLKGEEQGAEFLSFDPVKGEWKFKVKHFSCYEFFLETDCS >Ma10_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31134330:31145750:-1 gene:Ma10_g21240 transcript:Ma10_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDGETQTFGRSSEPSPPSSDLRRRRRTSSPAPATADYSSTTSFPAFEASTADSGEESGVETSSDADEYHRVTGGEDGEENVRPAMSTGKKDVDGGRRSEGLGVGGTVPPQFLYRASSPAHVKVKESPLSSDAIFRQSHAGLFNLCIVVLIAVNGRLIIENLMKYGLLIRAGFWFSSKSLRDWPLLMCCLTLPLFSLGAFLIEKLAWHKFIAEPLAISLHVMCTTTAISYPVYVILRCDSAVLSGFTLMFFACIVWLKLVSFAHTNYDMRTIVKSSDKEDASFNFSGHDFEKGVSFKQLVDFMVAPTLCYQQNYPRTMCIRKGWVIRQLVKLVICTGLMGFIIEQYINPIVKNSEHPLKGNLLSAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMIRHIYLPCVRNGLPKGVAVFISFFVSAVFHELCGSVPCHIFKFWAFIGIMFQIPLVILTNYLQNKFKSTMVGNMIFWFFFCILGQPMCVLLYYHDVMNRKVNT >Ma10_p21240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31134330:31157097:-1 gene:Ma10_g21240 transcript:Ma10_t21240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKTDVDGGRRSEGLGVGGTVPPQFLYRASSPAHVKVKESPLSSDAIFRQSHAGLFNLCIVVLIAVNGRLIIENLMKYGLLIRAGFWFSSKSLRDWPLLMCCLTLPLFSLGAFLIEKLAWHKFITEPLAISLHVMCTTTAISYPVYVILRCDSAVLSGFTLMFFACIVWLKLVSFAHTNYDMRTIVKSSDKEDASFNFSGHDFEKGVSFKQLVDFMVAPTLCYQQNYPRTMCIRKGWVIRQLVKLVICTGLMGFIIEQYINPIVKNSEHPLKGNLLSAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMIRHIYLPCVRNGLPKGVAVFISFFVSAVFHELCGSVPCHIFKFWAFIGIMFQIPLVILTNYLQNKFKSTMVGNMIFWFFFCILGQPMCVLLYYHDVMNRKVNT >Ma05_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24385745:24387946:1 gene:Ma05_g18660 transcript:Ma05_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGHQYSGVQFKDATAAQASTSPRENRVVVGEMDFFSKEKNTMASVELDLKVPSLGIKSEDLTVNTGLHLANTRSDQSMVDDGMSPHEDDKEGKSELVAMQAELERTKEENQKLRAMLNQVTSNYNALQMHLVALVQQRRASGNPQGHDIEAKSSNKHEGIVVPRQFMDLGPGGDIDEPSHSSTASRDRSLSLRSHTAKYTREDSSDNGWSPDKASKLTASKATEQAQDATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCADDRSILITTYEGAHNHPLPPAAMAMASTTSAAASMLLSGSMPSGDGLMSSNFLARTILPCSSSMATISASAPFPTVTLDLTQSPNPLQFQRSAASHFQLPFASAAPGLATSLSPPQVFGQTLQTQSKFSGLQSAATAAITADPNFTAALAAAITSIIGGGGNHQTGNNNNSHSISLLHIDISSKIEIDDEDKLAF >Ma07_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11716426:11716872:1 gene:Ma07_g15540 transcript:Ma07_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSEIVVAAIIFFFMMVVLAFNLYLYAKWHLRSALRAHSRPRFDFTAIDIDPLPVPDHGLEATVLQSLRVTVYRATDFKEGIECAICLSQLTDGEVARLLPKCGHGFHLDCIDMWFCSHSTCPLCRRPVGTNPNVQPMSGLPTIHA >Ma01_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8555246:8559996:1 gene:Ma01_g11780 transcript:Ma01_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSSLPRIEELLSMIQKLNDSVTSNLGDAVRQWSTVASVLAATDNKECVNQFLQLNGLVFLNQWLQEALKLHADVSGVEELISSLLTFFERFPIELKQITASGIGVTIELLLDHKSIPIKEKARILYDKWNHARNDGKSCHDQETSGTCQSDQLEPFEDVQMNEKSMDLVNSVVDIPPCTERTGEGKCEVKLAGTEIQVADVTVCSGSSPHDSTYKERVIASQKAISTSSNPINPNAVSAEVCSSGSSLVSTSCQEKLTVIEGSSVSVAAGKSSTGTGSQSGREGDTDDQPDASLLKNVPDSIRGMDVEMREVKPCKSNQRETCSNSSSFVFSTSVTPSVAAPELTNSRKLDSNNGDSCASKAMLHELNSGAFDHGKEKCLITAKESNTAANLASGIHELACTVSNLSDPGDPQLPCQREEAMSSVLKGTDGEVNLNIRKGHFLNSPDFLKVVGTKANKEIGRKSDMRLECLDDALEVARQVAIAVEQEVVDYREPFCSSPEVNSGGTTGSHNPDSEEEKQDQPVTEEIGGNSSSAGNGPSVTTSTEKGSEITQNMSPDQENSEQNIESPKPKVPAQESVGKSIADRFNFDLNSDICSDEPECSLKPILKMPIGVSAPVAVIACSKGAPGLPVTPLCFGGEMGWKGSAATSAFRPASPRRTPDGERSSSGSKPKTNSLEFDLNVAERADEVGDEPILVKELPASSSLPSGDSCVEVSSRRTEKLSLDLNRLGDEETSMCPSSSLKLHFQSGERSLSSASSSSSRQPFLRDFDLNDNPSFPTIGNSCNFDMSSTKPSDSFGVPTPTDPVIKIMGSKIAVERKATDNQVQQSFLSVGLKMEPPVVARPLMPYTNMPTPTYGYTGLPTGPSVSVPPAYYSPGNISYMVDSRGATVMPHVTGSAALGLTSARPPFLIGAAMPSNMAGFGSLQPGLDLNGGMTSVEGGIREGSSFRQFFLQGHGRWMEEQPKTGAQPSSSDTTLKRKEPDSGWEPYPHGYKQMTSWQ >Ma01_p11780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8553428:8559996:1 gene:Ma01_g11780 transcript:Ma01_t11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSSLPRIEELLSMIQKLNDSVTSNLGDAVRQWSTVASVLAATDNKECVNQFLQLNGLVFLNQWLQEALKLHADVSGVEELISSLLTFFERFPIELKQITASGIGVTIELLLDHKSIPIKEKARILYDKWNHARNDGKSCHDQETSGTCQSDQLEPFEDVQMNEKSMDLVNSVVDIPPCTERTGEGKCEVKLAGTEIQVADVTVCSGSSPHDSTYKERVIASQKAISTSSNPINPNAVSAEVCSSGSSLVSTSCQEKLTVIEGSSVSVAAGKSSTGTGSQSGREGDTDDQPDASLLKNVPDSIRGMDVEMREVKPCKSNQRETCSNSSSFVFSTSVTPSVAAPELTNSRKLDSNNGDSCASKAMLHELNSGAFDHGKEKCLITAKESNTAANLASGIHELACTVSNLSDPGDPQLPCQREEAMSSVLKGTDGEVNLNIRKGHFLNSPDFLKVVGTKANKEIGRKSDMRLECLDDALEVARQVAIAVEQEVVDYREPFCSSPEVNSGGTTGSHNPDSEEEKQDQPVTEEIGGNSSSAGNGPSVTTSTEKGSEITQNMSPDQENSEQNIESPKPKVPAQESVGKSIADRFNFDLNSDICSDEPECSLKPILKMPIGVSAPVAVIACSKGAPGLPVTPLCFGGEMGWKGSAATSAFRPASPRRTPDGERSSSGSKPKTNSLEFDLNVAERADEVGDEPILVKELPASSSLPSGDSCVEVSSRRTEKLSLDLNRLGDEETSMCPSSSLKLHFQSGERSLSSASSSSSRQPFLRDFDLNDNPSFPTIGNSCNFDMSSTKPSDSFGVPTPTDPVIKIMGSKIAVERKATDNQVQQSFLSVGLKMEPPVVARPLMPYTNMPTPTYGYTGLPTGPSVSVPPAYYSPGNISYMVDSRGATVMPHVTGSAALGLTSARPPFLIGAAMPSNMAGFGSLQPGLDLNGGMTSVEGGIREGSSFRQFFLQGHGRWMEEQPKTGAQPSSSDTTLKRKEPDSGWEPYPHGYKQMTSWQ >Ma09_p27070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38163616:38165117:-1 gene:Ma09_g27070 transcript:Ma09_t27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPRELADRSKYCRFQRPRGQDTEECRELKRQIEELIRKGHLSRYLQQGEELSPHLEGPVERQINVITGSPVVGGNSMSGQKAYARATAAEAPRRGPDPEVTFPAEGVRRSEHDDALVITARIANAQVRRIMIDIGSSADVLYFDAFQKLGDITMQKGYSSMLSFTVADMNSTVTKLMSLGAELDGPIKYEIHGKVAALRCLDGHMLGLYEPA >Ma10_p24990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33324352:33325321:-1 gene:Ma10_g24990 transcript:Ma10_t24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIRYTRKDLETSARKTSMKVVASVQEFDFGTVTTVPYGSAPSSPKHSDDPFALYCRCTSAPTSPTHAAAVCARFCGSGCLPPSRSSHTSRVPFVWEEKPGTRKSHFSFPGEDDNGDKLRFAFGLRGKKPGTTVDDGQLFEEGRAPPLKPPPRLQYPTLDDGVCVTSSPRSPRPPRLRGLWSAGHQGKGGAEEELDPFTIAMMEATRDGPGSEKATASSFANRAKGGASSKWRLRELLLFRSASRELLGRYTFLSSSSSSNANFVSTHGHNSSHEDGAASEELKKTTAPRHRQGLLSRIRFRGYPFNRGH >Ma10_p30350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36669378:36673968:-1 gene:Ma10_g30350 transcript:Ma10_t30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGGRNPGQEPFLPLGGGGGDPGGAPLASHDPFLPLLNLSALGQKMDSLRRFLSDAIDSRTVIGDDQLQMVSSHIASAVQGIILNGAALLASSQPLNPSPSFAAAGGPTSRDAVTPRFAETRSGSPPSIQFGNGSEPPTSDPAAAIGEEDDIVEIDAAELLAEHVHLCEICGKGFKRDANLRMHMRAHGDRFKTLEALSKPDREVRPSDGGEAVPGRRVRFSCPYPGCNRNRAHKKFRPLKSVACVKNHFKRSHCPKMYSCHRCNKKNFSVVADLRSHLKHCGMTRWRCSCGTSFSRKDKLFGHITLFEGHMPAVEVGAEEEVKKKGVILEVDEEEEEGIGGAEKDGEGFDSEFFKGIMEDFDEIERRSGRMR >Ma08_p33280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43652936:43654109:-1 gene:Ma08_g33280 transcript:Ma08_t33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSPALPLLLAACLLLALTGRLRAEPCIAVYWGQNGYEGGLREACATGNYKYVLIAFFNQFGGNQEPQLNLAGHCDPNTNGCTFLSNDIVSCQRDYNVKVMLSLGGAIGNYRLVSKEEAREVAQYIYNSFLGGSSSNRPLGNAVLDGVDFDIEGASSDHWDDLARYLKAYSTPERKIHLSAAPQCPMPDYFLQPAIDTGLFDYLWVQFYNNYCQYSSDNAATFEQIWNRWTSMNVSKVFLGLPASPQAAGGGFVRPAELVTQVLPIVKRSEKYGGIMLWNRYHDVLYGYSSEVKNYVCSDRLSSILSMLVRPSMMV >Ma07_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30873222:30877944:1 gene:Ma07_g23140 transcript:Ma07_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGARERLLGDEEEVEEGLGRRLWKENKKLWVVAGPSIFTRFSTFGVTVISQAFVGHIGSSELAAYAVVSTVLMRFANGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLCSCAFLLTPVFIFTTPLLKLIGQEESIAEMAGTVAHWFIPVFFSFVWGFTLQMYLQAQSKNVIITYLAVATLTLHIFLAWFMVTKLNLGLAGVMGSMILAMWIPVLGQLAFVFFGGCPETWTGFSFSAFLDLWAIVKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVAIDALSICLNINGWEMMISVGFLSAAGVRVANELGAGSAKAAKFAIVNVVITSFVIGFVLFLFFLIFRGRLAYIFTDDAEVAGAVADLSPLLAFSILLNSVQPVISGVAIGAGWQGMVAFVNIGCYYLIGLPLGVVLGYAFDMHVKGIWIGMLIGTCIQTIVLIYITWRTDWDQQVLIAKDRLSKWYMDKSRKSNDARGSA >Ma03_p28270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31375690:31379077:1 gene:Ma03_g28270 transcript:Ma03_t28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAHVPLKGWQQAAVALGSALGALMDPRRADLIAALGETTGKPAFQRVLQRMKNSSEGKEVLLERPHVVSAQVSHAWDLPESTFGSAYV >Ma10_p25840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33788339:33790171:-1 gene:Ma10_g25840 transcript:Ma10_t25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASYWLTASLAFILTLQLLVLRESQAQLSSTFYDSSCPNVSSIVLDQVQQAQKSDTRILASLTRLFFHDCFVNGCDGSILLDNSSTIVTEKDAVPNNNSARGFDVVDNIKAAVENSCSGIVSCADILALAAEVSVNLSGGPKWSVLLGRRDGTTANITAANNLPGPRDNLTTLQQKFNDVGLNDTDLVALSGGHTFGRAQCRSFSNRLYNFSDNSTADPSLNSTYLTTLQNNCPQGGNGSTLNNLDLTTPDTFDNKYYTNLQTKEGLLQSDQELYGTGASTASIVDQFAANQTVFFENFIAAMINMGNISPLTGSNGEIRSDCKKVNGASARYMIRMAVKDLSSAKA >Ma09_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19395056:19397355:1 gene:Ma09_g18950 transcript:Ma09_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRSYGAALKQKKASRSEGRKETEEKMGVEKTPTVWFALKKSLHCKSEPSDVYDPKTRGRMGTILTRKAGRSGCSRSIANLKDVIHGSKRHLERPPSCSPRSIGSNEFLNPITHEVILSNSRCELRITGFGGCPEGDAALGSTYVGTLKPGTPGPGGHYALHSTPSHRVANTTPPRKSPTLFVDREGHGFGSSPLSGSALGSDIGGVGFHHAASTPRFSHEADSQTKRPSAAVTCHKCGEHFGKLEALESHHLSKHAVTELVEGDSSRKIVEIICRASWLKPESSCIRIDRVLKVHNMQKTLARFEEYRELVKNKANRLAKKHPRCLADGNELLRFYGTTIACSLGTNGSSRLCSSEKCSVCRIIRHGFSTKREIAKGGIGVFTSSTSGRAFQTIEPCEDDNSTKKALLVCRVIAGRVHKPLDNYQELAGQSGFDSVAGKLGLYANIEELYLFNPRALLPCFVVICRT >Ma09_p23520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35357523:35361583:-1 gene:Ma09_g23520 transcript:Ma09_t23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVEAFVSGLLNALGDLAMEEADALLGVPGEIQRLQRTLRTIRHVLRDAERRRIEDEAIDDWLRELKDAMYDADDILDECRVQAEKKPTARAGARKRRRFSWFSGFGRQVELTHEIGVRIRDLNRRLEEISARRTAFDLRVYSDRKPTSRVSRKTSPVLESDIVGTGMEEDARDLVELLTKEDTRSNVLALAVVGIGGIGKTTLAQKVFHDDRTKASFRRSMWVCVSQEFVESDVLRDIIAGAGGSDGGARSRALLEPVVESLLRGNKFLLVLDDVWSEYIWEDLLRNPLQGGAAGSRVLVTTRNEGIARRMKAVHIHRMKLLPLHDGWSLLCKKVAHGDDEERDARSIADIGLKIVEKCDGLPLAIKTIGGVLCTKGLSRRAWEQVLRSAAWSQTGLPEGVKGALYLSYEDLPSQLKQCFLYCAMFPEDYIHLRQYLIQFWIAEGFVQAAGDLTPEAAGEEYYRELIRRSLLQPHPMYHDQFGCTMHDLLRSLAHFLTRDESLFVKDVQQGWKSAASAKLRRVSVIAPGTQRIQPILDAIKKQESVRTLLLERTSVSETDVDDHLRKLTRLRVLHLADTRILGLPQHIGELIHLRYLDLSDCHIRELPESIGNLVNLQYLILNRSRISNLPKSVVKLHNLRSLDLECVPVEGFPSGIGRLQHLNVLRGLVVNTGRAWCSLEEVSNLRRLRWLAISKLERAWAAAEPGEAAAKGLRNNQDLEWVEMHCSPCRADTAPPSFGYAEEEMERIERVFDTALHPPSSLDKLEIQHFFGRRYPTWLTTASVGLLLGNLRRLVLVDCRLCPLLPPLGKLPNLEFLRIRGASAVVAIGSEFLGCEAVGGKRRSSSPVSFPKLTELWFDGMINWEEWHWGVIGDDEVAVAMPRLSHLHLVDCPRLRDLPEALSCHATALTRLQTKGVGSLKSICGFSSMKRMQIHDESGLESVSDLPALEFLEVSNNKMSYLPKWLVTGLAQFTALQRLDIEGSSQLLRSCLQNGEEWPRLSHVPIVYIQDDQHKYICYIKSSSTAYTNLKEHSDGHGKKVNEDQEQDMDDNHGNEAGEARLDSLMAPIPQDRRAARKPPWANLCLCSE >Ma09_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35359329:35361583:-1 gene:Ma09_g23520 transcript:Ma09_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVEAFVSGLLNALGDLAMEEADALLGVPGEIQRLQRTLRTIRHVLRDAERRRIEDEAIDDWLRELKDAMYDADDILDECRVQAEKKPTARAGARKRRRFSWFSGFGRQVELTHEIGVRIRDLNRRLEEISARRTAFDLRVYSDRKPTSRVSRKTSPVLESDIVGTGMEEDARDLVELLTKEDTRSNVLALAVVGIGGIGKTTLAQKVFHDDRTKASFRRSMWVCVSQEFVESDVLRDIIAGAGGSDGGARSRALLEPVVESLLRGNKFLLVLDDVWSEYIWEDLLRNPLQGGAAGSRVLVTTRNEGIARRMKAVHIHRMKLLPLHDGWSLLCKKVAHGDDEERDARSIADIGLKIVEKCDGLPLAIKTIGGVLCTKGLSRRAWEQVLRSAAWSQTGLPEGVKGALYLSYEDLPSQLKQCFLYCAMFPEDYIHLRQYLIQFWIAEGFVQAAGDLTPEAAGEEYYRELIRRSLLQPHPMYHDQFGCTMHDLLRSLAHFLTRDESLFVKDVQQGWKSAASAKLRRVSVIAPGTQRIQPILDAIKKQESVRTLLLERTSVSETDVDDHLRKLTRLRVLHLADTRILGLPQHIGELIHLSI >Ma10_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27037312:27061176:-1 gene:Ma10_g14710 transcript:Ma10_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTTPPPLDQEEDELLVPRPEIAEGPQPMEVAQSETTSTAENHQVEEPSSSRFTWTIENFSRLNTKKLYSDMFIVGGYQWRVLIFPKGNNVDYLSMYLDVADSASLPYGWSRFAQFSLAVVNQIQSKYSIRKDTQHQFNSRESDWGFTSFMPLSEVYDPSRGFLVNDTIVVEADVAVRRVIDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDGSVATKDLTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHTNYIECINVDYKSSRKESFYDLQLDVKGCHDVYASFDKFVEVERLEGDNKYHAEQYGLQDAKKGVLFADFPPVLQLQLKRFEYDFMRDTMIKINDRYEFPVQLDLDRENGKYLSPDADRRVRNLYTIHSVLVHSGGVHGGHYYAFIRPNLSDQWYKFDDERVTKEDTKRAIEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKREQEEKEQKKKEKAEAHLYTIIKVARDKDLMEQIGRDIYFDLVDHDKVCSFRIQKQLPFSIFKEEVAREFGIPVHFQRFWLWAKRQNHTYRPNRPLSPQEEAQSVGQLREISNKAQNAELKLLLEVELGPDLHPSPPPEKTKEDILLFFKLYDPEKEELRYLGRLFVKGLGKPADILTKLNEMAGFSPNEEIELFEEIKFEPNVMCERIDKRLPFRSSQLEDGDIICYQKSPSLGNNEPIRYPDVPSFLEYVRNRQVVHFRLLEKPKEDDFCLELSKLDTYDDVVEKVARQLSLNDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHFTQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVAIHSIRLPKNSIVGDVINDLKAKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFMKDPNQNQMQIQNFGEPFLLVIHEGETLAEVKVRIQKKLQIPDDDFSKWKFAFLSMGRPDYLQDSEIVSNRFQRRDVYGAWEQYLGLEHSDTAPKRAYTANQNRHTFDKPVRIYN >Ma09_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10182538:10186153:-1 gene:Ma09_g14870 transcript:Ma09_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHLLLLFISLSLLAGAAMAKSTIEPCTGTDACPALLGYTLYADLKVVEVAALFQTDPVALLAANAIDIAVPDVENHILPAGLFLRVPASCSCSGGIRRSISTSYTVRPADTLGSIAGSVYAGLTSPDQIREANDIPDPADLDAGSTLVIPLPCTCFNSTDNFLPAIYLSYVVQQGDSVPAIAARYATTVTDIMTVNAMASPSIRPGDILAVPLPACASMFPNSASDYGMIVANGTYAITASHCVQCSCGPGNLNLYCTPASLAVSCSSMQCSNSNLMLGNFTSQQTSAGCSVTSCNYGGFVNGSIVTRLTTSLQPQCPGKHQFPPVIPPPTTVLHDSFLVPSPSPAQAGGTVTNPRSSVPGTFGLSGIAPASGPAGSTSEASIVSPFCCILCLFAFSLVHFNFLY >Ma03_p09600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7163434:7169837:1 gene:Ma03_g09600 transcript:Ma03_t09600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGFQLGTVGALSLSVVSSVSIVICNKALMSSLGFKFATTLTSWHLLVTFCSLHVALWIKLFEHKPFDQKAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVFLETAFLGKKFSRSIQLSLSILLLGVGIATVTDLQLNLLGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSSPYQALTLFVSGPFLDGLLTNQNVFAFNYTPQVLVFIVFSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNIFGILVAIVGMVLYSYLCTIEGQQRAREASAQMLQVKNNESDPLIRAEAGAGHKY >Ma03_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7163460:7169837:1 gene:Ma03_g09600 transcript:Ma03_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGFQLGTVGALSLSVVSSVSIVICNKALMSSLGFKFATTLTSWHLLVTFCSLHVALWIKLFEHKPFDQKAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVFLETAFLGKKFSRSIQLSLSILLLGVGIATVTDLQLNLLGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSSPYQALTLFVSGPFLDGLLTNQNVFAFNYTPQVLVFIVFSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNIFGILVAIVGMVLYSYLCTIEGQQRAREASAQMLQVKNNESDPLIRAEAGAGHKY >Ma07_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8012274:8015699:1 gene:Ma07_g10720 transcript:Ma07_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSLCGSPPLEHDYIGLSELHCPAAAAGIGGGGAEDGALNLKDTELRLGLPGSEPAERKDEVGLTLGRLPKVFVSGAKRGFSDAIDGAGKWGLAAGGGGSEVEGGKGGALFSPRGENGGGGLLPGHGNAGKDVVAKAAGQERKAAAQVGNSAGSSDRGVAPAAKAQVVGWPPIRSYRKNTMATNPSKNKEDADGKQGLGCLYVKVSMDGAPYLRKVDLKTYNNYNEFSVALEKMFSGFTIGQCGSHAIPSRDGLSESRLMDLLSGSEYVLTCEDKDGDWMLVGDVPWEMFIDSCRRLRIMKGSDAIGLAPRAMEKCKNRN >Ma06_p38420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37169340:37177180:-1 gene:Ma06_g38420 transcript:Ma06_t38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MKSMELQSRVALASISWLLLIQFTSCEDTRDQPDTVKWTCVCAAGPIAASNYTPASNCSESCGCTPVTGGSDRSTWNCSCAILGPQVPGNIHDTTCFTTCNCTSDPTGTSVAAKKHLSNRGVLVILLLCVVLATIVLLAVAAYCFYYKDRLSMRQVQISSEKDSSWNSTINLISHRSASFPQQRNKIGLFLKPISGVIQKLTYIFGSGTGILPGVIVQFSYVELEQATNRFSDNNLIGVGGSSNVYCGQLKDGRIVAIKKLRPLGGSEADSEFLYEIELISRLNHCHVVPLLGYCIETQGRQLERLLVFEYMPNGNLRDCLDARGGKEPMDWETRVRIALGAAKGLEYLHEAAAPRILHRDIKSTNILLDDNYRAKITDLGMAKHLMTDDLTSCSSSPARMLGTFGYFAPEYAIVGRASRKSDVFSFGVVILELITGRKPIFKSSNKEEDSLVIWATSRLQNSKLVVSELPDLLLKGKFPEEEMQIMGHLARECLQWDPDLRPTMSEIVQILLTIAPDKSRRRYIPTGNTLLWHSRHQRKSNSRSCS >Ma06_p38420.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37168947:37177179:-1 gene:Ma06_g38420 transcript:Ma06_t38420.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MKSMELQSRVALASISWLLLIQFTSCEDTRDQPDTVKWTCVCAAGPIAASNYTPASNCSESCGCTPVTGGSDRSTWNCSCAILGPQVPGNIHDTTCFTTCNCTSDPTGTSVAAKKHLSNRGVLVILLLCVVLATIVLLAVAAYCFYYKDRLSMRQVQISSEKDSSWNSTINLISHRSASFPQQRNKIGLFLKPISGVIQKLTYIFGSGTGILPGVIVQFSYVELEQATNRFSDNNLIGVGGSSNVYCGQLKDGRIVAIKKLRPLGGSEADSEFLYEIELISRLNHCHVVPLLGYCIETQGRQLERLLVFEYMPNGNLRDCLDARGGKEPMDWETRVRIALGAAKGLEYLHEAAAPRILHRDIKSTNILLDDNYRAKITDLGMAKHLMTDDLTSCSSSPARMLGTFGYFAPEYAIVGRASRKSDVFSFGVVILELITGRKPIFKSSNKEEDSLVIWATSRLQNSKLVVSELPDLLLKGKFPEEEMQIMGHLARECLQWDPDLRPTMSEIVQILLTIAPDKSRRRYIPTGNTLLWSSYSHSIRGTSGSQTPEVAVERKDPNRAVSGRCHNPCSLPMPVERDSCGDHQAKPEPAVSAEHMERLILLTSNGRSWRSSDDETVDLTEPRLESFMQAYMSSL >Ma06_p38420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37168947:37177353:-1 gene:Ma06_g38420 transcript:Ma06_t38420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MKSMELQSRVALASISWLLLIQFTSCEDTRDQPDTVKWTCVCAAGPIAASNYTPASNCSESCGCTPGGSDRSTWNCSCAILGPQVPGNIHDTTCFTTCNCTSDPTGTSVAAKKHLSNRGVLVILLLCVVLATIVLLAVAAYCFYYKDRLSMRQVQISSEKDSSWNSTINLISHRSASFPQQRNKIGLFLKPISGVIQKLTYIFGSGTGILPGVIVQFSYVELEQATNRFSDNNLIGVGGSSNVYCGQLKDGRIVAIKKLRPLGGSEADSEFLYEIELISRLNHCHVVPLLGYCIETQGRQLERLLVFEYMPNGNLRDCLDARGGKEPMDWETRVRIALGAAKGLEYLHEAAAPRILHRDIKSTNILLDDNYRAKITDLGMAKHLMTDDLTSCSSSPARMLGTFGYFAPEYAIVGRASRKSDVFSFGVVILELITGRKPIFKSSNKEEDSLVIWATSRLQNSKLVVSELPDLLLKGKFPEEEMQIMGHLARECLQWDPDLRPTMSEIVQILLTIAPDKSRRRYIPTGNTLLWSSYSHSIRGTSGSQTPEVAVERKDPNRAVSGRCHNPCSLPMPVERDSCGDHQAKPEPAVSAEHMERLILLTSNGRSWRSSDDETVDLTEPRLESFMQAYMSSL >Ma06_p38420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37168947:37176417:-1 gene:Ma06_g38420 transcript:Ma06_t38420.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MKSMELQSRVALASISWLLLIQFTSCEDTRDQPDTVKWTCVCAAGPIAASNYTPASNCSESCGCTPVTGGSDRSTWNCSCAILGPQVPGNIHDTTCFTTCNCTSDPTGTSVAAKKHLSNRGVLVILLLCVVLATIVLLAVAAYCFYYKDRLSMRQVQISSEKDSSWNSTINLISHRSASFPQQRNKIGLFLKPISGVIQKLTYIFGSGTGILPGVIVQFSYVELEQATNRFSDNNLIGVGGSSNVYCGQLKDGRIVAIKKLRPLGGSEADSEFLYEIELISRLNHCHVVPLLGYCIETQGRQLERLLVFEYMPNGNLRDCLDARGGKEPMDWETRVRIALGAAKGLEYLHEAAAPRILHRDIKSTNILLDDNYRAKITDLGMAKHLMTDDLTSCSSSPARMLGTFGYFAPEYAIVGRASRKSDVFSFGVVILELITGRKPIFKSSNKEEDSLVIWATSRLQNSKLVVSELPDLLLKGKFPEEEMQIMGHLARECLQWDPDLRPTMSEIVQILLTIAPDKSRRRYIPTGNTLLWSSYSHSIRGTSGSQTPEVAVERKDPNRAVSGRCHNPCSLPMPVERDSCGDHQAKPEPAVSAEHMERLILLTSNGRSWRSSDDETVDLTEPRLESFMQAYMSSL >Ma06_p38420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37168947:37176049:-1 gene:Ma06_g38420 transcript:Ma06_t38420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MKSMELQSRVALASISWLLLIQFTSCEDTRDQPDTVKWTCVCAAGPIAASNYTPASNCSESCGCTPVTGGSDRSTWNCSCAILGPQVPGNIHDTTCFTTCNCTSDPTGTSVAAKKHLSNRGVLVILLLCVVLATIVLLAVAAYCFYYKDRLSMRQVQISSEKDSSWNSTINLISHRSASFPQQRNKIGLFLKPISGVIQKLTYIFGSGTGILPGVIVQFSYVELEQATNRFSDNNLIGVGGSSNVYCGQLKDGRIVAIKKLRPLGGSEADSEFLYEIELISRLNHCHVVPLLGYCIETQGRQLERLLVFEYMPNGNLRDCLDARGGKEPMDWETRVRIALGAAKGLEYLHEAAAPRILHRDIKSTNILLDDNYRAKITDLGMAKHLMTDDLTSCSSSPARMLGTFGYFAPEYAIVGRASRKSDVFSFGVVILELITGRKPIFKSSNKEEDSLVIWATSRLQNSKLVVSELPDLLLKGKFPEEEMQIMGHLARECLQWDPDLRPTMSEIVQILLTIAPDKSRRRYIPTGNTLLWSSYSHSIRGTSGSQTPEVAVERKDPNRAVSGRCHNPCSLPMPVERDSCGDHQAKPEPAVSAEHMERLILLTSNGRSWRSSDDETVDLTEPRLESFMQAYMSSL >Ma08_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2635923:2637282:-1 gene:Ma08_g03660 transcript:Ma08_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPFACFGRRRGREASASKPPVANATADQTQEEQRRMGPVLVELFSSQGCGTSPEAEGVVSRLGRGDFEGDLPPVAVLAFHVEYWDYRGWRDPFGSSIWTVRQKAYVDVLHLDTLYTPQVVVNGRAQCVGTDPDAVFAAVRSAPRFTSPTMQATFQKPDPGTLQVSFTGALQTKVDGSGADVMVALYQSGLVTDCDQGENKGRVLTNDYVVRRLEKLVSVKDVSAKKNISGSVHFTLWDGFNSAKCGLLLFVQNASLQTFGVQQFQIPQEDTI >Ma01_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9047868:9053922:-1 gene:Ma01_g12450 transcript:Ma01_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASQDAIKQFSALVAEVDESLKAAFQNIHQGYPTETLVRFLKAREWSIPNAHKMLLDCLNWRIQNCIDEILAKPIIPADLYRGIRDSQLVGLSGYTKDGHPVFAIGVGLSTYDKASSHIQMNEYRDHVILPASTKKCGRHVATCVKVLDMTGLKLSALCQIKLLTIISTIDDLNYPEKTETYYIVNAPCIFSACWKVVKPLLQERTRRKVQILQGCGRDELLKIMDYASLPHFCKREGSGSSQHSSSGSDNCFSFDHHFHQQLYSYIKQQALGRELAAPLKQGCFHVDVPEPDPKGVNIVKTAIESQLHKIGDRNGLSRSLSGLKINIA >Ma01_p12450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9047868:9053922:-1 gene:Ma01_g12450 transcript:Ma01_t12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASQDAIKQFSALVAEVDESLKAAFQNIHQGYPTETLVRFLKAREWSIPNAHKMLLDCLNWRIQNCIDEILAKPIIPADLYRGIRDSQLVGLSGYTKDGHPVFAIGVGLSTYDKASVNYYVQSHIQMNEYRDHVILPASTKKCGRHVATCVKVLDMTGLKLSALCQIKLLTIISTIDDLNYPEKTETYYIVNAPCIFSACWKVVKPLLQERTRRKVQILQGCGRDELLKIMDYASLPHFCKREGSGSSQHSSSGSDNCFSFDHHFHQQLYSYIKQQALGRELAAPLKQGCFHVDVPEPDPKGVNIVKTAIESQLHKIGDRNGLSRSLSGLKINIA >Ma06_p01570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1282457:1284306:1 gene:Ma06_g01570 transcript:Ma06_t01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNGDAAGLVVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGRCAFLGKLGDDEFGRMLAAILRDNGVDDSGVTFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTEAELNLDLIRKAKVFHYGSISLITEPCRSAHLKAMEVAKEAGALLSYDPNLRLPLWPSAAEAREQILSIWDAADIIKVSDVELEFLTDTDSVEDEVAMRLWRPSLKLLLVTLGEKGCKYYTKDFHGSVESFAVKQVDTTGAGDAFVGALLGKFVEDQSALQDEKKLRELLRFSNACGAITTTKKGAIPSLPNVSEAMRLMERP >Ma06_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1282455:1291267:1 gene:Ma06_g01570 transcript:Ma06_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNGDAAGLVVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGRCAFLGKLGDDEFGRMLAAILRDNGVDDSGVTFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTEAELNLDLIRKAKVFHYGSISLITEPCRSAHLKAMEVAKEAGALLSYDPNLRLPLWPSAAEAREQILSIWDAADIIKVSDVELEFLTDTDSVEDEVAMRLWRPSLKLLLVTLGEKGCKYYTKDFHGSVESFAVKQVDTTGAGDAFVGALLGKFVEDQSALQDEKKLRELLRFSNACGAITTTKKGAIPSLPNVSEAMRLMERP >Ma05_p28030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39118736:39121399:-1 gene:Ma05_g28030 transcript:Ma05_t28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCAKYLYTLCVFDSEKANKLKQSLPPGLSIQEV >Ma01_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10346315:10346852:1 gene:Ma01_g14140 transcript:Ma01_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPKGKKASDTEVISWKQKKDLADMEEMAIGKQLEELIAWTAMIEAMSDEQLKDYVLNRPESLRSVKTGKNAPGKKQGRRSGKSCSPSQGLMATVWKYHKEDDDEASIS >Ma10_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2479475:2479585:1 gene:Ma10_g00770 transcript:Ma10_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEKFGFEIPDNEADKIDSINVAVDFIASHPQAK >Ma08_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6651078:6653081:1 gene:Ma08_g09170 transcript:Ma08_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNSSLTGASSACLLRREKCRRRGRGHVHGVTCHQGGNDDRREAARQQRSRLLLDRRDMLLGGLGGLYGVTAGPKVLAEPIMPPDLSKCHDANAPALDNHCCPPYSGSETILEYDFPATPLRVRRPAHLVKDDQEYMDKYKEAVRRMKNLPAEHPWNYYQQANIHCQYCNDAYYQQNTDDVPVQVHFSWIFLPWHRYYLHFYERILGKLIDDDTFTIPFWNWDTKDGMTFPAIFQDAASPLYDPKRDQRHVKDGAILDLKYAYTENTASDSEIIRENLCFIQKTFKHSLSLAELFMGDPVRAGEKEIQEANGQLEVIHNAAHMWVGEPDGYKENMGDFSTAARDSVFFCHHSNVDRMWDIYRNLRGNSVEFNDKDWLHSTFLFHDENEQLVKVKIQDCLNPTKLRYTFEQVPLPWLGNINCQKTAETKSKSTAELSLKRVGEFGTTPKALDASNPLRVIVARPKKNRKKKEKQEKVEVLQIKDIKVTTNETARFDVYVAVPYGDLAGPDYGEFVGSFVRLAHRKKGSDGTEEQGPKKKGKLKLGITALLEDIDAEDADKLVVTLVLRTGSVTVGGVSIKLLQTDTPAVI >Ma09_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26785670:26796298:-1 gene:Ma09_g19900 transcript:Ma09_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCDVCGHYHKYEEGEVCGVCGHRVAAALARPIQQSSAFPSEIVKDFLYLGSYDNASRREILKTLGITHVLNTVPTCQNLYKNSFTYHCLQDNKSLQFDEANQFLEKCDKDKARVLVHCMLGKNRSPAIVIAYLMKCKGWRFLQSYQWVKDRRPMVELSPAIHQQLLEYEGKLFGSSEAMTLQLSISHDSAASESLPSFGFGFPKAADTSSAPMFNQPTSSVLERSIPNAFGNDFVFGAERNIEQRMMETGAFVIVPPNASETPMDSS >Ma04_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26123950:26127831:-1 gene:Ma04_g24050 transcript:Ma04_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGNGGGEGFRGLLLRVRDGLRSGIRDGVTEEGRREGEMWGEPNELIEHLKLRWWNVRYLGDEGMCGACYQVLCTKNAACSGKPVTIVITDVCPGGPCLAESAHFDLSGTAIGAMTGFVEQECSKCSTLGQNSHRVQCNDRGAGVAFHVGAGTNPYYFAGLIEC >Ma08_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34987101:34989700:-1 gene:Ma08_g20950 transcript:Ma08_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVWGRKGSWSKPPPGSSKRTKPRNGKLCPALGFVCCMVFMYMAFGAVKLRTQEPRSSFVKRNGTHFMVDGRVFYVNGWNSYWLMDQAAEASSRSRVTEIFQTGARMGLTVCRTWAFNDGADHALQVSLGIFDERVFEALDWVIVEAQKHGIRLLLSLVNNLQLYGGKTQYVKWAREEGFGSSSTNDSFFFDPSIRSYFKIYLKTILTRKNHLTGTEYRDDPTIFAWELMNEPQCTSDASGDTLQEWIEEMAEYVKRIDKKHLLTVGLEGFYGAPSPPEKTKVNPGKSYGELGSDFLRNSKTPDIDFASAHINPDNWLMDANLTEKTDYVSKWVTSHIEDGEKELNKPVLFTEFGLSDKNKNFDHSHRATFYKSIYDTIYESALRNGAGAGTFIWHFLVGGMEKYTDDFGIIPGETPSIDRLIKEQSCRLITLQHGKDLPERSSTVC >Ma05_p31650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41488940:41493495:-1 gene:Ma05_g31650 transcript:Ma05_t31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLNVAYPGDSLAAAPYSFESSSNDIATAAAGDEDARSSNGAASVFCSDVLGRPAEPVVDEEEGCVSPEPGMATRQLFPLAAEVAAMQPHVAVDSSSSSPLSFAAVGLWKGTTGGLAEPEANLVLQRRQQQRQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLSDYDEDLKQLRNLTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAHDKAAIKFNGREAITNFEPSIYEGEISLAPENEVAFEDVDLNLRISQPNLHYPKRDGNSGGTNFSCHSFEAMETGNSQAESLNYLNVGPRLAMAPQHPHSWASSCPSFFFSIEEKRNHPKAEVRPRQPLPIPIRAWQISPTPLQSVSSAASSGFSTATTMAAASQHPSLPFSSIRNH >Ma07_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14264988:14299434:-1 gene:Ma07_g16540 transcript:Ma07_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPKNPSKFDSVALVICFLPCLFLAFGRSGAQATTPLSEVAALNAILGRWGRTASATSSPAWNISGEPCSGAATDSTNFDSTAFNPAIKCDCSYDNATTCHITQLKVDALDVVGRIPDELQNLTYLTNLHLVRNYLTGPLPAFIGTLTGLQYLSVGTNALSGGIPKELGKLTNLLSLSIATNNFSGPLPLELGNLTKLQQLYVSSCGASGEFPSTISGLQNLKTLWLGNNNFTGKIPDFSRTNITVLRMQGNSFEGPIPSGLSRMTNIIDLRISDIQKGSSSLAFISNLTSLSTLILRNCKISDIIPSNFSQYTSFQKLDLSFNNLTGQLPQSLFNLNLLSHLFLGNNNLSGSLPANKSVTLLNIDLSYNQLAGSFPSWASQQNLKLNLVANNFIIGSSNSSVLPSGLNCLQRDIPCNRGAPIYSSFAIKCGSNKTITASDGTLYEIDNQILTTASYYVTETNKWAVSTVGSFSDASNADYILYSLSQFTNTLESELYQTARISPSSLRYYGLGLQNGNYTVKLHFAETQILDPPTWKSNGRRIFDIYIQGKLFDGRTVAVKQLSAASNQGKRQFMTEIAIISSVQHRNLVKLYGCCVEGGKRLVVYEYLENKSLDQAIFGKNNLHLDWPIRFEICLGIARALAYLHEESRVRIVHRDVKASNILLDANLNPKISDFGLAKLYDDNKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFAFGVVALEVLSGRSNADQTLKNEKVYLLEWAWNLREKQCELELVDPKLLTFDEAQAIRVINVALLCTQASPMLRPSMSRIVAMLTGDIEVSEVKSRPGYLTDWQYNDLSSNFASCGLSEPVISGSENERFSTVSSTSMVHNIGSSASPTLPMLEVIGDGR >Ma03_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27490095:27499139:1 gene:Ma03_g22750 transcript:Ma03_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSEGGEDIESTVDEAAAPREMKRNGSNFFDDKEAGMREPLLRRRTMNTTSQLAVVGANVCPIESLDYEIVENDLYKEDWRSRKKAQIFQYVVLKWTLALLIGLVTGLVGFFNNLAVENIAGFKLLLTSNLMLKHSYHKAFVVYAGCNLVLAAAAAALCAYVAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSIGGVSAGFVLGKEGPMVHTGACIASLLGQGGSRKYRLTWTWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRTFIDFCRSGNCGLFGQGGLIMFDLSSSVPAYGTPDLIAVIVLGIVGGIFGSLYNFLIDKTLRTYSIFNERGAPFKILLTVAVSLLTSCCSYGLPWLAKCTPCPPDAHDQCPTIGRSGNYKNFQCPPNQYNDLASLFLNTNDDAIRNLFSGGTDNEFCLSTLFIFFIGVYCLGIVTYGIAVPSGLFIPVILAGASYGRLVGTFLGSLSKLDKGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLVSKTVADCFNKGVYDQMVKMKGFPFMEAHAEPYMRNLVASDVVSGPLVTLSGVERVGNIVHAIRLTGHNGFPVVDEPPFSVVPELCGLVLRTHLLVLLKGKRFTKERVSTGAADVLQRFGAYDFAKAGSGKGVKLEEIDINEEEMEMYVDLHPITNRSPYTVAETMSLAKAAILFRELGLRHLCVVPKTPGRPPIVGILTRHDFMSEHILGLFPRIKHHG >Ma03_p22750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27490095:27499139:1 gene:Ma03_g22750 transcript:Ma03_t22750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSEGGEDIESTVDEAAAPREMKRNGSNFFDDKEAGMREPLLRRRTMNTTSQLAVVGANVCPIESLDYEIVENDLYKEDWRSRKKAQIFQYVVLKWTLALLIGLVTGLVGFFNNLAVENIAGFKLLLTSNLMLKHSYHKAFVVYAGCNLVLAAAAAALCAYVAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSIGGVSAGFVLGKEGPMVHTGACIASLLGQGGSRKYRLTWTWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRTFIDFCRSGNCGLFGQGGLIMFDLSSSVPAYGTPDLIAVIVLGIVGGIFGSLYNFLIDKTLRTYSIFNERGAPFKILLTVAVSLLTSCCSYGLPWLAKCTPCPPDAHDQCPTIGRSGNYKNFQCPPNQYNDLASLFLNTNDDAIRNLFSGGTDNEFCLSTLFIFFIGVYCLGIVTYGIAVPSGLFIPVILAGASYGRLVGTFLGSLSKLDKGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLVSKTVADCFNKGVYDQMVKMKGFPFMEAHAEPYMRNLVASDVVSGPLVTLSGVERVGNIVHAIRLTGHNGFPVVDEPPFSVVPELCGLVLRTHLLVLLKGKRFTKERVSTGAADVLQRFGAYDFAKAGSGKGVKLEEIDINEEEMEMYVDLHPITNRSPYTVAETMSLAKAAILFRELGLRHLCVVPKTPGRPPIVGILTRHDFMSEHILGLFPRIKHHG >Ma03_p29820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32668943:32673654:1 gene:Ma03_g29820 transcript:Ma03_t29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASLVHLEYLNLSYAMFDGLIPPQLGNLSNLHYLDLQGWHSLYIDSLDWLSRIPSLKYLDMSYVNLSEATNWFYIINSIPTLEVLHLFYVYLPYVPSPLPPFNLTTIATLDLSWNSNIPSAMLRWLSNATSLEYLLLSGCRSLTIESVQVALGALSNLKELDLSYNSLEGTILGILNNVSSKGLKHLDLSFNQLSGDIPPGSLRDLEYLDLSWNSIVTLHILASLGNLTNLRHLDLWGNSISGEIPPTVGKFVRLEYLDLSYTGINGKIPESIGNLTNLIILDLSYNNIVGSIPETFGALIRMKLLYLDDNQISGEIPATIGGLQNLLELILKGNSFTGQIPNTIGRLHSLKCLDISNNNLSGAKFPDWIQTQQRLQGLYLSGVGVSGKIPTSGQLSTFDSWTYVGNKDLCGTPLPDCPVYQTPPDARVKQEKEGEDDEKLQKLLEYSSIVIGFWLFIETLIVKQAIRVAFFRWIDDTSDWIYVQFAVKLARLKSKWQTIT >Ma02_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19276385:19278672:1 gene:Ma02_g09140 transcript:Ma02_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAAAGAGTGGLRFADSVDSSPRSRGGDSLDEAPFSSSDATAGGRLRLMCSYGGRIVPRPTDKSLCYLGGETRIVVVDRHSSLADLSTMLSRNLHGGRAFSLKYQLPNEDLDSLISVATDEDLDNMVEEYDRILASSASGTGGSTRSSRLRLFLFPSKTETSPTSSIGSLLDDSKSETWFVDALNSAMNGMGINGLAHGLSSDSASVNCLLGLEDDASVYSVGGGAAIAASGRGGDPSERPEQLVLSLLDSSGKHARHGQDVQSVPDSPMLDTASSFGSASSAPSLSNLPPILVRPDDRPPDLGISGLEGHFAQMNLSAAAAAAGGQRLDNEFKESSYSPQLQLQPPPPISLLASSASTSTISPTENPSRAFSSDDEKSDHGSIQKPLPPPQSSKPNKVESPISDSGSRTTYSNATLDPKRELAAVSSIPNHHVVTSATDAVGYQLASTQLEQFQQQQFYPQLHHHQQQQQQHQYIPANSHYIHHPATGVVIPVPSYFPIAAHTIQQSPQVHPYDPHLPLYYYPVHQTPPINLADANSIPSGAKPPMPVPQVLPKADQIPTYAGMGYHVVQHHQIAHSPASMSNYGYQFAADHSQPQMYYSQATPPPALAPADAKATRAS >Ma06_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10470568:10477106:1 gene:Ma06_g15430 transcript:Ma06_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSTVSILRSTNFFCRFSPFRPKSASSTPSTKHSSRKGTVSSIIFLKSPSWRGSSSARSSGGRVLQVSARFRGPLRRRNTLREKLLTSTVEQVRRVPQTLDPVLDLELSEDFSGELEDLRTDVDADRRNEIVEAVGSGSGDSSEKKSVLWDKLESWVDQYKADSEFWGVGTGPIFMIYQNSDRKIVRVLVNEGEIIKRNQIRESSLEEVEGATDVNAKIFRAKLIAKMIEGGEYALPRNSSVVKFVVEGKKLSFVDGIHSISLRAQPFLKMFPRMGFVLFCSCCILWAIAKLFVQNDKVELSRQEAKMLRRKIKLRMEREKMEKGTVKVIDDAHEFPVSSRPQLDINELRKSIVQAKASTDKSFITDSSSHLNVTTQSFDDKVREIREMARKVRERERQDSSNNETSKKTETDPISWAKNKESAVDKNNTVLETEATGDKSDLDITSLSNSLTRQEEDMEFHVDGENKEMFGKSTTEHFNKTPCQELLNSLQDNGNNMDVEGHHEKEVTRSSSGAHSTGVDTETVLNYDSISRGDILRNGGNLGNNDLDSSIMEERITGSSSSDVSSRSTFRVKPIIITSVDEAREYLAQRHGMLSDIIQSDQEVQVTEQSAGINAWSHYNDDKTMESISPRNIKDLSASETSDKLQDKTFSRELYGDEFASASLLKRPSSDDFSITELYVDDAVDNKLTVDMQNSEMQEEKNLDVPSDSHDQLFVTQNSVNGTCDLSDSDTSTKLNSNEVLLEVVNKIDLQTDSCAPKMRNLSEKREDAHDQRDECTSNTSDNQVELETHLTSSSDGVKSLIVDPLKDDELSQSQEADILKDDNKLCEELQGLQGKTDSWNVSKRKNSYVNAGSGETAENTMVGTSEMDHDNDTLSDFDTSLESMTEEQDSLDIRSNVSGELETSKSNANVFDGATKKHKENSILGSPTNSPCDSGEVGRLSNGKQLNAGKSWVEENFQEFDPVITKIAVGFKENYMAAKEKIQQQPSLSTDISELRLMEGDDELEWMNDERLQKIVFQVRENELTGRDPFYLIDADDKLAFFEGLEKKAEKISGNLLRLHEWVHSRIENLDYGADGISVNDPLEKIIPRWKGPPIDKDPEFLKHQKPMFSEEVKENSLQETDGFPNSKGVSPYSPDNGIRKMSLDASSVKPKTLIESSDGTSRVGKKKGTEQWQHTKKWSQGFLEVYNAEEDPEIKSIMREMGKDLDRWITEKETKDVADLMTKIPKRKRRYIEKKLEKLKREVQMFGTPAVVSKYKEYTDEKEEDYLWWLDLPFVLCIELYTIEEGTPKVGFYSLEMAAELELDPKQYHVIAFEDPGDSKNFCYILQSHMDILGSGKAFVVARPPKDAFREAKANGFYVTVIRTGLVKFNVDQTLEEVEEEITELGSKMYHDKIMSDRSFDVNTLMKGVIAADKSTNRRPRQKHMKSTKPAKS >Ma07_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10239105:10240422:-1 gene:Ma07_g13620 transcript:Ma07_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSAKANKKGSFLAPMACPPNTSEPETSVNSTSSLSSASSSSSPALSHTLPERTMGRCRQRAPASSKRSGGRGGGSVSGKRLRDRSSHTPAYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALNIKGAAAVLNFPELAGSLPRPATLSPRDVQAAAARAAAMDPRAQKEPPAAAPADSVELGEIVELPRLDDGCCFHDPADSQNEFLYDDAVDSWAYPLPWVESADPLWAASDSTLPTNFEALVWDQGVSLKLSSSNAH >Ma03_p26300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30070904:30076899:-1 gene:Ma03_g26300 transcript:Ma03_t26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRRDRRNPGGPTPTAPRPAATTAATSRSHHPLFSTPLLPLALFALAAIVLLLIMYRGGKTGLPEATSLALSVYERGLVKPNVAFQEILAENSRFSENRSRRHFPNPVLAYITPWNSRGYEKAKLFSSRLTHLSPVWYELKSEGKRLVLEGRHNADREWISKIRTSGNSLVLPRVVLEAFPVDLLLKKKWSKAIDIIIKECKDMGYDGIVLESWSRWAAYGILHDPDMRNMGLDFIKELGKALHSLTSVESDNHPLELIYVIPAPRSQKLDEYDFGPQDLQQLCDAVDGFSLMTYDFSGPQSPGPNAPLSWIRSSLSMLLGDTNTGAHSHAHKILLGLNFYGNDFLVSEGSGGGAITGTDYISLLEKHRPVIRWDNTSGEHFFIYSHNNARHGVFYPSLLSLSMRLDEARVWGAGLSIWEIGQGLDYFFDLL >Ma01_p22850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26003734:26016837:-1 gene:Ma01_g22850 transcript:Ma01_t22850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPPWNLEELGRDAELSIGSVVVGSSGGGTCQSSGLDCSVDLKLGGLGDFGSSTEWNSHPAASMAMASQSAPSKRPRAPAHGVSCLVDGCNADLSKCREYHRRHKVCEAHSKTPMVVLRGQQQRFCQQCSRFHLLEEFDEVKRSCRKRLDGHNRRRRKPQPSSVNSGIIFPGHQVTGFSACPQIFRTAELESNWTRTVKTEDATPYTPSQFINHQHFPRSSYNFSKGRNQIPFIQDTKTAMDIKSTLQTSVGQLNPRTTTPSGSSGGSSSSKMFNNGLTQVFDSDCALSLLSSPTQTSDVSLSHMVPPADRIPMGQPLVSSLQYGSLMHQSLLQAPDNVTPTGFSCAGMEDQHTGTFLVPDHANDVEINCQNIYHSGCEGSSDATCQTLLFSWP >Ma01_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26003734:26016837:-1 gene:Ma01_g22850 transcript:Ma01_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPPWNLEELGRDAELSIGSVVVGSSGGGTCQSSGLDCSVDLKLGGLGDFGSSTEWNSHPAASMAMASQSAPSKRPRAPAHGVSCLVDGCNADLSKCREYHRRHKVCEAHSKTPMVVLRGQQQRFCQQCSRFHLLEEFDEVKRSCRKRLDGHNRRRRKPQPSSVNSGIIFPVTGFSACPQIFRTAELESNWTRTVKTEDATPYTPSQFINHQHFPRSSYNFSKGRNQIPFIQDTKTAMDIKSTLQTSVGQLNPRTTTPSGSSGGSSSSKMFNNGLTQVFDSDCALSLLSSPTQTSDVSLSHMVPPADRIPMGQPLVSSLQYGSLMHQSLLQAPDNVTPTGFSCAGMEDQHTGTFLVPDHANDVEINCQNIYHSGCEGSSDATCQTLLFSWP >Ma01_p22850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26003734:26016837:-1 gene:Ma01_g22850 transcript:Ma01_t22850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPPWNLEELGRDAELSIGSVVVGSSGGGTCQSSGLDCSVDLKLGGLGDFGSSTEWNSHPAASMAMASQSAPSKRPRAPAHGVSCLVDGCNADLSKCREYHRRHKVCEAHSKTPMVVLRGQQQRFCQQCSRFHLLEEFDEVKRSCRKRLDGHNRRRRKPQPSSVNSGIIFPGHQVTGFSACPQIFRTAELESNWTRTVKTEDATPYTPSQFINHQHFPRSSYNFSKGRNQIPFIQDTKTAMDIKSTLQTSVGQLNPRTTTPSGSSGGSSSSKMFNNGLTQVFDSDCALSLLSSPTQTSDVSLSHMVPPADRIPMGMEDQHTGTFLVPDHANDVEINCQNIYHSGCEGSSDATCQTLLFSWP >Ma09_p31170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41000507:41000842:-1 gene:Ma09_g31170 transcript:Ma09_t31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIILIAALLALASSHGLAFDPSPLQDFCVADYDSNLFVNGFACKNAKAVTADDFYFTGLDKPASIANELSANITLVV >Ma10_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29120776:29122278:1 gene:Ma10_g17940 transcript:Ma10_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWRSRKSGQKTKPEKSVSRTEISIPMHFLCPISLELMKDPVTASTGITYDRQSIETWLGLGNTTCPVTNREMENEELIPNHSIRKVIQDWCVANRSLGVERIPTPKIPVTGSQVADMMSEIGEATRRGDRARCDQLVVKVKNLASESERNRRCFASNGMNGVLAATFNAFAGASSESPAAEILEEALEALATLLPLGEEAASRIGSPESLNRLVSILQHGSWAARLNAALVVKELLASNGAGADVIAGTKGLVEALAKLVEEPISPRAAKASLVSIFYMINRDERAASRVVDLGLVPVLIEVLVGPEKSMCEKALAVLDVLLGCGSGREKAYGHALTMPVLAKKMFRVSDMATELVVSALWKLCRDDEVGGEGRGRCLQDALQAGAFQKLLLLLQVGCGEATKERATNLLKLLNGCRGREECVDTMDFKGLRKPF >Ma04_p34980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33939793:33945110:-1 gene:Ma04_g34980 transcript:Ma04_t34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSSPKAIARLLSGRNRSLDLNARLGFVRRYLDTRRRWERFAQSRCLASFARYGNPCAGQLFRSAKDLVPSVGNLHRYDQGRWFLGCGDGEEGSLLSKIYEERRVMGYSPEQLFSVVEAVDLYEDFVPWCQRSTIIKRNSDGSFDAELEIGFKFLVESYVSHVEMEKPKYLKTTASQSGLFDHLINIWEFNPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVTSFSDRCHRIYGPAVRVLETPYGQTTKPGQSS >Ma10_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21837131:21839883:-1 gene:Ma10_g07440 transcript:Ma10_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASMRCFLLLIVAIILVLVQAKESKGSSKEVSHKVYFDVEIGGKPAGRIVMGLFGKTVPKTAENFRALCTGEKGVGKSGKALHFKGSTFHRIIPSFMIQGGDFTHGDGRGGESIYGMTFADENFKLKHTGPGFLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGKQNGSPKSEVVIADSGELPL >Ma00_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33530303:33530713:1 gene:Ma00_g04020 transcript:Ma00_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCAPKCQKTVQALFFRNLNVPAATLPNATSSRLQDDLVTGWNFWLSEKFLPGSGLGRRNQGIVEPIHVQAIEPFGYIPK >Ma08_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2567631:2568907:-1 gene:Ma08_g03540 transcript:Ma08_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIHCDGKLQRRTKKERFPQVIQGESDPFFSKRQLTKAFIKQNSILTVALDAAAASSPMPPRRAIDVRSGDPNPHKWRVALTEDAFDSFIAHGGDAARKVFGEGSLFSPLLFGKFFDPGDAFPLWELESEPLLSGLRSTSKTSVDWFETDSEYVLKADLPAARKCEIEVCGPKEKVMDISGLWRGRETDARDWKIGRWWEHGFVRRLELPQDANWKKMEAYITDDILLEIKIPKQTSESSKVHAVQAKESEFV >Ma06_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5516907:5520303:1 gene:Ma06_g07790 transcript:Ma06_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-beta-hydroxysteroid-Delta(8),Delta(7)-isomerase [Source:Projected from Arabidopsis thaliana (AT1G20050) UniProtKB/Swiss-Prot;Acc:O48962] MAAAEAGHWEHPYVPKDLKLPDYVPCFLSQKDILVPYLGTSFLLVSLIWLFSGRSKLSKTDRLLMCWWAFTGLTHIILEGYFAFSPDFYKEKTPHFLAEVWKEYSKGDSRYAGRDAGIVTVEGITAVLEGPASLLAVYAIATRKPYSYILQFAVCLGQLYGCLLYFITAFLEGDNFATSPYYYWAYYVGANSSWVVIPLLIAIRCWKKITAALQAEKKMKTR >Ma07_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3897088:3900956:1 gene:Ma07_g05340 transcript:Ma07_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGVFAFSLIISLLLRCLASQHPNNTDAFFVSDFFRKMKVAPSSAEGSSSRVCSWRGVSCDGQERVVSLVASGFGFSGPIPESTIGKLSELRVLDLSHNNITGLSLDFAEPGSSLRSLNLSWNKITGPLPSNLGNFKLMESLDLSHNWFTREIPSQVGSLSSLVVLNLSRNLLEASIPVAILGCTSLVAIDLSHNRLSGSLPGGFGVAFKNLSTLDLSENEISGKMPDLSGLDSITYLNLSGNLLQELALGVFRDPLQVMDLSRNQFHGLISQVHHSSVSSWSSLVYLDMSMNELTGYFFPGLEDLSSLRHLNLAFNKFSSQEFHLLEMPSSLEYLNLSKANLTGQIPPRISRLLNMKVLDLSRNHITGNIPELSTKNLQGIDLSVNNLTGEIPKSLQQKLPVMENFNFSYNNLTYCGMKFSPETLESSFIGSQSDCPIAVNPDGIGSVDNKHKDLKLGLALALALSLFFLLAGLISLALALRKRTPSWTVKQPSYREEQNISGPFYFQTDSTTWVADVKLATSVPVVIFEKPLLNFTFADLLSATSHFDRGTLLAEGRYGPVYRGFLPGGIHIAMKVLVHGSMVTDQEAAKELERLGQIKHPNLVSLTGYCLAGDQRIAIYDYMENGNLQNLLHDLPLGLQSTEDWTSDTWEQDNAGIQSITTEGMTTWRFRHKIAIGAARALAFLHHGCFPPIVHRDVKASSIYIDSAMESRLADFGLSRIVGNSMEGDMSRGSPGYTPPEFSELENTLATTKTDVYGFGVVLFELITGKKPIGDEYSEDKEITLVHWARDLVRRNEYSRLIDPKIRETGPEKQMEEALRIAYLCTADLPPKRPSMHQIVGLLKDVEPVTIMH >Ma10_p26710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34270472:34272340:-1 gene:Ma10_g26710 transcript:Ma10_t26710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDMFSCLLRSLSRYLFSAGKRHGRHQLRRGIHTECSRDEALHMSMVSGVPRPQSYDLYLSWYSATCLSSPFLLRLTIVSVSHLRCFFSFLGYAMECSISMRGTGTQLAKAGYAVYGIDYEGHGKSSGLQGFVPMFDELVNDVSEHFTSICERRENKTLMRYLLGESMGGAVALHLHRKEPTFWDGAVLVAPMCKISEEMKPNPLVIFILKLLGKLFPTWKIVPSKDIIDKAVKNPEWRMEIRNNPYCYKGKPRLKTGIELLKASLDIQTSLEEVSLPFLIVHGGDDSVTDPSVSQSLYESAYSDDRSFKLYPGMWHALTSGEPQENIDLVFSDIIAWLDERTIPCSSRTEKEHKIGHDHPKLRLS >Ma10_p26710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34270472:34272340:-1 gene:Ma10_g26710 transcript:Ma10_t26710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGINYEEVSSRKLVCLSLSVLFFSSCVKLDVLVPGIHTECSRDEALHMSMVSGVPRPQSYDLYLSWYSATCLSSPFLLRLTIVSVSHLRCFFSFLGYAMECSISMRGTGTQLAKAGYAVYGIDYEGHGKSSGLQGFVPMFDELVNDVSEHFTSICERRENKTLMRYLLGESMGGAVALHLHRKEPTFWDGAVLVAPMCKISEEMKPNPLVIFILKLLGKLFPTWKIVPSKDIIDKAVKNPEWRMEIRNNPYCYKGKPRLKTGIELLKASLDIQTSLEEVSLPFLIVHGGDDSVTDPSVSQSLYESAYSDDRSFKLYPGMWHALTSGEPQENIDLVFSDIIAWLDERTIPCSSRTEKEHKIGHDHPKLRLS >Ma10_p26710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34270472:34272338:-1 gene:Ma10_g26710 transcript:Ma10_t26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGINYEEEFILNARGMKLFTCRWFPEYRDPKAMIFICHGYAMECSISMRGTGTQLAKAGYAVYGIDYEGHGKSSGLQGFVPMFDELVNDVSEHFTSICERRENKTLMRYLLGESMGGAVALHLHRKEPTFWDGAVLVAPMCKISEEMKPNPLVIFILKLLGKLFPTWKIVPSKDIIDKAVKNPEWRMEIRNNPYCYKGKPRLKTGIELLKASLDIQTSLEEVSLPFLIVHGGDDSVTDPSVSQSLYESAYSDDRSFKLYPGMWHALTSGEPQENIDLVFSDIIAWLDERTIPCSSRTEKEHKIGHDHPKLRLS >Ma02_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22274427:22277669:1 gene:Ma02_g14140 transcript:Ma02_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANALTTTAVSTATMDDSAAAAATATAGAGAVAEDVAAKAVHKRYEALTTVRSKAIKGKGAWYWAHLEPVMVQSADTGLPKAVKLRCVLCDTVFSASNPSRTASEHLKRGTCPNFGSPSSSSAATLAALKPISSIAPCSSSSTASHHHHHHPNSRKRSSTSVGANPSSAHFHAPRLAIADHSRFSSSPTTPAAGASEVVFSTPPPLPLPAPQPQLVLSGGREDVGPLAMLEDRVRKLKSPKASPGPTLSKAQADSALSLLSDWFQESAGAGAVSLSSIEHPKFRAFLGQVGLPPISRRDIVGRRLDARFDEARAEADARTHDALFFQLAADGWKPHDSSSGGDSIVSLTVNLPNGTTVFHRSVLTPARSPSQFAEVVLSHTIADISGEGATRRCVGIVADKFKSKALLNLENQNQWLVNLSCQLQGFRSLIKDFARELPLFQTVAAKCHKLATFFNTHSQVRSVFHKYQLQELDHACLLRVPPSYDPLVGGCSASSLFMMMEDILSSARALRSVIHHESYELACHDDPTARDCADMIHDTGFWNELEAGHFLVKLFDDMLQEIKTERPLVGQCLPMWEELRSKVKNWCSKYGVKDGPVEKVVEKKFKKNYHPAWSAAFILDPLYLVKDVSGKYLPPFKCLTADQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPLYAQAVQVKQQDPVTGKLRIANPQSSRLVWETCLNEFKSLGKVAVRIIFLHATACGFKHNPSILRWVCARDRSRTSIDRMHKLVFVAAHAKLERRDFSSEEEKDSTLMNGEDDGLNDTAFAEASSV >Ma11_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6020809:6025537:-1 gene:Ma11_g07540 transcript:Ma11_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLLFVLAILTVTSVVAAALDVTTLFGKANLVPSGDGRSLKLLVDRSSGSGFISTARYHHGLFSASIKLPQVVAFYTSNGDVFEKTHDELDFEFLGNVHGEKWRVQTNVYGNGSTGRGREERYLLPFDPTKEFHRYSILWTAHSIIFSIDGTQIREVGRCDAMSGDYPSKPMSIYATIWDASDWATSGGRYKVDYDRAPFVAEFSDLALLRCRLDPIQQVPGAAANCTAMAAVMTPKKQREMRAFRQRYMSYSFCGIR >Ma10_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19105528:19106964:-1 gene:Ma10_g06450 transcript:Ma10_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVEEAAVIALDMEWVRLLEKRVEDTQWGEEWRTKRPTIYRVPNHIRDSDSDAYDPMIVSIGPYHHDKPRLQAMNHIKWHYLKQFLGRNPHMGLADYLSEIKDKERDIRMAYSEQVDKSSNDFLQMMLLDGCFVIEMLLYWKGQGGLEAAQNPITRPSSLMVVARDMLMLENQLPFSLLQTLLDSAIPDRSPQLTGLMINFLDGCVDFKIQIPSRSVDFHHILHLLHSRVTPPKKLDKMKSESSDSNRSLQWMNRLCCCGEDPEVTDEPVSLLGWIPSATKLREAGVHFRRKKEATSFLDISFKNKKMEIPQLQVDDGTNALFRNLIAFEQCYDEVSSHVTAYASLMDCIVDTAADVALLQKCGIIVSGLGDSKEIALLFNKLCKEVAINDNECHLSSIFRDVNKHCNTRCNKWRAT >Ma04_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22789913:22790674:-1 gene:Ma04_g20050 transcript:Ma04_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYRGFKLGRRLVRVWRWVLHRRSRRVPRSYLRLDSTTDSISKSLLSGPSTTMTTKLVHWGRHLASRLRRGRSAGGGGGSGSRRMVCARGSADEVMFGAGVSLLEREGGRGWTPPPKGHLAVYVGGEKEGGPPRRYTVPVIYFNHPLFGELLRESEEEFGFHHPGGITIPCPAAKFELVRTRIAAAAHNNKLRR >Ma07_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9386113:9392434:-1 gene:Ma07_g12480 transcript:Ma07_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGFSSGGRGSGCAGLDSFDVSYQTKELGENGFGYELMNPAGINRARQNLIFTILKLGLVVFIVLALSGSLYWAISISTSSPSSIYHGFRRLQEQVVSDLTEIGELSLGISRLKELEFCPPEYENYAPCYNNVSENFDLADPSVPIEYERKCVPDTEMHCLILPPRNYRIPLRWPSGRDFIWKENVKITGQEFSSGSLTKRMMVEEEQISFRSGSLMVDGVEDYTHQIAEMIGLRNESNFIEAGVRTVLDIGCGFGSFGAHLFSKQLLTMCIATYEVSGSQVQLTLERGIPAMIGSFSSRQLPYPYLSFDMLHCARCGIEWEKNDGIFLVEVDRLLRPGGYFVWTSQINTHRSLRDKENQKKWSIIHEFAESLCWDMLSQQDETIVWKKTSRRKCYSSRKAGPAVCGKSLDVESPYYQPLNPCIAGMRSPRWIPIEHRTPWPSRARLNSTELDIYGVHPEDFAEDAASWSSAIQSYWSLLSPLIFSDHPKRPGDEDPSPPFNMLRNVLDMNAHFGGFNAALLDAGKSVWVMNVVPANGPNYLPLIFDRGFIGVQHDWCEAFPAYPRTYDMVHAEGLLSLETHQKHRCSILDIFLEIDRILRPEGWIMIRDTAHLVETARTVITQLRWDARLMELDSSSDEKLLVCQKPFFRKQQ >Ma11_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3306270:3307927:-1 gene:Ma11_g04180 transcript:Ma11_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFQRMGSSIHVPSVQALAASIANPADVPPRFVRPEAKADPVASDGESELPVIDFSRLLHHRFSREESAKLHHACADWGFFQLINHGVPDQAMEKMKADIAEFFKLPLEEKKAFAQLPNSLEGYGQAFVVSDDQELDWADMLYLITRPLQSRNIDLWPAQPFTFRDSLSCYSMELKSVAGTLLEVMAKNLGVAPEEFSTIFQDQPQGVRINYYPPCPRADEVLGLSPHTDGSGLTLLLQVNDVEGLHIRKGGNWFPVKPLPGALIANIGDIIEILSNGVYKSIEHRAIINAKEERLSIATFHGPREDSVIGPLEIVKGYKPKYVSMSYKEFMKAYFSTKLEGRRLMESLKL >Ma06_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:386612:387013:-1 gene:Ma06_g00480 transcript:Ma06_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPRQSPRLGMKDSSHAITKPKPEIRIIHVFEPEIMKTDAANFRELVQRLTGKPVAAVGGKKKKDKKLIDSKHDREKLTCKEEVKQEDLEEEERMIENTCCTQEEMDDFLQVLSGFPLSSPSSSRMDVLGN >Ma10_p08730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23045247:23050157:-1 gene:Ma10_g08730 transcript:Ma10_t08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRFGSRFRTAFSSSFLRSVHSQTSPGRRLDDAGPLACRLESRSVLRFRGPDTVKFLQGLLTNDIRPLAARTPPTDSRVSQASYLPTPNLTYRSPPPIYAALLTPQGRFLYDLFLYRPPRPDEKLDGRTGSGPGSADSEEPFTLLADVDTAVVDDLLDCFKKYCLRSKVGIDNVDKEFSCWQRFGSNLSSNSASAEEPEAASVGWGGVGYDGIVAAQGNDLSWQWFKDPRLNCLGYRGIFPTNATPPLVEADKEASEWHYLQWRLEKGVPEGSTEIPKGEAVPLEYNLVGLNAISFDKGCYVGQELVARTHHRGVIRKRLLPLKFVNDNGEDLQQAVSPNSDIVNYASDKKVGTVTTALGCCGMGLVRLEEVLKQSPNLRIEGQDEVRIKAMIPDWWPAEWTQVQEQKQSAAAA >Ma10_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23045246:23050157:-1 gene:Ma10_g08730 transcript:Ma10_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRFGSRFRTAFSSSFLRSVHSQTSPGRRLDDAGPLACRLESRSVLRFRGPDTVKFLQGLLTNDIRPLAARTPPTDSRVSQASYLPTPNLTYRSPPPIYAALLTPQGRFLYDLFLYRPPRPDEKLDGRTGSGPGSADSEEPFTLLADVDTAVVDDLLDCFKKYCLRSKVGIDNVDKEFSCWQRFGSNLSSNSASAEEPEAASVGWGGVGYDGIVAAQGNDLSWQWFKDPRLNCLGYRGIFPTNATPPLVEADKEASEWHYLQWRLEKGVPEGSTEIPKGQELVARTHHRGVIRKRLLPLKFVNDNGEDLQQAVSPNSDIVNYASDKKVGTVTTALGCCGMGLVRLEEVLKQSPNLRIEGQDEVRIKAMIPDWWPAEWTQVQEQKQSAAAA >Ma04_p32570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32477401:32478411:1 gene:Ma04_g32570 transcript:Ma04_t32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRFAFGRTDDAVHPDTMRAALSEFIATALFVFAAEGSILSLGKLYKDTSTAGGLVVVAIAHALALAVAVAIAFNISGGHVNPAVTLGALVGGRISLVRAVFYWVAQLLGAVVAALLLRLATGGMRPVGFSVASGVSDWHAVLLEIVMTFGLVYTVYATAIDPKRGHLGTIAPLAIGFILGANILAGGPFDGAAMNPARAFGPALIGWRWKHHWVYWVGPFIGAALAGVMYEFLMIPAEAPRTHQPLAPEDY >Ma02_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27411783:27412130:-1 gene:Ma02_g21910 transcript:Ma02_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLIVAILAAAMLRASSDTHTDCGIAQTAFGECVPYVTGKDPSLSDQCCSGVKSIKELTPTVEGRRAICACLVQELKDAGGSVDSSKASGLAGACGVSTNVIPTSLSFDCSK >Ma01_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6946178:6951255:-1 gene:Ma01_g09700 transcript:Ma01_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGGFHRSEAISAVQDEEQFFGEDDEYDDLYSDVNVGEGFHQTFHGGEDAGGFQARDERRSELPPPPPAPPPTRPVVEPSEMVQIPGIATEPKIERFSDRSGGFPDPRVSGGGEPVGGARQGALPPPPPPAAAASAGRADLSQSAGRSVPIQGHNGNNSFADEGFQRQGGGYGNEGFQRQGRGGGVVVANGAGDAGGSGGAGVGGTTLFVGELHWWTTDAELEAELCKYGQVKEVKFFDERASGKSKGYCQVDFHDPMAAAACKDGMNGHLFNGRPCIVALASPHTVRRMGENQVNKNQPASSQSQPPAPAQKGRGGGGPSAGGTFGRGGGGSGGGGGGNWGRGGMGNRGPMGNMRNRMGPVGGRGIMGNGGMVAPPPPMLHPGAMLGQGFDPTGYGAAMGRMGAGFGGFPVGATGAPFPGMMPSFPPIVAPHVNPAFFGRGLPPGGIGMWSDPNMGGWGGEEQASYGEDAASDQQYGEGSHGKDRMAERDRYGALDRKAERDKDMGSGQDWLERRYSDERERDAGRDKEMGRERDRSRERERDRERDRERDRERDREPDRERDRFRDDRDRHGDRHRHRDREPGRNDNWDRGRSSRPHSKSQEVEHSKRHRPSPE >Ma01_p09700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6946178:6951255:-1 gene:Ma01_g09700 transcript:Ma01_t09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGGGFHRSEAISAVQDEEQFFGEDDEYDDLYSDVNVGEGFHQTFHGGEDAGGFQARDERRSELPPPPPAPPPTRPVVEPSEMVQIPGIATEPKIERFSDRSGGFPDPRVSGGGEPVGGARQGALPPPPPPAAAASAGRADLSQSAGRSVPIQGHNGNNSFADEGFQRQGGGYGNEGFQRQGRGGGVVVANGAGDAGGSGGAGVGGTTLFVGELHWWTTDAELEAELCKYGQVKEVKFFDERASGKSKGYCQVDFHDPMAAAACKDGMNGHLFNGRPCIVALASPHTVRRMGENQVNKNQPASSQSQPPAPAQKGRGGGGPSAGGTFGRGGGGSGGGGGGNWGRGGMGNRGPMGNMRNRMGPVGGRGIMGNGGMVAPPPPMLHPGAMLGQGFDPTGYGAAMGRMGAGFGGFPVGATGAPFPGMMPSFPPIVAPHVNPAFFGRGLPPGGIGMWSDPNMGGWGGEEQASYGEDAASDQQYGEGSHGKDRMAERDRYGALDRKAERDKDMGSGQDWLERRYSDERERDAGRDKEMGRERDRSRERERDRERDRERDRERDREPDRERDRFRDDRDRHGDRHRHRDREPGRNDNWDRGRSSRPHSKSQEVEHSKRHRPSPE >Ma11_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1943363:1962987:-1 gene:Ma11_g02670 transcript:Ma11_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRSGLLKKALELSVLCDVEVALIIFSSRGKLHQFSSSSMQSTIERYREHSKEDTSSTTMEQDAEQWKNEASFISKKIEVLEASKQKLLGEKLESCSLEELHELEGTIEQSLRSIRGRKHHLLSEKISQLKEKESSLVKENSLLREKCKLLPGLPSAASKEVVPSTNLDQHTEVETELRIGFPGRKTHGM >Ma11_p02670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1943363:1962987:-1 gene:Ma11_g02670 transcript:Ma11_t02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRSGLLKKALELSVLCDVEVALIIFSSRGKLHQFSSSSMQSTIERYREHSKEDTSSTTMEQDAEQWKNEASFISKKIEVLEASKQKLLGEKLESCSLEELHELEGTIEQSLRSIRGRKHHLLSEKISQLKEKESSLVKENSLLREKCKLLPGLPSAASKEVVPSTNLDQHTEVETELRIGFPGRKTHGM >Ma11_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2746678:2749028:1 gene:Ma11_g03680 transcript:Ma11_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFKLFSSVCLLSLLLLTSAEGVRRRTYIVHVQPPESAALGASSDRESWYRSFVATVSSEVQMVHMYTNVISGFAARLTELELEAMSVMPGFVRAYPDRMYRLQTTHTPAFLGLLMHQGLWNASNYGKGIIIGVLDTGVFPDHPSFSGLGMPPPPAKWRGRCDFNASSCNNKLIGARSFISGAMAMKGKAVASDSPIDDDGHGTHTTSTAAGAAVPGADVLGNAKGMAIGMAPLAHVAMYKVCGEIDCASSDILAGMDAAVADGVDVLSLSLGGPSLPFDEDTIAVGAFGAIEKGVFVSCAAGNSGPVSSTLSNEAPWILTVAASTMDRNIRVTVMLGNGLSFDGESLFQPNSFPPALYPLVYAGANGSPATALCANGSFDGFDVKGKIVLCDRGGGIARLEKGATVLSAGGIGMILANQATDGYSTLADAHVLPASHVGFAAGDQIKAYINSSSNPTAAFLFKGTILGTSPAPAITSFSSRGPSLASPGILKPDITGPGVSVLAAWPFRVGPPSNYTGTTFNVISGTSMSTPHLSGIAALIKSARPDWSPAAIKSAIMTTASVVDHSGKPIVNEQLLPADLFAIGAGHVNPVKAGNPGLVYDLSADDYIAYLCGLGYANKQVSVIARRTIDCSAVSSIPEKDLNYPSISVTLGGNTTYAVVERRVKNVGNAASTYWAEVGAPYGTYVRVHPPVLSFNYVNQEKRFFVAFKMVGGGGGSQGYLKWVSVNHEVRSPISITYTN >Ma00_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4600907:4601489:1 gene:Ma00_g01150 transcript:Ma00_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl22 MTKNSSSGKEVKILAQHICSMSVFKARRVIDQIRGRSYEETLMILELMPYRASYPILKLVYSAAANASHNMGLNEADSFISEAKVNRSTIVKKLRPRARGRSYPIKRPTCHITIVLKDKSKLFLDESRI >Ma11_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26511506:26514886:-1 gene:Ma11_g22960 transcript:Ma11_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPSEGEAGRGSLDLNCVAIASEQTETLTDAVDLGSDALRVECVSDGGAMEDAAVVGDADVIGQQLNAVDADRGESIEVVGGGLIDAGSVKVVTEAGTPGGETNIVDKKGAEGKGDGAMADVDEVKVDVEAGIRHADAVVADVKLVDNIVVTDVGMEMSSPGDEDVKVGEEEMAASKKRGRPQKSIDKGDAISPVVDLNVSAVTGSCGDQDVPLSDQKSKARRKRGRPRPSIVELIEHAGCLFPFQDEKKAAFAGSDLVWGKVRSHPWCPGQIFYPCDASKMASNIQKKDHHLVAFFGDKTFAWCDESRLKHFGTYFSQLENQSSSNAFVTAVSAALQEVSRRVEMGMTCHCFMDEIYASLEDQKIENAGIQEGTCGSTVDRCCIATSFEPLRLVDYIQTLAQFPHGGVDKLEFVVVKSQLKAFYHSMGYAELPVFVLVEELENDIEGSPSMERISGEDIGDPSTPTSSDAVSGKRKSRVRGSSHGKEKHIFEHGRKKKSLSELLEKNNDHHDVDKNTRYGGNASSHKNDKGANFDPADSGKGKKKKLDALVGLGTKSQTSRHGKQLKVGECMHQVAGQMTGSPPMLKSNGNALTKSSTKASGRKDDFGDASKQKKTRRGIKSVPRDYSSSGEMLAKLSVAACDPTEEYNSLPVMFSFFTEFRSRVFCSSGKRGTRKSTDIKSASLETVSDHVQESHWSDVVVTEGEEVVSTEQKREVELQGKLQ >Ma06_p26590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28425035:28426186:1 gene:Ma06_g26590 transcript:Ma06_t26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAACICCTDVGPRTDISSRSSYSSTTFRFPPPLRTATAALRTRPATFASAQRRLPRPPCVMEVDTCPSPAAEPAPAEAEAFDFKGYMLRKAAAVNSALDEAVPLVHPERFYEAMRYSLLAGGKRVRPVLCLAACEVSGGRDACAMPAAVAVEMIHTMSLIHDDLPIMDDDDFRRGRPSCHRAFDEPIALLAGDALPFLAFGHLADPASYPADGSVPPDRIVRAVSELARCAGAGGLVAGQVADMEATGLGSHVSLDQLEFIHLHKTAALLEASVVIGAIVGGASDHQIEQLRNYARCIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEELLKDSKEQLAGFDPIKAAPLLHLANYIADRQK >Ma11_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20938047:20940295:-1 gene:Ma11_g15240 transcript:Ma11_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKCSVPLSLVLPLLLLEGVRALTTTANKTTPKVPAVVVFGDSIVDPGNNNMLPTIARCNFPPYGKDFPGGKATGRFSNGKNPSDILASQLGVKEYVPAYLGTHLDAQELLTGISFASGGCGYDPLTSQLLVALSLRHQLNLFKEYKEKLKRVAGEGRAADIIANSLYAVVTGTNDIATTYFLLPFRRAEFDIPSYITFLVQSASSFLQELYRSGARRIAIMGAPPIGCMPSQRTLAGGIERECVTLYNEAATMFNSQLSKEVQRLDSTLLGAKIVYIDIYTPLLDMILRPFAYGFKESTRGCCGTGYYEVIITCNSITATSCANASEYVFWDSFHTTERAAEMLITQILQQYGPSLLD >Ma11_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9318996:9322075:1 gene:Ma11_g10070 transcript:Ma11_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAATYLSSGSELLLEIMGPGIIGGLFLPILGALPDAMLILVSGLSGSRETAQNQVLIGMGLLAGSTVMLLTVLWGSCVIVGKCDLSEDSTSIYSQDTKAFSLFGQFLSQLFSAVCGFCSMVEVLFLLFLGKILTGSTSQIPRVLIKCTDLNNSPRIGRYGVISSGNCRNFDCYRPIQLNNGRFRPLPLGNNQNRPLPHCNSAIVLQRSYNSITVDFDRYRSVTVEIDHQFHDWVQQTYHIDMQMYRIEDLDPPPVEACVPLGTPRHIARYTIPYRTEPTLKHRYDTVLHILL >Ma01_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5140689:5144103:-1 gene:Ma01_g07130 transcript:Ma01_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGACILLVALLSVVLRGVDRCAAAVEVDTNATGTNILVANSVTTKAGRPRLNNAGEERKSAELGKRPLHETKKPHDTDTKGSSNETRDKPLEVPKIKDANGNQSLESGSNPKTPPLEDSPVEGCDPSNRCIDEKNKFVACLRVPGKDSLYLSLLIENRGTKALDVKIVAPDFVNLERTSVKLQAKRNEEVKVFVKDGANDTTIILNADDGNCRLNLRNMIPTSVRGETSRYLSFLARTLSVSMFLGVVVLVTAAWLCIRLWRTYGKSIPSYQKVDMVLPVSTGGSKETDEADGWDNSWGDDWDDEAPKTPQLVSTPSSKGLASRRLNKDGWKD >Ma11_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24397151:24398633:1 gene:Ma11_g19590 transcript:Ma11_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEVDSCLHVAERVGLAAVASGSNFVLSPLSIRAALGLAAAGASGETLHQMLSFLGSPSVDHLNSASARLMASVRGDGDGRVVESAGPRLSFVNGVWVDRSLTLKPGFHDVAASVYGAVAKSVDFQQQANEVAKEVNEWVQKETNGLIDELIPDGAVDGYTRLILANALYFKGVWADKFDASGTRHGTFHLLDNSTVQVPFMTSRRDQFISSFDGFKVLKLRYRRTPNQRSLLYMLIFLPDKKDGLPLLIHKLSSDPSFIKDHTPRRDVEVGNFMIPKFNFVYEFEASKVLADLGMEAPFDGGHADFREMVSDLPPRDNLFISRVHHKARIEVDEEGTTAAAATAVLIRALCYRPPVDFSADHPFMFAIMEEESEAVLFLGHVVNPVVD >Ma09_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36615281:36616548:1 gene:Ma09_g25010 transcript:Ma09_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASCCDKVGVKKGPWTPEEDRKLIDYIQKHGQGSWRTLPKKAGLARCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSVLGNKWSKIAACLPGRTDNEIKNYWNTCIRKRLLRMGIDPVTHTPRLDLLDLSSLLASSLCNPESQFDFSRLLIGPETLANSHQLKMATDLLSSQRQSSNILCQGLQEQPYLLASLQEQQLRLSSQQLSTCTLPNEPFIDEALLMQASAGQFQPATDSNHWTSVSYQSLDPSFTQLVTETSNLISTDGQGYNLTSLLSTPASSSLNSSSTYTNGNQLK >Ma02_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18940209:18948946:-1 gene:Ma02_g08670 transcript:Ma02_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMRTSVTDLTAAATALLLLLCFGVDGVVSDASDHRYKTGDHVPLYVNKVGPFHNPSETYRYFDLPFCTPEHVTEKREALGEVLNGDRLVDAPYKLDFRVDHESEVLCKKRLTREEVSKFRSAVTKDYYFQMYYDDLPLWGFIGKVDKEGKDLGEYKYYLYKRFHFDVLYNNDRVIEIVVHTDPNMLLDVTEDRDIEAEFLYSVKWKETATPFEKRMEKYSQTSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYTHDEESAEDQEESGWKYIHGDVFRFPKNKSLLAASLGSGTQLFTLTVFIFILALVGVFYPYNRGALFTALVIIYALTSGIAGYAATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKADFQAPCRTAKYPREIPPLPWYRQTIPQMIMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFVYGYCLYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGMVGFRAALLFVRHIYRSIKCE >Ma03_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3084310:3085180:-1 gene:Ma03_g04700 transcript:Ma03_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSALTCALMRAAPEATWFGQILTLISARDPSGC >Ma05_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1867460:1870372:-1 gene:Ma05_g02770 transcript:Ma05_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEQSPSPPLPNRSAEVRRDAIFDRWVLISPARARRPSDFKSHSPASSSASGNRNPKPSCAFCAGREGECAPEIFRVPPSSSADWKIRVIENLYPALRRDAEPPDPSDDLAAAGTGRCAVTGFGFHDVVIETPDHSVHLPDLSPEEVGEVLLAYKQRIFQLARLGSIKYVQVFKNYGASAGASMAHSHSQIMGLPLVPPLVSSRLDSMKKFYDMTGKCSLCEVQSEDILVCDTVHFFAIVPFAASYPFEVWIVPRDHTAHFHEIDHEKAVDLGGLLKLILQKLSKQLNDPPYNLMIQTAPFDLSTSCAPYTHWFLQILPHLNVIGGFEIGSGCFINPVFPEDAAKILREVDCSK >Ma04_p35570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34280211:34280898:1 gene:Ma04_g35570 transcript:Ma04_t35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVSRIQISMSFVFACGKPLSMRQFFFQCFDGINKRACDASIHIRSLFHVAPLHQHWAVDKEYNIITAKHTRKKCRLKTQSSAVAEWLM >Ma07_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4025679:4032819:1 gene:Ma07_g05560 transcript:Ma07_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLRKHLQFQSSVIVPSFISSIKHEEAIGSAGIKPLRVFALLGVGFSGALAFASLASADEAEHGLPAPSYPWPHDGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKEMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFTGGAIAMPKMLIDGAVEYEDGTPATESQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVIKSRKLVVDVVN >Ma07_p05560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4025680:4032819:1 gene:Ma07_g05560 transcript:Ma07_t05560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLRKHLQFQSSVPSFISSIKHEEAIGSAGIKPLRVFALLGVGFSGALAFASLASADEAEHGLPAPSYPWPHDGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKEMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFTGGAIAMPKMLIDGAVEYEDGTPATESQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVIKSRKLVVDVVN >Ma07_p05560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4025677:4032819:1 gene:Ma07_g05560 transcript:Ma07_t05560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLRKHLQFQSSVPSFISSIKHEEAIGSAGIKPLRVFALLGVGFSGALAFASLASADEAEHGLPAPSYPWPHDGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKEMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFTGGAIAMPKMLIDGAVEYEDGTPATESQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVIKSRKLVVDVVN >Ma07_p05560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4025677:4032819:1 gene:Ma07_g05560 transcript:Ma07_t05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLRKHLQFQSSVIVPSFISSIKHEEAIGSAGIKPLRVFALLGVGFSGALAFASLASADEAEHGLPAPSYPWPHDGILSSYDHASIRRGHQVYQQVCASCHSMSLISFRDLVGVAYTEEETKEMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFTGGAIAMPKMLIDGAVEYEDGTPATESQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVIKSRKLVVDVVN >Ma01_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12719378:12722960:-1 gene:Ma01_g17340 transcript:Ma01_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKIVVTEFAGGGFVVGLIFVHTIADGIGLAQFLNAVAEIARGLPNPTVEPAWSREVIPNPPKLPPGGPPVFPSFKLLDATVDLSADHINHVKARHLELTGQRCSTFDVAVSNLWQSRTRAINLDPGVDVHVCFFANTRHLLRQVLPPEGGYYGNCIYPMTTTASSGRIASAELIDVISIIRDAKARLPDEFAKWAAGDFKDDPYEFSFTYSSLFVTDWTRLGLLDVDFGWGKPLHVIPFAYLDIMAIGIIGAPPAPQKGTRVMTHCVEKEHMQAFLEEMKGCA >Ma04_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23477083:23477340:1 gene:Ma04_g20730 transcript:Ma04_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPITSPSNRPCVGAAVCLLDFDLCFLGSPGARKRSGRRRSSAPSWRSESGICSRKAPTLRPSTPSPDPSSPRYVETAPWNRGQ >Ma01_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7189090:7189756:1 gene:Ma01_g10040 transcript:Ma01_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAVHTEGAGRQGLGLRKAAPASEVEELRRRNAELEREVRERRAREDDVRAELERTRARLRVAEEAEESLCAQLGELEAEAVTDARACNLRIKELSDQLELARRAILTRSSSSLSSFSDGS >Ma08_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2243655:2254033:1 gene:Ma08_g03000 transcript:Ma08_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSIARALQQMSNATIIDEKVLSECLNEISRALLQSDVQFKLVRDMQANIKRIVNLDDLAAGHNKRRIIQQAVFNELCKMLDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKTKIPYYGSYMESDPVKIAVEGVETFKKENRDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLIIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMEEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGHEKESQAKIKRYMTMMDSMTNAELDSTNPKLMNESRIMRIARGSGRSVRDVTEMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQQMSKVLPPQMLKQIGGMGGLQSLMKQMGSKDMGGMGGMFGRGDK >Ma02_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16821124:16824219:1 gene:Ma02_g05560 transcript:Ma02_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKLANFIEGEICKVLQVGDELQKLQETLERIGGFLESAERKRLTDSDIGRWVRELKDVMYDADDIIDLCIVKGERLLEGQPLASAISFSFASPCSYFRCVKLRHQISSKIQGLNSRLKQIKEDRSILPRLEQVPQEHRASSRETSFLEVKTDVVGTRVEDDARNLIKLILENDKQKYRVFGIVGMGGIGKTTLARKIYNDEWIKENFPIRIWLYVSNNYSENQLLKEVIRCAGGDTDGFESAATLQTRVVSLLSTNSLIVLDDVWCSDVWENLLRKPVMNGEGSSKIVVTTRDAGIARSMNACIHHVEQMDEESGWELLRKMALGDGTEDEISTLKEIGVEIVKRCDGLPLAIKVIAGVLRKAEASKEAWEAVLRSDSWHMNQIDKEELPAALHLSYADLPSHLKPCFLYCSLYIPYSISCHDLARAWVAEGFIGADDGERLMEDIAEDYYWELISRNLLQPDPRSMDGDRCTMHDLLRSLAHFLMEGEGILFNDGARLHTSPLTKVRRLSMVNIGERLQLPEVILKQNCLRTLILHESPKTRMVNDVLVRLEHLRVLDVSDSCIEGLPDSIGKLLHLRYLDLDRTNIRRIPESIGSLANLQTLNIAECKCLDQLPKSIMMLRSLRCLRLKRTPLTHLPKGISKLENLIALGGLIIGCGEYATGPDEGCQLEELRSLSKLRYVRIHNLERAVEGGGEVLANKPFLKRLLLSWDNQAPVWQEQMQRAEVTCDSLCPPPSLRELNIKEFPYQRFPIWFRSASVDASFPNLSYLMLSHFPSCAELPPLGRLPKLKFLSIREADAVVAIGPEILGHIPPGAAAFPKLEVMQFVDMRNWEQWSSCMTEEDSHGERLQLLPNLQKCYLIDCPKLAAVPGGLRRATRLKLLKIRSNHRLTEIMNLAFLDELHVNSNQGLQRISDLPSLRYLAISDCPQMVCVENLDSLQHLVLECSPSTVHLPRWLPLLMEQHRSEGASFKKFELQCSLPLLESCRRNEANWDVVQQIPDVRIRTKDGSRFIWYTKDPQLYSTNAGLA >Ma01_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11654292:11658510:-1 gene:Ma01_g16060 transcript:Ma01_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQIAELCEVFRFPSPPQNPSGSRRQWRSFTPPPSLPSSSSSWPPDSAFLATPSPLTVLQIGGSSSWSTTLLSGPPTPDSSPPSGPEGTSPTSSSPMTRLSRAPPVRAVPLRRPHPLLTVRPTFWWIAGPSGGSGFR >Ma06_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7665244:7666702:1 gene:Ma06_g10940 transcript:Ma06_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYVDPLVVGRVIGDVVDLFVPTISMMVRFGLKHVNNGCDIKPSMAANPPSVQIAGRQADLYTLVMTDPDAPSPSDPTMREWLHWMVINMPGGTDPSRGQEAVPYMGPRPPVGIHRYVLVLFQQKSRLPGVAPPATRANFNTRSLAAQYDLGLPVATVYFNSQKEPAARRR >Ma11_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25859305:25861620:-1 gene:Ma11_g21870 transcript:Ma11_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEILVVPFPHAGHIFPATQLSAHLARRNYKVTLLLPSSSSASSPPHPLVRIVDFSLPPTNRIQPQLLEDTLHDLLAGIFQHFGLEHHHDASFPSSLPRKPPLCVIVDDMISGLIDTCVQHRVPVVSFFTSGACAAALDHATWELSPEDFASAPLSTAVTIPDLPAEMALTTSDISSRHQPFGGPGSVGGPRRRRGIAGADGAVALLVNTCDELERPFLDYVAKKAGKPTWGVGPLLPDQFWAAAGPVRDGEVRSGHEFGVDEKELVEWLDAKPPRSVIYVSFGSLVSPADDELSQLAAALDESNRPFLWVMQTKSRKFDPAGQPIEDTGDGGNGFFSAEAVARRTEGRGRGMVIRGWAPQLLILGHPSVGGFVSHCGWNSTVEALVCGVPMLTWPVRGDQHHNAKLVVRRLGTGCAIRDSENCSSAAALTKEDVVAGIERVMVDDGIRQRAASVRAVFAGGFPESSTSSLDDFLASLSLE >Ma02_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23444612:23449415:-1 gene:Ma02_g15960 transcript:Ma02_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDCCFFNKDSLVTKPSKKYPLVLWMAILGLIMISGVYIFSLCLKLRVFVVTPNQWKGKATKQTCHDLRIPQSELRYMHYPEPTTYSRKECTCTPVRFFAILSMQRSGSGWFETLLNSHVNVSSNGEIFSVKERRVNISSITETLDKVYNLDWYSSASKNECTAAVGLKWMLNQGLMQHHKEIIKYFNKRGVSAILLFRRNLLRRLVSVLANSHDRNAKQLNGTHKAHVHSKNEADVLARYKPTINTTLLIPELKLTDKWGADALEHFKSTRHIRLYYEDLVHNSTKLMDVLDFLRLPQQMLSSRHVKIHSKSLSDQIENWDAVYTALKGTEYESFLNADYQI >Ma02_p15960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23444612:23449415:-1 gene:Ma02_g15960 transcript:Ma02_t15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDCCFFNKDSLVTKPSKKYPLVLWMAILGLIMISGVYIFSLCLKLRVFVVTPNQWKGKATKQTCHDLRIPQSELRYMHYPEPTTYSRKECTCTPVRFFAILSMQRSGSGWFETLLNSHVNVSSNGEIFSVKERRVNISSITETLDKVYNLDWYSSASKNECTAAVGLKWMLNQGLMQHHKEIIKYFNKRGVSAILLFRRNLLRRLVSVLANSHDRNAKQLNGTHKAHVHSKNEADVLARYKPTINTTLLIPELKLTDKWGADALEHFKSTRHIRLYYEDLVHNSTKLMDVLDFLRLPQQMLSSRHVKIHSKSLSDQIENWDAVYTALKGTEYESFLNADYQI >Ma08_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2732774:2734047:-1 gene:Ma08_g03820 transcript:Ma08_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEREALYLAIQGFVGRHWDGRNLYPDPCGWTPIQGVSCDVFEGLWYVTVVNIGPVLDNSLECSQEAAFSPQLFELKRLRSLSFFRCFTSPSQTTTLSQDWRKLSGSLETLEFRSNDGLTGAIPVDLALLSNLQSLVLVENSLTGELPREMGNLVRLKRMSLARNRLSGQLPASLGNNLAELLILDLSGNSLSGSLPCSIGGMSSLLKLDLSNNHLNGELPSELGKLKNLTLLDLRNNSLSGMTRSLGGMVSLRYMLLSNNPLGGNLMQLGLRNLRSLTTLDLSNTGFIGGIPEYITDLRRLRFIALDNNNLTGSVSQKFQELSGLAALYLNDNNLTGELEFSDEFYRRMGSRFAAWNNPNLCCRFQDSGQVLRGVQRCKN >Ma04_p39500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36628518:36632229:-1 gene:Ma04_g39500 transcript:Ma04_t39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIATVIIKVDLDCCLCSKKIKKAICKLQKQYKIQSIAYDEKDDTVTVSGPFNPDCFIKKLCCLASKVIKDIQIKPDNPPPEPEPAPPPPEPAPPTPEPPPPPGPEPPPPPGPEPPPPPAPEPPPPPAPEPPPPPKPDPTPPPDVVVKAPMWAFRTPVWPVCCHQPCPCYEPRYGSCRCCSCGLVTDEPPPPAMYYGGPPCYEVQGYKIVCEEEPPYGCSIM >Ma07_p09560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7158214:7160910:1 gene:Ma07_g09560 transcript:Ma07_t09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWRDGSSSTAVLLQREATYKEYAGQLLAPGTPTGGSLGSWCLATLKDGYGSSPDRPLFRPIELEEIDDDESDELLHQPEKKRRLTAEQVQFLEKSFEFENKLEPERKLRIAKFLGLKPRQIAIWFQNRRARWKTKQLEKDYEALKSSYHNLKMDHGRLLKEKEELEIEVLSLKNKLLMKEKGCMEPFELNRYPNKLRNSNSNLDTGMKKVHGQTMPCKQEDINSANSTMLDSESAHCIDEGSYSMLMELTSPSNPFVHVRSDQSQIGEVKACSFSSLQDNSCGSEFHVTEQDLWLWP >Ma07_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7158261:7160910:1 gene:Ma07_g09560 transcript:Ma07_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWRDGSSSTAVLLQREATYKEYAGQLLAPGTPTGGSLGSWCLATLKDGYGSSPDRPLFRPIELEEIDDDESDELLHQPEKKRRLTAEQVQFLEKSFEFENKLEPERKLRIAKFLGLKPRQIAIWFQNRRARWKTKQLEKDYEALKSSYHNLKMDHGRLLKEKEELEIEVLSLKNKLLMKEKGCMEPFELNRYPNKLRNSNSNLDTGMKKVHGQTMPCKQEDINSANSTMLDSESAHCIDEGSYSMLMELTSPSNPFVHVRSDQSQIGEVKACSFSSLQDNSCGSEFHVTEQDLWLWP >Ma08_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36843922:36845148:1 gene:Ma08_g23440 transcript:Ma08_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQETHNFMKVSVDSFSELPFIRPGPARENASHTSPAIRLFGIDFATDPDASQDGPSVDPPSSTTETSNTPAAATTTTLTDSGESSRKFECHYCCRKFPTSQALGGHQNAHKRERQHAKRSHLHSAMASQHYHHHHHPSFIADGHVYGYLDYRHLSSFPSASRFDHPPPTHYPSWTSHTGSVTNPAARFYGGLGSASQLINGSPLPGPWRMPVHGSTAGLQGNHPPALPSFGGRDARKMAVEGTGGVGSSSSSSSSLASPNEQGGFDSGSKNSLSLDLHL >Ma04_p01050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:916465:923746:1 gene:Ma04_g01050 transcript:Ma04_t01050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSLKPFFRVPPSSHLHQNPTRSRSTLLSYHSRSKPSPVSISFDSPARRSPPTVAAPSVSSSPRFNPFCLLLPILHSFGGFVLSRLPKVRPWLHGGLDGRDGEDGYLCCGSIGTLLMTTTAAVSKARVSPFLWTLAGNPTFVSGLVAWSLAQTIKMFLNFFVERRWDLGMLFSCGGMPSSHSALCTALTASVALCHGVSDSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNKIIDDLFQGHPISERKLKELLGHTPSQVFAGAVLGILVACICCQGSVAPI >Ma04_p01050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:916465:923900:1 gene:Ma04_g01050 transcript:Ma04_t01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSLKPFFRVPPSSHLHQNPTRSRSTLLSYHSRSKPSPVSISFDSPARRSPPTVAAPSVSSSPRFNPFCLLLPILHSFGGFVLSRLPKVRPWLHGGLDGRDGEDGYLCCGSIGTLLMTTTAAVSKARVSPFLWTLAGNPTFVSGLVAWSLAQTIKMFLNFFVERRWDLGMLFSCGGMPSSHSALCTALTASVALCHGVSDSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNKIIDDLFQGHPISERKLKELLGHTPSQVFAGAVLGILVACICCQGDIVK >Ma04_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:916465:923343:1 gene:Ma04_g01050 transcript:Ma04_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSLKPFFRVPPSSHLHQNPTRSRSTLLSYHSRSKPSPVSISFDSPARRSPPTVAAPSVSSSPRFNPFCLLLPILHSFGGFVLSRLPKVRPWLHGGLDGRDGEDGYLCCGSIGTLLMTTTAAVSKARVSPFLWTLAGNPTFVSGLVAWSLAQTIKMFLNFFVERRWDLGMLFSCGGMPSSHSALCTALTASVALCHGVSDSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNKIIDDLFQGHPISERKLKELLGHTPSQVFAGAVLGILVACICCQGSVAPI >Ma04_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8393430:8396925:-1 gene:Ma04_g11780 transcript:Ma04_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSVPFFGIREEEKLNTQQQRPQPSSSSTPTAAAPPKKKRNLPGNPNPDAEVVALSPKTLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEVRRRVYICPEPTCVHYEPSRALGDLTGIKKHYCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARIPAGINTIGGSHFYGNTGIHLGLPQLNSQLSSLQEQTHCGNDSAAPFNHVTLPSFRPPQSATPFFLGSGSSQDIHEDTQLLQSKPFHGLMQLQDHLQHNTNASSSSSAAAATDLFNLSIFSNSNNRSVITNSSNSGINQNAHLLISDHFNNASGSNEPNTLFAGNHLISNHMDANMFDQSVTTESVPPHMSATALLQKAAQMGATSSCSSASSLLRGIGSLYSSNSKNAGFQASATQVENESHFQDLMNSLTNGNTSTFNGGNHQKTGFSGFISGIGNMNESKLQRNLSASGLGTSDSLTRDFLGVGSMMRRGGITQREQHLGIDISSLDPELKSGSSTRSFVGGSLQ >Ma06_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7752897:7753418:1 gene:Ma06_g11030 transcript:Ma06_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSFTSSSQFKNSAHSAFNTQFSSLNNVRNRHTTILIDTRQSGVNSIPKAYMTHARYWTTGAFPQTAFKYTKCL >Ma03_p27000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30508382:30512950:1 gene:Ma03_g27000 transcript:Ma03_t27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSQVRRRRLFELLQEQQEPFLLDVYLLERGYSDRLLASQSTCPACWPGNPCRMRTQKLTGRRFRTRREGTVKNMLSKLFSRRATKNALHWIDTAAVPHVRHTEKNKGFALTTKVLDIFNELLKVAYTPAFYQLVGSKRQFDRSDVHLLHREKEADEESPETTGKFVIPRRKKKNKTRFTRLRQLIGSEMSSSGREWGVFQPQASDIGAEIEATIFEDIKEEVILEMMGSHCTSELQSGHAWLPLQRENLQKHIRVLFLPPKTAAPWPKLGRVPSGGDEGEGGGGRERRSVGVGRCAVWLPFSEWGAAVCCVGSLDVDPILMVDPRSAIENRPR >Ma06_p25700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26186751:26189174:1 gene:Ma06_g25700 transcript:Ma06_t25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCSNLLQSPVSIGFIMNPPRLPLSSKPCRIMCLREEGARSPRNTLEGSRRSADYHPSIWDHHLIQSIESSYSDERFTADLDELKLEAKYLLESYKEPSAQLHLIGSMQRLGVAYHLGEEINESLGKIYTNGLWPNHDVHQTALGFRLLREHGHSVPSDVFEKYRDEKGFKKCLARDVRGLLSLYEASHFAIDGEEILDEANKFSAMHLKLLEKQVDPHVAEQVRHSLEIPLRWRMPRLETKYFIDVYDRQECRNPVLLKLAKLDFNIVQSIHREEMRELSKWWNELGLAQKLKFSRDRLLENYLWAVGIAHEPQFSKCRVGLTKLICILTVIDDVYDVYGLPEEVKLFTAAVKAWDLEAMDTLPDYLKCCYLALHNFVNETAADIEKDHGWDATALFRKEWESLCEAYLTEAEWFHKGYKP >Ma01_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6733243:6738584:1 gene:Ma01_g09300 transcript:Ma01_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSEGADMGVGGFEGDVAGGDEGEVSVKEREVSVNGLSMKTAEVEAKLDDGNIEEAESSLREGLSLNYEEARALLGRLEYQRGNIESAVRVFDGIDLQAAIQRIQPSVSEKPATRRGRSRGDSMHMVSQRAASLVLEAIYLKSMSLRKLGKATEAAQECKGILDTVEKMFQHGIPDVLVEQKLQETVSRAVELLPELWKQAGSYHEALASYRQALLSQWNLDDESCARIQKRFAAFLLYSGIEAGPPNLATQVDGTFVPKNNLEEAILLLMVVLRKWHLGKIQWDPSVMEHLSFALSVCSQTFVLARHFEEAMPGIYPRCDRWYSLALCYSAAGQNHSALNLLRQALKKDESPNDIMALLLAAKICSEDRLLSAEGVEYARRAIANAQAADEHLKSVGLHFLGNCLGKQAKIASSDYERSNLRIEALKSLDEAVALERHNPDILFDLGLEYSEQHNTNAALRCAKEFIDATGGSVLKGWRLLSLILSAQQRFTEAEIVTDAALDETAKWEQGPLLRIKAKLKVAQTLPMDAIEAYRFLLALVQAQRKSGSVKNNTEVEDDDFNEFEVWQGLANLYSGLSHWRDAEICLEKAGALKPFSSSILHTEGSMLEAHGQMQEALATFSNALSLELDDVPSKVSIGALLSKKGSKSLPVARSFLSDALRLEPANRLAWYYLGIIHRDEGRIADAADCFQAASLLEESDPIESFTSIS >Ma08_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33393441:33394955:1 gene:Ma08_g19630 transcript:Ma08_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDEWKRLYSNTKANFCELAIKGFWDMYDPEGYSLWFCDYKVQ >Ma06_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13022417:13034429:-1 gene:Ma06_g19040 transcript:Ma06_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVVIVGAGPTGLAVAACLFLLSVPFVILEKEDCVASLWRKRSYDRMKLHLAKQYCALPHMPHPATTPTFIPKEQFIRYLDGYAARFRLNPELRGPGMSTGQQTRPGDSPAARGHPPREEPQEGRLASTSEQYWRLFNDPGLSPPDATIVPPSVSPEAFHDLAHQVRTLAGMVQAIVPLIPQPEPPQTDQPVHQWEPAPREHAPPPRPPRSPRNQATRQRDRETVGTSSRPEPEWPPENSTHALQAQLHLFNQRLNEVQQEVRRMKREPGTEGYQGSPFAPEIQDQAIPQHFRLPSLDAYNGAADPADHVAAFRAQMALYGTSDALMCRAFPTTLRGPARAWYGSLKAGTISSFDQLARDFELNFLAYARPKPSTALLLGLNQGEDEPLSHFLDRFTTQIRGLSDAHPSLLMQAFTIGLRPSRFFWSLVERPPTTVPEMLQRASQFVAAETWMAGKPRGHRGAKSEPPRQQQPPTSRRRSDRSDPTVPRPPLPALNSSQTDIFLHIRGKGLLKEPYPMSGPRALADQSKYCRFHRQRGHDTEQCRELKKQIEELIHRGHLDQYLRPNKESSPRPEGPVERRIDVISGGPASGGDSMARKKAYARAASAEAPGHTPGPSVTFPTGAYEQAEHDDALVISARIANAQVQRIMVDTGSSADILYLDAYRKLGLPRDSMKPVSSALTGFTGDSVSPLGTVTLPLTLGVPPKSKTTMTNFLVIDLPAAYNAILGRPTLNKIRAVVSTYYQTVKFPTLAGTGEAAGSPRESRRCYLTVVSLPKKLKVEPPLTDPREAQRSAPHVEPKETTVAIPLQKDHPERAIRVGSELPEPEREQLVGLLQENADVFAWSPSDMTGVNPGVALHRLSVSTDARPVKQKLRQQAPERQTAIQEEVTRLLKAGFIKEAGYPQWLSNVVLVKKANGSWRMCVDYTSLNKACPKDCLPLPRVDQLVDATAGHARLSFMDAFSGYNQIRMAPEDQEHTAFITNQGVYFYKVMPFGLKNAGATYQRAVNKAFAHQIGRNMEVYVDDMIVKSQEAGTHLADLAEAFATLRQIVRRSRRCYGARDTVTGEEKLYKGRFLVVATGENGEGFVPRLPGLDSFPGDVFHSSSYKSGAAYPGKCALVVGCGNSGMEIAYDLSEFGVYASISIRSPFHVVTKEMIYVGMVLLKYLPMFKVDVIILILSKLKLGDLSKHGIIRPKRGPFITKETKGRSPVIDIGTIKKIKSKQIQVVPAITSIRGKTVVFADGQSQCFDAIIFATGYKSSAINWDEGELSNQEGLPRQSFPQHWKGKNGLYFSGFSKKGLPGIKMNALNIAGDIDTKIENCNQE >Ma01_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11838538:11841390:-1 gene:Ma01_g16330 transcript:Ma01_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGAHRDRVALPVVLKACARLQDVEFGKRVHLCIVGTELADDVRVRTALVDLYCKCGLVDDALEVFEEMTQRDLVSWNAMISGCVANCQYKYAILLCFRMRRDGLRLNSVTLVSLILACRELSEFRLGQAAHCYSLRSGILQLEPHVGTSLIGFYSRFDMRLSHNVFEVLERRNTVCWNAIIDGYFHTGKCSEALKIFLHMIVDNVAPDSVTFLAVMQSCGEFGCLKFGKQVHQLVIKYGFSVDKFVGNALINMYGRCGYCEHASLVFERGLTGDVASWNAMISAYKNCMCFNEALALFSRMKCDCIGENMVTIGIMLSVCAQCGCLERGKQLHAYAIKSGMTDNTSIDDALLSMYADLNIVTSAQEIFNGMDKSNAVSWNTLIMALIKDGLTCKAWDLFGKMQQTETKPNSFTMVSLLKGCTNNLFLNFGRSIHGYVIRHGLDVNSSLCTALADMYMDCGQESAAFYIFWNHSDRDLVSWNAMIASYIHNGRPNDALTLFYRMQFETKPDASTMINVLPSCAQMGSLLQVKCFHAYIVRRELGLPLDTSLGNALLTIYAKCGSIRSAESMFRNLLKRDIISWNAMIAAYGIHGQGEDAVRIFCELLSARERPTRVTFVSILSACSHSGMIEKGWEIFHSMNRDYNVPPEVVHYACMVDLLGRAGNLDKAKELICSMPMEPDASLWRALLSACRMFYNMELARIIGEKLIELEPMNIANYILLSNIYAAAGNWEYVTILRADIKEKGLEKTPGNSWINIKNEVQSFTAGNKQDPQFEMSYTKLKLSLDELVENGYIPHTSSIWHNV >Ma09_p27240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38280071:38281963:1 gene:Ma09_g27240 transcript:Ma09_t27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRNKRSPDGVPPLREFDVSERQINIILRKPTIEEEGTSTHTMSEGKFMVNTGIAIDVLFVDAFQKLRLTRNDLCPMTTSLTRFTGISQIIEAGEAYVRLENIMLEIGRRARGLVDVPKDGLHAMSSGIGPEGSGIAQRRSDIAGGQHAKGKDDAPEAQAVVLLEPEFPGCQVIVPLRQAIVLTQARDGTARAQGS >Ma04_p27980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29144195:29148677:1 gene:Ma04_g27980 transcript:Ma04_t27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVATPPSADQATDLIQKLSLDTANKSNDSSEVKKKPSGVQYGSANGGEAPIASIPTYERSLTPLLQEHMDAGMCYVPNGYTPSFYYGGYDGSMAEWEDYPRYVNSDGVEVPPPGVYGDMYHHGYGYAPYGPYPSPGSPVPTLGHNGQLYGPQHYQFPATYYQPPTATSAPDTTSQTPSSKREVSTSTAASLPSIPVDTTKSDSNETVKASTNGNNGSAKLKPSQQNSSLNSNGSLGKGAFPGGHPSSGYQDPRFGFDGMWSPVPWYDSLMFPDGQQRPTTANTVSSTRNQNLRPLPQLMGMHAPRPAGAGMVNKMYPNHRMYGQHANGFRSGQSFYSSMYDSRMNGRWGMSVDSKYKPRGRSNGFYGYGNENLDGLSELNKGPRSGRFRNQKGFGPTITLAVRGQSLPANVNIQDSAVIPERDQYNKSDFPETYSEAKFFIIKSYSEDDIHKSIKYNVWASTPHGNKKLDAAYQESKEKTSGCPVFLFFSVNTSGQFVGVAEMVGPVDFNKTLDYWQQDKWVGYFPVKWHIVKDVPNNILKHITLENNDNKPVTNSRDTQEVKLDQGLQLLKLFKEHASKTCILDDFDFYETRQKVMQEKRTKQQQLQKKFMDGKMVEFGEKDKDASNGKPGLQKPLEVVTVLKKESAQVGLALGEHVLSEKNGLAAVAGVAPKDAKPVTEKWVVANGVANCC >Ma06_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11686186:11701199:-1 gene:Ma06_g17200 transcript:Ma06_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYALHLAMAALFGASFVAVSAYYVHRKTLAQLLELARAVDRDRDGGADGDGGLKRGPSSRRGGRRKGPGYYRRGAGSLSLPDVMAAAVLDGEEEEEEGDDDEPITRRRPVVNEDEDVLPSFPIPPGLPRLQTVPEGNKQCVHASFNKRGGIRPTSPKSPVASAFGSQEGSDEDDILPNDPILANTCLHTNGDMGPENKDLFQALPDHITDNGDQKSLSASTIIRSHSVSGNLHGAQHDPVAADILRKEPEQETFVRLRITPNEKPSPDEVEVYKILQNCLDLRERYVFREEIAPWEKEVITDPSTPKPNPSPFAYTPEQKSDHVFRMVDGVVHVYASKYSMDRRLFPVADATTFFTDLHHLLRVIAAGNIRTLCHRRLVLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFTDLAASKYQMAEYRVSIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQNMLDNIFLPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYVYYCHANLYTLNKLRESKGMTTIKFRPHCGEAGDIDHLAATFLCANNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPIFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVLQSGFSHALKSHWIGKSYYKRGPEGNDIHKTNVPHIRVEFRYMIWRAEMQLVSLGKAIIPEEIDK >Ma06_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10164997:10168709:1 gene:Ma06_g14920 transcript:Ma06_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTSTALLPESFQGARDDITEQMGMVWQQIKAPVMVPLLRLAVFLCLVMSVMLVVEKAYMAVVIVLVKLFGRRPEKRYRWEPMRDDLELGSSSYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPVIKDLVEAECRRWASKGVNIKYEIRDNRSGYKAGALKEGMKHSYVNHCDYVAIFDADFQPEPDFLYRTVPFLLHNPAVGLVQARWKFVNSDECLMARMQEMSLDYHFTVEQEVGSSAYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLKVKNELPSTLRAYRYQQHRWSCGPANLFRKMAMEIANNKKVSLWKKVHVIYSFFFVRKVVAHIVTFVFYCVVIPAAVLVPEVEIPRWGAIYIPSIIALLNAVGTPRSLHLLVFWILFENVMSLHRTKATLIGLLEAGRVNEWVVTEKLGDTVKAKMAVKAARKPPIRIGDRLHLLELWTGAYLFFCGCYDVAFGKNHYFLYLFLQAIAFFVVGFGYVGTFVPGY >Ma01_p23260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27492751:27495335:1 gene:Ma01_g23260 transcript:Ma01_t23260.3 gene_biotype:protein_coding transcript_biotype:protein_coding METTVSPSASLLQNQLDASNFDELSMDQSLLFSDSLKELKNLRSQLYSAAEYFELSYATDDHKQFVVNTLREYAIKAVVNTVDHLGSVSFKVTGLLEAKVDEVSGAESRVSCIEQRIRACRELVDREGLSQQSLVIKAPRYHKRYIVPVGKSMPESGRHATPKYEKNLNASKDNTETHKFQPVHHPTVADKAPSFRYKRHVLANQQNRFQIVMSRAFDDTRKARTMSPAPSSRARSVSPRRIPSSSPSQLPGKLFGAEKRATSPIRMPNPLARSGSLAVRPTALRSTSSIGRQYSSATQKSASMRLHAERNDDKDGEQNPSKGKKFLKSLLSRRKSKKDEMVYSYLDEY >Ma01_p23260.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27492751:27495335:1 gene:Ma01_g23260 transcript:Ma01_t23260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLLFSDSLKELKNLRSQLYSAAEYFELSYATDDHKQFVVNTLREYAIKAVVNTVDHLGSVSFKVTGLLEAKVDEVSGAESRVSCIEQRIRACRELVDREGLSQQSLVIKAPRYHKRYIVPVGKSMPESGRHATPKYEKNLNASKDNTETHKFQPVHHPTVADKAPSFRYKRHVLANQQNRFQIVMSRAFDDTRKARTMSPAPSSRARSVSPRRIPSSSPSQLPGKLFGAEKRATSPIRMPNPLARSGSLAVRPTALRSTSSIGRQYSSATQKSASMRLHAERNDDKDGEQNPSKGKKFLKSLLSRRKSKKDEMVYSYLDEY >Ma01_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27492751:27495353:1 gene:Ma01_g23260 transcript:Ma01_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVSPSASLLQNQLDASNFDELSMDQSLLFSDSLKELKNLRSQLYSAAEYFELSYATDDHKQFVVNTLREYAIKAVVNTVDHLGSVSFKVTGLLEAKVDEVSGAESRVSCIEQRIRACRELVDREGLSQQSLVIKAPRYHKRYIVPGKLISLGVFVDTDVIVSDASSSVGCSVGKSMPESGRHATPKYEKNLNASKDNTETHKFQPVHHPTVADKAPSFRKARTMSPAPSSRARSVSPRRIPSSSPSQLPGKLFGAEKRATSPIRMPNPLARSGSLAVRPTALRSTSSIGRQYSSATQKSASMRLHAERNDDKDGEQNPSKGKKFLKSLLSRRKSKKDEMVYSYLDEY >Ma01_p23260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27492751:27495353:1 gene:Ma01_g23260 transcript:Ma01_t23260.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTVSPSASLLQNQLDASNFDELSMDQSLLFSDSLKELKNLRSQLYSAAEYFELSYATDDHKQFVVNTLREYAIKAVVNTVDHLGSVSFKVTGLLEAKVDEVSGAESRVSCIEQRIRACRELVDREGLSQQSLVIKAPRYHKRYIVPVGKSMPESGRHATPKYEKNLNASKDNTETHKFQPVHHPTVADKAPSFRKARTMSPAPSSRARSVSPRRIPSSSPSQLPGKLFGAEKRATSPIRMPNPLARSGSLAVRPTALRSTSSIGRQYSSATQKSASMRLHAERNDDKDGEQNPSKGKKFLKSLLSRRKSKKDEMVYSYLDEY >Ma04_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9774149:9776084:-1 gene:Ma04_g12920 transcript:Ma04_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASIPSIKVLSSSSSSSRRAKLPSAATAAWRSVAMTEGDAASGDGDAAPARNNTEDLPDECLALVFQSLGSGDRKRCSLVCRRWLAVEGQSRHRLALDARAALLEAAPAIFARFDAVSKLALKCDRRADSLGDEALALIAARCPNLTRLKLRSCRALTDNGMSAVGQHCRGLRKLSVGSCAFGFKGVEAVVRGCSALEELSIKRLRGLPDVTAAGNAIVGSASLRSVCLKELYNGQCFAPLIAGSSNLKALKLIRCSGDWDRLLADIAAKVPGIVEIHLEKLQVSDRGLAALSFCADLEILHLVKTPECTDAGLATVAERCHLLRKIHIDGWKTNRIGDEGLIIVARQCPNLQELVLIGVNPTARSLGLIASNCHNLERLALCGSDTFGDAEITCIASKCMALKKLCIKGCPVSDQGMEALAEGCPKLVKVKVKKCRGVTPGCADWLMQCRDGMLAVNLDTAGPVEQPEGSVGESGNLENNEQLIDQIGAVDLLPSSSSSRSSPWKTRMGLYAGRNFVASALRRWSHGSSNSNHTLQ >Ma06_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:105792:109583:1 gene:Ma06_g00140 transcript:Ma06_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHWHFMLFLAFFPLYNALNDDGKTLMALSKSLILPSSINTTWNSSDPNPCKWVGIRCDKSGFVVSVELPESGISGSPGKEIGLLSRLRKLDLGINNLSGIIPSELGNCSLLEHLDLAVNLLSDEIPETLQNLNKLSYLSLFENFLSGNIPNQLFWGLNLQTIFLNDNNLTGSIPSIGRNMSRIKSLWLSQNHLSGPLPDSAGNFSKLEELYLFDNQLSGPLPRTLSDIRGLRYVDVSINNFVGRIPFGYNSCKLVELILTYNQFEGEIPAAIGNCSNLTIFGAVNNSLSGKIPSTLGFLTKLEKLYLSANSLSGLIPSEIGQCTSLIELELYSNQLKGVIPSELGNLRNLKKLYLFDNNLIGEVPMDIWKIPNLTSILIYNNNISGELPSEICELNRLRNISLYNNQFTGVIPQCLGINSSLVQIDFTNSGFVGGFPPNICFGNQLVVLNLGSNLLNGTMPPGIGNCSSLRRLILRDNRLSGPIPEFIVISSLSYMDLSLNRLNGHIPASLANCMNLTMINLSKNKLDGPIPQEIGNLLNLHVLNLSNNNLYGPLSPQISQCSKLYLLDLGFNSLNGMIPSSLTNLTHLSRLILQGNQFSGGIPNFLSEFSGLFELQLGGNKLGGGIPSSLGSLQILEIALNLSDNGLTGQIPGELGNLKMLHSLDISLNNLTGSLMPLSDLRSLTYVNVSYNNLTGPVPNNWLKLLELSPSSFMGNPELCISCQAADSTCTNVTSILKPCAASNNSKGLGKIAIVIIVLGSSLVCASVILLLGFILLKCTRRLEDEGPSLHEGSSFLLNQLIEATENLDKRHEIGRGAHGIVYKAVLNTGKLYAIKKLVFAGQTASNTSMVREIQTIGKIRHRNLVKLEKFWLKKDYGLILYDYMENGSLHDVLHELNPAPVLEWKVRYKIALGIAQGLVYLHDDCSPAIIHRDIKPKNILLDTDMEPHISDFGIAKLLDENSSSSQSTAIMGTIGYISPETAYTTRKSKESDVYSYGVVLLELLTRKKALDPSFPENMNIVNWATSTLDGNGEIGPVVDQDLMNQVMGTWELEEVHKVLFLAMRCTAKEASRRPSMQNVVRELIDIKSKLVGTNKNLKISRHFAS >Ma06_p00140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:105398:109583:1 gene:Ma06_g00140 transcript:Ma06_t00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHWHFMLFLAFFPLYNALNDDGKTLMALSKSLILPSSINTTWNSSDPNPCKWVGIRCDKSGFVVSVELPESGISGSPGKEIGLLSRLRKLDLGINNLSGIIPSELGNCSLLEHLDLAVNLLSDEIPETLQNLNKLSYLSLFENFLSGNIPNQLFWGLNLQTIFLNDNNLTGSIPSIGRNMSRIKSLWLSQNHLSGPLPDSAGNFSKLEELYLFDNQLSGPLPRTLSDIRGLRYVDVSINNFVGRIPFGYNSCKLVELILTYNQFEGEIPAAIGNCSNLTIFGAVNNSLSGKIPSTLGFLTKLEKLYLSANSLSGLIPSEIGQCTSLIELELYSNQLKGVIPSELGNLRNLKKLYLFDNNLIGEVPMDIWKIPNLTSILIYNNNISGELPSEICELNRLRNISLYNNQFTGVIPQCLGINSSLVQIDFTNSGFVGGFPPNICFGNQLVVLNLGSNLLNGTMPPGIGNCSSLRRLILRDNRLSGPIPEFIVISSLSYMDLSLNRLNGHIPASLANCMNLTMINLSKNKLDGPIPQEIGNLLNLHVLNLSNNNLYGPLSPQISQCSKLYLLDLGFNSLNGMIPSSLTNLTHLSRLILQGNQFSGGIPNFLSEFSGLFELQLGGNKLGGGIPSSLGSLQILEIALNLSDNGLTGQIPGELGNLKMLHSLDISLNNLTGSLMPLSDLRSLTYVNVSYNNLTGPVPNNWLKLLELSPSSFMGNPELCISCQAADSTCTNVTSILKPCAASNNSKGLGKIAIVIIVLGSSLVCASVILLLGFILLKCTRRLEDEGPSLHEGSSFLLNQLIEATENLDKRHEIGRGAHGIVYKAVLNTGKLYAIKKLVFAGQTASNTSMVREIQTIGKIRHRNLVKLEKFWLKKDYGLILYDYMENGSLHDVLHELNPAPVLEWKVRYKIALGIAQGLVYLHDDCSPAIIHRDIKPKNILLDTDMEPHISDFGIAKLLDENSSSSQSTAIMGTIGYISPETAYTTRKSKESDVYSYGVVLLELLTRKKALDPSFPENMNIVNWATSTLDGNGEIGPVVDQDLMNQVMGTWELEEVHKVLFLAMRCTAKEASRRPSMQNVVRELIDIKSKLVGTNKNLKISRHFAS >Ma06_p00140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:105398:109144:1 gene:Ma06_g00140 transcript:Ma06_t00140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHWHFMLFLAFFPLYNALNDDGKTLMALSKSLILPSSINTTWNSSDPNPCKWVGIRCDKSGFVVSVELPESGISGSPGKEIGLLSRLRKLDLGINNLSGIIPSELGNCSLLEHLDLAVNLLSDEIPETLQNLNKLSYLSLFENFLSGNIPNQLFWGLNLQTIFLNDNNLTGSIPSIGRNMSRIKSLWLSQNHLSGPLPDSAGNFSKLEELYLFDNQLSGPLPRTLSDIRGLRYVDVSINNFVGRIPFGYNSCKLVELILTYNQFEGEIPAAIGNCSNLTIFGAVNNSLSGKIPSTLGFLTKLEKLYLSANSLSGLIPSEIGQCTSLIELELYSNQLKGVIPSELGNLRNLKKLYLFDNNLIGEVPMDIWKIPNLTSILIYNNNISGELPSEICELNRLRNISLYNNQFTGVIPQCLGINSSLVQIDFTNSGFVGGFPPNICFGNQLVVLNLGSNLLNGTMPPGIGNCSSLRRLILRDNRLSGPIPEFIVISSLSYMDLSLNRLNGHIPASLANCMNLTMINLSKNKLDGPIPQEIGNLLNLHVLNLSNNNLYGPLSPQISQCSKLYLLDLGFNSLNGMIPSSLTNLTHLSRLILQGNQFSGGIPNFLSEFSGLFELQLGGNKLGGGIPSSLGSLQILEIALNLSDNGLTGQIPGELGNLKMLHSLDISLNNLTGSLMPLSDLRSLTYVNVSYNNLTGPVPNNWLKLLELSPSSFMGNPELCISCQAADSTCTNVTSILKPCAASNNSKGLGKIAIVIIVLGSSLVCASVILLLGFILLKCTRRLEDEGPSLHEGSSFLLNQLIEATENLDKRHEIGRGAHGIVYKAVLNTGKLYAIKKLVFAGQTASNTSMVREIQTIGKIRHRNLVKLEKFWLKKDYGLILYDYMENGSLHDVLHELNPAPVLEWKVRYKIALGIAQGLVYLHDDCSPAIIHRDIKPKNILLDTDMEPHISDFGIAKLLDENSSSSQSTAIMGTIGYISPAMPCNRDCIHNQKEQGVRCI >Ma06_p00140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:105398:109583:1 gene:Ma06_g00140 transcript:Ma06_t00140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHWHFMLFLAFFPLYNALNDDGKTLMALSKSLILPSSINTTWNSSDPNPCKWVGIRCDKSGFVVSVELPESGISGSPGKEIGLLSRLRKLDLGINNLSGIIPSELGNCSLLEHLDLAVNLLSDEIPETLQNLNKLSYLSLFENFLSGNIPNQLFWGLNLQTIFLNDNNLTGSIPSIGRNMSRIKSLWLSQNHLSGPLPDSAGNFSKLEELYLFDNQLSGPLPRTLSDIRGLRYVDVSINNFVGRIPFGYNSCKLVELILTYNQFEGEIPAAIGNCSNLTIFGAVNNSLSGKIPSTLGFLTKLEKLYLSANSLSGLIPSEIGQCTSLIELELYSNQLKGVIPSELGNLRNLKKLYLFDNNLIGEVPMDIWKIPNLTSILIYNNNISGELPSEICELNRLRNISLYNNQFTGVIPQCLGINSSLVQIDFTNSGFVGGFPPNICFGNQLVVLNLGSNLLNGTMPPGIGNCSSLRRLILRDNRLSGPIPEFIVISSLSYMDLSLNRLNGHIPASLANCMNLTMINLSKNKLDGPIPQEIGNLLNLHVLNLSNNNLYGPLSPQISQCSKLYLLDLGFNSLNGMIPSSLTNLTHLSRLILQGNQFSGGIPNFLSEFSGLFELQLGGNKLGGGIPSSLGSLQILEIALNLSDNGLTGQIPGELGNLKMLHSLDISLNNLTGSLMPLSDLRSLTYVNVSYNNLTGPVPNNWLKLLELSPSSFMGNPELCISCQAADSTCTNVTSILKPCAASNNSKGLGKIAIVIIVLGSSLVCASVILLLGFILLKCTRRLEDEGPSLHEGSSFLLNQLIEATENLDKRHEIGRGAHGIVYKAVLNTGKLYAIKKLVFAGQTASNTSMVREIQTIGKIRHRNLVKLEKFWLKKDYGLILYDYMENGSLHDVLHELNPAPVLEWKVRYKIALGIAQGLVYLHDDCSPAIIHRDIKPKNILLDTDMEPHISDFGIAKLLDENSSSSQSTAIMGTIGYISPETAYTTRKSKESDVYSYGVVLLELLTRKKALDPSFPENMNIVNWATSTLDGNGEIGPVVDQDLMNQVMGTWELEEVHKVLFLAMRCTAKEASRRPSMQNVVRELIDIKSKLVGTNKNLKISRHFAS >Ma09_p26890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38034293:38036069:-1 gene:Ma09_g26890 transcript:Ma09_t26890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGNVGDGWNRGITYWLAEQPAIVGFRWSHVYAWGATWSFLVSSLAAYAALALALDLFLRLFRRSRPIPLGPLPALHSLAMALASAAIFLGLLISAAAEIRDARWWWRGRFRTTAFEWLLCFPLGTRPSGRVFFWSYAFYLSRFLHLLRTFLLILRRRRGALPSVFRHSALVCMSFLWLEFSQSFQVVAILSATLVHAVVFSYRFWVGVGLPAAARSGAILVLACQVALTGCNAVCHLGFLLLHFAKGGCNGIGAWVVNSVLNAALLLLFVDCYVKTVVRRKKGESLEDDNGSNHHFGGHHHHYQNKAEFFETKKEQ >Ma09_p26890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38034293:38036069:-1 gene:Ma09_g26890 transcript:Ma09_t26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGNVGDGWNRGITYWLAEQPAIVGFRWSHVYAWGATWSFLVSSLAAYAALALALDLFLRLFRRSRPIPLGPLPALHSLAMALASAAIFLGLLISAAAEIRDARWWWRGRFRTTAFEWLLCFPLGTRPSGRVFFWSYAFYLSRFLHLLRTFLLILRRRRGALPSVFRHSALVCMSFLWLEFSQSFQVVAILSATLVHAVVFSYRFWVGVGLPAAARSGAILVLACQVALTGCNAVCHLGFLLLHFAKGGCNGIGAWVVNSVLNAALLLLFVDCYVKTVVRRKKGESLEDDNGSNHHFGGHHHHYQNKAEFFETKKEQ >Ma06_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2072984:2075628:-1 gene:Ma06_g02730 transcript:Ma06_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTILRIFSLSGNRRLPSRSPTHPRLCRRPNSRTSPSLPLKQETGTSMDLPGATVAVFLKRVMVISPLKGGVEDMGRNGSIFVVVDLVDMAIVMEKAGLTPNDPHVEGGGSGRGYEMKREGTRRANWGTKDDDLIAQGTEEEADMDGKLANSKEE >Ma00_p02150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15767887:15770379:-1 gene:Ma00_g02150 transcript:Ma00_t02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANTHWCYVCSRAVQPLGRDMTCPNCNGGFVQELNELDDRLNPFDYFAVDSNDRRNNQFGIIDAFSALIRQRMGGSSREFDVHGRPSVPMGNGTRFGLEPWLLFRGQLPSHTSNGETELLFNGGTGVGMSRANLGHYFFGSGFEDFIEQLSRNNHHGPPPASQSAIDAMPIVKINQRHLRGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIIPWLVQHNSCPVCRHRLPSQASGHGNHVRSRNQYFGDGSSINSYTTRENGGESQARRNLFSFLGPYGTAITTNSNTNGTGGSSSTAVQEDINQMHYSGWPFDY >Ma00_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15767887:15770379:-1 gene:Ma00_g02150 transcript:Ma00_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANTHWCYVCSRAVQPLGRDMTCPNCNGGFVQELNELDDRLNPFDYFAVDSNDRRNNQFGIIDAFSALIRQRMGGSSREFDVHGRPSVPMGNGTRFGLEPWLLFRGQLPSHTSNGETELLFNGGTGVGMSRANLGHYFFGSGFEDFIEQLSRNNHHGPPPASQSAIDAMPIVKINQRHLRGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIIPWLVQHNSCPVCRHRLPSQASGHGNHVRSRNQYFGDGSSINSYTTRENGGESQARRNLFSFLGPYGTAITTNSNTNGTGGSSSTAVQEDINQMHYSGWPFDY >Ma00_p02150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15767887:15770362:-1 gene:Ma00_g02150 transcript:Ma00_t02150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANTHWCYVCSRAVQPLGRDMTCPNCNGGFVQELNELDDRLNPFDYFAVDSNDRRNNQFGIIDAFSALIRQRMGGSSREFDVHGRPSVPMGNGTRFGLEPWLLFRGQLPSHTSNGETELLFNGGTGVGMSRANLGHYFFGSGFEDFIEQLSRNNHHGPPPASQSAIDAMPIVKINQRHLRGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIIPWLVQHNSCPVCRHRLPSQASGHGNHVRSRNQYFGDGSSINSYTTRENGGESQARRNLFSFLGPYGTAITTNSNTNGTGGSSSTAVQEDINQMHYSGWPFDY >Ma01_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1577781:1578977:1 gene:Ma01_g02340 transcript:Ma01_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKNSLHRFPFLPSSPPKSPPASLARPFEDALAARLLSLLPLPDSSPSLALSWLARAVRLLALTLSDAAALLPDATSSSDRDAVAAYLDSGVALLDACNAASAEVDRLLRRRLHLRFALHLLSSSDEGRNPEKLSRARGSLAEWRSSSPRPTGDLARSLAPAQPSRGKLSAVRRAIYAVEAVSFLLSGVLASALGGGDVAALVPADLPWASEYRKVAAAVSHKLAGDRAPAELKAVESAVKKMTDVMDGIRSNGDGDDAESLRKAVEATEKATGELTESLDALSGAVNELFRSALALRNTALHGFRAGPAGCK >Ma05_p25630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37499737:37501266:-1 gene:Ma05_g25630 transcript:Ma05_t25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTPEEDQKLLAYIEKHGNGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGEFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPCTHKAKSDTLSSGDGHLKSVANLSHMAQWESARLEAEARLARESKFRAASNSMILQQQQQQKGSSSSSSSTIPPPPAPLTTKASGLDILRAWPGANQGDRIDLESPTSTLSFAAAGVGFVDAGAGAASAASHQGGVNLEPERAEWRSLAKDRVDSFTGFSMEAAFDGEAPWLSEPYWGQSGAGFTGLLLGDSAAQKASDCRGDSYANGPETCAQEEAEEEEEEEEEEEVELEGEEESKNYWNSILNSVNSSSSSNSPPLFYD >Ma05_p21020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32729120:32739135:-1 gene:Ma05_g21020 transcript:Ma05_t21020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEARLESLVFQLTPTRTRCDLIIIANGKRQKIASGLLTPFLAHLKFAQDQIAKGGYSIILEPDPETEAAWFTKGTVERFVRFVSTPEVLERVTTIESEILQIENAIAVQGNDNVVSSIVEDHQSKPAETVEGTKISVDTDAAKAIVLYKPDSQPNPPDSNGSTTQENSKVQLLKVLETRKMVLRKEQGMAFARAAAAGFDMDNLLDLISFSESFGASRLKDACLQFMELWKKKHETGQWLEVEVAEAMSTRSEFSALNASGIIFATDPMMQNDHGDAQSVTGGDMLTETDGRADRQIPSDSKVPLGHQEYLQGQFQHPAYSQWPMHPPPGPPMFQPYPMQGMPYYQNYPGSVPYFHPPYPPMEDPRFNSSHRKGSKRQSADNKDIESETWERSTRSQDDSDQNTSDLEKEGSHGHKSHRRVGRKGKKKPGVVVIRNINYIKSKKHGSVESESGSQSVSESEAEEDSEDVHADMRERKHKHSVRRSKKEDRPTKPEEFSDAYGNDKAAYREEADSGNWQAFQTFLLSAEEKSRTVGEDMFMGEKEPQSKRKQSKSEADPIVLPERDYGDYHDGGMAEFDSVSGKTIRMKQVASDDQFLASSNGRDLTDNQFKEIESGGRAYRQMSSDEFMIYEQEKQFSIKNSSDPFVDHVDEHPVKAVESLSYNITDETFMLPYRTDSQDLGSDSIIPIDMDSEFSSALQNGSNLYDKAKNQLSYEPDDLSLVPERETETVSVGYDPAMDYDFQIPVANAVKLEATNQEDLSESTKEESQKLDKENSRASNDSMEKRRKDALVKKGTSSRLNLLTEAQKRAEKLRSHKVDLQKMKKEREDEELKRLEALKRERQKRIASRSGSTVTQAPSTPQQTKARLAIKPSPGPHKGLKLSNTEPVSSSPLRKLPIRTSSDGSNDPQKPIKSSKLNGSNHGLTRSASSLPEVKKESNGLMPEAKTDSLRMKRHSDPKSNYTQSGSSVKSITADQDSKRGVPDESQKKITAIIQQEETSKDPLQKETAREASQASDTNNGKSANDKPPSNNDENPVIEKTVVMLENNLVTAPVVQQSDEMIDTKERSHGDGMVTGYAALHAPPSPVIITQVEDSGEGKLNEQLNSYKVVVPCLGSEPQKFSNLTVAEKSYQAPYARVTSLEDPAAPNLGYVGVPASESEMAAEHAENGSITVHVSGFKNSSLTDLTHETHEKPRSKESKGFRKLLKFGRKSHGSASGEGNLDTDASSVDDPTVTAASSNDVTHSFSLLSPFRSKNSEKKQAA >Ma05_p21020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32729120:32739135:-1 gene:Ma05_g21020 transcript:Ma05_t21020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEARLESLVFQLTPTRTRCDLIIIANGKRQKIASGLLTPFLAHLKFAQDQIAKGGYSIILEPDPETEAAWFTKGTVERFVRFVSTPEVLERVTTIESEILQIENAIAVQGNDNVEDHQSKPAETVEGTKISVDTDAAKAIVLYKPDSQPNPPDSNGSTTQENSKVQLLKVLETRKMVLRKEQGMAFARAAAAGFDMDNLLDLISFSESFGASRLKDACLQFMELWKKKHETGQWLEVEVAEAMSTRSEFSALNASGIIFATDPMMQNDHGDAQSVTGGDMLTETDGRADRQIPSDSKVPLGHQEYLQGQFQHPAYSQWPMHPPPGPPMFQPYPMQGMPYYQNYPGSVPYFHPPYPPMEDPRFNSSHRKGSKRQSADNKDIESETWERSTRSQDDSDQNTSDLEKEGSHGHKSHRRVGRKGKKKPGVVVIRNINYIKSKKHGSVESESGSQSVSESEAEEDSEDVHADMRERKHKHSVRRSKKEDRPTKPEEFSDAYGNDKAAYREEADSGNWQAFQTFLLSAEEKSRTVGEDMFMGEKEPQSKRKQSKSEADPIVLPERDYGDYHDGGMAEFDSVSGKTIRMKQVASDDQFLASSNGRDLTDNQFKEIESGGRAYRQMSSDEFMIYEQEKQFSIKNSSDPFVDHVDEHPVKAVESLSYNITDETFMLPYRTDSQDLGSDSIIPIDMDSEFSSALQNGSNLYDKAKNQLSYEPDDLSLVPERETETVSVGYDPAMDYDFQIPVANAVKLEATNQEDLSESTKEESQKLDKENSRASNDSMEKRRKDALVKKGTSSRLNLLTEAQKRAEKLRSHKVDLQKMKKEREDEELKRLEALKRERQKRIASRSGSTVTQAPSTPQQTKARLAIKPSPGPHKGLKLSNTEPVSSSPLRKLPIRTSSDGSNDPQKPIKSSKLNGSNHGLTRSASSLPEVKKESNGLMPEAKTDSLRMKRHSDPKSNYTQSGSSVKSITADQDSKRGVPDESQKKITAIIQQEESKSATLPELRIKTPPTSTEVVENETASKDPLQKETAREASQASDTNNGKSANDKPPSNNDENPVIEKTVVMLENNLVTAPVVQQSDEMIDTKERSHGDGMVTGYAALHAPPSPVIITQVEDSGEGKLNEQLNSYKVVVPCLGSEPQKFSNLTVAEKSYQAPYARVTSLEDPAAPNLGYVGVPASESEMAAEHAENGSITVHVSGFKNSSLTDLTHETHEKPRSKESKGFRKLLKFGRKSHGSASGEGNLDTDASSVDDPTVTAASSNDVTHSFSLLSPFRSKNSEKKQAA >Ma05_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32729120:32739135:-1 gene:Ma05_g21020 transcript:Ma05_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEARLESLVFQLTPTRTRCDLIIIANGKRQKIASGLLTPFLAHLKFAQDQIAKGGYSIILEPDPETEAAWFTKGTVERFVRFVSTPEVLERVTTIESEILQIENAIAVQGNDNVVSSIVEDHQSKPAETVEGTKISVDTDAAKAIVLYKPDSQPNPPDSNGSTTQENSKVQLLKVLETRKMVLRKEQGMAFARAAAAGFDMDNLLDLISFSESFGASRLKDACLQFMELWKKKHETGQWLEVEVAEAMSTRSEFSALNASGIIFATDPMMQNDHGDAQSVTGGDMLTETDGRADRQIPSDSKVPLGHQEYLQGQFQHPAYSQWPMHPPPGPPMFQPYPMQGMPYYQNYPGSVPYFHPPYPPMEDPRFNSSHRKGSKRQSADNKDIESETWERSTRSQDDSDQNTSDLEKEGSHGHKSHRRVGRKGKKKPGVVVIRNINYIKSKKHGSVESESGSQSVSESEAEEDSEDVHADMRERKHKHSVRRSKKEDRPTKPEEFSDAYGNDKAAYREEADSGNWQAFQTFLLSAEEKSRTVGEDMFMGEKEPQSKRKQSKSEADPIVLPERDYGDYHDGGMAEFDSVSGKTIRMKQVASDDQFLASSNGRDLTDNQFKEIESGGRAYRQMSSDEFMIYEQEKQFSIKNSSDPFVDHVDEHPVKAVESLSYNITDETFMLPYRTDSQDLGSDSIIPIDMDSEFSSALQNGSNLYDKAKNQLSYEPDDLSLVPERETETVSVGYDPAMDYDFQIPVANAVKLEATNQEDLSESTKEESQKLDKENSRASNDSMEKRRKDALVKKGTSSRLNLLTEAQKRAEKLRSHKVDLQKMKKEREDEELKRLEALKRERQKRIASRSGSTVTQAPSTPQQTKARLAIKPSPGPHKGLKLSNTEPVSSSPLRKLPIRTSSDGSNDPQKPIKSSKLNGSNHGLTRSASSLPEVKKESNGLMPEAKTDSLRMKRHSDPKSNYTQSGSSVKSITADQDSKRGVPDESQKKITAIIQQEESKSATLPELRIKTPPTSTEVVENETASKDPLQKETAREASQASDTNNGKSANDKPPSNNDENPVIEKTVVMLENNLVTAPVVQQSDEMIDTKERSHGDGMVTGYAALHAPPSPVIITQVEDSGEGKLNEQLNSYKVVVPCLGSEPQKFSNLTVAEKSYQAPYARVTSLEDPAAPNLGYVGVPASESEMAAEHAENGSITVHVSGFKNSSLTDLTHETHEKPRSKESKGFRKLLKFGRKSHGSASGEGNLDTDASSVDDPTVTAASSNDVTHSFSLLSPFRSKNSEKKQAA >Ma05_p21020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32729120:32739135:-1 gene:Ma05_g21020 transcript:Ma05_t21020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEARLESLVFQLTPTRTRCDLIIIANGKRQKIASGLLTPFLAHLKFAQDQIAKGGYSIILEPDPETEAAWFTKGTVERFVRFVSTPEVLERVTTIESEILQIENAIAVQGNDNVVSSIVEDHQSKPAETVEGTKISVDTDAAKAIVLYKPDSQPNPPDSNGSTTQENSKVQLLKVLETRKMVLRKEQGMAFARAAAAGFDMDNLLDLISFSESFGASRLKDACLQFMELWKKKHETGQWLEVEVAEAMSTRSEFSALNASGIIFATDPMMQNDHGDAQSVTGGDMLTETDGRADRQIPSDSKVPLGHQEYLQGQFQHPAYSQWPMHPPPGPPMFQPYPMQGMPYYQNYPGSVPYFHPPYPPMEDPRFNSSHRKGSKRQSADNKDIESETWERSTRSQDDSDQNTSDLEKEGSHGHKSHRRVGRKGKKKPGVVVIRNINYIKSKKHGSVESESGSQSVSESEAEEDSEDVHADMRERKHKHSVRRSKKEDRPTKPEEFSDAYGNDKAAYREEADSGNWQAFQTFLLSAEEKSRTVGEDMFMGEKEPQSKRKQSKSEADPIVLPERDYGDYHDGGMAEFDSVSGKTIRMKQVASDDQFLASSNGRDLTDNQFKEIESGGRAYRQMSSDEFMIYEQEKQFSIKNSSDPFVDHVDEHPVKAVESLSYNITDETFMLPYRTDSQDLGSDSIIPIDMDSEFSSALQNGSNLYDKAKNQLSYEPDDLSLVPERETETVSVGYDPAMDYDFQIPVANAVKLEATNQEDLSESTKEESQKLDKENSRASNDSMEKRRKDALVKKGTSSRLNLLTEAQKRAEKLRSHKVDLQKMKKEREDEELKRLEALKRERQKRIASRSGSTVTQAPSTPQQTKARLAIKPSPGPHKGLKLSNTEPVSSSPLRKLPIRTSSDGSNDPQKPIKSSKLNGSNHGLTRSASSLPEVKKESNGLMPEAKTDSLRMKRHSDPKSNYTQSGSSVKSITADQDSKRGVPDESQKKITAIIQQEESKSATLPELRIKTPPTSTEVVENETASKDPLQKETAREASQASDTNNGKSANDKPPSNNDENPVIEKTVVMLENNLVTAPVVQQSDEMIDTKERSHGDGMVTGYAALHAPPSPVIITQVEDSGEGKLNEQLNSYKVVVPCLGSEPQKFSNLTVAEKSYQAPYARVTSLEDPAAPNLGYVGVPASESEMAAEHAENGSITVHVSGFKNSSLTDLTHETHEKPRSKESKGFRKLLKFGRKSHGSASGEGNLDTDASSVDDPTVTAASSNDVTHSFSLLSPFRSKNSEKKQAA >Ma02_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18373881:18380075:-1 gene:Ma02_g07880 transcript:Ma02_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHAALQSTATVTPPRPLMALHRHHLAPLPRPLSFPPPPNPNLRTRLVLSPVGLGPRKPRAASGITASAAAAPAPVDSDVRDALERCFALSTESGPLSYLSSPATSGAAMPVMKGGKYGAFGAVTLEKSKLDLSQKTRRTSPELAVGGGGGDIGKKNFHGGGDGGDDDGDDDDYFDDFDEEDDGDEGGLFRRRMVLQELFDRKFVDAVLQEWYKSMINLPAGLRQAYEMGLVSSAQMVRFLTMNARPTVSRAISRTLPEWLSRAFVGRMIADPSFLYKLLLEETMTCACSLWWEFKNRKERMKQEWQLALVNVLTVMTCNGIMVWSLAPCRSYGNTFRFDLENTIQKLPNNIFENSYPMREFDLQKRVYSFFYKAAEFSLLGLTTGSIQGALSKAFAAKRDGSKLSVTIPSVSTNALGYGAFLGLYANMRYQLLNGLDRAMVNHFDVLGVAIFFSTALRILNTQLGETSRLAWLGVEANPLLESENLLKAYNRPSDAVESSSSKWFISKNAIISGLGLLGLKQGSGEPEATPPKTRRKRIIRKKVNAGSP >Ma02_p07880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18373881:18380075:-1 gene:Ma02_g07880 transcript:Ma02_t07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHAALQSTATVTPPRPLMALHRHHLAPLPRPLSFPPPPNPNLRTRLVLSPVGLGPRKPRAASGITASAAAAPAPVDSDVRDALERCFALSTESGPLSYLSSPATSGAAMPVMKGGKYGAFGAVTLEKSKLDLSQKTRRTSPELAVGGGGGDIGKKNFHGGGDGGDDDGDDDDYFDDFDEEDDGDEGGLFRRRMVLQELFDRKFVDAVLQEWYKSMINLPAGLRQAYEMGLVSSAQMVRFLTMNARPTVSRAISRTLPEWLSRAFVGRMIADPSFLYKLLLEETMTCACSLWWEFKNRKERMKQEWQLALVNVLTVMTCNGIMVWSLAPCRSYGNTFRFDLENTIQKLPNNIFENSYPMREFDLQKRVYSFFYKAAEFSLLGLTTGSIQGALSKAFAAKRDGSKLSVTIPSVSTNALGYGAFLGLYANMRYQLLNGLDRAMVNHFDVLGVAIFFSTALRILNTQLGETSRLAWLGVEANPLLESENLLKAYNRPSDAVESSSSKWFISKNAIISGLGLLGLKQGSGEPEATPPKTRRKRIIRKKVNAGSP >Ma03_p28660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31772591:31778897:-1 gene:Ma03_g28660 transcript:Ma03_t28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFYAMSTVGAIASPSNVRPNKKLLASKQKFSQFSSFSSISPIAISGRGQSLCYLRRGNSKINAMAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVTELEKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEYENCKLLLVDKKITNARDLINVLEDAIRGGYPVVIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGDRKSQYLDDIAILTGATVIRDEVGLSLDKAGKEVLGTAAKVVLTKDSATIVGDGSTQEEVTKRVAQIKNLIEVAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKETLDNDEQKVGADIVKRALSYPLKLIAKNAGVNGSVVTEKVLANDSFKYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVDIKEPEPVPAGNPMDNSGYGY >Ma10_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10501906:10502390:-1 gene:Ma10_g03000 transcript:Ma10_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGNGKLSKLKCMIKRWHSSSKITRSAAGGGSSGSSSRSQDDDAWHSASFHGDEAPPALRALYVGKSRRQYLICSHLVDHPLLRELVERTGGGTDGGADAGTVVGCEVVLFDHLLWMLENADPQPDSLDELVDFYSC >Ma00_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31609057:31624961:1 gene:Ma00_g03850 transcript:Ma00_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHFGIEIFVGGNLGKPLSDAAFLCLHANSIEDMIQVAVVEVSSYQLEIPTKYFSPSVAVILNLTPDHLERHKTLKNYAETKCRLFSHLKHNKLAILPVGNQYMEEAYSKYTDKCNLAWIEGNPGIKTNFGSKVATFSFPTTGIVTHLQLGDLKAIGAHNHSNAAVAAFSVLGLDVGIDCDFIKSTVGILSILPHRMQVVCIDAHGITWVDDSKATNVESTLTGLKGLKEHNAVVLLGGVAKALNDRESNGFEQLIDDLKHHKGVITFGSSGAMIQKTLCDGGLEVPCVRAMNLKQAVDIAKNMATYGDTILLSPGCASFDDFRNFEHRGEVFQELALLS >Ma00_p03850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31609057:31624961:1 gene:Ma00_g03850 transcript:Ma00_t03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHFGIEIFVGGNLGKPLSDAAFLCLHANSIEDMIQVAVVEVSSYQLEIPTKYFSPSVAVILNLTPDHLERHKTLKNYAETKCRLFSHLKHNKLAILPVGNQYMEEAYSKYTDKCNLAWIEGNPGIKTNFGSKVATFSFPTTGIVTHLQLGDLKAIGAHNHSNAAVAAFSVLGLDVGIDCDFIKSTVGILSILPHRMQVVCIDAHGITWVDDSKATNVESTLTGLKGLKEHNAVVLLGGVAKALNDRESNGFEQLIDDLKHHKGVITFGSSGAMIQKTLCDGGLEVPCVRAMNLKQAVDIAKNMATYGCASFDDFRNFEHRGEVFQELALLS >Ma08_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36296217:36297323:1 gene:Ma08_g22810 transcript:Ma08_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSDEAPPAAKKPFSLPRSFTYHHHPGRPSIAKPPSSRFAADGPDKRPKVVLYSTSLRGVRRTFDDCRSVRAILRGLRVAVDERDVSLDAAFRRDLQAALKGRPFALPQVFVGARWIGGAEEIRQMHEAGELAKMLEGVARQDPAFVCHGCGGVRFAPCPTCSGSRKVFIEEERRLRRCLECNENGLVRCPDCCY >Ma03_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1836605:1838116:1 gene:Ma03_g02700 transcript:Ma03_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGKERAETMARIANGCEEWGFFQLVNHGIPVELLERVKKVSSECYKLREERFRGSKPVQLLDTLVKEVCLKVLDTFPRLLEFACVYHL >Ma09_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36564160:36564858:-1 gene:Ma09_g24950 transcript:Ma09_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRVLPTRLVSRVVTAYKEQAAAAATRKGSVSDKVDVARSCDVFISHRGTDTKMTLAGLLYDRLVHHNVHPFLDNRTMEPGDKLYECIDAGILQCKVGVVIFSPRYCDSFFCLHELAMMVEAKKKLIPVFCDVKPSELSVLDAESHSPENLKRYSKALQEAKYTVGLTFNSKTGNWSELVSRTANIVMKCMKEGKDR >Ma10_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27651151:27655364:-1 gene:Ma10_g15600 transcript:Ma10_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGAASKAAARSGGGSLPASSRSGRLPRGRKIQKAFNNLKITVLCGFVTILVLRGTIGIGSLAGAGGDASEAADQRVIEDIDRILREIRSDADPDDDDQQQQFLSLNSTTATRDGGGNATDITSAVVANYTLGPKISDWDSQRRRWLSENPGFPNLVEGGKPRILLVTGSPPNPCDNATGDHYLLKAIKNKIDYCRLHGIEIVYNMAHLDRELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMAFEIPLPRYDAHNLVVHGYPDLIFDQHSWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGPVRDEAGKILTASLKGRPAFEADDQSALIYLLLSQQDKWGNKVFIENSYYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLGSMERAFNFADNQILRIYGFAHRGLASSNIKRIKRQTTRPLEVKEQLNLGARISS >Ma10_p15600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27653878:27655364:-1 gene:Ma10_g15600 transcript:Ma10_t15600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGAASKAAARSGGGSLPASSRSGRLPRGRKIQKAFNNLKITVLCGFVTILVLRGTIGIGSLAGAGGDASEAADQRVIEDIDRILREIRSDADPDDDDQQQQFLSLNSTTATRDGGGNATDITSAVVANYTLGPKISDWDSQRRRWLSENPGFPNLVEGGKPRILLVTGSPPNPCDNATGDHYLLKAIKNKIDYCRLHGIEIVYNMAHLDRELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMAFEIPLPRYDAHNLVVHGYPDLIFDQHSWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGPVRDEAGKILTASLKGRPAFEADDQSALIYLLLSQQDKWGNKVFIENSYYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLGSMERAFNFADNQILRIYGFAHRGLASSNIKRIKRQTTRPLEVKEQLNLGARISS >Ma10_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32228374:32235163:-1 gene:Ma10_g23170 transcript:Ma10_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 16 [Source:Projected from Arabidopsis thaliana (AT1G75340) UniProtKB/Swiss-Prot;Acc:Q9FWS3] MNRRKELCRNFQRGSCQYGDRCKFLHVTQQQSKANPFGFGTGTLHFPNATQQQKPNPFGFGVQSNTQSQNNTHLKASSNFGAQHQNPAKPFENKWTRSSSLTPTNTNSLQHTEAQPQAPAHKCTDPESCKRQIVEDYKNEAPLWKLTCYGHRKNGPCDIVGDISFEELRAAAYEDARQGLSLQSIVERERNLYLSKLNEFDNLLKNSYGSQNPSFPQMSQSPMSMNNTSSTNVQSNAAPSFSSFSQLTTNLGLNSRTNPPGTPNGTGFVQPSLFQNASQNSVGFGVKFGAPGGFGQQPTQPSGNTPSPSLSTFNIGVKSPGSPFPLTSQQFGGMNNQQPNLLTGFNVSSVTVEQASITDEQQEGSPDDSIWLKEEWKIGEIPERPPPERFC >Ma10_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23192432:23201445:1 gene:Ma10_g08980 transcript:Ma10_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLYRRKLPSPPAIEFASPEGKRLFTEAYQSGTMEGFFKLISHFQTQSEPAYCGLATLAMVLNALAIDPGRKWKGPWRWYDESMLDCCEPLEKIKAEGITFGTVACLAQCAGAEVKAFRTNQSTIDDFRAHVIKCTSSEDCHLIVSYHRKPFNQTGTGHFSPIGGYHAESDMALILDVARFKYPPHWVPLALLWEAMDTIDKTTGRPRGFMLISIHQKVPSLLYTLSCRDERWVTMAKYLMDEVPILLKSEDLKSVPQVLFILLESLPACAGYFIKWVLEVRRQEENGSSLSKEEKERLAAKEEILQQVHETELFKCVTDLLSSSNSNHKLEEKHLLSEIAANVCCQGAALLSGELTSSSGICSRTCIKCFKVNDDKSTTVVSGTVVSGGNQHEVDMLVPVSKATTGCCCNSTLNNCTVMHPASTDVLTVLLLALPPTTWLDIKDKSLLSEIQCLVSTENLPDALQQEVLLLRRQLHYLKRCKDKEVDDDSTLCWFT >Ma03_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10585706:10592533:-1 gene:Ma03_g13480 transcript:Ma03_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCGSGITSGWIEASVAHLPERLSRVGAPTLRLGLHGDRGKFASLMLWSPPPSPQKWGRRWRVLAHEASGGCGENNGVPTNGFNVLINDGRAFPENDSVQDGSHESCISEKKEMVQPVAVGSGTTGSKAGLFRTPISGGVQSATTVHGLPPPALAVRNLMEQAKFGQLCTIMSGMHHHRAGYPVGSLVDFAPDSMGHPIFSFSPLAIHTRNLLANSKCSLVVQIPGWSSLSNARVTIFGDVFPLAADEQEWARQQFVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEGLKPDKIAADSGEQNLKELNAIFSKPIKDILSTETEIDDAAFISVDSKGTDVRVRQGAQFNIQRISFEVEHEVQTLDEAKAALQKIIDRSCSSMSQKGN >Ma03_p13480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10585706:10592533:-1 gene:Ma03_g13480 transcript:Ma03_t13480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCGSGITSGWIEASVAHLPERLSRVGAPTLRLGLHGDRGKFASLMLWSPPPSPQKWGRRWRVLAHEASGGCGENNGVPTNGFNVLINDGRAFPENDSVQDGSHESCISEKKEMVQPVAVGSGTTGSKAGLFRTPISGGVQSATTVHGLPPPALAVRNLMEQAKFGQLCTIMSGMHHHRAGYPVGSLVDFAPDSMGHPIFSFSPLAIHTRNLLANSKCSLVVQIPGWSSLSNARVTIFGDVFPLAADEQEWARQQFVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEGLKPDKIAADSGEQNLKDILSTETEIDDAAFISVDSKGTDVRVRQGAQFNIQRISFEVEHEVQTLDEAKAALQKIIDRSCSSMSQKGN >Ma02_p08360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18753401:18769730:-1 gene:Ma02_g08360 transcript:Ma02_t08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRNPTQRPARHPPDATATATAATAAAVDALSSPPSLASDDGRRRPDGPDSAEAASVKVECERALTALRRGNHTKALRLMKEAAVRHESSALLHRVHGTVAVKVAALLDDPGAKLRHLRAAIDSARRAVTLSPSSIEFAHFYASLLYDAATDGRGYEEVVQECERALAIPDPVDPAKESLHEESQQKLSTSDARITHVQQELRALIQKSNIASISSWMKNLGNGAAGEERFRLIPMRRISEDPMEVRLVQAARRPNEIKKVTKTPEERRKEIEVRVAAARIIQQKPGSSPRSPHEDDGRPGSDTPGSSSAHRLAERRKLSSKKVGSSTDRMDQVRAYWNSMSIKKRLGFLIVSIPELRTHYASTAKDNFALDILGEALAFVETNGTWKFWACCRCDEKFTECDAHIQHTVREHLSSLSTKLQSVMPQEVDGEWIEMLLNGSWKPIDAAAAAMMLKEEHSKRQPVIRDAESDSGSKDKDCSSEYWSARENSDSSSSPQHGDLADRDISNEFPVEGNYSEMSDIDDVSRRWPLSDDVERINLLERVQAMFQTLVKHKSLSVSHLNKVIQYAIEEIQAFPSGSLPLNHALDQSPICICFLDASHLRKVLKFLQELSQSCGLGRYSEKDTAAGDADSSGQGSEDSDDVCLTFDSSSLLLHGRSFTQKPGSHHENSGNDDDKDSMPDADAFLSWLFAGPSNGDQLSAWTRMREEKSHQGIEILQMLEKEFYLLQSLCERKCEHLSYEEALQSIENLCFEELKKREQAGKFASQSYEATLRKRQEELVERENDEIFNACRLELDVISNVLKEAQALNVSQFGCDEALSGVSSRLCELDCDEGDDWRVHDYLQQTDTCVGIAIQRQKEQLSVELNKIDARIMRNMTGMQQLEAKLGPALAFDYRTVLLPLVKSFLRLCLESLVDKDATEKSDAAREAFLAELALDAKKNINRGNDSKHAHEKSRDKKKNRDYRKIKDQKALGYSDQYSDHQDTAEQSEFLDDGDILEHDYVASGDYLKQQEEELKLRVELEAEERKLEETLEYQRRIEDEAKQKHLAEQLKNSTVTSPNNNTEERFAADSVLNLNYDSILHNYIAPNFVEGIEFGDFHFSEANVHKSHHDERFNQSRNKPAAADQLLNLGQQQNIGDNSDKLNDIYISEVQDFGHSNGLPSKGGLQMNGIERRVSDTKFSNNSSVQKAKKTSGQSHMKYKQGATGTIHDEFLPSDMSKSNQVLRHSAPKQLPDGNARTLHEVQKDQAGVAGDNEVKTLRQLHTEEDDEQRFQEDLKKAVRESLEGDDYCVSATESGSVSSRKDIVGTGLRNAVGEYNCFLNVIIQSLWHLRRFREQFLKMSSAHVHVGNPCVVCALYDIFLDLRKAFDDGPNDAVAPTSLRIALSNLYPDSKFFQEAQMNDASEVLAVIFDCLHKSFNIFSGDCDVESYESNSVGSWDCANSSCIAHSLFGMDIDEQMNCYSCHCQTRHLKYTSFFHNINANSLRTAKIMCPESSFDELLKTVEMNHQLSCDVEAGGCGKPNYMNHILSHPPHVFTAVLGWQSTNESADDISATLAAITTDVDIGILYCGIDKGCKHSLVSVVCYYGQHYHCFAYECDQWVMYDDQTVKVIGGWNDVLTMCERGHLQPQVLFFEAVN >Ma02_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18753401:18769730:-1 gene:Ma02_g08360 transcript:Ma02_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRNPTQRPARHPPDATATATAATAAAVDALSSPPSLASDDGRRRPDGPDSAEAASVKVECERALTALRRGNHTKALRLMKEAAVRHESSALLHRVHGTVAVKVAALLDDPGAKLRHLRAAIDSARRAVTLSPSSIEFAHFYASLLYDAATDGRGYEEVVQECERALAIPDPVDPAKESLHEESQQKLSTSDARITHVQQELRALIQKSNIASISSWMKNLGNGAAGEERFRLIPMRRISEDPMEVRLVQAARRPNEIKKVTKTPEERRKEIEVRVAAARIIQQKPGSSPRSPHEDDGRPGSDTPGSSSAHRLAERRKLSSKKVGSSTDRMDQVRAYWNSMSIKKRLGFLIVSIPELRTHYASTAKDNFALDILGEALAFVETNGTWKFWACCRCDEKFTECDAHIQHTVREHLSSLSTKLQSVMPQEVDGEWIEMLLNGSWKPIDAAAAAMMLKEEHSKRQPVIRDAESDSGSKDKDCSSEYWSARENSDSSSSPQHGDLADRDISNEFPVEGNYSEMSDIDDVSRRWPLSDDVERINLLERVQAMFQTLVKHKSLSVSHLNKVIQYAIEEIQAFPSGSLPLNHALDQSPICICFLDASHLRKVLKFLQELSQSCGLGRYSEKDTAAGDADSSGQGSEDSDDVCLTFDSSSLLLHGRSFTQKPGSHHENSGNDDDKDSMPDADAFLSWLFAGPSNGDQLSAWTRMREEKSHQGIEILQMLEKEFYLLQSLCERKCEHLSYEEALQSIENLCFEELKKREQAGKFASQSYEATLRKRQEELVERENDEIFNACRLELDVISNVLKEAQALNVSQFGCDEALSGVSSRLCELDCDEGDDWRVHDYLQQTDTCVGIAIQRQKEQLSVELNKIDARIMRNMTGMQQLEAKLGPALAFDYRTVLLPLVKSFLRLCLESLVDKDATEKSDAAREAFLAELALDAKKNINRGNDSKHAHEKSRDKKKNRDYRKIKDQKALGYSDQYSDHQDTAEQSEFLDDGDILEHDYVASGDYLKQQEEELKLRVELEAEERKLEETLEYQRRIEDEAKQKHLAEQLKNSTVTSPNNNTEERFAADSVLNLNYDSILHNYIAPNFVEGIEFGDFHFSEANVHKSHHDERFNQSRNKPAAADQLLNLGQQQNIGDNSDKLNDIYISEVQDFGHSNGLPSKGGLQMNGIERRVSDTKFSNNSSVQKAKKTSGQSHMKYKQGATGTIHDEFLPSDMSKSNQVLRHSAPKQLPDGNARTLHEVQKDQAGVAGDNEVKTLRQLHTEEDDEQRFQEDLKKAVRESLESTEGDDYCVSATESGSVSSRKDIVGTGLRNAVGEYNCFLNVIIQSLWHLRRFREQFLKMSSAHVHVGNPCVVCALYDIFLDLRKAFDDGPNDAVAPTSLRIALSNLYPDSKFFQEAQMNDASEVLAVIFDCLHKSFNIFSGDCDVESYESNSVGSWDCANSSCIAHSLFGMDIDEQMNCYSCHCQTRHLKYTSFFHNINANSLRTAKIMCPESSFDELLKTVEMNHQLSCDVEAGGCGKPNYMNHILSHPPHVFTAVLGWQSTNESADDISATLAAITTDVDIGILYCGIDKGCKHSLVSVVCYYGQHYHCFAYECDQWVMYDDQTVKVIGGWNDVLTMCERGHLQPQVLFFEAVN >Ma05_p29690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40294492:40297842:1 gene:Ma05_g29690 transcript:Ma05_t29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVCPAPMTATSNGSWDGDNPLHHSLPLIILQICLVLVVTRSLAFLLRPLRQPRVVAEIIGGILLGPSALGRSKRFTDNVFPKQGMTVLDTLANIGLLFFLFLVGLELDLRSIRRTGKGALAIAIAGITLPFVLGIGTSVVLRHTIVEGARQGPFLVFMGVALSITAFPVLARILAELRLLTTDLGRMAMSAAAVNDVVAWILLALAIALSGSGSPLISLWVLLTGIGFVACVAIFLRPVLAWMARRSLEGEPVKESYVCATLAIVLAAGFVTDAIGIHALFGAFMVGVVVPKDGPFAAVIIEKVEDLVSGLFLPLYFVSSGLKTNVATIRGARSWGLLVLVITNACLGKIAGTVVASLIVKIPIREAFTLGFLMNTKGLVELIVLNIGKDRKVLNDETFAIMVLMALFTTFITTPIVMGIYKPARRAAPYKHRTVERSHVDSELRVLACFHGVRNIPTIINLVEISRGIRHRPLAVYALHLMELSERSSAISMVHKARRNGLPFWNRRDNAGHMVVAFEAYQQLSAVNIRPMTAIYDLDTIHEDIVASALQKRAALILLPFHKMQQLDGTLESVGHPYHLINQRVLRHAPCSVAIVVDRGLGGTAQVSSSEVSYTVVVLFFGGPDDREALSYGGLMAEHPGIALTVLRFLPAPVENVDRSAEDEACISKFRSNSQSSDGSLTYEESAAADMAGIIAAIKNLGRRNLFLVGRSPPAVALVEKSDCPELGPVGSYLVSAEFSTTASVLIIQRYDPSRETSRLVEQVEAAEVADMPDTPLALVTSERSLRA >Ma07_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13064961:13067253:1 gene:Ma07_g16280 transcript:Ma07_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILRAPALLIAPPEVAAGAARGASLEAARSLPFFSARRRISWPLRLKLSPLAYKPLRFPNLGGFAAYGDTTEAGETAKTVEKEDSDEEISVEDEATDGDGIGMEETVASTVMLSLQLYKEALANNDRSKVSEIETFLQSIEDEKNSLSTKIAALAEESSAERVRVLRISADFDNFRKRTEREKLSLMTNVQGEVIESLLPVLDNFERAKSQIKVETQVEEKINSSYQSIYKQFLEILTSLGVEAVETVGSSFDPLFHEAIMHEESTEFEEGIIIQEFRKGFKLGERLLRPSMVKVSAGPGPEKTIDDENVVIVEDIDSSESMEDVGHDSG >Ma11_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27436952:27438863:-1 gene:Ma11_g24490 transcript:Ma11_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVEAFRSLFSLLFLSFAAAFFLLALVLKALRSMPWWCSCPVCEAYVTKSWTAHFDNLCDWYAHLLRESPTRTIHIHVLGNTVTANPDNVEHMLRARFDNYPKGKTFSAILGDLLGRGIFNVDGHLWRFQRKMAGAELGSSAVRFFASCIVADEVRGRFLPLLDVSSADDKILDLQDVFRRFAFDNICKISFGIDPGCLELSLPMSEFAAAFDKASRLSASRATSTMPMVWKAKRLLNRGSERELREAIGMVNLLANELIRQRRKIGFSSNHDLLSRFMACVDDEKYLRDIIISFMLAGRDTVASLWGSDCHEFRPQRWLTNGAFTPESPYKYPVFQGGLRVCLGKDMALMEMKTVIVAVVRRFDIHVVDDGSSLPPKFAPGLTASLEGGLPVRVKRRDTSFPTQQLGACSAQCSSIC >Ma03_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6906510:6911976:-1 gene:Ma03_g09350 transcript:Ma03_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MMPQAQQTTSAVGALAAPQDPLESAGDATTEAPPKQVALAMERLTRAARVIADIRLGADRLLEALFMAADARDQSNSKAVEFILKEESSMRQHFQDLRALGRQLEDSGVLNGSLKSRGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEVSGDGEIGIAKKRLNSQGLIMSQREELREKKSLSDILKDLEHEFPNARIFTYQRLDWLKRASLLSSSAIENSSDPLKEQILNHSSNTRFGSVNVAAADQAAVIELLVPSVFRAVVSLHPAGSTNPDAVSFFATDEGGNHIHARGLSVHHVFRHVTEHADKALQYFVCVDNNLSLSLLLRWICSHQTLFSKTCSKCDRLLVMDKSLALLLPPVHRAYQETTTTVSSQTESTPPTKAAHPDHISAYHIGCSADDC >Ma03_p09350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6906510:6911976:-1 gene:Ma03_g09350 transcript:Ma03_t09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MMPQAQQTTSAVGALAAPQDPLESAGDATTEAPPKQVALAMERLTRAARVIADIRLGADRLLEALFMAADARDQSNSKAVEFILKEESSMRQHFQDLRALGRQLEDSGVLNGSLKSRGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRKRMLASDIAEDAKFFIRIFGLALKAFTDQKRRFFPHLDDEVSGDGEIGIAKKRLNSQGLIMSQREELREKKSLSDILKDLEHEFPNARIFTYQRLDWLKRASLLSSSAIENSSDPLKEQILNHSSNTRFGSVNVAAADQAAVIELLVPSVFRAVVSLHPAGSTNPDAVSFFATDEGGNHIHARGLSVHHVFRHVTEHADKALQYFVCVDNNLSLSLLLRWICSHQTLFSKTCSKCDRLLVMDKSLALLLPPVHRAYQETTTTVSSQTESTPPTKAAHPDHISAYHIGCSADDC >Ma02_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6344073:6355695:-1 gene:Ma02_g00770 transcript:Ma02_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETNAKKEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Ma02_p00770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6344073:6355754:-1 gene:Ma02_g00770 transcript:Ma02_t00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETNAKKEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >Ma04_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10822196:10826667:-1 gene:Ma04_g14280 transcript:Ma04_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTLSWIVFFLLLGLGRCKTVKRDVKALNEIKASLGWRVVYAWVGDDPCGDGNLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLKRLDLHNNKLTGPIPPQIGRLKHLTILNLRWNKLQDVIPPEIGELKKLTHLYLSFNHFKGEIPVELANLPELRYLYLHENRLTGKIPPELGKLKNLRHLDVSGNHLTGTLADFIQNGDGFPSLRNLYLNDNQLSGELPDQISNLTNLEILYLSYNKLSGQITPKLMEIPRLTCLYLDHNAFTGKIPNGLYKHPFLKEMYVEGNLFKSGTKQKGPHKILDLSDTEFLF >Ma08_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32336435:32346450:1 gene:Ma08_g18760 transcript:Ma08_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELQSGVRRGGHAPPPPTEAVDRPLRNTRARAAAKKPAPAEVAVVVGRRGRTRAAPSRPVAKGRQRVRLAKERRDLVEEGEGKGSDQLKEVIVVVEEEEKKGKERANLAEEIRGKEVMGDDSGGLSANKVAVQEEEGNTTPFPKKVQVGGSPVYKVERKLGKGGFGQVFVGRRVTGGIDRTMGPGALEVAIKFEHRNSKGCNYGPPYEWQVYSALGGSYGVPRVHYKGRQGDYYVMVMDMLGPSLWDAWNSSGQTMSSEMVACIAVESISILEKMHSKGYVHGDVKPENFLLGQPATPQEKKLYLVDLGLATRWKDASNGHHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSAEMLCCFCPPPFKQFLELVVNMKFDEEPNYSKLISLFDGLIGTNPASRPINTDGAQKVGQKRGRLTIDDDEQSQQRKKIRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHIDKGNEDGLLISCVASSSNLWALIMDAGTGFTSQIYELSPFFLHKEWVMDQWEKNYYITSLAGSNNGSSLVVMSKGTQYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGSRWGIVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITCMGATWDQAALILSVPKRKPCDETQETLRTSAFPSAHVKDKWAKNLYLASICYGRTVS >Ma08_p18760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32336435:32346508:1 gene:Ma08_g18760 transcript:Ma08_t18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELQSGVRRGGHAPPPPTEAVDRPLRNTRARAAAKKPAPAEVAVVVGRRGRTRAAPSRPVAKGRQRVRLAKERRDLVEEGEGKGSDQLKEVIVVVEEEEKKGKERANLAEEIRGKEVMGDDSGGLSANKVAVQEEEGNTTPFPKKVQVGGSPVYKVERKLGKGGFGQVFVGRRVTGGIDRTMGPGALEVAIKFEHRNSKGCNYGPPYEWQVYSALGGSYGVPRVHYKGRQGDYYVMVMDMLGPSLWDAWNSSGQTMSSEMVACIAVESISILEKMHSKGYVHGDVKPENFLLGQPATPQEKKLYLVDLGLATRWKDASNGHHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSAEMLCCFCPPPFKQFLELVVNMKFDEEPNYSKLISLFDGLIGTNPASRPINTDGAQKVGQKRGRLTIDDDEQSQQRKKIRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHIDKGNEDGLLISCVASSSNLWALIMDAGTGFTSQIYELSPFFLHKEWVMDQWEKNYYITSLAGSNNGSSLVVMSKGTQYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGSRWGIVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITCMGATWDQAALILSVPKRKPCDETQETLRTSAFPSAHVKDKWAKNLYLASICYGRTVS >Ma07_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28219473:28220447:1 gene:Ma07_g20310 transcript:Ma07_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKDRLHLHTVLSSDPQRVIAKEGPSDRDHQPSSAPYSRISREQEQSIIIASLIHVVSGHPPEVPLASTCGVCGIAGCLGCDFFVSAADNEDATPPATSEITDGSGEMQVRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFNTAEEAARAYDMAAIEFRGARAKLNFPFPEQPPALGGDQGTSQSTSLSSSHQPQQQGFGEQQKQQVVMDHQGQELIDLWAGIQDLMALDDEELYNGSS >Ma06_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8595879:8597616:1 gene:Ma06_g12370 transcript:Ma06_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQEIRRSQRAGGPAAVLAIGTATPANVLYQADYPDYYFRITKSDHLTELKEKFKRMCDKSMIRKRYMHLNEEILKENPNICAYMAPSLDARQDIVVVEIPKLGKEAAVKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTRLLGLRPSVNRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAIIVGADPDPAIERPLFQIVSASQTIVPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFKPLGIDDWNSIFWIAHPGGPAILDQVEAKIGLQKEKMQATRRVLSEYGNMSSACVLFILDEMRNRSAADGKATTGEGLKWGVLFGFGPGLTVETVVLHSMPIVAN >Ma05_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1468784:1470490:1 gene:Ma05_g02300 transcript:Ma05_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G3 [Source:Projected from Arabidopsis thaliana (AT4G25950) UniProtKB/Swiss-Prot;Acc:Q9SZH0] MDPVRGHGGIHMLLAAEQESQQIVSSARNLKTGRLKQAKDEAEREAAAYRTSLEEDYQRKVSESTGSSGWNVKRLEKETETKIQNLKNASSAIHADVINMLLKHVTTVQT >Ma08_p27170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39501132:39502165:1 gene:Ma08_g27170 transcript:Ma08_t27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFFNSCFREWVRNKLNTRGARLFDFVQTVSPFDPSQPLTETSTSGNNRILPT >Ma10_p23070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32167409:32175687:1 gene:Ma10_g23070 transcript:Ma10_t23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRACVKRLQKEYRAICKEPPPQIVARPSPSDILDWHFVLEGSEGTPFAGGCYYGRLKFSPEYPFKPPSIRMVTPNGRFVPNEKICLSMSDFHPESWNPMWSVSSILTGLLSFMLDKHQTTGSIRSSDNEKRELAKASLAYNCESKNCPSFKKLFPEYVEKYNKQKSRASAISQQPPEDKSGHLSAKAEQTGTQDLKEFVNDAGKRRQGKQLPFWIVLLLLSIFGIVIALPLMQL >Ma10_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32167409:32175687:1 gene:Ma10_g23070 transcript:Ma10_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRACVKRLQKEYRAICKEPPPQIVARPSPSDILDWHFVLEGSEGTPFAGGCYYGRLKFSPEYPFKPPSIRMVTPNGRFVPNEKICLSMSDFHPESWNPMWSVSSILTGLLSFMLDKHQTTGSIRSSDNEKRELAKASLAYNCESKNCPSFKKLFPEYVEKYNKQKSRASAISQQPPEDKSGHLSAKAEQTGTQDLKEFVNDAGKRRQGKQLPFWIVLLLLSIFGIVIALPLMQL >Ma08_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2141119:2148194:-1 gene:Ma08_g02850 transcript:Ma08_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSGKEAGKGQKLAMDAGKYVRYTPEQVEALERVYNECPKPSSLKRQQLIRECPILSNIDPKQIKVWFQNRRCREKQKKEACRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHNASVATTDTSCESVVTSGQHHHQQNPTPQCPQKDPNNPAGLLAIAEETLAEFLSKATGTAVEWVQMVGMKPGPDSIGIIAVSRNCSGVAARACGLVNLEPTKVAEILKDRLSWYHDCRCLDVLTVIPTGNGGNIELLYMQTYAPTTLASARDFWILRYTTCLEDGSLVICERSLTPSTGGPVGPAASDFVRATMLPSGYLIRPCEGGGSMMHIVDHVDLDVWSVPEVIRPLYESPEILAQKMTLAALRHIRQISQEASGEIAYVGRHQPAVLRNFIRRLSRGFNDAVNGFADDGWSLMGSDGVEDVTIAINSSPNKFHRSHFNSSTAFSGIGCGILCAKASMLLQNVPPALLVCFLREHRSEWADFGVDAYSASSLRASPYAVPGVRSGSNFVGNQIILPLAHTTEHEETLEVVRIVDHGFNQDDVLSRNIHLLQLCRGVDENAAGACAQLVFAPIDESFADDTPLLTSGFRIIPLDSRPDGPKATRTLDLASTLEVGSGAAAHTANKTTCSAFNSRSVMTVAFQFTYENHLRENVAAMARQYVRSVVTSVQRVAMAIAPSRLGSHIGAKQPLGSPEAHTLAQWIFRSYRFHIGVDLFQADSHTSESLLKNLWHHSDAIVCYALKVPSIFNFANQAGLDMLETTHVALQDITLEKILDDSSRKVLNAEFPKIMQQGFAYLPAGICSSSMGRPISYEQVVAWKVLNDVDSPHCLAFMFVNWSFV >Ma07_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9177468:9178264:-1 gene:Ma07_g12270 transcript:Ma07_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYTAGAEAIMLLFLTLPGLGGLRRGLLTVVRGALKPLLSVVPFCLFLLADIYWKYEMRPTCEQEHACTPSEHLRYEKSIMKSQRNAILIASALLLYWLLFSVTSLLGRIDQQNQRIENLKRSE >Ma03_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4923308:4926518:1 gene:Ma03_g07170 transcript:Ma03_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDNRQFGHLEPRNGLVSPVRAVGKKFGTHHRSDSPVRGCSFSPLAPGKDNGTRYYDDDDEDEDEVVVDWTKLYGGRHLEVEPSVRDPRDEGTADSWIERNPSLIRLTGKHPFNCEPPLTRLMHYGFITPVPLHYVRNHGAVPKAKWRSWTLEITGLVKRPVRLTMDELVRDFPPVEIPVTLVCAGNRRKEQNMVHQTIGFNWGPAAVSTTVWRGVRLRDVLRRCGVMGRKDGALFVCFEGAEDLPGGGGSKYGTSLRREVAMDPSRDVMLAYMQNGELLTPDHGFPVRVIIPGFVGGRMVKWLKRIIVAPQESNSYYHHKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPGHDEILPINAFTTQRPYTMKGYAYSGGGRKVTRVEVTLNGGETWLVCALDHPEKPNKYGKYWCWCFWSLEVEVLDVLSSKEVAVRAWDESLNTQPEKLIWNVMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQSGGWMARQKHLETSEAPTLKKSTSTPFMNTSSKQYTMSEVRKHASRESAWIVVHGHVYDCTAFVKDHPGGADSILINAGADCTEEFDAIHSDKAKALLDTYRIGELIPSGYVSDTSVHGGSELSHLATIREISRPPALVNPREKMQCKLVAKKTISHDVRLFKFALPSADQVLGLPIGKHIFLCATIDGKLCMRPYTPTSTVDEVGHFELLIKVYFKGENPKFPNGGLMSQHLESLTLGSTLDIKGPVGHIEYNGRGNFVVNGKPRFAKRLAMIAGGTGITPVYQVIQAVLRDPEDRTEMHLVYANRSEDDILLWDELDGWARDHPEQFKVWYVINEAKRGEWRYSTGFVTERILRDHIPMGGSDDTLALACGPPPMIQFAVVPNLEKMKYDTANSLLLF >Ma05_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11052291:11054433:-1 gene:Ma05_g15020 transcript:Ma05_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNVRLCLQLFYQRSMGVFKTFCKLVGSMNITEVKYRYDSCREDALVLYRQVRCSFIPSISYSKCTMVNRMKSAQFQTINLTNYDLAKDHLRYFVMPELCNTKKQKRRHRGEGDRQPGALVKFLDSFSPRWKISLFHYRAQGETGANVLVGIQVPKENMQEFKRRAQDLAYEYTYDNESYCLPMQ >Ma04_p26520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27952915:27954071:1 gene:Ma04_g26520 transcript:Ma04_t26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHHFALLQRETEKLRVDIDKMRSELRYEIDKVTAGQRLDLNLERGRIRDELAKQSAETTELTTKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLAVVRILM >Ma10_p20500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30633117:30635657:-1 gene:Ma10_g20500 transcript:Ma10_t20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLVRELKEMRDGMGSMSRRGGRGGGAPEGTMTGHGRGCDQHPWSHQRDLEQRHQQQQQGRWANLPPELLLDVIRRVEESEVSWPARRHVLACAAVCHSWRDITKEVVKPPQQCGRITFPISLKQPGPRDNPIQCFIRRERATSTFRLYLGLSPSLHGQNDKLLLAARKIRRATSTDFVISLTADDFSRASSSYVGKVRSNFLGTKFTVYDSQPPCDAAVSSGSRSTRRIHSKQVSPRVPAGNYNIATIAYELNVLRTRGPRRIQCTMHSVPVSSIQEGGSVPFPTSFIHSISEQLPSLAVAKGKEPVIDFSSTSLTESRAPVQTNGAPLMLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVDPSHHVSHAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >Ma10_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30633117:30636296:-1 gene:Ma10_g20500 transcript:Ma10_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLVRELKEMRDGMGSMSRRGGRGGGAPEGTMTGHGRGCDQHPWSHQRDLEQRHQQQQQGRWANLPPELLLDVIRRVEESEVSWPARRHVLACAAVCHSWRDITKEVVKPPQQCGRITFPISLKQPGPRDNPIQCFIRRERATSTFRLYLGLSPSLHGQNDKLLLAARKIRRATSTDFVISLTADDFSRASSSYVGKVRSNFLGTKFTVYDSQPPCDAAVSSGSRSTRRIHSKQVSPRVPAGNYNIATIAYELNVLRTRGPRRIQCTMHSVPVSSIQEGGSVPFPTSFIHSISEQLPSLAVAKGKEPVIDFSSTSLTESRAPVQTNGAPLMLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVDPSHHVSHAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >Ma09_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5329969:5331076:-1 gene:Ma09_g08100 transcript:Ma09_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGGEGDGYRSHIHGDHERNTEWRFGSPPNYDLVNKLFEEGRTKVWEAGSLEERVQHMVKTWEMEMFHKRRFQDYKTVNPDNYTFSLNGRRPITLEQKRKLGGGYNSLLQTSLPQGLRVYDPSRETMESSHAAFTTAFPRGFALEVVHVYSGPPGIVYKFRHWGFMEGPFQGHAPTGEMVELYGMAIFQVDADMKIEKVEFFYDRGELLGGLLKGAKMEDAGLPDSSCPFLKNV >Ma10_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28626865:28628448:-1 gene:Ma10_g17120 transcript:Ma10_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEVTLESLRKKMAEFARERDWEPFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPEWGEEEKQHLGEELSDVLLYLVRLSDMCGVDLGKAALRKLELNALKYPVQPCKGSSSGKKHTHHPTSASNSSTEDPSSGGASEEALKR >Ma06_p28920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30479483:30484806:1 gene:Ma06_g28920 transcript:Ma06_t28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MASYSGRGGPSNGSVYVCKLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWLYRDKTTNEPKGDATVTYEDPHAALAAVEWFHNKDFHGVPIEVYIAESKTKDSIDNSTQQDGFEENPIMEVGGHDDLNGAGGRGRGRAGASGKSWQQDGDWMCPNTSCSNVNFAFRGVCNRCGTARPAGVGGTGAGGGGRGRGRGSGDAGGVARSVGGPTGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETRRRRREAEEDDGEIYDEFGNLKKKFRAKTQQAEAGQMVPGSGRAGWEVEEIGVSERHGGEKSKDRYRDFNERESSKNRERDGSERERERRRSRSREREREREKERGRVSDRDYGYERGRERGRDRHRDW >Ma06_p28920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30479221:30484806:1 gene:Ma06_g28920 transcript:Ma06_t28920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MASYSGRGGPSNGSVYVCKLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWLYRDKTTNEPKGDATVTYEDPHAALAAVEWFHNKDFHGVPIEVYIAESKTKDSIDNSTQQDGFEENPIMEVGGHDDLNGAGGRGRGRAGASGKSWQQDGDWMCPNTSCSNVNFAFRGVCNRCGTARPAGVGGTGAGGGGRGRGRGSGDAGGVARSVGGPTGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETRRRRREAEEDDGEIYDEFGNLKKKFRAKTQQAEAGQMVPGSGRAGWEVEEIGVSERHGGEKSKDRYRDFNERESSKNRERDGSERERERRRSRSREREREREKERGRVSDRDYGYERGRERGRDRHRDW >Ma10_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29526586:29535438:1 gene:Ma10_g18690 transcript:Ma10_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGQPQFRYTQTPSKVLHLRNLPWECTEEELIELGKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMISYYASSSEPAQVRGKTVYIQYSNRQEIVNNKVSGEVAGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTASEARNALDGRSIPRYLLPEHVTSCYLRISFSAHTDLNIKFQSHRSRDYTNPYLPVNPSAIEGNLQPALGPDGKIKEPESNVLLAAIENMQYAVTVDVLYTVFSAFGSVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISSTGILSNPQASSVPSASTVWQTNPQVAGTSVGSIGMQAPVPVGQTAAWHPSKTTFASPAGTFPGQPFVPSAGAPFPTSSGPPSAPAGYFQASQQRPQYGVQPRPASGAPPFGQPPGYF >Ma10_p18690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29526586:29535135:1 gene:Ma10_g18690 transcript:Ma10_t18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGQPQFRYTQTPSKVLHLRNLPWECTEEELIELGKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMISYYASSSEPAQVRGKTVYIQYSNRQEIVNNKVSGEVAGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTASEARNALDGRSIPRYLLPEHVTSCYLRISFSAHTDLNIKFQSHRSRDYTNPYLPVNPSAIEGNLQPALGPDGKIKEPESNVLLAAIENMQYAVTVDVLYTVFSAFGSVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCL >Ma04_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6222761:6225433:-1 gene:Ma04_g08740 transcript:Ma04_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLSWGWIECMRSKIFGLPETTDALVRSISFGDQKDVKMTLRSLSFKRSDSSKKMVSKVTDTSAMEKSLSFKGWEQETALSFKNEVTDGNETAQGSFESTMKIAVPQCPFEFSSPRPLSELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLEHSSVSFFKVEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYDVWFESESTQPFFYWLDVGDGREMNLEKCPRSKLQSQCIKYLGPKEREAYEVIVKNGKLLYKQTEMPVCTKEGSKWIFVLSTSRVLYVGQKKKGTFQHSSFLAGGAITAAGRLVAAEGALEAIWPYSGHYLPTEENFREFIIFLQDNNVDLSNVKKCSVDDDEHPSFRKRANDVEAAAEEAGKAKAVEPMGDSTEHDKAVASQFGQRLPCKWTTATGARIGCVRDYPVDLQTKALEHVNLSPRVTPSHSSHRVPIPSPRPSPKVRLSPRLHYMGIPSPTVSLTLPKHRRRLRDDAGSADH >Ma02_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28043504:28052105:1 gene:Ma02_g22830 transcript:Ma02_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLANSACSSSKIGFQGVQNVITGETNKDVLSKNTDVFGSNSGDGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDLEMDGLEQKAKASGASQLVVKDLKEEFVQDYIFPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVSHGCTGKGNDQVRFELTFFALNPELKVVAPWREWEITGREDAVEYAKKHNIPIPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPNQPEYLEIGIESGIPVSINERKLSPASLLSELNEIGGRHGIGRIDMVENRLVGMKSRGVYETPGGTILWAAARELESLTLDRETIQMKDMLALKYAELVYAGRWFDPLRHSMDAFMEKITETTTGSVTLKLYKGSVTVASRKSPYSLYREDISSFETGSIYNQADAAGFIRLYGLPMRVRAMLGKDK >Ma03_p23660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28180819:28186310:1 gene:Ma03_g23660 transcript:Ma03_t23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEICGCTCIRSIELNEGEDVFFDSLDYFCTSFDPESSGSYLVQESDFEIRKFPYEFWMKELPTVSVRERRNKFLRETGFDKLILSKLGCTKEPGGVRHTVPLEQIDLEMNVDSLLSPAYGGNDDSTCSLGALVTEKNIVVQNLGQNGSIAMLNEVDSNRTTSWQEIETYVGSQVVQPFLGEETRPNGTRRDRTLIEDKKLSRRWWRRLTSKMSAGGTYIKYAVTGNSEFPKMLMKKVIHENKNNTEIRVFCMVQEIVAHKSLIRTMKFSPCGTYLATGGEDCVVRIWRFREVEASHNCLVADGSSSLHDTKSKFRRKGFSCASFVSPDEILKLEKVPLHEYFGHTSDILDLSWSKSDCLLSASKDKTVRMWKVGCESCIKVFHHNDCVTCIQFNPVDDQYFISGSIDGKVRIWGIDENRVVDWADTRNIITAISYQTDGKGFVVGTLTGNCRFYVYTDNNLQLERELCIRGKKKLIGKQITGLQFCPGDSKKVMITSVHSKIRIFDGFDIVQKFGGSWKSKSYVAASFTSDARYIVSIDENSNICIRDYSSSAIPLSKGVESIYPCEGFFTEGVTVAVPWPGIETRGAYSYNTSIHSSAPFEHFPKSVSQCQHRDFFSLRSCFSAGGTSRASATWPEEKLPVIPVPSKRVNHNHMHHLCQRYQESESLVATSRLLIVTGSCSGMIRLFNYRRLPIRFC >Ma03_p23660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28180239:28186310:1 gene:Ma03_g23660 transcript:Ma03_t23660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEICGCTCIRSIELNEGEDVFFDSLDYFCTSFDPESSGSYLVQESDFEIRKFPYEFWMKELPTVSVRERRNKFLRETGFDKLILSKLGCTKEPGGVRHTVPLEQIDLEMNVDSLLSPAYGGNDDSTCSLGALVTEKNIVVQNLGQNGSIAMLNEVDSNRTTSWQEIETYVGSQVVQPFLGEETRPNGTRRDRTLIEDKKLSRRWWRRLTSKMSAGGTYIKYAVTGNSEFPKMLMKKVIHENKNNTEIRVFCMVQEIVAHKSLIRTMKFSPCGTYLATGGEDCVVRIWRFREVEASHNCLVADGSSSLHDTKSKFRRKGFSCASFVSPDEILKLEKVPLHEYFGHTSDILDLSWSKSDCLLSASKDKTVRMWKVGCESCIKVFHHNDCVTCIQFNPVDDQYFISGSIDGKVRIWGIDENRVVDWADTRNIITAISYQTDGKGFVVGTLTGNCRFYVYTDNNLQLERELCIRGKKKLIGKQITGLQFCPGDSKKVMITSVHSKIRIFDGFDIVQKFGGSWKSKSYVAASFTSDARYIVSIDENSNICIRDYSSSAIPLSKGVESIYPCEGFFTEGVTVAVPWPGIETRGAYSYNTSIHSSAPFEHFPKSVSQCQHRDFFSLRSCFSAGGTSRASATWPEEKLPVIPVPSKRVNHNHMHHLCQRYQESESLVATSRLLIVTGSCSGMIRLFNYRRLPIRFC >Ma03_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28180819:28186310:1 gene:Ma03_g23660 transcript:Ma03_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEICGCTCIRSIELNEGEDVFFDSLDYFCTSFDPESSGSYLVQESDFEIRKFPYEFWMKELPTVSVRERRNKFLRETGFDKLILSKLGCTKEPGGVRHTVPLEQIDLEMNVDSLLSPAYGGNDDSTCSLGALVTEKNIVVQNLGQNGSIAMLNEVDSNRTTSWQEIETYVGSQVVQPFLGEETRPNGTRRDRTLIEDKKLSRRWWRRLTSKMSAGGTYIKYAVTGNSEFPKMLMKKVIHENKNNTEIRVFCMVQEIVAHKSLIRTMKFSPCGTYLATGGEDCVVRIWRFREVEASHNCLVADGSSSLHDTKSKFRRKGFSCASFVSPDEILKLEKVPLHEYFGHTSDILDLSWSKSDCLLSASKDKTVRMWKVGCESCIKVFHHNDCVTCIQFNPVDDQYFISGSIDGKVRIWGIDENRVVDWADTRNIITAISYQTDGKGFVVGTLTGNCRFYVYTDNNLQLERELCIRGKKKLIGKQITGLQFCPGDSKKVMITSVHSKIRIFDGFDIVQKFGGSWKSKSYVAASFTSDARYIVSIDENSNICIRDYSSSAIPLSKGVESIYPCEGFFTEGVTVAVPWPGIETRGAYSYNTSIHSSAPFEHFPKSVSQCQHRDFFSLRSCFSAGGTSRASATWPEEKLPVIPVPSKRVNHNHMHHLCQRYQESESLVATSRLLIVTGSCSGMIRLFNYRRLPIRFC >Ma03_p23660.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28180803:28186310:1 gene:Ma03_g23660 transcript:Ma03_t23660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEICGCTCIRSIELNEGEDVFFDSLDYFCTSFDPESSGSYLVQESDFEIRKFPYEFWMKELPTVSVRERRNKFLRETGFDKLILSKLGCTKEPGGVRHTVPLEQIDLEMNVDSLLSPAYGGNDDSTCSLGALVTEKNIVVQNLGQNGSIAMLNEVDSNRTTSWQEIETYVGSQVVQPFLGEETRPNGTRRDRTLIEDKKLSRRWWRRLTSKMSAGGTYIKYAVTGNSEFPKMLMKKVIHENKNNTEIRVFCMVQEIVAHKSLIRTMKFSPCGTYLATGGEDCVVRIWRFREVEASHNCLVADGSSSLHDTKSKFRRKGFSCASFVSPDEILKLEKVPLHEYFGHTSDILDLSWSKSDCLLSASKDKTVRMWKVGCESCIKVFHHNDCVTCIQFNPVDDQYFISGSIDGKVRIWGIDENRVVDWADTRNIITAISYQTDGKGFVVGTLTGNCRFYVYTDNNLQLERELCIRGKKKLIGKQITGLQFCPGDSKKVMITSVHSKIRIFDGFDIVQKFGGSWKSKSYVAASFTSDARYIVSIDENSNICIRDYSSSAIPLSKGVESIYPCEGFFTEGVTVAVPWPGIETRGAYSYNTSIHSSAPFEHFPKSVSQCQHRDFFSLRSCFSAGGTSRASATWPEEKLPVIPVPSKRVNHNHMHHLCQRYQESESLVATSRLLIVTGSCSGMIRLFNYRRLPIRFC >Ma03_p23660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28180239:28186310:1 gene:Ma03_g23660 transcript:Ma03_t23660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEICGCTCIRSIELNEGEDVFFDSLDYFCTSFDPESSGSYLVQESDFEIRKFPYEFWMKELPTVSVRERRNKFLRETGFDKLILSKLGCTKEPGGVRHTVPLEQIDLEMNVDSLLSPAYGGNDDSTCSLGALVTEKNIVVQNLGQNGSIAMLNEVDSNRTTSWQEIETYVGSQVVQPFLGEETRPNGTRRDRTLIEDKKLSRRWWRRLTSKMSAGGTYIKYAVTGNSEFPKMLMKKVIHENKNNTEIRVFCMVQEIVAHKSLIRTMKFSPCGTYLATGGEDCVVRIWRFREVEASHNCLVADGSSSLHDTKSKFRRKGFSCASFVSPDEILKLEKVPLHEYFGHTSDILDLSWSKSDCLLSASKDKTVRMWKVGCESCIKVFHHNDCVTCIQFNPVDDQYFISGSIDGKVRIWGIDENRVVDWADTRNIITAISYQTDGKGFVVGTLTGNCRFYVYTDNNLQLERELCIRGKKKLIGKQITGLQFCPGDSKKVMITSVHSKIRIFDGFDIVQKFGGSWKSKSYVAASFTSDARYIVSIDENSNICIRDYSSSAIPLSKGVESIYPCEGFFTEGVTVAVPWPGIETRGAYSYNTSIHSSAPFEHFPKSVSQCQHRDFFSLRSCFSAGGTSRASATWPEEKLPVIPVPSKRVNHNHMHHLCQRYQESESLVATSRLLIVTGSCSGMIRLFNYRRLPIRFC >Ma05_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2733425:2734840:1 gene:Ma05_g03700 transcript:Ma05_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALMRDYEIGAEIGRGRFGVVRRCRSVATGEEFAVKSVDKRLLADSVDRECAAREAKVHGLAAARNPYAAQIYDAYEDDHWVHLVVELLDGPDLCDRIAARGGTPFPEPEAAAVVEALAEALAGCHRRGVAHRDVKPDNVLFDALGHLKLADFGSAQCFLDADGEWAPMSGLVGTPWYVAPEVVAGREYGEKVDVWSVGVVMYMMLSGGAPPFYGDTAAETFEAVARANLRFPSRVFRSLSPAAKDLMRRMLCRDVARRFSAEQILGHPWILSGGMSPVEGPSRDPSLSMESPEGSLLGLCA >Ma06_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3530644:3533536:-1 gene:Ma06_g04730 transcript:Ma06_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFGRNLELDHERAMISWSPFLIALLLLMSIPVVFVLAPRILPPKTMPSIPDLDEVDDLALFRRATLASAGGEGGRGGAGIRRRAASAPKIAFLFLTNSDLVFAPLWERFFHGHERLFNVYVHADPAAELLLPPTPSFRGRFIPAKATQRSSPTLISAARRLLAAALIDDPANAFYALLSQSCVPLHSFRFAYHAILADPGTPSNLPDGRRRRRSYIEISSKDPWMWNRYVARGDGVMMPEVPFEKFRAGSQFFVLARRHAVMVVRDRRFWKKFKMPCLKSRVDSCYPEEHYFPTLLDMQDPAGCTRYTLTRVNWTDSVGGHPHMYQPPEISANLINELRKSNSTYSHLFARKFSAECLDPLLELADSVIFQD >Ma06_p04730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3530644:3533536:-1 gene:Ma06_g04730 transcript:Ma06_t04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFGRNLELDHERAMISWSPFLIALLLLMSIPVVFVLAPRILPPKTMPSIPDLDEVDDLALFRRATLASAGGEGGRGGAGIRRRAASAPKIAFLFLTNSDLVFAPLWERFFHGHERLFNVYVHADPAAELLLPPTPSFRGRFIPAKATQRSSPTLISAARRLLAAALIDDPANAFYALLSQSCVPLHSFRFAYHAILADPGTPSNLPDGRRRRRSYIEISSKDPWMWNRYVARGDGVMMPEVPFEKFRAGSQFFVLARRHAVMVVRDRRFWKKFKMPCLKSRVDSCYPEEHYFPTLLDMQDPAGCTRYTLTRVNWTDSVGGHPHMYQPPEISANLINELRKSNSTYSHLFARKFSAECLDPLLELADSVIFQD >Ma04_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26300811:26305079:1 gene:Ma04_g24260 transcript:Ma04_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G59180) UniProtKB/Swiss-Prot;Acc:P38421] MFFHITLERNMQLHPRHFGPHLRDKLVAKLMKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGFVTFPVKYQAVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPGASA >Ma01_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5865354:5876376:-1 gene:Ma01_g08200 transcript:Ma01_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDETSGDGTTSTVLFIGELMKQSERYIDEGMHPRVLVDGFEIAKRATLEFLEKFKTPVVMGDTPDKEILKMVARTTLRTKLYEGLADQLTDIVVNGVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKKIIELKNKVCSGNDNNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHILGEEKYTFVENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTLEDEAVVLGAGAFEVAARQHLVTNIKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIALTGEHDRGNIVGLNHHTGEPIDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >Ma01_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19692871:19696385:-1 gene:Ma01_g20890 transcript:Ma01_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPPTDRLCYVRCTYCNTVLAVGVPCKRVMDTVTVKCGHCSHLSFLSPRATVQSLTPTDHRMGLQGPCSDCLRGQPSPPSSSSNSSEQMIQKPRFVMKPPEKKHRMPSAYNRFMKEEIQRIKAAKPDIPHREAFSMASKNWAKCDPRCSTTISTSSDNSSGKSQLP >Ma01_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4376875:4379516:1 gene:Ma01_g06120 transcript:Ma01_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLLKFFLLFSSATIFTGNLVTSISYDYSASIECLVEPPRPQYGGGIVRNPEFNDGLKGWSVFGYGQIAERTSDTGNMFLATERRRLSHQSVSQKVYLQRGMLYTFSAWLQVDQGNTTVTAIFKTAKDGFVHVGAVEARSGCWSMLKGGLTAKSFGPAEFYFESNNTSVEIWVDSVSLQPFTEDQWRAHQEESINKVRKKTVAVQAVDANGHALPGASVTIKQKRSGFPLGCAIANTILENKAYQTWFTSRFTVTTFENEMKWYANEREQGKETYTDADAMVAFAKQHGIAVRGHNVVWNDPQDVQSWVKSLPTQQLGEAVNRRFNSVMPRYRGKVIAWDVVNENVHFSYFESQLGQNASSIFYQQAHQLDPHALMFLNDFNTLEVPVDGNVTPEKYLQKLRQIQSFGNLSRMAIGLEGHFGRPDISYMRSALDKLAGANVPIWLTEVDVAHSNQTKYLEDILREAYSHPAVQGIVMWGAWHPQGCWRMCLTDNNFKNLPTGDVVDKLIFEWRSDNVTATTDADGLLRAELFHGEYEITINHPSSNSSSMLSLTVDSASQNNNVLRVMI >Ma09_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7031397:7032581:-1 gene:Ma09_g10320 transcript:Ma09_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGADYMDTDSLKATELTLGLPGGRPQVVGWPPIRSYRKNTLKATKAAAEAGGLFVKVSMDGAPYLRKIDLAAYKGYEELRKALEDMFKCFEGGKGSEYAITYEDKDGDLMLVGDVPWGMFTSYCKKLRIITKRI >Ma03_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10931658:10932698:-1 gene:Ma03_g13860 transcript:Ma03_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPKPLLLVVQLVDLVVSFTISCLGLCPPQEDSAYPSPATAVHPSVIKRSLPIVEFSTPRRSPVPLEGDEAVCAVCLGTLEEGDEVRELGNCCHAFHRLCIDKWVDMGRVTCPLCRTQLLPRGRAEKQQLVMAVEFM >Ma09_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8987536:8988741:-1 gene:Ma09_g13320 transcript:Ma09_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHRRQLALVSHLQPRLLLEAAGDDAGAAPSPWPSARPSSFAVPLRPGIAIIVGVLTIIFCLTFLLLLYARHCKHSTASYGTGRGATGGPLTSASERRHSGVDHAVVESLPVFRFGSLQGQKEGLECAVCLSRFEPAEVLRLLPKCRHSFHVECVDTWLDAHSTCPLCRVRVDPEDVLLFPLQEPEPHGGGEKAEVKGRGDATATAVPNPFGGRISGRHSSAGERTSEPLEIVVHPAEPRRRRSVDCPGCATAAHAPTVRKDALLLAEAAEDSEAFERRHSHRIVVSDADVRVDRWSDLRPTDMLFLRREMIITESGRFSASKAVRALSSPAAVVDEELDASRCASGIAGVGRVPSYGATGGARRLAEEERTLRRWLGFSAMRTAVRWLWKRESGNRLET >Ma04_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24691517:24692535:-1 gene:Ma04_g22330 transcript:Ma04_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPSPSIEGATGGGSGGAGGGGGTSGGGGSAGGGSGPCGACKFLRRKCMAGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPAHKRLDAAVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELSYLQAHLATLELPSPPPPLPPPLVVAPIPFAISDLPSAANLPTAVDLSTLFNPLVQPAWTLQQQQQQLSTSTRSPCERSGFGGGDLQALARELLDRHRMATSEPPPDSR >Ma11_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22108488:22109321:1 gene:Ma11_g16650 transcript:Ma11_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSGAAALAASLSDSITLFVNRRGYAAAAAAVGRPAEKKTVVRKVVDSSTAAASGSSNSWIPDPVTGYYRPANFRVQDAAEQRKMLLSYKQ >Ma11_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3982648:3983118:1 gene:Ma11_g05160 transcript:Ma11_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHQSKLQDSSSPEQEELRKSMAKDFGVVLILSLIAVMALSAFCIPCVLSSLKEWWARWRNRGTTTNDNISGSVTTAAPSPYGLDPELLSVLMPAHIYSAAATREKQQCAVCITELRDGDEVRHLARCLHLFHVDCIDVWLRSHTSCPVCRAMAL >Ma03_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28336640:28344049:1 gene:Ma03_g23950 transcript:Ma03_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENSRRSAMDRSREPGLKRPRLAAANAADRGRVASNRDRTLPPLRTGGQTLAPRAGERQERDDVVRRGSHQELVAQYKTALAELTFNSKPIITNLTIIAGESLHAAKEIAALICANVLEAPSGQKLPSLYLLDSIVKNIGKDYIKYFAARLPEVFCKAFKQVESSIHPSMKHLFGTWKGVFPLAPLQIIEKELGFPPVINGSSGSASSKLDSQPQRPAHSIHVNPKYLEARQRLQQSPRNMQQPQKELVNNVICEKKGLKDVRDHVYASDISRESDPGIGRVSERLKDRDEHDKTYYGAGMAATEAQLNRRKGFDVNHSNGSNQVSGSANASAQLTSVDLVDTDRSKLEASRSWKISEEEEYLWDEMKTRKTDYGGINYLQKVGWNNNKADKTASLGRGKWIPLESGHVESNVNKVDAFSQLVKTAKGEGRVLAYESLGDYLPPLHAKQDTDLGFSMEASSNSLLQQRASSENHSSSFWPSHEASQSVVGSNYKGSSVGQLKEQSISFSGGSSTSTSSSLPLPGLRSSVLSSNLSSYVHIPGSSGTSGQQRPQPLRPPSLSSQLPPSSVHIQQGKPHDSIDHNHFQSHSFSQVDQKALHLGGQQNQVQHLPGSPSNFLAKNHAQPSLSSSITSLSDVSQQLDGLINAANRLSSRDHLPLTQQSQHNLSKWQTENQPQPAKPQPPLQSVTQSQTEKPPLLPLAIGAHWSGKDSGMSYSNNAAVDVSTPPTTSSLLTAIMNGGLFPKNSVSGFQKASIQPPLPIGPPPVQALTSTAPSDTPSLLAPISLGNIPDVKSTTHFGDVMPPLPLGPPPSSSLVGVNSENSKTSDPNVNSLSSLLSSLVAKGLIASSSTELPTVSAAQSSNKVPDQSSVFASKSLEQIPPILTTSSTPPTLAEEPAASKSVESGMLSLSNAAEPKDIGIEFKSEILRGFHPSVIRSLLDDLVHQCHICGLRLRLQEQLQCHLDWHVSQKSVISNFNPKSRKWFSNRTNWLDGSMRPESRHLEAAIFLEEVVPIKEKSEPMVPADESQSICALCGEPFEDIYSEATDEWMYKGTVYLNLPSKQDDANNMDGTAGKSLIVHAQCTIQRSADDTDIVEHDKVDQPCAPRQPNLNSG >Ma03_p23950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28336640:28344049:1 gene:Ma03_g23950 transcript:Ma03_t23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENSRRSAMDRSREPGLKRPRLAAANAADRGRVASNRDRTLPPLRTGGQTLAPRAGERQERDDVVRRGSHQELVAQYKTALAELTFNSKPIITNLTIIAGESLHAAKEIAALICANVLEAPSGQKLPSLYLLDSIVKNIGKDYIKYFAARLPEVFCKAFKQVESSIHPSMKHLFGTWKGVFPLAPLQIIEKELGFPPVINGSSGSASSKLDSQPQRPAHSIHVNPKYLEARQRLQQSPRNMQQPQKELVNNVICEKKGLKDVRDHVYASDISRESDPGIGRVSERLKDRDEHDKTYYGADRSKLEASRSWKISEEEEYLWDEMKTRKTDYGGINYLQKVGWNNNKADKTASLGRGKWIPLESGHVESNVNKVDAFSQLVKTAKGEGRVLAYESLGDYLPPLHAKQDTDLGFSMEASSNSLLQQRASSENHSSSFWPSHEASQSVVGSNYKGSSVGQLKEQSISFSGGSSTSTSSSLPLPGLRSSVLSSNLSSYVHIPGSSGTSGQQRPQPLRPPSLSSQLPPSSVHIQQGKPHDSIDHNHFQSHSFSQVDQKALHLGGQQNQVQHLPGSPSNFLAKNHAQPSLSSSITSLSDVSQQLDGLINAANRLSSRDHLPLTQQSQHNLSKWQTENQPQPAKPQPPLQSVTQSQTEKPPLLPLAIGAHWSGKDSGMSYSNNAAVDVSTPPTTSSLLTAIMNGGLFPKNSVSGFQKASIQPPLPIGPPPVQALTSTAPSDTPSLLAPISLGNIPDVKSTTHFGDVMPPLPLGPPPSSSLVGVNSENSKTSDPNVNSLSSLLSSLVAKGLIASSSTELPTVSAAQSSNKVPDQSSVFASKSLEQIPPILTTSSTPPTLAEEPAASKSVESGMLSLSNAAEPKDIGIEFKSEILRGFHPSVIRSLLDDLVHQCHICGLRLRLQEQLQCHLDWHVSQKSVISNFNPKSRKWFSNRTNWLDGSMRPESRHLEAAIFLEEVVPIKEKSEPMVPADESQSICALCGEPFEDIYSEATDEWMYKGTVYLNLPSKQDDANNMDGTAGKSLIVHAQCTIQRSADDTDIVEHDKVDQPCAPRQPNLNSG >Ma06_p37950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36871796:36876425:-1 gene:Ma06_g37950 transcript:Ma06_t37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEQDKDQEVQAGNGEDLVEGPVRCHNCGISAKLTCHMRSGPEGRRTLCNACGIAWRKGKQRKVIDYEVPMKDLVNTKMVPEVDMEFENEDKAYEFYNRYAGMIGFSVRKGWIDKSSDKITRSRTLVCSREGFRKDKKGAKEVKKPRPETRIGCPARLTIKLRPSGTYRVTEFVPDHNHQPAPPSAMHMLRSQRVLTEVQAAEADLSDDSGTTPKSANQQTGRQVAGSRSVRYLPVDHRMGLRTKRMKPMQMGDAEAVLKYLQNMQLNDPSFFHAILIDEDDKLTNIFWADAKSMSDFNYFGDVVCLDTTYRVNGYGRPFAPFLGVNHHKQIVIFGAALLYDETMESFKWLFNTFKIAMRGKQPKTILTDQSMSISNALNAVWPGTSHRLCVWQVYQNAVKHLNNVFQGSKTFAKDFGRCIFDYEDEEEFLPAWQTMLEKYDLRNNEWLANLFGDRENWALAYGRETLCADMKSTLQNENFSLLKKYLTPQLDLLSFFKHYMRVVDDHRYAELQADFHASQSVPRIPPSKMLRQAASLYTPAVFQMFHKEFEVFMDCMLFSSGEVGTISEYRITVGDNPKEYFVRLDSTDCSVACSCKKFEFVGVHCGHVLKVLDVRNIKELPERYFLKRWRRDAKTDTESDPGGVTLDGDPKSPVTTLMHAPFSSYVHHLGSRAITQFSEESPASDSHQQLLHGAAQLSQGYTTPNLHSQPIFTNSQLNH >Ma05_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7804874:7805598:1 gene:Ma05_g10700 transcript:Ma05_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRGAASSLLLVTLLVTLLAFFATDASAARVTPRPQSLARAVLSALEARADGSCCRCICPMIYPPPFCVCAGVWQGSCPSACTNCQCVLNECTCIDHVDYKACEADSCGWLDGVPKLEPSQQWAIEETGGKLGMMV >Ma09_p25820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37176131:37178300:1 gene:Ma09_g25820 transcript:Ma09_t25820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Ma09_p25820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37176107:37178300:1 gene:Ma09_g25820 transcript:Ma09_t25820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Ma09_p25820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37176130:37178300:1 gene:Ma09_g25820 transcript:Ma09_t25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Ma04_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21873830:21876670:1 gene:Ma04_g19270 transcript:Ma04_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIAYQANLPAVPDWLNKGDNGWQLAAATLVGMQSMPGLVVLYGSIVKKKWAVNSAFMALYAFAAALIVWVLVGFRMAFGERLLPFWGKAGPALSQGYLVGRARMLATPHHFRNGTLEKGMEEPFYPQASLVYFEFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLASGISGFTAAYWVGPRLKSDRERFSPNNILLMLTGAGLLWLGWSGFNGGAPYAANITSSVAVLNTHVCAATSLLTWTCLDVAFFGKPSVIGAVQGMMTGLVCITPGAGLVQTWAAIVMGILAGIIPWISMMILHKKSALLQKVDDTLAVFHTHAVAGILGGLLTGLLAEPSLCRLILPVPGSKGTAYGGGFLQLVKQVVGALFIIGWNLISTTAILLAIARFTPLRMPDDQLMIGDDAAHGEEAYALWGDGERYDATRQVTMMLADDSRHPMRDSNTARGLTIQL >Ma04_p24790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26715789:26717123:1 gene:Ma04_g24790 transcript:Ma04_t24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDNWDLYAVVRGCSAGTAVDVDDPLFSPFPPFLLQERDVGGEKERAFGFPELVGTSSYPYGLHELCKPFYAMDLHQEPPLIPAVGDPRQSQRQSRQPQRPVSQAPRSARRKNQQKKVVCQVAAHGVRSDLWAWRKYGQKPIKGSPYPRCSTSKGCQARKQVEQSRADPGMLLITYTAEHNHPMPTIRNSLAGSTRPKLPPPTSADGDNRDQLLPPSPPSSPLADSVEDELLLRRPPRQGEEAEEEEEEDTLFLGMDTVAVSSGYIGEKSGFEDQFWRSPWPPSFNAAAADMAI >Ma04_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26715712:26717123:1 gene:Ma04_g24790 transcript:Ma04_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDNWDLYAVVRGCSAGTAVDVDDPLFSPFPPFLLQERDVGGEKERAFGFPELVGTSSYPYGLHELCKPFYAMDLHQEPPLIPAVGDPRQSQRQSRQPQRPVSQAPRSARRKNQQKKVVCQVAAHGVRSDLWAWRKYGQKPIKGSPYPRSYYRCSTSKGCQARKQVEQSRADPGMLLITYTAEHNHPMPTIRNSLAGSTRPKLPPPTSADGDNRDQLLPPSPPSSPLADSVEDELLLRRPPRQGEEAEEEEEEDTLFLGMDTVAVSSGYIGEKSGFEDQFWRSPWPPSFNAAAADMAI >Ma01_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2314674:2319958:1 gene:Ma01_g03500 transcript:Ma01_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTKSQFISKGSCAGYGGVVDSEPRTFLNRRVLHLRNQTTAYEGLRSRNVVDLIQMPSNAKVISRKTVRGTQNPSRRPWAVVICGKGMNIVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMTIAPRYDQYKDGWDTNVLAELKVGNEIERVRFFHCYKRGVDRVFIDHPLFLEKVWGKTGGMIYGPVTGTDYEDNQLRFSLLCQAALEAPRILDLNNSRYYSGPYGEDVVFVANDWHTGPLPCYLKSMYQSHGIYKNARVAFCIHNISYQGRFAFSDFALLNLPDKFKSSFDFTDGSNRPVKGRKINWMKAGIIESDRVVTVSPYYAQELVSGVERGVELENALRMTGITGIVNGMDTNEWNPSIDKYISVNYDATNVMDAKPLNKEALQAELGLPVDRNIPVIAFIGRLEEQKGSDILAAAIPEFIDENVQVIVLGTGKKLLERQLAQLEDMFPNKVRAHMKFNAPLAHEIMAGADLLALTSRFEPCGLIQLQGMRYGIPPMCATTGGLADTVIEGITGFHMGPFNPDCDVVDKDDVQKVIQTVKRALKVYGTPAFAEMIQNCMTQDLSWKGPAKKWEQFLLSLGAAGSEAGIDADEIAPLAKENVATP >Ma03_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24786099:24787421:-1 gene:Ma03_g19460 transcript:Ma03_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALQQAPANDGPAAPAVEATLPGPGSKRQRRPSVRLGDIGEQPAAIPHEPFVRRSKHWKHSAAAGRDHPRHPHASKARPVITPVPDGSRESPGFYQAPPSSAAGNGVLLPVDENLEPLSVGVRRYRDAKARRSGAGGAAGRRARSSWTSSKVDESIDATAADLKSSGGEDAGEGGCREFDDLRAEDSESPSDRREAAAAFRVRVPETEDMGHDGDAPEGDAPSENDGGDWNDQNGRCGLLEDGGVRTWLSRLGLDRYAPLFEIHEVDDEVLPLLTMEDLKDMGINAIGSRRKMYCAIQKLKKGLPQESLHEECG >Ma11_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14507409:14508608:1 gene:Ma11_g11620 transcript:Ma11_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPQVGDYHGPQPVPPVAAAPAASSSFRNDVYPPAFAPSTPRAQPPLPAPWSTGLFDCCDDVGNCCVTCFCPCITFGQIAEIVDGGSVPCGASGALYALMFCVTGCACLYSCFYRSKMRGQLFLEESPCADCAVHCCCESCALCQEYRELKIRGFNLHYWLLHGNLQVQNATLPPSVEGGMQR >Ma10_p26270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34040384:34043362:1 gene:Ma10_g26270 transcript:Ma10_t26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFQFDGVLHIKEVMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGISVLNNAIKACTEEIERYKGKLTLKEAPRAVSERRWHAIR >Ma01_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7645490:7646801:1 gene:Ma01_g10640 transcript:Ma01_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL57 [Source:Projected from Arabidopsis thaliana (AT2G27940) UniProtKB/Swiss-Prot;Acc:Q9SJJ7] MKPGNRRLLPNGGSPTPPPLPAVPGKAAAQLYSSSNSMAVTALIILLAVFFFGFFSVYAHRFVSGLRRSEARRWRARQQAARRQRGSRFEAACGLDPSAVLALPVLRYVGAGEGVGKEGCVVCLGEFEEKEWVKVIPRCGHVFHPSCIDAWLVSRGSCPICRCSDVIASCSTSSVLGLEAEGAAAKEAGLGKRSCSCRWRGDQGAEKDKKAEVYLRRTCSS >Ma05_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6465652:6468093:-1 gene:Ma05_g08780 transcript:Ma05_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYRLKCFGLLLLLVLLVCSSLDGCEGRKGKHWRRKSPSSSLARKKTRGKSGGGHNHGGGGGSGGHTSPPPSSNQSPCPVTGSPNASKPAMFDVLDFGAKGDGVTDDTKAFEDAWAAACKVEGSTVFVPAEFEFLVGPISFSGPYCQPNIVFQLDGMVIAPTDAKDWSVGLLWWIEFTKLRGITIRGSGTIEGRGSVWWTNTNSDVDSINDELSLRTPQMKPTALRFYGSYNVTVTGITIQNSPQCHLKFDNCEAVQVFNVTIASPGSSPNTDGIHLQNSRDVMIHHTNMSCGDDCVSIQTGCSNVNIHSVDCGPGHGISIGGLGRDNTKACVSNITVRDVNMHNTTTGVRIKTWQGGSGSAQSIRFSNIRVTEVQTPIVIDQFYCDKSSCKNQTSAVALSGIAYENIKGTYTVKPVHFACSDTSPCSDISLTGVELKPLQEQYHMYQPFCWQTFGELYTPTIPPIVCLQNGKPAGNRILSDRDVC >Ma05_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11409235:11409677:-1 gene:Ma05_g15330 transcript:Ma05_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNTVCSMCGDIGFADKLFQCIRCHSRLQHSYCTNYYDEATSETVGVCDWCLSEERSGAKRGIHSKRLARKDSAETGSGYKAEHNSDREESQSRGKSDSAGGASSKPTGRRYKLLKDVLC >Ma06_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15706610:15714777:1 gene:Ma06_g21470 transcript:Ma06_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPESKEVEMYQEKEKAVAEADKTEAEAGKNQVEAEEEEEKGDRPVRVYADGIYDLFHFGHARSLEQAKKAFPNTFLLVGCCSDEVTHKYKGKTVMTETERYESLRHCKWVDEVIPNAPWVITKEFLDEHKIDYVAHDSLPYADASGAGNDVYEFVKAIGKFKETKRTEGISTSDLIMRIVKDYNQYVMRNLARGYTRKDLGVSYMKEKRLRVNMEFEKLYDKVKQQQEKVGKKLGLLHDEWVENADRWVAGFLEKFEEGCHQMGTVIKERIQESLLKGQPRGFSLLQYEDDE >Ma06_p21470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15706610:15714777:1 gene:Ma06_g21470 transcript:Ma06_t21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPESKEVEMYQEKEKAVAEADKTEAEAGKNQVEAEEEEEKGDRPVRVYADGIYDLFHFGHARSLEQAKKAFPNTFLLVGCCSDEVTHKYKGKTVMTETERYESLRHCKYADASGAGNDVYEFVKAIGKFKETKRTEGISTSDLIMRIVKDYNQYVMRNLARGYTRKDLGVSYMKEKRLRVNMEFEKLYDKVKQQQEKVGKKLGLLHDEWVENADRWVAGFLEKFEEGCHQMGTVIKERIQESLLKGQPRGFSLLQYEDDE >Ma04_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15907380:15908172:1 gene:Ma04_g16510 transcript:Ma04_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCETMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVIFKYMPDEARLLKAYGELPENIRLNEGIGGLDEVDEGGADDYIEFEDEDIDKI >Ma08_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35651052:35657540:-1 gene:Ma08_g21910 transcript:Ma08_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase 4, peroxisomal [Source:Projected from Arabidopsis thaliana (AT3G51840) UniProtKB/Swiss-Prot;Acc:Q96329] MASSNQDDHHMASMGSHSGLPPLNISVAFPQATPASVFPPSSSDYYQFDDLLNPEEQALRKRVRVVMEKEVAPIMAVYWEKAEFPFHVIPKLATLSIAGGTIKGYGCPGLSITASAIATAEVARVDASCSTFILVHSSLAMLTIALCGSEAQKVKYLSSLAKFDTVACWALTEPDYGSDASSLRTTATKVSGGWVLDGQKRWIGNSTFADVLIVFARNTSTNQINGFIVKKGTPGLRVTKIENKIGLRIVQNGDIILNKVFVPDEDRLPGVNSFQDTSKVLAVSRVMVAWQPIGISMGVFDMCNRYLKERKQFGAPLAAFQINQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTAGHASLGKAWTSLKARETVSLGRELLGGNGILADFLVAKAFCDLEPIFTYEGTYDINSMVTAREITGIASFKPAVLGRSSRL >Ma11_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12536445:12538065:-1 gene:Ma11_g11160 transcript:Ma11_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHQSRRPDAPPVLLVDGGGSSRPAAGEASHANIRYVAELSSYAAACRLDPELQTFDTTLQQRTSRAISTLALGVEVRSLSLDSLREITSCLLEMNQEVVKVILACKRDIWKSPELFDLVEDYFENSLQTLDFCTALERCLKRARDSQLIIHVALQRFAEGEDETEAEDCNKKYSRTLDELRLFNTAGDPFTEEFFRVFQSVYRQQLLMLEKLQLRKNKLDKKLKSIKAWRKVSSIIFAATLAAVLICSVVAAAVSAPPVAAALAAAASIPIGSMAKWIDSLLKDYGNSVQGQKEVVGSMQVGTFIVIKDLDSIRVSIDKLEIEIVSLLDNADFAIKDVEAVKIGIEEIKKKLEVFTKSVEALGEQNDKCSRDIRRARTVVLQRIIRTPK >Ma11_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8947:16574:-1 gene:Ma11_g00030 transcript:Ma11_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTFALKEVLGELDRGSYVTLLSKIIGEARHVQNNPPDLIPKEDRVARHVLDSLLPLSTSTGGGPLVVSHIAYAEGRGNVIVEYPGSDPARIISFVGCHMDVVTADPTDWEFDPFSLSVDGDKLRGRGTTDCLGHVALVTQLMRRLGETKPELKNTVVAVFIANEENSSVLGVGVDALVKDGLLDKLKAGPLFWIDTADKQPCIGTGGMIAWRLRATGKLFHSGLPHKAINPLELAMEAFKEIQLRFYMDFPAHPKEEVYGFATPSTMKPTQWSYPGGGINQIPAECTISGDVRLTPFYSVTDVVKKLEEYVDDINANIEKLGTRGPVSKYVLPDENLRGRLTITFDEAMTSGVACNLDSRGFRVLCKATEEVLGHVKPYSITGSLPLIRELQDEGFDVQTSGYGIMATYHARNEYCLFSDMCQGFQVFVSIISQLEADSN >Ma10_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26947939:26952439:-1 gene:Ma10_g14560 transcript:Ma10_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPPSNTNTSNHHRRSRPQNLNPHKRIREEGESSGSACSISPAPPPVRQPSEDDGGGETEAEGAADGFVILLAEPVPSRRVRDAHCPSHGSVSLVGRRRNMEDAVVEVTGFAAGGYGYFAVYDGHGGATVAQACRDRLHVAVAEEVGRRRAAGEGEGVDMWRRALVAGFARVDAEVMGETRQLRQGMVGSTAVVAVVAEKWIIVANCGDSRAVLSRGGVAVPLSIDHKPDRPDERGRVEALGGRILCWDCPRVLGVLATSRSFGDYLLKPYVSSDPEFMVTDRSEEDEFLILASDGLWDVISSEMACRVIRKCMASPTGNNYPSGIAGSAARDAAAVLARLAISRGSFDNVSVVVVELRTSRVAVAAE >Ma06_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4635255:4638992:1 gene:Ma06_g06390 transcript:Ma06_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPGQASYRDRTQEFVKAVENLKTPFSLVADASNSSTGSILEGPKSTASNQSGFNRKASKIGLGIHQTSQKLAKLAKLAKRTSVFDDPAVEIQELTAVIKQDITALNSAVVDLQLLCNSQNESGTMSKDTTSHSATVVDNLKNRLMSATKEFKEVLTMRTENFKVHENRRQLFSSSAAKDVTNPFLRQRPLSSRGISDSNAPSAPWENGSTHSSSLFPRRMMNGEPSSSIQPLIQQQQQQQTAPVQDHYIQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENVDDTLANVEGAQGALLKYLTSISSNRWLMMKIFFVLMVFLMIFLFFVA >Ma08_p00250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:223475:228249:-1 gene:Ma08_g00250 transcript:Ma08_t00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSIGILGLTELLVSAAVHLLFGFYIFSTAVASDLSQALTDCFRPNVLNLATGDPDEGSKLQAAALDGSPTPLPQPPIVLVHGIFGFGKGKLGGLSYFAGAEKKDDHVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSRNFGHAQLGKIYERGHHPSWDEHHPVHFVGHSAGVQVARVLQQMLAEKVPPFPPSSSSSSSTSLNQTCSLLQAFSGYDTSEDWVLSITSLSGAINGTIRTYYDGMRPGNGRTMKSICLLQLCRIGVTLFDWFDIAWLKNYYNFGFDHFEMGWRKTGVSGLAELLLGNTGPFATGDWILPDLTIEGSIKLNSGLRTFPNTFYFSYATKRTRKIFGLTVPSTILGVHPLLFLRVLQMCRWRFPRNASLPYKGYRDEDWEDNDGALNTISMTHPRLPVEHPSLLVVDDSTCLPLQPGIWYYKIIEADHIFFILHRERAGAQFDLMYDGIFQRCRKHMFRSRTPALRSESSQLLPQNFQTTQVGG >Ma08_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:223554:228307:-1 gene:Ma08_g00250 transcript:Ma08_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSIGILGLTELLVSAAVHLLFGFYIFSTAVASDLSQALTDCFRPNVLNLATGDPDEGSKLQAAALDGSPTPLPQPPIVLVHGIFGFGKGKLGGLSYFAGAEKKDDHVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSRNFGHAQLGKIYERGHHPSWDEHHPVHFVGHSAGVQVARVLQQMLAEKAFSGYDTSEDWVLSITSLSGAINGTIRTYYDGMRPGNGRTMKSICLLQLCRIGVTLFDWFDIAWLKNYYNFGFDHFEMGWRKTGVSGLAELLLGNTGPFATGDWILPDLTIEGSIKLNSGLRTFPNTFYFSYATKRTRKIFGLTVPSTILGVHPLLFLRVLQMCRWRFPRNASLPYKGYRDEDWEDNDGALNTISMTHPRLPVEHPSLLVVDDSTCLPLQPGIWYYKIIEADHIFFILHRERAGAQFDLMYDGIFQRCRKHMFRSRTPALRSESSQLLPQNFQTTQVGG >Ma08_p28320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40290285:40291863:-1 gene:Ma08_g28320 transcript:Ma08_t28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAPSQVTVDFTNGTDVIWQIPESPKAVLFVAHGCSCRAANFWDRSAACPHCVGLPEDRLIVLGALDRKFAVLTISSSGRCWSKEKDTGIVKWVIEWWIEKNKLQELPLVALGASSGGYFTSALAKEMRFSSVALMIAEGLFGSMGVPVGYPPTLFVHMPKDQHRMRLIERNMIALQKRGVHVKEVRCMEFPLTPTLLSDRIPGLDQAFSVKLFELFQEKGFIDERGYLRNDGRATPWKQALREREPSLDKFEWIDHIQEELNLAFGYHEMTSLQIDDILDWFESHMGSSFVS >Ma08_p28320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40290288:40292147:-1 gene:Ma08_g28320 transcript:Ma08_t28320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLPSRVSRAKKPPPVPHRHGRILLALLLVLLLGMIILEITTNGKRFGKPIPRSDPSTLKRNDFVGTSAPSQVTVDFTNGTDVIWQIPESPKAVLFVAHGCSCRAANFWDRSAACPHCVGLPEDRLIVLGALDRKFAVLTISSSGRCWSKEKDTGIVKWVIEWWIEKNKLQELPLVALGASSGGYFTSALAKEMRFSSVALMIAEGLFGSMGVPVGYPPTLFVHMPKDQHRMRLIERNMIALQKRGVHVKEVRCMEFPLTPTLLSDRIPGLDQAFSVKLFELFQEKGFIDERGYLRNDGRATPWKQALREREPSLDKFEWIDHIQEELNLAFGYHEMTSLQIDDILDWFESHMGSSFVS >Ma02_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10480655:10495227:1 gene:Ma02_g01580 transcript:Ma02_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRGSGAASSSSSSSDAATGRQGNPTPPTPLDGAFLLQLLQKPPQPAPPSASPFSLPRHHIDPAVAAVGPSHPFFPHDIRSPPPPPGHFPEPLVFPSPPFSLPQGLPPGLFPPSGFVPLVGRDVVPSGGSEKHPFFPLDHQRLGFSAGGVHLFAASPPRSDFVQNLGRPLNDRVLVDQMVPGRKVLGPRGGEDRSSSRPPPGFQKLQDGGAVEVDRRSGSWSSDGRLIQQKTQSSWSTQRNHQFHRERDRNSVFQRSTMKAGQRRPLDHTRQEQGCERERRDGNHNLGMVARSQKEEDHASHSSMTDALPRRASGDSLGKHDNSPDENKRRSWMFDSALHGKTSCFVGDKDTEESDQDSEYEVLEEENKVSMQKLEIKGQGAIVDFSSKSKSSGEEDELCKSEKNSVMLRLDSEVQNIVTHTSSSRAKDFRSDSFRGHHVSTQRMRIQRRAIQCRHDIEALNSSFITIFKSLVPAEEEKAKQKELILSLQNLVTKEWPNAKLHLYGSCANSFGVSKSDIDVCLAIDDHDLSKSDILLKLADILQSGNLQNVQALTRARVPIVKLMDPDTGLSCDICVNNLLAVVNTKLLKDYAQIDERLRQLAFIVKHWARSRRVNETYQGTLSSYAYVLMCIHFLQLRRPAILPCLQAMDATYTVTVENTKCTYFDRVEKLHAFGARNKESIARLLWGFFHYWAYHHDYTDDVISIRTGTIISKRAKDWTRRIGNDRHLICIEDPFDISHDLGRVVDKYSIKILREEFERAADILQYDLNPSVTLFQPYVPGSPQR >Ma04_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:535212:540112:-1 gene:Ma04_g00560 transcript:Ma04_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKELSSLPFPSPLLFSPPSPSRFGILDGIEAPSFALLADHARLSSGFDETSVPMEQCNLRAGADPQPMNASNWTIDVSDVTTVKINNVSSAASVKDIEEFFSFSGHIKYIEMQRESEMSQLAYVTFKDSQGADTALLLSGSTIVDRCVTISRVENYQLPPEACRRTLEDESSPTNAAVGKTEDVVSTMLAKGFVLGKDALKRARSFDERHHFLSAASATIASLDRKMGLSEKFSTGTAMVSGKVREVDEQFQVSGITRSALAATEQMASNAGSAIMSNHYVSTGASWVSSALSKVAKAAEDVSTMTKEKVEKAEEQRKEIICRDRREMVSEFAQVYLDEPSVGEPAIVPVVSVDVKII >Ma09_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8950407:8963072:1 gene:Ma09_g13270 transcript:Ma09_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRAGRQAEERGSGGKILRVRRRSPPRSAPYARPPPPPPPASTGSPRWLLGLISGAGKFISSVFRSDGSSPSSSSSSDHSSYEDCSPRFNEPEDIDMPKELHGLNQDLKKPELITDYTEGSCAVVGTSKTKLAIEKLLRQETFSRYECDRLTKLIKSQVIESPSELVQEGAERGSKDMDSLGDWRSLKQYMELPESFHCSPVLSSLSPRTPAFRKCTPDIHSAAVMEAKKWLDDKKLLPSTKVDPVCGPCTSDTDMLPYDVHDKVVSPVDLAKMYMRSLPFWQSPCSVSSGLKMPVPSKVDFGPDDIPHTTASHSLPPFKDFKRKYCSTRLWGSPNDNRRVRLKLTEKMLESQGPRHIDLLKNIYQNETSKFTSAVDAGSRDVLGAKNFSCTFQAAEISSAPAKSSVDLLVEYQCSEDAPQLPDGKTVKSSHQTSTDNSPSVIIASEPEEAVEAVESTKETACPTISPSDRSETKIEGEPSLPFAFNEDKDVIEASQTKELEDIVDSDVARESLISASTPMEYGGALMPEKVEVQEGINSTTLGYADDIANLDNNVQTCITNTIPTVSGILEANNTEELNLDSVTKPQDGDIYSEHEAKGLTNEFSTNGGSAELNPASQSFCEEVQSCIHLSNGEETTGNSEETCELPSEAAINKEAAHDMDSIIGKSENGTRMRSVERVLIEREPEPSSHTTVKGGRGRGRGRGRGRGWGRRRGRGRGRGRGRGHGSSDNPQ >Ma10_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23070450:23074436:1 gene:Ma10_g08770 transcript:Ma10_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGDGDGTGVAVPLGCGSLHRALLQCHRRAGDPRQQAVLCRHLNRSLAECVVSACCPEESEAVRSLCSSAGTSLKRSQCQRARLALSVCLSSPQPPDS >Ma10_p20360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30562886:30568679:1 gene:Ma10_g20360 transcript:Ma10_t20360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSPTPSPPSVEGSPNPGSETEKLIGGDGSDAKMDAANEFSGKPLLREDQVQNAVKFLSHPKVRSSPVVHRRSFLEKKGLTKEEIDEAFRRVPDPPSNAATGEAYTTAMQQKSSTTMQHQDLVQTPQPAAAPANIVSVAPTQQLPKFRWSHALLAAGVLASSGVGSALLFKKMVVPRLKAWIRKVVEEESESGEDKPDSKLAEEAAEAAKTAAAAAAAVAKASQELLGTKSEERKYFEAFMGALDVQVKEMKSMGDAIRRLESRRDEYKLIQENIHSTNGNGSVNNAWRTSQHVKFGDTSNTNFGEVRSQSSPAFMDSLYVPNHNSYTEPWQAAQHSQQRPSYSQQSHTSDERLGSKTLESYGPSSGMTGNHYDTPEPQGEERKQFDERPQQRGWVPPQPPAVVLPEAADAIRRPKPPVQKQQSGDEQSVASSDDGKEREMRTDSVAEAENSGSMALSTSQIEIEEEKSAGIEIN >Ma10_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30562887:30568679:1 gene:Ma10_g20360 transcript:Ma10_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSPTPSPPSVEGSPNPGSETEKLIGGDGSDAKMDAANEFSGKPLLREDQVQNAVKFLSHPKVRSSPVVHRRSFLEKKGLTKEEIDEAFRRVPDPPSNAATGEAYTTGPAMQQKSSTTMQHQDLVQTPQPAAAPANIVSVAPTQQLPKFRWSHALLAAGVLASSGVGSALLFKKMVVPRLKAWIRKVVEEESESGEDKPDSKLAEEAAEAAKTAAAAAAAVAKASQELLGTKSEERKYFEAFMGALDVQVKEMKSMGDAIRRLESRRDEYKLIQENIHSTNGNGSVNNAWRTSQHVKFGDTSNTNFGEVRSQSSPAFMDSLYVPNHNSYTEPWQAAQHSQQRPSYSQQSHTSDERLGSKTLESYGPSSGMTGNHYDTPEPQGEERKQFDERPQQRGWVPPQPPAVVLPEAADAIRRPKPPVQKQQSGDEQSVASSDDGKEREMRTDSVAEAENSGSMALSTSQIEIEEEKSAGIEIN >Ma08_p25270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38191815:38194571:-1 gene:Ma08_g25270 transcript:Ma08_t25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTRFRFLSLVLVIYLVSLGDSLATAHRVVGMIEKNDDDAKGAKKFGLEITAAHRLPNITPKKSLGSTTKLNDKTSRSNSALRTRPTTTIKKSTKGNSAETLKPKAAEPFYRGSHDPFQRIGTKKPADAVTEMFNMLHKDYHTTGRRRPPINNSMPLKDPHVKH >Ma02_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21893769:21893942:-1 gene:Ma02_g13500 transcript:Ma02_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENRRHMANLLRLIIASNVRSPTRSLPLSPLISSSPFPSFLVSVSIP >Ma01_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8473991:8474486:-1 gene:Ma01_g11680 transcript:Ma01_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIRVHTVLVSTQHDETVTNDEIAADLKEHVIEPVIPEQYLDEKPIFHLNPSGRFVIGEPHGDAGLTGAVFVDTYGTGKIRDKEILEIVKENFDFRPGMITSNLDLKRGGNGRFRKTAAAYGHFGRDDPDFTWEVVKPLKWEKTAA >Ma09_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7785149:7785865:1 gene:Ma09_g11530 transcript:Ma09_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMYSSTGLPTEIRYNPHRRTRPSAHRIRESLTSRFAKCLCSILLTLVLVAGIIVFILWLSLRPHRPRFHLVAFAAPGVATPAGLSGSPISFNVTDRNPNAKIGIYYDAMFGTVYYRDRLVGSGPVMFPFFQPPKNTTLITGQLSGAAIEAGGTLSSQLSADAAAGRAELRLELNSTIRFKVKAWDTHHHHLHVECDLVVGSDGSILPESNNIKCPIYF >Ma02_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16310588:16312062:-1 gene:Ma02_g04930 transcript:Ma02_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMALSKSLLLLLLGFLCCSSTEAHVEFGFYNDTCPHAEAIVLHEMTRIIADDPTLAGSLLRMQFQDCFVRGCDASILLDSSNNNVAEKDAIPNKSLRGFDVIDRVKAKLEEACPGIVSCADIIAMAARDSVYLANGPYFPIQTGRRDGNKSEASDLMANLPPPTANITELKAFFLQKNLTVKDLVVLSGAHTIGFSHCSSFSQRLYNFSGKGDTDPSLDMEYAEKLKRKCKPHDHDDMRTLVKMDPKSPRRFDLCYYRLVSEGKGLFASDEALLHDPETRAYVERQAMASSAEEFFNDFGSSMVIMGKIGVLTHQKGEIRKKCAYVN >Ma01_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12027001:12029085:1 gene:Ma01_g16600 transcript:Ma01_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIVLDVAAHSKRAKHIIIVFSSFGGFLLCLCVFLLIYYKKSHEGNVQSAEALLNMHGSLSPKRYKYSEIKRMTRSFSHKLGHGGYGTVYKGTLQDGRSVAVKLLTASKGNGEEFINEVVSISRTSHVNIVSLLGFCLEGSKRALVYEFMPNGSLEKFIYAEDSETRTPIGLEKLYEISVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLGSPKESILSMAAPRGTVGYIAPEVFSRNFGAVSSKADVYSYGMMVLEMVGGRKSIDAAVESTSEIYFPHWIYDRLDGKNEIDISGLNSENEETVRKMIIVGLWCIQMMPGNRPSMSEIVDMLEGSIKDLQMPPRP >Ma07_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30561737:30567534:-1 gene:Ma07_g22740 transcript:Ma07_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMDELQRSRILNCVQAFCAARYTREDNVPCQIEEGLFLGSVGAALNKSALKDLNITHILTVAKSLDPAFPIDFVYKKIDVFDTPGTELDKYFDECFSFIDEARSAGGGVLVHCFAGMSRSVTVVVAYLMKKHRMSLSDALALVRSKRPHIAPNHGFLTQLANFEKSLGVNRGTSSI >Ma01_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5554481:5554977:1 gene:Ma01_g07660 transcript:Ma01_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESAKCECCGLEEDCTEEYISRVKADFGGKWLCGLCSEAVRDEAGKGNGRRKKGYGGLEEAVMAHASFRRRPHSNPAIDVVDGMRRMLWRRRRSVDKPSASSPGNPVSPSQAADEATRVAVLR >Ma10_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28534149:28539262:1 gene:Ma10_g17000 transcript:Ma10_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEDLIELKFRLYDGTDIGPIRYSASSTVAMLKERIISEWPRDKKIIPKVANDVKLISAGKVLENNTTIAQCRSPFGELPSGVVTMHVVVQPSLTKTKTEKKVDKLPKKSACSCSIL >Ma10_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25498558:25499168:-1 gene:Ma10_g12350 transcript:Ma10_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQLLPVVAALCFALAGVALALPTFRIQGRVYCDKCRAGFETSATDYIEGAKVKLECRQYDTGVVEQTATAVTDASGTYTLEVEDNHEEEICEVVLVESPRPGCSEIMTGRDRARVLISAESGLTTSVRYANSLGFLNAAPLPECGLLLQKYALGVDG >Ma07_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:687376:688790:1 gene:Ma07_g00860 transcript:Ma07_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMGKRGELGAVEDEDDVLLPGFRFRPTDEELVGFYLRRKVAKKRLSMEIIKEIDIYKYDPWDLPKASTGGDEEYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAGNSGDCIGLKKSLVYYRGSAGKGTKTEWMMHEFRLPNITDSNSPCKREAEIWTICRIFKKSASHRKTPNAPTESSTAGNDCWCIASSGYSNGENGQGCAGHWELMKQAPLALCPDMVQSPGMDDFFSGDGKWDELGRIMDFITD >Ma08_p34340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44389822:44390381:1 gene:Ma08_g34340 transcript:Ma08_t34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLHLDPVVPPSSSSASTAPRGLFTAAELAAAERLVQLSANSGTLSVTATAEDRTSSSSPRSVNARPPSQVTIPMENVEEDDDEEIRPRRRTPRYRPIADIYAATRPVDRVTRMCGVQRREKKRTRGED >Ma10_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1593917:1595224:-1 gene:Ma10_g00370 transcript:Ma10_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVQILRSCSSSRPRFHASLYRSANFRTRSIYLRKLTNKIATEDLKLKQENAATEGNEDQELVVAKLVAIAEAVADRANMHAIIGAQRNNWNHLLTNSINSITLVGSLMAGVSSMQAGGATPQLLPLKIASVLLFGTATGMMLIVNKIQPSQLAEEQRKATWMWKQLERSIHDALSLRAPTESDVDDAMDKVLALEKAYPLPLLPGMLDKFPDKVEPTRWWPKLRQRQTEAQRGRTNGAERNGWSEELEEEMAGLLKVLKLKDEKQYVTVGKAALNVNRALATAGPIFAGLATIGSGSIGASALGPLPALLAVTGGSLAAVANTLEHAGQVGMVFELFRNNAGFYRWLQEEIELNLGEEDVEKRENGELFKLKLALQLGRSLAEFTDFVPYATASCKDEDIKVCAGKLF >Ma10_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19354541:19356484:1 gene:Ma10_g06480 transcript:Ma10_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQDSVRLLAVEGCAALGNLLEPQDCASHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTSSDLMPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPHLAVQHIVPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQ >Ma04_p34200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33493982:33517110:1 gene:Ma04_g34200 transcript:Ma04_t34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAASGFIIRSLEAMLKECMGKKYPALQSAVQTCLDNMKETKPELTSDDHNHATTLAGAESIGAEGAIAVKEGEAPVAGTEKDVTMNMSQETSEPIIAALASAGHTLDRTQAELVLKPLRLAFEMKNIKLLEPALDCLHKLIAYDHLEGDPGLEGGKNASQFTDVLNMVCGCVDNSSSDSTILQVLKVLLTAVSSTRFRVHGEPLLGVIRVCYNIALNSKSPINQGTSKAMLTQMINIVFRRMEIDQVSVSSSSYEHADIPSASYTTSDNVEMSRDEDEKKTSTADALSKSHTNETSPSFEELQNLAGGADIKGLEAVLDQAVQLGDGKKISRGIDLDSMSVVQRDALLLFRTLCKMGMKEESDEVTTKTRLLSLELLQGLLEGVSQSFTKNFHFIDSVKAYLSYALLRASVSSSPAVFQHATGIFAVLLLRFRESLKGEIGVFFPLIILKPLESNESALGQRTTVLRMLEKVCKDSQMLADIFVNYDCDLQAPNLFELMVNALSRIAQGTLTTDPSSVGLMQVASAKGSSLQCLVSLLKSLVDWEKLRREFIKHYNIVRSPEDDVLARESVTGNELKNQDDGLNQFEKAKAHKSTMEAVILEFNRKPAKGIELLLSNKLVEDKASAIAQFLKCTPSLDKVMIGEYLGQHEELPLAVMHAYVDSMKFSGLKFDIAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNSASDIEECAPKEILEEIYDSIVKEEIKMKNDAPSASKSSRLRPETEERGHLVNILNLALPKKQSEIDTKAESEKVKQQIQALFKNKGEKRGVFYTAQRVELVRPILEAVGWPLLAAFSVTMEETDNKPRVILCMEGFRAGIHLTRVLGIDTLRYAFLTSLVRFTFLHAPKEMRGKNVEALRTLLVLCDTDTESLQDTWNAVLECVSRLEYITSTPSIAATVMQGSNQISKEAILQSLRELAGKPAEQAFVNSVKLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSPNEKIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDFESIVESAFENVEQVILEHFDQVVGDCFMDCVNSLIRFANNKVSPRISLKAIALLRICEDRLAEGFIPGGALKPLDGGLETNFDITEHYWFPMLAGLSDLTLDPRLEVRNCALEVLFDLLNERGQKFSSAFWESIFHRVLFPIFDHVRHAGRYGPVSSGDEWLRETSVHSLQLLCNLFNTFYKEVCFMLPPLLDFLLDCAKKTDQSVVCISLGALVHLVEVGGHQFGDSDWDTLLKSIRDASYATQPLELLNSLGFEEKNQAVLSKDLDDKDGDSPFSINHNRKEGGRAMVNESLSAGREAFGKIISTTDFKDDYGESNLQTNLDESDGLPSPSGNKQKPAVAVSVQRSQTFGQRIMGNMMDNLLLRSFTSKSKNDTDDLGPVSPVKILDAAEPVPDDYDEENSMMETIKGKCITQLLLLSVIDSIQRKYWSKLKVPHKIAIMDILLSLIEFAASYNSSSNLILRMQYIPSERLPLNLLRQEITGTSIYLEILHKSTAIWKSSSHEQVNSDGPVVPTSINDSGYLATLDSEEKLKGIAEEKLVSFCGQILKETSELKSGTLVEVGYAHLHRVLDLRAPVIVKVLKRMCCMDSLIFRKHLREFYPLITKLVCCDQMDIRGALGDLFSTQLAPLLRS >Ma04_p36120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34622294:34622859:1 gene:Ma04_g36120 transcript:Ma04_t36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSRTSKLIKTKKVQPKLEAAFTCPFCNHDKSVSCTLDKKLKVGEAQCGVCKESYATTIHHLTEPIDIYSEWVDECEMINESEELRVDDHRPTEPIGIYTEWVDECEEVNESEKLRDDTRPSKKRRSVTDFWDDQVASL >Ma09_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9706017:9706713:-1 gene:Ma09_g14200 transcript:Ma09_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVPLVTSYRYEGIEVNRNLAKSEAKMLHEKITDKAYSHEELIWIITARSKAQLIATISHYKNEYGKSIIKDLKSDRKNEFLVALRAIIRCTCYPEKYLEKVIRLAIKGLRIDVNSLTRIVTTRAEVDLKTIEAVYYKRNSMSLKQVVKDHTSGDYKTMLIALLGNNA >Ma10_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24634622:24641317:1 gene:Ma10_g10990 transcript:Ma10_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHTHLHHSHHHSRSSKGSKGHDGKQAKFIPDNFSSLDQVISALRESGLESSNLILGIDFTKSNEWTGRNSFGRRSLHAIGGTPNPYEQAISIIGRTLSPFDEDNLIPCYGFGDTSTREQSVFSFYPDNRPCHGFEEALARYRDIVPHLKLSGPTSFAPVIQASIDIVKKSNWQYHVLVIIADGQVARNSDVPQGRLSPQEGATMKAIVDASFFPLSIIMVGVGDGPWDTMEHFDDCIPERRFDNFQFVNFTNIMSAKMEMSKKEAAFALAALMEIPFQYKATQGLRPQENQSDRFSSPKILPPPKEVLEHDSLMMSSTRIADTQTAGSTASTEQVCPICLTNPKDMAFGCGHLTCKECGATLSTCPICRAPITTRLRLFA >Ma10_p10990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24634514:24641317:1 gene:Ma10_g10990 transcript:Ma10_t10990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHTHLHHSHHHSRSSKGSKGHDGKQAKFIPDNFSSLDQVISALRESGLESSNLILGIDFTKSNEWTGRNSFGRRSLHAIGGTPNPYEQAISIIGRTLSPFDEDNLIPCYGFGDTSTREQSVFSFYPDNRPCHGFEEALARYRDIVPHLKLSGPTSFAPVIQASIDIVKKSNWQYHVLVIIADGQVARNSDVPQGRLSPQEGATMKAIVDASFFPLSIIMVGVGDGPWDTMEHFDDCIPERRFDNFQFVNFTNIMSAKMEMSKKEAAFALAALMEIPFQYKATQGLRPQENQSDRFSSPKILPPPKEVLEHDSLMMSSTRIADTQTAGSTASTEQVCPICLTNPKDMAFGCGHLTCKECGATLSTCPICRAPITTRLRLFA >Ma10_p10990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24634570:24641317:1 gene:Ma10_g10990 transcript:Ma10_t10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHTHLHHSHHHSRSSKGSKGHDGKQAKFIPDNFSSLDQVISALRESGLESSNLILGIDFTKSNEWTGRNSFGRRSLHAIGGTPNPYEQAISIIGRTLSPFDEDNLIPCYGFGDTSTREQSVFSFYPDNRPCHGFEEALARYRDIVPHLKLSGPTSFAPVIQASIDIVKKSNWQYHVLVIIADGQVARNSDVPQGRLSPQEGATMKAIVDASFFPLSIIMVGVGDGPWDTMEHFDDCIPERRFDNFQFVNFTNIMSAKMEMSKKEAAFALAALMEIPFQYKATQGLRPQENQSDRFSSPKILPPPKEVLEHDSLMMSSTRIADTQTAGSTASTEQVCPICLTNPKDMAFGCGHLTCKECGATLSTCPICRAPITTRLRLFA >Ma09_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4309765:4312389:1 gene:Ma09_g06750 transcript:Ma09_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADTLAEDSPPVAKPPDTPATKRRRQAAEPTVSAELPLARTRRRVGARVSTDTEKRSMVAAPLPETVGGGGGGGGAGRGSFRAGDLVWAKFKIRAWWPGKVLDATLHAGEVKRHGGGRSVLVAPFGDAAVAWFEPSQLKPFEEQFRQMMEQSSSTSFVYAVKDALVETEKQLGSEMSCYCSPDSNSEVAQGVGKRSVAKYSPAQFHERLLDAARDVLGTDMLEVVLLRCWALTLRRVTQCPADLLEKDYPDDPLHGPASDDTEVESEVGFNRAGRGPGTSEVSQQKKERSIAELIADKGSEIAEPHRSMVKAASFHELSPSSSSDSQEQKKKGEKSKSSEVGNGVLRVQEEKAIFMDKPDPSLSTDKQASKGEKNQSSGVKNDGVVAEQETRSSGRERKRSKYLSPPYTYISAYHKRPVSFNYEPTESLNRGAEVSQSSFTGSPQNIVNINQVSKKEAGKTDSASNHDMGSTSGILSVFLSAAMDPLSRKWNQSASVVRDFLEKFRSFVYSDGSDFHSDQKFLDDLGSNGERSTEAYMNNSSGIDDTDAKKNKRMNLVGDKTPNGHIPTESGKVQKRRKIKHGDGEAGTEISMATENSSANGTKLVNYAQERKMSKGNSVSAKIKFDLHHELRGNAEGSKSRKRTNKGNDTSDAETRLDTGAGFAGQAEPNKLGRRTKGNGGSKPESASEMEHKPTSSSEEDRSKKKKEETNYETPAYLLLKFAPGTALPSKGDIISRFSIYGPLDKSDTEILQGSHCIRVAFKRGMDAEKALKNLDGSGSLESAVTKQELHYFSGNISSQLRTSTVLKTPLPYIRKSLERMISKLTEFVEAEKDVGSSNMLRPEITDNLVDEMDGLLKKVDKLLTGPSVST >Ma10_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15276731:15277992:1 gene:Ma10_g04630 transcript:Ma10_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHFEPGKQCHAAEINMKSQKESSAFFDRIAYEYEEAKSSICAFSRTDIPGCLSDDPLLKLSDGTDQRTADGDKDVNIIEFSNAIVPTDLPVCGIGSDSQACVDGNNVAENNTEGLVVVALPTKVSTISTPLGKVNQNSDSGSSSNINLHAHSHINSPSIGSPSTADPVRERPKWESSATHDLSYMKQSPSAATSLGGVLEALQHAKNSLRQELHKLPLPYPAIKALPAQSNYHVRAVVSDESSKVPVCSVGLFRIPTDHSHQSQNSEHEYHGSGLSLAAGHPHLGYAITTSDRRGSIIPYVSKESMGTLKFSNYLPGMDLPSPLLCSQPCSGSTADKIHFPNEIGVSLGKQHFNTYSPGIGIPAFDRYPRASFEYIFLCILRLDNRSNARS >Ma10_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22158932:22160407:-1 gene:Ma10_g07860 transcript:Ma10_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP1-2 MEGKEEDVRLGANKFTERQPIGTAAQSQDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFVATFLFLYITILTVMGVVKSSTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQKGLYENNGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKGHAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSRS >Ma08_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14720540:14721769:1 gene:Ma08_g14770 transcript:Ma08_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVHPPPLPPANVISRKSGSVSLSIGVRAAANFEQNKQQRQERQVEQGRRKDIRTSRPSSPLGASDEVASRLGYEIAIANLERLFWQQAATGVQPPASGEPSGRPVRVAYQGSRGSYCQEAAARAFPSSACEAFPCAHMEEAFDVLEDHSADRAVVPAENSLDGPIDRNLDLLLRHPGIRILGELVLPVNHCLLSLPGVLRSGLRRVISHPQALSHCRRSLEALGLEVDEVSSATDAARFVAENRVADTAVIGSRMAAREFGLRVLKPNFQDHHLGGNLTRFLQLGLSSGHAQASSSIVGGAAHKTTVVFTLDGGASDLFRAMWIFESRDLRVTRVDHRPNRAKPLRVVDRGDDGLRNVTYLDYVFVLDVEGSVSDPVVEAALARLNEMAVFSRVLGSYTCTYQGIN >Ma03_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7838464:7840764:-1 gene:Ma03_g10420 transcript:Ma03_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGERFPGGGAAGGFHRGEAIAAVQDEEQFYGDEDDFDDLYSDVNVGEGFLQSSRRSDEPAPDAVLAETPLPPPPPLPPSAPLPEKVHLPGITGEIKMERSAGVSVQEFRGGAGETAAVVPLPIPGGVRADLGQTSGRTGEIQAQTGGGGYSNEGLHGQGGGFGGEMRQGGANTNGGGVGEGDFGGSTLFVGELHWWTTDADLLAELSKYGQVKEIKFFDEKASGKSKGYCQVDFYDPMAAASCKEGMNGHMFNGRPCVVAFATPATVRRMGEAQLKNQNLAAQQSGGPPQKGRGSQQMGGNYGRSGGGGGGSGGSGSGGWGRGGMGNRGQLRNRMGQMGAGGSRSIMGNGGMVGPPPPVMHPGAMMGQGFDPTGYGAAMGRMGGAYGGFPAGPGVAAAAPFPGLMPSFPPVVAPHMNPAFFGRGGMLAGGVGMWPDLSMGARVGEEQSSYRDDGASEQQYGEVSHGKDKASDRDLPGASDRRHEWEEEMGHGQERPERHYDDRDLVRERDRDRDRDREREREREREKDRDRVRDWERERERERERGRERERDRGRERERERDREREREKDRYRDDRDRHGDHHRHRDRESERGDDRDRGRLSRPRSKSREIEHSKKRRLTPA >Ma10_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21317004:21323909:-1 gene:Ma10_g06950 transcript:Ma10_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEENRREQTPGSASHGGGKVCHRCGWVYPNPHPSKKHRTAHRKHCSATASGLSDGAADVAAAVEVKKAPPEQLSDEDPRNVSGEEVVEEEPKPEGEKGRGMGQSGVDVEEPCKGAILEADVADYSPESDASCHGNKVLSSDVESTASQLDDTIHLDNDSVTCRGDKVCTMEGTDSSSESPLTKGFCLDALEEMDHAAVQNMVDSATVEDNIIIGNYVEDKLDMQIPGPQILPHGSCANSSLTNSEFQRIDKSMEFSMIVDQANAITTLVSGLPDEVSANTMEFESVICTSPDEKTDVAGQISQVQPFCNDGPSMGFSMIVDQANAITTLISGLSDEVSAITMEFESVICTSPDEKTDVAGQISQVQPFCNDGSCNLEITDGELFETPTHLSACGYQIALHANSPVDGNDPECLHSLPISSNMLLVEYTKVKIESLEDSWLVEPDLTICANAEAGSNIGRHVIDELSVVESDKNAKSISSTLQVNVNEMERDESNDERNCQDMNSDRSQVVNEFEFEDGASANKFEGPKGESDWLKTPEQVPSVNKAMDLDDRVPNSCETCYLYKGKGTNLSHEGISADNLRVADSAVSLSGMSQFSATSEISNNSQIVRQGTTEINIDALVEDKNLEMFKENNMDLKTNSQPEGHTTELEPPTRDDIGRTELQNNEDVIVADSVLSLTQEDFSFRCLRSVLNNYLDQQDVQETPVERFKQNADLDETIDQSQRQAEAFFKEEISENGLPSEHYDTLYPNKDETNKMETTCGYQLELQNVFVVGSINHVSSDKNNSYNPFVTEMDHVPTAEKEYSLLTILEDEPNTNAHSKAGLCHDDEEILKTEECSESKVDVRSFIGKPGNDYDSKTSDRSGVAPSIFYQSSKEEDVHDKSMQQNEFYIEKVDYSINSNSQTGNIDAVSESVPDGAIPSLSSAMEEGSNFDAEVSPPTDSLVTRDLHTSDPTTEGTTHKSNLDHNDTLEEPSDPAADSLATKDLHTSDPATERTIHNLDHNDTLEEPSLIPLVEPEQKSDSIENKSVHDSKDEPEGRNIKEENTAGKVPDSNAGKPHVMLKNLLAEAELESKQEATGSQGYNASPSSKRSCGGSQDDGQSLRTAISDGPLDTQADHREWNSPARLPVTKHEKKKAKGRQSWVPFICCPSVN >Ma10_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27395863:27399754:1 gene:Ma10_g15180 transcript:Ma10_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEAAARVGARAEGVQSGKGLGGGDVLYEELWRACAGPLVEVPRADDRVFYFPQGHIEQLEASTNQELNQQIPLFNLPYKILCRVVDVRLKADPETDEVFAQIVLLPEADQREPTSSEPRLPEQPRPTVYSFCKILTASDTSTHGGFSVLRRHATECLPPLDMSQQTPTQELVAKDLHNFEWRFKHIYRGQPRRHLLTTGWSTFVTSKRLAAGDAFVFLRGENGELRVGVRCLARQRSAIPASVISSQSMHLGVLAAASHAVTTNTLFTVYYKPRTSQFIISVNKYLKALQEGYTLGARFKMRFEGEDVPEKRFSGTVIGIEDCSSQWTGSKWRSLKVQWDEACNIDRPERVSPWEIEPFNALTPASSLAQPVVVKSKRSRQPSDTADLSILEPTAAFWYSGTNEPHEMLSFTGTDAENLETHDAWPCIQKERKGNDIVIASSSHDQVFCDGWFREVQTPRRSSPSLLNSLNLFQETNEETKCAAYTNCIDKDPSLKFGNGEDESRKPEGRSITYRLFGIDLFSHSNCTADTDMVTGLPFSNSDQKLGFSKSSKEQKQSPQDNSKEMYGRHSCSGRSRTKVHMHGLAVGRAVDLTVLEGYDELLAELEQMFKIEGELQHRNKWEVVFTDDEGDMMLAGDDPWLYFPSRPSHLISQCALSCCFCISG >Ma07_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29451455:29456819:-1 gene:Ma07_g21330 transcript:Ma07_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLTRVLSVKERLSGTLSASPNELLAVFSRYVNQGKGMLQRHQLLAEFEAAFSEDEKEKLKGGVFEDVLRAAQEAIVVPPLVALAIRPRPGVWEYVQVNVNELVVGELSASEYLQFKEKLVNGESESNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFVDKESMYPLLEFLRTHSYKGTVMMLNDKLQSPRALQSALRKAEQYLLSIPADTPYSEFNNRFQELGFEKGWGDTVQRVLETMHLLLDLLEAPDPCTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPRILIVTRLLPDAVGTTCGERLEQVDETQHTSILRVPFRNEKGILRKWISRFDVWPYLETYTEDVAKELAEELQATPDLIIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFDDQYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGINVFDPKFNIVSPGADMSVYFPHVEVDKRLTHFHPEIEELLFSSVENDEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNARLRELANLVVVAGDHGKESKDIEELAERKKMFGLIEEYNLNGQIRWISAQMDRVRNGELYRYIADTKGAFVQPALYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGFHIDPYQKDKAAEILVGFFEKCKEDPTHWDKISQGGLQRIYEKYTWKLYSERLMTLAGVYGFWKHVSNLERRETRRYLEMFYALKYRKLAASVPLAVDAESTVDGQNV >Ma02_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28788214:28790353:1 gene:Ma02_g24020 transcript:Ma02_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNVIVPDQWVDSMRASSPTHAKAAAVLSGSQEASHDDEYHKWVKQYPSALSNFDDIFAASKGIQIVMFLDYDGTLSPIVDDPDRAFMSDAMREVVRDAARHFPTAIVSGRCRDKVFSFVRLRELYYAGSHGMDIKGPSKTTKHTKAKRKGVLFQPASVFLPMIDEVYKTLLRKTELFPGSSVENNTFSLSVHYRCVDDKILSSLFEMVKSVLEDYPELRRTSGKKVLEIRPSINWDKGKALEFLLESLGFGDRTDVFPVYIGDDCTDEDAFKVLRDRGQGAGILVSDIPKETHASYSLREPAEVKEFLSRLVEWKRLPSSKNKYKDAMS >Ma03_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10515158:10517295:1 gene:Ma03_g13350 transcript:Ma03_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHPWLAFSLSQHPALFQAFSASALHGGDEGGNDCVDMKTVAAEAPKLEEFLSSPGDHPLGEQFDGGYDSDLRSIAAGILRASPAEQPESTSLAVADPKKVMDTLGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPNTTTNFPVSDYEEELQEMKNMTRQEFVAALRRRSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVERILNSDLPVGGASSKASRVPEPPSPASYCPGSQPLALVQHQEFLPLLALDQQQQHQRSHPPSVFESFRSGSTVDFAAAGSGVGQARGGSSQHQEHGRAYFPCAAPTGTPAADSYYYHQDAKHGVAALHAPLHGTE >Ma04_p18950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21433417:21444954:-1 gene:Ma04_g18950 transcript:Ma04_t18950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRDFRPGAYLDINPRIVPFTLGFIILCGFSFYLGGIFCSEKNRYFKQDEAPIVQSQQETTVDPLKIEYVEFSECSLNYQDYTPCTDPKRWKKYGNYRLSFMERHCPQITVRNECLIPPPDGYKPPIRWPKSKDQCWYRNVPYDWISNKKSTQHWLRKEGDKFFFPGGGTMFPNGVNSYVKLMQKLIPGMKNGTIRTAIDTGCGVASWGGDLLDHGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEYDGIYLLEVHRILRPGGFWVLSGPPINYEHRWRGWNTTVEEQKSDYDKLKKLLISMCFKLYDKMDDIAVWQKSLDSSCYDRLTSSSYPPKCDYSSDPDSAWYTPLQPCLSIPSQKFKKLGLNSVPKWPNRLHVRPERISMIFNGNSGGFKHDNSRWKVRVKHYKALLPALGSDEIRNVMDMNTLYGGFATSLIDSPLWVMNVVSSYGPNSLGVVYDRGLIGTYHDWCEPFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPNGYAIIRESYYFIDAIATIAKGMRWDCEKQGTEYIVSKEKLLVCQKKLWHANHSRE >Ma04_p18950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21433417:21444954:-1 gene:Ma04_g18950 transcript:Ma04_t18950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRDFRPGAYLDINPRIVPFTLGFIILCGFSFYLGGIFCSEKNRYFKQDEAPIVQSQQETTVDPLKIEYVEFSECSLNYQDYTPCTDPKRWKKYGNYRLSFMERHCPQITVRNECLIPPPDGYKPPIRWPKSKDQCWYRNVPYDWISNKKSTQHWLRKEGDKFFFPGGGTMFPNGVNSYVKLMQKLIPGMKNGTIRTAIDTGCGVASWGGDLLDHGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEYDGIYLLEVHRILRPGGFWVLSGPPINYEHRWRGWNTTVEEQKSDYDKLKKLLISMCFKLYDKMDDIAVWQKSLDSSCYDRLTSSSYPPKCDYSSDPDSAWYTPLQPCLSIPSQKFKKLGLNSVPKWPNRLHVRPERISMIFNGNSGGFKHDNSRWKVRVKHYKALLPALGSDEIRNVMDMNTLYGGFATSLIDSPLWVMNVVSSYGPNSLGVVYDRGLIGTYHDWCEPFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPNGYAIIRESYYFIDAIATIAKGMRWDCEKQGTEYIVSKEKLLVCQKKLWHANHSRE >Ma04_p18950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21433417:21444954:-1 gene:Ma04_g18950 transcript:Ma04_t18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERHCPQITVRNECLIPPPDGYKPPIRWPKSKDQCWYRNVPYDWISNKKSTQHWLRKEGDKFFFPGGGTMFPNGVNSYVKLMQKLIPGMKNGTIRTAIDTGCGVASWGGDLLDHGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEYDGIYLLEVHRILRPGGFWVLSGPPINYEHRWRGWNTTVEEQKSDYDKLKKLLISMCFKLYDKMDDIAVWQKSLDSSCYDRLTSSSYPPKCDYSSDPDSAWYTPLQPCLSIPSQKFKKLGLNSVPKWPNRLHVRPERISMIFNGNSGGFKHDNSRWKVRVKHYKALLPALGSDEIRNVMDMNTLYGGFATSLIDSPLWVMNVVSSYGPNSLGVVYDRGLIGTYHDWCEPFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPNGYAIIRESYYFIDAIATIAKGMRWDCEKQGTEYIVSKEKLLVCQKKLWHANHSRE >Ma04_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21433417:21444707:-1 gene:Ma04_g18950 transcript:Ma04_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHCPQITVRNECLIPPPDGYKPPIRWPKSKDQCWYRNVPYDWISNKKSTQHWLRKEGDKFFFPGGGTMFPNGVNSYVKLMQKLIPGMKNGTIRTAIDTGCGVASWGGDLLDHGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEYDGIYLLEVHRILRPGGFWVLSGPPINYEHRWRGWNTTVEEQKSDYDKLKKLLISMCFKLYDKMDDIAVWQKSLDSSCYDRLTSSSYPPKCDYSSDPDSAWYTPLQPCLSIPSQKFKKLGLNSVPKWPNRLHVRPERISMIFNGNSGGFKHDNSRWKVRVKHYKALLPALGSDEIRNVMDMNTLYGGFATSLIDSPLWVMNVVSSYGPNSLGVVYDRGLIGTYHDWCEPFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPNGYAIIRESYYFIDAIATIAKGMRWDCEKQGTEYIVSKEKLLVCQKKLWHANHSRE >Ma10_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9418959:9421279:-1 gene:Ma10_g02750 transcript:Ma10_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPKTPSLYSIYSFHRLHCTTCFPLSFTHLTTLLTDSTVTQTSNPRHATQIHSHLITTNSLPIPFLHTQLINLYAKCGQLEQAVLTFRTTQHCSNVVTWTSLITHLSHSHHPLEALSLFAQMKRSGPGPNPHTFSAVLPACAAAGSRATGRQVHALAHKHGVVSDVYVASALADMYAKCGDMGDSQRMFDEMTLRNLVSWNALIVGFVRNKMYHRATEAFRELLVDQSLSPDQVSFSSVLSACANGGGIDFGRGVHGHTVKLGMASTLEYVRNSLIDMYSKCGCLEDAMKLFDSCSERDVVTWNVMMMGWIQSDRMENACNCFRAMIREGISPDEASFSTVLHALARLASWGQGAAIHTLIVKTGFASNRCVGSSLISMYAKCGGLEDAYRSFEEAEDRTNVVAWTAMITAFQQHGHGDDVIQLFEQMLGEGVEPDYITFVSVLSACSHNGLVDQGFKYFDSMSHKVTPGSEHYACMVDMLGRAGRLDEAKRFIETMPIRPDSSVWGALLGACRNCRNLELGDEVARRLFEIEPDNAGNYVLLSNMYAYHGRLEEAKEVRRRMESAGLRKETGCSWIDVWNQTYVFTVHDRSHDETEQIYEMLGRLKELAKAKGYVPDTQFAINDAEENKEESMWYHSERLALSFGLISLPVNAPIRIKKNLRTCGDCHTVMKLVSEIFQRKIILRDANRFHQFADGLCSCKDYW >Ma10_p27950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35001967:35003798:1 gene:Ma10_g27950 transcript:Ma10_t27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTPNCVSLDKEELLAEKKLKLLNRPAVKSIQSEDGDIVDCVDLYKQPAFDHPLLKNHTIQLRPDDEISGERDEGVKARVPSQAWQRSGSCPDGTIPILRIQKHHLLNAASMEDYGRKPWHGMTKHKIRTSSLSLDAGIEGLHAYGVLMASGFSYIGAKASINIWNPHVGGDDEFTTAQIWLRNGPYNSSESIEAGWIVDPSLYGDMRTRFFVYWTDSDNEKWWLMFADDEVAVGYWPSSLFAGLRGAATLALFGGDVYSPRIHEKPHTTTAMGSGDFASYHWGTACWISKPRIKDYSGEYKYPYPFGTLSTQTDCYSAENYAEILWTEPLFYFGGPGRNHPYCE >Ma05_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:651244:652185:1 gene:Ma05_g01100 transcript:Ma05_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKAHTNKGTWTKEEDQRLISYVRTHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGSFTDEEDELIIKLHGSLGNKWSAIAARLPGRSDNEIKNHWNTNIKRKLVGRGLDPRTHRPVHGGSHDSQSSGVSADDDSRLSNLDLDLTISLPSSRSSETICFCYHLGIRSSEACSCRTSSSMRFLSFQHTSSISTSP >Ma10_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:752579:753205:1 gene:Ma10_g00050 transcript:Ma10_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHLFFFFLSVSLLLSSTLSAYEFRVGGPKGWTKPTGDELENYNHWATKNRFHIGDSLYFKYENDSVLVVDKNAYKECDTKAPLLKFVGGNTTFTFDRHGYFYFISGEPGNCKAGERLIIRVMVHWEVVISGDAPSPQPGHSGSSISSAAVGFATSFKNTVFVAALGSAFAISQFV >Ma04_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4339715:4354020:-1 gene:Ma04_g05820 transcript:Ma04_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) UniProtKB/Swiss-Prot;Acc:Q4V3C1] MEAAARAPPAPQGQHPPQLAEAVAPPKVERLNQVVQQQLNLESVKTRALGLYKAISRILEDFDAFARANTTPKWQDALGQFSMVSMELFNIVEDIKNVSKAFVVHPRNVNAENATILPVMLSSKLLPEMEAEDNTKREQLLYGISGLPVHMQIEKLKARIDMIGAACEAAEKVISDCRKAYGLGSRQGSTLVPTLDKVQAAKIQEQENLLRAAVNFGEGLRIPVDQRQLPASLPSHLVDVLTVGDGAQTFGDSSAGSYTKNTPPFSSNNVNTQGILQTPGGQLIARSVPSPSSAASFDNASTPPMPYVNSPRSSTNITNTPSPQQQVQQQQQQQQQQRQKVMQLPQHQQQLLVQQQLRQSSSTGVLGQGTISQLHDLPGQTQQKFQQIPGQHQMSYSQPMLQQQFQNRQLQASHMQHNMTQNQINQVNLRSHLNQYSGANSALYNAAQTSPNSQMITNMSASMQSQSLLPRMQFGLTGGHPQRNHPSQILNDQMFGMGASNPASMVAIQQQQQGGFGNIPSNTQNLQPGMVNLPSTTQNPSFPQQRQQNQQ >Ma04_p05820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4339715:4354051:-1 gene:Ma04_g05820 transcript:Ma04_t05820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) UniProtKB/Swiss-Prot;Acc:Q4V3C1] MEAAARAPPAPQGQHPPQLAEAVAPPKVERLNQVVQQQLNLESVKTRALGLYKAISRILEDFDAFARANTTPKWQDALGQFSMVSMELFNIVEDIKNVSKAFVVHPRNVNAENATILPVMLSSKLLPEMEAEDNTKREQLLYGISGLPVHMQIEKLKARIDMIGAACEAAEKVISDCRKAYGLGSRQGSTLVPTLDKVQAAKIQEQENLLRAAVNFGEGLRIPVDQRQLPASLPSHLVDVLTVGDGAQTFGDSSGSYTKNTPPFSSNNVNTQGILQTPGGQLIARSVPSPSSAASFDNASTPPMPYVNSPRSSTNITNTPSPQQQVQQQQQQQQQQRQKVMQLPQHQQQLLVQQQLRQSSSTGVLGQGTISQLHDLPGQTQQKFQQIPGQHQMSYSQPMLQQQFQNRQLQASHMQHNMTQNQINQVNLRSHLNQYSGANSALYNAAQTSPNSQMITNMSASMQSQSLLPRMQFGLTGGHPQRNHPSQILNDQMFGMGASNPASMVAIQQQQQGGFGNIPSNTQNLQPGMVNLPSTTQNPSFPQQRQQNQQ >Ma08_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35563464:35564929:-1 gene:Ma08_g21770 transcript:Ma08_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIEVFRDPTLRSPRKRGAMSSGCKSSLSCVDAREPVRANYKILYKWPESDVEFVKSMASGRRGETGGLDERRKRSAGPSVVDSYSCRQLYLRSYTFTKEESVPEKTKRCLRKVKETAAAVPLFSTRNTEKGGSVDMGSKRRRKEEKENCANTRKLREMTHSALHAVFHRLLFCTASVDVVD >Ma08_p21770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35563464:35564958:-1 gene:Ma08_g21770 transcript:Ma08_t21770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIEVFRDPTLRSPRKRGAMSSGCKSSLSCVDAREPVRANYKILYKWPESDVEFVKSMASGRRGETGGLDERRKRSAGPSVVDSYSCRQLYLRSYTFTKEESVPEKTKRCLRKVKETAAAVPLFSTRNTEKGGSVDMGSKRRRKEEKENCANTRKLREMTHSALHAVFHRLLFCTASVDVVD >Ma00_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44042829:44044353:1 gene:Ma00_g05070 transcript:Ma00_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIKKSVEDEKKKKQDKKEKKRHKDHHHSRSKDDKRSLRRYSDSETSQRLFSLLWRLVYTKLIYERLAFMTVR >Ma01_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15324193:15329143:1 gene:Ma01_g19660 transcript:Ma01_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNIGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELSHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPMSTAQGEELRKLIGAAAYIECSSKTQQNVKAVFDAAIKVVLQPPNLKKNKGKGHTSCSIL >Ma08_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10784713:10785491:-1 gene:Ma08_g13660 transcript:Ma08_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRRMLPAIVLLFLLLITSDMGMTSVEARKCESASHKFKGLCFRASNCANVCKTEGFHGGHCRGLRRRCFCTKHCNSAT >Ma06_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8890789:8892593:1 gene:Ma06_g12890 transcript:Ma06_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGLGIRNWGFYEPPMKGNLGLRLMPSVMERDAKPLLSSGGFMRRQCGIPEPSVPPNFVRDGWRHHGNDSSKNDLLRDGWIHHNSDNDKNFHIFPVNHQHHPGYGVIPDPPTGHNLQMLQHPEPQPKHDKVLTMEANGAKDESPLKKRSRGRPQKSPKPKKPKKAVAPSDDVLNGSLSHEKGGRKSTGMVINGIDFDISRIPTPVCSCTGKPQQCYRWGIGGWQSACCTTSISMHPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLSNPIDLRTFWAKHGTNKYVTIR >Ma02_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15028562:15028824:1 gene:Ma02_g03570 transcript:Ma02_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGPEPLKYQTLALKVSTHCEGCKREVKRILKHGKGFCQHLSLSLSLSLSLS >Ma11_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25427036:25430023:-1 gene:Ma11_g21180 transcript:Ma11_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENGVHGNGFADGLCVKADPLNWGAAAEALTGSHLDEVKRMVEEFRRPLVRLEGATLKISQVAAVAMAPHSAVRVELSESAREGVRASSQWVTDSMTNGTDSYGVTTGFGATSHRRTKEGGALQKELIRFLNAGIFGSGTESAHTLPTPAARAAMLVRVNTLLQGYSGIRFEILEAMASLLNSGITPCLPLRGTITASGDLVPLSYIAGVLTGRPNAKAFAPGGEAVDAAEAFRRSGIPHGFFELQPKEGLALVNGTAVGSGLASVVLYEANVLAVLAEVLSAVFCEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKMAKKLHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRSATKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSTTYLVALCQAIDLRHLEENLKQAVKNTVSQVAKRVLTTAANGELHPSRFCEKDLITVIDREHVFSYIDDPCSSTYALMPKLRMVLVEHALNNGEKEKDANTSIFQKIAALEEELEAVLPKEAEAARAAVEGGNAAIGNRIEECRSYPLYRFVRKELGAAYLTGEKVRSPGEEFDKVFAAINKGLLIDPLMECLKEWNGAPLPIC >Ma02_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17230253:17243332:-1 gene:Ma02_g06200 transcript:Ma02_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRAVGESCDRRLQTKYRNAVYVIQRAFALYEFEQVAFSFNGGKDSTVLLHLLRAGYYLHKGKSECFQGNLSDSMLNCPIRTIYFESPSAFPEINAFTYETATAYNLQLETIRSDFKSGLEALLKEKPTKAIFLGTRIGDPNAVGQEQFSPSSIGWPPFMRVNPILDWSYRDVWAFILICKVKYCSLYDQGYTSIGSIYDTVPNALLSIVDSSSTTEIFKPAYMLSDGRSERAGRTKKLPLKCDTACLNNGMTNVSQSGSFIASIIVVGDEILFGTAEDKLSAVLCKKLNAIGWQVTRMIVVQNDIDCVAAQVEQQKSTNDMVFLLGGLGPMHSDVSLAGVAKAFGVRLAPDEEFEEYLRLLIGKHCTGDRNEMALLPEGITELMQHSKLPVPLIKCQNVIILAATNVSELETQWDCLLETPSHPLMRLPPFMSKHLGTMLSDVETAQTISKLCLEFPDIYIGCQRKSRVGPLVITFVGKDKTRIDLAAEKLSNSFPAGAFSEADCG >Ma10_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22968856:22976543:-1 gene:Ma10_g08660 transcript:Ma10_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPDGVGAGNPGVEGGGGEPGQPATARTLKGKSCKGCLYYSSLLKSGSRNPVCVGISRTLPTAPLFIMGESEMKATQDGHDLSDFKYACIGYSIFVDNNDEQEHRAQFPFCAGIELLVEKRVSTSDHVAAPVQKEDATARFPLQPHQPGQSSAELLSRFRRNAGVVASGVAKNLNKVGNYIKDNIDDIFYPFRKPPK >Ma08_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37291596:37294530:1 gene:Ma08_g23940 transcript:Ma08_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKGKLTRTPSSLLRSPTVRSSIHSLSSIADDEEEEKPHRPHRHQPRHRHHLLHLLLLPLPFALIFFLFLYLRDDSPLFANVLLLSSLVTAASLAARRSALFRGGATAAVRRASSVDWFIGGAERKERRPVGRIVREGVEYYSNGDYYEGEFHKGRCNGSGVYYFFGKGRYEGDWIDGKYDGYGIESWARGSRYRGQYRQGLRHGFGVYKFYSGDSYAGEWFSGQSHGVGMQTCSDGSCYFGEFKCGIKHGLGYYHFRNGDKYAGEYFGDKIHGFGVYHFANGHCYEGSWHEGKKQGFGMYTFRTGDTRCGDWDSGVLKSPLLASDPAVQRAFQAARKSAENAILVPRMDPQVNKAVTAANRAATAARVAAIKAVQNRINGKFCDTDV >Ma03_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24599140:24603585:-1 gene:Ma03_g19240 transcript:Ma03_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEFRRLLDLFPVVRSRNYCAASESSRGTTSHSSQDEQVTEWKNAWNQMDEKDGSSETENDDPFWQKLRLAAERKMGAAKAEKFCEAFRMAHEKLVYKELSSDAAQRFVDYDGL >Ma03_p19240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24599147:24603585:-1 gene:Ma03_g19240 transcript:Ma03_t19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEFRRLLDLFPVVRSRNYCAASESSRGTTSHSSQDEVTEWKNAWNQMDEKDGSSETENDDPFWQKLRLAAERKMGAAKAEKFCEAFRMAHEKLVYKELSSDAAQRFVDYDGL >Ma03_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27752800:27755301:1 gene:Ma03_g23110 transcript:Ma03_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPISLTPSSSTMRPCSNSVTNFFSFLSGGLDELDRCFASNAFMSLQSLQRAVALLRSLHSRLIGLVQKLHLPAGERWLDEYMDESSRLWDVCHVIKLGISGMENYNSRGADMVASLEEWRRNPTPRLALQVMRAISVCRREAMRLDEENRVLVETKIEPASLRFDDERAFTESRLHGFNGFRGVLYALRNVSSLLLLILLWGSVHCCPEQGVSEESTFLSSGYAVSVGRLRRRLVGEVEELGGRPGILMHEFRAARAAAEELREEMEKAGTEGGDTGATAGKLKEKVEGLKGWLGTLRSGTENLVAQLDDLFDEIVEGRKELLDICSHQ >Ma01_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2609620:2610831:-1 gene:Ma01_g03990 transcript:Ma01_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMVQFLMEKFVDALEEEDSSAVPFHALFQGIKEDLRVTMRSSVPLGTADLLRDSLYDLNDILIECRTLSKKHTDLKQRKRVRLSSMSSLWFLYKARKRLQAIKHSIQPRNHGAVDQNYSSGSLSGDMEFDRWTSRSVDKSKVYGLDDQLNAIERMLLEEDSGGFKGIGVVGMGGVGKTVLAQMIFNSPPVRRLFFPRLWVCMSQTAKRGRDVRREMLERMLMALGVEEEAITSISEAGAGSGGLAELMFALHLQLMNKRYLIVFDDVWNIDEWYEGLMSSGLPDEGEWAGHLRLDRVLPKDCGGSVIVTSRLEEVAVKMVGKENMCRIEPDKDGECCWNIFMDSVTKGGLAGDHPTLGSMKTEIVDRCGGLPLAAKTIGEILRRSLSPAENSEPENELHP >Ma04_p29230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30159511:30160981:1 gene:Ma04_g29230 transcript:Ma04_t29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHIGGEHKQEEHKEEGHHKEEEKHHTEEGHHKEEEKHHKEEEHHKEGGGGGIVEKVKEKIHGGEEHGEKKKEKKKKKEKKHGEEHHGDSSSSSDSD >Ma02_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12028047:12028954:-1 gene:Ma02_g01810 transcript:Ma02_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLAMLLLLLVSSSVLTSHAQFLGGWQPIRDLNDPHVREIAVFAVSQYNVQENKGLELSQVLAGQKQLVSRMNYNLTLKVKDGLSTAKYVAVVYESLKGEKVLESFVLIQEKFDGWTPVDVNNPHVHDIAVFAVSEHNKEAKEPLTLVNVVKGQSQVVAGVNYKLLLVAKNEKGASAGYEAVVWEKEWENFRKLISFKRN >Ma08_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4745657:4747679:-1 gene:Ma08_g06960 transcript:Ma08_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAWMEPSLDVDKLSYEIFSILESKFLFGYDDHKVFLSSTPATPVAAGLRHSSAGKVRILSIDAADGILAGAALVRLEATLRKQSGDPTARVSDFFDLAAGSGAGGVLAALLFTRGPDGRPLFSAAEALRLLAKHRRRLASGTQRKGLLGGILCRSGGLLRRVFGDATLRDTLKPVLIPCYDLSTGAPFVFSRADAVEADGYDFLMEEVCAATCADAAAGAAAVEMRSVDGRTRIRAVGGGLAMGNPTAAAITHVLNNRLEFPVATGVEDLLVVSLGGAEAPAAPGKPAAPLSAEALSRIAGGAQADVVDQAVAMAFGEKRATNYVRIQGYKAAPGTTAAEETLTERGVESVLFRGRKLSELTNGEKLEMFAAELIKEQDRRQRNAAPTVVIKPSMTPARSSSSTFTTMASTVTSASL >Ma09_p31420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41155278:41158629:-1 gene:Ma09_g31420 transcript:Ma09_t31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDFVDKDKLILRGLQFHGFHGVKLEEKKLGQKFMIDVAAWMDLSNAGKSDDISDTVSYTAIYRIVKEVVEGPSQNLLESVAHLIANTTLLQFPQISAVRVKVGKPHVAVRGTIDYLGVEILRYKKASSVD >Ma06_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5508137:5510751:1 gene:Ma06_g07770 transcript:Ma06_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSIYIIGDLLPLERSNLIELKCIDQLCLMPASLG >Ma10_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32713871:32719394:-1 gene:Ma10_g23990 transcript:Ma10_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASAVGPSRNDSAASPLRLFIASPFPTTASATASSVASTAPNRFAMSRPLRIAVVGDVHDYWELEEDSKALHFLQADLVLFTGDFGNENVELVRSISSLKFPKAAILGNHDCWTTQKFSEKKTDRVHLQLECFGDEHVGYCNLDFPMLKLCVLGGRPFSCGGDRLFRPKLLSSRYGVNDMEQSAKKIYEAALGTPEGHSVIVLAHNGPTGLGSKVSDICGRDWIFGGGDHGDPDLAQAISDLQRDTQIPIPLVIFGHMHKALAYGNGLRKMIAVGADNTIYLNAAIVPRVKHILVNGSGSSMEEQNQFQTSENGTVRAFTIVEFLDGQVEKIMETWVLVAGEKIELDRENVLFQKQ >Ma11_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19554382:19603749:-1 gene:Ma11_g14290 transcript:Ma11_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAASLLASAGMNIGLALVVLSLFSVIKKQPCSANVYFARRIARREALPLYPAFSLARLRPTASWISRAFRVTEDEVLRIQGLDALVVLRFFKFGTKLFTVCSIIGLLILVPVNFTCQDGHSRSEFSYSMDAFTISNIGKGCNRLWIHFACLCFISFYILYLLYMEYKVILVLRLQHIGNNRQRPDQFTVLVRGVPLCIEHKAYGCCVDHFFSKHHPFTYQSYKIVHDGKCIRKLLKLAYSLQHKIKDLRRKAVEKPMKWLCLCDTFWSHIGDPKIHEESLQEVRQRIHLLQHENIINKKELPVAFVSFKSRCGAAFAAQTQQHMHPLLWTTEAAPEPRDVIWKNLAIPCHLLTLYRTGIFIAALLLTVFFALPVTAVQGIAQFENLKKWFPPARAVQIIPGLSSFLTGYLPSVILNSFIYVIPYAMVYMASLEGYISQSRKEMRACSMVFYFLVGNVFFLSLLSGSLLQQIGESFTHPKDFPSHLASAVSAQSDFFITYILTDGLSGFSLEILQLGLVTWHVVRTCLFGQSIENDSYLFGFPYYRVIPIVSLAILIGMVYAVISPLLLPFLIIYFLLGYAVYVNQMQDVYDITYDTCGQYWPHIHHYLFITIILMQITMIGIFGLKSKPGASVSTILLLLMTILFNEYCKIRFVPTFCHCPIKVAKENDDCDEGEGELQANQEIAIDAYHPPWMRPVSFAGDAAYMKPLVSYS >Ma08_p32260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42945991:42955915:-1 gene:Ma08_g32260 transcript:Ma08_t32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding CALHSQEEVRAKAIRLVANKLYPLNFASDIIEQFAVRMLLSVVDQQSSEADTSLVYSNEQQTEMASQDAFVSGSQILEPAASESDADKDNQASVLKVPTISLSEAQRQTSLFFALCSKKPSLLQLVFNIYGRAPKAVKQSVHQHISNLVKNLNSPYSELLDLISDPPEGSKGLVVLVLETLTEESTPSSDLIVVVKHLYETKLKDAAILIPMLSSFSKDEVMPIFPRLVNLPLEKFQAALARILQGSAHTGPALTPAEVLIAIHDIDPQKDGVALKKITDACTACFEQRIVFTQHVLAKSLSHLVEQVPLPLLFMRTVIQAIDAFPSLVDFVMGILSKLVTKQIWKMPKLWVGFLKCASQTQPHSFHVLLQLPPPHLESALNRHPNLRIPLASYANQSSMRTSLPRQTLKVLGLLDEEQQTPKSSVPATLQTSDTGSSIHGTALT >Ma07_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32242789:32243460:1 gene:Ma07_g25140 transcript:Ma07_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAASSSPSTASSPCAACKFLRRKCQPDCVFAPYFPPDQPQKFVQVHRVFGASNVTKLLNEVNPYQREDAVNSLAYEADMRLRDPVYGCAGVISVLQHQLRQLQLDLAFAMSELSKYQSAAAAAVGHRFTDVNLPIGAAGIGFGLEHFLPVATRDANHHRHTMVRNCDADTSVAKLRASGGYVAGRAAAWTASASSLMPLNGQSTKPSTAGVDERPAIAPL >Ma09_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13012661:13013399:-1 gene:Ma09_g17430 transcript:Ma09_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSPCASCKLLRRRCTKDCIFAPYFPSDDPHKFAIVHKIFGASNVSKMLQELPVHQRADAVGSLVYEATARMRDPVYGCVGAISYLQNQVSQLQMQLAVAQTEILCIQMQQEPPLAEQKMEADDKSFVINNNLTAMPQLLNYASSSNVAQDPLKREFLWT >Ma05_p30690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40972152:40984872:-1 gene:Ma05_g30690 transcript:Ma05_t30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MASKTFDPFALPCFLLLSLAASLLLSPSHGRIPHRRPASSSADDQLVYLWPLPKLFRHGYRTLSVDPDLALDLQIPGGESLALSEAFERYRDLIFTQWERSAQRSYMDYDVNKLTVLVASNDDTLQFGVDESYTLSVGGGESFSVVNGAAIEANTVYGALRGLETFSQLCTPNTENKTVEIQKAPWYIEDEPRFSFRGLLIDTSRHYLPVNVIKQVIDAMSYAKLNVLHWHIVDEQSFPLEVPSYPNLWKGAYSKLERYTVEDAYEVVDFAKKRGIHIMAEVDVPGHGESWGAGYPDLWPSANCTEPLDVSKNFTFEVISGILTDMRKIFPFGLFHLGGDEVNTDCWNSTRHVKQWLQERNMTTKEAYQYFVLRAQKIATSLGWIPVNWEETFNTFKENLDLQTVVHNWLGPGVCPQAVAKGFRCIMSNQGVWYLDHLDVPWENFYNTEPLEGINNITQQKLVLGGEVCMWGEAVDTSNVQQTIWPRAAAAAERLWSSWEATSVGNLNTTVLPRLHYFRCLLNHRGIAAAPVTNKHAREAPYGPASCFLQ >Ma06_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3881068:3881974:-1 gene:Ma06_g05230 transcript:Ma06_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLARGCRSSTLKSTTSAALPALGDYARGRRYCAEKKDEPQRVVEKATSTAEEFLRQAREKSEAVGESAREVVEDAKEAVLGESEERKQQKVEKGNYDKFGRD >Ma08_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3732232:3734690:1 gene:Ma08_g05480 transcript:Ma08_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPASSAPPPPPPSAAKKGFLRRVIPFLLTANLAVGVYVLLRTSKKESTEKDEEAVGEVPASPVATIKPVIPDKEQVVGSIPAPLKVLPPIAEQEQRELFQWILEEKRKVKPSDRVEKKKIDEEKALLKQFIRAKSIPMI >Ma09_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4179394:4189967:-1 gene:Ma09_g06510 transcript:Ma09_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MTSVSVAGEWQLLYNRFYRKLTIYTMQWGRIDLSRHRIAAAPFGGPVAAIRDDSKIVQLYAESARRKLLIFNAAGRALASAIWDRPGGRLVGMAWTDDQVLVCVVQDGTVYRYNVHAELCAPQFSMGKECFEQGVVDCVFWGNGMVCITEGFLIFCVPDFKNPVPCRLSDPGIDEYPLCVAVIDPQYTMSGNVEVLLGVGDHVLLVEEDGVQQLGVGVGPFQKMAVSQNGKYLATFTHDGRLLVILTDFSKIIFEYTCESALPPEQISWCGLDSVLLYWDERELLMVGPHGHPVSYLYDEPIRLIPECDGVRLLSNSSMEFLQRVPDSTVSIFQVGSTLPAALLYDALEHFDRCSSKADENLRLIRSSLAEAVETCIDAAGHEFDVSRQRTLLRAASYGRAFCSQFPRDHFQEMCKILRVLNAVRNHEIGIPLSIQQYKLLTAPVLIGRLINANHHLVALRISEYLNLNPEVVIMHWACAKITASPAIQDSALLEILLDKLKLCKGISYAAIAAHADNSGRRKLAAMIVDHEPHSSKQVPLLLSIEEEDSALVKAIESGDTDLVYLVLFHIWQKKPALDFFGTINARPLARDLFISYSRYYKHEFLKDFFLSTGRLQDVAFLLLKESWKLEKNPMASKGSPLHGPRIRLIEQTQKLFSETKEHNFESKAAEEHAKLLRLQHDLEVSTKQAIFVDSSISDTIRTCIVLGNHREAMRVRTEFKVSEKRWYWLKAFALATVRDWDALEKFSKEKRPPGGYKPFVEACIDADEKAEAIKYIPKLTEPRERSEAYARIGMAKEAADAASQAKDSELFGRLKLTLAQNAAASSIFDTLRDRLSFQGVY >Ma11_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:195062:198988:-1 gene:Ma11_g00270 transcript:Ma11_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSFASFRRSSSEPAVSTSDDAGSTAPDSSAAHSSFLAEEPLRHSQGLLRPFLPSLGFSPGRRKTLPDGAATATSSLGSPPAGHPGGSQISQSSTRGEEGGSRGEITSTSPTSKPSRESEPVPVHLSPVAVTAPAAADAIIAAVEKKGSTTNSKAAVSTGVFEEKAYVWANKYRPSALNEFICNRDQAMELRQMVNADQFSHLIFEGPPGVGKKTMVLATLRDAFGPENLKMKTELKKFELKGEFIANIEVIRRRSSQHVEVNLSDLHGYEKHVIMSLIHESYIPSDRYDNCDHTNVRVVVLHEADKLSTDAQHYVCWLMEKYKGCNKIFFCCSDTSKLQIIKPICKIIKLQPPSDNEIIEVLEFIARQESIDLPHHMAKRFAENSKHNLRQAIRSFEASWNSNYSLKENQDILTGWEDDIASIAKSIVDEQSPKQLYIIRGKLKNLIEYDVSPDFIFTTLIVELKKHLDDQLQAKIDDLYREYKNWDNMSFLDAIKHTSLEHPEPSDLKRNVRYFMRIEEFIAKFMSLYKSATTKRVDGP >Ma01_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17089088:17091689:-1 gene:Ma01_g20150 transcript:Ma01_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKAGQNGTDLPKAEIDTRAPFKSVKAAVSLFGEVAFTSDRSTVRKPKPPPPESGLPKETQLHLAKKELNKYREQLDNAAKTRTHALVELERVRRTFEELTNKLNAVNESKELALKATDVVKAQTKNLEDVSSVENNGHDGGWEQEFNNAKEQYAIVVVELNAAKQELRRIRKDFEASAEAKLTAIYQEGKAKQLLDACNENVAQLSMEIRTSQESLADVKLVTEQAQQEESKIRSEKDSSKQACKQALEETERKMASLKKESDPQVLKNLEAKLAETASEIGTVKKEMEVARVSDLELFTAMGAELDGAKGMLQKLAEEESWFRSLVDSLKLELGAVKNERAELKEKDAETGFVVSNLNLKIQKCKAELEAAMAADSKATSASDDLVSAPQQLSSAEKKKSGEELRDEAEKKLQGAPTDADVAVASEANVTISKEEYESLTCKVEESERLMERKVAAAMAQVEVVRASENEVSKKLEAAQKEMEEIEAATKVALKRAEMAEAAKNAVEGELRRWRDKAQQRAGETHQSAGALPPRPTVHNVKPGEKSEEHRKVLKAMASRKTFLSNLSGILHRKKSSVDGGGSPSHPPGEKPL >Ma07_p02850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2234787:2242838:1 gene:Ma07_g02850 transcript:Ma07_t02850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKPDAQPKADEENGEVKELGLGFRTLPNPPPPSSHGTDAIDGDLEKVGPDEKIAEKLQFCAVDQKKCTGGVGAFETEADRGEKSDEEGGVGGEKEGLTGKADVEKVWETSKKVDAASKDDEGENRSMGISYPQRPGQLDCAYYLRTGICGYGANCKFNHPPRIRLTQLENLKARTREPELVQPISMEEKEEFPEKAGRKECKFFLMPGGCKFRNSCMFAHSQQKPEVSGIRLNFLGLPIRTGEKECPYYMRTGSCKFSSNCWFNHPDPTVVTAQDCVLEYQNGGSPKRHASAEMNMPNHIHSFNQTVTHLDSLPSYIPSFVPPPKGFNFNPNLRSQVPSSPSFPSSRNIQQPLCAISISNTSAIQQQGDMVYPERPGQPECPYFMKTGDCKFKSACKFHHPRSRLTGISSCNISPLGLPLRPDQPVCAFYSRLGICKYGPACKFDHPMDFASSASPTGRSGEVSDL >Ma07_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2234827:2242838:1 gene:Ma07_g02850 transcript:Ma07_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKPDAQPKADEENGEVKELGLGFRTLPNPPPPSSHGTDAIDGDLEKVGPDEKIAEKLQFCAVDQKKCTGGVGAFETEADRGEKSDEEGGVGGEKEGLTGKADVEKVWETSKKVDAASKDDEGENRSMGISYPQRPGQLDCAYYLRTGICGYGANCKFNHPPRIRLTQLENLKARTREPELVQQPISMEEKEEFPEKAGRKECKFFLMPGGCKFRNSCMFAHSQQKPEVSGIRLNFLGLPIRTGEKECPYYMRTGSCKFSSNCWFNHPDPTVVTAQDCVLEYQNGGSPKRHASAEMNMPNHIHSFNQTVTHLDSLPSYIPSFVPPPKGFNFNPNLRSQVPSSPSFPSSRNIQQPLCAISISNTSAIQQQGDMVYPERPGQPECPYFMKTGDCKFKSACKFHHPRSRLTGISSCNISPLGLPLRPDQPVCAFYSRLGICKYGPACKFDHPMDFASSASPTGRSGEVSDL >Ma07_p02850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2234826:2242839:1 gene:Ma07_g02850 transcript:Ma07_t02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKPDAQPKADEENGEVKELGLGFRTLPNPPPPSSHGTDAIDGDLEKVGPDEKIAEKLQFCAVDQKKCTGGVGAFETEADRGEKSDEEGGVGGEKEGLTGKADVEKVWETSKKVDAASKDDEGENRSMGISYPQRPGQLDCAYYLRTGICGYGANCKFNHPPRIRLTQLENLKARTREPELVQVSYSSISPICTDQPISMEEKEEFPEKAGRKECKFFLMPGGCKFRNSCMFAHSQQKPEVSGIRLNFLGLPIRTGEKECPYYMRTGSCKFSSNCWFNHPDPTVVTAQDCVLEYQNGGSPKRHASAEMNMPNHIHSFNQTVTHLDSLPSYIPSFVPPPKGFNFNPNLRSQVPSSPSFPSSRNIQQPLCAISISNTSAIQQQGDMVYPERPGQPECPYFMKTGDCKFKSACKFHHPRSRLTGISSCNISPLGLPLRPDQPVCAFYSRLGICKYGPACKFDHPMDFASSASPTGRSGEVSDL >Ma06_p36390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35819212:35821134:-1 gene:Ma06_g36390 transcript:Ma06_t36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MDGRGRLGTRNWDFCDQSKQAGKMLKSVSGAVPAHQETSLRISSYPATGSHSSHPPTMDFSWFPQRSLVSQSKCVDHPAANPVSSEMIDIQTMPIAEEETDDKVATTPPPIPKLRKQHSSTKKSGRVAAKVLRPKEPKKQLPNPTKKKGGSSSTGKREKKNQDSVAEQGTMLDISSVPVPVCSCTGVPRQCYRWGSGGWQSSCCTTTISEYPLPMSPTRPGARLAGRKMSIGAYGKLLQRLSAEGFDLSYAVDLKDHWARHGTNKFVTIR >Ma06_p36390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35819212:35821134:-1 gene:Ma06_g36390 transcript:Ma06_t36390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MDGRGRLGTRNWDFCDQSKQAGKMLKSVSGAVPAHQETSLRISSYPATGSHSSHPPTMDFSWFPQRSLVSQSKCVDHPAANPVSSEMIDIQTMPIAEEETDDKVATTPPPIPKLRKQHSSTKKSGRVAAKVLRPKEPKKQLPNPTKKKGGSSSTGKREKKNQDSVAEQGTMLDISSVPVPVCSCTGVPRQCYRWGSGGWQSSCCTTTISEYPLPMSPTRPGARLAGRKMSIGAYGKLLQRLSAEGFDLSYAVDLKDHWARHGTNKFVTIR >Ma06_p36390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35819212:35821134:-1 gene:Ma06_g36390 transcript:Ma06_t36390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MDGRGRLGTRNWDFCDQSKQAGKMLKSVSGAVPAHQETSLRISSYPATGSHSSHPPTMDFSWFPQRSLVSQSKCVDHPAANPVSSEMIDIQTMPIAEEETDDKVATTPPPIPKLRKQHSSTKKSGRVAAKVLRPKEPKKQLPNPTKKKGGSSSTGKREKKNQDSVAEQGTMLDISSVPVPVCSCTGVPRQCYRWGSGGWQSSCCTTTISEYPLPMSPTRPGARLAGRKMSIGAYGKLLQRLSAEGFDLSYAVDLKDHWARHGTNKFVTIR >Ma06_p36390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35819212:35821133:-1 gene:Ma06_g36390 transcript:Ma06_t36390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MDGRGRLGTRNWDFCDQSKQAGKMLKSVSGAVPAHQETSLRISSYPATGSHSSHPPTMDFSWFPQRSLVSQSKCVDHPAANPVSSEMIDIQTMPIAEEETDDKVATTPPPIPKLRKQHSSTKKSGRVAAKVLRPKEPKKQLPNPTKKKGGSSSTGKREKKNQDSVAEQGTMLDISSVPVPVCSCTGVPRQCYRWGSGGWQSSCCTTTISEYPLPMSPTRPGARLAGRKMSIGAYGKLLQRLSAEGFDLSYAVDLKDHWARHGTNKFVTIR >Ma08_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32085290:32087705:-1 gene:Ma08_g18570 transcript:Ma08_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 704B1 [Source:Projected from Arabidopsis thaliana (AT1G69500) UniProtKB/Swiss-Prot;Acc:Q9C788] MEESNLFGLHACSLLALFLLSWVFIHRWKQRHQRCPKTWPVIGSTIEQLKNYDRMHDWLAGYLSESKTVTVSLPFKSYTYIADPASVEHVLKTNFANYPKGEAYRSYMDVLLGDGIFNADGELWRAQRKTASLEFASKNLRDLSTRVFREHALKLSRILCQVPVDRDVDMQDLFMRMTLDSIAKVGFGVEIGTLAADLPENAFAQAFDDANIIVTNRFIDPFWRIKRLLRVQGEARLQRSIKVLDEFTYDIIRRRKAKIKLARAKRNGDEMKHDLLSRFIELGEGDGSIFSDDKSLRDVVLNFVIAGRDTTAATLSWFIYMVMTHPGVAEKLHDEVRAFEEERAKEEGVALLVEAFDDRMTQFAGFLSYDSMGRLAYLHACISETLRLYPAVPQDPKGILKDDVLPDGTKVKAGDMVTYVPYVMGRMEYNWGPDAALYKPERWFKDGILQTVSPFKFTAFQAGPRICLGKDSAYLQMKMTLAVLCRFFTFTLVPNHTVQYRMMTILSMAHGLKVYISRR >Ma08_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1981828:1983077:-1 gene:Ma08_g02650 transcript:Ma08_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGLLGGSMEERKLSHAGGLLIHPSSSVSPASSVSSQSQSSSTSSLSSATAEKQQQQQQNLRCPRCDSTNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKTKAAPAIAAAGAGGKSAGATKAKPASSDLLLRSALTAGLETELSRSSILWASPHTSHLMDLLRSATTIQNPNPSLNSTPNLNLNSGRLKEDRQLLGAQTVAETGGTLNAHAPSLDSLQGQLGLGASLWRNSNSYSQQQQQNNNIVLGDMPISEIQDMYRRFKSSSNYYNEQLETAMNSVGSFDSSCSSVTSMMTSAGMVTTSTTPSMMDPIPHPVGEFGYWNQALAWSDLPTPNGAVFH >Ma08_p34120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44197115:44199229:1 gene:Ma08_g34120 transcript:Ma08_t34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGAAAAAAKGGKKKGSTFVIDCAKPVEDKIMDIASLEKFLQERIKVAGGKAGALGDAVTVTRDKSKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEEE >Ma03_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27100541:27101965:-1 gene:Ma03_g22330 transcript:Ma03_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHNSKKRRRPLKTKKSKKKPPALRPQNANPAQDDDPVLFGGTTDEDRPSAAPNSEVIQDLLELCTKEQLIGFLLDAAATDSDLPACIRAAADRDVSHRKVFVHGLGWDATRDALLEAFGTYGPVEDCNVVIDRATGCAKGYGFVLFRTRAGAVEALKQPQKRIRNRPVFCQFAAVGPASTGDTAGRKVYVSNVPANATLDKLRSFFSRFGEIEAVPSGFDMHTGKWKGYAIFLYKKLEGARKALEEPYKVFEGHRLHCQPAAEPSQRGKAPAPSTNTASSVALLGPAPQPVLAAVAATQNLMLYRQNPAYAALLGRNPLLAAAALDPTAAAALNPAAGAGLLSPLGQGLGGVGLASGAPSLLGPYGSQGATGLHGMQLHQVSQLRQSSPTRLSG >Ma07_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31321640:31323676:1 gene:Ma07_g23790 transcript:Ma07_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCSRDEVGRMPTSGAMERVDAFYAAEEDSVRVGDGGGRVRLKGSCMVASMFTQQGWKGVNQDAMTLWEGFAGDQGTIFCGVFDGHGPYGHRVACHVRDALPSRLQSGMKAFEGGAGDTGGDGDGWFASWNATIVSAFEELDKELSNHPWIDCICSGATAVTILKERDHLIIANLGDSRAVLCTRDDDDQLVPVQLTVDQKPNLPSEAERIRSCRGRVFSLEEEPDVHRLWLPDEDSPGLAMARAFGDLCLKDFGLIATPQISHRKLSDKDEFVVLATDGVWDVLSNEEVIKIVSSANKRSAAAKQVVDRAVRAWRTKHPTSKVDDCTVVCFFLQQPLPASNKPTRGIRRDSTVSADLSCTDSFRTARSEVSEPEMEVGAGGSQQEWTALDGVSRVNSLLKLPRFAKALSWRKRSVKIEEDT >Ma01_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3588212:3592837:1 gene:Ma01_g05080 transcript:Ma01_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWQRTAFHFQPLDNWMNDPNAPLFYKGWYHFFYQYNPSSPVWGNITWGHAVSRDLVRWLHLPLALVPDHWYDSRGVWTGSATLLPPDGRPAVLYTGATDDSVQVQNLVFPADPADPLLLRWSKSDANPVLLPPPGVGPQDFRDPTTAWADATGSSWRVAIGTKDESHAGIALVYRTTDFLTYELLPGVLRRVAGTGMWECVDFYPVSTGGVAAGEGLDTSAVAGDGVKHVLKASMDDDRNDYYAIGSYDLEKNVWVPDDAEADVGIGLRYDWGTFYASKTFYDPAKRRRVLWGWVGEMDSEGADVRKGWASIQAIPRTVVFDVKTGGNLIQWPVEEVESLRMSSRNFSGITVAPGSVIPLDVGSATQLDIEAEFVIDEAALMATTEADVGYNCSTSGGAAARGMLGPFGLLVLADKALSEQTAVYFYVARRRDGRLRAHFCQDESRHVLLTSANDIVKRVVGSTVPVLDGEKLSLRILVDHSIVESFAQKGRTCITSRVYPTEAIYGDARVFLFNNATNCNVVAKSLTTWQLNSTYNHPYSSSKGFSLFSTL >Ma05_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19105729:19107751:-1 gene:Ma05_g16910 transcript:Ma05_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQAGQCGNQIGGKFWEVVSDEHGIDPKGNYVGDSRLQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDALRVGPYGQLFRPDNFVFGQNGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFTPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMIAVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSATFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVADADDDEAYGDEVEET >Ma04_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26788228:26792253:1 gene:Ma04_g24910 transcript:Ma04_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGPLIWEIVKKNNAFLVKQFGNDTAMVQFSKEPNNLYNVNSYKHSGLANKKTVTIQAGGKDLSVAIATTKTKKQNKPGSLYHRSVMKKEFCKLAKVVMNQVTDNHYRPDLTNSALARLSAVHRSLKVAKSGAKKRNRQALKVRN >Ma08_p25890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38580163:38582706:-1 gene:Ma08_g25890 transcript:Ma08_t25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPVPMAVSPSRRPSSSPPSPPDPDPDLLRHLLQLSRDVSPSPPPSWHASLRVGHNFSSVPRNFKQLSLLFEELLQHTRGCDFAMPRSASLCFREMFLVFHRVKALFHDSSGRSRAFHLFRAERLAAELHEQVVDLATLLDILPLAELPVSEDVRDLVRLLLRQFRRSSTAVEPAALSLRRDVLELIAEIERGAVPDRDALQGIFLRLGLDDSRSCGREIDRLERDIGDCAADHRWAPVMVALAGILRYAKCVLFGASIPRSDSSAAAGGKQSSSALENITVPADFRCPISLDLMRDPVVVATGQTYDREPIVRWIGSGHATCPKSGQSLAHLELVPNRALKNLIARWCHDNNVPFDASDRNVTATVTSNNKKPADRDITTPAGTNKAALEAARMTASFLVGELAVAPSTEAANRVVHELRLLAKHGSDNRAFVAEAGAIPLLLPLLRSDDTGLQLNAVTALLNLSIMEANKRRIMHTDGAVDLFVHILAKGATWRAKENAAATVFSLTTVHSYRRRLGRHPRVVELLLQMARVGPANAKKDAMAAIMGLAGDRENTGRLVEAGVVRVALEVSGEPEAAEEAVAVLAAVAKKGGAEAVAEAEGAVARLVGVLRRGSDWARESAAAALVEVCRQSGAEVVAELVAVPGIEWVIWELMEAGTERARRKAAALARICRRWAAAVEAERTARYSEMSIPASSTTVS >Ma09_p29210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39712683:39719851:-1 gene:Ma09_g29210 transcript:Ma09_t29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWTCRSCQHLNFSRRDSCQRCSDSRPSSDRSEYASFGGGRVGGSSFGFSGSDVRPGDWYCSCGAHNFASRSSCFKCCASKEDSAVGGGGGGGFDGDMPRSRGYGFGGSGGGGRAGWKSGDWICNRSGCNEHNFASRMECFRCNAPRDSGTEV >Ma09_p29210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39712683:39714366:-1 gene:Ma09_g29210 transcript:Ma09_t29210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWTCRSCQHLNFSRRDSCQRCSDSRPSSDRSEYASFGGGRVGGSSFGFSGSDVRPGDWYCSCGAHNFASRSSCFKCCASKEDSAVGGGGGGGFDGDMPRSRGYGFGGSGGGGRAGWKSGDWICNRSGCNEHNFASRMECFRCNAPRDSGTEV >Ma01_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12449629:12450291:1 gene:Ma01_g17010 transcript:Ma01_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSAAAICFIKLFSTYRMPNERITTWDSHLQPLLVIKLLVPPRSKVNLVPELTPKTTRIKDMQNSLVSIKQAYTIFPHLCPNPSHKWPPSCSHHLLTPIRFLKLFSTCTTGDLAIPPRFCTDGGIYSILLQTHHLNPCTSLPLLLNAIPLSNLFLRTRRKKKKKYDSLHKQTKKSNEEATHVFLFFCFCFLGTSHGPLENKKAMFANKILYSCSHQQPS >Ma07_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1927985:1934543:1 gene:Ma07_g02410 transcript:Ma07_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHKVSELKAFVTSCRSDPSILHHPSLAFFRDYLQSLGARLPPQAMSKNPMKGENDDEMTKAAPDSVDKKPYQKPEDAAIEDEIIESDMELDGELVEPDNGPPQKMGDPSTEVSEESRDVAQMCKAKAMNAMSEGKLDESIEFLTEAILLNPSSAILYATRASVFVKLHKPNAAIRDSDAALQINPDSAKGYKYRGMAKAMLGQWEEAASDLHVASKLDYDEEINSVLKKVEPNAHRIEEHRRKYERLRKEREAQKIERERQRQRAEANAVYERGKKESTSENKAFDAEPKDPDSLAALQDGSVISIHSSNELQTKMTAAVRLSRLVILYFTATWCGPCRFMAPIYKALAEKHRNIVFLKVDIDELGNDAHRWNVNSVPTFFFVKDGKEVDKVVGADKSGLERKIAMHAAKP >Ma07_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8681733:8683753:1 gene:Ma07_g11660 transcript:Ma07_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVLQSPFHLEKYGWPAPEETKDDPVMAVEDDHNRPGQFDIWSAIQAQKGAIPVAADPPAPYVHPLMSRSSSSLSQMSLQMCTESLGSESGSDDFSSVPDGLDFDYSPKNETKTEEEDHDVHEKVAVVEERGGLWSRTETEERQVPQRKADELVSVNYNCSISRRSPSRSFPPPLPSLSRRDGPCLHMRPHRRDGRLVVQAVLVPSRNYLHAQREGGRLLLSFVDATFDDDSSETVEIEQPHEHHQVIDEIEENKAEEVKLKNCYEADDDEEEEEEEVEVVDRGTVIEVKVSTQPQQPNGSAMKILRSSLVINKFVGGTPRSSNAKCEQSNLNSISRWQAITPATVARRPPPTPATAAAAVVVASTLSASTEAYNDEGARPSLGDDEHHLPLDNKLLFTSKRRNREELLHSMKRCSQLRKPLFIWEPCCIATSS >Ma08_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5494008:5499765:1 gene:Ma08_g07910 transcript:Ma08_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLRSILIASALLAASLLFSSSSSLSTSTAEEGAAVYIVFVDEPVGEKPEAFHIRTLAAVLGSEESARRAILFHYTHAASGFAAKLTPKQVEELKKQPGVIQVMPSRTYSIHEPTSGAQVQSKSTMLQKFQP >Ma07_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13215078:13220578:-1 gene:Ma07_g16370 transcript:Ma07_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERKGHLMREEGGQNDRFPVGMRVLAVDDDPTCLRVLEALLLRCRYHVTTTNQATVALKLLRENRDKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGIAHGACDYLLKPVRIEELKNIWQHVVRRRKFSHKDYSNFDNGEESERHQIVSSEGGQDLNVSGSANQNGKLSRKRKDQNEEDEDDCEENVHENEDLTTQKKPRVVWSIDLHRKFVAAVNQLGIDKAVPKRILELMNVERLTRENVASHLQKYRLYLKRLSTVASQQANMVATFGGSDPSYLHMSSLDGFGSLHVLAASGHLPALTSLQSSKVLDRAITGGLGNHGFTSSRIVQVGHNNTSTPCNDLNRFQHINLPGNQHGSLLQGIPTSLELEQLQPRRIQEANSQFPGGFSDNKLATATPGSSFVNGTNSSFISQTLQQQAHPRRFINHSSIRATPISTHPFHVGSECSSRFPDLGRCKDTGKCAVPLTAYATNTSSMGSFTTDDESQRDNNIPTISSTSFMTAPPRDPSKGRDRQCQANSSIARTMLLATTMDREMKFVNFSSAGNSKERLEPKDVYIDENDVTISSFSSSTVSNNFMIDPFSGNQIMNNSHYNKRMDKNIISLTTASSSILRENFKIDDSIVDDPQKDKYDLEITKLQGGIVLGGCNLDDLVNSSMIKPERDELSLMDSDTGCDFYPLGACM >Ma05_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16494370:16494606:-1 gene:Ma05_g16430 transcript:Ma05_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVWLPAPPFLLASAFCESKCKVRCAKAGVMDRCLRCCGVCFEECKCVPSGTYGNKDECPCYRDDVTKGKNRKPKCP >Ma07_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10892632:10892874:-1 gene:Ma07_g14470 transcript:Ma07_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFYVLLFFLCHNGHTLCSACKSRVHNRCPT >Ma11_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4352295:4358489:-1 gene:Ma11_g05680 transcript:Ma11_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVEKNHASVNSNGSLHNSNGAEEKLDELRRLLGKTDGDLLKIVGVGAGAWGSVFAALLQDAYGHFRDKVQIRIWRRPGRSVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPFCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISRYWKERITVPIIISLAKGIEAALDPVPRIITPTQMIKCATGVPIENILYLGGPNIASEIYNKEYANARICGSEKWSKPLAKFLRQPYFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGVSAIGAFYELLSQPSLSVLHPEDNTPVAPAELCPILRTLYRILIRRECPSQAILQALQDETMNDPRERIELAQSQAFYRPSLLGQP >Ma11_p05680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4352502:4358489:-1 gene:Ma11_g05680 transcript:Ma11_t05680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVEKNHASVNSNGSLHNSNGAEEKLDELRRLLGKTDGDLLKIVGVGAGAWGSVFAALLQDAYGHFRDKVQIRIWRRPGRSVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPFCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISRYWKERITVPIIISLAKGIEAALDPVPRIITPTQMIKCATGVPIENILYLGGPNIASEIYNKEYANARICGSEKWSKPLAKFLRQPYFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGVSFTSHSSSATR >Ma08_p32620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43281716:43282833:1 gene:Ma08_g32620 transcript:Ma08_t32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPQLSSRFHTCKHERGSKDEMRRQRYQSFLAFSSEEDDKLTLWEPQLQEIFKLKHEILFLHKSYKIITKSDASVHIIYRTVFLSNLLY >Ma10_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29829491:29832333:1 gene:Ma10_g19170 transcript:Ma10_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTREENVYLAKLAEQAERYEEMVEYMEKVTGVAAAEGEELTVEERNLLSVAYKNVVGARRASWRIVSSIEQKEESRGNEAHVVAIRDYRAKIEDELSSICGGILRLLEERLVPSASATDSKVFYLKMKGDYHRYLAEFKTSSDRKDAAESTLAAYKSAQDLAMAELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDSLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGVDEIKEAPKQEEEQ >Ma07_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3907715:3910435:1 gene:Ma07_g05380 transcript:Ma07_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKKGKRSAMESSPPSTYYPVSQEAKTRFRHQSLLQDYENLLKETEAKRRKLQKVDQKKLKLLAEIKFLQRKYESLSMNPSRKAPLRLNKKPQSISSQLFMIDQPPNSSFQFPAKDQSSIVRDASFRSTPAVIDLNQVSLSIGEGLDEQQVSLGPAKADKLRKSLMDCDDVANDLKPLICRDVGRGSNRVVKRKITWQDQVALRV >Ma05_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:758941:760154:1 gene:Ma05_g01290 transcript:Ma05_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELLVEILTRLPLKSLFRFTCVCKAWCRLISNDDYLRRKLPLITSAIFYTLEPDLMEEPRYACTSSDGSLGGCSLTFFPFHQSSTIVDVCNGLLLCYSSLLSAFHVLNPATRRWRKLPKPSKRSQRSIMAFDPCNSSEFKVVSFTGWVEQGAELEVFASDTGNWVEHHVHWGIHSDAMSTTMCFFDTTLYILAHPNYVVGIDVTSFDTCFKIELPEPIKHEARIGKSGGCLCYTHRDGDQLKIWKLRDSHGGTWVVRGVISIPWMVERSHRRSTSFPCLPLQCKFMAFHPQREVVYLWLRGEMVGYDVNEGSVEEAYELGKEMERAHFFQIWLFPFSCYMSNCLADAQGSSHCA >Ma02_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19773698:19775024:-1 gene:Ma02_g10080 transcript:Ma02_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHEMDAKTDSEVTSNATSSPARPVYYVQSPSRDSHDGEKTTTTTTSFNSSPALSPPRSHSSVGRHSRESSSSRFSGSLKPAGARKISPHDGGGRGSRRRGGKTWKECAVIEEEGLLDGEEDDAGVPRRCYILGFVLAFFLLFSFFALILWGASRNQRPRIIMKSITFDNFIIQAGTDASLVPTDMATLNSTLKFTYRNTGSFFGVHVTSTPIDLNYYQLTLASGNMNDFYQSRKSKRDLRVVVKGHQVPLYGGGSSLSSSEGKTSGPVGMTLGFTVRSRAYVLGKLVKPKFYSNVQCSVTMDQTKLSTPVSLKNSCRYS >Ma11_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24158431:24161682:1 gene:Ma11_g19210 transcript:Ma11_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01940) UniProtKB/Swiss-Prot;Acc:Q93W77] MATLAAAAGISGAPNLSSLLRHQIVKPVQVPPPRARSFHVRRRIAWRRASSSTPPPAEAASPGLYSAKVYELTKENVNLVLDDVRPYLISDGGNVDVVSVEDGVISLQLQGACGSCPSSTTTMKMGIERVLKEKFGEAVKDICQVEGIQIETTVEAVNNHLEILRPAIKNFGGSVEVVSVEAGDCSVRYTGPDSIGSGIKAAIKEKFPDIINVVFI >Ma07_p28580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34581577:34582520:-1 gene:Ma07_g28580 transcript:Ma07_t28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPRPAELLLLLPLLLLLPSALSDPDDEACLSNLRSSLTDPTGSLRNWTAATFAAPCNGFTSYLEGVTCNNGRVYKLSLTGLSLGGALSPSLANCTNLQSLDLSSNALSGPIPSELSSLLNLAVLNLSSNRLSGPIPPELALCAYLNVLDLHANILTGLIPDQLGLLGRLSAFDVSYNRLEGPIPALLANRTAVAGAAAAAAALPRFNASSFDGNRDLYGYPLPPKRGAGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEQTALMSGEEGKISHLMPDY >Ma03_p30860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33310005:33312467:1 gene:Ma03_g30860 transcript:Ma03_t30860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERKQPNDRERIEAVLEILKKQAPLTVKQEKFCNDACVEQFLRARGDSLKKAAKQLRAALSWRESIGLDHLIADEFAAELAGGLAYVAGHDDEARPVMVFRIKQDYAKTHSQKSFVRFLVFTLEVAISSMSRFVDQFVILFDASLFRSAPAFLNLFMGTLKIISDYYPGRLHKAFVVDPPSLFSYLWKGVRPFVELSVVTAVVSSLDFDDSIEDAAFASCRTRAASLRFDPVVATTARLGGSTSSRFSFTVSHLDSLKPWYLSTTTRATPRAVMPTASPSLVGASPLNARSFSFASPAARSTPRASRSIPSTPCSFPPPTHPHQQQHPPRTPRPSFLQSPATLFTFWKEGQAVVSRGERERESFLPFLRFYRRPYDEMAYRAKMRPPFGGLISIISPHLEQQQLQQQQQRRDALNIHHQRTQTLTY >Ma03_p30860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33310016:33312467:1 gene:Ma03_g30860 transcript:Ma03_t30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERKQPNDRERIEAVLEILKKQAPLTVKQEKFCNDACVEQFLRARGDSLKKAAKQLRAALSWRESIGLDHLIADEFAAELAGGLAYVAGHDDEARPVMVFRIKQDYAKTHSQKSFVRFLVFTLEVAISSMSRFVDQFVILFDASLFRSAPAFLNLFMGTLKIISDYYPGRLHKAFVVDPPSLFSYLWKGVRPFVELSVVTAVVSSLDFDDSIEDAAFASCRTRAASLRFDPVVATTARLGGSTSSRFSFTVSHLDSLKPWYLSTTTRATPRAVMPTASPSLVGASPLNARSFSFASPAARSTPRASRSIPSTPCSFPPPTHPHQQQHPPRTPRPSFLQSPATLFTFWKEGQAVVSRGERERESFLPFLRFYRRPYDEMAYRAKMRPPFGGLISIISPHLEQQQLQQQQQRRDALNIHHQRTQTLTY >Ma01_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7073541:7074126:-1 gene:Ma01_g09860 transcript:Ma01_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKETAENVAASAKSGMDKTKATVQEKVEKMTARTPAQKEMAEERKQEKIREAEVNKQETMQSNAVEHQQTLAGGQVADPGFYPVEGAASGGTGAARPSAAHDPFLAAR >Ma06_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:439122:445858:1 gene:Ma06_g00590 transcript:Ma06_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTHMSYGHQGDINTPYVVHTVPQVPLLTNGEMVDDIRPEQHALVPSFMGGGGKKIYPLPFSDPNLPVHLRSLDPSKDLSTYGYGNVDWKERMENWRQKQEKMHKMRNDGGGKGWNNDNEEPDLPLMDEARQPLSRKLPVPSSQINPYRMIIIIRLVVVGFFFHYRITNPASDAYPLWLISVICEIWFAISWILDQFPKWLPIERETYLDRLSLRYEKEGQPSQLSPIDIFVSTVDPMKEPPLITANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWAPFCKKFNIEPRAPEWYFQQKIDYLKDKVHPSFIKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNVDCDHYFNNSKAIREAMCFMMDPLVAKKVCYVQFPQRFDGIDRHDRYANRNIVFFDINMKGLDGIQGPIYVGTGCAFRRQALYGYDAPKSKKPPTRTCNCWPKWCCCGCCCSGRRKKKTAKAKQEKRRNSSWRGDNGAPVLALEGIEDGKQGNENDKQKLMSEQKLEKKFGQSPVFVASTLLENGGTLKGATPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPGRPAFKGSAPLNLSDRLHQVLRWALGSVEIFLSKHCPLWYGYRGGLKWLERLSYINATVYPWTSIPLLAYCTLPAVCLLTGKFITPELSNVASLWFLSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTTKAGDDEEFSELYTFKWTTLLIPPTTLLIVNFIGVVAGVSNAINNGYESWGPLFGKLFFSFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLPKSDGPLLEECGLDCN >Ma10_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11257562:11258402:1 gene:Ma10_g03080 transcript:Ma10_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPALIKALASVAVAHTIGQVAVTVSMSKVTVSFTHIIKSGELAFNVLVSRFVLGERFPVLG >Ma04_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22980836:22982639:-1 gene:Ma04_g20250 transcript:Ma04_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSLFFLFLYLFVSVHCSACELNSTKPHLHKWFEPTSQHTIVVDANGSGDFLSVQEAVDSVPENNTKRVIIHIHAGCYIEKVVVPATKPYVTFQGAGRNVTVIEWHDRASDRGPNGQQLRTYNTASVTVFANHFSAKNISFKNTAPAPMPGMEGWQAAAFRISGDKAYFFGCGFYGAQDTLCDDAGRHYFKDCYIEGSIDFIFGNGRSMYKDCQLHSIANRFGSVAAQDRNSPCERTGFAFVNCRVTGSGKLYVGRAMGQYSRIVFAYTYFDDVVAPGGWDDWNHNSNKNKTAFFGVYRCYGPGAAAVRGVSWARELDFETARPFLVKSFVNGRHWLGPSDP >Ma04_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3950008:3953280:-1 gene:Ma04_g05280 transcript:Ma04_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSSVPLFGSIEEEKHVTQQQQPQPGSAVAAAAAAQPAAPPPKKKRNLPRNPNPDAEVIALSPKTLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKDVRRRVYVCPEPTCAHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARVPASMNTIGSHFYGNTGINLGLPHLNSQLSTLQDQTHPSADLLRLGGNGNAMQFNHLTVPSFRPPPPPQSAPFYLGGGSSQDINENTQLLQSKPFHGFMQLQHLQTNTESSSPSAAAAATNLSNLGIFSDSSNRSAVTNSSNVAMNQNAHLLVSDPFNTAGGSNGPNAIFAGNIVGNNLLDANMSPMCNNSVSNESMPPHMSATALLQKATQMGATSSCISASSSLRGVGSLYSSAAKNAGSRTVFDGVESESHFQDLVNSLTNETSGILSGGNQQETGFSGFGATDRLTRDFLGVGSMMRRMGGVLTQREEQHLGIDMASLDPELKSVCNKRY >Ma03_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18523931:18529621:-1 gene:Ma03_g16280 transcript:Ma03_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGNSLPSVAGAAATKRRVCYFYDSEVGNYYYGQGHPMKPHRIRMTHALLERYGLLGGMRVLRPSPADDRILRRFHADDYVAFLRSANPEALSDPGALRRFNVCDDCPVFDRLYEFCQVYAGASVGAAKELNRGHADIAINWSGGLHHAKRCEASGFCYVNDIVLAILTLLEHHDRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYALNVPLDDGIDDESYHYLFKPIMAKVMEVFRPDAVVLQCGADSLSGDRLGCFNLSVRGHGECVRYMRSFNVPLMLLGGGGYTIRNVARCWCYETGVALGVEVEDKVPDHEYIGYFAPDYNIHVATSNMENKNSRKSLDDIKVKLLEYLSKLQHVPGVQFQERPTDMDLEEENEDQEDTNEKNDHNSDRDERPES >Ma08_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33669506:33672124:1 gene:Ma08_g19780 transcript:Ma08_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRRRSRINEKMRALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQILSMRNGLNLHSMYLSGALQPLQTSQMCIGFGLNSDMAMNTGTGLLPLNQDSAAQISFDLSNRWTSSLQSTIEASVFNVTKPEASLFASSHSHHASFQVPVSCEVEFLLHRNKVILKNDSIMHICGVLT >Ma07_p26320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33169857:33175084:1 gene:Ma07_g26320 transcript:Ma07_t26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALEGFSIREYAAKMRSVDMEKCWPLGTSGGGERSLPPIPFRKYRWWSDELWAVRSAAAGGLPALERDRSRAKAKLRAPKKRSVAELFAVAPQIERIEEETIGCGGDVGHGVDAEDGEQKRGRGQGADDALRDHVSNKCWKKKKKKKRYIGKKCWIRSGSKKPKTQRQVLMLVIGSRKKLLEDSASEKESKKASRHSLGGQKKKKKAAATSLQNKEYEQFHGSNLVARNQGAAEILRVKGILRSPRETSSMKSRILNGDPQAGHPFKPCCALEKHVTFCGNDGHNESFSHTKLPQLLNLCIRFSDALAASSISDLSRGDKLPPTEQSKVTKTGGAPTVEGKAQLIESGVHATADHPSNPINRNISRRPKTSLRETIDFNHAMQISNGLNIGYSDSSSRHYSNCSPSIRNSCPEERSNSGVGFHSDMLDSREVMHVSMTSAPKLEPESSPNMTGIPMSQSQPSLSCLPVSVDQREVDRPVAFKKNRYIQIPAIQPVCDISPSDQMINECPETTRSMLDPICKYNGMCVSEDSIGSPLSSQELIKFHSDAKFGATEIFKQQNLGPEHSLQVPYLTGSKSHMDHVNMRGKFCCTMADRNDQRRWNSHHFYPARKPTKSGLGYLRLHCSERMDVQNHESSRDKNQSLHHGQNHDLNWTPSESFHAEESLVEYRIEPTVPSTMRLMGKNVTVGRSSEDSKCTDHGTTWTDKEIITRRSPSRIVVDKALLERRLQRECVTHPTSGISRDCIRRLQDLPSDPYHFPAVDKRYDHTFLGHQSLLTSRNGHMSTLTYHGTSYSTSHRLLNHSPKSAVDSGTRCLGFLLNSTRHRHNLMLSSNMSSSASHPGLSSPKCMDFIGPLSSIPHSSCLQQWLLDGEQPMIQPSTFSTTDITHPAYSKGTVTGSNAGIPDKLSAGAKHMLKPDGSEDRGDARPTHSSIPFTSGISSDTVPIDFSQDCRKRLQVSWYGSNYRG >Ma02_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24480987:24481130:1 gene:Ma02_g17650 transcript:Ma02_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREELQLLQEPGSYVGEVVKVMGKSKVLVKVNYVAYLPFFLLGFTT >Ma06_p34220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34562123:34567784:1 gene:Ma06_g34220 transcript:Ma06_t34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex-binding protein [Source:Projected from Arabidopsis thaliana (AT2G40550) UniProtKB/Swiss-Prot;Acc:Q501D5] MVGLAYDFLANPLGAVRLAFEKTVASSPPDADLAAAFRGKDWGAVDLFRDFLFEQGGLSQVPILDASTIRWVQPNTLVRFRGMLQDMLGNELYVGAYKDGSTWRTNKFTDVAPSPMPPAFETQLWERHLFHCVPVPGQNSWTMEPSPSPGERNMSSSASQHGVKRGRNTEDDMDLNVSYNGDEGSSNMKKQKEDESTCQSSGSSEDLVKHGGDLLLKNGDSSCLSCLVKIYDMPESHLKLNDVFEFIGIYTFDPELAGHKDNSDDLMYDLFEDPMAHLPPSKVPRLHCLICRKLVIQDFLPNSPVIEPLPNMIRKIRESLLLHLSAVLGNDEVAAQYLLLHLLSRIRAQVDVITVGKLSLNLTGFTRESASIFGCQLTNAVEELLPFTRAIPLTIEYLNNATLQPRKDNKTGRLVAGVLQLAQGTHLTIDETRMQNGTLNSNGVENARLLKHLLEWQKVEYDFEYYNLEMAADVQLLIISEGKSNILPADLVLPFCPKSVDSVNATGEKLQAWRWYLATMRSLQHSSEPETSQILQDEMVAAMQEDRSLGCSGLTRWLTMAELMSASFGEKCLTLEHWQMIKELERLRKERL >Ma04_p35360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34169511:34171479:1 gene:Ma04_g35360 transcript:Ma04_t35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVHRDRNTVCVMDASCRLGISLVRRLLQRGCTVHAASYHHGESSGVLKRMCSENRRLKLFQADPFDYQTIVDAVKGCSGLFYTFEPPQDESYDELMVEVEVRAAHNVVEACAQVDTIERVVFTSSVTAVVWKENRKLAADVDEREWSEPNFCRKFKLWHALAKTLAEKTAWALAMDRGVDMVSVNAGLLTGPEISVSNPYLKGAPQMYEDGVLVTVDIDFLVDAHIAVYEGPSAYGRYLCFSNVVCRPPDAVKLAQLVSPDAPSSPQSNELKVIAQRIQNKKLSKLMVDFDVRIQVE >Ma04_p35360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34169511:34171479:1 gene:Ma04_g35360 transcript:Ma04_t35360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVHRDRNTVCVMDASCRLGISLVRRLLQRGCTVHAASYHHGSQFALGFFLLVVVGCFCVCWIPTIAASGESSGVLKRMCSENRRLKLFQADPFDYQTIVDAVKGCSGLFYTFEPPQDESYDELMVEVEVRAAHNVVEACAQVDTIERVVFTSSVTAVVWKENRKLAADVDEREWSEPNFCRKFKLWHALAKTLAEKTAWALAMDRGVDMVSVNAGLLTGPEISVSNPYLKGAPQMYEDGVLVTVDIDFLVDAHIAVYEGPSAYGRYLCFSNVVCRPPDAVKLAQLVSPDAPSSPQSNELKVIAQRIQNKKLSKLMVDFDVRIQVE >Ma05_p28430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39441684:39444870:-1 gene:Ma05_g28430 transcript:Ma05_t28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVAYQGNTSAAVADWLNKGDNAWQMISATLVGMQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGDKLLPFWGKAKPALGQKFLIKQAELPATTHYYHDGNVETGMATPYYPMASMVYFQCVFAAITLILLAGSLLGRMNIKAWMLFVPLWLTFSYTIGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGLLWMGWAGFNGGDPYSANIDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGVLSGSIPWFTMMIVHKRSRLLQQVDDTLGVFHTHAVAGFLGGATTGLLAEPTLCALFLPVTNSRGGFYGGVGGVQFLKQLVGALFVVGWNIVATTIICLVIRLVMPLRMSEEQLTIGDDAVHGEEAYALWGDGEKYDSTRHGWYSDETQHNAVATGVTLNV >Ma03_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6982711:6984486:1 gene:Ma03_g09450 transcript:Ma03_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPHATAAEEPPRPESPRAGGGRAAAEATVLTVWRKSLLFNGNGFTVFDSKGHLVFRVDNYSSGSRDCIVLMDAAGKPLLTIRRKKLSLGEHWRIYDGEEAINPRFAVKKKHTGLLHSKGLARVTPCASGTESRLAYDAEGSYSRRCCAIYDSRRRQLAEIQKKESAQGISLGLDVFRLVVEPELDAAFAMAMVILLEQMFGSRGSLLRG >Ma07_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14509741:14510710:1 gene:Ma07_g16580 transcript:Ma07_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRWEDMEVDCLAHVFRKLSLEDLTLSVPFVCKAWLRAALDPLCWRSLNLRDLDFMPWSNFTRTFTAQYALRRFSFSGFLKLAVARSHGGAVELKFPLPFGASFRDFVYASHECPRLKTLALPNLLLADEPQIPELVGRWKELETLEMESKPSSFLEMVRQISINCGSFSGLRMWGSIKKEDVSAIVDCLPRIKHLSLSKSYLPNEQLVEIISGCRELETLRVNSCIGFEVDEEIVRRASGIKTFEHEGCKLFDESDCDMDECDPLYVHVI >Ma03_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28599488:28600357:-1 gene:Ma03_g24340 transcript:Ma03_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEV >Ma07_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13251020:13269250:1 gene:Ma07_g16380 transcript:Ma07_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEPASTPGTGAAPVTGPMSGPQVLTRRSSTRSAAMATFSMEVFDNEVVPSSLGSIAPILRVASEIEAERPRVAYLCRFYAFEKAHRLDPSSSGRGVRQFKTALLQRLERDNTPSLAKRVKKSDAREIESFYQQYYENYVRALDQGEQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIASAKDVQEKTEIYVPYNILPLDAAGASLCIMQFEEIKAAVMALRNTRGLNWPSSFDQQRQKTGDLDLLDWLRIMFGFQRDSVRNQREHLILLLANVHIRLSPKPEPLHKLDERAVDAVMSKIFKNYKTWCKFLGHKHSLRLPQGAQPPEIQQRKILYMGLFLLIWGEAANIRFMPECLSYIFHNMAYELHGLLAGNVSVVTGENIRPSYGGDDEAFLKKVISPIYRVIEKEAKMSNNGKAPHSAWCNYDDLNEYFWSADCFSLGWPMKDDGNFFKSIRESRPIVQAGHSSLKVSNRSIGKSNFVETRTFWHIFRSFDRMWTFYILALQAMIIVAWSEHSLTEIFQKDILYSISSIFITAALLRFLQSVLDVVLNFPGFHRWKFIDVLRNFLKILVSLVWAIILPLVYIDSPSINLPWNELSKWLHQLNGVPPLYIMVVVLYLFPNILAGILFLFPMLRRWIENSDWHIVQLLLWWSQPRIYVGRGMHESQFTLFKYTLFWLLLLSSKFAFSYYMQIKLLMKPTKDIMNVHNIHYAWHEFFPNASGNYGAVLSLWAPVILVYFMDTQIWYAIFSTLYGGVSGAFGRLGEIRTLGMLRSRFHSLPGAFNTYLVPSEKARNRGFSFSKHFAEVSPSKRTEAAKFSQLWNEVICSFREEDLISDRKEMDLLLVPYSSDPSLKIIQWPPFLLASKIPVALDMAAQFQSKDSDLWKRICADEYMKCAVIECYESFKLVLNLLVVGENEKRIIGVIIKEIEASIGKNAFLSNFRMSALPTLCKKFVELLGILKEGDASKRDTLVLLLQDMLEVVTRDMMVHENRELVELGHSNKDSIPRRQLFAGTGSKPAIVFPPIITAYWEEQIKRLYLLLTVKESAVDVPTNLEARRRIAFFTNSLFMEMPRAPKVHKMLSFSVMTPYYSEETVFSKNDLDLENEDGVSIIFYLQKIYPDEWNNFMERINCKRESEVWSNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMAQESEILEGYKIVTDSAEEEKKSQRSLSAQLEAIADMKFTYVATCQIYGNQKLSGDRRATDILNLMVNYPSLRVAYIDEVEERDGDKVQKVYYSVLVKAVDNRDQEIYRIKLPGSAKVGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNEDHGLRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSSYFTTVGFYVSSMMVVIIVYAYLYGRLYLSLSGLESAIMTQARKRGNTALESAMASQSVVQLGLLMAMPMVMEIGLERGFRTAVSDFIIMQLQLCSVFFTFSLGTKSHYFGRTVLHGGAKYRATGRGFVVRHVKFAENYRMYSRSHFVKGLELMVLLIVYQINGAVTSDSFAFLLLTSSMWFLVTTWLFAPFLFNPSGFEWQKIVDDWDDWTKWINSWGGIGVPANKSWESWWDEEQEHLQSTGFLGRFWEIVLSLRFFLFQYGIVYHLNVANGNKSIIVYGLSWLVIVAVMLILKVVSMGRKKFSADFQLMFRLLKLFLFIGFIGILGILFTLLNLTVGDIFDSLFAFLPTGWALLQISQALRPVMKGLGLWGSVKALARGYEYVMGVVIFAPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKHN >Ma02_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13541715:13541966:-1 gene:Ma02_g02310 transcript:Ma02_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIIFSNYLFLFLTGQVLFYLGKLYSYLMGSLATPTNVTNTTVALGILTSVAYFYAGLSKKKDRVILRNTFNQLQYFYRLTS >Ma03_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26253106:26254320:-1 gene:Ma03_g21240 transcript:Ma03_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTLLLFSLLLVLSYSAAAAVPGRKLVLVQQPPLVLKYHNGPLLRGNYTLSLLFYGRFSAAQRSIVADFVRSLSPSGASPQPPSAASWWRTTARYGGSAVHFSLGPQLLDESYSKGKLLSSPDVVALASRAEAASGPRAIAVVVTAADVAVEGFCSSRCGTHGRLDGSAGFVWVGDSASQCPGQCAWPFHQPLYGPQTPPLVSPNGDVGMEGVVINLATLLAGAATNPDGHGYFQGPADAPLEAVTACTGVFGSGAYPGYPGKVLVDPATGASYNAWGLAGRKYLLPAMWDPATSECSTLV >Ma04_p34470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33660672:33662039:1 gene:Ma04_g34470 transcript:Ma04_t34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAISVVVVMTFALMAMAVMAQGPWDTADATFYGDMSGNATMGGTCGYGNLFEHGYGLSNTALSTVLFNDGEMCGACFELKCVAGPDRCKEGSTIVTATSFCPPAPVSLCNPPQKHFDLSMAMYMNIAKANNSGSIPVQFRRVPCVREGDIGFEFRGNPFWISVQVYNVAGSGDVANLSVRGSNTTWVPMTRSWGQRWQLSFRPEMVGQSLSFQVTTGDNNTVESVDVAPANWQFGQRYTGGQF >Ma07_p26000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32969770:32975797:-1 gene:Ma07_g26000 transcript:Ma07_t26000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MFYTWPCFGIFFDGSLWHREKAHVFSAFGFVHKIATFEKTAGFQALVQFSDSEIASSAKNALDGRSIPRYLLQEHVGPCTLKINFSAHTDLNVKFQSHRSRDYTNPYLPVAPSAIDIAGQDGMKQEPESNVLLASIENMQYTVTIDVLHEVFSAFGFVQKIALFEKNAGFQALIQYPDIQTANIAKQALEGHCIYEGGFCKLHLTYSRHTDLNVKINNDRGRDYTGGNIATVSNQPSLLGPQPVPMAGATVHSYNSIPYPAISNGVSLPQVPQAAASWDIRSGAMAPLPVQLHNQSLPPQLHNQPLPPHLHNQPLPPHLHNQIHIQHVAPPGVAPHMMPLPGQRRQQLLFRPPQPYGH >Ma07_p26000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32969770:32975677:-1 gene:Ma07_g26000 transcript:Ma07_t26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MEKGGGSHPNVSPGSKVVFSAFGFVHKIATFEKTAGFQALVQFSDSEIASSAKNALDGRSIPRYLLQEHVGPCTLKINFSAHTDLNVKFQSHRSRDYTNPYLPVAPSAIDIAGQDGMKQEPESNVLLASIENMQYTVTIDVLHEVFSAFGFVQKIALFEKNAGFQALIQYPDIQTANIAKQALEGHCIYEGGFCKLHLTYSRHTDLNVKINNDRGRDYTGGNIATVSNQPSLLGPQPVPMAGATVHSYNSIPYPAISNGVSLPQVPQAAASWDIRSGAMAPLPVQLHNQSLPPQLHNQPLPPHLHNQPLPPHLHNQIHIQHVAPPGVAPHMMPLPGQRRQQLLFRPPQPYGH >Ma07_p26000.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32969770:32976771:-1 gene:Ma07_g26000 transcript:Ma07_t26000.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MSSISGQPQFRYTQPPSKVIHLRNLPWECTDEELIELGKPFGTIVKTKCNVGANRNQAFIEFADLNQAIAMISYYASSAEPAQIRGKTVYLQYSNRQEIVNNKVTADAASNVLLVTVEGVEAGDVSIDVLHLVFSAFGFVHKIATFEKTAGFQALVQFSDSEIASSAKNALDGRSIPRYLLQEHVGPCTLKINFSAHTDLNVKFQSHRSRDYTNPYLPVAPSAIDIAGQDGMKQEPESNVLLASIENMQYTVTIDVLHEVFSAFGFVQKIALFEKNAGFQALIQYPDIQTANIAKQALEGHCIYEGGFCKLHLTYSRHTDLNVKINNDRGRDYTGGNIATVSNQPSLLGPQPVPMAGATVHSYNSIPYPAISNGVSLPQVPQAAASWDIRSGAMAPLPVQLHNQSLPPQLHNQPLPPHLHNQPLPPHLHNQIHIQHVAPPGVAPHMMPLPGQRRQQLLFRPPQPYGH >Ma07_p26000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32969770:32975709:-1 gene:Ma07_g26000 transcript:Ma07_t26000.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MEKGGGSHPNVSPGSKVVFSAFGFVHKIATFEKTAGFQALVQFSDSEIASSAKNALDGRSIPRYLLQEHVGPCTLKINFSAHTDLNVKFQSHRSRDYTNPYLPVAPSAIDIAGQDGMKQEPESNVLLASIENMQYTVTIDVLHEVFSAFGFVQKIALFEKNAGFQALIQYPDIQTANIAKQALEGHCIYEGGFCKLHLTYSRHTDLNVKINNDRGRDYTGGNIATVSNQPSLLGPQPVPMAGATVHSYNSIPYPAISNGVSLPQVPQAAASWDIRSGAMAPLPVQLHNQSLPPQLHNQPLPPHLHNQPLPPHLHNQIHIQHVAPPGVAPHMMPLPGQRRQQLLFRPPQPYGH >Ma07_p26000.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32969770:32976771:-1 gene:Ma07_g26000 transcript:Ma07_t26000.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MSSISGQPQFRYTQPPSKVIHLRNLPWECTDEELIELGKPFGTIVKTKCNVGANRNQAFIEFADLNQAIAMISYYASSAEPAQIRGKTVYLQYSNRQEIVNNKVTADAASNVLLVTVEGVEAGDVSIDVLHLVFSAFGFVHKIATFEKTAGFQALVQFSDSEIASSAKNALDGRSIPRYLLQEHVGPCTLKINFSAHTDLNVKFQSHRSRDYTNPYLPVAPSAIDIAGQDGMKQEPESNVLLASIENMQYTVTIDVLHEVFSAFGFVQKIALFEKNAGFQALIQYPDIQTANIAKQALEGHCIYEGGFCKLHLTYSRHTDLNVKINNDRGRDYTGGNIATVSNQPSLLGPQPVPMAGATVHSYNSIPYPAISNGVSLPQVPQAAASWDIRSGAMAPLPVQLHNQSLPPQLHNQPLPPHLHNQPLPPHLHNQIHIQHVAPPGVAPHMMPLPGQRRQQLLFRPPQPYGH >Ma07_p26000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32969770:32975903:-1 gene:Ma07_g26000 transcript:Ma07_t26000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MEKGGGSHPNVSPGSKVVFSAFGFVHKIATFEKTAGFQALVQFSDSEIASSAKNALDGRSIPRYLLQEHVGPCTLKINFSAHTDLNVKFQSHRSRDYTNPYLPVAPSAIDIAGQDGMKQEPESNVLLASIENMQYTVTIDVLHEVFSAFGFVQKIALFEKNAGFQALIQYPDIQTANIAKQALEGHCIYEGGFCKLHLTYSRHTDLNVKINNDRGRDYTGGNIATVSNQPSLLGPQPVPMAGATVHSYNSIPYPAISNGVSLPQVPQAAASWDIRSGAMAPLPVQLHNQSLPPQLHNQPLPPHLHNQPLPPHLHNQIHIQHVAPPGVAPHMMPLPGQRRQQLLFRPPQPYGH >Ma05_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33147640:33150553:-1 gene:Ma05_g21490 transcript:Ma05_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDENSRGMMKPATFRDMGDRRALKDIRNLVGAPPYPCAINKRGLTEKSNLDDKNPSFVARRPVTRKFAATLASKPQAYRQHEMLPPSVSSSTALDSSIAIDVDNCSLSDDIELPTVEEMEEMDNCDLKEVEMEDIVAETVPDIDSCDSNNTLAVVEYVEEIYNFYRQTEVTGCASPQYMSHQFDINEKMRAILIDWLIEVHYKFELMEETLFLTVNIIDRFLARQTVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTRDEILDMERLILNTLQFNMSVPTPYVFMRRFLKAADSDRKLELLSFFIIELCLVEYKMLKFRPSLLAAAAIYTAQCSLRGFKYWTKTSEMHTAYSEEQLLECTQLMVDFHQKAGLGKLTGVHRKYSTFKYGHTAKSAPALFLMNTGLQC >Ma05_p21490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33147640:33150535:-1 gene:Ma05_g21490 transcript:Ma05_t21490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDENSRGMMKPATFRDMGDRRALKDIRNLVGAPPYPCAINKRGLTEKSNLDDKNPSFVARRPVTRKFAATLASKPQAYRQHEQVGNESQHEMLPPSVSSSTALDSSIAIDVDNCSLSDDIELPTVEEMEEMDNCDLKEVEMEDIVAETVPDIDSCDSNNTLAVVEYVEEIYNFYRQTEVTGCASPQYMSHQFDINEKMRAILIDWLIEVHYKFELMEETLFLTVNIIDRFLARQTVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTRDEILDMERLILNTLQFNMSVPTPYVFMRRFLKAADSDRKLELLSFFIIELCLVEYKMLKFRPSLLAAAAIYTAQCSLRGFKYWTKTSEMHTAYSEEQLLECTQLMVDFHQKAGLGKLTGVHRKYSTFKYGHTAKSAPALFLMNTGLQC >Ma08_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30821318:30821977:-1 gene:Ma08_g18460 transcript:Ma08_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGSSSRITDEEINELVSKLQSLLPESRRRNIGRASASKLLKETCSYIKSLHRDVDDLSDRLSALMATMDANSPQADIIRSLLRS >Ma10_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32938807:32940018:1 gene:Ma10_g24250 transcript:Ma10_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRTGLRRNSLLPLFETCRLRDLHFFSSSAGSAAAVGGTISPDPHFMVEYLVNSCGFSPSEAAMFSKPLAHLRSTEKPDSVLNFMRSQGFDGAAIRKVISMKPNYLCFNVETNLAPKFQFLRYLGLSESDIVDVILMNHAILLLNVHRSFGPKLEIWESLLGSRELVLKHLRKTGRFFFSSVEKTLHPNLKFLRDECGIPEERLSLVLRSHPQLILQKPESLRALVARADELGTPRLSRMFVWTLVVLQMLSKETFEAKAELMRSFGWSESEFSSVVRKVPTFLCMSLDMMRRKMEFFINVVGYTPSFIASQPTILLYSLQKRVIPRFHVTEMLKSKGLWTGQGKFTTIIVFSDTKFMEKFVLPHKENVPELLDILRAAGTCKGNDTFHLASEDEKGLS >Ma11_p14080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19343104:19365717:1 gene:Ma11_g14080 transcript:Ma11_t14080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPSSSVEDGPPPPPPCSICLEPIDQEAYLDRCFHAFCYRCISQWIRYVDSKHVQSVTSVKCPLCKIENFSIVHDFNGKSFQRHYVNQDFGKRHLSDAHDFRMKFYVSQIDHANDMFNVEQYWKRRKYFQKNIWIQDWLKREVQTLTQDKDVDIIVHHINGVAETFFRRQQREDNKAMAEQKRTEFRTLLSEAARPFLAGYTKSFIDELELFLVSGLNMEAYDRFCMQRMGELSSGEASADDRETYDQTLQGPFLQLFNEELGESE >Ma11_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19343104:19365717:1 gene:Ma11_g14080 transcript:Ma11_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPSSSVEDGPPPPPPCSICLEPIDQEAYLDRCFHAFCYRCISQWIRYVDSKHVQSVTSVKCPLCKIENFSIVHDFNGKSFQRHYVNQDFGKRHLSDAHDFRMKFYVSQIDHANDMFNVEQYWKRRKYFQKNIWIQDWLKREVQTLTQANKILYRTKMLTLSCITLMVLLRLSLEDNKGRITRPWLSKNAPSSGLCYLKLHDHFLLDTPRASSTS >Ma01_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1904124:1906155:-1 gene:Ma01_g02920 transcript:Ma01_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLPVLALLIASSFSSGVLSTTFTVTNNCGYTVWPGVLSNAGSSPLATTGFALGPGESRSLDAPVPWSGRIWARSLCSADVTGRFSCATGDCGSGAVECNGSGAKPPATLGEFTLGGAAARDTDFYDVSLVDGYNLPVLVAPQGGAVGAGRCALTGCTADLNGLCPAELRVVGAGGQVVACRSACDAFRTERYCCSGEFGTPNACGPTAFSQLFKNACPRAYSYAYDDATSTFTCPTAATAGYAVTFCPSNTSLQPRGGAQNPKAAGLPPTNETMVFFGAAKPAADSLLP >Ma06_p32330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33258875:33265790:1 gene:Ma06_g32330 transcript:Ma06_t32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSVGPPPRERRRLLFHQISVLLLTFSAYAAFHASRKPPSIVKSVLGPEPQSSASGGGGWPPFDGPRGPHRLGELDLAFLSSYSFGMYLAGHVADRVDIRRFLALGMLASGASTSAFGVAYWWGVHRLSFFLAVQVISGLVQSIGWPCVVAVVGNWFGKSQSRGLIMGVWNSNTSVGNILGSIIASSVLDFGWGWSFLLPGLLITVVGVFVWAFLVSDPGDLGFESVTMEIEMNEPGVVELEDLDQAIGEEMGLLESKEMHEADPVTAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHTDVAGKHVSHKNAGILSTIFDIGGVLGGVSAGLMSDRLDARACTSIFFLFFSIPALIAYRSYGSISMQLNISLMFLSGYFVNGPYSLITTAVAADLGTQDVIKGNSRALATVTAIIDATGSVGAALGPLLTGYISTRGWNSVFLMLICSTSLAITFLIHLAKAEVSSKMFERKSTMTSNNQTQ >Ma05_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3480034:3485537:1 gene:Ma05_g04570 transcript:Ma05_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCLLILTLLVSYNLSTCTSPSQSSAVEGQNLRRLIGKELVFSGRNTEITQGKEKPEIEIDPIGVRHKDEEEGMVYNADYRGAYSSPSPVNIFKEKAYAHALKPSGMAQLPQLYLPNEQRKGPSLVPHKPRALAKHIITVVLP >Ma04_p33630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33160748:33161578:-1 gene:Ma04_g33630 transcript:Ma04_t33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTQKNTTAALSPAPPPRPPPPPPPSSNKWGPYSSAKDFDANMATVLVVLVCATALAFALHAVFRLLLRRLRRRLPRPSAGGDKPRAGTAPPQLPGSVVFSAGATAVAGAPECAICLAELAEGERVRVLPACSHGFHALCVEAWLLGRSSCPTCRAECRPSPAQPAEP >Ma08_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27389674:27389837:1 gene:Ma08_g17920 transcript:Ma08_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLSSAKWGSCGIRTVGVLQRVVLDHGS >Ma05_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34362110:34367288:-1 gene:Ma05_g22600 transcript:Ma05_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRAQDVEGIVDLETKSGAGEVNGDYVRLGDGEPQCCSTKRSSLWWWVRVALLCVLLCAAAVPLVIFAGPLIIKKVVVPILDWERATFSTSVLGLLLFSCIALFPSMLLPSSPCMWIAGMTFGYGYGFLLIISASCIGMSLPFFVGSFFRDNIHRWLEKWPKKAAIVRLAGEGDWFHQFRAVILLRISPFPYIIFNYAAVATNVKYGPYISGSLIGTVHEIFITIYSGRVLRSLADATNTGGFLSVQQVLYDIIGFCIAAAATAAITMYAKSTLQNLQAEDELS >Ma02_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22897914:22901926:1 gene:Ma02_g15030 transcript:Ma02_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIMAQRAMQALRAGQPAQGRQSSLVLKNHWQSFNSGSSSSKFKDDEEKEKLAKEIAKDWNAVFDRSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEISENLRSESLYR >Ma05_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3973619:3974001:1 gene:Ma05_g05200 transcript:Ma05_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYGRFRQAQIPLRFPTYLVRHTLLFLNPSYHQHALIAITDMLQCSGMAFGFPVSFPLIMYIRVRVLRPDAS >Ma08_p29860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41318001:41320417:1 gene:Ma08_g29860 transcript:Ma08_t29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Ma09_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36514383:36515575:1 gene:Ma09_g24890 transcript:Ma09_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLKSLPHRSGARGQMEKLSDSGLAASLLLLLALLCSLTPSSADDSPLQDLCPAAPQGEAKLFMNGFLCKNPSSVMASDFKTSRLNHAGDTDNFLRSSMNVVTAADFPGLNTQGLSMARTDLAMDGMVLPHSHPRASEMMFVSHGTVVAGFVDSGNRLFQRTLRDGDVFVFPRGLLHFCVNAGYGLATTFSVLNSQNPGVMSIAGAMFVPGSDVMEKLVSRILSFKAGNATATVSSV >Ma03_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26969771:26975402:1 gene:Ma03_g22180 transcript:Ma03_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEERIALLQGEVKEEEVSGTGLVRRSWIESRKLWRIVGPAIFGRVVIYSLNVITQAFAGHLGDLELASISIANTVVVGFSFGLMLGMASALETLCGQAFGAKKYHMLGVYLQRSCIVLFLCAVLLLPIYFFATPILMLIGQPPELAVQAGQVSMWFIPLHFSFVFLFPLQRFLQCQLKNSIIVIVSAVCLVVHLLVTWLFVSKLQFGLIGTVFTLNFSWWVGIFCLFGYIACGSCPDTWTGFSMEAFGGLWEFIKLSAASGVMLCLENWYYRVLILMTGYLKNAEIAVDAISICMSLNGWELMIPLAFFAGTGVRVANELGAGNGKAARFATIVSVATSAVIGLFFCLLVLVLHDKFALIYSSSSVVVEAVDELSLLLALTVLLNSVQPVLSGVAVGSGWQALVAYVNIGTYYLVGIPAGILMGWVFKLGVLGIWAGMIGGTAVQTLILAIMTIRCDWDEEAKNASARMEKLATSSKP >Ma07_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5962951:5964310:1 gene:Ma07_g08010 transcript:Ma07_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFLHHPAPSAAASRTSRPRLVPSVKANQLACRAQQKQAVSADDENGAVVSRRLALTVLIGAAAIGSKVSPADAAYGEAANVFGKPKTNTDFLPYKGDGFKLSIPSKWNPSKEVEYPGQVLRYEDNFDTTSNVAVMVTPTSKSSITDYGSPEEFLSQVDYLLGKQAYSGKTDSEGGFDSDAVATANILETSTPVIDGKKYYFISVLTRTADGDEGGKHQLITATVSGGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >Ma08_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15857674:15858374:-1 gene:Ma08_g15650 transcript:Ma08_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYSWLLQTNLDPDLVCEYSLLLASNELEEDDVAHFDHEFLQSMSISIAKHRLEMLKLAKRGKTKSSSRPVSLLLVAVTKTKNCIARYFRSFINHDASPIVVVPRSSFFDGGRPKSDMLKRNKRMSRIKQGRVTLYMAPQAMAYKESMDRKEDSYRGSSGEETRWESMFQDLKPT >Ma11_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5785544:5793666:1 gene:Ma11_g07240 transcript:Ma11_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRENDADLEFRRGLEELVRGHLDGCMTAAAALSSSSCAGGGGGEEDDEGGAASEAAADQLARRRRRSDLEGDDLAESSAAARRHSRVFSRWVARQAEDMINTIERRNRESELMALAGLHTVSMLDPSFLRESPRSPSAMAERPVAAHASSILQMWRELEDMTAAARAEQRSNAAASTLGGRNRSEGQELGGGSVTASESEYNGYDNWSHGNMDSSQRPGEVEEDDHRSSREQSPDLGEDARERVRQIVRGWMTESGIGDNESRMSPRTETQRAEWLGEVERERVRLVREWMQMTSQQQRDARARRREERERGRERDESATDHEDGQPEHVRRELLRLRGRQARLELIMRMAAERQREIQTLSEHRAVSEFTHRNRIQSLLRGRFLRNGLPAQDDQERPPSAAERELGQLRQHHRVSGLREGFRFRLENIVRGQAISHPDTSANQSVFVTDQSEASTVAELLNDNHEEAQTRSEDINVLQTIESRETPELDSGSRNDTLDMQEYATEVIGQQEENRERERGGWEPNADGGFGDWHEETEEGFNGNLQENMDQDWPYQTTAGYDVGEDSRMPEVHEEWHEDEPPDAAETWQDELQSDPPIDRRSSPIRRGNRFIPPDDENVYSMELRELLSRRSVSNLLHSGFRESLDQLIQSYVQRQGRTPFDWDLQRPLPTPNPGDNQDQRGYDPNQNIQDPVARRNNVFPPPPLPPRPPLWHSDLHHNNWARQNMHRSEIEWDAINDLRTEMARLQQGMSNMQRMLEACLDMQVELQRAIRQEVSAALNRTDGGLTGESSEDGSKWDQVRKGTCCVCCDNHIDSLLYRCGHMCTCSKCASELVRSGGKCPLCRAPIVEVVRAYSVL >Ma10_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24111920:24116517:-1 gene:Ma10_g10000 transcript:Ma10_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQRRVHCILGFPQQLLSLLLLLHSLFVSHCVGDGLTSERASPEADAQALLAFKAAADPRDRLTFSPTSDHCRWPGVSCSADGKVYRLLLESAGLTGTFPNGTLGRLDQLHFLSLQDNALVGPLPGDLSGLRSLKALFLDRNLFAGPFPASLLSLRGLRALDLSHNRLSGPIPAALATLDGLIALRLEGNRFVGSLPAFNQSSLKSFNVSGNFLSGAVPITAVLASFDPSAFADNPGLCGALARKECASSASFFPGGGRSPAASAAAPSPIATAAPRGATLLSSSASRSRVSHKSAVTAIGFLIGAIALVGIFTTSFVIRKKRTKQQGEILTLEKNTMDSATSVSEINVESYNEEIESMSNELEAAAALAMAISEERVKRLSMNGCLVFCAGEAPIYNLEHLMRASAEMLGRGSLGSTYKAVLDSRMAVTVKRLDKKKLGSMAKEGFERQMDMVGRLRHPNLVPLRAYFRSNDERLLVYDFQPNGSLYSLIHGSRSTRAKPLHWTSCLKIADDVVQGLAHIHQSSCLIHGNIKSSNILLGSDFEACLTDSCLSFLLEPSDNQNDSGYRAPEARNSLQELTPSSDIYAFGVLLLELLTGKPPLQHPVLIPPDLPVWVRSVREDGACDERLMMIIDIAAACIHSSPECRPTTWQVLKMIQEVKETDTGDNDTDSTFIS >Ma07_p28090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34253599:34254752:-1 gene:Ma07_g28090 transcript:Ma07_t28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVSEDQIQRDLAMNKFDWDHPIHLQPMSPTAVKEVTVTW >Ma03_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8069757:8074293:1 gene:Ma03_g10700 transcript:Ma03_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLKIPQKDRTKTTNALLLSLMNQLEKDKKSVKLGPDDYLYVEGFASNLFAKADKQDRAGRADLNTAKTFYAASIFFEILCQFGELQPDIEQKQKYAVWKAADIRKALKEGRQPDPGPPVGDADLSISSSPSSNTYDLQPSNSFSSSQQGGGASPQHVDKNLGRNENFAASYQTVDLSSQQTDGVNLQGFGQSPMAPSSSYTGPDYPSDIHHHQPTSTPEYSAYSQQYEHHSFTNEHGPMPPNSHSPENPSPSFSYPNFQSYPSFHDSTFPSAPTHQPSYYHAHDSAYSHQPAPVSHYTPSVQYASGSVNQNHAVQAPPSAERYKYDSNYQPSAEKIAEAHKAARFAVGALAFDDVSVAVDFLQRSLELLTNPSAQTH >Ma08_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37876879:37885030:-1 gene:Ma08_g24800 transcript:Ma08_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERADVVGRLVNMFRPVAVFMPQMSGHLNGPRRSFACSSTKTATGRARAVVTMSGGGKVVCVTGASGFIASWLVKLLLQRGYTVRASVRDPADPKKTQHLRALEGATERLLLFKANLLEEGSYDAVVEGCDGVFHTASPFYHAVTNPQAELIEPAVKGTLNVLASCKNSSVKKVVVTSSMAAVAYNSKPRTPDVVVDETWFSSPEVCEQQKQWYVLSKTLAEEAAWKFSKENGIDIVTINPGMVTGPLLQSTLNTSSAAILNLINGSSTFPNATFGWVNVQDVAMAHVLAFELPSASGRYCLVERVVHYSEILKIIHELYPSFQLPDKCADDKPFVPVYKVSKEKFKSLGLYYIPLETSIKETIESLKEKNFINF >Ma07_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27865245:27866924:1 gene:Ma07_g19950 transcript:Ma07_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTRQWWRRATAVAKDKWSICVTRAMGARHLYRRSPEMEAAVIRATSHDERSVDYKNAGRVFAWARAAPSSLLASLMWTVARRASRTRSWPVALKCLLLAHGLILCSHDAPPAARVGRLPFDLSDFHDRYSDSPGFSAFIRAYFRFLDHRSLLPALKGSASASPVSETEEDRDGNGDDDLERLESLQLLLDLLMQIRPYADGMEVELVLEAMDCAVIEIFDVYSGICSGIAHFLVGVLGPDPAKPEATTEAMKRRRAAGMQVLRRARAQSAQLSAYFELCRALGVLNAAELPPVEGIPEQDMDDIERMMLCITQGSDDEAEERNRKTPEGGSMTVTADEWVVFEDENHSVNSILDFPDQISPSKLWAPVEKPGPTVANGNLRDLISL >Ma04_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2596956:2597694:1 gene:Ma04_g03370 transcript:Ma04_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGWKKSTTWVGDNCSLFLRNNHPHSSRLPLPRSSPPAPPPHPVLVFQRRRPGPPRPLLPSLPRSPLPRRSPFLVAVGAAGLRGGGLAEGGGSRGLRKGGGRQRRGQPRAALQAERTSVAVGVAATAAETSAVVPLAVRRRFTRLSHRDEEGREAGKKGEGEEAAAAAEEGQRQWREVGAAQGG >Ma03_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1909337:1909852:-1 gene:Ma03_g02800 transcript:Ma03_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVREERRAIKNGEILSYQTPLMAQQVRDESEAAAGARAIRIKLVVTKQELKEMLRQGGVSHDAMASLIQREESRSGAGDKERCMEWRPTLESIPEWSE >Ma05_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17363200:17363876:-1 gene:Ma05_g16540 transcript:Ma05_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVWSIHNPWIPRPLLSMHVRMGDKACEMKVVGFEHYMQLADRIRKHFPDLNSIWLSTEMQEVIDKTRRYPSWTFYYTNVTRQEGSMTMATYEASLGRETSTNYPLVNFVMATEADFFVGALGSTWCYLIDGMRNTGGKVMAGYLSVNRDRFW >Ma08_p25820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38549860:38556961:1 gene:Ma08_g25820 transcript:Ma08_t25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSTGESAVTRIVNLAEEAKLAREGVKAPGHAILSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIQYNGTIQGLKYIWKSEGFRGLFRGNGTNCARIVPNSAVKFFSYEQASSGILWLYRRQSGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALGTVYREEGFRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKSNPYGLVEDSELSVVTRLACGAVAGTIGQTVAYPLDVIRRRMQMVGWNNAASVVAGEGRSKGSLEYTGMIDAFRKTVHHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEMRISD >Ma10_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33295884:33299183:-1 gene:Ma10_g24930 transcript:Ma10_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEEEFRDVEAEEEEQRESPFDFEFLSLLSKPKDYYKTLEVDFDATEEVIRSNYIRLALKWHPDKKKEESATSRFQEINEAYKVLSDPVKRRQYNEKGVCVIQDYNAIEYLNRYKGLILTCNGLGIRYPIW >Ma06_p32080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33059640:33071580:-1 gene:Ma06_g32080 transcript:Ma06_t32080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASTWSPSSVPLLSPSVEIEMVTAPDDSPRVKFLCSFGGSILPRPIDGRLRYVGGETRIVTVPRDVSYEELLARMRELFDGASIIKYQQPDEDLDALVSLVNDEDVMNMMEEYDKLGTAGDGSTWLRIFLFSQYPDHDTAVVGAHFDADDREAERRYIDALNSLSDAKSSSPPDISEHHLDGGIHNQVKLRHLTIPSHAQRYGEMDASFSPALFSPGQHSATDPQEFSPSPSSARFHVGAGEFNDRIADEYVRQAAGHQHQMHQYDHQSPSPVENLVWIPTGAIVQEKSGFPTNLGHSHNIIDGNGYCEHCCMAFQRNHSIVPDARFVNNCWKHGQPYMEQPKMVNEYMGHFPNSCAECSSTRDPHMVNQDMKIGHGVYAKEQSEPHHLFFNESHGYERGWPMHSHQVTHRLEDMRINYNGTGRANEHLVVDGNMMNAPFAHGNINDEHSFPSNCIGRDETHYLRHGTNTGNEVFLPQPTVGNGTGTNAPRFEDSAVRYRNQPSAYGVESLYQVSNNLHPVQSLLRNRQVPTHPATSYELSNSMIPNGGPDSSFTRFTQEGSPSFPYVRVEDQIPNALPSQNNSMQQRMLGFEETAAPDYLYHHGNRLNPKNTAGPNGVLPLAPDSVPCSPGKMHVKVTSEAASLLSAPSCPIEKDLIVSCASGGHQPPVLGSDLILEIQSAEEKSLTEEALEEKHGISKEPDAHILLSPKSRQNVELATKELQLSEVEGYTSKIVDANTNVAPGDEDISEENLNFLPELIASVKKAVLEGAEEVIARVQSVSESVATPDLGKNEPLHDQEPESTNADGHTCLEGNSEHEKLCKTEPVIADAEALAKGLQNANADGQAASEGSSEHETLCKIEPTTAEAEALAKGLQTIKNDDLEEIRELGSGTFGSVYHGKWRGSDVAIKRIKASCFAGRPSERERLIADFWKEALIMSYLHHPNIVSFYGVVRDGPDGSLATVTEFMINGSLKQFLQKKDRTIDRRKRLIIAMDVAFGMEYLHGKNIVHFDLKCENLLVNMRDPHRPVCKIGDLGLSKVKQHTLVSGGLRGTLPWMAPELLSGKSNMVSEKIDVYSYGIVMWELLTGEEPYADMRCASIIGGIINNTIRPKIPTWCDPEWKSLMESCWSSDPALRPSFSEISQKLRKMAAAINLK >Ma06_p32080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33059640:33071580:-1 gene:Ma06_g32080 transcript:Ma06_t32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASTWSPSSVPLLSPSVEIEMVTAPDDSPRVKFLCSFGGSILPRPIDGRLRYVGGETRIVTVPRDVSYEELLARMRELFDGASIIKYQQPDEDLDALVSLVNDEDVMNMMEEYDKLGTAGDGSTWLRIFLFSQYPDHDTAVVGAHFDADDREAERRYIDALNSLSDAKSSSPPDISEHHLDGGIHNQVKLRHLTIPSHAQRYGEMDASFSPALFSPGQHSATDPQEFSPSPSSARFHVGAGEFNDRIADEYVRQAAGHQHQMHQYDHQSPSPVENLVWIPTGAIVQEKSGFPTNLGHSHNIIDGNGYCEHCCMAFQRNHSIVPDARFVNNCWKHGQPYMEQPKMVNEYMGHFPNSCAECSSTRDPHMVNQDMKIGHGVYAKEQSEPHHLFFNESHGYERGWPMHSHQVTHRLEDMRINYNGTGRANEHLVVDGNMMNAPFAHGNINDEHSFPSNCIGRDETHYLRHGTNTGNEVFLPQPTVGNGTGTNAPRFEDSAVRYRNQPSAYGVESLYQVSNNLHPVQSLLRNRQVPTHPATSYELSNSMIPNGGPDSSFTRFTQEGSPSFPYVRVEDQIPNALPSQNNSMQQRMLGFEETAAPDYLYHHGNRLNPKNTAGPNGVLPLAPDSVPCSPGKMHVKVTSEAASLLSAPSCPIEKDLIVSCASGGHQPPVLGSDLILEIQSAEEKSLTEEALEEKHGISKEPDAHILLSPKSRQNVELATKELQLSEVEGYTSKIVDANTNVAPGDEDISEENLNFLPELIASVKKAVLEGAEEVIARVQSVSESVATPDLGKNEPLHDQEPESTNADGHTCLEGNSEHEKLCKTEPVIADAEALAKGLQNANADGQAASEGSSEHETLCKIEPTTAEAEALAKGLQTIKNDDLEEIRELGSGTFGSVYHGKWRGSDVAIKRIKASCFAGRPSERERLIADFWKEALIMSYLHHPNIVSFYGVVRDGPDGSLATVTEFMINGSLKQFLQKKDRTIDRRKRLIIAMDVAFGMEYLHGKNIVHFDLKCENLLVNMRDPHRPVCKIGDLGLSKVKQHTLVSGGLRGTLPWMAPELLSGKSNMVSEKIDVYSYGIVMWELLTGEEPYADMRCASIIGGIINNTIRPKIPTWCDPEWKSLMESCWSSDPALRPSFSEISQKLRKMAAAINLK >Ma01_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1156153:1158383:1 gene:Ma01_g01680 transcript:Ma01_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPHVVDAIAEHSEPYKSASRAHVLPHHHHHSSHPLQLPPRTMGSVKKAAAALQLSPEEDEEACMYAAQLVSSSVLPMTLKAAIELQLLEIIVGAGPGARLSPADVAAQLPTTNPQAAAMVDRILRLLAAYGIVSCAVEAGRDGRPCRMYGAAPVCKYLTRNEDGVSFAALSLMNQDKVLMESWYHLKEAVLEGGIPFNRAYGMTAFEYHGTDPRFNKVFNEGMRSHSIVITKKFLQVYRGLDDVKVLVDVGGGIGATLHMITSTHPHIHGINYDLPHVISDAPPLPGVDHVSGDMFESVPGGDAILMKWILHDWSDESCAKILNNCWKALPENGKVIAVECVLPVVPEPSLRTQSVCHVDLIMLAHNPGGKERTEMEFQELAKQAGFSGFKPTYVYANTWALEFTK >Ma06_p38080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36948011:36955996:-1 gene:Ma06_g38080 transcript:Ma06_t38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAASRSSRGFDEDDDDDQEFGKRDGSSFHRDLTTRVDGKGSGTDELPATPKSKHSAMEQRRRNKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKSESSYPGWNQDNAKLMPWVKVYYRSFWKNAQNNNQIPVDGLSDPSPVIRNGSAPPASAFSGQFDESNIPVAPVMLSNAQNPTESDATAGLSYKIMETATGFANSMPSQAQSHWLGASSPADCAVNNEILNEHEELIIDEGTINASATYSQGLLTALTQSLQSSGVDLSQASISVQINLGKRATNKRAGATSALSNSKNPDDPAPTNQAGGHSMMGNISEESSQATKRHKADN >Ma06_p38080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36948011:36955995:-1 gene:Ma06_g38080 transcript:Ma06_t38080.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAASRSSRGFDEDDDDDQEFGKRDGSSFHRDLTTRVDGKGSGTDELPATPKSKHSAMEQRRRNKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQKSESSYPGWNQDNAKLMPWNNNQIPVDGLSDPSPVIRNGSAPPASAFSGQFDESNIPVAPVMLSNAQNPTESDATAGLSYKIMETATGFANSMPSQAQSHWLGASSPADCAVNNEILNEHEELIIDEGTINASATYSQGLLTALTQSLQSSGVDLSQASISVQINLGKRATNKRAGATSALSNSKNPDDPAPTNQAGGHSMMGNISEESSQATKRHKADN >Ma08_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10760397:10766569:1 gene:Ma08_g13610 transcript:Ma08_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVPKVTAVVVSSASNWWDEVNNSALWQDWIFHILALLYGLVAAVALIQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSAVFTFRRSVQRIRPEVLQHVLLDFPSLAFFTTYALLVLFWAEIYYQARAVSTDRLRPTFYAINSVIYSIQIALWLLFWWKPIQPVLVLSKLFFAGVSFFAALGFLLYGGRLFLMLQRFPVESRGRRKKLQEVGYVATICFSCFLVRCIMMCFNAFDKAADLDVLNHPILNFLYYLLVEIIPSSLVLFILRKLPPRRGITQYHPIH >Ma08_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37637351:37639178:-1 gene:Ma08_g24480 transcript:Ma08_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYERAISGDGRHKRKVIAWVLAVSLAVALSAAFSLRTTKHASHELKPLDTVSRAAATTTESGVVSACMSTRYPDACEAALASLATRAGAKGPKEMFHVSVEFAKSRALLARDMAYNLTLPSAQTSTSRSPSSVHDCLELLDITLDQLNDVLEAKKGGSSHDARTWLSAALTNQATCSESLQAVKAEGGDSLSARVRSLSQHISNSLALQGKVQDDDGVGGGRKLLSDGFPAWLSAADRRLLEASPDEIRANAVVAKDGSGTHTTINEAITFVSLASSGGGGRKVIYVKAGTYDEYINVPTKQKNVMLMGDGKGKSVIVGSRNVNDGWTTYKSATVAAMGAGFIAKGLTIINNSGPSKNQAVALRVGADKSVVYQCSIQGYQDTLYTHSNRQFYTETDIYGTIDFIFGNSAVVLQNCYIQPRKPGGTQKNSVTAQGRTDPNQNTGISIQKCRIQGSSDLGSSTPTYLGRPWQKYSRTVVMQSYLDGSIDAAGWDKWSGSFALSTLYYGEYENTGPGSSTSGRVRWAGVHPALSSGEASKFTVSEFIVGDNWLPDTGVTYTAGL >Ma02_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24555354:24556424:-1 gene:Ma02_g17760 transcript:Ma02_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGNRKAHFVLVPFFAQGHMIPMIDLARLLMLQGVAVSVVTTPGNIARCKATVDRANAAGLPIRFIELRFPCAEVGLSEGCECFDLVPSPELFKNFHQGEIARELRVPRFVYHSPSCFFLLCIPQSMGFFDHPGYEKLRDEVAEAESTADGLVWAIGPVCLSNKETGDKVTRGNKMNVDENYIRNWLDAKETASVIYVSFGSIASHSASHLIEIGLGLEASKRPFVWVIREKEMRPEVARFLSEGFEERTKGLILRGWAPQVLILSHPSVG >Ma03_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5357785:5358916:-1 gene:Ma03_g07620 transcript:Ma03_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHYLHLCDLLFHRRHTDRREMCTKDHWRPAEDAKLTELVAKYGPHNWNAIAEMLQGRSGKSCRLRWYNQLDPRINKNPFTEEEDQLLLAAHQIYGNRWAIIARLFPGRTDNAVKNHWHVIMARKHKERSRLHGNFVDEEQSQRCKKRKLEPRNMVSMIEESDRTSVTVHHSQHEDSHLDMHEDRDNSMEFYDFLQVNSDSDSTQEDLGRKREEDGEEKKGTHVRFIDFMAVGSI >Ma10_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24455486:24458179:1 gene:Ma10_g10590 transcript:Ma10_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVAVRDPAWYGLDEVELEKRRRWTSTARYQVGNIRKTVEAGKEKQNSFSLGTNGVRKELMGLPNDHASQAGRSNNYINQDNEDFISSESDQQLLLIKKQDEELDELSASVRRIGDVGLTIHDELVGQGKLLDEFGMEMETTSNRLDFVQKKVAKVMKRRAPRAKS >Ma11_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:619425:624591:-1 gene:Ma11_g00850 transcript:Ma11_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MAPAVVAPTATPAPFHPDRVRVLKPGSPSPSGPVVYWMFRDQRATDNWALIHAAALAARSDAPLAVAFCLSHRFLGAHARQLGFMLRGLRHLRGRLAALGLPFFLLRGDAPDALPGFLSRLGASALVADFSPLRPVRAWKDALCERLPAAVAVHEVDAHNVVPVWVASDKLEYGAKTIRPKIHRLLTEYLVEFPQLPPPAVPWTGEAPPEIDWDELIDEALREAGEVPEIGWCEPGEEAAMEALMGRKDGFLTKRLKHYDSDRNDPVKSRALSGLSPYLHFGQISAQRCALEAWKFRKSYRQSVDAFLEELIVRRELADNFCYYQPHYDSLQGAWEWARKTLMDHAADKREHIYTKEQLEKAETADPLWNASQLEMVYHGKMHGFMRMYWAKKILEWTNGPEEALSIAIYLNDKYELDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFNVDGYIAYVKKLVGETKKRKSDDHSSPAVKHSKT >Ma03_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8604876:8606063:1 gene:Ma03_g11340 transcript:Ma03_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVPRRAMWAGLRSQLVMLTSQFLTSADDYQRFRAVCKSWRSAIPLRPDHLPTQLPFLLLVSTSEPRFRSAFRLTGASTGNVCSLPNTANMSCIGTSFGWLILLSMEGHLINLFNPVTAEDIRLPSLDGLPFDHVAPDADAAAIVVEKAVLSSDPTLDREFVVVLFIRDVNIGWCTWRQGDESWTTNANPGVQPTSRMRDVVPYGNRMLCAIYGGNDCLAVLQVDPGPPGRATIAAWYGMPTCVPRTYRPTHLVVSNGELLLVTFDYNRTADGEMTPGFRVFRLEAGGINRPAVAIEVEDIDNRILFLSQSSSVSVGAEDLGFQGNAIYFVFKEENEQGEYRSLWNVGVQNLESGEITEVVDSDVPDESGLKWPVRSSDVPRWVPPNLCSYNQ >Ma06_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:919278:919478:1 gene:Ma06_g01130 transcript:Ma06_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYYRQKKRARNYFKAKMIEQRNMERLHKNSICLLHLMIPSSSCMQSTAPKEEEYVSVLQAGATQ >Ma00_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:20185410:20187989:1 gene:Ma00_g02710 transcript:Ma00_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGESVQKLEPRVPSVVVEASAAARYAAGEVRRPGLVGSAVGLARSLYCRCEPTAKGLYAKYKPAADEVAVLAWRSLSRLPLVTRLVVPVGAHLSEKYNEAVRCSAKKGYSISAHLPLVPTERIVQFLAGKATAKSL >Ma09_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5058883:5063818:1 gene:Ma09_g07730 transcript:Ma09_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRMSCNLYLVFEYMEHDLAGLAARPGPKFTEPQVKCYMQQLLEGLAHCHSRGILHRDIKGSNLLIDNNGILKIADFGLATLFNPYQKQPLTSRVVTLWYRPPELLLGATEYGVAVDLWSSGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKKSKLPHATIFKPQHQYRRCVAETFEDFPSVALTLLDSLLAVEPANRGTAASALSSAFFKTKPFACNPSSLPKYPPSKEYDAKLRDEEIRRQRAEATKKRSESARPGRREIKTKPMPDANVKQQKRKPQANPKTSSEKYNAQYDESGSGFSIDPPVGTAQNGFYHPGMHAGGFGSSLTKEENQEEPQVPGRSYSSMGVANGPRLQAQRSYMPLSGAAFFPGSVAARSTASSRYNRLDVAEPSDKHILDRPASTHKKDDRTASKGYGPRNKKIHYSGLLMPTGGNVEDMLKEHERQIQQVVRKARLDKVKTKKNF >Ma03_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18539534:18545418:1 gene:Ma03_g16290 transcript:Ma03_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTLLFGKKTRSQSTKGWDASKAANEKGFDGGKGETILAVHSPVISEPISISGVISTSDKGEPSNIGGNAPVISHVSQGVMGLSTLSDANANNGIEEQAAIKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLHAMEGIVKLQAVARGRRVRRSINNLKVTSKFSQLKTAGPITQDDWNMKYSSNAFIAQLLASSLLAKPLQIQYDKGDPNSVFSWLGRWSSTSFWQPNSKSKKAIVPKGQTKRGNCIMDTESGKTRHSIRTSPASNVGAGQTNPSYEPEKTKRNLKKVPTSAESVQEHPQSELERVKRNLRKISTAVNDTSELPDVETQKSNLSSKKVDAGLSENLGHGSEESIEKPKKDNSPTPTLETFVETALETVIAGPVDLLLDDKSTNELQLLQQIDNVENHVAMGGELSLKEEQFCHENQKISKRRSSFSTKSEYAENGMSNTPVLPSYMAATESAKAKLRGQISPRFVSESIDKNGFTRRHSLPSADGKMSSPRTKRLIQTGGKGGIGRDVNERAIQVGWRR >Ma01_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4116750:4118355:-1 gene:Ma01_g05820 transcript:Ma01_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCHSSAESPSSSTATGTANNSSGVSGVTNATAAGAHLHSSLRSLNKASYKITKPLPKHPNLGLVREQQAALTTGGGGAPSQPPIYNIDKSDFRSLVQKLTGSATHRHQLGPAAPPPSAPGPPPTSRLHRIRPPPLAHLTPRPLPLYSWTRPPPLSPLPPLPTVSAAAESPISAYMRRLQNGYLPDIQPSLTAALVLPPLGSRLPSPGTAYEMMVAQGLVLPTSPVVQLPSSMLGEPSRHQVSISSPLVFDEGMLSHHLG >Ma08_p26310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38893083:38896157:1 gene:Ma08_g26310 transcript:Ma08_t26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAPEAPCRGAPPRSPTLRSIYRVKRLQDERERSARDAESGDGKPVVDAKKGGGAPGSTPESLSGNRISSGGDSGPSCEQSNSTDPKQKAGEDCREPEEEGAFAGAGSDAAEPSTGGDEKAAEGSYDSSTGSPAARTQAMGESIAESKEVEEGEKESSDVQSSVSLSRRRGTAIIGGGGVEEPEAEEASIMSGVVAAASQPLVSLLEIIRSHKYGSVFERRLESQESVRYRSIVRQHVDLEMVRAKLDAVEPGRSYATSEFFRDLLLLCGNTTVFYPKDSPEFAAAVHLRRLVTKEMATTVLTPTEPTPQPPPPPPPPEPKPTASKSETEPDLSSGLVDKPNSSPLLIACRKRSSTSNKPAVAAVEKEEKSDPARIESDNEEKSLPKKTTKERSVRSGRTRGWRTSKVRGGNGEGSPAAKRSNVAPSPCLKSKPVENVAAVGEAAKPDKNTGGGAATCAASTAKKQGSTGFLKRMKRNPKGAIEEMPKRSSGGVSGGGGGGSGRGTEQKKEAKGGARKEQGSRQGSTGGGGSGGSGKKVAETSGGSAKRGVGRPPKRAREEAEIAAPSKAPASASRKRRRM >Ma08_p26310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38892926:38896321:1 gene:Ma08_g26310 transcript:Ma08_t26310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSDLEEREIWGTWEELLLACAVNRHGTRRWDSVAIEIQSRTPASHLITPHGCRQRYRDLQRRFSAGAVNGSGDDDGGEDDPHPPADVPWLEELRRLRVAELRREVQRYDLSIGSLQLKVKRLQDERERSARDAESGDGKPVVDAKKGGGAPGSTPESLSGNRISSGGDSGPSCEQSNSTDPKQKAGEDCREPEEEGAFAGAGSDAAEPSTGGDEKAAEGSYDSSTGSPAARTQAMGESIAESKEVEEGEKESSDVQSSVSLSRRRGTAIIGGGGVEEPEAEEASIMSGVVAAASQPLVSLLEIIRSHKYGSVFERRLESQESVRYRSIVRQHVDLEMVRAKLDAVEPGRSYATSEFFRDLLLLCGNTTVFYPKDSPEFAAAVHLRRLVTKEMATTVLTPTEPTPQPPPPPPPPEPKPTASKSETEPDLSSGLVDKPNSSPLLIACRKRSSTSNKPAVAAVEKEEKSDPARIESDNEEKSLPKKTTKERSVRSGRTRGWRTSKVRGGNGEGSPAAKRSNVAPSPCLKSKPVENVAAVGEAAKPDKNTGGGAATCAASTAKKQGSTGFLKRMKRNPKGAIEEMPKRSSGGVSGGGGGGSGRGTEQKKEAKGGARKEQGSRQGSTGGGGSGGSGKKVAETSGGSAKRGVGRPPKRAREEAEIAAPSKAPASASRKRRRM >Ma05_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3232296:3233563:1 gene:Ma05_g04250 transcript:Ma05_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILPKSETVEIREVWSDNLEAEFSLIREIVDDFPYVAMDTEFPGIVCRPLGCFRTSSDFNYATLKANVDMLKLIQLGLTFSDELGNLPTCGTDRGCVWQFNFREFDMQLDVFATDSIELLRQSGIDFKKNNDKGIDAHRFGELLMSSGIVLNDSIYWVTFHSGYDFGYLLKVLTCQNLPDTQAGFFNLIRIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTSCAFRKLKESFFSGSTERYAGVLYGLSVENGQTAH >Ma06_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9614387:9616086:1 gene:Ma06_g14050 transcript:Ma06_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSDTMSNAPSPPAATSCSRSWSVSEDSLRRYVNYASERCIQELLSASESSDGWKVLTFRNGVEISRRSSASLHVFRSRWLLRSVSPQQFFMVANAIDAAKQWDPDLVEAKHIKDLDDNLRIIALRFGDASKPLFKKREFVVYERRESLDDGTLVVAVASLPHEIAAGLQPKNGNAIRGLLLQSGWVVEKLEDDSCVVTYVVQLDPAGWLPKCFVNKLNTKLVMIIENLKKLAQTCPVDREM >Ma01_p16280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11799195:11804272:1 gene:Ma01_g16280 transcript:Ma01_t16280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMATLPLRLPCLPKLVHLRTPFRFPFLPPRPPPPQAFFISPRASSMSLASSPSSSSSTSSSLPVLTLPPPPPPPPSGHAPHLPSVSSGAVDLEPYLGCSMPGRRLRVAVLLSGGVDSSTALRLLHAAGHDCTAFYLKIWFQEDFQNFWSECPWDEDLKYARAVCDQVDVPLEVVHLSDEYWNNVVCHIISEYRSGRTPNPDVLCNTRIKFGAFLEAIASMEFDYVASGHYAHVVHSSSEDGPSILKLSKDLIKDQTYFLSHLSQSQLKRLLFPLGCLRKDEVRRIATLMDLPNKDRKDSQGICFLGKVKFSEFVAKHIGELEGILLEAETGDYLGNHHGFWFYTIGQRQGLRLSGGPWYVVEKDVRNNVVFVSRNYFSLDKRRRTFHVGSLNWFSGAPPENTEQLQCKHYGSARDRW >Ma01_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11799432:11805260:1 gene:Ma01_g16280 transcript:Ma01_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAREAAQGRRSPQRWRRQQHRPPPPPRRGARLHRFLSQNLVPNFQNFWSECPWDEDLKYARAVCDQVDVPLEVVHLSDEYWNNVVCHIISEYRSGRTPNPDVLCNTRIKFGAFLEAIASMEFDYVASGHYAHVVHSSSEDGPSILKLSKDLIKDQTYFLSHLSQSQLKRLLFPLGCLRKDEVRRIATLMDLPNKDRKDSQGICFLGKVKFSEFVAKHIGELEGILLEAETGDYLGNHHGFWFYTIGQRQGLRLSGGPWYVVEKDVRNNVVFVSRNYFSLDKRRRTFHVGSLNWFSGAPPENTEQLQCKVRHGPGFYDCSIMAVPGIDGDEDNLVVHLSEDDQGLAAGQFAAFYHGDVCIGSGVIMDSWDEKCFPICSKALEIARMEDKSKLGKPIRIMNPDTR >Ma01_p16280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11799195:11805260:1 gene:Ma01_g16280 transcript:Ma01_t16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMATLPLRLPCLPKLVHLRTPFRFPFLPPRPPPPQAFFISPRASSMSLASSPSSSSSTSSSLPVLTLPPPPPPPPSGHAPHLPSVSSGAVDLEPYLGCSMPGRRLRVAVLLSGGVDSSTALRLLHAAGHDCTAFYLKIWFQEDFQNFWSECPWDEDLKYARAVCDQVDVPLEVVHLSDEYWNNVVCHIISEYRSGRTPNPDVLCNTRIKFGAFLEAIASMEFDYVASGHYAHVVHSSSEDGPSILKLSKDLIKDQTYFLSHLSQSQLKRLLFPLGCLRKDEVRRIATLMDLPNKDRKDSQGICFLGKVKFSEFVAKHIGELEGILLEAETGDYLGNHHGFWFYTIGQRQGLRLSGGPWYVVEKDVRNNVVFVSRNYFSLDKRRRTFHVGSLNWFSGAPPENTEQLQCKVRHGPGFYDCSIMAVPGIDGDEDNLVVHLSEDDQGLAAGQFAAFYHGDVCIGSGVIMDSWDEKCFPICSKALEIARMEDKSKLGKPIRIMNPDTR >Ma01_p16280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11799195:11804223:1 gene:Ma01_g16280 transcript:Ma01_t16280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMATLPLRLPCLPKLVHLRTPFRFPFLPPRPPPPQAFFISPRASSMSLASSPSSSSSTSSSLPVLTLPPPPPPPPSGHAPHLPSVSSGAVDLEPYLGCSMPGRRLRVAVLLSGGVDSSTALRLLHAAGHDCTAFYLKIWFQEDFQNFWSECPWDEDLKYARAVCDQVDVPLEVVHLSDEYWNNVVCHIISEYRSGRTPNPDVLCNTRIKFGAFLEAIASMEFDYVASGHYAHVVHSSSEDGPSILKLSKDLIKDQTYFLSHLSQSQLKRLLFPLGCLRKDEVRRIATLMDLPNKDRKDSQGICFLGKVKFSEFVAKHIGELEGILLEAETGDYLGNHHGFWFYTIGQRQGLRLSGGPWYVVEKDVRNNVVFVSRNYFSLDKRRRTFHVGSLNWFSGAPPENTEQLQCKCQG >Ma10_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14966925:14967600:-1 gene:Ma10_g04290 transcript:Ma10_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGAEPSEERRYKGVRRRRWGKWVSEIRVPGSRERLWLGSFSTPEAAAVAHDTAVFFLRGPDGSRGGFNFPDRVATFAWANLSPPSVQRVASESGMDVDARLAVHAPEAQPRPEVVLVDNTRTWEEGGEHGLYRVGDELVGDICFDALEMHIPAQNDYRY >Ma10_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31197687:31198691:-1 gene:Ma10_g21350 transcript:Ma10_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLAKRLWHMIRAACYMLRKGFCKHKLMMDLHLLLKRGKLAGKAIGNFVTFHHHHDRHTGSDAYPACSCRSMDPDFSFYNPKEVEFSCSNTPSYPSFFLTAKRKNRQRHGCYDIDVTALAQQLEMLNTEISEAESSAMASASPSPAPMWNVGKSPAAGRQLRVTDSPFRMMDGDGEADGRVDREAEEFIKRFYEKLRLQQSVPATPEYQYRSRRKPA >Ma09_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8531420:8533115:1 gene:Ma09_g12690 transcript:Ma09_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPIENSPYRFKMCTNESDILLCRTDHLSAQDFNLLEKQTDEVYRVNVITDQPGGIKWPSRWDAYLNMEGAKVHWFSILNSLMVIAFLTSIALVILLRTVKRDLTQFEELDKEIWKTIKLEITLAGFQSPGVLLVFSLVPHVDGYIHLPAVGSVAIYLFLYSVNSVFDFTSLNGPISATLFLGYSLFMVIAIMLAKV >Ma05_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25453239:25453531:-1 gene:Ma05_g18820 transcript:Ma05_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELESYALADAVKGDRYGAVMNTWRMSDTPPLSSWKGE >Ma05_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6208597:6217428:1 gene:Ma05_g08430 transcript:Ma05_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTHERAANFALLGLTLAPLVVNVNPNVNVVLTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPLVGSAMLLSLFLLFKFFSKDLVNAVLTCYFFVLGIVALSATLLPAIKRFLPRHWNDDLIVWRAPYFHSASLEFTRSQVVASVPGTFFCVWYVLKKHWLANNILGIAFCIQGIEMLSLGSFKTGAILLVGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTSDAARPFSMLGLGDIVIPGIFVALALRFDVSRGKQLRYFSSSFLGYTVGLVLTIVVMNWFQAAQPALLYIVPGVIGFVAAHCLWNGEVKPLLEYDESKLSTEPSSSSTQDGETKADKKVD >Ma05_p08430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6208368:6217428:1 gene:Ma05_g08430 transcript:Ma05_t08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTHERAANFALLGLTLAPLVVNVNPNVNVVLTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPLVGSAMLLSLFLLFKFFSKDLVNAVLTCYFFVLGIVALSATLLPAIKRFLPRHWNDDLIVWRAPYFHSASLEFTRSQVVASVPGTFFCVWYVLKKHWLANNILGIAFCIQGIEMLSLGSFKTGAILLVGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTSDAARPFSMLGLGDIVIPGIFVALALRFDVSRGKQLRYFSSSFLGYTVGLVLTIVVMNWFQAAQPALLYIVPGVIGFVAAHCLWNGEVKPLLEYDESKLSTEPSSSSTQDGETKADKKVD >Ma10_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22771189:22779083:-1 gene:Ma10_g08390 transcript:Ma10_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MAKSPQTQNLPVDVAHLVDQLERHCLAPDGSHVSKAAFSDLQLAREEMSRERMRYLEAMAVYCEAIAVVEEYQQAVSAANIGGVKDIPVLQPQLGLNCSPLVCESLEHWLAVAEASQRLRLPLLSKDGEIHEEEIEKLSMISRSSIDSTSTSVTPSTNSNSTYYNNSYTNSSSGILGFTGPPSSSDIVEPGVGGVPNRFLGVTPGFLWQVQQQHPVMAMDPSEYQRSLVWEIESRLKAKCEILADMFAMDDNDSSSITQISSARLPERVKLIIEEVEKEEAVLLEDLYSMDRKFAEHYNVLEQILGVLIKFVKDLKLQHQHQYDELRKTWLCKRCQTMNAKLSVLEHLLLRDTYTKDSVPALRKIRNYLIEATEEASIAYNKAVTRLREYQGVDPHFDTIARQYHDIVKKLEGMQWTIHQVEMDLKRSLDHSSS >Ma08_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10084414:10100766:-1 gene:Ma08_g12890 transcript:Ma08_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLEVVGCHNEIGGVMAGKVSPQTMHCEDGHQHRLDGSQRPIRVSWVRCIVGWFFIDMCFFVELSEATRPMMLSFNPDVLDNSNALLLSFATISSIQRRPFPPSSTSSTPPKPVFEPEMEEDGHKKDATASSEETSSAEPTGHDDAGTKRPYYECTFCKRGFSNAQALGGHMNIHRKDRARERPSVLERTEDDSDGYRHRDQYSNSCSAFYRPPAFEPLKGYTMYFPPASSSSPSTGRDEARMSVDSGSQMTHDTSHFGEDLRLGLTTHGGKGRQEEEEGAELDLELRLGHQP >Ma05_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31758913:31764600:1 gene:Ma05_g20160 transcript:Ma05_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELSAPPPRGHPLLAGLRRPPSIPASPLLLPHLSSCPITNRSLFASHSAKSLSLVSDAGWGRPRDRPPRARASDEDASMVVVHDDAGSKRVDGPSFLMRSSYGEGDNPDGGEQIAEAANNLLSKLNLKIDSEEAYGILFYVAGALAALWISAAFVSSIDSLPVFPKVMEVVGLGFTIWFSTRYLIFKENRDELFTKLDELKEKILGPSNK >Ma02_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22918366:22919068:-1 gene:Ma02_g15060 transcript:Ma02_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSANYASALVCAMALAMACTTLAQNSPQDFVDAHNSARAAVGVGPVSWDDNVAAYAQNYANQRIGDCQLVHSGGPYGENLFGGSSTEFTGIDAVNSWVDEKQYYDYDSNSCADGQVCGHYTQVVWQDSTAIGCARVQCDNGGIFIICNYNPAGNIVGERPY >Ma11_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24908826:24913041:1 gene:Ma11_g20430 transcript:Ma11_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASILRSASPRQESLGRRVSQRHGHGYEGRRKLVVRAMAKDIAFDQNSRSSLQAGVEKLANAVGVTLGPRGRNVVLDEYGAPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVSGLVEELEKKARPVKGRNDIKAIATISAGNDEFIGTMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLLVEFEDAKVLVTDQKISTIKEIIPLLEKTTQLRTPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLIENVSVEQLGTARKITIAQTSTTIIADAASKDEIQARIAQLKKELAETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTTVPAIKDKIEDADERIGADIVQKALVAPAALIADNAGVEGEVVVEKIKDSEWEIGYNAMTDKYENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVDKPKPKAPVAGPAEGSLAI >Ma05_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10898141:10899860:1 gene:Ma05_g14870 transcript:Ma05_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSDRLASLGSLAASLMVVYAIIRRYLPLHLLEHSLTKHTFSPLCLRLPLRPDHHLRVLRRPPEAQRGLHLRRGLPQWLLLAARQQAQGRARRRLRQPHPQHGRARGGHRRLRGRQALVDLRGAHSPLADRILFPGGRGAAALPVDLPPPAPGARHRIVPRPLQEDDVEPRRVRSPVDLRHTRHGSRQEGGHHGRPHRLPQRQGLLRQNRQGVEAGLPPPRPPRHRQVLRYRRHRQLPGLRHLRPRADLRQGQHPVEEAVHRDHQQVHHRPRGPAVAAGEGGQGGEQGDALRASQLHRRPVVGLWRREAHNIHHKPPGEAGSGADTAGEDGQAHRDVALWIRGVQGAGEELRGRRRAPAIRGRPAAAGGGQDDAGGRGREPDAQVCGGGRRLVSREIGLRQAVLVDLIIQRLIMPRRDDVGSGYFQSNRRGIQIHLNVGYIVHIYLIHLIKRYHSEI >Ma06_p07100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5062567:5076442:1 gene:Ma06_g07100 transcript:Ma06_t07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGAEDAGPPVGSSPPPPLEWKFSQVFGERAAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVRNLGSRKDLEAQDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQAANHVLFLLSTNDKTIKYWKVQEKKVKKISEMNVDASQAVQNDNIASSSTISPRGYLPNGGCPERPHGQLNNDLSMPPGGFPSLRLPVVTSQETSLIARCRRTYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDNHSKMFEEHEAPGSRSFFTEIIASISDVKFAKDGRHILSRDYMTLKLWDINMESGPVATFQVHEYLRPKLCDLYENDSIFDKFDCCLSGDGLHVATGSYSNLFRVFGCIPGSNEATTLEASKNPMRRQVQTPSRPAKSLGSFARVVRRGAESPGVEANGNSYDFTTKLLHLAWHPTESSIACAAMNSLYMYSA >Ma06_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5062567:5076442:1 gene:Ma06_g07100 transcript:Ma06_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGAEDAGPPVGSSPPPPLEWKFSQVFGERAAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVRNLGSRKDLEAQDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQAANHVLFLLSTNDKTIKYWKVQEKKVKKISEMNVDASQAVQNDNIASSSTISPRGYLPNGGCPERPHGQLNNDLSMPPGGFPSLRLPVVVTSQETSLIARCRRTYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDNHSKMFEEHEAPGSRSFFTEIIASISDVKFAKDGRHILSRDYMTLKLWDINMESGPVATFQVHEYLRPKLCDLYENDSIFDKFDCCLSGDGLHVATGSYSNLFRVFGCIPGSNEATTLEASKNPMRRQVQTPSRPAKSLGSFARVVRRGAESPGVEANGNSYDFTTKLLHLAWHPTESSIACAAMNSLYMYSA >Ma07_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26714443:26715267:-1 gene:Ma07_g18750 transcript:Ma07_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFRRSLSLPDSNPSDRPARRRCDKPQHLRSISLPCRSHPTLSHLLDQIRSCPESGPRSPASGLDRIDRLLSALDDLLRLPQTQDALRRRPAWADRVLDGFLRLADAHGSFRSAVVAVEQHHAESRAAIRRRDPVRLDSAARSHRRAEKELIRLATAIKDLARSPPLICSDAAEAEVAGIVADVMAAAAATSTAVFLGIAAASSSVAGSCSKSSWTAWPSRRPSKKGSEEAEMAAMEALEERMEGLEEGSGRVFRSLVNIRVSLLNILTPSL >Ma05_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33891953:33892639:1 gene:Ma05_g22150 transcript:Ma05_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIDKQIKVTSSGFKGNAFCVVPRHEPGRAEADFGRFDVKRLIRTIYILFSRCEIPIAEEGQEIMDLADPSTPLPQWFTEEDLNAYVAPYEKSGFHFPLQMPYRTLYRGTGIADPKIEVPVLLIMGEKD >Ma06_p28410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30103450:30119667:1 gene:Ma06_g28410 transcript:Ma06_t28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQDALNLAVSLMTSHFGDLVAKVCGCLLQGGTLSLQEIVRCTELSNSQVKNGLLVLIQHNCVQAFSVPKQAGAGGATKSLTQYMALFDNILHRMRFTKFLAIVRDDLGPQCETLLEGLLQHGRLTFDQLVVRATSKQSEDSSSIRDVLRKNLERLVHGQYVERCPRPEPFIAPTSEEGTASARRRSAKSKEETYSLEQQAMIAAALSHAERFSVITDSGRESSDVKDADKQDVSVGDKRKFEVLELDEEVQAAITETEVLWRANFQKFVHCLEKKACVANVRSRLGLDAGVVLEALVESSDQEKDNFVKASMDGILEAVRGKPGGISMTLEHIRIVLDQLGCSSSIEDNNVFYKMEVQCIIESCQNDEVESLVLSRYGKEAYRIFRLLIKKGSLVETEQISDITFVEKKVAQGILYKLWNDDYLYMQKVISIGAGQLQHFLWKVEKHSLWELVLNHMYHAALNLNQKIAHIVEQEQEVLQAREKREQLRKSRMILELSLLKVDDALMLFHDFKR >Ma10_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5394063:5394425:-1 gene:Ma10_g01860 transcript:Ma10_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVRSRASLLLTLVIIVFLVTARGETTPVKEAKADITYRVPNGQPARPRTPYTRGCGSHYYCRPPSTPPPPPPPSTP >Ma11_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26516962:26519864:1 gene:Ma11_g22970 transcript:Ma11_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDRPKKRGRLWKKALVHFALCFVMGFFSDFAPRSTAILFSGRHAEHLVPAARTKSVERLVVNSTVEIPGSSSGRGQGSHDEPPPPLEVAGAREPQSPSQRLLIVVTTTRTGDRLQGALLRRLAHTLRLVPPPLLWIVVQDDADALATAAMLRTTGVMYRHLTFKENFTDPVVEADHQRNVALSHVEYHRLSGIVHFAGASNVYDLQFFDEIREIESFGTWPVAVVSTNKKRVVVDGPICHSSKVKGWISKDLSNDKRLLVTSTDMNPKPPKINISGFAFNSSMLWDPERWGRPTSLPDTSQDSIKFVHEVILEDETKLKGIPADCSKIMVWHMTRGTPLPIHH >Ma11_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17073612:17076557:-1 gene:Ma11_g13010 transcript:Ma11_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWIPSTARRAPHRIRAGRDEGDTLSAVSRVGALFDRPLSRRGFLDLPSPSSNPLSISSVGDTMVTIALPSSCMLKSSSKGWLSSVLIRSPSSLSSLRCITKTSSLRMANRFRASAMAVYKVKLIGPEGQEHEFDAPDDAYILDSAETAGVELPYSCRAGACSSCAGQMVSGAVDQSDGSFLDDSQISKGYMLTCVAYPMSDCVIQTHKESDLY >Ma11_p13010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17073612:17076058:-1 gene:Ma11_g13010 transcript:Ma11_t13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLYFSGDTMVTIALPSSCMLKSSSKGWLSSVLIRSPSSLSSLRCITKTSSLRMANRFRASAMAVYKVKLIGPEGQEHEFDAPDDAYILDSAETAGVELPYSCRAGACSSCAGQMVSGAVDQSDGSFLDDSQISKGYMLTCVAYPMSDCVIQTHKESDLY >Ma11_p13010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17073612:17076078:-1 gene:Ma11_g13010 transcript:Ma11_t13010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIALPSSCMLKSSSKGWLSSVLIRSPSSLSSLRCITKTSSLRMANRFRASAMAVYKVKLIGPEGQEHEFDAPDDAYILDSAETAGVELPYSCRAGACSSCAGQMVSGAVDQSDGSFLDDSQISKGYMLTCVAYPMSDCVIQTHKESDLY >Ma02_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15820420:15824112:-1 gene:Ma02_g04350 transcript:Ma02_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 2 [Source:Projected from Arabidopsis thaliana (AT4G03100) UniProtKB/Swiss-Prot;Acc:F4JI46] MTGVVLVSSAGGCRGRRGEEEEGKRAKEKEEERRKQQQISVLALLLAAIRRSMVSSCRMERDADDEVIPALQHMEIGWPTDVRHVAHVTFDRFNGFLGLPVEFELEVPGRVPSASASVFGVSAESMQCGYDSKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENSQEEHVREQLNKGTVPEDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQCITEEESVQLVKLLPPTPASLLNWAVELMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLREREEAAMSGYSDFSCSPTSHNRGDDDYNSQKDVDMSEDESSEITSDNEPEAYSQLVVEPSLSDSTRCISGSRTCSQRFSDHFTEDEDDSLTDIEVCFLRQLEWKSEDGNQGEDSISMDMSSGGQAEIQTCHSDVVNIETCLSSIERKEDSSITDNEGDSDAEAEVKDPSKRHESSEVVMVDSQWIS >Ma05_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6709535:6712790:1 gene:Ma05_g09220 transcript:Ma05_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYKKRKADENGALATAIPAAELTPEDGRKIIDAFSLDQLRDIVAAAVSRGEPGVLADVRAIADRDQSQRKLFIRGLGMETTTDAVRSLFSTYGEIEEAAVIVDRATGKSKGYGFITFRHIDGALRALKEPSKKIDGRMTVTQLAAAGNSGSGAAPSADVSLRKIYVANVPADMPSDRLLAHFSSYGEIEEGPLGFDKQTGKFRGFALFVYKTVEGAKNSLVDPNKNIDGHNLVCKLAIEGKKGKPGAPAPGAAPMGGVPGQPMGGGADMGSDGLGLGAQSSLPSSLSSQYGGPGSGFGSYGGYSGSALPGAAGLSHHHNMNSSLPSSMGPGTPGLSSVGSQVPSSLGGAVAGGYGGGLGGPVSGGYGGYGMGSSLYRMPPSSVRMPSGGYTEGGHYPLSSSAYQGQHHQPAGSSPGQRVPGRGLYRNVPPYY >Ma05_p09220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6709535:6710904:1 gene:Ma05_g09220 transcript:Ma05_t09220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYKKRKADENGALATAIPAAELTPEDGRKIIDAFSLDQLRDIVAAAVSRGEPGVLADVRAIADRDQSQRKLFIRGLGMETTTDAVRSLFSTYGEIEEAAVIVDRATGKSKGYGFITFRHIDGALRALKEPSKKIDGRMTVTQLAAAGNSGSGAAPSADVSLRKIYVANVPADMPSDRLLAHFSSYGEIEEGPLGFDKQTGKFRGFALFVYKTVEGAKNSLVDPNKNIDGHNLVCKLAIEGKKGKPGAPAPGAAPMGGVPGQPMGGGADMGSDGLGLGAQSSLPSSLSSQYGGPGSGFGSYGGYSGSALPGAAGLSHHHNMNSSLPSSMGPGTPGLSSVGSQVPSSLGGAVAGGYGGGLGGPVSGGYGGYGMGSSLYRMPPSSVRMPSGGYTEGGHYPLSSSAYQGQHHQPAGSSPGQRVPGRGLYRNVPPYY >Ma10_p30210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36554179:36563833:1 gene:Ma10_g30210 transcript:Ma10_t30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVDLVAKTGSDGGHSPDVAPRTNHHAEDGASPPPPPPPPPPGPGPDDPQSQKQSRPQTLPPDPTTIETPPVPAAATAPAESNPYVARSPAANSSSSSSKSTTETVKNVLKRWGKKVGESAKKAEDFSRNTWQHLNTGPSFVEATMGRIAQGTKVIAEGGYDKIFRQTFDTLPEEQLKKSYACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYKVGDQTEWSYYKVVIPLNQLKAANPSVSKMKSAEKYIQVVSIDNHEFWFMGFVSYDAAIKNLQEAFRDAQESQP >Ma06_p30730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31978919:31980848:1 gene:Ma06_g30730 transcript:Ma06_t30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKRDEGSHDGMLEGGVEVRVLVVDDSPVDRRMVEVLLKKSGAMFQVTSVDSAKRAMEVLGLSEDKTKSPVVDDQKVDIILTDYCMPEMTGYDLLKAVKEQSCQKPIPVIVMSSENEPQRINRCRAIGAEDFIIKPLQTNDVLRLRSYARTGLPPPPPPSSSSKAGTKRKMTIDLLAESGGSGSERRRPRLTGLAMA >Ma03_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28987711:28989489:1 gene:Ma03_g24740 transcript:Ma03_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASLSLAVLSLLLTANSAISSLDATHESFIQCFLDHTRPSNSSSQLVYSPNTTTYDAVLRSSIQNIRFLYSSSTTKPVLIVTATNESHVQAAVVCSRKHGLRVRVRSGGHDYEGMSYVSEGDRFIIVDLAALRSITVDAEHGTAWVQAGATLGEVYYTIAEKNRTVGFSAGICPTVGVGGHFSGGGIGTLSRKYGTAADNIVDARLVDVNGRILTRKSMGEDYFWAIRGGGAASFGIVLSYKIELNYVPPVVTAFNVIKTLKQDATKLVTKWQQIGPNLDENLYIRVIAQAMDDDEAEGNRTIQAVFNSLYLGTCKELLTVMGSSFPELGFEAADCNEMSWLESVLFFAGYSGRPSEILLDRRPEFNSSFKAKSDFVREPVTETAWEEIWRFLMEAKDEPLVLIMEPFGGVLDEIAESATPFPYRKGYLYIIQYFMRWFETDMETTERHLNWMRKLYALMTPYVSKNPRAAYLNYKDIDLGRTYRVWGPKYFNNNFKRLANVKSKVDPHNFFRNEQSIPPIMSL >Ma09_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4906684:4909278:1 gene:Ma09_g07470 transcript:Ma09_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMDKPCANAYSFEFHDGAVANNALHRRKVLGSRSKPTPSKWDDAQKWLVGLSGSGDHKHAVSKPRNSNADDRRLLSSLSQRGRDSCSSADGTLEYDIVLAVTAQEDEGETKKIDCSEALWRTNKPIEDSSMVVRSVCLRDAGTEMTPIASKEPSRTGTPLRATTPVSKSPISSRSSTPERCRRHDGYQAGMRSAERIGWPYGGEAEVDGGKFSEINGSEQGRSSSSLESRAMAWDEAERAKYMARYKREEVKIQAWENHEKRKAEMVMRRMEVKAERIKSRAQEKYANKLAAARRMAEEKRASAEVKLNERAAMTSERADYIRRTGHLPSSIFSFKLPSLCN >mito9_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000020.1:73898:74495:1 gene:mito9_g00010 transcript:mito9_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQAVPLSQSERCIVGTGNTEKAFLLQEGPNNIFSSNRETFRRRSNLSSEDAVLISERLVYEDINTDLNIQIYSIQTNVTSQGPERITKPLIEDHLLRYLERNGAAWDLRYKELRLE >Ma07_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31147657:31147950:-1 gene:Ma07_g23530 transcript:Ma07_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFYAYRFVIDHREGPKRGTEFRELVIGEGDQY >Ma03_p32630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34355067:34356993:1 gene:Ma03_g32630 transcript:Ma03_t32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVDMVESANGSVDQPGGSPLPLAPPESNLEIEGEVEAEAEAEAAPEAEVEAEAEAAPEVEAEAEKSTEAEERRISLRPDAVGRTIFVSDVGRDVTEEHIAGLFSMCGRVIDCRICGDPHSALRFALVEFDNEYGANVALNFDGTILGFHPLAISHSKTAITPVNPSLLPQSAPERARCLRTVYVNNIDSQVTPAEITDLFVTYCGEVSRMKLLKDHANSSNMAFVEFVRVESAGKALSCSGMVLGDSPIR >Ma08_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15112310:15113406:1 gene:Ma08_g15100 transcript:Ma08_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEDNQPKEYTMSGGGGGGMTSIHVTALDGLVNVNSLFTIAVFVGVSIAAPGQRSLEGRSTCDAGPDVARNLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSNDVDEAFRAHINARVLRLGMLASAVGSVMGCLFLLLSMVDVIQIRLGLLSCGSQSTVRAVATLVTLVCTALAVYIATIFYAFTH >Ma11_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2381608:2383754:1 gene:Ma11_g03200 transcript:Ma11_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETVKYLIGSTGASGFGSKSTGEDVTAAAPELGSITAIITGATSGIGAETARVLAMRGARLVLPARSLKAAEETRARITGEFPGAEIIVLPLDLSSLSSVRCFVFRFLALNLPLNLLINNAGKFSYEHAVSEDGVEMTFATNYLGHFLLTKLLLNKMAETARETGIEGRIVNVSSSIHGWFFGDCLGYLDLMTRKKAPYDATRAYALSKLANVLHTRELTQRLEQMDANVTANCVHPGIVRTRLTRDREGLVTDLAFFLASKLLKTIPQAAATTCYAATHPRLAGVSGKYFADCNEVSPSSSASSPHEAARLWHASEAMTAPRPDRTWFGLEPPLSSDKPHDEM >Ma11_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25768622:25772515:-1 gene:Ma11_g21760 transcript:Ma11_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVASHEAQPQIPTSSRPPETQPPETIVFRSKLPDIPIPHHLPLHTYCFEKLPELSEAPCIIAAATGKIYSFSETHLLCRRTAAGLSKLGIEQGDVIMVLLQNSPEFIFTFMGGSMLGAMTTAANPFCTPAEIYKQFKTSGAKVVITQSMYVDKLRAVNEGFPEIGDGLIVVTTDAPPADCISFSQVLDSDETAIPDVTIDPEDPVALPFSSGTTGLPKGVMLTHKSLVSSIAQQVDGENPNLYLKDDDVVLCVLPLFHIFALNSVLLCSLRAGAATMLMPKFEIATMLEGIQRQRVSVAAVVPPLVLALAKNPVVEKYDLTSVRIILSGAAPLGKELEEALRSRVPQAILGQGYGMTEAGPVLSMCPAFAKQPTAVKSGSCGTVVRNAELKVVDPETGFSLGRNQSGEICIRGPQIMKGYLNDVDATSKTIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELESLLISHPSIVDAAVVPQKDDAAGEVPVAFVVRAKDSDVSEEAIKEFIAKQVVFYKRVHKVYFIHAIPKSAAGKILRKELRAKLVAASQTAST >Ma11_p21760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25768622:25772515:-1 gene:Ma11_g21760 transcript:Ma11_t21760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVASHEAQPQIPTSSRPPETQPPETIVFRSKLPDIPIPHHLPLHTYCFEKLPELSEAPCIIAAATGKIYSFSETHLLCRRTAAGLSKLGIEQGDVIMVLLQNSPEFIFTFMGGSMLGAMTTAANPFCTPAEIYKQFKTSGAKVVITQSMYVDKLRAVNEGFPEIGDGLIVVTTDAPPADCISFSQVLDSDETAIPDVTIDPEDPVALPFSSGTTGLPKGVMLTHKSLVSSIAQQVDGENPNLYLKDDDVVLCVLPLFHIFALNSVLLCSLRAGAATMLMPKFEIATMLEGIQRQRVSVAAVVPPLVLALAKNPVVEKYDLTSVRIILSGAAPLGKELEEALRSRVPQAILGQGYGMTEAGPVLSMCPAFAKQPTAVKSGSCGTVVRNAELKVVDPETGFSLGRNQSGEICIRGPQIMKGYLNDVDATSKTIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELESLLISHPSIVDAAVVPQKDDAAGEVPVAFVVRAKDSDVSEEAIKEFIAKQVVFYKRVHKVYFIHAIPKSAAGKILRKELRAKLVAASQTAST >Ma02_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26818689:26826922:1 gene:Ma02_g21080 transcript:Ma02_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTHPWAAVTRLFSTSTSQNASSKRPPTVRSLASAGRIKDAIRYLILRRHLGRPVYPECFVAVIKHSAAPETLGVARQLHAHMIITCFDQDPFLCNHVIDVYGKRGLLGDAHWVFRRLGSKKLHSWNILIAGYCKFGFLSEGRLLFDEMPRRDAVSWNTLIAAYDQWGPCEEAIEVFAHMMRSCCIVDHFGVSSVISACANLRFVQNGSVLHGLSVKIGLDSHVQVGSAIIGLYSKCEQLDDARRIFDQMNVKEIFTWNSMLHGFIRCSKIGDAVKFFENMPHKDVVSWTTIMAGCSQHDRNENAIYFFQKMQQDGLRLDWVSFLSVLDACEGLLDLEEGSKIHAKMVKSGFGADRIVGSALVSLYAKCGCLTDARSVTHSLAAVDDFSWSVLIAEYVKHGCLDRACELFDSMAIKTVPLWNALIGGCAAVGLSEEASESFKRMQMDGKYGDSYTFGSLLLSAIHLGRRFGSQLHSHIIKLGFDSSVFLASALINMYSTNSNCEAAVRIFKLVKDPNPIVWNSMISGYALNNLAKDAMLTFSLMVALGMKPDNVSLSLIIDLCSRLLTLRGGTQVHAFAYKLCFESDVVVGSALIDMYAKCGSIDCASSAFADIYRHTVVSWTALVGGYMRLGLCDTANKLFNIMPERNIVSWNVMISGYTKHGFASEALQIYSQMGKSGLLPDLISFTTILTACRNFFLKESGKQVHAQIIKNGYHVNPQINFYLTCMYQKFGELWHTKELPSGSSDLVVVAAADRAEPDVVTENIELLASVYFSHFGRTNFQHGAD >Ma11_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21229303:21233053:-1 gene:Ma11_g15540 transcript:Ma11_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase Cdc25 [Source:Projected from Arabidopsis thaliana (AT5G03455) UniProtKB/Swiss-Prot;Acc:Q8GY31] MARSLTYITASQLIAIARNPRVAIVDVRDEERSYDAHIAGSLHYASDTFGERMPDLLQAVKGKDTLVFHCALSKVRGPSCAHMFLDYLTEVKEDAGINKVMVLERGFNGWHASGRPVCRCTNAPCTSDST >Ma01_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5472157:5475324:1 gene:Ma01_g07550 transcript:Ma01_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFVNIFIEKLADLIQDRVVMMLEVDDELQKLKESLETIICLLKVAKRKKIQDSAIGSWVSKLKDVMYEADDIIDLCKAKGGILAEEEPSSASLGQRVRRCFPLLSCFVGVPFRYQIGIKIRDLNDRLQHLSNDNLSFSKLASINRQASISAITSKTSPLVPPDITGSVIYKATDNLIGLLNKGNERSCRLFAITGMGGIGKTTLAQKIFNDSRIIDNYEIRLWVCVSKVYSEIDLLKQMIRGAEIDSGQATERAELEPMLRRAIDGKSVFLVLDDIWRADVWVNLLRTPLSSATAIRRILVTTRDGKIANQTGAVHIHNVKLLREDEGWELLCTSASLQRKKDILNLRDIGIGIVRKCHGLPLAIKTMGGVLMTKEKSRGEWEKVLDNGAWTMSKLPKELKGALYLSYEDLPSHLKQCFLYFALFPEDHEFHREDLVRLWVAEEYIEKEGSRLPEETAEEYYIEFIRRSILQPNPGLGNIKTTKVHDLLRSLAQYLAEDECSNVNAREIKFPTKQKLRRLSITEEGNVAIIPEVFSKHNCLRTLMLFRSPTTVLNESLVRLRCLRCLSLRGTATGSIPNSIGDLIHLRYLDLCSTSVSRLPESIGQLTNLQVLDLRSCKHLCTLPRGITRLCNLRCLDLLKAPLEHLPAGIMKLKQLNFLSGFVIGERGNVGNQMLSVCDLEELRSLDRLRHLGLDRLERYSSNGTSLLLNKSLLQRLRLSCTSKLQYSEEETNQIQHVFDNLKPPPGLDDLIIVGFFGRKNPSWMQSSSLVTCFPCLTCLTLKNFASCLQLPPLGELPHLQYLKIIGANSVLSIGPEFLGDDAATSTAFFPELKSLIIAKMPSWEEWSLHRGGGEAEEEITAAPERPRLLLPNLETFILRNCPKLRSLPQGLLDHATKLSSLFIEGANELKAVENLPSPSGLLQTKDCPSLERISNLGALRKLEIIGCPSLVCVEKVDVLKRLQMSDAAMERLPEWLSGLVQPPNSSLVVVVVCDVRLLERCIRGGEDWHIVQQIPAVSLYADSDRGSRYVHYNKEPVSYNTNFSTES >Ma03_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10303517:10305013:-1 gene:Ma03_g13310 transcript:Ma03_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein AFR [Source:Projected from Arabidopsis thaliana (AT2G24540) UniProtKB/Swiss-Prot;Acc:Q8LAW2] MPPRSEPIETAAAEEEETRGAEPKVEEMEPLIPGLPDEVAEQCLLHLPFPYQSLARSVSSSWNRALSSPSFIRSKAKAAAALSLPYLFAFAFDRSTLRLQWQALDCRTSRWFVLPPMPLPAGGDGPLCPPAFACAAIPGRGELYVLGGMRADTQSPLQTLLAYRAGTNSWSAAAPMPTPRCFFAAGAIGGRIFAAGGDDGGISAVECYDPAADRWAPAAGMRRGMARYDAAVVGRRMYVTEGWTWPFDSSPRGGVYDADRDAWEEMRVGMREGWTGASAVVGGRLYVVSECGDGRVKAYDEETDRWRAVAGGAVPAEVKRPYAVTGGGAAEDGRIYVVGSGLDVGVGTVVEAAAGGRGAVERVEWQVVKGPPAFADLAPCNALVLYA >Ma11_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23039122:23039631:1 gene:Ma11_g17860 transcript:Ma11_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKCKDMEHACLLVFDLLTNRDQVSYTSMIAGNGIQGEGTVSLKLFNQMIDSGIKPDHINMVAVLSACSHSGTCVPGS >Ma07_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1381461:1390921:-1 gene:Ma07_g01780 transcript:Ma07_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHLVGDASSEEAQNGTPVGRLTALSYGAGHMLNDITSACWFTYLLLFLTDIGLSPRDAAIVMLSGQIADAFTTVFAGELIDCFGHFKLWHAGGSFLVAVSFSSVFGSCLFCKIIGSNSPTLQTIGYSIFAAIFNVGWAVTQVSHMSMVNCITLDPTSRVALASCRNAFTMVANLSLYAVALCVFSVYNSRASADIKIQYRWIAYLSIFIGCFFVVLFLVGVKEPRLKQEIQCKKISRISWAHWFKKILYYQVALVYTLTRLVTNVSQALLAFYVTNELGMSDSSKALVPAIIYICSLLVSVILQEIRWSGWRLKFFFTAGAILWVFSGAGIFVLPPSKHNYIYVLSIIVGAANALMTVTGISMESTLVGEDLNGCAFVYGSLSFLDKLSCGLALYALESYEGSSDVSVNYSITRYGIGAVPAACALLSAVVTYTMKLTDSSSIPLVEPLIA >Ma07_p01780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1381461:1391112:-1 gene:Ma07_g01780 transcript:Ma07_t01780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHLVGDASSEEAQNGTPVGRLTALSYGAGHMLNDITSACWFTYLLLFLTDIGLSPRDAAIVMLSGQIADAFTTVFAGELIDCFGHFKLWHAGGSFLVAVSFSSVFGSCLFCKIIGSNSPTLQTIGYSIFAAIFNVGWAVTQVSHMSMVNCITLDPTSRVALASCRNAFTMVANLSLYAVALCVFSVYNSRASADIKIQYRWIAYLSIFIGCFFVVLFLVGVKEPRLKQEIQCKKISRISWAHWFKKILYYQVALVYTLTRLVTNVSQALLAFYVTNELGMSDSSKALVPAIIYICSLLVSVILQEIRWSGWRLKFFFTAGAILWVFSGAGIFVLPPSKHNYIYVLSIIVGAANALMTVTGISMESTLVGEDLNGCAFVYGSLSFLDKLSCGLALYALESYEGSSDVSVNYSITRYGIGAVPAACALLSAVVTYTMKLTDSSSIPLVEPLIA >Ma10_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4672637:4683862:1 gene:Ma10_g01460 transcript:Ma10_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADGEPREAPEEEEKPRIEPLRLPTPEEIRGQDIWNNCAVRSVVSGIMGGGLGLFMGLFLGALDNPIMQDEMTAKQQFIYTAKQMGRRSYSSAKAFAVMGFIFSAAECVIEKARAKHDTTNTFVAGCVTGGAISAKGGPKAACVGCAGFAAFSVLIEKFLDRHS >Ma04_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5446182:5446808:1 gene:Ma04_g07530 transcript:Ma04_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDSLARSSRIIHSRRDPYSWGELRGQSDPRGDCQRHYRGFLLKKRRSAIGSRCFYWVRIDLNRRDRLPVALKICSWPLSNCWIELIQKTATPCELNSLGINLKEIKRAKAPWEMDDRRGTQFSIHQAFSTEI >Ma04_p07530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5446182:5446808:1 gene:Ma04_g07530 transcript:Ma04_t07530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDSLARSSRIIHSRRDPYSWGELRGQSDPRGDCQRHYRGFLLKKRRSAIGSRCFYWVRIDLNRRDRLPVALKICSWPLSNCWIELIQKTATPCELNSLEIKRAKAPWEMDDRRGTQFSIHQAFSTEI >Ma02_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12799631:12809491:1 gene:Ma02_g01950 transcript:Ma02_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKDDKPSSGEKSEASSTQQQAGGLGTTSTPAAGFPANPFDFSSMQNLLNDPTIKEMAEQIAKDPVFSQMAEQLQKSVHSVGQEGVPPLDPQQYVSTMQQVMQNPQFMNMAERLGNAIMQDPGMSSMLDSLANPAQKEQIEERMARMKDDPSLKTILEEIESGGPSAMMKYWNDPEVLQKLGQAMGVGPSDDGVTSTELSGPEEAEDGGYEDESIVHHTASIGDVEGLKKALDSGSDKDEEDSEGRRALHFACGYGEVKCTQILLEAGAVVNVLDKNKNTPLHYAAGYGRKECVALLLEYGASVTVRNLDGKTAIDVARLNNQDEVLKLFEKDAFL >Ma04_p34450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33635287:33636418:-1 gene:Ma04_g34450 transcript:Ma04_t34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSEAAWCVCKPEMADVALQKTLVFTSRGRGRHKGACDFSDTATLTTTDPSYSGCTYPATPSAAGTSSTSTTSTPGTTASSITPTNGLLGGLGPSSDISTDIDHGGFLLKAGMSSLLIVVC >Ma07_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4263793:4271177:1 gene:Ma07_g05890 transcript:Ma07_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGSEEPAQWEKAEGGGTVGEEERILVSVRLRPLNAKELERNDTSDWECIDRTTVVFKNNLPDRSMYPTAYTFDRVFGSKCGTRDVYEEGAKEVALSVVSGINATIFAYGQTNSGKTYTMTGITEYSVADIYDHIKRNEEREFILKFSAMEIYNEVVRDLLSMDGSPLRLLDDPERGTIVEKLTEETLRDENHLKELLSTCEAQRQTGETSLNEMSSRSHQILRLTIESSARELLNRNSSSTLAATVNFVDLAGSERASQTGARLKEGCHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQSSLGGNSRTAIICTMSPARSHIEQSRNTLLFASCAKQVVTNAQVNVVMSDKALVKHLQREVFRLESELKYPGSASCSSHFEALRDKDAQIEKMEREIKELIQQRDLAQSQLKDLLATVDDDQASSQWHELSHASVSHVHNMPEDVCSISGTSGIAYQSSEFGSISFDAPHQSNNEYHVELSDKLDIVPDISPRHSVSSSMSTGMILQQTRDKSFEASSNDFEDHYREVRCLEIHALGTNRIEEFNPPLTEESDDLPSQTDLDNLEDTGPQSVSYAFLRPETEQSVKSISETIDDFIKTCLDDMSPWPTMPKIMTFRELPLTRSRSCRASLMTTSSSFWTEEAEQHDGRPPTNFLNEFPVSLGWIPRKISVPLNDIKNEAHSFEGSQNTEEPIPSHVIEDQNIRIVPEEDITNVSNFFSGVVQMAQSQHQKELTDDQETQWAQVEEFEVEKTVKDVGVDSVLYSIESPSRWPIEFQRKQQEIIELWHACNVSLVHRTYFFLLFKGDPSDSFYLEVENRRLSFLRNTSLPEHSNMIVADDGRTIMSSSSLRYLRRERQMLYRQMQKKLSLEERITIYSKWRIALNTKQRSLQLAQLVWTKTDMPHVRESASLVAKLIGFQEQGEALKEMFGLSFIPQQTNHRSFSFTYRKSLLM >Ma09_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35965329:35973408:-1 gene:Ma09_g24280 transcript:Ma09_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTAVPRSRQPPGRPMDDENLVFETSPGVEAITSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVIPIINGRDVIAQAQSGTGKSSMIALSVCQMVDTSMREVQALILSPTRELAAQTEKVILAIGEYINVQAHACIGGKSIGEDIRKLEYGVHVVSGTPGRVCDMIKRRTLRTRAIKILILDEADEMLSRGFKDQIYDVYRYLPPELQVALISATLPHEILEITNKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKERDAIMAEFRSGATRVLITTDVWARGIDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >Ma05_p27570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38810681:38816489:1 gene:Ma05_g27570 transcript:Ma05_t27570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKVKNEARSGHVDSPAKENHKEKHKKEKRHKDKKEGEKRKDKDQSKDRHREKKDRKEKHKDKKKEKNKDNGGNRISEDGNEKQTQFDNKDRLEVSWKTEEVKPCKFADELDYWIKNEEKVATTRMLGDFGSSIQRSSDVSGAESAMVMERVASNKNIAGSMDSSSRRINGMERQTDNFTSSIRSHSEIFGFANAVQKEKNLKNKLISNVSHLGQRGNDGRLQAVDNSRVPIQQRSDGPHTSTAVEKENCKHNKVVAIPSSKVQRLFNGMNRSKENLSLLAHGKIDGICLVKTIENRGEIKNVVTSHIFIEQREEDGIGRSMKDADKRIKGNEKNKDREAYGGKEKRHKDKDRDKKKKKKKKKDKNKLDDKEKEQARNGEKGGQTHKERDEIKNNIGKKDQIDSPNLEPVASQRDNKKSDNTRENRKRKELEMNGFSHENDSQPNKFQRTTPSPHLVENGRTVDLSDVACSFIKPEAINNIEVRKLPLDIKEHTVNGTRVAHSSPDGSRHPAVVVNAKVQVSVKAPHPDSKYLSEIYSVPKIYDVPEYDDQEWLLGSCHFQSTQKSKLSADETPQVWAEAVRLGSEDVLALPYVIPF >Ma05_p27570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38810681:38816489:1 gene:Ma05_g27570 transcript:Ma05_t27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKVKNEARSGHVDSPAKENHKEKHKKEKRHKDKKEGEKRKDKDQSKDRHREKKDRKEKHKDKKKEKNKDNGGNRISEDGNEKQTQFDNKDRLEVSWKTEEVKPCKFADELDYWIKNEEKVATTRMLGDFGSSIQRSSDVSGAESAMVMERVASNKNIAGSMDSSSRRINGMERQTDNFTSSIRSHSEIFGFANAVQKEKNLKNKLISNVSHLGQRGNDGRLQAVDNSRVPIQQRSDGPHTSTAVEKENCKHNKVVAIPSSKVQRLFNGMNRSKENLSLLAHGKIDGICLVKTIENRGEIKNVVTSHIFIEQREEDGIGRSMKDADKRIKGNEKNKDREAYGGKEKRHKDKDRDKKKKKKKKKDKNKLDDKEKEQARNGEKGGQTHKERDEIKNNIGKKDQIDSPNLEPVASQRDNKKSDNTRENRKRKELEMNGFSHENDSQPNKFQRTTPSPHLVENGRTVDLSDVACSFIKPEAINNIEVRKLPLDIKEHTVNGTRVAHSSPDGSRHPAVVVNAKVQVSVKAPHPDSKYLSEIYSVPKIYDVPEYDDQEWLLGSCHFQSTQKSKLSADETPQVWAEAVRLGSEDVLALPYVIPF >Ma05_p27570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38810681:38816489:1 gene:Ma05_g27570 transcript:Ma05_t27570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKVKNEARSGHVDSPAKENHKEKHKKEKRHKDKKEGEKRKDKDQSKDRHREKKDRKEKHKDKKKEKNKDNGGNRISEDGNEKQTQFDNKDRLEVSWKTEEVKPCKFADELDYWIKNEEKVATTRMLGDFGSSIQRSSDVSGAESAMVMERVASNKNIAGSMDSSSRRINGMERQTDNFTSSIRSHSEIFGFANAVQKEKNLKNKLISNVSHLGQRGNDGRLQAVDNSRVPIQQRSDGPHTSTAVEKENCKHNKVVAIPSSKVQRLFNGMNRSKENLSLLAHGKIDGICLVKTIENRGEIKNVVTSHIFIEQREEDGIGRSMKDADKRIKGNEKNKDREAYGGKEKRHKDKDRDKKKKKKKKKDKNKLDDKEKEQARNGEKGGQTHKERDEIKNNIGKKDQIDSPNLEPVASQRDNKKSDNTRENRKRKELEMNGFSHENDSQPNKFQRTTPSPHLVENGRTVDLSDVACSFIKPEAINNIEVRKLPLDIKEHTVNGTRVAHSSPDGSRHPAVVVNAKVQVSVKAPHPDSKYLSEIYSVPKIYDVPEYDDQEWLLGSCHFQSTQKSKLSADETPQVWAEAVRLGSEDVLALPYVIPF >Ma11_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9709797:9713840:1 gene:Ma11_g10300 transcript:Ma11_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSRPVVLVFLLVILIITSQFEWKQQLANEIEASPIISQKQQQISNREEIIKEKIILSQEKNIQRLNELVQSLQLQLLQCRGSNNTINDTGSSFTANGNEIENKHMLED >Ma10_p08530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22867528:22876952:1 gene:Ma10_g08530 transcript:Ma10_t08530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVESTSGVDLAATGSPLTGGGVAPDPRSPKGLASASDAAGLTVLRSHPDASDAFHAKPLRAQDPTRDGSSSASAAAPRPNGVAPGGEGFKREMRDLVDFLSKLNPMAEEFVPPSLAGMGNSYGSSGGGSGEFFANDSDMSDGMGNGGAVGIGGRRKNGYGQGKRRMNSRTSLAQRDEVIRRTVYVSDIDHQVTEEQLATLFINCGPVVDCRMCGDPKSVLRFAFIEFTDEEGARAALNLSGTVLGFYPVRVLPSKTAIAPVNPTFLPRSDDEREMCSRTVYCTNIDKKVAQSELKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVVLGSLPIRISPSKTPVRPRAPRPPMH >Ma10_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22867528:22876952:1 gene:Ma10_g08530 transcript:Ma10_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVESTSGVDLAATGSPLTGGGVAPDPRSPKGLASASDAAGLTVLRSHPDASDAFHAKPLRAQDPTRDGSSSASAAAPRPNGVAPGGEGFKREMRDLVDFLSKLNPMAEEFVPPSLAGMGNSYGSSGGGSGEFFANDSDMSDGMGNGGAVGIGGRRKKNGYGQGKRRMNSRTSLAQRDEVIRRTVYVSDIDHQVTEEQLATLFINCGPVVDCRMCGDPKSVLRFAFIEFTDEEGARAALNLSGTVLGFYPVRVLPSKTAIAPVNPTFLPRSDDEREMCSRTVYCTNIDKKVAQSELKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVVLGSLPIRISPSKTPVRPRAPRPPMH >Ma09_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6219823:6220985:-1 gene:Ma09_g09450 transcript:Ma09_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVAGGIAAKSFPRLYTSKPYRRSSIAPYPSFVILPPPLSRARMASSDAVNGGSPGVQEPSPKVPKLSQNGGLHGEIRLPLIRVKKLSQNAILPSRASPLSAGYDLSSAAGVLVPARGKALVPTDLSIAIPDDTYARIAPRSGLAWKHSIDVGAGVIDADYRGPIGVILFNHSDVDFEVKPGDRIAQVIIERIMTPEVVEVLDLDSTVRGDGGFGSTGV >Ma00_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8359406:8359555:-1 gene:Ma00_g01490 transcript:Ma00_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHQFKFWIVELREILREIKNSHYFLDSWIKYIFFHQERFMKLFDPRI >Ma10_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21352823:21353101:1 gene:Ma10_g06990 transcript:Ma10_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTGKLKNSLTAGFEKLIKSLSQYFVLYQGEDKEVGMEIGFLTDVEHAAPIGREGFDSPEFLSFSLKQFELAMVAHVGASPTHGPHEVLLT >Ma09_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29643151:29643948:1 gene:Ma09_g20590 transcript:Ma09_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHRVHPVDVESPPPSAPEAPPSLLQPEKAGATAPAAHSRPPGKRRSRCCTCLCWSLLAIVILIVVIAVAAGVLYLVFRPKIPKYSVDRLAVSSFTVDDNATVAVTFNVTVTARNPNRRIGIYYQGGSDLSAWYTDERLCTGSFPAFYQGHRNTTVLHVLLTGEAKIGSELLTELQQQQQTGTIPLAVRGVVPVRVKLGKLKLWKVRFKVRCSLVVNSLSASGDVSIRSSSCKFKLKL >Ma11_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14840236:14840328:-1 gene:Ma11_g11770 transcript:Ma11_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLSRFFPSVSRQQMANSSTNQYCKFDS >Ma01_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5309852:5317668:1 gene:Ma01_g07350 transcript:Ma01_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6A [Source:Projected from Arabidopsis thaliana (AT5G46250) UniProtKB/Swiss-Prot;Acc:Q94A38] METQERPPSSPPPHPPPSSRPPLPFPDVVPPLADASAGGVTQGAMEDPVVDPSAVDLGCDPQEGTGDSEIDPLLEVDAFPDAPEDLILEEAEAAPSGPAVLSDELRDQIVRQVEYYFSDENLPTDKFLLKFIKKDKKGYVPIAVIASFRRMKKLVQDLSLIEAALRTSSQLVVSEDGKKVRRLNPLPVVATTEAKSRTILVENLPDDRSEENMQRIFGKLGKIVKITLHDPRSVDKSASNWKSGATISSKVHALVEYETVDAAASAVSSLNDEKNWRSGMRVELLLKRMGKYGLVPKGRKATSVEKSNSAQVDEPTADREKSSLDNHEELPTTEEGEQGKGVRRNRYKSRGRGQVRQSDNGHGHGRNPPASAVDFPHKPVQGPRMPDGTRGFSFGRGKPAISDHKPDQHELF >Ma05_p27170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38571781:38574072:-1 gene:Ma05_g27170 transcript:Ma05_t27170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANSSAGDGLKLPEIKFTKLFINGCFVDAVSGKTFESLDPRNGEVIANIAAGDKEDVDLAVKAAREAFDHGKWPRMSGAERGKIMMKYADLIEQHAEELAALECLDAGKLFFLNKMNDVPVASNLVRYYAGAADKIHGETLKLSGEFQGYTLREPIGVVGHIIPWNFPTIMFFFKVAPALAAGCTMLVKPAEQTPLTALYCAHLAKQAGFPDGVLNVVTGFGATAGAAISSHMDVDKVSFTGSTEVGRLVMEAAARSNLKSVSLELGGKSPVIICDDADIDMAVELARTAIFYNKGEICVAGSRVYVQEGIYDEFVRKIAESCKSWIVGDPFSPHVHQGPQVDKKQFDKVLRYIDHGKREGATILTGGKACGEKGFYIEPTIFTDVKVDMLIAQDEIFGPVMSLMKFKTIEEAIERANDTRYGLAAGIVTKDINIANRLSRSIRAGIIWINCYLVFDNDCPFGGYKMSGFGRDNGMHALEKYLQVKSVVIPIYGSPWR >Ma05_p27170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38571781:38574072:-1 gene:Ma05_g27170 transcript:Ma05_t27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANSSAGDGLKLPEIKFTKLFINGCFVDAVSGKTFESLDPRNGEVIANIAAGDKEDVDLAVKAAREAFDHGKWPRMSGAERGKIMMKYADLIEQHAEELAALECLDAGKLFFLNKMNDVPVASNLVRYYAGAADKIHGETLKLSGEFQGYTLREPIGVVGHIIPWNFPTIMFFFKVAPALAAGCTMLVKPAEQTPLTALYCAHLAKQAGFPDGVLNVVTGFGATAGAAISSHMDVDKVSFTGSTEVGRLVMEAAARSNLKSVSLELGGKSPVIICDDADIDMAVELARTAIFYNKGEICVAGSRVYVQEGIYDEFVRKIAESCKSWIVGDPFSPHVHQGPQVDKKQFDKVLRYIDHGKREGATILTGGKACGEKGFYIEPTIFTDVKESMLIAQDEIFGPVMSLMKFKTIEEAIERANDTRYGLAAGIVTKDINIANRLSRSIRAGIIWINCYLVFDNDCPFGGYKMSGFGRDNGMHALEKYLQVKSVVIPIYGSPWR >Ma02_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18285605:18285927:-1 gene:Ma02_g07720 transcript:Ma02_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWSRSASWPRHSCWLLRVWRVLMKHGVKRRDLWSSTILSVYLVS >Ma02_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27339800:27342508:1 gene:Ma02_g21790 transcript:Ma02_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWREAECKRVHDPFFYDHRKDSSGNSCDVLMKSLVIAAKAEKCVWIPGSIVIGGGPSGLAVAACLKAKGIPSVVLERSNCIASLWQLKTYDRLHLHLPKHFCELPLMPFPSSFPTYPTKQQFVAYLEAYAREFDIRPRFSETVTSAEYDGALGFWRVKAVAMGGKEDKREYLCRWLVVATGENAEAVWPDFDGAEDFKGPILHTSLYKSGDAFRDKRVLVVGCGNSGMEVCLDLCNNTAHPSIVVRDSVHILPREMLGRSTFGLSMWLLKWLPVRMVDRLLLLLARLMLGDTARFGLPRPQVGPLELKSLSGKTPVLDVGTLARIKSGDIKVRPGVKKLLEHGAEFVDGGADRFDAVILATGYKSNVPLWLKEKELFSENDGLPRRPFPDGWKGERGLYAVGFTKRGLLGASLDARRIAQDIEQCWKAELKKIMIFACP >Ma02_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2549245:2575874:-1 gene:Ma02_g00270 transcript:Ma02_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIRWYDSACLAIVVGAIWASLCMIRRSEGGGRRGVNDRSEYESLLIVVVADGEAELPERRHVSYEQLWMSCWRVVHPAFLLVLRLVSMATMAAVQSWDIATYDSSILVYYTEWTFALVIIYFAIGTVISAHGCWLYSKRYITPDEEADRLFNADGHQNRPVTLPLRTNNNMSAVRLQSYHEQEADDEGAGFWVYCMQLVYQTSAGAVVLTDVIFWVLIVPFLSTAHFELNAIMGCMHTLNAVFLLVDTFLNNLKFPWFRMAYFVLWSCIYAIVQWILHACGFTWWPYPFLELDSPWAPLWYLCMALIHFPCYGLYWLIVRAKHSFFPKFFPNAYVRTD >Ma11_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27685926:27687586:-1 gene:Ma11_g24900 transcript:Ma11_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPKLPFIGNFHQLGSHPHRSLHTLSQKHGPLMLLRLGQVPTLVVSSPDGARDVMRNHDQVFASRPVLKPAKVLFDGCKDLGFAPYGEHWRQLRKICAFHLLSSTRVQSYRLIRQEEVGFMIRKISSQASPTTSVDMSEIFYSFANDIICRVVSGKFKREEGRNVLFRELILEFSVLLSKFYVGDYFPWLGWLDVLFGSMERAKKTKKRWDDLLDGVIHEHEDRSAAEGDDGEKDFVDVLLSLRKDPGGNHALLTPQTIKALLMDIFSGGTETSYVTLECAMAELVRSPRVMAKLQHQVRGIASRTKGTVKEEDLDEMAYLKAIIRKYY >Ma08_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34109835:34110636:-1 gene:Ma08_g20240 transcript:Ma08_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIKVGLWGGNGGSEWDMGAAYRITNIKIRAGDNIDAIVITFTRYGLTETKHFGGSGGTPYEIPLQEDEYLVGVEGSVDTLGRITLVRNLTLRTNKKSYGPFGTSGGKPFSVPVASGKIIGFFGRAGTMIDAIGVYLAPN >Ma07_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9763011:9766417:-1 gene:Ma07_g12980 transcript:Ma07_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHPALPYRGSSLLWPPFPANKPNSIHLLPSSCGFVDATSPILHLRRSSICAPPTKPSRLSYFSRRTARASPPPPPPSEDPNTIAGIQATLSRIQDRLRIFFAVLFWMSLFFWGSAWDGRNDARKKKGPWFRK >Ma02_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17940317:17947745:-1 gene:Ma02_g07150 transcript:Ma02_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFFRDMSYLVLILLILARFSRGLVSVSISLPTVLEVCGYDQVGYLGSSDEYQLSINGRWIEDPFWICEALESYFKNGCFACGSSVESWRMIGEKHCNQDFTALIHVDCNTSPADSFKLGGRKTLLQLSENVSTLIQAGKKGLSEEEKQEYTFSSEPQNIPFAVPGMLLLCCGVLCPCFHAKRKEESEHSVLDRQQNSMDITSSIELSTASEKIPATPRVPPSPSRFSLSPQLCRIGSVHLSIDQIMRATQNFSPSLKIGEGGFGTVYKASLPDGQVVSIKRAKKERISYLKDEFSNEVELLAKIEHRSLVRLLGYTDKGNERIIITEYVPNGTLREHLDGLYGKILDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTDQTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLIEIISGRRPIDLKRNVDERISVRWAFRKHSEGNVRDILDPLLEEKIDDEIVSKMLDLAFECAAPTRADRPAMKEVGEQLWEIRKEYGKSLRRMEEALLQ >Ma03_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6532567:6533672:1 gene:Ma03_g08870 transcript:Ma03_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATTRKHFVLVHGIGHGAWCWYKLVVLLRLAGHRVTTLDLAASGVHPQRLDELESFADYSRPLMELMAAVPPHERVVLVGHSYGGVSLGLAMEKFPEKILVAVFATALMPSPGNSVASITEEFSKGHPLEAYMDSTVEISDDQRGFSLAFGRNYLSTRLYQLTPPEDIELATVLLRPGSFFLDDLSNNMVLTEANYGSVRRAFIVCKQDKAMVEDYQRWMIKRCPGAEVKEIDGADHMVMLSKPRELCNLLLEIADEYK >Ma09_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1342437:1352742:-1 gene:Ma09_g01800 transcript:Ma09_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTGSSGRHRAVAAGSGGGRGKRRSVDVGTGGSIRSLGTDLLCIIFALLDHFDLVRCSVVCKSWNNLIYTSSLMRDLYNKRNPHLKCASIKSDMLETSMKIYLEEIAMEEHKLSFLRGSVKVDQWSGHHARVNVCRMKRGLILTGVGDKVLRLWSAESCNYLDEYVSPEMNQLVDYDFDENKIVGLTSDRICIWRRHGQRGIFQSCKGIFTRGLCMRYIDPEAVIGCEDGRVRVFDMYSGRCSRIIRMHSGPVTCLTLTDDQLVIGGSTFGNIAIADLSSGKRMGSVKSCFSPTGLKCFSFNMHSYSVFAGSTSGYAHCWDLRTLRPVWETRVSPNVIYTVHHLSNDTSMLAVGGIDGVLRILNQSTGEILSSLVIDAANGVPLSSKNSHEVVQKKVRRLQDTTCLDIIPRYLRPPITCLAVGMNKIVTTHNEKFIRAWRFHMNN >Ma03_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18517373:18522726:1 gene:Ma03_g16270 transcript:Ma03_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKTSRGGGATASPCARLRDAYHDCFNRWYSEKFSKGQWDKEECVAEWDKYRACLAQHLEDKHLRRILLETEASPYFVKNDASSPADRDGTTHQ >Ma03_p32910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34510515:34512425:-1 gene:Ma03_g32910 transcript:Ma03_t32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQYFHGGFYRDGNPQCAPERKAGGGGEQFSVEDLLDFSNEEEDEAGGLAATGEDGAAGNSTDSSTVTAVDSCGNSFSALEPHFSSDLVCRSLADASLSGDLCEPQYDELAELEWLSNFVEESFSSEDLHKLHLISGVNSTTTSSRTTAAAATRAEFSAQEAANQVAPFRAEALVPGKARSKRSRAAPCSWSSRLLVLSPSLTAAPSPESELIVPPSAAAAGAGGKKAAKPASKKKDMSAGPAVAAAPDGRKCLHCQTDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKELQQQHLHAGGGASLYNGPTPVDAAAADDFLIHGPDFRHLI >Ma03_p32910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34510515:34512425:-1 gene:Ma03_g32910 transcript:Ma03_t32910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQYFHGGFYRDGNPQCAPERKAGGGGEQFSVEDLLDFSNEEEDEAGGLAATGEDGAAGNSTDSSTVTAVDSCGNSFSALEPHFSSDLVCRSLADASLSGDLCEPYDELAELEWLSNFVEESFSSEDLHKLHLISGVNSTTTSSRTTAAAATRAEFSAQEAANQVAPFRAEALVPGKARSKRSRAAPCSWSSRLLVLSPSLTAAPSPESELIVPPSAAAAGAGGKKAAKPASKKKDMSAGPAVAAAPDGRKCLHCQTDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKELQQQHLHAGGGASLYNGPTPVDAAAADDFLIHGPDFRHLI >Ma05_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8623816:8624858:1 gene:Ma05_g11790 transcript:Ma05_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLILVLVFLFDVIAFGLAVAAEQRRSTGKVVADSEDTYNYCVYDSDIATGYGVGALLFLLLGQAILMVVSKCFCFGRSLGPGGPRACALLLFLLSWLTFIIAEACLLAGSVRNAHHTRYRNMFFDSNLSCETVRKGVFGAGAAFVFFTAILSELYYVFYAKAARDSGTAPLGASVGMSSYH >Ma06_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11168803:11172369:-1 gene:Ma06_g16500 transcript:Ma06_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFTGTQQKCKACDKTVYLMDQLTADGVVFHKSCFKCNHCKGTLTLSTYSSMEGVLYCKPHFEQLFKESGNFNKNFQSPAKSAEKAPELTRSPSKAASMFSGTQEKCATCAKTAYPLEKVTVEGQAYHKSCFKCSHGGCSITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRSAATAPDL >Ma01_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14206449:14207335:1 gene:Ma01_g18840 transcript:Ma01_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDVMMGDSSRAIQNRPPVKEIAFAVSLLVFGSLAIAIGSVMAANRVGGDRAHGVFFAILGSVLFLPGFYYTRIAYYAYKGYKGFYFDNIPSV >Ma03_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6985490:6994539:-1 gene:Ma03_g09460 transcript:Ma03_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIGVDALLDYAIFQMSSTQNSYEALICNDGKIEKLACGPLDQLTLHLPQVKGFQSNSSGNSFKLDLSKSFKGSSWFTKFTLARFLHIVNYPDAIRSANAILNEMSQLEDTRRFHLTHYSKDHPGHSGGITSGGHLKNVGSTQNIKVETGSSDVTKNELLRAMELRLTVLKEELAASFNRAAGATLSVKQISDLAAFSQHFEVRDLRDPLSKYLSLIQEDQVVELSVEKMISDDTRKDSEVVAEEIHPSSPESGKAKPLHVGISPAKIAQAERQSSIEGDSSESSDEDQTITERSRPIMRSVTPRRSASPMRRIQIGRSGSRRSTALTIKSLSYYPARERITLNRDVDDNNSGDEEAHQPVKKPENTVRRMSVQEAISLFESKQKDQNLDVQKRRASGEVSLSTNKVVLRRWSSGMSDSLTCSQENASEDVSPNNHADLVPEAGDNIATDVMVESNISPGNLNATASETAQITESSETEIMISPSKDSPAELVTSQAEEVDDKATMSAEWSRQKEEELNQMLMKMMESRTGKYRGTKSGSSGSLSTSNEQRGGFYSQYKEKRDEKLRAGNVKKHSSMEAQLKVLQETLKPSKAEAASKSGVAIKKLDSPSNSQRPRRNSSPPVLHKNEVSKTAAPRKASPKSSPVPTTRGSWSSGPLQKASGNQPAKSSPRVSSANNTLSRRKFQSTSPTSPSPKTERPLRQPKGKPEAKTDAKPTIKSQGEKKPKTTTNTNKSVKTKAQSASGDDSGSATAKPSFYNKVTKKSSVVPLEAKPFLKKGTGIGSGVGPVINKTRVTQSDNSSKKSDSTSQAEEKEPTPETIETTAKVLEVDLAQQANDVDANLVTSLDNDLNLEKTENMDQSLAEVDDGLKNSVELPVAEIQPDEDISISSAAWVEVEHQEVSAAYETGLSKVSVSTALEPPLLSSPRVRHSLSQMLQADNNEPDIIEWGNAENPPALIYHKDAPKGLKRLLKFARKSKGEANVTGWASPSVFSEGEDDTEDSKAANKNLDQSRRTALQTRKSMLGEGLHDGSSSKRTMEYHGVHNALAGSEKFQKGQVSSTTTSTKAARSFFSLSTFRSSKSNETKPR >Ma07_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7560801:7561727:1 gene:Ma07_g10110 transcript:Ma07_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEDPRTHPLPPYPEMILTAVSAFGEDNGTSESAISEYIETSHSGQLPPSHPSLLAAHLARMTETGELILVDGGYLRSCPDTAPPPIKRGRGRPPKAKIPVPADAAPRRRGRPPKPADPLAPAKIPRPRGRPRKNAPSDAAPRQAGLAKRPRGRPPKVRTQFREVGFV >Ma11_p02360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1702410:1709466:1 gene:Ma11_g02360 transcript:Ma11_t02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFYPGHANATQVGTYFVCQYYQILQQQPDSVHQFYTNLSSMVRSDGAATESAQGMLQIHNLVICLNFNGIEIKTAHFLESWNGGVLVMVSGYVQLKEYSIRRKFVQTFFLAPQEKGYFVLNDIFHFLEEEHVHQLPESVLDHGDFETKQDATNSLPEPEENDSSDNNTIDEVPQEFPVCDEREDETPPEERTMTHPNAINNLRDLSPTAEEPVAEAGRQTYASILQAKGQAGPHPTSLTKNSLVAPDRHHKPPPTTQQLRSALVTEKSSSMSLDEALLVEDEGFYLYQREERSVYVGNLPSSISTSDLEQEFKNFGRLKPDGVSIRSRKEAGVFYAFIEYEDATGVQNALKASPIQLNGRLIHVEERRPNGGASRGRRSRGRGGYQSEVPRGRFGGRSFGRVVAQEIGDKDYNSRLRGNGNLQRGPRQQRGILGSQAPRNGHNPAEALF >Ma11_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1702410:1709466:1 gene:Ma11_g02360 transcript:Ma11_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFYPGHANATQVGTYFVCQYYQILQQQPDSVHQFYTNLSSMVRSDGAATESAQGMLQIHNLVICLNFNGIEIKTAHFLESWNGGVLVMVSGYVQLKEYSIRRKFVQTFFLAPQEKGYFVLNDIFHFLEEEHVHQLPESVLDHGDFETKQDATNSLPEPEENDSSDNNTIDEVPQEFPVCDEREDETPPEERTMTHPNAINNLRDLSPTAEEPVAEAGRQTYASILQAKGQAGPHPTSLTKNSLVAPDRHHKPPPTTQQLRSALVTEKSSSMSLDEALLVEDEGEERSVYVGNLPSSISTSDLEQEFKNFGRLKPDGVSIRSRKEAGVFYAFIEYEDATGVQNALKASPIQLNGRLIHVEERRPNGGASRGRRSRGRGGYQSEVPRGRFGGRSFGRVVAQEIGDKDYNSRLRGNGNLQRGPRQQRGILGSQAPRNGHNPAEALF >Ma03_p26590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30254035:30254857:1 gene:Ma03_g26590 transcript:Ma03_t26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFMDLTAEHKEVFSLFDKDGDGHITLEELRTIIRSLGREPTLQELQDMIREVDVDGNGTIEFDEFLNVMAMRTKEATEAEAEEELREAFKVFDIDNNGYISPSELMSVMTNLGEEVTKEIAAEMIMEVDADGDGQVDFKEFSTLMMAIGTTPTNSFSSLYIN >Ma03_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26575347:26579357:-1 gene:Ma03_g21610 transcript:Ma03_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMPGEAGSVWRASSSCFFQLLLCSVLLSMDARAIDLQGEALLSWKRSLNGDSSNDDVLADWNPNDASPCRWYGITCDASGRVVELTLQYVDLLGGVPANLSALASSLSKLVLSGTNLSGPIPPQLGELPRLVHLDLSDNALTGSIPDGLCRPGSRLERLYLNSNRLEGPIPASIGNLSLLRWLVVYDNQLEGEIPPTIGQLARLEVFRAGGNKNLRGALPPEIGNCTSMVIIGLAETGISGPLPPSMGALRNLQTLAIYTALLSGPIPPELGQCAELQNMYLYENSLSGSIPPQLGQLKKLRNLLLWQNNLVGVIPPELGDCGELQVVDLSMNGLTGRIPATLGNITDLRELQLSVNQISGPILPEIARCRNLSDLELDNNLISGGIPAEIGLLVKLRTLYLWANRLTGGIPPEMGGCENLEAVDLSQNNLTGSIPKGIFRLRSLSKLLLLDNDLSGPIPPEVGNCSSLVRFRANGNGITGAIPPEIGLLKNLSFLDLSSNRLAGAIPGAMAGCRNLTFVDLHDNNIGGSLPDGLFEGLVSLQYIDLSDNSIGGDLPPAIGLLTSLTKLTLARNQLSGQIPPAVGSCLRLQLLDLSNNKLSGEIPATIGKIMALEIAVNLSYNDLSGQIPAEFAALIRLGVLDLSHNRLSGDLQPLAALENLVALNVSFNNFSGRVPDSVFFSKLPIGDLEGNPALCLARCSGFDDVSDRINARRAGRVATAVLLSAAVVLFATAAIALVSRRRAHREDGCDEEEKDGDLSPPWEVTLYQKMEIGVVDVARRLTASNVIGRGWSGVVYRVRIPATGSLIAVKKFRTGDEAAAAAFACEIGALARVRHRKIVRLLGWAVNRRSRLLFYDYLPSGTLGGLLHGGGTVAAVEWEVRLGIAVGVAEGLAYLHHDCVPAIIHGDVKTENVLLGERYEACLADFGLARVVDDGGADRRDSHTPAFAGSYGYIAPEHGCMTRITTKSDVYSFGVVLLETITGRRPADPAFGEGQSVVQWVQDHLRRKRDPAEVVDPRLQGRADPQVQEMLQALGIALLCTSTRTDDRPTMKDVAALLRGIHGHDDPSNPAEARKPGSVSVGDREVRKRDEPAEAAIRTPSQCSLAFSSSSSRSIDNCLQ >Ma03_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1425769:1427558:1 gene:Ma03_g02090 transcript:Ma03_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFLRKYDKECMKMMLLKHEETFRQQVQELHRLYRVQKLLMTDVKIKQSFVDCTQYHVGGGKDAADSCVPSSSHRDRRRRRRRVLNLELPADEYIERAEEDAMLEVEQEGDIELTLATGSSSWRKREETSLTCDSVASVSSSSTESGGLKLSGHGWELQQVGDVNISYELGGGLREDRLQQPSWHLQCLSLRMT >Ma08_p14790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14730043:14734640:-1 gene:Ma08_g14790 transcript:Ma08_t14790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKAEFSFANGGVPAVVSPRGRLPPIQTSRSISFKKTKDGEDRDGNDGICHDDSTPPVKAQTIDELHSLQKKRSAPTTPVKDGQGAPFATISDEERQRLQLQSISASLASLTRETGPKLVKGDPARKADTPKISTVHHHYFTPTISVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRAPRDKRVVFDETTAEELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPVHQIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLARKEMVILGTQYAGEMKKGLFSLMHYLMPKRQILSLHSGCNMGKDGNVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSKEKEPDIWNAIKFGTVLENVVLEEHTREVDYSDKSVTENTRAAYPIEFIPNAKIPCVGPHPRNVILLACDAFGVLPPVSKLSLSQTMYHFISGYTALVAGTEEGVKEPQATFSACFGAAFIMLHPTRYAAMLAEKMQRYGATGWLVNTGWSGGRYGVGSRIKLAYTRKIIDAIHSGSLLTANYKKTEVFGLDIPTEIEGVPSEILDPMNTWQDKAAYRETLLKLAGLFRKNFEVFANYKIGKDGNLTEEILAAGPNF >Ma08_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14730043:14733553:-1 gene:Ma08_g14790 transcript:Ma08_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVCNARSFSHNSSFPPFFFVFYPIHYFFILKSEEVHAFVNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRAPRDKRVVFDETTAEELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPVHQIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLARKEMVILGTQYAGEMKKGLFSLMHYLMPKRQILSLHSGCNMGKDGNVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSKEKEPDIWNAIKFGTVLENVVLEEHTREVDYSDKSVTENTRAAYPIEFIPNAKIPCVGPHPRNVILLACDAFGVLPPVSKLSLSQTMYHFISGYTALVAGTEEGVKEPQATFSACFGAAFIMLHPTRYAAMLAEKMQRYGATGWLVNTGWSGGRYGVGSRIKLAYTRKIIDAIHSGSLLTANYKKTEVFGLDIPTEIEGVPSEILDPMNTWQDKAAYRETLLKLAGLFRKNFEVFANYKIGKDGNLTEEILAAGPNF >Ma09_p27350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38352991:38353823:-1 gene:Ma09_g27350 transcript:Ma09_t27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLKAASNLLRTAICLGNMVKGQITRGASAVYCQWGSAWICSVRSIPDTVPGIILQSCLDEKSLFCFLWICPATCLEGQGFESNC >Ma05_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1279483:1284909:1 gene:Ma05_g02030 transcript:Ma05_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPDFAQKLLDDIRRRKEKLGYVASSSGQQPAQPPASEYHVSSRRSSRGTGDIMKKKKNYSLQSSKNPVGAQVTEIWFSTKHNKTAAPQVASREIVPVGRATSSQNSVDVSMALALALSNSGKLHYIAKFGNELIPHSGSIYYAGTANQHLLYSTKHHADRYPFLSNLQVGEISKGVQKLNKILETFSDRTNFGKDSIQIGRELLKGAIDLEESLKMLATLQEASDYMVGSQGRQIKLLEGVEDDETSDVKDNRKALMYKPRISFDGSINHFYKFTKANDDTRIQGQRKTPSSSMKKSIAKTNYSQSSLDASTRVATHKQSLSCGPGSLPNSLYSGITHKQGHGGEPGNFSTGSGKFSASKNTKQLDPKSLVSSSVRMPNIVAKLMGLEELPMPKAEGKKVEGKKEPKSKKEMVIGQTSIADEKVRKENKILNENGVDETKDKSSKEKTNYIRNMTPLSNHAPKRHIEINRKIENIDINHSLKEGIAKKNLVQLREKAEKEEERRNISESVRQLISKSNQEGDLQRTKKKQPSISHDETAGNKDTCMNQKDNGQDCLALPSNQTNTRGSLQKATVKVKPKYEVCEIAKEKEHATNIKLKATAATKSEQKSRKSTEKISMHKMLSSGTVVAIERNSEKDRNVEQPKGHAKSSHQEMQNTMERTSAYVELDRTSSHKNSEDTKLLQTYSRTDKELPQILVKTLMKPVNFPTAKKVDTANMKVQKGERHKVLGDSSGYNRTQNEKRQQSSFLHDLEKRWKERISKEKGTKVSFHETNSEQQLEQKTKSTLVSDNSSVDAGEDNEVLEEETVAETNDDDIIKSALEALPEQEASISVDSEPQPYNNREKLTEDVNNDRQLSNCNTLNQMSQAISEVNGQGSLTKDEHFLMQLLINNQHFRNTAQEIFKIDIPVGVLQTSNQACPKEENKLLLDCGYELLRRKGKREVTCAMTRPHATGEARYLDALVKELNDDLESLKFPKETTYNDDIAEFLHMMLERDIENSKPDINCLWDIGWNSSIFASVEKDEIVRDMEKHVLNGLINELARELVDATINVS >Ma04_p14190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10739997:10751606:1 gene:Ma04_g14190 transcript:Ma04_t14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDESMHSGADVEALSAELNRDIGGEPAAIVQPPESDAGQVIGQWQTSGEIENGREIQQKEHRQHLGSSEQHSTGGGLIQPPYVAKPQDEQEQVKKLEQSNILESNTAEGPKQEVTQQSENLHQQNIAQQSNKQIPTTNLASISSNHSEGHQQHVVQQSNTQQIPPSNQANLVMRKTKAASSIPFQMLIPILQPHLDKDRSMQLQAIFTKLRNNEVSKEDFLRATRNIVGDQMLRQAAQKIQMQAPASSQQISSSGAQQITGPQSFPASHSMPQSQNLKANGSPPRQPYVPSTTFQVHPGTSFTSPRNNTKKSQEVETGSDGKGPHSVQNFTNNTNMANPERDVSIVSLQSVNKQQQATHIPQSSFSISGSTSGYHTHAYPRPSVSSSTSPRPSNVDSHTRQVSRTQGVVSTQIRPTQSTNIMNVPKYDQNAANESKRQQAGPSTSHFASQHNPLARQLDANKEQNDSGFKSMAYVKQEVVDQSSEPPNKSHIVPSGVTSLRTAHVNQKNSALGSSSMMGTTQVSGPVPIQTDQSVQVSSATLPLSGATMKTPSKKPTVGQKKPLEALGSSPPMSSKRQKTSGTSIDQSIEQLNDVTAVSGVDLREEEEQLLSGLKEENQTSEATRRVVQEEEERLLLQQASLRKKLSDIMFKCSLKNIGGDVERCLSMCVEERLKGLICYLIRLSKQRVDLEKSRHRFVITSDVRHQILLMNQKAKEEWDKKQAEECDKLRKVNEMDGSAGVDAEKDKEEGRSKTLKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKRDGVDGASGSQQGKSASSKSLLSSGRGSKEKQEFEKKGSSAFCTSGSMRRFGRNSPQASHPKVARKISVKDVIAALETEPQMSKSSLIYRLYERLSGDSPVE >Ma04_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10740379:10751606:1 gene:Ma04_g14190 transcript:Ma04_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWSNSASGQVIGQWQTSGEIENGREIQQKEHRQHLGSSEQHSTGGGLIQPPYVAKPQDEQEQVKKLEQSNILESNTAEGPKQEVTQQSENLHQQNIAQQSNKQIPTTNLASISSNHSEGHQQHVVQQSNTQQIPPSNQANLVMRKTKAASSIPFQMLIPILQPHLDKDRSMQLQAIFTKLRNNEVSKEDFLRATRNIVGDQMLRQAAQKIQMQAPASSQQISSSGAQQITGPQSFPASHSMPQSQNLKANGSPPRQPYVPSTTFQVHPGTSFTSPRNNTKKSQEVETGSDGKGPHSVQNFTNNTNMANPERDVSIVSLQSVNKQQQATHIPQSSFSISGSTSGYHTHAYPRPSVSSSTSPRPSNVDSHTRQVSRTQGVVSTQIRPTQSTNIMNVPKYDQNAANESKRQQAGPSTSHFASQHNPLARQLDANKEQNDSGFKSMAYVKQEVVDQSSEPPNKSHIVPSGVTSLRTAHVNQKNSALGSSSMMGTTQVSGPVPIQTDQSVQVSSATLPLSGATMKTPSKKPTVGQKKPLEALGSSPPMSSKRQKTSGTSIDQSIEQLNDVTAVSGVDLREEEEQLLSGLKEENQTSEATRRVVQEEEERLLLQQASLRKKLSDIMFKCSLKNIGGDVERCLSMCVEERLKGLICYLIRLSKQRVDLEKSRHRFVITSDVRHQILLMNQKAKEEWDKKQAEECDKLRKVNEMDGSAGVDAEKDKEEGRSKTLKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKRDGVDGASGSQQGKSASSKSLLSSGRGSKEKQEFEKKGSSAFCTSGSMRRFGRNSPQASHPKVARKISVKDVIAALETEPQMSKSSLIYRLYERLSGDSPVE >Ma04_p14190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10739997:10751606:1 gene:Ma04_g14190 transcript:Ma04_t14190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDESMHSGADVEALSAELNRDIGGEPAAIVQPPESDAGQVIGQWQTSGEIENGREIQQKEHRQHLGSSEQHSTGGGLIQPPYVAKPQDEQVKKLEQSNILESNTAEGPKQEVTQQSENLHQQNIAQQSNKQIPTTNLASISSNHSEGHQQHVVQQSNTQQIPPSNQANLVMRKTKAASSIPFQMLIPILQPHLDKDRSMQLQAIFTKLRNNEVSKEDFLRATRNIVGDQMLRQAAQKIQMQAPASSQQISSSGAQQITGPQSFPASHSMPQSQNLKANGSPPRQPYVPSTTFQVHPGTSFTSPRNNTKKSQEVETGSDGKGPHSVQNFTNNTNMANPERDVSIVSLQSVNKQQQATHIPQSSFSISGSTSGYHTHAYPRPSVSSSTSPRPSNVDSHTRQVSRTQGVVSTQIRPTQSTNIMNVPKYDQNAANESKRQQAGPSTSHFASQHNPLARQLDANKEQNDSGFKSMAYVKQEVVDQSSEPPNKSHIVPSGVTSLRTAHVNQKNSALGSSSMMGTTQVSGPVPIQTDQSVQVSSATLPLSGATMKTPSKKPTVGQKKPLEALGSSPPMSSKRQKTSGTSIDQSIEQLNDVTAVSGVDLREEEEQLLSGLKEENQTSEATRRVVQEEEERLLLQQASLRKKLSDIMFKCSLKNIGGDVERCLSMCVEERLKGLICYLIRLSKQRVDLEKSRHRFVITSDVRHQILLMNQKAKEEWDKKQAEECDKLRKVNEMDGSAGVDAEKDKEEGRSKTLKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKRDGVDGASGSQQGKSASSKSLLSSGRGSKEKQEFEKKGSSAFCTSGSMRRFGRNSPQASHPKVARKISVKDVIAALETEPQMSKSSLIYRLYERLSGDSPVE >Ma04_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23367486:23370236:-1 gene:Ma04_g20590 transcript:Ma04_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKLRRSSLSTTLLLLVFLSPFVVLVRAASVLGSQGRALLHWKSTLRSPQLLASWNVDSSPCNWTGVACNTARNGRLVISEIQLPNMSLAGPLDALNFSAFRSLANLNLSYNQLNGNIPPTISALSNLVSLDLTANRFTGRIPVEIGSMKALQFLNISENQISGPIPPSLSNLSRLTEMQLEINNISGVIPEELGRLRKLTYLALWTNQLSGSIPPSLGNLTNLNLLDLSQNQLTGFIPRELGNLINLVYFSLSNNSLTGPIPSSVGNQSKLEIVDLWMNELSGSMPPEIGKLHQLTTLALQINQLSGQIPPSLGILRNLIDLRLSYNHFSGSLPPEMNNITGLATFQLRNNNFSGYLPPAICKGGALWYLSLGNNSFQGPIPATLKNCTSLKNVRLHHNQLTGDVSEHLGVYPNLWYLDLSFNRLSGAISSDWGRWHNLESLRISNNNITGVVPSELGQLSKLEELDLSSNYFQGEIPRSLGNLAHLYNFNLSNNQLIGEIPAELGRMSNLELLDLSRNGLTGTIPYQIGNCMNLQLLKLNNNNLSGSIPFEIGNLVCLQYALDISHNSLTGDIPTQFGKLLMLQNLNLSHNGLTGNLPSTFRDMRSMFIIDVSYNELEGPVPDNKHFQEAPVEWFVHNKGLCGVVKGLPPCASSTANEHHGRKHNTVIFLSIVASMVVLLLLLAFVGIALPFQKTKKHTVQAVNNPIKQGTFSVLNFDGKVAYKDIIEATEDFDEKYLIGTGAYGSVYRAELSSGEVLAVKKIHLSEHENTVDEQPFQNEIETLTRIRHRNIVKLYGFCSSPHHKFLVYEYVERGSLGSVLRIEAAAAELDWVKRVNIVKDVARALSYMHHDCVPPIVHRDVTSNNILLDSEFKACVSDFGISRLLNPDTSNWSMLAGTHGYLAPGNILINIS >Ma06_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9298994:9303584:-1 gene:Ma06_g13560 transcript:Ma06_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVNVSEPVELYIPNPAERRQKEEIEGTSRRKKKKERRNEGEMEAQQGTQELHLALAHKLFLLSHPDIDDIEKVRLRDEVLDAVKTDDMSSLYETLAAASVLEMDATVLDSMRRRIDNELKKLDDKIADAEENLGESEVREAHLAKSLFYIQIGDKDKALEQLKTTEGKTVAVGQKMDLVFYTLQLGLFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAANLFLDSISTFTTYELLSYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYGCQYKSFFSAFSGLTEQIKLDRYLQPHFRFYMREVRTVVYSQFLESYKSVTMEAMATAFGVSVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Ma06_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8907487:8909197:1 gene:Ma06_g12920 transcript:Ma06_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCYVSGVLAVATFMAFLLHGSHAQLSPAFYDSTCPNVSDIVQTIVQLVQSSDPRMPASLLRLHFHDCFVDGCDGSVLLDNSTAIVSEKDAPPNSNSLRGFDVIDAIKMTVEYVCPGVVSCADILALAAEASVSLSGGPSWTVQLGRRDGTTANLTGANTNLPSPFEPLDILKAKFAAVGLNDTDLVTLSGAHTFGRARCGAFSDRLYNFNGTGIADPTLNTSYLTTLQANCPDGGNETTLNNLDLSTPDAFDNSYYLNLQSNEGLLASDQQLYSTSNDPIASIVGIYAGNQTAFFESFALSMINMGNISPLTGSDGEIRSNCRLVNAS >Ma03_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24245192:24250294:1 gene:Ma03_g18710 transcript:Ma03_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGYSSTGGSDRKIGVALDFSKSSKAALGWALGNLLRKGDTLILLHIIPTTGDEAKHLLWSQSGSPLIPLMEFRQPEVMKHYDLDVDSEVLDMLDTSSRQKEATIVTKLYWGDAREKLCHAAEDLNLDLLVMGSRGLSQIQRIMLGSVTNYVLTYAPCPVTVVKQ >Ma10_p28750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35522522:35524287:-1 gene:Ma10_g28750 transcript:Ma10_t28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHDVVENTNVVCPPNPLDPEEFRRQGHMIVDFIADYYHNVDKFPVLSQVSPGYLRECLPDSAPNHAEPIEAILQDVRNHIVPGITHWQSPNYFAYFPSSGSIAGFMGEMLSVGFNVVGFNWMSSPAATELETIVMDWLGKMLNLPKPFLFSGGGGGVLQGTTCEAILCTVTAARDKVLTKIGRDRIGDLVVYCSDQTHCALKKAAQIAGIHPDNIRALRTRRSDAFGLCPETLRSALADDVSEGLVPLYLCATVGTTSSTAVDPLRGLCEVAAEHDIWVHVDAAYAGSACICPEFRHFIDGVEGADSFSFNAHKWFFTTLDCCCLWVKEPQHLVNALSTNPEYLRNKATESKKVVDYKDWQIALSRRFRSLKLWMVLRSYGVANLRNFIRSHVNMAELFEELVTKDERFEVVVPRNFAMVCFRLLPPLGGLSARDCGLETANAITKRLLDSVNATGKVYVTHAVVGGVYLIRFAVGASLTEERHVRSAWMVVQEQAEALLAEFNVHPVMQEQTDAVLTAAVHG >Ma09_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7843687:7850689:-1 gene:Ma09_g11610 transcript:Ma09_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPKTFSLNIIAMNALFLLLIRVIASYAWEQSQQDSHHLNHCNPDLVDPSTFEPQHQRRARSKVQRAIVTYPSVT >Ma10_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21998253:22017655:-1 gene:Ma10_g07650 transcript:Ma10_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGMNKVMGIGGDALQEKYTAMQKLQSKEISEDAGSSEVLSVCQDDLSASFSSANNSSSNHGKYMEFLPTREKIDGKIAINKYSAPHEVDQELDRSSKFFVDHEMEGVNLTLEEGASTSKNTVEGNQTHLKNPPLHIVDRDGEKSMQTEDSDGQNCSAVTGQVGGHANANPSMNPVISAMPFQNIPTIGSMHHPFPALSAFTHFHSSQDAYRSSLNISSTFPSLIVSTLLQNPAVHAAASLAASFWPAAGLETSLQSAPEIFAGEVPEQQMHPTPSLEAIAAATVAAAAAWWACQGLLPWFPPLPGFAFSPPTTAAVPSVDIARVQEAHGGTKDKPLKEDQQLGNQNQIKAQKPQHHSSKSSSLSSSDSDQSGRCKNSNDLKATGSDKFKPLALSGLQDLEKAMNNKKQDRSSCGSNTPSGSEVETDAIEKNHEKTNDEAKEVYFSNFSACETNHRRLRSSGSMNESWKEVSEEGRLAFQALFKREVLPQSFSPPQTEAAATVMNKEETTKLPIDFNKNVQHPTDLNHLQDDAKEEMCMRSNDSIIQGKLKIRRTGFKPYKRCSVEARENRAAAVEEAGSKRIRLQGEALT >Ma10_p07650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21998253:22018982:-1 gene:Ma10_g07650 transcript:Ma10_t07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSSGEDVVVVKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALEKGIPPGQAHDIDIPPPRPKRKPSSPYPRKSTGGSLAPSGEAIYGKQVQSMSLLGMNKVMGIGGDALQEKYTAMQKLQSKEISEDAGSSEVLSVCQDDLSASFSSANNSSSNHGKYMEFLPTREKIDGKIAINKYSAPHEVDQELDRSSKFFVDHEMEGVNLTLEEGASTSKNTVEGNQTHLKNPPLHIVDRDGEKSMQTEDSDGQNCSAVTGQVGGHANANPSMNPVISAMPFQNIPTIGSMHHPFPALSAFTHFHSSQDAYRSSLNISSTFPSLIVSTLLQNPAVHAAASLAASFWPAAGLETSLQSAPEIFAGEVPEQQMHPTPSLEAIAAATVAAAAAWWACQGLLPWFPPLPGFAFSPPTTAAVPSVDIARVQEAHGGTKDKPLKEDQQLGNQNQIKAQKPQHHSSKSSSLSSSDSDQSGRCKNSNDLKATGSDKFKPLALSGLQDLEKAMNNKKQDRSSCGSNTPSGSEVETDAIEKNHEKTNDEAKEVYFSNFSACETNHRRLRSSGSMNESWKEVSEEGRLAFQALFKREVLPQSFSPPQTEAAATVMNKEETTKLPIDFNKNVQHPTDLNHLQDDAKEEMCMRSNDSIIQGKLKIRRTGFKPYKRCSVEARENRAAAVEEAGSKRIRLQGEALT >Ma04_p33170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32952800:32954634:1 gene:Ma04_g33170 transcript:Ma04_t33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGLLSRSDFLLSFVCFVFCYYFCQIFRRSNVPVNWPVAGMLPGLLLRCRRIYDWGACLVREVGCTFVFRGPWLLGMNYVLTCDPANLQHIFSAGFSNYPKGEEFSEIFDVLGDGIFNSDGELWKKQRMRAHGLISSRSFRSFVAGSSRRKVEQGLIPLLDGIARQGTVVDLQDVFLRLTFDNTCHLVFGVDPCCLSIEFPTIPFARALDDAMGALFLRHIVPPAWWKLMRWLGIGEEKKLAMAWKEMDRFIAERTAEKKKQRSLDKNRIHDGEEKVDLLSSYIDDHDDEEQHMSQQESSKFDKFLRDTTMNFMLAGRDTTGAGLTWFFWLLCNNPMVESKILEELKKVASLRNERSSSDDLVVFDAEELSKLVYLHAALCESLRLFPPVPFELKAALRHEVLPSGHGVGPGTKILVPLHAMARLEGIWGEDCLEFKPERWISEKGRVRHEPSYKFLSFNSGPRTCLGKEVAFTQMKAVVAAMVYNFHVEVLQGHVVEPKLSIILHMKNGLRVRIKRRSHEGVV >Ma08_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5788122:5795648:-1 gene:Ma08_g08310 transcript:Ma08_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKQLKRGISRQLSGGSVRRSGRFSFKRNLSFDPRLSNVSRFSFGRQSSLDPNRGTRSPAREELTVPENLDSTMQLLFLACQGDAKGVEELMENGVDVNSIDLDGRTALHIAACEGHIGVVKLLLSWRANIDARDRWGSTAAADAKYYGNVEVYSILKARGAKVPKTRRTPMAVSNPQEVPEYELNPGELQFRRGEEVLKDTYQVAKWNGTKVSVKILDRESYSDPDRVNAFKYELNLLQRARHPNVIQFVGAVTQNIPMMIVSEYHPKGDLGSYLHKKGRLQPHKVIRYALEIARGMNYLHQCKPDPIIHCNLKPKNILLDCGGQLKVGGFGLVNLSKISPNKAKLADSKAQIDNLSLYMAPEVYRDEIFDRSVDAFSFGLILYEMIEGAPAFHPKGPEEAARMICLDGLRPLMKNKSKGYPLDVKELIEECWDPDPVVRPTFSEIIIRLDKVYATCLKQGRWKDTFKLPWK >Ma03_p31920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33958188:33961079:1 gene:Ma03_g31920 transcript:Ma03_t31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRSETESNSPLDVAPRTAAAYLDPHYWNERFAAEEHYEWFKDYSHFQHLLRPFLSPSHSVLEIGCGNSRLCEELRRDGVADITCVDISPVAVERMQNRLRDIGLEGIKVVQADMLDMPFGGESFDVVIEKGTMDVLFVDSGDPWKPRPATVDKVMKMLQGVHKVLKPEGTFISISFGQPHFRRPLFEGQGFTWSVDWKTFGEGFHYFFYTLKKGRRMSDNKECGSERPSMPSISLLHEELEDENYIFRTTICEELET >Ma03_p26900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30460678:30463635:1 gene:Ma03_g26900 transcript:Ma03_t26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLAPKGQAWFCTTGLPSDTVLEVDGMSFHLHKFPLMAKSGRLQRLITELEDHPTDAPGRRGEQREVNEDKEPREDEGVGEIKEVEAYPHISLADFPGNCEAFETAAKFCYGVKIDLNAWNVAPLRCVAEYLEMTEEFGEDNLVARAERFLARSVLPSIKQSIKTLKSCEDLLPLADDLGIAQRCVDAIAAGASASDPDSLFGWPVNEGRGGGSSEQILWNGIETGLLRRRTGVRSSSFAAAAAAAATAAGSWLEDLAILSLPMYKRVIAALKARELSSDAIEGSLMSYARQSIPGLSRSRRKHSSAPIASEPEQRELLETVITNLPLEKSSVASAASATATTKFLFGLLRTAHILHASEAARAALERKIAFQVERATLDDLLIPSYSYLSETLYDVDCVERIVAHYLDSLEAERAALAAEGEVVGGAARTPSRAGSLKLVGKLVDGYLAEISSDANLKVDKFYGLAVALPDDARVYHDGLYRAVDIYLKAHPRMTEEEKETVCGVMDCRKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRRVITGTLLAADGEAAAPAAGGDGGGAWRATMRENQVLRLDMDSMRSRVQELERECSSMKKTLAKLDEGGEGGGAAGEEEGEPRRRKERRFGCRFSTQVCDSHERNVVESRRVGRELSP >Ma11_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16645175:16652152:-1 gene:Ma11_g12740 transcript:Ma11_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGVLASLGLWQKEAKILFLGLDNSGKTTLLHMLKDERLVQHQPTQHPTSEELSIGNIKFKAFDLGGHQIARRVWKDYFAKVDAVVYLVDAWDKERFAESKKELDALLIDDALANVPFLVLGNKIDIPHAASEEELCFHLGLHHFITGKGKVKITDPNVRPVEVFMCSIVRKMGYGDGFKWLSQYIK >Ma06_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10805923:10807893:1 gene:Ma06_g15900 transcript:Ma06_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGQNHHGGLGFRQLAVHDRHQQRLAQPRSGFGKLRGAGGEILEEHGGSIVRSTGQKDCHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLMKNAKAVIDQLAEVPAWTPTSTLTAAITSTSRVPPPSNQSPVAEPDVSSAFSFGGGDGGGGGSGSNCLLQPSLDSDAIADTMKFFIPMAATGTATSPSSSSPIRVQNYPLGLLPRSTTQTQDLCLSLQSFQDPIFNQNPTPSAHNSLLAGSARLGFDHPDSASWAEHKQRMAPWNVAETSDGVGHGGFPFSVPPPQAVPTHSVLCPSQLFSQRGPLQSSNSPSVHSWAEPMAANAEIQMHPGLHHSVSSSTFQHGFRA >Ma02_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21963129:21967397:-1 gene:Ma02_g13690 transcript:Ma02_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGDETLQRRQAAAVEHTGLSGGRIECAAAVLLHSLALVPRAASAPVSSPSASARPRCRPRPPPRPRRCQPRRGGGSRKQVELSPELDQKPVDLLKDDFVVALHARDNLGFHVARQRVSEMAGSLDTIPNAAKRDPAAKVPSYQHLFIQDILGLQSFSAGYLPQGLIFYPLYIIRSLLDLGICICSHSLDVWNRWTLEGCVLEHLKLVYCRKPLAVLDVSIKILAVVGEDGVTRCFD >Ma04_p25990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27593494:27597385:-1 gene:Ma04_g25990 transcript:Ma04_t25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDRSDGGGRATVVAIECVAGGSKAEEWSGAGGETAALQTGDILEEVTFGGSPPVQAPFKGGRAGVMKYLHSAFKRGDTSVLLKARRGGGDPSAVILELHACVVPHPPAGRRQYLLRSIRDPNYAVALADRSESDCIALQRSRSSRVVCVLSNTQVQDGYVSYPWEKKMKESLRTSNSSCFLSMLILPKASDPSGTRYSSLEDTLSRANAWFNSSQASGVPIAFSSLQTEALLTKISGETASSTVNMGSLADLSNLANVSLYGFEDYHGVDIGVVRAVRLWYTPIAGEMPLEIMLQEGDTKLGFAISRTEEGFIYISSVTDDNNSGVAAARSGLKELFKEASEASKRLVISRVGDEKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALKPILLHLLMWETPPSSPTSMAERSEATIPSSLPFELSPTPSLVPPSPVDKVLQDLVYRGGAEGSLTRDTAGDVSFRFHNFSITRNWV >Ma07_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26343598:26344098:1 gene:Ma07_g18590 transcript:Ma07_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPQAVFVCVIQNNTIFLNHLFFNSLIDGGSFMSTLGSDVKGLLSLYNAAYLGTHGEIILDEAISFTRNSLVSASVDLKPPLTTQVSLDLETVGDGGAKK >Ma11_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3664117:3669761:1 gene:Ma11_g04710 transcript:Ma11_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEGFRTGDEVEVSSDEDGFRGAYFEGRVVRSMPKLGRYTVDYDAIVDDADESRRLREIVDARHVRPRPPRRLPGVGRWVALHQLVDAFHNDAWWVGVVSAVPTGRQRRYRICFPPSREEMEFGADELRAHFEWVDGEWVLPKSLGVPRTPYGIGTQVEVARLKESVPVAWFSAVVVKTIWNNCFLVEYINLRKDDGKELIREIVDSQHVRPCVLHVPIVKFDQLDGVEAFYENGWWPGVITKINAESWYTVKSIHWDKEREFCHTMLRLRYDLVDGQWTQKPQNMVMMDIGRGKMVEVSSDEEGFLGAWFTATVLESMGKNKFLVQYHNLKTDDETQLLTETVDALHIRPTPPEIPVDGEFRNLEEVDASHNDGWWVGVISKVLDGRRYMVYFRPWKEEMEFGHNDLRLHQDWINGRWVRASTKLL >Ma11_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7640386:7641222:-1 gene:Ma11_g09390 transcript:Ma11_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGVTLESLRKKMADFARERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLLDWKEDEKEHLGEELSDVLLYLVRLSDICGVDLGRAALRKLELNALKYPVHLCKGSSRKHTHYSQANEDSDTSAPEGI >Ma10_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24599589:24600347:1 gene:Ma10_g10900 transcript:Ma10_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRTSDALMCRAFPITLRGLARMWYSGLKTRTIASFDQLVKEFELHFMAYARPKPSVIQGLLDTHPSLLMQAFMIGLRPSRFFWSLVERPPTAVPEMLQRAKQFIATEAWMAGKREEHKRGRPELAWGQQSATPRRRLDRPDPPVLRSPIPSLGASRTKIFLQIREKGLLRAPVPMKSPRELVDQSKHCRLHQQSRHDIEECCELKRQIEELVRIGHLSRYVRQNR >Ma04_p36890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35070787:35072271:1 gene:Ma04_g36890 transcript:Ma04_t36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNPCDVCRGAGGPCPRCTDLNRAAPTFDDPVIRGPGELREFQFFGHDSAVAWMFNDLNYDGPPPEEERPPVPSGYQPLCSLRETSDEFGDGLTFDVCLSATRPPDSIQVSTAAGLAMRQPASSATIMSFSGTTFTDASSGSNQEVGHPTDGGRGSDASREREAKIMRYKEKRKKRRYEKQVRYESRKAYAEMRPRVKGRFAKTLAANDQPPPPERSYDPNSLGFSWFRS >Ma04_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10921882:10929263:1 gene:Ma04_g14430 transcript:Ma04_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVSFAKRRCGLLKKAHELSVLCDAEVALIVFSAHGRLFEFCSTSSMLKILERYQMFRNNAESIPTLSEAQNSYQEYLKMKARIEYLQRSQSNLLGEELGPLSINELERLENQIEVSIKQIRSKKMQLMLDQLYDLKDKEQELQKDNKNLRRKLQEGGSQTQLQPSWPDGGNSAAKKPPRAEGLLQLLEYDPALQVGSSQSCTAPSNDGEGAARDDDGLIPRWL >Ma06_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3869329:3871656:-1 gene:Ma06_g05210 transcript:Ma06_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPCRLLHLVRRHRTLATAASSSRAVAVFWDLDNKPPNTIPPYDAAVRLHLAAASFGPLRFSVAYANHHAFRHLPAPVRAARAERRAADHLEAAKPSRVPSYLCRVCGRRFFAHAKLVNHFRQIHEREQEKRLRRIDSVTGGRRVRLVAALSLKMEKYKKAARELLTPEVGYGLADELRRAGVVVRTVDDRPEAADRALREHMVETMDRGKVGCLVLVSDDSGFLGVMREARMRCLKTVVVGDEGDGALKRYADSALSWKDVVSGKAKKEAASAVGRWKDRDLLKKLEWRHMPEEEEGESDIFDDDSDAGDEEDGCLGLVGERDKKPWWKLNSDSNDLH >Ma03_p31690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33812485:33813500:-1 gene:Ma03_g31690 transcript:Ma03_t31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVSESLLSSATACPSRPSPSGPLLSRVRYKLWALAAVLLLALWSMLAGTFTLKWSARRPSDDLDGPLLEDVDVLEMEERAKVVRHMWDVYAHSHSTGRLPRFWQQAFEAAYEELSGDDPASRDAAVAEIARLSMRMVDLEPPPQHPKNAEPETDRSEEDIGPKSNSSSFSSAKAR >Ma06_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11174439:11179009:1 gene:Ma06_g16510 transcript:Ma06_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGSRTDISFYWRFVSSAIAACFAEFCTIPLDTAKVRLQLQKKAAAGDAVAIPKYRGMLGTIITIFREEGLTALWTGLVPGLHRQCLFGGLRIGLYEPVKAFLFGDSFVGDISLIKKILAGLITGALAITVANPTDLVKVRLQSEGKLPPGVPRRYLGALDAYFKIIRQEGIGSLWTGLGPNIARNAIINAAELASYDHIKQTILEIPGFTDDIFTHVLAGLGAGFFAVCIGSPVDVDQDHSQVVDI >Ma06_p16510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11174439:11179009:1 gene:Ma06_g16510 transcript:Ma06_t16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGSRTDISFYWRFVSSAIAACFAEFCTIPLDTAKVRLQLQKKAAAGDAVAIPKYRGMLGTIITIFREEGLTALWTGLVPGLHRQCLFGGLRIGLYEPVKAFLFGDSFVGDISLIKKILAGLITGALAITVANPTDLVKVRLQSEGKLPPGVPRRYLGALDAYFKIIRQEGIGSLWTGLGPNIARNAIINAAELASYDHIKQTILEIPGFTDDIFTHVLAGLGAGFFAVCIGSPVDVVWWQLG >Ma06_p16510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11174439:11180504:1 gene:Ma06_g16510 transcript:Ma06_t16510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGSRTDISFYWRFVSSAIAACFAEFCTIPLDTAKVRLQLQKKAAAGDAVAIPKYRGMLGTIITIFREEGLTALWTGLVPGLHRQCLFGGLRIGLYEPVKAFLFGDSFVGDISLIKKILAGLITGALAITVANPTDLVKVRLQSEGKLPPGVPRRYLGALDAYFKIIRQEGIGSLWTGLGPNIARNAIINAAELASYDHIKQTILEIPGFTDDIFTHVLAGLGAGFFAVCIGSPVDVVKSRMMGDSSYKSTLDCFIKTLKNEGPLALYKGFIPNFVRLGSWNVIMFLTLEQVKMFFIREVPL >Ma07_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15636573:15638340:-1 gene:Ma07_g16860 transcript:Ma07_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKHMLCVLYIIVVRRLLFFSWPQESRSKGKLSFSNLRCPALKKHQLTEASDTSYVCANSPDIVGPKISLEDFRNMPSSSSGLNFKNSVHQDDRVREIQWPDFGDTTSKSQHQQMFNNATHAWHSTLSDQPGRILAPWSEPELDFLWIGVRRHGLNNWNAILKDPILCFLRSRVAKDLAEQWSREHKKLLDDTPSQPTRPYSAPALSPSPFMPRSATHVCYSGNISLPSGLQTERAETTFSPRGLFIHNENTRGSIPVGRTPVNHHLGSNPARSDYSTTGIRYPRNFEAHDIKNQFETSTLHRGLLETPRHEVQSPPGSSITLPHWLSEALKRNQERLGLADPSTGRSILKNEERIYNPNFENLPAPDHTVASHGNAGGTRVDEPWFPERSCMIPVRGITFQGFPSVDPGWDTKSFDSSAPSTLGMIIGETSKDAVDAGLGPNNALDWNKKSSGSVGPSNLGMIHEDTSSEDTISDDQIGKNQTYKKLV >Ma09_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9410839:9411533:1 gene:Ma09_g13890 transcript:Ma09_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLPIWSTCILFWIVYSQMTNFSVEQATYMNRPIGSFVRPPFRLPFLLPLRITSLQRASVGLALSILAMVVSAVVERKRRNLSVHHDTKMSVFWLVPQFFLVGAGVAFAYVGQFEFFIREAPERMKPTSTGLFLSTLSMGFFFSSSVVSPVDQASNGLWIKNNLTKGRLAVLSVIKLRGVSGVRKPPRVQSAELHLEQAR >Ma02_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23429328:23434250:-1 gene:Ma02_g15930 transcript:Ma02_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVPKAVPSAPVPPPPPSIKRPGGPPPPPPKAASVPRAPKINMGPSKVPPPSPLGPQHSVSDGAPKTKLKPLFWDKVLANPDQSMVWNQIKSGSFQFDEEMIESLFGYNSANKPKNCGKGLSSKVPVEYVRILDAKKSQNLAISLKALNVKIEGVRDALMEGKKLPVELLQTLIKMAPTTDEELKLRLYTGDHSELGLAERFLKALLDIPFAFQRLEALLFMASMPEEVSTATESFSTLEVACEELKSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVRAVRIARERSGSVSSLNSDDLSDDSLHESEDYFRKLGLKIVSGLGDELQNVKKAASLDADALATLVAQLNHRSVRTKEFLNTSMKSHEEESGFHHILKSFMEQAETGISFLLGEEKRLRLLVKKTTDFFHGNAGKDEGLRLFVIVRDFLVMLDKACKEVREAPRKVKQAPRNRETSSPLPVPDPKQLLFPAIRDRRVDSSSSDDES >Ma09_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12395205:12395732:-1 gene:Ma09_g16900 transcript:Ma09_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSSPVAKPALVAMPAVRGRPRAPAATVRAAPGAATMYELLSVAETAGPEDIKAAYRRQARRWHPDACRTAGDEGYFAERFMRAREAYEVLSDQGLRREYDRALLRSDGGEWERQLEGLQWRRSTTVGRRGTSWGSRMRRAHGLEIFD >Ma06_p00540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:411837:413506:1 gene:Ma06_g00540 transcript:Ma06_t00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTAKRRLRWESTICSHSSVIVEVLLVSYQKGLSDILDLMAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTILLSNMYQRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIFEELGKFGEIENLNVCDNLADHMIGNVYVQYREEDQASAAMRALQGRFYSGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRPNTRRSRSRSHSPSPYYKRGSRDHGDYRDRGDHRDNGRRSGDGHGSHDRDGGRRRHGSPKRTKSPIREGSEERRARIEQWNREREEKHG >Ma06_p00540.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:411826:413506:1 gene:Ma06_g00540 transcript:Ma06_t00540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTILLSNMYQRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIFEELGKFGEIENLNVCDNLADHMIGNVYVQYREEDQASAAMRALQGRFYSGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRPNTRRSRSRSHSPSPYYKRGSRDHGDYRDRGDHRDNGRRSGDGHGSHDRDGGRRRHGSPKRTKSPIREGSEERRARIEQWNREREEKHG >Ma06_p00540.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:412231:413506:1 gene:Ma06_g00540 transcript:Ma06_t00540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTILLSNMYQRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIFEELGKFGEIENLNVCDNLADHMIGNVYVQYREEDQASAAMRALQGRFYSGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRPNTRRSRSRSHSPSPYYKRGSRDHGDYRDRGDHRDNGRRSGDGHGSHDRDGGRRRHGSPKRTKSPIREGSEERRARIEQWNREREEKHG >Ma06_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:411837:413506:1 gene:Ma06_g00540 transcript:Ma06_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTAKRRLRWESTICSHSSVIVEVLLVSYQKGLSDILDLMAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTILLSNMYQRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIFEELGKFGEIENLNVCDNLADHMIGNVYVQYREEDQASAAMRALQGRFYSGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRPNTRRSRSRSHSPSPYYKRGSRDHGDYRDRGDHRDNGRRSGDGHGSHDRDGGRRRHGSPKRTKSPIREGSEERRARIEQWNREREEKHG >Ma06_p00540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:411826:413506:1 gene:Ma06_g00540 transcript:Ma06_t00540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTILLSNMYQRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIFEELGKFGEIENLNVCDNLADHMIGNVYVQYREEDQASAAMRALQGRFYSGRPIIVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRELRRKLFGRYRRPNTRRSRSRSHSPSPYYKRGSRDHGDYRDRGDHRDNGRRSGDGHGSHDRDGGRRRHGSPKRTKSPIREGSEERRARIEQWNREREEKHG >Ma06_p36460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35864932:35867615:1 gene:Ma06_g36460 transcript:Ma06_t36460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MNPTWRDEQHPSFIRFIASFLSANSYRLNFLPISPDFIFNNGGLSVAFIFETTWDPDKASVVFSRVERLKAQFRLLYVVIGVPTREQNDSFNHLYFKYGVELGRPTFVPVRDPEMGFEKIVKIAHARGVCKRQDAVGTMRSERERAVQGMDMFLRVVTSIPGIDNHDANALVQSIGSIEAISKASKSFILETTDLSVEKAERIVRFFRDPKNYLSPKLD >Ma06_p36460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35864932:35868430:1 gene:Ma06_g36460 transcript:Ma06_t36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MNPTWRDEQHPSFIRFIASFLSANSYRLNFLPISPDFIFNNGGLSVAFIFETTWDPDKASVVFSRVERLKAQFRLLYVVIGVPTREQNDSFNHLYFKYGVELGRPTFVPVRDPEMGFEKIVKIAHARGVCKRQDAVGTMRSERERAVQGMDMFLRVVTSIPGIDNHDANALVQSIGSIEAISKASKSFILETTDLSVEKAERIVRFFRDPKNYLSPKLD >Ma09_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3149684:3151870:1 gene:Ma09_g04910 transcript:Ma09_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLAQRTLLLPGGHLSLPPFCGMRSRPSLAAFTLFSRTKVEPLRSSSCDSKFHGRRLVVGARRGRPSRARLGSGSEQMVLSFKKAIKWWQKGLQPNMVEIESAEHLVDSLLNAGDKLVIVDFFSPGCGGCRALHPKICQFAESNQNVLFLQINYEQHKSMCYSLGVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKHITDRCSLGPARGLEESELLALAANKDLSFNYTSKPVPVPEEIPERIPTSPKLPLHAVRRPAQESEDKALAAAGR >Ma07_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2351313:2360554:-1 gene:Ma07_g03010 transcript:Ma07_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIALKKSASSALGLTRGSAPDARGLVRDAVRATLASPPLGSHPPLPQRQADWVQAEIRFGPPVGGLALDAAPAPRVLCCEEIDGRRWSYVVDDGGHPRRGSAVRAVPMQSPMAPLEELMSFVRSYVVPEGFPDSVTPSYVPYMTWRALKYFFGGAMGVFTTRTLLSSVGVSKNRAISGAVAINWILKKFDYDLKQLRFAGDLLMELGAGVELATAAVPHLFLPLACAANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNMADLLGTGLCILISKRNPSLVASFAFLSCGYVFSAYQEVKSIVLNTLNRARFTVAVDSFIKTGHVPSLKEGNSKENIFRPPWSKHTSVVLGPRFCDAFQEPTSFIAIEHLFEKERYMVSYNPSKDKIYALLKDQAKSDDILKAAFHAHVLLYFIQLSNTNQALKKLRNSNQSKYEEAILPTNANFLAHMVESCKIVSSSYAIFKRKAAEQGWIMSESLLNPGRARLFSAVHS >Ma08_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2777109:2779618:-1 gene:Ma08_g03930 transcript:Ma08_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRVRRIMRKLGFEKDDIYFVKQMGKAMLYTYTIFGLAWLWNETSPLGWWNIQPRPKEEKEMAHLYERRRFPYPGDQEAVDEFIKSGGSLGTTIGPKGFIDSDKDIDNLQKQLQSQKFEQEAQKLWFRMRNEVIQELQDKGFDVE >Ma03_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25968756:25976832:1 gene:Ma03_g20900 transcript:Ma03_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGSLVYQYTNKRASGPKCPVTGKRIQGIPHLRPTQYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >Ma06_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21026617:21032333:1 gene:Ma06_g23260 transcript:Ma06_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSVDCVSLTDGLDEEEVTQHRHPFPKPHGSGAPPLPGIAPATSVHELLECPVCTNSMYPPIHQCRNGHTLCSTCKSRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYSTLGCPEIFPYYSKLKHEARCNFRPYNCPYAGSECSVVGEIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFYCFGQYFCLHFEAFQLGIAPVYMAFLRFMGDENEARNFSYSLEVGGNGRKLTWEGTPRSIRDGHRKVRDSHDGLVIQRNMALFFSGGDRKELKLRVTGRIWKEQPNPDSGVCAQSL >Ma06_p23260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21026601:21031723:1 gene:Ma06_g23260 transcript:Ma06_t23260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSVDCVSLTDGLDEEEVTQHRHPFPKPHGSGAPPLPGIAPATSVHELLECPVCTNSMYPPIHQCRNGHTLCSTCKSRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYSTLGCPEIFPYYSKLKHEARCNFRPYNCPYAGSECSVVGEIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFYCFGQYFCLHFEAFQLGIAPVYMAFLRFMGDENEARNFSYSLEVGGNGRKLTWEGTPRSIRDGHRKVRDSHDGLVIQRNMALFFSGGDRKELKLRVTGRIWKEQPNPDSGVCAQSL >Ma11_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21920298:21921780:-1 gene:Ma11_g16380 transcript:Ma11_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDQESPNKELDLKNRRGGGGGLEDDSRCPRWLRPLLSTRFFIQCNLHADSNKTECNRYCLDCTNGALCSLCLAHHHGHRTTQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQLRPGKGVTNTCEVCERSLLDSFRFCSLGCKRAGTANDHSKKKSMKQKAMAAAAPSDSEESYASSRGSEKSNVSQGFTPSTPPPAGTRSAKRRKGIPHRAPFGSLLLEF >Ma10_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9918473:9921132:-1 gene:Ma10_g02890 transcript:Ma10_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLPLFLALVFLAAPPDAARSSEDADVLLDFKAAMSDPTGALRSWVPSSAPCGNGTASWAGIICDHDGSVSGLRLEGISLSGSLNVAFLGRLPRLRTLSFTNNNFEGAMPDVGRLGNLRAVYLSTNKFSGEIPDDAFAGMNWLKKLYLSHNGFSGSIPASIAALPKLLELGLDDNRFGGEIPDLQVKQMNRVNLSNNDLEGRIPDVLRKMDADVFSGNKRLCGEPLRVPCQPPSPSLSTMSPSTSSRGSAHHPIIFTTIAIGLVVAVVAAIFLVPRRRQTGDDGLGQSLPPENSKFASSEEEKLEAGVAGCHRGGGGSGKKVAKDHEQGRLVFVRENRVSFQLQDLLKSSAEVLGTGNFGCSYKATLSNGASVVVKRFRHMNRVGKGEFEEHMRRLGRLCHPNLLPLVAYYYRKDEKLLVTDYMAKRSLANALHGCRASNIPALDWSTRLKVVKGVVKGLNYLKEELQMLSVPHGHLKSSNVLLDDSLEPLLTDYALLPVMNQAHSGQFMAAYKSPECKQQGRTSKKSDVWSFGVLILEILTGKIPTTELGQEKGGLDLQGWVYSVTQEEWSSKVLDSELKATKDSEGQMHKLMQIGLACCREDIENRCELEEALDRIEELKGGDTNEDCARILATDGENDLSTVDIK >Ma03_p25670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29637917:29645408:-1 gene:Ma03_g25670 transcript:Ma03_t25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVERLLKDEATEEKGDRARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGHNVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNKKIHPMTIIAGYRLAAECARNALLQKAKDNKRDPDKFKSDLMKIAMTTLSSKILSQDKEQFAKLAVDAVLRLKGSTNLESIHILKKAGGSLKDSFLDEGFILDKKIGIGQPKHIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEGAEKEKMREKVQKIIAHGVNCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASSHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDDLARKTPGKKSHAIEAFSHALQAIPTIIADNAGVDSAELISQLRAEHHNDTTNAGIDIISGGVGDMEKLGISESFKVKQGVLLSATEAAEMILRVDEIITCAPRKRENRM >Ma06_p38230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37075976:37078249:1 gene:Ma06_g38230 transcript:Ma06_t38230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARRATTGDFTGGFWIRRAAYPAAMPGSRRRRIQSLVAAIDDKENIHPSRAATARRRPRNRKSPLPSWYPRTPLRDITIIVNALERRMRERAARARQRNANPEASPVTDPAVDEMNASEHTPVGEALPSDVSSDASPSPAALSTLSSLTPTQQPLRTPSSSETPLSTTERVLADPSTEDPKPTEFEKKLQSTISEMERLVLDNLKRTPKPPARKAMRTLMSMR >Ma06_p38230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37075976:37078249:1 gene:Ma06_g38230 transcript:Ma06_t38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARRATTGDFTGGFWIRRAAYPAAMPGSRRRRIQSLVAAIDDKENIHPSRAATARRRPRNRKSPLPSWYPRTPLRDITIIVNALERRMRERAARARQRNANPEASPVTDPAVDEMNASEHTPVGEALPSDVSSDASPSPAALSTLSSLTPTQQPLRTPSSSETPLSTTERVLADPSTEDPKPTEFEKKLQSTISEMERLVLDNLKRTPKPPARKAMRTLMSMR >Ma06_p38230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37075942:37078249:1 gene:Ma06_g38230 transcript:Ma06_t38230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARRATTGDFTGGFWIRRAAYPAAMPGSRRRRIQSLVAAIDDKENIHPSRAATARRRPRNRKSPLPSWYPRTPLRDITIIVNALERRMRERAARARQRNANPEASPVTDPAVDEMNASEHTPVGEALPSDVSSDASPSPAALSTLSSLTPTQQPLRTPSSSETPLSTTERVLADPSTEDPKPTEFEKKLQSTISEMERLVLDNLKRTPKPPARKAMRTLMSMR >Ma06_p38230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37075942:37078249:1 gene:Ma06_g38230 transcript:Ma06_t38230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARRATTGDFTGGFWIRRAAYPAAMPGSRRRRIQSLVAAIDDKENIHPSRAATARRRPRNRKSPLPSWYPRTPLRDITIIVNALERRMRERAARARQRNANPEASPVTDPAVDEMNASEHTPVGEALPSDVSSDASPSPAALSTLSSLTPTQQPLRTPSSSETPLSTTERVLADPSTEDPKPTEFEKKLQSTISEMERLVLDNLKRTPKPPARKAMRTLMSMR >Ma05_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1893486:1894658:1 gene:Ma05_g02800 transcript:Ma05_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPFKGEGEKRAKCTEEEGKEEERVDWSNLDTNILQLIADQLTVDIADYIRFHGVCKTWHAVNHHGRTHPPQLPWLLMRCDYDINRLIFYSFSDERFHSIRPPTNDEHIIGSCDGWLVLDHKTSRFLSLLNPLTGARIHLPALPSYLINIEDYYPSILKIAISSNPSMYDHDCIIVIISTLNDALFSIRLWEDDLWTLLDDRSKYHDVTYFKGNLYAVDEIAQVFIFSSFFEKVAIVGPQRETNNLLWQFTELSGELIVLRNILIENSVDHAMENLTILMTERIDILKLNMKGLVPSLEEAKSIGNHILFLGVNSRSISCPISQYPRGKHDTIYIHHVYIMDDDEEQDIYCRHGIYDLENAFFMPTPHNGFERICTGMLPMWFTPSLF >Ma04_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17576993:17579756:-1 gene:Ma04_g17290 transcript:Ma04_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLIQSQEAVIDLDYCSTVEELMEVGPEKLKESLAAWGLQTGGTLQQ >Ma10_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26743428:26757462:1 gene:Ma10_g14230 transcript:Ma10_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MGRGTEKMLKAAKQFADTQYKKLTARYGQQMIDLLELPIRLVLSPFTIAIDVAGSAPRGFGLPELASKISFSAIFLVATLGTYDIALELGKKVVCQRNCSTCNGWQALRCTMCKGTGKVHYQVKNYNPRSGERATADCVAEAIFENRAELVHLPAAINLSVPLPSKDCPTCDGTGVMGCPECKHKLQVRISADEIMEPPWKAYNVMRKMDYPYENIVHSMRDPKIAAFWLITMPQIMGGFNFDEDVKQKIWWSYEESMRYDQLRDLVAERKPGWEHLQNALISVDPVRARDDPVIVKNIPYYKAKKALEAEVLNLDVPPRPQNWGEIDLPLKASSWTEEELKDPAKVLEMTSLLTAQREIADNILDAQWEAKWRQDKLNDLLKEKLQPYLRSVDKAGLPQSIVTRSHDQGNKKTTRRRWFFF >Ma10_p14230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26743428:26757462:1 gene:Ma10_g14230 transcript:Ma10_t14230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MGRGTEKMLKAAKQFADTQYKKLTARYGQQMIDLLELPIRLVLSPFTIAIDVAGSAPRGFGLPELASKISFSAIFLVATLGTYDIALELGKKVVCQRNCSTCNGWQALRCTMCKGTGKVHYQVKNYNPRSGERATADCVAEAIFENRAELVHLPAAINLSVPLPSKDCPTCDGTGVMGCPECKHKLQVRISADEIMEPPWKAYNVMRKMDYPYENIVHSMRDPKIAAFWLITMPQIMGGFNFDEDVKQKIWWSYEESMRYDQLRDLVAERKPGWEHLQNALISVDPVRARDDPVIVKNIPYYKAKKALEAEVLNLDVPPRPQNWGEIDLPLKASSWTEEELKDPAKVLEMTSLLTAQREIADNILDAQWEAKWRQDKLNDLLKEKLQPYLRSVDKAGLPQSIVTRSHDQGNKTTRRRWFFF >Ma11_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26232242:26235714:1 gene:Ma11_g22450 transcript:Ma11_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVMCSSTTAKDELEQDVVGKEDEEVARGFSMKSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQMGMLSGVILQLFYGIMGSWTAYLISVLYVEYRTRKEKENVSFRNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAAVHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTMPSAAATYWAFGDQLLTHSNAFSLLPKSRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRSLARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMVTYQKPSARQNAAEKLPFFLPSWTAMYMVNAFVVVWVLVVGFGIGGWASMTTFIKQVDTFGLFAKCYQCPNPHPSPAPGPPQHLH >Ma01_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:802209:802571:1 gene:Ma01_g01170 transcript:Ma01_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHLADLFYGNRGSLPIPDSFSNPYGPPRAATSSLCLCIPSPLCSHLLFCDSIEWLEFFLSQPYSSLRAASSPPPSASPSATAPAARCSWRIPWSTPRIPDRNRARDLDPILANAMPA >Ma09_p30940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40831567:40833472:-1 gene:Ma09_g30940 transcript:Ma09_t30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGYNGAGLHRDTMALFRRMRRRDVRPNRYTFPLVIKSCTAVRATEEGEQAHGLAIKSGFEGNDFAVPALIDMYSSVGAIGWARKVFSSMPAKNVVAWTAIVAAYLSNGDLGSARSLFDQSTDRDVVLWNTIISGYTRRGDMAAATELFASMPDRDVIVWNNILLGYADAGDLDTCERIFKEMPERNVFSWNGLIGGYAHHAQYHKVLEVFDRILRAHDVKPNEATLATVLSACSKLGALHRGRRIHVYAKANGLNTSVCVSNGLIDMYAKCGCIDDAVDVFDAMQRKDLVTWNSMIAGLAMHGRGADALQLFHQMKRVGERPDGITFVGALSACVHIGLVDDGLMHFWSMSEDYKLVPWMEHYGCMVDLLSRAGLLTEAVEFIRRMPVEPDCVIWNALLGACQVHRDVAVAELAMDRLARLAPGDAANYVVLSNIYGAAGRWKDVARMKRIAREQSTEKTPGGSSIEVDSEVVEFLSSDTRHSQTWKIYWVLEGLTELSKLHGFGLETELDELGQEYE >Ma08_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32123987:32137691:-1 gene:Ma08_g18600 transcript:Ma08_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEGNPIGDGGGEGEGASSGASPPRTGEKQKEKARVSRTSLILWHAHQNDAAAVRKLLEEDRGLVNARDYDSRTPLHVAALHGWINVTGCLLEYGADVNAQDRWNNTPLADAEGAKQHGMIELLKAHGGMSFGHDGSHFEQKPVPPPLPNKCDWEIEPSELDFSTATIIGKGSFGEIIKAYWRGTPVAVKRILPSLSDDRLVIQDFRHEVNVLVKLRHPNIVQFLGAVTDQKPLMLITEYLRGGDLHQYLKEKGSLTPLAAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIRVKNSNDVYRMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGNPPFPNHEPYEAAKLVADGKRPLYHSKGYVQELRDLTDHCWAADMNRRPSFIDILKRLEKIKENLSSDHHWSIFTQ >Ma01_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6878606:6880111:-1 gene:Ma01_g09560 transcript:Ma01_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPLPPASEKADETTSAAAGHPEGQRPLPTPFLTKTYQLVDDPSVDDVVSWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLADIHRRKINPAAAAAAPIAVAVPINRAVSPANSGEEQVLSSNSSPGPQTPATTGSSGPTELREENERLRKENARLLRDLAQITNVCNQIAVLVSKYAADGGSGGGGGTTEVGAPPPILELMPATRVEKQEEEDADTAAAVEDGVIKVEEWTSEPRTPAGPSPKLFGVSIVGKRVREEETDQDPTPAVKPDPFDPGPDPKEATSPERHQRSWVVYCPRPIRRSCNVPDNPTDRARDAS >Ma09_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1322852:1328365:-1 gene:Ma09_g01780 transcript:Ma09_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSTGGCSTPSSVSSPTSPKWPGSRRLRRSSGSEEQLHRISGRLFLNGATDCASLFTQQGKKGINQDAMIVWENFGSRNDTVFCGVFDGHGPLGHMVAKRVRDVLPLKLTDDWKCGESREFSASSPGNSASEHSTASPKEEIRDSTKFEEKDEHSQNLKMLKDSLLKAFRNMDKELKQKPGFDCFSSGSTAVTLIKQGQELVIGHVGDSRAVLGTRDKNNSLTAVQLTVDLKPNLPSEAERIRQCSGRVFALRTEPDVLRVWLPNGDSPGLAMTRAFGDFCLKDFGLISVPEISCRRLTDRDEFIILASDGVWDVLSNKEVVDIVASAPARSSAASCLVQSAVKAWRLKYPTSRIDDCAVVCLFLNAEASNISSIRTRSLNATNAGCYEQEATSSKSLACLSTVSSNETLQYVIGEGPNGNCQDLSPVDIHPTTTSP >Ma04_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:818500:819074:1 gene:Ma04_g00880 transcript:Ma04_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKEKLRALVMVPRFPGGGAQKSDETEKAGTSGGGSGVEGDEEWSDVDGLLSDGFGSGFDDEDDEDDDDDEEDDWSEEEDESPPDFGDGEGLNFNAKKPQAVNGSIKVEDQVLIPTLPDGTPRERW >Ma07_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3802230:3803560:1 gene:Ma07_g05220 transcript:Ma07_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLESENAEAIGSFLSDTSAKVFVAGHRGLVGSAIHRKLLALGFTDLVVRTHAELDLTRQADVEAFFAAERPRYVIVAAAKVGGIHANSTYPADFIAVNLQIQTNIIDAALRCAGGAVRKLLFLGSSCIYPKLAPQPIPESALLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGLDAISAMPTNLYGPHDNFHPENSHVLPALIRRFHKAKVSCANEVVVWGTGSPLREFLHVDDLADAVVFLMDRYSGLEHVNVGSGKEVTIKELAEMVKEVVGFEGELVWDNTKPDGTPRKLMDSSMLAGMGWEAKIPLREGLADTYKWYVENVVDH >Ma08_p30920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42014167:42014855:-1 gene:Ma08_g30920 transcript:Ma08_t30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASTVVGPIALDIYSRRLLLSAPVFMQHPAPPSPWIAGSNFGLSDRPGSSSTNAVMIVAVLLCALACALGLNSVVRCALRASNQMAAEGVANHPVARLARTGLGKKALQRLPMLVYSTGLKLTGSVPECAICLSEFEAGEEIRVLPKCNHGFHRRCIDKWLMARSSCPTCRRCLFGACRKTSGRAGESQPAHAVIVALEPEGLVTGYRY >Ma09_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5614657:5617357:1 gene:Ma09_g08470 transcript:Ma09_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLMDLREKEKVEPLPREFDPEEIARPKPPLETEGVGGEEGSGKSREIVLGRNVHTMCFAIKEPEADDEVTGEREAYMASVLARYRRSLIDRTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGVLYASRESHYSIFKAARMYRMECVKVDTLVSGEIDCTHFKASLLQNMERPAIVNVNIGTTVKGAVDDLDMVIKTLEENGFKDRFYIHCDGALFGLMMPFVKRAPKVTFTKPIGSVSVSGHKFVGCPMPCGVQITRSKHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGISVMLNELSSTVVFERPKDEEFVRQWQLACEGNIAHVVVMPNVTIDKLDSFLNELLRHRSCWYQEGNISPPCIAADIGEENCCCALHRR >Ma04_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4878680:4880293:-1 gene:Ma04_g06700 transcript:Ma04_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGYDLSRMIDAPLRHTTRSLVRLIKSIATDTTFAAPSALRAAQQLHSHVRKVGLLSNEFISSALISVCSAIGFVDIARQLFDEIPDAGLVARTAMVRAYVTVDQPAEALQLFRATVLSGLSPDPIALATAISACCQLGSLSMVKMIHGYIIGSGIVIDPFVSTQLIKAYGNHGKLDICRHLFDEMPVKTLVTWNTIIHQCLKHGAIELARGIFAEMPERDVVSWNTLMSGCSQVGRCREAFALFHEMELSSEKPNKLTLYIVLSACASMGALDTGMWLHAYLGRSGLNSDGSLDHCLIDMYAKCGSIEKALQVFEGARVRSLYSWTSIICGLAMHGQADHALHLFFQMLEVGIQPDDVTLVGVLNACAHGGLVDQGYRFFSFMEEMYGLEPKIEHYGCMIDLLGRVGRLKEAYNMISRMPMRPNAVLWGTMLSACKVHKNVELGKVVAMELIELDPSDPWPRLMLSKIYAEVSDWGSFMKLRKEMNAVGLRKTPGCSSIEVNGEVHEFLAGDSLHSQIEEIIVLLENIEAQMQVT >Ma01_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10967872:10970729:1 gene:Ma01_g15120 transcript:Ma01_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTMMGRRCAILRAYLFTFALASFLAAAPPACALRFELQSGNTKCISEDIKLHAMSVGKYAVVNPSDSGPLLDSHKITVRVTSPYGNSIHYADLVDSGNFAFTSNEEGDYLACLWAPDHKPPATMVVEFEWRTGVTAKDWPNVAKKGQIDVMELELKKLEDTIKSIHDEMFYLREREEQMQDMNRSTNSRMAWLSFLSLAVCLSVAGLQLWHLKTFFERKKLL >Ma07_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22527862:22541955:1 gene:Ma07_g17900 transcript:Ma07_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQYGGVVIPPWSIGGTPIRARKTTAPFVVALCHPLHRDAGSRQLRIQLDQLRAEAEATRSKANAARLRLMRLTEAAENLRSRAAMSIQVGKETEATELLIQKKKLMQALKKSKNRIEVLDKLSSKINEAISLKETQLIGQVAIQPEDNISDSCGQIHFVSPKEDTVEVSKSKDSSILSEQCEHQDHDAGNYEDKPSGDSGQPTFLASVSSDMSNFAKMVDSSEGFHEHILENIDMQLKLLETDIENFLRSQSMTEETKQKQMNEKLEKLSEILKDVLSIRERIASMVETRADDGN >Ma07_p17900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22527862:22541955:1 gene:Ma07_g17900 transcript:Ma07_t17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQYGGVVIPPWSIGGTPIRARKTTAPFVVALCHPLHRDAGSRQLRIQLDQLRAEAEATRSKANAARLRLMRLTEAAENLRSRAAMSIQVGKETEATELLIQKKKLMQALKKSKNRIEVLDKLSSKINEAISLKETQLIGQVAIQPEDNISDSCGQIHFVSPKEDTVEVSKSKDSSILSEQCEHQDHDAGNYEDKPSGDSGQPTFLASVSSDMSNFAKMVDSSEGFHEHILENIDMQLKLLETDIENFLRSQSMTEETKQKQMNEKLEKLSEILKDVLSIRERCVKMGRIEVRGRVK >Ma11_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23404929:23407062:-1 gene:Ma11_g18320 transcript:Ma11_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEMFLVPTELVRHVMILLALLAVLFAYQYEAQSPSASMDTARSLDALLQDYAYRAFVRPRTGITYDGAVPSNLTGIKIAALRLRSGSLRKRGVTSFKEFKIPVGIIIQPYVERLVLVYHNLGNWSSSYYPLPGYTYLTPVLGLLAYDAANLSATNLPELNVVVSGSPVSVNFRNVIPVPNGAIARCVWFGLDGSPRFRDLVSSDVCSTYRQGHFSIVVNSSEIAPSPAPSVSPGPRPSPGGSKSNKSKVWKIVGAAIGGFVALAFLALLGYWIHRYKQNKKMARMELHADAGVSLQTARVGDTQVPVASVTRTQPVLESELAA >Ma01_p09650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6926983:6929696:1 gene:Ma01_g09650 transcript:Ma01_t09650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKEQGTLESQLIQKNTKWKHEFECHQSQVEKLEEKVMEVKIDMKCAEDDTKDLELLWRRVKTTATMLTYLKSKARIMAIPHLACVSCGIKHQEGIGLVDKHGLPLSEWSTDVDLSSHESSDEMSQLASNLKYGPFDANVGGYFSETLQSTRIVAEVMESLIKRAIRAETEAATEKEKVKLGLEENKRKTLQIQSMTLKVEEMEKFALGTNTLLNEMRQKVTDMVEETSRQRQRAAENEQELRRVKQDFDSLRSFVSSLISVRESLMSSERQFQTVEKLLDRLVAETTHLENEKVKKEAEVQKLIEENVRLRTLLDKKEAQLLAMNEQCKWMALNSPGI >Ma01_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6926967:6929700:1 gene:Ma01_g09650 transcript:Ma01_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKEQGTLESQLIQKNTKWKHEFECHQSQVEKLEEKVMEVKIDMKCAEDDTKDLELLWRRVKTTATMLTYLKSKARIMAIPHLACVSCGIKHQEGIGLVDKHGLPLSEWSTDVDLSSHESSDEMSQLASNLKYGPFDANVGGYFSETLQSTRIVAEVMESLIKRAIRAETEAATEKEKVKLGLEENKRKTLQIQSMTLKVEEMEKFALGTNTLLNEMRQKVTDMVEETSRQRQRAAENEQELRRVKQDFDSLRSFVSSLISVRESLMSSERQFQTVEKLLDRLVAETTHLENEKVKKEAEVQKLIEENVRLRTLLDKKEAQLLAMNEQCKWMALNSPGI >Ma00_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1703262:1706440:-1 gene:Ma00_g00520 transcript:Ma00_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCISSTSLPTAIRYATTTLDLFIDAIRLRPRTRSSLRGHSTNCNTGHAAPTADAADGEVPSSDLLSRFSGIMKLFPNRSRAFFPEPGAKPFESDPFHYNVLMKAFSRAGRPDEVLRLFREMKESKCDPNVLCYTTVIDALVASNRSEEAEKVFEEMIDSGVTPDAASFTVLVKFYSFYLRKFDSACEIIRWMVRSGCDPDVVTYSTLIAGLCRAGMVEEAWGVLDQMLQGNCSPNAHSYAPFLQAYCSQGKIEAAIRLMESMRSIGCPPDSVAYNILINGFCKHGYFNEVAYLLENCVSDGWEPDAVTYNTYISGLCKVGKAEDAFKQLEMMLQKKLCPTVVTLNIVLDSICRESTVWEGNHHLERSLELGLEVDVVSYNTIISRLCEIGKLSWVLKLVTDMIKKGISPSTETFNIMIRSLCKAGKFRKAKCIFTSKGFVADTITCNILIHEFYMAGKIVELHNLLSGVDMDKIRPDSITYNTIIDCLCKKGKFLEAIDYLRSVEDGFPSEAVAHLAYWLVRGRKIGMVLVKAERYTRSVLF >Ma09_p18890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19281818:19290936:-1 gene:Ma09_g18890 transcript:Ma09_t18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRTKPRKPKPSSSSPPPSPTIALTLKMARRSLLKPTLATAFLSLLFLYALFHTLLTPSPSPSPSAAIGLSPSPPSAPKIYLYDLPSKFTYGVVRSYLRARGSPVPANDADIRYPGHQHSAEWWLLSDLARSPTARSPNSPLRLTLDPDEADLFYVPFFSSLSLVVNPIRPGGSDIPGGGGSTAYSDEGTQEELVEWLEGQEYWRRNRGRDHVIVCQDPNALYRVIDRVRNAVLLVSDFGRLRADQASLIKDVILPYSHRINSYKRDVGVEGRHSLLFFMGNRYRKEGGKVRDTLFQILEDEKDVVIKHGTQSRESRRMATQGMHSSKFCLHPAGDTPSACRLFDAIVSLCIPVIVSDYIELPFEDVINYKKIAIFVDTISAVKPGYLVSKIRKVSNERILQYQQELKMVKWYFEYEDPNGTVNEIWRQVSSKVPLIKLMINRDKRLVQRGHDPDCSCICSKQNGTISIRK >Ma09_p18890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19288157:19290936:-1 gene:Ma09_g18890 transcript:Ma09_t18890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRTKPRKPKPSSSSPPPSPTIALTLKMARRSLLKPTLATAFLSLLFLYALFHTLLTPSPSPSPSAAIGLSPSPPSAPKIYLYDLPSKFTYGVVRSYLRARGSPVPANDADIRYPGHQHSAEWWLLSDLARSPTARSPNSPLRLTLDPDEADLFYVPFFSSLSLVVNPIRPGGSDIPGGGGSTAYSDEGTQEELVEWLEGQEYWRRNRGRDHVIVCQDPNALYRVIDRVRNAVLLVSDFGRLRADQASLIKDVILPYSHRINSYKRDVGVEGRHSLLFFMGNRYRKEGGKVRDTLFQILEDEKDVVIKHGTQSRESRRMATQGMHSSKFCLHPAGDTPSACRYY >Ma09_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19281819:19290936:-1 gene:Ma09_g18890 transcript:Ma09_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRTKPRKPKPSSSSPPPSPTIALTLKMARRSLLKPTLATAFLSLLFLYALFHTLLTPSPSPSPSAAIGLSPSPPSAPKIYLYDLPSKFTYGVVRSYLRARGSPVPANDADIRYPGHQHSAEWWLLSDLARSPTARSPNSPLRLTLDPDEADLFYVPFFSSLSLVVNPIRPGGSDIPGGGGSTAYSDEGTQEELVEWLEGQEYWRRNRGRDHVIVCQDPNALYRVIDRVRNAVLLVSDFGRLRADQASLIKDVILPYSHRINSYKRDVGVEGRHSLLFFMGNRYRKEGGKVRDTLFQILEDEKDVVIKHGTQSRESRRMATQGMHSSKFCLHPAGDTPSACRLFDAIVSLCIPVIVSDYIELPFEDVINYKKIAIFVDTISAVKPGYLVSKIRKVSNERILQYQQELKMVKWYFEYEDPNGTVNEIWRQVSSKVPLIKLMINRDKRLVQRGHDPDCSCICSKQNGTISIRK >Ma06_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7884418:7885684:-1 gene:Ma06_g11230 transcript:Ma06_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSVMVSSAVAVVSRASPAQSSMVAPFTGLKSTSAFPVTRKANADLSHLPSNGGRVQCMKVWPIEGKKKFETLSYLPTLVDEVLLKQIEYLLRSKWIPCLEFSHEGFVWRENHRSPGYYDGRYWTMWKLPMFGCTDATQVAKELEECKKEYPRAFVRIIGFDNNRQVQCISFIAHKPDGH >Ma04_p26890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28191042:28194302:-1 gene:Ma04_g26890 transcript:Ma04_t26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTAGQHPNRRPYSAAVPGEAAALRPPSFLFWSAVHVLCCLVSAALGFRFSRLLFLLLFSPASHHHNHHHHVHLVRQPPAAVIPFPPPPPPAVAGRVGVGRHGIRVRPWPRPDPAEVARAHEILVRLQKEQRLRYGVKDPRPVVVVTPTYARTFQALHLTGLLHSLMLVPYPLTWLVVEAGGVSNETAALLAHSSLPVVHLPFHEDMPVLWNDRHRFEARMRLRALRVIRERRLDGIVVFADDSNVHTMELFDEIQKVQWMGALSVGILAHSAAPDATAKRQQRASEQETSPLPIQGPACNSSGQLIGWHTFNYLPYAKKAATFVGDGVTVLPTKLEWAGFVLNSRLLWKEAEGKPNWARDLDEVGISGEEIESPLDLLKDASFVEPLGNCGKKVLLWWLRAEARYDSKFPSRWVIDPPLEIVVPAKRTPWPDAPPDLPYQRIANEGGHVEKHVSKKVRSYRSKRSSRNKKKHETHVDTQVSEMSSAQEK >Ma10_p01120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4023598:4025759:-1 gene:Ma10_g01120 transcript:Ma10_t01120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISTFVCKRIWAMRGGVSHLQQQHYFSTQPASRLAGKVAVITGAASGIGKASAAEFIRHGAKVILADVHHELGKATADELGPAATFVGCDVSQEPHVAAAVDLAVAKHGHLDIMFNNAGVAGSLAMSITDLDLADFDRTMAINARSVVAGIKHAARVMVPRRAGCILCTASIAGVLGGITPLVYSVSKAAVLGAARSAAAELSKHGVRVNCISPHALPTPFGINAVKKMTAEEDVRRVKEMIEATGELEGTKCEVEDAVNAAVYLASDEAKYISGHNLVVDGGFTACKYLRFPPP >Ma10_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4023598:4025759:-1 gene:Ma10_g01120 transcript:Ma10_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISTFVCKRIWAMRGGVSHLQQQHYFSTQPARLAGKVAVITGAASGIGKASAAEFIRHGAKVILADVHHELGKATADELGPAATFVGCDVSQEPHVAAAVDLAVAKHGHLDIMFNNAGVAGSLAMSITDLDLADFDRTMAINARSVVAGIKHAARVMVPRRAGCILCTASIAGVLGGITPLVYSVSKAAVLGAARSAAAELSKHGVRVNCISPHALPTPFGINAVKKMTAEEDVRRVKEMIEATGELEGTKCEVEDAVNAAVYLASDEAKYISGHNLVVDGGFTACKYLRFPPP >Ma09_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9780210:9783714:-1 gene:Ma09_g14320 transcript:Ma09_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSTSSPQYRSRFGDTTLTKVFVGGLAWETPTEELRRYFEQFGEILEAVIITDKFTGRSKGYGFVTFREPESARRSVADPNPVIGGRRANCNIAALGRPRPPSPRLGRSQDGSMYQGPPPPYGRLPAQVGPPVIYPAPLGYLTYPSEYGYQQAAMYNPQVASYYYQQLYGPTSPSAVGPPPYHHYPHMGYSMQSPRAGFPSLAQGPRPPYMQQHPAPHMQGSFIPSSSQQHPFQLQPPPHARQPLSTAVDLQAPQQPSTAGANTDNQDA >Ma08_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6528776:6530430:-1 gene:Ma08_g09030 transcript:Ma08_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFTFQNPNARHHLPRTILRGPLYGVIKGQRAKQGNKS >Ma09_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29370957:29375471:1 gene:Ma09_g20430 transcript:Ma09_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSLNAGNAASSDQLPPAAASSLAPGFRFHPTDEELVSYYLKRKVCGRPLRNDAVAEVDLYRCEPWDLPGLSRIRSRDLEWYFFSPLDRKYSNRSRTNRATPQGYWKTTGKDRPVCRGPRVVGMKKTLVYHAGRAPHGTRTNWVMHEYRLKDEELTQAGISQNAFVVCRIFQKSGAGPQNGAQYGAPFLEEEWEVEADDAVVLMPDGGEDDEVNEAAEQEYLHFGDFVKNVDLENQHVNASNLVADLDEDYGGLTEDATILLDETVDDTSFTNMVECIDEPGQQISQTPTDDMEKETLMEEHTHNHSPPNEKDEYVELNDLTDSANATFPLSEESSYPIRTFHAQNMDGIDGNSNLQKMLDIEEFFDTMSQNSDPLESYQMTSAQDNFYVQPNDLSPVPGGCPSSQQLQENMVFCDAPSDNLAFEHEKDQFTHSPVHDTSGFEMIDDLLAYFDATNDKLYYDTIGFPGCSECTSSSDKSNFPGEVCDGNCSTSKAPAQVPDTHIVGGASSSASSVACSKTEGNHEDVTVKPDAHMKDGNDKTISKCLVNMLGSIPAPPAFAAEYPAGAAKSMAQTSAVHPASSIHVTTGFVYICDPTVSGSVGHWSLQKNGHASFILSYRMADNVSRKTSDFVPSKNQVDAVSVVLRGGLYVLFLLPLFLTISYKVGITICGRYGGFLYLTI >Ma04_p28120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29245053:29247702:1 gene:Ma04_g28120 transcript:Ma04_t28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKPKPPGVLEAVEEIMRVYRSLPPRPSIEEVVAAVAVIRSADNEEEMRIEEIGKMQKPPDVPDELFYVLQEVRKNLVLLQAQEQRREAMYVVELDKRFQVFDELVQRASKLVSSEEGEGGGEEEEEEFKARYDVMVRRTGRSLSSVMEEKDEKKGEMDVSNGQVFSSLKSEVPSEKLSLIQVASLIETSAKNEFGVLDLQGKLMDQIEWLPVSLGKLQDITELNLSENRIMALPPSVGGLRSLTKLDVHSNQLINLPDSFGELCNLVDLDLHANRLKSLPPSFGNLTSLVNLDLSSNQLSALPDTLGNLTNLRRLNVETNELEELPYTIGSCTALVELRLDFNHLKALPEAVGKLECLEVITLHYNRVKSLPTTMASLSKLKELDVSFNELEAIPESLCFATSLVKLNVGRNFADLTALPRSIGNLEMLEELDISSNQIRVLPDSFQLLTKLRVFNADETPLEVPPRHVLKLGAQAVVQYMADLVSARTRSVERAGAAGRKGCWFRLCSLFRPRRKKEMTGLVPVKA >Ma03_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22452084:22453694:1 gene:Ma03_g17220 transcript:Ma03_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTNLQRFSSCRGVSFEINHPQSVLFDVRVRAAGDNSCEREVSLSSFKVQPFATDLQKSTSRSSSHFCDLEDEDDDVEKQQASGEQVPQPAATRSPSVRRKSGESSRLSVILLDQGLFTVYKRLFAVCFTVNVVALVLAVTGHFPYAKKRAAFFSMGNILALTLCRSEAFLRVVFWLAVKALGRPWVPLFVKTAATSFLQSLGGIHSSCGVSSVAWLIYALVLTLQSRKDTSDEIVVVASAILALLCLSCMAAFPLVRHLHHNLFERTHRFAGWTALALLWAFVVLTVGYDPATKSYDNLRGSKLIEQQEFWFTSTITFFIILPWVTASRVPVTVTVPSSHASIIKFAGGVEAGLLGRISRSALSDWHAFGIISDGKDGHMMLAGAVGDFTGGLVSDPPTHLWVRGVHFAGLPYLVNMYNRVVLVATGSGICVFLSFILQPTAAEVRLVWVTKGVEQNFGREIKEMLSRQPKEKVVVHDTAVLGRPNVAQVAVEAARDWEAEVVVVTSNPQGSRDVIRACNKAGIPAFGPIWDS >Ma03_p29410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32336588:32338194:-1 gene:Ma03_g29410 transcript:Ma03_t29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVEESSGWAAPRTCESCRSAPCTVYCRADAAALCAACDASIHSANLLARRHHRVPLLPDLAGGGLVVRPGLSAAYHVGVPSGAGDKDGDEEAAAWLLFDAVKGGSEGAGGLSFAEEADEFLDLVEYDSSENECGSDQQQLLQEAQQFSHGKSEERDHLVPNEQHQHSLQMEFDASNGFNYSSSLSHRVSLSSMDASVVPDTSIGAPKGTMDLFSGHHPLQVQPQLNLMDREARVLRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKKSDVELEVDQMFSTAAVLANSRFGVVPSF >Ma08_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8351251:8359114:-1 gene:Ma08_g11290 transcript:Ma08_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEKAIIKPEAMKSFIWLQCADGSIQQVEEEVATFCPMIYREIVQTGMGSSKNYAISLPEHVNPAILNFIFDYCRFHQVPGRSNRERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARMIEGKSPEEIRETFHLPDDLTEVLYQEEKLEPLKNVNDDPRIRLLNRLYAKKRKELKEQQKLKSKEADEEKKEERSVDEILSFINGHEDSKGVKAIKNKKKNRRKKVLSKDTSGDSAKVHRKKEDTFSPSPSQNLEVQGNVEDAFVSNVEFGDGDIDDELDPAVKEELDREVEDFARRLNSDWPERMQEILSLGQDRRLVPNLVNSNGSVQKFAGLDGR >Ma08_p11290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8351251:8358819:-1 gene:Ma08_g11290 transcript:Ma08_t11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEKAIIKPEAMKSFIWLQCADGSIQQVEEEVATFCPMIYREIVQTGMGSSKNYAISLPEHVNPAILNFIFDYCRFHQVPGRSNRERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARMIEGKSPEEIRETFHLPDDLTEVLYQEEKLEPLKNVNDDPRIRLLNRLYAKKRKELKEQQKLKSKEADEEKKEERSVDEILSFINGHEDSKGVKAIKNKKKNRRKKVLSKDTSGDSAKVHRKKEDTFSPSPSQNLEVQGNVEDAFVSNVEFGDGDIDDELDPAVKEELDREVEDFARRLNSDWPERMQEILSLGQDRRLVPNLVNSNGSVQKFAGLDGR >Ma08_p11290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8351253:8359114:-1 gene:Ma08_g11290 transcript:Ma08_t11290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEKAIIKPEAMKSFIWLQCADGSIQQVEEEVATFCPMIYREIVQTGMGSSKNYAISLPEHVNPAILNFIFDYCRFHQVPGRSNRERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARMIEGKSPEEIRETFHLPDDLTEEEKLEPLKNVNDDPRIRLLNRLYAKKRKELKEQQKLKSKEADEEKKEERSVDEILSFINGHEDSKGVKAIKNKKKNRRKKVLSKDTSGDSAKVHRKKEDTFSPSPSQNLEVQGNVEDAFVSNVEFGDGDIDDELDPAVKEELDREVEDFARRLNSDWPERMQEILSLGQDRRLVPNLVNSNGSVQKFAGLDGR >Ma08_p30620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41823294:41826373:1 gene:Ma08_g30620 transcript:Ma08_t30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEGGQENSLDKSREGSSQETTDGEQEKKADQKFDETTESEQDKRTGNKTEDSVSSELFPDGAQSELLNETARTQNGAWSTQAAESKKEKEVQATSKEDGTGYNWKLCNVTTGPDYIPCLDNEDAIKKLKTTKHYEHRERHCPDEAPTCLLPLPEGYKQPIEWPNSRNKIWYHNVPHTELATIKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQESVPEIAWGKNSRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAFSAVMGTKRLPFPGIVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLEEDVEIWNAMTELTKSMCWEMVNKTKDKINKVGIVTYRKPSNNECYAKRSESSPPLCQGSDDPNAAWNVPLQACMHEVPLDSAVRGSQWPQQWPQRSENPPYWLNSSQIGVYGKPAPEDFKADFQHWKRVVSNSYLKGLGIDWSGVRNVMDMRAVYGGFAAALQEVNAWVMNIVTIDSPDTLPVIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKTKKRCKLLPVIAEVDRILRPQGKLIVRDNAETLEEVESMAKSLNWEVRMGYSKEKGGLLCVQKTLWRPEEVEATVSSLS >Ma10_p27050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34468924:34474556:1 gene:Ma10_g27050 transcript:Ma10_t27050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTKGSKVEVSNTKDVPSGSWRIAEIISGNGHNYFVRYARCPSDSSMGVERVPRKAIRPCPPPVDAPNDWMPGDFVEVFDNNSWKIAQVSSVAGGNYYSVKLSGCSRRFTADKSLIRMRQSWQNNKWVVVQKNSGEQSVRPQRGLSKGGKSDFRLTQSCIQPDNSVVRNHFSIQNHDALEEFIRVSSRATKKRKLEAFPTEESCTNASRKTGKIEKDGRHQEIVAGNLPHLLEKDQSWEGPKVCFHWSVTKGGVVMCFAPSTVWQQFHLILMTTSVLSIDCYF >Ma10_p27050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34468924:34471513:1 gene:Ma10_g27050 transcript:Ma10_t27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTKGSKVEVSNTKDVPSGSWRIAEIISGNGHNYFVRYARCPSDSSMGVERVPRKAIRPCPPPVDAPNDWMPGDFVEVFDNNSWKIAQVSSVAGGNYYSVKLSGCSRRFTADKSLIRMRQSWQNNKWVVVQKNSGEQSVRPQRGLSKGGKSDFRLTQSCIQPDNSVVRNHFSIQNHDALEEFIRVSSRATKKRKLEAFPTEESCTNASRKTGKIEKDGRHQEIVAGNLPHLLEKVGVVASARTVVDEKYMRSSLNNRITSITESKRGIPNLDSHAIMSLDCSDAESTSSSVGSCSISENAYGSPNHCVSFSSQDSYTRPGHEEMLYGLGRELSLPSKEELGAEIHQLELHAFRSMMTAFYASGAISWEQESLLTNLRLMLNISNDEYLSELRNLMSK >Ma03_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5732674:5744136:1 gene:Ma03_g07970 transcript:Ma03_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRHRDEDDDFIDDDEEEEMEEEEEEEEEEVVGGKGRQKRRRSEFIDDVAVEDDEEEEEEDEDDEDDGGHRGRKQRRRSGSEFFELEAVVDSDDEEEEEEGEDDFINDAGADLPDEDDNRRLPHRPILMQEDQEDVEEMERRVKERYSKSNQIDYAEDATDVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRADLQIRSVIALDHLKNYIYVEAEKEAHVKEACKGLRNIFSSAKVMLVPIKEMTDVLSVESKAVELSRDTWVRMKIGIYKGDLAKVVDVDNVRQKVTVKLIPRVDLQTLANKLEGRDIGKKKTFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMVDGMMFKDGFLYKIVSLRSISSQNIQPTFDELEKFRKPGDDVDGDVASLSTLFANRKKGHFMKGDAVIVVRGDLKNLMGWVEKVEEETVHVRPKMTGLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGVTRVGDYELHDLVLLDNMSFGVIIRVETEAFQVLKGVADRPEIVLVKLREIKCKIERRSNAKDRSNNIVSIKDVVRVVDGPWRGKQGPVEHIHRGFLFIHDRHHLEHAGFICSKAQSCVVVGGSHGGSDRKSVDSLDSRFGAFRSSPHILQSPRRLPLRGPPVDFGGGFRGGRGHDSLIGKCIKIKSGPLKGYRGRVKEVTGPLVRVELDSQMKIVTVNRKDIADATGVATPFRDSRYGLGSETPMHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRDRAWAPMSPARDSWEEGNPATWGTSPQYQLGTPVRTYEAPTPGSGWASTPGGNYSDSATPRESSYGSAPSPYLPSTPSGQPMTPSSASYLPGTPGGQPMTPGNVGLDIMSPTIGGENEGNWYMPDIFVNIVKPGGDSHVGIVREVLMDGSCKVALGSVGNGETLTIGSSDLEVVRPKKSDKIKIMNGTLRGVTGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLATT >Ma03_p07970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5732674:5744136:1 gene:Ma03_g07970 transcript:Ma03_t07970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRHRDEDDDFIDDDEEEEMEEEEEEEEEEVVGGKGRQKRRRSEFIDDVAVEDDEEEEEEDEDDEDDGGHRGRKQRRRSGSEFFELEAVVDSDDEEEEEEGEDDFINDAGADLPDEDDNRRLPHRPILMQEDQEDVEEMERRVKERYSKSNQIDYAEDATDVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRADLQIRSVIALDHLKNYIYVEAEKEAHVKEACKGLRNIFSSAKVMLVPIKEMTDVLSVESKAVELSRDTWVRMKIGIYKGDLAKVVDVDNVRQKVTVKLIPRVDLQTLANKLEGRDIGKKKTFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMVDGMMFKDGFLYKIVSLRSISSQNIQPTFDELEKFRKPGDDVDGDVASLSTLFANRKKGHFMKGDAVIVVRGDLKNLMGWVEKVEEETVHVRPKMTGLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGVTRVGDYELHDLVLLDNMSFGVIIRVETEAFQVLKGVADRPEIVLVKLREIKCKIERRSNAKDRSNNIVSIKDVVRVVDGPWRGKQGPVEHIHRGFLFIHDRHHLEHAGFICSKAQSCVVVGGSHGGSDRKSVDSLDSRFGAFRSSPHILQSPRRLPLRGPPVDFGGGFRGGRGHDSLIGKCIKIKSGPLKGYRGRVKEVTGPLVRVELDSQMKIVTVNRKDIADATGVATPFRDSRYGLGSETPMHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRDRAWAPMSPARDSWEEGNPATWGTSPQYQLGTPVRTYEAPTPGSGWASTPGGNYSDSATPRESSYGSAPSPYLPSTPSGQPMTPSSASYLPGTPGGQPMTPGNVGLDIMSPTIGGENEGNWYMPDIFVNIVKPGGDSHVGIVREVLMDGSCKVALGSVGNGETLTIGSSDLEVVRPKKSDKIKIMNGTLRGVTGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLATT >Ma06_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3827746:3829371:-1 gene:Ma06_g05140 transcript:Ma06_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHISLLLLLPLLTLLLFSGRRTKGNAAWKLPPGPNTLPIIGNLHQLGRSLLHQSLWELSKRHGPVMRLKLGHVPAVVVSSAGSAEQVLRTHDLECCSRPRTISTAKLSFGGSDVAFAPYGSHWRDLRKICVAELFATKKITSFRPVREDEVQRTMESIRSRAPNTVVINLSEELLSLTANITCRTAFGDRCHDGFHSTAKESEEIFATFFVADYFAMLGWVDVIRGTQARLQDVFLKLDAFYRRLIDDHLDPRRRRSDDGEDALDALLRLRKDDNNITDAHIKGVLMAFEPERFMQSSADTNGQDFKFIPFGEGRRICPAKNVGMATMELALANLLYSFDWDLPDGMKKEDISMDEAPGITVHRKFPLVLVATKYGGIEVNDKSE >Ma01_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5851189:5851808:1 gene:Ma01_g08160 transcript:Ma01_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTKKRLLQSAQIMALTCVLIFDHQAQSADEAFRGSEIYSLCNASETQALIDIKGRFFGGRTVHASFYGEERFTNKELASMHGEVPGYPWGTLLGDERLQWAF >Ma01_p00600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:458559:464755:-1 gene:Ma01_g00600 transcript:Ma01_t00600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MMNFRKWVSCQLISNKLLSARPFQFSDEDSTSEEHVHPDTASIGTVSVSDSQSNDTQITEVPTSPHLITAMSSHLGHRKADPLTKVEELQIKFLRLIHRIGLTPENLVVSQVLYRLQLASLIRAGESDVKRPVLKVNTARGIATELESTGSPNLDLSLRILVLGKTGVGKSSTINSIFEQPMVATNAFDPSTDRIHEVVGTIKGIQVTVIDTPGLSASYGNASHNRRLMLSIKRFIRKSPPDVVLYFERLDAINRGYSDQPLLKLINDVFGSSIWFNTILVMTHASSHPPEGSDGYSVAYDAFVHQCTTTVRNYIRQTVSNAQFETPVILVENHPMCRTNNKGEKVLPNGQVWLSQFLLLCAATKVLADANVLLKFQESFQLIPKNSRLPSLPHLLSSILRPRSLPNGKSFGDQDDVYELLDNDNDEDDYDQLPPIRILTRTQFKTLSKAQRNAYLDELDYRETLYLKKQWKEELRRQRERMLHQDDTYVRNDNYENSDSQEVSEVSDMAIPLSFESDNPAYRYRSLLGNDQWLVRPVLDPQGWDHDVAFDGINLESSLDIRKNFQASVVGQMRKDKEDFNIQSECTARYSDPRHHSLLAEVDIQTAAKDLVCTVHGDAKFCNFKCNTTGGGISVTKYGNMYFVGAKLEDSINIGRRAKLTLNAGQIRGCGQVASGGSIEATLRGKDYPIRDDKLTLATTILSYDKEMVLGGSIQTDFRAGRTVKMSVNANLNSRRLGQISIKTSTSEHVEIALIAIFSLVNALIQRKGTEASIDEKKESTSIDL >Ma01_p00600.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:458559:464755:-1 gene:Ma01_g00600 transcript:Ma01_t00600.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MMNFRKWVSCQLISNKLLSARPFQFSDEDSTSEEHVHPEDTASIGTVSVSDSQSNDTQITEVPTSPHLITAMSSHLGHRKADPLTKVEELQIKFLRLIHRIGLTPENLVVSQVLYRLQLASLIRAGESDVKRPVLKVNTARGIATELESTGSPNLDLSLRILVLGKTGVGKSSTINSIFEQPMVATNAFDPSTDRIHEVVGTIKGIQVTVIDTPGLSASYGNASHNRRLMLSIKRFIRKSPPDVVLYFERLDAINRGYSDQPLLKLINDVFGSSIWFNTILVMTHASSHPPEGSDGYSVAYDAFVHQCTTTVRNYIRQTVSNAQFETPVILVENHPMCRTNNKGEKVLPNGQVWLSQFLLLCAATKVLADANVLLKFQESFQLIPKNSRLPSLPHLLSSILRPRSLPNGKSFGDQDDVYELLDNDNDEDDYDQLPPIRILTRTQFKTLSKAQRNAYLDELDYRETLYLKKQWKEELRRQRERMLHQDDTYVRNDNYENSDSQEVSEVSDMAIPLSFESDNPAYRYRSLLGNDQWLVRPVLDPQGWDHDVAFDGINLESSLDIRKNFQASVVGQMRKDKEDFNIQSECTARYSDPRHHSLLAEVDIQTAAKDLVCTVHGDAKFCNFKCNTTGGGISVTKYGNMYFVGAKLEDSINIGRRAKLTLNAGQIRGCGQVASGGSIEATLRGKDYPIRDDKLTLATTILSYDKEMVLGGSIQTDFRAGRTVKMSVNANLNSRRLGQISIKTSTSEHVEIALIAIFSLVNALIQRKGTEASIDEKKESTSIDL >Ma01_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:458559:463051:-1 gene:Ma01_g00600 transcript:Ma01_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MFIQTQQALGPYQKADPLTKVEELQIKFLRLIHRIGLTPENLVVSQVLYRLQLASLIRAGESDVKRPVLKVNTARGIATELESTGSPNLDLSLRILVLGKTGVGKSSTINSIFEQPMVATNAFDPSTDRIHEVVGTIKGIQVTVIDTPGLSASYGNASHNRRLMLSIKRFIRKSPPDVVLYFERLDAINRGYSDQPLLKLINDVFGSSIWFNTILVMTHASSHPPEGSDGYSVAYDAFVHQCTTTVRNYIRQTVSNAQFETPVILVENHPMCRTNNKGEKVLPNGQVWLSQFLLLCAATKVLADANVLLKFQESFQLIPKNSRLPSLPHLLSSILRPRSLPNGKSFGDQDDVYELLDNDNDEDDYDQLPPIRILTRTQFKTLSKAQRNAYLDELDYRETLYLKKQWKEELRRQRERMLHQDDTYVRNDNYENSDSQEVSEVSDMAIPLSFESDNPAYRYRSLLGNDQWLVRPVLDPQGWDHDVAFDGINLESSLDIRKNFQASVVGQMRKDKEDFNIQSECTARYSDPRHHSLLAEVDIQTAAKDLVCTVHGDAKFCNFKCNTTGGGISVTKYGNMYFVGAKLEDSINIGRRAKLTLNAGQIRGCGQVASGGSIEATLRGKDYPIRDDKLTLATTILSYDKEMVLGGSIQTDFRAGRTVKMSVNANLNSRRLGQISIKTSTSEHVEIALIAIFSLVNALIQRKGTEASIDEKKESTSIDL >Ma01_p00600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:458559:464761:-1 gene:Ma01_g00600 transcript:Ma01_t00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MMNFRKWVSCQLISNKLLSARPFQFSDEDSTSEEHVHPEDTASIGTVSVSDSQSNDTQITEVPTSPHLITAMSSHLGHRKADPLTKVEELQIKFLRLIHRIGLTPENLVVSQVLYRLQLASLIRAGESDVKRPVLKVNTARGIATELESTGSPNLDLSLRILVLGKTGVGKSSTINSIFEQPMVATNAFDPSTDRIHEVVGTIKGIQVTVIDTPGLSASYGNASHNRRLMLSIKRFIRKSPPDVVLYFERLDAINRGYSDQPLLKLINDVFGSSIWFNTILVMTHASSHPPEGSDGYSVAYDAFVHQCTTTVRNYIRQTVSNAQFETPVILVENHPMCRTNNKGEKVLPNGQVWLSQFLLLCAATKVLADANVLLKFQESFQLIPKNSRLPSLPHLLSSILRPRSLPNGKSFGDQDDVYELLDNDNDEDDYDQLPPIRILTRTQFKTLSKAQRNAYLDELDYRETLYLKKQWKEELRRQRERMLHQDDTYVRNDNYENSDSQEVSEVSDMAIPLSFESDNPAYRYRSLLGNDQWLVRPVLDPQGWDHDVAFDGINLESSLDIRKNFQASVVGQMRKDKEDFNIQSECTARYSDPRHHSLLAEVDIQTAAKDLVCTVHGDAKFCNFKCNTTGGGISVTKYGNMYFVGAKLEDSINIGRRAKLTLNAGQIRGCGQVASGGSIEATLRGKDYPIRDDKLTLATTILSYDKEMVLGGSIQTDFRAGRTVKMSVNANLNSRRLGQISIKTSTSEHVEIALIAIFSLVNALIQRKGTEASIDEKKESTSIDL >Ma01_p00600.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:458559:464755:-1 gene:Ma01_g00600 transcript:Ma01_t00600.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MMNFRKWVSCQLISNKLLSARPFQFSDEDSTSEEHVHPEDTASIGTVSVSDSQSNDTQITEVPTSPHLITAMSSHLGHRKADPLTKVEELQIKFLRLIHRIGLTPENLVVSQVLYRLQLASLIRAGESDVKRPVLKVNTARGIATELESTGSPNLDLSLRILVLGKTGVGKSSTINSIFEQPMVATNAFDPSTDRIHEVVGTIKGIQVTVIDTPGLSASYGNASHNRRLMLSIKRFIRKSPPDVVLYFERLDAINRGYSDQPLLKLINDVFGSSIWFNTILVMTHASSHPPEGSDGYSVAYDAFVHQCTTTVRNYIRQTVSNAQFETPVILVENHPMCRTNNKGEKVLPNGQVWLSQFLLLCAATKVLADANVLLKFQESFQLIPKNSRLPSLPHLLSSILRPRSLPNGKSFGDQDDVYELLDNDNDEDDYDQLPPIRILTRTQFKTLSKAQRNAYLDELDYRETLYLKKQWKEELRRQRERMLHQDDTYVRNDNYENSDSQEVSEVSDMAIPLSFESDNPAYRYRSLLGNDQWLVRPVLDPQGWDHDVAFDGINLESSLDIRKNFQASVVGQMRKDKEDFNIQSECTARYSDPRHHSLLAEVDIQTAAKDLVCTVHGDAKFCNFKCNTTGGGISVTKYGNMYFVGAKLEDSINIGRRAKLTLNAGQIRGCGQVASGGSIEATLRGKDYPIRDDKLTLATTILSYDKEMVLGGSIQTDFRAGRTVKMSVNANLNSRRLGQISIKTSTSEHVEIALIAIFSLVNALIQRKGTEASIDEKKESTSIDL >Ma01_p00600.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:458559:463051:-1 gene:Ma01_g00600 transcript:Ma01_t00600.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MFIQKTQQALGPYQKADPLTKVEELQIKFLRLIHRIGLTPENLVVSQVLYRLQLASLIRAGESDVKRPVLKVNTARGIATELESTGSPNLDLSLRILVLGKTGVGKSSTINSIFEQPMVATNAFDPSTDRIHEVVGTIKGIQVTVIDTPGLSASYGNASHNRRLMLSIKRFIRKSPPDVVLYFERLDAINRGYSDQPLLKLINDVFGSSIWFNTILVMTHASSHPPEGSDGYSVAYDAFVHQCTTTVRNYIRQTVSNAQFETPVILVENHPMCRTNNKGEKVLPNGQVWLSQFLLLCAATKVLADANVLLKFQESFQLIPKNSRLPSLPHLLSSILRPRSLPNGKSFGDQDDVYELLDNDNDEDDYDQLPPIRILTRTQFKTLSKAQRNAYLDELDYRETLYLKKQWKEELRRQRERMLHQDDTYVRNDNYENSDSQEVSEVSDMAIPLSFESDNPAYRYRSLLGNDQWLVRPVLDPQGWDHDVAFDGINLESSLDIRKNFQASVVGQMRKDKEDFNIQSECTARYSDPRHHSLLAEVDIQTAAKDLVCTVHGDAKFCNFKCNTTGGGISVTKYGNMYFVGAKLEDSINIGRRAKLTLNAGQIRGCGQVASGGSIEATLRGKDYPIRDDKLTLATTILSYDKEMVLGGSIQTDFRAGRTVKMSVNANLNSRRLGQISIKTSTSEHVEIALIAIFSLVNALIQRKGTEASIDEKKESTSIDL >Ma01_p00600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:458559:464755:-1 gene:Ma01_g00600 transcript:Ma01_t00600.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MMNFRKWVSCQLISNKLLSARPFQFSDEDSTSEEHVHPEDTASIGTVSVSDSQSNDTQITEVPTSPHLITAMSSHLGHRKADPLTKVEELQIKFLRLIHRIGLTPENLVVSQVLYRLQLASLIRAGESDVKRPVLKVNTARGIATELESTGSPNLDLSLRILVLGKTGVGKSSTINSIFEQPMVATNAFDPSTDRIHEVVGTIKGIQVTVIDTPGLSASYGNASHNRRLMLSIKRFIRKSPPDVVLYFERLDAINRGYSDQPLLKLINDVFGSSIWFNTILVMTHASSHPPEGSDGYSVAYDAFVHQCTTTVRNYIRQTVSNAQFETPVILVENHPMCRTNNKGEKVLPNGQVWLSQFLLLCAATKVLADANVLLKFQESFQLIPKNSRLPSLPHLLSSILRPRSLPNGKSFGDQDDVYELLDNDNDEDDYDQLPPIRILTRTQFKTLSKAQRNAYLDELDYRETLYLKKQWKEELRRQRERMLHQDDTYVRNDNYENSDSQEVSEVSDMAIPLSFESDNPAYRYRSLLGNDQWLVRPVLDPQGWDHDVAFDGINLESSLDIRKNFQASVVGQMRKDKEDFNIQSECTARYSDPRHHSLLAEVDIQTAAKDLVCTVHGDAKFCNFKCNTTGGGISVTKYGNMYFVGAKLEDSINIGRRAKLTLNAGQIRGCGQVASGGSIEATLRGKDYPIRDDKLTLATTILSYDKEMVLGGSIQTDFRAGRTVKMSVNANLNSRRLGQISIKTSTSEHVEIALIAIFSLVNALIQRKGTEASIDEKKESTSIDL >Ma03_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25873040:25873498:-1 gene:Ma03_g20780 transcript:Ma03_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRSRVSEEEINRLVSKLQSLLPETRQRGAGRASAAKLLKETCNYIRSLNRDVDDLSDRLSAIMATMDSSSAEAEMVRSLLRS >Ma08_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2461026:2461109:-1 gene:Ma08_g03350 transcript:Ma08_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGAPDKDTNLGSMQRMVYKRESS >Ma08_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35726917:35727584:-1 gene:Ma08_g21970 transcript:Ma08_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVFSLLLLFVALAVGNTSLFPRAAADGTNKAVEGMVYCQKCKYVGSWNLDGARPLPSAKVSIICKDHKHRVIFYDSAETDRNGYFYKLLDGAHLRSATFDPVTACTARLLASPDASCNRLTNVNYGIQGAKLRDESKTNSGEHCETELYAAGPLAFKPVHCHPRTHY >Ma10_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27730495:27735686:-1 gene:Ma10_g15750 transcript:Ma10_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP54CP [Source:Projected from Arabidopsis thaliana (AT5G03940) UniProtKB/TrEMBL;Acc:A0A178UD49] MEAVSFSASPYRHLSLCAPSLHCSGAFCGARVAGRPNLSSNSSTSWTGSTLYLGSSRRTLFTRELWGWINSKSEHVSRGRCFTVRAEMFGQLTTGLETAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFVQSVSDQAVGAGLIRGVRPDQQLVKIVHDELVKLMGGEVSELVFAKSGPTVMLLAGLQGVGKTTVSAKLAFYLKKLGKSCMLVAADVYRPAAVDQLKILGEKVGVPVYAEGTNVKPSEIAKHGLEEARKKSIDVVIVDTAGRLQIDKTMMDELKEVKQALNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVREVSGRPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKIMSAKFDFNDFLKQTRAVAQMGSVSRVIGMIPGMGKVTPSQIREAEKNLKIMESMINVMTPEEREKPELLAESPARRKRIATESGKTEQQVSQLVAQLFQMRVRMKNLLGVMQGGSIPALSNLEESLKAEQKAPPGTARRRRRSEPRKQFADSASARPSPRGFGSKN >Ma06_p29090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30576387:30578959:1 gene:Ma06_g29090 transcript:Ma06_t29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKSEAPTRSRFATPDEAGDHIECTGASCRSCTAVLVADCIALGCCPCAVVNMLALTLVKVPWVVSRRCLAMLKRKGGSLRRRRVRDEGGEAEKMTEVVIKGREGNSLEKIREWDEEGIWGTRDGENGRGGPRLDADKVWMELYQIGHWGFGRLSFSGTQGRGN >Ma02_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29059047:29060148:-1 gene:Ma02_g24420 transcript:Ma02_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFWGVRKKVGPPSSSSGSDQPNRPMKHGHHGPTVPEKRQSRRRRSRERRKKGGRRKIEEKDEWRWTR >Ma02_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20859443:20861534:-1 gene:Ma02_g11930 transcript:Ma02_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFSRPFRKKGYIPLTTYLRTYRIGDYVDIKVNGAVHKGMPHKFYHGRTGKVWNVTKRAIGVEVNKQVGNRIIKKRIHVRVEHVQQSRCQEDFRSRVKKNDQLKAEAKARDEIISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Ma06_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6713315:6717855:1 gene:Ma06_g09640 transcript:Ma06_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYLTALTTLFSYGLLFAFGQLRDFFRKLIDWSRSKSKDLKGYAPICLGLEDFYTRRLYLRIQDCFSRPIASAPDAWIDVVERYSNDNNKTLHRTSNTTKCLNLGSYNYLGFAAADEYCTPRVIDSLKRYSPSTCSVRVDGGTTNLHTELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGAAVRVFQHNSPSHLEDVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAIGKSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQYLKYTCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSKEDLMKGLEVISKVGDLVGIKYFPAEPPKHAEQEKKNL >Ma09_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9801759:9807397:-1 gene:Ma09_g14360 transcript:Ma09_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRVRPRRVTVMRLLLTIAVGTVFLVALLAVQVSPSSSYSSSSSPGAYKLPKRHEIGYGSWRSEHKWVQEAVPPQLSKASAAHHQWDSTSQETTEEFEKLWKPPSDRGFVPCTKPSSSYSSPGESRGYLLVSTNGGLNQMRAGISDMVAVVRIINATLVIPKLDKSSFWQDSSNFSDVFDEDHFIHSLANDVKIVKKLPKELAASTKIVKYFKSWSGVEYYQDEISRLWNDNKVIRAAKSDSRLANNNLSSEIQKLRCRVFYESLRFAPSIEALGKLLVERMRSYGPFIALHLRFEKDMLAFSGCTYGLSPHEANELTKIRENTPHWKVKDIDPMQQRSKGHCPLTPKEVGIFLSALGYPSSTPIYIAAGDIYGGDSHMADLQSRFPILMSKEKLASAEELDPFRPYASQMAALDYIVSVESDVFVSSYLGNMARAVEGHRRFLGHRKTITPDRKSLVHLIDKIDRGSLKEGKKLSNMILEAHKGRQGSPRKRKGPISGTRGKERFRSEEAFYENPLPDCLCRSESDDTTGHHHLVTT >Ma08_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2304510:2306837:-1 gene:Ma08_g03060 transcript:Ma08_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPWTVKDLQRLNGRLVALSRFLARSGDRCLPFFKALKDPRNFQRTSECEEALKKMKRHLASLPRLASVFPGEKLGLYLAASPRAVSSVLVKESSGPQLPIYYVSHVLSGPEERYPPIEKLALALVLSARKLRPYFQAHSVEVITDQPLRQVLTKFDVAGRLLRWAVELSEHDISYVPRTAIKAQAVADFVAELAQMDGDPGQTPEAWTLHVDGSANSRGAGAGLVLLAPDGRSFKRSLRFGFKATNNEAEYEALLAGLRLALEMQINGGYEAWDATMAKYLARVRDLSARFPYFTLSNVPREENGRADALAKRASRQAPEAGPRIEELPARTIEVATTAPGGPPTTWVQELLRFKRDETLPLDEVAARRLRRTHAWYAEESGRLYKRSFTHPLLRCLEPDEARTVLAETHEGACGEHIGGRTLAHKILRQGYYWPTMCQDAKAYVQWCGSCQQHSRAPRQPSVPLCPIDCAWPFAQWGLDILGPFPPALGQRKYIIVGVDYFTKWVEAEPLATITEHQVEKFVWKNLVTRFGLPKTIITDNGPQFTGTRFREFCAGHSIQLRFSSVAHPQMNGLAEVTNRSILDDLKRRVSAARSTWTDELPSVLWSLRTTPKTATGESPYSLTFGTEAVLPPEAAVATLRTWSYEEEASNQGLRANLNMLEERRADAHLKALSHQRAIARIYNRKVRPRPIKLGDLVLRRAEVSDPTRTKGKLAPKWEGPYRVTDVVRPGTYRLTAMDGAPLPRTWNIQNLKKFFV >Ma05_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33121301:33133538:-1 gene:Ma05_g21470 transcript:Ma05_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRCVVIQEVEEGEELKSKGRVSSRRCRTEMMFPMYAMGSFKPNSIARTILDTAGDPIWDSVRADARFEADKEQILSSFLYASVLSHDCLERSLGFVLANRLQNATLLATQLMDIFDDVMMNDRGIQSSIRLDAQAFKDRDPACASYSWALLYLKGYHSLQSYRIAHALWNQGRKILALALQSRISEVFAVDIHPAARIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKEVGDRHPKIGQGALIGASATVLGNIVIGEGAMIAAGSLVLKDVPAHSMAVGNPAKIVGYIEDEEPSLTMKHDAGKDYFEHVAASSSDGLNNGIHCSGRKS >Ma10_p27290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34648807:34650390:1 gene:Ma10_g27290 transcript:Ma10_t27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWPLPMLGFLQAYPEILLSIACFLFLLLFPLRHSRMPINWPVFGMLPAVVVHFHHLHDYLADLLLHVGCTWLFRGPWFLGMNMVLSCDPANVNHILSDNFANYRKGDEFNEVFDILGDGLFNADAESWRIQRKLAHNYIGDRSFRSFVATATREKTEKGLLPVLLLKSEREEVAELQDLFMRLSFDVTSLMVFGVDHGCLSANLPAIPFAVAIDDAWEALLFRHAVPKSWWKILKRLNVGTEKKLAKAWEVIDHFICQVISERREERRVASINAVQKETSTPRTNDLLTSYIDNVVEEIQGKFEPHKFLRDNVLTFMIAGRDGFAICLSWFFWLLSKNPTAEAKILEELSLHRSREKESMVFDAEELGRMVYLHGAVCETLRLFPPVPYEEKTAVNPDVLPSGMKVEPGDKILFSVYAMGRMEEIWGKDCMEFNPGRWIAEDGRPKHVPAYKFMAFNSGPRICPGRDIALTQIKTVAAAVVWNFRVEVLDRQMVAPKNAVLLRMQHGMMVKLRRRDRGCCVQSI >Ma02_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20970179:20972922:1 gene:Ma02_g12130 transcript:Ma02_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTPLFVLLTFSLVVLRIELEISYGCRETERKALIVFKRGLHDPSNRLSSWVGEDCCAWEGVGCSIFSGRVIKLDLRNRRCKRALRGDITPSLRSLQQLNHLDLSGNCFVGVVPDQLGNLSNLQHLHLSYNNYRDEIELISCHSRIFPCSLSHVNFTSLTTLDLRYNDINSIIPDWGFNITSLEIFYLGWNDLYGIFPYSITKFTSLRALDLSGSVGSFLNWPGNIKNLKSLYLSFNSIYGSVPTSIGDLSLLQHLFLDSNYLNRTISKGIGQLKSLVSLDLYHNSLSFNKLFTLDLGHNNFIRNIPTWIGESLLYLKTLGLRSNAFTGNIPQLSSLPSFRILDLSNNNLSGTIPQGFGNFSALKRAPMYHFLDLSRNNLSGAIPSSLVALNFLNHLNLSYNNISGKIPIGNQLQTFINPSIYAGNPNLSGPLSPKNYITK >Ma06_p29870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31227896:31233258:-1 gene:Ma06_g29870 transcript:Ma06_t29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASALLLLLCILFFCRESYGVRGSSSELTSSPPRGWNSYDSFSWVVDEQAFLDNAAILAKNLHRYGYEYVVVDFLWYRKNVDGASEDSYGYDCIDPWGRPFPDPGRWPSSKGGQGFTEVARKVHEMGLMFGIHVMRGISKQAVDASTPVLDVRSNSVYREGNRTWTAKDIGMTQRACAWMQHGFMSVDTDIGAGRAFLRSLYQQYAEWGVDFVKLDCVFGDDLDSKEIITVSELLRELDQPVLFSISPGTNVTPSMAGSISAYVDMYRITADDWDKWTDVASHFDISRDFSSANLIGAKGLHGRSWPDLDMLPLGWLTDPGVRQGPHRKSNLTVDEQRTQEHKPQAVTLWSMVKSPLMFGGDLRNIDEITLSLITHPTLLEINSFSTNNKEFPFVFATEDLKSRNHALNKRSMSQELVDGPDNKVLGLTSCKTERAKGWFEMDSIQICRKGDSKNQNPSYCLYKRMPHLIPDQGIKYKQEYVGMFQLLAMQNQSACLEASVENTLQQRKRRITLSGCKWHDSQMWRLNDSGTLVNSYSALCATMVSEAVNSTEGIRSWIGTGRKGEIYLSFFNLNSQTTVISAKISDIGKVLGGEFLGNATCKCTEVWSGHKFGQVNQISMAVTRHGCALFVLDCTDQFQFSKV >Ma03_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27327599:27330961:1 gene:Ma03_g22590 transcript:Ma03_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Ha. [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MSLLPTLAPETLYSLRSEHSSSSPHFFLLSPNRNPYSRSLTFSSRTPRRLPSSHVSASAAAADPNPKRKAKGRRPPKPDPPPQGTEAVEGGPGAGDAFPTTIPGKPRRGRRSEAAAVEDFVRDRLERTFASIREQSAGVLEGKEGVLKERRTEEGSEGEGKAEEEVVVEEDDPDWPLDADVGWGIRASEYFDKHPIKNVVEDGVEIDWEGEMDEGWVKEINCLEWESFAFHPSPLVVLVFERYNRAAHNWKLLKELEKAAKVYWDAKDRLPPRTIKIDINIEKDLAYALNVKACPELLFLKGNKILYREKEFRTSDELVQMIAHFYYNAKRPSWVDSARVSSPF >Ma04_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14653961:14655261:-1 gene:Ma04_g15980 transcript:Ma04_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFDESRVRKSGDVTTSYSSGAASSNPVEGSSPDLLKNTPSNIATLEDAIEQFKSRQKYLAHTKSPSDGEDVRWYLCKVPLAEKQLSASLPRIEIIGKDDYFRFSVRDSLALEASFLQ >Ma06_p38510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37244032:37254713:1 gene:Ma06_g38510 transcript:Ma06_t38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCASIPSCARAQLQSIISRNNHFVASQRNAYAGMLQRDCIKKGHLFGQFKCSATCLASATFRTGINDIICMKKSSIKCRCQGMLMNPETNMPNNWIPIVNQVLLTVSVIFAYLAGVVPRDRAFSRVRDGTTGLHRDATTSSYGRSTESTSNDYWHETYKKLMDALSTIKNDSNFTSNVAENNADSKKQPFNLFAIDEGPRLRLIWVTLQRLQTEVNDISENSEFVTHDIWLKISLEVIKGAMLPICKEWLDVELNLEIGECNTMLTSKMLKKLKGDERILQNINRVGKSELYSDLLFFLRFGSLSVGCCYGTKLLTQYGVDILEDLVVMLADTIASIYLELISIDSDISTEMSGLGLTLCSMSTRTLQKLRNEVVLKHWLQENFESVISMYEDRFELHVLHRELLDNPVENQNVNLHWWKKLPFRKSATASPMPYVCISRFSLPVKRTKELRALTGWRYYFSLYLEFSDISMPLVRSAFTKVRNAVSFLLVCMIGRSVGLIFTGIRQSLGWR >Ma11_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9976865:10010304:-1 gene:Ma11_g10550 transcript:Ma11_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAADSAGALVELDNGGPPGTLARLVESQRELFHSQIDQLQKLVVAQCKLTGVNPLAQEMAAGALSIRIGKRPRDLLNPKAAKYMQSVFSIKDAIGKKESREISALCGVTVSQVREYFAGQRSRVRKLVRLSCEKVTRLEESKTSKEDHSVSLDQSLPVSEVPSGNAAASDAFVTVELKQVPDNTGIFGTVKTYQQETLTSIDLVKEEGHPSLLQEETIPGVNSDDKEFLSNIFNLMRKEQTFSSQVKLMEWVLCVENSAVLNWFSNNGGITILATWLSQAAVEEQTSVLLVILKVLYHLPVHKALPVHMSAIVPVVNKLRFYRTSDISNRARVLLSRWSKVFIKSQALKRPFVSSFKTTMEAIHKQRMSGFLNDELLQAKLDIPEDILALTEDAETTKTIEPKQTLKLLPASGADSSKKHDRSVSSTKSKERRKVLLVEQPDHRAAGRSAQVVRAVSANHSRPMSADDIQKAKLRAMFMQHKYGKVDPSSSGSKLEKIEDPKALSASQINNVLSECKAPQDPHLIKEGSSIRIVSTKDNLLSESETAINSNSNSTSKQDCLGMLNCKPIQWKIPRETQISSTWSMGAGEDSKEFDVQTQRNQREKETFYSCLQDIPPNPKEPWDREMDFDDTLTPEIPTEQPPDADAEEGSSCAPIKDAEEAPASKAAADITCASPISDGPPEPDLELLAVLLKNPDLVFALTSNQGKSLTSEEMVVLLDMLKRNGVGLTGMLNELAHPKENSSHKTRSQEQEPPTSLPSPTPPSEAARSDWRSDFRAFSKTPVLQPHFSGNRTAAALTSVVLQPPPATVFPVVSGPQTPGLVSPAQPPATISSVPEGMMMNDSTTRNLPPMSLLPTRTPAPSPPPQKTSIRYPLQQTKVFNSDLPSKQYPVTKTTFISSIPLQESLGHSRTTMSCLPALPALPHNLQRPQLLPKAEPSKVSPIPPTWPPVSGATKVVRQDTTAHQLISQPNGILEAAVPNQQYVPIQNNYSTYPSGTVSQAHMLPGSLRGDRNGSLHGDGGSLGSTEFPAGWSYNDESRRESRSNKMPEWSTENWDRYRSGGGGKRWQDHGHGHGHGRQR >Ma04_p33360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33025215:33025500:1 gene:Ma04_g33360 transcript:Ma04_t33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKSEEKSLPCPSFCRRCRSLVREQRARFYILRRCIVMLVCWRDSGDL >Ma02_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27646679:27649241:-1 gene:Ma02_g22260 transcript:Ma02_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAAPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQASYDKMLSEVPKFKQITPSVLSERLRVNGSLARRAIKDLMARGAIRMVSAHASQQIYTRATNT >Ma04_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6148975:6152375:-1 gene:Ma04_g08610 transcript:Ma04_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHPPPLVHRKWLLCTLACFLGYLLLSYSLEKAFRPSTTIVSFPGFHGPAKLTLSGDAEAPSENYVDVSPPSEAVMSPRPTTEAEMVSPQPSAAVVENYVDVSPPSEAAMSPPPTAEAELVSPQPSAVVEMATSAESPSIPLLPQQSTSQVIDEEILTAKAAGAARNPEADGGEVGKVVEKKCDIFDGRWVYDRQRYPLYRSHWCPFLSDQVSCQRNGRPDSDYEHWRWQPNGCDLPWFNGKDMLERWRGKRVVIVGDSLNRNMWESLACILYSSVRRNRAYVKWHGSEYKVFRALDYDCSVEFFWSPFLVELKEREDHAKILRVDKLPESANRWLGADVMVFNTGHWWTHRGKMRAWNYFERREQLTEAMEANEAFNRALRTWARWVDGSVDPAKTTVFFRSVSPEHKRENLHWCYNETHPITHQRYVQLFPRSMVELVERTIRKMRTPVTYLNITRLSEYRRDAHTAVYTSKQGKLLTAGQRQEPARYADCSHWCLPGLPDTWNVLLFASFIGTPTIPS >Ma08_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3885465:3889050:-1 gene:Ma08_g05710 transcript:Ma08_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQRMQPKRRPLLPLLFFLSFSALLLFFYHSSSSSLLLSSSPNPNPRFTFIIKLLTFDRIDSLRRCLRSLAAADYTGDRVHLHVLVDHFRPLNGSSGATLDRKLEESRRILYLVDRLHWPHGDKIVHYRTANAGLQAQWLEAWWPSSDDEFAFVVEDDLELSPLYYKFLKGLILKYYYDPANYDPSIYGASLQRPRFVAGKNGNKLQVGSETRIFLYQMVGTWGQLLFPKPWKEFRLWYDEHKSKEIKPILQGMVTTGWYKRFGERIWTPWFIKFIHSRGYYNIYTNFEQERALSISHRDAGVNYGKTVGPDSSLLVDESLSFNLWEVPPLRNLKWYDFCFTETLPGRIVSDFSGLRSLLYSLKQQKTIIVISLYQTTERIAKNLICNLEKAGSLNFILLGGNPEFLIDLARRGYPVIDADQLISSIRHDKSVYLERETDIIREIWVKATIVQKCLELGYNLWLIDGNMIPGSGSLSELPHPSYDFVVVKDVGLLFVKSSPPSLKMWNDDYIHKVVAECQSLTGSNSHLMEHKNFVYLARKALDDNADVRVDDSAVGVKLGAITMNRTESKLNMVFWSQEMASALVQKELERLGMWSIDVDSSCVSVVCHKTHRSQF >Ma09_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13111927:13122395:1 gene:Ma09_g17560 transcript:Ma09_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRLSLLGARILRESRLGQISTIQRDLKTGPFSPFLYPMGKFCFSNAANGQTTEGDGKDKETISVTFLDKDGEEKVIKVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNYYNKLEDPTDEENDMLDLAFGLTETSRLGCQIVAKPELDGIRLALPAATRNFAVDGFVPKPH >Ma08_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15899098:15902959:-1 gene:Ma08_g15700 transcript:Ma08_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNVKALDKGEKHDLKRKRDPALYFTDVGQGLVGCSDHLIPGQSSRRVSITKGCKTNLGCHPETHILKNYYNFTKSGLPKRVLAYENGEWKDFPENIVSLVQEDFRSKKAITEAGFRNQQLLLDYIYMICIVLETGLVKPIAWIDDHGKCFFPELRPECYVLHRYHHSDNDIQVYMNPDANRKHETNDHFEISISAAESSSSRPDDEAMSNVKRVKSEKNSASDCNIYVEVNEAVGENESGSALPSNVRASRICQAPASGCQVNRAVQEMLLQGLGKLIDAKDILGILRTPLRNDLGQVRFNLFQEQVEIAKKVRGNANVRYAWLASSKDAVEGMMLHGTLTRPEQKCLYGNGIHLAPANCSKICASYSDVDENGVIHLMLCRIIMGNAELIYPGSNQCQPSNENFDTGVDDLQKPTHYIIWDMNMYTHIYAEYIVTFKVTSKLKEWLVGKESKSNVSALTNSGSPHSLLQDKAFQPSLAFGNKAQAPVSRTMPRIPTSPWMPFSMLFAAISTKVPPEDMDLVHTQYDEFKKGKISRIDLVKKLRQIIGDKLLVSTIMRLQHKLPPMARREPPKCWSHNLPHES >Ma08_p15700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15898532:15902957:-1 gene:Ma08_g15700 transcript:Ma08_t15700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNVKALDKGEKHDLKRKRDPALYFTDVGQGLVGCSDHLIPGQSSRRVSITKGCKTNLGCHPETHILKNYYNFTKSGLPKRVLAYENGEWKDFPENIVSLVQEDFRSKKAITEAGFRNQQLLLDYIYMICIVLETGLVKPIAWIDDHGKCFFPELRPECYVLHRYHHSDNDIQVYMNPDANRKHETNDHFEISISAAESSSSRPDDEAMSNVKRVKSEKNSASDCNIYVEVNEAVGENESGSALPSNVRASRICQAPASGCQVNRAVQEMLLQGLGKLIDAKDILGILRTPLRNDLGQVRFNLFQEQVEIAKKVRGNANVRYAWLASSKDAVEGMMLHGTLTRPEQKCLYGNGIHLAPANCSKICASYSDVDENGVIHLMLCRIIMGNAELIYPGSNQCQPSNENFDTGVDDLQKPTHYIIWDMNMYTHIYAEYIVTFKVTSKLKEWLVGKESKSNVSALTNSGSPHSLLQDKAFQPSLAFGNKAQAPVSRTMPRIPTSPWMPFSMLFAAISTKVPPEDMDLVHTQYDEFKKGKISRIDLVKKLRQIIGDKLLVSTIMRLQHKLPPMARREPPKCWSHNLPHES >Ma05_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:93125:94492:1 gene:Ma05_g00130 transcript:Ma05_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETHIARFQTIKNACDRLRCCSQNESSEDVRSWRRRTTSPSSKPSRTPAIASVVAALVFGVVGARKDDKEEEEVEGEAEMDGDESTPPLLLATLGPRAPAPGDCYCCKSWTN >Ma10_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14195719:14196652:-1 gene:Ma10_g03540 transcript:Ma10_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIDHDRPLLAPDPPTPLSDGMAAKPRGGARVLCFILQTFVMAVALALFFLFAGVAAVVLLHLCVAGRAFRRRRSGRLYSVAVYDAPDAAPVPAGLSAAEMKGLPMFEYSVMSASSPLRPPICAVCLEGFNEGERCRALPPCGHVFHAPCVDGWLVRSPGCPICRARIGAAASEPSIGRTAGGVGSIGGFL >Ma04_p36050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34576010:34576460:1 gene:Ma04_g36050 transcript:Ma04_t36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEEGFLDELLALRRETWDSYPVGTSELLLCDGGRLDCSQEIPSLVSPDHSELKKAMNNRMRKVDGLPSKNLMA >Ma06_p28330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30022787:30032827:-1 gene:Ma06_g28330 transcript:Ma06_t28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDHPRAYIPPSLAPGSQLQTSVSPPLSSPTRPACLSPDLEDSAVRLRRSVDPMPSPPAVARTILVTGGAGYIGSHTVLQLLKGGFRVVVLDSLNNSSEVAVERVRDLAGEFGKNLAFHRVDLRDREALESVFSSTKFDAVIHFAGLKAVGESVKNPLLYYNNNLIGTINLFEVMAANGCKKLVFSSSATVYGWPKEVPCTEEFPLCAMNPYGRTKLMTEDICRDICQGDSDWDMILLRYFNPVGAHSSGYIGEDPCGIPNNLMPFVQQVAVGRRPALTVYGNDYSTKDGTGVRDYIHVVDLADGHIAALQKLFEGSRVGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEVVYASTTKAEKELQWRAKYGIEEMCRDQWNWASKNPWGYGSPETTNGNQLGYGSSESINGSIETANEKRSSETANGKYPTYGPFKITNGKRTAFESPKISNGKHPAYGLVHTASWKHPTCGLSETTNGKHPTHGSPKTTNGKYRIYGSPKIANGKHPTYGSLTTVNAKHVISK >Ma04_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9682166:9687165:1 gene:Ma04_g12830 transcript:Ma04_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGDGGMGNATSEAADAEVERQEAEDEEIVGDSPPSGMEQRRAGREDEEGAGDGVDGGGERRKQMAIHPLEHSWTFWFDNPSGKSKHVAWGSSIRPVHTFSTVEDFWGLYNNINRPSKLAIGADFHCFKYGIEPKWEDPVCANGGKWTLSCSRGKVDNLWLHTLLAMIGEQFDHGDYICGAVVNVRAKQEKISIWTRNGLDAAAQTSIGRQWKEHLDYNETIGFILHDDAKKHDRFAKSTYQV >Ma01_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17684039:17685052:1 gene:Ma01_g20370 transcript:Ma01_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAGGSDEEGGSGSARRAKGSETQVDVLPKEVVLQMVKDMLARLSDELGDKEEVVVEDDVLLAFTESTCAFIDHLCARARDLCKQSGKKTVDADHVLDALKDINFPQFVRDLEAALEAFKEENAGETSEEIATSDECQKQEDEQSSEDS >Ma08_p34240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44318614:44319102:1 gene:Ma08_g34240 transcript:Ma08_t34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLRDQFADENVNSLNRVSSNPKTDLMSSNAPPFFSSKLTKDLSAVHHQLHDPLGNLKRTRSWQSLEKAPQPKVATAATAEREFSSRSSTSIEEEGDTWPELPDHNAFNEAIVAAVLAPLLADEEGDANRTNSSSKSPGLCERKMGKRLRIFEDITQAIN >Ma04_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6203389:6208918:1 gene:Ma04_g08710 transcript:Ma04_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEEALRAGVDKHGAGKWRTIQKDPEFSRCLATRSNIDLKDKWRNMSISASGQGSREKVRTPKAKGVPATPVSGSQSLVVYVPHKDGAPAISDPTKSSQEAKNPPRYTAMIIEALASMQEPNGSEIGAICSFIEQRHEVPQNFRRLLSSKLRRLVAQNKIEKVQKGYRLKDSSYATKTPTPKQKDPANRARVHQNSSSANSIDPIEEAAITAAYKVADSEAKSFLASEAVKEAEKISKMAEETDSLLLLAKEIFERCSRGEIVTIA >Ma04_p32860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32747909:32750197:-1 gene:Ma04_g32860 transcript:Ma04_t32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATVAVVIACMAAYVVWFSRLAAGLCGPRVWPVLGSLPGLIQHSERMHEWISDNLRGTGGTYQTCICAIPGLARRQGMVTVTCDPRNLEHVLKTRFDNYPKGPTWHAVFLDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRIALSQWVCRSIHLRLLPILEEASARSTVVDLQDLLLRLTFDNICGLAFGKDPETLAPDLPENAFAIAFDSATEASLHRFVFPEFVWRFKKWLQVGMEATLTRSVAHVDGYLSAIIKARKLELRDGRNYDDLLSRFMKKGTYTDSFLQHVVLNFILAGRDTSSVALSWFFWLVTTHPAVERRVLLELATVLAESRGNDPKAWVATPLAFEEAGRLVYLKAALSETLRLYPSVPEDSKYVMADDVLPDGTFVPAGSSVTYSIYSAGRMKSVWGDDCLEFRPERWLSPDGNRFLPHDSFKFVAFNGGPRICLGKDLAYLQMKSIAAAVLLRHQLSVAPGHRVEQKMSLTLFMRNGLRVNVHDRNLTTIAEELAATQPKMVVAPELVAATA >Ma05_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4972404:4975570:1 gene:Ma05_g06760 transcript:Ma05_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPAFLPNHKATVFPLKTSHPLAARRCKEVGSHWKAALFRLLESRDMDEARRLFTRIPSPGVQLYTMMIVGYSQTNRIDEAFRLFDGMPVRDTAAWNSMIKAALDCGDLSLGRKLFDEMPERNVISWTTMINGLSRFGWIDAAAELFFRMPQRDTAAWNAMISAYCDNGRVKDARLLFEKTPQPNVISWTAMIGGHDQNGESDKALLLFHKLWSSGTKLTPSTYACVLTACANASELGLGTQLHSHTVRTGYSSDTFVSTSLVNLYAKCKQIEDSIQLFSERKERDVVSWTALITGYGLNDRHEDALDEFNKMIAFGIRPNQSTFTSALNSCCGLEALDGGKKLHATTVKLGLELDVFVGNSLVVMYSKCGDVEDGLMLFDSMDRRNLVSWNSIIVGCAQNGYATLALKLFDDMRQINVQPDEITYTGLLTACSHSRMLERGRHIFHLLKHDSSVQVKLEHYVCMVDILGRCGNLEEAEEFIRNMPVEPNSKIWLALLGACRMYANIEVARRTSKKVFDMEPDNSAAYVLLSNIYASAGRWNDVSQTRVMMRYRGITKVPGSSWITLKETRHEFVCGDRSHPMAMEIYKKLDWLGVKLKELGYVCDKTFALHDVDDEQKEAALAHHSEKLAIAFGLISTVEGSTIRVMKNLRVCGDCHSAIKVMSQVVGRRIVLRDATRFHHFRDGLCSCGDCW >Ma04_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10344845:10365129:1 gene:Ma04_g13700 transcript:Ma04_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGDPASRLAMDVERSSLCNCVVNFLLQENYLLTAFELLHELLEDGRHNQAIRLRDYFADSTLFPPDQISRLNSLRVAEPQSLLEEKVAVEEKLAITEYELRLAREDLSRLKEELQKQKQSCPDELNGSSSGVSVANGPTYQHNKREISYVSLGPLKDTERKDINCAVKEYLLFAGYRLTAMTFLEEVTDQNLDVWPQSSACVSDALRRYYYQYLSSTSEAAEEKISLLRENEVLMKENQRLHDELESMHRNKELTDNQIVVLNKNLEGAHKDLKDRDILVLDLKQSMDLQRQQLNDYRAEITALKMHIEGARASRGWATGEGENKKPPYTEKSKEEKKSSYNELEEFKGVDSSTRNPESVKSLSEDVQMEEKVVEINELAVISKSVESLSTNSDGNDGYQTFEDVRSKANDVVSDSTIVSCNGAVEYQENVHNLISESQSDDKGLDQNSVTLKKAMETIQILSDALPKIVPYVLINHREELLPLIMCAIERHPDGTIRDSLTHTLFNLIKRPDEHQRRIIMDACVTLAKNVGDMRTETELLPQCWEQINHKYEERRLLVAQSCGELAEFVRPEIRDSLILSIIQQLIEDSATVVREAAAHNLALLLPLFPNLDKYFKVEELMFLLVCDPSGMVVDTTIKELVPAVINWGGKLDHVLRVILSHILGSAQRCPPLSGVEGSVDSHLRVLGEQERWNIDVLLRMLTELLPFVQRKAIETCPFNIAEPLAVSEQQNSFFSTSLLQLYAGNHTIWPMFDWMHVDCLPDLIQLSCLLSYKEDNLRTRIIKYLLDVPKLFGEQYLSHIVLPVFLIAVGDSDAADLTYFPSTMKSRIKGLQPKTPVAERLSLMCILPLLLSGILGAPTSHEKLPDYLRKLLVQNNSKEDSWFVHYNAEVIDAVRFLCIFEEHHGVIFNILWEMVASTNVNMKTNAAVLLKVLVPYIDVKVASTHVLPALVTLGSDPNLNVKYASIEAFGAVAQHFKNDVIVDKIRIQMDAFLEDGSHEATLTVVRALLVAVPHTTDRLREYLLSKIFQLTCVQSHGNDITRRHEKANVFCEAIRALDATDLSATSIRDYLVPTIQNLLKDPDALDPAHKEALEIILKERSGGAFESISKVMGAHLGLASSVSSFFGESGLLGKKEVGDLHEPAAPPQQPSPPALQDDTRFRRIMKGGFSEMLRGKVNKGHEEPPRQSG >Ma08_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26304381:26305412:1 gene:Ma08_g17600 transcript:Ma08_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCMTTRKPHVVCIPYPAQGHVTPMMMLAKLLHSHGFHITFVNTQYNHRRLLRSKALSSDDVLPDFRFESIPDGLPPSDKDATQDIPSLCESIQNNALPPFLDLLRQLNEGSPPVSCVVSDGIMSFTLDAAKELSIPEVMFWTPSACGFMGYLHYKHLLERGLTPLKDESDITNGYLDMAVEWIPGLKNMRLKDLPTFIRTTDPDDIMLNYLNREAQRASMAKAVIMNTFDELEQPVLEAMAAMLPPIY >Ma07_p26120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33046689:33054067:-1 gene:Ma07_g26120 transcript:Ma07_t26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:Projected from Arabidopsis thaliana (AT5G52920) UniProtKB/TrEMBL;Acc:A0A178U9G2] MAQVVATRTIQSSCLSHPGSRSGARGSHLQELKPSLLPARFHHQEKERRRGMGSCCRSPVVAVASPLSRPDSGVRAASPDDLSKEEEQFQHLKSIQQVGEVPNGLWPKPNVMRKTKIVCTIGPSTNTREMIWKLAEAGMNVARMNMSHGDHASHQKVIDLVKEYNEQNKDNVLAIMLDTKGPEVRSGDLPQPITLSSGQEFTFTIKRGVGSETCVSVNYDDFVNDVEVGDMLLVDGGMMSLVVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVENKVDFYAVSFVKDAKVVHELKDYLKSCNADIHVTVKIESADSIPNLHSIIAASDGAMVARGDLGAELPIEEVPLLQEEIIRICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKYPLKAVKVMHSVALRTEATMNGGERPANLGQAFKTHMSEMFAYHATMMSNTLGTSIVVFTRSGFMAILLSHYRPAGTIFAFTDEERVRQRLALYQGVCPIYMKFSDDAERTFADALSYLQELGMVKEGEQIALVQSGRQPIWRSQSTHNIQVRKV >Ma09_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3838622:3839205:1 gene:Ma09_g05920 transcript:Ma09_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIAQPKSGWEGKVTARIRAATPEQAWSLLKGFCSLHRWVPSVRTCHKLEGVDGQPGCVRYCGGPLNRSDPTQPTGWSKERLLAVDPAGRSYTYEIVETNKGFARYRATFAVAPDPGCAAEGCCLVWSFAADPVKGWTQQEFVAYLEKLAQGVAERVEAEISLGVASAEG >Ma04_p29260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30206194:30226118:-1 gene:Ma04_g29260 transcript:Ma04_t29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT5G16715) UniProtKB/Swiss-Prot;Acc:F4KE63] MPPPNVTGSLHMGHAMFVTLEDIMVRYNRMKGRPTLWIPGTDHAGIATQLVVEKMLASEGIKRVELGREEFTKRVWEWKEKYGGTITNQIRRLGASCDWTREHFTLDEQLSRAVVEAFVRLHEKGLIYQGSYMVNWSPNLQTAVSDLEVEYSEEPGTLFYIKYRVAGGSRSCYDVPYAKIKLKNDKLDDFLTIATTRPETLFGDTAIAVNPEDERYAKYIGRQAIVPLTFGRHVPIIADRYVDKEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKVWSDLEEVGLAVKKESHVLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALHAVEKGQLTILPERFEKTYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALLKAHEKYGKSVEIYQDPDVLDTWFSRNLFSYSRLVSCFQKYCEGLLNTECMYQRRRRIGGSGRRERRNRKEEEEVVEEEEEAYSRLVPHVDSGDVGGRHDSELCTCTSRGSRGLRIWRRGGKHKLEEVEEELYMHMPGLVVVRLLFISFSTTSESSACFMLYLFEFEDLIIPTDLYYLRSLMFSTFIKLFINYMILLILSTLYFYFSALWPFSTLGWPDVCAEDFKKFYPTTILETGHDILFFWVARMVMMGIEFTGNAPFSYVYLHGLIRDSQGRKMSKTLGNVVDPIDTIKEYGTDALRFTLSLGTAGQDLNLSTERLMSNKALTNKLWNAGKFILQNLPNRSDVSAWEQLLAYKFDTEETLLELPLPECWVVSELHELIDIVTTSYDKFFYGDAGREIYDFFWGDFADWYIEASKTRFYHSWSNSVASVAQGVLLYVFENILILLHPFMPFVTEELWQALPYRRQALIVSQWPRTSLPRDAKSIKRFENLQSMATIRNARAEYSVEPAKRISASIVASTDVLDYISSEKQVLALLSRLDLQHVHFVESPPDNAKQSVHLVAGEGLEAYIPLADMVDISAELQRLSKRLSKMQSEYDALVARLNSPSFIEKAPEEVVRGVREKASNAEEKITLTKNRLAFLQSTVSSSV >Ma08_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10647186:10654847:-1 gene:Ma08_g13500 transcript:Ma08_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEERDPQRLKRIAAAAYDYDNDPRWAEYWSNVLIPPHMAARSDVVDHFKRKFYQRFITKKGTTLPSMIKNKEKRSVPPEKKVPRTIENTREVDETVCRPDDEELFAGNDADEFSQVLKQEVTPKILITTNRFNSTRGPAFIQELLSVIPNAHYHKRGTYELKKIVEYAKNKDFTSVVVVHSNRREPDALLIINLPDGPTAHFKLSKFIIQTRYSMNHGNPTDHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDFIFFRHHRYIFEAKEKKDESMAKAAKSKNITQEKVIVRLQECGPRFTLKLRNLQHGTFDSNGGEYEWVHKPEMDTSRRRFFL >Ma06_p30240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31560773:31563539:1 gene:Ma06_g30240 transcript:Ma06_t30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYICKHLVVMKIIEINPCMLSTIAGGVADCQFWHRNEGIKILLCRCFKAISKHSLLFIEEWVLNSYRGMGPSVGTMTAGWNEKGPGLSYVDS >Ma03_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9617303:9618144:1 gene:Ma03_g12470 transcript:Ma03_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITDDVKAKAEIYHGDEICQEKSKFLLQEVGLPRGLLPLRDIVECGYVEETGFVWLKQKQKVEHCFEKIGKRVSYSPEITAVVEKSKIKKLTGVKAKELLVWITLDEISADGPPAGKLTFKTPAGLFRTFPASAFDIEGEGRKQAKVAPPPDQSSQAVSQN >Ma10_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31069762:31071037:1 gene:Ma10_g21110 transcript:Ma10_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNNITALFNFVGLLCSVPVIGAGIWLASKQDNECVRLARWPVIILGVLVMLVSLAGFVGAYWNKQGLLAAYLFCMAALIVLLLALLIFAFAVTRPDGSYPVPGRAYREYRLGGFSVWLRHYVADQWPQIRTCLSSSDVCQKFGRNQPYLTAYQFFRTDLTPLQSGCCKPPTVCGYGYVNPTMWNNPSNPMADVDCAIWSNDQSQLCYDCSSCEAGLLGNLRKEWRKANVALIIAAVVLIWVYIIGCSAFKNAQAQDLFRRYKQGFV >Ma07_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30721255:30724133:1 gene:Ma07_g22940 transcript:Ma07_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAATLLLASAVAAAAAISKPGCPSKCGEVDIPYPFGIGRGCSMEGFDITCNDTTDPPKPFIAVGNIEILNVSLVDHELSVHIYMVGQCYEQSGNYSTTSLALPFLFSAASNKFTVVGCSTLAYVVGQNVKGNTYASGCVSYCDDAGGVSEGPGCDGMGCCQTSIPKSLSNFTAYFSDSLNNSEVASFSPCSYAFVADQDWFRFNKSTLFPDFGDETNYQVPVLLDWAMRNHSSCRDAEADYPNYACRSAHSDCFNSTNGPGYLCKCSQGYTGNPYLEGGCQDIDECELSQLYPCFGVCSNIQGGYLCTCPPGTHGNATKDTCIGNSSKFPLPARLVIGFSVIIVVLVALVSSAIICTQKLKLKRERDTFFKKNGGFKLYEEILSKKVDTVQVFTEEELQRATDNFDDKGVIGCGGYGMVYRGILDNQRTVAIKKSKKVDERQKDEFVNEIIVLSQINHRHIVRLLGCCLELDVPMLVYEYISNGSLFDVLHPEHYASPLPLQARLTIAEQAAEALAYLHSATNRSIIHGDVKSHNILLDDDLSAKVSDFGASQLVPMDEDEFILFVQGTLGYLDPECMQTRRLTDRSDVYSFGVVLLELITGKKAIYADDAWEKRSLATSFLVMMKEQRLRDILDNKMIGEGGERLLGEVAAIAKECLSVKGEERPSMKEVAERLHSLRRLRLQPREEYDQRAIEMVKAEETRRCTETDTSGYHILDSSTLLNNVDAGR >Ma11_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1186590:1188391:-1 gene:Ma11_g01680 transcript:Ma11_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08305 [Source:Projected from Arabidopsis thaliana (AT5G08305) UniProtKB/Swiss-Prot;Acc:P0C8Q7] MFPPPPLSPPPRRTHQLIHLFTSLIDRCGCMRELKQIHAQFTTSGLSNDDFLLSKLLLFSAVSDAGDVDYSYRLFQTLPRPSAFSYNALIRGFSKSKSPNRSLSLYVRMLRADVPPDHLTFPFLAKSCARLSSITLAASVHCHAAKHGLESDRFVSNSMIHMYASCGDVASACRVFDGMSHPNVVSWNSLVDGYAKCGDLAAAREAFDRMPERDVVSWSAMIDGYVKGGEYREALMLFDMMRAHPARPRANEVTMVSVLGACAHLGALDRGRVMHAYLKEKGLRLSLALATSLVDMYAKCGSIHEALGVFRDVPVEQTDVLIWNATIGGLAMHGLGKESVQMFEEMRSAGVAPDEITYLALLSACAHGGLVEDARGFFRSLEEPGMTPHIEHYACMVDVLCRAGEVREAYGFVRTMPVEPSASVLGALLSGCRTHGWVELGEAVGKRLIEMEPDHDGRYIGLSNVYAVARRWDEAKLMREAMERRGVRKAPGCSEIEVDGSVHRFVVHDKTHRLSTEIYSTLNLVAVQTKMEYGSNVPGLVI >Ma11_p08410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6692878:6705737:-1 gene:Ma11_g08410 transcript:Ma11_t08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSILLLQAPPIPSLSSSTHFCCHSSSLPPPCAISKLQRRPQLFPSASPPSRGWNLSRKRCALAARAAAGGSAAEALRRILESPGIHQGPACFDALSGRLVERAGFPLCFMSGFSVSAARLGLPDVGLISYGEMADQGRQITQAVSIPIIGDGDNGYGNAMNVKRTVKGFIQAGFAGIIIEDQVSPKACGHTRGRKVVSREEAVMHIKAAIDARRESGSDIVIVARTDSRQAVSLEESLKRSRAFADAGADVLFIDALASREEMKAFCEISPLLPKMANMLEGGGKTPILNPVELEEIGFKIVSYPLSLIGVSIRAMEDALAAIKSGRVPPPGSLPSFDEIKDTLGFNDYYEEEKRYSISPSQPSYQRGTVYSPGMSSAYNTRLTTAERPEQSQRADDPSDPVVEVVTPYVYDNFNSGDRSRQSGMWSRSLRLKITGRNGLEKLDVRIPAGFLEGMAGVIPGLGGVNIMQMLEDASIEGGPESGKILLDFNDAMGDRIQVFVE >Ma11_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6692878:6705737:-1 gene:Ma11_g08410 transcript:Ma11_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSILLLQAPPIPSLSSSTHFCCHSSSLPPPCAISKLQRRPQLFPSASPPSRGWNLSRKRCALAARAAAGGSAAEALRRILESPGIHQGPACFDALSGRLVERAGFPLCFMSGFSVSAARLGLPDVGLISYGEMADQGRQITQAVSIPIIGDGDNGYGNAMNVKRTVKGFIQAGFAGIIIEDQVSPKACGHTRGRKVVSREEAVMHIKAAIDARRESGSDIVIVARTDSRQAVSLEESLKRSRAFADAGADVLFIDALASREEMKAFCEISPLLPKMANMLEGGGKTPILNPVELEEIGFKIVSYPLSLIGVSIRAMEDALAAIKSGRVPPPGSLPSFDEIKDTLGFNDYYEEEKRYSISPSQPSYQRVYSPGMSSAYNTRLTTAERPEQSQRADDPSDPVVEVVTPYVYDNFNSGDRSRQSGMWSRSLRLKITGRNGLEKLDVRIPAGFLEGMAGVIPGLGGVNIMQMLEDASIEGGPESGKILLDFNDAMGDRIQVFVE >Ma06_p32650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33539933:33552995:-1 gene:Ma06_g32650 transcript:Ma06_t32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MGSEEDDRGSPFSPSTSASRPRLVIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLESAGVSVRFQEIIDLDDGTYKAVEGSDFVISRVAFRDNSSKYYINDRGSNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKTQGLHDEGFLEYLEDIIGTNQYVEKIEEAYKQLECLNEKRSSAVQMLKLAEKERDNLENVKNEAEAYMLKELSLLKWQEKATKLASDDAASHVIKLQEKVSNLEENLMTEREKIQQNSTTLKELEAVYNKYLKRQEELDTDMRTCKEQFKEFERHDVKYREDFKHMKQKIKKLEDKLVKDSAKIDDLVTDNEKSSSLIPKLEEEIPRLQQLLLEEEKILEEIKTSSRDETEKYRSELTEVRAELEPWESQLIKHKGALDVACAESKLLREKHDAARKAVEDAQHQIDEILEKIKNKKQYIAEIEIKIEKMTVEALEAHKLEQECIKEQESLISLEQAARQKVTEVLSVLESEKNRGSVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDIAVSTACPGLDYIVVETTAAAQACVELLRRKNLGVATFMILERQVEHLRRLKDKVKTPESVPRLFDLVTVKDEKLKLAFFAALGNTVVAEDLDQATRIAYGRDQEFRRVVTLEGALFEKSGTMSGGGSRPLGGKMGTSIRESISGDDAANAEEELSQLVGQLNSLRQRISDCVKQYRGCEKAEAHLEMELAKTNKEVDSLNEHHRYVIKQLESLKVASMPKKDELNRLKELADVISAEQSELEKLVQCSSTLKERAAILQKKIENAGGELLKNQKSKVARIQADIDKASTDINRHKVNIATGQKMVEKLTKGIEETKKEKEKLMQEKENMLTVFKEIEQKAFSVQENYKKTQELIDKHKFVLDETKAEYNKLKATMDELRAAEVDAEYKLQDARKLKKEWEMKVKASGKRLDDIQIELVKHMDLIKKDAVDTEKVQATLSDGSLQNACDIKRAMEMVTLLEAQLKDMNPNLDSISEYRKKVHLYNERVEELNASTQERDEMRKHYDGLKKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALIFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTRDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAYCGKAD >Ma02_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19871160:19874712:1 gene:Ma02_g10240 transcript:Ma02_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMAAEVTVEKKSRFRRICVFCGSQCGKKTSYQEAAIELGKELVNSEIDLVYGGGSIGLMGLVSHAVHDGGRHVLGVIPKSLMQKELTGGTVGEVRAVSDMHERKAEMARQADAFIALPGIISITERYLVCFHVSHFNLLSSPNINHKSGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNSLLSFIDMAVEEGFISQAARHIIISAHSAKELMRKLEEYVPEYESTVVWDTEQKALSFVPEPESSIAS >Ma02_p10240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19871153:19874712:1 gene:Ma02_g10240 transcript:Ma02_t10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDNDMEFMAAEVTVEKKSRFRRICVFCGSQCGKKTSYQEAAIELGKELVNSEIDLVYGGGSIGLMGLVSHAVHDGGRHVLGVIPKSLMQKELTGGTVGEVRAVSDMHERKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNSLLSFIDMAVEEGFISQAARHIIISAHSAKELMRKLEEYVPEYESTVVWDTEQKALSFVPEPESSIAS >Ma06_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13281681:13282456:1 gene:Ma06_g19390 transcript:Ma06_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRSGLRGKRQKGFPRPLDWGASGARYSHPLPLSPRMTGVSPPPPDRRLVARPQQLPLEPPLRGPPLSANAARRCSHSKHRGPDPRHGRGPSTPCKVLTYSNDLADLPNKTSKGRVYRSRAISG >Ma11_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5166679:5172679:-1 gene:Ma11_g06410 transcript:Ma11_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPD1 [Source:Projected from Arabidopsis thaliana (AT4G33495) UniProtKB/TrEMBL;Acc:A0A178V474] MWRRSPIHLRQSFVARSFSQSTSIPKNLQRVRDHGFDNVMEVEKKIRRALHLQSYLLSQPSGSLPVSQLDVVARRYHAFGPHETGNFLLKHPHVFHVFDHPVQRRLWVRLTPRAALRLRQESDAVRSMLPDAVRRLRKLLLLAARSRRLRLEHIRLVRHDLGLPDDFLQSVVLANPSFFRLVVSSDFPDDPRAKYVEFVDEPDDGNDLAEFTMCAIERTRELQYRERGADAEDSRFAFLINFPPGFKIGKYYRIAVWKWQRLPYWSPYEDVSGYDLRSLEAQRRMEKRMVATIHELLSLTVEKRMTMERIAQFRQAMGLPKKLKAFLLQHQGIFYFSTRGNRGKLHTVFLREAYRKGELVEPNELYLARRKLGELLLLSPKKANLDRMLTSLGRGMDAFSGGSGAKSKLIGDEGEQRSENEDSGSDSGVESQFVD >Ma11_p06410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5166679:5172679:-1 gene:Ma11_g06410 transcript:Ma11_t06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPD1 [Source:Projected from Arabidopsis thaliana (AT4G33495) UniProtKB/TrEMBL;Acc:A0A178V474] MWRRSPIHLRQSFVARSFSQSTSIPKNLQRVRDHGFDNVMEVEKKIRRALHLQSYLLSQPSGSLPVSQLDVVARRYHAFGPHETGNFLLKHPHVFHVFDHPVQRRLWVRLTPRAALRLRQESDAVRSMLPDAVRRLRKLLLLAARSRRLRLEHIRLVRHDLGLPDDFLQSVVLANPSFFRLVVSSDFPDDPRAKYVEFVDEPDDGNDLAEFTMCAIERTRELQYRERGADAEDSRFAFLINFPPGFKIGKYYRIAVWKWQRLPYWSPYEDVSGYDLRSLEAQRRMEKRMVATIHELLSLTVEKRMTMERIAQFRQAMGLPKKLKAFLLQHQGIFYFSTRGNRGKLHTVFLREAYRKGELVEPNELYLARRKLGELLLLSPKKANLDRMLTSLGRGMDAFSGGSGAKSKLIGDEGEQRSENEDSGSDSGVESQFVD >Ma04_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6283084:6286438:1 gene:Ma04_g08800 transcript:Ma04_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSRFRRKLDAALSSAVRARYLSLSARPSLPEEPAPDPRFLRYSSPDARPPLDHSPFLRFPQVRHTTLPSGIRVVTQSAPISASHTASIGVWIDAGSRFEAPGTNGTAHFLEHMIFKGTRRRTARSLEEEIENMGARLNAYTSREQTTFFADVQSKDVAVAVDVLADILQNSKFPDHAIKRERGVILREMEEVQGQVEEVIFDHLHSAAFQGHPLGDTILGPEENIRGISRVDLQQYISTHYTGHRMVVSAAGAVKHDEIVNMVGRLFTNFSTDPTTADQLVNANPAVFTGSEVRVQDEGMPLAHFAIAFKGSSWTDPNSIPLMVIQSLLGSWNKSIGVGNCSGSQLARRVGTDDLAENIMAFNTNYRDIGLFGVYSIASPKCLRELSCVLMDEIKRLAYQVSEAEVVRARNQLKSVLLLHIDGSTAVSENNGRQMLTYGRVLPFVELFARIDTVDAAAIMETARNFIINKEVALAATGPIQELPEHSWFCAQTTAQ >Ma04_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20399124:20401413:-1 gene:Ma04_g18460 transcript:Ma04_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSLPATPGKFKMDKPQYHHHHHHHPRQLTVRWHHYPLVSKVAVWSFLAIALLVAFFFLSPTTPNSSSTSGRRSLRNAAPWGGPAWEKCVRSSARVRRSGGISVLVTGAAGFVGTHVSTALKRRGDGVLGLDNFNDYYDPSLKRARQALLDRAGVFVVEGDVNDGPLLHKLFDVVPFTHVMHLAAQAGVRYAMTNPSSYVHSNVAGLVSVLEVAKSADPQPAVVWASSSSVYGLNSHVPFSEVDRTDRPASLYAATKKAGEEIAHVYNHIYGLAITGLRFFTVYGPWGRPDMAYFFFTRDILRSKPISIFEGPGHATVARDFTYIDDIVSGCLAALDNAGKSTGSGGKKRGSAPLRVYNLGNTSPVPVSHLVSILEQLLKVKAVRNVVKMPRNGDVQFTHANISLAQRELGYHPTTGLNTGLKKFVRWYLGYYPTSSSKKGSRGGSSSAL >Ma06_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7549936:7552809:-1 gene:Ma06_g10840 transcript:Ma06_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGCMDAERDALLAFKAGIDDPSHRLSSWRRRVDCCRWSGVVCDDSTGHVVQLNLQNAGNMTFESVEAALRGEISPSLISLTHLDRLDLNHNDFEGSRIPAFLGSFPKLRYLDLSWSNFSGAIPPQLGNLSSLRYLDLRSYDLTTDGLDWLSRLSSLRYLDMSGVNLSTASHNWIHAVNRLPSLQQLYLSSGCGLTTLPTSLSHVNLTALADLELRDNSFNSTFPNWLFELPRLSNLAISNSELHGTIPTGFGNLTRLTRLDLTGNSLAGSIPADIWSLTSLTLLDLSHNLFTSRLLPQIGNMTRLSQLTLVHCSLIGSIPTEIGYLTSLTDLSLSGNSLTGQIPIEIGNLSNLTNLDLSHNLLSGLIPPEISKLSNLITLDLSSSYLEGTVSERHFANITKLIVLCLYDNPLAMQFHHNWVPPFQLQTVKLDAIKLGPTFPTWLRSQKSIMDLDMSNTSIQDAVPLWFWKNSSSSSIMDINLSHNQISGTLPASLESMSCLMFLNLSSNTLRGHIPALPSNLQALDLSNNSLSGSLPWTLSPFLAYLFLSRNYFRGSIPSDICDLQQLYALDLSNNQISGEIPQCWQEATKLFFVNLSNNRLWGKIPDSIGNLSNLEFLHLNNNSLSGRIPPSLRYCNRLAIIDLGDNKFSGNIPTWIGQSLQNLEVLRLRSNMLSGNIPMQLGQLRDLQIIDLSNNRLSGSVPHSFGNFSAMISISKSMSSTISNNVEFVLSSFVASESISLVTKGDEFSFSTILNLVKSIDLSDNELSGVIPTEIGSLFALQTLNLSRNSFGGTIPAAVGRMKSLETLDLSFNELSGVIPESFSALDSLSHLNLSYNNLSGVIPSGNQLRTLDDASIYIGNAYLCGPPVTESCVNETNVDFEEEENKTESDVLSFTFSIALGYLVGLWSVFIIILFKKDWRIFYFRVMDNLCDKIYVAFKIRIARLAMD >Ma10_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7616461:7617729:1 gene:Ma10_g02290 transcript:Ma10_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAGDAYCDRISASGRGGGDEGRVVEWELGLPGGHHLVSLSQTLIPPELASAFRINPEPARTLLDVHRASQHTVSNLRRAAPSPAAAAALRYFHPFPSPAADDDDDDDDDDEDHPVAVEGDEHSRKAPRMDSASPSPAEEAESFAPPLENSNDDQSVRTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFTSTPVPQSLREQQLPMSVPYAMPTMIPMPVFGMAHPHAHGNPMVPVNNNQVGGAFHGFEGHHPHGDFGERPKDW >Ma08_p32730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43344011:43351657:1 gene:Ma08_g32730 transcript:Ma08_t32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEHEFLRKYVLFTFVLLGLLVGSAAGNVVLIGRNVSLSFPDVEANFARPIKRSGECGVLYVAEPLDACGPLTNQVGGGSENPFALIIRGGCTFDAKVRSAQNAGFKAAIVYDNEDSGVVISMSGNPVGIHIHAVFISKASGEIVKKYAGRAGLEFWIIPTIDNSAWSIMAISFISLLAMSAVLAMCFFVRRHRIRREQPQVPYIREFHGMSSRLVKAMPSLIFTSVLDDNCTSTTCAICLEDYTVGEKLRILPCRHKFHAFCVDCWLTSWRTFCPVCKQDARASIANLPASEGTPLLSSGAATPASNAGLSSYHSSMAASPAIHISTMPPRPQSNSRPHSFSSTLNSPVIQLAPMPSQSQSGSFSSVYRIPNLHRSYGHSPSVPISRNSLDRGNASSHRSRSYLVSPHSMGYPISSPLNSRLASSYLPGSSNASPSYLAASSSRQSYLRHCTESSASLSALASAQSLPGC >Ma02_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25006611:25012353:1 gene:Ma02_g18550 transcript:Ma02_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEPIPVGRGQGRSALLLLLAVAAAVMLSSPWLVTPVDASVSYDRKALIINGRRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFGGNYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKAAMSKFTEKIVSVMKSEGLFESQGGPIILSQIENEYGPLEDYYGGGVAKNYASWAAHMAVGLNTGVPWVMCKQDDAPDPVINTCNGFYCDDFSPNKPYKPTMWTEAWTAWYTAFGGPVPERPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFISTSYDYDAPIDEYGLLRKPKWGHLRDMHKAIKMCEPALVSADATVTKLGNYEQAHVFKSKSGACAAFLSNYNPKSSAIVTYNGKKYDIPPWSISILPDCKTAVFNTARVGAQTSQVKMSPVGKFSWTSFSEETNSLDDNSFTKNGLVEQISMTWDRTDYLWYTTSIDIGRNENFLKNGQNPVLTVMSAGHAMHVFINGQKAGTVYGGLDSPKLTYTGNVKLWAGSNKISILSVAVGLPNVGNHFETWNVGVLGPVTLEGLNEGRIDLTSQKWTYQIGLRGESLNLHTISGSSSVEWGGASINQPLTWYKAFFNAPAGNEPLALDMSSMGKGEAWINGQSIGRYWPAYKAYGSCGGCDYHGTYSEKKCQTKCGESSQKWYHVPRSWLNPTGNLVVVFEEWGGNPTGINLVKRTAI >Ma05_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36632187:36632715:-1 gene:Ma05_g24380 transcript:Ma05_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSAPNAAATGGNEGADAKKRPPGPEEILAHYESQGLGPREAALRAVGDLQSLLYTSLASGRGRKDRFMSDTLRKLDNANARLVILESKLDSKPGLGQTLAVGLASGGLLRGAGAALPHVLGGLRGIWDSVSATTRSSPSAS >Ma09_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31774106:31782673:-1 gene:Ma09_g21060 transcript:Ma09_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHPRYKLQSGSGQGLNPDTTIYIESCQTDIGQTIQMMYEIVARALKFMNLENAHPQDYLNFYCLGNREEAPKDNLQQDDQSLEKIPEELSQKFRRFMIYVHAKGMIVDDEYVIIGSANINQRSLAGSRDTEIAMGAYQPHHTWTKRKRHPHGQVYGYRMSLWAEHLGMIDDRFKEPNSLECVKFVNKIAEENWSRYTAEEITPLTGHLLKYPVKVEADGKVGPLPNQECFPDVGGKILGAYTALPDTLTM >Ma01_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12880676:12883619:1 gene:Ma01_g17580 transcript:Ma01_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSLAEEKTRWWLSNRKLVRKYLRDARSLVATRELPKVSTAVGLLDAALVVSPLHEAALELKARSLLFLRRFREVADMLQDYIPSCKMAAAAADNDSSTSLGSAVSAPLNRELLSPGGEGSGGGLFFRCFSVSDLKRKFLAGLSKSSGDEGHWRYLVLGHACCRLGLLEDAMVLLQAGRRLAAAASRRRSVCWSDDSFVSSTASTGGNGAAPMPTELESASQLLSHIKLLLRRTTAAVAALDAGVPAEASRLFSKVLDGRRGVPAAFAAGCFVGRASAHRAAGRLAEAIADCNRALAVEPFCVPALRARADLLEAVGALPDSLSDLDHLKLLYDSILRDGKLPGPPWRPHHGVRYRDIPVEHRALAARIQQLRCQVAAAGGCIDVDYHALIGVRHGCTRSELERAYLLLSLRHKPEKATAFVARLEFADDHRDPDGVRDQAKMSAMVLYRMLQKGYSRIKATVMNEEKVAVAVAAAAQVAASIPTVAGGGSEVPNKAAVAAAGVFQRRFCRDTAEAGSMHCHGAIPVK >Ma10_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23203282:23216256:1 gene:Ma10_g08990 transcript:Ma10_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSSFPGGYETNLLEQFEVILEEDKLIDEIGFLHPSQFASLDEDSSSSSPTLATSVRLADGNIETSITESNTVQYDKTTFWNRDHKLAISTHVLCHLCVAARHVYMDVSRRYKASINLSLNSGAFCSVTSGANLDHLLENEILKHTKALLILSFNFASAWNCRRLVLSRKLEISLFLEELRFSTLILAYAPKCDYAWSQRRWVIKILGEKCQNLQDIIREESDLVEKIAEKSKMNYRAWTHRCWLISYMKRVQVLGELDKSKKWAELHVADNCCFNYRRQLMLNLLEGNHLKHGEASTDCKFDVCFIWKEELQWNELLLTRYIGREALWIHRRFLSQCWIKHFTTNEGAVILDPDDNLISSKIKKFLAKELQLVKSCLNISPDEFDDTQDQARYSAAYLLWILKYYCISLRLLPQQMFLIRNSLYPRPPTLELLPLSVSSVMLTDATMNALHEVFLHQCLPSSTPRMNSVAPHAIAYGCRNPGVPSPQRHQVARASSGTQASRPQIPDSGESHYVKLLNRSCKAGKFSESLYFLEYMVGKGYKPDVILCTKLIKGLFGSRKLDKAVRVLDILEAHGEPDVFAYNALISGFCKANRIEAAIEVLGRMKSRGCPPDIVTYNILIGSLCSRGKLDLALEALDRLLDDKCQPTVITFTILIEAALLQGGIGDAMKLLDEMVSQGLRPDNYTYNAIIRGMCKEGMVDAAHEFLKSLPSRGCDPDVISYNILLRGLLSRKRWEDAEKLIGEMLERGLKPNNVTYSVLINSLCHEGKVERAKQLLKDMIRRGLKPDAYSYDPLISAYCKDGKLDLAIEFMNYMISNGCLPDIVNYNTILSALCKSGNPYQALEVLDMLAETGCSPNVSTYNVIIGGLWNSGNRSRALEMVSEMINKGVDPDEVTYNVLISCLCRDGMVNEAIGLMRDMESSGFQPMVITYNTVLLGLCKVHRIDMAINILAEMMDKGCRTNETTYIILVEGIAYAGHKAEAMELAKDLAMRNVFSEDSLKRLNQNFPILDLFKEIPQPVD >Ma00_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39913884:39914210:1 gene:Ma00_g04630 transcript:Ma00_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding KWHPKAYRAQDVTFELLNNISSIDESYHFTNDEKVNLLELVFVYADSFLVHLFLICFLYSCHNHRKNIRLGKSNLIFAN >Ma11_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23216376:23224421:-1 gene:Ma11_g18080 transcript:Ma11_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRAIQNDDNVPPALLGSCNDRAKQLHTSPSGRLLTALIGEYLEWAQLGHTLKVYLPECNLPKDFWRDELKDFSSTNRYDSNRNGECGPLLLDVLEGYLKYENLTQNRVAGGRLIDSDTISVSSVEARNVRRPSSSSAAPGGLPSLGRPVPRIQTSERKSSSSVPMNRKDDYMWKYDVDEVSEDVIRAANALENIQLDRKARNLTSSWRHASDGTMEDDGMVDHH >Ma05_p31430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41350275:41351151:-1 gene:Ma05_g31430 transcript:Ma05_t31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSESEINDSEIDAYEEKIYRRFEAGRYKVRKTDNTCASKRSGKVKAKHRAMAKFLSNATSSTRPMVLQPSSSKSQSEELFVWPWMGVVANILTEFKNGRCIGESGNWTGFRDAMAFENHFEADRRGKRDWLDNRFRGSNICGWVARAGDYTSAGPVGDHLSQNGDLKSVGDLAAEESSRTDRLVANLASQIEVKSKHLQELECKYNETSLSLDNMMEQRDSLLQSYNEGSHLTLPFSDHEAHG >Ma11_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7495734:7496887:1 gene:Ma11_g09300 transcript:Ma11_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKDRALTHFLKRANKSLQDVRTAMAEVVQQLRGAYQSLDGKWAGDERFLHLMILDGCFMLEIIRRDMMMFENQLPLLVLYKLVFVEGRGDCVEYVNELVLTFWDKTLTVEGERRHLLDMLRLSRLGKQSSAATSRGQKNSPKVSIIRSASELREAGIRFRKSKSDSLLDIQFKHGVLSLPKLTVDDSTEYMFLNLMAFERLNIGTGNEVTSYVVFMDSIIDSAKDIKLLHYKRIIRNALGSDTEAAELFNRLAKDVVFVPNSNLSKVQNNVITYSQKKCRRHRANLCRTYFKSPWTTLSLMAAIVLLVLTVVQTIYAVLQFHLSA >Ma09_p28960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39585804:39587131:1 gene:Ma09_g28960 transcript:Ma09_t28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSLVYFAALLALLPPVLGRIPGAYTGSPWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVQTAALSTALFNEGQSCGACFEIKCADDLRWCHGGSPSIFITATNFCPPNYALPNDNGGWCNPPRPHFDLSMPMFLKIAEYRAGIVPVSYRRVPCRKSGGIRFTINGFNYFNLVLITNVAGAGDIIRASVKGSRTGWMPMSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPSNWQFGQTFQGKNFRV >Ma03_p25640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29605316:29622750:1 gene:Ma03_g25640 transcript:Ma03_t25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MAMSSLRRRKVIFQSFSNLCGYVGRVAYATKRTIDFGQPTSLSHPELVGEDEITPGIATDEYISRRKRLLELLPEKSLAIIASASVKMMTDVVPYPFRQDADFLYITGCLQPGGIAVLSEECGLCMFMPDPDPHDAIWQGQVAGVEAALEFFKADKAFPLSAMHKILPQMMRQASRLFHNVKTASPSYTEMEPFIKASFNNKVKDLSAYTHELQWIKSASELKLMRTSASIACQSLLQTMLLSRIFPEESKLSAKVEYECKMRGAQRMAFNPVVGGGVNGSVIHYSRNDQKIRAGDLVLMDVGCEFHGYLSDLTRTWPPCGSFSPAQEILYSLILETNKECLKLCRPGISLQQIHNYSVKMLRRGLKEIGVLNDEVSHLYHQLNPTSIGHYLGMDIHDCAMINNDRPLEPGVVITIEPGVYIPSSYDGPERYCGIGIRIEDEVLITETGHEVLTGSMPKEIQHIKSLLNYTHGEASEASDLITAFN >Ma09_p10070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6874790:6881900:-1 gene:Ma09_g10070 transcript:Ma09_t10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRKFCLYLLLLMCWAYIGEADYMKYKDPKQPINVRIKDLMDRMTLAEKIGQMTQIEREVASAQVMKNYFIGSVLSGGGSVPRPEASVADWVNMVNEFQKGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGATRDPELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHNIVQQMTEIIPGLQGDVPAKYRKGVPYVSGKEKVAACAKHYVGDGGTHNGINENNTIVNRADLLSIHMPAYLNSIIKGVSTIMVSYSSWNGVKMHANRDLVTGYLKNTLRFRGFVISDWQGIDRITSSPGANYTYSVQAGISAGIDMVMVPYNYSEFINDLTSLVKKNVIPLSRIDDAVGRILRVKFTIGLFENPLADLSLVDQLGKKEHRDLAREAVRKSLVLLKNGKSTNDSFLPLPKKAAKILIAGSHASNLGYQCGGWTIEWQGDSGNITVGTTILDAVRSAIDPSTEVVYSENPDSNIVKGNDFSYAIVVVGEPPYTETAGDNLNLELPDPAPSIIQTVCGTIKCVVVIISGRPVVIEPYVPLVDALVAAWLPGSEGQGLTDVLYGDYGFTGKLSRTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTKPTSGRLSSAASIVRKQTSWVVSLYLSFSVAWIIF >Ma09_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6874790:6881855:-1 gene:Ma09_g10070 transcript:Ma09_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRKFCLYLLLLMCWAYIGEADYMKYKDPKQPINVRIKDLMDRMTLAEKIGQMTQIEREVASAQVMKNYFIGSVLSGGGSVPRPEASVADWVNMVNEFQKGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGATRDPELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHNIVQQMTEIIPGLQGDVPAKYRKGVPYVSGKEKVAACAKHYVGDGGTHNGINENNTIVNRADLLSIHMPAYLNSIIKGVSTIMVSYSSWNGVKMHANRDLVTGYLKNTLRFRGFVISDWQGIDRITSSPGANYTYSVQAGISAGIDMVMVPYNYSEFINDLTSLVKKNVIPLSRIDDAVGRILRVKFTIGLFENPLADLSLVDQLGKKEHRDLAREAVRKSLVLLKNGKSTNDSFLPLPKKAAKILIAGSHASNLGYQCGGWTIEWQGDSGNITVGTTILDAVRSAIDPSTEVVYSENPDSNIVKGNDFSYAIVVVGEPPYTETAGDNLNLELPDPAPSIIQTVCGTIKCVVVIISGRPVVIEPYVPLVDALVAAWLPGSEGQGLTDVLYGDYGFTGKLSRTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTKPTSGRLSSAASIVRKQTSWVVSLYLSFSVAWIIF >Ma01_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9437625:9441542:1 gene:Ma01_g12900 transcript:Ma01_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MRGCVRELLQGCLRSRRAYFSVDGSRCRFGCLSDSYGSSGRIKGCCPSVGTPSCSRSMASATSCAVLSEALPKDKDNLASDMLIDSFGRFHNYLRISLTERCNLRCHYCMPAEGVELTPNSQLLSHDEIVRLANLFVTSGVDKIRLTGGEPTIRKDIEDICLSLSSLKGLKTLAMTTNGIVLTKKLPKLKECGVNAINISLDTLVPAKFEFMTRRKGHERVMESIDAAIELGYNPVKINCVVMRGLNDDEICDFVEMTREKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDRVRQRFKNVQRLKDHPADTAKNFVVEGHRGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPAEVSLRDPIRAGVDDLGLKEIIGAAVKRKKAAHAGMFDLAKTTNRPMIHIGG >Ma03_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:849559:853267:1 gene:Ma03_g01070 transcript:Ma03_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDTDVNMVPAGEGSSAGPSSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Ma00_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30051337:30052280:-1 gene:Ma00_g03610 transcript:Ma00_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVARAAAPLFPAGGNRGEDVWGVGEEKGRRRRRRRRREEDSCGKAAARRCGAFEEEKKKKRKRRRRGSRRRGCDTSVSCRGNRGEGVCDAGEEGAAAAAMAAAAGREEEEEDEQGRKKRLRPWLRPRLQQLQRGEKKKKGRRRKRRRERSSCGCGSGSCSYGREREKGKEEEEKGKERKKRGRGEGAAAAAMAAAVGREEGEEDEQGRKKRLRPWLRLQLQQCSWERKERKGGKRGCGRYCGHSGSDCVCSSCVCERRRGRK >Ma03_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26078397:26084829:1 gene:Ma03_g21000 transcript:Ma03_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVPEKSSTRVPSDQKHSVSANSDECLISAYFDESSHVPDYVQDEKSSGTKSPSQQDKCEAIASVVQNETQTSGLPNSFIGMSSSSSDPVHVPYLDSASAGKVGAIQHEVGVVGFRRQTSDHPENRSSVSNSFLSSSLSGKNICFSVESTGHQAYTSKSHSLNQISPSAADLYSTSLSIQSQGFYHNSRLHQQSLSQQKAIQPIMKWKPKTRPKPNIVNNGVPGITSASPSCAVSSCVSRPVGKTRSEKLSQDCSLDSQHVIIPQHLLVPQSECMQLIFGSFGTGFDTSKGFASVPHQSGSAEQLDDEPSDRDSLHDQSSTSPCGSSVSAAESQEPPPENNGCLSPQTIQTYDDIRLVESNSPPFNTQEEQQLQNPHSLPSFSAYDNQSSYDVPFFKTVMQDNVHAQGSTSSSEVLSFHAACSSFSSLAMAQQQQLVQQQQPIVQLYPQIHMPHFPNFVPYRHIISPVYVPQMAMPNYASNTGYPHPSTVNSYVLMPGGSPHMLASKCATTQYRPIAAGNPTGCGTYTNPASFAISFPGAVSNTTSQEDLNSVKYKDNNVFVPNPQLDTSDNWILTSRELPNLQSAPYYSLSGQALQSAFLPAHADHAVFNATRNTSHVQYPGLYPQPQPTSMVNSHHLLQQQVPPAIGGSLGLGIASPGPQVGGNRQQTQLGHLNWAAKF >Ma10_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28007525:28008199:-1 gene:Ma10_g16210 transcript:Ma10_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNGTIILLLSLASVAILAAGKEPTTRLHFYMHDKISNPNATAVRVAEGPQTSTGPGINFGDIYVVDDLLTTGPSPSSPLVGRAQGFYAMASQDPADVALMLTVNLVFTEGEYKGSTLAILSRDAIFSPVRELPVVGGSGAFRLARGYVLMKTYSFNQTLGDAVLEWDVYVMH >Ma02_p23340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28390057:28393329:1 gene:Ma02_g23340 transcript:Ma02_t23340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRPSSHRYASVDSRSSSDPPSPSSDRRNPTKNLSSLRRLVTKSSGRQAASEPVAAAPAVNNSSRALVDPRDAKTGHNFGSMVRKLMEKRSNPKPGSASRAALVVPSDPIAEDLKKGAKGSHFSALSRKLFQKGGTAERTTVKALMETKTNARTLAMVLRSERELLTQNKEYEAEISELRLLIEEKNQKIEKLKDLCLKQREEIKALKDAILFPDVMNSQLQELLEKQGFELKQANQVIPTLQKQVTSLTGQLQCLAEDLAEVKADKYSAMSFLDGHISSPTTPVLDQEAANPLEYSSGDPLASECGSPDEMFLKDLNPCLTPCFSKTKSQENNELVYGSPNQDRLFQLKPRSSPSMLCGSHGGKLSRSSEHRHRPSLGSNTAQKVYKSDENKHPTVKPRYQTHL >Ma02_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28390057:28393595:1 gene:Ma02_g23340 transcript:Ma02_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRPSSHRYASVDSRSSSDPPSPSSDRRNPTKNLSSLRRLVTKSSGRQAASEPVAAAPAVNNSSRALVDPRDAKTGHNFGSMVRKLMEKRSNPKPGSASRAALVVPSDPIAEDLKKGAKGSHFSALSRKLFQKGGTAERTTVKALMETKTNARTLAMVLRSERELLTQNKEYEAEISELRLLIEEKNQKIEKLKDLCLKQREEIKALKDAILFPDVMNSQLQELLEKQGFELKQANQVIPTLQKQVTSLTGQLQCLAEDLAEVKADKYSAMSFLDGHISSPTTPVLDQEAANPLEYSSGDPLASECGSPDEMFLKDLNPCLTPCFSKTKSQENNELVYGSPNQDRLFQLKPRSSPSMLCGSHGGKLSRSSEHRHRPSLGSNTAQKVYKSDENKHPTVKPRYQTHL >Ma07_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1879996:1881852:-1 gene:Ma07_g02370 transcript:Ma07_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDGATYGQMDTFKYKVFVSTWNVGGISPTDDLNLEDWLDTDRSSYDIYVLGFQEIVPLCARNVLGPEKSKISTKWNSLIGTTLNKSEPARYQVYHVEEGGYRGVSNRRKFRCVMSKQMVGIFVTLWVRDDLSNYISYPSVSCIGCGIMGCLRNKGSVSLRFCLHETSFCFVCCHLASGGKQGDEVHRNADFMDILSRTRFGSDSSVDLPKKILNHDRVVLFGDLNYRISMTDGKTRSLVERKEWGVLLDRDQLRFELSEGRAFDGWNEGAITFSPTYKYLPNSDEYCWHAQGRKGEKSRAPAWCDRILWLGEGLKQNRYERCESKLSDHRAVRAVFTAEVDVLKLMNPLDGFFLSHNLDDIVDITRRMEEQI >Ma08_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23813854:23820403:1 gene:Ma08_g17230 transcript:Ma08_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MEMQTETEMEVEEKICRCWRILEFYSGIGGMRYSLLRAGIRGRVVEAFDINNIANDVYEHNFGHRPFQGNIQILTPLDLDKYEAHAWLLSPPCQPYTRQGLQKDSADARALSFIKILENMSHMMQPPLMLFVENVVGFETSNTHKHMMEVLAGIGFVTQEYILSPLQFGVPYSRPRYFCLAKRKPLSFRNPSCNNLILRTPSPFMPSKNNQSTDGSDGNDGNQEEFNSSCEPIINFLETHFLTGNQQEYMTFRFGTEVVSSPADDGGGTYKNAWDIYSVAPSLIERWGNAMDVVFPESRRCCCFTKSYYRYVKGTGSLLATSGDINSRLEAPSLIELGLRFFTPREVANLHSFPAEFHFPSHTSLRQRYALLGNSMSVAVVAPLLQYLFSESTTSKIPTLSKDIC >Ma07_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9204182:9207565:1 gene:Ma07_g12310 transcript:Ma07_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNFRRWHVTITQASHGQVNPKPKANRKGQRWRRRTGPRQCQIFYQTPVGDITPHTRAAPVLFDRFRFLLLLVFSWNASWWPPHKAMRGAAKKAAVEGASEELERRSQYLSSLIQRTKVKSEADQDKKEVVEAAAKSERRELHDHSQHLHHHQEPRQRKQKEDVEDKQEEEKKGFKDGDGFDGDPPQEQRQPPNVKVRAADMPLALQKRAFKCARETLASMPKLESKRLACALKKEFDSTYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKVYILLFRTAVEPIDR >Ma07_p27540.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33872697:33876181:-1 gene:Ma07_g27540 transcript:Ma07_t27540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIDGRMSGGFQQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPSGHEEDDEIECENMQWNSSDLAFDDTQSQKPQNSKTRHYKGSLSKSLTWSHSSDSKSKGSISSSRGTMKVDLSKLETTALWRYWRHFNLVNASPNPSKEQLIEVVERHFMSQVSMNFTCINLSFLPGAFSKIVERCSTL >Ma07_p27540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33872697:33876167:-1 gene:Ma07_g27540 transcript:Ma07_t27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIDGRMSGGFQQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPSGHEEDDEIECENMQWNSSDLAFDDTQSQKPQNSKTRHYKGSLSKSLTWSHSSDSKSKGSISSSRGTMKVDLSKLETTALWRYWRHFNLVNASPNPSKEQLIEVVERHFMSQAAKRLKTVCK >Ma07_p27540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33872697:33876167:-1 gene:Ma07_g27540 transcript:Ma07_t27540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIDGRMSGGFQQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPSGHEEDDEIECENMQWNSSDLAFDDTQSQKPQNSKTRHYKGSLSKSLTWSHSSDSKSKGSISSSRGTMKVDLSKLETTALWRYWRHFNLVNASPNPSKEQLIEVVERHFMSQVILGFVQAAKRLKTVCK >Ma07_p27540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33872697:33876166:-1 gene:Ma07_g27540 transcript:Ma07_t27540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIDGRMSGGFQQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPSGHEEDDEIECENMQWNSSDLAFDDTQSQKPQNSKTRHYKGSLSKSLTWSHSSDSKSKGSISSSRGTMKVDLSKLETTALWRYWRHFNLVNASPNPSKEQLIEVVERHFMSQQLDELQVILGFVQAAKRLKTVCK >Ma07_p27540.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33872697:33876167:-1 gene:Ma07_g27540 transcript:Ma07_t27540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIDGRMSGGFQQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPSGHEEDDEIECENMQWNSSDLAFDDTQSQKPQNSKTRHYKGSLSKSLTWSHSSDSKSKGSISSSRGTMKVDLSKLETTALWRYWRHFNLVNASPNPSKEQLIEVVERHFMSQVSMNFTCINLSFLPGAFSKIVERCSTL >Ma08_p30080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41499210:41504126:-1 gene:Ma08_g30080 transcript:Ma08_t30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAKKKTNKKNSSREGKFRHALDTCSKNGDLAGALALYETAVAEDLRLSAYHFNSLLHILSSSIETLGDEASTRSVVDAGSRIFDAMVAAGIAPNEATVTSMARIAARRPDGGGDLAFDLVRTMGEKYGATPRLRTYGPALFAFCRGLEAEKAYGVENHMVSTGVVPEEQEIAALLEVSAKVGREEKVYEYLHRLRSNVRHVTATTAEILERWFRSKPAAEVGSSNWDSGRVKDAILKNGGGWHGLGWLGKGTWEVNRANVNADGRCTSCRQQLACVDIDQSETKKFAESVASLAMEREAMSNFKKFQDWLDDHDNYDAIVDGANVALYQQNFADGGFSLSQLEAVVGELSKRFENKWPLVILHSRRVQALMENPHNRQLLVTWKSKGALYTTPSGSNDDWYWLYAAVRLGCWLVTNDEMRDHIFELLGRSFFPKWKERHQVRYNFAKGNLTLVMPPPYSTIIQECETGSWHVPLDDKCDDEKLRTWICITRHESHQASCKADLTGHSVQTITESEDLPTIPAKCANNSNSNSAGRVIGKRKERPSTF >Ma06_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9270893:9271798:1 gene:Ma06_g13540 transcript:Ma06_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTEGAVSGTAGQEEEEGVTLESLRRKMAEFARERAWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPRGLPDWEEEEKQHLGEELSDVLLYLVRLSDICGVDLGKAALRKLELNAHKYPVQLCKGSSRKHTRYSSNAHSRSNEDPSSGTSEGLPNTGLHR >Ma00_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44658943:44660644:1 gene:Ma00_g05130 transcript:Ma00_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNITRLTHLMLSNNSFVGYLPPDICKGGALQYLILYMNNFQGPIPTTLKTCTTLERVRVEHNQLTGDVSQCLGVYPHLYYMDLSFNQLSGTLSRDWAKWHNLTLLRISNNNITGVIPTEFGQLTKLGELDLSSNYLQGEIPNSFGSLTLLYNLSLGNNQLVGHVPPEFGMLSNLELLDLSSNNLAGRIPDQLGDCMKLRSLKLNNNNFSGAIPLAIGNLVVLQDTFDVSHNSLTGEIPSQLRKLVMLQSLNLSHNSLSGHLPSSLTYMTSLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNIDLCGVVRGLPPCVSPGTPTRIASMVVVTIIAFVVFFLLLFIFIAVALRFHKRKKPPVPVDNNHIKEGAFCILNFVGRDVCKDIIEATEDFDSKYCIGSGAYGSVYRAELASGELLAVKKIHLPDTEATCDEQPFQTEIQTLTQIRHRNIVKLYGFCSSPRRKFLVYEYMERGSLGSVLRSETAAELDWVTRVSIVKDVARALFYMHHDCTPPIVHRDITSNNILLDSEFNACVSDFGIARLLKLDSSNWTMLAGTRGYLAP >Ma04_p31300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31638334:31641173:-1 gene:Ma04_g31300 transcript:Ma04_t31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRFPLPRPHSSLLLSSSSIPHKLSSPLLLPFSSPSSSSSPRLLLSSYHRLFSSLSHSPPPPPHPLHLQSDLRGQDSDFEDPEEDDSHEESELENEAGEDTGPVVAVPLPRLPSPKLSIKEKKELASYAHGLGKKLKSQQVGKSGVTPSVAAAFVETLEANELLKLKVHGSCPGELSDVIKQLEQATGSVVVGQIGRSVILYRPSLSKMQKKEAQNTRNTWNAKSPKPVGTSKMQRKRKVFARSSR >Ma01_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13134167:13138248:1 gene:Ma01_g17840 transcript:Ma01_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQNKKWSMNCLSVVSASTSFLKNKQITSAEMNLPTFEVKVMLDSMPEDDTTELKKEMDTEDALQLSEDRGHKQETDLDIDYGDIYMRAEFLEEDSELSWFNAGVRVGVWIGLGICLGIGISVGLLVCTYQATTRNFKRWLIRCWDENFHSCSSYQNHNHLSLFRTLV >Ma01_p17840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13134167:13138248:1 gene:Ma01_g17840 transcript:Ma01_t17840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQNKKWSMNCLSVVSASTSFLKNKQITSAEMNLPTFEHQVKVMLDSMPEDDTTELKKEMDTEDALQLSEDRGHKQETDLDIDYGDIYMRAEFLEEDSELSWFNAGVRVGVWIGLGICLGIGISVGLLVCTYQATTRNFKRWLIRCWDENFHSCSSYQNHNHLSLFRTLV >Ma01_p17840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13134167:13138248:1 gene:Ma01_g17840 transcript:Ma01_t17840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQNKKWSMNCLSVVSASTSFLKNKQITSAEMNLPTFEKHQVKVMLDSMPEDDTTELKKEMDTEDALQLSEDRGHKQETDLDIDYGDIYMRAEFLEEDSELSWFNAGVRVGVWIGLGICLGIGISVGLLVCTYQATTRNFKRWLIRCWDENFHSCSSYQNHNHLSLFRTLV >Ma01_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:184420:194651:-1 gene:Ma01_g00220 transcript:Ma01_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVLASNGYCRQYLETFDKRRAVQDFTFSGAVSDCRSPYFATARADCPATKRLPRILAKARRTEFDGLVTDTGANKMVPTKDLVRKRIPSHQKPVPINGSKMVVIGAGTSKRDSTSDLVRTKKNIAPKEITFTDEVKVLPLDEGFSWANDNYNYWQRTMDIWNFVISLRIRVLFDNGKWAYIDGFTEEKQKIRRTKTASWLREKALQLGPTFIKLGQQFSTRSDIIPREFVDELAKLQDRVPAFSPDKAKVFIECELGSPVELLFKEFEDRPIAAASLGQVHRAVLHSGERVVVKVQRPGLKKLFDIDFRNLRLIAEYFQRSETFGGPTRDWLDIYDECSRILYQEIDYINEGKNADRFRRDFRNLKWVRVPLIYWDYTSRKVLTLEYVPGIKINNLDQMDAKGYSRSRIATRAVESYLMQILKAGFFHADPHPGNIAIAMDESLIYYDFGMMGEIKSFTRERLLQLFYAVYEKDVNKVVRCLIELEALQPTGDLSSVRRSINFVLDGILSQTTDHQQTVAVIGEDLFAIATDQPFRFPSTFTFVLRAFMTLEGIGYMLDPKFSFPKIAAPYAQELLDIRQKQPGGTELVEEIRKQANDARDLTISMPYRIQRIENFVGQLESGDLKLRVRVLESERSARRASVLQMATMCLALSGTLLNVGVTLGSQGQQAIANGSFIGAGIFLALAFRSMGKVKNLEKIEKMM >Ma02_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15028895:15029816:1 gene:Ma02_g03580 transcript:Ma02_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMCSSGVYRISFGPQQHKVVVIGNVAAETLVKKLTKSGKHAELWPEPLPDENAGGGGGGKKKKKKKKKKSKNKSDGMPNAPPEVPENNQSSSGEESSPEAPDKPEGESPKGDDKEPPPAQEKPNGTEKAAATNAGGGKKKGKKSHNANNNNNSGGGGNPGGPGGPPQEFGKKAAGGLAIPPALNLPFYSTSQLPSYVVSYSSVHPSNNYGGAYHPAAPMQHGNFVYSTAAPGSCYIFSEENANACSVM >Ma08_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4157436:4160745:1 gene:Ma08_g06140 transcript:Ma08_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTLLSLTIDSALRHIAHIADLSAIPDPIVLELFWKTLQAGKLTEKVLKLFMATGNEDILSFVHRLNIKPTLTPVLPTSKNHAIYFRFMPEL >Ma08_p06140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4157439:4160745:1 gene:Ma08_g06140 transcript:Ma08_t06140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTLLSLTIDSALRHIAHIADLSAIPDPIVLELFWKTLQAGKLTEKVLKLFMATGNEDILSFVHRLNIKPTLTPVLPTRCSEKF >Ma08_p06140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4157439:4160745:1 gene:Ma08_g06140 transcript:Ma08_t06140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPTLLSLTIDSALRHIAHIADLSAIPDPIVLELFWKTLQAGKLTEKVLKLFMATGNEDILSFVHRLNIKPTLTPVLPTNFFIVS >Ma03_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28743415:28752263:-1 gene:Ma03_g24470 transcript:Ma03_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLDFEEEDQLVRTPLRPTNTNKRSKVIGLDDLLTDYYEEKNKYVHRKSKRLSLSKVYNSDEDDKSRKYKERMLSKFVHDCEKQVNEMSAEEEIPLWGQRVFGHQKSLPSTEYKGVADCQLLQSSSIGDLMSVIYLNEEQGESFLEGLLVNRWLCKLAFVSGFVEDSIATWVFNKMLYSSNGELQVSACEFWCDILLSKDEADKPSVSLGWFPGYSQLMDALATYGYLFDTSMSHSSLSQEVHTDKPEGPPENISSWIKVISACCQIRSPRSIFSISEAEELLCIIIHFSLDRELQGLLFIINEGMQSIIRFFSEEEWDVSCKRVAKSLAFRTPKDLNCLRVVECISVTNGRSKQLRSQIALQLLTLRFDIKVNDYKEILEVLMSVNVKDKDCDFFKLYIYLVLAENFLSFHHLGEEKSVAIDTWCKFLRNCSSQITSTDWRSYASKVRNKASYILQTTVEKST >Ma09_p30990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40853628:40853828:1 gene:Ma09_g30990 transcript:Ma09_t30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKGGRLRKFGEWDVNNSASAQGFTVMFDKARDEKKTKRTSKGRSHLPVSKKKRTSLHYFPHFR >Ma01_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14181343:14182718:-1 gene:Ma01_g18830 transcript:Ma01_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGLGKMDDLMAMQEAAAAGIRSLEHLALQLSTHQPPPDCREITDPRVSTFKRAISVVNRTGHARFRRGPVPAPPPPPEVAASETLSLFVEPPKPQQPPPQRPVVAPKALNLDFTNPKGEPAATPVNLSFGSSSTTSSGNSSFLSAVTGDGSVTNGKIGPAILAAAAPCVGKPPLSSSHKKRSLDDGHTHGHGDVAVGKHAGPGNRCHCSKKKKHRVKTTIRVPAISSRNADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDSSMVIVTYEGEHQHALNPMAISTDGVVVDPPNQGGSSFRGGPF >Ma03_p29620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32464691:32467492:-1 gene:Ma03_g29620 transcript:Ma03_t29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFYGISGCYILRPWTMAIWETLQTFFDAKIKKMNIKNAYFPLFVTKNVLEKEKDHIEGFAPEVAWVTQSGQSELEVPIAIRPTSETVTYPYFSKWTKGHRDLPLKLNQWCNIVRW >Ma05_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34267397:34269251:1 gene:Ma05_g22530 transcript:Ma05_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMAAIPAGSAAPCHCDHHQDPHGLLTAPFVVETSPTKNQAEVSSPPAPTLKPLGSVSLAFVEGKSILSLALPMVLTGLLLHCRSMVSMLFLGRLGDLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGARRYHLLGLALHRTVLLLLSVSIPIALLWYYIRPLLLLLGQEPALAAAASSYLHACLPDLILQSFLHPLRIYLRTQSITLPLTLCAGLAVGLHLPINYLFVSVLHLGIGGVALASVLFNFNVVLFLLVYIYFSGINRSTGGLSFSSECFKGWSSLLNLAIPSCISVCLEWWWYEIMIILCGLLLNPKATVASMGILIQTTSLVYIFPSSLSFGVSTRVGNELGANRPDRARRAATVGLSCSFVLGLLAFSFAFSVRHLWARMFTGDSTILELTASVLPILGLCELGNCPQTTGCGVLRGSARPKLGANINLGSFYVVGMPVAVGLAFWTSLDFSGLWLGLLSAQATCVVLMLVVIERTHWESQAERAQQLTGAATDDTEKEAVPKVAAADGDETDWLVISVKIEQ >Ma08_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11978521:12002348:-1 gene:Ma08_g14300 transcript:Ma08_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAFLNYNVARERRYILEVLFNGLRRLEYRGYDSSGIAIDADLRSPVTIDKGEGNDGGEEASRLPYNSAPPLVFRQEGKIESLVRSVYSEVDAMDLNLEKSFSVHAGIAHTRWATHGVPSPRNSHPQSSGACNEFLVVHNGIITNYEVLKETLIRHGFTFESETDTEVIPKLAKFVFDKARDEEGEQTVTFSQVVTEVMRQLEGAYALIFKSAHYPNELIACKRGSTLILGVKELSDDKNSGKLFHDVKALTKDEKPKELFFSSDLCAIVEHTKNYLAIEDNEVVHIKDGCVSILKFDYGREKPASVQRALSVLEMEVEQIKKGNYDHFMQKEIHEQPHSLTTTMRGRLKDNSVVLGGLKDHLKTIRHSRRIIFIGCGTSYNAALAARPFLEELSGIPVTMELASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYALGNGALCVGVTNTVGSTLARRTHCGVHINAGCEIGVASTKAYTSQIVVMAMMALAIGADKISTQARREAIINGLSDLPKKVSEVLKLDGEMRDLAKSLIDTQSLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDETLPIIVIATHDACFSKQQSVIQQLHARKGRLIVMCSEGDATSVCPMGSCRVIEVPQVADCLQPVINIIPMQLLAYHLTVLRGYDVDQPRNLAKSVTTE >Ma06_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12320900:12331596:-1 gene:Ma06_g18190 transcript:Ma06_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGGREWLGNILPSGLLPRETTNVTQVLDADRWTKAEEQTSELIARIQPNQSSEERRNAVADYVQRLIANCLSCRVFTFGSVPLKTYLPDGDIDLTAFSDNENLKDTWATAVCGVLENEGKSENAEFRVKEVKYIQAEVKLIKCLVENIVVDISFNQVGGLCTLCFLEEMDKAINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHIFNNSFAGPLEVLFRFLEFFSNFDWDNYCVSLWGPVPIRSLPDMTAEPPRKDNGNLLFSKGYLDNRTAVYSVTPGGQENQSHPFVAKHFNVVDPLRTNNNLGRSVSKGNFFRIRSAFAYGAKRLARLLECPKDEIITEVNQFFINTWKRHGIGDRPDAPSLDLWHLQPPKTDPIKQSNNFKSTTSVKKNFQNGVLQVGEEHLAETGYNFHSSISKIFSDNQNLFRSNKPSAVSHVESQTNYSKQVNTRVSNQLERSYYSSGSVQSDKDQKILKPNYFFNDREEQVKFHFGRTRSSPELTETFFNLSQKRHGRSIETVKVQNRAKFDSDIRRKNLGSDITGSHSSKSSLDDNISMRQTSSQKNLEVASGAISLSNSHQGDFGFGNMVEELASVSETLQMQQEEQDLVNMMGSSNINSFSGQVQLPMHLSPFHLPLTYSTSVGYFKGNMAGVVPSNLSILGPPYGPNIQFGPGLVSFPLSSYFHAATFGSNADDAAYVNNGSSMMEVSSEDNDCGKLREDDVVLSREFEPDESGPQIIHADDKQQKLDGSLTSSLAASGIGSGSSPRGQLGRENRSSTKEDYNSSFQGKTSRGGDFQSSNPRFFPLSQASSSRTRPASENLRDRSAANISKSARDKWGRKPVSPSISTSSFGKENSRLQFEGSSDHVFSKVEDDISGWIPLSTMGNNMSERITESSSSASSHVMSHHPPGWGSAQNQLDPRTPTAPVLVGTSQQRGVDYSKLLPMAFVATGPPVPYLMFPYGNFTSNTGKPDEYARQFDREEESDQFDVSTAGQNIDLVDSFVQSEAFVTQTVSRDYAPETSEEPSSDILNSDLNDHWQNLIYGRYCQNSNHGPFVYSSPVAGQPIYLPSHHLWDGPGRPLAANLNYIPIMGHGPRLVPMMPLQTGPDQAPGVFQRHVDGAPRYRGGTGTYLPNPKVSFRDRQSSLRSHRGNRNYDHNDPVDREGRWVHSKSRAFDRSYGRNQAERSSLPPDHLAASRNQDVKKWVSYGHEPLASYQGSFATINSSHNLENALGMYPQNAVGSNGVTPPDSTNPPAVLVYPYDQGVGYGLPAEPLEFGSLRPMHLSSGNGAPRVSDGIAEGELFDQRHRSSYAGGGGYRSSPDQPSSPQPQM >Ma11_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:300479:307019:1 gene:Ma11_g00440 transcript:Ma11_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGSSTIDNGHRRNSRHHHHLHLPPFGASDAPPLPPQPQLQPQQPEIVAQYPIPYFPYGYYPSPSPAMPVPLPAPFDHHQRREYPFHHPGWANGGRYPYGAPLPAPAPAPYVDHHKAVTIRNDVNIKKETLRVEPDEQNPGQFLVAFTFDATVAGSITVFFFAKEGSDCNLITVKELLKPVTVPFKEGLGQKFRQPSGTGIDLFLVDEMELIKVGESNVYPLAVKAEASPSSNQDFDEHQQVQSSDSQITQAIFEKKNNAGYDVRVTKQILWVSGTRYELQEIYGIGNSVGGETDENDSGKECVICLSEPRETTVLPCRHMCMCRECAKVLRFQTNRCPMCRQLVEQLLEIKIHDRAEKRQER >Ma08_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32166595:32171910:1 gene:Ma08_g18640 transcript:Ma08_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRSASFLLDKRLRDQHPSLSSPSSSSPDSTMDPNPNPNHGVSAYYQTRAEHHAIVSSDWLAQAQAAVDREDDTALMERPHASAAVSLSASKPFSVIDEFNYWRKKPDLAEAVAAIMALAAVIRSCEATTMMELEIELKKASDTLKSWDTTSISLSAGCDLFMRYVTRTSAVEYEDFHAAKLRLIERGEKFGEISMKARRTIAMLGQDFVFDGCTILVHGFSRVVLEVLKLAASNRKLFRIFCTEGRPDKTGLRLSKELAALGVPVKLLIDSAVAYAMDEVDMVFVGADGVVESGGIINMMGTYQTALVAHSMNKPVYVAAESYKFARLYPLDQKDLEPALHPIDFGVPIPSGVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Ma04_p04600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3520843:3538306:1 gene:Ma04_g04600 transcript:Ma04_t04600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQDSGFYFNMKHFEDLVQAGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKHDRAKGVDILMKDLKAFASFNEELFKEITHLLTLENFRQNEQLSKYGDTKSARNIMFMELKKLIEANPLLHDKLTFPPFRASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAAPASGARVPPPTNGPLVGAIPKSGAFPPIGAHSPFQPIVSPPASAIAGWMTNANPSLPHAVVAQAPPGLVQPPSTAAFLKHPRTPTSAPGLDYQTADSEYLMKRMRMGQSDEVSFSGASHPPNIYSQDDIPKTVIRTLNQGSSVMSLDFHPLHQTILLVGTNVGDIGIWEVGSRERIAHKSFKVWDIGSCTLPLQALPQAALMKDASVSVNRCLWSPDGSILGVAFSKHIVQTYAFSLSGELKQQLEIDAHVGGVNDIAFSHPKKSLSIITCGDDKTIKVWDAITGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTATDGKINAWLYDCLGSRVDYDAPGHSCTTMAYSTDGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKHSLGVVQFDTTRNRLLAAGDEFMIKFWDMDNTNVLITTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANGDGQRLVRLLEMRALENSRGASQQINANLKPQIVSALGTVSNVSSPIAATLERADRILPAVSMSSLAAMDNNRTADIKPKISDDTDKIKNWKLVDIVDSANPRTLRLPDTLATSTKVVRLLYTNSGLGVLALGSNAIHKLWKWTRTERNPSGKSTASVAPQLWQPSNGVLMANETSDSNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRFDEVKIKLKGHQKKITGLAFSQPLNVLISSGADTQLCMWSIDGWEMKKSRIIQTPATHAAPMVGDTKIQFHNDQTHLLVVHESQLAIYDNKLESLCSWLPRDALPAPISSAVYSCDGLLVYAGFCDGAIGVFESDSLRLRCRIARTAYISPSISSPGAAYPMVIAAHPSEPNQIALGMSDGAVHVVEPSEADSKWGVALPQENGAFPPVSSNPPLNNSQASDPPPR >Ma04_p04600.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3520839:3538306:1 gene:Ma04_g04600 transcript:Ma04_t04600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQDSGFYFNMKHFEDLVQAGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKHDRAKGVDILMKDLKAFASFNEELFKEITHLLTLENFRQNEQLSKYGDTKSARNIMFMELKKLIEANPLLHDKLTFPPFRASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAAPASGARVPPPTNGPLVGAIPKSGAFPPIGAHSPFQPIVSPPASAIAGWMTNANPSLPHAVVAQAPPGLVQPPSTAAFLKHPRTPTSAPGLDYQTADSEYLMKRMRMGQSDEVSFSGASHPPNIYSQDDIPKTVIRTLNQGSSVMSLDFHPLHQTILLVGTNVGDIGIWEVGSRERIAHKSFKVWDIGSCTLPLQALPQAALMKDASVSVNRCLWSPDGSILGVAFSKHIVQTYAFSLSGELKQQLEIDAHVGGVNDIAFSHPKKSLSIITCGDDKTIKVWDAITGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTATDGKINAWLYDCLGSRVDYDAPGHSCTTMAYSTDGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKHSLGVVQFDTTRNRLLAAGDEFMIKFWDMDNTNVLITTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANGDGQRLVRLLEMRALENSRGASQQINANLKPQIVSALGTVSNVSSPIAATLERADRILPAVSMSSLAAMDNNRTADIKPKISDDTDKIKNWKLVDIVDSANPRTLRLPDTLATSTKVVRLLYTNSGLGVLALGSNAIHKLWKWTRTERNPSGKSTASVAPQLWQPSNGVLMANETSDSNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRFDEVKIKLKGHQKKITGLAFSQPLNVLISSGADTQLCMWSIDGWEMKKSRIIQTPATHAAPMVGDTKIQFHNDQTHLLVVHESQLAIYDNKLESLCSWLPRDALPAPISSAVYSCDGLLVYAGFCDGAIGVFESDSLRLRCRIARTAYISPSISSPGAAYPMVIAAHPSEPNQIALGMSDGAVHVVEPSEADSKWGVALPQENGAFPPVSSNPPLNNSQASDPPPR >Ma04_p04600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3520839:3538306:1 gene:Ma04_g04600 transcript:Ma04_t04600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQDSGFYFNMKHFEDLVQAGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKHDRAKGVDILMKDLKAFASFNEELFKEITHLLTLENFRQNEQLSKYGDTKSARNIMFMELKKLIEANPLLHDKLTFPPFRASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAAPASGARVPPPTNGPLVGAIPKSGAFPPIGAHSPFQPIVSPPASAIAGWMTNANPSLPHAVVAQAPPGLVQPPTAFLKHPRTPTSAPGLDYQTADSEYLMKRMRMGQSDEVSFSGASHPPNIYSQDDIPKTVIRTLNQGSSVMSLDFHPLHQTILLVGTNVGDIGIWEVGSRERIAHKSFKVWDIGSCTLPLQALPQAALMKDASVSVNRCLWSPDGSILGVAFSKHIVQTYAFSLSGELKQQLEIDAHVGGVNDIAFSHPKKSLSIITCGDDKTIKVWDAITGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTATDGKINAWLYDCLGSRVDYDAPGHSCTTMAYSTDGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKHSLGVVQFDTTRNRLLAAGDEFMIKFWDMDNTNVLITTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANGDGQRLVRLLEMRALENSRGASQQINANLKPQIVSALGTVSNVSSPIAATLERADRILPAVSMSSLAAMDNNRTADIKPKISDDTDKIKNWKLVDIVDSANPRTLRLPDTLATSTKVVRLLYTNSGLGVLALGSNAIHKLWKWTRTERNPSGKSTASVAPQLWQPSNGVLMANETSDSNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRFDEVKIKLKGHQKKITGLAFSQPLNVLISSGADTQLCMWSIDGWEMKKSRIIQTPATHAAPMVGDTKIQFHNDQTHLLVVHESQLAIYDNKLESLCSWLPRDALPAPISSAVYSCDGLLVYAGFCDGAIGVFESDSLRLRCRIARTAYISPSISSPGAAYPMVIAAHPSEPNQIALGMSDGAVHVVEPSEADSKWGVALPQENGAFPPVSSNPPLNNSQASDPPPR >Ma04_p04600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3520829:3538306:1 gene:Ma04_g04600 transcript:Ma04_t04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQDSGFYFNMKHFEDLVQAGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKHDRAKGVDILMKDLKAFASFNEELFKEITHLLTLENFRQNEQLSKYGDTKSARNIMFMELKKLIEANPLLHDKLTFPPFRASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAAPASGARVPPPTNGPLVGAIPKSGAFPPIGAHSPFQPIVSPPASAIAGWMTNANPSLPHAVVAQAPPGLVQPPSTAAFLKHPRTPTSAPGLDYQTADSEYLMKRMRMGQSDEVSFSGASHPPNIYSQDDIPKTVIRTLNQGSSVMSLDFHPLHQTILLVGTNVGDIGIWEVGSRERIAHKSFKVWDIGSCTLPLQALPQAALMKDASVSVNRCLWSPDGSILGVAFSKHIVQTYAFSLSGELKQQLEIDAHVGGVNDIAFSHPKKSLSIITCGDDKTIKVWDAITGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTATDGKINAWLYDCLGSRVDYDAPGHSCTTMAYSTDGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKHSLGVVQFDTTRNRLLAAGDEFMIKFWDMDNTNVLITTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANGDGQRLVRLLEMRALENSRGASQQINANLKPQIVSALGTVSNVSSPIAATLERADRILPAVSMSSLAAMDNNRTADIKPKISDDTDKIKNWKLVDIVDSANPRTLRLPDTLATSTKVVRLLYTNSGLGVLALGSNAIHKLWKWTRTERNPSGKSTASVAPQLWQPSNGVLMANETSDSNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRFDEVKIKLKGHQKKITGLAFSQPLNVLISSGADTQLCMWSIDGWEMKKSRIIQTPATHAAPMVGDTKIQFHNDQTHLLVVHESQLAIYDNKLESLCSWLPRDALPAPISSAVYSCDGLLVYAGFCDGAIGVFESDSLRLRCRIARTAYISPSISSPGAAYPMVIAAHPSEPNQIALGMSDGAVHVVEPSEADSKWGVALPQENGAFPPVSSNPPLNNSQASDPPPR >Ma04_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3520839:3538306:1 gene:Ma04_g04600 transcript:Ma04_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQDSGFYFNMKHFEDLVQAGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKHDRAKGVDILMKDLKAFASFNEELFKEITHLLTLENFRQNEQLSKYGDTKSARNIMFMELKKLIEANPLLHDKLTFPPFRASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAAPASGARVPPPTNGPLVGAIPKSGAFPPIGAHSPFQPIVSPPASAIAGWMTNANPSLPHAVVAQAPPGLVQPPSTAAFLKHPRTPTSAPGLDYQTADSEYLMKRMRMGQSDEVSFSGASHPPNIYSQDDIPKTVIRTLNQGSSVMSLDFHPLHQTILLVGTNVGDIGIWEVGSRERIAHKSFKAALMKDASVSVNRCLWSPDGSILGVAFSKHIVQTYAFSLSGELKQQLEIDAHVGGVNDIAFSHPKKSLSIITCGDDKTIKVWDAITGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTATDGKINAWLYDCLGSRVDYDAPGHSCTTMAYSTDGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKHSLGVVQFDTTRNRLLAAGDEFMIKFWDMDNTNVLITTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANGDGQRLVRLLEMRALENSRGASQQINANLKPQIVSALGTVSNVSSPIAATLERADRILPAVSMSSLAAMDNNRTADIKPKISDDTDKIKNWKLVDIVDSANPRTLRLPDTLATSTKVVRLLYTNSGLGVLALGSNAIHKLWKWTRTERNPSGKSTASVAPQLWQPSNGVLMANETSDSNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRFDEVKIKLKGHQKKITGLAFSQPLNVLISSGADTQLCMWSIDGWEMKKSRIIQTPATHAAPMVGDTKIQFHNDQTHLLVVHESQLAIYDNKLESLCSWLPRDALPAPISSAVYSCDGLLVYAGFCDGAIGVFESDSLRLRCRIARTAYISPSISSPGAAYPMVIAAHPSEPNQIALGMSDGAVHVVEPSEADSKWGVALPQENGAFPPVSSNPPLNNSQASDPPPR >Ma07_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1284818:1289151:-1 gene:Ma07_g01650 transcript:Ma07_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPSRLLLGLLCAVVSAGLVGRTDGGVTSSFVRKAEKGVDMPIDSDVFSVPPGYNAPQQVHITQGNHDGSAMILSWVTEDEPGSSKVLYGTDKDQLDFYAEGKYTRYKFYNYTSGYIHHCTLRHLKHDTKYYYAVGIGHTIRKFWFTTPPEVGPDVPYTFGLIGDLGQSHDSNVTLTHYESNPKAQTVLFVGDLSYADTYPNHDNVRWDTWGRFVERSTAYQPWIWTAGNHEIDFAPEIGETVPFKPFSHRYHVPYRSSGSTAPFWYSIKRASAYIIVLASYSAYGKYTPQYQWLEAELPKVNRSETPWLIVLMHSPWYNSYNYHYMEGESMRVMFEPWFVKNKVDVVFAGHVHAYERSHRVSNIAYNIVNGKCKPVPDESAPVYITIGDGGNLEGLANNMTEPQPDYSAFREASFGHAIFEIKNRTHAYYTWHRNQDGNAVAADSMWFYNRYWKS >Ma06_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5358951:5362685:1 gene:Ma06_g07550 transcript:Ma06_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLLLAGAVLLLTSHPGGATDLGSDTAALLAFRDSVGRLALPSWNANSPGAPCSWQGVACESGRVGCLRLPGAGLIGRIPAAVGNLTSLRTLSLRFNALSGPLPPELASLDALRNLYLQGNRLSGDIPGFLSSLKNLVRLNLAGNQFTGGIPLELNNLTRLGTLFLDNNQLTGGIPDLDLSNLVRFNVSYNQLNGSIPARLRSQPASAFLATGLCGGPLGPCPGEIAPSPSAEEPAAENAGSGAENNSEKKKNKNKLSGGAIAGFAIGAAAFLLIVLVVRILVCRRRKKRPAGGGKQIAMVAAAQEQRDKGSGERGTNGNGPAATPVDAAVKAATSAAGDKKLVFFGRGGARRFDLEDLLRASAEVLGKGTFGTTYKAVLETGITVAVKRLKDVNLQEQEFKEKMEAIGAMDHPNVVPLMAYYFSKDEKLLVYDYVPMGSLSALLHGNRGSGRTSFNWVTRIGIGLSAARGIEYIHSTGPSSSHGNIKSSNILLTKPYEARVSDHGLALLMGSASTTTRIAGYRAPEVADPQKVSQKADVYSFGVLLLELLTGKAPAQALLNDEGIDLPIWVQSVVREEWTAEVFDVELLRYQSVEEDMVQLLQLAIECVARFPDKRPCMAEVVIRISEIIKSSRGSSYQDQQSSPQSIDDGDDQASRQYDPVNVPNPPGDE >Ma05_p25330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37304329:37305558:1 gene:Ma05_g25330 transcript:Ma05_t25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRHRSVARLGDGGSIGAVLFLLALLPDITRCAEPPFPNPQYAQVNNSSFGLSSIVLIIVMVTSFFLLAFFTVYIRHCVGLPIPMEGPGTGEGSMSFRRRAPVGLSPEILETFPTMAYAEAKALMVGRGTLECAVCISEFADDEALRLLPGCCHVFHPDCIDAWLASHITCPVCRTDIAAAVAAAEADGDGEDFDTPPDSATAAVEPGTCDSPPDHVVDVDRTPTEEEAIELERIGSEQREARARAGRCPPTSKLPRWNSTGHSLGQLGSLEDVDRYTLRLPKHVRREIFAALRFHRSASCAAFPITASEGSSHHGHRGSAEGGFSRGGWSVRQAISDRWPSFFIRTVSSTVPSRKRGDSNEGSTKKVDTEGSSGGRFASVWSPFDWLVGTGARVNAAGQERGLPR >Ma03_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28931331:28933748:1 gene:Ma03_g24660 transcript:Ma03_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPASEFPSSPQQHQTTVTSTTEIQSRPIIDDMFQNSWEAAERGYETSSYYESKLKQILQFAFIDIIISSMALFAVFIGQRDLKGRANELHLLICGFLTTCSLLCGVTLMFFTINLLSRKNHSVQRGQHMTTVFLLVISCALLILTAAGFSTLLHKRSMFLAALLPGCLLLGTLVYFIVHAGDDHDQNSTGYASYKSELKHSLGLSFTVVSLAFSGLITTLIGTAKSHSDQALTINTKICIFQMFFAAMFGLLLMLLSSVPPSFKQQSTREFLTKLLKALSYSLLGSLALIAATAASAFLDVFLVLVLLPTPFLGVTLWFCIEWHSTQKQPHGPRTTTNVGHDLKLKLISKVATTTTSISFGGLMGLFSRFIGRKGSELQLKLCVLVMFFAFLSSFSVKLLTFSTPKPGTLMTVIKSLSTCSVLLLLFSAIIVFFLEFLGG >Ma04_p31880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32082474:32084373:-1 gene:Ma04_g31880 transcript:Ma04_t31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTWEKPKAKHRKGLWSPDEDQRLREHILEHGHGYWSSVPAKSGLHRSGKSCRLRWINYLRPGLKLGVFSQEEEETVMKLHAILGNKWSQIAMHLPGRTDNEVKNQWNTYLKKKVAKADGSSSHASMSKSLDSDIQFLKPKQLSGDNNSQISLSESFSVSQCQSTVARVKLLPPFPKVLFADWLPLFNDSDQSSSSVGGGRNFEHDSTSNSDVLSSEFLQFGMASTGYFPHEFEETSIRGGFQQQFEPVEQIPEVSFHDPLSFSETYTDLELNYDMFTDL >Ma05_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9464707:9479702:-1 gene:Ma05_g13080 transcript:Ma05_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSNSMGESDKDGSASSPAVARNLPRASTMPKGTKYAEKSETSSEEPNLERSKTEKHGQNSPHDDPTAQLFDDKIPDKQKMKMLNRIATVKGDGTVVVDVPSNFETTSINLRSENTYGEAVDEEPVDLTDLQYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFREFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSAPSEIPIQRKQIKEIIFSLLAACKDPDIDTGVPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINDFRKKRLKLRPVTYLSGVQTSASDIPYGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVDWLNAGDKPVYIGFGSLPVQEPGKMTEIIVEALKITGQRGIINKGWGGLGNLAEPKDYVYLLDNVPHDWLFLQCKAVCPTTIVPFFGDQPFWGERVNARGLGPPPIPVDQFSLEKLVDAIMFMMTPKVKENAVQLAKAMETEDGVSGAVKAFLKHLPPKISPQVTPEPSSFVDFLVAPIRRCFGCS >Ma02_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19095461:19098493:-1 gene:Ma02_g08850 transcript:Ma02_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATKGSLTVAVAICARVRGGAALSPTSLSSCRNLHSSGRKDDSRRQEYSTGAAAAVEVEGGIPGGLRRLPGHQGWDLAGAIEYLEYRRSLYGEITHKALLVDAVGTLVAPSQPMAQIYRQIGEKYGVKYSENEILNRYRWAYEQPWGRSRLRYVDDGRPFWQHIVSSSTGCSNLEYFEELYKYYTTEKAWHICDPDAGNAFRALRKAGVKTAIVSNFDTRLRPLLQALKCDHWFDAVAVSAEVAAEKPNPTIFLKACELLGVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFNEVAQRIGIQV >Ma08_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2973560:2978457:-1 gene:Ma08_g04270 transcript:Ma08_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSTDSSMERILERYQQYSYAERALLEADTEVQGNWCLEYGQLKAKIEALQTSQRHLVGEQLEKLTLKELQQLEQQLETALRKIRSRKNNVLFDSIHELQRKEKALQAHNSNLEKKIKEKNKEAEEALSQQQQAEHQAPAETSSSPPDLLSTDSTINVGNYQATGAVVEEAEQPLAQTTSSVLPPWMLRL >Ma05_p29720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40318134:40320481:-1 gene:Ma05_g29720 transcript:Ma05_t29720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRPLSVFKNSAGAAAIQPPPPAGPNSGYLLLQDEGAEPNPSCCWGLCEDTRVRELPFPQNRILTITYTEHNGQSSTTHRFPALFIPVLYKSFSSNHYYVIVAKGKKKGKAYTCSLEEDMTTCCFCQCVNDVKPREFDHRDIYQQVEIVCKRGRFTAQSVAPDGFAPWPLRRKDWRLYASKPTDFDLTDAWGLDKALRARTPALELPISGAGGAGLVVGRWYAPCVFVKEGDSLRRQMERSAFYDITLEQRWEQVFACENLYGDRRTVEVKATVRAEGAVLGGVEATRDGAGGQDGVVWFKPLDLEGERVGLSSPVWERMRWEQGRGGWVGGDVKVERSEEYGGVGPWKRFGCYVLVERFVVSRMDGSSALIVDFKHTGTIQTKWE >Ma05_p29720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40318134:40320481:-1 gene:Ma05_g29720 transcript:Ma05_t29720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRPLSVFKNSAGAAAIQPPPPAGPNSGYLLLQDEGAEPNPSCCWGLCEDTRVRELPFPQNRILTITYTEHNGQSSTTHRFPALFIPVLYKSFSSNHYYVIVAKGKKKGKAYTCSLEEDMTTCCFCQCVNDVKPREFDHRDIYQQVEIVCKRGRFTAQSVAPDGFAPWPLRRKDWRLYASKPTDFDLTDAWGLDKALRARTPALELPISGAGGAGLVVGRWYAPCVFVKEGDSLRRQMERSAFYDITLEQRWEQVFACENLYGDRRTVEVKATVRAEGAVLGGVEATRDGAGGQDGVVWFKPLDLEGERVGLSSPVWERMRWEQGRGGWVGGDVKVERSEEYGGVGPWKRFGCYVLVERFVVSRMDGSSALIVDFKHTGTIQTKWE >Ma05_p29720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40318134:40320481:-1 gene:Ma05_g29720 transcript:Ma05_t29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRPLSVFKNSAGAAAIQPPPPAGPNSGYLLLQDEGAEPNPSCCWGLCEDTRVRELPFPQNRILTITYTEHNGQSSTTHRFPALFIPVLYKSFSSNHYYVIVAKGKKKGKAYTCSLEEDMTTCCFCQCVNDVKPREFDHRDIYQQVEIVCKRGRFTAQSVAPDGFAPWPLRRKDWRLYASKPTDFDLTDAWGLDKALRARTPALELPISGAGGAGLVVGRWYAPCVFVKEGDSLRRQMERSAFYDITLEQRWEQVFACENLYGDRRTVEVKATVRAEGAVLGGVEATRDGAGGQDGVVWFKPLDLEGERVGLSSPVWERMRWEQGRGGWVGGDVKVERSEEYGGVGPWKRFGCYVLVERFVVSRMDGSSALIVDFKHTGTIQTKWE >Ma02_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25058537:25059722:-1 gene:Ma02_g18590 transcript:Ma02_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKHTSRGPKWRRLQAYAVRTFPPGCSPSAGYPSGSSSATDEGGLDEGSSPDIAPAERGPVSDGTGASEPSQGGLDETEASGAEELSLPEKRDRDSRKNTASRIRIFPPGCGANKSTGEGHFQCASVSQAENLDGESTKEDESGSNSRDLNAYGAAVESATIVSEFGEIEQPSRSGKKVCGSQKREVSEDPEQGLVDINKQTPVSEASEKNVSSRSTYEETLEAERKKRSLLKQQRQSGKKVGSSQKRKLPEDPDKGAIDTKKLTNEDGSSQSPGKTAIVFGVMAAQNCPWRNGKRSRVSKPQLGTRPKGKLERRSH >Ma05_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6742510:6745241:-1 gene:Ma05_g09300 transcript:Ma05_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFRSRSFNDGKMQLEVYGGRRSGAVPAPPVLHDYRSYSASYFYTYDGSGVGYGDFKGKPDHGSSASNKGGRVFKDPEFQRKRRVASYKAYAVEGKVKGSLRRSLRWFKDKYTRAVYGWW >Ma03_p28900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31945859:31949579:1 gene:Ma03_g28900 transcript:Ma03_t28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDSFISGLVRTLKDMAKEEVDLLLGVPGEIQKLQRSLRNIHSVLRDAEKQRIENEGVKDWLMELKDVMYDADDILDECGMEAEKWTPRESDPRSSTFCGFPIFACFREVKFRHEVGDKIKGLNDRLEEISARRSKLQHHVSAAEPRVVPRVSRITSPVLESDMVGERLEEAAKPLVEGLLRANKFLLVLDDVWDARIWDDLLRNPLQGGAAGSRVLVTTRNAGIARQMKAAHVHEMKLLPPEDGWSLLCKKATMNAEEERDAQDLKDTGMKIVEKCGGLPLAIKTIGGVLCTRGLNRNAWEEVLRSAAWSRTGLPDGVHGALYLSYQDLASHLKQCFLYCALFKEDYVFRRSDVVRLWIAEGFVEARGDASLEETGEQYHRELLHRSLLQSVQLYDLDYDEHSKMHDLLRSLGHFLSRDESLFISDVQNEWRSVAATMKLRRLSTVATETMGIRDIVSWTRQNESVRTLLLEGIRGSVKNIDDSLKNLVRLRVLHLSYTNIDILPYYIGNLIHLRYLNVSHSRVTELPESICNLTNLQFLILFGCRQLTQIPQGIDRLVNLRTLDCGYTHLESLPCGIGRLKLLNELVGFVVNKATGSCPLEELGSLQELRYLFIERLERAWLEGDPGRDTSVFKGKQKLKNLYLHCSSTLTSDGHTEEEIERMEKVLDVALHPPSSVVSLRLQNFFGLRYPSWMASASISSLLPNISHLELTNCDHWPLLPPLGKLPSLEFLFVVGARAVTTIGPEFFGSEAAATGHERERNSKRPSSSSTSPPPLFPKLRQLELWNMNNMEVWDWVAEGFAMRRLDKLVLIRCPKLKSLPEGLIRQATSLTTLYLIDVCALKSIGGFPSVKELSICGDSDLEIVADLPVLELLKLGTFGSRINHLPEWLTACPACFTTLQRLDVYGTTQLLRRCLQNGADWPMIKHFPIFSIKDDRGNCINYIKHSGTFETNLVNDAAAFATAAAEEEEEEEEERHQ >Ma10_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22950302:22952765:1 gene:Ma10_g08620 transcript:Ma10_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSFLSRGLILVFGYAYPAYECYKTVELNKPEIEQLLFWCQYWILVASLAILERLVDVFFSWLPMYCEAKLALYIYLWYPKMRGTTFVYGTFLRPYIAKHEIEIDRHLLEFRARFADIMLMYWQKASGYGRISFFDVLNRVTLLLQASRTHPSQKQADELPSSSPPAATEPARAETRASGSPAKNQPEEQLTTTTKTGVQPPESGPDAHASQTAEASSPTPKEAAIRATRGRLRKRPPIGHV >Ma07_p13760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10329958:10332751:1 gene:Ma07_g13760 transcript:Ma07_t13760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGEVVVICEAEDNCEGRSTGSNRSPSRKRGLQELDLNEDLMTEGSDEEEEEGSDDDDGGSTTEVAGGGSSSNNSSTNINNDGNSNINEGGNTAEGSSERVASVRQYNRSKTPRLRWTPDLHLSFVHAVERLGGQDRATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDDSGKEKSIISSVLSPMDLHLRRGDRLHEMLYHRTASYQPFRMENGGYFASRSMHGPDRLYNLLQPPQYQQPLELKPSRLGLHEWTFNQQRATRDGYFNERGPAKGSLHDMILNKEGKAFTSRWFDVRDTVTGKGNPRTENQLLDQRNGAQTMEIGSRIGSFDWIGSSTRPLVKGVPVNPVSTGSVVACAGSSNDYNKSRSNLYDPFVINSTKHQFDDPFRLELQRQPASKPTPNLADVFGRREAPPTDVKRLRMTTARDWSHNLQLSFGSDSVNDGADDKKPPEAEEANCVLSLSLSLSPPASGQHEEDKPEMEFLRTGSSKKKAVLGPSTLDLTMSIKALE >Ma07_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10329958:10332751:1 gene:Ma07_g13760 transcript:Ma07_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGEVVVICEAEDNCEGRSTGSNRSPSRKRGLQELDLNEDLMTEGSDEEEEEGSDDDDGGSTTEVAGGGSSSNNSSTNINNDGNSNINEGGNTAEGSSERVASVRQYNRSKTPRLRWTPDLHLSFVHAVERLGGQDRATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDDSGKEKSIISSVLSPMDLHLRRGDRLHEMLYHRTASYQPFRMENGGYFASRSMHGPDRLYNLLQPPQYQQPLELKPSRLGYLQKLHEWTFNQQRATRDGYFNERGPAKGSLHDMILNKEGKAFTSRWFDVRDTVTGKGNPRTENQLLDQRNGAQTMEIGSRIGSFDWIGSSTRPLVKGVPVNPVSTGSVVACAGSSNDYNKSRSNLYDPFVINSTKHQFDDPFRLELQRQPASKPTPNLADVFGRREAPPTDVKRLRMTTARDWSHNLQLSFGSDSVNDGADDKKPPEAEEANCVLSLSLSLSPPASGQHEEDKPEMEFLRTGSSKKKAVLGPSTLDLTMSIKALE >Ma07_p13760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10329958:10332751:1 gene:Ma07_g13760 transcript:Ma07_t13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGEVVVICEAEDNCEGRSTGSNRSPSRKRGLQELDLNEDLMTEGSDEEEEEGSDDDDGGSTTEVAGGGSSSNNSSTNINNDGNSNINEGGNTAEGSSERVASVRQYNRSKTPRLRWTPDLHLSFVHAVERLGGQDRATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDDSGKEKSIISSVLSPMDLHLRRGDRLHEMLYHRTASYQPFRMENGGYFASRSMHGPDRLYNLLQPPQYQQPLELKPSRLGYLQKLHEWTFNQQRATRDGYFNERGPAKGSLHDMILNKEGKAFTSRWFDVRDTVTGKGNPRTENQLLDQRNGAQTMEIGSRIGSFDWIGSSTRPLVKGVPVNPVSTGSVVACAGSSNDYNKSRSNLYDPFVINSTKHQFDDPFRLERQPASKPTPNLADVFGRREAPPTDVKRLRMTTARDWSHNLQLSFGSDSVNDGADDKKPPEAEEANCVLSLSLSLSPPASGQHEEDKPEMEFLRTGSSKKKAVLGPSTLDLTMSIKALE >Ma11_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2159889:2163167:-1 gene:Ma11_g02930 transcript:Ma11_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGGHRRGYAWALSAGLNAALAAVSAKFVPSQFPRYGLVILFNVIMWGCYVNSLKALSSLQATVTNFAANFLTSGLAGFFLFKEPLSSQWFVGAFFIILGVFVLSKSSSPRKLSSD >Ma04_p26710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28093128:28094388:1 gene:Ma04_g26710 transcript:Ma04_t26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEREEAGGRTEGSSSSMSSFWTIFMHADTVDRFLMTVGFIGAVGDGVSLPVIKVTCFSFSSLIFRFSHLLNPFSHGVHQGAVNMLYLACGSFVASFCEGYCWTRTGERQASRMRSRYLKAVMRQDIEYFDLNAGSGTEVITSVSSDSLVVQDAISEKVPNFIMNASARSTTRPAPSWSSPSPPSAPSTPSRRRPAPWPSSLPRSSTPSSSASSRASPRVSPSGATASPSPSGPSWPGTAAASSCTTAQRAVPSSRLGVPSSSAAWRWDRVFPM >Ma02_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18533605:18535471:1 gene:Ma02_g08080 transcript:Ma02_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRSEEGDCGEYVHIPSVEDDEDVDNDEYERNHGADEVGDGRLGVVRDESFLLPPYNFNMVDTWIYRSGFPTAANFRFLEGLNLRSIVYLCPEPYPDANAEFVRSHGIRLFQFGIEGSKESLVALPKDAIMRALAVLLDVRNHPILIHCKRGKHRTGCLVGCFRKLQNWCLSSVFEEYHRFAATKARPSDLSFISKFDVSCTLRILSIIYRCYGRGSQVGRLLYEDNNSSS >Ma05_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7824010:7843698:-1 gene:Ma05_g10740 transcript:Ma05_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXECUTER 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33630) UniProtKB/Swiss-Prot;Acc:Q93YW0] MASIRSPCLQPSSTSARADPNAAKFPTKTPSWLSSPPRRALPRLKKAPAGGSRALPDHFLCRCRKNHSSDEQEEGSSQNDCSAHESWDSLVRDVVSGAAKRWDDFLTACRNSWSRNGSSVDASAAGKGEGKEEKVMEEENGVDGGDWDWERWQRHFTEIEEQERLLSILKSQLNDAIAKEEYEDAVKLKLAIAGATENDIVGTAISTMNRAIEEENYNGAAHIRDHAGAGLVGWWSGFSEDGADPYGRIIHISAEYGRYVARSYSPRQLASGRPGFPLFEVFFTRTNGGYKQQVAYLKQNENSGDLTKKFEKKSGLSNLNSSDSSKGENTLHAEDVKTIEGKDDDTNVTDGITTIQNILRDLIPGVKVRVLKLVSPGKVDRDLIAKVVEQIMEEEEESSEEDGSDEELESLESDDFGAEHDIEDIEMDSGDSTSKREAKSEVSLKVVISGLTPKLSADVPPTNLVRVPARLEKRDHMSFSIILEQDVMRSGIDEKRQTLKRKAFARQSADLLSSELAKVIPNTEKIHLKVLKDLQELLNYSVNNRQNYHSLLEATVFSHIEIPLTSDPLSGLYIGSHGMYSSNVLHLKRKYGQWQEDYTQGKMNLEFYEYVEAIKLTGDPSVPAGQVAFRAKVGKQNQLPHKGIIPEEFGVIARYKGQGRLADPGFRNPRWVDGELVIFDGKYIRGGPVIGFVYWAPESHFLLFFNRLKLPA >Ma05_p10740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7824010:7843698:-1 gene:Ma05_g10740 transcript:Ma05_t10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXECUTER 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33630) UniProtKB/Swiss-Prot;Acc:Q93YW0] MASIRSPCLQPSSTSARADPNAAKFPTKTPSWLSSPPRRALPRLKKAPAGGSRALPDHFLCRCRKNHSSDEQEEGSSQNDCSAHESWDSLVRDVVSGAAKRWDDFLTACRNSWSRNGSSVDASAAGKGEGKEEKVMEEENGVDGGDWDWERWQRHFTEIEEQERLLSILKSQLNDAIAKEEYEDAVKLKLAIAGATENDIVGTAISTMNRAIEEENYNGAAHIRDHAGAGLVGWWSGFSEDGADPYGRIIHISAEYGRYVARSYSPRQLASGRPGFPLFEVFFTRTNGGYKQQVAYLKQNENSGDLTKKFEKKSGLSNLNSSDSSKGENTLHAEDVKTIEGKDDDTNVTDGITTIQNILRDLIPGVKVRVLKLVSPGKVDRDLIAKVVEQIMEEEEESSEEDGSDEELESLESDDFGAEHDIEDIEMDSGDSTSKREAKSEVSLKVVISGLTPKLSADVPPTNLVRVPARLEKRDHMSFSIILEQDVMRSGIDEKRQTLKRKAFARQSADLLSSELAKVIPNTEKIHLKVLKDLQELLNYSVNNRQNYHSLLEATVFSHIEIPLTSDPLSGLYIGSHGMYSSNVLHLKRKYGQWQEDYTQGKMNLEFYEYVEAIKLTGDPSVPAGQVAFRAKVGKQNQLPHKGIIPEEFGVIARYKGQGRLADPGFRNPRWVDGELVIFDGKYIRGGPVIGFVYWAPESHFLLFFNRLKLPA >Ma05_p10740.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7824010:7843698:-1 gene:Ma05_g10740 transcript:Ma05_t10740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXECUTER 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33630) UniProtKB/Swiss-Prot;Acc:Q93YW0] MASIRSPCLQPSSTSARADPNAAKFPTKTPSWLSSPPRRALPRLKKAPAGGSRALPDHFLCRCRKNHSSDEQEEGSSQNDCSAHESWDSLVRDVVSGAAKRWDDFLTACRNSWSRNGSSVDASAAGKGEGKEEKVMEEENGVDGGDWDWERWQRHFTEIEEQERLLSILKSQLNDAIAKEEYEDAVKLKLAIAGATENDIVGTAISTMNRAIEEENYNGAAHIRDHAGAGLVGWWSGFSEDGADPYGRIIHISAEYGRYVARSYSPRQLASGRPGFPLFEVFFTRTNGGYKQQVAYLKQNENSGDLTKKFEKKSGLSNLNSSDSSKGENTLHAEDVKTIEGKDDDTNVTDGITTIQNILRDLIPGVKVRVLKLVSPGKVDRDLIAKVVEQIMEEEEESSEEDGSDEELESLESDDFGAEHDIEDIEMDSGDSTSKREAKSEVSLKVVISGLTPKLSADVPPTNLVRVPARLEKRDHMSFSIILEQDVMRSGIDEKRQTLKRKAFARQSADLLSSELAKVIPNTEKIHLKVLKDLQELLNYSVNNRQNYHSLLEATVFSHIEIPLTSDPLSGLYIGSHGMYSSNVLHLKRKYGQWQEDYTQGKMNLEFYEYVEAIKLTGDPSVPAGQVAFRAKVGKQNQLPHKGIIPEEFGVIARYKGQGRLADPGFRNPRWVDGELVIFDGKYIRGGPVIGFVYWAPESHFLLFFNRLKLPA >Ma05_p10740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7823685:7843698:-1 gene:Ma05_g10740 transcript:Ma05_t10740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXECUTER 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33630) UniProtKB/Swiss-Prot;Acc:Q93YW0] MASIRSPCLQPSSTSARADPNAAKFPTKTPSWLSSPPRRALPRLKKAPAGGSRALPDHFLCRCRKNHSSDEQEEGSSQNDCSAHESWDSLVRDVVSGAAKRWDDFLTACRNSWSRNGSSVDASAAGKGEGKEEKVMEEENGVDGGDWDWERWQRHFTEIEEQERLLSILKSQLNDAIAKEEYEDAVKLKLAIAGATENDIVGTAISTMNRAIEEENYNGAAHIRDHAGAGLVGWWSGFSEDGADPYGRIIHISAEYGRYVARSYSPRQLASGRPGFPLFEVFFTRTNGGYKQQVAYLKQNENSGDLTKKFEKKSGLSNLNSSDSSKGENTLHAEDVKTIEGKDDDTNVTDGITTIQNILRDLIPGVKVRVLKLVSPGKVDRDLIAKVVEQIMEEEEESSEEDGSDEELESLESDDFGAEHDIEDIEMDSGDSTSKREAKSEVSLKVVISGLTPKLSADVPPTNLVRVPARLEKRDHMSFSIILEQDVMRSGIDEKRQTLKRKAFARQSADLLSSELAKVIPNTEKIHLKVLKDLQELLNYSVNNRQNYHSLLEATVFSHIEIPLTSDPLSGLYIGSHGMYSSNVLHLKRKYGQWQEDYTQGKMNLEFYEYVEAIKLTGDPSVPAGQVAFRAKVGKQNQLPHKGIIPEEFGVIARYKGQGRLADPGFRNPRWVDGELVIFDGKYIRGGPVIGFVYWAPESHFLLFFNRLKLPA >Ma09_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6657406:6659151:-1 gene:Ma09_g09820 transcript:Ma09_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSILLSFSLCLFLFCHISRAQLGLGQQGAGEPWMNVHRYSRVSQCKIEKLSTLELTRRVPSEAGYTEYFDQFNEQLQCVGVAACRRTIQPRGLLLPSFSSAPRLVYIAQGRGILGTVFPGCPETFQSFQQTEQQWEQTAGGCQRFRDEHQRIHYFREGDIIALPAGVSHRCYNTGEVAVVAITTFDTSSSANQLDRQHREFLLAGRERLVEQGSQIEVRLQQIKGNNLLSGFELDPLAEALGVDRELVRKIQNPDDRRGEIVLVTSGLQVLQASRKSEQLVREREVRQECQEGRGCQSNILEAFCTMKIRQNIGDPLRADYFNPRAGRITTLNSQKLPILRFVQMSAVRALLRPNAIVSPHWNVNAHSIMYALRGCSRVQVVGHRGQTVFNGELRQGQLLVVPQYYAVTIQAQRESFEWVSIKTNDNAIVNHFVGKTSAFRGMPVEVLMNSYRISREEAMQLKFNRGNELALFASKIEREAIRTSV >Ma11_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1477331:1481196:1 gene:Ma11_g02070 transcript:Ma11_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGDSPENCREARRQRIEMRILGAAGEGAGPPSRKRAGKLREAHEEPETSGFSVSEKRNRVADGGAPSPRPQSSSLAVSASSSTSSASDGEPVSVAIGSTCGSVPAVAFGSISLAGRSREMEDAVSIQPDFFRAEGGPSIHFFAVFDGHGGSHVAALCKGQMHVLLAEELGRAETETERDAEAEEARIKTAVGRSFARMDELALMACACGTIGLPPCGCERSGTESEIVGSTAVVALVGGGRLIVANCGDSRAVLSRGGRAVPLSDDHKPDRPDELARIEAAGGRVIYLNGARVYGILAMSRALGDKYLKPAVISEPEIRVVEITAADECLIIASDGLWDVLPNDLACDVARRCLEEADPTRGSERLVHEDGGDTAGDPEKEHASDARCSLAAALLARLALGRRSADNISVVVIDLRRTRGRSA >Ma03_p06520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4489990:4493217:-1 gene:Ma03_g06520 transcript:Ma03_t06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.3 [Source:Projected from Arabidopsis thaliana (AT5G10980) UniProtKB/Swiss-Prot;Acc:P59169] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma03_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4489960:4493394:-1 gene:Ma03_g06520 transcript:Ma03_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.3 [Source:Projected from Arabidopsis thaliana (AT5G10980) UniProtKB/Swiss-Prot;Acc:P59169] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma09_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17859319:17860367:-1 gene:Ma09_g18400 transcript:Ma09_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAPTHPRPASSLSSPLSPTCPSIIPLHRGSDSPIATPPRSLHQDLRGRMQPFLLRICPFLE >Ma04_p28030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29169938:29189777:1 gene:Ma04_g28030 transcript:Ma04_t28030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVHDTENANQKEKFEADLKKEIKKLQRYRDQIKTWLQSNEIKDKKVNASYEQALLDARKLIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLEASIARHKAHIMKLELILRLLDNDELSPDQANDVKDFLEDYVERNQEDFDEFGDVDELYSSLPLDKVEALEDLVSLGPSSLAKTALSSNELQNTCQDIGDDVASQESNSDVAPKTPPSKSGAMGSLASAAPPGISSGLTLGTISAATMPVRPSVAGPTVAAILSGPSSVRGVTENSSASVSSSLLNSSSSVKEDDNMTFPVRRSSPVIPEIGIGKGISRGISNQTSISASMTFSSAGGISGNVPLGSVPAMSDLSKRNILNADERIGSSGFAQPLTSPLNNRILLQSLPKTNDVAGSNESDNVGEASVAAGRVFSPSVVAGIQWRPQSSASFQNANETSQFGGRPEITPDQREKFLQRLQQVQQQGHSNLLGVPLLSGANHKQYTTQQQNSLFQQFSSQGTSVSPHVGLLGVQGAGLVSVSSASQQQPTPIQQSSQHPLVSTGTKDGDAGHASIEEQQQHNESEDLIVDPASSPSVSKMITDDDVKTSYADANSVSVAEGNQLSRDTDLSPGQPLQSSQSSASLGVIGRRSGLDLGAIGDNISGLAGNSGGVHDQIYNLQMLEAAYYKLPQPRDSERAKNYVPAPIVDNPAFWERLSLDPLGTDALFFAFYHQQNTYQQYLAARELKRQSWRFHKKFNTWFQRHEEPKVTNDNFERGNYIYFDFHIANDGSQHGWCQRIKTDFTFEYDFLEDELVV >Ma04_p28030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29169871:29189774:1 gene:Ma04_g28030 transcript:Ma04_t28030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVHDTENANQKEKFEADLKKEIKKLQRYRDQIKTWLQSNEIKDKKALLDARKLIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLEASIARHKAHIMKLELILRLLDNDELSPDQANDVKDFLEDYVERNQEDFDEFGDVDELYSSLPLDKVEALEDLVSLGPSSLAKTALSSNELQNTCQDIGDDVASQESNSDVAPKTPPSKSGAMGSLASAAPPGISSGLTLGTISAATMPVRPSVAGPTVAAILSGPSSVRGVTENSSASVSSSLLNSSSSVKEDDNMTFPVRRSSPVIPEIGIGKGISRGISNQTSISASMTFSSAGGISGNVPLGSVPAMSDLSKRNILNADERIGSSGFAQPLTSPLNNRILLQSLPKTNDVAGSNESDNVGEASVAAGRVFSPSVVAGIQWRPQSSASFQNANETSQFGGRPEITPDQREKFLQRLQQVQQQGHSNLLGVPLLSGANHKQYTTQQQNSLFQQFSSQGTSVSPHVGLLGVQGAGLVSVSSASQQQPTPIQQSSQHPLVSTGTKDGDAGHASIEEQQQHNESEDLIVDPASSPSVSKMITDDDVKTSYADANSVSVAEGNQLSRDTDLSPGQPLQSSQSSASLGVIGRRSGLDLGAIGDNISGLAGNSGGVHDQIYNLQMLEAAYYKLPQPRDSERAKNYVPRHPAVTPASFPQMQAPIVDNPAFWERLSLDPLGTDALFFAFYHQQNTYQQYLAARELKRQSWRFHKKFNTWFQRHEEPKVTNDNFERGNYIYFDFHIANDGSQHGWCQRIKTDFTFEYDFLEDELVV >Ma04_p28030.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29169938:29189774:1 gene:Ma04_g28030 transcript:Ma04_t28030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVHDTENANQKEKFEADLKKEIKKLQRYRDQIKTWLQSNEIKDKKVNASYEQALLDARKLIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLEASIARHKAHIMKLELILRLLDNDELSPDQANDVKDFLEDYVERNQEDFDEFGDVDELYSSLPLDKVEALEDLVSLGPSSLAKTALSSNELQNTCQDIGDDVASQESNSDVAPKTPPSKSGAMGSLASAAPPGISSGLTLGTISAATMPVRPSVAGPTVAAILSGPSSVRGVTENSSASVSSSLLNSSSSVKEDDNMTFPVRRSSPVIPEIGIGKGISRGISNQTSISASMTFSSAGGISGNVPLGSVPAMSDLSKRNILNADERIGSSGFAQPLTSPLNNRILLQSLPKTNDVAGSNESDNVGEASVAAGRVFSPSVVAGIQWRPQSSASFQNANETSQFGGRPEITPDQREKFLQRLQQVQQQGHSNLLGVPLLSGANHKQYTTQQQNSLFQQFSSQGTSVSPHVGLLGVQGAGLVSVSSASQQQPTPIQQSSQHPLVSTGTKDGDAGHASIEEQQQHNESEDLIVDPASSPSVSKMITDDDVKTSYADANSVSVAEGNQLSRDTDLSPGQPLQSSQSSASLGVIGRRSGLDLGAIGDNISGLAGNSGGVHDQIYNLQMLEAAYYKLPQPRDSERAKNYVPRHPAVTPASFPQMQAPIVDNPAFWERLSLDPLGTDALFFAFYHQQNTYQQYLAARELKRQSWRFHKKFNTWFQRHEEPKVTNDNFERGNYIYFDFHIANDGSQHGWCQRIKTDFTFEYDFLEDELVV >Ma04_p28030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29169938:29189620:1 gene:Ma04_g28030 transcript:Ma04_t28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVHDTENANQKEKFEADLKKEIKKLQRYRDQIKTWLQSNEIKDKKVNASYEQALLDARKLIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLEASIARHKAHIMKLELILRLLDNDELSPDQANDVKDFLEDYVERNQEDFDEFGDVDELYSSLPLDKVEALEDLVSLGPSSLAKTALSSNELQNTCQDIGDDVASQESNSDVAPKTPPSKSGAMGSLASAAPPGISSGLTLGTISAATMPVRPSVAGPTVAAILSGPSSVRGVTENSSASVSSSLLNSSSSVKEDDNMTFPVRRSSPVIPEIGIGKGISRGISNQTSISASMTFSSAGGISGNVPLGSVPAMSDLSKRNILNADERIGSSGFAQPLTSPLNNRILLQSLPKTNDVAGSNESDNVGEASVAAGRVFSPSVVAGIQWRPQSSASFQNANETSQFGGRPEITPDQREKFLQRLQQVQQQGHSNLLGVPLLSGANHKQYTTQQQNSLFQQFSSQGTSVSPHVGLLGVQGAGLVSVSSASQQQPTPIQQSSQHPLVSTGTKDGDAGHASIEEQQQHNESEDLIVDPASSPSVSKMITDDDVKTSYADANSVSVAEGNQLSRDTDLSPGQPLQSSQSSASLGVIGRRSGLDLGAIGDNISGLAGNSGGVHDQIYNLQMLEAAYYKLPQPRDSERAKNYVPRHPAVTPASFPQMQAPIVDNPAFWERLSLDPLGTDALFFAFYHQQNTYQQYLAARELKRQSWRFHKKFNTWFQRHEEPKVTNDNFERGNYIYFDFHIANDGSQHGWCQRIKTDFTFEYDFLEDELVV >Ma04_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24149914:24150887:-1 gene:Ma04_g21640 transcript:Ma04_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSKGVKLYGTSFNGHTTMVECALRLKGVAYEYVEEDRKNKSEALLKLNPVHKQVPVLVVDGKPIAESQIILQHIDDVWKDPPHLPEDPYKRAKVRFWVDFVNKKVVPIMHTIVTLKGDEQKTAADEFGGYLQTMEDGIREELWTGGPFINGDNPGLLDVVMGSSYTWIKFIEAVAGVKLAKREETPLLCSSMEAFVELDAVKELMTPPEVLLQSRGNPGAKTTETSDD >Ma01_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20597415:20601614:-1 gene:Ma01_g21180 transcript:Ma01_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQVPPQEAYPPPGYSPPYPPPYGAPPPYPPPSSPPGGYPPPGGYPPPRPPGYQGYFYEDYAPPPPPPPPPSHPYYHSHDDAGLSFLKGCLAALCCCCVLEECCF >Ma04_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3141751:3144391:1 gene:Ma04_g04090 transcript:Ma04_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWENLLLLTPYHIMKPYSPELQNGSSRTSLQNTPRIVLLLTATLFLLTIIPLYLFPLLATSFAWRNLSSPPSSPSPSLSSSSSSPSSSSRDMSRDAMAGVTMAKSSCDIFRGEWVPNPNAPYYTNETCWAIHEHQNCMKFGRPDTEFLKWRWKPNDCDLPIFNPAQFLELVRGKSLAFVGDSVGRNQMQSLICLLLRVTYAVDASVTEDEKFRRYHFPTHNFTVASFWSPFLVRAHEADPNGPTLTGLFNLHLDQVDTNWTTQMTPFDYVIVSAGHWFFRPTMFFEADQLVGCHYCLHPNVTDLTMYYSYRRAFRAALRAFNDLPGFRGTVFLRTFAPSHFENGEWNKGGNCVRQRPFRSNETRMDGYNLEMYMAQLEEYRAAEGEGKEKGVRFRLLDTTEAMLLRPDGHPGRFGHRPDENVTLYNDCVHWCLPGPIDNWNDFLLHMLKNEGGRSAAGRRSRNDRKSTIK >Ma10_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28288023:28292482:-1 gene:Ma10_g16660 transcript:Ma10_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSVQSIPVGGIAYYITAPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVIADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >Ma08_p27050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39436011:39438966:1 gene:Ma08_g27050 transcript:Ma08_t27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 11 [Source:Projected from Arabidopsis thaliana (AT1G55910) UniProtKB/Swiss-Prot;Acc:Q94EG9] MAKSPFPFVLILLLLSLAVAVSAHGGSGGGDDDADADSDGNKPDLRSKSLILTKVWCLIVIFFATFLPGVSPYFLKWNEAFLALGTQFAGGVFLGTAMMHFLSDSDETFEDLTDKDYPFAFMLACAGFAITLLADCIISVVVARGRSVRDVENGQESSHQSKQVTTGGVSQSQQEVGQCTGDHSVASLLRDANSLGDSILLIFALCFHSVFEGIAIGVADTKADAWKALWTISLHKVFAAIAMGIALLRMMPNRPLLSCAAYSFAFAISSPIGVAIGIIIDATTQGRVADWIYAISMGLATGVFIYVSINHLLAKGYKPQKPVAADTPFIRWFAVVLGIGVIAVVMIWDT >Ma02_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29132750:29136203:-1 gene:Ma02_g24580 transcript:Ma02_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSDTCNPFLCLHVTPMWRHTFPCVLVCGLDTSVAVACRFSSPLNSISEAFLQQIDEIYVDQKSSHFRRPKVPTSLSLSLSLSLSTVLLLTFCCRSSQLITEAEIKPTTDVCYSDDEELPASIFGREKGLFFCYNESIFNDTLLGEEEQDSTDQEHLSKVDESLVTELPHSSPLEDQSNPGLENDDSPVSDVIRTSSSPTPIICGSVEDEDCVGDAFHNNEKEEEEEQNEESSKKQKLLIVAQTHPGSKKFQLEEHTSGGSLTSESTSKSSMEWRSSTNIRDSETECPFSSSSRRSSSNWEKYTWFRKYDEDMTFFDRISAQKLTETESFRSMKYQPKSVSRRIVHKFTTHKKKGNRDPYQELESAYVAQICLAWEALNWNYNHFLQWSAKGSNSERASCTAWMAQQFQQFQVLLQRFIENEPYERGRRPQVFARTRISSPKLLLVPEFRDSKADEGKEEMISSTEFSAILEDAIRTFMNFLKADKENPCQILKAFIKRKSSSVDPNLRRLLKRANKKKKMRLKDLLKSRRCLKKNRLKGEEEMEILMGLIDMKIVSRTLRMHEISHEQLHWCEEKMTKVRVCDGKIQRDSSPLFFPVQ >Ma11_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24151057:24152394:1 gene:Ma11_g19190 transcript:Ma11_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDAGGESAAAKEGGGERKRKRRAERSLLGKWKTEGERKSYSSKLIEALRVVRRSSCPAPAVVSTDCSRSRAIRVAADRALAVTARGRSRWSRAILCRRTLKLRSRARVARPKPSAAAARPRAADRRKPPALEQKARVLGRLVPGCRNLPLATLLEEATDYIAALEMQVRAMTAVTEILSAAAADGRSSSVAAEPM >Ma04_p19000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21543329:21558961:1 gene:Ma04_g19000 transcript:Ma04_t19000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTASLSPFRCQRCFLPPLALEPRSWIRSFHPSMASLLSATRSQPLCFLGCQGDGLFPSSFRFGFDRRFGNGKKTRHVGSPPPSVASPTMCGQKRDHLSDPNLKILRLAREKFTQEISFRSEDKDLSLAKALLLVAAEDEAFLSFNRDMDACSLLSERKGATGQFKALSEVTDVEDISLAGKTISGWLKELDVIAAEVKAELISREIGCHLLEVLEAVNVVLFDSRGFRRFPVLVDSKFSYLHTVLSYGCGSAIMFSIIYIEVCRRLGVTVVGSRVGEDFLIWPQSGNLVELFRSASGHSLFATINGRCVKDPRSKASELDSGSLLRLDIATNRDIIGIALANLIRLHWKRASKANHGLMLTSPLRPVHPGKDKANMGETSLPLLRPQELRLAIMASERLLILQPDNWTLRRDHGLLLYYSRRYVEAVQELSICMAFAPEEEAEILEPFVEKLHLLRLLSSWECLDQADSVPVS >Ma04_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21543329:21558961:1 gene:Ma04_g19000 transcript:Ma04_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTASLSPFRCQRCFLPPLALEPRSWIRSFHPSMASLLSATRSQPLCFLGCQGDGLFPSSFRFGFDRRFGNGKKTRHVGSPPPSVASPTMCGQKRDHLSDPNLKILRLAREKFTQEISFRSEDKDLSLAKALLLVAAEDEAFLSFNRDMDACSLLSERKGATGQFKALSEVTDVEDISLAGKTISGWLKELDVIAAEVKAELISREIGCHLLEVLEAVNVVLFDSRGFRRFPVLVDSKFSYLHTVLSYGCGSAIMFSIIYIEVCRRLGVTVVGSRVGEDFLIWPQSGNLVELFRSASGHSLFATINGRCVKDPRSKASELDSGSLLRLDIATNRDIIGIALANLIRLHWKRASKANHGLMLTSPLRPVHPGKDKANMGETSLPLLRPQELRLAIMASERLLILQPDNWTLRRDHGLLLYYSRRYVEAVQELSICMAFAPEEEAEILEPFVEKLHLLRLLSSWECLDQADSVPVS >Ma04_p19000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21543329:21558961:1 gene:Ma04_g19000 transcript:Ma04_t19000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSLLSERKGATGQFKALSEVTDVEDISLAGKTISGWLKELDVIAAEVKAELISREIGCHLLEVLEAVNVVLFDSRGFRRFPVLVDSKFSYLHTVLSYGCGSAIMFSIIYIEVCRRLGVTVVGSRVGEDFLIWPQSGNLVELFRSASGHSLFATINGRCVKDPRSKASELDSGSLLRLDIATNRDIIGIALANLIRLHWKRASKANHGLMLTSPLRPVHPGKDKANMGETSLPLLRPQELRLAIMASERLLILQPDNWTLRRDHGLLLYYSRRYVEAVQELSICMAFAPEEEAEILEPFVEKLHLLRLLSSWECLDQADSVPVS >Ma08_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33817276:33817776:1 gene:Ma08_g19940 transcript:Ma08_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLFSPLKKLWFRLHSARKKNRGIYILYEDVKSCQCEDVHILWSILVESQPSPLRLKQ >Ma00_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9771708:9772398:-1 gene:Ma00_g01600 transcript:Ma00_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGQTSGTVVEDLYKEQQPSATSYVASGYHGCCFGAYKSFDSGFICTYTAMAAARSSRVLQVALLVLVVGLAMSGQAASRRILEQRGGDEIIGFVGDFLLGEIKIGRPSYGGRGHKMTTDFNTEEVKDSGPSPGIGH >Ma09_p07110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4590906:4595559:-1 gene:Ma09_g07110 transcript:Ma09_t07110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYHRLLLHRLADIYGFAHESVGEGDYRHLVLERCADTTIPPILVSDILWQYDEHQTLSASNYVLLRNEAPALKTTQQLSPSIPLEEREASYQAARARIFSLHEDGEQDLTAPKSRKVPVVARRMIAHALGQRISPTSSTEKLSLNESEKEVTGGLSSDEGKNLCPKVEDIKESTAFSTGKLGSHGRKKYDKMTHGSSGGRSHNSDGHNEVATGVSAKNNLLPNSSNRRAVGVEDLEKLHIGAARRIFAHALGLPSGKGNLETMVKSNEGNRDFKRETEIDDQEVT >Ma09_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4590906:4595580:-1 gene:Ma09_g07110 transcript:Ma09_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQFAMVEELASLIKDNLSCKHLVLSVEEALVNFLQDDTSSDGILELQPMGPYHRLLLHRLADIYGFAHESVGEGDYRHLVLERCADTTIPPILVSDILWQYDEHQTLSASNYVLLRNEAPALKTTQQLSPSIPLEEREASYQAARARIFSLHEDGEQDLTAPKSRKVPVVARRMIAHALGQRISPTSSTEKLSLNESEKEVTGGLSSDEGKNLCPKVEDIKESTAFSTGKLGSHGRKKYDKMTHGSSGGRSHNSDGHNEVATGVSAKNNLLPNSSNRRAVGVEDLEKLHIGAARRIFAHALGLPSGKGNLETMVKSNEGNRDFKRETEIDDQEVT >Ma04_p25300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27065036:27076177:-1 gene:Ma04_g25300 transcript:Ma04_t25300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKGKARLSLAFSTSSNRLSLIRPSAMEIDRPATNGDGAGAAEEMDLERPFLRRSSSGSRRRRTAGETPLGSPSRRPSFRQEMGHAAAETYLITRLTLILLRYLGVGSRWITKFLALGFYALLLMPGFIQVGYYYFFSNQVRRSVIYGEQPRNRLDLYLPINGSTPKPVVAFVTGGAWIIGYKAWGALLGRRLAERDVIVACIDYRNFPQGTISDMIKDASQGISFICNNIVDYGGDPNRIHLMGQSAGAHIAACALVDQALREHQGENTSWSMSQIKTYFGLSGGYNLFDLVDHVHRRGLYRSIFLSIMEGEQSLQRFSPELTVQDQSFHPAIIFLPQIILFHGTGDYSIPSTASENFASALRSVGAQVKLILYEGKTHTDLFLQDPLRGGRDDLLEDVVAVIHAGDAVALAKDTTAPKARRLVPEWQLKLAREISPF >Ma04_p25300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27065036:27076177:-1 gene:Ma04_g25300 transcript:Ma04_t25300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKGKARLSLAFSTSSNRLSLIRPSAMEIDRPATNGDGAGAAEEMDLERPFLRRSSSGSRRRRTAGETPLGSPSRRPSFRQEMGHAAAETYLITRLTLILLRYLGVGSRWITKFLALGFYALLLMPGFIQVGYYYFFSNQVRRSVIYGEQPRNRLDLYLPINGSTPKPVVAFVTGGAWIIGYKAWGALLGRRLAERDVIVACIDYRNFPQGTISDMIKDASQGISFICNNIVDYGGDPNRIHLMGQSAGAHIAACALVDQALREHQGENTSWSMSQIKTYFGLSGGYNLFDLVDHVHRRGLYRSIFLSIMEGEQSLQRFSPELTVQDQSFHPAIIFLPQIILFHGTGDYSIPSTASENFASALRSVGAQVKLILYEGKTHTDLFLQDPLRGGRDDLLEDVVAVIHAGDAVALAKDTTAPKARRLVPEWQLKLAREISPF >Ma04_p25300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27065036:27076177:-1 gene:Ma04_g25300 transcript:Ma04_t25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKGKARLSLAFSTSSNRLSLIRPSAMEIDRPATNGDGAGAAEEMDLERPFLRRSSSGSRRRRTAGETPLGSPSRRPSFRQEMGHAAAETYLITRLTLILLRYLGVGSRWITKFLALGFYALLLMPGFIQVGYYYFFSNQVRRSVIYGEQPRNRLDLYLPINGSTPKPVVAFVTGGAWIIGYKAWGALLGRRLAERDVIVACIDYRIHLMGQSAGAHIAACALVDQALREHQGENTSWSMSQIKTYFGLSGGYNLFDLVDHVHRRGLYRSIFLSIMEGEQSLQRFSPELTVQDQSFHPAIIFLPQIILFHGTGDYSIPSTASENFASALRSVGAQVKLILYEGKTHTDLFLQDPLRGGRDDLLEDVVAVIHAGDAVALAKDTTAPKARRLVPEWQLKLAREISPF >Ma06_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6181346:6183323:1 gene:Ma06_g08790 transcript:Ma06_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPAGSMMPGVSYGVLGLQGHMHSHQGSMIHSSMHDAFPTSAQEFNHRGFMDYNKGERGKTSVSDEDEPSLNEDGVDGQNEAGKGKNGSPWHRMKWTDAMVRLLITAVSYTGEDVASECGGRRKHVILQRKGKWKAISKVMAQRGCYVSPQQCEDKFNDLNKRYKRLTDILGRGTSCKVVENPSLLDHMNNLSEKSKDDVRKILSSKHLFYEEMCSYHNCNRLNLPADPALQRSLQQALRSRDEHDTRRSLHEDIDEGDQGADSDDEEGDVLERNALHGDLVASCFPKRIKFGVDHQEVVLGNPSVSQNCCRSLQSPGLTVDMNQVFSEGSQSTLMHHRCNSYPLQLEEKRLYIQAQMLELERQHYKWQRFSKKKDRELNRMRLENERMKLENEHLSLEIRQKELELDVILKRQQ >Ma04_p31730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31960845:31961656:-1 gene:Ma04_g31730 transcript:Ma04_t31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNFSKILMHLPVADRSEAAITVSYEAQARLQDPVYGCVAHIFALQQQVVNLQAQLSSLRAQAAQGLGSSTAADRTPREDKPPPYQQDNQSFFRTADGRTPPPFSSTPSMDLDNVVNSRHYDVGGEHISFDDGSFAMASPGMQASSWSSAYHHDMEDLQSVALAYLGRS >Ma11_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22940172:22944619:-1 gene:Ma11_g17690 transcript:Ma11_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMMMTAVGPKLPLQRNKSRISRVIVPISLKATLSRTTERATACNVWEGQSLTFLHQRGLRHSPWSTFAVGPGLEASISDPSKNDIRLDNVKIVIESRDIDKITVRVDLTGEETQKAFDIVLTNLARTAPPIPGFRRMKGGKTSNVPKSFLLQMLGRDRVTKFLIQEIVGITIGDYVKKENLKVKSQFKTIQTAEELEAAFTPGSEFGFNAVVDVENSDSETTSSSAS >Ma11_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7073121:7094328:-1 gene:Ma11_g08960 transcript:Ma11_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKRMKAVVEYDDAEDGNSEEGEKEDSDDLELGLSLAHSEIRAGFMGGGQREGHSRKNLPWEDQGVGCSQFGSPPEPLRQFDFGQGNVKDWVEMNDCREDVVEVGSVSQVNYVSRDTCLEMSNSECQRFAQQKRRKVLPSPEDATFFLAEVTAAAVAIDFIPLSSSYSVEDKKAYHLSIFSSDDAFVKSSNTIDGVGFDGDTISAISAKNVEFRMDFSDDLLHLIFSFLEQKDLCRAGATCKQWLAASTHENFWRCLEFENIRISQQNFVAICHRYPNATEVKLRGTQNVEGLVIVAMTSLRHLETLILDKGRHSESFFLSEAFFLTLTDCPALTTLRISDAFISNCTQEVTVCHEKLHDLQLVKYRALRITVRCPQLQTLSLKRSSMAHVLLNCPQLCVLDLSSCHKLPDTAIRSSAMSCPLLTSLNMSSCLCVTDETIREISFTCPNLCTLDASNCPNISFELVTLPMLMNLRLESCEAITSASISAISQSQMLKSLELYNCGMLTSVSLDLPHLQNISLVYLRRFVDLNLRSPVLKQIKVSRCSALHRISITSNALQKLVLQKQESLTTLLLQCQNLQEMDLSDCESLMDSICEVFSDGGGCPKLRSLVLDNCERLSMVKISSCTLVYLSLAWCHAMNAIELSCPNLEELNLDGCDHLESASFCSVGLELLNLGICPRLSDLRIEAQNMLVLDLKGCGVLSQAYIHCPRLKVLDASFCRKFSDESLSTIAASCPHIESLILSSCQSVGPNGLSSLRFLRRLTLLDLSYTFFMSLRPVFDNCLQLVVLKLSACKYLTDFSLDALYKEDVLPALRELDLSYSSIGQLDILNLITYCTNLVHLNLNGCANINELIWSASYCHLSNMAINNCSSAISKENDESFRKTGHLLQILNCTGCPNIKKVLISSSANCLHLYKINLNLSKNLKEVYLTCTNLCMLNLSYCSSLVILRLNCPRLNNLQLLACRMLTEEALEDAISQCQELEIINIFSCSKIRAKDFDRWRMVCPSLRRIQSSL >Ma05_p07500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5457774:5461422:-1 gene:Ma05_g07500 transcript:Ma05_t07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKA >Ma05_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5457774:5461405:-1 gene:Ma05_g07500 transcript:Ma05_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKA >Ma02_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20596233:20605930:1 gene:Ma02_g11470 transcript:Ma02_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVTQTVKKLQDHCDVKANSSICLELTKILNRISLILPAIESSRPGSTCGIAELCSLNNSIDKAKLLLQHCAESSKLYLAITGQATLSRCERIKVSLINSLCQIQDMVPPLLACKIAEVLDYLRVTKFKVDSPEEEAGRALLDLLRRTDSSEDVEFKAFHIAASRLKLTSSKAILIERRSLNKLLDKLNGSDKKKEKILYYFMYLLKKHGKNVRQDGSEFKENGKAGSESIIIDTNRARSTSRSSNNDEPSIRGEAPIDIRQAAVPPEEFCCPISSRLMYDPVVIASGQTYERKYIEKWFDEGHDTCPRTRTKLVNLAVVPNSCMKDLIANWCRRRGISVPEPCSDCSPADFCGWEPSHSYSISSLKNVSAALLDGSVRHYFLQNDHSNVSVLSSDASYCSDSSQISGIKSTQDNQTHLFSWSDDYQQHQSFSNFNHEMFLRFFYRLLELPIDVQDKAVKKVKFLLESDEEICCAMLANGFAEALISFLKNAREEANVRAIRAGNQLFLAFLNENWVKISSLTEDALQLLVSFLDSDIRMEVLMLMQKLAQNPSCRSSIMAPGVVAPIIKSLDSEDTGLLELSLKILLDLSADEDVKSSILSSGCITTLASFLTDGRLAHLCLKIIQNISHHEEGATTVVKAKACLAAIVELLDTGSKEEQEHAVDILYAICSKSYENCLLVMDEGVIPALVDINVNGNVKGQEIATRLLHLLRDVRRSDRFVNSYIKPESIPEPTANVVQHSADRVPLSRSLGVLGKKLRFFSKSGSSTPC >Ma02_p11470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20596233:20605930:1 gene:Ma02_g11470 transcript:Ma02_t11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVTQTVKKLQDHCDVKANSSICLELTKILNRISLILPAIESSRPGSTCGIAELCSLNNSIDKAKLLLQHCAESSKLYLAITGQATLSRCERIKVSLINSLCQIQDMVPPLLACKIAEVLDYLRVTKFKVDSPEEEAGRALLDLLRRTDSSEDVEFKAFHIAASRLKLTSSKAILIERRSLNKLLDKLNGSDKKKEKILYYFMYLLKKHGKNVRQDGSEFKENGKAGSESIIIDTNRARSTSRSSNNDEPSIRGEAPIDIRQAAVPPEEFCCPISSRLMYDPVVIASGQTYERKYIEKWFDEGHDTCPRTRTKLVNLAVVPNSCMKDLIANWCRRRGISVPEPCSDCSPADFCGWEPSHSYSISSLKNVSAALLDGSVRHYFLQNDHSNVSVLSSDASYCSDSSQISGIKSTQDNQTHLFSWSDDYQQHQSFSNFNHEMFLRFFYRLLELPIDVQDKAVKKVKFLLESDEEICCAMLANGFAEALISFLKNAREEANVRAIRAGNQLFLAFLNENWVKISSLTEDALQLLVSFLDSDIRMEVLMLMQKLAQNPSCRSSIMAPGVVAPIIKSLDSEDTGLLELSLKILLDLSADEDVKSSILSSGCITTLASFLTDGRLAHLCLKIIQNISHHEEGATTVVKAKACLAAIVELLDTGSKEEQEHAVDILYAICSKSYENCLLVMDEGVIPALVDINVNGNVKGQEIATRLLHLLRDVRRSDRFVNSYIKPESIPEPTANVVQHSADRVPLSRSLGVLGKKLRFFSKSGSSTPC >Ma04_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19150881:19151087:-1 gene:Ma04_g17900 transcript:Ma04_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRIFQTSICASFSVLPSDAWFFDVAQETISRISGKARFGNRERESDSPTASISAQSTSSVRSHGSS >Ma10_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31001217:31001498:-1 gene:Ma10_g20970 transcript:Ma10_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTCTRKQHRNVWTTEKRFRVDEEPTKDLLCVTKKPQNRKLNAPAGNRTRVCTVAGYYSTTRPLVLCTSRGYLFLKFNRSRNLGIASKFFMR >Ma09_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8080708:8081553:-1 gene:Ma09_g11930 transcript:Ma09_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTESGGRSAGTGGFSRYLRPPPPSAHLQPEKSKPSPEKRTKLSPNHGGDQQAATSAAAGSSVGPVRRPRGRPPGSKNKPKPPIVVTRDSPNALRSHVIEVANGADVVECVAEYARRRVRGVSVLSGGGAVANVALRQPGASPPGSVVATLRGRFEILSLTGTVLPPPAPPGAGSLTVFLAGGNQGQVVGGSVVGPLVAAGPVVLTAACFSNAVYERLPLEECEEAAAAAEQGPQPVVSQSSGATAAGGERGGSDGVPFYNLGGSYPFAGDAFGGFRPPF >Ma04_p36260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34684843:34686633:-1 gene:Ma04_g36260 transcript:Ma04_t36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKILLFLLASTSMGSIRTESRSTNTTFPLPLFCPKSCGNISFEYPFGIGDGCFRTGFNLTCRNHSTSAPRLFLGDGTIEVTRIDMNQRSVYIKSPSVVMGVDDKFKRASLIDLENWPYSFESMEQMTRNSYQGTTSNEVYVLGCSAMASLVDLTTNKTISTCLSICAANDSSLKSEWSDINNRYCTMNLWSKNSTALEIQLTRIDQTELHLVNTSSIKVMMFDDENDDLQGVLNGSRTNVEATLVWYMNDHLSCEEAMNTETYACLSQNSLCHNIFLDTAYLNKSIGYLCRCSASYQGNPYVPSGCQDKSFTPAAAAPAAAANGCLTKCGSIDVFFPFGLEKGCYRDDSFALTCNTTSNPPTLLLDYLNIVHNISLEEGQLELEEKGTFSYIGNSTRPFVFFKGQTIVSWVIEFQLCEDAKKNTTTFACVDAHSSCIDTTITNDDGKFVGYRCKCWQGYQGNPYMPNGCT >Ma05_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33703015:33704833:-1 gene:Ma05_g21960 transcript:Ma05_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLTACRESIETTELLCLIALRRVAFRPHLNRLMKLLSMTKINILMEVEIHNHALDQMGHDMDTSSGVLSGTVDRFKMVFERKLNCRMATLVISFLVLFLLMYYLTK >Ma07_p26900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33491015:33493474:1 gene:Ma07_g26900 transcript:Ma07_t26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMKGATEESSSFSLSDQPRLLFVYHVSGALSMAGDQGREHYHFLLHDELSALFSQKPPGGAADPGPRGFHLQAVSPLLSFTDLLHGPAMDYDSLGRDLGLSCSAPSGVVGSGGTASRELMTGGNDNARYGCGGGATPLTPNSSVSSSSTEAAGEEDGERCKKDQLKQEDEEEEEEQQAKDDDDGGDTSKKVNKTKKKGEKRQRQPRFAFVTKSEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSHQDPTIVITTYEGKHNHQNPATARGSTHLIASLPMMSTSFCQELMMHQIPQLNSIDTQQGNTNPNVFLASLLPSLQQSHFPDYGLLQDIVPSLVDGSQP >Ma07_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5611142:5616744:-1 gene:Ma07_g07540 transcript:Ma07_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPSSSASDDELEEEDEEEEHRNLVPQNETKRPHPNSALEIGHLPARIGDRGFFSRRCCLLFLLLPAFLSLLIVVVLLFFYRGGSIGGANFPLDRMRESELRALFLLRDQQLGLLKLWNRTRSVALDVSAPPSSAPNSTSVRTDLAKAAAFDEFRSALFEQIKLNKKIQNALLSSHNVGNSSSSGTSDDNVFFGMSGPGVDVCRKVDRPASRTIEWEPKKDRYLFAICLSGQMSNHLICLEKHMFFAALLDRALVLPSPRFDYQYDQVLDIDHINECFGRKVVIKFDEFSETLKNKMKIDRFICYMASPPCYLDEEHIKKLKDLGLSFGKIEAAWPEDAKLKMQKKRFVGDIMPKFTSNDEVIAIGDVFYADVEEEWMKQPGGPLAHKCKTLIQPSRFIYLTAQRFVQTFLGSNFIALHFRRHGFLKFCNLKPESCFFPIPQAAECILRIVEKADVPVIYLSTDAAESETNLLQSLVVFNGKQVPLFKRPDHDTAEKWDALLYRNKLGGDNQVEAMLDKTICAMSTVFIGASGSTFTEDILRLRRDWGSASRCDEYLCQGEQPNYIAEND >Ma01_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6033658:6035157:-1 gene:Ma01_g08420 transcript:Ma01_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQEKLYPAPLHSHEEVVSDSVGFIESLRRFHSSMSTKFMVPVIGGKELDLHLLYVEVTQRGGLAKVIEEKRWREVIAAFKFPPTTTSASFVLRRYYLSLLHHYEQVYYFRIQGPLVPPAASSQTRATPSKLDHSVVVSDSTMQTPKARKRALPEPQSKGPYNFTVTGSIDGKFEYGYMVTVKIGAETLRGVLYRVQQEQPSAGSSSSLAAAAAAAAADTSHAADVAAVTRTRRRRRRGWRRRDPAHPKPNRSAYNFFFAETHSKLKALHPHREREFSKMIGESWSKLSEEERLVYQDYGLKDKERYKMEMQEYKERLKLAQPKEVTVARAEPSSEVVTEEVKESADGH >Ma00_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43045563:43046291:1 gene:Ma00_g04950 transcript:Ma00_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAFSTTLRGPARTWFSRLRQASVSSFKQLAEKFEQNFLANARPRPSMATLLTLSQREDESLSQFMSLIEKPLVTISKMLQHANQYVAAEALVVGRCVECKKPRMELPRGMTSVVPTPPRRGLNRQELPLPRPPPLPLNVSHTEIFLQIKEMGLLQQPLLMKAVHRDQSKYCRLHRDYHDDTKDCHDLRNQIEALIWRGHLGRYLKSQE >Ma11_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27448100:27450333:1 gene:Ma11_g24510 transcript:Ma11_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTCHLSHTITSGTYDMVCLEQRGPSSPFKERVAGYIWTPTPLRDMIASSACLLCCDPESKFSCQNCIGDRSPRLSYLSPRRRLFFTYFLGIFFMA >Ma04_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5038896:5039456:1 gene:Ma04_g06910 transcript:Ma04_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGAQAKRDTLVITNSVRFPTSEEKRSRVSCDAVLTITTQERRRILLLVWLLFLVVVVRSWWEGGEKRVGSFDPLFSRHWAHSTLLLLIGIRNLLFQSVCFLLARSLCLTPYLVKIRTLPLLPFELRESERGGDNRGVRKLGFSFLEEERRKEAGELRQGKKNTRVPVLVSLVFVPFNSRSSVFV >Ma00_p02850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22477483:22487034:1 gene:Ma00_g02850 transcript:Ma00_t02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGSQPSQFITSNGSRGISRGSGTPLIDDIEMGQIIVPERKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFTYELLWIILLASCSALVIQSLAAKLGVVTGKHLAEHCRAEYPKIPNFILWVLAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLLTGLSTLLLLLLQQYGVRKLEFFIAFLVLTMAGCFFAELGYAKPKSSEVLKGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSIHGIKEACRFYIIESAFALAVAFLINVSVISVSGAVCSSEGLNPEDQRNCSDLDLNKASFLLRNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIVPSLIVALIGGSTAAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSHANSLMIAATTWIISSLIVIINTYYLVTGFINLLLHSGLHTVSIAFAGVFGFSGMLVYVAAILYLVFRTNRKSTQPLLHDDSQFGQTCESNNGIYDLPREDIASMQLPQGRSTSDLD >Ma00_p02850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22477483:22487034:1 gene:Ma00_g02850 transcript:Ma00_t02850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGSQPSQFITSNGSRGISRGSGTPLIDDIEMGQIIVPERKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFTYELLWIILLASCSALVIQSLAAKLGVVTGKHLAEHCRAEYPKIPNFILWVLAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLLTGLSTLLLLLLQQYGVRKLEFFIAFLVLTMAGCFFAELGYAKPKSSEVLKGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSIHGIKEACRFYIIESAFALAVAFLINVSVISVSGAVCSSEGLNPEDQRNCSDLDLNKASFLLRNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIVPSLIVALIGGSTAAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSHANSLMIAATTWIISSLIVIINTYYLVTGFINLLLHSGLHTVSIAFAGVFGFSGMLVYVAAILYLVFRTNRKSTQPLLHDDSQFGQTCESNNGIYDLPREDIASMQLPQGRSTSDLD >Ma00_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22477483:22487034:1 gene:Ma00_g02850 transcript:Ma00_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGSQPSQFITSNGSRGISRGSGTPLIDDIEMGQIIVPERKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFTYELLWIILLASCSALVIQSLAAKLGVVTGKHLAEHCRAEYPKIPNFILWVLAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLLTGLSTLLLLLLQQYGVRKLEFFIAFLVLTMAGCFFAELGYAKPKSSEVLKGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSIHGIKEACRFYIIESAFALAVAFLINVSVISVSGAVCSSEGLNPEDQRNCSDLDLNKASFLLRNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIVPSLIVALIGGSTAAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSHANSLMIAATTWIISSLIVIINTYYLVTGFINLLLHSGLHTVSIAFAGVFGFSGMLVYVAAILYLVFRTNRKSTQPLLHDDSQFGQTCESNNGIYDLPREDIASMQLPQGRSTSDLD >Ma00_p02850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22478064:22487034:1 gene:Ma00_g02850 transcript:Ma00_t02850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGSQPSQFITSNGSRGISRGSGTPLIDDIEMGQIIVPERKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFTYELLWIILLASCSALVIQSLAAKLGVVTGKHLAEHCRAEYPKIPNFILWVLAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLLTGLSTLLLLLLQQYGVRKLEFFIAFLVLTMAGCFFAELGYAKPKSSEVLKGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSIHGIKEACRFYIIESAFALAVAFLINVSVISVSGAVCSSEGLNPEDQRNCSDLDLNKASFLLRNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIVPSLIVALIGGSTAAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSHANSLMIAATTWIISSLIVIINTYYLVTGFINLLLHSGLHTVSIAFAGVFGFSGMLVYVAAILYLVFRTNRKSTQPLLHDDSQFGQTCESNNGIYDLPREDIASMQLPQGRSTSDLD >Ma00_p02850.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22477483:22487034:1 gene:Ma00_g02850 transcript:Ma00_t02850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGSQPSQFITSNGSRGISRGSGTPLIDDIEMGQIIVPERKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQAGAQFTYELLWIILLASCSALVIQSLAAKLGVVTGKHLAEHCRAEYPKIPNFILWVLAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLLTGLSTLLLLLLQQYGVRKLEFFIAFLVLTMAGCFFAELGYAKPKSSEVLKGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSIHGIKEACRFYIIESAFALAVAFLINVSVISVSGAVCSSEGLNPEDQRNCSDLDLNKASFLLRNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRITPWIRNLLTRSLAIVPSLIVALIGGSTAAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGSHANSLMIAATTWIISSLIVIINTYYLVTGFINLLLHSGLHTVSIAFAGVFGFSGMLVYVAAILYLVFRTNRKSTQPLLHDDSQFGQTCESNNGIYDLPREDIASMQLPQGRSTSDLD >Ma10_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1671933:1679978:-1 gene:Ma10_g00450 transcript:Ma10_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMVCHWFIKLRAMGFPLRFLSSLSLCLLALLLHRATVTSGCFSLEREALLDFKAGIHDTHNRLSSWVGQDCCAWAGVICRATTGHVVKLDLRNTNTLDGAIRDWFSSVNMLPSLQVLNMSYVGLDTIPASVVHVNFTSSLTVLDLSTNNFDSTLPKWLWNITSLTHLDLYYSGFHGVIPDAIGDLGSLTFLDLGVNQLEGTVPRSMVDLRRLKELHMPGNQLIGNLSDWLEQMTDLIILDLHYNLFNGSMPSSSVVHFENLTRLQVLDLSDNPITISIGQKWLQFQTQIQVLSMDYYLSNNQIGGKLPSSLNFTKLEMLYLYSNRFEGPLPMMLPSTLDALDLSNNSLTGQLPIWPHLDGDLCSSICQWTGGLVYLDLSSNKLLGEIPYCLGESLRNIYFLNLDNNHISGEIPHTIGFLMLRLRSNMFSGVVPWQLARFEKLQILDLANNNFSGSIPQNIGNLNLYYLQCSISLMKKIEGMKSLESLDLSINDLSGSIPESLSILYSLSYLNLSYNNLSGMITFGHQLQTFIDPSIYMGNADLCGPPIFKSCFNNKTIQNIIQEYKKEIPEWLWFYISMVLGYVMGFWTFCGILFLKDTWRHVYFHMIDDMYDRLWVQWHLIF >Ma01_p05660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3994777:4010850:-1 gene:Ma01_g05660 transcript:Ma01_t05660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDVTGVVKELQNHCDIKVNNSICLELTKLLNNINLILPAIESARPGCSSGIQELCSLNTSIDKAKLLLQHCAESSKLYLAISKDTTLSRCERIRTSLIRSLCQIQTMVPPPLAVKIAAVLEYLSLTKFEVGSSEEEVGRALLDLLHRADTSEDEEFETFQIAALRLKLTSPKAILLERRSLNKLLDKLDGSDTKKEKILKYFMYLLKKHGKNVRQDSHELKENDKSSSQNFTRHTNSASSTSSSSNYDEPGDQGEAPTNLCQAAIPPEEFVCPISSRLMYDPVVIASGQTYERIYIEKWFSEGHDTCPKTQRKLENFYVVPNSCMKDLITNWSTKHGIKVPEPCSGCSPADFYCWEPSHSYSISSLKNVSAPLLDGSIRHYFLQNDHSNVSFISSDASYCSESPHVNSTNNVEDDYAHFFSWSDDYQQCQSFSNFNHEMFLRFFCRLLELPIDVQDKAVENVKSLLESDEEMAHAMLSNGFAEALISVLKNACEMANAQAMKNGNKLLLAFLNKNRFELSSLTEDALQLLLSFLDSQTSIEALTLLHILAQDPSCRSDILSSGVLTTVLKSLDSEVPKYLELSLNILLDLSADKDVKSYILSSGCITKLASFMMDGRLVHLCLKIIQTISSNEEGAMLVAKATACLASISELLDTGSKEEQELAVDILYAVCSQSFENCLLIMDEGVIPALVNISVNGNAKGKEVSQRLLHRLRDVRHSDRFVNLYINPESEPEPTQHFVGHSANERPHSKSVGFFRRKIRWFSKPQSSTPC >Ma01_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3994777:4010850:-1 gene:Ma01_g05660 transcript:Ma01_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDVTGVVKELQNHCDIKVNNSICLELTKLLNNINLILPAIESARPGCSSGIQELCSLNTSIDKAKLLLQHCAESSKLYLAISKDTTLSRCERIRTSLIRSLCQIQTMVPPPLAVKIAAVLEYLSLTKFEVGSSEEEVGRALLDLLHRADTSEDEEFETFQIAALRLKLTSPKAILLERRSLNKLLDKLDGSDTKKEKILKYFMYLLKKHGKNVRQDSHELKENDKSSSQNFTRHTNSASSTSSSSNYDEPGDQGEAPTNLCQAAIPPEEFVCPISSRLMYDPVVIASGQTYERIYIEKWFSEGHDTCPKTQRKLENFYVVPNSCMKDLITNWSTKHGIKVPEPCSGCSPADFYCWEPSHSYSISSLKNVSAPLLDGSIRHYFLQNDHSNVSFISSDASYCSESPHVNSTNNVEDDYAHFFSWSDDYQQCQSFSNFNHEMFLRFFCRLLELPIDVQDKAVENVKSLLESDEEMAHAMLSNGFAEALISVLKNACEMANAQAMKNGNKLLLAFLNKNRFELSSLTEDALQLLLSFLDSQTSIEALTLLHILAQDPSCRSDILSSGVLTTVLKSLDSEVPKYLELSLNILLDLSADKDVKSYILSSGCITKLASFMMDGRLVHLCLKIIQTISSNEEGAMLVAKATACLASISELLDTGSKEEQELAVDILYAVCSQSFENCLLIMDEGVIPALVNISVNGNAKGKEVSQRLLHRLRDVRHSDRFVNLYINPESEPEPTQHFVGHSANERPHSKSVGFFRRKIRWFSKPQSSTPC >Ma04_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26890140:26894301:-1 gene:Ma04_g25020 transcript:Ma04_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKPAFGKGKPGSSGGSDQQGNARFLHEIEALSKALSVDPKQPRHPRSSSDDRRSISAGRSHLKAPSNPKPSSSDKQKDKKKPGSSSLWGWNPIKKALSHIGGHRRFDCCFSLHVHSIEGLPAALAGASLAVYWRRTTDPVSSAAATRPARVLHGAALFGESLTYRCSVHGARSGPGGTAKYEARHFLIYPALTVGAPGLDLGRHLVDLTRVLPATLEELEDAEKAFGKWSTSYRLSGKARGASLNVSFGFSLVGNNSVDAGAREREGSRMLNSEEGGLDKVNWQGPMAPAGSRLQHHGRCQSVKDVKVLHEVLPSSKSDALALANFERQAKPEKSNDSKELPTLDADAKRELQIIVEQIQSTELRTCLVSELLEGTEEEPQLLDGIEVESHLPKPCILPEAVKGSDERECDEPKFMVIEHGVEIVTKDRTCKTSGDIEIDESAGDDEAGEARKEGLDAKPEEPVAEMAQQESHNLHDASLLTGEAKMEEGSDMKIHEPDAEEAEHDIYNPQDATLLAVDPPVQDLDSIFGELSVLELGEFESPDIQGKPAKQLSHGDIKSNYKMANLLSRSRSLDAVTESVASEFLSMLGIEHSPFGLSSDSDPDSPRERLWKQFEKESLASGDNIFGLDAGMEKQPYWDELSDGLDLSVIIQEAETELQNAELAMNNMKSRAKMLEDAETEALMHAWGLNEEAFHCSPPGSGGGFGSPIDLPPEEPLELPLLGEGLGPIVQTKDGGFLRSMNPLMFRNAKNKENLIMQVSSPIVVPAEMGSGIMEILQRLASVGIEKLSRQASKLMPLEDITGKTMQQIAWDSATALDSCERNDLLENHYPETGLAASHNVSGRRKKGNGMSLASSSTGEMISEYVSLEDLAPMAMDKIEALSIEGLRIQTGMSDEEAPSNVSPQSIGEISALEGKGADNSWSLGLEGTAGLQLLDIKDSGHDVDGLMGLSITLDEWMKLDSGIIDEEDQDSDRTSKILAAHHANSMDLICGEWKEDKRGRKKSGRKWGLLGNNFTVALMVQLRNPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVSEKGNSEQEDEVETESKPLTKEEKHEEEVIPQYKITEVHVAGLKTEPNKRSLWGNPKQQQSGSRWLLATGMGKSNKHPFMKSKTVAKPSQDMTAKVQPGDTLWSISSRVHGSGAKWKELAALNPHIRNPNIIFPNETIKLR >Ma07_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31766137:31767099:1 gene:Ma07_g24380 transcript:Ma07_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCFNWRLVIFFVLSMGFQGELAGAHGRRQLVQSLEAADDGVCTAVVSPQGYECREYEVKTQDGYILTMHRIPQGRGGGSAGKRQPVLLQHGVLSDGLTWLLNPPRQSLPFVLADNGFDVWITHGRGTRWSRRHESLKTSDTAYWAWSWDELASYDLPATVGFVFRKTGQKLHYVGHSMVGDFTYFNAKHVILPSTLNYFVCMFCYLLPPLQLQQLTDVG >Ma05_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:742490:743497:1 gene:Ma05_g01260 transcript:Ma05_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYSRMGVVTGSTAKSRSMEFSDPTFFSPPPKEAPEEPQQKEAADVIKPVDHFSWLQQQQEEEEEEEEGGQRFGVILSRTCSSASQRFSNGSTGLQSAVMRAFSMRRSSSVREGYWRIHDTGDEDGGADLLEEEQQMRYSRKKKKKNKGKFLRACKRLLGF >Ma02_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27363045:27365087:1 gene:Ma02_g21840 transcript:Ma02_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTGTYKGCRARGTIEAMRLDEGDYHLKPYVSSDPELTVTGRTEKDEFLILASDGLWDVISSEMACRVIGKCLEVLASDGYPCGVANSAAKEATAVLVRLAISRGSVDNISVVVVKL >Ma11_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12744754:12745994:1 gene:Ma11_g11300 transcript:Ma11_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLGSETVGVKKGPWTPEEDLILFSYIKEHGPGKWNDVPVKTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEDRMIVHLQALLGNRWAAIASYLPERTDNDIKNHWNAHLKKKLRKLDADADVSKSGSKKHSNEQSISRGQWERCLQTDIHKAKRDLCDALLIEKPSSPPHSKFPSDASSSTPCASSTDDMAGLLQGWTTDDSPKPGDSSSSHRFADSMSEVWADQAPLILFDPWFFDDSSAQGQEKLFGMAMDETAELF >Ma08_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1236550:1243003:-1 gene:Ma08_g01370 transcript:Ma08_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYDETPSTSFGPPKSKLRLDSYGESRAVEDKSFENARRVADHYSARSNQTLEEREASPIIQLKKLNNWIKSVLIQLYARPKDAVLDIACGKGGDLIKWDKARIGYYVGVDIAEGSIRDCQTRYNGDLDQQQRRKKFSFPARLICADCYEVRLDKYLRDDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGTFIGTMPDANVIIKRLREAEGLEFGNSVYWICFDEEYSSKKFKPSSPFGVKYKFHLEDAVDCPEWIVPFHVFKCLAEEYDLELIFVKNSHEFVNEFLKKPEFVDLMRRLGALGDGNQDQSTLSQDEWDVAYLYLAFVLRKRGQPSSSRRNSNINKGKMHISEDDIEFISS >Ma04_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25072286:25073994:-1 gene:Ma04_g22860 transcript:Ma04_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARQEEAQDVVVVVVPLPAQSHLAQLHHFALLLCGRPGLSVHFATSATHIHQAKSRVNPAWGGDSRLHFHELPIPAFPSPPPDRNSTTTRFPAHLQPMFDAFEHLDEPISVLLRSLSASSRRVVVVHDSLASFAAVEAAALHNAESYNFSCLPALFQVFYDRPSAADELRDRGLALPPLDGIITEEFGAFARRRMDENTSSAGRLLNTCRPIEGEFIDLLAREPKHRDRKIFTLGPLSPMAVIDGGRRRQPHECLYWLDKQPPASVVYVSFGTTTAMADEQVEELANGLLLSGQRFVWVVRDADRGDIFAAEDNGHVRRMELPPEFEQKVAGTGLVVRGWAPQLDILSHPATGAFVSHCGWNSCMESLCMGVPVIAWPMHSDQPTIAALVTGHLKAGVTVGEWTPRADKVTTRAVIEEAIKRVMVYDEGREIRERARVIGEAVREAIAEGGASRAELDAFVAHITR >Ma05_p30410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40829921:40832755:-1 gene:Ma05_g30410 transcript:Ma05_t30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTARKKIQKDKGAEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINSAVQVDVAGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVILVASRRILRPPKKGSAVVRPRSRTLTAVHDAILEDVVYPAEIVGKRIRYRLDGSKIMKIFLDPKERNNTEYKTETFTSVYRRLCGKDVVFEYPVTETA >Ma05_p29340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40043088:40050617:1 gene:Ma05_g29340 transcript:Ma05_t29340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIFGFSRRRKKIGRLKVHLKDPLQGTRSPIRSSNQLSQTNEENGGLASSSGRSDDPNCHFSSHTCDLHNCSLESSKNWNLLPTYGNKPTPRFQHAATVVGSKMVVVGGDSSHDLLDDTQILSLDSLTWASATSKVYSSTGTISLNIPACKGHTLVSWGKSALLIGGKTEPLSDKLSVWSFDVETECWSHIEAKGNIPVARSGHTVLRAGAVLILFGGEDVKGRKLNDLFMFDLKSLTWLPLHYKGTGPSARTNHVAALYEDKLLFIFGGQSKSRILNDLHSLDFETMIWSRVKVHGHRPSPRAGCCSALCGSKWYITGGGSKRRRHAETLVFDVLKLEWSASIMSPSVSITTNRGFSLVPVHHKNITSLVAFGGFKKEPSNQVEIFFLVTNEHSMIWPSAPYMGFLPYEECAANIKELGAHLKTVSSSTSTDPFARNSLASTLEQQISGRKSLSDDPCVSTDISCRKLFHQDQDFGLATKVQRPLEDEKYTNDGQLMLNNPSSKKMHVFSNCQRSKRQQPEIKMDVAGIQDSMEKMHVESGGTTVHHKHGIGISSLTADAEHLFPLGVDGGGLVLTNPTDVYQVYETKTASLTRKNVLLEEQLKAATTSREIAERNLSCTVERKQDRERKLADALREVELLKDKVAGMEVAQEEANGLSNIGHSDNVRLEHDVAFLKAILEDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSGDHRAPTPRKPFQM >Ma05_p29340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40043088:40050013:1 gene:Ma05_g29340 transcript:Ma05_t29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIFGFSRRRKKIGRLKVHLKDPLQGTRSPIRSSNQLSQTNEENGGLASSSGRSDDPNCHFSSHTCDLHNCSLESSKNWNLLPTYGNKPTPRFQHAATVVGSKMVVVGGDSSHDLLDDTQILSLDSLTWASATSKVYSSTGTISLNIPACKGHTLVSWGKSALLIGGKTEPLSDKLSVWSFDVETECWSHIEAKGNIPVARSGHTVLRAGAVLILFGGEDVKGRKLNDLFMFDLKSLTWLPLHYKGTGPSARTNHVAALYEDKLLFIFGGQSKSRILNDLHSLDFETMIWSRVKVHGHRPSPRAGCCSALCGSKWYITGGGSKRRRHAETLVFDVLKLEWSASIMSPSVSITTNRGFSLVPVHHKNITSLVAFGGFKKEPSNQVEIFFLVTNEHSMIWPSAPYMGFLPYEECAANIKELGAHLKTVSSSTSTDPFARNSLASTLEQQISGRKSLSDDPCVSTDISCRKLFHQDQDFGLATKVQRPLEDEKYTNDGQLMLNNPSSKEFKIAWKRCMWSLEVQPFITNMESELVVLPRTPSICFLWGWTEEDWF >Ma11_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22019042:22021127:1 gene:Ma11_g16500 transcript:Ma11_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYIWQRMENDQGELADVVRAGGWVGASSTAFPASDWQLPSEPVVFPPASIKDSSSDLGDPFMKLCDPLIDELSGIEFFKSRETMAGQVNMVIKESDCGDGGGFISQKLLMGGGIKKPFDITSPEADKSSPLSPVMAGEMMKVSSGAVGCLGDDGGGGQQISSSLEQGVKRRKNEAKKVVCIPAPAAACGRPGGEAVPCDLWAWRKYGQKPIKGSPHPRGYYRCSSSKGCSARKQVERSRTDPDMLVITYTYEHNHPWPTQRNALAGFARSQTSKNCSTRNLKEEPKDTTRCSAASVKQEVKEVVDKVNDQAFYRSREPLISEQYHPDNFFTDMSVLEADTVNLIFSKELTETKPEENGDKALDPFNMFY >Ma02_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16860671:16862224:1 gene:Ma02_g05590 transcript:Ma02_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKVDAPKKADTKLSVKKGPERASKKPRKTKADKDPNKPKRPPSAFFVFMEEFRKSFKEKNPNNKSVSVVGKAGGDKWKSLSEAEKAPYVAKAAKFKTEYTKKIAAYNKNQSGGGSHAAADEDESDKSKSEVNDDDEEEEGSEEEEEDDE >Ma04_p33010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32852513:32856481:1 gene:Ma04_g33010 transcript:Ma04_t33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISERCVSCTTFNIFAPIYKRLSEKDQSCRESQYRAYWQSRNQSIIDRLLGDRSSVICLQEVWLGNEELVDMYEKRLGDAGYISFKLARTNNRGDGLLTAVRRDHFRILNYRELLFNDFGDRVAQLLHVESVVPVLQGPNSNIHAQIIIVNTHLLFPHDSSLCIVRLQQVYKILLYIETYQKEHNLSPMPIVLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHHYTDNDADCHKWVSHRNHRGNICGVDFIWLLNPNMYRKPLRTSWSEAVFGIIKYLLQAASLTNDDAFAFLKADNLGDYIIYSGFCQALHQLGITSQLDGLGPEDAKDLWFQADIDGDGVVNYEEFMKRIWSLKWSEHPNEILGQGTERGSATSIGKHQAFGFRVKDAVLFPPEVEKGMWPEDYSLSDHAPLTVVFSPVRMSYSPS >Ma09_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35648850:35649670:1 gene:Ma09_g23970 transcript:Ma09_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKEELQLTPQEDEEACMYAVELASGPILPMTVKAAAELDLFEILVKAGPTPKLSAADIAAQMPTQNPQAAVMVDRILRLLAAYNIVRCTVETGADGRPSGKYGPAPVCKYLAKNEDGVSMVALAMMTLDKVLMESWYHLKDAVLDGGIPFNKAYGMMAFEYYGTDARFNKLFNEGMRNHSTIMTKKLLDIYRGFELVR >Ma07_p26950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33511584:33511738:1 gene:Ma07_g26950 transcript:Ma07_t26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFVYSDISICFGCFLLIDTLFCFKLSID >Ma08_p08850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6297627:6308118:1 gene:Ma08_g08850 transcript:Ma08_t08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLFKSSVLPDAVSGSVPLFQGSGKARKPANMMCSRRSSQLRFLGFTGLKGSNDLHLVSGPSKDFRLAVSASVSAPKGKASRGVAVAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARIEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADTSNIRTQVIRMVGESTEAVGAGVGGGSSGNKMPTLDEYGTNLTKLAEEGKLDPVVGRQQQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIVTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERIKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIEILRGLRERYEIHHKLRYTDEALIAAAHLSYQYISDRFLPDKAIDLVDEAGSRVRLRHAQLPEDARELDKELRRITKEKNEAVRGQDFEKAGELRDREMELKAQISALVDKGKERSQAESEAGEGGPVVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLYDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVREIADIMLKEVFERVKAKDIELQVTERFRDRVVEEGYSPSYGARPLRRAIMRLLEDSMAEKMLAGEIKEGDSAIVDVDADGNVTVLNGRTGLPEQLPPAIPV >Ma08_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6298323:6308118:1 gene:Ma08_g08850 transcript:Ma08_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLFKSSVLPDAVSGSVPLFQGSGKARKPANMMCSRRSSQLRFLGFTGLKGSNDLHLVSGPSKDFRLAVSASVSAPKGKASRGVAVAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARIEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADTSNIRTQVIRMVGESTEAVGAGVGGGSSGNKMPTLDEYGTNLTKLAEEGKLDPVVGRQQQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIVTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERIKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIEILRGLRERYEIHHKLRYTDEALIAAAHLSYQYISDRFLPDKAIDLVDEAGSRVRLRHAQLPEDARELDKELRRITKEKNEAVRGQDFEKAGELRDREMELKAQISALVDKGKERSQAESEAGEGGPVVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLYDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVREIADIMLKEVFERVKAKDIELQVTERFRDRVVEEGYSPSYGARPLRRAIMRLLEDSMAEKMLAGEIKEGDSAIVDVDADGNVTVLNGRTGLPEQLPPAIPV >Ma01_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8587765:8590302:-1 gene:Ma01_g11840 transcript:Ma01_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGGVKQILAKPIQLADQVSKWADDAHSFKQDCAELKAKTERLAGLLRQAARADLYERPTRRIMDDTEQVLDKALALVEKCRAHGLVRRLFTIIPATAFKKMSTQLDNSIGDVSWLIRVSSSSGSDDGDFDTHLGLPPIAQNEPILFLIWEQIATMHTGSLDTRADAAASLVSLARDNDRYGKLIIEEDGVGPLLRLVKEGRPEGQESAAHAIGLLARDPESVEQMVLAGVCSVFSKVLKDGPMKVQAMVAWAVAELAASHPKCQDVFAQNHVVRLLVSHLAFETVQEHSKYTVPSKGISIHSVVLANNSTNTTANAAAAMALDNGAEQALVKHPNTITDQNASKNQMHSVIESTMAAKSSKTPANTKSHTNPHVAGSNGKQQKVPLSGASIKGREFEDPATKAYMKAMAAKALWQLAKGNPSVCNSITESRALLCFAVLLEKGAEEVQYYSAMALMDIARVAEQHSDLRRSAFKPSSPAARAVVDQLLRIVEKADYDDLLVPCIVALGSLSRTFRATETRIIAPLVRLLDEREAIVSKEAAIALTKFACTDNYLHLDHSKAIINAGGAKDLVQLVYFGEQAVQVAALILLCYIALHVPDSEALAQAEVLTVLEWSSKQGYMVQDPTVDTLLPEAKVRLELYQSRSRRGYH >Ma07_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15951907:15953430:-1 gene:Ma07_g16940 transcript:Ma07_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACKEWRPVATMLAVDVVFAVTNTMIKKAIDEGLNRLVFITLRQLVATLFMAPVAYFHERTTRPKLTTEICVYLFFSAMLGAALTQYLFYLGMQYTSATFACAFLNIAPVFTFLISLAMRIESLNLKTKAGIAKAMGTSLCLVGVVVLTFYRGVGFNDHVSHRSPADGMSYTSRKRVMGSVALLAGCCCWSAWFPLQSRVSKKYPALYSCTALIFFLAFLQAAALSLATQRGLSMWLLRKKLEIATVLFSGGVGSGLGFLAMSWCVAQRGPVFTAAFTPLVQVIVAGIDFSVLHEPIYLGSVLGSVLVVAGLYSLLWGKNNESHSQAEKPAEGNGENQVQVQRV >Ma10_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30015759:30018127:-1 gene:Ma10_g19480 transcript:Ma10_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERERASEHSGTETSGERGRREEGMRTRDETVILRAEAVLLCSVGLLAAWMPTAAALWLSLPTSGTKCVSEEIHAGVVAMADYAVIHDDDPRNTPTISVKVTAPNGDTLHHKGNITIGQFAFTSNEPGTYLACFWLDGANRGAGTSVGINWKIGIAAKDWESIAKKEKIEGVELELRKLEEAVEVIHENLLYLRNREAGMRDVSEKTNSRVAMFSFMSLTVCILASVVQLWHLKGYFHKKKLI >Ma03_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4009891:4010472:1 gene:Ma03_g05830 transcript:Ma03_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGRGAAAGEERRYKGVRRRKWGKWVSEIRLPNSRDRIWLGSYDSPEKAARAFDAAAVCLRGPRARLNFPDSPPPCATQPLSPQQIQAAAARHAATPPSQPRLAPTAGEAGSGEQLDWSFMDPQQAAASEEGTEFPAAMDDYMYDFFSPAPPADVVEDHGAVDFGSNSFLWSF >Ma11_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24533545:24541022:-1 gene:Ma11_g19830 transcript:Ma11_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDVEIGLIVFSPRGKLYEFSSSSLQSTIERYRERTKEDTSSTTREQDAKRKYEAESLSKKLEDLEASKQKFLGEKLDSCLSDELYEIERKIEKSLRSIRARKYHLIEEQIAEQKEKVSSLEKGNELLHEKVNQLRRLPSTVAEEVPSPGTHGQQTEADTQLRIGRPGMETHDMWKGY >Ma06_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4406592:4409533:1 gene:Ma06_g05980 transcript:Ma06_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSWRSFITSCWRSTKEGSVTETKKPRPIPKSPQRMSYYDISSSGTPLSPEDLSLSLPGSNLHVFTLAELKAVTRNFSTTNFIGSGGFGPVFKGYVDDKLRPGLKAQHVAVKSLDLEGLQGHREWLAEVIFLGQLRHPHLAKLIGYCCEDEHRMLVYEYMARGSLENHLFKRLLASLPWSTRIKIAVGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPQGEETHVTTRVMGTRGYAAPEYLLTGHLTAKSDVYSFGVVLLELLSGRRSVDKNRSNREKNLVEWARPQLNNPQKLSRVMDRNLEGQYSTKGAQKAAAVAYKCLNLNPKKRPDMRAVVETLEPLLEMNDVPIGPFVYTAPAETENDGRKEMDVKTAAKVNGLKQRVPNSAVRSEATIHMDSKGLYRNSPRHHQSSRRQNREHGV >Ma00_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19704375:19704845:-1 gene:Ma00_g02670 transcript:Ma00_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVILAAAASLLLGIGGLVLIQFCIVRRAIRRGFLGFGATAGPSDDACDGLPPQLLERLPRYDFKVGCGAECAVCLESLEVGDVCRLLPACMHAFHARCVDCWLLQKPICPTCRTSAAPGGAAIVAEVGGMRSSDPKFDLPGSVTSDSKFMFGAL >Ma07_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10753535:10753771:-1 gene:Ma07_g14340 transcript:Ma07_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWAKTFTENKHLRRFTLLADDLVVKAANVEEGGEFTISGADEILVAL >Ma06_p27670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29583483:29583647:-1 gene:Ma06_g27670 transcript:Ma06_t27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQACDLRECSLFVIFFIHDFTLHGQEHGSLSTLLIHGLVHGLFAYCNINKK >Ma08_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11317937:11321519:-1 gene:Ma08_g14220 transcript:Ma08_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQERGAPTSAPKMKSPSIEEDFEKDFLISWKSSKPGKQAMDLDVETVPQNRKSSFNFDKLDDFDLGGDFGKLSSFGMDISDLDFSIPLKKTANANEQESLPRKQDLKKEKFSFAFDFNVLDKFDLDTKLVKTATGSSKCMDDGGPHCSDEMRKHESLSTSTSAHMLEPDGPYHAVIRHGSAQEALCQGHKELLCLDSVKNDTSKEKDSGVRLLDGLQSGNSSPVKLSNSRCCPPNNITGSQDCSSTLQNHEVDEHVITEGSKPTDHSIQVDNEASRNSVKEVTNKHFDASW >Ma07_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4914390:4916432:1 gene:Ma07_g06830 transcript:Ma07_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKIRKDYPSVQLTSWRFWPIVGWINYQYMPLQLRVLFHSFIASCWAVFLNLKAQSGAAKEA >Ma06_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33464:36137:1 gene:Ma06_g00030 transcript:Ma06_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSVKQELIEELGIYIVGFDRAGYGESDTNPNRSLRSEASDIAELADALSGPRFYLIGFSLGGHAVWASIKYIPDRIAGAAMMAPVINCRWPGFPRHLSEEAYRKQQPGDQWALRVAYYAPWLLHWWMKQSWLPSSTVFKGTTHLPNRLDAQVREYAMKNSGMFEERRKLATQQGMLDSFYRDMMVMFGKWEFDPMDQLP >Ma06_p25550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25911309:25915346:1 gene:Ma06_g25550 transcript:Ma06_t25550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILGFRAGNFVAKEEMTSEPAEQHDGSAETAADEEGWVPRVDMVFENDEKAYLFYCLYGMRMGFGVRKHLVKRRSSGSVYCRVFSCYKEGFCRSLKEGKKPKPNARSGCQAHMTIRILDNGGFRVSEFEPQHNHDLAPEVPLLVTDSTSGSDTTRKAGDKNALKQAMVRRSLANFVPLKSINATKVEDLGTALRYMQRRVPETRCGYSSTKTGEAHHCIDDAQHETLVPKVDLEFEDDEEAYLFYINYATSIGFSVRKHLVKRRASGVVYSRTYVCHKEGFRRKKDEQRKRCPKPYDRTGCLASMTIKITKNGRYRVSEFMPKHNHPLVIPSKAHLFRWRWRRGMLKSQADLVDLDDEFGAVSETMEEQIDAPRDSCRDPIFSSVNCKNYIPSKRTNDVRIGDVGAMMQYFHEKQIADPSFYYALQLDRDDQIANVFWSDAKSIVDFEYFGDVVCFDTSYKTSDYGRPFAPFIGVNHHKQAIIFGAAMLYDETEESFKWLFQTFKDAMNGKQPKVILTGQSKMMSNALAVMWPGTTHRLCVWQLYNDATMYLNFIFQGSKTFSRDFSKCIYDYEDEEEFLSGWQTLLQSYDLHANEWLASLYEDRKKWALPYERQMFCADMTSTLVSENMNSELKEYLDPKLDLLDFFKRYEEIVDKRRDAELEYDIHAHQCIPKLPFSRMLRQAANMYTPAVYKTFQTEFELSMDCMVYCCGQEGTMFRYKVTIEDKAKEYIVEFDSSNGTVACSCKRFEFVGIHCRHVLKTLDVINIKELPPHYILKRWTKDAKVTNLHENHGIAVNGENRSTVGRRYSSLCSILNKIAVRAAENLESYMFIESLSGQLMDQIHQIMQTATSGDPDDGISGF >Ma06_p25550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25911284:25915346:1 gene:Ma06_g25550 transcript:Ma06_t25550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILGFRAGNFVAKEEMTSEPAEQHDGSAETAADEEGWVPRVDMVFENDEKAYLFYCLYGMRMGFGVRKHLVKRRSSGSVYCRVFSCYKEGFCRSLKEGKKPKPNARSGCQAHMTIRILDNGGFRVSEFEPQHNHDLAPEVPLLVTDSTSGSDTTRKAGDKNALKQAMVRRSLANFVPLKSINATKVEDLGTALRYMQRRVPETRCGYSSTKTGEAHHCIDDAQHETLVPKVDLEFEDDEEAYLFYINYATSIGFSVRKHLVKRRASGVVYSRTYVCHKEGFRRKKDEQRKRCPKPYDRTGCLASMTIKITKNGRYRVSEFMPKHNHPLVIPSKAHLFRWRWRRGMLKSQADLVDLDDEFGAVSETMEEQIDAPRDSCRDPIFSSVNCKNYIPSKRTNDVRIGDVGAMMQYFHEKQIADPSFYYALQLDRDDQIANVFWSDAKSIVDFEYFGDVVCFDTSYKTSDYGRPFAPFIGVNHHKQAIIFGAAMLYDETEESFKWLFQTFKDAMNGKQPKVILTGQSKMMSNALAVMWPGTTHRLCVWQLYNDATMYLNFIFQGSKTFSRDFSKCIYDYEDEEEFLSGWQTLLQSYDLHANEWLASLYEDRKKWALPYERQMFCADMTSTLVSENMNSELKEYLDPKLDLLDFFKRYEEIVDKRRDAELEYDIHAHQCIPKLPFSRMLRQAANMYTPAVYKTFQTEFELSMDCMVYCCGQEGTMFRYKVTIEDKAKEYIVEFDSSNGTVACSCKRFEFVGIHCRHVLKTLDVINIKELPPHYILKRWTKDAKVTNLHENHGIAVNGENRSTVGRRYSSLCSILNKIAVRAAENLESYMFIESLSGQLMDQIHQIMQTATSGDPDDGISGF >Ma06_p25550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25911309:25915346:1 gene:Ma06_g25550 transcript:Ma06_t25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGFRAGNFVAKEEMTSEPAEQHDGSAETAADEEGWVPRVDMVFENDEKAYLFYCLYGMRMGFGVRKHLVKRRSSGSVYCRVFSCYKEGFCRSLKEGKKPKPNARSGCQAHMTIRILDNGGFRVSEFEPQHNHDLAPEVPLLVTDSTSGSDTTRKAGDKNALKQAMVRRSLANFVPLKSINATKVEDLGTALRYMQRRVPETRCGYSSTKTGEAHHCIDDAQHETLVPKVDLEFEDDEEAYLFYINYATSIGFSVRKHLVKRRASGVVYSRTYVCHKEGFRRKKDEQRKRCPKPYDRTGCLASMTIKITKNGRYRVSEFMPKHNHPLVIPSKAHLFRWRWRRGMLKSQADLVDLDDEFGAVSETMEEQIDAPRDSCRDPIFSSVNCKNYIPSKRTNDVRIGDVGAMMQYFHEKQIADPSFYYALQLDRDDQIANVFWSDAKSIVDFEYFGDVVCFDTSYKTSDYGRPFAPFIGVNHHKQAIIFGAAMLYDETEESFKWLFQTFKDAMNGKQPKVILTGQSKMMSNALAVMWPGTTHRLCVWQLYNDATMYLNFIFQGSKTFSRDFSKCIYDYEDEEEFLSGWQTLLQSYDLHANEWLASLYEDRKKWALPYERQMFCADMTSTLVSENMNSELKEYLDPKLDLLDFFKRYEEIVDKRRDAELEYDIHAHQCIPKLPFSRMLRQAANMYTPAVYKTFQTEFELSMDCMVYCCGQEGTMFRYKVTIEDKAKEYIVEFDSSNGTVACSCKRFEFVGIHCRHVLKTLDVINIKELPPHYILKRWTKDAKVTNLHENHGIAVNGENRSTVGRRYSSLCSILNKIAVRAAENLESYMFIESLSGQLMDQIHQIMQTATSGDPDDGISGF >Ma06_p25550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25911305:25915346:1 gene:Ma06_g25550 transcript:Ma06_t25550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILGFRAGNFVAKEEMTSEPAEQHDGSAETAADEEGWVPRVDMVFENDEKAYLFYCLYGMRMGFGVRKHLVKRRSSGSVYCRVFSCYKEGFCRSLKEGKKPKPNARSGCQAHMTIRILDNGGFRVSEFEPQHNHDLAPEVPLLVTDSTSGSDTTRKAGDKNALKQAMVRRSLANFVPLKSINATKVEDLGTALRYMQRRVPETRCGYSSTKTGEAHHCIDDAQHETLVPKVDLEFEDDEEAYLFYINYATSIGFSVRKHLVKRRASGVVYSRTYVCHKEGFRRKKDEQRKRCPKPYDRTGCLASMTIKITKNGRYRVSEFMPKHNHPLVIPSKAHLFRWRWRRGMLKSQADLVDLDDEFGAVSETMEEQIDAPRDSCRDPIFSSVNCKNYIPSKRTNDVRIGDVGAMMQYFHEKQIADPSFYYALQLDRDDQIANVFWSDAKSIVDFEYFGDVVCFDTSYKTSDYGRPFAPFIGVNHHKQAIIFGAAMLYDETEESFKWLFQTFKDAMNGKQPKVILTGQSKMMSNALAVMWPGTTHRLCVWQLYNDATMYLNFIFQGSKTFSRDFSKCIYDYEDEEEFLSGWQTLLQSYDLHANEWLASLYEDRKKWALPYERQMFCADMTSTLVSENMNSELKEYLDPKLDLLDFFKRYEEIVDKRRDAELEYDIHAHQCIPKLPFSRMLRQAANMYTPAVYKTFQTEFELSMDCMVYCCGQEGTMFRYKVTIEDKAKEYIVEFDSSNGTVACSCKRFEFVGIHCRHVLKTLDVINIKELPPHYILKRWTKDAKVTNLHENHGIAVNGENRSTVGRRYSSLCSILNKIAVRAAENLESYMFIESLSGQLMDQIHQIMQTATSGDPDDGISGF >Ma11_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27156202:27156480:-1 gene:Ma11_g24000 transcript:Ma11_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLQKLPLPRWCQCSRTNFLGCKWLTTSSSSRTMLVSPTSHSIAIATQSTPYLMSSPNTMINTMTFSFPTNTNMKQRLWNRSEELSLILL >Ma11_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6980548:6981100:1 gene:Ma11_g08780 transcript:Ma11_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLESVICLLCKPIALDQQCRLTLELSAGYLAALDRPKLHLPNNATSNQSLSTSLFSSIKLPHFLHSVRYKDVISLY >Ma11_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24857373:24859075:1 gene:Ma11_g20350 transcript:Ma11_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCASQLLGTRRAGSPSRLTAGLNSSPPPRTLSWDSEASSSSAAAGLLCELNRSPWDDPMCLELIAACDPEEEEDDGGILGNGVKAEVGEPRGNPGNRIKYDAAAVSCVLKREASMKWSGDKVEEKARKKDGAKMTKKKKKGNVLQTKGGVAATEASLSCKKSDGKGWHCKRPAHRPHSLCNYHLTQLRSYTCSPGHGKAAESPPSECQGGVSRRQKKTNTAGADSNMYYYYSGFGPWRAKTRSRQATDDDDEEEEQEEKEDGKEILESGNGCDADAPAMAGENEENSDEDKGDNSGRDREGSKRSHRKRGRKRMKARSLKSLL >Ma02_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21913245:21913373:-1 gene:Ma02_g13550 transcript:Ma02_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYWIVKNSWGPEWSEGLCGIAMEASYPLKTSPNPVQKKLHL >Ma02_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12705199:12707260:1 gene:Ma02_g01910 transcript:Ma02_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVFIALCLVLGLSGVRAEDPYLFFTWNVTYGTIAPLGVPQQGILINGQFPGPNINSTTNNNIVINVFNNLDQPFLLSWNGIQQRKNSWQDGLAGTNCPIQPGKNFTYHFQVKDQIGSFFYFPSLGMHKAAGGFGGLRINSRLLIPVPFDPPADDYTVLIGDWYAKDHKALAGILDAGRSIGLPDGVIINGKTGKDRTGKDGKPLFVMESGKVYRYRICNTGIRASLNFRIQGHSMELVEIDGSHTMQNLYDSLDVHVGQCYSVLVTANQAPGDYYLVASTRFMKKELTATGIVRYAGSKVPPSAVLPKAPTGWAWSFNQWRSFRWNLTASAARPNPQGSFHYGSINITRTIKFANSRAVVGGKSRFALNGVSHVDVDTPLKHLEYFGVADKFFKYNLTGDEPREGSGPVNLAPNVITVEFRTFVEVIFENPEKGPQFYHLDGYSFFAVGMGPGKWKPESRKRYNLLDAVSRHAIQVYPKSWTAIMLTFDNAGMWNLRSELWERRYLGQQLYISVQSPARSLRDEYSLPDTVLLCGAVASLPKPPPYT >Ma06_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9697781:9698773:1 gene:Ma06_g14150 transcript:Ma06_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGAEAAVHYRGVRKRKWGKWVSEIREPGKKSRIWLGSFESAEMAAVAHDVAALRLKGRDAQLNFPESAEQLPRPRSSDPEDIRAAALEAAARLRCRTVRVSAPALERLGNDELGLDSPKMWVELAEALLLSPPAWNPEVSEPEEWEHHASLWDPFL >Ma06_p30020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31364522:31371278:1 gene:Ma06_g30020 transcript:Ma06_t30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKGFWATLWCFLCFLPLFLGLLILGIVKGTLLCPFVCLIVTLGNSAIILGLWPAHAVWTYYCIARTKQLGPVLKLILAVGVSIILVLWPLAGIFGSILIGAGYGFLAPIMATFDAVGGGKANNLIHCFLDGTWSTIKGSFTVVRDFKDVCFHSYFSIMDDLRLHDPPNREPYEIRLRDIPGACMIGLLGIMVDMPIITLIAICKSPCMLFKGWNRLFHDLIGREGPFLETACVPFAGLAILLWPLAVTSAVAASIISSFFLGAYAAVITYQETSVKMGIAYIISSLSMFDEYSNDELGMRQGSCFPRHRYRKNESLHASSFTRPASFQRGSQDGKNPPSHATSFKNGILELKPLKLLDHLFSECKHHGETLVAQGVIQYEDIKESQSSKGGRSRIINIGLPAYSILQALLFSAKANSDGLVLSDNTEITTENRPKDKIFGWFFDPLMILKEQIKAQDFSEEEEQYLSKLVLLLGDSRRINNLDNQSPPLDQRKRAEINAFARRLQGITKSLSRYPTARRRFDDLVQSLSDDLEMKLGSTQSANKAQMQYVRSGIVRIFSQRSFKMSKITKNSRRSTIG >Ma06_p30020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31365640:31371278:1 gene:Ma06_g30020 transcript:Ma06_t30020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDAVGGGKANNLIHCFLDGTWSTIKGSFTVVRDFKDVCFHSYFSIMDDLRLHDPPNREPYEIRLRDIPGACMIGLLGIMVDMPIITLIAICKSPCMLFKGWNRLFHDLIGREGPFLETACVPFAGLAILLWPLAVTSAVAASIISSFFLGAYAAVITYQETSVKMGIAYIISSLSMFDEYSNDELGMRQGSCFPRHRYRKNESLHASSFTRPASFQRGSQDGKNPPSHATSFKNGILELKPLKLLDHLFSECKHHGETLVAQGVIQYEDIKESQSSKGGRSRIINIGLPAYSILQALLFSAKANSDGLVLSDNTEITTENRPKDKIFGWFFDPLMILKEQIKAQDFSEEEEQYLSKLVLLLGDSRRINNLDNQSPPLDQRKRAEINAFARRLQGITKSLSRYPTARRRFDDLVQSLSDDLEMKLGSTQSANKAQMQYVRSGIVRIFSQRSFKMSKITKNSRRSTIG >Ma10_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24306766:24308923:-1 gene:Ma10_g10330 transcript:Ma10_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLRAADVDGVMVDVWWGIVEAEGAKCYDWSAYRELFHMVEEEGLKLQAIMSFHQCGGNIGDAVDIPLPRWVRDVGESDPDIYYTNRSGTRNREYLTVGVDDQPIFDGRTAVELYSDFMKSFRANMADFLDAGIITDIEVGLGPAGELRYPSYPEAQGWVFPGIGEFQCYDKYMKEEFKEDATMAGHPEWDLPDDAGEYNDKPTKTKFFAAKNGTYLTEKGSFFLTWYSNKLLMHGDQILDAANEAFLGCKLKLAAKASVLLHSLNAIRKPSLCVAFTVIPPLASQVSGIHWWYKDDNHAAELTAGYYNLNDRDGYRTIARMLARHDAILNFTCVEMRNWEQIRRAKSGPEELVRQVFSAAWREGIEVACENALSRYDRRGYNQILKNARPNGVSRNGRPKLRVLAMTYLRLSDELLKRINFNVFRLFVRKMHADQEYCADPWKYFKPITPVERSKPEIPMDEILEATETMKPYPFDPETDMSVGGAFADVVDAALHILTSSFN >Ma02_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22612907:22621178:-1 gene:Ma02_g14620 transcript:Ma02_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEGGSGKEGGGAGAGFRFLTRRKQVDSDRVRNRGGYYQLAKALSVPELVAIGVGATIGAGVYVLVGTVAREHAGPALAISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALFFGGQDSLPSFLARAHIPGTDIVVDPCAAVLVLIVIGLLCLGIKKSSFVQAIVTAANVCVLLFVIIAGGYIGFRTGWVGYTVNDGYFPYGVNGVLAGSATVFFSYIGFDSVASTAEEVKNPRRDLPLGIATSVSICCLLYMMVSVVIVGLVPYFAMDPDTPIASVFARNDMQWAVYVITSGAVLALCSALMGSLLPQPRILMAMARDGLLPSFFSDVNKRTQVPVKSTILTGIFAASLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLRESIDSVSFRYSVRQNNAEKTDPIGIKNSDQNQRDVSSEAIIKESAEDPLMVKDNNQDDSDEQTRRRKAAWSIASICVGVLFLTTSASYSFVPVFVRYLACSIGGLLVLGGLVVLSWIDQDDGRHKFGHAGGFICPFVPFLPVCCILVNSYLLVNLGAGTWLRVSSWLLVGVLVYLFYGRSHSSLTDVVYVPAAHVDEIYKTSENVV >Ma04_p34410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33614928:33616303:-1 gene:Ma04_g34410 transcript:Ma04_t34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 7 [Source:Projected from Arabidopsis thaliana (AT1G30870) UniProtKB/Swiss-Prot;Acc:Q9SY33] MKPSSSLSFHLLLLLLLPQLLMAEYAGGPYQKAPDDDGDKLDKHYYARSCPSFEAIVGSKIARWHSVDPTLSPGLIRLLFHDCAVRGCDASILLDNPGGERRSPVSATLRGFHVIDDIKAEIERRCPKTVSCADILVAAARDATLMVGGPYWGNVYGRRDGRVSIAQQAEALPKGRESITHLIDIFESMGLDILDLVVLSGAHTVGRATCQSIQYRLYNYTGVPSKSDPSINPRYLNYLKRKCRWPSAYVDLDATTPTKFDNAYYRNLDNRMGLLSTDQLLHSDSRTGPLVAALSSQPMVFVHQFARSMKNLADTMVLEGDEGEIRTNCNFVNEY >Ma02_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15964568:15965707:1 gene:Ma02_g04600 transcript:Ma02_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMFLSDIKKKASSYIQEKYKTALLALTDITAAELLAEEATNNDSTGPDAKTMTRLSEAAHDVDDYRRIVDVLHKRFCTIDFKEWRPSYKALALLEFLLTHGPEGMSEEFHCDVNVIHQLGDFRYTDDKGFDWGACMRSKSKRILRLLGDEEQLKDARAEALRISREIQGFGNLVMSPSASSSPSSSSSSRASRTWSFGSSYSWDCPSWNGPDEPNKRDEAHHVSGDSVDLKEAEMHLLDAPVQESSCLLISKKEGREGSPDGWSSRLRLRLFGKDDKRLAFRSLSDVEKEPKKKFERQSSLGF >Ma09_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4259592:4261184:-1 gene:Ma09_g06660 transcript:Ma09_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVVVLVLLFCPHHGVEAAGGEFIGISGLHFVLNGNPFFANGFNAYWLMTLASDPSQRDKVSSALSDASSHELSVARTWAFSDGGSNALQYSPGSYNEQTFQGLDFVVSEAGKYGIRLILSLVNSYDNYGGRKQYVQWARDQGHEIGSEDEFFTDPVVKGYFKDHTVLTRVNNITGVAYKDDPTVFAWELMNEPRCPSDLSGKSIQDWITEMAAYLKSIDSNHLLEAGLEGFYGESSPQKQANPGFQVGTDFITNNQIPGIDFATLHSYPDQWLPNSDDQSQLAFLNNWLDVHIEDARDVLRKPLLVTEFGKSSKDPGFSSEQRDAMFGAVYSKIYSSASSGGATAGSCFWQLMAQGMDSYRDGYEVVLSEAPSTTRIITVQSRQLRYLGRLRAGERNIAKLKKAKAMREKQLKAPHKGKGAGN >Ma06_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13377065:13377298:1 gene:Ma06_g19510 transcript:Ma06_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELKRDSVVAARLLLILLLLVSCCHGSRDIEVYKERYGGMRNPGYFMGFLPRGVPIPPSGPSRQHNSIGLQRKAPP >Ma01_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4364115:4367039:1 gene:Ma01_g06100 transcript:Ma01_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLLKFFLVFSSAAIFTGNLVTSTSYDYSASIECLAEPPRPQYGGGIVRNPEFNDGLKGWSVFGYGQIAERTSDTGNRFLATERTRSSHQSVSQKVYLQRGMLYTFSAWLQVDQGNTTVTAIFKTAKDGFVHVGAVEARSGCWSMLKGGLTAKSSDPAEFYFESKNTRVEIWVDSVSLQPFTEDQWRAHQEESINKVRKKTVAIQAVDANGHALPGASVSIQQTRSGFPLGCALKSTILQSSAYQSWFTARFNVTTFTNEMKWYSNEKVEGNETYAVADAMLAFAKQHGIAVRGHNVVWDDRQYVQNWVQSLPTQKLREAVNRRFNSVMTRYRGQVIAWDVVNENVHFSYFESRLGENASSIFYQQAHQLDPNALMFLNDFNTLEAPEDEKVTPVKYLQKLQQIQSFGNFPRMAIGLEGHFTTPDISYMRSALDNLAGANVPIWLTEVDVAHSNESKHLEDILREAYSHPAVQGIVIFGVWNPKGCFSRMCLTDVNFKNLPTGDVVDKLIAEWRTHNVAATTDADGLLRAELFHGEYKITINHPSSNSSSVRSLTVDSASRNNNVLTVMV >Ma11_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5356479:5357645:-1 gene:Ma11_g06660 transcript:Ma11_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTDHMVVEKMSRLCLLLLAFSCVVAAAAFSWQRHHPHRPDRLAASSELVDVAYHMGPVLASPANIYTIWYGHWEAAPQGIIRDFLLSLSSPSPSPSIAEWWRTVRLYADQTGSNVTGSFVLAGEVHDSAYSHGATLSRLAIQSIIRSAVAAHPQRLPLDPHGGLYLVLTSPDVRVEDFCREVCGFHYFTFPEIVGVTVPYAWVGHSGSQCPGMCAYPFALPDYMGGASNANGSGGGIQVLGAPNGDVGADGMVSVIAHELAEMSSNPLINAWYAGSDPAAPTEIADLCIGVYGSGGGGGYVGSVFKSSEGESYNLNGVKGRRFLVQWVWNPVKKSCFGPNAID >Ma04_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3107469:3110860:-1 gene:Ma04_g04040 transcript:Ma04_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLFGKPKEQPNALATLDKLNETLEMLEKKEKVLLKKMATEVEKAKEFTKVKNKRAAIQCLKRKRLYEQEVEQLGNFQLRIHDQMIMLEGAKATTETVDAMRVGTAALKALQKATNIDDVDKTLSEINEQTENMKQIQEALSAPVGPAIDFDEDELEAELEELEGAELEEQLLQPATTAPLLPVHVPASPSPTRPADQKNTTEEDELATLQAEMAM >Ma05_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29406122:29406527:1 gene:Ma05_g19840 transcript:Ma05_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSLVLMIILLIPANSTIGLSSADLNHRKIKLDMSEVLMHHQLRSMTSANRHQLVENQNGVSPTSFSESANVKEEGARKAVDQLGNSIGESCIHLLQITRVLCNNS >Ma01_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9608232:9624762:1 gene:Ma01_g13150 transcript:Ma01_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGADTKPKEGENAAVAPAAGEVLYCGGTNWDMLGRKGGPNAVNLVSPTRLRPLVGVDIRFVASGCTSCHCVALDVEGRCYTWGRNEKGQLGHGDTLQRSLPTIVSELSKYNITRAGAGRNHTVVITDDGKSFSFGMNKHGQLGSGSVKNEIEPSPVICLVSQATNVVCGADFTVWLTSVEGSSILTAGLPQYGQLGHGTNNEYNSKDSSVKLVYEPQSRPRAIAAFSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWVPRLVEVFQRQNVLPSNAIVSAGSVNSACTAGGGQLYMWGKLKTTGDDWMYPKPVMDLSGWNIRCMDSGNMHHVVGADDSCISWGHAQYGELGYGPLGPKSSANPKKVDILESMRVTSVACGMGLSLIVIDRANAGDKLDQLEVFDGGSSAEGPEETKNGSVTTVKSASKKNANSSSGTKKRKGKDLSESDEEDDESEDDDSEDDEGINGVKRKQGGRTSGRGRGRGGKKVATAARSSSKGKSRPKSNSNSSFNDETHSSAKKSNSSHGRGRGKSERRGRSSR >Ma05_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24117833:24118532:1 gene:Ma05_g18570 transcript:Ma05_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDEARYKAGEAKGQAQEKTGQMMGKGQETAEAAKEKAYEAKDKGSETAQSAKERAQEGTEKTGGYMQETAEAAKEKASRAAQSAGDTAQAGKEKTGSVLQKAGEQVKSVAAGAAHAVKSSLGMAGGGNEEAAAAPPGGRD >Ma06_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2857257:2863776:-1 gene:Ma06_g03960 transcript:Ma06_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVEWGRGGSKRFCSFKRITVMVCCVNIVAALLVLRSFYSSFFFASSSRVISDPFSVDQTKRIEESIQIRREAEPVELVKAVRKLTKEFSREAKRGLKLPRSLKQKLVYEILHSLQSVNNTNVTEQRDAAELWRVEKLEEVKRATRTKSNSSIPYQEAKMLKRALESKWPILMEEIGLWTPADVINSEHNDKPENEQDPEEIIPGRPLPPECHAELHTDYDGTAVRWGLTHHKESAADCCQACLDQANRAKPGQMKCNIWVYCPSEYGCYSPDIYEHKHQECWLKQAVEPRVNFKDKYSESYRTDHSNAPVVVPWISGVVGA >Ma11_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4425825:4449798:-1 gene:Ma11_g05740 transcript:Ma11_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEMPMLVSEPEDLYGLQKIALEFEEKIYTSAANQDDYVRKISLKMVSIKNKPQHSTSINPSMSNRTVTNQNSADPDLSQPNVSGVSQTSNLQNMALISQNSANNSLGQAAVPDILTDTEGRMQGWRQQQHLSQNRYLYQHQTTQLQYSQQPSMQMASCFQSGQSAIQLTQPGAIQSAVQPGLQQNQQNSVQQSVLPLLQQYPQSDARQQQQPQRTMQQQQHPTSAFQQSNLAMHQHQHSNVQQTHLLGKQASVTEMQQQPQRMAVPQNYHFSMQWVQHLLNQQNFLLDQQQQLGTQSNYNYSGLQQQQQIHGSVYNVSNVQPQQCPMHMPQPPSAMPQTQGQQHEHQSSQQQLLSQFKSQPVPLQQPLVKQHSSSLQPATQQRVQVSGTPSFTTQAGHIDDVDWKEEIYQKIKSMKELYFAELSELYQKIAMKFQQHEVLMPFAKTSELFEKRKRFKIMLEHILQVLQFSKSNIDPDLKDKIPLYEKQIINILALNKINVAPSRSPGQQQFQHPGGHSQFMPHQSQVPGQHDNRTKQQINLQGSTTSMQPAAVPGLQHGSILLSDAGVTTAQQKITSALQTDSMVETVQGSSFRSLQQGAIASTQQGGLISGQSFVNVPQQTTANAMSDGLIEQYHANTKQPSSSATQQQQHFKQQEQQQKQHHLNHNHQLEQQLRQHQLPQLFQKQRLLQQQQLQHQQQQKNQPQAPQTPVHQVPQLNQTNEVNELKLGQGPDIKPGLYPHHYSTSQHPSYYQQIKSGASFPFSFPQDFQASSPHISCHSPQSDQQSLLPSQIKSGTPLQSAESPFIPSPSTSITLSPVPANEKQLSGVMSLPNAGNIEHQQAAVAPSEAQSFTVTTPGITASPLLAEFTSPDGNQNDIPNLVVGKASTTEKPLERLIEVIRSSTPTTLSSAVNDIRSVVSMTDRIPGSETENGSRAAVGENLITKKRNRDTSAMPLNNLSSAGSVNDRDKQTYTVDTSELQLTVTSRVKRPKVEMNHALHEEIREINQRLIGTEIKISDVDTDPISAASNGKGTIVKFFFTPLIRSPISKSSYTMSRIQPLNLLVPASYPKCSPVLLDELPDDQRESEDLSIKARSRFNNSLRGLSQLVSLGELARTWDACAHKVLVEYAQQTGGGTFSSTYGTWEKCVGV >Ma02_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24253704:24255684:-1 gene:Ma02_g17270 transcript:Ma02_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSAFLAVATVCLVACSVRAQLSPTFYDWTCPSLRSIVRSAMTQAVGQDPTMGASMLRLFFHDCFVNGCDASVLLDDTQAMTGEKNAPPNRNSLRGYEVIDSIKAQVEASCRAVVSCADILALAARDGVNLLGGPSWVVALGRRDARTASISAAVANLPPASASAYTLMSTFAAKGLDMRDLTALSGAHTVGSARCSNFRPHVYNDSNIDPGFAWLRRQTCLAVGGDATMAPLDSTSPTRFDNSYFQNLMGRRGLLHSDQELFNNGPADWLVQLYSSNATAFATDFAASMVKMGNISPLTGYLGEIRLNCRRTN >Ma06_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17899843:17900923:-1 gene:Ma06_g22340 transcript:Ma06_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASAPPMASQLKSSLLFSCRSSRRLVIPRGLSATPLRDLHSRKKLCLTVRAIQAEKPTYQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVSPLLRGVEVGLAHGYLLVGPFVKAGPLRNTEIAGAAGSLAAAGLVVILSICLTMYGVASFKEGEPSTAPTLTLTGRKKEADKLQTAEGWAQFTGGFFFGGISGVVWAYFLLYVLDLPYYIK >Ma11_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27796964:27800885:-1 gene:Ma11_g25040 transcript:Ma11_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGQYHDELIANAAYIGTPGKGILAADESTGTIGKRFSNINVENVEENHRALRELLFCTPGALRYLSGVILFEETLYQKTASGNPFIKVLKEGGVLPGIKVDKGTIELAGTNGETTTQGHDDLGKRCKKYYEAGARFAKWRAVLKIGSNEPSQLAIDANANGLARYAIIQWVLAACYKALNDHHVLLGDLY >Ma02_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24262723:24263723:-1 gene:Ma02_g17300 transcript:Ma02_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLSRLKVKEYELLGRNFSNTGCFEHIDLGIKSDPSTGIYGMDFYVVLERAGCRVSH >Ma03_p31160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33483234:33486042:1 gene:Ma03_g31160 transcript:Ma03_t31160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMELENSSVMTVSNSGSGEGSVSSSSQLQLPAPPPQPPPPNPVVKKKRNLPGTPDPEAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTGKEPRKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESGKKGPGAQTSDPKPSAEEEKATATEEETAAEEVTAATEAAAETAPAAVVAAPLPPQQVAPLELQEPAEPTELPQYMPPPSASLANTSGVSCSSSSSSSNTSLFASLFASTTSTAVAHSTATFSDLMSVMGHADRPLTEPPSLCLATNGGPASLFSPQAQSHDRRPFAPPPPSPHMSATALLQKAAQMGAAATGSSFLKGFGLDASSGHQENIQDDGLQWGHQRKLEPEPAPMLSAGLGLGLPYEPDLMMGSSSLFGSKPATLDFLGLGMGPVDGTANGGLSALMTSVSGGGGGGLNMGPGTAAAGWEGTERKPSSPAIR >Ma03_p31160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33483234:33486042:1 gene:Ma03_g31160 transcript:Ma03_t31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELENSSVMTVSNSGSGEGSVSSSSQLQLPAPPPQPPPPNPVVKKKRNLPGTPDPEAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTGKEPRKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESGKKGPGAQTSDPKPSAEEEKATATEEETAAEEVTAATEAAAETAPAAVVAAPLPPQQVAPLELQEPAEPTELPQYMPPPSASLANTSGVSCSSSSSSSNTSLFASLFASTTSTAVAHSTATFSDLMSVMGHADRPLTEPPSLCLATNGGPASLFSPQAQSHDRRPFAPPPPSPHMSATALLQKAAQMGAAATGSSFLKGFGLDASSGHQENIQDDGLQWGHQRKLEPEPAPMLSAGLGLGLPYEPDLMMGSSSLFGSKPATLDFLGLGMGPVDGTANGGLSALMTSVSGGGGGGLNMGPGTAAAGWEGTERKPSSPAIR >Ma10_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24423248:24425350:1 gene:Ma10_g10520 transcript:Ma10_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVPEGHQMEGNYPAGSMMPGASYGVLGLQGNMHMRQGSMIQAPMLDAFPMSDNQLQESDYQAGVSVMGYNKGKVGKTSMSDDDEPSFTKDGTDGQIDAGKGEKGSSWQRMKWTDAMVRLLITAVSYIGEDVASECGGRRKYAILQKKGKWKAISKVMAERGCYVSPQQCEDKFNDLNKRYKRLTDILGRGTSCKVVENPALLEHMNISEKLKEDARKILSSKHLFYEEMCSYHNCNRLNLPEDPALQRSLQLALRIRDEHDTKRSSLEDVDEDDQSADSDDEEGDAEEHNAVHGFPKRMKLGVNHEELAFSNCSGSRDCNRSLHPQGLTVDMNQVFSEGSKSAMIPQQWVSSYPIQLEEKRLHIQAQMLELERQRYKWQRFSKKKDRELNIMRMENERMELENKRLSLELQKKELELNLTLKKTQ >Ma05_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34437707:34439961:1 gene:Ma05_g22670 transcript:Ma05_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAASASAVRFSLLAAQPPHLPTHWEPAIRLSSTTTRQAVRASAAAASPPSLASSPTGIVLVDRTEAEKVNRLKTVYLEKVVPLLKEEFSYKNIHEVPKIEKVVVNCGMGDAEQNSKGLEAAMKDLALITGQRPVKTKAKNSIAAFKLREGATVGIAVTLRGNVMYSFLDRLINLGLPRTRDFQGVNPNSFDGHGNYSIGFRDQSVFPEIRHEALGKQKGMDVCITTTAKTDNEAQRLLALLGMPFREGGGGPTVVVYKKKRKAAHFDSKSRGRK >Ma09_p25200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36738093:36741369:-1 gene:Ma09_g25200 transcript:Ma09_t25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSYHVLLFFSLVLMLRCYGTSSDKLWSYCPHDANYTTNSTFQYNLNLLLASLSSSTAATGYSNDTEGRSSDQVHGLALCRGDVSSSVCQTCLDAAVQDIIQSCPNGMTSTTCYDDCLLRYSNRTFFSTADTSFMYAAWNSQNVSDQQQFETTLGNLMDDLTMKASSSPKLFAAGSANVTSFNKLYGLVQCSRDLSADDCYRCLQDMVTFIPKYSSWKQGGKVYVQSCYLRFESYRFYNLSAVEAPPPPSPSSKTPSDTVPNDATGGKSNNAVKTVLLVVIPVAAALSFLLAIFVNCRRRKPARPRRMHLHKRIFDNGDQQEIKSAESLLLDLEVIRSATDNFSDANKLGEGGFGPVYRGTLEDGVQIAVKRLSRTSVQGLVELKNEVVLLAKLQHRNLVRLLGCCLQEEEKLLVYEYLPNTSLDNVLFDPVRRVQLDWARRYKIIEGIGRGLLYLHEDSRLKIVHRDLKASSCAAVLDEYVLT >Ma04_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24455261:24456324:-1 gene:Ma04_g22040 transcript:Ma04_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVFGSPTSAEVARVLTCLFEKEIEFQLIRVDTYKGQKRLPEYLKLQPFGQALTFEDGKTTLVDSRAICRHLAEKYVEQGNKDLLGSGTLERASIEQWLQTEAQNFDQPSSALVFNLAFPPLPGLVPDEEVIERSKKRLAQVLDIYEQRLDETKYLAGDKFTLADLSHLPNTERLVSNKECRSLFEARKNVFKWCKAILGRASWKRVVEMQKEPPHIV >Ma05_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5090937:5095713:1 gene:Ma05_g06970 transcript:Ma05_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTGRSRYAEKPRRPESGSDRAAFAAPYGQGYVHHRRRRNTATITHDSAYKSDSSVEENSFSLEFGRSSCKKTSGKRIQSLVDEEVSKEIETRHPSPSVIARLMGLDTLPPPQIACKRQKDADACSQAVSSTGIQGKFVPSKENFCLKNTNEDQEFKDIFEVMDTAKFKKCENQSTRKAKLTFKGSKTDMDFIRQKFMDVKRLSTDEALQNSKEFDDALEILHSNKDLFLKFLQDPDSLFMRHLQEVNHVSYSPHPTQITVLKSSNGDNYGNTRTSESKSKDGRYSHMHKEVGSSFRKPATRPISRSLSEYSSVPRRLSTPLYTGKAEAHTHPTRIVVLKPSLERSHKVAGPLSSSHENLRIGSRKHRESALSTIQESYTEGRDKPKFSENVGHLRHKAKGSRETAKGTARQIRHAIGSHSKSPITSELKTCVQNESSCISSDLAKLNNSESFCQFPDHFDAWINEFCPSCSCSTESSFSREARKHMSERLKITQQFEVVGLAARDMSTLAEMIAFSCRETPDTIGVSLGSKKVLDDKFAGDEILGGLDCRSTISDKDGLRDGNSKKLRKSKSLPAASTAHRSPKVGHRKQDGNGTCYILKDVIKMDPDEFSDASFSKNQKSFVRGSVLHANKPRQPHPVGEENKLPELEIHVPSEELQKSIYVRDLPEEKLLHPEHHDEHATDRKHLIDTPLVPICVDEPSPLTPNEQSKRSVMRLTPENKELSSHSHNDIMNEEDSTRHPQVDPLQSQSETFEAGLTLSSKESELPSPVSVLEPPSQEQSSCSGCFERISADLQELRMQLSLLKVESAERYEEESGIILSSDVISAGDCQTYLRTREIHQTFMDEDDRDFSYLLDILSDSGIHGANQERVSDVFNSLDYPVDPHVFDKLETKYSMVSSWSGSERKLLFDLVNCSLVGTIAPHIDLHPWVRSKKSMHTWEPVGLVERLWEMVVKQRKELGCNLEDKILDPRWLDVEDDMDVIVKEIEKMLNNDLWEETVAEFIIG >Ma01_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11987571:11988887:-1 gene:Ma01_g16540 transcript:Ma01_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLSSSGSRALLLPYLQRPATAKKQRLMTTVAPRQQQKHHRLHPHDLFGGYGYGGGNDRNGQGKGEEERVVVIMGATGTGKSKLSIDLSAMFSGEVVNSDKIQVYRGLDITTNKIPEAERRGVAHHLLGELDPAAGELPPAGFRALAGRAIGDISARHRVPVLAGGSNSFIHALLSDPYDPKRDPFCPAEAPERIRLTRQEAEEGLRYRCCFLWVHVDAAVLTEHLDRRVDEMVGEGMIKELERYFAEEWEAGRHPGLGKAIGVPEFQGYFTGRGGRTAAAYEAALSAIKANTRRLAEEQVRKIKRLAATGWPLRRVDATAAVAAKVAGEGGTTAPWRRDVLGPSAAAVGRFLEKDGEGHHNQHLLRRSYRTRVVLPSIKVG >Ma10_p27390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34712096:34712557:1 gene:Ma10_g27390 transcript:Ma10_t27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTRIHIAGDSRPSSCDILVVFSFLFLTVNAADAACRSLNDPATVGLVLFSYVDVVCLFCCLARFEKLGPDTPPAKRRNLKAGIWVLATALSLAFAWRVAEMMPWALVVALWGMSLSVALSGFYGLFLY >Ma03_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6447339:6451376:-1 gene:Ma03_g08770 transcript:Ma03_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGEATAPVVAVVEEEEIGRGRGGGGGGGERGGTGEESCDYCGAARALLWCRADAARLCLACDRHVHAANSVSSRHRRALLCDACRSEPSAIHCSSCRALLCANCDFDAHGRAGLLHDRRPVEGFSGCPSAGKLVSALGVGGDEKEVLEGEADDVGDYLVEDGSVWEAQPVLSFEDFIVPTTASHGFQAMGMPPLPKHRNSTCGKHKEEIIQQIRDLIKLESGTNDYHEVVAPIMESYGWVSEQNIQIDYLGPASNNSWNVGPASNNSWNVTTPHEDITLEGNQIDCREANTTIGLLNPPGEYPRTSSAVNLSSLNKAAEANACKSHGNDTNTDHVEFVPQDEMQHLPLKGIYKLARADRNSVILRYQEKRKTRKYNKLIRYESRKIRADSRLRIKGRFAKTNPSQ >Ma10_p04900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15543590:15549870:-1 gene:Ma10_g04900 transcript:Ma10_t04900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NCA1 [Source:Projected from Arabidopsis thaliana (AT3G54360) UniProtKB/Swiss-Prot;Acc:Q9M2V1] MSSLCPFAKGASVGGACPMKSVNNEKQQAENENKGDEESNPSDTVSPKCPYGFDSHTFKLGPLSCMICQSLLYQSSKCIPCSHKFCRVCISRFKDCPLCGADIEKIEPDTDLQVVVDRFIDGHARIKRSHGNADATEVKDVPKTVIYGDVSMERGAFLVQQAMRAFRGKNIESAKSRLSICAEDIRDQLQTSGDTPDLCSQLGAVLGLLGDCCRAMGDASSAVGYYEESVEFLSKLPAKDLELVHTLSVSLNKIGDLRYYDGDLQSARSYYAKSLDVRRNAVKEHTNLSSQVVDLAISLAKVADVDRNLGNEDVAIDGFKEAISWLESLKLEPSEAGLEQRRQSVLEFLSNQLAEKEPQQPVATV >Ma10_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15543590:15549823:-1 gene:Ma10_g04900 transcript:Ma10_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NCA1 [Source:Projected from Arabidopsis thaliana (AT3G54360) UniProtKB/Swiss-Prot;Acc:Q9M2V1] MSSLCPFAKGASVGGACPMKSVNNEKQQAENENKGDEESNPSDTVSPKCPYGFDSHTFKLGPLSCMICQSLLYQSSKCIPCSHKFCRVCISRFKDCPLCGADIEKIEPDTDLQVVVDRFIDGHARIKRSHGNADATEVKDVPKTVIYGDVSMERGAFLVQQAMRAFRGKNIESAKSRLSICAEDIRDQLQTSGDTPDLCSQLGAVLGLLGDCCRAMGDASSAVGYYEESVEFLSKLPAKDLELVHTLSVSLNKIGDLRYYDGDLQSARSYYAKSLDVRRNAVKEHTNLSSQVVDLAISLAKVADVDRNLGNEDVAIDGFKEAISWLESLKLEPSEAGLEQRRQSVLEFLSNQLAEKEPQQPVATV >Ma08_p30200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41566188:41569721:1 gene:Ma08_g30200 transcript:Ma08_t30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 4 [Source:Projected from Arabidopsis thaliana (AT1G26100) UniProtKB/Swiss-Prot;Acc:Q9C540] MAVSIVLSHISALLVAVLVLLWALAFRTTIFLRFYSSSVDGGATATATAVAPFDHIYFVLHTLLMVIGFILFGGEGILAHRWGVGLGWARGPRKAAHLWLQGAALGFGVAGVWTKFKGNKGVLANFHSLHSWMGLLCLLLFAAQWTMGFLSFWTRGEGRRARTLLLPWHIFIGIFTYSLAVATAESGLMEKMAFLHTKHGIPGSSLEFTLINVLGLTLAIHCGLVVFTLIPAKHKESSTSTMTTRIGYGVSGVQRLSL >Ma06_p04130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2983045:3018700:-1 gene:Ma06_g04130 transcript:Ma06_t04130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] MAASQHVEVEAAKLLHKLIQESKDEPAKLAAKLYVICQHMKLSGKEQSLPYQVISRALETVINQHGLDIEALKSSRLPFAGAPQVGSSGHAKSKDKEAITNLLPTSSTDVPQNSTPVATWQVASTNPAKEETYAGPSQSYIMMKNSIAAPGAVDISSKLSGGISKMDSIGLDVQQSCLFQKASKSSEHESPASMPMEDTRSANSSERHDIAKFDNQTTKKDIKKTVPKRKRANSKVAEESLPDSPQLSDTSAMGHNTRKGKQTDKSGRQGELKAGDQEQPNPLQHNSRLYGGAGTSFISKQEVSQAVTERTTDNMKKSNTFNQISKLPDEREVSSADRIFAMQKGGLLSSRINTFSPNYVWNQNKFALSSENSQGSGSALKEPFPGIHSESMNINNQSKVNTHDETNDSSKSMEVPTNHLHGMPTVNSGALGAFSSFGMTNMPFSAPAPYSSSSFESHDLTSKVHFPRSFENCSSSHLLDKGKDVVPVSGGKEISSSAKPATDSRIWSSAVMREGTSRFSGKAFEGQAGLSLHGQKTMEGAAMHLESSQGGGLNKEAIHQMNQDSFARSKPDGKLCGLPSSMDMNISTSAPLNNVGMSLPSQPFREQQLKQLRAQCLVFLAFRNNLMPRKLHLEIALGASLPKEDETQRWLNGSRGTDASTREMSNSHDNSGMFSRPSNMAKGPPASSSTGSIVEAESSSKDTGNLKKKGGPFGSHFENEVYMNPNQQSLRANQVSPVLGVGKGPKVDALFASRATFKDDASKESSVAAMVNRETYFNQPHNISQINSAGKLHLSDSHLFGVNTHPERYQSLLPVKEQSPLAVGKGYESLENVVNASKDIMFSNQVAHSEKIPASSELAITNSITNAYFGSNGLLDQSNSVIQKQSHADVYTTFATNDSIKFGNMEAVLEKSVEQDNGNQSDSSDMPSSPPKYTTSEKWIMDYQKQKLVEEQKWTLKQKKAEERIAACYEKLKEKVSSSENISGKTKTVIELKKLQLLQLQRRLRSDFLNDFFKPITSDMDRLKSIKKHRHGRRMKQLERFEQKMKEERQKRIRERQKEFFGELETHKERLEESFKVKRERWKGFNRYVKEFHKRKERIHREKIDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKIRESKSMAKQFEMEMDESREFNIVENNDTTNEDDDGSDQAQHYLESNEKYYKLAHSVKESICEQPASLRGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVIALICYLMETKNDRGPFLVVVPSSVLPGWESEMSFWAPGINKIAYSGPPEERRRLFKELIIHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFEGNGDNNPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSLGNYKGRSIHNTVMEMRNICNHPYLSQLHAEEVDSLLPKHFLPPIIRLCGKLEMLDRLLPKLKATGHRVLFFSTMTRLLDVMEEYLSWKRYRYLRLDGHTCGLDRGALVEEFNRPDSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRLETIRTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRESKKEEAAPVLDNDSLNDILARSEPEIDIFESIDKQRHDEEMAAWQRLIQGSTDGLNPLAMPSRLVTDEDLKPFYKAMMIHESPNVSMKRKSEYLGGLDTQQYGRGKRAREVRSYGDQWTEEEFEKLCQVDSPASSPPTEISRDPCTTKELSEPQTSDTQLSLPLQKDSSATPTEPLQQVKEPTPAKRGRGRPKRAAADASPAAAAVQSNIIIKQEMKPQTERVSASPTVTGVDSSASANTQEESVAGTPALVPAPGPNMSIQAKRRKTQTGEAPRGRGRKQKLATSTGEVIMIVGLHGGNEVGSDKPIVAAMSLEKATTDKSSGALNDPSVGYQANCEIGLERVDLESVRITTSSQEADNLRSIAPSVEMREVSTVPAAADTKAVPVGTKLSVSMDNMSSVQSKLHDGVKVGMVHGIPSGTPATCTPTMPVAFAQDLKENAASQRVPSTDAKSNESHKSADKPDLSHGGTQKAAPDSLGSGEEKLAEGNISLCSTEKIKPDMDVQLSEKRQLADKPGDSSIQSSPTVVPSNGILNISSMSRETGDANAEVQSIVAIQEMVNPNKPQDAGHDSLNSSASVIAVPLVQVQYFPAKDSSIVPPFVQRGLDKSSVTRKKAAARSGSATAACERRARLAGLKQAEMSNKADCKSKATKAVILRERQNSDSTNSPVTSRTLCSFGNKPDETEAACTQSSEVGPVCEKQDANLCNREFVLSVTEESSDDYGHKTSLVKSANSIECDMHSAVGSLNKVVGNVMRQASVTPAEVSGSSSKQDQSCKELPGDVNTSIEIEPCNSGSNAENSKLTSLEHPKLSILSSEVDKIGGTAEKPGSISDEVASNLLPTGNASQSCKEVKNEVIESESKATALIEIDQHLNVNKIPTVYSTGSAVPPTVEDTENELVNVVEGHSNSSSKVEGMEEEAVSVSDGPSNRSYGVHLEGEQKAVDVSENPAHLSSEFEGEKKVLEHHLGAGVKNKEKDDISMKACELQSHISSQAVEILTDLRQSDQENQHSECCLETNSSVTLGFEVVPQEKKESCIEIERTSQDQSCSNANINIDEIPASSASVIDEKVSCKDTQNVLGAFSEKASDSTVMISVKDPVHLGVTSKEDIPDGSFVNPDYNGVKDQTSIVDSLHSSLSGRMSSHLDSEQFTRTSCEDQAPPEITQVAETSGQINVGPVVESYNDFNVICVEDEASTDTENGVILMQSNVDADNVIGDSVDKNSGENQTSCGDGQNQDAFCQVNVNPDVTQGKNIESVDIPYMKTYNVPTASSSGLLIEFEKQPAEEQLIVANDILVSDSVQQNTDNFGPDSIHETYGDIEETVADLHKTDDNMKACLDVIEKADLSDNVEAPKTDIEETETDLHNMDHNVNAPDPIQSVAGVCNLPFPDACVDVEQNVDLSDNVGASKTYSDTEETEPDLGKMDTTVKAPDLIQNLTDMCNNEDFPDARIDVEQKADLPDNLEVTGSADCVINMNVSQTVPVNLVKIDGEVHNIVQTVSDICSSQIVPEFSGEIKQRAVLVKVTCDTVCNLDLPSETCNSEHHKATEANNLAGESSIDALNLENPVIESENRIAEPIYVPNVGSVTVVEVRAEVSNVEYVQPTCDSVCNSEPLSETCYSEHDEVTESKNITSESSFGQLNNQNPVVETENQSEATHMPIVESTSVVEVRDELGTVEYVQPTCDARYNSEPPFETCCGEHSEVTEAMNITTESSIGKLNLQNIVVETESQNAEAIEKPSMESTSVMEVRDEVSNLECIQATCDIVCNPEPSSETCNDEHTEVTENQNAEDIEMPSMESASVMEARDEVSNLECIQATCDIVCNPEPSSETCHGEHHEVIEAKNITAESTIGELNLQNPAVETENRSLEALDVPNVESISAVEVRDEVSDMEHVQVSCDTACNQNLHSERCHGEQKETTEAKNTTAESSIGELNFQISEIESENPIVQALDVPNVEATFVVEVRDEVGNVKYVQPTYDTICNPELSSETCNGKHNELTEVKNITAESSVAELNLQNLVETKNESTEVIDVPSVESAPAIEVRDELLNVVYVQPTSVIICNPEPPSGTCHGEHNEVTEANNLTAENIASELNLQNPVVETENRSLDALDVLNVKTTTVVETRDVVSDVESVQPTYDTTYNSEMPSESCHGEQNVVESSIGELNLQNPVVETSNWISAAMDEQNEVAESSIGELNLQNPVVGTSNQISAATDEQNEVAESSIGELDLQNPVVGTSNQISAAMDEQNEVAESSIGELNLQNPVVRTSNQIFAAMDEQNEVAESSIGELNIQNPVVGTSNQISATTDVPGVELTSVVTSKEDPSSPSPPEE >Ma06_p04130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2983045:3018700:-1 gene:Ma06_g04130 transcript:Ma06_t04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] MAASQHVEVEAAKLLHKLIQESKDEPAKLAAKLYVICQHMKLSGKEQSLPYQVISRALETVINQHGLDIEALKSSRLPFAGAPQVGSSGHAKSKDKEAITNLLPTSSTDVPQNSTPVATWQVASTNPAKEETYAGPSQSYIMMKNSIAAPGAVDISSKLSGGISKMDSIGLDVQQSCLFQKASKSSEHESPASMPMEDTRSANSSERHDIAKFDNQTTKKDIKKTVPKRKRANSKVAEESLPDSPQLSDTSAMGHNTRKGKQTDKSGRQGELKAGDQEQPNPLQHNSRLYGGAGTSFISKQEVSQAVTERTTDNMKKSNTFNQISKLPDEREVSSADRIFAMQKGGLLSSRINTFSPNYVWNQNKFALSSENSQGSGSALKEPFPGIHSESMNINNQSKVNTHDETNDSSKSMEVPTNHLHGMPTVNSGALGAFSSFGMTNMPFSAPAPYSSSSFESHDLTSKVHFPRSFENCSSSHLLDKGKDVVPVSGGKEISSSAKPATDSRIWSSAVMREGTSRFSGKAFEGQAGLSLHGQKTMEGAAMHLESSQGGGLNKEAIHQMNQDSFARSKPDGKLCGLPSSMDMNISTSAPLNNVGMSLPSQPFREQQLKQLRAQCLVFLAFRNNLMPRKLHLEIALGASLPKEDETQRWLNGSRGTDASTREMSNSHDNSGMFSRPSNMAKGPPASSSTGSIVEAESSSKDTGGPFGSHFENEVYMNPNQQSLRANQVSPVLGVGKGPKVDALFASRATFKDDASKESSVAAMVNRETYFNQPHNISQINSAGKLHLSDSHLFGVNTHPERYQSLLPVKEQSPLAVGKGYESLENVVNASKDIMFSNQVAHSEKIPASSELAITNSITNAYFGSNGLLDQSNSVIQKQSHADVYTTFATNDSIKFGNMEAVLEKSVEQDNGNQSDSSDMPSSPPKYTTSEKWIMDYQKQKLVEEQKWTLKQKKAEERIAACYEKLKEKVSSSENISGKTKTVIELKKLQLLQLQRRLRSDFLNDFFKPITSDMDRLKSIKKHRHGRRMKQLERFEQKMKEERQKRIRERQKEFFGELETHKERLEESFKVKRERWKGFNRYVKEFHKRKERIHREKIDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKIRESKSMAKQFEMEMDESREFNIVENNDTTNEDDDGSDQAQHYLESNEKYYKLAHSVKESICEQPASLRGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVIALICYLMETKNDRGPFLVVVPSSVLPGWESEMSFWAPGINKIAYSGPPEERRRLFKELIIHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFEGNGDNNPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSLGNYKGRSIHNTVMEMRNICNHPYLSQLHAEEVDSLLPKHFLPPIIRLCGKLEMLDRLLPKLKATGHRVLFFSTMTRLLDVMEEYLSWKRYRYLRLDGHTCGLDRGALVEEFNRPDSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRLETIRTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRESKKEEAAPVLDNDSLNDILARSEPEIDIFESIDKQRHDEEMAAWQRLIQGSTDGLNPLAMPSRLVTDEDLKPFYKAMMIHESPNVSMKRKSEYLGGLDTQQYGRGKRAREVRSYGDQWTEEEFEKLCQVDSPASSPPTEISRDPCTTKELSEPQTSDTQLSLPLQKDSSATPTEPLQQVKEPTPAKRGRGRPKRAAADASPAAAAVQSNIIIKQEMKPQTERVSASPTVTGVDSSASANTQEESVAGTPALVPAPGPNMSIQAKRRKTQTGEAPRGRGRKQKLATSTGEVIMIVGLHGGNEVGSDKPIVAAMSLEKATTDKSSGALNDPSVGYQANCEIGLERVDLESVRITTSSQEADNLRSIAPSVEMREVSTVPAAADTKAVPVGTKLSVSMDNMSSVQSKLHDGVKVGMVHGIPSGTPATCTPTMPVAFAQDLKENAASQRVPSTDAKSNESHKSADKPDLSHGGTQKAAPDSLGSGEEKLAEGNISLCSTEKIKPDMDVQLSEKRQLADKPGDSSIQSSPTVVPSNGILNISSMSRETGDANAEVQSIVAIQEMVNPNKPQDAGHDSLNSSASVIAVPLVQVQYFPAKDSSIVPPFVQRGLDKSSVTRKKAAARSGSATAACERRARLAGLKQAEMSNKADCKSKATKAVILRERQNSDSTNSPVTSRTLCSFGNKPDETEAACTQSSEVGPVCEKQDANLCNREFVLSVTEESSDDYGHKTSLVKSANSIECDMHSAVGSLNKVVGNVMRQASVTPAEVSGSSSKQDQSCKELPGDVNTSIEIEPCNSGSNAENSKLTSLEHPKLSILSSEVDKIGGTAEKPGSISDEVASNLLPTGNASQSCKEVKNEVIESESKATALIEIDQHLNVNKIPTVYSTGSAVPPTVEDTENELVNVVEGHSNSSSKVEGMEEEAVSVSDGPSNRSYGVHLEGEQKAVDVSENPAHLSSEFEGEKKVLEHHLGAGVKNKEKDDISMKACELQSHISSQAVEILTDLRQSDQENQHSECCLETNSSVTLGFEVVPQEKKESCIEIERTSQDQSCSNANINIDEIPASSASVIDEKVSCKDTQNVLGAFSEKASDSTVMISVKDPVHLGVTSKEDIPDGSFVNPDYNGVKDQTSIVDSLHSSLSGRMSSHLDSEQFTRTSCEDQAPPEITQVAETSGQINVGPVVESYNDFNVICVEDEASTDTENGVILMQSNVDADNVIGDSVDKNSGENQTSCGDGQNQDAFCQVNVNPDVTQGKNIESVDIPYMKTYNVPTASSSGLLIEFEKQPAEEQLIVANDILVSDSVQQNTDNFGPDSIHETYGDIEETVADLHKTDDNMKACLDVIEKADLSDNVEAPKTDIEETETDLHNMDHNVNAPDPIQSVAGVCNLPFPDACVDVEQNVDLSDNVGASKTYSDTEETEPDLGKMDTTVKAPDLIQNLTDMCNNEDFPDARIDVEQKADLPDNLEVTGSADCVINMNVSQTVPVNLVKIDGEVHNIVQTVSDICSSQIVPEFSGEIKQRAVLVKVTCDTVCNLDLPSETCNSEHHKATEANNLAGESSIDALNLENPVIESENRIAEPIYVPNVGSVTVVEVRAEVSNVEYVQPTCDSVCNSEPLSETCYSEHDEVTESKNITSESSFGQLNNQNPVVETENQSEATHMPIVESTSVVEVRDELGTVEYVQPTCDARYNSEPPFETCCGEHSEVTEAMNITTESSIGKLNLQNIVVETESQNAEAIEKPSMESTSVMEVRDEVSNLECIQATCDIVCNPEPSSETCNDEHTEVTENQNAEDIEMPSMESASVMEARDEVSNLECIQATCDIVCNPEPSSETCHGEHHEVIEAKNITAESTIGELNLQNPAVETENRSLEALDVPNVESISAVEVRDEVSDMEHVQVSCDTACNQNLHSERCHGEQKETTEAKNTTAESSIGELNFQISEIESENPIVQALDVPNVEATFVVEVRDEVGNVKYVQPTYDTICNPELSSETCNGKHNELTEVKNITAESSVAELNLQNLVETKNESTEVIDVPSVESAPAIEVRDELLNVVYVQPTSVIICNPEPPSGTCHGEHNEVTEANNLTAENIASELNLQNPVVETENRSLDALDVLNVKTTTVVETRDVVSDVESVQPTYDTTYNSEMPSESCHGEQNVVESSIGELNLQNPVVETSNWISAAMDEQNEVAESSIGELNLQNPVVGTSNQISAATDEQNEVAESSIGELDLQNPVVGTSNQISAAMDEQNEVAESSIGELNLQNPVVRTSNQIFAAMDEQNEVAESSIGELNIQNPVVGTSNQISATTDVPGVELTSVVTSKEDPSSPSPPEE >Ma06_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2983045:3018700:-1 gene:Ma06_g04130 transcript:Ma06_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] MAASQHVEVEAAKLLHKLIQESKDEPAKLAAKLYVICQHMKLSGKEQSLPYQVISRALETVINQHGLDIEALKSSRLPFAGAPQVGSSGHAKSKDKEAITNLLPTSSTDVPQNSTPVATWQVASTNPAKEETYAGPSQSYIMMKNSIAAPGAVDISSKLSGGISKMDSIGLDVQQSCLFQKASKSSEHESPASMPMEDTRSANSSERHDIAKFDNQTTKKDIKKTVPKRKRANSKVAEESLPDSPQLSDTSAMGHNTRKGKQTDKSGRQGELKAGDQEQPNPLQHNSRLYGGAGTSFISKQEVSQAVTERTTDNMKKSNTFNQISKLPDEREVSSADRIFAMQKGGLLSSRINTFSPNYVWNQNKFALSSENSQGSGSALKEPFPGIHSESMNINNQSKVNTHDETNDSSKSMEVPTNHLHGMPTVNSGALGAFSSFGMTNMPFSAPAPYSSSSFESHDLTSKVHFPRSFENCSSSHLLDKGKDVVPVSGGKEISSSAKPATDSRIWSSAVMREGTSRFSGKAFEGQAGLSLHGQKTMEGAAMHLESSQGGGLNKEAIHQMNQDSFARSKPDGKLCGLPSSMDMNISTSAPLNNVGMSLPSQPFREQQLKQLRAQCLVFLAFRNNLMPRKLHLEIALGASLPKEDETQRWLNGSRGTDASTREMSNSHDNSGMFSRPSNMAKGPPASSSTGSIVEAESSSKDTGNLKKKGGPFGSHFENEVYMNPNQQSLRANQVSPVLGVGKGPKVDALFASRATFKDDASKESSVAAMVNRETYFNQPHNISQINSAGKLHLSDSHLFGVNTHPERYQSLLPVKEQSPLAVGKGYESLENVVNASKDIMFSNQVAHSEKIPASSELAITNSITNAYFGSNGLLDQSNSVIQKQSHADVYTTFATNDSIKFGNMEAVLEKSVEQDNGNQSDSSDMPSSPPKYTTSEKWIMDYQKQKLVEEQKWTLKQKKAEERIAACYEKLKEKVSSSENISGKTKTVIELKKLQLLQLQRRLRSDFLNDFFKPITSDMDRLKSIKKHRHGRRMKQLERFEQKMKEERQKRIRERQKEFFGELETHKERLEESFKVKRERWKGFNRYVKEFHKRKERIHREKIDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKIRESKSMAKQFEMEMDESREFNIVENNDTTNEDDDGSDQAQHYLESNEKYYKLAHSVKESICEQPASLRGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVIALICYLMETKNDRGPFLVVVPSSVLPGWESEMSFWAPGINKIAYSGPPEERRRLFKELIIHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFEGNGDNNPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSLGNYKGRSIHNTVMEMRNICNHPYLSQLHAEEVDSLLPKHFLPPIIRLCGKLEMLDRLLPKLKATGHRVLFFSTMTRLLDVMEEYLSWKRYRYLRLDGHTCGLDRGALVEEFNRPDSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRLETIRTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRESKKEEAAPVLDNDSLNDILARSEPEIDIFESIDKQRHDEEMAAWQRLIQGSTDGLNPLAMPSRLVTDEDLKPFYKAMMIHESPNVSMKRKSEYLGGLDTQQYGRGKRAREVRSYGDQWTEEEFEKLCQVDSPASSPPTEISRDPCTTKELSEPQTSDTQLSLPLQKDSSATPTEPLQQVKEPTPAKRGRGRPKRAAADASPAAAAVQSNIIIKQEMKPQTERVSASPTVTGVDSSASANTQEESVAGTPALVPAPGPNMSIQAKRRKTQTGEAPRGRGRKQKLATSTGEVIMIVGLHGGNEVGSDKPIVAAMSLEKATTDKSSGALNDPSVGYQANCEIGLERVDLESVRITTSSQEADNLRSIAPSVEMREVSTVPAAADTKAVPVGTKLSVSMDNMSSVQSKLHDGVKVGMVHGIPSGTPATCTPTMPVAFAQDLKENAASQRVPSTDAKSNESHKSADKPDLSHGGTQKAAPDSLGSGEEKLAEGNISLCSTEKIKPDMDVQLSEKRQLADKPGDSSIQSSPTVVPSNGILNISSMSRETGDANAEVQSIVAIQEMVNPNKPQDAGHDSLNSSASVIAVPLVQVQYFPAKDSSIVPPFVQRGLDKSSVTRKKAAARSGSATAACERRARLAGLKQAEMSNKADCKSKATKAVILRERQNSDSTNSPVTSRTLCSFGNKPDETEAACTQSSEVGPVCEKQDANLCNREFVLSVTEESSDDYGHKTSLVKSANSIECDMHSAVGSLNKVVGNVMRQASVTPAEVSGSSSKQDQSCKELPGDVNTSIEIEPCNSGSNAENSKLTSLEHPKLSILSSEVDKIGGTAEKPGSISDEVASNLLPTGNASQSCKEVKNEVIESESKATALIEIDQHLNVNKIPTVYSTGSAVPPTVEDTENELVNVVEGHSNSSSKVEGMEEEAVSVSDGPSNRSYGVHLEGEQKAVDVSENPAHLSSEFEGEKKVLEHHLGAGVKNKEKDDISMKACELQSHISSQAVEILTDLRQSDQENQHSECCLETNSSVTLGFEVVPQEKKESCIEIERTSQDQSCSNANINIDEIPASSASVIDEKVSCKDTQNVLGAFSEKASDSTVMISVKDPVHLGVTSKEDIPDGSFVNPDYNGVKDQTSIVDSLHSSLSGRMSSHLDSEQFTRTSCEDQAPPEITQVAETSGQINVGPVVESYNDFNVICVEDEASTDTENGVILMQSNVDADNVIGDSVDKNSGENQTSCGDGQNQDAFCQVNVNPDVTQGKNIESVDIPYMKTYNVPTASSSGLLIEFEKQPAEEQLIVANDILVSDSVQQNTDNFGPDSIHETYGDIEETVADLHKTDDNMKACLDVIEKADLSDNVEAPKTDIEETETDLHNMDHNVNAPDPIQSVAGVCNLPFPDACVDVEQNVDLSDNVGASKTYSDTEETEPDLGKMDTTVKAPDLIQNLTDMCNNEDFPDARIDVEQKADLPDNLEVTGSADCVINMNVSQTVPVNLVKIDGEVHNIVQTVSDICSSQIVPEFSGEIKQRAVLVKVTCDTVCNLDLPSETCNSEHHKATEANNLAGESSIDALNLENPVIESENRIAEPIYVPNVGSVTVVEVRAEVSNVEYVQPTCDSVCNSEPLSETCYSEHDEVTESKNITSESSFGQLNNQNPVVETENQSEATHMPIVESTSVVEVRDELGTVEYVQPTCDARYNSEPPFETCCGEHSEVTEAMNITTESSIGKLNLQNIVVETESQNAEAIEKPSMESTSVMEVRDEVSNLECIQATCDIVCNPEPSSETCNDEHTEVTENQNAEDIEMPSMESASVMEARDEVSNLECIQATCDIVCNPEPSSETCHGEHHEVIEAKNITAESTIGELNLQNPAVETENRSLEALDVPNVESISAVEVRDEVSDMEHVQVSCDTACNQNLHSERCHGEQKETTEAKNTTAESSIGELNFQISEIESENPIVQALDVPNVEATFVVEVRDEVGNVKYVQPTYDTICNPELSSETCNGKHNELTEVKNITAESSVAELNLQNLVETKNESTEVIDVPSVESAPAIEVRDELLNVVYVQPTSVIICNPEPPSGTCHGEHNEVTEANNLTAENIASELNLQNPVVETENRSLDALDVLNVKTTTVVETRDVVSDVESVQPTYDTTYNSEMPSESCHGEQNVVESSIGELNLQNPVVETSNWISAAMDEQNEVAESSIGELNLQNPVVGTSNQISAATDEQNEVAESSIGELDLQNPVVGTSNQISAAMDEQNEVAESSIGELNLQNPVVRTSNQIFAAMDEQNEVAESSIGELNIQNPVVGTSNQISATTDVPGVELTSVVTSKEDPSSPSPPEE >Ma05_p24210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36484894:36485415:1 gene:Ma05_g24210 transcript:Ma05_t24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSELLLLCSVMIALVLVEGAEGGRRPRAGLAGQLLRSHNAARAAVGLPPLAWDARLARYAESYGGRRRRDCALAHSGGPYGENIFWGSGARWRPAQAAAAWVGERRWYHYRSNSCSAPECGHYTQIVWRSTRRLGCAMVSCSGRKGVLVVCEYDPPGNYIGERPY >Ma06_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23049957:23050490:1 gene:Ma06_g24550 transcript:Ma06_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMECTTVPQQPTRPAMHDCSRESKRLKPEIRVVHVNSPEIIKTDAANFRELVQRLTGKPTIGIGKKKKKKKKKRKTLCMTAQGEVAPRCKPVEVMGGQKEKHEEYGCGEGAKRGSEVEETRELWVEKNSGCFSGKFGEMDSFLQELSDVPLPPWCSPRVEVVGEGTCFLPEEIGD >Ma09_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9655077:9655217:1 gene:Ma09_g14160 transcript:Ma09_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHTIAIYNRLEHLPINIIDLMVGHKLGEFAPTLTFARNDNKSHF >Ma06_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7208198:7216953:1 gene:Ma06_g10440 transcript:Ma06_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MASDLHLDDEDDFGGDVPGSQSSAKRSGGKRTFGDLDEEEDDVFGPKKGKPKVEESGPGMTTGMILSLRESLQNCKDNLATCQEELEAAKSEIQKWHSAFQNGPATPAGTSPEPGLVLTYLQNLKSSEESLKEQLEKAKKKEAAFIVTFAKKEQEIADLKSAVRDLKTQLRPPSMQTRKLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNLAAVNFTASSKLGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIVVLKSQNAELRNQFDALYKHMEGLTNEMERSNEMVYILQERLEAKDCELRNLKELLTQKEAAEERDDDDGDKEEANEGNSADVEA >Ma02_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16449955:16457021:1 gene:Ma02_g05110 transcript:Ma02_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGKRRLTEEDDDLEAEAIKRQRISDGEEDEEGGGEEETDAAALPGLAVYKDDDDEEEEGAGRRDQLMGQENGRGETIENGGGQVRVRAGDEEVMEALHQKDASRPPAPVRQQRQVERRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLNSHAHTHSLEAGHHVYINLQTEKVYCLPDGYEIDDPSLDDIRHVLNPRFSREQVLHLDENRQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASNKRFQIGVQSDPVEFISWLLNTLHAQLRHSKKKDRSIIYDCFQGELEVVKEIQKKHLIEKNDNDDEENKVIVAERGSSNDSVVRETSKVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPCIARMRYRVTRLPKYLILHMRRFTKNNFFIEKNPTLVNFPVKNLELKDYIPLPPPKVKKKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEELWFEMQDLHVNETLPQMVALSEAYMQIYEQQE >Ma03_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29102468:29103977:1 gene:Ma03_g24890 transcript:Ma03_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMKPLLLLFSFLLLLPIPALGDCGCTRDAESHSTTKASHLKLVAIASILTAGAVGVLIPILGRAVSALRPENDMFFVIKAFAAGVILATGLIHILPAAFQSLTSPCLDEHPWQDFPVTGFVVMSSALGTMMIDSFATSYYKRSHFSKARPVEEDDEAGQGSSGDHAHVDTHRSHGHAHASAAAATEAASLSERIRQQVISQVLELGILVHSVIIGISLGASQSPSTIRPLVGALSFHQFFEGIGLGGCIVQANFRAKSSLMMAVFFSLTAPIGIAVGTAISFVYDETSSTALIVEGVFNAASAGILVYMSLVDLLAADFTNPRMQSNGRLQLGAHLALLVGAGLMSLLAKWA >Ma03_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5450337:5451272:1 gene:Ma03_g07720 transcript:Ma03_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFLVIGLWHLVNHIRLYSINPNSYVAPPWFPSPLLGRHLELTLIMFGSVASISMELFIGPEAHQPFDADGTIPSNHLHNFEHASISLTFLIYAAFAVALDRAKLRNRDSLTQLLGAVAFSQQLLMFHLHSADHMGVEGQYHWLLQLVIVVSLATTLIGIGRPRSFPVSFVRSASIAFQGVWFIIMGYVLWTPSLIPKGCFMNMEVGHYVVRCRSDEALDRAKSLVNLQFSWCLAAMAVLSMLLYLFLIKTYPEEPQYIPLVEDAVGEEDEEDLECHKKMIDSESLGHKGQTLRAIELEK >Ma06_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6030123:6031768:-1 gene:Ma06_g08530 transcript:Ma06_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT1H [Source:Projected from Arabidopsis thaliana (AT5G16740) UniProtKB/Swiss-Prot;Acc:Q9LFE3] MSDFSATKFGRCWSSLEFGKQPQRNQVVSETVHGAQLAAAAQSANCVTCVEGNKVCKCGKDTEVLNLQSEHHAKATSSFTHSVINMIGMLIGLGQLSTPYALENGGWSSVFLLVGLGVMCAYTSHIIGKCLEEDSSSKTYQDIGQQAFGAKGRIIASTLIYLEIFFALVSYTISLSDNLPLVLSGVHAHISWLPLSASQLLTVVAVLVALPTLWLRDLSSISFLSFGGIVMSLLIFVTIACTAAFGGVRGNHSIPLLQLRKIPGISGLYIFSYAGHIVFPNIYTAMKDPSKFTKVSIASFTTVTMLYTALAFMGAKLFGPAVSSQITLSMPPHLIATKIALWATVLTPMTKYALEFSPFASQLEHKLPSTMSSRARMVIRGSVGSILLLVILALALSLPYFEHVLSLTGSLVSIAISLIFPCAFYLKICWPQVSKPTVVLNGVLIVLGALLGVVGTISSSKALIQSIQRGHSL >Ma01_p15870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11570224:11574702:-1 gene:Ma01_g15870 transcript:Ma01_t15870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPYLRPPEGQQADDLIKTVSSILLAAQSPSGPPLDEALAPFIPRLSPSLAAPILSAAAASPSFSSPAPLLSFYHLLRRSFPSPSGDPSIAAEEFLPSLLALLPPLLWFKKFADVKSLLLSFIPLDRRRLLHRHLLHGSPQPSKSLLDTAVASYADLRQYHLAYQVFLVMRRRRLRPSLFTSNSLLSSLVRSPTISSSLPLSIFHDMVALGVSPNVNTFNILIHGLCSRAQFTDALALFPTMAGFGCTPDTITYNTILDGYCKKGMLKEARDLLAEMKAKDVPPNRSTYNTLVAAYCRLGWLKEATMAIELMTASNFLPDLWTYNMLVAGLCRDGRIDEAFRLKDEMEKLCLSPDVITYNTLINGCFQWRSGSDAYHVLGEMQQKGVKPNLVTHNIMVKGLCREGKMEEAVASLRKMEEEGLSPDLITYNTLISSYCKASEMGKAFDLMDEMVGRGLKMDTFTLNTVLHNLCKEKRFDEACKLLHSPPMRGFVPDEISYGTVISAYFKDEKLDDAMKLLEEMNEKKIMPGVTTYNTVIGGLCRSGRTEQAIKMLNKLVESGLVPDETTYNTIINGFCREGDLEKAFQFHNQMVNDSFKPNVITCNILMNGLCNDGQVEKALRFFESWVSKGKKVDVITYNTLIKGLCKEGKVDAAMELFADMEEKGLQSDAYSYNVVLCALSEAGRSEEAQNTLSKMVEKGILPEQFTFPLSSEVSSIMGTGKEQEMTPNVFREEDSKGSSDTSYTQHIKELCNSGKFKEAKLVLDEMMQTGVPVNSLTYITLMEGFIKRQKRLTKAAV >Ma01_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11570224:11574702:-1 gene:Ma01_g15870 transcript:Ma01_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPYLRPPEGQQADDLIKTVSSILLAAQSPSGPPLDEALAPFIPRLSPSLAAPILSAAAASPSFSSPAPLLSFYHLLRRSFPSPSGDPSIAAEEFLPSLLALLPPLLWFKKFADVKSLLLSFIPLDRRRLLHRHLLHGSPQPSKSLLDTAVASYADLRQYHLAYQVFLVMRRRRLRPSLFTSNSLLSSLVRSPTISSSLPLSIFHDMVALGVSPNVNTFNILIHGLCSRAQFTDALALFPTMAGFGCTPDTITYNTILDGYCKKGMLKEARDLLAEMKAKDVPPNRSTYNTLVAAYCRLGWLKEATMAIELMTASNFLPDLWTYNMLVAGLCRDGRIDEAFRLKDEMEKLCLSPDVITYNTLINGCFQWRSGSDAYHVLGEMQQKGVKPNLVTHNIMVKGLCREGKMEEAVASLRKMEEEGLSPDLITYNTLISSYCKASEMGKAFDLMDEMVGRGLKMDTFTLNTVLHNLCKEKRFDEACKLLHSPPMRGFVPDEISYGTVISAYFKDEKLDDAMKLLEEMNEKKIMPGVTTYNTVIGGLCRSGRTEQAIKMLNKLVESGLVPDETTYNTIINGFCREGDLEKAFQFHNQMVNDSFKPNVITCNILMNGLCNDGQVEKALRFFESWVSKGKKVDVITYNTLIKGLCKEGKVDAAMELFADMEEKGLQSDAYSYNVVLCALSEAGRSEEAQNTLSKMVEKGILPEQFTFPLSSEVSSIMGTGKEQEMTPNVFREEDSKGSSDTSYTQHIKELCNSGKFKEAKLVLDEMMQTGVPVNSLTYITLMEGFIKRQKRLTKAAV >Ma10_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32263822:32282332:-1 gene:Ma10_g23230 transcript:Ma10_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKNPSIGNGHRGRLVFAYYITGHGFGHATRVVDVVRHLIAADHEVHVVTGAPEFVFTTEIQSPNLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRTSILATEVEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMEAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRFEVREELGIGNDVKVVIFNFGGQPAGWNLKQEWLPAGWLCLVCGASDNQELPPNFIKLAKDMYTPDIIAASDCMLGKIGYGTFSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWAPYLERALTLKPCYEGGTNGGEVTAHILQDTAIGKKCASDKLSGARRLRDAIVLGYQLQRAPGRDLGVPDWYSLAENEVGLRPALTNIVMNGKASLVESCFEGFEILHGELHDLPDTMAFLRSLSALYSVAEPRSPEKRQIRERVAAAALFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQKNDPNKQKLWKHAQARQRAKGQGPIPVLQIVSFGSELSNRAPTFDMDLPDLMDGDQPLSYENAYKYFAQDPCQKWAAYVAGTILVLMSELGVRFTESISILVSSAVPEGKGVSSSASVEVATMSAIAAAHGLNIQPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVTIPTHIRFWGLDSGIRHSVGGTDYGSVRIGAFMGRKIIKSAADALLSHSLAGINSSQQSDVINSDEFEEHGFDLLKKEASLCYLCNLSTHRYEAVYAKKIPADITGESFLKTYTDHDDTVTVIVPKRTYAVKAPTKHPIYENFRVEAFKALLTAATTDEQLSALGELMYQCHYSYSDCGLGSNGTDRLVKLVQEVQHRKTSHDVSTSLFGAKITGGGSGGSVCVMGRNCIRSNEEILEIQQKYKGATGYLPIIFEGSSPGAGKFGYLKIRRLT >Ma05_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:540691:548238:1 gene:Ma05_g00880 transcript:Ma05_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNGKLIPNLDQQSTKALNLIVLQRIDPFVEEVLMTAPHVTLYEFNIELNRWSRKDVEGSLFLVKRNAQPRFQFIVMNRRNTDNLVEDLLGDFEYEIHLPYLLYRNAAQEVNGIWFYNSHDCEDVANILSRILNAYSKVPPKPKVSSKSEFEELEAVPTSSVIEGPLEPTTSAAQPIPDVPDDALTNFFGNALNIGNTSNAAISGQPPLVAATVPVTSNESSTNPSVIPTVQPTPTFPASSCLMPPLETLESSSGNINRATSLVKPSFFTPAPSTLAMPQAASLTPTAPPLYPPVTMQRPYGTPLLQPFPPPAPSASLTPAPNYGPILTKEKVRAALLRLVQNNEFIDMVYREMLNAHYP >Ma03_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7820402:7821526:1 gene:Ma03_g10390 transcript:Ma03_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFHPLPGRVFCGGGRAGAELRRLVGYPGAAVVQQQPVKCPRCESTNTKFCYYNNYNLSQPRHFCRSCRRYWTKGGVLRNIPVGGGCRKSKRPSSSSSKSSSKPPPAAADKEHQRRRLPSSASRCSSESTNLTVATTFAAPFPGQALLNSQISISNPNPPFESPLQVDPPLCPAPEIFPDPAAGTVTAAPAEVIRLEFIGQTATSAPRSISGGGGLAALDWSGPVDPTLFDLTTTVDPTAYWNQSHWADADPTIYLP >Ma04_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2465439:2466636:1 gene:Ma04_g03090 transcript:Ma04_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPESKGIGGDMSEGSGNKKHIILVHGACHGAWSWHKVTTLLRSAGYRVTALDLAASGIDERRFQDLRTFTDYTQPLLDVVASLPPGERVVLVGHSLGGMNIALAMDRFPEKIAAAVFVTAFMPDSVNPPSYVLDKLKQEKTMSYWLDTQFGLVVGDRERGPTSMLLGPKFLSKLYKLSPPEDLTLAMTLARPSSLFLEDLVSMPPFSESGYGSVEKVYVVCAQDEGISEAFQRWMIENNPVKVVKEIEDADHMPIFSTPKQLFQCLSDVADACA >mito12_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000023.1:177895:178065:-1 gene:mito12_g00020 transcript:mito12_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKTNGYRNTSTRPLFPLVQLMLSAKELLEHRGLGGKQAYTRFGALRLLLTGCIL >Ma05_p27010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38482620:38484611:1 gene:Ma05_g27010 transcript:Ma05_t27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAEDCKETFLELQRKKTYRYVVFSIDEKQNQVVVEKTGAATESYDDFLASLPENDCRYAIYDFDFVTEENCQKSKIFFVAWSPSISRIRAKMLYATSKDRFRRELDGVH >Ma06_p32810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33660687:33663335:1 gene:Ma06_g32810 transcript:Ma06_t32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPCLNLEQDRERTMIFSSPFVISFLLLVSVPVLFVLAPRILPPKTLPSIPDRDEMDDLALFRRTTLASSGAGGSGGIRRRTAAPPKIAFMFLTNSDLSFAPLWERFFRGHERLFNVYVHADPSSRLRLVPTPSFSGRFIPAKATQRASPTLISAARRLLAAALLDDPANAFFALLSQHCVPLHSFRFTYDAVLADSGAPPAAHGDAVLRHRYRSFIEILAGEPGLWDRYIARGDNAMLPEVSFDQFRVGSQFFILARRHATMVVRDRRLWKKFKMPCLKSREDSCYPEEHYFPTLLEMQDPEGCSHYTLTRVNWTDSVGGHPHAYRPLEISSDLIKELRRSNSTYSYLFARKFSPDCLDPLVELADSVIFRD >Ma06_p32810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33660687:33663335:1 gene:Ma06_g32810 transcript:Ma06_t32810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSPFVISFLLLVSVPVLFVLAPRILPPKTLPSIPDRDEMDDLALFRRTTLASSGAGGSGGIRRRTAAPPKIAFMFLTNSDLSFAPLWERFFRGHERLFNVYVHADPSSRLRLVPTPSFSGRFIPAKATQRASPTLISAARRLLAAALLDDPANAFFALLSQHCVPLHSFRFTYDAVLADSGAPPAAHGDAVLRHRYRSFIEILAGEPGLWDRYIARGDNAMLPEVSFDQFRVGSQFFILARRHATMVVRDRRLWKKFKMPCLKSREDSCYPEEHYFPTLLEMQDPEGCSHYTLTRVNWTDSVGGHPHAYRPLEISSDLIKELRRSNSTYSYLFARKFSPDCLDPLVELADSVIFRD >Ma03_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1418755:1420384:-1 gene:Ma03_g02080 transcript:Ma03_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPTHIEPSPPAAPAAADPPRKLPIKRKKAPHPTPIPSPGPPIAADHGFDEDDDGDDDDFEAAAASAGDQIDVRSAAAAPFRFQRVWSESEEIRFLQGLLGCWSQGLVFPRDLNLFFDRFSESMPQPYTRSQLSEKLRRLRKKFRVTSARVARGHDPARLAPHDRDVLHLCNRLWHPSYAASSPFSAPDVLAPGGGGNKRRRPNPRPPSGQDVLSPLPAPPSPVPVATLPPPPALFPPTDEKVGCTAASTSTNAAHLGLKLGKEPLPPGMGADEAAVGKDETRHLMAKIVLSVFDACLAELKVTAASQGLGCQGNDLEKRWQEQRVAEMDVLARRLRMVLEKAIQN >Ma07_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4509558:4514395:1 gene:Ma07_g06260 transcript:Ma07_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G56130) UniProtKB/Swiss-Prot;Acc:Q9FKT5] MEERAISFKNLCSREYQGHKKKVHSVAWNCLGTKLASGSVDHTARIWNIDPHGHGKVKDIELKGHTDSVDQLCWDPKHPDVVATAAGDKTVRFWDARSGKCTQNVELSGENINITYKPDGTHIAVGNKEDELTILDVRKYKPIHRRKFNYEVNEIAWNTTGELFFLTTGNGTVEVLAYPSLKVLHTLMAHTAGCYCIAIDPLGRYFAVGSADSLVSLWNVSDILCIRTFTKLEWPVRTISFNYTGEYIASASEDLFVDISNVQSGRSVHQIPCKAPMNSVEWNPKYNLLAYAGDDKNKYQADDGVFRIFGFESS >Ma04_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6710360:6712080:1 gene:Ma04_g09450 transcript:Ma04_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWMHCLLLLVQPLLLHAPGVAAKVPALVVFGDSSVDTGNNDYLPTVARSNFQPYGRDFNGGVATGRFSNGRLATDFISQDFGLPDTVPAYLDPSFEMKDFAAGVCFASAATGYDNVTSDVLSVLPLWKQLDYFKKYQERLKSFQGEATAQQTISQALYIISLGTNDFLENYYAFSGRSSEYTVDKYEDYLISIARGFVDALYRLGARKVNIAGLPPMGCLPLERVENLDSCNKEHNQVASDFNAKLQTMMAELSQKLPDVKLAYSNLYDPFMDVVTDPTSYGFENAVSGCCATGLFEMGYMCSRRSPFTCTDANKYVFWDAFHPTERMYRIIADRLMNTTLYVYK >Ma04_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24816346:24816876:1 gene:Ma04_g22520 transcript:Ma04_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSPSFPLLLPFFLFATVAIARSTHEHREKITHLRFYFYDYYGGSNATTVSVVSPPGNNTFGSIGVGENILREGPQSSSKLIGKAQELTVQASLESPAYLSALNFVFTAGKYNGSSFSIFGRAVLTEPIERGIVGGTGKFRMARGYTISRLIKSTGTTQMELVMAYDAYVFHC >Ma01_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15156895:15172098:1 gene:Ma01_g19480 transcript:Ma01_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSGILDRDFGLRPQGKSTPIASPSTAATVGGGRGEGPNLWSVRDGSSDSTSSWENPSSGWNSASIGDPFLRDRGAGISKDYDYVFVGVPANSSWSSRGQYASSSSPSNFDSIFNGFADSGTKSSSSLPVYDKPVYDDDIFDGVPGTKSSSSVKYDDAFASLSSGSNHVSAPPYEDLLENLGKQMPESRAGSDKRSGEKEEQDMSGFDELIPGFGRSSPPKKREDQEVSQQMSDISLDKPATSKSEDSFVILESVSNSKYSSSGLFSNPLKNISEPMNFGSMRVDTSPVSETIFDDVNAFDGISKSVPLSQQVQSTKSSDLQNTVGRNGIQNMDTYGKNTTVDQSPEFNQPIETRDDIWLTVSEIPLQTQPTSAPPPSRPPPPLVIKKAPLGAYTKRKENESFHDSTQSHPYTEKSAKPASVDELEDFAMGKPKTYTQVTQHHEDFFFNGEELQKKLAAEAAMKEAMHRAEARFKHAKEARGIDRGAKVSRNEEYIDEKKNLDGEDLEDTQRQEGSDHERTLKEREAKEEEKRLEKEREQEVDREREKARQAAARAIREARDRAGAEARLKAERAAAETRQRAERAAVQRAAAEARERAAAVAIERAEKAAVEAREKAAAEAKERERAAAELREKAAAEAREKTAAEAREKAAAEARTAVERAAAEARLRAQRAAVERAAAEARERAAAAARERAATSAAAAVREHQKVENDLESFFSMGGARASSAHTSSETMFDVKDQNKGSSDGTRRTSSGSSSTIRKASSTTNIVGDLTSIFGGPPSSGKFQEVEGESEKRRAARLERHQRTQELAAKALAEKNERDMQIQREQAERHRIAEALDIEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRRATLCVHPDKVQQKGATLQQKYIAEKVFDLLKEAWNKLNSEELF >Ma08_p26150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38750200:38754554:-1 gene:Ma08_g26150 transcript:Ma08_t26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMRGSVVCCCCRGGGSGVGTALPTPSLFVPSASPRSPSLRRAQSISVSTADPEVRDEASTAPLTRRRRLILLRHADSRIGDRFTKDHDRPISKVGRKDAISISSKLQQLGWIPELVLSSDSTRTKETLQIMQEHAQGFSEAEVYFVPSFYSIAAMDGQTAEHLQKAICEYSRDEILTVMCMGHNRGWEEAASMLSGATVELKTCNAALLEATGNSWDEAFTLAGLGGWKLHGVVKP >Ma04_p32380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32364285:32365854:-1 gene:Ma04_g32380 transcript:Ma04_t32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLATSPPPFLAASSVVQMTKGVNFASAGAGILDSTGRGVISMSAQIRDFERVGAELRARMGNQTASAFLAESLFFFRVGSNDLFLQSSSGRPKDQIVATVISKFRDQLKTLYDLGARKFAVIGTGLIGCTPDRRSSTPSGDCNGDLNDLSLRFKTATKALLEELSISLQGFKYSFGDLYEITARVFSNPLAFGFTEVKAACCSPCTASSTYCRDRGQYFFWDGVHSTQAAYKVTVEMSFRGTPLFASPINMETLVNTT >Ma04_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:273769:275671:1 gene:Ma04_g00220 transcript:Ma04_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYSEYLRRAKPYIAMISLQFGYAGMNIITKVSLTNGMSHYVLVVYRHAFATLSIFPFALFLERKVRPAMTKWVFLQIFVLGLLGPVIDQNFYYVGLKLTSPTFSCAMSNILPAMTFVMAVLCRMEKVDLKKVIYQAKVAGTLVTVAGAMLMTLYKGPLVEMVWTKHVHPHASNSPAATESSSRDWFLGSIFLILATLAWASLFVLQAVTLRRYSAQLSLTTWICFVGTLQAIAVTLVMEHKPSAWTIGFDMNLLAAAYAGIVTSSVAYYVQGLVIAKRGPVFASAFSPLMMIIVAIMGSFILNEKIYLGGVLGAILIIIGLYSVLWGKYKENKEKKEREAMSLPVALKESEGCQHTNSGEEINGDAKSSTAVNAGDTPEA >Ma06_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15399220:15401500:1 gene:Ma06_g21260 transcript:Ma06_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >Ma09_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4435017:4456526:1 gene:Ma09_g06950 transcript:Ma09_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPFVSGQPRPRPGFAPSTNPNAAVSTYNPQIGRSPPPTSAPRAASPSPFSASSLPGPPPPFASRPPPQGPAVLSQGLISSNGPPVAGIASQRFPPPPPVQQTPPVAAPASIRAPPLSAAPSQAPGVQSFPGSPPAVTPASLPLMGSPASQPFSRPPPTHTPFAGPPASQPPFAGPRTSQPPSAGQPTSQPPFPGPTTFQRPFAVPPSSQPPFGGPPTSQPLFAGPPTSQPPFAGPPNSQPPFGGLPYTGPPTSQPFGASPTVQPFTGAPYAGRPSSPSYMGPPSSQPFSGPPTAAAPFGAPAWSSQPRQVAPSMPGAVQAPPTMFGMPPSTPGQSMPPIPPALGHSSLAGPQASTPSKVDPNQIPRPMPSSSVILFETRQGNQANAPPPATSNFIVKDNGNCSPRLMRCTMNQIPCTGDLLSTSSMPLALMVQPLALPHPSEEPIQIVDFGESGPIRCSRCKGYINPYMRFIDHGRRFVCNLCGFTNDTPRDYYCNLGPDGRRRDADERPELCRGTVEFVATREYMVRDPMPAVFFFLIDVSMNAVQTGATAAACSAISQSLADLPDGPQTMVGIATFDCTIHFYNLRRASQQPLMLIVPDVHDVYTPLQTDIIVQLTECRQGLEQLLENIPSMFDNNKVAESAFGAAIKAGFLALKPTGGKLLVFQSVLPSVGIGSLSAREAEGRTNVSAGDKEAHKFLQPADKTLKTMAIEFAEYQVCVDIFITTQTFVDIASISVVPTTTGGQVYYYYPFSALSDPGKLYNDLRWNISRPQGFEAVMRVRCSQGLQVQEYSGNFCKRIPTDIDLPAIDSDKTIMVTFKHDDKFQENSECSFQCALLYTTVYGQRRIRVMNISLPCTTMLSSLFRSADLDTQFACFLKQAASMLPVSPLSQVHEQITNLCINILHAYRKFCATVSSAGQLILPEALKLLPLYTLALAKSIGLRNDGRLDDRSYWISHVASISISLAIPLVYPRMLSIHDLTTKEDDGSLLALNIPLSSEHINDDGIYLLENGEDGLIYIGNMVNPDTLQQIFGVSSVDGLPSQLVLEQFDNELSKKLNDVVNEIRQQRCSYLRLRLCKKGDPSGMHFLSYMVEDKSPGGLSYVEFLVHVHRQIQTKMS >Ma01_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6419150:6426012:-1 gene:Ma01_g08960 transcript:Ma01_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRPLTARRDPSNGRQDPTQAIVAGLFQITTAVRNFERLVNTIGTPKDTPELREKLHNSRLQIGQLVKDTSAKLKQASETDQRVEVSANKKIADAKLARDFQNILSEFQKLHRLAAERETAFAPLVPQAVVSSSYAATEADDNSNRTLEQRAVLAESRRQEVLLLDNEIVFNEAIIEEREQGIQEIQQQIGQVNEIFKDLALLVHDQGVVIDDINSHIDNSHAATQQGKSQVKKAAKTQKSNSSLMCLIMVILGIILLIVVIVLLS >Ma01_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2276685:2278472:1 gene:Ma01_g03440 transcript:Ma01_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHYIANSLPTLRLTDVAHIRLHRSAADSDGGAARHLPLLLLLPPPPLSFHQPAPATELQRRLQCLLQARPEWWAYAIFWRASPDHRVLSFGDGHFRGARCPTDRRPRGCYAADEAGADAVDDAEWFYIMSLSRSFVGGGAIPARVYGSLELLWLTGAHALQACGCDRSREAQLHGIQTLACVPVPGGVLELGSPDLIGDNWVLVHQAKAVFSEGPNDASPTAGAALAAVAFPSPAVRKEGAGLSSSVDSEHSDSEGGTTVERRRPKKRGRRPGSGSRDCTSNHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLADAVTYIEDLKAKVEILEAEAKMAKKETAADQAATSITNAASATTGSPPTAVTVAIEMEVKLLGNDALIRVQSEDQNHPPAKLMCALRDLELSVHHASVSTLKDVVLQDVVVKVPTELQVEDTLRAALLAILHKS >Ma06_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22926940:22930074:-1 gene:Ma06_g24400 transcript:Ma06_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTIMKMKQQNTTSGQGVQTLRSIAFENEELGKGQHQTKIYHFESVIPPWLKTFTPAEVLTLEEESWNAYPKSKTVIKCPYLKQCCLTIETMNKADNGCSENVHGLSKELVAKRKVEIIDIASVSRDYWSKVAGTSKLDLSEFKSHKSGRGPLLRGWQASCQPVMTTYKLLTMDAPIWGLGFCMEEAIIASQKAVLLEFHKLCFAWIDEWFGMTLEQITEMEKQNELLLKKRFQISSFGSSKQDVKSKKQAWQ >Ma04_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6653211:6655482:-1 gene:Ma04_g09320 transcript:Ma04_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LYK5 [Source:Projected from Arabidopsis thaliana (AT2G33580) UniProtKB/Swiss-Prot;Acc:O22808] MAMTTRSDPQLAFFLALVLLCLVSCNAQQQYENNRQLDCTTTDSSTLGYTCNGVRSCSSYLTFRSQIAYQSPVLIAYLLGADADNISRINGVATEFANVPNDQLVLVPVPCSCSGGYYQHNVSYTLTSRDTYFIVANDTYQGLSTCQALIAQNPYGSLNLTAGLRVDVPLRCACPTAEQTSSGIKYLLTYLITWGDDVPTIADRFHADYQAVLHANNISSSSTIYPFTTLLVPLETEPTKDEVASSPSAPPPPQTADTPPNDGSGSSSSGHKWVFVGVGIGVGLLALCCAGGLIWLLCYRQRRRRRIPVPDVPVPFKTAESSANYSELSDKRSGPPTSVSVQSVRSAIESLTVYEFRALEAATAAFGEDHRIMGSVYRGVINGDAAAIKRLKGDASNEINILKQINHSNVIRLSGFCLHDGNTYLVYEFAEKGSLGDWLHHHNKNDSSSCLGWKERVQIAHDVAHGLNYLHDYASPPYVHQNLKSSNILLDGELRAKLANFGLARPMEDGERPHLTRHVVGTQGYMAPEYLEHGLVTPKLDVFAFGVVLLELLSGKEATFTEEGEKQDTLLWACVGSVISGEDARGRLMAFVDPCLGRQYPLELAHAMAELAMLCVARDPGSRPSMTEVLVSLSAIHDSTLDWDSSDLADSSSMIHGR >Ma05_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32980364:32983597:-1 gene:Ma05_g21330 transcript:Ma05_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLTDQDCRVEICTEKKTILSVEDILALIGNRCDGVIGQLTEDWGDVLFSALKRAGGSAFSNMAVGYNNVDINAANKYGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFVTAYGQFLKANGEQPVTWKRAASMEEVLREADVISLHPVLDKTTYHLVNKESLAIMKKEAILVNASRGPVIDEAALVEHLKANPMFRVGLDVFEDEPFMKPGLAEQKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPIWGDPNRVEPFLDENSPAPTACPSIVNAKQLGI >Ma05_p21330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32980370:32983488:-1 gene:Ma05_g21330 transcript:Ma05_t21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLTDQDCRVEICTEKKTILSVEDILALIGNRCDGVIGQLTEDWGDVLFSALKRAGGSAFSNMAVGYNNVDINAANKYGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFVTAYGQFLKANGEQPVTWKRAASMEEVLREADVISLHPVLDKTTYHLVNKESLAIMKKEAILVNASRGPVIDEAALVEHLKANPMFRVGLDVFEDEPFMKPGLAEQKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPIWGDPNRVEPFLDENSPAPTACPSIVNAKQLGLPSSKL >Ma08_p27880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39998698:40001846:-1 gene:Ma08_g27880 transcript:Ma08_t27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYPLVHGSSEPAMRLDSWAVPICGRERMIPSMDSSRLFYQVPVEVMPWRANHYPRVLLFMWNQSHCRDVPPIMIQVWPLTVVKDCIFEWIRAFKLGDCDQKQASPPSCSMVGASHMSSQGSAGEFLEPDAPFDSIHS >Ma04_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23921185:23923443:1 gene:Ma04_g21350 transcript:Ma04_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39350 [Source:Projected from Arabidopsis thaliana (AT5G39350) UniProtKB/Swiss-Prot;Acc:Q9FLZ9] MYGSFFKHPSTNQCLPLLQSLIRSRSSRLGAQLHAFLISSGVLSSAPRRGLLLSKLVVLYSLSGQPSRARQLFDRISRRTPFLWNALIRGHAQSGLPLDALRLFARMVFSGLSPDYLTYPFALKACADLSLRRLGAQVHGKSLASGFGADEYVQNCLIAMYMSCGDTNAATKVFDLMTARSIVSWNTVIAGCCRNGFAREALAVFDRMMDAGVGIDEATVVSVLPACAHLKDLRRGRFVQKLVDEGGFDADSRVRNSLIDMYAKCGCLEEARRVFDGGQCERDVVAWTAMIGAYALHGRESEALALSRQMQSVGVRPNPVTMVSLLSACSALPSLAHGKCVHGSCIRLHLESDIIVETTLIDMYAKCSSTDLYLRVFSSGSRRTATWNAVISGCSRNRQAEDAIKYYRQMLAEAVRPDFATVLSLLPAYAESADLKQADNLHGCLIGMGFTASVEVMTGLIDVYGKAGKLDVAWQLFGGLQVKDLVSWSAIIAGYGMHGHAKTAIWLFDRMVESGVAPSEVTFTSMFYSCSHAGLVDEGLQLFDKMSNAHGVKPNADHYACVVDLLGRAGRLREAYELIAGMPFEPNHAVWGALLGACGIHENVELGELAAKRLFEIEPGNTGNYVLLGNIYAAVGRWEDVESVRSMMVRRGLRKAPGRSLVEARKVQA >Ma04_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:874476:880409:1 gene:Ma04_g00980 transcript:Ma04_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDMKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTEESLKNYKGERSGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYAAKFGVDESKMMERLWGENYFDPATKKWTSKNTGSGTCKRGFVQFCYEPIRQIISTCINDQKDKLWPMLQKLGVTMKSEEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDIYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKRQESVEDVPCGNTVAMVGLDQYITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIVVSDPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLAEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGAVAEENMRAICFEVCDVVLHADAIHRGGGQIIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYGVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLETGSQAGQLVSEIRKRKGLKEQITPLSEFEDKL >Ma04_p37610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35436559:35437473:1 gene:Ma04_g37610 transcript:Ma04_t37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCDGECRPLGWLLGLPFAFLSLLVSVVGVAVWIVGLLLSCICPCCLCVTVLVEVAIELVKAPLHVMEWFTSQIPC >Ma03_p10400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7822796:7829800:-1 gene:Ma03_g10400 transcript:Ma03_t10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEASINRNQFDPEFTDALLRNPAGSTGSVEEEEKLYPEKSVTKVKPIEIIIFSKDDTCSVVKDMCIEEGSSSLEKVLLENKEVSEMSFSMINMNSDVNGQMTDNAAPATQDSKFISAVDKVVEEQNYSWSLSVAGEKPNTVDQVKSNSSVLKLSSELLLSAGESETDHNHVVPTSFDFSSDRRHCIDEANIEQNKIEDTCSTMTTLPFSDVEPDKSNVVKENSTSDMSKIMGDGCSITTHMISDVTVSNHSAAEGNPANSEMDVRVTDPTFESGAATTVKENRRGDRDSQEFVQVQNRSVSDMTVPDATTAPAQSLLYHSTHGDLNFSGPKASSGHIAYSGNISMRSDSSTTSTRSFAFPILQTEWNTSPVKMAKARKPRRWRMSLICCKF >Ma03_p10400.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7822796:7829794:-1 gene:Ma03_g10400 transcript:Ma03_t10400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEASINRNQFDPEFTDALLRNPAGSTGSVEEEEKLYPEKSVTKVKPIEIIIFSKDDTCSVVKDMCIEEGSSSLEKVLLENKEVSEMSFSMINMNSDVNGQMTDNAAPATQDSKFISAVDKVVEEQNYSWSLSVAGEKPNTVDQVKSNSSVLKLSSELLLSAGESETDHNHVVPTSFDFSSDRRHCIDEANIEQNKIEDTCSTMTTLPFSDVEPDKSNVVKENSTSDMSKIMGDGCSITTHMISDVTVSNHSAAEGNPANSEMDVRVTDPTFESGAATTVKENRRGDRDSQEFVQVQNRSVSDMTVPDATTAPAQSLLYHSTHGDLNFSGPKASSGHIAYSGNISMRSDSSTTSTRSFAFPILQTEWNTSPVKMAKARKPRRWRMSLICCKF >Ma03_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7822796:7829742:-1 gene:Ma03_g10400 transcript:Ma03_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEASINRNQFDPEFTDALLRNPAGSTGSVEEEEKLYPEKSVTKVKPIEIIIFSKDDTCSVVKDMCIEEGSSSLEKVLLENKEVSEMSFSMINMNSDVNGQMTDNAAPATQDSKFISAVDKVVEEQNYSWSLSVAGEKPNTVDQVKSNSSVLKLSSELLLSAGESETDHNHVVPTSFDFSSDRRHCIDEANIEQNKIEDTCSTMTTLPFSDVEPDKSNVVKENSTSDMSKIMGDGCSITTHMISDVTVSNHSAAEGNPANSEMDVRVTDPTFESGAATTVKENRRGDRDSQEFVQVQNRSVSDMTVPDATTAPAQSLLYHSTHGDLNFSGPKASSGHIAYSGNISMRSDSSTTSTRSFAFPILQTEWNTSPVKMAKARKPRRWRMSLICCKF >Ma03_p10400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7822796:7829793:-1 gene:Ma03_g10400 transcript:Ma03_t10400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEASINRNQFDPEFTDALLRNPAGSTGSVEEEEKLYPEKSVTKVKPIEIIIFSKDDTCSVVKDMCIEEGSSSLEKVLLENKEVSEMSFSMINMNSDVNGQMTDNAAPATQDSKFISAVDKVVEEQNYSWSLSVAGEKPNTVDQVKSNSSVLKLSSELLLSAGESETDHNHVVPTSFDFSSDRRHCIDEANIEQNKIEDTCSTMTTLPFSDVEPDKSNVVKENSTSDMSKIMGDGCSITTHMISDVTVSNHSAAEGNPANSEMDVRVTDPTFESGAATTVKENRRGDRDSQEFVQVQNRSVSDMTVPDATTAPAQSLLYHSTHGDLNFSGPKASSGHIAYSGNISMRSDSSTTSTRSFAFPILQTEWNTSPVKMAKARKPRRWRMSLICCKF >Ma06_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5003416:5005044:1 gene:Ma06_g07010 transcript:Ma06_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHFLVVTYAAQGHVNPALQLCRRLARVAGARVTFSTSISGHRRMFPSSADQEVDDGVVCYVPYSDGFDGGFDRETGDRDEFRLRVKSVGTRTLAAIVRSLQERGRPVTCIIQTLLLPWVVDVARDHGIPSAHYWIQPATVFAMYYHYFHGYDGLIASHGHDPQFEVSLPGLPPVKICDLPSFLGITRPDDPYAAVIDMFRETFDVLDREEASSTARVLVNTFEELEGDALAAGAGQVKLIPIGPMLPSPLRLEGTKEAKGMASAGADLFKPDEKNYMEWLDSKPEKSVVYVSFGSLAVMKKRQAEEILRWLKESRKPYLWVVRKENRGELGDKLEEEGEGGMVVEWCSQVRVLSHPAVGCFVTHCGWNSTVESMVCGVPVVAVPQWSDQVTNAMLAELWGMGVRGDLDGEGILQGAELCRCLETAMGEGERGKEVRKRAEMWKEKAREAVGEGGSSDRNLRAFVEEIASLK >Ma10_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25499747:25502077:-1 gene:Ma10_g12360 transcript:Ma10_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MAAAKPFLPSSLLPAPPRRTEPRRCRCLGVPVGPRRPRRQGRGALAAVARLSLLPDPLFLLDVSSAAGDVGYSQASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFVREEGAAVTPNQVAGEILSFFTRNNFAVSDRGETITFEGMMVPSRGQAALLTFCTCISLASVGLVLSIAVPEGGNNWFWLTILSPLAGLYYWKRASRKEEIKVKMIVGDNGSISEIVVRGDDQQVEQMRKDLRLSEKGMVYVKGIFER >Ma06_p33620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34181628:34182856:-1 gene:Ma06_g33620 transcript:Ma06_t33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRSCAVLFPSLLSSSKSQFVSSVAISCTNGASRFSMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAAIPGGQATYLGNPVPWGTLPTILVIEFFAIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPVKFEEYKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIVIPRSILP >Ma06_p14770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10082231:10084486:-1 gene:Ma06_g14770 transcript:Ma06_t14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSSLPPPPSHDRADMVSFSLWFVIDSWRQLLRSAFIFAGSEALTVGVNYGQIANDLPSPTRVAGLLRSLNISRVKLYDADRNVLTAFHDTDAEFVIGIGNENVSTMTDPGKALAWLQLHVLPYLPYTNITCVTVGNEVFKGNDTLLMSNLLPAMQSVHQALVSLGLDKKVTVASAHSIDMLASSYPPSAGSFRQDLSAYIQPILGFHSITNSPFLVNAYPFFAYKENPSTISLDYVLFEPNSGVTDPNTNLNYDNMLYAQIDAVYSAMRAWGHTDIEVRISETGWPSRGDDDEVGATPENAAKYNGNLLQRIEMNQGTPLKPTVPVDVYVFALFNENLKPGPTSERNYGLFYPDGTPVYNVGLRGHLPSIVFSSSSLMMPALSIVILAVAASILT >Ma06_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10082231:10084486:-1 gene:Ma06_g14770 transcript:Ma06_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSSLPPPPSHDRADMVSFSLWFVIDSWRQLLRSAFIFAGSEALTVGVNYGQIANDLPSPTRVAGLLRSLNISRVKLYDADRNVLTAFHDTDAEFVIGIGNENVSTMTDPGKALAWLQLHVLPYLPYTNITCVTVGNEVFKGNDTLLMSNLLPAMQSVHQALVSLGLDKKVTVASAHSIDMLASSYPPSAGSFRQDLSAYIQPILGFHSITNSPFLVNAYPFFAYKENPSTISLDYVLFEPNSGVTDPNTNLNYDNMLYAQIDAVYSAMRAWGHTDIEVRISETGWPSRGDDDEVGATPENAAKYNGNLLQRIEMNQGTPLKPTVPVDVYVFALFNENLKPGPTSERNYGLFYPDGTPVYNVGLRGHLPSIVFSSSSLMMPALSIVILAVAASILT >Ma10_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25879195:25880176:1 gene:Ma10_g12890 transcript:Ma10_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQDIREPGAEAVVAASPETPRRRPALVARLMGLEDLPDITVSVTPEAAADQRRELQRALEKCDEDLRALRRIIEAVRLAEIQAKAVSSSVRSAGLIKSDGLDARKECEGEQPSPVSVLDAISSPRYRSKRSPNREGYRQKPPDAAKSIEAMPWVVELKGREIVRMIGGVRRKCWRRRWRSASREMAESVEEVWADVAWEEETWEVARVGVWMESVIWRDLVEELVVEFLGWCCRLSLPFGTCRKRLYF >Ma01_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14396173:14405302:-1 gene:Ma01_g19000 transcript:Ma01_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELPHSLRHLGESTFFPDGDIEGVLPEMISCFDGVFYNYFSIGMDAQVAYSFHHLRDEKPYLAHGPLANKLIYTGYSCKQGWFFTPCMSDPSLSVRAIVALNLHSYGSGRNPWGNLKPEYLEKRGFVEAHMDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIRGGEWKDAYMQMDGEPWKQPLNNEYSSFVEIERVPWRSLIINGE >Ma06_p27750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29639743:29666958:1 gene:Ma06_g27750 transcript:Ma06_t27750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRNLNIIDFTRNYLNGTIPVEWATLPLMNLSLLGNRLSGEIPQWIGNLTNLKNLDLEANQFTGHLPQELGELLNLEILHLSSNTFSGNLPVDLAKLNKIQDFRINDNRFNGKIPERIFQNWTYLYRLEMQASGFEGPSPSGIAFLKNLTELRISDINGTMSFPQLSASKIWRSLILRNCGLSGGIPEYIGKFDLKYLDLSFNNLSGAIPTTFQNLRVSDSMFLNNNNLSGPVPDWISTGKTKHIDLSYNNLTWTSHDSLDCQQGKMNLVGNSVKVSNLQPATPCLELLQCTQRQSSLYINCGGRSVHINNNGTTYEEDSESDGASNFFVSSNKNWAFSSTGVFMDNNNDNDAYVVATSSLKLSMPDSDLFKDARISPVSLTYYGLCLLEGNYSVKLHFAEIKITNDGYGSLGKRIFDIYIQGNLVWKDFNIRDEANGSGNAIIKSTNVMVTDKLEIHLYWAGNGTQSLPVRGTYGPLISAISVEPNFSLSSGKRTKIIVGIIVSVSCLIFLLLSILWKKGWLGGQTAKDRELRALDLRTGRFTLRQIKMATGNFSASNKIGEGGFGPVYKGLLPDGTIVAVKQLSSKSKQGNREFLNELGMISALQHPNLVKLHGCCIEGNQLLLVYEYMENNSLARALFGSEEYQLKLDWSTRKNICIGIAKGLAYIHEESRLKVVHRDIKATNILLDKNLNAKISDFGLARLDEEENTHISTRIAGTVGYMAPEYATRGYLTEKADVYSFGVVTLELVSGTSVMSFRKEGGMHLLDWVQILREEGKLEKFVDPRLGTDFNKEEAIRLINVGLLCINSSPVPRPPMSAVVSMLVEAQTSIVDATPEQIFSTDDFEIQVSGKRYPSSGDSRTKSILVEGGSVHGSTTSSSDLYPLNLDSLYQN >Ma06_p27750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29639731:29666958:1 gene:Ma06_g27750 transcript:Ma06_t27750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRNLNIIDFTRNYLNGTIPVEWATLPLMNLSLLGNRLSGEIPQWIGNLTNLKNLDLEANQFTGHLPQELGELLNLEILHLSSNTFSGNLPVDLAKLNKIQDFRINDNRFNGKIPERIFQNWTYLYRLEMQASGFEGPSPSGIAFLKNLTELRISDINGTMSFPQLSASKIWRSLILRNCGLSGGIPEYIGKFDLKYLDLSFNNLSGAIPTTFQNLRVSDSMFLNNNNLSGPVPDWISTGKTKHIDLSYNNLTWTSHDSLDCQQGKMNLVGNSVKVSNLQPATPCLELLQCTQRQSSLYINCGGRSVHINNNGTTYEEDSESDGASNFFVSSNKNWAFSSTGVFMDNNNDNDAYVVATSSLKLSMPDSDLFKDARISPVSLTYYGLCLLEGNYSVKLHFAEIKITNDGYGSLGKRIFDIYIQGNLVWKDFNIRDEANGSGNAIIKSTNVMVTDKLEIHLYWAGNGTQSLPVRGTYGPLISAISVEPNFSLSSGKRTKIIVGIIVSVSCLIFLLLSILWKKGWLGGQTAKDRELRALDLRTGRFTLRQIKMATGNFSASNKIGEGGFGPVYKGLLPDGTIVAVKQLSSKSKQGNREFLNELGMISALQHPNLVKLHGCCIEGNQLLLVYEYMENNSLARALFGSEEYQLKLDWSTRKNICIGIAKGLAYIHEESRLKVVHRDIKATNILLDKNLNAKISDFGLARLDEEENTHISTRIAGTVGYMAPEYATRGYLTEKADVYSFGVVTLELVSGTSVMSFRKEGGMHLLDWVQILREEGKLEKFVDPRLGTDFNKEEAIRLINVGLLCINSSPVPRPPMSAVVSMLVEAQTSIVDATPEQIFSTDDFEIQVSGKRYPSSGDSRTKSILVEGGSVHGSTTSSSDLYPLNLDSLYQN >Ma06_p27750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29638620:29666958:1 gene:Ma06_g27750 transcript:Ma06_t27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRLLTSSLVLALLSFSAIGSNLTRLPDDEVDALKKIAVTLGKTGWDFNVDPCNNETNWITGKDDNVTCNCNYNNNTVCHITTIILKSQNLSGTLPPEMARLRNLNIIDFTRNYLNGTIPVEWATLPLMNLSLLGNRLSGEIPQWIGNLTNLKNLDLEANQFTGHLPQELGELLNLEILHLSSNTFSGNLPVDLAKLNKIQDFRINDNRFNGKIPERIFQNWTYLYRLEMQASGFEGPSPSGIAFLKNLTELRISDINGTMSFPQLSASKIWRSLILRNCGLSGGIPEYIGKFDLKYLDLSFNNLSGAIPTTFQNLRVSDSMFLNNNNLSGPVPDWISTGKTKHIDLSYNNLTWTSHDSLDCQQGKMNLVGNSVKVSNLQPATPCLELLQCTQRQSSLYINCGGRSVHINNNGTTYEEDSESDGASNFFVSSNKNWAFSSTGVFMDNNNDNDAYVVATSSLKLSMPDSDLFKDARISPVSLTYYGLCLLEGNYSVKLHFAEIKITNDGYGSLGKRIFDIYIQGNLVWKDFNIRDEANGSGNAIIKSTNVMVTDKLEIHLYWAGNGTQSLPVRGTYGPLISAISVEPNFSLSSGKRTKIIVGIIVSVSCLIFLLLSILWKKGWLGGQTAKDRELRALDLRTGRFTLRQIKMATGNFSASNKIGEGGFGPVYKGLLPDGTIVAVKQLSSKSKQGNREFLNELGMISALQHPNLVKLHGCCIEGNQLLLVYEYMENNSLARALFGSEEYQLKLDWSTRKNICIGIAKGLAYIHEESRLKVVHRDIKATNILLDKNLNAKISDFGLARLDEEENTHISTRIAGTVGYMAPEYATRGYLTEKADVYSFGVVTLELVSGTSVMSFRKEGGMHLLDWVQILREEGKLEKFVDPRLGTDFNKEEAIRLINVGLLCINSSPVPRPPMSAVVSMLVEAQTSIVDATPEQIFSTDDFEIQVSGKRYPSSGDSRTKSILVEGGSVHGSTTSSSDLYPLNLDSLYQN >Ma10_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25834895:25838561:1 gene:Ma10_g12820 transcript:Ma10_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYTGSSDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSIRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEDEYEEEEEEEAAQEI >Ma08_p32960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43491631:43495284:-1 gene:Ma08_g32960 transcript:Ma08_t32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGANGTFPKLPESRDDSKRKMKFRDYKEGDRYKIIFPDRSSTCKFHSLKLALLIITCCTVLTLLYSPTEPTEQLQQSSSKSRLVDVGRIWQQTASDPRYVSNLEVDWQGIPNLVGSADGRQGNLQIGLLNFNLSEVGYWRQTIPDAEISPVRLEYADTGITWNVLYPEWIDEEEDNEVPACPSLPEPQVEKGSRFDVVAVKLPCHGSGSWARDVARLHLQLSAAKLAAASAGGLSPVRVLFITECFPLPNLFTCRNLVRREGNAWLYEPEMSILQEKLRLPIGSCELAVPLKAEVRPQTGDGTREAYATILHSAEVYVCGAIAAARSIRLAGSTRDLVILVDESISDHHRSGLTAAGWKVRVIQRIRNPKAEKNAYNEYNYSKFRLWQLTEYDKVIFIDADLLILRNIDFLFGMPEISATGNNATIFNSGVMVVEPCNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPRHINFLKHFWEGDTEEVKAKKTKLFKADTPGLYVLHYLGLKPWLCFRDFDCNWNSILYRSFASDEAHATWWRVHDSMPENLQNLCLLSTVTKAGLEYNRRKAETANFPDEHWKRNVTDPRRHICFERFCRWEDVLQNWGKPASSKT >Ma05_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15314:16621:-1 gene:Ma05_g00020 transcript:Ma05_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYHLRHASQIITFRRCDPVLKSIILKFSQQSNTKS >Ma01_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19407859:19409262:-1 gene:Ma01_g20710 transcript:Ma01_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRANQYIAAEAWMVGKRDEHKRVKPEQSQQQQPATSRRRAGSLNDAIPRSPPPGLNSSRTEIFLHIKEKGLLKDPYPMRSPRELADRSKYYRFHRQPGHDTEECRELKRQIEELIRRGHLGSYLRPDKELSPRPEGPIERHIEVIIGGPASGGSSMAGGRAYARASRAEASKPAKGPEVTFPTGEPEPPEHDDALVISARIANAQVRRIMIDTGSSADILYWDAFQKLSLVKENMKPVCSTLTGFTGASISSLGVITLPLTLGVFPKAKTVMTSFLVVDLPTAYNAILGRPTLNKTRAVISTYYQTIKFPTHDGVGEVAGNSWESRSCYLTAVSLNKRARVQSPLEDPREGKKPTPRPEPKESTIDLPLIEGRPDQTVKIGSGLPEQEQRQLVGLLQANADIFAWTPADLAGVHPEVALHHLNISSDARPVKQRPRRQAPDRQLAIREEVNRLLAAGFIEEARYP >Ma11_p24830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27651295:27654033:1 gene:Ma11_g24830 transcript:Ma11_t24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRHPLHRPTAISPSSVLLLRRTFSLLHAFADPYSLSAALRACSAASLLRPGQQAHALALTSSLASNPLVAARLVDMYSACHRLAAAALVFDSAEPSALSNRVLWSTLIVGLVQNGDARSAMERFRSMRAGAVETNHFTLPTVLSACASERAIRFGRQVHGCAVRAGFCSSPFVQSSLVSLYSNCADLGSAKRVLESSDSDDPISWNALIVNCTRGSLHADALSLFAEMHRRGLALDEFTYPSALNSAAYMGDLGTGGCIHCLVLLSGFDSHMHVANALVDMYGKLGSLNCAQGVFDRMPRRDVVTWTSLLVGLVRQGSHDVALQLYSDMRAHGVDPDEFATAGVLSSCAGSTALELGRQVHAASARRGIDTFLSVSNSLISMYARSGSINDACAVFDASPRRDAITWTALIVGCAQNGRGRDSLRLYDEMLEAGVRPDYVTFIGLLFSCSHAGLVEPGRAHFESMEKVYGIAPGPEHYACMIDLLGRSGRVEEAVDLLEGMSGKPDATVWKALLAACRVHRNAGLAERAAERLFELAPADAVPYVMLSNVYSAAGRWGDVARVRSLMRARGVSKEPGRSWMEQDGAVHVFRAGEREHERAAEIFAKVKEMMQRIEEEGHTADTGFALHDEGEEEKAAELAHHSERLAVAWALISVPRGKPIRVYKNLRVCGDCHTTLKLVAKVYERTIVLRDANCFHHMREGFCSCGDYW >Ma03_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4430375:4433604:1 gene:Ma03_g06390 transcript:Ma03_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDLASSTAPRPILSVRLVRRRFLRHQLLSGLSRDLWSRTQGESLDLHVHIQESQTWVDFTHAENMPPATPPYVLHLNSMVKSTCLPAGSYFYS >Ma04_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9211100:9217447:-1 gene:Ma04_g12230 transcript:Ma04_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGGGSYRNRLPSPPPPPPPPPPLPQNPSSSSTLSPLARPFTIDHIFHSSPPPPSYHRLPTVDRPSASLLPSHTAISSVGSLRTQPSTGPVADPFAHYYSNSQPPAIVDSFRPSSAFSGVGTDPWNRPFDEEMGHGMRTDAVWSWTEPSLGYKVPPFQEEHAGNGCSYYDSDSYGVWHGILPNSVTEKVHFRSQSPEWLHNMQPENYEQNIRTPISAPTALLKGIHCSGTTGSTPGMGSHDTFVSNSTYDRYMKQLDSCSVIPCSVSSQIFSPSDLRAVSNVNSTLSYKTVLHEVPRNTNDSSTIPSKLKEPNLNQNLGSKRSHDEQRGEDYSLSKSTPSAADLGEDFPSSTNNATDSHLNLSAAKNPGLRLTNLVITDAFPSICSSVEPDKSMKSSSEAIDQHSLAVDSPCWKGTPSSRQAPFPVDEMLVQTAIEESKNYVGLCQDRRQLLESVGRSKNPAEQDGNLIFDERKKNSTSEKPQCSSVISPIIHQRPENVNKKLPNYRKDDSENEILFDVVYSEHKNKTIEVERVSKVQVGDAVKSFETESTVVNKFPQAKGIEDYNKGSCSSPQKNTKELVKAMHGSSIKLLCTNFSGDDELEPHDYRLLYSVINNIALVLKDKKGFVGCNPHCSGLEAAWSFCRCSNTDDVDQINMKGKMHSVGHNNVNDELQKDNNTNLRKVNDITQIYENALSKSFSEREENTQTLLYKTLWIEAEAAACRLKYELQLTQTKTQSENLKDSRSDTSSSLPFAHDLQGHKDKGVLVGTISPTKVSEGISKCQNPHEASATCESNKSEDIESSVMTRYKILKDRSVSSSYRSMEELDPEDTGTCSGTREVVYGSPDVANTSSIKDLPVNLADLGFMESVMQPYDEDRPTPRSSHLTAVQPLGAASDEELGSGLNISGYVDAKRMFSGPLNGSLIQSYMTYNQGNWSLTSGYENSSSEWEHVLGEESTQH >Ma07_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7759957:7767662:1 gene:Ma07_g10440 transcript:Ma07_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVHGDRGGINVSQSKSVCETVNGSHRYTIQGFSLAKGMGLGKYMSSGTFDVGGYKWAIYFYPDGKNPEDNSLYVSVFIALASEGTDVRALFELTMLDQSGKDRHKVHSHFERALEGGPYTLKYRGSMWGYKRFYRRTALETSDYLKDDCLIMHCTVGVVRNRIETPTQFSVSVPSPDLGQCLKELLKSGIGSDIVFHVGDETFKAHKRILAARSPVFNAQFYGLVGNPNVDRVDVEDVEPPVFKAMLIFIYSDELPDVHELTGSISMCTSTIMIQHLLAAADRYGLDRLRLLCEAKLCEGITADTVATTLALAEQHQCVQLKNVCLKFIAVRENLGAVMQTEGYNYLEGTCPSVLAELLETVAVVDNDAGQVCRKRSSSSNLGMNSMDSVDLNGGRRLRRRM >Ma07_p10440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7759957:7767396:1 gene:Ma07_g10440 transcript:Ma07_t10440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVHGDRGGINVSQSKSVCETVNGSHRYTIQGFSLAKGMGLGKYMSSGTFDVGGYKWAIYFYPDGKNPEDNSLYVSVFIALASEGTDVRALFELTMLDQSGKDRHKVHSHFERALEGGPYTLKYRGSMWGYKRFYRRTALETSDYLKDDCLIMHCTVGVVRNRIETPTQFSVSVPSPDLGQCLKELLKSGIGSDIVFHVGDETFKAHKRILAARSPVFNAQFYGLVGNPNVDRVDVEDVEPPVFKAMLIFIYSDELPDVHELTGSISMCTSTIMIQHLLAAADRYGLDRLRLLCEAKLCEGITADTVATTLALAEQHQCVQLKNVCLKFIAVRENLGD >Ma05_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6643341:6643903:-1 gene:Ma05_g09050 transcript:Ma05_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEERGAVLHEAAASFICPPRLEDAGLEDCALPPESIMEAFALAAISAGPRVDEDGDDEGFGEAPEEEGASWAASAVVGGGGVGVVASDGLAILGGDDSDSVEKKTAKEYEDEEKEEISSR >Ma10_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1970097:1970848:-1 gene:Ma10_g00670 transcript:Ma10_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLAKRLWHMITAAYYMLRKGLTKHRLMMDLHLLLKRGKLAGKAVGNFVAFHHHHRDHHIGADMYSAFSSRYMDTDLSYYDPKEVEFSCSNTPSYPSFLLAAKRRNRHRHDRYDFDFAADDKELEMLNSEMSDAESSFVASPSPAAVRKPRITDSPFPTRDEDAEADDRVDQEAEEFIRRFYEQLRLQQSVPTTPECHCHRRRNPA >Ma05_p30440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40848577:40854439:1 gene:Ma05_g30440 transcript:Ma05_t30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKASDLVTGERGIPLLSWLLLASLLFWRLQLGASIDDDARALLSFKANMEFDPYGALADWEEAEEVDSCFWFGVQCDAGRVVALNLKDLYLKGKLAPELGRLIHLKSLILNNNSFYGVIPEELSALQNLEVLDLGHNNLTGPLPTFLSHLLSLRILILTDNGFVGSMSSVLHELNFLSEVQFDEHMLSSNKGLITRNLKNATIRRLLQLANHSPAAAPAPASLHNGRHRKRNKTNIDIRTPSAGPSSSSVPPENSHTSSRLPFLHPTHAPVVSPSASAPSITLPSSPSSHHAPAPAPVIEPPTSVPHNQHKSDLAPSPVFILIPPPIISPAAAANTHKKHARLWVLSSIAGAVSFLLAISAVYLLCWRANKVVTIRPWATGLSGQLQKAFVTGVPALKRSELVAACEDFSNIIGSLSGCMLYKGTLSSGVEIAVISTVVKSAKDWSKQSETQFRKKVTMLSKLNHKNFVNLLGYCEESEPFSRMMVFEYAPNGTLFEHLHVKEAEHLDWRTRLRIAMGIAYCLEHMHQLNPPIILGSLDSSTICLTDDYAAKVSDVQFWSESKDTGSGSGSSSPLSDAESIVHRFGILLLEILSGRLPFSEEDGLLEQWALCFLNGEKPFKDLIDPTLKSFDEETCDALCKVICCCIHLEAKERPTMAEVTRRMRDITAMPPDGATPKVSPLWWAELEIISSEGN >Ma09_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8944766:8947595:-1 gene:Ma09_g13260 transcript:Ma09_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERTPPPVIGKAGSYTVFITPPPNPTPSEGPRSPIASPTPSLSASTPEKEAPLPAKASPPPPPPPPVQVPPRQFEKPAAKSSGSVFGFFWDAIVKVQNAHSSLDEYLADWFGLNQSRYQWALNDYYESSEKEKESGKLSKPKELSSKVQAV >Ma09_p13260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8944766:8947595:-1 gene:Ma09_g13260 transcript:Ma09_t13260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERTPPPVIGKAGSYTVFITPPPNPTPSEGPRSPIASPTPSLSASTPEKEAPLPAKASPPPPPPPPVQVPPRQFEKPAAKSSGSVFGFFWDAIVKVQNAHSSLDEYLADWFGLNQSRYQWALNDYYESSEKEKESGKLSKPKELSSKVQAV >Ma11_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6367813:6369694:1 gene:Ma11_g08000 transcript:Ma11_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAGDGQARELLELDDAAVINDLIAKLEECAKKLPPGFHMYPIQFEKDDDTSYHMDFIAGLANMRARNYSIPQVDELKAKFIAGRIIPAIVTSMAMATGLVYLELYKVLAGGHNLEDYRNTFANLALPLLSIPEPVPPKMIKCRDMSWTVWDRWIIKGDLTLRQLLQWLKEKGRLNAYSISSGASLLYNSERSQTGR >Ma03_p31380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33617757:33624159:-1 gene:Ma03_g31380 transcript:Ma03_t31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASEFPLPVSIASYKSPTFLSSREMVEEAQAMTMAVAAQEKWEEAFEEEEEALSLSGLQVAVDKDDDGECKSSDAEEDFEFRISVAGGLLSNAAETDMCAADEVFFQGQILPLRPSIGSCRPSWSRSRSDSFDQYSSTILGLGFNCASRSSSSSRSSSSCISRSHSSNSHSSSTSEHPRVSLPGNFCARPSPTPQVRVLRKASAGRRSTSSAPPGWGIFRLGIAKAPEIELYDMMSRRSNSCGVSSRKSDAEAKQAKKASRGTRIEANVQKKAPPRPGGRGFSCKCSSDALEPIAIPTKKKKEETMSLKRGETVRRSRVFEWLEDLSIAKATWS >Ma01_p03870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2552401:2554837:1 gene:Ma01_g03870 transcript:Ma01_t03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHQPWGFQDRKGSRDMTERSCGAPKQKKESRAEERMPTVWFALKKSLHCKTEPSDVHDPKARGHLGAILTRRPGRSGCSRSIANLKDVIHGSKRHLERPPSCSPRSIGSSEFLNPITHEVILSNSRCELRITGFGGCPDGEAYVGTLRPGTPLQSNPSHRGANNTPPRKPPTLLGGRDGHGIVGSSLSSSVLGNGGVAVHHAASTPKFSHEADSQTKRHSAAVTCHKCGEQFGKQEALESHHLSKHAVTELVEGDSSRKIVEIICRASWLKPESSCIRIERVLKVHNMQKTLSRFEEYRELVKNKASKLAKKHPRCLADGNELLRFHGTTMACSLGTNGSSSLCSSEKCNVCRIIRHGFSAKKEVKGGIGVFTTSTSGRAFETIETYDDDDGDPSIKKALLVCRVIAGRVHKPLDNYQELAGHSGFDSMAGKVGLYANIEELYLLNPRALLPCFVVICRT >Ma01_p03870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2552447:2554837:1 gene:Ma01_g03870 transcript:Ma01_t03870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHQPWGFQDRKGSRDMTERSCGAPKQKKESRAEERMPTVWFALKKSLHCKTEPSDVHDPKARGHLGAILTRRPGRSGCSRSIANLKDVIHGSKRHLERPPSCSPRSIGSSEFLNPITHEVILSNSRCELRITGFGGCPDGEAYVGTLRPGTPLQSNPSHRGANNTPPRKPPTLLGGRDGHGIVGSSLSSSVLGNGGVAVHHAASTPKFSHEADSQTKRHSAAVTCHKCGEQFGKQEALESHHLSKHAVTELVEGDSSRKIVEIICRASWLKPESSCIRIERVLKVHNMQKTLSRFEEYRELVKNKASKLAKKHPRCLADGNELLRFHGTTMACSLGTNGSSSLCSSEKCNVCRIIRHGFSAKKEVKGGIGVFTTSTSGRAFETIETYDDDDGDPSIKKALLVCRVIAGRVHKPLDNYQELAGHSGFDSMAGKVGLYANIEELYLLNPRALLPCFVVICRT >Ma01_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2552447:2554837:1 gene:Ma01_g03870 transcript:Ma01_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSCGAPKQKKESRAEERMPTVWFALKKSLHCKTEPSDVHDPKARGHLGAILTRRPGRSGCSRSIANLKDVIHGSKRHLERPPSCSPRSIGSSEFLNPITHEVILSNSRCELRITGFGGCPDGEAYVGTLRPGTPLQSNPSHRGANNTPPRKPPTLLGGRDGHGIVGSSLSSSVLGNGGVAVHHAASTPKFSHEADSQTKRHSAAVTCHKCGEQFGKQEALESHHLSKHAVTELVEGDSSRKIVEIICRASWLKPESSCIRIERVLKVHNMQKTLSRFEEYRELVKNKASKLAKKHPRCLADGNELLRFHGTTMACSLGTNGSSSLCSSEKCNVCRIIRHGFSAKKEVKGGIGVFTTSTSGRAFETIETYDDDDGDPSIKKALLVCRVIAGRVHKPLDNYQELAGHSGFDSMAGKVGLYANIEELYLLNPRALLPCFVVICRT >Ma04_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10603825:10606600:-1 gene:Ma04_g14030 transcript:Ma04_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVAVFVVGMILLCSLSSVNGEEWPSPLVTRESRRTLVLTESGRITAVDVHDGYGGSYHLQFITLEPVSLFLPVLLHTDMVFYVHTGRGTVTYVNEDKDETDEMDVGHGDVYRLEQGTVFFVRSHPDPTREKLRIHAIFDTAGIDHPLGCAVRAYSNISDLVQGFDERVLQMGFGASLESIRGIKWAPSPPSIVPFGQKDARVKSKWKEGVFEALMGVRGPTGIVNKKRKTKSKTFNFFRAKPDVENCNGWSTAVTHKDLKALKGSNLAAFMVNLATGSMMGPHWNPRATEIATVIQGQGMVQVICPSDPSGKVGDVSKCQNKKFKVQEGDVFVVPRFHPMAQVSYNNDTLVFVGFSSMAGKNHPQFLAGKRSVLRTIDRDVLAASFNAPNASAIGDLLVSQGESVMLACTSCAEEMERKMTEEIERQKQEEERKKEEEERKKEEEEAKKREEETRRREEEEAKKREEEEAKRREEEAAKRREEEEARRREEEEERREEEEARRREEEEAKKREEEEAKRREEEEGRKREGEEEARKREEEETKRREEEEARREAEEARRREEEEGRKTEGEEEARREAEEARRREEEEEGRREEEEARRREEEEEGRRPEEEEARRREEEEAERREQEEAARRREEEEARRRQGGRRKKREGQRKGKEQGEKKKRGDGRNKRRLPREVERNSLWWTGNDEDKHESRQ >Ma09_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9661182:9668603:1 gene:Ma09_g14180 transcript:Ma09_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIPSDCPYPGCFFCVMKEGNPSKRRTSILKFFRELPAQDDDGQVLPVSGLWNTAMAHPNDPEFINLGIFECMTALIWKGLKNRLWLSHDQNIYIPYYAAHIIGSYSMNVEEFAERAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYSSTFPAVASHGEVLELAIQLASSSLEIVYSHFYQFVDRRISYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLFDICKPEFLVKLPAMWGGLVNENSPAGIGLLHTICQHKLGRGPVANCPGVIEALCNIARSSDDWQYMAIDCLLWLLEDQSTSNKVIDKAAPALTDLAEISALGDHKRLGDIIVNVLQERCQAQGTARNYISSRTKEQIDELLSSRQRIKWEKNMPKEDLQIKQAAALVVKLEGNSLFSSGDISGAASKYSEALALCPMKSKKERLVLYSNRAQCYLLLQQPLAAISDATRALCLHNPPNRHAKSLWRRAQAYDMLGLVKESLLDAILFINECSQSNDPDLSLRHNKVPDYAERLVKKQMHAAWLFREAAIKHGGIPTEDGSGDVYGPEADDSEWETASESDVENGGQEADDNEENDDIRKDMYDKSTTKDLVRGYNILLTEDAT >Ma09_p14180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9661182:9668603:1 gene:Ma09_g14180 transcript:Ma09_t14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIPSDCPYPGCFFCVMKEGNPSKRRTSILKFFRELPAQDDDGQVLPVSGLWNTAMAHPNDPEFINLGIFECMTALIWKGLKNRLWLSHDQNIYIPYYAAHIIGSYSMNVEEFAERAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYSSTFPAVASHGEVLELAIQLASSSLEIVYSHFYQFVDRRISYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLFDICKPEFLVKLPAMWGGLVNENSPAGIGLLHTICQHKLGRGPVANCPGVIEALCNIARSSDDWQYMAIDCLLWLLEDQSTSNKVIDKAAPALTDLAEISALGDHKRLGDIIVNVLQERCQAQGTARNYISSRTKEQIDELLSSRQRIKWEKNMPKEDLQIKQAAALVVKLEGNSLFSSGDISGAASKYSEALALCPMKSKKERLVLYSNRAQCYLLLQQPLAAISDATRALCLHNPPNRHAKSLWRRAQAYDMLGLVKESLLDAILFINECSQSNDPDLSLRHNKVPDYAERLVKKQMHAAWLFREAAIKHGGIPTEDGSGDVYGPEADDSEWETASESDVENGGQEADDNEENDDIRKDMYDKSTTKDLVRGYNILLTEDAT >Ma06_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24273967:24281555:-1 gene:Ma06_g24860 transcript:Ma06_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPDLGKHCSVDDCRQIDFLPFTCDRCNQVFCLQHRSYISHQCLNASQKDVTVLICPLCAMGVRLVRDEDPNITWELHVNTDCDPSNYQRATKKRRCPVPGCKEILNLSNSIRCSDCTQEHCLKHRFGPDHKCPGPKKLNSSFPFIGLLNRSQKGKSLQSSSSSSSSWWGASLMNAVSNVRASAESGMLKFSDAATQALQKAKNGIAESSNSGELMEHSEHAERSHQRSSQPAGNRVTIDVCPKCSKGFRDPVALVEHVEKDHGGTSLT >Ma03_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4877802:4878430:-1 gene:Ma03_g07110 transcript:Ma03_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEPALVPQWYRLASGGTFNNALQTSSFKHLDKIGIEIGSRNILLGDQDRNLQGSLSSNGFVNNDKGSYGKSLAYSSFRRSRDKHQEKGFEYCDRENWSLVGIGLDHHYSSLGVRAEKDALSHSQSMIAGRQVDSWPKRPGSSANNSSSGASVIGSICKIAFEKDFPSL >Ma01_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1384796:1386862:-1 gene:Ma01_g02070 transcript:Ma01_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDARKVCGVPERVQLHVAMLALQFGYAGFHVVSRAALNMGISKVVFPVYRNIIALILLVPFAYFLEKKDRPAMTLSFTVQFFLLALCGITANQGFYLLGLEYTSPTFASAIQNSVPAITFLMAAVLRIEKVRIDRRDGIAKLMGTLACVGGATVITLYKGPTIFGPSRALNGADQLMAPTMGKDWTLGCLYLIGHCLSWSGWLVLQAPLLKKYPARLSVTSYTCFFGVIQFLVIAAFIERDAEAWMFHSGGEFFTILYAGFVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMAAIALGEEFYLGGIIGAIFIIAGLYLVLWGKSEERGFAAMEAAIAASSNPEHDAVRAAASFKASSLKQPLLPSTTSESV >Ma05_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36478412:36479626:-1 gene:Ma05_g24190 transcript:Ma05_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRKRIPLVSLLRRAIQKVRFLLSFDATRWMASSLKRSPLVSRRLSFTARPSLLDCTDDDGYEAGSSSSRTLSRTVSLSSSPASRTTSPGSEASRSLSGASSDDDINQRADRFIENFYRQLRMERQVSLQLRYCRQKSLEEDGYTLKPLPWWPLRVMRNPQIVINGGNHFP >Ma08_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33012683:33031510:1 gene:Ma08_g19200 transcript:Ma08_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSFGLDPSPREITRTGPVSGEGSGGGGGEKGWLIRFFDSAFFCEWIAVSYLYKHDHDGVRDYLCNRMYTLPLPGIESYLFQICYMLIYKPSPSLDKFVIDTCSKALRIALKVHWFLMAELEDSEDSEGISRIQEKCQIAATLMGEWPPLVRPPPPPPSTPSSPKSNPVLNRLLSSKQKLLSLASSSTLGSSPLGDEASRGNNGNDGNNKGLLSSEENKLLKKLIPGPKVRDALLFRKSLERDGEDEPEKEGFLRSLLRDSKGKEEDADKDGFFRRLLRDSKDEEDELTASSEGFLKRLFRDKEEKLGEDDEKEKEGFFRRIFKDKSEEKKDGGHDKNEGEDKASDNIEVDEKENFFHRLFKEKHEGRKDNVYERNEQKDKANGSIEEEKDGFFRRIFKDKNEEKKESGHDKSEEGVKVNRSPEEDDKDRFFHRFFKEKSEEKKDGGHNRDEEDKSKKSIEDDGIFRRLFKDKNEEKKAVGHDKHENDKCNRSIEEVEKEGFFHRLFKDKHEDKKVEGHDRNEEDSKGSEVFEGEETSEILSFRRLFRVHPEESKSSSTNDNGTLENSPGAENFFRRLFRDRDRSIEDSELLGAKIQKDKCPGSPRQQNEKSYGKPPLPNNVISEIRKGSYHASLEFVQSLCETSYGLVDIYPIEDRKIALRETLTEINSHIAEAQKDGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKCETPSHTKASSDAQKLSSRGIPLANGDAQLPKPPPWAYPLWSKNDIYSYDADGMLKSTSQAIDQAMAKLWEAKVKFVDVRFSIENKLVDHSEASQEIDSEWKTQQATVNHENLASKSTTDNDRTLEWITVNLSAVPGINMEDVEDQEPSRPKDHRRVPSTIAIEEVKAAAAKGQTPPGLHLKGAGQDLQNAEPKVINGCIPKPSDALSGELWEVKKERIRRLSAYGKSPGWDLRSIIVKSGDDCRQEHLAVQLVSHFYDIYQEAGLPLWLRPYEVLVTSSYTALIETIPDTASIHSIKSRFPNISSLRDYFVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNILLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGIRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Ma04_p30380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31092922:31095883:-1 gene:Ma04_g30380 transcript:Ma04_t30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRMTKASVLLYLLTASILCSPAIGGDTVTPNRSLVDDGGTSLISAGGSFELGFFSPVGSTNRYIGIWYHRIPVQTVVWVANRQRPVTGRSGKLSLDTDGALVITDGRNSTVIWSSVSLALGNPVARLLDNGNLVVEEEGSDDDPSSFAWQSFDFLTDTLLPSMKIGWNLTSGLNRNLTAWTSVSDPAPSEYGTGFDVHGVPQIFLWSGSRRYWRGGSWNGLQFSGIQEMKTDMVFVGDARDIVYSFYMRQSSVVSRLVISQSGMLQRLVWIEESEMWSVFWFAPNDRCDNMLSPCGPYGVCYPNESPKCKCLQGFHPKNPRSWDLRDGTDGCVRNTALDCRNGTDGFITLSSVKIPHTSTSMVDRSMSLEECEALCRRNCSCKAYASANISGSESSSGCIIWTTELIDIKMYDSGSGQDIYVRLAAADLGSEASQSHRNHVVVIIIVVSALATFFLLSVACFVWRRKKRRSLDSFLFDKAKGALLDWKIRYNIIVGIARGLLYLHRDSRFRIIHRDLKASNILLDKDMNPKISDFGMARMFGGDETDARTRRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIVGGKKNIGVYQSAHHLNLLAHIWSLWNEGKGLELADGSMGQSSLSVAEVMRCIKVGLLCVQARPEDRPTMSSVVVMLGVDSVLLPQPRQPAFIITSETDSSTSKQDSSTNHVSMTTMLEGR >Ma01_p06910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4999130:5004034:1 gene:Ma01_g06910 transcript:Ma01_t06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFAMASALDEKTDNDDACGGDAKTNIVDDRTPTVGMKFKSYEEALEFYREYARLVGFSARLKRTNYNKFGQYQSVEFICSRGGKGRADDPSYLCRPTAKTNCQATILLKLRADGLLHIKKAILEHNHPMDPSKIELKKRRKKMSYALPKHDENNGKIMIRRLKRFQSPVLKLVEDIPFGEKNIRTMDERGHLMLHDGNAEAIYQFFTHMQMKNANFFYSMDLDEEGRLRNVFWADAISRATFKHFGDVVLFDTTYLTGDFDIPLSLFVGVNNHGQLVLLGCGLLSDETAETYFWLFKTWLACMSRQPPNSLITDECEAIKDALTKVFPGVRHRLCLWQIMKRISLRFIEHENCNSIRKALEKIVYDSYETDVFEKDWKNMIEAYGLEANEWLNWLYENRHSWVPVYLKDTFWAGLSCSDRGTCLSSFFDGFIYPETSLKQFLSVYEVALQNKYEKEAQAELESFNKIPNLVSKFYMEKQLVELYTYNKFKEFQDELKATMYCNVSLVKEEAQISTYDIKESLLLEDGNPTEYRDFRVLYSSNELEVQCCCGSFQFRGILCRHALSVLKLQQVYEIPSRYVIDRWRKDIKLLNTISYPTDEMVANNRLERYDNLVMSCLQLVEVGMMSSDRYHLALKMIGEVEKFLSNDKNSGNANFMILPSDSRTPENAENVVQHLVTSAGHKIQNSPQIKRRGRPPKKKDDQGTLLSRNHSGINLVIPSASNAGIDIDAQESLTPMGEISPSDFSLGCHYGPQVNHSHQTNNQSRMSLGSMFQGQFDQQAVGTQPRMQWIYQQMLQETPSPNAPNGWTG >Ma01_p06910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4999128:5004034:1 gene:Ma01_g06910 transcript:Ma01_t06910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFAMASALDEKTDNDDACGGDAKTNIVDDRTPTVGMKFKSYEEALEFYREYARLVGFSARLKRTNYNKFGQYQSVEFICSRGGKGRADDPSYLCRPTAKTNCQATILLKLRADGLLHIKKAILEHNHPMDPSKIELKKRRKKMSYALPKHDENNGKIMIRRLKRFQSPVLKLVEDIPFGEKNIRTMDERGHLMLHDGNAEAIYQFFTHMQMKNANFFYSMDLDEEGRLRNVFWADAISRATFKHFGDVVLFDTTYLTGDFDIPLSLFVGVNNHGQLVLLGCGLLSDETAETYFWLFKTWLACMSRQPPNSLITDECEAIKDALTKVFPGVRHRLCLWQIMKRISLRFIEHENCNSIRKALEKIVYDSYETDVFEKDWKNMIEAYGLEANEWLNWLYENRHSWVPVYLKDTFWAGLSCSDRGTCLSSFFDGFIYPETSLKQFLSVYEVALQNKYEKEAQAELESFNKIPNLVSKFYMEKQLVELYTYNKFKEFQDELKATMYCNVSLVKEEAQISTYDIKESLLLEDGNPTEYRDFRVLYSSNELEVQCCCGSFQFRGILCRHALSVLKLQQVYEIPSRYVIDRWRKDIKLLNTISYPTDEMVANNRLERYDNLVMSCLQLVEVGMMSSDRYHLALKMIGEVEKFLSNDKNSGNANFMILPSDSRTPENAENVVQHLVTSAGHKIQNSPQIKRRGRPPKKKDDQGTLLSRNHSGINLVIPSASNAGIDIDAQESLTPMGEISPSDFSLGCHYGPQVNHSHQTNNQSRMSLGSMFQGQFDQQAVGTQPRMQWIYQQMLQETPSPNAPNGWTG >Ma01_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4999122:5004034:1 gene:Ma01_g06910 transcript:Ma01_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEFAMASALDEKTDNDDACGGDAKTNIVDDRTPTVGMKFKSYEEALEFYREYARLVGFSARLKRTNYNKFGQYQSVEFICSRGGKGRADDPSYLCRPTAKTNCQATILLKLRADGLLHIKKAILEHNHPMDPSKIELKKRRKKMSYALPKHDENNGKIMIRRLKRFQSPVLKLVEDIPFGEKNIRTMDERGHLMLHDGNAEAIYQFFTHMQMKNANFFYSMDLDEEGRLRNVFWADAISRATFKHFGDVVLFDTTYLTGDFDIPLSLFVGVNNHGQLVLLGCGLLSDETAETYFWLFKTWLACMSRQPPNSLITDECEAIKDALTKVFPGVRHRLCLWQIMKRISLRFIEHENCNSIRKALEKIVYDSYETDVFEKDWKNMIEAYGLEANEWLNWLYENRHSWVPVYLKDTFWAGLSCSDRGTCLSSFFDGFIYPETSLKQFLSVYEVALQNKYEKEAQAELESFNKIPNLVSKFYMEKQLVELYTYNKFKEFQDELKATMYCNVSLVKEEAQISTYDIKESLLLEDGNPTEYRDFRVLYSSNELEVQCCCGSFQFRGILCRHALSVLKLQQVYEIPSRYVIDRWRKDIKLLNTISYPTDEMVANNRLERYDNLVMSCLQLVEVGMMSSDRYHLALKMIGEVEKFLSNDKNSGNANFMILPSDSRTPENAENVVQHLVTSAGHKIQNSPQIKRRGRPPKKKDDQGTLLSRNHSGINLVIPSASNAGIDIDAQESLTPMGEISPSDFSLGCHYGPQVNHSHQTNNQSRMSLGSMFQGQFDQQAVGTQPRMQWIYQQMLQETPSPNAPNGWTG >Ma07_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12254168:12255148:-1 gene:Ma07_g15990 transcript:Ma07_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGVALHHGALKRRWDEKGCLNHGAAHFHQRCGDVGATAAPAQPPRPPIGRKLLTLSAMDLDAAASVVPPVTVFLEGRSICHRVCLDKHTSYESLAKALRRIFVDVDEGDEHGEGGGKELQLSNAVPGHVVAYEDMEDDLLLAGDLNWKDFVRVAKRIRIIPAKASRRKQRGGQ >Ma04_p38450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35990712:35991664:-1 gene:Ma04_g38450 transcript:Ma04_t38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLFWAIRGGGASLGVVLYYKIRLVDVPPKVTVFSISRTLKQGATKLAKSDFVRKPISEKGWKGIWRFMAEAKDEPAVMIMEPWGGRMDEIAETVIAFPHRKGNLFNIQLAKVKAQVDPESYFWNEQSIPPFSA >Ma08_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4557656:4559208:-1 gene:Ma08_g06740 transcript:Ma08_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPFPSTIAFLFPLQALPPHLCGLTEQSPHLTAAAHLSLSLSLGAMKATNALLLLFSFLLLLPLQALGDCECTRDVESRNATKALRLKFVAIASILTAGAVGVLIPILGRSVSALQPEHDMFFVIKAFAAGVILATGLIHILPAAFQSLTSPCLAEHPWHTLPVTGFVVMSSAMWTMMIDSFATSYYKRSHFSKARPVEEDEEHGQEGHVHVHTHPSHGHAHGSTAAAAEEASVSERIRHQVISQVLELGILVHSVIVGISLGASQRPSTIRPLVGALSFHQFFEGIGLGGCIVQAGFRAKSTVVMAVFFSLTAPVGIALGIAISSVYDDASSTALIVEGVFNAASAGILVYMALVDLLAADFTNPRMQSNGRLQLGAHLALLLGAGLMSLIAIWA >Ma02_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17764448:17765796:-1 gene:Ma02_g06870 transcript:Ma02_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMNLAFLLLSMFLVTCTALPGGFSIVGYSEEDLTSHDKLIELFDSWMSRHSKSYANFEEKLRRFEVFKDNLKHIDETNRQKRSYWLGLNAFADMTHDEFKATYLGVSTSMPRRRDSGSSFRYENAVDLPKSVDWRKKGAVTHVKNQGHCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDSENNGCHGGLMDYAFSYIASTGGLHTEDDYPYLMEEGTCERTRADLEVVTISGYEDVPENSEESLLKALAHQPVSVAIEASGRDFQFYSGGVFDGSCGSELDHGVTAVGYGSSKGQDYFTVKNSWGQRWGERGYIRMKRNTGKPQGLCGINKMASYPTKHA >Ma07_p25270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32353657:32359124:1 gene:Ma07_g25270 transcript:Ma07_t25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHFMVCVDGIIASAACFEPVNPGGSPQADGGAVEEGCSVSRTAIAGHKKKGGEMIECRICQEEGDECDMETPCACNGTLKFAHRKCIQKWCNKKGNITCEICNQVFAPNYSVPPSRPSPDVIAIDIRQSWGSRFDLRDSHFLAITAAEQELLNAEYEDYAAASASGIACCRTVALILMLLLLVRQIFNSSREVGLMQDISALFNVSLQFVGFFLPCYVIARSCYFIQSRRRRQV >Ma10_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26553015:26557780:-1 gene:Ma10_g13950 transcript:Ma10_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKQGTAAVLAPPSPPPANPVAQLQARVKELESGFRAWLAKQPTAMEAAIVTATSAAQGAFIGALMGSLTSDASSAIPTPPSNAPGLNPEAMASLKQAQAFAGGPLVQARNFAVMTGTNAGISCVMRRIRGVEDMQSSVVAAFGSGAMFSLVSGMGGPNQALNAVTSGLFFALVQGGLFKIGEKFSQPPADDVLYSGTKRMLTNLGLQNYEKNFKKGLLSDATLPLLTDSALRDVNIPPGPRLLILDHIQRNPEVVKRW >Ma03_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5242226:5242585:1 gene:Ma03_g07460 transcript:Ma03_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSVEILSRSDGKTTVAFAFVDWLPHQSQY >Ma10_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29473325:29477309:1 gene:Ma10_g18600 transcript:Ma10_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESVGSACGRIQEPRKRLFPEEAGGGGGEEVTSGNTPVKERPRSYKCFACNQDGHFARDCPSKRGSPAALGEAERRVYPERQCPCGRGPCVVLMSRTAKNPGRHFYSCPSMFEEKCGFFLWCDEADCNQQRGFGSLVKPNRQHGLSSPVKQQCGVKSPNKPYQQNGVAESSYPMCACGAGKCRLLTMENGVNAGRKYFACTIKKGQGACNHFQWLDSPAKQSDEEVFEGQNKIATPEKPVTLEMNDGTGHGHLGQNTSLSFSFANEPRVPKLDDRRAATTDDEPELMLIDVSRVLETPLLSPKRVCESSNVGLSSPLKRLDLRDRSPGASTPEKRYRCGKEGHRMKECTWSFRPTCFKCGRCGHWTDDCTA >Ma08_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10119837:10124066:-1 gene:Ma08_g12930 transcript:Ma08_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSFAGRVFFASVFLLAAYQEFSEFGVDGGPAAKSLRPKYNLFMKHVSSHLGIVVPHVEMKHVIASTIFLKGFGGLLFIFSSSFGAYLLLLYLAFITPVVYGFYNYDIEKSEFVQLFSKFAQNLALFGALLFFLGMKNSIPRRQPKKVLKSKNKLN >Ma10_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31029184:31030273:1 gene:Ma10_g21030 transcript:Ma10_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGSSREMEGHEAAAAFDRVLLLAFCVVGIWSAYIYQGVLQETASTKLFGPDGKRFEHLSFLNLAQNAVCFLWSLIIAIKLWSRNSSGVAPLWSYWSPNISNTIGPSMGIEALKYISYPAQRPNLKRHSGNMQGLHFINLGLSTSGEVD >Ma10_p21030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31029184:31030251:1 gene:Ma10_g21030 transcript:Ma10_t21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGSSREMEGHEAAAAFDRVLLLAFCVVGIWSAYIYQGVLQETASTKLFGPDGKRFEHLSFLNLAQNAVCFLWSLIIAIKLWSRNSSGVAPLWSYWSPNISNTIGPSMGIEALKYISYPAQYCSNSDQTSKGIVAICKACISSILD >Ma10_p21030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31029084:31030482:1 gene:Ma10_g21030 transcript:Ma10_t21030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGSSREMEGHEAAAAFDRVLLLAFCVVGIWSAYIYQGVLQETASTKLFGPDGKRFEHLSFLNLAQNAVCFLWSLITIKLWSRNSSGVAPLWSYWSPNISNTIGPSMGIEALKYISYPAQYCSNSDQTSKGIVAICKACISSILD >Ma10_p21030.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31029084:31030273:1 gene:Ma10_g21030 transcript:Ma10_t21030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGSSREMEGHEAAAAFDRVLLLAFCVVGIWSAYIYQGVLQETASTKLFGPDGKRFEHLSFLNLAQNAVCFLWSLITIKLWSRNSSGVAPLWSYWSPNISNTIGPSMGIEALKYISYPAQRPNLKRHSGNMQGLHFINLGLSTSGEVD >Ma07_p25510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32548552:32556104:-1 gene:Ma07_g25510 transcript:Ma07_t25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MYRAAVAAVASSALRRSSFQKQRDARRIGSGVLLYARSYVAKDINFGVGARAAMLQGVNELAEAVKVTMGPKGRTVIIEKSHGGVKVTKDGVTVAKSIEFKERSKNVGADLVKQVAKATNTAAGDGTTCATVLTQAILAEGCKSLASGVNVMDLRHGINMAVDTIISHLKHRAWMISTPEEITQVATISANNEREIGELIARAMERVGKDGVITVSDGNTLDNEMEVVEGMKLGRGYISPYFITDVKTQKCELENPLILIHDKKISDMNSLVRILELALKNHRALLIVAEDLESNALAMLLLNKHRAGIKVCAIKAPGFGENRRANLEDLAILTGGEVITEDHGMNLQTVKVEMLGTAKKVTVSLDDTIILHGGGDKKLIEERCEQLRTTMEKSTAMFDKEKAQERLSKLSGGVAVLKIGGASEAEVGEKKDRVTDALNAARAAVEEGILPGGGVSLLYATKELDKIQTSNSDENIGVQIIKNALKAPTLTIAGNAGVDGAVVVGKLLEQENLNLGYDAAKGEYVDMVEAGIIDPLKVVRTALVDAASVSVLLTTTEAAVVELPDAKSGPKVNRMAQMGDMDY >Ma08_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7488244:7491200:-1 gene:Ma08_g10270 transcript:Ma08_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSPSLAAERKHWWLSNRKVVDKNLREARVLIATQEQSNVSKAVGLLDAALALSPRLEAALELKARSLLFLHRFREVADMLQDYIPSHKAGGGGGDDGSTSSLSAGDHFSIVSSAPLSRERANLLSPGREQSDGDRSYRCFSVSDLKRRLLAGLFKTSQGEGEWRYLVLGQACCHLGMMEDAMVLLQTSRRLASAASRRESVCWSDDSFDSAAGEDGGVAAFPFSESESASQLLAHVKLILRRRAAALAALDTGLPAEAVRHFSKVLDSRRGLPGSFAAGCLVGRATAYRAIGRLADGIADCNRALAVDPSFIPALRARADLLEAVNALPDCLHDLEHLKLLYDAILRDRKLSEPPRRPNHDIRYRDIPANLRTLNARIQQLRGRVAAGEGNNVDYYALIGVRRGCTRPELVRAHLLLTLKHKPEKAVGFMDRLELADDHRDLDTIRDQARMSASILYRMLQKGYAIMATVMEEEAAGKQRAKEALANAAAAVASQVSALRTAEKPKAERNGEDTAVAATAAAAVLQSVFCRDMAAVGSMLSHRAIPVNVGALSC >Ma03_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:698533:701660:1 gene:Ma03_g00880 transcript:Ma03_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGFFSLYLSVSLLLRCSASQQPNTDAAFVSDFFRRMGVAPSSTSGNSSEVCSWRGVSCDGPTERVISLVAPGFGFSGPIPETTIGKLGVLRALDLSGNDITALPSDFAELSGSLGHLNLSSNNIAGAIPGIIGNFKLMESLDLSRNSFSGEIPREVGSLSSLRVLDLSRNSLEMSIPDTVLGCVSLVSLDLSHNRLNGSVPDGFGAAFKNLSALDLAQNEISGKMPDLTGLDSISYLNLSRNRFRDLVLGGFREPLQVVDLSKNQFHGLISQVNRSTTSNWTSLVYLDMSMNQLGGEFFPGLGDLRSLKHLNLAFNEFSSQELLHIQLPSALEYLNLSGTDLAGRIPPDISRLLDLKVLDLSQNHITGNIPELITRNLQVIDLSVNNLTGEIPQSLQQKLSGMERFNLSYNNLTYCGEKFSPETLRSSFIGSQSDCPIAVNPDGIGSKGSRHENFKLGLAIAFSVFFLLAGLICLGLACRKRRRPWAVKQPSFEEELNASGPFYFQADFSTWVADVKLPTSVPVVIFEKPLLSFTFADLLNATSNFDRGTLLAEGRLGPVYRGFLPGGIHVAVKVLVHGLTVTDQEAAKELERLGQIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLHDLPLGVQSTEDWTSDTWEQENPGTQSITTEGMTTWRFRQKIALGAARALAFLHHGCFPQMVHRDVKASSIYLDSAMEPRLADFGLSNLVGTSMEGGMARFSPGYAPPEFSESENTLATTKSDVYGFGVVLFELLTGKKPIGDEYAEDKETTLVSWARALVRRKELARLIDPKMRETGAEKQMEEGLRIAYLCTADLPSKRPSMQQIVGLLKDIEPVSVWH >Ma09_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1886383:1889751:-1 gene:Ma09_g02710 transcript:Ma09_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKALSSTSDNDNMLVDVRTMLKKVEDSLPRILAVIHAAEGKPIRSQVLVNWLRELKDAAYEADDALDEFEFRELQESQDSSKVAAFVSSARRFIKNLFVADDELQRLKNLVGDIDEIFLDIDSKTAEVDEYIAKGKSATRETSSFMREEVFGRDKERDKILDMLLRLDDEPDFGNKGAGSSSYPSLGVLPIVGIGGVGKTALAQLIYNDERVANHFKRKWVYVSDDFKLKRIFKELIYDSPGGVFEENISSAAMLKKLKDEFKDKRFLIVLDDVWDETGTTWKELRSALTFGAKGSTILLTTQSPKVAEIMGTMNPIHLEPLEAHDFRRLFELCAFGDEELKPDLKAKLQLIGQQILQKLHGLPLAGKALGSLLRTRFDEKFWNAVLESEWWEEDFAVSNILPSLGLGYQHLSTNLKQCFAYASVFPKAYVFHKERLVQMWIAQGFIQSKSQGRMSLEDIGSQIFDELADRYFFLSKQDGGYMMHDLIRELAVCVSQDECCVVNDDDPVEIPPTVRHLSFTAAKLDAVRQVHKFRKVRTIIFFHEFNPTEFYAVLEDILENIKSLRVLDLSYVHMGLKRLPDAICELSHLRYLDISHTKIRQLPKSFSRLCHLQVLNVKGCFSLYKLTQGMDRLIRLRYFYAEAGTISLINGIGKLTDLQELEIFQVARKRGHQIGELKQLRNLRRRLCIRNLENVESKEEAMEAQLKDKHQLDEVSNIWTEDREDLRGDLDMDILEGLEPPCGLKRLEIMFYGGLRCPTWLVTNHLISLVSVYLTSCKRLVSLPPLGKLPFLKNLEFKVMPALKLIGVELYENADPVFPSLEFLRFELLEGCEEWSEADSRQFLPRLRELHICNCMKLRKAPLPHLPTSNLNVLWLINCPELRLPGGIRSLPKLRELKIEGCPNLYEPGLQWDQPSDEQNLRSLSYFNTDGCHLASFTVEQEEWLQQLPSLEELEFAFCAELLSLPANLANISSIKKLEIFYCPEIKSLPENGLPLLLKELIILQCPSLSERCRKDEGADWPKIAHIPYIRTDDQEIESVASQQQEQE >Ma06_p29610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30941997:30944452:1 gene:Ma06_g29610 transcript:Ma06_t29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWLPLLSSVTSIFFFFFYFFARIPFTACTGFSFPSFAATDLISLSGGSAISNGSLQLSDGRVVRNAGGAYYAQPIQLWRPTTNETTDFATYFEFVISFPGGSSNSSSGGGFAFFLAPGDSLDYQNLTGSPFSLSNDTTTNKRLLAVEFDSFRDQNSSSNGTAGNNDTLAAQVSYNATSKALFVSVKNLHVSSSNSSSLDLTQKVDMRQVLPEKVLVGFSVTNGSKVVVQKITKWNFSSTLEAEGGGTLEAEGGGGRRAFKKWMLIPIAALVLAAVVVATVSACYVWGGQGSILFAILTVVERIARLVSLPLVWCGFVKRMWEGEQDKNNGESLMDDEFSTGTGPRRFSYKELVTATSNFSEKRKLGEGGFGGVYKGFLNRSGKEVAVKKISSKSKQGKKEYASEVKIISRLRHRNLVQLIGWCHERGEFLLVYEFLPNGSLDYHLFNRKTTLPWGIRYNIALGLASALLYLHEEWEQCVVHRDVKSSNVMLDSGFNAKLGDFGLARLVDHQLGQHATGPAGTLGYLAPECFNTGKASKESDVYSFGIVALEIATGRRAVEHSKDEAEVRLVDWVWEMNGRGRLLHTADRSLDKDYDAKQIERLMLVGLWCAHPDHTQRPSMREVIQAFTFEGAIPDLPRTMPVPVFAVPPVQGSNSTMPTVTFGSLTTGR >Ma06_p32220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33163981:33165680:-1 gene:Ma06_g32220 transcript:Ma06_t32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKKKTLCSVLLFVLLVFHGSAYSPSSFAKTRGTHFVIDDRPFYSNGFNSYWLMYTAADPAEKDKVSSALEQASTLGLRVIRTWAFSDGGYRPLQYSPGVYNENMFKALDFVISEAKKYGVHLILSLVNNWEGFGGKKQYVQWARDKGQYLGSEDDFFRNEVVKQFYKNHVNTVLTRVNTITGVAYKDDPTVFAWELMNEPRCQSDLSGRTLQSWITEMAAHLKSTDRNHMVEVGMEGFYGESMPERKKYNPGYEVGTDFIANNQVPGIDFATIHAYPDQWVPGSNDDGQLAFLRSWIQSHIQDAGSILGKPLMVTEFGKSSRQAGYTVSKRDAFYATIYDAIYASAKAGGPCAGGLFWQLLAQGMDSFRDGYEIIFAESPSTTSIISRQSHRISSLGVPKALEEAAEMENMEP >Ma10_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31672536:31672850:-1 gene:Ma10_g22220 transcript:Ma10_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWISALYFDILGALNAVTNLPASSGTAACYCLKDCVPLTSTRRGRHRTPHIRWVRSFA >Ma02_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14627325:14632871:-1 gene:Ma02_g03260 transcript:Ma02_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSTESSGTRRWRLSHALLAIALIYLVFISFKFHHFLEIANALSGDDSFSGLDRPVNDLTSHHLHLGHTTPVLVHHDTFHRKLEDSRNLPPALQKEQPSLRFAPIKPFRRWFGRKSGGNLTQLEAMVDEAWTLGQKAWDDVESYDPVNNKDELPVTGGKPESCPSSQAKSSAEMSSDGELRLFLPCGLTAGSSITVVGKPQEAHEEYVSQLARMRHGNGTVWVSQFMVELQGLRVVDGEDPPKILHLNPRLTGDWSKRPILELNSCYRMQWGTAIRCDGLPSGDDDDTVDGFRKCEKWARSDMIDTKESKTTSWLRRFIGRAKKPEMTWSFPFVEGRLFVLTIQAGVEGYHIFVGGRHVASFPYRPGFTLEDATGFAIKGDVDVHSVYATSLPTSHPSISLDRVLEMSENWKSRPLPESPIDLFIGIISASNHFAERMAIRKTWMQSSQIKSSIVVARFFVALNPRKEVNAMLKKEAEYFGDIVILPFMDHYELVVLKTIAICNYGVHNLTTPYIMKGDDDTFIRIDVVLKEIKRISQKRPLYMGNLNLLHRPLRSGKWAVSYEEWPEYVYPPYANGPGYIISRDIARFIGSLYANQSLRLFKMEDVSMGMWVEQFNASIPVQYSHSWKFCQYGCMLNYYTAHYQSPRQMLCLWDKLAGGRAQCCNFR >Ma10_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25347388:25348263:1 gene:Ma10_g12050 transcript:Ma10_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLKLLSAALLLNLLATVASQRCDLSSVQVQQTNTGRKVGYDPVFQVEVKNLCRCTITNVFLRSEGFASSATVDPKLFRREGTGYLVNDGKGIPSSLSVKFRYAWDRAFRMSPASLQVNCW >Ma11_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24231018:24232891:-1 gene:Ma11_g19330 transcript:Ma11_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSSLLHPTKLPTPRQRTPSPNAPQGSSKFLSSLLYKLAFFVVFLALLPLSPFQAPEFVGETISTGLWELLRLLLVGIAISYGLFGRRNADHDMEKEPPQKAGSSTQSFVSQILHVPSVFDDDEADSPLGGLDESKVQTWSSQYYRNDPVVVVANGGTRGSHAANKPLLLPVRSLKSQRQHSGSRDEVDGVESRNGSEESVVRPSPIPWRSRSARMELKDDAGPATPAFEVDKGLSRTSSFRLPASRGSPTRPSPSSSLSPETRVKSSEDMVKKKRNYKPAPPPAPPPPPPFAYLGHGFTSTSERKITARSFKDELKDLSRRGSEGWQRNNGAAVVDHSFEGPPMGRPVRTSRDMDNATTRRPPPVPKYPIEKRNEFMEKVFVSSDDGSDSDDEEEAAEPSATAVPDSAPEAGINRNEVDKKAEEFIAKFREQIRLQRIESIKKSTGQRKNRKTQP >Ma00_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35720376:35720696:-1 gene:Ma00_g04210 transcript:Ma00_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQQGDPFSPYLFTIVMEGFSYMLEEAISNGNIKNDSTLVRNVSTILKDFDIVSSLRSNHAKSKVYMGPYITNKDFIIDTLG >Ma09_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:328804:330375:-1 gene:Ma09_g00380 transcript:Ma09_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IMP4 [Source:Projected from Arabidopsis thaliana (AT1G63780) UniProtKB/TrEMBL;Acc:A0A178WDJ3] MLRRNTRLRREYLYRKSLEGKEREYYEKKRKIREALEEGKPIPTELRNEEAALRQEIDLEDEYTAVPRTHIDDEYATASEKDPRILLTTSRNPSQPLTQFVKELKIVFPNSTRMNRGGQVISEIIESCRAHEFTDVVLVHEHRGQPDGLIVCHLPFGPTAYFGLLNVVTRHDIKDKKAVGTMSEAYPHLILDNF >Ma03_p31260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33523978:33544080:1 gene:Ma03_g31260 transcript:Ma03_t31260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MDERGGAEEDIHYQIEQIKHERDELRKDIEQLCMQQAGPSYLAVATRMHFQRTAGLEQEIETLKKRLAGCIREKQNLQEELSEAYHVKSQLADLHAAEVLKNKEAEKQVKFFQGCVAAAFAERDNSLMESEKAKEHEEAMSQKLIPLEKKVEELESAYFNEKKVSTSVQMELDELKKQSEYCEKVVNKFYEIRERENGLFADMTLQDKCSCLLDDPPDNWIFSSDKETSTSKYIASLEEEKEALRQSISKLQNNLQMGLKIEQHLRKKMQCSEKRQTVLDSLVRKGLSALRSYHNQQRLEIIKELDQEASWMDSMLVEVQKQLTEIQNNESSVATPQREKQCDDTECRDVHVMNDANSSFMDKMSDIPSSNVVHGTSDAPDALAQALQEKVAALLLLSQQEERHLLEGDLNKALEKKLEELQRNLSQVTNEKVKALMELAELKRDYQLLNENRLHSIKHGSHMVDPGKSTTIREQEGKLKNILKRTYLRHWMVRDQSQQETNFLKITEENSPIIKTDSSIDIARLKVENAALRESIANLEHLTSSIHRLHILLLKVQDEASSVGTEEGTTRAVSNIVMEANQVKTALGGSLPVSWSGDEADAIIYTSLHEPSDPSEASKPAKPDAVSAAGLEMVDLLILAAQLQQESLGERCVDPHNTTSG >Ma03_p31260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33527125:33544080:1 gene:Ma03_g31260 transcript:Ma03_t31260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MDERGGAEEDIHYQIEQIKHERDELRKDIEQLCMQQAGPSYLAVATRMHFQRTAGLEQEIETLKKRLAGCIREKQNLQEELSEAYHVKSQLADLHAAEVLKNKEAEKQVKFFQGCVAAAFAERDNSLMESEKAKEHEEAMSQKLIPLEKKVEELESAYFNEKKVSTSVQMELDELKKQSEYCEKVVNKFYEIRERENGLFADMTLQDKCSCLLDDPPDNWIFSSDKETSTSKYIASLEEEKEALRQSISKLQNNLQMGLKIEQHLRKKMQCSEKRQTVLDSLVRKGLSALRSYHNQQRLEIIKELDQEASWMDSMLVEVQKQLTEIQNNESSVATPQREKQCDDTECRDVHVMNDANSSFMDKMSDIPSSNVVHGTSDAPDALAQALQEKVAALLLLSQQEERHLLEGDLNKALEKKLEELQRNLSQVTNEKVKALMELAELKRDYQLLNENRLHSIKHGSHMVDPGKSTTIREQEGKLKNILKRTYLRHWMVRDQSQQETNFLKITEENSPIIKTDSSIDIARLKVENAALRESIANLEHLTSSIHRLHILLLKVQDEASSVGTEEGTTRAVSNIVMEANQVKTALGGSLPVSWSGDEADAIIYTSLHEPSDPSEASKPAKPDAVSAAGLEMVDLLILAAQLQQESLGERCVDPHNTTSG >Ma03_p31260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33523679:33544080:1 gene:Ma03_g31260 transcript:Ma03_t31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MDERGGAEEDIHYQIEQIKHERDELRKDIEQLCMQQAGPSYLAVATRMHFQRTAGLEQEIETLKKRLAGCIREKQNLQEELSEAYHVKSQLADLHAAEVLKNKEAEKQVKFFQGCVAAAFAERDNSLMESEKAKEHEEAMSQKLIPLEKKVEELESAYFNEKKVSTSVQMELDELKKQSEYCEKVVNKFYEIRERENGLFADMTLQDKCSCLLDDPPDNWIFSSDKETSTSKYIASLEEEKEALRQSISKLQNNLQMGLKIEQHLRKKMQCSEKRQTVLDSLVRKGLSALRSYHNQQRLEIIKELDQEASWMDSMLVEVQKQLTEIQNNESSVATPQREKQCDDTECRDVHVMNDANSSFMDKMSDIPSSNVVHGTSDAPDALAQALQEKVAALLLLSQQEERHLLEGDLNKALEKKLEELQRNLSQVTNEKVKALMELAELKRDYQLLNENRLHSIKHGSHMVDPGKSTTIREQEGKLKNILKRTYLRHWMVRDQSQQETNFLKITEENSPIIKTDSSIDIARLKVENAALRESIANLEHLTSSIHRLHILLLKVQDEASSVGTEEGTTRAVSNIVMEANQVKTALGGSLPVSWSGDEADAIIYTSLHEPSDPSEASKPAKPDAVSAAGLEMVDLLILAAQLQQESLGERCVDPHNTTSG >Ma06_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12038763:12042557:1 gene:Ma06_g17730 transcript:Ma06_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDDAGALFPSINHSPWDGVALADFVMPFFLFIVGVALAITHKGVTNKVVATRKSVFRALKLFVVGLLIQGGFLHGLHNLTYGVDLLGIRWMGVLQRIAIAYLLAAICEIWLKTDDNVDNGYSLIRRYRLQLLMALILTTIYMLLLYGLYVPDWEYQIAVGGSMSKSLSVRCGVRGDTGPACNAVGMIDRRIFGIQHLHRRPVYERTKQCSINSPDSGPPPPDAPSWCRAPFDPEGLLSSVMATVTCLIGLQFGHVIIHFKVHKDRVIQWMVPSFCLLALAFSLDLFGMHMNKPLYTVSYTCVTAGAAGVLFTAVYLLVDVYGYRRPVLAMEWLGMHALMVYLLIGCNILPVFIQGFYWREPQNNLLKVIGIS >Ma11_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22580478:22581949:1 gene:Ma11_g17220 transcript:Ma11_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSVNGFFSFLAHGLDDLDRSLASDAFMSLQFLYRAAGLLRALHSQLTYLVPKLHLPVGGKWLDEYMDESSRLWDVCHVIKLGVSGMENYCSAGADMVSSLEEWRRNPNSHHARQVKRAISICRREAMGLEEENRVLMETRIEPMSLRFDDRAPMESRYNNGYNGFRGVLYALKNSSSLLLMILLWGSVSCWPEPAVMEDSLFFGPGFMASIARLQQRVVEETEGTDARPGILMHEFRQARAATEELLQELERAAAMGCESDIMGGSPKGKVEGLKEWFGMLRTGTDMLVGQIDDFFDEIVEGRKKLLDICSHR >Ma03_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10201595:10211955:1 gene:Ma03_g13170 transcript:Ma03_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSCGSAVTSTTNEGFVLGRKLLVHVAENGHSLEFECDGATPVEAIQRSIEALYGVAMADQLLLCRNTSLDAQQCLSYYKLPQDDREVFLYNKTRLHADSPRPHPEAIDAPKLALPPPPSRTQDSHPLDNAPDPALKALVSYERQFRYHFQLANVVYTCAQAKLEICKRLLREQQVQGRALETARGNLEHTYRKLHQRYTEFVKCFSQQHRNHSELLGNFERDLERLRSLKLHPRLQSGNRKCLFDLVKEDDLRKWVDVCFNSHRQFELKVSQLKTNFGELKRKLDSLLSSMNSAGWGELEHAIKNHLKVLNDQKSVMQSLSKDVDTAKKLVDDSGLPLSETLRPHDAVSALGRIYDVHEKSHLPNVQNCDHVMSKLLDKCKVKKNDMNLLVHLSMQKVKSVQFGIRDMMNELHAFQEVMGHQDKEFENLKFVNGVGQAYRACLAEIVRRRSSLKLYMGLAGQMAERLATERESEIRRRELFFKTWSKYIPNDILAAMGLFDSPSQCDVNITPFDTNLLEIDVIDVDRYAPQSSIGLVSKTEKDVAENDYLATCSSSNMIKSEESSVHNGEKVEFLEGCESVDIAGTSKMEVENALLKADLASAIAMLCAIDVATRYDPVDEGTKDDMLKNVKERTAEALREKDEFANRLRYMLNVKQEECLSYVKRIKELEQRLSDKYSQGQNLVSVKDVSDSGISALKNDGYKLESFGEGESRIPYTSMMPMDELSSTSGLVDSKIEHVTGPSKPGEGGDESMTDLSGTLNMRSVDSTHNSMDASMLEQPRDESQVDPLVSEVKMMTAQMTMEKDSSGVNTEIPVKMLPCETADEPVLESKDLVQDLQNALAEKSNQCTEMENKLKATMEEVNSLKKELEISRNLLDESQMNCVHLENCLHEAREDAHTNLCAADRRASEYNALRLKAVKMHSLFERFRSCVTSGAAVSFADSFRSLALSLASSLSEDEDDFTRDFQACIKVLADRVNFLSRHRSDLSDRCSKAEVAQVNLVRELEEKNELLKSLYNKHQLEKQASKEKITFGRFEVHELAAFVLNPAGHYEAINRSCPNYYLSEESVALFKEQHSGRPAYIIGQIVHIERRIVRPPVSVRTQQGDQVEASTGETTNNRRSIAQGAALNPYNLPIGCEYFIVTIAMLPNTVHSTS >Ma03_p13170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10201595:10207727:1 gene:Ma03_g13170 transcript:Ma03_t13170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSCGSAVTSTTNEGFVLGRKLLVHVAENGHSLEFECDGATPVEAIQRSIEALYGVAMADQLLLCRNTSLDAQQCLSYYKLPQDDREVFLYNKTRLHADSPRPHPEAIDAPKLALPPPPSRTQDSHPLDNAPDPALKALVSYERQFRYHFQLANVVYTCAQAKLEICKRLLREQQVQGRALETARGNLEHTYRKLHQRYTEFVKCFSQQHRNHSELLGNFERDLERLRSLKLHPRLQSGNRKCLFDLVKEDDLRKWVDVCFNSHRQFELKVSQLKTNFGELKRKLDSLLSSMNSAGWGELEHAIKNHLKVLNDQKSVMQSLSKDVDTAKKLVDDSGLPLSETLRPHDAVSALGRIYDVHEKSHLPNVQNCDHVMSKLLDKCKVKKNDMNLLVHLSMQKVKSVQFGIRDMMNELHAFQEVMGHQDKEFENLKFVNGVGQAYRACLAEIVRRRSSLKLYMGLAGQMAERLATERESEIRRRELFFKTWSKYIPNDILAAMGLFDSPSQCDVNITPFDTNLLEIDVIDVDRYAPQSSIGLVSKTEKDVAENDYLATCSSSNMIKSEESSVHNGEKVEFLEGCESVDIAGTSKMEVENALLKADLASAIAMLCAIDVATRYDPVDEGTKDDMLKNVKERTAEALREKDEFANRLRYMLNVKQEECLSYVKRIKELEQRLSDKYSQGQNLVSVKDVSDSGISALKNDGYKLESFGEGESRIPYTSMMPMDELSSTSGLVDSKIEHVTGPSKPGEGGDESMTDLSGTLNMRSVDSTHNSMDASMLEQPRDESQVDPLVSEVKMMTAQMTMEKDSSGVNTEIPVKMLPCETADEPVLESKDLVQDLQNALAEKSNQCTEMENKLKATMEEVNSLKKELEISRNLLDESQ >Ma08_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33156444:33157759:-1 gene:Ma08_g19380 transcript:Ma08_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQRPTPASRVGRDAVARAVDALLKWLLRSSNTKRRLHDEAEDDFVYLVLTLKKIPHRGRPFAFRVPLPRSPHSSACLLVDDRPKSALSSAAARAQVRDLALPVSNVLGLSELRSLCRSPEARRSLADSHDLFLADSRLAPLFPSLLGNLFFSKKKKKKKSKNKTPVPLDLALRSLPEELRRVCGSTLFHLGPGTCSLGKVGRASLGRDEVIDNVLAAIEGVVGHVPNKWKNVKCLHLKATASLALPLYRATQFGIRTEGSDDEKEEEEEERGAEDEHEQDEGALDVIGDEQNRKKRTKNKSEKTKTNDASAIKRRKVKHGTE >Ma03_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8445660:8448047:1 gene:Ma03_g11190 transcript:Ma03_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQHLLSSMLLLLFLSSFIQMAALGHTPSSTKLLYIVYMGERQHEDPNLVTASHHDMLSSVLGSKEEAVSSIVYSYKHGFSGFAAMLTESQADQIAGSSFLLQVLICLTVISVNPSRSVPLHTTRSWDYLGIGYEQPQPTGLLRRGNFGDGIIIGVVDSGIWPESRSFDDHGYGPVPSRWKGTCEVGQNFTVNHCNRKIIGARWYAGGVDDSDISVDYRSPRDFQGHGTHTASTAAGSFVGNASFHGLGAGVARGGAPRARLAIYKACWGNNIRCPDAALLKAIDDAVHDGVDILSLSLGAIHYSIFASIHAVAKGITVIFAGGNDGPVPQTISNDLPWVITVAASTMDRSFPTLLTLGDNRTVVGQSILYESTDGGFKELADGGSCSRDVLNSSDVVGKIVLCYELAIASSSPPKLHFPLAAINVQEAGGKGIIFAQYSASILNYIDEICNGTVCVFVDYEIGKQIKDYVTNTRSPLVKVSLTQDMVGSGVMSPRVAAFSSRGPSILFPDLVKPDITAP >Ma08_p29400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40992515:40999352:-1 gene:Ma08_g29400 transcript:Ma08_t29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTRGVSDVFLAVSASASSRSPAPPALATGRRLFSALLPPSSSLSSSNRHFGPRRRLGRWSSTMAARAAAQRLENVDELIDSVETFLFDCDGVIWKGDKLIDGVPGTLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLSVTEEEIFASSFAAAAYLRSIDFPKDKKVYVIGEDGILKELELAGYQYLGGPEDGDKKIELKPGFLMEHDHNVGAVVVGFDRYFNYYKVQYGTLCIRENPGCIFIATNRDAVTHLTDAQEWAGGGSMVGAICGSTQREPLVVGKPSTFMMDYLANEFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLQMLQSPSNSIQPDFYTNKISDLVTLKAAAV >Ma10_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25171907:25177738:1 gene:Ma10_g11780 transcript:Ma10_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAEGEWVWVRQPGEADAAEWRAAAAAGILDDEERPLKVVFTSPAAHWTDAAPLGNGRLGAMVWGGVASETIQLNDDTLWTGVPGDYTNPDAPAVLAKVRKLVDSGDYAAATAAGFGLSGLHSGIYQPLGDINLAFGDSATRYSDYYRDIDLKTATVNVKYTIDNVEFTREHFSSNPHQVVVTKFSASKAGSLSFTVYLDSQLEHHSSVSGTSQIVMEGSCPGQVSSPGEIKSEKSSGIKFSAILDLQCGGVGSKVQVIDGGKLKVEGADWVILLLAASSSFEGPFTNPSDSKKDPTSAAWNTINSVRNMSYTQLYTHHLDDYQSLFNRVTLQLSKESKNALEEESFVSARKGHKSDSDVPRVEEGNSSRSASSTISTAERVKSFTNDEDPSLVELLFHYGRYLLISCSRPGTQIANLQGIWNKDTNPAWDGAPHLNINLQMNYWPSLPCNLSECQQPLFDFMASLVRNGSNTAKVNYEAGGWVAHQVTDIWAKTSPDVGDPVWALWPMGGAWLCTHLWEHYRFTMDKDFLRNTAYPLLKGCASFLLDWLIEGRGGYLETNPSTSPEHTFIAPDGKTASVSYSTTMDMAIIREVFSAVISSDKVLGNSDSEFVQRIDKARSRLPPTRISRDGSILEWAQDFEDRDVYHRHVSHLFGLFPGHTITLRKTPDLCKAAANSLYKRGDAGPGWSTTWKMALWARLRNGNHAYRMIKQLFILVDPDREAKVEGGLYSNLFTAHPPFQIDANFGFAAAIAEMLVQSTDRDLYLLPALPRDKWASGFVRGLKARGGTTVNISWKKGELHETWFWTGKENSVIRLHYREHVATVTLTCGNIYRFNKHLKCLKTYPFG >Ma08_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1267823:1270516:1 gene:Ma08_g01430 transcript:Ma08_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVNIGQVLLSVRCKDNNSNNAQEALRRAKFKFPGRQKIIVSGKWGFTKFTRADYMKLKTENRIGSDGVNAKLLGWHGPLARRQPGRAFLPPAAAAEAS >Ma03_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28453991:28454185:-1 gene:Ma03_g24080 transcript:Ma03_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYEVIRQIRQEERNYDIYISIIALTAHATFEENKSILSGMDFHFTKPIQDRDLIHTITTICK >Ma06_p37660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36662931:36664139:1 gene:Ma06_g37660 transcript:Ma06_t37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB26 [Source:Projected from Arabidopsis thaliana (AT3G13890) UniProtKB/Swiss-Prot;Acc:Q9SPG3] MGHHSCCNKQKVRRGLWSPEEDEKLIKYISTYGHGCWSSVPRLAGLQRCGKSCRLRWINYLRPDLKRGSFTPQEESLIIELHRMLGNRWSQIAKQLPGRTDNEVKNFWNSTIKKKLVSQAMDNLNPMPCADELLPLSTYRNQLFCGPEQYYFLNGINLPVGYDSPDLPGFVADGVTSSSTWSLDPRHQNQLQHNNNNGNNLLVFNEELTLPLHYTEAIKHATTSFAVNCNQSLETQDSIIQCFAAPNECPNARPLSNNPQGSMNTVRFKDAIMASSSAPAVVLGDSLADISGVQTHWIE >Ma04_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10188525:10188935:-1 gene:Ma04_g13480 transcript:Ma04_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQILHLPGHVDEVFCVDWSPDGEKVASGGKDRLLKLWMN >Ma06_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6370493:6377410:1 gene:Ma06_g09030 transcript:Ma06_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAIDHRFSGSSGNGLSKELWHACAGPLVTVPCQGERVYYFPQGHMEQLEAPSDQENEQKMPLFNLPSKILCKVIHVDLHAEPDTDEVYAQITLLPEINQQGEVTTPDPPLPEAERCTVHSFCKILTASDTSTHGGFSVLRRHADECLPPLDMSQNPPSQELVAKDLHGNEWHFRHIFRGHPKRHLLTTGWSVFVSAKQLVAGDAFIFLRGENGELRVGVRRLMKQQNNMPSSVISSHSMHVGVLATAAHAFSTGTRFSVFYKPRTNRSEFVISVNKYLEGKNKKISMGMRFKMRFEGDEAPEKRFSGTIIGMGDTKTSIWADSEWRSLKVRWDEHSSIMRPDKVSPWELVPLVAATHLTSQPVQRSKRARPPGSPELWKSPEETTQNYSVSETQSSSFSAASKFDTIGFSAKTGTSTVTNSPIYRPIRTSAQTKSFLTSINEGPNETKKEATMGCRLFGIQLIESAATEEISPVVTISSIAEDQPLTSLIVDSDRQSQPSNVNRSDNPAISSEVDKSCLKSPQETYSRQTRSCTKVHMQGFAVGRAVDLTRLNGYDELLHKLEEMFSIERELTGAVNKWVIVYTDDEDDIMLVGDDPWNEFCSIVRKIHIYTCEEAKRLSPKIKLPVIRGDINFRVKKASSGADDYKNHPQ >Ma04_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6591317:6606554:1 gene:Ma04_g09240 transcript:Ma04_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIQFHPRVTLLLAHESRGSRSLNLLLLGLWGRESADLALWICRALEQFCSLMATVLALNSCYHRHLEPTDQRQAPEYFSFSGSLSNLKLAKYGKAVNESLPTKRLPWILAEVRQTESDRYGTNGKATKMIPTKDLVTHRESSHKKSLSVNGSKTAARGASLIKRGNTSGLVKTTKKMEPKEIPFTDELKVLPSDEGFSWAKENYNSWQRTVDIWSFVLSLRLRVLFDNAKWAYVDGFTEDKQKIRRKKTASWLREHVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSPEKAKAFIKSELGCPIEVLFKEFEDRPIAAASLGQVHRAILHSGERVAVKIQRPGLKKLFDIDLRNLKLVAEYFQRSETFGGPTRDWVGIYDECSKILYQEIDYINEGKNADRFRRDFRNIKWVRVPLIHWDYTSMKVLTLEYVPGTKINNLDQIDALGYSRSLTASRAIESYLIQILRTGFFHADPHPGNLAIDKDGSLIYYDFGMMGEIKSFTRERLLELFYAVYEKDANKVMKSLIDLEALQPTGDLSPVRRSIQFFLDNLLSQTPSQQQTLAAIGEDLFAIATDQPFRFPSTFTFVLRAFSTLEGIGYTLDPDFSFVKIAAPYAQELLDIKQKQRGGSQLVQEIRKQANDARDYTISMPYRIQRIEEFMKQLESGDLKLRVRVLESERAARKASVLQMATMYTALSGTLLNVGVTLSSQGNQTFANGSFIGAGVFLALLLRSMQRVKMLDKFEKML >Ma10_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4694827:4695884:1 gene:Ma10_g01480 transcript:Ma10_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGGKNANMSCSLLCFLLSFSAMLAHAGAEVHFHEFIVQATPMKRLCRTHNIITVNGRYPGPTLAVRNGDTLVVNVVNRAKYNVTLHWHGVRQMRTAWADGPEFVTQCPIRPGGSYRYRFRIEEQEGTMWWHAHSSWLRATVHGALIVYPKRGSSYPFPHPNTEFPVILGEWWNEDPVQVIRRATRSGAAPNISDAFTINGQPGDLYKCSRK >Ma05_p31020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41145420:41147245:1 gene:Ma05_g31020 transcript:Ma05_t31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPVYLDPPNWNQLQAHQQGSSSGGGASQLPPGMAAPRPEGGMSATIRPGSMVDRARLAKIPQPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSAGGSASRSSTTTTQTGASSSSSTATSSGVGGAITSNALLTPQLPFMASLHPLPDFGATNFGMSFSGIQPVDTLDYQLGGGSSGSGSGSGAPLGGGIGMENLRLPQMQQFSSLGGLDLPQPPAPAPTSGFFPFVGEGGGFVGGSFTWQVQTKPVGSGLITQLASVKMEDSQQLFNFPRPHLGMSRNDPFWSGSGSGGGGGGGGASSSGTGGWATDLSGFNSSSGSIL >Ma05_p31020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41145420:41147245:1 gene:Ma05_g31020 transcript:Ma05_t31020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPVYLDPPNWNQQLQAHQQGSSSGGGASQLPPGMAAPRPEGGMSATIRPGSMVDRARLAKIPQPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSAGGSASRSSTTTTQTGASSSSSTATSSGVGGAITSNALLTPQLPFMASLHPLPDFGATNFGMSFSGIQPVDTLDYQLGGGSSGSGSGSGAPLGGGIGMENLRLPQMQQFSSLGGLDLPQPPAPAPTSGFFPFVGEGGGFVGGSFTWQVQTKPVGSGLITQLASVKMEDSQQLFNFPRPHLGMSRNDPFWSGSGSGGGGGGGGASSSGTGGWATDLSGFNSSSGSIL >Ma07_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30559197:30560043:-1 gene:Ma07_g22730 transcript:Ma07_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKVLRTLTPSFYIKRGDQASIIGGAIEFIKELQQVLHSLEAKKKRKSLSPSPTPSPRPLLQLTPSPTSSSPDTVKELGACCNSPVADVEAKLSGSNVLLRTLSKRIPGQVVKIITVLERQAFEILHLNISSMEDTVLYSFVIKIGLECQLSVEELALEVQRSFCEEIGYPRSLGDACTIDD >Ma00_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:23868457:23869540:-1 gene:Ma00_g03010 transcript:Ma00_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESTSACACDEALSILYSLQFSEEGLLNLVKRNVGMIDSLTTILRQSSYQSRAHTTLLLESILGLYRCAEGGAALVGHAAGIPIVVKKILQVASERAVRILHSVAKHSATPGLLQEMLQTGVACKLCLVLRVECVVKTKEKAREKLRPHSRVWRSSPCLSPPVPAFIPYYFMTVNCREKNEKVAPRLYRIQDHHQTID >Ma09_p30350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40512127:40513115:-1 gene:Ma09_g30350 transcript:Ma09_t30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVPLGFRFYPTEEELIGFYLQNKLNNRREDMERVIPVADVYCCDPWQLPPISGEACGRDGEQWFFFCPRQEREANGGRPTRTTPSGYWKGTGSPSLVYSSENRAIGVKRTMVFYQGSPLACTKTKWKMNEYRALEEGATGVISSAAAKLRGEVSLCRVYTRSESVRSFDRRPAAAAVTDERRTAEVPRSRPVPASATRSSSHHSSSSDGNGSRRPVPRREDDLTSMGVKEWFSWQHLLRR >Ma11_p12280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16179816:16187378:1 gene:Ma11_g12280 transcript:Ma11_t12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MESLFTSFLPRAPAISMNHGASRSDLFGGRRRGRKEALISMPRRRAAPPGIVCMAEPYLISKLDSAERTWKELSVKLADPDIVSNPTEYQKLAQSVAELDEVVMNFRKFKECERQIEETKALAKEDGSDPDMAELVSHELEMLFEQLKDLEDKLKMLLLPTDPMDARNILLEVRAGTGGDEAGIWAGDLVRVYQKYSERNSWKYATISSSEAEKGGYKTFVMEVKGKRVYSKLKYESGVHRVQRVPQTEAQGRVHTSTATVAIMPEADEVEVVIDPKDIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLRNKDRAFQLLRAKLYEIKVREQQESLRNQRKSQIGTGARAEKIRTYNYKDNRVTDHRLKMNFELTSFLSGDIEAAIQACATLEQKELLEELAQTSGASKA >Ma11_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16179816:16187212:1 gene:Ma11_g12280 transcript:Ma11_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MESLFTSFLPRAPAISMNHGASRSDLFGGRRRGRKEALISMPRRRAAPPGIVCMAEPYLISKLDSAERTWKELSVKLADPDIVSNPTEYQKLAQSVAELDEVVMNFRKFKECERQIEETKALAKEDGSDPDMAELVSHELEMLFEQLKDLEDKLKMLLLPTDPMDARNILLEVRAGTGGDEAGIWAGDLVRVYQKYSERNSWKYATISSSEAEKGGYKTFVMEVKGKRVYSKLKYESGVHRVQRVPQTEAQGRVHTSTATVAIMPEADEVEVVIDPKDIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLRNKDRAFQLLRAKLYEIKVREQQESLRNQRKSQIGTGARAEKIRTYNYKDNRVTDHRLKMNFELTSFLSGDIEAAIQACATLEQKELLEELAQTSGASKA >Ma03_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4428090:4430182:1 gene:Ma03_g06380 transcript:Ma03_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFIIDGPSQDYGARLTASVIITCIMAASGGLIFGYDIGISGGVTAMESFLKPFFPSVLRKMADAKQDEYCIFDSEVLTAFTSSLYIAGLAASLVAGRFTKAVGRQAVMLLGGVAFLVGAAINAAAMNIEMLILGRILLGVGVGFTNQATPVYLAETAPPKWRGVFTTGFQLFIAVGVVAAGLTNYGTSHNSTWGWRLSLGLAAAPAAIILLGALFIPDTPSSLVQRGKLDDARAALRRIRGPAADVEAELKDIERSVEEASKMEEGAFRRILRRRYRPYLVMAVAIPLFQQLTGIIVIAFFSPVLFRTVGFGSDTALMSAVILGAVNLASIIVSTFVVDRYGRKLLFMVGGAQMILCQVSVAWILGAKIGSDAQAALPRGYAVAVLVLMCAYSAGFGWSWGPLSWIIPSEIFPVEIRSAGQSISVAINMGLTFVQTQTFLAMLCRFKQGTFAFYAAWVVVMTAFVALLLPETKGVPLEFMSELWRRHWYWGRFLVDERDHVATPHP >Ma01_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11814791:11829130:1 gene:Ma01_g16310 transcript:Ma01_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDRLFAALGKTYTQEEFEALCFEFGIELDDVTTEKAIIRKEKHLEDDDADEEDEEVIYKIEVAANRYDLLCLEGIARALRIFIGSEKSPLYTISDVPPKSMLRMHVKPETSLIRPFVVCAILRGIAFDEARYNSFIDLQDKLHQNICRRRTLVAIGTHDLDTIQGPFTYEALPPQEINFVPLKQVKSFRADDLLEYYKSDLKLKKYLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAISLKTKNVFVECTATDLTKAKIVLNTMVTMFSEYCENKFEIEPIEVVNSDGKSNIYPDLSIMKMEVPLSDLVDPIGISLDATEVVTLLNKMQLQAEKSSLTGGECKIFVSVPPTRSDILHARDVMEDVAIAYGYNNIPKSKPKCMTTGSRQPLNRFSDKIRAEVARAGYMEVLTWILCSHEENFAMLRRKDDGDKAVVISNPRSADFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDGDRDVGAANNRRLAALYCNVSSGFEEILGLVERIMKVVRAPHLQISENYIIPSDEPEYFPNRQCSIILNGKQIGNFGIVHPEVLKKFGIPDPCSFLEIDIQALL >Ma01_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:788264:788518:1 gene:Ma01_g01150 transcript:Ma01_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRVFVAFLAVASSITLVVASPDPRASSSPSKEVRLSLQLPHGLGSSREGGAGLGSRKGVEGKFASRFDGLRFIETLVTAHR >Ma05_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32292659:32293333:1 gene:Ma05_g20590 transcript:Ma05_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGAIAYRATASIVVAPLLVLVVIYACLWPLGVPTAFFRLQHGANTTEITPKDELEAALEGVAMENRTLIIAILNKAYVEQNAMLDLFLQSLGEGEDTEFLVDHLLFVAVDQRAFNRCRTLELHCYNLVTEGVDFSKEVFYMSDAFNNMMWRRTLFLGDVLRRGY >Ma05_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2809640:2810268:1 gene:Ma05_g03780 transcript:Ma05_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRRRSSLFDAFTLSPLPYPVLLILLMVFLLLSLSWFFDYESFMEETEEQMSWVLLTLPVVLILVIRWLSSIERLDDTLRGLFRYDRRRPSYYGYNQPQEGSSPWGIAAVLVLLLVMVYFHSSIQDMWGP >Ma11_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25674246:25677397:1 gene:Ma11_g21570 transcript:Ma11_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVFCANSIPGILEVWSAHDRNYFPFDKYFLINCRKLGSFTCLLVLELLCLSYHALAASQQSQGMDVACLFSTYSHLNPLFLA >Ma06_p27070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29093437:29100511:-1 gene:Ma06_g27070 transcript:Ma06_t27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKQHAGGGRRGEKRTDGLGHPSPNPPRLSPCKRNAATSSCSSYSSFHHLLKKTRYAPDLFLCHSCGLRFPSNNNNNNTLDHLRSQWRVALLCRTCLARVKSADICSYCFSPFSSIADAERSLTCLSCSCRVHLGCVDREHRCLDPCRLEPGSFTCVDCCVIPKFWGRKPGIGSRVLLEVVVREASSVAEKKIEAAARARENALKKADAAKLAAERASNALGVVLAAKGDISEQNADLAVVTDQELALQLHLAMNGSRRISRSSSCSLSSGAVGSIDVKKVCGRGGDQMSRNVDSGDRRICKAAEICAEDNSFLDVAARTVFGQGSDVDSESNRNQPKKLIDDNGRDGHAVMPPKEDQGNSSDRIVSSDANFCSTDSVSLDYEKKKVSDVVLFTSTCRRYVIEGSEVQENNSITSPDRYLVKYIARRSRPKGLVNEDYHLLP >Ma08_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3846578:3854012:1 gene:Ma08_g05650 transcript:Ma08_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSPRTDTSTDVDTDDKDQMLEQGQLAVVAASDSSDRSKDKTLDQKTLRRLAQNREAARKSRLRKKAYVQQLETSRMKLTQLEQELQRARQQGIFISSSGDQTHAMGGNGALAFDVEYARWLEEHNRQINELRTAVTAHASENDLRVIVDGIMAHYDEKFKLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLASQLEPLTEQQVMGICNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLESFLRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >Ma03_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5672943:5674262:-1 gene:Ma03_g07920 transcript:Ma03_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRIIWSVYSTALLVSVLTAVPASVSAIGVCYGRLGNNLPQPGEVVNLYKANKIGSMRIYDPNQEVLQALRGSNIQLVVGVPNENLQSLASDPSAASNWVQKNVVAFRPSVSFRYIAVGNEVIPGGLAQYVLPAMQNVQKALSSAGLQNQIKVSTSVATIVLGTSYPPSAGAFSSAAQTHMNPIVQFLARNGAPLLVNVYPYFSYKDNRNQISASYALFTSTEVVVKDGQFGYRNLFDAMVDAVYAAMEKVGGSNVAIVVSESGWPSAGDFAATIENAKTYNQNLIRHVGRGTPRRSGAPIETYIFAMFNENQKNPELEKHFGLFNPNKQPVYPISFA >Ma11_p16890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22303221:22304763:-1 gene:Ma11_g16890 transcript:Ma11_t16890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQGHAVECHTSHWLADHSQGRVNAAEHIIHIPAKAMNITWGRDGRFWRWNELPKDEQPKDFSKDNLCDSVAELIQVNWLEVKGTLNLAKHKDALSNSKVFEIVYHIKFNIDAFGWSKAPVLFELVTPDGHREKRIEIMESYRKRSCEWLEIHGGEFKLPQDMKGEVEFGISETESHWWKGGMIFAGVSIKPKMDTQN >Ma11_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22303221:22304762:-1 gene:Ma11_g16890 transcript:Ma11_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQGHAVECHTSHWLADHSQGRVNAAEHIIHIPAKAMNITWGRDGRFWRWNELPKDEQPKDFSKDNLCVAELIQVNWLEVKGTLNLAKHKDALSNSKVFEIVYHIKFNIDAFGWSKAPVLFELVTPDGHREKRIEIMESYRKRSCEWLEIHGGEFKLPQDMKGEVEFGISETESHWWKGGMIFAGVSIKPKMDTQN >Ma02_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21960954:21963395:1 gene:Ma02_g13680 transcript:Ma02_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYVHREITGRCCLTSSILSTSIGSPPYLHAFRSSLRRTVIAFFLAAHMESSQQQQQQRLDDSFSYGWLVSVKPSEPLGDSHRSVDTQDGGGSFIELDPGYISMRWTDDDHDFDFSLPEPHHQAQVQADQIFSDGHLLPLHLRSPSAGEAGKSQPTYSCAVPSRAASANSSPLFHSAKSSPYCASSWSPSSSLASRSGKFHSQLVRSCAKSPKKILCKYFCFLMPLYKMVKDFRRSSWRSVGSCKDSARSSPRTSNALSSIDWCRSNADISIYDAILHCKKSIASSSDTRTV >Ma07_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12057801:12058428:1 gene:Ma07_g15860 transcript:Ma07_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEEECDVVLRLAVGGGEKTPVREISERLMRPANEREEAEGTRRKLRLSEEQLSLLEDSFRAHNTLAHDEKRELAQRLHLQPRQVEVWFQNRRARRKLKQTEEDCESLRKCCQRLTNENRRLKRELMELRAARLITCSSCEKIARDEKKTINS >Ma02_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23450934:23453646:-1 gene:Ma02_g15970 transcript:Ma02_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTGGGKEYPGKLTLFVFITCVVAATGGLIFGYDIGISGGVTSMDPFLKKFFPEVFRKKEDDKTTNQYCKFDSQLLTTFTSSLYLAALVASFFAATVTRVFGRKWSMLGGGLTFLVGAALNGAAQNVLMLILGRILLGIGVGFANQSVPVYLSEMAPAKLRGMLNIGFQLMITVGILAASLINYGTDKIKAGWGWRVSLALAAVPAAIITVGSVFLPDTPNSLIERGYPEEAQRMLRRIRGTDDIHDEYRDLVVASEESKLVKHPWANIVKRKYRPQLTMAILIPFFQQLTGINVIMFYAPVLFQTIGFGSNASLMSAVITGLVNVFATFVSIFTVDKLGRRKLFLEGGAQMIVCQIIVGTLIAIKFGTNGEGHLSKAYAAFVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMFFTFAIAQAFLTMLCHMKFGLFYFFAGWVLIMTTFISIFLPETKNVPIEEMILVWRSHWFWSKFIADEDVHVGKAETEMSNGKPKSDAI >Ma06_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7466175:7467156:1 gene:Ma06_g10710 transcript:Ma06_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQRPEEPSLAACLSFGGSAASADVPAVDDCSFIIHHDPPRHIEFLEAADEDDADDDSSEDFEFAFVVRDPDASPSITADEIFSGGRIVPAYPVFNRDLLLASSGTEEREAAAEANDMADQIPLRRLLIEEREARSASTSEEPEAVGEYCVWAPDRCKKSASTGSSLRWRLRDLMIGRSQSDGKEKFVYLGAAPPSPQPAKGKIANSTTKGAAATAKRPSRVAQAGAVTGHRVYYGKGPNGQALKAPRRSFLPYRQELFGLFAPVTGLRRSHHPF >Ma08_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6669884:6673369:-1 gene:Ma08_g09210 transcript:Ma08_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAADLYHVLTAVVPLYVAMILAYGSVKWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNYRFIAADTLQKLIVLTVLSVWTKASRRGCLEWAITLFSLSTLPNTLVMGIPLLKGMYGSESGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIMEQFPDSAGSIISFRVDSDIISLDGKEPLQTEAEVGDDGKLHVTVRKSTSSRSDIYSRRSHGLNSGGISITPRPSNLSNAEIYSLRSSRNPTPRGSSFNHADFCSMPRSGNGTTCVSPRHSNFGSMGLDVEGGPRANGLVAGAYPTPPVAGLFSPVGAKKKAMSGIEGGKDLHMFVWSSSASPVSEGGMHVFAGAEFVTEHGVAPLDDHHHKSHLGDAYDGYRQDDFSFRNKPTDPLQRDGLSLSKLGSNSTELHSKAEADGVGKPSMPPAGVMTRLILIMVWRKLIRNPNTYSSLLGLIWSLVSFRWEIEMPAIVARSISLLSDAGLGMAMFSLGLFMALQTRIIACGNSVAAFAMAVRFVTGPAVMAAASITVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >Ma10_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1692198:1694093:-1 gene:Ma10_g00480 transcript:Ma10_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLLALSHLKHLDLSVNDFRRIRIPEFIGSFKKLRYLNLSSTYFMGGIPARLGNLSSLYVLDLSDALDFTSLDNLDWLSHLTSLKNLDLSWLKLTGAPDWFSSVNMLPSLQVLSMSYVGLDTIPASVVHVNFTSSLTVLDLSFNNFNSILPKWLGNISSLTHLDLHYSGFYGVIPDTIGDLGSLTFLDLGGNQLEGIVPKSMVDLRRLKELHMPSNQLTGNLGGWLEQMTNLIILDLRSNLFNGSMPSSFGKFSNLTELNLAGNSVGGVLSEVHFENLTRLRLLDLYGNSITISIGQSWVPPFQLRYVDLTKCQLGPQFPEWLQFQTQIQELHLADCKIAGTMPAWFGNISSSTITYLDLSNNQIGGKLSSSFKFTKLETLSLDSNRFEGPLPTMLPSTLQTLYLSDNSFTGQLPIWPHVQSVALSDNMLDGGLSSSICQWTYLKFLDLSNNKLFGEIPKGIGDLIGLNNLNLSRNHLQGKIPWEIGGMESLESLDLSINDLSGSIPESLLTLYSLSYLNLSYNNLSGMIPTGYQLQTLNDPSIYMGNADLCGPQIFKNCFMQEYKKEISEWLWFYISMILGFVMGFWIFCGILFLKDAWRHAYFHIIDDMYDWVWVQWQLILRRLLRR >Ma08_p13350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10541081:10544933:1 gene:Ma08_g13350 transcript:Ma08_t13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGELGSAGHTAIDSSNVGFKLLKKCGWKEGTGLGASEQGMLEPIPTFVKKNKRGIGADKTKKKVEVLKDLDAKMQNNHDMQSKKKNKQVSKRIRKMQEEEERMKEKEFERAFFREFWPDNV >Ma08_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10541081:10544945:1 gene:Ma08_g13350 transcript:Ma08_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGELGSAGHTAIDSSNVGFKLLKKCGWKEGTGLGASEQGMLEPIPTFVKKNKRGIGADKTKKKVEVLKDLDAKMQNNHDMQSKKKNKQVSKRIRKMQEEEERMKEKEFERAFFREFWPDNV >Ma01_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5208354:5212250:-1 gene:Ma01_g07230 transcript:Ma01_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEALVAAHELALNSGHAQITPLHLASVLAADANGILRQALTNASGGAGDSAAQSFERVLSAALKKLPSQSPPPDEVPASTSLIKVIRRAQSSQKSRGDSHLAVDQLILGILEDHQIGDCLKEAGVSAARVRAEVEKLRGKEGKKVESASGDTNFQALKTYGRDLVEVAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEADGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVADTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLMMKYRKEKERIDEIRSLKQRREELMFTLQEAERRMDLARVADLKYGALQEIDAAIARLEGDTNENLMLTETVGPDHIAEVVGRWTGIPVTRLGQNEKERLIGLAERLHKRVVGQHQAVEAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVILFDEVEKAHIAVFNTLLQVLDDGRLTDGQGRTVDFTNTVIIMTSNLGAEHLLAGMVGESSMQIARERVLLEVRKHFRPELLNRLDEIVIFDPLSHDQLRKVARLQMKDVALRLAERGIALAVTDAALDIVLSESYDPVYGARPIRRWLEKKVVTHLSKMLIRGEIDENTTVYIDTARGKKELHYRVERNGGFVNATTGQKSDILIEIPNGAVKKKDPVQAVKKMKVLHDEEDVDDMDEE >Ma04_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6228595:6239642:1 gene:Ma04_g08750 transcript:Ma04_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGGKATVDERYTQWKSLIPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHPMLGAAESRPDLILTGHQQNAEFALAMCPTEPFVLSGGKDKSVVLWSIHDHISSVAESTSKSPASASNSSGKQSIKAGNEKSSDSTTVGPRGVYQGHEDTVEDVQFCPSSAQEFCSVGDDSCLILWDARVGTSPVVKVEKAHNADLHCVDWNPHDQNLILTGSADNSVRMFDRRNLTSGGVGSPIYKFEGHKAAVLCVQWSPDRASVFGSAAEDGFLNIWDHERVGKKKERAGTKTPNSPPGLFFQHAGHRDKVVDFHWNASDPWTIVSVSDDCGSTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKAHLLSCSPKS >Ma11_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21413146:21414172:-1 gene:Ma11_g15750 transcript:Ma11_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSNRSNESSNRFHVGCVEDIPKKKSWTF >Ma03_p00050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:110366:116867:-1 gene:Ma03_g00050 transcript:Ma03_t00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRCPVAAASGGNEDGRIGGDGGIIRHNRKCRDLVFLIIFIAFWVAMIVNSSFGFNQGNPLRLMYGLDYKGNICGNRHADPDLRELEVRYWLNPNQVYQSGLKNSQFDLADAKSICLMECPIPSEDGINWVCDYPEGDIRLSVDDWIDRDYDYYEYLTTETRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKQWQQMGGVDIDENIMIDKTIHRAINSPSAVLKRYMADIWKAGPVLVVCGGILPLFLSIIWLLMIRHFVAGMTWITVILFNALVISVAMLYYRKAGWIGSDALSVVIGESDPYVHISGREINHLHVVAVLMTIVMIISFLSSIAIVRRLLIATSVLKVAAKVIGEVQALIIFPILPYVILAIFYVFWFSAALHLFSSGQILKNDCNVNCCSFDLKSNKVNCDNCCGYSIHYTPHIGISILFHVFGCYWATQFIIACSSTVIAGSVASYYWARGEISEIPFLPVFSSMKRLLRYNLGSVALGSLIVSIVEWVRFILEALRRRIRHGDPAPVTCIEKLMSTSSQCCLGCIDWTIKSVNRNAYIMIAITGKGFNRASAIATGLIVNNILRIGKVNVIGDVILYLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLFPVLVSWGLGYVVATLFFAVVEMSIDTIILSFCQDAEEHQGTAQYAPPLLMATLDGQGEMQRLTQGS >Ma03_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:110366:116867:-1 gene:Ma03_g00050 transcript:Ma03_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRCPVAAASGGNEDGRIGGDGGIIRHNRKCRDLVFLIIFIAFWVAMIVNSSFGFNQGNPLRLMYGLDYKGNICGNRHADPDLRELEVRYWLNPNQVYQSGLKNSQFDLADAKSICLMECPIPSEDGINWVCDYPEGDIRLSVDDWIDRDYDYYEYLTTETRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKQWQQMGGVDIDENIMIDKTIHRAINSPSAVLKRYMADIWKAGPVLVVCGGILPLFLSIIWLLMIRHFVAGMTWITVILFNALVISVAMLYYRKAGWIGSDALSVVIGESDPYVHISGREINHLHVVAVLMTIVMIISFLSSIAIVRRLLIATSVLKVAAKVIGEVQALIIFPILPYVILAIFYVFWFSAALHLFSSGQILKNDCNVNCCSFDLKSNKVNCDNCCGYSIHYTPHIGISILFHVFGCYWATQFIIACSSTVIAGSVASYYWARGEISQEIPFLPVFSSMKRLLRYNLGSVALGSLIVSIVEWVRFILEALRRRIRHGDPAPVTCIEKLMSTSSQCCLGCIDWTIKSVNRNAYIMIAITGKGFNRASAIATGLIVNNILRIGKVNVIGDVILYLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLFPVLVSWGLGYVVATLFFAVVEMSIDTIILSFCQDAEEHQGTAQYAPPLLMATLDGQGEMQRLTQGS >Ma10_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32978017:32979812:1 gene:Ma10_g24310 transcript:Ma10_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLRSVLRRNRLLPLFETCHLRDRLFFSSSVDAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEAAKFSKPLARLRSTENPDAVLNFMRSQGFDGAGIRKVISADPRYLCCNVEKNFSPKFQFLRDLGLSESDIVDAILKNDVILRLDVHRSLVPKLEMWESLLGSRELVLKHLKKTTRFFHSSVEKTLHPNLKFLRDECGIPEERVSVVLRSRPHLFSQKPESLRALVVRADELGIPRQSRMFMWTLHVFHNVSKEKFESKGELMRSFGWSESEFSSAVMKNPTFLCISLDMLRRKVEFFINVVGYTPSFIASHPNLLLLSMQKTVIPRFRVLEMLNTKGLWTRRGKFLSYVTLSNTKFMEKIVLPYKEKVPELLDILRAGFRNSAQQFNRDDFGSYELLDYVQIDSELSWTKL >Ma04_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7249451:7253407:1 gene:Ma04_g10140 transcript:Ma04_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthoxin dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G52340) UniProtKB/Swiss-Prot;Acc:Q9C826] MSTTTAASVSSSSSSPRLEGKVALVTGGASGIGEAIAKLFRKHGAKICVVDVQDAVGQQLCEFLGGDPYACFFHCDVTVEDDVRRAVDFAAERYGTIDIMVNNAGITGEKVVDIRNVNFDEFKRVFDINVNGVFLGMKHAARVMIPQGKGSIISLGSVASVNGGMGPHGYTGSKHAVVGLTKNVAGELGKHGIRVNCVSPYAVPTKLSMPHLPESERQEEDAVEGFLTFVRSCANLKGVDLLRNDVAEAVLYLASDEAKYVSGLNLVVDGGFTCVTHILKPFE >Ma08_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15171876:15178492:1 gene:Ma08_g15140 transcript:Ma08_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFITRSLALILGYAYPAYECFKTVELNKPDIDQLLFWCQYWILVAALTVFERVGDNFISWIPMYSEAKLAFFVYLWYPKTKGTTYVYETFFRPYVAKHENEIDRNLLELRTRAGDIMVLYFQKVASYGQTRIFEILQYVASQSPSQSSRTRPVQRQPQQPIRRTSSTTAAREPTEQLKQAKSIPSSPTKSQPQEPLKAGVTPADAVATQLPSSSGLPSQPNTETTTLQPTAGDKEALQAGVANTTDKENPQEDANPPVQEKPIEEAIRVTRARLRKRAATAGPSAR >Ma05_p26630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38192528:38193085:1 gene:Ma05_g26630 transcript:Ma05_t26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHRAIVTGEVDPEKVVKKLKKKTGKRAEIITRKIEMAPAAGECSSNTSEASAVIPDHFDQTLVAGFSLFSDENPNACSIT >Ma09_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1876278:1880123:-1 gene:Ma09_g02690 transcript:Ma09_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTKMGSISFLALCFSTTIMGAMAAGGNGTSPGTRPGEIRVGTLFTFNSTIGRAAKLAIELAVDDVNRNPSVLAGTKLRLLAQDTNCSEFLGTMEALQLMEKQVVAIIGPQSSGIAHVVSHAAKELRVPLLAFAATDPSLSSLEYSYLVRTTQSDHFQMNAVADIIDHYGWREVIAIFVDDDFGRGGIDALGDALAEKRSKISYKAAFPPNADGSAITGLLEKINLLESRVYVVHVNPDSGLTVFSVARSLGMMGDGYVWIASDWLASVVDSTESANPDTMDLIQGAIVLRQHVPESDLKRAFTFRWSNMFRNGKASSSLNTYAFHAYDSVWLLAHAIDQFLHEEQTIDFSDEPILHDPSGSSLHLSALKRFDGGDKLLDKLLLTNFSGVSGQVLFDSDGDLINPAYDILNIGGTGSRRIGFWSNYSGLSVVAPEVSYRNPPNASTSSRQLYSVIWPGETAATPRGWAFPNNGKPLRIGVPYRTSYKEFVSRDDSPDSVQGYCIDVFKAAVDLLPYPVPLSFILFGDGLKNPSYDDLVQRVYENYFDAAVGDITIVTNRTRIVDFTQPYVESGLVIVAPVKETNSSAWAFLKPFTIQMWCVTGAFFLFVGAVVWILEHRLNAEFRGSPRKQLVTICWFSFSTMFFAQKERTVSSLGRFVLIIWLFVVLIINSSYTASLTSILTVEQLSSGIRGLDSLIHTSDPIGYQVGSFAKNYMTEELNIAESRLVSLNNPEDYARALELGPQRGGVAAIVDELPYVELFLSTNCKFKTVGQEFTKSGWGFAFPRDSPLAVDLSTAILRLSENGDLQRIHDKWLPPTGCSSQDSGIGSNRLSLGSFWGLFLISGLACLVALIIFFARILCQYNEYSSSQETVGIPESERSFKRPMRLTSIKDLIAFVDKKEHEVKSVIKQKSISQQQRRSSQVSDGQFMSPA >Ma06_p33210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33922938:33923390:-1 gene:Ma06_g33210 transcript:Ma06_t33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGRKESGSSPMLDRLLSGKEEWAEEKIPKGYVPMLVGDEEDQAERFLVHVKLLNDRRFVALMEMAAGEFGYNQQGIIRMPCNARHFQRMVDVISKTR >Ma10_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31382632:31395463:1 gene:Ma10_g21730 transcript:Ma10_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRSLRGQMPSLKRCKAEEPSFSDDGGGEAAEESRRKRQRDGGGSFPLEVLGDLSGAGIPYLPDGLRHRVLGAAAAAPSWCTEVSFSGASEVVLEERRKGRDGSVKPAVTPAPVVRTSRGRSQALPSRFRNSVLIDPWKKEKSKSKASDSEFFFEGNLREDKKKNLNYKSAAISAAVTNSFTLLGAECYRSCRNFSTTNCSTSRSTLTSLDDSMVEAEDKYLQQTPNMEESVVRYSISAVAESNSLRDTVERREDCYCPEDFVLGDIVWAKCGKKNPAWPAMVIDPLQQAPENVLNSCVPGALCVMFFGYSRNGRAYSWVKQGMIFPFIDYLDRFQGQTQLYKNKPSNFRMAIEEAFLAEHGFFGVQLDSVNTCGRVAFDQPVAKISSEVTDSNHDQECQSKFQAVDKSGLLCESCGLKLPYGSAKKMKHISQQLLCKHCAKLLKSKQYCGICKKIWHHTDGGNWVCCDGCQVWVHVECDKNCGNLKDLENTDYFCPDCKSRRNFGPQDTIKKHAPVRYDGGISQDKQPDKITVICCDMEGIYLPNEHMVLCQCSSCKARKLTLNEWERHTGSRKKYWKTSVKVKSTRQPLGKWLELYNPSFGDQAKHSSAGNRKEKILSLLQEPYEPVLVKWTTERCAICRWIEDWDYNKIIICNRCQIAVHQECYGALDVQNFTSWVCRACETPLLKRECCLCPVEGGALKPTNVDDSLWVHVTCAWFQPKVSFASDETMEPATGILDIPPLSFMKVCVICKQMHGACTQCYTCSTYYHAMCASRAGYRMELHCLEKNGRQIIKMVSYCAHHRSPDPETVLIMQTPSGVFSTNSLLQKMKKQSGSRLIRTDIPQEITMPSLPTRSLSASRCLIYNRKTTKHQRENGIAHRIMGPCHHSWDSIESLNAPMEEKNQRSFSTFRERLRYLQSTEKSRVCFGKSGIHGWGLFAHKNLQEGEMVIEYRGEQVRRSVADLREARYQVEKKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMNVGDDQSRVVLIAKRNVSAGEELTYDYLFDPDEAEECKVPCLCKAPNCRGFMN >Ma02_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15125186:15127994:-1 gene:Ma02_g03700 transcript:Ma02_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDANDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEDELRFHLGLNNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >Ma02_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28866367:28867911:1 gene:Ma02_g24150 transcript:Ma02_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPNRLLFRAPNASSLCGFMELCTPPPPPPSPPSSPGPPTTIRFHHSSLLPALPISAAFLIAAAVLVLVLAYFVFRRRHHRRTAAPDVPLAGGPLEEDGGGDGDEVIHHVWYIRTVGLDESTIRAISALAYKAADGVLGASASDCAVCLSEFREDELVRLLPKCGHAFHLGCIDTWLRSHVNCPLCRAPVVVSTSAASSGGTGTSTHSSSSSSSSSSSSFSSSSSSAPSSHPEFIPDHVDPNNLSSAVADSEQINGRQSESAQGALEEEPEVERLELGAGNRTVVFPLTSSEQRVTVDIGENGFQPIRRSISMDSFTCSSSIYGLESDEDLSNNRKKTSLEEEVWDDRTRRKQWNFSSGASLRKETERSLSSISGSFFLSRQGRARRSLLPL >Ma07_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1013577:1013794:1 gene:Ma07_g01320 transcript:Ma07_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTVTLTSRDASTPPSLLTIIMLTHSPLSKVRCSIHST >Ma02_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17673826:17678679:-1 gene:Ma02_g06710 transcript:Ma02_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMMDIEVPKEVAKPMTVYVWDMDETLILFKSLLDGTYAAAFDGLKDTRIGVEIGKRWENHILRVCDEHFFYEEIENYNEPFLHSLSEYDDGQDLSNYDFNNDGFCFPYDDSNKKKLAYRHRLIAQKYSQGLNKVLNQEMIKLWNDLYNSTDNYTDGWLSSGHALLEQTMGKTSFSASDLSSDMEPGSITTKCQNINVLVTSGALIPSLAKCLLYRLDDVISANNVYSSWEVGKLQCFSWIKERFGGPNVRFCAIGDGPEECEAAQAMRWPFIKIDLRPSSPHRFPGLDMKMVGSYIDVIYGAADAGAEEEKE >Ma04_p20760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23493026:23497257:-1 gene:Ma04_g20760 transcript:Ma04_t20760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MAFFNRVSPALVEILNKLQSGESLTDFDHNLYEYGSVRYNIQASASSPQIVNLSTWMPPLSPEFSSDCLPDCALQDVKRVYLDSAEVVEPSKQGYMLTLRIDFAELPQRKETDIQTISEDRMKAISEISSLHAVILSSQMKDMLWNLEPRYMGNGMCNPIKIVHQLKEPFFVIKMLEKTTVIYPMRFKDDTDVILATSFFQELVDVSNLEAHYKAPRCTWSPIPPLELRGEPFQYLTTNGGFVSLEIFSQHIRGTKADNTVWNLLTFVSYVKYHVKQCTRGFIQRKMRQRQESLTEVIRSARIRGDEDVKKHQIGGHKNVKKLMNFSRSKMFKKRYTIITNKIKKFHYRIKIKWLLHRFRRQWLRVQKIPLLRKYRKLD >Ma04_p20760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23493028:23497257:-1 gene:Ma04_g20760 transcript:Ma04_t20760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MAFFNRVSPALVEILNKLQSGESLTDFDHNLYEYGSVRYNIQASASSPQIVNLSTWMPPLSPEFSSDCLPDCALQDVKRVYLDSAEVVEPSKQGYMLTLRIDFAELPQRKEDRMKAISEISSLHAVILSSQMKDMLWNLEPRYMGNGMCNPIKIVHQLKEPFFVIKMLEKTTVIYPMRFKDDTDVILATSFFQELVDVSNLEAHYKAPRCTWSPIPPLELRGEPFQYLTTNGGFVSLEIFSQHIRGTKADNTVWNLLTFVSYVKYHVKQCTRGFIQRKMRQRQESLTEVIRSARIRGDEDVKKHQIGGHKNVKKLMNFSRSKMFKKRYTIITNKIKKFHYRIKIKWLLHRFRRQWLRVQKIPLLRKYRKLD >Ma04_p20760.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23493027:23497257:-1 gene:Ma04_g20760 transcript:Ma04_t20760.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MAFFNRVSPALVEILNKLQSGESLTDFDHNLYEYGSVRYNIQASASSPQIVNLSTWMPPLSPEFSSDCLPDCALQDVKRVYLDSAEVVEPSKQGYMLTLRIDFAELPQRKDRMKAISEISSLHAVILSSQMKDMLWNLEPRYMGNGMCNPIKIVHQLKEPFFVIKMLEKTTVIYPMRFKDDTDVILATSFFQELVDVSNLEAHYKAPRCTWSPIPPLELRGEPFQYLTTNGGFVSLEIFSQHIRGTKADNTVWNLLTFVSYVKYHVKQCTRGFIQRKMRQRQESLTEVIRSARIRGDEDVKKHQIGGHKNVKKLMNFSRSKMFKKRYTIITNKIKKFHYRIKIKWLLHRFRRQWLRVQKIPLLRKYRKLD >Ma04_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23493027:23497257:-1 gene:Ma04_g20760 transcript:Ma04_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MAFFNRVSPALVEILNKLQSGESLTDFDHNLYEYGSVRYNIQASASSPQIVNLSTWMPPLSPEFSSDCLPDCALQDVKRVYLDSAEVVEPSKQGYMLTLRIDFAELPQRKDRMKAISEISSLHAVILSSQMKDMLWNLEPRYMGNGMCNPIKIVHQLKEPFFVIKMLEKTTVIYPMRFKDDTDVILATSFFQELVDVSNLEAHYKAPRCTWSPIPPLELRGEPFQYLTTNGGFVSLEIFSQHIRGTKADNTVWNLLTFVSYVKYHVKCTRGFIQRKMRQRQESLTEVIRSARIRGDEDVKKHQIGGHKNVKKLMNFSRSKMFKKRYTIITNKIKKFHYRIKIKWLLHRFRRQWLRVQKIPLLRKYRKLD >Ma04_p20760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23493026:23497123:-1 gene:Ma04_g20760 transcript:Ma04_t20760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MPPLSPEFSSDCLPDCALQDVKRVYLDSAEVVEPSKQGYMLTLRIDFAELPQRKETDIQTISEDRMKAISEISSLHAVILSSQMKDMLWNLEPRYMGNGMCNPIKIVHQLKEPFFVIKMLEKTTVIYPMRFKDDTDVILATSFFQELVDVSNLEAHYKAPRCTWSPIPPLELRGEPFQYLTTNGGFVSLEIFSQHIRGTKADNTVWNLLTFVSYVKYHVKQCTRGFIQRKMRQRQESLTEVIRSARIRGDEDVKKHQIGGHKNVKKLMNFSRSKMFKKRYTIITNKIKKFHYRIKIKWLLHRFRRQWLRVQKIPLLRKYRKLD >Ma08_p31970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42729027:42729626:-1 gene:Ma08_g31970 transcript:Ma08_t31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICEAAKATGGSPRLKLFGFHMSHKEEPAGGGAPPVVSEAASSSTTVASCAAWDGRKYECQYCCREFANSQALGGHQNAHREERQRQKRAQLQARAAHHQRSPRAISSVAQPAIYAGFSRPNPPHDVLHHCAAPGWTYVAEGSLTVSPAPRGLDGGPEGSRDVDLHLSPALAPRGLDGGPEGSSDLDLHLSLAPAGL >Ma05_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6159916:6161006:-1 gene:Ma05_g08320 transcript:Ma05_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWITLCSDLQVRYVGCIFLSRWCVNFLGKNSSSEESNFKNSKVMK >Ma00_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45663071:45666697:-1 gene:Ma00_g05220 transcript:Ma00_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRDERKRVKPEQSQQQQPATSRRRACGLNDAVPRSPPPGLNSSRTEIFLHIKEKGLLKDPYPMRSPRKLADRSKYCRFHRQPGHDTEECRELKRQIEELIRRGHLGSYLRPDKELSPRPEGPIERHIDVITGGPTSGGSSMAGRRAYARASRAEDSKPEKGPEVTFPTDGPELAEHDDALVISARIANAQVRRIMVDTGSSADILYWDAFQKLGLVKENMKPVCSTLTGFTGASISSLGVITLPLTLGAFPKAKTVMTSFLVVDLPTAYNAILGRPTLNKTRAVISTYYQTIKFPTHDGVGEVAGNSWESRRCYLAVVSLNKRARIQSPLEDPREGKKPTPRPEPKESTIDLPLVEGRPDQTVKIGSGLPEQEQRQLVGLLRANADIFAWTPADLAGVHPEVALHRLNISSDARPVKQRPRRQAPDRQLAIREEVNRLLAAGFIEEVSLNNACPKDCYPLPKIDQLVDATVGHARLSFMDAFSGYNQIRMAPEDQEHTAFLTEQEIYFYKVMPFGLKNAGATYQRTVNQMFAHQIGRNMEVYVDDMIVKSRTAEAHPSDLAETFDTLRRFGLRLNPAKCAFGVTSGKFLGFIIHERGIDANPEKIQAIIDMRPPRTIRDLQRLNGRLVALSRFLSRSGDRCHSFFQALKDPKNFRWTAECERAFEQMKLHLASLPRLASISPGEKLGLYLAVSRHAVSSVLVKEISGDQLPVYYVSHMLSGPEERYPPIEKLAVALVLSARKLRPYFQAHPIEVITDQPLRLVLSKFDVAGRLLKWAVELGEHDIQYVPRTAIKAQSVADFIAELIPNTGEELEPPRDTWTLHVDGSANAKGASAGLVLVTPDGRSIERSFRFGFRATNNEAEYEALLAGLQLALEMRVTDIRVITDSQLVARQLDGEYEARDPTMAKYLAQVRSLTARFTHFELSNVPRRENQRADTLAKLASGPAPWARPETEELPRRAIEVVATVAHGAPATWVQEMLRFKQDGTLPDNTTTARRLRRTQAWYTEEGGRLYKRSFSRPLLRCLEPSEAWTVLSDMHEGACGEHIGERALAHKVLRQGYYWPTMRQDAKALVRRCSSCQEHARTARRPTVLFTPVDCAWPFAQWGLDILGPLPPASGQRKYIIVGVDYFIRWVEAEPLATITESQVERFVWRNIITRFGLPQSIVTDNGPQFAGRKF >Ma08_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25451979:25473464:1 gene:Ma08_g17440 transcript:Ma08_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLFLARSAFPVTAAAGGKDARFRFAATGLPRTASASVVIVAALEKGAGASGNGAKKTGGAGGGGRVPNSNYVVPLDLTPSFCRPLKEILRDLNKRVPDNIIDPADNSIPWYHANRMLSFYAPGWCGEVRDIIFSDNGNVTVVYRVTIRGLDGEAHRESAGTVSLNDGRFKDPIAAAEELAFCKACARFGFGLHLYHEDETP >Ma08_p27270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39578346:39579356:-1 gene:Ma08_g27270 transcript:Ma08_t27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFLPKFFLLLLLLLVSGAFWTTKAAGAGATFTLKNNCPYTIWPGTLSGNGAALLGGGGFELSLNDTASFSAPPGWSGRFWARTRCLLGSSSSNGTCATGDCGGVLRCVVGGAPPASLAEFTLGSGDGTQDFYDVSLVDGYNVGIGVRPSRGSCRYAGCVADVNARCPAELRVPAESGETVACRSACEAFGAPEYCCTGAHGSPATCGPTRYSQLFKAACPAAYSYAYDDATSTFTCAAGTADYLITFCPSAADAQSKKR >Ma08_p30360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41652638:41653604:1 gene:Ma08_g30360 transcript:Ma08_t30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKGPWTVEEDLVLMNYIGSHGEGKWNSLARCAGLRRTGKSCRLRWMNYLRPDVRRGNITPEEQLLILELHSRWGNRWSKIAQRLPGRTDNEIKNYWRTRVQKHARHLRCDVNSKQFKDVMRYMWMPRLVERIRAASGSSATCVHQNVAAPSTREWPAEGSVELGQAKTSPDDSEMQLSSASESDDCFANRMQGCENGCDDWFQEADFTACWPQPLPSPGVCADDLGSLDLDGCGWEESLWSIEDVRGE >Ma09_p30920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40813546:40818536:1 gene:Ma09_g30920 transcript:Ma09_t30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTHTAAWVAEFVLRQPVEDWLANEIFLALPLPSPLPFRLRRTILLRRLTSDLSRGSPSLHTLHSLDLLLDDPPTSSSSSSSAESMAVAYRAVALHCISLSFSASNYDAFTTFVDLLRSRVADLELAGPAVLAAEPLKKLRMEIDAAVESGIVSGGLLERDTSNEALDAIRVYLKAAMEELGPPFLELAAEIVARNGIELGEPCSETSAKSGDKVKVSGVAGGVGERTSSVSVAVNGEVVHLEKNKQISSAVVDHGGNRETVLLETNPETSSTSCDTLDKDMENEIARKQNAVVHLGKPTDKFDPMSAPEVEKVANALKSSCVDLHKVVVDPLPDAVMKATEVLKNRSFGMTNDVEELEIQRQVGASGPVSAAKNGSKDNGADEIVEQSKVGASGQVSAVKTGSKDNGVDEIVEQSKKNDALDVDGSMQQRKDDAVQSNREPSVEKQSDGIDNRVQAAPTIHAEMKGTGISNTDGVQKRSLMDRNPTAHTFEWGEDPIESSSDKSPAAEKITLPSPKRKKTCSPLTIMENKKLVIRRKRKRWSSLEEETLRKAVRKHGVGNWKFILSCFSKIFEDRTEVDLKDKWRNMTRH >Ma07_p25650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32652848:32659789:-1 gene:Ma07_g25650 transcript:Ma07_t25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLECLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRSLRFEDKPDYGYLKRLFRDLFIHEGFQFDYVFDWTILKYQQSQMSGAPPRAIGSSAEPSSGLVPAIANDRQSGGEEGQTSGWLAMDSSHRGQVTQPAVTVGSLSKQKSPMGNDPSFSREAIFSSSAFLGRSSGSSRRAAVSSSRDILGSEADQTRSRTAEASPGTFRKVSSAQQSSPISSAEHKHASGRNSFTIKNYETTLRGIEAFNFDSEERDQL >Ma03_p28870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31914839:31935516:1 gene:Ma03_g28870 transcript:Ma03_t28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDSFISGLVRTLMDMAKEEVDLLLGVPGEIQKLQDTLCNIQSVLHDAEQRPIEDKAIKYWLTDLKNAMYDADDILDECRTAAEKCTPGESRPKRFKGNRFPIFAWLSEVKFRHEVGVKIKHLNGRMEEISARMSKLQLHVSAAERKLVSRVSRITSPVMESDMVGQRLEEDAKGLVEQLTKQDPSKNVVVLAIVGVGGIGKTTLAQKVFNDGKIEANFGTTIWVCVSQEFSETDLLRNIVRGAGGSHDGEQSRSLLEPLVEGLLRGNKFLLVLDDVWDAQIWDDLLRNPLQGGAAGSRVLVTTRNAGIARQMKAAHVHEMKLLPPEDGWSLLCRKATMNAEEERDAQDLKDTGMKIVKKCGGLPLAIKTIGGVLCTRGLNRNAWEEVLRSAAWSRTGLPEGVHGALYLSYQDLPSHLKQCLLYCALFREDYEFHGPTIVRFWIAEGFVEARGDVMLEETGEQYYRELLHRSLLQSQPFNLYYKNYSKMHDLVRSLGHFLSRDEGLFISDVQNEWRSGAAPMKLRRLSIVATETMDIRDIVSLTKQNESVRTLLVERTSGSVEDIDDYLKNFVRLRVLHLMGTNIEILPHYIGNLIHLRYLNVSYSYVTELPESICNLMNLQFLILKGCNELTHIPQDIVKLVNLRTLNFGGTQLESLPYGIGRLKHLNVLRGFVVTTANGTCPLEVLGSLQGLRYLSICKLERAWMEGEPRWDTSVLKGNQKLKNLHLHCLPRPTSDGYREEEIERIEKVLDVALHPPSSVVTLRLENFVGLRYPSWMVSSCISSLLPNIRRLELIHCHYWPLLPPLGKLPSLEFLHIEGTLAVATIGPEFFGCEAAATGHDWERPSSSSPPPHLMSFPRLRQLRLRDMINMQVWDWVAEGFAMRRLNKLFLENCPKLKSLPEGLIRQATCLTTLDLANVCALKSIRGFPSVKELSISGESDLEIVTDLPALELLKLGTFGSRINHLPEWLADCPACFTTLQRLDVHGTTQLLRRCLQNGAYWPMIKHFPIFSIEDGRGNYINYIKGSCIFDTNLVDTDAAFAAAAAEEEEEKRHQ >Ma07_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10029630:10036578:1 gene:Ma07_g13290 transcript:Ma07_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAVQNNQMAGSIAAGIGNLTGLTVLWLFANLLSGNIPSTLGSLPRLGLLELGKNLFTGGILGNLTVLNQFDLNINKLHGIIPPSLGNCPLEITIKSYRVTLQKFKLLPSQFTLKYIKQYPPMIYAIPPPKATSMTPTMKDKPHCFASACAFSPSSLTFLILALSCPLASSASISTLNSSNENIDRLALLSFKSLVSDPFRALASWNDESLHFCRWRGVTCRNQSHLPRVTALELESLDLAGKISPSLANLTFLRRLHLADNRLHGPIPQEIGLLSHLQRLNLSSNALRGAIPHNLGRCSELQYMDLSNNLLEGEIPNDLGALSKLKILYLGGNNLTGSIPPDIGNLVSLRQLYLYENQLIGSIPPEIGNMTSLTTLSLAVNQLSGSVPLEIGKLVRLEELYLDVNQLTGNVPTSVRNLTPLVFLELSHNQLTGVIPHNLSHCSELQYIYLENNTLEGEIPSDIGSLSNLKLLALGGNHLRGSIPPEIGNLANLIVLDLSSNNLEHSIPPEIGNLVSLRQLYLHENQIIGSIPSEIGNMTSLTTLSLAINQLSGSVPLEIGKLVRLEELFLDVNQLTGTVPTSIGNLTSLVLLALSQNQLTGVIPHNLSHCSELQYIYLGNNTLEGEIPSDIGSLSNLKLLALRVNHLRGSIPPEIGKLVNLMALDLSINNLEHSIPPEIGKLIRLRELYLYENQLTGKIPLEIGNLSNLTILDMPFNKISGSVPSEIGNLVRLEHLYLNSNQITGVIPASIGNLTSLIWLQLSYNTLEGKIPSDIKSLTNLKVLSLGDNRLTGIIPPEIGNLVNLIGLYLYRNKLEGSVPRGIWNLVRLRELILQDNNLAGIIPSEIGNLVNLTVLNLLFNDFVGTVPAAIGNLTSLTYLGVSFNNLGGVIPPSIWNLSSLRTLELENNNFTGSIPPDMGITLPLLEALNINDNQFYGPLPISLSNATNLFNIQLYKNRFTGTIPRGLGSLQKVDHFDLCYNQLEASNAVEWGFLDDLANCSSLKYLQITSNKLGGFLPRSIVNFSTTLEWFEIDDNNIAGSIPAEIGNLVSLTSVRMNSNLFTGKIPATVGNLSNLHIMDLSRNCFTGEIPATLGNLTRLFELRLHSNQLQGPLPPSLGNCPLNLLDLSVNKLNGTVPKEIMAIPTLTRLLNVSYNSLEGSLPFEVGKLKNIGEFDISGNRLSGTIPRTLGDCQQLDSLDMAGNSFQGSIPSSFSQLKGLQSLDLSRNNLSGLIPEFLGNFKFLSNLNLSFNNFEGELPKHGIFTNLSAFSVLGNSKLCGGVQALNLPPCPTRSSHLSRKLVAAISVAGGIICLIFLLALFGIHRWIRKSKKEPRAADDRMVPHMMVTYAELLRATDGFSSANLIGVGSFGSVYKGLLNYEEYQLVAVKVFNLQQRGASGSFVAECEALRNVRHRNLVKILTACTSTDYRGNDFKALLYEFMPNGSLEKWVHPEANEQGQTRALSLIHRLNILIDVASALDYLHHHGPEPIVHCDIKPSNVLLDHDMVAHVGDFGLARFLNRSPTEASQRSSTSMLFKGSIGYVAPEYGVANKVSVEGDVYSYGILLLETLTGKRPTGESFRDGLSLPRYVEMALPERVSEIIDPNLHFEKGEAANGHIQGTDYIRDKAVECVNLSLRIGVRCAKESPQERMQMRDVISELIAIRNGWIHH >Ma09_p29670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40069361:40074295:1 gene:Ma09_g29670 transcript:Ma09_t29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEDERRSPLEEGLLPENENQGQYTGDGSVDIHGNPVLKNSTGNWRACPFILGNECCERLAYYGIATNLVTYLKNKLHEGNASAARNVTTWQGTCYITPLLGAILADAYWGRYWTIAVFSTIYFVGMATLTLSASVPAFKPSPCVESVCPEASAVQYAIFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPRERMKKGSFFNWFYFSINIGALISSSFLVWVQDNCGWGLGFGIPTLFMGLAIISFFFGTPLYRFQKPGGSPLIRMCQVVVASFRKWKVDVPHDSCLLYEVPEKASAIKGSRKLEHTDEIKFLDKAATVTDLDTKTESFSNPWRLCTVTQVEELKILVRMFPVWATTIVFSAVYAQISTMFVEQGMVMDTSIGSFTIPPASLSTFDVISVIVWVPIYDRILVPVARRFTGKERGFSELQRMGIGLFISILAMAAAAVVEIRRLDIAKAEGLVSEKVAIPLSIFWQTPQYFLVGAAEVFTFIGSLEFFYDQSPDAMRSLCSALSLLTTALGNYLSSFILTVVTSVTTRGGRTGWIPDNLNEGHLDYYFWLLTGLSFLNLLIYVACANRYKSKRAS >Ma09_p14050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9567456:9572552:-1 gene:Ma09_g14050 transcript:Ma09_t14050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKAFWNSPVGPKTTHFWGPIANWGFVAAGLVDTQKPPEMISRNMTAAMCVYSGLFMRFAWMVQPRNYLLLVCHASNESVQLYQLSRWANAQG >Ma09_p14050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9566757:9572539:-1 gene:Ma09_g14050 transcript:Ma09_t14050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKAFWNSPVGPKTTHFWGPIANWGFVAAGLVDTQKPPEMISRNMTAAMCVYSGLFMRFAWMVQPRNYLLLVCHASNESVQLYQLSRWANAQGYLEKKEPEGQH >Ma09_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9566757:9572553:-1 gene:Ma09_g14050 transcript:Ma09_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKAFWNSPVGPKTTHFWGPIANWGFVAAGLVDTQKPPEMISRNMTAAMCVYSGLFMRFAWMVQPRNYLLLVCHASNESVQLYQLSRWANAQGYLEKKEPEGQH >Ma01_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11970788:11971377:-1 gene:Ma01_g16510 transcript:Ma01_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKRSREEEESCNSPSSPEAKRFHADILLGIFDDGDQEDDVGCREAPFDVPEELATVMRSLEREIALAAPSSSSPSPLPELGYLLEASDDELGIPPAAEEERGGGVEAERAAAMWLGDDDGMSGYGYDGLGFGFFRFEEADDEVDDGGPFDYARDDIWIV >Ma05_p29020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39849236:39850242:1 gene:Ma05_g29020 transcript:Ma05_t29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSILLMFFVSMMGLFLMMWNSAPPRMQNQTARVFFPKVLKTLSYSLLGLLAVTATVVASAYLESYLPLALSPVLLLGVIVWFVMIPHCQGGRLLPQIDTMDHKAELKPMSKVATVTTQITFGGMMSVFSGLFGDKDSGFQHKIFMLLMFFAFLSSFSVNLLTVSTPKAATQITVIWILNTCTFFFLALGAAAVYLVVVMGG >Ma04_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4301573:4302378:-1 gene:Ma04_g05760 transcript:Ma04_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKAIAGKIDAATTNRGGGKAGQSDRSGQDKGGHAKLECPLCKITAPDIKSMQIHHDSRHPKVPFDESKLVNLHTTCVPETSKPRPGVRGSFKK >Ma02_p03710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15130865:15136134:1 gene:Ma02_g03710 transcript:Ma02_t03710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAVIRPRLAAAAYSPTRCSSSSSNSASISPRETPTVDSSDPLSELSSVLTRSNWLDDPRLPRLAPSLTPHHVAALLRSRPLEPRVALGFFDWISLRPGFRHTVDTYSSLLQTLARANLPPRRAEKIVISMIKSCSSAVEIHSALQSFKSLNQIGFFPSLRCHNFMMMKFARFQMIAEMKDLYEQMQKDGIFPNLHTYNTIINAHCKEGNIIEAKVYLNYLLQAGLDPDSHTYTSFILGYCQSDDFVRACRVFLLMPLRGCARNEFSYTVLVHGLCEAGKVKEGLSLFSLMDNDECSADLHIYTVMIGGLCKLGRDVDAKMMLNEISQKGLVPNVVTYNVLIDGYCKIGKINDAFGVLDLMESNGCKPNVRTYTELICGLCRNKKVHKAMALLTKMLEDGLLPNQVTYTSLIQGQCMEGDTNSAFRLLSLMEEKGMVPNEWTYSVLIGGLCKGGKTEEAISFFRSLSQNGMKVNEVVYTTLIDGLCKAEKIDIAHSLLEEMISEEYIPDSYTYGAIINGLCKDKKLQEARSLFDSMLEKGIQPTVVTYTILIDELITVSGSAEGAMALEQMISSGCKPDVFTYTVLVKSYCKEGRLEEAESLMVQMQSNGIAPNTVTYTTYIDGLVNMGLFDQAFSTFMTMAEAACEPIDETYSILLKLHLKKKQVDGYFIDANRMWEKVSLDVVLELFEELAKQGFTPTVKSYSCFVKSFCKLDRLEEAKSLLFHMQEACKVPSEDIYTSLISCCCRLNKYLEALAFIDSMISCGFMPCLASYQVLLSGLCDEGSLDEAKSVFTSLLSRGYNCDEIAWKILIDALLQKGHVDMCSNFLTIMEENHCAPSPETYDNLTKELSCIVNDD >Ma02_p03710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15130865:15136134:1 gene:Ma02_g03710 transcript:Ma02_t03710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAVIRPRLAAAAYSPTRCSSSSSNSASISPRETPTVDSSDPLSELSSVLTRSNWLDDPRLPRLAPSLTPHHVAALLRSRPLEPRVALGFFDWISLRPGFRHTVDTYSSLLQTLARANLPPRRAEKIVISMIKSCSSAVEIHSALQSFKSLNQIGFFPSLRCHNFMMMKFARFQMIAEMKDLYEQMQKDGIFPNLHTYNTIINAHCKEGNIIEAKVYLNYLLQAGLDPDSHTYTSFILGYCQSDDFVRACRVFLLMPLRGCARNEFSYTVLVHGLCEAGKVKEGLSLFSLMDNDECSADLHIYTVMIGGLCKLGRDVDAKMMLNEISQKGLVPNVVTYNVLIDGYCKIGKINDAFGVLDLMESNGCKPNVRTYTELICGLCRNKKVHKAMALLTKMLEDGLLPNQVTYTSLIQGQCMEGDTNSAFRLLSLMEEKGMVPNEWTYSVLIGGLCKGGKTEEAISFFRSLSQNGMKVNEVVYTTLIDGLCKAEKIDIAHSLLEEMISEEYIPDSYTYGAIINGLCKDKKLQEARSLFDSMLEKGIQPTVVTYTILIDELITVSGSAEGAMALEQMISSGCKPDVFTYTVLVKSYCKEGRLEEAESLMVQMQSNGIAPNTVTYTTYIDGLVNMGLFDQAFSTFMTMAEAACEPIDETYSILLKLHLKKKQVDGYFIDANRMWEKVSLDVVLELFEELAKQGFTPTVKSYSCFVKSFCKLDRLEEAKSLLFHMQEACKVPSEDIYTSLISCCCRLNKYLEALAFIDSMISCGFMPCLASYQVLLSGLCDEGSLDEAKSVFTSLLSRGYNCDEIAWKILIDALLQKGHVDMCSNFLTIMEENHCAPSPETYDNLTKELSCIVNDD >Ma02_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15130865:15136134:1 gene:Ma02_g03710 transcript:Ma02_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAVIRPRLAAAAYSPTRCSSSSSNSASISPRETPTVDSSDPLSELSSVLTRSNWLDDPRLPRLAPSLTPHHVAALLRSRPLEPRVALGFFDWISLRPGFRHTVDTYSSLLQTLARANLPPRRAEKIVISMIKSCSSAVEIHSALQSFKSLNQIGFFPSLRCHNFMMMKFARFQMIAEMKDLYEQMQKDGIFPNLHTYNTIINAHCKEGNIIEAKVYLNYLLQAGLDPDSHTYTSFILGYCQSDDFVRACRVFLLMPLRGCARNEFSYTVLVHGLCEAGKVKEGLSLFSLMDNDECSADLHIYTVMIGGLCKLGRDVDAKMMLNEISQKGLVPNVVTYNVLIDGYCKIGKINDAFGVLDLMESNGCKPNVRTYTELICGLCRNKKVHKAMALLTKMLEDGLLPNQVTYTSLIQGQCMEGDTNSAFRLLSLMEEKGMVPNEWTYSVLIGGLCKGGKTEEAISFFRSLSQNGMKVNEVVYTTLIDGLCKAEKIDIAHSLLEEMISEEYIPDSYTYGAIINGLCKDKKLQEARSLFDSMLEKGIQPTVVTYTILIDELITVSGSAEGAMALEQMISSGCKPDVFTYTVLVKSYCKEGRLEEAESLMVQMQSNGIAPNTVTYTTYIDGLVNMGLFDQAFSTFMTMAEAACEPIDETYSILLKLHLKKKQVDGYFIDANRMWEKVSLDVVLELFEELAKQGFTPTVKSYSCFVKSFCKLDRLEEAKSLLFHMQEACKVPSEDIYTSLISCCCRLNKYLEALAFIDSMISCGFMPCLASYQVLLSGLCDEGSLDEAKSVFTSLLSRGYNCDEIAWKILIDALLQKGHVDMCSNFLTIMEENHCAPSPETYDNLTKELSCIVNDD >Ma02_p03710.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15130865:15136134:1 gene:Ma02_g03710 transcript:Ma02_t03710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAVIRPRLAAAAYSPTRCSSSSSNSASISPRETPTVDSSDPLSELSSVLTRSNWLDDPRLPRLAPSLTPHHVAALLRSRPLEPRVALGFFDWISLRPGFRHTVDTYSSLLQTLARANLPPRRAEKIVISMIKSCSSAVEIHSALQSFKSLNQIGFFPSLRCHNFMMMKFARFQMIAEMKDLYEQMQKDGIFPNLHTYNTIINAHCKEGNIIEAKVYLNYLLQAGLDPDSHTYTSFILGYCQSDDFVRACRVFLLMPLRGCARNEFSYTVLVHGLCEAGKVKEGLSLFSLMDNDECSADLHIYTVMIGGLCKLGRDVDAKMMLNEISQKGLVPNVVTYNVLIDGYCKIGKINDAFGVLDLMESNGCKPNVRTYTELICGLCRNKKVHKAMALLTKMLEDGLLPNQVTYTSLIQGQCMEGDTNSAFRLLSLMEEKGMVPNEWTYSVLIGGLCKGGKTEEAISFFRSLSQNGMKVNEVVYTTLIDGLCKAEKIDIAHSLLEEMISEEYIPDSYTYGAIINGLCKDKKLQEARSLFDSMLEKGIQPTVVTYTILIDELITVSGSAEGAMALEQMISSGCKPDVFTYTVLVKSYCKEGRLEEAESLMVQMQSNGIAPNTVTYTTYIDGLVNMGLFDQAFSTFMTMAEAACEPIDETYSILLKLHLKKKQVDGYFIDANRMWEKVSLDVVLELFEELAKQGFTPTVKSYSCFVKSFCKLDRLEEAKSLLFHMQEACKVPSEDIYTSLISCCCRLNKYLEALAFIDSMISCGFMPCLASYQVLLSGLCDEGSLDEAKSVFTSLLSRGYNCDEIAWKILIDALLQKGHVDMCSNFLTIMEENHCAPSPETYDNLTKELSCIVNDD >Ma02_p03710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15130865:15136134:1 gene:Ma02_g03710 transcript:Ma02_t03710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAVIRPRLAAAAYSPTRCSSSSSNSASISPRETPTVDSSDPLSELSSVLTRSNWLDDPRLPRLAPSLTPHHVAALLRSRPLEPRVALGFFDWISLRPGFRHTVDTYSSLLQTLARANLPPRRAEKIVISMIKSCSSAVEIHSALQSFKSLNQIGFFPSLRCHNFMMMKFARFQMIAEMKDLYEQMQKDGIFPNLHTYNTIINAHCKEGNIIEAKVYLNYLLQAGLDPDSHTYTSFILGYCQSDDFVRACRVFLLMPLRGCARNEFSYTVLVHGLCEAGKVKEGLSLFSLMDNDECSADLHIYTVMIGGLCKLGRDVDAKMMLNEISQKGLVPNVVTYNVLIDGYCKIGKINDAFGVLDLMESNGCKPNVRTYTELICGLCRNKKVHKAMALLTKMLEDGLLPNQVTYTSLIQGQCMEGDTNSAFRLLSLMEEKGMVPNEWTYSVLIGGLCKGGKTEEAISFFRSLSQNGMKVNEVVYTTLIDGLCKAEKIDIAHSLLEEMISEEYIPDSYTYGAIINGLCKDKKLQEARSLFDSMLEKGIQPTVVTYTILIDELITVSGSAEGAMALEQMISSGCKPDVFTYTVLVKSYCKEGRLEEAESLMVQMQSNGIAPNTVTYTTYIDGLVNMGLFDQAFSTFMTMAEAACEPIDETYSILLKLHLKKKQVDGYFIDANRMWEKVSLDVVLELFEELAKQGFTPTVKSYSCFVKSFCKLDRLEEAKSLLFHMQEACKVPSEDIYTSLISCCCRLNKYLEALAFIDSMISCGFMPCLASYQVLLSGLCDEGSLDEAKSVFTSLLSRGYNCDEIAWKILIDALLQKGHVDMCSNFLTIMEENHCAPSPETYDNLTKELSCIVNDD >Ma09_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35482256:35485018:-1 gene:Ma09_g23720 transcript:Ma09_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSRSDRNKRRCRSPSASAGKWADLLDPLLLSVLDRLPSLRDRFAVASVCRTWRAVSRPSLSSATGSCPPLLFRLFVGPFHRRSRRCHQISPRRCDLLCPAAPFAPSRSVVSSGTLNLFLLGCSYGHLIFCKGRRAILADIFTGDELRSPDLPSDGWRFHYGALTGSLSSPGSNLLLSANGNLLRWRIGDSKWEECSFTPLDVHMERVVAFKDHVFALDSAERLRVLQFSPYFSIKQMAVEWAGRSIYSGMNIGFTQQLVECGGELMLVQVIPAEQIMHLKFEVYRLDLSGQPTWVKVDGLGDWALFIEESGRCPASCPCPGRWGGRSNCIYYAGHGRGRWHVFSLDDTSIDTTDPESPLYFDNQCVSKWPSPLWVYPSMLY >Ma03_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3554354:3556792:1 gene:Ma03_g05420 transcript:Ma03_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTLNPRFHAAPPPSPSLWSVRISSVFGFSHRIEDHQIRRYLRRVISFKPRGVARYISWEVNELNALRVGRRQLPWASHIRVGANASAVHDYAGGLGAVTNSSASNWGVFYGTHQTRSTYKLIYA >Ma10_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30381452:30384178:1 gene:Ma10_g20090 transcript:Ma10_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRNTSRGKPQSCCFGLLAVIFLISLSYLTMSKTSMLRFSVVNSQLPPMTNGNTSDMASDVYPVTEDSSIRSQGLETEEAELGRSLACELKDGQCETGHGRMVVKNSSTVVDQDPTRVMASSDELVFNEEERRDNVKPGRKPICDLSNTKSDICEADGDVRIIGKDTRMIYVANSEFSNRETGESWTIKPYARKWDKGSGAKVREVTMKLVKSHGEDKHCDVNHTVPALVFAIGGWTGNFFHDFADVLVPLFETSYHFEGEVQFLIANIKPLWINKYHKYFEKLSRYKIIDYDNDDRVHCFKQVTLGLRCDQGDFTINPSKDPYGYTMADFTKFTRSAYSLKRDHPVRPGEQAGKKPRILIVTRKATRKFTNVKEIVRMAKKVGFNAVVTEAEANITRFSQVVNSCDVMMGVHGSGLTNIVFLPTHSVVIQVVPWGNLDWIAGNYFRDPSRQMKLHYLEYSINEEETTLSELYPRDHAVFKDPMSLHHQGWDTFSRIFLVEQNVKLDVRKFRSVLEHALQLLREQQYR >Ma10_p25600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33661276:33663074:-1 gene:Ma10_g25600 transcript:Ma10_t25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGDLSANPPPALGKLVTVLSIDGGGVRGLIPATLLAFLESKLQELDGADARIADYFDVIAGTSTGGLVTAMLTAPDKDKRPLFTAKQIIQFYLDNCPKIFPRKKAGLLNPLLNLFGAVTGPKYDGKYLHSKVQQLLGDTKLSQTLTNVVIPTFDIKFLQPIIFSTFETKSTPLKDALLSDVCVSTSAAPTYLPGHCFETKDDEGSTREFNLIDGGVAANNPTLTAMNQVTKEIFMENADFFPIKPVDYGRFLVISLGTGSNKQEERFSAEESGKWGLLGWLYNKGTTPIIDIFSQASADMVDIHASVLFQALHSEQHYLRIQEDALVGDTASVDVSTRENLEKLMEVGGNLLKKPVSRVNLENGTFEPCDGEGTNEEALTRFARRLSSERKLRNSKLPL >Ma02_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19358693:19360210:1 gene:Ma02_g09260 transcript:Ma02_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGLALVAFPSLFSVAVGYGYGGGWSGAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYELRCVDDPQWCLPGSIVVTATNYCPPNYALPNNAGGWCNPPLQHFDLSQPVFLHIAQYRAGIVPVAYRRVPCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHAVSIKGSSTGWQAMSRNWGQNWQSNTYLNGQALSFKVTTSDGRSVVAYNVAPSAWSFGQTFSGGQFA >Ma07_p26840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33456141:33456284:1 gene:Ma07_g26840 transcript:Ma07_t26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTDSPVVELPVGGAVLTLEHDNGSMHVGISVWPCSLISTSASTLC >Ma05_p30360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40794866:40797573:1 gene:Ma05_g30360 transcript:Ma05_t30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRKPSASTQLGYTPAVAVERIRSSKVSKPALKSLASLNKAETSTAAALRDLGRGMDDRVRQEGRVPLKEVVADCTRRWFQDALKEARAGDAGMQVLVGQMYHSGYGVLKNDQKADAWIAKASKYRSSVWKVSDKRPGYNASDSDSDDNEKIVMKS >Ma02_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19581503:19582589:1 gene:Ma02_g09720 transcript:Ma02_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDAPVKTDGTSTNSTNDGGSRLRKGLWSPEEDEKLMNYMVGNGQGCWSEVARNAGLQRCGKSCRLRWINYLRPGLKRGAFSLQEEELIIHLHSILGNRWSQISALLPGRTDNEIKNFWNSTIKKRLKNSSSSSSSSSSSSFFLNSGDGSTVNEPQIQAMDMDSSSSSASSSTHGLPTGNHYDLVPLPEVGLENDYYLHEARVGTENGYYGGGQGMAMEGGGTMGRGGELLLVPPLVNTNLIVDDNVDVNEIPSDKAVGGGLFWEGEKVRVGEWEWELEDLMKDVSFPFLDFQVE >Ma02_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18092837:18093106:-1 gene:Ma02_g07410 transcript:Ma02_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPANLWRTPIPYLFGGLGAMGILIAIALVILLCSTSKSSSGENSELSTLHEKAMAVPSDMEPRILVVMAGDDMPTFVARPASSSAPCH >Ma07_p27530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33864617:33867099:-1 gene:Ma07_g27530 transcript:Ma07_t27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGGRQPTWKERENNKRRERRRRAIAAKIFTGLRAMGNYKLPKHCDNNEVLKALCREAGWIVEEDGTTYRKGCKPPPPPPESAMGGPSAGISPCPSSHQLSPLSSAFTSPVPSYHASPSSSSFPSPSRLDNANNPSMNPSCLLPFLRNLTTLPPLRISNSAPVTPPLSSPTASSPPKIKAPDWDQNSFRHTLFAASAPASPTRGRHHAHPATIPECDESDASTVDSGRWVNFQMTAPGSPTFNLVNPVAAMPVTAAGAIGGGVPEKGRGGMEFDFESGRVKPWEGERIHDVGVDDLELTLGVGSTTSK >Ma08_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35993070:35999022:1 gene:Ma08_g22410 transcript:Ma08_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPTASSSFFLQRIRLPAISSLIVSASRPPSPFRSSSSQPQLSFSPRLRYSPVSPPTSSSFLTWAAPPVVGTPRLRTKVCYCAGSSGRENKELLVQHLLVGEDNLKLLLELQQRISGGVDLSDLAVEYSVCPSKENGGMLGWIRRGQTVPEFEEAAFSAPLNKITRCKTKYGWHLLQVLSEREESVLQDVEPEELHLKMQDPSFIEEVQLIDVREPEEVAQASLPGFKVLPLHQFGTWGSLITDEFDPEKDTYVLCHHGVRSLQIAKWLQTQGFKRVFNISGGIHAYAIKADPSVPTY >Ma09_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34549811:34551848:-1 gene:Ma09_g22670 transcript:Ma09_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLGCSCNLTDLRLNSNNFNGELTDVVDGWTSPQTHHKATSLKHTFPGFTGQLPETIGKLDLLQVLDISCNHLAGQMPRTLGCSCNLTDLRLNSNNFNGELTVDGWTSPQIHQKATSLKHTFPGSQTWNI >Ma08_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2339482:2347121:1 gene:Ma08_g03130 transcript:Ma08_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMASLALALARPSHLPPVRQRAPDSIAPRDTSFRLHYQSPKPLAGGRTGPLLAPAAVSSSSSFSPAALTAAPTSTTLMLKRVSVDSLQYESGYLGGISEKTKPSPAEAADEVQNGALNPMEYLTNILSSRVYDVAIESPLQLAPKLSARLGVDLWLKREDLQPVFSFKLRGAYNMMAKLTKEQLDRGVICSSAGNHAQGVALAAQRLGCDAVIVMPVTTPEIKWRSVERLGATVILKGDSYDEAQSYAKQCGEQEGRTFVPPFDHPDVITGQGTIGMEIIRQLSGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKVIGVEPSDANAMALSLYHGQRIMLEQVGGFADGVAVKVVGEETFCLCRELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCRYYGLKDETIVAITSGANMNFDRLRLVTELADVGRKREAVLATILPEERGSFKKFCKLVGPMNITEFKYRFDSRKDNALVLYSVGVHTDSELAAMVHQMEHAQLKTFNLTNDDLAKDHLRYFMGGRSNVEDELLCRFVFPERPGALMKFLDSFSPRWNISLFHYRAQGETGANVLVGIQVPKGETEEFKNRAQNLGYEYAYEMNNAAYRLLMQ >Ma01_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8847748:8850834:-1 gene:Ma01_g12200 transcript:Ma01_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSSSWLTAVKRAFRSPSKDSEKKASKQREEPEQEEEEKHKKEKRRWLFRKSSAHEQQPQQGQQTRTPPPPQAAVTPEQRHAIALAVASAATAEAAVATAQAAAEVVRLTQPSSSFAKEQHYAAVVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVRDQRMRLAQESSSVVSRGSNKSSFSCDTSLWESKFLQELAERRSMSRDGSSFADDWDDRPRTIEEIQAMLQSRKEAALKRERALSYAFSHQLWRSDRNPSPALDEDADHEVASAGEQMPIRWMDRYIASRSSFDNRASSRAARASTDHRDPIKTLEIDTSRPFSYSAPVNPRRPTPPPPGTQHACSPLHRAQHQHHHYQTHSPVTPSPSKTRPLQVRSASPRYGREDRSFSTVHTPSYHNHHGAGASRQHPPAMVPNYMAATESAKARVRSQSAPRQRPATPERDRAGSAKKRLSFPAPDPYGYSQSLRSPSFKSATGRFAGDPRSNVSSSCNDSLGGEVSPSSTTDLRRWLR >Ma03_p12430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9592928:9597509:-1 gene:Ma03_g12430 transcript:Ma03_t12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGGLGFGGSNTVMKKPRSATLRRPRLEAQLVSESYDNLRRLSPVDDGCSESSTRRKEYYLNNPPPKSSSVNIASSKKIKQSDKMFGGVDGMHYGSSRGGHGFDSKCRSKGVLAPAKWRSTDKVKEDTEERSKSLDANVGKSDVSYNLQQPEAVSIVMAENKPRKVKLKVGGVTHTIIETDEGGSSSAKHPRSLDASHHRLKLIDPFQSYSDGQSLQERGNGSQGDQRKESSGSSVSHGMKEDSTGTVAEESLVGKQADKFHMSASFEPTRKSKRVPKRRMFDNEEEDDEIRYLENVKTSKASAVDSAEIEDNSENSVKKRKISKLPSNRNTVNEMDEDYVVSQSIKENTRNLRPGGKEDDDTDYVEEEEPGSDGEPEIKRRKQKESSGLQADIKTEPLTTRQRALQSGKGGSGESFIEFPNGLPTAPSRKQRETLSEVEIQAKRAEAAQRRKMQVEKQARESEAEAIRKILGQGSDKKKEEKKQKELEEKAKLAKSQPLSPSTIRWVRGPFGTVVTFADDVGLPSIFDSKPCSYPLPREKCAAPSCTNAYRYRDSKTKLPLCSLQCYRASSRKMVSSGYRQITMIFKASRLHHVLAGGPKCRNLK >Ma03_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9592926:9597509:-1 gene:Ma03_g12430 transcript:Ma03_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGGLGFGGSNTVMKKPRSATLRRPRLEAQLVSESYDNLRRLSPVDDGCSESSTRRKEYYLNNPPPKSSSVNIASSKKIKQSDKMFGGVDGMHYGSSRGGHGFDSKCRSKGVLAPAKWRSTDKVKEDTEERSKSLDANVGKSDVSYNLQQPEAVSIVMAENKPRKVKLKVGGVTHTIIETDEGGSSSAKHPRSLDASHHRLKLIDPSYSDGQSLQERGNGSQGDQRKESSGSSVSHGMKEDSTGTVAEESLVGKQADKFHMSASFEPTRKSKRVPKRRMFDNEEEDDEIRYLENVKTSKASAVDSAEIEDNSENSVKKRKISKLPSNRNTVNEMDEDYVVSQSIKENTRNLRPGGKEDDDTDYVEEEEPGSDGEPEIKRRKQKESSGLQADIKTEPLTTRQRALQSGKGGSGESFIEFPNGLPTAPSRKQRETLSEVEIQAKRAEAAQRRKMQVEKQARESEAEAIRKILGQGSDKKKEEKKQKELEEKAKLAKSQPLSPSTIRWVRGPFGTVVTFADDVGLPSIFDSKPCSYPLPREKCAAPSCTNAYRYRDSKTKLPLCSLQCYRASSRKMVSSGYRQITMIFKASRLHHVLAGGPKCRNLK >Ma11_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16578687:16579300:1 gene:Ma11_g12680 transcript:Ma11_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAARVWLRLAVAFLFLLAAFYVGRPLYWKLSATIHEVREKRKSVRDGISQFVLEAQKSVGWVHDESDSGAGDDRKASTATSRRILRSVLSGHPSSMDI >Ma08_p25780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38508107:38510151:-1 gene:Ma08_g25780 transcript:Ma08_t25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSADTFRTVVGIIGNGTALVLFLSPAPTFHRIWRSGSVEQFHATPYLATLLNCLFWILYGLPMVHPHSTLILTINGSGLVIELVYVLIFLRCSDGARKLRVFSVLLAEILLVGAVAAVDLTLVHGYQRRSLIVGVLCVVFGTVMYAAPLSVMQQVIKTKSVEFMPLSLSLASFLNGVCWTTYALIRFDLFITIPNGLGVAFAVAQLVLHMMYHASTKQQIKERKMKIEMGLAKPNGSLKEDLEAGSRNGS >Ma02_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28851335:28852285:-1 gene:Ma02_g24130 transcript:Ma02_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHIRDQRKLQSISQKQIMGRSEIDGRCRRHPEHRQSRGVCPFCLRDRLSQLSASSSATTTLSSAAATSSPDTNLSSAAASPPSQVRNKGLRLLLKPGPLKKSRSLAFVIGRLREEDKGKKKEEGKKEKDKKKRKKGGSFWSKMVLGSDRRKEVDGDMLHSRTMKEKTATKWALF >Ma03_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8191181:8193720:1 gene:Ma03_g10850 transcript:Ma03_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDRLRQSSERAAVVAIECVAGSSKAEEWCGDMLQTGDVVEEIQIGGSPAVRSPFKGGKSGVQKLLHSAFKRGDTSIEVRVRRCGGAAAELQACIVPHGAAGRRQYALRCIRDPNYAVRFVDRMESECIALQGSRSSRVVCALSTAKLQDGYVPYNWEKKMQEFLPVSNSSCFLSMLILPKALDPLASRYNSLQDTLARANAWLCSSQTSGVPIEFMNVQTEALLTKISGETASATVNSGSLSDLSNLANASLYGFEDYHGVDIGVVKAVRLWYTPAAGELAVDIRLQEGDTKLGLTISRTEEGFIHISSVDESDNEAASTRSGLGDLFRRARNSSKLLVISRVSNEKVLPWMVSSAGAIRCFDTISLGQKLSLHRHALKPIRIHVLLWEQASAGAVARYNAAPPLCVPLPPSTAELPVHLVVADASKIGLERDPAGDVSFRFNDICLL >Ma03_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4082564:4090118:1 gene:Ma03_g05940 transcript:Ma03_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPGFSSSKSERKGDTNKQQQQRRDPYEVLGVPRNATEQEIKSAYRRMALKYHPDKNANDPIAADVFKEVTFSYNILSDPDKRRQYDTSGFEAIESDSQELELDLSSLGTVNTMFAALFSKLGVPIKTTVSATVLEEALNGSVIIRSLPLGQSIARKVEKQSAHFYTVEITEREAQMGVVCRVYSAEKSKFKLLYFEQEENGGLSLALQEDSTKTGRVTSAGMFFLCFPVYRFDQSHSIAIAKDPDAKFFKKLDGFQACEVNELKAGTHVFAVYGDNFFKSVNYTIEVMCAEQFSAEKEKLRDVEAKILTKRAELSKFETEYREVLARFTEMTNKYAQEMQAIDELLKERNTIHASYTISPLKRNSSSSKISSPFNGSKSDEECPTIEKKPKDEKKSRDRTRRKKWFKIHLKVDKRKAC >Ma05_p29980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40494692:40505462:-1 gene:Ma05_g29980 transcript:Ma05_t29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGTLCALVLVSISCWCSASGIKANQTATLLVDASPGMGRMMPQNMFGVFFEEINHAGAGGLWAELISNRGFEDGGPNTPSNIYPWSIIGNETNIIVSTDRTSCFSRNKVALRMEVLCDNNGSNICPAGGVGVYNPGFWGMNIQQGKAYKIALHVRTSESMTLYLSLTSSDGSLNLATATIESDTLEKTDWTKVELQVESSGTNVNARFQLTTTTKGVIWLDQVSVMPLDTYKGHGFRMELASMLADLKPRFLRFPGGSYVEGEWLMNAFRWRETIGPWEERPGHFNDVWKYWTDDGLGFYEFLQLAEDIGALPLWVVNTGISHNDQVNPSSISAFVKDTLDAIEFARGDSGSKWGSIRASMGHPEPFQLYYVALGNQDCSKRNYKGNYLKFYSAIKDAYPDIQVISNCDGSSGPLDHPADLFDFHVYTSANNMFSMAHQFDRTSRSGPKAFVSEYAVTGKDAGKGSFLAALAQAGFLIGLERNSDIVEMASNAPLFVNDNDRRWSPDAIVFNSWQQYGTPSYWMQHFFKESSGSTIHPSVLQASSTKSLMASAITWQKSEDGNKYLKIKIVNCGSDLVNLKISVTGLENDVCSCVSKKTVLSGGLTDENSFREPKKVFPVSSKLPNAGSNMDVVITPYSLNAFDLFLAPPASYSSSM >Ma05_p25270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37233112:37236642:1 gene:Ma05_g25270 transcript:Ma05_t25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MPLIRPASLCPLQFPNPSPLHFSRPKPWFAVVKAAGSVSARPSRRAAPKSRDASEAAGSVSTRPRRRSAPKSRDDSEAAELVRVVLRKSGDGKEPLVVTLSKFVRVVRTEHCFLLFEELGKRDNWLQCLEVFRWMQKQRWYIADNGIYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSRDKSKALAKAIGYFEKMKGIERCRPNIVTYNILLRAFAQAGDVKQVEALFKDLDEGIISPDVYTYNGVMDAYGKNGMLKEMEAVLLRMKSNQCKPDSITFNLLIDAYGRRQAFDKMEQVFKSLLRSKEKPTLPTFNSMITNYGKARLKEKAEYVLKKMVDLGFKPSYITYECLMTTYGYCDCVSKAREIFDEMISTQKEIQISTLNAMLEAYCMNGLPYEADQLLDYSIKRNLVPNASTYKLLYKAYAKANMKELINNLLKHMDEQGIVPNKRFFLEALETFGSTEVRTKSSSTRDTTRTPQIKA >Ma06_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3032247:3034101:-1 gene:Ma06_g04170 transcript:Ma06_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPSPAPSTHLGGTTISSTFPQHSATNSKKKRRPAGTPDPDAEVVALSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAADQVRKRVFVCPEPTCLHHDPAHALGDLVGIKKHFRRKHSSHRQWVCARCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCTAGQARAQLQLTTQPPACLSRTTSTTSRSSDTNFSPRVWPGLRMQTPAAAVFVTRPDQPPSSRPDQFCTQSIELQLLPPPSRRHTPLSSSALLSTAIEEAKATKLQLSIGPAADPALSEDSQSAAARLKDETEQQLKLAVAEKALAEEARQQARRQLELAEHEYEKAKGMRQRAQQELDRVHAVREQAVKQINSTLLQITCYACKQQFQAKSAMASEVNSLVVSYMSVMTEGEEDNDDHGDPRKISRSQLPSTS >Ma02_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20167925:20168710:1 gene:Ma02_g10680 transcript:Ma02_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEDKKMKVLVAVEETEGSLYALSWALDNLFTSASDVPDKTESLGRLVLIHAQQPLQHFMHPVGPCMTDPHHRSFSVLFAVYATSSVIDSVRRAQEQNSRNLLERATQVCRSKLVEAEMVIVDGDPKEMICQFAEQMQADLLVVGSRGLSKIRRAILGSVSGYCAHHATCPVLIVKPPKGNHP >Ma11_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5593124:5602900:-1 gene:Ma11_g07000 transcript:Ma11_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MALLSRRSLSCGVLLFVSQFLFRVHGLSKESLLGRNNFQQPDENFDITISYMDASGKFQTHKVNSKTLSSSWVWESPVDANQKRLVVGQLQEGSSEQTVNGSRESTQLSIEYNQQSRTIDPIKLRRQKLRDERREKRIAELIHMSKETELQMQNAAIERAKEFNNMRRAWYSIWRKEYINPNSDTTLKLMRDQKIMAKVYASVAYSTGERDLYNSLTRHIKEIQRGIGDANSDSELQESALEQAKAMGHALSLARDKLLDGDVTARKLRTMLQSLEENINTVKKHSTFLVQHAAKTMPKPVHCLSLQLTTDYFLRNHINKETLEKGKLEDPSLYHYAIFSDNVLAASVVVNSTVLHAKEPEKHVFHIVTDKLNFAAMKMWFIAHPPFPATIHVENIDEFKWLNSSYCSVLRQLESARIKEYYFKANHPSSLSVGNENLKYRNPKYLSMLNHLRFYMPEVYPKLDKILFLDDDIVVQKDLTPLWLIDMKGMVNGAVETCKESFHRFDTYLNFSNPLISQNFDPQACGWAFGMNIFDLKEWKKRNITGIYHYWQDLNEDRMLWKLGSLPPGLITFYNLTYPLDRSWHVLGLGYDPAVNPLEIENAAVIHYNGNYKPWLDLAFTKYQPYWSKFVDVDNPYIQDCYGNQ >Ma08_p33520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43788621:43792326:1 gene:Ma08_g33520 transcript:Ma08_t33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSESPAWFLDDLIGDMHASEGDLAGVNGGFCWAPPGFNISFSSFSDSNGLTEPVTGKRKRSETCSTPAKACREKMRRDRLNDRFLELGSLLEPGKLSKLDKAAILSDAVRVLTQLRSETEKLKESNEILQEKINELKAEKNELRDEKQKLKAENESLEQQIKLRSSYVSHPPPVIATPFTAKGQAAAQKLMIPIIGYPGFPMWQFMAPSDIDTSQDADKYPPAA >Ma08_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1322513:1324836:-1 gene:Ma08_g01530 transcript:Ma08_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATAAPQFRLSSLPNRAGICRFPGGTHHDHLPSFSPPSSSRPFPSLSLRLLLPRSANPSLSQSLTSPDSAVLSGGGSSIPPNGPGDNGRGGSGGDGTPDDDRHRGEPASSDPSGPLGLFLQGWRSRVSADPQFPFKVLMEELVGVTSCVLGDMASRPNFGLNELDLVFCTLVVGSIVNFVLMYLLAPTSASAASSALPGIFAACPTSHMFQPGHYSLLSRLGTFVYKGATFAAVGFAAGLAGTAISNGLIALRKKMDPEFETPNKPPPTVLNALTWAIHMGLSSNFRYQTLNGVEFVMEKALPSAGFKASVVVLRCLNNVLGGMSFVMLARLTGSQKAAGSVDQKEEIKEKLASHSATPFAEDGDGELRKSDALCRF >Ma07_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29418125:29419194:1 gene:Ma07_g21280 transcript:Ma07_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNGSELDPDRNALPPPNPHKSAVTTDKKQKREIESETRPTRYIPIDIVESLLTSMNPKDAVRLSVACKDWRATAAKFDPTMSKTPWLITTEFQNLTCSLRSVVDKEVTFKIELHGYPVTRTLFCNCSHGWLVVNPSNHSRMLLLNPFSRAWLQLPPCLLEPNFFLCMSSAPSNPDCVLLARDFINQLYVWRPGDQLWTFEKDRVELFDTIISFEGQFYTWNNHIGCLTIFRVLPLRLRKLMVPCPIDRSDYFNSITSLVECGGNILLVYVMENADESLVVILFQLDLEKKMWIKLESLGDRALFMNIPFKHAFSVLASEARCCAN >Ma01_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22123934:22127383:1 gene:Ma01_g21890 transcript:Ma01_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFFSSSSSLFSRAFFHSRRTLAHEFSNHDRHRPLINARCFSGEPSQTSACLRPGPLAHYRSMVREGKLKYDTYQERVAFELEELLGKLQCYQKEMEDYHVKLATWEKNRENERRKLLLEEAELKQKDGVWIDTKNHKSSFIDKWISRRRRRQNVEPGVGKMVSYFNREKKLDSVVGVQPVPPTAPKGLYLYGNVGSGKTMLMDMFYSATKGIVKHRRRFHFHEVIFFLSWTVIY >Ma11_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16038221:16063491:-1 gene:Ma11_g12190 transcript:Ma11_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRPSKVWCYYCDRVFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKETVTKVPNAKPDRESTEIEIYGMQGIPPDILAAHYGEDEEVSVKAAKLEVPSTGLVGGVVPGPLGIRFPPPTLYGSVPPVYNPTIPVMPPTWPLPAAQPQPWFRPPLAVSVPPPIVAPQQPLFPVQNVTAPMTSTSAPGLQSPLQAGPPGLPSSAPPAVSQPLFPIHSPAGAPAQRSPFLTTSSLAIISSSSPTMLKGGTPYANSHMYASGPNTENPSIGPPPVISNKPPPSNPTTNEVYLLWDDEAMSMEERRMSLAKYQVHDETSQMSSIDAAIDRRISERRLAGRMTF >Ma03_p31430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33645604:33648232:1 gene:Ma03_g31430 transcript:Ma03_t31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEAGPADLPLSRDFSTTHSVLHLLLRFDLLPLAAGVWCPPASGRGEWSHQSMMN >Ma01_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10917853:10919425:1 gene:Ma01_g15030 transcript:Ma01_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKKRNFNIEAFKRRVNLAPRRPGRCSSMPSTRFTHTIPAVSALKNSPGMLIIWCFTNMEKNCTLDL >Ma07_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25368941:25388123:-1 gene:Ma07_g18370 transcript:Ma07_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSCFNALLSRRKHRQHSEQETGGFSSDKNVRLISYDELRSATDNFHQSNKIGRGGFGTVYKGTLRNRVEIAVKVLSAESKQGVNEFLTEIDAIANVRHPNLVELIGCCAQGSSRILVYEYMENSSLDRALLGLNIDDTSKLNWKIRSAVCIGTAKGLAYLHEELEPPIVHRDIKASNILLDSDFAPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMQGQLTKKADVYSFGVLVIEIISSRSSSKTYWSGLGQSLLEWTWQLFGEGRIKEVIDPALQEYPEEQVLRFIKVGLFCTQASAGRRPSMPQVVEMLSKPMKLNEKELTPPGVVGGSLFMNNYRVPKATNSSNTQFNESTTSATAVASASNPSFLTQMIPR >Ma02_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24719672:24721082:1 gene:Ma02_g18070 transcript:Ma02_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFFHEFKRQTSRFLRSNIKTARLVLTDVTLAELLAEEATRTKPWPPRDTRALRVISRAAFEVDDFWRVAEVLHKRFKRFDRKRWRKAYKAMILLEHLLTHGPLSFADELQSDKDVIQRMCIFQYVDERGHNWGLTVKKKAERVLKLLEKGPFLEEERDRLRKATRGIEGFDSFNFSWPLTITVEEATQNHRRSIHRQQDDAGTEERPSKEDSDDRLLQIENGGCSVGMKVQPAEELIPLLSCEEGRMIQVLSHQLF >Ma06_p20500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14588881:14607054:-1 gene:Ma06_g20500 transcript:Ma06_t20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTNAEVVQEAAHDMKKLDIAENETTQETAAKEIRESKLSNSNGTVMVSQTPQNDDEVAQSDGTALDGLAESAKKKKKKSRAKKKKEPLEQTDPPTIPVDELFPSAEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNTIRRAAEVHRQVRKYMRSILKPGMLMIDLCETLENMVRRLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDRTVLQYDDVMKLDFGTHVDGHIVDCAFTVAFNPMFNPLLEASREATNTGVKEAGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKQFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Ma06_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14588881:14607054:-1 gene:Ma06_g20500 transcript:Ma06_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTNAEVVQEAAHDMKKLDIAENETTQETAAKEIRESKLSNSNGTVMVSQTPQNDDEVAQSDGTALDGLAESAKKKKKKSRAKKKKEPLEQTDPPTIPVDELFPSAEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNTIRRAAEVHRQVRKYMRSILKPGMLMIDLCETLENMVRRLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDRTVLQYDDVMKLDFGTHVDGHIVDCAFTVAFNPMFNPLLEASREATNTGVKEAGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKQFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Ma04_p37370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35318732:35324200:-1 gene:Ma04_g37370 transcript:Ma04_t37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPVTSPVPVQWYPALSALLLVGGLFFTAFFFIYEATSSTRNRSLAKEVVIAAVASTFLGFGSLFLLLATGVYV >Ma08_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5596241:5600238:-1 gene:Ma08_g08090 transcript:Ma08_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g13880 [Source:Projected from Arabidopsis thaliana (AT3G13880) UniProtKB/Swiss-Prot;Acc:Q9LRV9] MSSRSTDRAQRARGPSPPLQPSTYKELLRLSTTTRSLVLGKLVHSHMIRASYRPGLFMHNVLVNMYCRCGDPTTARQVFDRMPVRDAATSNILAAGYSRVGYCGRALDVFREARSAGVGLDRFSYAGALSASGDCGDVRMGRMVHGMVVVTGLSRRAFLTNSLMDMYSKCGMIDEVRLVFDHAEELDEVSWNSLLSAYVSVGWPEVAVNILVWMHRSGVKLNSFALGSILKACSGMRDSDDVRRMMHGCVTKVGLDLDLFVGSAMLDMYAKNGGLEEAIKIFEYIPNPSVVVFNAMIAGFSRLGTKSSGKDRFKALSLFREMLRRQMKPSKFTFKSVLEACKSVRAFRCGRQIHAHVIINNLQDDEFIGSALISLYSTSNLTMESLSCFQMTPKQEIFTWTSMISAFTQNEQYEMALSLFKELLGLRRKLDQVIISSVITACSRMGMLRIGEQIHGYATKLGHDKFTVCCNSLIDMYTKTGDVGASIRMFQDIGSLDVFSWSAMISSYALHGNAGDALVLFEKMKECGAVPDHVTFLAVLTACSHGGLVDEGFRYYESMSREYDIVPNSRHCACIVDLLGRTGRIVDAEGFILSSGFANDPILWHVLLRACLFYGDTERSIRVGESLMVMEPFFATSYMLLYNMYLDVGKVSLAMRTRGLMRERGVNKETGVSWIEIGASFHSFVTSSSYHHHIDFIYEKLQEMMLHIKQKMGKAGPRILELEYQSEKWRESLMNSHGELLAVAFGMSNLPESVLIRVMKNQRVCGDCHKTLKLFSEVERREILVRDSVRFHHFSWGSCSCGDYW >Ma01_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1569575:1572220:-1 gene:Ma01_g02320 transcript:Ma01_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYPRRTTRRRWMDFDSFLLRLTIPVCSPINPTRRRSPPSTKKEQRNAMDGLGPNAANSCPVTPLEFLERTATVYGDCPSLVYNRTAFTWSQNLRRCLRLASALSSLGISPGDVVSVLAPNVPAMYEMHFGVPMSGAVLNTVNLRLDARTISILLRHSASKLVFVDVLSRPLLDDVLRLFPASAERPRVVTIEDPYEDAPPPQSSALTYEKLLETGDPEFRWVRPRSEWDPMVLNYTSGTTSAPKGVVHCHRGLFFITIDSLIDWSVPRRPTYLWTLPMFHANGWSYPWGIAAAGGVNVCLRRFDAAAIYAAIETHRVTHMCGAPVVLNMLANAPDSARRPLHGPVQVLTAGAPPPAAVLDRTEALGFAVSHGYGLTETAGLVEVESILYGHPAVNEAAVVARPDEFWGETPCAFVSLKEEASAAPPTESEVIAWCRERMPRYMVPKTVVLRAELPKTSTGKIQKYVLREIAKKMGSAARPSRM >Ma05_p10070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7245498:7251783:-1 gene:Ma05_g10070 transcript:Ma05_t10070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGFTALLRLAWLAATVPILVVSLPIRPVGFLHRMIMGFAARGKTMMNSSTQKFTVPQRYFLHFYWWSLALTTSLALSTWFYAYRKMVPLASESLSYSTVASHLIGGSHIFSSHKVSSTPMEHKYQVWRTVFVLLLMEVQVFRRLYETLNVFHYSPSARMHFLGYLTGLLYYTGAPLSLGISCASEALSYARDQIAEFIVKGRDRMPDLQIDWLELLKPLLYLGWCQWIGAVIFLWGWLHQLRCHAILGSLRENKGADEYVIPYGDWFRYVSCPHYLAEIIIYFGILVASGGSDITIWLLFMFVVSNLTFAAAETHRWYHHKFENYPKTRRAIIPFVY >Ma05_p10070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7245498:7251783:-1 gene:Ma05_g10070 transcript:Ma05_t10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGFTALLRLAWLAATVPILVVSLPIRPVGFLHRMIMGFAARGKTMMNSSTQKFTVPQRYFLHFYWWSLALTTSLALSTWFYAYRKMVPLASESLSYSTVASHLIGGSHIFSSHKVSSTPMEHKYQVWRTVFVLLLMEVQVFRRLYETLNVFHYSPSARMHFLGYLTGLLYYTGAPLSLGISCASEALSYARDQIAEFIVKGRDRMPDLQIDWLELLKPLLYLGWCQWIGAVIFLWGWLHQLRCHAILGSLRENKGADEYVIPYGDWFRYVSCPHYLAEIKTCMDILISSGPPDHIFWNPGCKRRIGYYNLASFHVCGIQSDICSCRNA >Ma05_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7245498:7251783:-1 gene:Ma05_g10070 transcript:Ma05_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGFTALLRLAWLAATVPILVVSLPIRPVGFLHRMIMGFAARGKTMMNSSTKFTVPQRYFLHFYWWSLALTTSLALSTWFYAYRKMVPLASESLSYSTVASHLIGGSHIFSSHKVSSTPMEHKYQVWRTVFVLLLMEVQVFRRLYETLNVFHYSPSARMHFLGYLTGLLYYTGAPLSLGISCASEALSYARDQIAEFIVKGRDRMPDLQIDWLELLKPLLYLGWCQWIGAVIFLWGWLHQLRCHAILGSLRENKGADEYVIPYGDWFRYVSCPHYLAEIIIYFGILVASGGSDITIWLLFMFVVSNLTFAAAETHRWYHHKFENYPKTRRAIIPFVY >Ma02_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19876177:19880143:-1 gene:Ma02_g10250 transcript:Ma02_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49970) UniProtKB/Swiss-Prot;Acc:Q9XJ35] MAVALRPLSISSPFDDVSLAPGRGASRELGLRSGVPKSSFLAPFKLSARSPHSLATASRKKAAWRCESRSSGSYEHIPKQFREENLKKGLMDNYKNIPKHLYGLTASQMDMFMTEDNPVRRQAEKVTEESISSARNYLDNGGMYSLTGINDGPSKYSMSVSMYRGGARGYGRPRSAPPDLPSLLLDARIVYLGMPIVPAVTELLVAQLMWLDYDNPSKPIYLYINSPGTQNENKETVASETEAYAIADMMAYCKSKVYTVNCGMAYGQAAMLLSLGAKGYRALQPNASTKLYLPKVNKSSGAAIDMWIKAKELDANTDYYIELLAKGIGKPKEEISKDIQRPKYFQAQDAIAYGIADKIIDSRDAAFEKRNYDEMLAQSKAMRRAAGAGPQAAPSGFR >Ma01_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10864931:10865997:-1 gene:Ma01_g14910 transcript:Ma01_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQAALSPEDRKACPDLENSLCKKRKCRDAEASEDDWFVKEAKFVKAEEDDIRLNLDAPLPLEWQRCLDLKSGEIHFYNTRTHRRTSRDPRLNLEPPSSPLSLDLELNLACEPPRSHIDGGGREEERRKQDNSGGKACSLSWVSLDAGPEEMMATVCMRCHMLVMMNKATLSCPNCKFIHPPDHGSSTSIKPGFKLLCCKD >Ma08_p29710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41189457:41200765:1 gene:Ma08_g29710 transcript:Ma08_t29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:Projected from Arabidopsis thaliana (AT2G30390) UniProtKB/TrEMBL;Acc:F4IMT3] MGIEILALSRPSPASQWERLSSSHQASTRGVIEMASLELRSSSLPRTKSLPEKVLLPATCSTQMLGHSSWRHMKSGVGPCYWMKPLVPKNYPWQPDLSSSNHRRSSKVYSSSSEALLTSTSQASQGTILVDNERVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRMFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAKALRKALHDKNVPAKVYVGMRYWHPFTEEAINQIKMDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMQHTVIPSWYQREGYIKAMADLIEKEVQKFESPEKVMMFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNAYTLAYQSRVGPVEWLRPYTDETIVELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALKSGIQNWGRVPALGCEPTFISDLADAVIESLPYVGAMAASSLEARQSLVPLGSVEELLAAYDSKRGELPPPVTVWEWGWTRSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPRSIN >Ma02_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23141292:23145436:1 gene:Ma02_g15410 transcript:Ma02_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKLLTLSRRPFLLSSRSASTSAAAALASPDPASSPAKPPVMLYDRLSEAVRSKIKRLDDPDPRFLRYASPHAALVDHTPILAAPETRITTLPNGLRIATESTLASRTATVGVWIDAGSRFETDETNGTAHFLEHMIFKGTESRTVRQLEEEIENMGGHLNAYTSREQTTYYAKVLDNDVPKALEILADILQNSCFDDKRIERERDVILREMEEVEGHTEEVIFDHLHATAFQYAPLGRTILGPAQNIKTITKEHLKNYIATHYTAPRMVISAAGAVKHDDVVEQVKKLFTKLSNDPTTASQLVAKEPAIFTGSEIRMIDDDIPLAQFAVAFSGASWTDPDSIALMVMRSMLGSWNKNAGGGKHMGSELAQRIAINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYEISKLSYRVSEADVTRARNQLKSSLQLHIDGTSPVAEDIGRQILTYGRRVPVTELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIQSLPDYNWFRRRTYLLRY >Ma07_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31238948:31240529:-1 gene:Ma07_g23680 transcript:Ma07_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSITMAILSLVVLLLLGSSSAQLSTSYYSSSCPDLFSTVSGVVQSAISKEKRMGASLLRLFFHDCFVNGCDGSILLDDTSGFTGEKTANPNQNSVRGFDVVDDIKTAVEKVCPGVVSCADILAIAARDSVATLGGRSWNVKLGRRDSTTASLSAANNNIPPPSSSLSNLISKFSDQGLSTKDLVALSGAHTIGQARCISFRAHIYTDTNIDSGFANTRQSNCPSTAGSGDNNLAPLDLQTPTTFDNNYYKNLISTKGLLHSDQELYNGGSTDSQVKAYSNSSGSFYSDFAAAMIKMGDISPLTGSSGEIRKNCRKIN >Ma10_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27630028:27630219:1 gene:Ma10_g15570 transcript:Ma10_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVPWYGSCLVRESTHRADLVRAWCASIATWPEPEICLITWCSTIHHVFVFFSTLVVMPAV >Ma03_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24461870:24464362:1 gene:Ma03_g18990 transcript:Ma03_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVISPWNLSATAAKFKNNPQSQQGRPHNHTIKFVETPLVSSFGPKPCTNNTRWSNVVLATDSNKASAEPSTTESINAEAAVTTDRLASSDVHLDANGRVPSSMNKEDPVPRRSSLTVREKLRAARVLSKYMESKPAKAEFGSRVLEASREIDRGKKRSGLPEAPTNLFDDSKRGLPPKGWTFEFPFQGDLFIIAFSFLFISTVMLTTTFIVWKSGAIHFNEY >Ma04_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7560089:7563361:1 gene:Ma04_g10640 transcript:Ma04_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAFFIASDRRASVPQKRWRIAFTMIYSCRAMCSLSKKRITGALRTPSYVSLEIVDDDDDECRAPAPPFSIVDADVLKSLVRERRLDEVQRLGGADGIAAGLASDAEAGISGGGGELDARREAFGANTYPKTKPKGFFWFVYEALNDLFLLILIGCAAVSLAFGIKEHGLKEGWYDGASIFLAIFLVSVVSAVSNFRQMKRFDKLSAQCNDISVSVVRDGHRQDISIFDVVVGDVIFLKIGDQVPGDGLFLQGHSLQIDESSMTGESHPVDVDAVKNPFLTSGVKVIDGYASMIITAVGTDTAWGEMMSTIARETTEPTPLQERLERLTSSIGKIGVVVAVLVFAVLAIRYFTGSTKDENGQPRFNKNKVDAGDVISVIVSIFQDAVTIIVVAIPEGLPLAVTLTLAFSMKRMMKDNAMVRRLSACETMGSVTTICTDKTGTLTLNQMTVTKFWIGNEEVPVDGGSISSIAPRVRTLFHQGVGLNTTGSVYRPTSVAVPEVSGSPTEKALLWWAVLDLGMDVEEMKRRYSVVHVEAFNSEKKRSGVLVEEKDSRAMITQWKGAAEMILIRCSHYLDRNGNVRDVDVESRTKLEKVIRDMAARSLRCIALAYKITDAEDLHVDQEETPNLDDNGLTLLGFVGLKDPCRPEVESAIAACRRAGVAVKMITGDNVFTARAIAIECGILRDDDVDGLVVEGPEFRNYSAEERMKKIDQIRVMARSSPFDKLLMVQCLKSKGHVVAVTGDGTNDAPALKEADVGLSMGIQGTEVAKESSDVVILNDNFDTVVTVMRWGRCVYNNIQKFLQFQLTVNIAALVINFVSAISTGEVPLTTVQLLWVNLIMDTMGALALATDRPTKELMKKPPVGRTEPLITNIMWRNLTAQAMFQVAVLLVLQFRGQSIFGVSEEVNNTLIFNTFVLCQVFNEFNARKLEKKNVFEGMRTNKLFLGIVAVTVFLQVMMVEFLREFAGTVRLDWEQWGICVGIAAVSWPIGWLVKCIPVSNTPLLRLLAHPKSGI >Ma04_p30430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31124740:31125804:-1 gene:Ma04_g30430 transcript:Ma04_t30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLASAAGKKGASRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDDELTKLLGSVTIASGGVMPNIHNLLLPKKASKASAAAGEDES >Ma02_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19148016:19151415:-1 gene:Ma02_g08950 transcript:Ma02_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASAPLRPFVTSSITVRSRSNGRLILSSRPCLLPPLCPPVRSPPVAAPPYLSRRAHSPLRDVAVLMAYPGSSSTPASLAELHDAPDFDALVDPDGYLSVVGFGSLLSERSARSTFPDLKNFRVAVLRGFRRVFAHVAPIFFERGIANEETGEVSSLSVEPCEGEFLIVTVFEIKSEEVPAFIERENEFRFLAVVPEGLDGIPFTSPAVVCARYSDEEYFQIRCKGNKDIFFQHYGRYNIQKIWRDDILPCRIYLRHCVLAARNLGEAAYENFLDHTFISDRKTSIRQYLTSTGSGIMEEEPPKSLRYRYGG >Ma09_p09860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6735507:6741616:-1 gene:Ma09_g09860 transcript:Ma09_t09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAEIDERRLESCKSCLSSCGDCKYVNNVSRLRIMVENQIQWLKNDLQHLKSLDIVSREGIGPCNILHETKSIKKLLEVDQKVDVLKDILGLVFKEICAIICSKKVVLSELQWEHELQREINTIVLQDYVRGLQDEYETKLYHQTVFIKNSNKNWQKKASELKAMRDELHAIMEEVNYYENFEEWTVTKRKDHFPAKVLGNHNFPSQPEENETMMMEKSGDSGENMLDFAHLNHMNKEELLFYFKTEMTKMRRRHDSALLEKTEELFRLKREFLKERGSSVLRKDKELEHLREKVPGFILKLDEILVEKETLLELYNHDDELQSFKEKNCSLAYENKRVRNLLMEKTNELKCLSAQISDAANQKALHSSAEANYLNQLRKLASVIEDGKTETNFRDQLCNIILRGLIDEHRCIMQDTEIKIKLLMGINTTIFRGVICDAITCMNPTISKYSKEKLSLEALLLQKENALRSEIEENCKLKQDVVSLSSSMKEKENLNMSFEATLLEKENALRLEIEKNSKLKQVIASISSSLEEKERLASESGSTLLQQKQQLDIVHRELNMLRDHKSMQEEQLSSCKLELISLTSKLNKTLQQNHYYELELDKLNEKLKLVSDALKEEETQKTMLLGVIEGKDGEQVKQLRSISESMVELSKGFADLERHLIESSKRNESRLKVLSHQLNPLVQLASQQKKNCFLYKKKIETSWSNLQKAEAEVDLLGDDVEALLGLLGKIYLALDHYSPVLQHYPGVMEILKLVQRELNGENK >Ma09_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6735507:6743115:-1 gene:Ma09_g09860 transcript:Ma09_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAETENFPVLDEKIGVPVMENMLSSSSFKGSDHVGDDSASYEKFILDMDSYWDELNDRLSLSRMVNDTVIKGMVSAMVEEAAEKIASKEAEIAVLNEKLMSCKSHVAAGQNLEITSSQSLMMEAEIDERRLESCKSCLSSCGDCKYVNNVSRLRIMVENQIQWLKNDLQHLKSLDIVSREGIGPCNILHETKSIKKLLEVDQKVDVLKDILGLVFKEICAIICSKKVVLSELQWEHELQREINTIVLQDYVRGLQDEYETKLYHQTVFIKNSNKNWQKKASELKAMRDELHAIMEEVNYYENFEEWTVTKRKDHFPAKVLGNHNFPSQPEENETMMMEKSGDSGENMLDFAHLNHMNKEELLFYFKTEMTKMRRRHDSALLEKTEELFRLKREFLKERGSSVLRKDKELEHLREKVPGFILKLDEILVEKETLLELYNHDDELQSFKEKNCSLAYENKRVRNLLMEKTNELKCLSAQISDAANQKALHSSAEANYLNQLRKLASVIEDGKTETNFRDQLCNIILRGLIDEHRCIMQDTEIKIKLLMGINTTIFRGVICDAITCMNPTISKYSKEKLSLEALLLQKENALRSEIEENCKLKQDVVSLSSSMKEKENLNMSFEATLLEKENALRLEIEKNSKLKQVIASISSSLEEKERLASESGSTLLQQKQQLDIVHRELNMLRDHKSMQEEQLSSCKLELISLTSKLNKTLQQNHYYELELDKLNEKLKLVSDALKEEETQKTMLLGVIEGKDGEQVKQLRSISESMVELSKGFADLERHLIESSKRNESRLKVLSHQLNPLVQLASQQKKNCFLYKKKIETSWSNLQKAEAEVDLLGDDVEALLGLLGKIYLALDHYSPVLQHYPGVMEILKLVQRELNGENK >Ma10_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25716961:25721099:1 gene:Ma10_g12670 transcript:Ma10_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQGKRCGRYKKRCSSSCCHYQPSSISTDATDPFRIHETDSSRGLPLHALSGGFAVVPSAGICLRYASLTQRGHYPDSPDRANQDSFCVKTGFQANPDLHFFGVFDGHGQFGTQCSVFVRDNLADILSGNAHLSEDPVEAYHAAFLAANSAIHDSEIDDSMSGTTAITVLVDGNTLYVANVGDSRAVAGIWNGNRVMAEDLSTDQTPYRKDEYERVKLCGARVLSVDQVEGIVDPDIQSWGDEEDGDGDPPRLWVQNGMYPGTAFTRSVGDSTAESIGVIGDPEVKTVKITPNHLFFVVASDGIFEFLSSQAVVDMVSRFADPQDACLEIASESYKLWLENENRTDDITVIVVQIRNISDSYAAANEGAIQTNNNNASLDPGKLKVERYIVSRSEVNLSKKSSCLELQSCLPDCSVDLSSARAAPSTQSFHLNAINSD >Ma01_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6047969:6048327:1 gene:Ma01_g08440 transcript:Ma01_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLAANLIFPVASSTSNGTFCCIPQSCTSLQTHNGGAWTNLASPCAATDASNELVQLASLILRFPRSCFLMF >Ma05_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4520745:4525297:-1 gene:Ma05_g06030 transcript:Ma05_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFSKMGFLAHPKANSFPDLCIVSIAAVSAVFFLCFPSAAQPLSPSQSKALLRLQRLLEYPPPLAGWSNATAFCYLSPSPSLSISCSGGRVTELSIVGGASRPLSANFSSDSFFTTLSRLPDLTTLSLVSLGLRGPLPAKVNRFSSLQVLNLSSNYFSGVIPPEISSITSLQNLVLSRNSFNGTVPGLHPLTALLQLDLSGNRLGPDFPSLSSSLVTFLLNNNSFRDKLPDSLASLDHLQKLDLSSNQLNGWIPAFLFSLPSVQYLDLSENRLTGELPANLSCSNQLGYVDISNNLIVGGLPSCIQSNSSTRVVLSSGNCLNSGDFGFQHPSSYCNQGALAAVLPSANKISGSKSNLGLIFAIVGGVIAGAVLVGLLVFLIFKKLRPEDREANNIFSTPSAGKSLVQVASRSPAEARHMSHAIRIGTLGQTPYRVFSMEELEDATNSFDPSNLIEDSPQAQSYKGWLQDGCWVVIRHLKLRQKLSRRSLLQYMDIISKLRHHHLVSIVGHCIASGPDGGNTMDSVFLVSEHITNGNLRDHLGEWRNRDMMTWPQRVSAVIGVARGIQFLHTVTVPGITGNDLNIQNILLDQTLTAKVSNYNLPTLPNLKSNKGGSESPLTGTVDKGHLSSIGTVANGEKEDIYQLGLILLEIITGKSTGSRSELDSLRAKFQKILADNPANLKGLADPAIRGSFALNSLRTVVEVSLSCISKDPKQRPSIDDILWNLQYSVQVQDGWGSSENLSFQM >Ma05_p06030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4520745:4525297:-1 gene:Ma05_g06030 transcript:Ma05_t06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFSKMGFLAHPKANSFPDLCIVSIAAVSAVFFLCFPSAAQPLSPSQSKALLRLQRLLEYPPPLAGWSNATAFCYLSPSPSLSISCSGGRVTELSIVGGASRPLSANFSSDSFFTTLSRLPDLTTLSLVSLGLRGPLPAKVNRFSSLQVLNLSSNYFSGVIPPEISSITSLQNLVLSRNSFNGTVPGLHPLTALLQLDLSGNRLGPDFPSLSSSLVTFLLNNNSFRDKLPDSLASLDHLQKLDLSSNQLNGWIPAFLFSLPSVQYLDLSENRLTGELPANLSCSNQLGYVDISNNLIVGGLPSCIQSNSSTRVVLSSGNCLNSGDFGFQHPSSYCNQGALAAVLPSANKISGSKSNLGLIFAIVGGVIAGAVLVGLLVFLIFKKLRPEDREANNIFSTPSAGKSLVQVASRSPAEARHMSHAIRIGTLGQTPYRVFSMEELEDATNSFDPSNLIEDSPQAQSYKGWLQDGCWVVIRHLKLRQKLSRRSLLQYMDIISKLRHHHLVSIVGHCIASGPDGGNTMDSVFLVSEHITNGNLRDHLGEWRNRDMMTWPQRVSAVIGVARGIQFLHTVTVPGITGNDLNIQNILLDQTLTAKVSNYNLPTLPNLKSNKGGSESPLTGTVDKGHLSSIGTVANGEKEDIYQLGLILLEIITGKSTGSRSELDSLRAKFQKILADNPANLKGLADPAIRGSFALNSLRTVVEVSLSCISKDPKQRPSIDDILWNLQYSVQVQDGWGSSENLSFQM >Ma11_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7167575:7176947:1 gene:Ma11_g09010 transcript:Ma11_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVDVVLEFFRKNRFAKAEAALRGELNARSDLNGFLQKHLADEKEAGRAVEEVSSVWQQSASTRNAESSKEFIVKEIEVGGIGNGFDSKKSFGSSQGRDTGSVDLYPWNFSSTSSISNSASKEVGATVNNFAHLLIPEQPMHRHSSFALEKRDRAVGTEPDQPLEQRVSCAKGKDKAAVEVTPDINLDSDCEDKNAYSRDHFLNDLWVKNEDPLKGCTAETVFPYPLDNAASRKSGKSQESAEQNFDLQVTSENYREELPRLPPVRLKSEDKLINIQWDEKPNCHGSEIKLHNGNDAFMNGSYLDVPVGQEINSSGGRRNIGSSWFSVSQGIAEDTSDLVSGFATVGDESIDYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLSHEVDYPSDNEKGTGCGSVPDHQDQASKKDEDDGHSFADSYLSGEQYFQTKNAEQVSIFEGPMCDRMPKMHRRTDENSLIAHYDEQLIDAEEPSLMHSKPVWQGFVGQHNEILMSANGKGSPGVESSSQENPLVEDGQHVSVRSIGVGINSDAAEFGSEVDEHFIRGSSEVDIKYFPDRDVSASGRRYPQNGSTGSDLNRRKREKMKQNKEDSYIIAYKKNASHAGAISDGGFSFPPSLKNGGMLDADLGKSLWSSKASAVAGNSADECANGTVTDDMLPTWRKKSSDSSPVRSSTYEKTSDAARSRNSSPSSALNYGYIDRERTGKGHTRAGETREEDPEATPEDEEIAALQEQVRQIKAQEEEFETFHLKIVHRKNRTGFEEDKNFQVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLQTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKNDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILIKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFLNDSPATLLARVIGIIGPIDQGMLSKGHDTYKYFTKNHRLYERNQETNRLEYLIPKKTSLSHRLPMGDQGFIDFVAYILETNPKKRPSASEALKHPWLSYPYEPISS >Ma05_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1018789:1023204:1 gene:Ma05_g01670 transcript:Ma05_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALILDRKNRWQDGGAGKGLSEEEARRRPSMLRQLQENKLREALEEASEDGSLFKSQDMDPETFGNPDDGSISRSRSLARLRAQREFLQATALAAERTFLTADSIPALDEALSKFVTMYPKYVSSNKIDRLRLDEYCHLSDTGAKVCLDYCGFGLFSYLQSFQSWESSAVSLSEITANLTNHSLYGGAEKGTAEHDIKTRIMDYLNIPENEYGLVFTFSRGSAFKLLAETYPFHTNKKLLTMFDHESQSVNWMAQSAREKGAKVYSAWFKWPTLKLCSTELRKQISGKRRRKKDSAAGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVIGSLQKQNGGTGSGMVRIVPVFPQYLSDSVDHLDVPDGIEDETIDGNDESFMPDTHRGSQLPAFSGAFTSAQVRDVFESEIDQDNSSDRDGVSTIFEETESISVGEVIKSPVFSDDESSDSSFWIDLGQSPLGSDHSGQSNKGKLGSPTPPSWFTGRKNHKMVSPKLVSSMSKSPICDDHVLSFDAAVLSVSQELDHAREDPGEGPSQNGPKNARFQRVGEIEEEPKVKEATGKRAVKFSSADGRIASSSSVFGWHAGHENGSTSEIYPESHIEVKESAIRRETEGEFRLLGRREGNNNRFAGSKFLSVQENERASSMDRRVSFSMEDNSTTGFSYRNSDAGEASGDAPEYDEGDDDDAICDGDDNDAICDGDDDDAQEWARLEPEIICRHLDHVNMMGLNKTTLRLRYLINWLVTSLLQLRLRDSVGDNGLLLVQIYGPKIKYERGAAVAFNVKDDSGAVIKPEIVQKLAEKNGLSLGIGYLSHIRVMDNQKHLHGEASTDASLYRPANSRHDNKNNSKNVVIRVEVVTASLGYLTNFEDVYRMWAFVAKFLDPSFAETDRLSTIAETSET >Ma10_p00630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1887128:1921364:-1 gene:Ma10_g00630 transcript:Ma10_t00630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPPLSLLAGNGPQLRLPSAAEDLIERICREKSLLPPDPVARKALAGLGEAAALDILRKVSSRRIKNLSALIMYMASRPNAAQASTHASACFPHSPSSGPNSVAAEEPHACTPRFEGSLPSGQMASPQLVALGRLEFRKAFLILSYIGRNKLEEMISVDFIEKIQLWPMKQFELEVWKEVGSKCISELDRRKNMDWDSGKAHVYHCHVDLERNFTFKGPYLQLQRTHLQRILGDDNVLLVKFTDEMSGEKRSSCSFQISNSVYHKVAEEGIFVGLRWYQFFVFKDGGKEKKKSPTSSPVKCYFVRMESNWGVDQEKAYILSDKFIHEARTVFMHIHTVSSLSKYMARFSLILSKTIKLDIDLSSIHVEVIDDIPCVDDNGNILCGENGDPMIHTDGTGFISEDLAMKCPQHIYRGKCSIPTDIQLPPETIQVRRSMIKVKSDPNISYIQSCNSIEIVATSNQPKRTCLSRHLIVLLHYGGVPKEFFLELLMNSLDDAQNARYSKQAALRVALKYGDMDDFLVSRMILCGMPLDEPYLQFRLSILMREERKSLKTGKLPVMDCYYLMGTVDPTGLLKPNEVCVILENGQVSGDVLVYKHPGLHFGDVHVLTATYNKDLEKFVGYSKYAIFFPTKGPRSLADEMANSDFDGDMYWVSRNSQLLQYFRSSMPWTPTPSNMRGIQQKKPIEFSSKELERELFQQFLINRFKPSNTVSMASDCWLSYMDRLLTLGDECAEEKECLKEKILQLVNIYYDALDAPKSGVKVEVPIELKAEKYPHYMERSNSYTSMSILGLIFDKVSSVQTEDPPSNGISKLPCFTEEPSQSCLLLWSQRYSNYLKEMKQVMEMKHETKDSKNEMADEIIQKYKLLLYGAAEFDESPRKLEDIWDEALAIYNNAYDYAERCQAVGRCSFAWKVAGRALCMLHASRQGEKCTIPCSITALKEILR >Ma10_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1887128:1921364:-1 gene:Ma10_g00630 transcript:Ma10_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPPLSLLAGNGPQLRLPSAAEDLIERICREKSLLPPDPVARKALAGLGEAAALDILRKVSSRRIKNLSALIMYMASRPNAAQASTHASACFPHSPSSGPNSVAEEPHACTPRFEGSLPSGQMASPQLVALGRLEFRKAFLILSYIGRNKLEEMISVDFIEKIQLWPMKQFELEVWKEVGSKCISELDRRKNMDWDSGKAHVYHCHVDLERNFTFKGPYLQLQRTHLQRILGDDNVLLVKFTDEMSGEKRSSCSFQISNSVYHKVAEEGIFVGLRWYQFFVFKDGGKEKKKSPTSSPVKCYFVRMESNWGVDQEKAYILSDKFIHEARTVFMHIHTVSSLSKYMARFSLILSKTIKLDIDLSSIHVEVIDDIPCVDDNGNILCGENGDPMIHTDGTGFISEDLAMKCPQHIYRGKCSIPTDIQKCLGGAEALERLLGTAQCRSLTSEVPLLIQFRMFNNGRAVKGTLLLNKLLPPETIQVRRSMIKVKSDPNISYIQSCNSIEIVATSNQPKRTCLSRHLIVLLHYGGVPKEFFLELLMNSLDDAQNARYSKQAALRVALKYGDMDDFLVSRMILCGMPLDEPYLQFRLSILMREERKSLKTGKLPVMDCYYLMGTVDPTGLLKPNEVCVILENGQVSGDVLVYKHPGLHFGDVHVLTATYNKDLEKFVGYSKYAIFFPTKGPRSLADEMANSDFDGDMYWVSRNSQLLQYFRSSMPWTPTPSNMRGIQQKKPIEFSSKELERELFQQFLINRFKPSNTVSMASDCWLSYMDRLLTLGDECAEEKECLKEKILQLVNIYYDALDAPKSGVKVEVPIELKAEKYPHYMERSNSYTSMSILGLIFDKVSSVQTEDPPSNGISKLPCFTEEPSQSCLLLWSQRYSNYLKEMKQVMEMKHETKDSKNEMADEIIQKYKLLLYGAAEFDESPRKLEDIWDEALAIYNNAYDYAERCQAVGRCSFAWKVAGRALCMLHASRQGEKCTIPCSITALKEILR >Ma10_p00630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1887128:1920824:-1 gene:Ma10_g00630 transcript:Ma10_t00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSGKAHVYHCHVDLERNFTFKGPYLQLQRTHLQRILGDDNVLLVKFTDEMSGEKRSSCSFQISNSVYHKVAEEGIFVGLRWYQFFVFKDGGKEKKKSPTSSPVKCYFVRMESNWGVDQEKAYILSDKFIHEARTVFMHIHTVSSLSKYMARFSLILSKTIKLDIDLSSIHVEVIDDIPCVDDNGNILCGENGDPMIHTDGTGFISEDLAMKCPQHIYRGKCSIPTDIQKCLGGAEALERLLGTAQCRSLTSEVPLLIQFRMFNNGRAVKGTLLLNKLLPPETIQVRRSMIKVKSDPNISYIQSCNSIEIVATSNQPKRTCLSRHLIVLLHYGGVPKEFFLELLMNSLDDAQNARYSKQAALRVALKYGDMDDFLVSRMILCGMPLDEPYLQFRLSILMREERKSLKTGKLPVMDCYYLMGTVDPTGLLKPNEVCVILENGQVSGDVLVYKHPGLHFGDVHVLTATYNKDLEKFVGYSKYAIFFPTKGPRSLADEMANSDFDGDMYWVSRNSQLLQYFRSSMPWTPTPSNMRGIQQKKPIEFSSKELERELFQQFLINRFKPSNTVSMASDCWLSYMDRLLTLGDECAEEKECLKEKILQLVNIYYDALDAPKSGVKVEVPIELKAEKYPHYMERSNSYTSMSILGLIFDKVSSVQTEDPPSNGISKLPCFTEEPSQSCLLLWSQRYSNYLKEMKQVMEMKHETKDSKNEMADEIIQKYKLLLYGAAEFDESPRKLEDIWDEALAIYNNAYDYAERCQAVGRCSFAWKVAGRALCMLHASRQGEKCTIPCSITALKEILR >Ma10_p00630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1887128:1921364:-1 gene:Ma10_g00630 transcript:Ma10_t00630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPPLSLLAGNGPQLRLPSAAEDLIERICREKSLLPPDPVARKALAGLGEAAALDILRKVSSRRIKNLSALIMYMASRPNAAQASTHASACFPHSPSSGPNSVAAEEPHACTPRFEGSLPSGQMASPQLVALGRLEFRKAFLILSYIGRNKLEEMISVDFIEKIQLWPMKQFELEVWKEVGSKCISELDRRKNMDWDSGKAHVYHCHVDLERNFTFKGPYLQLQRTHLQRILGDDNVLLVKFTDEMSGEKRSSCSFQISNSVYHKVAEEGIFVGLRWYQFFVFKDGGKEKKKSPTSSPVKCYFVRMESNWGVDQEKAYILSDKFIHEARTVFMHIHTVSSLSKYMARFSLILSKTIKLDIDLSSIHVEVIDDIPCVDDNGNILCGENGDPMIHTDGTGFISEDLAMKCPQHIYRGKCSIPTDIQKCLGGAEALERLLGTAQCRSLTSEVPLLIQFRMFNNGRAVKGTLLLNKLLPPETIQVRRSMIKVKSDPNISYIQSCNSIEIVATSNQPKRTCLSRHLIVLLHYGGVPKEFFLELLMNSLDDAQNARYSKQAALRVALKYGDMDDFLVSRMILCGMPLDEPYLQFRLSILMREERKSLKTGKLPVMDCYYLMGTVDPTGLLKPNEVCVILENGQVSGDVLVYKHPGLHFGDVHVLTATYNKDLEKFVGYSKYAIFFPTKGPRSLADEMANSDFDGDMYWVSRNSQLLQYFRSSMPWTPTPSNMRGIQQKKPIEFSSKELERELFQQFLINRFKPSNTVSMASDCWLSYMDRLLTLGDECAEEKECLKEKILQLVNIYYDALDAPKSGVKVEVPIELKAEKYPHYMERSNSYTSMSILGLIFDKVSSVQTEDPPSNGISKLPCFTEEPSQSCLLLWSQRYSNYLKEMKQVMEMKHETKDSKNEMADEIIQKYKLLLYGAAEFDESPRKLEDIWDEALAIYNNAYDYAERCQAVGRCSFAWKVAGRALCMLHASRQGEKCTIPCSITALKEILR >Ma10_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28324377:28326172:1 gene:Ma10_g16700 transcript:Ma10_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTGTGQGETGGGRSWRRAEAVLNRAVATSPVGRYFDIEHRKSSFTKELRAGAATFLTMVYIISVNATILTNSGGPCSVHDCTLPSNSSASAAGGLPGPECKFDGNPGYQRCLSRTKNDLVVATAVAAMVGSFAMGSFANLPLALAPGMGTNAYFTYNMVGFHGSGHVPYGTALAAVMLEGCLFLALSVLGLRAKLARLIPRSIRLASAAGIGLFLAFTGLQANQGVGLVGPSSSTLVTLTACAKIDAATGECHGGTMESPTFWLGAAGFLVTAACLSWEVKGSMIYGIVFVTLISWIRGTSVTVFPNTPLGNSSYAYFKKVVDLHIIRSTAGKISFSGFNRSEVWMAVVTLLYVDILDTTGSMYSMAEYGGFTDENGSFEGEYRAFIVDASSTIVGSALGTTTVTTYIESTAGLREGGRTGLTAITVALLFLVSLFFAPLFTNVPPWAIGPSLVLVGMMMMKMVKEIEWSDAKTAVPAFLTLILMPLTYSIAYGIIAGIGTHIALHLLEYAMAVYRWALKTSHNTRNQLGSETSQAEAAAAAV >Ma10_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13310719:13312081:1 gene:Ma10_g03330 transcript:Ma10_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNHLHADFHVCGHDPHPPRRLRDRSHEHQGVDGLHPPVAAFLLHHQSIQPLGPRLPLPLGRHRLLRRLRHPCHLWGRRLHRRLLSRPEVEERQGDVLTQQQTTDAERSAAAVAGWVRLQRQGKILHHFRCRHDLLIFQIDMASRSQQENPLQSPRTIVNVLNPQICKQLFLHGRWQDYASMGSNGDGNCSGKRPIVHHDDILHKKSALLHKLDDNLAVFHTHAIAGVLGGLTGLLVEPHYAASSSPNPVPRAPPMTVASSSVRICGPFIIE >Ma11_p21960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25909285:25910385:-1 gene:Ma11_g21960 transcript:Ma11_t21960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPAMRRLLDTVPDTPPLLPDGAKPGDAGGPADAYFTTSVPVVLAALLCTLVCALGLGSILRCAIRCARPRLALEIPEATAATGLEKKALRQIPTKVYGPGAGVTATECPICLGEFGDGEKVRVLPKCHHGFHVKCIDAWLALHPSCPTCRRSLLDQCGAEAGDGTMASR >Ma11_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25909285:25910375:-1 gene:Ma11_g21960 transcript:Ma11_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPAMRRLLDTVPDTPPLLPDGAKPGDAGGPADAYFTTSVPVVLAALLCTLVCALGLGSILRCAIRCARPRLALEIPEATAATGLEKKALRQIPTKVYGPGAGVTATECPICLGEFGDGEKVRVLPKCHHGFHVKCIDAWLALHPSCPTCRRSLLDQCGAEAGDGTMASR >Ma01_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10718389:10723092:1 gene:Ma01_g14680 transcript:Ma01_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHAHRIPLEVVTSMLEMADLAWVALEHRRGGRAGDAKDDEAAQLRAENLRLRAILADNLAILQTIYDSPTLSSDCPPDLHSRLLAAVENSSFLGELELLRKGSKDIPNCGIPAAETKGAELNGVEVPIKIDDGEPSWWVWITHEITPDCLEEVSRIDNENYVIISEENVVDGISNFIARCILEHPESKILSPEELQKAVVRAMDNTKDKRKWKHIWEAGKVIYTLSTWGIALAGLYRQRALLKAAARGVSASANFVLKAL >Ma01_p14680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10718389:10723092:1 gene:Ma01_g14680 transcript:Ma01_t14680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHAHRIPLEVVTSMLEMADLAWVALEHRRGGRAGDAKDDEAAQLRAENLRLRAILADNLAILQTIYDSPTLSSDCPPDLHSRLLAAVENSSFLGELELLRKGSKDIPNCGIPAAETKGAELNGVEVPIKIDDGEPSWWVWITHEITPDCLEEVSRIDNENYVIISEENVVDGISNFIARCILEHPESKILSPEELQKAVVRAMDNTKDKRKWKHIWEAGKVIYTLSTWGIALAGLYRQRALLKAAARGVSASANFVLKAL >Ma01_p14680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10718389:10723092:1 gene:Ma01_g14680 transcript:Ma01_t14680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHAHRIPLEVVTSMLEMADLAWVALEHRRGGRAGDAKDDEAAQLRAENLRLRAILADNLAILQTIYDSPTLSSDCPPDLHSRLLAAVENSSFLGELELLRKGSKDIPNCGIPAAETKGAELNGVEVPIKIDDGEPSWWVWITHEITPDCLEEVSRIDNENYVIISEENVVDGISNFIARCILEHPESKILSPEELQKAVVRAMDNTKDKRKWKHIWEAGKVIYTLSTWGIALAGLYRQRALLKAAARGVSASANFVLKAL >Ma02_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14099297:14102953:-1 gene:Ma02_g02750 transcript:Ma02_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVEVVDAADLMPKDGQGSANPFVEVEFEGQRQRTQTKAKDLSPAWNETLLFNVADPALIPHRTIDVCVYHERSRSASLLGGGGGRHHPNFLGRVRVSGVSVALSAAEAQVQRFPLEKRGIFSHIRGDIALRAYALPDAFSSSAAAAAAPAPVEPAPFVGDPALVDAKKVKKKTKSPSAVAPDEPRVFYSIPASGATGGGVPPAPVMSVASVAHARPEPPPGAVVHVRPPAMARPGPEFGLVETAPPLASRLGYRGRDKISSTYDLVEQMRYLYVNVVKARDLPTMDVTGALDPYVEVKLGNYKGTTKHLEKNPNPVWRQVFAFSRDRIQSSQLEVVVKDKDLVKDDFVGRLVFDLVDVPLRVPPDSPLAPQWYRLEDKKGDKLTRGELMLAVWMGTQADEAFPDAWHSDAHSVGLDALANTRSKVYFSPKLVYLRVQAIEAQDLVPSDKSRPPNVVLKVQLGHQLRRTRPVAGSVNPSWGEELMFVASEPFDEPLVFTVEDRVAANKDEPLARLLLPVSAAYRRTDHNKAVESRWYNLAKPTAPKEEAVDGEKKEKFSSKIHLRLYLEMGYHVLDESTHYSSDLQPASKQLRKPSIGILELGILSARNLIPMKAKDGRTTDAYCVAKYGSKWVRTRTLLDTLTPQWNEQYTWEVFDPCTVITVAVFDNCHVTGHKDDVKDQRIGKVRIRLSTLEANRVYTHFYPLLVLQPSGLKKTGELHLAVRFTCTAWVSMVTLYGKPLLPKMHYVQPISVLHMDYLRHQAMVIVSTRLSRAEPPLRREVVEYMLDVDSHMWSLRRSKANFHRTTSLFSGVATIGKWFNGIRNWRNPMTTILVHVLFLILVCYPELILPTIFLYLFAIGIWNYQFRPRHPQHMDTKLSHAEAALPDELDEEFDTFPTSKAMDVVRMRYDRLRSVGGRMQTVVGDLATQGERVQAILSWRDPRATTIFVMLSLIVAVFLYVTPFQVVVVLIGLFLLRHPKLRSKAPSVPFNFYRRLPAKSDMLL >Ma10_p28190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35170013:35172714:-1 gene:Ma10_g28190 transcript:Ma10_t28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFKGAAVKEEVCAADERSVEVGKEEQLKNTKTEMDEVREENDRLKTILAHIIKEYQHLQKQYFDVVQQEQSKRPLETTNPSDVEEPDELVSLSLGTSSTGQKKEDVISTKKEREEDGKGLTLGLGCRFEGTRKRSPDEHESNLCSDSSSEEANEEEPGEPRPPTEILMMSLGTGDDEVPQQLPVKKARVTVRARCDAPTMIDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCAEDMSILITTYQGTHNHPLPISATAMASTAAASMHMSGSSASEQGMGEAIGSLSSSSAAITSLSGLNFGLLGSTLSARQQSSYLPIPSPSPASSYSTITLDLTAPPSSTSQLSQLGRFPWYSSTRFNFPSSESTVPASWSNGYFSHESRAYHDRPSQDSFCQSILQKAIDTTAAPNLNQHPLADTIAKAITSDPNFQSAVAAAVTSYVGDHRSRNGREGVTHGPAED >Ma03_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4772002:4772697:-1 gene:Ma03_g06950 transcript:Ma03_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMDVDLLNSSPEFQVELMNMMLQLQKLAESSEALPPNDHLSAAPPRTFRASPTAPAFHHSARGPAMAPPSNNLADAEASLLSGPSLAHSAPTSTAGMRDMMFGIAAMQPVHVDPESVRPPKRRNVRISKEPQSVAARLRRGRISERMRVLQHMVPGGTKMDTASMLDEAIHYVKFLKTQVQSLELAAVSQGMGRPPPPAAGFTSSDGSYSCFHTMYQLQDQGFVNFADV >Ma02_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19060549:19065088:-1 gene:Ma02_g08810 transcript:Ma02_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDERDITVRGLDAVRSCHKVYVEAYTSLLSFGLASDGLSRMEKLYGRDVVVADREMVEERADEILSEAVDSDVAFLVVGDPFGATTHTDLVVRAKKMGLKVKVIHNASVMNAIGACGLQLYHYGETISIPFFTDTWRPDSFYPKIQKNRQLGLHTLCLLDIRVKEPSLESLCRGRKCYEPPKYMSIHIAINQLLEIEETNGGSAYNAETKCVGIARLGNDDQMIVAGSMKELLDIDFGPPLHCLVIVGETHPVEEEMLEFYSIKS >Ma06_p31430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32571365:32572153:1 gene:Ma06_g31430 transcript:Ma06_t31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKGGTNQSCAACKYQRRRCAADCPLAAYFPADQPKQFLNCHRLFGVSNILKIINRLDPPEQAEAMKSIIYEANIRDRDPVHGCLGLIRSLHLHIHHLEQELEATNSQLLLHRHHQLAASSSSSSSTMIDGAASYLLLDNGISIVESCSIADNNDINMKAAERFWAHHSSALHAPMDDEISPSLDAIDEDGAYESRSNTCAHCLVRLPTISITILMETACSILSTSSESPLKDTMHSAMAHVSRNELKSAAACFTLTSAVN >Ma08_p27000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39403739:39409480:-1 gene:Ma08_g27000 transcript:Ma08_t27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc1 [Source:Projected from Arabidopsis thaliana (AT1G14560) UniProtKB/Swiss-Prot;Acc:F4HW79] MDSSQGSTMTSAAAAAKVDVSVVDLLPVYVKELIAGGAAGAFSKTAVAPLERIKIILQTRTEGFKSLGILQSLRKLWQHEGFFGFYKGNGASVLRIVPYAALHFMTYEQYRCWILNNHSSFISGPFVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVNSPGRLSSGLRMFSPQPAYTGIGDVFKKVYMEGGIRSLYRGVGPTLIGILPYAGLKFYIYEDLKARVPEEYQKSVVLRLSCGALAGLFGQTLTYPLDVVRRQMQVQNQQSLNHPGAPLIRGTVQGLSFILHTQGWRQLFAGLSLNYVKVVPSVAIGFTAYDMMKTLLRVPPRAKSSAPSAA >Ma03_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29105406:29106674:-1 gene:Ma03_g24900 transcript:Ma03_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g30950 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G30950) UniProtKB/TrEMBL;Acc:C0SUY4] MDPRIWRHLPQGLVDRVLAFLPPAAFFRARAVCKRWYALVFSDSFLELHLRLAPTLPCFAFFLLPSKPPLYSTSAASSSSSSSSSSPAAAATTTTSTANRPPPAPALLLDPVSNSWHRIPLGTIIPPGFSPAASSHGVLCFISDDAGSKTLVLCNLLSKLASQLPPTPTPRLFPTVGLTVGPSSISAVVAGDDMISPFAVKNLTAECFHADGVTGFYSPWATGSALPRLCSFEPGRMAYAAGRFYCMSCGPFGVMAYDVGTNAWEKIQPPMRRFLRSPSIVECGGGRVVLVAAVEKSKLSVPRSVRMWALQPCGRAWAEIDRMPHDVHAQFSEAEGGRGFECVGHGDFLAITIKGSNDVLLFDFYRKEWRWAPPCRFLHGGGSSSSSGCGGLRGFAYEPRLATPCMALLDSSSFIPFQGFSG >Ma01_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2604127:2606760:-1 gene:Ma01_g03970 transcript:Ma01_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGERSIQHLMEEITNPDVGTVVVHGISGLGKTWTARQAYGRAMASPLFDVFLWISLSVTCTKRRARQKILESLSIVVEDNGDEERVTEKIHSFLSGRRFLLVLDDAWFTEDRMLATLGVPTPRHNASKVVVTTRTMRTQTVMEPDVVIQQSSLSRKESWDLFCQISGHSIVNRFGQMVVEGSHGMPLLIVLMAGALKDSITDSANEELTAKVSSALLNEVTAARFAYSMLPDDEVKHCLVYCLLFPGDMALHDDELIQYWLMDGLIAEGYLSVAATDKAQKILLLLLHRRLLYRDDDDHVRMHEAVREVLMDTGRQRGDHGYSCATHSEGLTSIWFPDGRNKRISLMESSTDRLPQIPQSFLSSLFLRGIRWLKVIPNSFFDNRQILTLSVLDLSFTAITNLPSSTSNLANLQYLLLKGCEHLEELRNIRSLRNLLVLDASGCSALRTISPGSFAMMNMLRVLDLSRTSIESFPSLSRLPELRCLFLRGCRRINFQEKFPYGITKTGHLLSLKLCTESNAVDWNVMQWLQAGLTWDEHAGVYASINTCVDDNRSYLSVSNTSFFQYLDKDSPLWDNCFLRFHIRVCPSEEANRDSEFVFQNENFVFRETYFGTKYCSHPPNACRFLEIHHVRPWGIGGVLHHADVVFLNDVKLVKQLSDFELQNLRGMRECWIQRCDQMESIFTAELEDVSEVLSLEKLWISNLEKLSFLFAGVEKETNFTSLKHIHIDCCPNLVGLFCSTLRFQNLETLQIRFCDKLESVYDHSVIGEEAFPRLHTLRLWELPELKSVCGGILPCLKHLKVKECPKLKALPVGVNDMTPIAIIRGERQWWNNLTWEDERIKSHLLFRRWGRF >Ma02_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21638726:21640155:-1 gene:Ma02_g13080 transcript:Ma02_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEAEQAPAKDYRDPPPAPLLDFGELRLWSFYRALIAEFVATLLFLYVTIATVIGHKEQNAADQCSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRALLYIVAQCLGAIVGVGIVKGIMKHQYNSLGGGANEVASGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQDKPWDDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >Ma01_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21005706:21006985:-1 gene:Ma01_g21360 transcript:Ma01_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSVTTCWTAKQNKMFEKALAVYDQDTPDRWHHVARAVGGKTVDEVKRHYELLVADVRRIEKGHMPYATYLSSGIRG >Ma10_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:12933244:12937038:-1 gene:Ma10_g03280 transcript:Ma10_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYREQGKVLCFCVALREEGRVRWEEEVEEEATAGGMRITDYLQESNEDEVEGPISAKRRELFLCLPPFASPIAASSASPDAYSPRSLLSRLLLPLRRPSPVQTGGGSGDGEERLGCRVRFRRRGSNNRKRRNRRGAEEKGKVALEVEEPAVEASGGRSAPEVSGESSTELAINSGKKSDELSLNLGMGVGLVFLLARSVTEINKMVELREEMEILLKDIKDEAQKKDASSNCAQSKNHNFFQDDKVSFDLERVQHAMEPEAEVQSRFVRTTGSRICLMDKMEAELQVELECLQCTVEAKSLSLHQDQERVELVPEDADPSESLDGSLAKAYEVSDEASGIQCAVSAQELTKKLNQLLEMRQQEGITELESSFDHTGYSNGDLAENLCDDNGEVTEINEEDSGNYYGVSAQELERRLHELLETRQQERIAELESALECAERKLHEKEREICWWRDTTSLVSQHKNEELNR >Ma10_p03280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:12933244:12937038:-1 gene:Ma10_g03280 transcript:Ma10_t03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYREQGKVLCFCVALREEGRVRWEEEVEEEATAGGMRITDYLQESNEDEVEGPISAKRRELFLCLPPFASPIAASSASPDAYSPRSLLSRLLLPLRRPSPVQTGGGSGDGEERLGCRVRFRRRGSNNRKRRNRRGAEEKGKVALEVEEPAVEASGGRSAPEVSGESRKKSDELSLNLGMGVGLVFLLARSVTEINKMVELREEMEILLKDIKDEAQKKDASSNCAQSKNHNFFQDDKVSFDLERVQHAMEPEAEVQSRFVRTTGSRICLMDKMEAELQVELECLQCTVEAKSLSLHQDQERVELVPEDADPSESLDGSLAKAYEVSDEASGIQCAVSAQELTKKLNQLLEMRQQEGITELESSFDHTGYSNGDLAENLCDDNGEVTEINEEDSGNYYGVSAQELERRLHELLETRQQERIAELESALECAERKLHEKEREICWWRDTTSLVSQHKNEELNR >Ma07_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25469414:25471339:-1 gene:Ma07_g18430 transcript:Ma07_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCQVFDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYARAKFMDYTTDNMSIYPAPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLHQIKWFVDDTNVYRVTIHRTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIDKFGDLILKATEPQMVLFNIYDDWLKTISSYTAFSRLVLILRALHVNNEKSKMLLKPDKTVITQPHHIWPSLTDVEWMKVEVALRDLILSDYSKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQMAEIEKQAKEDSRLTAVTSRTTNVHGDELIVTTTSPYEQQAFGSKTDWR >Ma11_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7197632:7199084:1 gene:Ma11_g09050 transcript:Ma11_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPWRSRRSSLVKNKPVKRISGVEVSIPTHFRCPISLDLMKDPVTASTGITYDRASIEAWLDMGNATCPVTNRELKQEDLIPNHSIRKMIQDWCVANRSNGIERIPTPKIPVTKAQVTDIVSEIAAASRRRDRARCGQLVAKVRSSVREGERNRGCFESNGTGRALAAAFGAFAGVSSVDGSVTRVLEEILAALTTLLPLDEEAASHVGSPESLTCLVSILKHGDLVARLNAAVVVKELLACGGARADGTADTAGLVEALVKLIKEPVLPQITKASLVAIFYLTNYSERTASRVVGMGLVPVLIETLVDAEKSMCEKALAVLDALVGCAGGREQAYGHALTTPVLVKKMFRVSDTATEFVVSALWKLCRGEEEAGDEGEGRGRCLREAQQAGAFHKLLLLLQVGCGEATKEKATALLRLLNGYKKDECIDTTDFKGLKQPLLPV >Ma09_p27540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38508250:38515906:1 gene:Ma09_g27540 transcript:Ma09_t27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSEKLIMCNTKSEMADLFSESQRIKYTIEQQTQGIPDARTYLLTLQEIRIKSGLTDDLGAETMMMEALEKVEKEIKKPLLRSDKKNMALLLAEFDKINQKLGIRKEDLPKYEEELELKIAKEDLQGLKKDVLEAMETHLKREEFKDEKMVNVKSLDIRNFI >Ma09_p27540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38508039:38515906:1 gene:Ma09_g27540 transcript:Ma09_t27540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSEKLIMCNTKSEMADLFSESQRIKYTIEQQTQGIPDARTYLLTLQEIRIKSGLTDDLGAETMMMEALEKVEKEIKKPLLRSDKKNMALLLAEFDKINQKLGIRKEDLPKYEEELELKIAKEDLQGLKKDVLEAMETHLKREEFKDEKMVNVKSLDIRNFI >Ma04_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1348900:1349363:-1 gene:Ma04_g01500 transcript:Ma04_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVFGVLCATLLLVSLQMATARELSEKTEHQNMKEEGAEDRKYPDDRGYGGYPGRGGGYYGGYPGRGGGYNGGYPGRGGGGYCRWGCCRRGYYGGCRCCSFPGEVPDAEYQAEPGN >Ma06_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6265298:6266644:1 gene:Ma06_g08940 transcript:Ma06_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWERRGGERTKKKGREEQASACYAHAGASERREGGKKEGAVMRKRVMVVIDRTARAKHAMMWALTHVANKGDVMTLLHVLPPHCSASAHREEETSQLVNSLGSMCKAMRPQVRVEALVIQGPKLATVLGQVKKLDASVLVLKQSKPSSLCCMFQSSHEDLVEQCISRAECLTMAVRKQSSGVGGYLVSTRWQKNFWLLA >Ma06_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23134841:23140082:-1 gene:Ma06_g24610 transcript:Ma06_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVDLSRYLEISARRGAGALPPAAAEVELRALCTTVSGCLRDSGALLVKDPRCSVEDNDRFLDMMERYFGRPEEFKRLQARPALHYQVGATPEGVEVPRSLVDEDMQAKIKLMPEEFQPAIPRGPDPKWRYMWRVGPRPANTRFQELNSEPVIPEGFPEWKETMDSWGSKMIAAIEVVAEMAAIGFGLDKDAFTSLMKQGPHLLAPTGSDLQRHGSLGTVFAGYHYDLNFLTIHGRSRFPGLSIWLRNGQKMEVKVPVGCLLIQTGMQIEWLTGGKCLAGMHEVTVTNRTLEAIELAKQQNLSIWRVSSTLFAHIASDAILKPLGHFAETPVASKYPPMCAGDFVERELGVINLKGRKGYS >Ma07_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30842540:30847648:-1 gene:Ma07_g23100 transcript:Ma07_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g21140 [Source:Projected from Arabidopsis thaliana (AT5G21140) UniProtKB/TrEMBL;Acc:Q84VP9] MALSWRHHVLIQALLSRGPMTEEDFHAVFAGVSGKDPVTHRQLFNEVLLKINKELAYVQFELRACQNQYDGKVHYGMVNNVADEQSKLGTKYSVPQIAFYKGVVEAIVQDVATQGCISSIDALHIQLENQVQNCQSSQDTQSRIPAAFKSFTMSQKEKTLNDLIKDQWLCYTSDGRIGLGLRSFFDLRSWFFSNDVPSCEVCNEAGVKASVCSNEGCTVRIHYYCLKKKFPQRKGSRACPGCGTEWPRSEFEVDHLDVPEQTQVPSADLSSRKRPRRMKAEAVAAVQSQSETQNEAPCGPTQRRRLRSCKTESVET >Ma10_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26180529:26183225:1 gene:Ma10_g13330 transcript:Ma10_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQYSDAAGNVIREQAAKGLNPGLVVLLVVGGLLLLFLGGNYVLYMYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE >Ma10_p13330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26180529:26183225:1 gene:Ma10_g13330 transcript:Ma10_t13330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQYSDAAGNVIREQAAKGLNPGLVVLLVVGGLLLLFLGGNYVLYMYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE >Ma08_p02610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1966671:1967640:1 gene:Ma08_g02610 transcript:Ma08_t02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCK1 [Source:Projected from Arabidopsis thaliana (AT2G30130) UniProtKB/TrEMBL;Acc:A0A178VRK1] MGGGSPCASCKLLRRRCAKDCIFAPYFPPDDPYKFAIVHKVFGASNVSKMLKELSVHQRADAVRSLVYEANARMKDPIYGCVGVISYLEDQVSQLQMQLAVAQAEILWIQMQQAESSMANQQIIHAEDKSFVQHNSHTIVPQLMSFGSSSNGVREPLKRERER >Ma08_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1966671:1967640:1 gene:Ma08_g02610 transcript:Ma08_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCK1 [Source:Projected from Arabidopsis thaliana (AT2G30130) UniProtKB/TrEMBL;Acc:A0A178VRK1] MGGGSPCASCKLLRRRCAKDCIFAPYFPPDDPYKFAIVHKELSVHQRADAVRSLVYEANARMKDPIYGCVGVISYLEDQVSQLQMQLAVAQAEILWIQMQQAESSMANQQIIHAEDKSFVQHNSHTIVPQLMSFGSSSNGVREPLKRERER >Ma07_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26708420:26709789:-1 gene:Ma07_g18740 transcript:Ma07_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKVGNSRFKRMCVFCGSSTGKRHCYQDAAVELGKELVARKVDLVYGGGSVGLMGLVSEAVHSGGGHVIGIIPRTLMSKEITGETFGEVKPVASMHQRKAEMARHSDAFIALPGPTFPHPSPFAYPPLSGLISLHLRRPLGGYGTLEELLEVITWAQLGIHNKPVGLLNVDGYYNSLLAFIDKAVEDGFIQPYQRYIFVSAPNAKDLVQKLEEYVPVEDAVAAKLSWEMEQMGLNSTLHAEIAR >Ma07_p18740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26708351:26709789:-1 gene:Ma07_g18740 transcript:Ma07_t18740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKVGNSRFKRMCVFCGSSTGKRHCYQDAAVELGKELVARKVDLVYGGGSVGLMGLVSEAVHSGGGHVIGIIPRTLMSKEITGETFGEVKPVASMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVDGYYNSLLAFIDKAVEDGFIQPYQRYIFVSAPNAKDLVQKLEEYVPVEDAVAAKLSWEMEQMGLNSTLHAEIAR >Ma08_p34230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44310373:44311394:-1 gene:Ma08_g34230 transcript:Ma08_t34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor MYB4 [Source:Projected from Arabidopsis thaliana (AT4G38620) UniProtKB/Swiss-Prot;Acc:Q9SZP1] MGRSPCCEKAHTNKGAWTKEEDDRLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLIGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLCRGVDPVTHRPVNRHGSDTTTPSRRKPVVVGFGPDQKEEKSSSVEWSPDLNLELRISPPFQPQETPVPEKRDGEQLGGLCLGLQKSQMECHCRDFLGLSTGILDYRRQ >Ma04_p21990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24409318:24416146:-1 gene:Ma04_g21990 transcript:Ma04_t21990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRTVVDETRQGNECSNGGPLQNGREILFQAFNWESHEHDWWHNLEMRVDDIARSGFTSAWLPPATNSLSKEGYLPQNLYCLDSAYGSHDGLINLIQEMHQHRVRAMADIVINHRVGTTRGHGGAYNRFDGIPMSWDERAVTSNSGGLGSPSYGENFDGVPNIDHSQAFVREDIIGWLKWLRETIGFQDFRFDFAKGYDPKFVKEYVEESKPVFSVGEYWDSCNYVAPDSRLDYNQDSHRRRIIDWIEKTGHVSAAFDFTTKGILQEAVKGQLWRLRDPQGKPPGVIGWWSSRAVTFIENHDTGSTQGHWPFPSDHVMEGYVYILTHPGIPTVFYDHFYDWDNAYHNQIVRLMNIRCCQDIHSRSKIEILEARSDLYAARIDNKVCMKIGDGSWCPSGQEWTLAASGNRYAVWHK >Ma04_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24409318:24415533:-1 gene:Ma04_g21990 transcript:Ma04_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRTVVDETRQGNECSNGGPLQNGREILFQAFNWESHEHDWWHNLEMRVDDIARSGFTSAWLPPATNSLSKEGYLPQNLYCLDSAYGSHDGLINLIQEMHQHRVRAMADIVINHRVGTTRGHGGAYNRFDGIPMSWDERAVTSNSGGLGSPSYGENFDGVPNIDHSQAFVREDIIGWLKWLRETIGFQDFRFDFAKGYDPKFVKEYVEESKPVFSVGEYWDSCNYVAPDSRLDYNQDSHRRRIIDWIEKTGHVSAAFDFTTKGILQEAVKGQLWRLRDPQGKPPGVIGWWSSRAVTFIENHDTGSTQGHWPFPSDHVMEGYVYILTHPGIPTVFYDHFYDWDNAYHNQIVRLMNIRCCQDIHSRSKIEILEARSDLYAARIDNKVCMKIGDGSWCPSGQEWTLAASGNRYAVWHK >Ma04_p21990.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24409318:24415692:-1 gene:Ma04_g21990 transcript:Ma04_t21990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRTVVDETRQGNECSNGGPLQNGREILFQAFNWESHEHDWWHNLEMRVDDIARSGFTSAWLPPATNSLSKEGYLPQNLYCLDSAYGSHDGLINLIQEMHQHRVRAMADIVINHRVGTTRGHGGAYNRFDGIPMSWDERAVTSNSGGLGSPSYGENFDGVPNIDHSQAFVREDIIGWLKWLRETIGFQDFRFDFAKGYDPKFVKEYVEESKPVFSVGEYWDSCNYVAPDSRLDYNQDSHRRRIIDWIEKTGHVSAAFDFTTKGILQEAVKGQLWRLRDPQGKPPGVIGWWSSRAVTFIENHDTGSTQGHWPFPSDHVMEGYVYILTHPGIPTVFYDHFYDWDNAYHNQIVRLMNIRCCQDIHSRSKIEILEARSDLYAARIDNKVCMKIGDGSWCPSGQEWTLAASGNRYAVWHK >Ma04_p21990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24409318:24429611:-1 gene:Ma04_g21990 transcript:Ma04_t21990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRTVVDETRQGNECSNGGPLQNGREILFQAFNWESHEHDWWHNLEMRVDDIARSGFTSAWLPPATNSLSKEGYLPQNLYCLDSAYGSHDGLINLIQEMHQHRVRAMADIVINHRVGTTRGHGGAYNRFDGIPMSWDERAVTSNSGGLGSPSYGENFDGVPNIDHSQAFVREDIIGWLKWLRETIGFQDFRFDFAKGYDPKFVKEYVEESKPVFSVGEYWDSCNYVAPDSRLDYNQDSHRRRIIDWIEKTGHVSAAFDFTTKGILQEAVKGQLWRLRDPQGKPPGVIGWWSSRAVTFIENHDTGSTQGHWPFPSDHVMEGYVYILTHPGIPTVFYDHFYDWDNAYHNQIVRLMNIRCCQDIHSRSKIEILEARSDLYAARIDNKVCMKIGDGSWCPSGQEWTLAASGNRYAVWHK >Ma08_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35264087:35266632:-1 gene:Ma08_g21350 transcript:Ma08_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDPANIKKWNVIYPVYINSKKTVAEGRRISATKACENPTCIEIGDCCSYLKIPFAIELDKAYPRDFMQRGRVRVQLKREDGSLWNSEIDSKKQLMLRIAELIPKHHGRTKKQEPVNTSTAAGPSKSGKGGRKKK >Ma06_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15690587:15692142:-1 gene:Ma06_g21450 transcript:Ma06_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 8 [Source:Projected from Arabidopsis thaliana (AT1G05310) UniProtKB/Swiss-Prot;Acc:O23038] MQCSASTMAITNRGTSLLVLLSAIVAALLSSQLVFQHPPCLEWLLNLDFLSFTSSISPNSYGSGHHRRHRAPKTNDTDICDDLPPGFPPADTDTTSIFCVDRNGCCSFTTVQSAVDAVAVLSQKRSIVWINKGIYYEKVTIPKTKPNITFQGQGLYTTGIAWNDTANSSHGTFYSASVAVFGANFIAKNISFINVAPIPNPGDVGAQAVAIRVGADQAAFWGCGFFGAQDTIHDDRGRHYFKECHIQGSIDFIFGDGRSLYESCQMISIASPVAAGLKTIDGTVTAHGRASGDENTGFSFVNCSIGGTGRIWLGRAWRPFSRVVFANTFMSEIIAPEGWNDFGDPGRDQTVFYGEYNCSGAGASLTMRVPYAQKLNNTQAAPFLNTSFIDGDQWLQPLDSNLLF >Ma10_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9625312:9628349:1 gene:Ma10_g02790 transcript:Ma10_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVIHQSLDVQCRVAMEIDEIVDISAAAAAAAVAELGPEAAAVTVDVTVSELELRKSDAILDISVKPLLFVPSIRSGSFADIGHRRYMEDEHIRIDNLSSHLGSLLRCPMPSAFYGVFDGHGGPDAAAYTKKHATRFLFKDANFPRASEADNNFVESVENSVREAFLLADLALAEDSTVSSSSGTTALTAMVFGRILLVANAGDCRAVLCRKGEAVDMSQDHRPINAAERQRVEQSGGFVDDGYLNGILSVTRALGDWDLKMPRGSPSPLIAEPEFRQAMLTEDDEFLIIGCDGIWDVMSSQHAVGVVRRGLRRHDDPERCARELVMEALRLNTVDNLTVIVVCFSDEYGGSSASPCHEPGQQQQQQQQPRTRCCKSLSVEALCNLRSLLDNGGSN >Ma10_p02790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9625597:9628349:1 gene:Ma10_g02790 transcript:Ma10_t02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLSCIRSGSFADIGHRRYMEDEHIRIDNLSSHLGSLLRCPMPSAFYGVFDGHGGPDAAAYTKKHATRFLFKDANFPRASEADNNFVESVENSVREAFLLADLALAEDSTVSSSSGTTALTAMVFGRILLVANAGDCRAVLCRKGEAVDMSQDHRPINAAERQRVEQSGGFVDDGYLNGILSVTRALGDWDLKMPRGSPSPLIAEPEFRQAMLTEDDEFLIIGCDGIWDVMSSQHAVGVVRRGLRRHDDPERCARELVMEALRLNTVDNLTVIVVCFSDEYGGSSASPCHEPGQQQQQQQQPRTRCCKSLSVEALCNLRSLLDNGGSN >Ma01_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15305978:15309548:1 gene:Ma01_g19650 transcript:Ma01_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDIIKKSKEGGLNVIQTYVFWNGHEPQYGKFNYEGRYDLVKFIKLVQKQGMYVTLRLGPFIQAEWNHGGLPYWLREVANITFRTDNKPFKDHMKRFATMIVKKMKDEKLFASQGGPIILAQIENEYNNVARAFEGGARYIKWAGNMAVGLDTGVPWVMCKQNDPPGEVINACNGRNCGDTFQGPDDRTKPSLWTENWTAQYRVFGDPPSQRSAEDIAYSVARFFSKNGTLVNYYMYHGGTNFGRTGAAFVMTRYYDEAPLDEYGLPKEPKWGHLRDLHYALKLCSKALLKGSPSVQSFGSGFEARLYEIPEDNVCVAFLTNTNARRDGTVKFRGTEYFLPRRSISILPDCKTVVYNNQMVNSQHNARTFNPAKEDSKNNKWQMYVEQPPSVRDTSIKAKGPQELVNMTKDTTDYLWYATSFNLEKDDLSMRHDIRPVLQVSHLGHAMHAFVNGKYIGSGHGTKIEKSFVFQKPMDLQAGTNDVAILGMTVGLPDSGAYLEHRLSGVHTVVVQGLNAGSLDLSQNVWGHKVAMEGERKAIYDEKKVDSFKWEDPKSDSPITWYKRYFDAPNGKDPVAVDLKSMGKGQVWVNGESIGRYWVSYLSPLGKPSQSIYHVPRSFLKSKDNLMVVFEERGGKPEDILIMTVKRDNICSFVSESNPPQVRSWAIKNSQIQSVVNDLKPDATLTCTGDKVIRSIVFASFGNPSGVCGNFTVGSCHARQAKSVVEQACLGKASCVLPVSAATYGADAGCEGTTATLAVQAKCARASQKD >Ma06_p38280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37099373:37102650:-1 gene:Ma06_g38280 transcript:Ma06_t38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 10, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G09590) UniProtKB/Swiss-Prot;Acc:Q9LDZ0] MAIGSVLLSRMARSRSRSLVSAVTSALPQTSNLLKASHGTPLTQRWAAAARAFSSKPVSSDVIGIDLGTTNSCVSVMEGKSPKVIENAEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRRFDDSQTQKELKMVPYKIVKAPNGDAWVEMNGQQYSPSQIGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLEVLRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSVLEISNGVFEVKATNGDTFLGGEDFDNALLDYLVSEFKRTDNIDLSKDRLALQRLREAAEKAKVELSSTTQTEINLPFITADASGAKHFNITLTRSKFESLVNHLIERTRIPCGNCLKDAGISAKEVDEVLLVGGMSRVPKVQEIVSQIFGKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGLETLGGIFTRLINRNTTIPTKKSQLFSTAADNQTQVGIRVLQGEREMASDNKLLGEFELTGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKATGKEQEITIRSSGGLSEEEIEKMVKEAELHAQKDQERKTLIDVKNTADTTIYSIEKSLGEFRDKIPAEIAREIEDSVADLRAAMGEDNVEKIKEKIDAANKAVSKIGQHMQQGGGGSSGSGSAGSSGDQTPEAEYEDKEAKM >Ma09_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18123504:18124266:1 gene:Ma09_g18570 transcript:Ma09_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREEKEMELHAHESLALCINNCGFPGDPATNNMCQTCFQASPAAASSSLPRSCSRRSAPSIRSPDPADRAEEQGAPTAALASPAPAPARKVNRCLSCRKRVGFTGFRCRCGELFCGEHRYSDRHDCGYDYKAAARAAIARANPIVRAAKIVRV >Ma01_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2667781:2668149:1 gene:Ma01_g04100 transcript:Ma01_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLVLLLRAMFGCYGKRRQRSLAATFLGKIPRVVYNVPSSPSPSSPTVSVVDPQPDRESCTICIEEFVSGEELCMLPQCNHLFHGVCIQGWLLSPSLTCPVCRKFVIPKSEGNERELESV >Ma05_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27721598:27728071:1 gene:Ma05_g19340 transcript:Ma05_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFSGFVLDPSKCRKLSIDDKRELIHELSKWPDSSTEKLQTWSRKDLLEILCAEIGKERKYTGLTKQKMIEYLFKLVSEKKSGGHVEAMNSTPNPPNPNPQTPHKRHRKNENPSRLPITANNLPASEGNEAVINVRYCQNLACRATLNLDDAFCKRCSCCICHKYDDNKDPSLWLFCSSDTLSQGNPCGLSCHLECALKHERAGIVKNGKCTSLDGSYYCTYCGKSNDLLGCWKKQLMIAMDARRVDVLCYRISLSHKILESTEKFQSLHEIVDTAMKKLEAEVGPINDLPNMARGIVNRLSVGAEVQRMCAFAVKLLDSMHLVAFSSDTQVQQVSLTSSSFIKFVDISPVSVTLVLGYDDNSALSQEMAGFTIWHRKADAREYPKKPTCTLFKPKRRFLITELSPATEYMFKVVAFSSFSELGMWEVGVTTEGISLDDPAGLAADVNPSKPYCQSPKTNSSGLSNPSEGDESNNNVVAYTDLNKSPDSCFHYFEKPDILDSEKLSDHIQKDEKSEYAGTISGAEVMEADETPGHSGSALDEELNPTIQMESHKDSTNSVENNQATDIPKSENESNAPTADEMVIVPFGHPDQTLPVTHRGLDTSQEGPGRGSKLKLGINLLESGRTNSGREPASLSKKRGREKILEMCAKEGSLEGSYEYCVKVVRWLECEGHIETNFRVKFLTWFSLRATPQERRIVTVYVDTLIDDPASLAGQLVDTFSETICSKKPPLVPTGFCMKLWH >Ma08_p31480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42360369:42361414:-1 gene:Ma08_g31480 transcript:Ma08_t31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGTASFKSVDSDPWTPSSPKAAKKKAGKNPYSARGLDKFATLVAELEARKERVLARAASQGAAAMVRFTYSGPNEWIPIIVKIRDPQVEAGKPSDVEKPKAPPPVQAISEASPPEKDVGEGVETVAPETKPKGHFSWGVGGAKGWSGKNWRPSYYWPLVAVLMLLCLVMFGRVFAICCTTVWWYMVPIMQGESGAGVRRSIKKKKDYGRRLSDKRMAGNLAPAPSFQANKVRGVQEASSPRGHVNGKRG >Ma08_p19530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33306194:33309546:-1 gene:Ma08_g19530 transcript:Ma08_t19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRLLASFLSLLLLLPSCVLGDSPYRFFTWNVTYGDIYPLGVKQQGILINGQFPGPQIEAVTNDNLIVNVFNSLPEPFLFSWNGIQQRRSSWQDGVYGTNCPIPPGGNFTYTMQVKDQIGSYFYFPSLAFHKAAGGYGGIRVLSRPLIPVPFAPPAADYTVLVGDWYKANHTDLRNILDGGKDLPFPDGLLINGQGSNGSAFTVDQGKTYRFRVSNVGVATSLNIRIQGHALLLVEVEGSHTLQNTYSSLDIHLGQSYSFLVTADQPPMDYYIVVSTRFTTTVLSTTAILHYSNSDGKRVEPPPGGPTTDIEWSLNQARSIRWNLTASGPRPNPQGSYHYGYVNVTRTIRIANSAPVINGKQRYAVNSLSFIPADTPLKLADFYNISGVFELGSISDIPTSGPASLRTSVMAADFRAYVEIVFENSETSVQSWHIDGYNFWIVGMDGGQWTPASRDSYNLRDAVSRCTVQVYPNSWSAIYMPLDNVGMWNIRSENWARQYLGQQFYIRVYSPANSWRDENPIPRNALLCGRASGRRTRPLW >Ma08_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33306194:33309546:-1 gene:Ma08_g19530 transcript:Ma08_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRLLASFLSLLLLLPSCVLGDSPYRFFTWNVTYGDIYPLGVKQQGILINGQFPGPQIEAVTNDNLIVNVFNSLPEPFLFSWNGIQQRRSSWQDGVYGTNCPIPPGGNFTYTMQVKDQIGSYFYFPSLAFHKAAGGYGGIRVLSRPLIPVPFAPPAADYTVLVGDWYKANHTDLRNILDGGKDLPFPDGLLINGQGSNGSAFTVDQGKTYRFRVSNVGVATSLNIRIQGHALLLVEVEGSHTLQNTYSSLDIHLGQSYSFLVTADQPPMDYYIVVSTRFTTTVLSTTAILHYSNSDGKRVEPPPGGPTTDIEWSLNQARSIRWNLTASGPRPNPQGSYHYGYVNVTRTIRIANSAPVINGKQRYAVNSLSFIPADTPLKLADFYNISGVFELGSISDIPTSGPASLRTSVMAADFRAYVEIVFENSETSVQSWHIDGYNFWIVGMDGGQWTPASRDSYNLRDAVSRCTVQVYPNSWSAIYMPLDNVGMWNIRSENWARQYLGQQFYIRVYSPANSWRDENPIPRNALLCGRASGRRTRPLW >Ma01_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11258328:11260174:1 gene:Ma01_g15530 transcript:Ma01_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKGESTGTSSTASPDLSLTIGLPGVVSSGTSGDDGRYRACIDLSLACAMSSSEANVFQRFQGPLSSPTPINGAPIYPKSGLQHQMSSSSCNGYPNEAYLMSSYLHWSNEYFPCGVGSLEATQSLMRSRSTPRYPTKRSTIRAPRMRWTSSLHAHFVHAIELLGGHERATPKSILELMAVKDLTLAHVKSHLQMYRTTKSTDKPAASSGQSDLALGNSDLTLMVHKFSDAPNQHHYLDSTTGWSNSSR >Ma03_p24380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28620712:28637996:-1 gene:Ma03_g24380 transcript:Ma03_t24380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTADSSRVDREKDWDFHLRSLSSNARDSSSASDPASDPYILQSVKKIYDIAREGGSEELVARAYPQINKLFQRCVSALPQSQTSNGVLLLTILQFFLDFGEVVLHDADPSLKAFFRSCLSREFADPVIAKATLDFLNLNKAKLLSSFPTLLPQFFPLLLKLIAWNGERLEKLFMMLFPAMMSIGSFLPLFHSLMDLPILVASLEKVEKSSGSLIGSSIASIQKSAAPEMLLALMDEAYTGSAIEDRGGDSGSDDNNDIDVSDPVFLDILKDENDGITERPWTSPAMTAMLQAAINNTQSERLKQVLHMTPQFLALYFSIALRDVNDSLLCALIPLVMSRYATTFPDKVFSHEVQKRLSDFMLAAFQQCPKFIALLKKPITDRLGEAYDNPAKTELALHLCWAIGEHGAGGVAYKDVARELFENLELLLYENLLSSRLGLSQESDIEPNNVAFRKSSQARLLCFVVTAIAKLATCHNELLPRARVSLAKVARSRNIDRRVWRRACDYLGLMSEPAICLSILGPSTEKPKVPGIINWSEGGSKMIAHVPFYILSEQEGPPFHDFSFSDIIPEK >Ma03_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28620712:28637996:-1 gene:Ma03_g24380 transcript:Ma03_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTADSSRVDREKDWDFHLRSLSSNARDSSSASDPASDPYILQSVKKIYDIAREGGSEELVARAYPQINKLFQRCVSALPQSQTSNGVLLLTILQFFLDFGEVVLHDADPSLKAFFRSCLSREFADPVIAKATLDFLNLNKAKLLSSFPTLLPQFFPLLLKLIAWNGERLEKLFMMLFPAMMSIGSFLPLFHSLMDLPILVASLEKVEKSSGSLIGSSIASIQKSAAPEMLLALMDEAYTGSAIEDRGGDSGSDDNNDIDVSDPVFLDILKDENDGITERPWTSPAMTAMLQAAINNTQSERLKQVLHMTPQFLALYFSIALRDVNDSLLCALIPLVMSRYATTFPDKVFSHEVQKRLSDFMLAAFQQCPKFIALLKKPITDRLGEAYDNPAKTELALHLCWAIGEHGAGGVAYKDVARELFENLELLLYENLLSSRLGLSQESDIEPNNVAFRKSSQARLLCFVVTAIAKLATCHNELLPRARVSLAKVARSRNIDRRVWRRACDYLGLMSEPAICLSILGPSTEKPKVPGIINWSEGGSKMIAHVPFYILSEQEGPPFHDFSFSDIIPEK >Ma03_p24380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28622855:28637996:-1 gene:Ma03_g24380 transcript:Ma03_t24380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTADSSRVDREKDWDFHLRSLSSNARDSSSASDPASDPYILQSVKKIYDIAREGGSEELVARAYPQINKLFQRCVSALPQSQTSNGVLLLTILQFFLDFGEVVLHDADPSLKAFFRSCLSREFADPVIAKATLDFLNLNKAKLLSSFPTLLPQFFPLLLKLIAWNGERLEKLFMMLFPAMMSIGSFLPLFHSLMDLPILVASLEKVEKSSGSLIGSSIASIQKSAAPEMLLALMDEAYTGSAIEDRGGDSGSDDNNDIDVSDPVFLDILKDENDGITERPWTSPAMTAMLQAAINNTQSERLKQVLHMTPQFLALYFSIALRDVNDSLLCALIPLVMSRYATTFPDKVFSHEVQKRLSDFMLAAFQQCPKFIALLKKPITDRLGEAYDNPAKTELALHLCWAIGEHGAGGVAYKDVARELFENLELLLYENLLSSRLGLSQESDIEPNNVAFRKSSQARLLCFVVTAIAKLATCHNELLPRARVSLAKVARSRNIDRRVWRRACDYLGLMSEPAICLSILGPSTEKPKVPGIINWSEGGSKMIAHVPFYILSEQEEFNFDNSGFVKHVRMPNL >Ma04_p26280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27786605:27790463:1 gene:Ma04_g26280 transcript:Ma04_t26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEGSGDGAKVEEENMAAWLVSVNTLKIQPFRLPSLGPYDVRVRMKAVGICGSDVHYLKTLRCAHFVVKEPMVIGHECAGVIEEVGSDVESLVVGDRVALEPGISCWRCKYCKGGRYNLCPDMKFFATPPVHGSLANQVVHPADLCFKLPENVSLEEGAMCEPLSVGIHACRRANVGSETNVLIMGAGPIGLVTMLAARAFGAPRIIIVDVDGYRLSVAKSLGADDVVKVSTNNQDMDEDVVQIQKAMGSDIDVSFDCAGFSKTMSTALNATRAGGKVCLVGMGHNEMTVPLTPAAAREVDVVGIFRYKETWPLCIEFLRSGKIDVKPLITHRFGFSQEEVVEAFEVSARGGDAIKVMFNL >Ma01_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15207634:15208185:-1 gene:Ma01_g19540 transcript:Ma01_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAVGGSDGILQSFADRMDALHKAIASLAALPKTNESQEEHVLENFHSSRLIRKLILDCPGFAATLWEMALDGKCDVWAQGHSCKVLLAFLESSISEVKDLAKPELQPLMDRGVLKAPENRLVEKGV >Ma03_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1889804:1891324:1 gene:Ma03_g02760 transcript:Ma03_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVPSSVLLLLLSCIAFFSASSQAYEYPPIVSGLSFDFYKSTCPNVEPLVRNYLAQLFKKDIGLAAALLRVHFHDCFVQGCDGSILLDGSAGGPSEKNAPPNLTLRPAAFKAINDLRTLISKACGQVVSCSDISALAARDSVFLSGGPDYRVPLGRRDGLSFATRDAVLSFLPSPTSDVTTLINALAKLKLDTTDLVTLSGGHTIGIGHCTSFQNRLFPSQDSTLEPTFAKNLYRTCPVKDTTNTTVNDIRTPNTFDNKYYVDLVSKQGLFTSDQGLFGDSRTKPLVTSFAANQPLFFGKFVYSMTKMGQLSVLTGKQGEIRKNCSALNSGKKVLWSVVDGEGDGSEVF >Ma04_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2846585:2850278:1 gene:Ma04_g03680 transcript:Ma04_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEPNVVESSGSGSTGKFRRICVFCGSRSGNRPSFSQAALDLGKQLVERKIDLVYGGGSAGLMGLVSKTVFDGGCHVLGVVPTALLPNEVSGETIGDLIKVADMHERKSEMAKCADAFIALPGGYGTMEELLEIIAHSQLGIHHKPVGLLNVDGYYDSLLALFDKGVEQGFIEDSARHIVASAESAEELLRKMEITCRPSVGPVASWPPHVSVPLLRKSRRDDDADSAAFRQAAEPPPSDRDTGGLSCAANPDSHTTHVSPATPRRRPLAGGGATRGVEWLPRRRPPARSLTPT >Ma03_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10297118:10298345:-1 gene:Ma03_g13280 transcript:Ma03_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKDGYWIAVGARRCDSCKSAPALLFCRADADYLCGECDARLHGARPRHGRVWVCEVCEQAPASVTCKADAAAICAACDADIHSANPLALRHERAPVVPFLEPLASAAASWLLPNLCANGLMKKPDGKQVDHFFSDVETYLDLEHATSMDERYQQGDGVVPVHAKATVGDGGAPPLGPFLPPDGVFELDLVGSKPSYSPYTADSLSHTVSFSEFGVVPDGNGGGAKWATVDVAGGGGGRAADRKARVMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFVKRSEIEAVEVGRKHSSAMGVAFMVDPGYGVVPSF >Ma03_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28401588:28403676:1 gene:Ma03_g24010 transcript:Ma03_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSLRGATRSCSHNSIAAEEMVASGGTDSHAVDAASAAPAGDQKKLDAGALFVLQSKGSWLHCAYHLTTSIVSPALLSLPLAFASLGWASAVACLVMGAAVTFYSYNLLSLVLEHHDQLGRRQLRFRDMAHDILGPKWGRYYVAPIQFTICFSTVFGVGLLGGQSLKSIYLIARPEGTMKFYEFVAIFGVFMMVLAQIPSFHSLRHVNLISLVLCLAYSACATAGSIHAGIIPFPLYA >Ma03_p33000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34546167:34547532:-1 gene:Ma03_g33000 transcript:Ma03_t33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKSWLSSLFFVAVLMGLVTSSGAYVFYVGGRDGWVSNPSESYDSWAGRNRFQVNDTLVFRYKNGTDSVLVVTKQDYDACNVSNPIQKLEGGDSMFNLGRSGPFFFISGVPDNCRKGQKLVVVVLAVRNGGKPSPSPPSPPPAAVSPSPLAPSEPPTSSPTSSPPLPPSPSSSASPSPSPSAPSPASISQSPSPSPAHSPSPSSFTLTPASAPTPTAEPPNSGSPASAPSPASISQSPSPSPARSPSPSSSTLTPATAPTPTAEPPNSGSPPPPPPSSPSGTPSGPESSPSQSVSPPSPSSSSIVSASSITLGLIAMILGGALLH >Ma03_p26160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29994736:29998838:-1 gene:Ma03_g26160 transcript:Ma03_t26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPSLLLLPNPPYGDLFPDGLPLLPSSSELHDIDLDFDIDDFLRSPDPHHHSPTSDPNSAADGSAVSSSSSTQHDRSGLAPRPSSPDSSAASGLAVVVDPEVKEKEGKVGWSLKRKMEKDNDGLSDRRTDSDLNPNPRSIKFQRSEEASPPCIFGSGSQEEEKRRARLVRNRESAQLSRHRKKQYVEELEDKVRLMHSTINELNTKISYIMAENISLRQQLVGGVAPPSPVYPRPGAMAPVNVQWIPRYSLNPQGTQVPLVPIPRLKLQKATLAPKAKKSESKKGQSNTKRVASVSLMGLLFLILIVRGAFPSLNLRYGGYDDDEGLSNGRILCQAKSRILSVRGCGNGLNSSNDITLCSRQKGFGGGIDSVTARKCENVKVGPGMNPKGLSSWPSPGSEAVFTQNSSESLPALLYVPRNGKHVKIDGNLIIHSVLASEKAVQQTESSQSLDKETGLAIAGNVMSALAISKAGKGLDLQHSKSYGDASDSDDTYVNNLKLTSSDGPHQQWFHEGMAGPILSSGMCTEVFQFDVSSSSSSTSIFPTSSIMNGSSITNATENLPPSSARPGKNKNRRIMHPEPLPLRGTTRNNTKQFDKSSERSNLHNNKPVSSVVVSVLADPRDAGDGEGDERISPKSTSRVFVVVLLDSIKYVTYSCVLPFKTSAPHLVN >Ma04_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5173403:5194417:1 gene:Ma04_g07130 transcript:Ma04_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPGTPVNISIGSHVWVEDPTSSWIDGQVTKITGENAEVQASNGKTVVTSLSKIYPKDMEAPPGGVDDMTKLSYLHEPGLLQNLSTRYQLNEIYTYTGNILIAINPFQRLPHLYDSHMMIQYKGARLGELSPHVFAVADVAYRAMINEEKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDRQGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPTEVVEKYKLSKPNSFHYLNQSNCYELVGVSDAHDYLATRRAMDIVGISAQEQDAIFRVVAAILHLGNIDFAKGQDIDSSVLKDDKSKFHLKMTAELLMCDSAALEDALCKRVMITPEEVIKRPLDPRAATISRDGLAKTIYSRLFDWIVDKINVSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKTHKRFIKPKLSRTDFSISHYAGEVLYQSDQFLDKNKDYVVAEHQDLLSASKCSFVSGLFPPLPEETSKTSKFSSIGSRFKQQLQALMETLNSTEPHYIRCVKPNNLLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRIFYEFLHRFGVLAPEVLEGNYDEKIACRKILEKTGLAGFQIGKSKVFLRAGQMAELDARRAEVLNSAAKTIQNQIRTHILRKQFIALRKATIYVQSLWRRRLAFKLYEGMRRENAAIKVQKNLRRYKAKKAYTRLRLSVLVLQAGFRFLAARNEFRFRKQTKAATVIQAKWRCYRAYSYHKKLKRASLVSQCRWRGRVARRELRKLKLAARETGALKEAKDKLEKTVEELTWRLQLEKRLRTDLEEAKGQEITKLQSSLQAMQSKVDETTAMLVKEREAAKKAIEDAPPVIEENTVLVQDTEKIDSLTDEVENLKASLQSEKQRADDAENRFNEAQTTSEERQRKLHESEGKLHQLQESLHRLEEKLANLESENKVLRQQAVSMAPNKLLSGRSKSTLQRSSENGLVINSETRTIADPLSASFNMRENSEVDDKPQKSLNEKQQENQDLLIRCIAQDLGFAGSRPVAACITYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSFVNGSLTGGVDKLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSPGNAPAQQALIAHWQGIVKSLDSFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSVQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMEPIDISDIEPPPLIRENSGFMFLLPRSD >Ma04_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3462834:3470662:-1 gene:Ma04_g04540 transcript:Ma04_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGGDGGGAQTAAATTANASLNGGAPPPFLSKTYEMVDDPATDAIVSWGSANNSFVVWNTTEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDQWEFANEGFLRGQKHLLKNISRRKSTHPHNQQQQPQTQSSIEVGKFGMEEEIERLKRDKNVLMQELVRLRQQQQATDQQLNTLGQRLQGMEQRQQQMMSFLAKAMQSPGFLAQLVQQNDSNRRIVGVNKKRRLPRQENKVDGESAVHDGQIIKYQPLINEAAKAMLMQILKFDTSPRLESFGNSQNLLLENFSSPVEAFDGRSSLKRISGVTLSEVPASTGFPSLPTNSGYSTMPSSVPSDVQSSSVVADMVATTEMPNMNTGAGSVAPSHTANGTLKLSEGQSAMPNGLHSYIGPTGGNIPMNPEFMDQLAGIDTEKFSFDTDVDILNDDEKLPSINDPFWEQFLTASPLLGDAEEVDSGIHETEEMRLESGDDWGSSPNMDHLTEQMGHLTSETNRH >Ma04_p04540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3462834:3470662:-1 gene:Ma04_g04540 transcript:Ma04_t04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGGDGGGAQTAAATTANASLNGGAPPPFLSKTYEMVDDPATDAIVSWGSANNSFVVWNTTEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDQWEFANEGFLRGQKHLLKNISRRKSTHPHNQQQQPQTQSSIEVGKFGMEEEIERLKRDKNVLMQELVRLRQQQQATDQQLNTLGQRLQGMEQRQQQMMSFLAKAMQSPGFLAQLVQQNDSNRRIVGVNKKRRLPRQENKVDGESAVHDGQIIKYQPLINEAAKAMLMQILKFDTSPRSSLKRISGVTLSEVPASTGFPSLPTNSGYSTMPSSVPSDVQSSSVVADMVATTEMPNMNTGAGSVAPSHTANGTLKLSEGQSAMPNGLHSYIGPTGGNIPMNPEFMDQLAGIDTEKFSFDTDVDILNDDEKLPSINDPFWEQFLTASPLLGDAEEVDSGIHETEEMRLESGDDWGSSPNMDHLTEQMGHLTSETNRH >Ma06_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1404489:1416714:1 gene:Ma06_g01730 transcript:Ma06_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDGESNELSPTRRPKQGFRSLMTIGDLNGTFGPTCGSHQPWLKRWGAQEVPQRRECRQRHCRGGDDVVGGGELSQRGDDVVGWGEQQALLHSKDQSTILEESFKEHNTLIPLIPSSPSKQKLALAKQLNLRPRQEEVWFQNRRARTKLKQTEVDCEFLQKEVQELRALKLSPQFYMHMTPPTTIQLHRVHDDHHHHNLNLVTAWWWNSAEGGGGRTEICIVSNQDKWYLDHLDVPWQKLYMNEPLTNISTPEQQKIGHWW >Ma02_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24735737:24742867:-1 gene:Ma02_g18100 transcript:Ma02_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHFSSGDAGGTFDDAGSRVSRLSWARSLSIASTSSSLGLEPRRAELDPGWDLPCPATAGPPEGLRAFAFSELKAATRGFGRSLLIGEGGFGCVYRGVVTVAGDDGAAETMDVAVKQLNRHGLQGHKEWVTEVNFLGVVKHPNLVKLVGYCAEDDERGIQRLLVYELMRNKSLEDHLLSRVSSALSWSLRLKIALDAARGLAYLHEEMDFQLIFRDFKTSNILLDEDFNAKLSDFGFARHGPTAGVGHVETSVVGTVGYAAPEYIHTGKLTAKSDVWSFGVVLYELVTGRRSLDRNLPRSEQKLLEWVKPFATDPKKLHTIMDSRLEGKYCLESAQNLVALANRCLKKQPKSRPRMSEVVEMLDQIIKTSNSETTETITSTSISPGEDAADTKKLSRDKSSRSRVFEIKDMLTLKNNSVGRLYWRSLASGPIARMARNRP >Ma02_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15153874:15157608:-1 gene:Ma02_g03740 transcript:Ma02_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein VIP3 [Source:Projected from Arabidopsis thaliana (AT4G29830) UniProtKB/Swiss-Prot;Acc:Q9SZQ5] MKLAGLKSVENAHDESIWAAAWVPATEARPALLLTGSLDETVRLWRPDELTPVAPPSKGHALGVVSVAAHPSGAVAASSSLDSFIRVFDIDSNASIATLEAPPSEVWSMQFDPKGTILAVAGGGSASVKLWDTSSWQLVCSLPVPRPEGARPDKTGSGKFVLSVAWSPDGKKLACGSMDGTIAVFDVARSKFLHHLDGHYMPVRSMVFSPVDPRVLFTACDDTHVHMYDAEGKSLVGAMSGHTSWVLSVDASLDGAAIATGSSDRTVKLWDLSMRTAVQTMTNHSDQVWSVAFRPPGGTGLRVGRLASVSDDKSISLYDYS >Ma07_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4116751:4118376:-1 gene:Ma07_g05670 transcript:Ma07_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSFSKFFAYFYRFLLRRLRSAHATHIRFQKWPPLPDKLSGQTVVCHMEGGLLRSSSIFPYFMLVAMEAGGFFRALLLLLLYPVIACLGHEMRLRIMVVVCFCGLRKEKFKLGRTVLPKFFLEDVGMEGFEILRRSQRKVCVSAMPTVMVEGFLREYLEVEVAVGRELKVFGGYYTGLMEKDSLASKVLLGREKAREADVIGFGGYPNSVHLPLFSHCKEVYLVSEADKRKWRVLPRTEYPKPLIFHDGRIAFRPTPISTLCMFLWIPLAFLLAIARAAIFIFLPYIFSVPLLCFMGMHSRVITSPRSHQATRRQLYISNHRTLLDPLYISAALGHHVTATTYSVSRISEMLSPIRTVRLTRNRDQDKGLMKKLLHEGALVVCPEGTTCREPYLIRFSPLFAEVSEDVVPVALESRVSMFYGTSTSKLKFLDPLCFLMNPFPRYVVELMDKVATGWIGGNKCSSCEIANHLQGEIGKLLGFECTSFTRRDKYLMLASNDGSL >Ma07_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30119284:30119491:-1 gene:Ma07_g22020 transcript:Ma07_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNIYLRIFNSINVFVCEFDCTIVNYTYGVFRKPSMQQKRRCELCY >Ma11_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6583186:6584907:1 gene:Ma11_g08270 transcript:Ma11_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEQKERKPGEEGGEPSEASSEVEGKISRKPSCASLASNDEDYVGGDEEDDDEKRAVLLGPQIALKEQIEMDKDDESLRKWKEQLLGSIDLNEVGETLDPDVRIQDLTILTSERSDLVLPIPFVPDAKGFAFALKDGSRYRLKFSFTVSNNIVSGLRYTNTVWKTGMKVDSTKVMLGTFSPQKEPYTYELEEETTPGGYFARGSYSARTKFVDDDGKCYLDMSYYFEIRKDWPTPA >Ma05_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24607776:24609429:-1 gene:Ma05_g18710 transcript:Ma05_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTCSEEVGVKKGPWTPEEDISLVSYIQEHGPGNWRAVPANTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQENRIIIHLQALLGNRWAAIASYLPQRTDNDIKNHWNTHLKKKLRKVEADGNGPKPSISRGKWERSLQTDIHKARRALCEALLIEKPADCASDDSSRTAYASSAENISRLLEGRTGNPAGATDAADGASTTTQADSAASSQGTASTSNSVVSRQPSSYQTPFSLLESWLFDETVAQGSENHGNGRCWW >Ma09_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7812117:7814960:-1 gene:Ma09_g11560 transcript:Ma09_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWNYFRILAQLLFLLSLYNARHTVSWERCPTDSNFTTNSTYHSNLNLLLPSLTSATVSSGYANRSAGSSPDQVFGLALCQLDVSQDKCQACLATAVDTLHSRCPYAKDAATWGDHCFLAYSNTNFSAESNKSSFSQILYNVGEVSETSRFVDLVGELMNALINWAAYKTDSMFAIGEAKFTSFTNLYGLVQCTRDQSDDDCFQCLRQSLASMQSCCWKNQGGVVLKYRCFLRYETYSYYNMSVPTSPLPPLSSALSSAPPPGATANPPPPPAVVDSNSSSSSARDAGKKQNSKIVVAVVIPILGAVMLVAALLIFLWRTKIFARKSNVGGAKSQKANSLLFDFETLKVATNNFSDANKLGEGGFGPVYKGVLSGGQEIAVKRLSRSSQQGFAELRNEVAFIAKLQHRNLVRLIGFCSEEEKLLVYEFLPNRSLDKILFDPTKCGQLNWERRYKIIEGIARGLLYLHEDSRLRIIHRDLKPGNILLDQHMNPKISDFGLSKLLVDQDRSKESASRIVGTNGYIAPEYALHRHFSDKSDVYSYGVLVLEIITGRRISEFRGSGHRANLQSYAWKYWNKGKALQIVDQNLCGRFQREEALRCIRTTLLCVQENPSKRPTMASVVLMLSSSSMTTPSPSAPGFLIERSGTTDSNESTGNRSPEIKNEREGSSTSINEVSITVLEPRQ >Ma03_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27079941:27080937:-1 gene:Ma03_g22300 transcript:Ma03_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVDSVQCFGRKKTAVAVAHCKRGRGLIKVNGVPIELVKPEILGLKAFEPILLLGRQRFMDVDIRIRVRGGGKTSQIYAIRQSIAKALVAFHQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Ma05_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32157079:32164399:-1 gene:Ma05_g20470 transcript:Ma05_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRFEGINLLDHFKGIDLQGTPKWHLPLVSWPIAPALQLYYNSSIKYGLWLSLNFPRSVRQMELHYRIFTQLPLKDLEWVIDICTFYHATPQRKFFTTYRPGNFGVVKMGNYGMADIISIDDIHIKTNLSCKLMLKDVRHMDEKMEKLEEQGQIELFKEKSQLSTYYCPSEVLKSPNEAMEFLSRTWSPSSSDFSQILWSNGGELDQGLQEQQTEESLVQFEEDDKTRLEQALTLLSTGNLVRSGKLKQLHFGWMNVGRMKAWLGVELFSSLSRGCREKRNEKVRVREARVHAALSVARLAAAIAGISANSRLEPTNAKSTSMTGMGGGALDEKMNAVVASAAALVATVCAEAAESVGANREGVASAISTGLATKTSADMVTLTATCLRGAATLELRPAAGRHASEDQKTLARGARLPVRMPKDTIFNAMEDLKEGGISKDDHGFYSITLGTTGGAIQIMFEQQVQYRIWRSTICHLLCDC >Ma00_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33450:52408:1 gene:Ma00_g00040 transcript:Ma00_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEGGAGHRCLPTEVVAADAALAVVDGALAVVAFLQLLRIHLRNQQLGWTRQKIFHLMIGSSNIGYLVYFIFTIVATCEGWQCWSHGCGFILMACPQILFLAAFLLLVSFWIDLCHQANDDEEDDDEHGYNEALLEKSKSKQGSPHVDGHMKCCFPRTIHIGSRQKFVILVIVLTFVSVISFSILIWIGRGKTPIDSSLVAWVSLDIFSVAILLLGGALACYGVLLFSKMSKVRSEMASNDMWKVASLAAVSVTCFTSSAVLALVTNIPLEVLFYWHAAQSDHISSSVLIFLYYFIGSSVPSGFVLWVMREMPPRLVADSHRPARPTVVNFISERTTQNPQWRATVTSSQNKGLKSSPI >Ma08_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35922393:35925183:-1 gene:Ma08_g22290 transcript:Ma08_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANSGSTKSVIGCTLAAERTIDVNGSFMVNTSTMPQSNKSFQINEALQMQIEVQRQLQEHLEVQRNLQLQIEAQGKYLQSVLEKAQETLGKQNLGSPGVEDAKVQLCELVSKISSECFSNAFQGSEEIPGLNTSELHPSQPVDCSVESCLTSFEGSQSACKSLRSCNGSLPLCQQQVHENSRLENTQSAWCYLHEHKTFSSSSLGDSERTSFAAQKDFETLPTSIKTQNEGGTGSEAQGKESDDENISVEHPNSSRPADQQGRGKQSDRFGLLTHTAQLDLNAHEDNEGGTSSRFDLNGFSWS >Ma08_p22290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35922393:35925443:-1 gene:Ma08_g22290 transcript:Ma08_t22290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHYHQSHSNIFSSRETFPADGQLLLQRGGSAPEESGLVLSTDAKPRLKWTSELHERFIEAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANSGSTKSVIGCTLAAERTIDVNGSFMVNTSTMPQSNKSFQINEALQMQIEVQRQLQEHLEVQRNLQLQIEAQGKYLQSVLEKAQETLGKQNLGSPGVEDAKVQLCELVSKISSECFSNAFQGSEEIPGLNTSELHPSQPVDCSVESCLTSFEGSQSACKSLRSCNGSLPLCQQQVHENSRLENTQSAWCYLHEHKTFSSSSLGDSERTSFAAQKDFETLPTSIKTQNEGGTGSEAQGKESDDENISVEHPNSSRPADQQGRGKQSDRFGLLTHTAQLDLNAHEDNEGGTSSRFDLNGFSWS >Ma08_p22290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35922393:35925319:-1 gene:Ma08_g22290 transcript:Ma08_t22290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHYHQSHSNIFSSRETFPADGQLLLQRGGSAPEESGLVLSTDAKPRLKWTSELHERFIEAVNQLGGADKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANSGSTKSGCTLAAERTIDVNGSFMVNTSTMPQSNKSFQINEALQMQIEVQRQLQEHLEVQRNLQLQIEAQGKYLQSVLEKAQETLGKQNLGSPGVEDAKVQLCELVSKISSECFSNAFQGSEEIPGLNTSELHPSQPVDCSVESCLTSFEGSQSACKSLRSCNGSLPLCQQQVHENSRLENTQSAWCYLHEHKTFSSSSLGDSERTSFAAQKDFETLPTSIKTQNEGGTGSEAQGKESDDENISVEHPNSSRPADQQGRGKQSDRFGLLTHTAQLDLNAHEDNEGGTSSRFDLNGFSWS >Ma03_p32280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34154116:34157576:1 gene:Ma03_g32280 transcript:Ma03_t32280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEMGKFFDTTVNKGKECTLAVSSAVGSLVQFHQNLQNCLKAHLRKVVKNRQKFDARSPLTLVDRESPIALDIDFHKQLDAWKENSFWVDQPPEIKVSVPKGSLCNLNVNFKVGLPPDAIYNIVTDPENKRVFKNIKEVISRKVLIDEGLRQVVEVEQAAIWRFLWWSGTISVHVIVDQNRKDHTVKFQQGRSGFMKRFEGCWKVEPLFVDEHLCQPFKPRTWDNYNTCTQGKGRVGSIVSLDQLIQPSLVPPPPISWYLRGITTRTTEMLISDLIAEAARLRGAVSRAEARKKELETDDERENAPNSSYAPDDIKKRWQRRRDRRHNKRIRLPVH >Ma03_p32280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34154110:34157576:1 gene:Ma03_g32280 transcript:Ma03_t32280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEMGKFFDTTVNKGKECTLAVSSAVGSLVQFHQNLQNCLKAHLRKVVKNRQKFDARSPLTLVDRESPIALDIDFHKQLDAWKENSFWVDQPPEIKVSVPKGSLCNLNVNFKVGLPPDAIYNIVTDPENKRVFKNIKEVISRKVLIDEGLRQVVEVEQAAIWRFLWWSGTISVHVIVDQNRKDHTVKFQQGRSGFMKRFEGCWKVEPLFVDEHLCQPFKPRTWDNYNTCTQGKGRVGSIVSLDQLIQPSLVPPPPISWYLRGITTRTTEMLISDLIAEAARLRGAVSRAEARKKELETDDERENAPNSSYAPDDIKKRWQRRRDRRHNKRIRLPVH >Ma03_p32280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34154116:34157576:1 gene:Ma03_g32280 transcript:Ma03_t32280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEMGKFFDTTVNKGKECTLAVSSAVGSLVQFHQNLQNCLKAHLRKVVKNRQKFDARSPLTLVDRESPIALDIDFHKQLDAWKENSFWVDQPPEIKVSVPKGSLCNLNVNFKVGLPPDAIYNIVTDPENKRVFKNIKEVISRKVLIDEGLRQVVEVEQAAIWRFLWWSGTISVHVIVDQNRKDHTVKFQQGRSGFMKRFEGCWKVEPLFVDEHLCQPFKPRTWDNYNTCTQGKGRVGSIVSLDQLIQPSLVPPPPISWYLRGITTRTTEMLISDLIAEAARLRGAVSRAEARKKELETDDERENAPNSSYAPDDIKKRWQRRRDRRHNKRIRLPVH >Ma03_p32280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34154110:34157576:1 gene:Ma03_g32280 transcript:Ma03_t32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEMGKFFDTTVNKGKECTLAVSSAVGSLVQFHQNLQNCLKAHLRKVVKNRQKFDARSPLTLVDRESPIALDIDFHKQLDAWKENSFWVDQPPEIKVSVPKGSLCNLNVNFKVGLPPDAIYNIVTDPENKRVFKNIKEVISRKVLIDEGLRQVVEVEQAAIWRFLWWSGTISVHVIVDQNRKDHTVKFQQGRSGFMKRFEGCWKVEPLFVDEHLCQPFKPRTWDNYNTCTQGKGRVGSIVSLDQLIQPSLVPPPPISWYLRGITTRTTEMLISDLIAEAARLRGAVSRAEARKKELETDDERENAPNSSYAPDDIKKRWQRRRDRRHNKRIRLPVH >Ma03_p28220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31353374:31356726:-1 gene:Ma03_g28220 transcript:Ma03_t28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTSMESRGGFWASISTHACCFLLFCLLGARLACSQNQSCHSGDLDALRGFADGLKSSPPGWNVNDSSSSCCDWLGVVCGPPAITGRRVVGLVLRNRSLRGFISDALAGLDRLKRLDLSINNLQGPVPHRLFRLPLLENLSLSTNELAGVIPPDASLPAIQVFNVSCNYFTGHHPILAGSANLTLSDLTANKFHGAFDAGICNSSTKIQVLRLAMNSFSGAIPRGIKNCSSLVELSLGENSIAGNLPDELFEMPSLTQLSVQQNLLSGNLSTSIGNLSNLVLLDLSLNQFSGYIPDVFGNLPKLESFSAHSNHFVGDLPTSLSNSPSLKVLNLNNNSLSGEIDLNCTAMTSLSFLDLGSNAFSGTITDNLPQCVQLRTVNLAKNDLAGEIPRSFKNFVPLSDLSLTGNRLSDISSALQILQHCPNLTILVLTKNFRGGETMPADGIQGFQKLEVLVVANCALSGAVPPWLANSPELEVLDLSWNYLSGAIPPWFGNLDNLFYLDLSNNSLTGELPSGLTRMKSLKHGGSSQNSSENFPFFIKKNSTGKGLQYNQISSFPSSLVLSHNMLVGPILPGFGELSHLYVLDLSWNNLSGSIPEDLSGMTDLETLDLSRNKLTGSIPSSLTNLSFLSNFDVAYNNLAGAVPTGGQFSTFSSSAFEGNPGLCGFHFSPCSSANPSPPRSRRHGRSAALGMAIGIGVATASLLVVAYFILLRARPRGREDNAKVVAHADEFSDPAGCRLVLLFQNKDNRELSIDDILRSTDNFDQAHIVGCGGFALVYGATLPDGRKVAIKRLSGDYCQMEKEFQAEVETLSRVQHENLVPLQGYCRVGNDRLLIYSYMENGSLDFWLHEKLHEGNSTLDWGRRLRIARGAARGLAYLHQSCEPHILHRDIKSSNILLDHEFEAHLADFGLARLILPYKTHVTTDLVGTLGYIPPEYGQSSVATFKGDVYSFGVVLLELLTGRRPVDMCRPKAHRNVVSWVLQMKNEKREIEVFDPSVCSKQHDREAMRMLEIACLCVSDSPKLRPSTGDLVAWLEEIGHDEN >Ma04_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1312029:1313018:1 gene:Ma04_g01450 transcript:Ma04_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALPSPSALSSIASSAAVAVAKPPPKPFLKPHHKPLSTTLTAAAAAAAAAAVLLSAAPAPSFADPMFNLYYGTAASAANYGGYGGNADKKATAEYTYEVPEGWKERLVSKVEKGTNGTDSEFYNPKKRSEREYLTFLSGFRALAPVDAVLSNLALSDVGLQDQIATADEVRSQERKDDDGQLYYAYEIEGAGSHSLISVTCARNKLYAHFVTAPNLDWTRDQDMLRRLHDSFKTVDPSSS >Ma08_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10484224:10490165:1 gene:Ma08_g13240 transcript:Ma08_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFSISSASFLLVLLFSCSLVRGGRSRELDREALRQQEADRVVGLPGQPPVSFRQYAGYVTVNESHGRALFYWFFEATHDVEKKPLLLWLNGGPGCSSIGYGAAEELGPFLMQKGVPQLRFNQHSWNKEANLLFLESPVGVGFSYTNTSSDLQSLGDKITAEDSYIFLVNWLKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDENKKASKETYINFKGFMIGNALMDDDTDQTGMIDYAWDHAVISDRVYHDVKSNCNFSIEPATEACNNALREYFAVYRIIDMYSLYAPVCTSITSTRKSFQIEGAAPKLFSRYSGWHQKPAGYDPCVSDYSEVYFNRPDVQEALHANTTKIGYNWTHCSEVVTKWNDSPATMLPVIRKLINGGLRVWVFSGDTDGRIPVTSTRYTLNKLGMKTIQEWKPWYDRKQVGGWTIVFEGLTFVTVRGAGHQVPTFAPRQAQQLIHHFLANQQLPPSAF >Ma04_p32030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32175768:32186841:1 gene:Ma04_g32030 transcript:Ma04_t32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGGLAAAPFDLGFGRIRLPPLLWMPSCARAGAGRGGVGRVVRCCCPAEKAEDCWLEPKKKVGTRRARAEALPSLPFPSTRSKRLSKQQDFSSRCSPKSSAPESRDTPPKRDTGIASEKEWGINLLDEMVNEFGTNEDGSTWYREDGEELGDNGYRCRWARMGGKSHDGSSEWKETWWEKSDWTGYKELGAEKSGKNADGDSWWETWKEVLYQDEWSNLARIERSAQKQAKSGTENAGWYEKWLEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGFVLKWTDKWAETELGTRWGDKWEEKFFAGIGSRQGETWHVSPTGERWSRTWGEEHLGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSTQLLSIQPQERPPGVYPNLDFGTSEPRKDDPPVEPLK >Ma06_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7077586:7080723:-1 gene:Ma06_g10220 transcript:Ma06_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPWVLFLLGSLVALFRVHLGSLRGCVRVSIGQVLLSVRCKDSNINHAQEALCHAKFKFPGRQKIIISGKWGFTKFSRAEYAKWKSENRIIPDGVNAKLLGCHAHLLIVNLERLS >Ma03_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1290110:1290763:-1 gene:Ma03_g01860 transcript:Ma03_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLDSPIFHHARVVDSDNQMLKDLPALHCGTEGLEILPSTKSCHNLKVTKSCTNLEA >Ma06_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22715008:22719887:-1 gene:Ma06_g24250 transcript:Ma06_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIGREAPKLWRKICMETYVELQLFMEKWKLLLAGLIFQYIHGLAARGVHYLHRPGPTLQDAGYMILPELGKERGYVSESLFTFIFLSFILWTFHPFVYHSKRFYTVLLWRRILSFLVASQALRIITFYSTQLPGPNYHCREGGELARLPPPESVTEVLLINFPQGVIYGCGDLIFSSHMIFTLVFVLTYHKYGTKRFIKLLAWVLAIVQSLLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLPEMPDRSNGSQPLLPYVKDKDEKSREDHHKLLNGNSVDTADWRQRLQVNGKHGEDGNHIHSESAANGA >Ma05_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:342938:349038:1 gene:Ma05_g00620 transcript:Ma05_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKSRGGEKTVSRRWTLLLCVGSFFTGLVFTNRMWLVPDAKDIIRTSKSKEHRKEVITERYYPKLMDQKEEARKILGENSTTDEDLQLLNKTISNLEMELAAARMAQESLLKRSPLAEEPKAINTSRRHKYFMVIGINTAFNSRKRRDSVRATWMPQGEKRKKLEEEKGIVIRFVIGHGATSGGILDRTIEAEDRKHGDFLRLNHVEGYLELSAKTRTYFATAVSLWDANFYIKVDDDVHVNIATLGTTLARYRLKPRVYIGCMKCGPVLARKGVKYHEPEHWKFGGVGNKYFRHATGQLYAISNELATYISINQHILHKYANEDVSLGSWFIGLDVEHIDDRKLCCGTPPDCEGKARAGNTCVASFDWNCSGICDSVDRIKEVHRRCGENESAIWNAVF >Ma04_p36550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34868421:34870901:-1 gene:Ma04_g36550 transcript:Ma04_t36550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILSRCSSVKGLSGRNGDGSGRTHLYLNVYDLTPVNNYLYWFGIGVFHSGIEVHGMEYGFGAHDYSSSGVFEVEPKSCPGFIFRRSVWLGTTDMSRSEFRVFIEDLAGKYHGDTYHLIIKNCNHFTDEVCMRLTGKPIPGWVNRLARLGSFCNCILPENIQVTAVGQVPANPAYSEDGSDSYASSLIDESDEEDADHHLLKKPNGDFVHSNDVPLRIGRDDI >Ma04_p36550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34868421:34870901:-1 gene:Ma04_g36550 transcript:Ma04_t36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILSRCSSVKGLSGRNGDGSGRTHLYLNVYDLTPVNNYLYWFGIGVFHSGIEVHGMEYGFGAHDYSSSGVFEVEPKSCPGFIFRRSVWLGTTDMSRSEFRVFIEDLAGKYHGDTYHLIIKNCNHFTDEVCMRLTGKPIPGWVNRLARLGSFCNCILPENIQVTAVGQVPANPAYSDGSDSYASSLIDESDEEDADHHLLKKPNGDFVHSNDVPLRIGRDDI >Ma04_p36550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34868403:34870901:-1 gene:Ma04_g36550 transcript:Ma04_t36550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILSRCSSVKGLSGRNGDGSGRTHLYLNVYDLTPVNNYLYWFGIGVFHSGIEVHGMEYGFGAHDYSSSGVFEVEPKSCPGFIFRRSVWLGTTDMSRSEFRVFIEDLAGKYHGKPIPGWVNRLARLGSFCNCILPENIQVTAVGQVPANPAYSEDGSDSYASSLIDESDEEDADHHLLKKPNGDFVHSNDVPLRIGRDDI >Ma11_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6088995:6090747:1 gene:Ma11_g07630 transcript:Ma11_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDVATKRPRLCLSSISAGRRQGEGEETEEEEDSQPLLPGLPDHLAQHCIAHLPAPLLFSVCRSWRRLLYSPSFPPFLSIFALLSPSDDAGGDPVSFHSFDPIAARWAPLPAPPPHPPLRLLLLQHPSFIARSLSVQSVAVAGHLVVLAATTHRLVPALPRPLVFHPASRRWRLGPPLHAPRRWCAAGAADGAVYLVSGVGTDYNTDVARSAERWDPEAGEIAGWERVAPLPDGRFSREAVEAVASRGKLCMVNVRGRAAKQGTVYDIRADRWEEMPPGMLAGWTGPAASAADDDDEGGGCIYVVDERSGALKAYDWYADSWTTVAESELLKGAAQMAVGGGRACVVCGDGRAMVVVDVAMAPARIWVVEPPEGKRALAVHVLPRLSSSGSSGPFNP >Ma11_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21284842:21288793:1 gene:Ma11_g15620 transcript:Ma11_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRRDYRNRASLFDSIEEGGILAPSYSSHEIDEYDNDRAIDGLQDRVNILKRLTGEIHEEVEIHNRALDRMGHDMDASRGVLSGTVDRFKMVFERKSSRRMATFVASFLVLFLLIYYLTR >Ma01_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1563332:1564183:-1 gene:Ma01_g02300 transcript:Ma01_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLPRPPALPRNTSCTKIFLQIREKGLLRQLNPLKATHKDRSKYCRFHRDYVHDTEDCHDLQNQINELIRRGHLRRYLKELGEATPSPKGPFERQIDVTTGGPTTGGSNSIARKAYAHSTVEKRPRPKFEPEITFGTEEVEHSHHDNALVISIRIANAWVKTVMIDTGSSANVLYLNTFKKLSLSNEDLTPMNLALAGFTRDSISLLETTVLLVTIGEEPKAKMTMTTFTVVDLPSAYNVILDHPALNKLKAVVSTYHQAIKFSTLVGVEESRRDPGESTL >Ma07_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29599583:29600403:-1 gene:Ma07_g21510 transcript:Ma07_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDANIDPFDDPSAGSDRRQYCCCFPFSSPWERIQAPAPAEGGDERRRWWSGAGLRALMMKGREWSELVAGPRWKTFLRRFGRNPNRGRAAGGLARFQYDPLSYALNFDEGQGGDSPEGGYDDHRDFSARFAAPAPPPPAASGR >Ma04_p27730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28901935:28904352:1 gene:Ma04_g27730 transcript:Ma04_t27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVRPLVTVQPLEGDMATDAPAAVPLPDAFKAPIRPDVVRFAHANLSKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRRWHRRVNINMRRYAVASAIAASAVPSLVLARGHRIESVPEIPLVVSDSAEGVEKTASAIKILKQIGALPDAEKAKDTQGIRPGKGKMRNRRYISRKGPLIVYGTEGSKIVKAFRNIPGVDVANVERLNLLKLAPGGHIGRFIIWTKSAFEKLDSIYGTFDKPSEKKKGYVLPRPKMLNADLSRIINSDEVQSVVRPIKKEVKRHTLKKNPLKNLYTLLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRTQLPKEEAAAIKSAGRAWYKTMISDSDYAEFENFSKWLGETQ >Ma09_p29970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40291875:40297131:-1 gene:Ma09_g29970 transcript:Ma09_t29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVERNHGGCMAVRSLPPPSIPKCSHSASAANADDQTCGSPRWIEKGLSCVCMKRKGTYERICINLTPLQEERLQRLKHRMNVYFDALRREHQEALRALWYATYPNKELSGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSNSFQRLLNKQCGKRATWEYPFAVAGVNITFMIMQMLDLQSTKARTFVRAVFIQMLSEDEWAFDLLYCVAFMVMDKQWLERNASYMEFNF >Ma09_p29970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40291717:40297131:-1 gene:Ma09_g29970 transcript:Ma09_t29970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVERNHGGCMAVRSLPPPSIPKCSHSASAANADDQTCGSPRWIEKGLSCVCMKRKGTYERICINLTPLQEERLQRLKHRMNVYFDALRREHQEALRALWYATYPNKELSGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSNSFQRLLNKQCGKRATWEYPFAVAGVNITFMIMQMLDLQSTKARTFVRAVFIQMLSEDEWAFDLLYCVAFMVMDKQWLERNASYMEFNEVLKSTRAQLERELLMDDVMRIEDMPSYSLLL >Ma09_p29970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40291717:40297131:-1 gene:Ma09_g29970 transcript:Ma09_t29970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVERNHGGCMAVRSLPPPSIPKCSHSASAANADDQTCGSPRWIEKGLSCVCMKRKGTYERICINLTPLQEERLQRLKHRMNVYFDALRREHQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSNSFQRLLNKQCGKRATWEYPFAVAGVNITFMIMQMLDLQSTKARTFVRAVFIQMLSEDEWAFDLLYCVAFMVMDKQWLERNASYMEFNEVLKSTRAQLERELLMDDVMRIEDMPSYSLLL >Ma05_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32258391:32259925:1 gene:Ma05_g20580 transcript:Ma05_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGAIAYRATASIVVAPLLVLVVIYACLWPLGVPTAFFRLQHGANTTEITRKDELEAALEGVAMENRTLIIAILNKAYVEQNAMLDLFLQSLREGEDTEFLIDHLLFVAVDQRAFNRCRTLELHCYNLVTEGVDFSKEVFYMSDAFNNMMWRRTLFLGDVLRRGYSFIFTDMDVMWLRNPFSQLSRDGEDLQMSSDFYYGKPFNNSNFNTGFYFVTANKKTVALFDEWYAWRNNLKGMKEQDVLQKMKKEGAFTRLGLKVRYLETAYFSGFCQMSQDLRKVITVHANCCASMKAKLIDLRSVLEAWKVSNSNGTSNATTTAWPPVKGICLHDTATKQHTATKH >Ma09_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29281009:29281905:1 gene:Ma09_g20380 transcript:Ma09_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNFLPSSMDNTKSFHPPLKPQPPPPSPYIITSFPILALSIIGILTTSIVLLSYYVFVTKCCLNWHRSGFLGRRPAPSTAFSTSADNLGLDESTIQAIPTFRYRKRAESARRTSSFRECAVCLSEFQEEERVRLLPSCFHVFHIDCVDTWLQTSANCPLCRSSITAPIPPDHYDPYHSNDEAIEEEEVGSDDTHTVTEQRLGDKTSRRLQHRSSMGDECIDVRERDEQFRVQPMRRSFSMDSWDDRQLCTALQRMLQQNSQLQDVIGESSSTSRLRRSFFSFGRASRSAVLPVKVEL >Ma04_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23226338:23227447:-1 gene:Ma04_g20490 transcript:Ma04_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLHRFPPTLVAGGASFLWQQPQVSPPPSSPFFPPLKNLMCQNASQETGGTTAADELKQALLRTSLELESTRVTAQEELRRMESQALHLSRLLEVATRERDEARHALLLLFLHHGDHPHLPSHPEPNLDPNTNPAHSLAFDDGSDVAAAAAAEDVGESSNGASPPALTALAEVEAAAAMRGLPEKGRLVKAVMGAGPLLQTLLLAGPLPQWRHPPPDLRSSEIPPVAISLNPNPKNEKDGEGSLSPLPASLRNSSSSSSSLESGSNCNFGSELQNATFSLKSV >Ma11_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1428198:1429671:1 gene:Ma11_g01990 transcript:Ma11_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHVEPPPPPHSSASVTSFPVLAITVLGILTTAMLLISYYVFVIKCRLGWPRSDLLRCLFSSAESRRHRHLYIPPVIHAIATEFHGLDPSVIRSIPVIKFTRAGDGDARRKTSFHDCAICLNEFREEERLKLLPDCSHAFHIDCIDTWLQFNANCPLCRTGITSSSVGLATDHVVVLAPRREQSGSFAVDVRDEVSDQTSRGEASNPSLWKKRRKHNKVGSMGDECIDVRGKDEQFRVQPIRRSFSMDSSAERQLYLSVQEEILRQKQNCNEAGSGEGSSSNVRGGDGGGSGRVRRSLFSFGRSSRIPVLPRLLDV >Ma05_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34159398:34165760:-1 gene:Ma05_g22420 transcript:Ma05_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDAVTRRDCTRLAPPSSSFVGIHQEATKSGSDSVTPRVSSSRIFVWGSPRSEAIGSIRVGARTRVASDFRSSILGMSTTTTTTRPPSPGGEGSVDPAPLLGGAGRSGRGPSLRSAAWFLRRASGRRMMREPSMMVRETAAEHLEERQNDWAYSKPVVFLDILWNLAFVGVAVGVLILSRDETPSMPLRLWIVGYAFQCLLHMVCVCIEYRRRHPTEADILDVEEGSSGRSSGSNSPREIGESADDAQHQDEDSNGVVKHLESANTMFSFIWWIIGFYWVSAGGQELTHDAPQLYWLCIVFLAFDVFFVVFCVALACVVGIAVCCCLPCIIAILYAVADQEGASEEDIHQLSKYKFHKVGDPEKLEISGPFGGIMIECGSDPPVEHTLSAEDAECCICLSPYDDGVELRELPCGHHFHCSCIDKWLRINATCPLCKFNILKSNHHGTEEV >Ma05_p22420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34159324:34165760:-1 gene:Ma05_g22420 transcript:Ma05_t22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDAVTRRDCTRLAPPSSSFVGIHQEATKSGSDSVTPRVSSSRIFVWGSPRSEAIGSIRVGARTRVASDFRSSILGMSTTTTTTRPPSPGGEGSVDPAPLLGGAGRSGRGPSLRSAAWFLRRASGRRMMREPSMMVRETAAEHLEERQNDWAYSKPVVFLDILWNLAFVGVAVGVLILSRDETPSMPLRLWIVGYAFQCLLHMVCVCIEYRRRHPTEADILDVEEGSSGRSSGSNSPREIGESADDAQHQDEDSNGVVKHLESANTMFSFIWWIIGFYWVSAGGQELTHDAPQLYWLCIVFLAFDVFFVVFCVALACVVGIAVCCCLPCIIAILYAVADQQEGASEEDIHQLSKYKFHKVGDPEKLEISGPFGGIMIECGSDPPVEHTLSAEDAECCICLSPYDDGVELRELPCGHHFHCSCIDKWLRINATCPLCKFNILKSNHHGTEEV >Ma03_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11420548:11429395:1 gene:Ma03_g14280 transcript:Ma03_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELHGDSFPDNAPRRSENHRSPNLDEIEKQTHIRFLISNSAAGCIIGKGGSTISEFQSQSGARIQLSRNHEVFPGTSDRIVLISGTFHDVMKAMDLILEKLLNEVEESNDVEGRPKVRLIIPNSSCGAIIGKGGSTIKSFIEDSRAGIKISPQDTNAGLNDRLVTLTGSFEEQMRAIYLILAKLIEDAHYPQTLNSAFTYSGANYPGVPVGYMIPSVAYGPVSYGPNGVGGKYPINKGVASPVIPSRSPGGPHEGQSNSVTIGIADEHIGAVVGRGGRNITEISQVSGARIKISDRGDFVSGTSDRKVTITGSPEAIRAAEAMIMQKVSSNSER >Ma03_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3461013:3462954:1 gene:Ma03_g05310 transcript:Ma03_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFWHGKLKRKDLEEVYDDFAEFSLSSPARKIRRLDAELPPIMEEGEPTSTLVYNQQLPQGNISSSDMQISGSVVSEAMSGYPLDNEERAIVLYKPVETPLILSPGPDNVSLRVSLDFIDGIRHHIFNPGNRSFDKKDQHTGVSNSCLAVIPWVPPHAATATNGFGGSESESKLSQEPMEAEETEVASMEVEEVTEQATSYGLDSEGFQQRQQHCLNPQYLPGASSPIMWSL >Ma11_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26832452:26835651:1 gene:Ma11_g23520 transcript:Ma11_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPSSSLLLDPPFADLFPDNLSLPSDDLRDLDLDFDFDDFSVDDFLCSPEEPHHHASSHPSAADGSAVSSSSSLNQDPPHLAARPCSPESGDSSASGVFVADRGVKEEREKAGWGLKRKMETDDDGLSDGHADLNLNNPGSNPRSSKFRRSEEASSPPDVGSGGEVVEEKRRARLMRNRESAQLSRQRKKQYVDELEEKVKSMHSTINELNTKISYIMAENATLRHQLGGSGAPPAVYPPPGAMAPMHFPWVPGYGLRPQGSQVPLVPIPRLKPQQAAPTPKAKKSESKKGERKTKKVASASLLGLLFFMLILGGAIPRVNRWYEGNEADEGMSKMKSLSQTKGRIFSVRSRGSGPNSTVLCSRKKGFGEGGIDRVTGRRCEDVEAGSRVKPMGSTSWSSPGSEAVFTQNSTENLPAMLYVPRNGKHVKINGNLIIHSVLASEKAMQQAKERQSLGKEGKETGLAVAGNSMSALAISKPGKEVDQHSRSYRKASNSDDTYVNNLKATLADDGPMQQWFREGMAGPILSSGMCTEVFQFDVSPSSSSSSIVPTNSIINASSIVNASENLPPTSSHPRKKRNRRIMYPEPIPLRGTTTANNTEQFEKPSESSNFHNPKPVSSVVVSILADPREAGDGETDGRISPNSLSRIFVVVLLDSVKYVTYSCVLPFKTSAPHLVN >Ma02_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21813196:21814345:-1 gene:Ma02_g13390 transcript:Ma02_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLSSSFVPQSTLESFYAGLENIFLVHQFRV >Ma10_p30760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37033313:37035652:1 gene:Ma10_g30760 transcript:Ma10_t30760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRMMKKTRKVRKEPSPSATCSPFRDDVRQRFKYQSLLQDHMELLEETEAKKKKLQEARQKKLQLLTEVRFLRRKQESLSEYPSQEIPFRIKKQSRPTASPSVCISQSVNLPLPNGVSTRGKKYKVLEAANARKVSSQDKSYRVKEAANTSNCASPLINLNQKQRSFSWRKIS >Ma10_p30760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37033313:37035652:1 gene:Ma10_g30760 transcript:Ma10_t30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRMMKKTRKVRKEPSPSATCSPFRDDVRQRFKYQSLLQDHMELLEETEAKKKKLQEARQKKLQLLTEVRFLRRKQESLSEYPSQEIPFRIKKQSRPTASPSVCISQSVNLPLPNGVSTRGKKYKVLEAANARKVSSQDKSYRVKEAANTSNCASPLINLNQVSLMKQRSFSWRKIS >Ma07_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10558380:10562395:-1 gene:Ma07_g14130 transcript:Ma07_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNRMFSAVFVLWLAISFAGAGAGSLAKDKQALLDFLTATPHGRGLNWRRATDVCSSWTGVTCSADDSRVIAVRLPGIGFSGPIPPNTLSRLSALRILSLRSNSLSGPFPADFANLTTLTGLHLQFNSFSGSLPSDFSPWKNLTALDLSFNDFNGSIPASVSNLTQLSALNLSNNSLSGQIPDLELPNLLFLNLSHNHLNGTIPKSLQRFSNSSFSGNDLSPIYPLIPSSTPAPPPLPPSPSQVPRAITMRKLSESAILGIAVGGCVLLFVMLALFLYLCCSRGREESFVSGKGSKGYRSPEKAVTGSQDANNRLVFFEGCPFAFDLEDLLRASAEVLGKGSFGTAYKAVLEDSTTVVVKRLKEAGVGKKEFEQQMEVVGRIKHDNVVELKAYYYSKDEKLMVYDYYSQGSVFSLLHGKRGQDRIPLDWETRLKIALGAARGIARIHIENNGKLVHGNIKSSNVFLSNQQYGCVADLGLPSIINPMVPPVSRTAGYRAPEVVDTRKASQASDVYSFGVLLLELLTGKSPIRVVGGGDEVIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMNCVSRMPERRPKMPEVVRMIEGVRRFDSGNRPSTEFRSEGSAPIPAQDARAISTPQIPASAVPSISLFLVCSWNRIVLLSVFAAIRSIRGLVTGEPASKRILKELKDLQTDPPTSCSAGDLAGSLKTEAFVHAYCDELLGLRSGLVF >Ma04_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26224812:26226380:1 gene:Ma04_g24170 transcript:Ma04_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRHKIELLLGLLCSFLFLLLFLLLALSYFFRKRLRCRGRTKKEPLEAGGDSGGGGAEEEHSEAEELVRFAGGESLTVHDILDAPGEVVGKSSYGTLYRASLERSGSVVLLRFLRPACVGGTEEVLPAVRALGAVRNPNLVPLRAMYVGPRGEKLFVHPFFAAGSLKQFLRAGVAEAHRWDIIYKLSLGIARGLDHLHTGLEKPMVHGNLKTNNILLDADYQPRLSDFGLHLILNPAAAQEMLEVSAAQGYKAPELVKMKDASSESDMYSLGVVVLEMLTQKDPINTNFLQSKDFHVPTSLRNLVLEHKVSDVFNSELLIQSIDQSSTNEQGLLMLFRLAIACCSPSPASRPDTKHVISQIEDIIRR >Ma05_p32060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41747275:41756872:1 gene:Ma05_g32060 transcript:Ma05_t32060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] MPFGASIPSPPPTYLVHHLACWFQVSNKCWIHNGLSGFVPAKRRAMVLPLRASFWDSIKSGFLKNNNSTKVVEPPSTFMEEEDPFPQDIVLLEKTQPDGTFEQIIFSSAGDVDVYDVQALCDKVGWPRRPLTKIAASLKNSYLVATLHLISRSPGTEGIDQKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALVEQLIRVLLQRDIGNITLFADNKVVDFYKNLGFEADPEGIKGMFWYPRY >Ma05_p32060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41747275:41756872:1 gene:Ma05_g32060 transcript:Ma05_t32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] MPFGASIPSPPPTYLVHHLACWFQVSNKCWIHNGLSGFVPAKRRAMVLPLRASFWDSIKSGFLKNNNSTKVVEPPSTFMEEEDPFPQDIVLLEKTQPDGTFEQIIFSSAGDVDVYDVQALCDKVGWPRRPLTKIAASLKNSYLVATLHLIKGIDQKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALVEQLIRVLLQRDIGNITLFADNKVVDFYKNLGFEADPEGIKGMFWYPRY >Ma09_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:915683:917991:-1 gene:Ma09_g01250 transcript:Ma09_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPEAGKKEAAAEEEKPKAKEEKEEAKADDGKKGGAGEGEKEKKEGGGEEKKDGGGEEKKEDAPPPPPPPEEIEMRVFMHCEGCARKVKRCLKGFEGVEGVMTDCRTHKVVVKGKKAAEDPMKVVERVQKKAGRKVELLTPLPPPKPEKKEEEKKEEEKSKPEEKKEEPQVISVVLKVHMHCEACAQEIKKRILKMKGVQSAEPDLKASQVTVKGVFAAQKLVEYVYKRTGKHAAVAKEEPVDKKPAEEEKKDDGAGGDAAKEEKKADEAGGANAEGEKKEEKGGEGGGGGGGDEKDKKEGGGGATEDGAAPPAKVMELMRNEFYQYYPRYAAGYVGYAYPPQIFSDENPNACSVM >Ma06_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:405252:408386:1 gene:Ma06_g00530 transcript:Ma06_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVRQQQQSRAMDAYEKLEKVGEGTYGKVYKAREKATGKIVALKKTRLPEDDEGVPPTTLREVSLLRMLSVDPHVVRLLDLKQDKNKDGQTILYLVFEYMDTDLKKYIRSFRQNHEQIPPETVKILMYQLCKGIAFCHGRGVLHRDLKPHNLLMDRKTMMLKIADLGLSRAFTIPLKKYTHEILTLWYRAPEVLLGATHYSTPIDMWSIGCIFAELITTQALFAGDSELQQLLHIFRLLGTPNDVIWPGVSKLPNWHEYPQWSPKSLSSTVPNLDDNGLDLLSKMLQYEPSKRISAKKAMEHPYFDDVNKAYY >Ma01_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:749672:750036:-1 gene:Ma01_g01080 transcript:Ma01_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFGCGEEEKALGTHKAPGSCPYCGGVVMATDVEKSLRLCCLPVCLKTTTKYSCTHCSRRLVTYP >Ma07_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3649455:3660932:1 gene:Ma07_g05020 transcript:Ma07_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYFPRVLPLLLFLRLSDLVRGNTDQADVSALNVMYSSLNSPPQLTGWSSSGGDPCGNNWKGIKCSGSSVTKITLSGLQLTGTMGYQLSSLISVTYFDLSKNNLNGDIPYQLPPNTTHLNLAGNALTGGIPYSVSQMNHLKYLNLASNQLSGQLTDMFGELSSLSLLDLSFNRFSGNLPNSFGSLSSLKTLNLQNNQLSGSVDVLATLSLENLNVQNNQFTGWIPNKLKSINNLKVGGNSWSTGKPPPGMVTARDNNNGKSHKSSIKDAKDNKVKKGKQHSDLKGAVIAVILIAVLVVALILLALVKRRSSGSSHYTDERLSHNRSFSPLADNKFTGLKDSSSSIDIKAIETSSMELKPPAAETQKTYNDNEFANKLNSRGSTDPVSLTIYALADLQAATGSFSSSHLLGQGNIGCVYKAKFNDGKVLAVKKIETSNLSGSCSYDFMEIVSGISRLHHSNIAELLGYCSSSGYQLLVYELQQNGSLHGFLHLSDDYSRPLTWDTRVRIALGTARAIEYLHEVCAPSVVHKNIKSSNILLDTELNPCLADCGLVIFFEDTSENLGPGYNAPECTKPSAYSMKSDVYSFGVVMLELLTGRKPYDSSKPKIEQSLVRWAASQLHDIDALAQMVDPALRGLYPPKSLSRFADVISLCIQPEPEFRPAMSEVVQSLVRCVQRTSIRKRMGRDRSTSRRSNDSNHGYY >Ma03_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14518176:14519995:1 gene:Ma03_g14720 transcript:Ma03_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISTLSILLMVWTIKAVDGAGIGISYGRAASNLPPPVQVAQFLAHGTTFDCVKLFDADPATVQAFANTDLAVDVTVPNDLVANLTDLRFAHKWVRTNIVPRVDDTNIARILVGNEVISTANRSLVSSLVPAMQNLHTVLTSLSLQHRIKVASPQSLGVLSTSNPPSTGKFREGRVAEVMRPLLSFLRATGSPFMVNAYPFFGFAVDTIDYALFRPNPGVEDQNTGLVYSNMLDGQLDAVFSAMKRLGFDDVDIVISETGWPSVGDPRELGINVDNARDYNKNLVQHVSSGTGTPLMPNRTFEAYIFSLFEENLKPGPLSQRNFGLFHPDLLPVYDIGVLTTEVGGQATAPVQTLNPTPSDSKRWCIPKPNTDVLLLQQNVEYVCGQGIDCTPIQSGGVCYFPDTVPAHAAFLMNEYYQTFGRNAFDCDFGRTGMITAIDPSYGSCVYSS >Ma09_p02730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1894181:1895940:-1 gene:Ma09_g02730 transcript:Ma09_t02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDDGEGSLEVGTTGTIGSLMTRELESMKHSEQASSSTRRRQQTGPVFVPCGAAPGKASRRRNQADGCGSSGSSIGQDHCADAQKRRHSTRKNGHRVPILGSGDSPMARNSGKDKVEKKGHGYTVEVVDLKCSNPMSNRLKKLSFSKLSESIS >Ma09_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1894181:1895940:-1 gene:Ma09_g02730 transcript:Ma09_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDDGEGSLEVGTTGTIGSLMTRELESMKHSEQASSSTRRRQQTGPVFVPCGAAPGKASRRRNQADGCGSSGSSIGQDHCADAQKRRHSTRKNGHRVPILGSGDSPMARNSGKDKVEKKGHGYTVEVVDLKCSNPMSNRLKKLSFSKLSESIS >Ma09_p02730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1894181:1895940:-1 gene:Ma09_g02730 transcript:Ma09_t02730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDDGEGSLEVGTTGTIGSLMTRELESMKHSEQASSSTRRRQQTGPVFVPCGAAPGKASRRRNQADGCGSSGSSIGQDHCADAQKRRHSTRKNGHRVPILGSGDSPMARNSGKDKVEKKGHGYTVEVVDLKCSNPMSNRLKKLSFSKLSESIS >Ma09_p02730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1894181:1895940:-1 gene:Ma09_g02730 transcript:Ma09_t02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDDGEGSLEVGTTGTIGSLMTRELESMKHSEQASSSTRRRQQTGPVFVPCGAAPGKASRRRNQADGCGSSGSSIGQDHCADAQKRRHSTRKNGHRVPILGSGDSPMARNSGKDKVEKKGHGYTVEVVDLKCSNPMSNRLKKLSFSKLSESIS >Ma06_p32420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33349119:33349732:1 gene:Ma06_g32420 transcript:Ma06_t32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGVSDSSGADIEELVSLWYNRSSVVDAVRRSCTKEDALKLLVGANSEDSQHAIINQPKDDQGPSAALANEDKMDLGGEHKYERDEAVEDELAKELTGDPLADYDAEVMKEGEAKAKYLALLDSKANAT >Ma05_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8964834:8965823:-1 gene:Ma05_g12400 transcript:Ma05_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAFSSSYGTLAASDLGAGFSGCASYVAYPTRSCHRGSLMGGPLSPPGPRRLFSYGFDEDEVDGECEPRHFLDSCSLCRKPLSRNRDIFMYRGDTPFCSEECRQERIEIDEAKEKRWNLSAKASGARRQVAG >Ma02_p12500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21204258:21208695:1 gene:Ma02_g12500 transcript:Ma02_t12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEGCKMNTPTNLGSGVTLPVMSNKRDSDSESLEDMKIDRKQGLGSSLATPTPEKPEWSSKARVISSLSRKQLAEDLRNEETVEQNGLSHGQKKEHKSDELPENYQILAELFSRLETSTRLLGLRKLLPTFRNICTQVEVLTKRKILYSHIAQMKYIFPEAIQTEKILVHDKESLLVIPDMKITLLKEVVDHNSHSCQSASVALCKTFRARLLDFFITHPEGTDIPEAELPEPFNQRSHSLPLELLPENSCIEPREISAELGFLSNSSLLPSSFKRQFSKKGVISETHTTQVLAASVSFKSTSSCDAAKEGSSPPKFDSLSSGLAKNSSADMTSNSDSPTIFQYFESTPVKGALQLGEMTDTPAQQTPKRPVPTPHEKLTTESEESVDEARLTTSARRSLIYSTNMEETVKGSVTNTSQKCMVAEYSSHEAPSTRSYIWEEETGKSRTHLVDMVHNLTAANSKDYKLVQMGDRKHNEMLAGLSETFDAICFISRSINCSIITKEELLHKILSNNLEIEETREAEEHLSLLERLLPDWISKKAASNGQHFYRIEQTSDREALRAKLLEAL >Ma02_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21204247:21208695:1 gene:Ma02_g12500 transcript:Ma02_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEGCKMNTPTNLGSGVTLPVMSNKRDSDSESLEDMKIDRKQGLGSSLATPTPEKPEWSSKARVISSLSRKQLAEDLRNEETVEQNGLSHGQKKEHKSDELPENYQILAELFSRLETSTRLLGLRKLLPTFRNICTQVEVLTKRKILYSHIAQMKYIFPEAIQTEKILVHDKESLLVIPDMKITLLKEVVDHNSHSCQSASVALCKTFRARLLDFFITHPEGTDIPEAELPEPFNQRSHSLPLELLPENSCIEPREISAELGFLSNSSLLPSSFKRQFSKKGVISETHTTQVLAASVSFKSTSSCDAAKEGSSPPKFDSLSSGLAKNSSADMTSNSDSPTIFQYFESTPVKGALQLGEMTDTPAQQTPKRPVPTPHEKLTTESEESVDEARLTTSARRSLIYSTNMEETVKGSVTNTSQKCMVAEYSSHEAPSTRSYIWEEETGKSRTHLVDMVHNLTAANSKDYKLVQMGDRKHNEMLAGLSETFDAICFISRSINCSIITKEELLHKILSNNLEIEETREAEEHLSLLERLLPDWISKKAASNGQHFYRIEQTSDREALRAKLLEAL >Ma06_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4932200:4935974:-1 gene:Ma06_g06900 transcript:Ma06_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQFFPPSSPVVSARLHPVVLFSICDCYVRRPDQADRVIGTLLGTVSGGVVEIKNSYAVPHNESADQVALDVEYHRNMYMSHLKVNPKEVLVGWYSTGFGVSGGSALIHDFYVKELKDSTKDIREAQNSVPPVHLTVDTVFSNGEASIKAYMSVNLSLGDRSLAAQFQEIPLDLRMIEAERVGFDILKKTAVDKLPNDLEGMEASMERLYALIDDAYKYVDDVVEGRVNPDNDIGRFLSDTLASVPKMSPIAFDKVFNDRVQDNLALVYLSSLIRAQLGIAEKLNTAAQVL >Ma10_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27875025:27877076:1 gene:Ma10_g15980 transcript:Ma10_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTAYQGNTSAAVPEWLNKGDNAWQMVSATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGDKLVPFWGKAKPALGQRFLIERAALPATTHLYHNGTVETAMSTPYLPMASVVYFQCSFAAITVVLLAGSLLGRMNIKAWMAFVPLWLTFSYTVGAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRTASDRERFPPNNVLLVLAGAGLLWMGWAGFNGGAPYAANIDASMAVLNTHVCAATSLLMWTTLDVVFFKKPSVIGAVQGMMTGLVCITPGAGLVQGWAAMVMGILSGSIPWFTMMVVHRRWSFLQNIDDTLGVFHTHAVAGFLGGAATGLFAEPVLCALFLPVTHSRGGVYGGVGGVQLLKQVVGAGFVVAWNAVVTTAICAAIRFVVPLRMSEDQLKIGDDEVHGEEAYALWGDGEALELTTHGPPNSQLESQRPHVPTGVTQNV >Ma01_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11683306:11683827:1 gene:Ma01_g16120 transcript:Ma01_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNTIKLLNQDFVRLDRFVETNFTRWQDKMKFILTALKIFYVLDPNLQPIPDPTDDDIDEVKAEQKKRIEDEVMCRGHILNALSDRLYDLYTVELFAKVIWNALEFKYHAEEEGTKKILISKYFNYKFVDDKPILAQVHELQVIVNQLKAEKIKLPEPFQVGAIIAKLPSS >Ma10_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15481719:15482720:1 gene:Ma10_g04830 transcript:Ma10_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIAADTRLPTPTLGRPSSSFRLRTQSLNTLRLRRIFDLFDQNGDGEITIEELALALDRLGLGADADADDLRSTVAAYIPTGRAGLAFEDFDALHRALGDALFGGPAAMEEEMEGEEGTVEEDMREAFRVFDEDGDGFISAAELQAVLAKLGLPEGRSIARVQEMICSVDQNSDGRVDFGEFKHMMQGITVWGA >Ma02_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20434566:20436307:1 gene:Ma02_g11090 transcript:Ma02_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSEQDYIRLSDGLSSAGALNLKDTELRLGLPGSESPERVDVRCGVDGGLKLRLPKGFVSGTKRAFSDAMDGAELPRVDGSEVTPEGGEASFSERRENSGGKEEDGDGEAKAAAPWAAKAQVIGWPPIRSYRRNTMASNPWRNKEEETKGSQGGECLYIKVSMDGAPYLRKVDLKTYSTYADLSLALGKMFTCFTLGQCGGTHGMGGREETKTEGGGAMDLLRGSEYVLTYEDKDGDWMLVGDVPWNMFTDSCRRLRIMRGSDAIGMAPRVMEKSKSRNQ >Ma11_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9785584:9787619:-1 gene:Ma11_g10400 transcript:Ma11_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIAMSNGPKEFEGNITVYVVICGVIAATGGLMFGYDIGISGRDRWSGGVTSMDDFLEEFFPGVYARKHKAKEDNYCKYDNQGLQLFTSSLYLAALLSTFLASELCTKRGRRLTMQAASVFFLAGVVLDAAARNIAMLIIGRILLGVGVGFANQAVPLFLSEIAPVRVRGALNILFQLDVTIGIFVANIVNYVVSNIRPWGWRLALGLAGVPATMLCLGSLVIAETPTSLIERELLMEGLAMLTKIRGTDNVDAEYQEILRACEMARQVKQPFRNLMKRSSRPQLVIAIVMQVFQQFTGINAIMFYAPVLFQTIGFKNDASLLSAVITGIVNVLSTVVSVVLVDKVGRRFLLLEACGQMLITQVAIGGILLVNLTATNELEHGVAIWVGVLVCLFVSSFAWSGGPLGWLIPSETFPLATRTAGYAFAVSSNMIFTFVIAQAFLSMMCHLRAGIFFFFAAWIVVMGLFVIFLLPETKNVPIDEMTEKVWKLTLVLEEIHGRRRRRKKIKRTVSNIDCCCSSMILRIPSEAPKHASLINPTSKWQI >Ma10_p31000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37242474:37242863:1 gene:Ma10_g31000 transcript:Ma10_t31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIREIVQLKQVIRQWRQRLSCQPGEVATVPAGYVPLYVGLEGKRFVVPARFLKLPVFVDLLKRAEVEYGFEQAGGLAIPCDSDFFQWVVDALRRNQASFGQLSFDELHDLFSRHCDAGPCNATTPAA >Ma10_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5219941:5228340:-1 gene:Ma10_g01760 transcript:Ma10_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATQGVGGGQQSPIQSLARQGSLYSLTLNEVQSHLGEPLHSMNLDELLRSVIPCEDNQSAGPDDGGGTGVGCPGIRCEGSITMPRALSKKTVDEVWRHIQTGQKENSDGVADYGRQPTLGEMTLEDFLSKAGVVTEGSDQSRSNRIGNVGLDPRTQWLQQYHQHQQQQAQQSRMGAFVGQQVPQPLAVGVGTMLDSRYRDGEGASLSPLDPQTPRRKRGPSEDTTKKTVERKQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENQRLRQQRELEAMIHYIPQPEPKHQLRRTSSAAF >Ma11_p09680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8923830:8928106:1 gene:Ma11_g09680 transcript:Ma11_t09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASVRQKKPRWPSLSLNSQELMGDGVEGLDDGELLDENAGAEVEDRMPEVGMVFKNHQEVSKFYKRYARRVGFGIAIRRSAFTKDGHCLYLELMCCKGGRKRPEPKYRKRNSAKTNCPARIKVKLWGDGMLHLVVANIDHNHPVSPSMARFLTCYRQLSGAAKKRAERNKDGEIAQPSLPPRMSMSRLSTLEELLFSESEHRSFVERGRLKLGEGDAEALCLFFTRMQAKNMSFFNTVDLDEEGCLRNVFWADARSRAAYQYYNDVVMLDTTYVINKYDLPLATFVGVNHHGQLVLLGCCLLSDETMETYGWLFKTWIACMYGEFPKALITDQSKSIQTALSQVLPDVRHRMCLSQIMKKVPEKLGGSADYRAINKAMQRAVYDSITVDEFEEDWRRMVETYGLQGNEWIRSLYEYRHSWVPVYLKDTFWAGMSSTQHNETVATFFDGHVEAKTSLKQFLGKYEMALLDKIEKEAQADFETFHKRRPSVSKFYMEEQLSKVYTLNIFKKFQDEIEAIMYCHASLVKVDGPVSTFDVRECIFFDDGKRTMNKNHGVVYNTEEKEIQCICGSFQFRGILCRHALTVFKLQQVHEIPSQYVIGRWKKDFKRLHFLAHSSDDAIANNRVDRYDYLSMRCLQLVEVGVLSDKYQLALKLIKDMEKFLLSDRTYDDTQAKIRPRIPKANRLIWNHRHNAEKSVTSENGNAVQQPGVLPQVNKIHLLQGITKAAEFQVLPTPCLATQIRPLLNTRPMEGGNPTVLSRSQFGLPLNGNHTPVRPGIVYMFPGGFDPQTFGNGPMMPWIYQPMLQATRNQKDSPAPAGLTPKRRKMYRGHKPVEATQNPKEPPVATTG >Ma11_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8923830:8928106:1 gene:Ma11_g09680 transcript:Ma11_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASVRQKKPRWPSLSLNSQELMGDGVEGLDDGELLDENAGAEVEDRMPEVGMVFKNHQEVSKFYKRYARRVGFGIAIRRSAFTKDGHCLYLELMCCKGGRKRPEPKYRKRNSAKTNCPARIKVKLWGDGMLHLVVANIDHNHPVSPSMARFLTCYRQLSGAAKKRAERNKDGEIAQPSLPPRMSMSRLSTLEELLFSESEHRSFVERGRLKLGEGDAEALCLFFTRMQAKNMSFFNTVDLDEEGCLRNVFWADARSRAAYQYYNDVVMLDTTYVINKYDLPLATFVGVNHHGQLVLLGCCLLSDETMETYGWLFKTWIACMYGEFPKALITDQSKSIQTALSQVLPDVRHRMCLSQIMKKVPEKLGGSADYRAINKAMQRAVYDSITVDEFEEDWRRMVETYGLQGNEWIRSLYEYRHSWVPVYLKDTFWAGMSSTQHNETVATFFDGHVEAKTSLKQFLGKYEMALLDKIEKEAQADFETFHKRRPSVSKFYMEEQLSKVYTLNIFKKFQDEIEAIMYCHASLVKVDGPVSTFDVRECIFFDDGKRTMNKNHGVVYNTEEKEIQCICGSFQFRGILCRHALTVFKLQQVHEIPSQYVIGRWKKDFKRLHFLAHSSDDAIANNRVDRYDYLSMRCLQLVEVGVLSDKYQLALKLIKDMEKFLLSDRTYDDTQAKIRPRIPKANRLIWNHRHNAEKSVTSENGNAVQQPGVLPQLLQGITKAAEFQVLPTPCLATQIRPLLNTRPMEGGNPTVLSRSQFGLPLNGNHTPVRPGIVYMFPGGFDPQTFGNGPMMPWIYQPMLQATRNQKDSPAPAGLTPKRRKMYRGHKPVEATQNPKEPPVATTG >Ma03_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7230859:7232473:1 gene:Ma03_g09720 transcript:Ma03_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLEMSRELAGGDTGGTSKFKSAPPPFLPLSPPPPSASFSFPTSLSLAELLESPLLLPSSSMLPSPTTGSLPAQHLNWSVSANSQDGAYSDFCFQTQGGERKPEVKVEDAVPIHASSSQAVRGQRRSDDGYNWRKYGQKQIKGSENPVGYYKCTYPDCPTKKKVEMSIDGEITEIVYKGSHNHPKPLSTRKLSAPLPSQTSDHRFADPVLTPENSSVSCCGSEAKEFDDDEHDAKRSRKEDEGKYFSAPGNRTVREPRVVVQTPSDVDILDDGYRWRKYGQKVVKANRNPRSYYKCTSNGCPVRKHVERASNDPRSVITTYEGKHNHDVPAARTPQPDSAMADHVTLFPY >Ma06_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15443599:15453337:-1 gene:Ma06_g21290 transcript:Ma06_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIDGEGGGSEDAGAGDGIGGQGGGVSDIAQFDASQYAFFGNSVMEEVELGGLEDDDVGDDSGFLGIDDEDYQFSYLGDRVEGEGIGSITDIDDLASTFSKLNKNTVELRSTGVIGDRGSLSREGSSTANWAQEPGFSNWQDQHILDVENVQDGRRWWSHPHPHSGHFTDSRPLYRTSSSPQQQQQFQPSEPIHIPKSHHISFPPPGAPSQFFPHHTHHGMVSSPPGVQVPFSPPNPYPLSQLRPSAALAQFCPPGLPGNIRQQNHWPERPSFLSWDNSNMLSDVTQHHRPQLNNSVPSQLLTQQQQQHGIHQLLPPISHFPHMQPQQFHPGHSPSQMMNRFEAEFRDPRLRPMSRGRQSFGFSQQSSDIGSQKLDNGWPKFRSKYMTTEELENILRMQHAATHCNDPYIDDYYHQACLAKKSAGSSMKHHFCPNVTKDLSFRARSKDEPHAYLRVDALGRLSFSSIRRPRPLLEVESQSASVDNIYDQKSPIKPLEQEPMLAARITIEDGFCLLLAVDDIDRLLKFSQPQDGGSQLRRKREFLLQELVASLQLVDPFSPGKSGHSGLTPNDDIVFLRLVFLPKGRKLLSRYLQLLIPSSSELIRVICMAIFRHLRFLFGVLPLDSSAPESTVNLAKTISLCINGMDLSALSACLAAIVCSSEQPPLRPLGSSAGDCATVIIKSLLDKATELLTDRNTATNHSIPSRNMWQASFDAFFGLLTKYCLSKYDSIMQSVPLQAPNAAIAGPEVTGAIRREMPVELLRASLPHTNDHQRKLLLDFAQRTIPAAEHNADGGNNGH >Ma06_p21290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15443599:15453337:-1 gene:Ma06_g21290 transcript:Ma06_t21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVELGGLEDDDVGDDSGFLGIDDEDYQFSYLGDRVEGEGIGSITDIDDLASTFSKLNKNTVELRSTGVIGDRGSLSREGSSTANWAQEPGFSNWQDQHILDVENVQDGRRWWSHPHPHSGHFTDSRPLYRTSSSPQQQQQFQPSEPIHIPKSHHISFPPPGAPSQFFPHHTHHGMVSSPPGVQVPFSPPNPYPLSQLRPSAALAQFCPPGLPGNIRQQNHWPERPSFLSWDNSNMLSDVTQHHRPQLNNSVPSQLLTQQQQQHGIHQLLPPISHFPHMQPQQFHPGHSPSQMMNRFEAEFRDPRLRPMSRGRQSFGFSQQSSDIGSQKLDNGWPKFRSKYMTTEELENILRMQHAATHCNDPYIDDYYHQACLAKKSAGSSMKHHFCPNVTKDLSFRARSKDEPHAYLRVDALGRLSFSSIRRPRPLLEVESQSASVDNIYDQKSPIKPLEQEPMLAARITIEDGFCLLLAVDDIDRLLKFSQPQDGGSQLRRKREFLLQELVASLQLVDPFSPGKSGHSGLTPNDDIVFLRLVFLPKGRKLLSRYLQLLIPSSSELIRVICMAIFRHLRFLFGVLPLDSSAPESTVNLAKTISLCINGMDLSALSACLAAIVCSSEQPPLRPLGSSAGDCATVIIKSLLDKATELLTDRNTATNHSIPSRNMWQASFDAFFGLLTKYCLSKYDSIMQSVPLQAPNAAIAGPEVTGAIRREMPVELLRASLPHTNDHQRKLLLDFAQRTIPAAEHNADGGNNGH >Ma04_p35970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34507268:34510448:-1 gene:Ma04_g35970 transcript:Ma04_t35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT5.1 [Source:Projected from Arabidopsis thaliana (AT1G20150) UniProtKB/Swiss-Prot;Acc:F4HSQ2] MKGSVVIGIFLSLWSLAPQQFMGMQEAKREVYVIYMGAVPVASSGDMLRENHLQLLSSVLRRGQSPEKTLLWSYRHGFSGFAARLSKEEAVAISEKAGVVSVFLDPIYQLHTTRSWDFLRQTSLETDSKPDEEAASSPQTSDTIIGFLDTGVWPESSSFNDKEMGAVPGRWKGVCMAGSNFSASSCNKKLIGARYYSSDDDMATPTKWLSGDSPRDASGHGTHTASTAAGSSVMDASYYGLARGIAKGGSTSSRIAMYRVCFEDGCPGSAILAGFDDAIRDGVDLMSVSLGASKFNRPDFAADPIAIGAFHAVAKGITVVCSAGNDGPSSASLVNTAPWILTVAATTIDRDFESDVVLGGNKAIKGGAINFSGLQKSPVYPLIYGEAAKSNSSSNDGSASHCDLGTLEANKIRGKIVLCNHSTGDFSKTFRTEELKSSGAVGAIWISDMQRGVADTYNSFPVTQISSQAADEILSYMNSTKNPVGTILATVTVTKYRPAPVVAYFSSRGPSTEASGILKPDVAAPGVNILAAWIPGEDSSDVPPGQKPSPFKLVSGTSMSCPHVAGVAATVKSWNPSWSPAAIRSAIMTTATQVNNDDAPLTTESGSTATPYDIGAGEVSPTAALQPGLVYEAGPEDYFRFLCNYGYPPSKLRLIADTPEGYKCPENSSKQLISDLNYPSIAISNFTGKESKIVNRIATNVGAEEDATYAVSVKSPPELDVQVVPNKLHFSKNTTKLSYQVIFSATKASVKGDLFGSITWSDGIHRVRSPFAVRGI >Ma08_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2895008:2897391:-1 gene:Ma08_g04120 transcript:Ma08_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFSKWDHEHPFLPAFQALGDYRSLPCEPLQREGGLEMQLRSSGIYEHQIPREKSRGGMKAFHQQEITLTVKDA >Ma01_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10522767:10524746:1 gene:Ma01_g14410 transcript:Ma01_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGGASESTVYSAETARRPSEAATSSTHSQAQPRRFDVPQGHLLRNFSFPILKTCGNQRVLRCMSVNGKGEAIGGGAACRTSSEAVGGGICVPECGGDDDGGIEEVREKLLVHLREAADRMKLVVPPPMPPPPKGGDTQAEPEREAEAEPSLSAAARPSKLRTRRRRSRAPAVFELQAIASRPEAAEKRAVRLRSGCTEPKERTKFSITLTREEIEEDIYAVTGHRARRRPRKRPRLVQKQLDLLFPGSWLSEITLDTYKVPD >Ma01_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19418418:19418600:-1 gene:Ma01_g20720 transcript:Ma01_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIEASQENALSLCTEKVLLAQQACEPVANLLFMTGVLEIISIVNPSLFLHGFVYEAF >Ma04_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11195518:11196591:1 gene:Ma04_g14740 transcript:Ma04_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVEEVVEEGLSESLLILVELVSYTQKRPALVKSCSVMGKTNRSTLCTCAGFSLHKQRVDWICNCHGLDVDSWNDVLGQKKQNWGKVQRNCFAQLFRQNNYGNIVSAQQSMNKLHALVKTMNITILRIWSLLIGKAPKQANAVMWVMTGVAVLLMVVPAKFVLMGVLLYCFIANSGIAKHKSNEQGNGRLKEWWESIPVVPVRTVSSFPGQNWCH >Ma05_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6798891:6820193:-1 gene:Ma05_g09410 transcript:Ma05_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPENIIVGSHVWVEDPVVAWTDGEVFQIGGHQVHVRTTNGKTVVANLSKVFPKDTEAPPAGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGATFGELSPHVFAVADVAYRAMINEAKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGIEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQINDPERNYHCFYLLCAAPNKDIERYKLGNPKSFHYLNQSNCFKLDGVDDAEEYIATRRAMDIVGISVQEQEAIFRVVAAILHLGNIDFAKGPEIDSSVIKDDKSRFHLNMTAELLMCDAQSLENALIKRVMVTPEEVITRTLDPASAIVSRDGFSKTIYSRLFDWLVDKINVSIGQDPNSKHLIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDMLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFVKPKLSRTDFTICHYAGEVTYQANHFLDKNKDYVVAEHQELLSASKCSFVASLFPPLPVETAKASKFSSIGSRFKLQLQALMETLSSTQPHYIRCVKPNNVLKPAIFENSNVIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGLLAPEFLEGNYDDKIACQKILDKMGLKGYQLGKTKVFLRAGQMAELDARRAEILGRAARTIQRQIRTYIARKEFRVLRQASIRLQALWRGRLACKLFEHMRREAAAVKIQKNLHCYFARKSYATLQCAAITLQTGLRAMTACNEFRFKKQTKAAVCIQAQWRCHRDYSYYKKLQMATIAYQCAWRQRLARRVLRKMKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEETKAQEISKLQDILHEMQLQVEEARSTLIREREAARKAIEEAPPVIKETPVFVQDTEKIDSLTAEVENLKALLLTEKKATDAANKANAEAQERNNKLVKNVEDSETKIDQLQDSIQRLEENVSNLESENQVLRQQAVAISPTSRALEMRSKTTIIPRTPENGNVLNGETKLALDLSPALQNPKDLEVEEKPQKSLNEKQQEYQDLLIKCVSEELGFSKGRPVAACLIYKCLLQWRSFEVERTSIFDRIIQSIGSAIESQDNTDVLSYWLSNTSTLLLLLQRTLKASGAGSFTPQRRRTSASLFGRMPQGIRASPQSAGLPFFNNRLVGGLSDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGTRSQANAMAQQALIAHWQSIVKILTSYLKTLKANYVPSFLVRKVFTQTFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELERWCYGATEEYAGSAWDELKHIRQAVGFLVLHQKPKKTLKEITHDLCPVLSIQQLYRISTMYWDDKYGTHSVSSEVILSMRVMMTEDSNSAAGSSFLLDDDSSIPFTVDDISKSMTEIDIADVDPPALIRQNSGFAFLAQRKE >Ma02_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27782269:27783397:-1 gene:Ma02_g22480 transcript:Ma02_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGRTMNSFLLIYLFFFFFFFSLETLTVLADDDVALFSHLESSILKQGDSLTNNGSTLTLQVDCDLVLRDTNNMIIWNSGSVARAIDCIFWINRYGVAVVSDTEGIPLWTTGSPARRGSYALLLRPDGTLRIYGPSLWSFKSIANSSGSDDTVSNRRLASSSFDSSGYIMYSSDDFIYRPTGGALLKNGNYTLVLETTCTMHIRGASEVEAASSREERRGLQATAPTCKAQLSSDGELTAYRLLFPEEGSLQDVWLRTWGSDFTKKTPESIAALVDGGSAGELLIYPMKQTLA >Ma01_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24140182:24141945:1 gene:Ma01_g22250 transcript:Ma01_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKIIDSVIQEMEQAFDRTAKLQSLVELVDCSDTEKESALVILEEILQSCSATRSMLNSGGAIAVPQRNSAAIEIASRDLAALHVPPRRDPRSYEREEITATPYNDGYQWRKYGEKNIKGCTFKRNYYRCTYSHDQRCNAKKLVQQQDSGAPSLFLVVYKGEHTCTPSWSSTAEDAQLMVQDFPTSAYGAVMQVQDCDEQRSAHGTAVTPPVEPCLLRFDSLDHSKRLPSHMNSMRRSVVEGTTMGISSSKVESEADSMEPPQPSLSDICGIYFDTVLDEVSSSCSVGLDMDFLDFRF >Ma10_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32429065:32430214:1 gene:Ma10_g23570 transcript:Ma10_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHHLPAPEISFILPKASTAAFVLLPISLIAVIFLVVQPDESWLQSLVPGGCEPRRSDLADPASAAPPEPELRIFVGILTKPETYERRHLLRNAYALQPSVSINARVDVRFVLCNLTKEEQRVLVALEILRYDDIVILDCAENLNDGKTFTYFSSMPKLFNGTGGGKPPYDYVLKADDDSYIRVDALAQTLKEMPRDDLYMGFYIPCKNISDPDGWMTGMAYALSWDLVEWILESEIPRNNKVHHPLGEDVVLAYWLRDGNRGKNRVDVNPRMYDIYYDNGPCYSRPFIPDTIVVHRLKDNPRWAATLAYFNVTQGLKPSKLYHIH >Ma09_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11226220:11244771:1 gene:Ma09_g15860 transcript:Ma09_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVPATIEEQLLRKAIREESPWENLPKRLQTMLISKEEWHKRIIDYCIRKRLQWNQCFARKICKEGEYYEEMMRYLRKNLALFPYHLAEYVCRVLRVSPFRYYCDMIFEVLKNEQPYDSIPNFSAADAVRLTGIGRNEYIDIMNKCRSKKFMWKLNKSIAKELLPTQPVDFPVEPWWGVCLVNFTLEEFKKLTEEEMATIDKVCKEEVNSFILFDPDIVRGLHKRGLMYFDVPVYSDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENSTVAELATTLQADLSHLQAAASFACRLGWAIKLLDPESILRDSSIPSSPSNMLSDDEYDSNASINSEKSGQQTHGLVIEKNRTISGTAHVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGDSSIAELCKDLSTLEGKKFEGELQEFANHAYSLRCILECLQSGGVANDGKIVRPSNPTETQHSFIDDITSVTSEIGVSEESGYSNINENEAKMYHNDDSSDHGQSIVPQPDSSPNESDAAHVLPMTPSGTSAENNTCKPDYESQSDKKVTSLDGSENDRTILKRKRKYRVDILRCESLAALAPATLEKMFLRDYDIIASMVPLPASSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTLAGNGPLSVALMKGQCLRLLPAPLAGCEKALIWSWDGSTVGGLGGKFEGNLVNGNVLLHCLNSMLKHSAVLIQPLSRYDLDDSGRIVTVDIALPLKNFDGTVQPIGSDMGLDPEGVANLDSLLMDLSSKIELFTVGYIRLLRLRKEIQSGMLIPDLEYEWFPLSLEFGIPLFSPKLCGRICERIVLSHLLQTDSLSEHHDAMQSLRKILRELCSEYQATGPTAKMFYQIEHVKESPRHLISYASGRWSPLMDPSTPISGAPSENQRFKFTNRQRGKTEVLSFDGNILRSYALAPVYEVTTRHIEESTSGSGVKHESDEADSREVVLPGVNLLFDGSQLHPFDIGACLQARQPVSLVAEASAASTSLQATRISI >Ma03_p23910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28310574:28318462:-1 gene:Ma03_g23910 transcript:Ma03_t23910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHRWSERQQTDRQPCAGLPKLHLLDQQQPPASALSLFAPEPCSGFHKTTTTIATPSLSSFPRIGYFTLAQWQELELQALIYKYMIAGVSVPLELILPIKRSLLSASPYYHHPELYQHLQPSLMQGVSWGRCSVDPEPGRCRRTDGKKWRCSREVVVGQKYCERHVHRGRNRSRKHVEIPTPTSLNGLKTGVSSPPPECAQHNHFDLSGSRDILPLSQRHPDTRTVTVGRSFQSNCSNFFLKELQDSKPEGYVLQRFFDEWPRSQQESDDGLNYTSHPPSTTHLSMSLPGNPSSDFSLKLSTGNNGEKQRPEEGSSNGGEDGRVVRPSNNWSGWGHHGEEASMGGPLAEALRSAASTQSPTSVLHKPNGSVSETSSISP >Ma03_p23910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28310574:28318462:-1 gene:Ma03_g23910 transcript:Ma03_t23910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHRWSERQQTDRQPCAGLPKLHLLDQQQPPASALSLFAPEPCSGFHKTTTTIATPSLSSFPRIGYFTLAQWQELELQALIYKYMIAGVSVPLELILPIKRSLLSASPYYHHPELYQHLQPSLMQGVSWGRCSVDPEPGRCRRTDGKKWRCSREVVVGQKYCERHVHRGRNRSRKHVEIPTPTSLNGLKTGVSSPPPECAQHNHFDLSGSRDILPLSQRHPDTRTVTVGRSFQSNCSNFFLKELQDSKPEGYVLQRFFDEWPRSQQESDDGLNYTSHPPSTTHLSMSLPGNPSSDFSLKLSTGNNGEKQRPEEGSSNGGEDGRVVRPSNNWSGWGHHGEEASMGGPLAEALRSAASTQSPTSVLHKPNGSVSETSSISP >Ma03_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28310574:28318462:-1 gene:Ma03_g23910 transcript:Ma03_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHRWSERQQTDRQPCAGLPKLHLLDQQQPPASALSLFAPEPCSGFHKTTTTIATPSLSSFPRIGYFTLAQWQELELQALIYKYMIAGVSVPLELILPIKRSLLSASPYYHHPELYQHLQPSLMQGVSWGRCSVDPEPGRCRRTDGKKWRCSREVVVGQKYCERHVHRGRNRSRKHVEIPTPTSLNGLKTGVSSPPPECAQHNHFDLSGSRDILPLSQRHPDTRTVTVGRSFQSNCSNFFLKELQDSKPEGYVLQRFFDEWPRSQQESDDGLNYTSHPPSTTHLSMSLPGNPSSDFSLKLSTGNNGEKQRPEEGSSNGGEDGRVVRPSNNWSGWGHHGEEASMGGPLAEALRSAASTQSPTSVLHKPNGSVSETSSISP >Ma03_p23910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28310574:28318462:-1 gene:Ma03_g23910 transcript:Ma03_t23910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHRWSERQQTDRQPCAGLPKLHLLDQQQPPASALSLFAPEPCSGFHKTTTTIATPSLSSFPRIGYFTLAQWQELELQALIYKYMIAGVSVPLELILPIKRSLLSASPYYHHPELYQHLQPSLMQGVSWGRCSVDPEPGRCRRTDGKKWRCSREVVVGQKYCERHVHRGRNRSRKHVEIPTPTSLNGLKTGVSSPPPECAQHNHFDLSGSRDILPLSQRHPDTRTVTVGRSFQSNCSNFFLKELQDSKPEGYVLQRFFDEWPRSQQESDDGLNYTSHPPSTTHLSMSLPGNPSSDFSLKLSTGNNGEKQRPEEGSSNGGEDGRVVRPSNNWSGWGHHGEEASMGGPLAEALRSAASTQSPTSVLHKPNGSVSETSSISP >Ma02_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6699050:6713795:-1 gene:Ma02_g00820 transcript:Ma02_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSTNAAGSDPSSGALSPRAARHPWTHVVGGDPEASATVPPSPTFTPPPPPAAVIPSAVSSDRFPQKPPPEDVVASSSSEATAGVKKPVWKRPPNGSIHKGAAVMGGAASWPALAESAKAASKSQPSDALRILSDGPVPVPSVRLPDLGLLVSNSSPEPNPSPTSTLNYVTPERQKSMKRSGSGGGGSSGISADGGMPLLSPPPTSGPMPKRNLDKQAPMELSPLDQTTKNTTNWDHGSRGGGLGSQAHGSGDHHRGYGRNRKLNNGGGAGSHQNNSGKRYDGYHRNAGGRDVHIQQQGAWPHLRLPVPPVAAPFLSLPLQVQPFGNPMLYPDMPSPIFYVATQPPPGVVPFVPHPSIPPAMFVPAINPQRASLLNQIDYYFSSNNLCKDVYLRQNMDEQGWVPISLIAGFPRVRQLTNNIDFILETVRSSTEVEVQGEKIRKRNDWMNWVLPPSNNQFANISLQSPATMKSDNL >Ma07_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29736987:29737352:-1 gene:Ma07_g21660 transcript:Ma07_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYPQEHSRVCRLHRDDVEQHHDEQEGGVLCIGSTDGNTSSVSSFFKASTVRLQTRITLKESSSSPS >Ma04_p31320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31656337:31659538:1 gene:Ma04_g31320 transcript:Ma04_t31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQSHAQRRLHSCDSWMHLIISLRTRIMLANFDLYISERCRSARLITHTARERNTIAKAASKTWRKTPWKKEPQREWLFTCSGCVDPSFELIRFISTSLRVSYLSSSSYDTGFETPII >Ma11_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7581261:7582645:1 gene:Ma11_g09340 transcript:Ma11_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEERSWAVEIDDQHKNTGKSAEKQQSPKPSIYIVPPYIRNLNCHAYKPHIVSFGPYHHGDPDVMPMEEHKDRALTRFLKRANKSLQDVMTAIEEVVQQLRGAYQSLDGKWAEDKRFVHLMILDGCFMLEIIRVATKKPDDGGHGYEMDEPIFSDHGNLYTVPCIKRDMMMIENQLPLLVLYKLVFVEGGRNCVVVNELVLKFWGKPSTVEEEHLLLEERRHLLDLLRLSRLVKRSSTDNSRGRTNRRKVSNIRSAFELREAGIHFRKSNSNSLLDIEFKHGVLSLPNLTVDDNTEYMFLNLMAFERLNVGTGNEVTSYVVFMDSIIDSAKDVSLLQSKDIIENAFGSDEAAAELFNRLSKDVVIPPQGILGDVYGEVKYYFGRRRNRWWANLKHTHFSSPWSLLSLVAAIVLLVLTVLQTIYTLLQFYHPPSA >Ma10_p31090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37321123:37321749:1 gene:Ma10_g31090 transcript:Ma10_t31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKSGNGRLSKLKCIIKRWHSSSRLTRTAPSGGGASSLRSQDEEAWHSASFHGDQVPPGLHPVYVGRSRRRYLVSDELVGHPLFRVLVERSGGHGSSVGAVGGTVVDCEVVLFEHLLWMLENADPQPESLDELVDFYAC >Ma08_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8179818:8181201:1 gene:Ma08_g11080 transcript:Ma08_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVTLARRLFQIAKVGSSAGAAKPLPGARNEPRPIRCFLSSCPQKQPFFQLPLPPDRLALPVGDPLVRWIRGLNSDRIRLDLHLPPSGSIPAGADEVARGEKGMLVGDVRKAVRASQMDAARARLTATRESCVAYSEFVRICSEASGRERGVEVARSLDELGAVIVWGNVVFLRPEEVAKAIETAMIPSVEDAERGEELRRMEETKAEIDRSAAALVRKELWCGLGLMAAQTAAFMRLTFWDLSWDVMEPICFYVTSIYFMAGYAFFLATSREPSFEGFFESRFAARQKRLMRAREFNVERFNELIGDCRRPHPSFAPFSMRKT >Ma04_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10341999:10342264:-1 gene:Ma04_g13690 transcript:Ma04_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILLLIIFLNDIANYRERWNKGFCSKIMKGTAKGSTFLHNFSPKNWMSSKHSRLISISSVR >Ma01_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9193352:9212329:1 gene:Ma01_g12610 transcript:Ma01_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVHVIEARGLPVINMNGSCDPYVRLQLGGRRSKTKVIKKNLNPFWDEKFSFLVGELSEELIVSVLNEDKYFNNDFLGKVKVSLLKVLDAENLSLGTAWYQLQPKNKKSKNKKRGEICLTIQLSPRNTILDESSIVSQAPSSDLASSSETSQPIREAPLCTSSGGKIDSSSLMDLEETRATKENKSNTTSFVELLSQMFRGKNAESVPLAENRDLDSLEQSEGTSMKADVCADSADNVPCENKFEELLETMASKCLGSDMPGHLPGGILLDQSYAIAPGDLNSLLFSPDSIVRRSFTESRKDSRIYWVSWIIFGCLLALIDDINLAVLKLGYAYEIKPVTKICLQDKWRMSIPVKFCCYEQTILSYDAGTPGRRVQLPYSDLSLPCTKYQPFQHAQTKLGARRLRSGALICAAALSARCAAEQTQTVTRQSSTITIAPIQGKEKSPELDDGGTGFPPRDDDGGGGGGGGGGGHWSGGFFFFGLLAILGLMKDQESEGPYQNNKRRY >Ma04_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9460289:9462019:1 gene:Ma04_g12530 transcript:Ma04_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFADVLVKKAYDNWMHVIEYDGKALLNFTKKKKALSDSSNDHEESQVNLQASFPLEHPLVDMGGSTITAVYSGNEGVTYSSSLPNVVSDTNMQYESACFTPQNEAIGSAQQSLITRNDSTSLALALPQQTSIGFQPSSHSMEHSGLNIYDDWSGQQDSRCMDDFFSEEEIRLRSHEMLENEDMQHLLRTFTMGGASVGLPEDGYGFPPYMPSPCPNFNFDDERNRSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAAQLVELEE >Ma05_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5538066:5543118:1 gene:Ma05_g07620 transcript:Ma05_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATKSKSKDKLVAKAAKEQAKVSSKHSSALLNYGNGAPSNAYNPDSGTFHNFDTMPSGSLPTGQTNGHFRTIDETEEHSGSSSGTTGEFDSMSNHNGYSGESEDQKEKNATSNAARIESVLGCDTDKRDKIRQKNEKKHQRQKERRAQELHERCTGYLMSRKLEMLSQKLVAMGFSSEQATMALIQNEGCVEESIMWLLERSEESRQQIAANIDTGANLKIDITTELTKISDMEVKFKCTKQEVERAVVACEGDLEKAEETLKTQKQEPKIAPSKLEETSDPVPPSGLDFKMVIPVQNAALRPQQKVLASVGPQQQRRDERDLNYIKTMTTGVVDSPNKNMQSLRKIQPKPDWGKPQVVTPVEKRWSSASSASISYSLPSSLQVAVPPTTRYVMPTNEPKANLPSVTLREPVTVMQRPQSVTTKQNPASTSLSISASPPASAGFYSNGMSSMDMMKVANGSLGRSLPYLGLDGSSGQQFAPRNHLQTSASGVVESFATGGSGSWNSAGSFAMPSSLGLFTGWGSHGSSLSPVDWSSGGTTPCDYTSIDWSLDSTLLRPSMRSDHLSATWSTMFMGGKVTRPVTNTSGGVCVAGLQDGGSLATDSLYSSGSHDWSSPFAGKDLFSVPRQFVTNSPL >Ma05_p07620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5538071:5543118:1 gene:Ma05_g07620 transcript:Ma05_t07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATKSKSKDKLVAKAAKEQAKVSSKHSSALLNYGNGAPSNAYNPDSGTFHNFDTMPSGSLPTGQTNGHFRTIDETEEHSGSSSGTTGEFDSMSNHNGYSGESEDQKEKNATSNAARIESVLGCDTDKRDKIRQKNEKKHQRQKERRAQELHERCTGYLMSRKLEMLSQKLVAMGFSSEQATMALIQNEGCVEESIMWLLERSEESRQQIAANIDTGANLKIDITTELTKISDMEVKFKCTKQEVERAVVACEGDLEKAEETLKTQKQEPKIAPSKLEETSDPVPPSGLDFKMVIPVQNAALRPQQKVLASVGPQQQRRDERDLNYIKTMTTGVVDSPNKNMQSLRKIQPKPDWGKPQVVTPVEKRWSSASSASISYSLPSSLQVAVPPTTRYVMPTNEPKANLPSVTLREPVTVMQRPQSVTTKQNPASTSLSISASPPASAGFYSNGMSSMDMMKVANGSLGRSLPYLGLDGSSGQQFAPRNHLQTSASGVVESFATGGSGSWNSAVDWSSGGTTPCDYTSIDWSLDSTLLRPSMRSDHLSATWSTMFMGGKVTRPVTNTSGGVCVAGLQDGGSLATDSLYSSGSHDWSSPFAGKDLFSVPRQFVTNSPL >Ma07_p26410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33224260:33225754:1 gene:Ma07_g26410 transcript:Ma07_t26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream target of AGL15-4 [Source:Projected from Arabidopsis thaliana (AT1G79760) UniProtKB/TrEMBL;Acc:Q9MA02] MASSPLKAMLPPPEFRAPPPSPVAGVHPHGLDSPDGDDEFAGFLSHSLRVPKLNLPHRIFPQEATAWNPPAIDFRPLISPGDAESGAADLVKSAAAAFGCFQLVNHGISPGLVAAVGAAACPAFGIPLESRTKAARSPERRWGFEVEEEGEGEEFLWWCRSGMGDRRELAAIWPRSYKDFSDKVEGLWCEIQKIASRVEEVLLGKKAGREEEAADGSLLCLHKHAPNGSHNGGIKHELLRMLVRSWSCSCDLSLHLPGGASEFHIYSKRGWYRFCPENAAVVVTVGDQIQACSGGFYKHVIGKPVLKKGDPQDSISMAFHHTRHQAIVHGISRPNLHSEKEKTISLAEQIMVAACLAIAYHIIAFFFCRS >Ma02_p24730.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29207302:29210680:-1 gene:Ma02_g24730 transcript:Ma02_t24730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFMHKNRLQEYTQRSSITLPLYQTINEGTPHAPEFRSTVVVDGKNFTSPCTFPTKKAAEQCAAKFALEGIRIINKNKGLSLIHNDTILCKLILHEYSVKMNMNLPTYETSHKPGLIPAFVSSVLFDNKTFKGETAMSKKEAERVAACTVIKYILGNCDTGDIMRQIIESKNKHYAVVHRGKGSCSSLARNAETSGPSSSIQENKMLAPLFYDIPHAVAYSEAFASVPANTAAADSICSAKEEYAGKTEVPSDDPTSHARDVVPISSKGRSREKNEEQCQLKKARVDGQYVTCSRCKSVSRAKLLVYLVTDVLKRLSAHLHGVLTFLFVELS >Ma02_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29207301:29210680:-1 gene:Ma02_g24730 transcript:Ma02_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFMHKNRLQEYTQRSSITLPLYQTINEGTPHAPEFRSTVVVDGKNFTSPCTFPTKKAAEQCAAKFALEGIRIINKNKGLSLIHNDTILCKLILHEYSVKMNMNLPTYETSHKPGLIPAFVSSVLFDNKTFKGETAMSKKEAERVAACTVIKYILGNCDTGDIMRQIIESKNKHYAVVHRGKGSCSSLARNAETSGPSSSIQENKMLAPLFYDIPHAVAYSEAFASVPANTAAADSICSAKEEYAVIAGGSTNGASGTDFVVPHMLLPIQGKTEVPSDDPTSHARDVVPISSKGRSREKNEEQCQLKKARVDGQYVTCSRCKSVSRAKLLVYLVTDVLKRLSAHLHGVLTFLFVELS >Ma02_p24730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29207026:29210680:-1 gene:Ma02_g24730 transcript:Ma02_t24730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFMHKNRLQEYTQRSSITLPLYQTINEGTPHAPEFRSTVVVDGKNFTSPCTFPTKKAAEQCAAKFALEGIRIINKNKGLSLIHNDTILCKLILHEYSVKMNMNLPTYETSHKPGLIPAFVSSVLFDNKTFKGETAMSKKEAERVAACTVIKYILGNCDTGDIMRQIIESKNKHYAVVHRGKGSCSSLARNAETSGPSSSIQENKMLAPLFYDIPHAVAYSEAFASVPANTAAADSICSAKEEYAGKTEVPSDDPTSHARDVVPISSKGRSREKNEEQCQLKKARVDGQSQFEPCAQHSGNLVIIDI >Ma02_p24730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29207026:29210680:-1 gene:Ma02_g24730 transcript:Ma02_t24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFMHKNRLQEYTQRSSITLPLYQTINEGTPHAPEFRSTVVVDGKNFTSPCTFPTKKAAEQCAAKFALEGIRIINKNKGLSLIHNDTILCKLILHEYSVKMNMNLPTYETSHKPGLIPAFVSSVLFDNKTFKGETAMSKKEAERVAACTVIKYILGNCDTGDIMRQIIESKNKHYAVVHRGKGSCSSLARNAETSGPSSSIQENKMLAPLFYDIPHAVAYSEAFASVPANTAAADSICSAKEEYAGKQCSALIESWNSLSTPTVTVIAGGSTNGASGTDFVVPHMLLPIQGKTEVPSDDPTSHARDVVPISSKGRSREKNEEQCQLKKARVDGQSQFEPCAQHSGNLVIIDI >Ma02_p24730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29207302:29210714:-1 gene:Ma02_g24730 transcript:Ma02_t24730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFMHKNRLQEYTQRSSITLPLYQTINEGTPHAPEFRSTVVVDGKNFTSPCTFPTKKAAEQCAAKFALEGIRIINKNKGLSLIHNDTILCKLILHEYSVKMNMNLPTYETSHKPGLIPAFVSSVLFDNKTFKGETAMSKKEAERVAACTVIKYILGNCDTGDIMRQIIESKNKHYAVVHRGKGSCSSLARNAETSGPSSSIQENKMLAPLFYDIPHAVAYSEAFASVPANTAAADSICSAKEEYAGGSTNGASGTDFVVPHMLLPIQGKTEVPSDDPTSHARDVVPISSKGRSREKNEEQCQLKKARVDGQYVTCSRCKSVSRAKLLVYLVTDVLKRLSAHLHGVLTFLFVELS >Ma02_p24730.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29207301:29210714:-1 gene:Ma02_g24730 transcript:Ma02_t24730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFMHKNRLQEYTQRSSITLPLYQTINEGTPHAPEFRSTVVVDGKNFTSPCTFPTKKAAEQCAAKFALEGIRIINKNKGLSLIHNDTILCKLILHEYSVKMNMNLPTYETSHKPGLIPAFVSSVLFDNKTFKGETAMSKKEAERVAACTVIKYILGNCDTGDIMRQIIESKNKHYAVVHRGKGSCSSLARNAETSGPSSSIQENKMLAPLFYDIPHAVAYSEAFASVPANTAAADSICSAKEEYAGKQCSALIESWNSLSTPTVTVIAGGSTNGASGTDFVVPHMLLPIQGKTEVPSDDPTSHARDVVPISSKGRSREKNEEQCQLKKARVDGQYVTCSRCKSVSRAKLLVYLVTDVLKRLSAHLHGVLTFLFVELS >Ma06_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8387052:8391697:1 gene:Ma06_g12060 transcript:Ma06_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTMADLSKEKQPLMSFLVTEAGNFSRSGTSISMGDTTLRLNSLSYETSRTGKGVCSLSNHELSATDDGCRLVLGLGPTPTSYASEYYCIAGGINKTKETATCTNQSWSLETDSNMLELGLSRGNVEPMVTVDGNANSSSSNSKQISSEKHHLIPIVDESSTSAKRNSGGYMPSLLFAPRLTISIGMVDAPGTHDPSDTGADEITDNNHLQYDLHQTTEHLSASESSAGAFSASPSVSQRIHHRHPRKCMFEGCSKCARGASGLCIGHGGGQRCQKPGCNKGTESTAAFCKAHGGGRRCQMLGCTKSADGKTDFCIAHGGGRRCTHLGCAKAARGKSGLCIKHGGGKRCIVEGCTRSAEGQPGLCISHGGGRRCQYPDCWKGAQGSTNYCKAHGGGRRCIFQGCTKGAEGSTPLCKGHGGGKRCLFEGGGVCPKSVHGGTQFCVAHGGGKRCAVAGCTKSARGRTDCCVRHGGGKRCHFEGCNKSAQGKTDFCKAHGGGKRCTWAPGCDKFARGRSGLCAAHGTMMAAQQECEAGKTGGMIGPGLFQGIVASSVTVGSSMDNGYTSAGFSSVSDCVESQANARQHQLHIPPQVLVPMSMKSPPPSVSIGFGGGVDDSQEKNSGFVVPEGRVHGGSLLSLLNGSLKNAVDAGFV >Ma10_p28340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35254284:35264791:1 gene:Ma10_g28340 transcript:Ma10_t28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPFTSTVPLQPPPPKDRPPHHLLERCTTMAELKRVHARMIRSGVAFAPLPASHLVSRCAAEDFGSLDYARLVFRQIPSPTAFTFNSIIRGYTNKNFPLEALHFYVELIEGGLVPDSFTFPSLFKSCGFLAEGKQLHCHVVKFGFSSDIYIQNTLMNMYAARGCLTSACQVFEKMGEKTVVSWATMVAAYTRSDHLSEALDLYRQMESKNVTPNEIALVNVLTACARARDLETGKRVHKYIEEHRIGFDLVLWAALLDVYCKCGCVSRARQLFGEMPERNLFCWNIMIKGHVEDSDYKEALRLFREMQFMGIKADKVTMASLVQACSQLGALELGKWFHVYIVREKVEVDVVLGTALVDMYARCGCIESAFKVFGDMPRRDVMTWTALIGGLAVCGHAERALQTFHEMQRIGVKPDAVTFVGVLTACSHAGLVDEGCSHFDSMASIYNIQPSVEHYGCMVDLLGRAGQIGRAEELIRGMPMVPDRFVLGGLLGACRIHGNLEVAERTAQKLMELDQKHGGTYVLLSNIYGSLQKWDEVGRIRELMSERDVKKPPGCSLIEMDGEVHEFVMGDESHPQSAEIYAMVEDMISRLKEAGYVPNKSEVLLDMDEEEKENALCRHSEKLAIAFGLMRTAPGTPIRIIKNLRVCGDCHAATKLTSKVYGREIIVRDRCRFHHFRDGCCSCKDFCIYHLIGKPPEQDRDHHTSPQLCHDIEEAETPNPQNCDWAKEARTQRRQKLVRKSGGMDGIAKRIHHECKGPEKGYERQNHGVEKSLLRQHIRQLGVQQHEPHRHRQVHPRLQERYNLGAAPLGGHHQHILGVPENGIIEKNAEEHNPQRNYLLKRRYLDTQEFGLLLPKLRRAGSVGRNRGAGSLEAVGLAIDGVLSLQLRPWRAEAGARAQRAGAAAEGKRIRRVWRVTCVSCLLCNALMPCPFPSEKSHISLFTSAFHFTPSNIHRLISNMSSPLPRAPPPPTSLTSTQFALNSLLDACRTMKEFKHIHSLMIRSGLARSPLVKRRLLSCCCAPGFGDMSYARLLLDLIPTPETSHWNCLIRGHSVRGAPWAAVAVYAEMLASGVGPNSHTFPFLLKGFTRDDAVAYGDELHAHVLKFGLSSNAHVRSALLRMYAVSGAVDTARELFEKSPERDVVSWNAMISGYNRSNMFEESCRLFTAMAGESIMPTATTYVLVLSACAKMKGLECGRQIHGRLMNGNLLPDLRLENALIEMYGQCGDLDSAWRLFQDMQTRDVISWTAVVVGFAKSGEVDRARALFDRMPERDRISWTAMIDGYVRAGRFKEALHVFREMQAAAVWPDEFTMVSLLTACAQLGALEIGEWARAYMERNGIKMDIAVGNALIDMYSKCGQVDNAVEIFRRMHRKDKFTWTAMISGLAVNGHGEDAIDLFSQMVETSVRPDEVTYTGVLTACGHAGLVDEGRKIFSSMIAVHGIMPNVTHYGCLVDLLGRAGRLEEALETINNMPMKPNSAVWGALLGACRVNGNLEMAELAAKHLVELQPHDSAAYLLLCSMYANRNKLEEAHKMREMILVKGIKKEPGCSLVEMNGEVHEFVAGDRSHPRTGEIYTKLEEMIKELKRAGYVPDTSEILHGAGEEEAANAAIYQHSEKLAVAFGLISSRYGVAIRVVKNLRICIDCHNAMKFASKIYRREIVVRDRRRFHHFRSGSCSCKDYW >Ma08_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34887211:34889445:1 gene:Ma08_g20800 transcript:Ma08_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPTQKQESSSGLSKSCILIIAVASVERFAYKGVASNLLSYLTDHVKMSTSSAAKSVSTWSGVTSMLPLASAILADSYWDRYSTIMASSLLYIVGLVGLTSWALLCAWMPTSSLFLPLYLISIGQGGYNPSLQAFGADQLELEDGLPCGTEQGKSDKKSLFFQWWYFGICSGSLLGNSIMSYIQDTLGWGLGFAIPTGAMAISVACFLCGSRFYVHKQLKIPNRPVESIIQAVKLAVTKIKSKSHGLPSRDAVELELQEKPLRDGSDRSTSSGRSNSAADEAPGVGKTFLRLLPIWTTLLMFAVIFQQPVTFFTRQGMMMRRSIGDGITIPPAMLQSAITISIILIMPLYDKLIIPLLRLLTRQGKGINVLQRIGIGMCLSVVAMVVAAVVESKRIGILRTEGSQLDSQLTIFWLLPQYVLLGISDVFTVVGMQEFFYMEVPTTMRTIGIALYLSVFGVGSFLSALLISVLESISNTSGKHHNWFSDDTREGRLDNYYWFLALLSSISFLIFACLCRYYNHPNAAEN >Ma08_p20800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34887211:34889445:1 gene:Ma08_g20800 transcript:Ma08_t20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPPTQKQESSSGLSKSCILIIAVASVERFAYKGVASNLLSYLTDHVKMSTSSAAKSVSTWSGVTSMLPLASAILADSYWDRYSTIMASSLLYIGLVGLTSWALLCAWMPTSSLFLPLYLISIGQGGYNPSLQAFGADQLELEDGLPCGTEQGKSDKKSLFFQWWYFGICSGSLLGNSIMSYIQDTLGWGLGFAIPTGAMAISVACFLCGSRFYVHKQLKIPNRPVESIIQAVKLAVTKIKSKSHGLPSRDAVELELQEKPLRDGSDRSTSSGRSNSAADEAPGVGKTFLRLLPIWTTLLMFAVIFQQPVTFFTRQGMMMRRSIGDGITIPPAMLQSAITISIILIMPLYDKLIIPLLRLLTRQGKGINVLQRIGIGMCLSVVAMVVAAVVESKRIGILRTEGSQLDSQLTIFWLLPQYVLLGISDVFTVVGMQEFFYMEVPTTMRTIGIALYLSVFGVGSFLSALLISVLESISNTSGKHHNWFSDDTREGRLDNYYWFLALLSSISFLIFACLCRYYNHPNAAEN >Ma08_p04680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3250039:3253404:-1 gene:Ma08_g04680 transcript:Ma08_t04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHAAATRPKSYFFLAHRRQLSSQRHAFPVDFIATAIRPFPDYSPKKPAIYDAELVRSIVTALKQRRSESPDVILGPFAARFRSDHLVWAFLHLRSDPVLVGALLHWYRPRGRPVPAEALAIAAHVAVVSGEPASARRLLRDGLVSHRNFVDRVIYTYKYWCSVPSVFDLLFGAIVDINRLDEARTLFRHLVTYGIIVSADACNALLSRLTLDHMLSTFSEFQELGLRWNTKSCNVLIHGFCGAGKTGEAHRILLEMEGTVGASPDIISYSTLIDAYCRYGELLQATELFEEMGDKGLMPNAFTFNSLITLLCKNEKVVEAERVFTEMMFRGVAPDHVVYTTLINGYCKSGKLPAVYRLVEEMKNSQLVPDTVTYTALIYGLSRSGNMVEANKLFREMVGKGLSPDEVTYTALIDGYCKEGKMNDAFYLHNEMLQMGLVPNVVTYTALSDGLCKQGEVETANELLRETSGKGLELNVFTYNSLINGLCKIGNIEQAVKTMVDMEAAGLCPDVYTYTTIMDAYSKSGEMDQAHDLLREMLDKGIQPSIVTFNVLMNGFCTAGMLEDGRRLLEWMLERSIMPNSATYNSLLRHYCMEKTMKTTTKIYKEMRASGIMPDENTYNILIRGHCRARNMKEACYFHSEMIGKGFSLSRGSYNALIKGLVRKKRLTEATQLFEEMRAKNLVANREIYNIFIDINYTEQNIEMTLELCDEAVEKCLPESDLNKI >Ma08_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3250039:3253404:-1 gene:Ma08_g04680 transcript:Ma08_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHAAATRPKSYFFLAHRRQLSSQRHAFPVDFIATAIRPFPDYSPKKPAIYDAELVRSIVTALKQRRSESPDVILGPFAARFRSDHLVWAFLHLRSDPVLVGALLHWYRPRGRPVPAEALAIAAHVAVVSGEPASARRLLRDGLVSHRNFVDRVIYTYKYWCSVPSVFDLLFGAIVDINRLDEARTLFRHLVTYGIIVSADACNALLSRLTLDHMLSTFSEFQELGLRWNTKSCNVLIHGFCGAGKTGEAHRILLEMEGTVGASPDIISYSTLIDAYCRYGELLQATELFEEMGDKGLMPNAFTFNSLITLLCKNEKVVEAERVFTEMMFRGVAPDHVVYTTLINGYCKSGKLPAVYRLVEEMKNSQLVPDTVTYTALIYGLSRSGNMVEANKLFREMVGKGLSPDEVTYTALIDGYCKEGKMNDAFYLHNEMLQMGLVPNVVTYTALSDGLCKQGEVETANELLRETSGKGLELNVFTYNSLINGLCKIGNIEQAVKTMVDMEAAGLCPDVYTYTTIMDAYSKSGEMDQAHDLLREMLDKGIQPSIVTFNVLMNGFCTAGMLEDGRRLLEWMLERSIMPNSATYNSLLRHYCMEKTMKTTTKIYKEMRASGIMPDENTYNILIRGHCRARNMKEACYFHSEMIGKGFSLSRGSYNALIKGLVRKKRLTEATQLFEEMRAKNLVANREIYNIFIDINYTEQNIEMTLELCDEAVEKCLPESDLNKI >Ma08_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7823996:7829614:-1 gene:Ma08_g10670 transcript:Ma08_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDTVEASASSSSAVEFDPLLKDLEEKRLSFKRNVVSLATELKDVRRRLVLQEQLFARETLTRKATEKKAKSMEEDIGRLQICLREKDEKLKASTSASVEYMKDLDDLRSELSITQATSEASAASAQSAQSQFLDLLRELDEKDSLLAEHETRVNKLGEQIDLLQNDLQARELSQRRLKDEVLQMEQEIMHVVNVAGSKKDCELRKILAEVSPKNFENINKHLSAKDEEIAKLRDEIRILSAHWKQQTKELEAQLEKHRMTNEELNDRIIKLELCLQEAQNQMQTLQKMGKKRDKAIRELRDELVMKQPNSACCGIELSFWENPGFRIVASMGMLILVAFASR >Ma09_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4375430:4376662:1 gene:Ma09_g06860 transcript:Ma09_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIFLLTLFRGCSRKPRSSARVADDALSEVALYLDDDILPVVFSYLPAKAFFRLQLVAKRFHELSKYPRFLLEQAGHNKSASGFFYRDGYGPYGFLLVDPYAGIPASFPDYFNYSDEVLASAGGLVFYQRKRYWDDEHGSLCVCNPARRTWRTLPSSPSQKFGKETRVSVAVKFVYDGDDMAEDYKLICLTEATDCILFHHCGVYDSAANAWTTDEEIDFGPRELEYDHPVVCGETVYWASDCVSYLRMPDPYVVAFDTTTKRTEIIPVPEGAVIDSDEDTIKVGMWDERLPCLIHYSVNAATFTLWMLRRKNEGSPQWVMSHEISSIPYARSFVLSHGSTGMLLVYSDGYNAYSYSFKDGESQQIGSSSRFFSKFIPYANTLRPCGKQEVFLEYLRRPLLPSLLPWVF >Ma06_p02240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1759379:1762588:1 gene:Ma06_g02240 transcript:Ma06_t02240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYISPSMRQVTVFPGKGVREFIKVKVTSRRLSCKMVIYSLLFLTFLLRFIFVLTAMDTIEGETRCSSLGCIGKRLDPRMWRRLDSVRVPEEIYQVLEEAEIQEAMPRADVPQTLEAFVAEMKSNRSDAKTFALRLKAMKTRVAKIQEYLYRHVASSSIPKPLHCLALRLAQEHSTNAGARLQLPAPERVPALVDPGLYHFVLASDNVLAAAVVAASLVANAFRPAAVVLHVITDRKTYAPMQAWFSLHPLAPAVVEVKALHHFDWFTRGRVPVMEAMERDRAARSQFRGGSSAIVANVSEKPIVVAAKLQALSPKYHSVMNHIRIHLPELFPSLNKIVFLDDDVVVQADLSPLWDIDLQGNVNGAVETCRGADKFVMSKRLKNYLNFSHPFISENFDPRECAWAYGMNVFDLDAWRKTNISVTYYHWLQKNLESDLSLWQLGTLPPGLIAFHGHVHVIDPYWHMLGLGYQENTTVADAEKAAVIHFNGRAKPWLDIAFPEIRPLWTKYLDFSDKFIRACNIRA >Ma06_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1759379:1762588:1 gene:Ma06_g02240 transcript:Ma06_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYISPSMRQVTVFPGKGVREFIKVKVTSRRLSCKMVIYSLLFLTFLLRFIFVLTAMDTIEGETRCSSLGCIGKRLDPRMWRRLDSVRVPEEIYQVLEEAEIQEAMPRADVPQTLEAFVAEMKSNRSDAKTFALRLKAMVSLMEQKTRVAKIQEYLYRHVASSSIPKPLHCLALRLAQEHSTNAGARLQLPAPERVPALVDPGLYHFVLASDNVLAAAVVAASLVANAFRPAAVVLHVITDRKTYAPMQAWFSLHPLAPAVVEVKALHHFDWFTRGRVPVMEAMERDRAARSQFRGGSSAIVANVSEKPIVVAAKLQALSPKYHSVMNHIRIHLPELFPSLNKIVFLDDDVVVQADLSPLWDIDLQGNVNGAVETCRGADKFVMSKRLKNYLNFSHPFISENFDPRECAWAYGMNVFDLDAWRKTNISVTYYHWLQKNLESDLSLWQLGTLPPGLIAFHGHVHVIDPYWHMLGLGYQENTTVADAEKAAVIHFNGRAKPWLDIAFPEIRPLWTKYLDFSDKFIRACNIRA >Ma08_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36570729:36571881:-1 gene:Ma08_g23160 transcript:Ma08_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSICSVIATALLISVLALPTSVQAIGVCYGRLGDNLPQPSEVVDLYKSNNIGSMRIYDPNHDVLEALRGSNIQLLVGVPNDQLQSLASDSSAANAWVQSNVVAYWPSVSFRYIAVGNEVIPGDDAPYVLPAMQNVQNALASANLQGQIKVSTSVSTRVLGVSYPPSEGSFSSDTQADMNPIVQFLVNNGAPLLLNVYPYFSYKYNQAQISLSYALFTSPDVVVNDGPYGYQNLFDAIVDAAYASLEKVGGSSVEIVVSESGWPSAGDVETTIDNAGTYNQNLINHVGQGTPRRPGSAIEAYIFAMFNENQKDSELERNFGLFYPNKQPVYSIHFS >Ma07_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11239740:11242781:1 gene:Ma07_g14970 transcript:Ma07_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSYWCYRCSRFVRVWPQDAIVCPNCDGGFLEEVGSPPPRLFPAAEPRRRRVPSTDAHALGGDWSAAAAAPRHSSELRFRRNRRTSAGNRFHFNPVIVLRGPSEGGARDADRATTTSFELYYDDGTGSGLRPLPESISDFLMGSGFDRLLEQLAQIEINGIGRGRGCEHPPASKTAIESMPTVEIVDDHIGRDCHCAICMDAFELGTEAREMPCKHIYHQDCILPWLSLRNSCPVCRHELPTDVQAQGATGAEGDEQAAATGNEEETVGLTIWRLPGGGFAVGRFSGGRRAGEREFPVVYTEMDGGFNSSGAPRRISWSSTSSRSRGSGGIGRAIRNFFSSFRLSRSASSSSRPSSASHPASSHRHGSGSFLRRRSRNRSTNWDENANVIA >Ma02_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18274506:18274619:-1 gene:Ma02_g07690 transcript:Ma02_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVIMILSDLRSFTFFWLLPNIECFLFHWLSSFLVA >Ma01_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10393465:10395034:1 gene:Ma01_g14240 transcript:Ma01_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSEDDKLTVARARKIQRFLSQPFHVAEVVTGVPGKYVELKESVNSFQGVMDGKY >Ma08_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33382548:33390837:1 gene:Ma08_g19610 transcript:Ma08_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPLDYESLNENVKKVAYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLLFPADAIDRAKHYLSLTSGGLGAYSDSRGLPGIRKEIAEFIGRRDGYPSDPELIYLTDGASKGVMQILNTIIRNENDGILVPVPQYPLYSATISLYGGSLVPYYLEEEANWGLDINHIRQSVAAARMKGICVRALVIINPGNPTGQCLSEANLRELLKFCFRENIVLLADEVYQQNIYQDERPFISARKILLDMGPPISTEVQLVSFHTVSKGYWGECGQRGGYFEMTNLPAKTVDEIYKVASVSLSPNVPGQIFLGLMVNPPKPGDISYLRFAAESNAILESLKRRAHIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIEAAKRAGKAPDVFYCLKLVEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPAIMDSFKKFNDEFMEQYEDYRGYSRM >Ma03_p31760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33848710:33850330:-1 gene:Ma03_g31760 transcript:Ma03_t31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAESLHLVFFPFLARSHMIPMLETARLAAERGVRVTLVTTPANAHLVRPTLDRANSYISTSHPPMELRLIPFPAAENGLPDGCENLTAVPIPLAANFFAAVFGLRAPLDALLRDLHPDALISDALFPWTTALASEHGIPRLIFQVTGLLPLCAANDLDLHRPYEAVSGRGEPFAIPGFPHPIKLTRAELPEVFDFPYMLGLLREAELTSYGVVVNSFYDLEPNYADHYRKVGPREVYLVGPVAIAGAQPQEGGKEDAARDPCLSWLDGKADDSVVYVSFGTLCRFSDAQLRELALGLEASGHPFLWAVRADGGVGEGWMPEGYEGRVAGRGLVARGWVPQREILAHRALGGYVVHCGWNSVTEAVCSGVPLVTWPLHSEQFVIEKLLVDVLGVARPMWEGFKSVLDGEKEVVKAETVASSVALLMGGGPEAQAARKRMKELGEAAARAVTEGGSSHGDVGRMIQGLTACSVQRGKAVAKE >Ma06_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21579725:21581599:-1 gene:Ma06_g23540 transcript:Ma06_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNLDGDLGLPPPSSPSSSPLVYNPPPIRGSAFSKPILPLSSSLIPSRGGGGCCDTAATGGERNGASFGSSTIPTATEENSSSHSNTRNPDPAPISVLSPVGAGASLPNSIQSAEVKTAVTTVDASVKYKECLRNHAAAIGGHVLDGCGEFMPEGGPSTPEALKCAACGCHRSFHRKDGDTDVNADNSYHHHHHGASHLPLLLPPPHPQSHPYNQQKPFPFGFPSSPPSRAVPLSSAVMAFGGNTCGSGGTTTESSSEERINAGAPTPAIALRKRFRTKFTAEQKEKMLAFAERVGWRIQKQEEAVVEQFCAEVGVRRQVLKVWMHNNKHANTKQQQLQPPPPPVEQDQLQVQQHQEQQ >Ma03_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26175253:26181685:1 gene:Ma03_g21140 transcript:Ma03_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVKAKVEGWRGWGRGRGKSGCCSFKRITVVACCVNLVAALVVLRSFDTSFFLFPSYGFNGNLFSVDQVERIEESIRVRREAESVELVRAVRKLSKELSREQKRGLNLPQNVKQKLANEILQRLQGDNVDTNVTKQRETVDLWRIEKLEEVRRVTTSKSNIDSSISYQEAKMLKRVLESNWLMLLEGIGLWIPADVIHTEHNDKPKDEQDLEEIIPGRPLPPECHAELHTDYDGAAVRWGLTHHKDSAADCCQACLDQAKHPKPGEKKCNIWVYCPSEFGCYSPDIYEHKHQECWLKQAEKPRLNFKDKYSKSYRKKHPNAPIVVPWMSGVVGA >Ma03_p21140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26175253:26181685:1 gene:Ma03_g21140 transcript:Ma03_t21140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVKAKVEGWRGWGRGRGKSGCCSFKRITVVACCVNLVAALVVLRSFDTSFFLFPSYGFNGNLFSVDQVERIEESIRVRREAESVELVRAVRKLSKELSREQKRGLNLPQNVKQKLANEILQRLQGDNVDTNVTKQRVDLWRIEKLEEVRRVTTSKSNIDSSISYQEAKMLKRVLESNWLMLLEGIGLWIPADVIHTEHNDKPKDEQDLEEIIPGRPLPPECHAELHTDYDGAAVRWGLTHHKDSAADCCQACLDQAKHPKPGEKKCNIWVYCPSEFGCYSPDIYEHKHQECWLKQAEKPRLNFKDKYSKSYRKKHPNAPIVVPWMSGVVGA >Ma02_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29387337:29390593:-1 gene:Ma02_g25020 transcript:Ma02_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCAKPHLIISLFVGCLLLASPARPAVSPSAAVNSTTLPVKHSRISAHLKRVNKPAVKTIESSDGDLIDCVPSHLQPAFDHPKLRDHKPSDPPERPKGYSLSSTVDASFQAWTISGESCPEGTVPIRRTTREDILRASSVQRFGRKPAARRLRRDSTSTGHEHAVGYIIGDQYYGAKASLSVWAPRVTSASEFSLSQIWVISGSFGNDLNTIEAGWQVSPQLYGDNRPRFFTYWTSDAYQETGCYNLLCSGFVQTNNKIAIGAAISPTSALNGGQFDIDLLVWKDPKHGHWWLELGSGLLVGYWPSFLFSHLAEHANMVQFGGEIVNTQTSGFHTSTQMGSGHFAGEGFRKASYFRNLQLVDWDNSLIPLSNLRLLADHPNCYSIQGGVNGVWGNYFYYGGPGRNVRCP >Ma10_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15151533:15153869:1 gene:Ma10_g04480 transcript:Ma10_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15130 [Source:Projected from Arabidopsis thaliana (AT3G15130) UniProtKB/Swiss-Prot;Acc:P0C898] MMNLLRITNLLGVCSKGSTLKGGIQLHGAAIKMGFVSDLVLNNYLVDMYGKCGRMDLGSAVFDGMSKRNVVSWTALMVGFLQQGDAEECLRLFSDMGFFGVRPNEFTLSTSLKACGLRGIAEIGIQIHGVCVKAGFEQHPVVANSTIFMYSKGGKITDAKQIFDRFPLKNLVTWNTMIAGYSHGIHGSNAFLLFREMQEQGVTPDEYTFASLLKACTCLGLLQEGTQIHASLITSGISNSNNAILSGALIDLYAKCRNLHEARKVFDRTLQKNVILWTTLIVGYAQDGLVKEAMNLFHQFWSSGMRIDGHILSSIIGVFADFALIEQGRQVHSYTVKHPSGSDVSVANSLIDMYLKCGLTEEAERHFREMETKNVVSWTAMINGYGKHGHGHVAIHLFEEMQVKGVEPDEVTYLALLSACSHAGLVEECCDYFSRLMSECHIKPNVEHHACMVDLLGRAGQLQEAKILIESMPVEASVGIWQTLLSACRVHRNLEMGNEVGEILLQMDSGNPVNYVMISNIFAEAGDWKKCERLRKMMKRKGLKKQGGCSWVEIDKEVHFFYGGDDSHPLSNEIHVVLRKVERQMKEELGYTCRVSLALHDVEDETKEESLRVHSERLAIGLWLVHKGLEKTDEVIRVYKNLRVCGDCHEFIKGLSRVTGRALVVRDANRFHRFEDGVCSCGDYW >Ma04_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3681141:3686128:1 gene:Ma04_g04830 transcript:Ma04_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAIPRRTHKERAQPQQRKKFGLLEKHKDYVLRARAFHQKEDTLRRLREKAASRNPDEFYFKMVNTRMVDGIHRPKSEANKYTPEELMLMKTQDIGYVLQKVQSEKKKIERLNSALHTLDHQPENKHVYYAEDREEVKEIQSRQLEKKDSLASVKVPGRIKKKTAASYRELEARQKRVQNLEKLYSDMALQKELQKSGRKRRLREDEIVQPTSRPVYKWRTERKR >Ma04_p04830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3681137:3686128:1 gene:Ma04_g04830 transcript:Ma04_t04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAIPRRTHKERAQPQQRKKFGLLEKHKDYVLRARAFHQKEDTLRRLREKAASRNPDEFYFKMVNTRMVDGIHRPKSEANKYTPEELMLMKTQDIGYVLQKVQSEKKKIERLNSALHTLDHQPENKHVYYAEDREEVKEIQSRQLEKKDSLASVKVPGRIKKKTAASYRELEARQKRVQNLEKLYSDMALQKELQKSGRKRRLREDEIVQPTSRPVYKWRTERKR >Ma06_p02430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1882754:1887506:-1 gene:Ma06_g02430 transcript:Ma06_t02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTKVSAFFPVPSSSSVVSAKASLKAVTDSPENLDVRGIVAKPILSSGTMEVKAQAQALPKVNGTKFGLKIDAQKIEEDAPSASRTFYNQLPDWSFLFAAITTIFLAAEKQFTLIDWKPRRPDMLGDAFDLGKIMQDGLVFRQNFSVRSYEIGADGTASIETLMNHLQETALNHVRSAGLLGDGFGSTPQMSKRNLIWVVTKMQVLVEQYPSWEDVVEVDTWVAPSGKHGMRRDWHVHDYQTGQTVLRATSVWVMMNKQTRRLSKLPEEVREEIGSYFVDHDPVINEDSRKLPKLEDNTADYVRRGLTPRWGDLDINQHVNNVKYIGWILESVPTSILESHELAGMTLEYRRECGRDSMLQSLSAVSDKSPDGSPDASIECQHLLRMECGAEIVRCRTDWRPKCVQGL >Ma06_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1882754:1886606:-1 gene:Ma06_g02430 transcript:Ma06_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTKVSAFFPVPSSSSVVSAKASLKAVTDSPENLDVRGIVAKPILSSGTMEVKAQAQALPKVNGTKFGLKIDAQKIEEDAPSASRTFYNQLPDWSFLFAAITTIFLAAEKQFTLIDWKPRRPDMLGDAFDLGKIMQDGLVFRQNFSVRSYEIGADGTASIETLMNHLQETALNHVRSAGLLGDGFGSTPQMSKRNLIWVVTKMQVLVEQYPSWEDVVEVDTWVAPSGKHGMRRDWHVHDYQTGQTVLRATSVWVMMNKQTRRLSKLPEEVREEIGSYFVDHDPVINEDSRKLPKLEDNTADYVRRGLTPRWGDLDINQHVNNVKYIGWILESVPTSILESHELAGMTLEYRRECGRDSMLQSLSAVSDKSPDGSPDASIECQHLLRMECGAEIVRCRTDWRPKCVQGL >Ma08_p34040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44147418:44150422:-1 gene:Ma08_g34040 transcript:Ma08_t34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQRTKKPPKEARKSNALARLQSQKNGKKATDLTLPPRLPLSHLLIDRASTSLRNIHPPSLPHRRASPLLRWPSRMEPFEPASEPGQPRGDPETVLEGSMWKMELGSEEPPAYPERHGRPDCAYYMRTGTCGFGDGCRYNHPRDRRMVARAARTGSEQHREHTSRPACRYFVSYGSCKFGDTCKYEHPKPDGSVILASLNKYGYPLRPGEKECSYYIRTGHCKFGLTCKFNHPEPSLPLPASPSYSIVQPTLSPSHQQYPVITSWEVGRSSMLPASYMQGPYGPMLLFPGIMPDPGWSTFPVTPSPLISTGGEQNTVLEESQHDSAAQISRLHPAHTESYPMAASVSPSTNNQTENVLPERLDEPDCQDHKRTSYRKLGSRCTSHHRTENIPPETSCVFSLIGHPLHPANKLE >Ma06_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6014723:6021812:1 gene:Ma06_g08510 transcript:Ma06_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFVFPAVILCCLLVFPLTEQMQTTHTQLLLQLRKQLEFPKLLDAWNNTDNLCYSPSSPNLSISCDGTSVTELKIVGDKLAKPGKYDGYSIPDMTLSSGFVVDSFVTTLARLTTLRVVILVSLGIWGPLPDKIHRMYSLEVLDLSSNFLYGTVPPKISVMTKLQTFSLDGNYFNDTVPDWFESLTNLSVLSLQNNSLKGLMPASIGRVRTLTELALSGNHISGKIPDLSRLNSLEMLDLRDNMLDSELPVMPKGLVTILLSKNSLAGEIPQQFGELDRLQHLDLSFNLLEGTPPAALFALPNISYLNLASNMLSGSLPSSLACSSQLGFVDISTNRLSGELPSCLSSNLNKRVVKFNWNCLSSDPQHQHVSKFCQVNHMDEKDSKRKNVPLLVAVIGGILLIMLLLLLVLFVSCRRNCRRAIAEQRLLPKSAPDNSPTGISSELLANARYISQTRKLGTQVLPMYRAFSLEELKEATNNFEQSAYIGEGSTGKLYKGRIENGTFVAIRCLALFKRYSIRNLKLRLDLLSKLRHPHLVCLLGHCIDTAQDDSNVNRVFLIYEYVANGNLRTHLSECRLERALKWPDRLAILIGIAKAVHFLHTGTIPGFYNNQLKTSNILLDEHLTAKVSDYGLSIITEEIYKHEARAEGHKQSRSLSLEMVNLEDDVYSFGLVLLEALMGPALSEQGADHCTKELAMLSTGQAEQRRVIDPAVLASSSQESLSTAISITSKCLSQEPSHPSIEDVLWNLQYAAQVQSMADGDQKSDILSQA >Ma04_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3476429:3480676:1 gene:Ma04_g04550 transcript:Ma04_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSSQPDLSLQISPPDMTPASGWRKPDEDMDLGFLRSNSITTALMEKAESNTSEVSLAARSTAVSTTTSNTTLLLHPLPHHHHHHLHFHHHHHHHPLLHQGYHQDLSLLRPIRGIPVYQNPPTSPLMPPYQQQQLLCDSSPSTEFNHFATTQGLSRSRYLPSRFPIKRSMRGPRMRWTSALHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRSVKTTDRPTNPSAVQSDGFENRSFGEVSDDNLVEVHNLQNSESSTHNGRPDAHHGINQWGHSSSWGSFSDDLVSDSTTNGSMESFKEMPSERFEMYRDLNSPCLSGTTSQSRLNLEITLGRPH >Ma09_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29291243:29292997:-1 gene:Ma09_g20400 transcript:Ma09_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDPTLRDKLLSGHSKHLSSFFPRCFSLRRLRQLHAQLFLYGLHHSTVFGSRLAHAYFELDAPRHAQQAFDQIHMKTPHSWNTMLSGYFSTHNLADLLRLYKISRARNHRPDTFSLAFGLRACVGLFLFDFGRSIHSEAIRSNLDLAEYVVVPLINMYVELGTMEDAEKVLRRVPPGIPTVWGLMMKSYVMASLDVKIFDLFHQMKELGHELDQLSAVYLVRACGNIQAAKAGCMLHAICLKKNFLEACIHLQTSLVDMYGKSGMVDSAKKMFDEMPCKDVVSWSSMVASLAQCGRAWESLHLLRDMFDQSILPNAVTLASALLACSNLGALQQGKSVHAYMVRNNVELDVVSNTALLDMYAKCGSMEVAHKVFADMPERNVFSWSAMIGGLGMHGMCSRALELFEHMKSENLAPNSVTFVAVLSACSHSGRVEEGRRYFKSMTEDYKLNPKHEHYTCMVDLLGRAGLLEEAESLIKEMPIEAGPSVWGALLGACRIHKQIDKAKQVADKLFVLEPDQRGAHVLLSNIYATAEMWDMVKNTRELMSKRDLQKTVGFSTIEVDKKVYVFDAIGRTRASLSSGTS >Ma03_p31200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33496527:33498464:-1 gene:Ma03_g31200 transcript:Ma03_t31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGRQPTWKERENNKRRERRRRAIASQIFSGLRAMGNYKLPKHCDNNEVLKALCHEAGWIVEEDGTTYRKGCKPPPPPPESAIGGPSTGISPCTSSHLLSPLSSSFPSPVPSHHASPLSSSFPSPSRLDNASVNPSCLLPFLRNLTALPPLRISNSAPVTPPLSSPTASHPPKIRAPDWDQNAFGRPLFAASAPASPTRGRRHGHPATIPECDESDASTVESCRWVSMQMTAPGSPTFNLVNPAATTPDTAAGSAGGCTEFDFESGRVKPWEGERIHDVAVDDLELTLGVGNTASK >Ma02_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5437328:5440767:-1 gene:Ma02_g00500 transcript:Ma02_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSICIPTTPRGCQRHPTFLLLERCPKTLSAFNQLHAHFITTGLARHTYPLSRLLLLFSSLPSPLPSLPHAAALLCQPLVSSSSPSPFLPNTLVSSLADVGRPHLALSLYSRVLLLQPHSPKPNNHTFPSLLKACAAAGPSWVPVGRALHAHVVKFIGHDSVDHFVRAALLSFYSRCGDVSSGRRLFDRIPNPDLPAWNCMLSAYARCYSDVEDADSGMQTLFLFRKLQLSSTFRPNEITLVALIGACGDLGALGQGIWAHAYIEQNHLIMNQVVATALIDMYAKCGRLDLAEQLFVRLSQKDVLCYNAMIRGLATHGRGHQAVELFHRMTSEGVGVDEVTFVVVMSACAHAGLVDEGQRLFDEMQEDFGIKPQMEHYGCLVDLLGRSGQLEEAEQVIQSMPMKPNAILYRSLLTACGIHNNLEIGERTVAQLIHSEPEHGGNYVLLSNMFAKICRWDGVMQVRKVMKEKGIDKTPGSSLVEVEGSMHEFLMGDRTHPLLKEIYKMLDEMDRRLHEFGYMPRTKEVLFDVEEEDKEDALSYHSERLAIAFALLTSDPSVPIRIMKNLRVCGDCHLSTKLISGIYMREIIVRDRSRFHHFRNWTCSCSDYW >Ma10_p26780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34300701:34304173:-1 gene:Ma10_g26780 transcript:Ma10_t26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESTREENVYLAKLAEQAERYEEMVEFMEKVVKTANVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEEHVVLIKEYRGKVEAELSKICDGILKLLDSHLVPSASAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSSQDIAVAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMTEDVDEIKEAPKKESGDDQ >Ma05_p23220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35237867:35243311:1 gene:Ma05_g23220 transcript:Ma05_t23220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMVVASRRGDLNLNHAPLPDYEEHVKEGLKQAMVEHDTLFKHQVRELHRLYWTQKKMMNEACWRRSDLVSPREARVGWKLLGEKKTGDVPSRWLTVNSMEMRNTTTEFNANDRSYSLQLPANVNSSSGIKPLANNAANRSLSQISASSDFCSVAAMQSESGHRRTERFSHAEPQTVRWQEASFLYPDGLEEYGGSLSANFPTKNQWPQHKLMHIDLNIAQDSESINVFPNTAETFYSPSTSSSVVHYGDNLRVSNDKYSKESEASNESTKESTVTNQPGVISPSSENSREKSADSLPHDPKDSDTSSVQASGQSSNFMRKNWDHKGYIVENEVCRSGIRISEECSKNLVERFSSAYDEQAHGGTNGTVLADFQKPGIEKIGSSVGEPNFAVQSDDRNNVPIFRVHEEHDCLHKSSGKTNLPPKTTGDLEEKETNAEGSEDTISSHVTMPDEKQKDELMEYTIGIKLNRLTRHSECTSKKKSMEDHTVISSSKDFGTTHSCSVMPEKICHKQIPNVVDSDYICTQDRPCSSDASQPRDDMEQQLAKIEQDNIIIKAAEILLSVSSEKPLCSMDPLATDGQMELKCEEGNDQPQSSNSFETITLKLQEIRDNGSSICASQIESEPRKDGCGFRPRRGRRDFQKDILPGIISLSRHEICEDLYAIQYDLRKKSKSTCEKNWLVPVRRRRSRRHGQ >Ma05_p23220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35237867:35243311:1 gene:Ma05_g23220 transcript:Ma05_t23220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMVVASRRGDLNLNHAPLPDYEEHVKEGLKQAMVEHDTLFKHQVRELHRLYWTQKKMMNEACWRRSDLVSPREARVGWKLLGEKKTGDVPSRWLTVNSMEMRNTTTEFNANDRSYSLQLPANVNSSSGIKPLANNAANRSLSQISASSDFCSVAAMQSESGHRRTERFSHAEPQTVRWQEASFLYPDGLEEYGGSLSANFPTKNQWPQHKLMHIDLNIAQDSESINVFPNTAETFYSPSTSSSVVHYGDNLRVSNDKYSKESEASNESTKESTVTNQPGVISPSSENSREKSADSLPHDPKDSDTSSVQASGQSSNFMRKNWDHKGYIVENEVCRSGIRISEECSKNLVERFSSAYDEQAHGGTNGTVLADFQKPGIEKIGSSVGEPNFAVQSDDRNNVPIFRVHEEHDCLHKSSGKTNLPPKTTGDLEEKETNAEGSEDTISSHVTMPDEKQKDELMEYTIGIKLNRLTRHSECTSKKKSMEDHTVISSSKDFGTTHSCSVMPEKICHKQIPNVVDSDYICTQDRPCSSDASQPRDDMEQQLAKIEQDNIIIKAAEILLSVSSEKPLCSMDPLATDGQMELKCEEGNDQPQSSNSFETITLKLQEIRDNGSSICASQIESEPRKDGCGFRPRRGRRDFQKDILPGIISLSRHEICEDLYAIQYDLRKKSKSTCEKNWLVPVRRRRSRRHGQ >Ma05_p23220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35237867:35243311:1 gene:Ma05_g23220 transcript:Ma05_t23220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMVVASRRGDLNLNHAPLPDYEEHVKEGLKQAMVEHDTLFKHQVRELHRLYWTQKKMMNEACWRRSDLVSPREARVGWKLLGEKKTGDVPSRWLTVNSMEMRNTTTEFNANDRSYSLQLPANVNSSSGIKPLANNAANRSLSQISASSDFCSVAAMQSESGHRRTERFSHAEPQTVRWQEASFLYPDGLEEYGGSLSANFPTKNQWPQHKLMHIDLNIAQDSESINVFPNTAETFYSPSTSSSVVHYGDNLRVSNDKYSKESEASNESTKESTVTNQPGVISPSSENSREKSADSLPHDPKDSDTSSVQASGQSSNFMRKNWDHKGYIVENEVCRSGIRISEECSKNLVERFSSAYDEQAHGGTNGTVLADFQKPGIEKIGSSVGEPNFAVQSDDRNNVPIFRVHEEHDLEEKETNAEGSEDTISSHVTMPDEKQKDELMEYTIGIKLNRLTRHSECTSKKKSMEDHTVISSSKDFGTTHSCSVMPEKICHKQIPNVVDSDYICTQDRPCSSDASQPRDDMEQQLAKIEQDNIIIKAAEILLSVSSEKPLCSMDPLATDGQMELKCEEGNDQPQSSNSFETITLKLQEIRDNGSSICASQIESEPRKDGCGFRPRRGRRDFQKDILPGIISLSRHEICEDLYAIQYDLRKKSKSTCEKNWLVPVRRRRSRRHGQ >Ma05_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35237867:35243311:1 gene:Ma05_g23220 transcript:Ma05_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMVVASRRGDLNLNHAPLPDYEEHVKEGLKQAMVEHDTLFKHQVRELHRLYWTQKKMMNEACWRRSDLVSPREARVGWKLLGEKKTGDVPSRWLTVNSMEMRNTTTEFNANDRSYSLQLPANVNSSSGIKPLANNAANRSLSQISASSDFCSVAAMQSESGHRRTERFSHAEPQTVRWQEASFLYPDGLEEYGGSLSANFPTKNQWPQHKLMHIDLNIAQDSESINVFPNTAETFYSPSTSSSVVHYGDNLRVSNDKYSKESEASNESTKESTVTNQPGVISPSSENSREKSADSLPHDPKDSDTSSVQASGQSSNFMRKNWDHKGYIVENEVCRSGIRISEECSKNLVERFSSAYDEQAHGGTNGTVLADFQKPGIEKIGSSVGEPNFAVQSDDRNNVPIFRVHEEHDCLHKSSGKTNLPPKTTGDLEEKETNAEGSEDTISSHVTMPDEKQKDELMEYTIGIKLNRLTRHSECTSKKKSMEDHTVISSSKDFGTTHSCSVMPEKICHKQIPNVVDSDYICTQDRPCSSDASQPRDDMEQQLAKIEQDNIIIKAAEILLSVSSEKPLCSMDPLATDGQMELKCEEGNDQPQSSNSFETITLKLQEIRDNGSSICASQIESEPRKDGCGFRPRRGRRDFQKDILPGIISLSRHEICEDLYAIQYDLRKKSKSTCEKNWLVPVRRRRSRRHGQ >Ma09_p26200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37533997:37537090:1 gene:Ma09_g26200 transcript:Ma09_t26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGARLHHIARESADVKRLAAFYQEVLGFERIETPKFGEFEVIWLRLPPSFSLHLIEKDPRSKLPEGPSAVADPSALPRGHHISFAVSNYETFVQTLKEKGIKTFEKTQPDGKTKQVFFFDPDGNGLEVGSW >Ma07_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27521937:27524048:1 gene:Ma07_g19560 transcript:Ma07_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVDAAGEPIPTSAVLMAAAKHIATGCRAVNVAFIKCEKKDPNLEKCLDKGRHVTSCVLNVLKELH >Ma08_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28299864:28302979:1 gene:Ma08_g18050 transcript:Ma08_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTNKRASGPKCPVTGKRIQGIPHLRPAQYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >Ma09_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9890241:9891748:1 gene:Ma09_g14480 transcript:Ma09_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVNTKSSSTQRTSSFPAHSTNRSVCFLAITFITLLLLLFLLQIETLKSSLSSSSFSWPFFQLHMDESPCHDATAELNRTRSILRDLVTFLPLKDVRVSENPRSGRTWFMSSMNDTFEADDDAQHLYFPSQASNGRLLCLSARDVSDGAKNSYALAWREALPRDATLLPGLTFISDTYYDHGNLWHGISAITPFVSWYQRKQCAAPDRWVLFHRGELRTRMGGWVQAVAEAAIGEVRIEDFKEYGDRPSCFEQAAVFRYEGAMKKTRKRQVYDMMRCKARSRCGVTAEAVGSKVAVRMTLLLRPGSRSFKNESAVIRIFERECEKVDGCTVKVAWSNKMTFCDQVKLMSETDVVASPHGAQLANLFLMDRNSSIMEFYPRGWRERAGAGQYVFRWMADGAGMRHKGSWWDPQGEECESTDKTPCIPLYKNRQIGHDEVYFAGWAAKVLAEAKEHKLKEASYGGRSPEVGSTPCPCS >Ma02_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7661290:7662112:-1 gene:Ma02_g00990 transcript:Ma02_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGLYSDTFDENTPPVDDPKYISSLGSAIYKGMQSGDDDAIWLMQASFMVKRDALGFKVTSNNLFGF >Ma09_p12470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8389557:8392621:-1 gene:Ma09_g12470 transcript:Ma09_t12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYASNRNPNVPWSEMFRAASLRRPPDSLPPSRPSSPPHPRRRQEAPPPPSPEAASVESSHDAARLALYIAMAHAGLALTLLLLYGLYRLLHDFVRPLQWALLCSIPLHELQRALVDFWSPPLHLGLAPAFLAVPAALVRASADTLADLRTAILRRKLRPSRKVGFSRLIRWLVSFWVFIISHEQLGPAATVALFALGLLLASPTATSAVKNASFVRGRSSTRPSERGGGGFFTKRILKHLNTIIAVSLIVWMIIGALAGGIFFSYKIGVEGKDAVISLKSHVQKSNYAERIGFKKWLDDNDIPGLVDQYSANLYDTVWQQIDSLAVEYNLTDFANGFRQFLISRSRNPTGGASTSLMASAQHPYSVKLQSLSIHFKNHDWAEIYKELDSFFRELLITREDLVVTAKGLAFRGMEISKRVLSSSTSVIGGSASLMVSIALKLASGAAEVLNFVSQLTVFLWVLYYLITSESGGVTEQVMDMLPMSKWARVRCVEVINHAISSVFLATAKIAIFQGCLTWLLFRFCSVHFVYTSTLLAFISSLVPILPLWLSTIPAAVQLFMEGKFVWAVVVTAIHLMMMDYGTTVLQEDIPGHNAYLTGLSIIGGMTLFPNALEGAIMGPLIMTVVIALKNLYVEFVLADKEESGRESVVTDKENSSS >Ma09_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8387918:8392621:-1 gene:Ma09_g12470 transcript:Ma09_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYASNRNPNVPWSEMFRAASLRRPPDSLPPSRPSSPPHPRRRQEAPPPPSPEAASVESSHDAARLALYIAMAHAGLALTLLLLYGLYRLLHDFVRPLQWALLCSIPLHELQRALVDFWSPPLHLGLAPAFLAVPAALVRASADTLADLRTAILRRKLRPSRKVGFSRLIRWLVSFWVFIISHEQLGPAATVALFALGLLLASPTATSAVKNASFVRGRSSTRPSERGGGGFFTKRILKHLNTIIAVSLIVWMIIGALAGGIFFSYKIGVEGKDAVISLKSHVQKSNYAERIGFKKWLDDNDIPGLVDQYSANLYDTVWQQIDSLAVEYNLTDFANGFRQFLISRSRNPTGGASTSLMASAQHPYSVKLQSLSIHFKNHDWAEIYKELDSFFRELLITREDLVVTAKGLAFRGMEISKRVLSSSTSVIGGSASLMVSIALKLASGAAEVLNFVSQLTVFLWVLYYLITSESGGVTEQVMDMLPMSKWARVRCVEVINHAISSVFLATAKIAIFQGCLTWLLFRFCSVHFVYTSTLLAFISSLVPILPLWLSTIPAAVQLFMEGKFVWAVVVTAIHLMMMDYGTTVLQEDIPGHNAYLTGLSIIGGMTLFPNALEGAIMGPLIMTVVIALKNLYVEFVLADKEESGRESVVTDKENSSS >Ma07_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7482101:7483329:1 gene:Ma07_g10000 transcript:Ma07_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHGVALATAVAVSGTVILIALCRQKPFSVSAGAGAAAADRNSTPPWQHLRSCISSSGKTGNRTKKQQQRKKRVQFAAEVAEFSCSEPPAAEEENQEQEAAEEERPRAPPGMPANRVALYNGMLQDRLMQRMACCY >Ma03_p09320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6867218:6869028:-1 gene:Ma03_g09320 transcript:Ma03_t09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQTQDGKSSLNSGSNPDHAVVEPVRSRWTPKPEQVLILESIFNSGMVNPPKEETVRIRKLLEKFGAVADANVFYWFQNRRSRSRRRQRQLQAGLAADPGAAAAASCHFGTAVLQEPASSCSFVTSPSSSTGGFFPSSSYASSCSSSSYASFVGGDSVDDLFSISRHMGIAKSTQNPFECCSSDAPQLQYQPGDCFSSSKRGVCLLKSTRFMDAGTIVVFINGVLSEVPRGAFDLRAMFGHNVMLVHSSGELLPVNEYGFLMQSLQMGESYFLVSSPT >Ma03_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6867218:6869028:-1 gene:Ma03_g09320 transcript:Ma03_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQTQDGKSSLNSGSNPDHAVVEPVRSRWTPKPEQVLILESIFNSGMVNPPKEETVRIRKLLEKFGAVADANVFYWFQNRRSRSRRRQRQLQAGLAADPGAAAAASCHFGTAVLQEPASSCSFVTSPSSSTGGFFPSSSYASSCSSSSYASFVGGDSVDDLFSISRHMGIAKSTQNPFECCSSDAPQLQYQPGTIVVFINGVLSEVPRGAFDLRAMFGHNVMLVHSSGELLPVNEYGFLMQSLQMGESYFLVSSPT >Ma02_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26530402:26531532:-1 gene:Ma02_g20620 transcript:Ma02_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPNCSLYHVLFFLLPSSCFFLSGALAESYGWRNAHATFYGGGDASGTMGGACGYGNLYGQGYGTNTAALSTALFENGLSCGACYEMRCADDPRWCLPGSIVVTATNFCPPNYALPNDNGGWCNPPLQHLDLAEPAFLQIAQYRAGIVPVSFRRVPCVRKGGIRFTINGRSYFNLVLITNVGGAGDVHAVSIKGSKTGWQSMSRNWGQNWQSNSYLDGQSLSFQVTTGDGRTVTGYNVAPAGWQFGQTFEGGQL >Ma06_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6695528:6698778:-1 gene:Ma06_g09600 transcript:Ma06_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVSKRQAPAVAAEKERPPPPHDRPRIPSAPPSDASGWPAWLVAVAGDAIRGWTPRRADCFQKLAKIGSGTYSNVYKARDVETGRVVALKKVRFDAVEPESVRFMAREITVLRRLDHPNVIRLEGLAISRVSSALYLIFEYMEHDLAGLAAAPGVHFTEPQVKCYMKQLLSGLEHCHSRGVLHRDIKGSNLLLDNEGTLKIADFGLASTFDPDRRVPMTSRVVTLWYRAPELLLGATYYGVGVDLWSAGCILAELLLGKPILPGRTEVEQLHKIFKLCGSPSEKYWKKSKLPHATIVKPKQNYKRCIGETFKDFPPSSLSLIDSLLSIEPADRGTATAALNSEFFATEPYACEPSSLPQYPPSKEMDAKLRDEKARRPSAAGRKGNAEATKPRAHNRRRRAVPAPEANAELQVNLERMRLMTRINVTSKSEKFPPPHQDGAVGIPLNDSYKGPSSFTAFDASFASSIFESMEDSRNTLNGLPDAIVNAGKSAARRTSKEGTQKLATSLALRRLVELNRSSKVHRTRGKELEVFGSVK >Ma01_p13460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9830748:9842688:-1 gene:Ma01_g13460 transcript:Ma01_t13460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVDEGREASVVTDGVLYEIRRHATGDFPSDSSLPPVGDSSSGGLLSYLSLRGVNQLKERWYGYSRPRANKKRVSLFVSPRGEHVAVAAENRITILHKDDDYMEPCGVFTCNDMQAVFNSGAWVEPLGVLVIIDDMSTLYFIRANGMEITRRTRVQLKLSSPIIDLFVQEDLNSKNTSLCGISIITADGLMHYIQITEEPNICVHQLPTLRGRLHCGQLPHITCMDFHPDLSLAAVVCDSCVSVDSKDRTGEYSLFVSRVKANSEIELLVSGDKLEGSFASPKGCLNHCSHPKVAISPQGKYVATLDFMGCVDVFKLDLELHSLSLLSFPAKQKSEKADSLAFEKKKCFFDVVDVSWWADNILILSNMNASITMYDTLNCVKVSENDPIFCMPLIERVKHHQGFVFILENASSGSMSVNSQQIEDVTSGNYIERDAARSSWTLMSFSERSVSEMYTILLKSQKYQDALEFASHHRLDTDEVFKAQWLDSFQGIPEINLYLSKIKDMVFVLSECVNRVGTTEDGVQALLSHGLRISDRYEFSDSDVSDCSSFWNIRMFRLQLLQFRDRLETFMGINMGRFLAQEYFKFRSMPLTEAAVALAERSKIGALNLLFKRHPYSVSPRILDILSSIPETVPVESYCQLLPGMSPPRTIALRDADWVECEKMLSFLDTLPSKSEKSNQIFTENLLKICTGYVWPSASELSSWYKNRAKDIDNLSGQLDNCFSLVEIGCRNGILELQQFLEDISYLRQIIYSDGFDEVFTMSLVTWEQLSDYDKFKMMLKGVKEDIIVKKLREKAIPFMRNRCKLEAFDFADETKAGDKESFLIRWLKEIAAENRLDLCLAVIDKGCGDSPIDGLFKDEVEIIETALHCIYSCTLTDQWNVMASILSELPRNILRDNLFATDEDFSPRHANQYFETSKVSYVKYGLGGSTSDDSRGSDGKSDIDATAAKVEKRIKIAEGHVEVGRLMAYYQVPKPISFFLSAQSDEKNVKQLLRLILSKFSRRQPTRSDNDWASMWRDLLSFQEKAFPFLDLEYLLIEFIRGLLKAGKFSLARNYLKGTASVSLAPGKAENLVIQAAREYFFSASSLSCSEIWKAKECLSLLPSSEAVKAEADMIDALTIRLPNLGVTLLPMQFRQIRNPMEIINMVITCQTGAYLNVEELIEIAKLLGLSSPDDIAAVEEAVAREAAVAGDLQLAFDLCLVLAKKGHGSIWDLCAAIARGPHLDNMDLSSRKQLLGFALSHCDDESIGELLNAWKEVDTHVQSENLITLTGTNPPRFVSKGSSVSPLSVYCTPDIFDLPNGSKPMQHVLYPDGGNDDDQVQYNQIKDVLSRVGVDLLTDDAICWDTILRENKRVLSFAASELPWLLDLSEREEYGKLSTLGARHQVSTRMRALLSILCWMAGNNIAPADDTIKSLANYIMEPPITEEDDVLGCSFLLNLVDAFHGVEIIEEQLKRRDKYQEIYSIMNIGMAYCSLYNAQEKCSSAEQRRELLLQMFHDKQASFCSDAMVQIDKVTSTFWREWKIKLEEQKRLADHVRDLERIMPGIEAARFLSRDMEYIKGVIFSFIDSVKLEKKHILKEAVKLADTYGLDRIEVILRFFGCALISEHWGNNDILAEISEFRNDIVKCANGVIDMIHSVVYPEIDGRNKERLSYMYSILSACYLRLKKVEDPMLMTYQEQGHMHILEPFQFYKVLEQECQRVSFIENLNFKNIAGLDDLNFEHFNEEICNNIHEPTVEALAELVQALVGIYDNSQAKGLISMEGVYKHHVLGILASLEGRNEARSDSIKAHELQALLMGIELNYDKCKKYVRALSEADISYIVGRFCTLCFPSNFSRSLPEELAWKDCLIVLLTLWIKLVDDIPEKLTSKFSEEKRVCTGTNNLLRCLEVFKRILIDDEISANQGWNAISNYVVHGLMDGSISHVSSFLIAMIFSGCPFKSIGEACYEELLSEFSGQNTTYKYLIELYTNLMDRALADLSMEFDRHQNLHYLLSSLSRLAGNYVEELKMIRSEVWVKLRAFSDNMQLPSQTRLYALQLMQCITGINLKSLPDEIVFEVEPWEGWDESICTKVTGTSEGAEISSSITSTLVAFKSTQLIAKILPNIEITPENLMTLDSAVSCFLHLSESVTTVEDLNVLQGVLEEWDEFFSTKMDKEEQNESPKESNNWSSDEWNDGWEELVAPEVKQQGSVSVKRLHACWMEIIKRLIGLSELHRIMELLDKSSLKSDNVLLNEEEAHCLFQLVVGMDCFMALKLLLLLPYEAPRSQCLRVLENNLKTGSISDASSAADYELLAILLSAGVVHDIANDPSFCKVFSYVCYLVGLLARLLQEDLLNSWEGNGSRPKQNQLSIFSRILLPFFISETVCGGQPLIAGFIVSRWMHTHISLGVIDVVEASLRRYLEQQILQVQTLVGHEFGFAEDSSGVLVFTYSCLRHKLGNQLQSALLALPKIDTR >Ma01_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9830748:9841842:-1 gene:Ma01_g13460 transcript:Ma01_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCGVFTCNDMQAVFNSGAWVEPLGVLVIIDDMSTLYFIRANGMEITRRTRVQLKLSSPIIDLFVQEDLNSKNTSLCGISIITADGLMHYIQITEEPNICVHQLPTLRGRLHCGQLPHITCMDFHPDLSLAAVVCDSCVSVDSKDRTGEYSLFVSRVKANSEIELLVSGDKLEGSFASPKGCLNHCSHPKVAISPQGKYVATLDFMGCVDVFKLDLELHSLSLLSFPAKQKSEKADSLAFEKKKCFFDVVDVSWWADNILILSNMNASITMYDTLNCVKVSENDPIFCMPLIERVKHHQGFVFILENASSGSMSVNSQQIEDVTSGNYIERDAARSSWTLMSFSERSVSEMYTILLKSQKYQDALEFASHHRLDTDEVFKAQWLDSFQGIPEINLYLSKIKDMVFVLSECVNRVGTTEDGVQALLSHGLRISDRYEFSDSDVSDCSSFWNIRMFRLQLLQFRDRLETFMGINMGRFLAQEYFKFRSMPLTEAAVALAERSKIGALNLLFKRHPYSVSPRILDILSSIPETVPVESYCQLLPGMSPPRTIALRDADWVECEKMLSFLDTLPSKSEKSNQIFTENLLKICTGYVWPSASELSSWYKNRAKDIDNLSGQLDNCFSLVEIGCRNGILELQQFLEDISYLRQIIYSDGFDEVFTMSLVTWEQLSDYDKFKMMLKGVKEDIIVKKLREKAIPFMRNRCKLEAFDFADETKAGDKESFLIRWLKEIAAENRLDLCLAVIDKGCGDSPIDGLFKDEVEIIETALHCIYSCTLTDQWNVMASILSELPRNILRDNLFATDEDFSPRHANQYFETSKVSYVKYGLGGSTSDDSRGSDGKSDIDATAAKVEKRIKIAEGHVEVGRLMAYYQVPKPISFFLSAQSDEKNVKQLLRLILSKFSRRQPTRSDNDWASMWRDLLSFQEKAFPFLDLEYLLIEFIRGLLKAGKFSLARNYLKGTASVSLAPGKAENLVIQAAREYFFSASSLSCSEIWKAKECLSLLPSSEAVKAEADMIDALTIRLPNLGVTLLPMQFRQIRNPMEIINMVITCQTGAYLNVEELIEIAKLLGLSSPDDIAAVEEAVAREAAVAGDLQLAFDLCLVLAKKGHGSIWDLCAAIARGPHLDNMDLSSRKQLLGFALSHCDDESIGELLNAWKEVDTHVQSENLITLTGTNPPRFVSKGSSVSPLSVYCTPDIFDLPNGSKPMQHVLYPDGGNDDDQVQYNQIKDVLSRVGVDLLTDDAICWDTILRENKRVLSFAASELPWLLDLSEREEYGKLSTLGARHQVSTRMRALLSILCWMAGNNIAPADDTIKSLANYIMEPPITEEDDVLGCSFLLNLVDAFHGVEIIEEQLKRRDKYQEIYSIMNIGMAYCSLYNAQEKCSSAEQRRELLLQMFHDKQASFCSDAMVQIDKVTSTFWREWKIKLEEQKRLADHVRDLERIMPGIEAARFLSRDMEYIKGVIFSFIDSVKLEKKHILKEAVKLADTYGLDRIEVILRFFGCALISEHWGNNDILAEISEFRNDIVKCANGVIDMIHSVVYPEIDGRNKERLSYMYSILSACYLRLKKVEDPMLMTYQEQGHMHILEPFQFYKVLEQECQRVSFIENLNFKNIAGLDDLNFEHFNEEICNNIHEPTVEALAELVQALVGIYDNSQAKGLISMEGVYKHHVLGILASLEGRNEARSDSIKAHELQALLMGIELNYDKCKKYVRALSEADISYIVGRFCTLCFPSNFSRSLPEELAWKDCLIVLLTLWIKLVDDIPEKLTSKFSEEKRVCTGTNNLLRCLEVFKRILIDDEISANQGWNAISNYVVHGLMDGSISHVSSFLIAMIFSGCPFKSIGEACYEELLSEFSGQNTTYKYLIELYTNLMDRALADLSMEFDRHQNLHYLLSSLSRLAGNYVEELKMIRSEVWVKLRAFSDNMQLPSQTRLYALQLMQCITGINLKSLPDEIVFEVEPWEGWDESICTKVTGTSEGAEISSSITSTLVAFKSTQLIAKILPNIEITPENLMTLDSAVSCFLHLSESVTTVEDLNVLQGVLEEWDEFFSTKMDKEEQNESPKESNNWSSDEWNDGWEELVAPEVKQQGSVSVKRLHACWMEIIKRLIGLSELHRIMELLDKSSLKSDNVLLNEEEAHCLFQLVVGMDCFMALKLLLLLPYEAPRSQCLRVLENNLKTGSISDASSAADYELLAILLSAGVVHDIANDPSFCKVFSYVCYLVGLLARLLQEDLLNSWEGNGSRPKQNQLSIFSRILLPFFISETVCGGQPLIAGFIVSRWMHTHISLGVIDVVEASLRRYLEQQILQVQTLVGHEFGFAEDSSGVLVFTYSCLRHKLGNQLQSALLALPKIDTR >Ma01_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2628752:2629273:1 gene:Ma01_g04030 transcript:Ma01_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDEQRSQRIVLKVLFNSERTRRCSKRLCARFATTVSTKPAHFLFKMLIF >Ma04_p39190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36377777:36385863:1 gene:Ma04_g39190 transcript:Ma04_t39190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSMWLQSVANTIEMATKVQSKNYLPGYHSMQDIKEDAPSSWSSYYKDKKFSDHLYNTYVSRLTNGHLEDDKEMIKRMMLEHEAIFRKQVYELHRLYRIQKDLMTEIQTRGFYGSSIPAEASLSSSLSSQMCSECTQKIPQMSHLTVGNTSHGKTHFSGNGRSHFSVTREGSTQSDQIPLDNGVLLKDSKAPDHKPQKKRTFDLQLPADVYIDVEDTDGAGQMSTVESSHSASIFKNRNCFLYENDVKLTLGSARTEEHQIPNSPTQVGISACSTVDLNKPTTEICCESPENSASVQLFGLKSRSERNQGNHPSTKSKTSFVERHGKQQTTSDLLYTDGHTKREWPVFNHEPEKNRSTLDYFAQVPTSSETLQVNTKKRHNFFTFDHNNPETQSRQEPTHNIHTCPRVPHFACSNPSLMSPSMPSTITTPQADLISCASSFVSSWRKPVTSINNTPVAVRALPCFSGSSNFSNESLSSKIDVTSQKRQSRRNLATSLGSGVRVPHKNGFHHGLHLDCNSAPHTEVAFCKPYQIDDSHRDLHDHLPRDYVKCYQSRDLKVPINLNLNQAFPSGTEDRLTLRQETVIYVDDKMPGDPSCLRNKASSNESVNLKKHDYREDCQLTSSSNVASEIQKKEELEFSVCNLQEVASTSQFQYHGMQGNFVSGNNGKRLLGFPIHDTIQQSGISVSIQQMEKHFTDNTKILKNDIIDLTCDAKAVNSQEKFHNSDSVTEMCGRNRGANLRKHINLNVEFSCTDDPIFLEVSPQDELEVQSSNSIPTLAAKIDIDFEAPISQVEMATVSPHKYIPSSKKDVLKEKVSSSGILDRLAAENLVAMSLECNGHPDEINSHLPSLPRFDTLCWFADVSCNAEKREHLGDEGDDGTQFFDDDGLDLFEAMTLKLQETKMDQYRYRSEDLESKDGKEDKKDSGAASLLFTRARRGQARKRRQRRDFQKDILPGLASLSRHEITEDLQTIGGMMKLSGMHWQTGLTRRNMGRNGANSQTKGRRQLESPATATATEEVRISLHPPSQPSNSEIGVDGRSMIGWGRTTRRCRRQRCLPGDLSAPLT >Ma04_p39190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36377777:36385863:1 gene:Ma04_g39190 transcript:Ma04_t39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSMWLQSVANTIEMATKVQSKNYLPGYHSMQDIKEDAPSSWSSYYKDKKFSDHLYNTYVSRLTNGHLEDDKEMIKRMMLEHEAIFRKQVYELHRLYRIQKDLMTEIQTRGFYGSSIPAEASLSSSLSSQMCSECTQKIPQMSHLTVGNTSHGKTHFSGNGRSHFSVTREGSTQSDQIPLDNGVLLKDSKAPDHKPQKKRTFDLQLPADVYIDVEDTDGAGQMSTVESSHSASIFKNRNCFLYENDVKLTLGSARTEEHQIPNSPTQVGISACSTVDLNKPTTEICCESPENSASVQLFGLKSRSERNQGNHPSTKSKTSFVERHGKQQTTSDLLYTDGHTKREWPVFNHEPEKNRSTLDYFAQVPTSSETLQVNTKKRHNFFTFDHNNPETQSRQEPTHNIHTCPRVPHFACSNPSLMSPSMPSTITTPQADLISCASSFVSSWRKPVTSINNTPVAVRALPCFSGSSNFSNESLSSKIDVTSQKRQSRRNLATSLGSGVRVPHKNGFHHGLHLDCNSAPHTEVAFCKPYQIDDSHRDLHDHLPRDYVKCYQSRDLKVPINLNLNQAFPSGTEDRLTLRQETVIYVDDKMPGDPSCLRNKASSNESVNLKKHDYREDCQLTSSSNVASEIQKKEELEFSVCNLQEVASTSQFQYHGMQGNFVSGNNGKRLLGFPIHDTIQQSGISVSIQQMEKHFTDNTKILKNDIIDLTCDAKAVNSQEKFHNSDSVTEMCGRNRGANLRKHINLNVEFSCTDDPIFLEVSPQDELEVQSSNSIPTLAAKIDIDFEAPISQVEMATVSPHKYIPSSKKDVLKEKVSSSGILDRLAAENLVAMSLECNGHPDEINSHLPSLPRFDTLCWFADVSCNAEKREHLGDEGDDGTQFFDDDGLDLFEAMTLKLQETKMDQYRYRSEDLESKDGKEDKKDSGAASLLFTRARRGQARKRRQRRDFQKDILPGLASLSRHEITEDLQTIGGMMKLSGMHWQTGLTRRNMGRNGANSQTKGRRQLESPATATATEEVRISLHPPSQPSNSEIGVDGRSMIGWGRTTRRCRRQRCLPGDLSAPLT >Ma02_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19988048:19990984:1 gene:Ma02_g10400 transcript:Ma02_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGDLLSSPDKVDDGVYPMYFGVACSFLALRLMSRTRELRLEHVRWSIVGEMMLKGSAQLLGLLVERAQRKGEALEAKLREAGSEVAELKRRRTEDAKANEKVVSIFAAREHSWIAERRSLRHQIQAQLGEMCVLRSSNEEAVSNLKKRLEEEEERLVVTMKESLEEEAKRRKDLEMKLKLAEQAAEELSEGLKKEAQAHSEELWKHKATLMELLSNQCRMESEMGHALGQVEVAKEKLKGAIQQKEEADAMVEKLSGDVAKIQKDREQKDKILSAMLRKSKLDTAERQMLLREVKALRAKQKQAEVEMERWRKTWESKHKRSSRALRSVEAGCSQERRARLQLESRLHNPKNLLMEYLEAESRRDHSSSTCKSLDLYSTDRNDETEILEDEFQQLQDWVRTGTEKYAAILEQRHHAEMEAFTEQMRQTDEKLEALQRQMLSKELETKMLQSHIEGVDGNLSHLREENIRLEAKLLDKEKEAKRLKEQLSFLLQQYQKSNLHCSPSPDAGQQKIKERKSREKEKDSKAHKSDAKTPEKNSLMQLESSKDSGINTSTNSTSSPSQTSHVQEHDRHRTGKLSAEQPERVKENPLQDQTEIKEVKDVGVDPGNANEANSSQGDAAISDELSSTKPSIAKEESSWKTDIHALGVSYNIRRLKQQLLVLEKLAGLLASKQLTAMDALTVGSNDRKIDENKQKMKGFSLTKSLLNKQVKRYQSLEEKTDDLCRRMHDTYRSGSRRDSQGSRTREQTETLKCYLEETFELQKYIVATGQRFMEIQSKINSSFSGSDAHDKSIGFNLRQFADIIRTIFRQVQKGLEVRVARIIGDLEGKLTCDSILRR >Ma06_p37360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36428093:36429338:1 gene:Ma06_g37360 transcript:Ma06_t37360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGQSNSKAGSSAAAREQERLLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFREMEGEKAGGATSSQSQHKDGSGGGSGGNGGGGSIYGSGMMIRGQQMYGSGTPSALQPYQHRG >Ma06_p37360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36428093:36429338:1 gene:Ma06_g37360 transcript:Ma06_t37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGQSNSKAGSSAAAREQERLLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFREMEGEKAGGATSSQSQHKDGSGGGSGGNGGGGSIYGSGMMIRGQQMYGSGTPSALQPYQHRG >Ma08_p29240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40920633:40921369:1 gene:Ma08_g29240 transcript:Ma08_t29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNYGKLLVAVAFSSLPLVGDDIDIASEEKLLDLYERWQSHHGMSRSVDEKRIRFDVFKENANFVFASNKKAKPYKLSLNKFGDTAREEFKRTYAGTRIRRRSTLRGRGNSLLVEEILLELKEDLLTNDQTWCLAQPADMRRTSTDGTAQTLFPHFLGLMGQGRDEVHAPILQLTEVQELLLRRDVIPSQGQAGECNRNEKFAKI >Ma02_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25173388:25175119:1 gene:Ma02_g18770 transcript:Ma02_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLASSLFLCVASQLAAAFTDGLLPNGNFELGPKPKEMKGTQVIGRNAIPQWQITGFVEYIQWGQKQGDMLLVVPEGSYAVRLGNDASIKQKVKLSKGMRYSLTFSAARTCAQEERLNVSASPESGVLPMQTMYSSNGWDSYAWAWLAKSDEVEVVIHNPSVSEDPACGPLIDSVAIKQLIPPRRTNTNVLKNGGFEEGPYILPNTTWGVVIPPHIEDDHSPLPGWMVESLKAVRYLDADDFSVPRGRRAVELLAGKESAIAQVVRTVPGRRYALAFAVGDAGNACEGSLQVEAFAGEHTMMVPYESKGKGGWKRAVLRFTATAARTRVVFWSSNYNTRSDDLSSLCGPVLDDVSLVSVRYRKLA >Ma02_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27893942:27896937:1 gene:Ma02_g22600 transcript:Ma02_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFETSHVLGALLAASPLLAQSWSHCTHANASNSSFVVEHHDDTVYVAFSGTQTSALSSSVAGGLGGELFGPVPISTGGGQELFAPLVGGKGDDAQPVLVQAAALHLFLSLYNSSEFQLLVSETRSKSVVLTGHSMGGCVASLVALHFLCSSSGSSSPSPASLLCITFGSPLLGDDALSRAVLRERWSGRFCHVVAQHDIMPRLLLCPVNSMPPRLVTSVYDLMQSWHFAVRYPGFSRPSFRLSDDQRTELHRFIGMHVAAAEQQQISPYRPFGNHALCSAEGAACIDDPFMVVKLLHLTFMVDPGSSSIEEQHISYGDLVAEISQNVLSKKRIHVEEEPTRSSGYSAGVSMALEASGIRIQDMGAVEARECLETRMWRRPNMNCASLAIKLAKVTPCRAQIEWYKTLCDDDMGYYDCFKHRKAAKRDAKVNMNRIKLGHFWDGLLAKLQDNELPHDFHKRSKWVNAAQFYKLLVEPLDIAEYYRCKLHETRGHYLPHGRERRYEVFDRWWNEGKEEVREAATWKKRRSKFAGLTQDSCFWAKVEEARECVKRARAEKNPAKLVKLWESINGFESFANRLMERKEVSVDVMAPRSSYSLWVEEVKELKLKQACSVSSSSGLVGVSGGGHVESDELKELHY >Ma03_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7928592:7929124:1 gene:Ma03_g10520 transcript:Ma03_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKTSLLTNPSPAERSCKVVISAAFRVPQSAVYCPTIMICYAVSGREGEEPAGGPRNPWGSRMRYVDGSYDFFSTFDDIVSLCFQCRDI >Ma02_p01920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12710561:12719663:-1 gene:Ma02_g01920 transcript:Ma02_t01920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYGAAAGVVSTAAQAASKRRSKGQAAAVFVLVFFSMLVPLVFLLGLHNRFPSGYLADDRSQQESSFEIYHHVDRGEEKNPSEDTESVSPDKELYKRINDTVSSPSTSEPKDANSQAVSKDNVPNLKGATPSPSIIIGPPVENNGVAKETSSLPNRTDAKSGNHDHSIGSNVGETERSCELEFGSYCLWSKEHREVMKDSVVKRLKDQLFVARAYYPSIAKLQGQENLTRELKLNIQDHERILSEAVSDPDLPPYIERKMHKMDQVIAKAKSCSVDCNNVDRKLRQILDLTEDEAHFHMKQSAYLYQLGVQTMSKSFHCLSMRLTVEYFKSPSAEIEHSFANKIDNPSSQHYVIFSRNILALSVTINSTVMNSEESDNMVFHVLTDKQNFYSMKHWFARNSYRNAAIHVLNFDELDLNHFVGLDLEALSMSEEFRISTHTIAQPSSLQMRTKYISVFGHSHFLLSDIFKNLKKVIVLDDDVVVQKDISFLWNLDLGGKVNGATEFCGVKLGQLKSYLGTSRYDGNSCVWMSGLNIIDLDKWREHDITGMYRRFLRELNHENEASWRTATLPASLLVFHGQIYSLDDTLFRQGLGHDYGVPDETVKNAAVLHYDGNMKPWLDLGIPKYKKYWKKYLTQEERFMDECNVNP >Ma02_p01920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12710561:12719663:-1 gene:Ma02_g01920 transcript:Ma02_t01920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYGAAAGVVSTAAQAASKRRSKGQAAAVFVLVFFSMLVPLVFLLGLHNRFPSGYLADDRSQQESSFEIYHHVDRGEEKNPSEDDRPLIHNVLNNFVPTFQEDTESVSPDKELYKRINDTVSSPSTSEPKDANSQAVSKDNVPNLKGATPSPSIIIGPPVENNGVAKETSSLPNRTDAKSGNHDHSIGSNVGETERSCELEFGSYCLWSKEHREVMKDSVVKRLKDQLFVARAYYPSIAKLQGQENLTRELKLNIQDHERILSEAVSDPDLPPYIERKMHKMDQVIAKAKSCSVDCNNVDRKLRQILDLTEDEAHFHMKQSAYLYQLGVQTMSKSFHCLSMRLTVEYFKSPSAEIEHSFANKIDNPSSQHYVIFSRNILALSVTINSTVMNSEESDNMVFHVLTDKQNFYSMKHWFARNSYRNAAIHVLNFDELDLNHFVGLDLEALSMSEEFRISTHTIAQPSSLQMRTKYISVFGHSHFLLSDIFKNLKKVIVLDDDVVVQKDISFLWNLDLGGKVNGATEFCGVKLGQLKSYLGTSRYDGNSCVWMSGLNIIDLDKWREHDITGMYRRFLRELNHENEASWRTATLPASLLVFHGQIYSLDDTLFRQGLGHDYGVPDETVKNAAVLHYDGNMKPWLDLGIPKYKKYWKKYLTQEERFMDECNVNP >Ma02_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12710561:12719663:-1 gene:Ma02_g01920 transcript:Ma02_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYGAAAGVVSTAAQAASKRRSKGQAAAVFVLVFFSMLVPLVFLLGLHNRFPSGYLADDRSQQESSFEIYHHVDRGEEKNPSEDDRPLIHNVLNNFVPTFQEDTESVSPDKELYKRINDTVSSPSTSEPKDANSQAVSKDNVPNLKGPPVENNGVAKETSSLPNRTDAKSGNHDHSIGSNVGETERSCELEFGSYCLWSKEHREVMKDSVVKRLKDQLFVARAYYPSIAKLQGQENLTRELKLNIQDHERILSEAVSDPDLPPYIERKMHKMDQVIAKAKSCSVDCNNVDRKLRQILDLTEDEAHFHMKQSAYLYQLGVQTMSKSFHCLSMRLTVEYFKSPSAEIEHSFANKIDNPSSQHYVIFSRNILALSVTINSTVMNSEESDNMVFHVLTDKQNFYSMKHWFARNSYRNAAIHVLNFDELDLNHFVGLDLEALSMSEEFRISTHTIAQPSSLQMRTKYISVFGHSHFLLSDIFKNLKKVIVLDDDVVVQKDISFLWNLDLGGKVNGATEFCGVKLGQLKSYLGTSRYDGNSCVWMSGLNIIDLDKWREHDITGMYRRFLRELNHENEASWRTATLPASLLVFHGQIYSLDDTLFRQGLGHDYGVPDETVKNAAVLHYDGNMKPWLDLGIPKYKKYWKKYLTQEERFMDECNVNP >Ma08_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3314060:3318615:1 gene:Ma08_g04780 transcript:Ma08_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHSLLPPLPFARPSRSLARRWPIHSAPVLLQWRANSQTGISRTELVDRICRILTLQRFHAIPKLPFDFSDGILDDVLVRLRLDPDACLGFFRIALRQQYFRPNVESYCRIVHILSRARMFDDARAFLKDLVAMTSSASSKTSVSFVFDTLVKVHKEFSFSPTVFDMLLKAYAEGGLKKEALFLFDNMGKCGCKPSLRSCNSLLSTLVRGGESDTAALVYEQMVRTGTGILPDVFTVSIMVNAYCKDGNLQKASNFVMQMERKGFEVNLVTYHSLINGYCSLGQTEAALKVFDLMSQRGIVPNVISYTLLIKGYCKEGKVREAEKILENMKEMHGLSADEVAYGVLINAFCQTGKMDDAIRIRNKMLSMGLKENLFICNTMINGYCKLGRIGEAEKLINDMELGYPKPDSYSYNALLDGYCKKGLMRNAFKICDRMIMKGIRVTVLTYNTLFKGFCLAGAMDDALNLWFLMLKRGVAPNEISCSTLLDGFFKSGNFEQALKLWNDMLARGFTKNQIIFNTVINGFCKTGKIDEAEKIIQKMKDCGCLPDSITYRTLIDGYCRVGDMGKAFKVRDEMETLGFSPSIEMYNSLISGNFVANTSDRVDDLLKDVHEKGLVPNIATYGALIAGWCKEGMMDKAFDAYLEMVGKGLPPNIFICSALVSGLYRQGKIDEANVLLAKIVDIRMLPDFEASDKLLNHDAKSTYMHRITDLFTEYANENLQPNNVICNVIICGLCRSGKVLEVKQFYSNLLQRGLIPDHFTYCSLIHGYSSAGSVDEAFELRDEMLRKGLVPNIVTYNALINGLCKSGNLDRAVNLFNKLQSKGLAPNVITYNTLIDGYCKVGELTEAFKFKQKMIEAGICPNVVTYSTLINGLCCQGEMEASIKILDQMIESGVDPDYVTYSTLIHGYIKRGETQQVTKLYEEMHIRGLLPVFAFRENTSPASVTVNKMQLDCSRPLECIDTF >Ma08_p04780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3314060:3318615:1 gene:Ma08_g04780 transcript:Ma08_t04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHSLLPPLPFARPSRSLARRWPIHSAPVLLQWRANSQTGISRTELVDRICRILTLQRFHAIPKLPFDFSDGILDDVLVRLRLDPDACLGFFRIALRQQYFRPNVESYCRIVHILSRARMFDDARAFLKDLVAMTSSASSKTSVSFVFDTLVKVHKEFSFSPTVFDMLLKAYAEGGLKKEALFLFDNMGKCGCKPSLRSCNSLLSTLVRGGESDTAALVYEQMVRTGTGILPDVFTVSIMVNAYCKDGNLQKASNFVMQMERKGFEVNLVTYHSLINGYCSLGQTEAALKVFDLMSQRGIVPNVISYTLLIKGYCKEGKVREAEKILENMKEMHGLSADEVAYGVLINAFCQTGKMDDAIRIRNKMLSMGLKENLFICNTMINGYCKLGRIGEAEKLINDMELGYPKPDSYSYNALLDGYCKKGLMRNAFKICDRMIMKGIRVTVLTYNTLFKGFCLAGAMDDALNLWFLMLKRGVAPNEISCSTLLDGFFKSGNFEQALKLWNDMLARGFTKNQIIFNTVINGFCKTGKIDEAEKIIQKMKDCGCLPDSITYRTLIDGYCRVGDMGKAFKVRDEMETLGFSPSIEMYNSLISGNFVANTSDRVDDLLKDVHEKGLVPNIATYGALIAGWCKEGMMDKAFDAYLEMVGKGLPPNIFICSALVSGLYRQGKIDEANVLLAKIVDIRMLPDFEASDKLLNHDAKSTYMHRITDLFTEYANENLQPNNVICNVIICGLCRSGKVLEVKQFYSNLLQRGLIPDHFTYCSLIHGYSSAGSVDEAFELRDEMLRKGLVPNIVTYNALINGLCKSGNLDRAVNLFNKLQSKGLAPNVITYNTLIDGYCKVGELTEAFKFKQKMIEAGICPNVVTYSTLINGLCCQGEMEASIKILDQMIESGVDPDYVTYSTLIHGYIKRGETQQVTKLYEEMHIRGLLPVFAFRENTSPASVTVNKMQLDCSRPLECIDTF >Ma08_p26460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39026615:39028160:1 gene:Ma08_g26460 transcript:Ma08_t26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKVLTRSGSFHEELKRSLKGRSNGSEELFGSKNGGDRVLALPCTANSVAEPEKNSSVSSTEHTGTKQIDKEKHTVDPETEVSDVEIINTWELLAGLEEEEEEEEHQEQSESDEHKTEEYKFVVGDELKAPANFNSASDHKDEDLVEAAQRHPSKEKPHVSLELPQERSSTGSKREAMARELAPLKLPSIEFSKTGSLKDWLRRGGQLISPGSYVTPKFGDFVFPEPRHGDNRDDDSSVFDPDLVAQFEQAMNQLSMDEEFALQQIIESLQQGDEEGIPRVELSC >Ma08_p26460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39026641:39028160:1 gene:Ma08_g26460 transcript:Ma08_t26460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKVLTRSGSFHEELKRSLKGRSNGSEELFGSKNGGDRVLALPCTANSVAEPEKNSSVSSTEHTGTKQIDKEKHTVDPETEVSDVEIINTWELLAGLEEEEEEEEHQEQSESDEHKTEEYKFVVGDELKAPANFNSASDHKDEDLVEAAQRHPSKEKPHVSLELPQERSSTGSKREAMARELAPLKLPSIEFSKTGSLKDWLRRGGQLISPGSYVTPKFGDFVFPEPRHGDNRDDDSSVFDPDLVAQFEQAMNQLSMDEEFALQQIIESLQQGDEEGIPRVELSC >Ma05_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12158544:12159226:1 gene:Ma05_g15740 transcript:Ma05_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAARFLTTSAPPPEPHANVVVILAALLCAAVSAVGLALVARCCACPWRSLGGGGPRAPPDKGLKRTALRQLPKVSYGGAAGGEEPAVCPICLAEFEEGEQLRVLPQCGHGFHAGCVDAWLGSHSSCPSCRRVLVVAAPPSLFQGCREGSVEAAAPVSVSAAGRGGEGGR >Ma02_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15364057:15372356:1 gene:Ma02_g03930 transcript:Ma02_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTIGVSSLVRTPSLDISTRRVLLYGFDKPRSSSSLLKVCGAARRLFVPSAVASPNSVLSEEAFKGFRGLSKSPLEQGEEEEYGSDAYNSEEEEEGSSSAAAGQDKDELAITNLDLPQQLVSSLEKRGITHLFPIQRAVLLPALEGQDIIARAKTGTGKTLAFGIPIIKRLSEGDEGRRKSRQLGRLPRALVLAPTRELARQVEKEIKESAPYLSTVCVYGGVSYNIQKNALSRGVDVVVGTPGRIIDLINDNSLRLGEVQFLVLDEADQMLAVGFEEDVEVILEKLPSERQNMLFSATMPGWVKKLARRNLNDPLTIDLVGDQDEKLAEGIKLYAIPTTATSKRTILSDLVTVYAKGGKAIVFTQTKRDADEVSMALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKEGTAILMFTSSQRRTVKSLERDVGCRFEFISPPQMQEILESSAEQVVATLQGVHPESIEYFLPTAQRLTDEQGTQALAAALAHLSGFSRPPSSRSLINHEQGWVTLQLTREPGYSRGFFSARSVTGFLSDVVPAAADEVGKIYLIADERIQGAVFDLPEDIAKELLNKQLPPGNSISKITKLPTLQDDGPSTDNYGRFSNRERGYRGGSSRDRNQRGFRSWGARDSNSDDGFRRGGRVSRTDNSWSRSPRGSEDDWLIGGRRSSRSSSYGNRDRSFGGTCFNCGRSGHRASECPNK >Ma02_p03930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15364057:15372356:1 gene:Ma02_g03930 transcript:Ma02_t03930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTIGVSSLVRTPSLDISTRRVLLYGFDKPRSSSSLLKVCGAARRLFVPSAVASPNSVLSEEAFKGFRGLSKSPLEQGEEEEYGSDAYNSEEEEEGSSSAAAGQDKDELAITNLDLPQQLVSSLEKRGITHLFPIQRAVLLPALEGQDIIARAKTGTGKTLAFGIPIIKRLSEGDEGRRKSRQLGRLPRALVLAPTRELARQVEKEIKESAPYLSTVCVYGGVSYNIQKNALSRGVDVVVGTPGRIIDLINDNSLRLGEVQFLVLDEADQMLAVGFEEDVEVILEKLPSERQNMLFSATMPGWVKKLARRNLNDPLTIDLVGDQDEKLAEGIKLYAIPTTATSKRTILSDLVTVYAKGGKAIVFTQTKRDADEVSMALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKEGTAILMFTSSQRRTVKSLERDVGCRFEFISPPQMQEILESSAEQVVATLQGVHPESIEYFLPTAQRLTDEQGTQALAAALAHLSGFSRPPSSRSLINHEQGWVTLQLTREPGYSRGFFSARSVTGFLSDVVPAAADEVGKIYLIADERIQGAVFDLPEDIAKELLNKQLPPGNSISKITKLPTLQDDGPSTDNYGRFSNRERGYRGGSSRDRNQRGFRSWGARDSNSDDGFRRGGRVSRTDSDDGFRRGGRVSRTDNSWSRSPRGSEDDWLIGGRRSSRSSSYGNRDRSFGGTCFNCGRSGHRASECPNK >Ma07_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27092044:27096595:1 gene:Ma07_g19060 transcript:Ma07_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLIAYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAAPISTAQGEELQKQIGADAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKKQKSCSIL >Ma07_p19060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27092044:27096595:1 gene:Ma07_g19060 transcript:Ma07_t19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLIAYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAAPISTAQGEELQKQIGADAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKKQKSCSIL >Ma04_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13322184:13324450:1 gene:Ma04_g15790 transcript:Ma04_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLLRRAIIATTATTNSRSILLRSLSAASGSPSSSWLSIPGNPRMRWPSPPDLKPGPAALNFPCQSPNPPPSWASFGQEECEDDIAATATAIAHLLRTATNTYHLDSALLRCGISPSAPIIAALLRDGDLPSAALVVLSRWARLHLTPSLLVSLVDLLAKSRAFDSAWSLLLDVAPAPLSAFAALFRRYARAGMPSAAIRTFQYIRRHPEAITPEEENGEPFELVIDALCKEGHPRAAAEFVDRTRKEAAAPSIRVYNMLLHGWFRSRRLREAELTWNRMKREGVRPTVVTYGTLIEGLCRMRRPDQAVNLLEEMKAAGIEANALTCNPIVDALSEGGRFKEALGMLEKFPLYGVSPNISTFNSLVKGLCKNGDLVGASRVLKMMVGRSILPTPSTYNYFFRFFSKFGKIEEAMNLYTKMIHLGYFPDRLTYQLLIKMLCEKEKLDLAVQLIREMNRNGFDLDLDTCTMLVHLLCRTHRFEEASVEFESMIKRGIVPQYVTYQMLVKELNSLGMLELERKVSNLMNTVLHSTKLPDTYREREYDQTVEQQKSILRKAEMMSDALKSYKDSKEFSNLRSSNEIAVESASMLITDIRRRVYAMQSE >Ma06_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6443791:6472924:1 gene:Ma06_g09160 transcript:Ma06_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLAGIRLPTVRTSRLSARSGFQAEQGRRAADLAFLSRKPIKVPFLGSSSDADPAYTTVSATGKVLVPGTESDDVPSSTLPTSNTEAASSNLQSFEDKVEPDAGQTSTASELTGAESTSEGDKGTNSSQAKERVEDVEEKPRCVPSPGSGRRIYEIDPLLKGHRSHLDYRYNQYKKMREMIDQYEGSLDAFSRGYEKFGFKRSASGVTYQEWAPGAKWATLIGDFNNWNPNTNVMTQNEYGVWEVFLPNHADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKYSVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKAPKSLRIYESHVGMSSPEPKINTYASFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDELKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNQFDGTDSHYFHPGPRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYIHHGLAVGFTGNYNEYFGYATDVDAVVYLMLVNEMIHGLYPEAVTIGEDVSGMPTFCIPIRDGGIGFDYRLHMAIPDKWIEIMKLNDEDWKMGEIVSTLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGVQHLPNGKVIPGNNNSYDKCRRMFDLGDADYLRYRGMQEFDQAMQHLEDKYGFMTSDQYISRMDEGDKMIVFERGDLVFVFNFHWTNSYFDYRVGCLKPGKYKVVLDSDDKLFGGFNRIDHTAEYFSTDGSYDNRPRSFLVYAPSRTVVVYALSVD >Ma08_p03310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2440852:2442987:-1 gene:Ma08_g03310 transcript:Ma08_t03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRSSNLSLLFVCLTTVIPMASSLSFNFSGFDNETTSKIEFQGDASLLDKEVHLTSSPMQYSVGRAVYREPLRLWDATTRELADFTTHFSFVINSSDPLTPHGDGLAFFLTAYPSTLPAYSRGAFLGLFSNSSVDSSRVSTVAVEFDTFPNGWDPLADHVGIDIDSITSSAAVQWNSSLKDGRRASAWASYDAATHNLSVFLSYERNPAFSGNSSLHFIVDLRDVLPEMVAIGFSASTGNLTETHSLLSWSFNSTLQPRKQTKAGLEIGLGVGVGVLVAVSGLIWFVFSKKKASSTNLKEAEDIDCDEIIDDEFERERGPKRFPYEELASAARNFSKEGKLGEGGFGSVYKGYLKDPKLDVAIKRVSRGSKQGRKEYVSEVKIISRLRHRNLVQLVGWCHDRREFLLVYELMPNGSLDSYLYTTKKTLQWPVRHKIALGLASALLYLHEEWEQCVVHRDIKPSNVMLDSAFNAKLGDFGLARLVDHDSHSQTTVLAGTMGYLAPECVTTGKASKESDVYSFGIVALEIACGRRPVEPMEQKGKVRLVEWVWELYGWGRVLEAADGKLDGEFDEKQMACLMVVGLWCAHPDCTMRPSIRQAINVLNFETPWPELPPKMPVPMYCTAAADVASFNHTSDGTNSSQVNSRATYSSDSTTMIDM >Ma08_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2440852:2442891:-1 gene:Ma08_g03310 transcript:Ma08_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSVGRAVYREPLRLWDATTRELADFTTHFSFVINSSDPLTPHGDGLAFFLTAYPSTLPAYSRGAFLGLFSNSSVDSSRVSTVAVEFDTFPNGWDPLADHVGIDIDSITSSAAVQWNSSLKDGRRASAWASYDAATHNLSVFLSYERNPAFSGNSSLHFIVDLRDVLPEMVAIGFSASTGNLTETHSLLSWSFNSTLQPRKQTKAGLEIGLGVGVGVLVAVSGLIWFVFSKKKASSTNLKEAEDIDCDEIIDDEFERERGPKRFPYEELASAARNFSKEGKLGEGGFGSVYKGYLKDPKLDVAIKRVSRGSKQGRKEYVSEVKIISRLRHRNLVQLVGWCHDRREFLLVYELMPNGSLDSYLYTTKKTLQWPVRHKIALGLASALLYLHEEWEQCVVHRDIKPSNVMLDSAFNAKLGDFGLARLVDHDSHSQTTVLAGTMGYLAPECVTTGKASKESDVYSFGIVALEIACGRRPVEPMEQKGKVRLVEWVWELYGWGRVLEAADGKLDGEFDEKQMACLMVVGLWCAHPDCTMRPSIRQAINVLNFETPWPELPPKMPVPMYCTAAADVASFNHTSDGTNSSQVNSRATYSSDSTTMIDM >Ma08_p17380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25013409:25015186:-1 gene:Ma08_g17380 transcript:Ma08_t17380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKLVMALEAVVFSQGLFGYACKESCTTPGGGACGYDLGDVSMEAKSSMLDGDMDRTRYPSCSDLVQDLEGWHANYSTAEGQEEAPAEGKATEVVSRRKRPRTKGIKNKEEVESQRMVHIAVERNRRKQMNEYLAVLRSLMPPSYVPRGDQASIVGGAINYVKELEQLLQSIQVQKQLEQRADTDGFASPFADFFNTPQYSSCSFNGGTISRNQNGVANVDKDYSGNEVMVVKRSAMADIEVTIVESHVNLKVLSRRHPKQLSKLLTWLQNLRLTPLHLNVTTANERVLYSFSLKVEDDCSYASVTQIAAAVCEMIGRIREENIGLS >Ma08_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25013821:25015186:-1 gene:Ma08_g17380 transcript:Ma08_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKLVMALEAVVFSQGLFGYACKESCTTPGGGACGYDLGDVSMEAKSSMLDGDMDRTRYPSCSDLVQDLEGWHANYSTAEGQEEAPAEGKATEVVSRRKRPRTKGIKNKEEVESQRMVHIAVERNRRKQMNEYLAVLRSLMPPSYVPRGDQASIVGGAINYVKELEQLLQSIQVQKQLEQRADTDGNQNGVANVDKDYSGNEVMVVKRSAMADIEVTIVESHVNLKVLSRRHPKQLSKLLTWLQNLRLTPLHLNVTTANERVLYSFSLKVEDDCSYASVTQIAAAVCEMIGRIREENIGLS >Ma08_p17380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25013821:25015186:-1 gene:Ma08_g17380 transcript:Ma08_t17380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKLVMALEAVVFSQGLFGYACKESCTTPGGGACGYDLGDVSMEAKSSMLDGDMDRTRYPSCSDLVQDLEGWHANYSTAEGQEEAPAEGKATEVVSRRKRPRTKGIKNKEEVESQRMVHIAVERNRRKQMNEYLAVLRSLMPPSYVPRGDQASIVGGAINYVKELEQLLQSIQVQKQLEQRADTDGFASPFADFFNTPQYSSCSFNGGTISRNQNGVANVDKDYSGNEVMVVKRSAMADIEVTIVESHVNLKVLSRRHPKQLSKLLTWLQNLRLTPLHLNVTTANERVLYSFSLKVEDDCSYASVTQIAAAVCEMIGRIREENIGLS >Ma09_p26990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38101703:38101900:-1 gene:Ma09_g26990 transcript:Ma09_t26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNEPSKTLMKKGGHRKSVHAYFLILVDPETLLFFYLVTLNQPKNYSFLLHVLQTANADDYDSF >Ma08_p27420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39656005:39658422:-1 gene:Ma08_g27420 transcript:Ma08_t27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MISDMGIKAFMISFITTSFLLSLLLLPAFLGKSISPFHLSDHLRRPPQPKPYPVTFAYLISASTGDVDRLKRLLTAIYHPGNCYLLHLDLEASPSEHARLSDFVSKHKTFARFRNVWLVGKSNLVTYRGPTMLSTTLHAMAVLLRIRQWDWFINLSASDYPLITQDDLIVAFSTLPRDLNFVQYTSHLGWKIKKRAKPIIIDPALYSRNKSEVIWSANERGLPTAFKLYTGSAWTILSRSFAEYCILGWDNLPRTLLLYYTNFISSPEGYFQTVICNSKDHQNTTVNHDLHYITWDNPPKQHPLTLGLKDYRRMILSSVPFARKFKKNDPVLDKIDRELLRRRNGQFAHGGWCWNGKRGGSSGGNARGNLGVLRPGAGSRRLRALLTKMLSPRNFRRRQCR >Ma08_p27420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39656005:39658428:-1 gene:Ma08_g27420 transcript:Ma08_t27420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MISDMGIKAFMISFITTSFLLSLLLLPAFLGKSISPFHLSDHLRRPPQPKPYPVTFAYLISASTGDVDRLKRLLTAIYHPGNCYLLHLDLEASPSEHARLSDFVSKHKTFARFRNVWLVGKSNLVTYRGPTMLSTTLHAMAVLLRIRQWDWFINLSASDYPLITQDDLIVAFSTLPRDLNFVQYTSHLGWKIKKRAKPIIIDPALYSRNKSEVIWSANERGLPTAFKLYTGSAWTILSRSFAEYCILGWDNLPRTLLLYYTNFISSPEGYFQTVICNSKDHQNTTVNHDLHYITWDNPPKQHPLTLGLKDYRRMILSSVPFARKFKKNDPVLDKIDRELLRRRNGQFAHGGWCWNGKRGGSSGGNARGNLGVLRPGAGSRRLRALLTKMLSPRNFRRRQCR >Ma08_p27420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39656005:39658423:-1 gene:Ma08_g27420 transcript:Ma08_t27420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MISDMGIKAFMISFITTSFLLSLLLLPAFLGKSISPFHLSDHLRRPPQPKPYPVTFAYLISASTGDVDRLKRLLTAIYHPGNCYLLHLDLEASPSEHARLSDFVSKHKTFARFRNVWLVGKSNLVTYRGPTMLSTTLHAMAVLLRIRQWDWFINLSASDYPLITQDDLNFVQYTSHLGWKIKKRAKPIIIDPALYSRNKSEVIWSANERGLPTAFKLYTGSAWTILSRSFAEYCILGWDNLPRTLLLYYTNFISSPEGYFQTVICNSKDHQNTTVNHDLHYITWDNPPKQHPLTLGLKDYRRMILSSVPFARKFKKNDPVLDKIDRELLRRRNGQFAHGGWCWNGKRGGSSGGNARGNLGVLRPGAGSRRLRALLTKMLSPRNFRRRQCR >Ma02_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26838748:26839254:-1 gene:Ma02_g21100 transcript:Ma02_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISQPVSNLSLAASAQAKQQQRDLHCFRMPLHYPRYTRADYESMPEWKLDCLLREYGLPVTGDVDHKRSFAMGAFLWPAH >Ma02_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23002090:23006148:-1 gene:Ma02_g15210 transcript:Ma02_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALILDKKSRWRGGAATGGSSEEGARQRRPSMLRQLQESKLREALEEASEDGSLFKSQGMDPEAEDEGSISRSRSLACLRAQREFLRATALAAERTFLSADDIPALEEAFSKFVIMYPKYQSSNKIDRLRLDEYCHLSAAGARVCLDYCGFGLFSYLQSFQSWESSAFSLSEITANLSNHALYGGAEKGTAEYDIKTRIMDYLNIPENEYGLVFTFSRGSAFKLLGESYPFRANKRLLTMFDHESQSVNWLAQSARDKGAKVHSAWFRWPTLKLCSTELRKQICGKKRKKKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVMGSLQNQNGCTGSGMVKIVPVFPQYLSDSVDGLDLLVGIDNERIVENGESSMSDAHRGSLLPAFSGAFTSAQVRDVFESEMDQDNSSDRDGASTIFEETESISIGEVMKSPVFSEDELSDTSFWIDLGQSPFASDHSGQLNKVKKLGSPMPPSWFTRRKNNKRVSPKVASMNSRRPIGDDHVLSFDAAVLSVSQELNHVKEDREEPSDYGTKDSCFHYASEIKEESKVKDATGKRAIKFSSANRSKIISSTSVCGWDDDYENGTTSEIYPENHTEFKDSAIRRETEGEFRLLGRRGGDNSRFMGGKFLGVQESELASSMDRKVSFSMDGNGAAEVSYHNSDAGEVSGRALGLGYDDYYDNAIHDADDNDAQEWGRLEPEILCRNLDHANMMGLNKTTLRLRYLINWLVTSLLQLQLPDPVGGSSVPIVQIYGPKIKYERGAAVAFNVKDRSGAVIKPEIVQKLAEKNGISLGIGFLSHISVMNNQKNLHGEGLTDTSFHHPANNRQETKSDSKNTVTRVEVVTASLGFLTNFEDVYRLWAFAAKFLDPSFLKNDRLSTIAETSET >Ma03_p33170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34622093:34623209:-1 gene:Ma03_g33170 transcript:Ma03_t33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHTLTVGLLWPLLGILQLSKYFLFLICPCVTGKESHSLKLLSACYHLLFTRQTKRKRANYLFSIQILTPKIFFLDEIVIKRSAIVLYHLILNRYPIIYGGKEILSQSGTALRPSNLHLNQNRR >Ma11_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16153558:16153869:1 gene:Ma11_g12260 transcript:Ma11_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVMKLASQKAVVIFSLSSCCMCHTVKSLFHDLGVNAAVYELDEDPRGREMEKALAKLVGRNSPVPAVFIGGKPVGSTDRIMSLHLGGKLVPLLRDAGALWV >Ma05_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36136209:36137692:-1 gene:Ma05_g23950 transcript:Ma05_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLYCYESHSYSMVPTSCTSFGSINTPPSILSLPIECLCLCLHIICRERNCFISSLMASNHIKTAAHARGGVPKCPCDNESRWSMEPADKITAISVGASSCVNSIKITFDIDGTTRVTPRYGGPGGELFQFTLMPDEYLTSVSGYVKHDCSEFPCVSQLTFTTNLGKTYGPYGGGGGTFFEVNVEYDEIKGFFGHATTEYLTAFGVGYVKHDCSEFPCVSQLTFTTSLGKTYGPYGGGGGTFFQVNVEYDEIKGFFGHATTEYLTAFGVYVMLA >Ma01_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5295571:5301895:-1 gene:Ma01_g07330 transcript:Ma01_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAPPKPLPPSPADPDDDDDYQDYIPVNKRRSMEAQKILQRKGRSASAGDADADEANHPPAPTEAKPSLLVKASQLKRDLPEVSPTEQLVQQEKEMIEHLSDRKTLMSVRELAKGITYTDPIPTGWKPPLAIRRMPALHADAIRRQWHILVEGENVPPPIKNFRDMRLPEPVLKKLKEKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMTAMQEEIMMPIVPGEGPFGLVVCPSRELARQTYEVVEQFLAPLREHGCPELRPLLCIGGVDMRSQLEVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAVHGGKDQEEREYAIASFKSGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDALTSASGVKGCAYCGGLGHRIRDCPKLEHQKSMAIAGSRRDYFGSGGYRGEI >Ma03_p01040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:833610:835604:-1 gene:Ma03_g01040 transcript:Ma03_t01040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Ma03_p01040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:836456:839646:-1 gene:Ma03_g01040 transcript:Ma03_t01040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGELRRTLFPLHHPLCDSDGRNWPLPPAILGLQPAALVDHVARVDWSLLDRIPGDRGGSQQVAAEDLNHILSEVKAHILPFLNEIPPIIAIAGGSVANTIRGMAAGFGVSSGIIGACGDDEQGRLFINNMSFSGVDLSRLRMKNGSTGQCACLVDADGNRTMRPCLSSAVKLQADELKREDLRGAKWLILRYAFINLEQIKAAIRIAKQEGVSVSMDLASFEMVRDYRSHLIDLLESGSIDLCFANEDEAKELISREPKVDPEAALCFLAKHCKWAVVTLGPRGCIAKHGNEVVRVPAIGDTHAVDATGAGDLFASGFLYGLVKGLCLEDCCKVGSCSGGAVVRALGGEVRPENWQWMYKQMQTGGLLLPELKN >Ma03_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:833610:839634:-1 gene:Ma03_g01040 transcript:Ma03_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGELRRTLFPLHHPLCDSDGRNWPLPPAILGLQPAALVDHVARVDWSLLDRIPGDRGGSQQVAAEDLNHILSEVKAHILPFLNEIPPIIAIAGGSVANTIRGMAAGFGVSSGIIGACGDDEQGRLFINNMSFSGVDLSRLRMKNGSTGQCACLVDADGNRTMRPCLSSAVKLQADELKREDLRGAKWLILRYAFINLEQIKAAIRIAKQEGVSVSMDLASFEMVRDYRSHLIDLLESGSIDLCFANEDEAKELIRKTRGMGAGRKLKTHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Ma03_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5379249:5382187:-1 gene:Ma03_g07660 transcript:Ma03_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEASKPPKSSAVVSDLTALFSLLRKRRTKVFLYGFAFAFVAFSAYLAFCPPEKTSPWFNNLFTSASVSTAPYRSQISSLFSYIFPNSSSSPSPENAAPLPEDLPADGGGAEKGGILAGNLTAEGVGVEKGGVFLAKNQTGSGIGSQGNGIAVKNHSPTAIGLQGGGDSTKNHSMEGGNGVPTSDQGRDGIGSAKATLLAAKNQTANGIPSKNETKSVVDPTKTRDLPTKNQTKVGDRDDSKKDAVLAAKNLTVTGAPPKKNETTTAVGSAVNGDLPSKNQIDKGVASKVNQVLNSTATPKSGAAAKNQSSSGVPSITKSTGTTTAKSEEKGAPSLSSSLVKGNGPTAASARDEGKRQVDWIGAMKGCDIFQGRWVKDDSYPLYPEGSCPHIDEPFDCYRNGRPDRSYQKLRWQPNGCNIPRLNATDMLERLRGKRLVFVGDSLNRNMWDSLVCILRNSVKDKKKVFEASGRHEFRTEGSYSFVFKDFNCSVEFFRSPFLVQEWEMPVSNGKKKETLRLDIIERSSSKYKDADVIIFNTGHWWTHEKTSKGKDYYQEGNHIYSELNVVEAFHKALDTWSKWVDTNVNPKKSLVFFRGYSASHFSGGQWNSGGQCDKETEPIKNETYLSSYPSKMTVLESVIKGMKTPASYLNITRMTDYRKDAHPSIYRKQHLTEEERRSPERYQDCSHWCLPGVPDSWNELLYAQLIIKQHQLL >Ma10_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15176318:15183090:-1 gene:Ma10_g04530 transcript:Ma10_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNGKLMPNLDQQSTKILNLTVLQRIDPFVEEILMTAAHVTLYEFNIELNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNSHDCESVANLFSRILNAYSKVPPKPKVSSKSEFEELEAVPTSSVIEGPLEPAATSAATSITDVPDDSFVNYFSNAVNIGNATNAAIGAQPPIASTTVSATSHAVSPSAVPTVQSTLTIPASSTLIPPLDVLESNSGNSNRAANLVKPSFFSPVLSSSVSAMPPVSSSIPAAPPLHPPVTMQRPYGTLLLQPFPPPTPSASLTPTPNYGPVITKDKVRDALLKLVQNNEFIDMVYREMLNAHYS >Ma09_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9020248:9023743:-1 gene:Ma09_g13380 transcript:Ma09_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGACTLQQALTAEAASVLKHSLGLASRRGHAQVTPLHVAATLLSSSSSASSSLLRRACLRSHPHHPASHPLRCRALELCLNVALNRLPTAPSPPSSGLSNALIAALKRAQAHQRRGCVELQQQQQQPLLAIKVELEQLIISILDDPSVSRVMREAGFSSTCVKNNLEEESSVLGQSTPFLLEPHKDIIGHGSSFWKSPLYKLSSQQSPPVPAQSSQKEDLSAVMEVMLRKQGRRTNTVVVGDSVAMTEGVVTELMAKVERGDVPDELKSADIIKLHLSYVHLRLMRKSDVDLEVSDLRKKISSMASDEVGRNVIIYAGNLRWAVDEETKDGCGFRPVEHMVAELGRLLCEFRSSISHVGGTVNNKVWLLATASYSTYLRCQMRQPSLEKQWALQAVVVPSGGLALSLRAPSGPDSRSTKVREYPLQLLGSEVFSSKDGEKLMCCDECTAHFEKEALVLKSEAKDTNFGSVQLPSWLQRQRPDKHHQSKINVICKGNALLELKRKWNSLCQSLHHTRQRQSHLYPPFFSQSSTGKNNTCSSSYPWWSSSNQSKIMMQPYSLSFSEATAELDGGSPFNSVDLRNGMRSWQQKDEPKPRPSEVSLNSLRKPGNQDVGITLSLCSAAVSDSATSNEQEEAMVGRRELTQKLQDNMPWQSEIIPAIVEALSECRTCENKALRLLLCGSDGISKRRLARVMLEHFGGSTHKLIHVNMRKGASETSSCGEILGEAFEKDSKFVVLIEDIDQADTGFLRSLADVLKAGAFENSSGEEVCLADSIFVMTTSHSADCEDIGGGSNGVIKMMLRAEGRSTKSDLKRKPETELQDESKRPRIRDRGIDLNLLAEEEEEDRGWCCSDSKEDEDVPSDLTSETDGGMPPPLPPQLIDLMTAQFTLERPSLASENLASKLRRAFDEARSGDEGTGQLSIDGAAVEELMAAAGSFSESFFERWVGEVFQASLRTVEKGGNVRLSVEGKEGNVVEFGFMGSVLPGRIAVE >Ma08_p06190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4187525:4193901:-1 gene:Ma08_g06190 transcript:Ma08_t06190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPLENIKTVDASVGSTKAINISLEGSVAVAADVSGEGTNEMGGSMRKATMTSGPVENDNGLVGAVEISSNIDSSVGNVDDRDASGSITCLDGSLNTGNVDELVTVAPEATENLQLEPKVGMVFHSEEEAYEFYNSYAKKKGFSVRKGHLSRRRDNSIRDRHYVCSNEGTRQEHRTHVTKKPRPLERTKCLARIEFKVNRSNVWVVNKLIEEHNHLLASPNKIHMLRSHRKKLPVERAIFTEADYYYGVKPSLMYDSQSEEVQSMGDGELLVKNQNSCLSATRLRDLEKGDAQFLLDILKNKQSEDPSFFYAVQIDEKERMTNFFWADARSINDYAYFGDSVLFDTTYRTSRFDIPFAPFIGVNHHKQIVIFGAALLLDESIESFIWLFRTFMAAMSGRQPKTIFTDRCAEMSKAITMTFPDTCHRLCLWHILQNVPKHFHGVSNRESNFQKDFEDCIFGSSSEEILCKLWDSLISKHCLGNSAWLKDLYAATEKWALAYLNSFCATMTTKQWAEGMDNLFKIHFYRKLPLPKFIAQYFKALVQLREKELFEDYESRQTKPVLLVDIPVLAEAAESYTRTIYVDFEYEYKSQLACLCETIAMDGTVYTFRVSIPQKCTGLVEFNPSNITIKCSCKKFESMGILCMHALKVLNNNNILYLPPQYILKRWTKYAKDGTLYCGPHLAADSSAQESLISRYSRICHKAVSVAVKSALSKESLEILEHGLDRFIAEMENMFHGAPWTKQTQDVNVDDAMQHNTLETSGICFDGFEFAAR >Ma08_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4187525:4193901:-1 gene:Ma08_g06190 transcript:Ma08_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPLENIKTVDASVGSTKAINISLEGSVAVAADVSGEGTNEMGGSMRKATMTSGPVENDNGLVGAVEISSNIDSSVGNVDDRDASGSITCLDGSLNTGNVDELVTVAPEATENLQLEPKVGMVFHSEEEAYEFYNSYAKKKGFSVRKGHLSRRRDNSIRDRHYVCSNEGTRQEHRTHVTKKPRPLERTKCLARIEFKVNRSNVWVVNKLIEEHNHLLASPNKIHMLRSHRKKLPVERAIFTEADYYYGVKPSLMYDSQSEEVQSMGDGELLVKNQNSCLSATRLRDLEKGDAQFLLDILKNKQSEDPSFFYAVQIDEKERMTNFFWADARSINDYAYFGDSVLFDTTYRTSRFDIPFAPFIGVNHHKQIVIFGAALLLDESIESFIWLFRTFMAAMSGRQPKTIFTDRCAEMSKAITMTFPDTCHRLCLWHILQNVPKHFHGVSNRESNFQKDFEDCIFGSSSEEILCKLWDSLISKHCLGNSAWLKDLYAATEKWALAYLNSFCATMTTKQWAEGMDNLFKIHFYRKLPLPKFIAQYFKALVQLREKELFEDYESRQTKPVLLVDIPVLAEAAESYTRTIYVDFEYEYKSQLACLCETIAMDGTVYTFRVSIPQKCTGLVEFNPSNITIKCSCKKFESMGILCMHALKVLNNNNILYLPPQYILKRWTKYAKDGTLYCGPHLAADSSAQESLISRYSRICHKAVSVAVKSALSKESLEILEHGLDRFIAEMENMFHGAPWTKQTQDVNVDDAMQHNTLETSGICFDGFEFAAR >Ma09_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9798077:9801337:-1 gene:Ma09_g14350 transcript:Ma09_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.3 [Source:Projected from Arabidopsis thaliana (AT5G10980) UniProtKB/Swiss-Prot;Acc:P59169] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma11_p13570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18141446:18145948:1 gene:Ma11_g13570 transcript:Ma11_t13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSQKEEDIQMMLSAAVHLGARNCDFQMERYVYKRRSDGVHIINLGKTWEKLRLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGASPIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSSMRYVDIGIPANNKGKLSIGCLFWLLARMVLQMRGTIPLGRKWEVMVDLFFYRDPEEAKEQEEEEAPVAPDYGAMVPNDQWTTEQWMPDGGAVSSVEPPVTGVESTAGQAVPVGAEGWDVAAAPPVEAGAVAPGVPPAAAPTGWE >Ma11_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18141446:18145948:1 gene:Ma11_g13570 transcript:Ma11_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSQKEEDIQMMLSAAVHLGARNCDFQMERYVYKRRSDGVHIINLGKTWEKLRLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGASPIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSSMRYVDIGIPANNKGKLSIGCLFWLLARMVLQMRGTIPLGRKWEVMVDLFFYRDPEEAKEQEEEEAPVAPDYGAMVPNDQWTTEQWMPDGGAVSSVEPPVTGVESTAGQVPVGAEGWDVAAAPPVEAGAVAPGVPPAAAPTGWE >Ma05_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36550388:36551121:-1 gene:Ma05_g24290 transcript:Ma05_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEVVVVGELPLLPAIKTSSLIQEKPVEGGPRTKDGEEEECVTPVAEEHTLRPAVVCPPAPRKRRPAKRTVEAPPNGFYDVPCDLTSIFLALPLKKKSCVDWLRLL >Ma03_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10925749:10931486:-1 gene:Ma03_g13850 transcript:Ma03_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MEPDLLKSSLFHADQRLCSAEILPPSQISRKYDNSGLRHGLLSDVSSVFLSHSVCTRSLMKANDAKAFVRVWMVMAMCFRILVQGKLATQRELFYKLLCDAPEYFRSQRQVNRTVQDVVALLRCTRHSLGIMASSRGAVIGRMVLEEPGEQIVDCSMIGHAGYAITGDLCTLSKLVLHSDARYIIIIEKDAIFQRLAEDHFFNQIPCILITSKGYPDIATRFLLHRISQTFPDMTILALMDWNPAGLAILSTYKFGSITTGLESYRYACNVKWLGLRADDLQIIPQQVMMQLKPHEIKTAKSLMSSKLLQERYQAELSLMVERGHRAEIEALYCHGFDFLGKYIAKKIVQADYI >Ma03_p13850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10925749:10931486:-1 gene:Ma03_g13850 transcript:Ma03_t13850.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MEPDLLKSSLFHADQRLCSAEILPPSQVRARIEVAVLNFLKNLTASNPAISDLPLISRKYDNSGLRHGLLSDVSSVFLSHSVCTRSLMKANDAKAFVRVWMVMAMCFRILVQGKLATQRELFYKLLCDAPEYFRSQRQVNRTVQDVVALLRCTRHSLGIMASSRGAVIGRMVLEILLPWESTYRTTKDFENISTEPGEQIVDCSMIGHAGYAITGDLCTLSKLVLHSDARYIIIIEKDAIFQRLAEDHFFNQIPCILITSKGYPDIATRFLLHRISQTFPDMTILALMDWNPAGLAILSTYKFGSITTGLESYRYACNVKWLGLRADDLQIIPQQVMMQLKPHEIKTAKSLMSSKLLQERYQAELSLMVERGHRAEIEALYCHGFDFLGKYIAKKIVQADYI >Ma03_p13850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10925749:10931486:-1 gene:Ma03_g13850 transcript:Ma03_t13850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MEPDLLKSSLFHADQRLCSAEILPPSQVRARIEVAVLNFLKNLTASNPAISDLPLISRKYDNSGLRHGLLSDVSSVFLSHSVCTRSLMKANDAKAFVRVWMVMAMCFRILVQGKLATQRELFYKLLCDAPEYFRSQRQVNRTVQDVVALLRCTRHSLGIMASSRGAVIGRMVLEEPGEQIVDCSMIGHAGYAITGDLCTLSKLVLHSDARYIIIIEKDAIFQRLAEDHFFNQIPCILITSKGYPDIATRFLLHRISQTFPDMTILALMDWNPAGLAILSTYKFGSITTGLESYRYACNVKWLGLRADDLQIIPQQVMMQLKPHEIKTAKSLMSSKLLQERYQAELSLMVERGHRAEIEALYCHGFDFLGKYIAKKIVQADYI >Ma06_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6134468:6144078:-1 gene:Ma06_g08730 transcript:Ma06_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTLSHCGRKQATVRTCTVSGFPIELLEMRSEESSLHVLLIPGNPGIVLYYKDFVEAIYQLLEGQASVTAIGHICHAAKDWEHGRMFSLEEQINHKVDFIEQEYQNSEHPLILVGHSIGSYICLEIFKRLQQQVKYVIGLYPFLSLNKSSLKQSMIGLISRSSIVSTTISYFVSFLGSLPTRVQVAMVRKCLGQSWSSTAVDATCSHVLQYHTMRNMLFMAMTEFEKLSEEPDWMFVKKKHNQISLLFGIDDHWGPLSLFEKVSRMVPGLSLSIEREGHMHAFCCTEAGSSWAGHHVATLIKNQIAK >Ma06_p08730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6134468:6144128:-1 gene:Ma06_g08730 transcript:Ma06_t08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVSSPDVAVRHASRLLRTTFFPRSPRSIRKDISPRCSGSMDRLTLSHCGRKQATVRTCTVSGFPIELLEMRSEESSLHVLLIPGNPGIVLYYKDFVEAIYQLLEGQASVTAIGHICHAAKDWEHGRMFSLEEQINHKVGHSIGSYICLEIFKRLQQQVKYVIGLYPFLSLNKSSLKQSMIGLISRSSIVSTTISYFVSFLGSLPTRVQVAMVRKCLGQSWSSTAVDATCSHVLQYHTMRNMLFMAMTEFEKLSEEPDWMFVKKKHNQISLLFGIDDHWGPLSLFEKVSRMVPGLSLSIEREGHMHAFCCTEAGSSWAGHHVATLIKNQIAK >Ma06_p08730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6134468:6144060:-1 gene:Ma06_g08730 transcript:Ma06_t08730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTLSHCGRKQATVRTCTVSGFPIELLEMRSEESSLHVLLIPGNPGIVLYYKDFVEAIYQLLEGQASVTAIGHICHAAKDWEHGRMFSLEEQINHKVDFIEQEYQNSEHPLILVGHSIGSYICLEIFKRLQQQVKYVIGLYPFLSLNKSSLKQSMIGLISRSSIVSTTISYFVSFLGSLPTRVQVAMVRKCLGQSWSSTAVDATCSHVLQYHTMRNMLFMAMTEFEKLSEEPDWMFVKKKHNQISLLFGIDDHWGPLSLFEKVSRMVPGLSLSIEREGHMHAFCCTEAGSSWAGHHVATLIKNQIAK >Ma06_p08730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6134468:6144128:-1 gene:Ma06_g08730 transcript:Ma06_t08730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVSSPDVAVRHASRLLRTTFFPRSPRSIRKDISPRCSGSMDRLTLSHCGRKQATVRTCTVSGFPIELLEMRSEESSLHVLLIPGNPGIVLYYKDFVEAIYQLLEGQASVTAIGHICHAAKDWEHGRMFSLEEQINHKVDFIEQEYQNSEHPLILVGHSIGSYICLEIFKRLQQQVKYVIGLYPFLSLNKSSLKQSMIGLISRSSIVSTTISYFVSFLGSLPTRVQVAMVRKCLGQSWSSTAVDATCSHVLQYHTMRNMLFMAMTEFEKLSEEPDWMFVKKKHNQISLLFGIDDHWGPLSLFEKVSRMVPGLSLSIEREGHMHAFCCTEAGSSWAGHHVATLIKNQIAK >Ma05_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28157585:28165914:-1 gene:Ma05_g19650 transcript:Ma05_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFFSAAKRLPALLLPRHHLSTSALSTSSSLPDGATPATAASGLPFLNCRRRKKLRKKLQSPRVAPIQRDAVRRLPDFEAVVRLDAALRFISRTKCYLSRLPGHCIPLADAGKLHRELGFPRGRKVSRFAARHPLLLHLPRLPPDSKPLLAFTPLMDSLIAEELVLMDAMESTRVTTVRKLLMMSAGRRIPLAKLHHCRLLFGLSDDFRDRVHKYPDYFRVAVDPKDGRHVLELVEWDPALAVSALERDFVPDEARVRRTFKFPIRHGKALPLQEDDERRLNSLTTLPLVSPYSDCSGLQPWTVEAEKYRVGVIHEFLSLTLEKRAWIHHIVEFKEEFNLTKHTYPMLLKQPRAFYLAGTEMNWAVFLKDAYREDGTLIEKDPQVLFNEKLQHYALTESESGEGVS >Ma05_p19650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28157585:28165914:-1 gene:Ma05_g19650 transcript:Ma05_t19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFFSAAKRLPALLLPRHHLSTSALSTSSSLPDGATPATAASGLPFLNCRRRKKLRKKLQSPRVAPIQRDAVRRLPDFEAVVRLDAALRFISRTKCYLSRLPGHCIPLADAGKLHRELGFPRGRKVSRFAARHPLLLHLPRLPPDSKPLLAFTPLMDSLIAEELVLMDAMESTRVTTVRKLLMMSAGRRIPLAKLHHCRLLFGLSDDFRDRVHKYPDYFRVAVDPKDGRHVLELVEWDPALAVSALERDFVPDEARVRRTFKFPIRHGKALPLQEDDERRLNSLTTLPLVSPYSDCSGLQPWTVEAEKYRVGVIHEFLSLTLEKRAWIHHIVEFKEEFNLTKHTYPMLLKQPRAFYLAGTEMNWAVFLKDAYREDGTLIEKDPQVLFNEKLQHYALTESESGEGVS >Ma03_p31740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33839235:33842715:1 gene:Ma03_g31740 transcript:Ma03_t31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQKQQQQQRQQQQQQQQQQQQLVAASVNPFSTNPPPWGSSHQPQQHMTDHFPFVIPDPATTFADPFAPDPVAGFAAPPGGGHLDHGPFRLSDFGSSASAVAAEFDSDEWMESLIGDSPAESSDLMGDPWQGAAGGSGALFADAFPSCSTDFSPPSPPASASDLNHVLFSEHCEIAPLAPVQHLHQAAAVVALNRPGPTAHAPSFDPPELNKNSGGAAVVRDQAPESSVSSQPLLESLLDCARIADSDPDLAAKSLIHVRESASESGDPTERVAFYFAEALYRRLHGAQRKHHSYPSTAAAPPSCSSSSFDSSPEDFTLCYKALNDACPYSKFAHLTANQAILEATESAARIHIVDFGIMQGVQWAALLQALATRPSGKPSRVRISGIPAPALGAAAAASLAATGNRLRDFAALLDLDFEFDPILTPISELSASTFRVDPEETLAVNFMLQLCHLLGDSPEPVERVLRVAKSLGPRVVTLGEYEASLNRAAFLERFRTALAYYAAVFDSLDPAMQRDSAERARVERLLFGRRILGVVGPGDEQIRRERMEANAEWRAVMEQCGFETVPLSNYAVSQAKLLLWNYNYSSKYTLSDSAPVFLSLAWGDRPLLTVSSWR >Ma01_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:261838:267803:1 gene:Ma01_g00350 transcript:Ma01_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGGAGAGRYSTPEKTKDSWRTALTLAYQSLGVVYGDLSTSPLYVYKNTFAEDIEHSETNEEIYGVLSFVFWTLTLVPLLKYVLIVLRADDNGEGGTFALYSLLCRHARVGFLPNGQLADEELSTYKKMDGGGAAPPMGADTAASRVKRLLEKHHVLQRLLLLLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSEEQHKYVEVPVACLILVALFALQHYGTHRVGFLFAPIVITWLLCISVIGVYNIFHWNPHVYQALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTSVVYPSLILAYMGQAAYLSRHHIIESDYRIGFYVSVPEQIRWPVLGIAILAAVVGSQAVITGTFSIIKQCSALSCFPRVKIVHTSSKVHGQIYIPEINWMLMILCLAVTVGFRDTKRLGNASGLAVIAVMLVTTCLMSLVIVLCWHRSIFLAVCFILFFGAIEALYFSASLIKFLEGAWVPIALSIIFMVIMCVWHYGTLKKYEFDIQNKVSIDWLLGLGPNLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVAYVRPEERFLVGRIGPKEYRVYRCIARYGYHDVHKDDLEFEKDLVCSIAEFIRSGISDPSGTAERSEKDDNRMAVVGAGIRLCEEDADPEDAAGPSGSKEIQSTVTARKKKVRFVVPESSDMDSSVREELQELMEAREAGMAFIMGHSDVRAKSGSGLIKRLVIDVGYDFLRRNCRGPTFAVSIPHASTLEVGMVYNV >Ma08_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37655856:37657811:1 gene:Ma08_g24520 transcript:Ma08_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRDFGSASEPGSDERRQKKQNRFVMVGLTASVMLILAVVGVATVQYNSEADESSTSPSDGGLESTSHFRTTSAIEVICSPTDYKSSCESSLSKYVNDSSKPKDLVRAAVLAVVDGVAKAFNRSDSIKSDDPEVKAAIADCKEMHQYAVDELAKTLSHIDEHHLKQLPKQIPELKNWLSAVVAYQQTCIDGFPEGKLKSKMQAAMKSAKEITSNALAIVGKISSFLTLIQVAGFSRRLLEEEPAEPEWYVDGNPSWVSHGDRRLLQTPATPELTPNVTVAKDGSGDFTTISGALAKAPKKLLNGRYVIYVKEGVYEETVAVDKNTWNITMYGDGEQKTIVTGSKNFIDGVKTYHTATFAAIGDGFMAVDMAFLNTAGANKHQAVALRVQADRAIFLRCRMEAYQDTLYAHSHRQFYRDCLISGTIDFIFGDASAVFQHCTLTVRRPLNNQQNIVLAQGRSVLQESTGFVIQNCRIVAIPALADAKPKLRSYLGRPWQEFSNTIIMESEIGDFIEPEGYTPWEGSFALDTLSYAEYNNKGPGADTSKRVHWAGFKVISQEEASAYTASPFIQGDDWISKMGAPVSLGLYNE >Ma07_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32226232:32227784:-1 gene:Ma07_g25110 transcript:Ma07_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASYGAKLLLLIALLRCGAKLGADALSMDYYMMSCPFMDQMVRSTVYQALRRDPTLAAALLRLHFHDCFVQGCDASVLIDSTEDNTAEKDSPANLSLRGYEVIDRAKQLIEDQCPGVVSCADIVAMAARDAVFWAGGPFYEIPKGRKDGRRSKIEDTVNLPAPTLNVTVLVKMFGQHGFDAQELVALSGAHTLGAASCASFKNRLSNFDSDNGVDPTLDTDMARTLWRACTAGDGSRVPFDRSSYTFDSGYFNALRRGLGLLSSDQALFADPRTRPVVNAYAMNDAMFFLDFQQAILKMGLLDVKEAGRGEVRRDCRRVN >Ma04_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20026871:20044649:-1 gene:Ma04_g18260 transcript:Ma04_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLGLDTFTTASKEVGGSILKLLEFAKERVLRAEWRTTKVQLIDNSRFAKEQQCKKKRMQGDKAVTRSIPIDIVESVLMRMNPKDAVRLSTTCKNWRATAPRYDPTMSKIPWLLIIADDFYTLQSIIDENMSFKIEIPSFFRGRKFNGDASHGWLVLRSKQSDIVSLLNPFSRARLDLPALNCPGAFFLHMSSPPTNPDCILLLVDAKNLYIWRPGDKSWNIEKNVNGRFYKSIIRIQEQFYAIVVPDFELVTFQVRPLRVKKLDVPPPIDIDNLMEYDLTLVESGGKILLVCIHSSLFFTADRDNSKELNVILFQLDLKNEIWIKMESLGDQTLFLKNKNNQTISISSPEAKCCGNSIYFIDNWSRDVYFIEKHSIRIVSRGSFSINRRLWITPNLI >Ma04_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6819373:6822842:-1 gene:Ma04_g09620 transcript:Ma04_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMEWFSVGFRMICWLCFVVCVGGIGANWGTQTSHPIPPNTVVQMLKNNGFQKVKLFDADEGLLNALKKSGLEVMVGIPNDMLAVLAASTKAAQNWVSKNVSEYINDGVNIRYVAVGNEPFLQTYNGSFLQTTFPALQNVQGALTKAGLSSQVKVTIPLNADVYESSSGRPSDGDFRSDIRGLMLAIVKFLSDNAAPFTVNIYPFISLYSDPNFPVDYAFFEGNSSAVIDGTTSYTNMFDANHDTLIWALKKNGYGNLPVIVGEIGWPTDGDMNANPQYAQRFNQGFMNHILMGQGTPVRPGPIDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGTTKSGGLVRALNVQYLDKKWCVLKPSVNLDDSRIAPSVSYACANADCTSLGYNTSCGNLDAQGNISYAFNSFYQKNDQDAVACDFSNLATITEKDPTTGTCRFEIMIVADSGMSWNLPRSPICSHTPCFMEINEYLLRCKRLNKMVTMRISFKIE >Ma04_p09620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6819373:6822842:-1 gene:Ma04_g09620 transcript:Ma04_t09620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMEWFSVGFRMICWLCFVVCVGGIGANWGTQTSHPIPPNTVVQMLKNNGFQKVKLFDADEGLLNALKKSGLEVMVGIPNDMLAVLAASTKAAQNWVSKNVSEYINDGVNIRYVAVGNEPFLQTYNGSFLQTTFPALQNVQGALTKAGLSSQVKVTIPLNADVYESSSGRPSDGDFRSDIRGLMLAIVKFLSDNAAPFTVNIYPFISLYSDPNFPVDYAFFEGNSSAVIDGTTSYTNMFDANHDTLIWALKKNGYGNLPVIVGEIGWPTDGDMNANPQYAQRFNQGFMNHILMGQGTPVRPGPIDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGTTKSGGLVRALNVQYLDKKWCVLKPSVNLDDSRIAPSVSYACANADCTSLGYNTSCGNLDAQGNISYAFNSFYQKNDQDAVACDFSNLATITEKDPTTGTCRFEIMIVADSGMSWNLPRSPICSHTPCFMEINEYLLRCKRLNKMVTMRISFKIE >Ma10_p26040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33917563:33927677:-1 gene:Ma10_g26040 transcript:Ma10_t26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTSADAAEVDRLYEFGERLNEAKDKSEHVSDYEGIIAAVEGHCVKAKQLAAQLIPRFFKFFPGLSSRAVTALFDLVEEDDLGIRVQAIRSLPLLCKDTPEYVSKIVDVLGQLLTSEENVERDAVHKALMSLLRQDVKASLTALFRHVEIGMENVREKVICFLKDKVFPIKAELLKPQVEMERHVTNLVKKSLQDVTGAEFKLFMDFLRSFSIFGVGVPPEHIQELIEIIEGQADLDAQFNVEDIDHIDRLISCMCMALPFFARGASSSKFLSYINKHILPVFDKLPEERKLDLLKNVAVSSPYATAQDSRQLLPSIVTLLKKYMPRRKTEGPKYDYLECLFFSFHHLAHKTPNSTNSLCGYKIVTGQPSDRLGEDFSENYKDFTERLSSTEEVVKNAIKKLTQGMADHNKALSVAKTEEEKANIKTEQQKTTTALHNCNNILAMTQLLHAKAPLFIGDNKINLSWKEPMKQSSASTMPTGSKRKAAAPVNGSRSTSATVEKKARGEGIVQSQLVNRALEGLSRGGGGRSGRAGRGWGGRGRGRGRGWGWGFR >Ma10_p26040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33918371:33927678:-1 gene:Ma10_g26040 transcript:Ma10_t26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTSADAAEVDRLYEFGERLNEAKDKSEHVSDYEGIIAAVEGHCVKAKQLAAQLIPRFFKFFPGLSSRAVTALFDLVEEDDLGIRVQAIRSLPLLCKDTPEYVSKIVDVLGQLLTSEENVERDAVHKALMSLLRQDVKASLTALFRHVEIGMENVREKVICFLKDKVFPIKAELLKPQVEMERHVTNLVKKSLQDVTGAEFKLFMDFLRSFSIFGVGVPPEHIQELIEIIEGQADLDAQFNVEDIDHIDRLISCMCMALPFFARGASSSKFLSYINKHILPVFDKLPEERKLDLLKNVAVSSPYATAQDSRQLLPSIVTLLKKYMPRRKTEGPKYDYLECLFFSFHHLAHKTPNSTNSLCGYKIVTGQPSDRLGEDFSENYKDFTERLSSTEEVVKNAIKKLTQGMADHNKALSVAKTEEEKANILPR >Ma06_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:240837:245157:-1 gene:Ma06_g00320 transcript:Ma06_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDLIELKFRLFDGTDIGPNKYDPSTTVASLKEAILARWPQEIAPKTINDVKLINAGKILENTWTITESRVPVCELPGGVITMHVVVRPPMLDKNNERPLAKDPKTNRCACTIL >Ma06_p00320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:240838:245157:-1 gene:Ma06_g00320 transcript:Ma06_t00320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDLIELKFRLFDGTDIGPNKYDPSTTVASLKEAILARWPQGTEIAPKTINDVKLINAGKILENTWTITESRVPVCELPGGVITMHVVVRPPMLDKNNERPLAKDPKTNRCACTIL >Ma02_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17098698:17104191:-1 gene:Ma02_g06010 transcript:Ma02_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAASSDRPPPPAAAATRLSPGFRFHPTDEELVSYYLKRKVCGRSLRVDAVAEVDLYKHEPWDLPVLSRIQSRDLEWYFFSPLDRKYSNRSRTNRATAEGYWKTTGKDRPVRRGPRIVGMKKTLVFHAGRAPRGTRTNWVMHEYRLEDEELTQAGISQDAYVVCRIFQKNGAGPQNGAQYGAPFLEEEWEEEADMGPVLMPDGREDEFNEATEKEYLQFSDFLQNEDLENKHVNDSNFVADLDRKDCVSLPGDATILLDEVPFTNMVEFVNEPGQQINPTPIDDMEKKPLIEDFAHYHTRPNDKDEYVELDDLADSANVVYPFSEEYGFPIKACHAKNMHGAGGNSNLQKILDVEEFFDTMSQNSDHLESLQMSPVQENFFVQPNDLNPLAEGCPSSQQLQEKTVFYDAPSDNPSFRHENAPVNGLLYLPAYNPSGFEMVDDLLAYFDATDGSLHHDTMGFTGRPECINSSDQSTFTGEVDGSNCPTSKATAQVLDIDTVGGASSSASSVAYKQSKGNYEEVAVRPDVQMSDANDKTVTKHLLNMLGSIPAPPACAAEFPSGSGKSVAQIPGNHSASSIHVTAGFFHICGSTMSENAGYWSLQKNGHVDLILSYNSMADNLVRKTVGPGCIAKNQVDVVSVMLRVGLYVFFLSALILTISHKVGMSICGR >Ma06_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:170592:173786:-1 gene:Ma06_g00230 transcript:Ma06_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDLRHILLDLLPSFDMKERCRELGIQHHLEKIIPDLWAINAVIRDATMRAWTQPDVEMWMADAGAAIADVHNLLDRILEWPGRAAAPPNPLLRSFLSIRVAFRLSIPQELKEMGLRLKELVLWGSALDLRKEMMDAMDPCDEEYSFFVLGDEVVGRDEDRDNIIEILQQNQSSSNNGEPFVIVIHDEWSFGSFPISTGKTTLGRMIYHHPWVRQHFHHRIWVDVSIDLSFDQVSIGREFARSITGDSCDHLQSHQAIWLLVNERLGQRRYLLILNDILYYDDTEEGLKDKWDQLKHNLLHVGGIGSTVIITTKRASEYSGPLDISGSKDSFSLHGLSEDDWIKLFMRETFIGSAQDKENTCSINLLLQFAEQQYETLTDKTIYDNIIKGFPLLAKTLGSIFRDTEVIRWQEVAYDLSCHSDVWPPYSNVRRHQHFELMSLQNLSTKLARLELYGSLCNLDQSSYLKEEDYMLMMIAEDLMPQQSFDAEKMYRLIREIELQFAMLDSDYYMRTRIGQDSIRIPKQCCHLCLLVNSDDAFTFPTALSTGATKRLRTLILQTEEEMAENDQKCQITEIPSAMFTNLIHLRILHLSHCRIQRLPNTIARLVSLRYLNLSYTEIQSLPKYLSNLQNLKILKLAHCEEFRKLPESIHKLRKLQILKLAYCQKLRMLPESIIALTNLQELDVEGCQWLVKLPQGLDSMKKLTILNVDKCVSLTRLPHGIGQLTNFQKLSMYATADSLASVILELQSLVNLKELRLKKLNGLSSVEDARALKLQDKIFLKCLALCWEWWDMEVALVSDATLLHEQVLENLQPNLALEKLEIVSYMGKKLSSWMACKKEHLWHLREIKLVNLRKCERLPPLGQLPGLETVEISGMDSISAVDDAFYGDCDGDTFPRLETLIFSEMPMLERWLKAKGEGDVFPVLGTLILIQCPKFKEFDVPLIRRWSFLRLELWLNNDKLLSSEFGGWQNLVHVTTELEITGCEELRCFLPQGIKHFNHLFRLEIIRCNNLISLPDWLAELKSLEHLIVRDCAMLSFIPERLKQSPHIYMNIKGCPKLQL >Ma07_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1060960:1068433:1 gene:Ma07_g01370 transcript:Ma07_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICVGPRLSKNGFFQSVSATLWRNRTNKDPLPAEHGKATGEGTSAMLAATPSKPVTDAPQPVLIEETKPSKVPEADANPAPHGNPTDQPKKPTHIRRASSAAGLQADFVLKSKTENLKEIYSLGRKLGQGQFGTTYLCVEKATGKEYACKSILKWKLTTEEDVEDVRREIQIMHHLSGHPNVISIKEAYEDAVAVHVVMELCAGGELFDRIIQKGHYTERKAAELARVIVGVVEACHSMGVMHRDLKPENFLFVNQMEDAPLKTIDFGLSIFFKPGEIFTDVVGSPYYVAPEVLKKMYGPAADVWSAGVIIYILLSGVPPFWAETEQGIFEEVLHGSLDFQSDPWPSISESAKELVRKMLFRDPRRRLTAHQVLCHPWVQIDGVAPDKPLDSAVLSRLKQFSAMNKLKKMAIRVIAEHLSEDEIAGLKEMFKMIDTDNSGHITFEELKAGFERVGACLKESEVYALMQAADVDNSGTIDYGEFIAATLHLNKIEREDHLFAAFSYFDKDGSGYITQDELQQACEELGVEAVHLDDMIKEVDQNNDGRVDYNEFVAMMQNGNDGFGKKGMQTTFSMGFREALKLG >Ma08_p28770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40588451:40599432:-1 gene:Ma08_g28770 transcript:Ma08_t28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMWQQQLMYKQLQEVRRQQQLQQLDQGSRQLSPLSQFSAVAKPATEIQLPSTLNERNQLPATLNEMPINDPYNYMWLNNYVGGSSNLSGNSQMFTAGNMNHVQPSCSVAMQNLTNGVIVSNDQSQAMQFIGSMPPQINQSFSGISVSGTCSGDQYSHLLGISSSNHDSMTKAAETNAEKTSYSSSIFQTDHQIDSQGSLQEKAWATARNFPGKHVVDNSSTQTSEKFQQLNNLQHSIRFQEFHGRQVQDDALGNLVEKQESQVGTSTGVASLDPIEHKLLFGTDEDDNWGFSFGGSLTSGMDGDAHGHSSENDYFGSFPSVHSGSWSALMQEAVQASTSDKGVQEEWSGLSYQKTEQQMLKPLITTTDNGKQSAIWDDSNLKGASSTSRPFPLFNDANTTPTSTGPINQHSFDSTYEESSRVLTEAPRVSSFRGSSNKEFHQNQKIVEGSLQAQMPSTSRAWAGLTIKQHENSSEEIQYKSQDTEDGWSNQKNMPSSNVSVLPVNKLNSLKASFPMASGGDKSNHHESDDHLWEIGENHVNMNSGLQRVKSDIGSLNIQAEESLAGKFVSVNKPNTLKLSQDMHHQVTNVQQTVFGGHFALNSCVHSEDDKDIEENQNQPSRKPQTWEMSVSTAAERLGKSYEKKKEHERVVLGDSYAGIAAKEKYLLTVNDQYPSVSGGQKSSILSSHPTVGSKMLQNSLGSLRKPVESSFLPNQLLRFQGLSNSVFHGSNNEGQSSVGKSQFSGHIVPNNPMDVSERIAVGAEGLQSRGTIPVCPSRSSFDGSTAQHSQNEVVAQASYNMLELLHKVDQSKNVVSTNASDVPAQVAADVSITHPHFDQSSNLRGFGLRLAPPSQGQPLPNYTPISHKSLNDINSGQSDHEAEYQGQTWLSPTSSIRPVLPFDEASKRENWDKIASLPGQKKTEYSEANNHFKSSATVSDSSSVRDQLQEQQQLRQQHISSAKDHLEKQQQQWQQHTSNATTTHGLLDHSVNFSFSNQTNTNMLVRNASLVRQPLDCQSGSLGGQSVQTSLPPLAGRFPASDVASHAESRVPVGSQFSSEGTEHTRGTIAGFSQIISSGQQLPAVETKSSSQPSLSGTSQQASFSKMLHNVWTNISAQQRQAGIDPFLTPNVLQSIINHGRDTSSWGLPKPGDQVNKEDSAPPEHMDVSHESSNTSQGKEAVLKPQQDVNKTRNGQDQALSSCVLRAPLTNNVSTSSGILAGRMSKPSDVQQQNYSLFHQVQSMKASESDINKTGILPKGAAFSSDSSQMNFNVDQGIVHGQNTIPRIPADGKVGAASHISFTSDAKMLSFTSSDSEEQNPNTSSAGHHIQSHMEPLSTSSTANVLGDGEPTQISPQMAPSWFDSYGTYQNGRMVAMFDAHRSRKASVQQYFLQNAPAKMDNSNVIEQRLDSSQVGSYRQDTLAFKIAPSDTTSSLLPPDVMVHGRIIRSKKRKIANRDLLPWHKMVMGCPQSLQSISMPELDWAQAANRLIEKVDDEAETMDDGLFMPQSRRRIILTTQLMHQLIPAVPAVMFKGEATSGYQSVTFSIAKTALADACSLVSSSESDSHLLLGNENTINIGEVKTSKKVEEDTFLKLMEDFIGRSKKLSTDFSRLERKTSLLDVRLECQELERFSIVNRLGKFHGRTHADGVDVSSTPGNAYRRIFPQRYITAVPVPGNLPEGVCCLSL >Ma08_p28770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40588451:40599432:-1 gene:Ma08_g28770 transcript:Ma08_t28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMWQQQLMYKQLQEVRRQQQLQQLDQGSRQLSPLSQFSAVAKPATEIQLPSTLNERNQLPATLNEMPINDPYNYMWLNNYVGGSSNLSGNSQMFTAGNMNHVQPSCSVAMQNLTNGVIVSNDQSQAMQFIGSMPPQINQSFSGISVSGTCSGDQYSHLLGISSSNHDSMTKAAETNAEKTSYSSSIFQTDHQIDSQGSLQEKAWATARNFPGKHVVDNSSTQTSEKFQQLNNLQHSIRFQEFHGRQVQDDALGNLVEKQESQVGTSTGVASLDPIEHKLLFGTDEDDNWGFSFGGSLTSGMDGDAHGHSSENDYFGSFPSVHSGSWSALMQEAVQASTSDKGVQEEWSGLSYQKTEQQMLKPLITTTDNGKQSAIWDDSNLKGASSTSRPFPLFNDANTTPTSTGPINQHSFDSTYEESSRVLTEAPRVSSFRGSSNKEFHQNQKIVEGSLQAQMPSTSRAWAGLTIKQHENSSEEIQYKSQDTEDGWSNQKNMPSSNVSVLPVNKLNSLKASFPMASGGDKSNHHESDDHLWEIGENHVNMNSGLQRVKSDIGSLNIQAEESLAGKFVSVNKPNTLKLSQDMHHQVTNVQQTVFGGHFALNSCVHSEDDKDIEENQNQPSRKPQTWEMSVSTAAERLGKSYEKKKEHERVVLGDSYAGIAAKEKYLLTVNDQYPSVSGGQKSSILSSHPTVGSKMLQNSLGSLRKPVESSFLPNQLLRFQGLSNSVFHGSNNEGQSSVGKSQFSGHIVPNNPMDVSERIAVGAEGLQSRGTIPVCPSRSSFDGSTAQHSQNEVVAQASYNMLELLHKVDQSKNVVSTNASDVPAQVAADVSITHPHFDQSSNLRGFGLRLAPPSQGQPLPNYTPISHKSLNDINSGQSDHEAEYQGQTWLSPTSSIRPVLPFDEASKRENWDKIASLPGQKKTEYSEANNHFKSSATVSDSSSVRDQLQEQQQLRQQHISSAKDHLEKQQQQWQQHTSNATTTHGLLDHSVNFSFSNQTNTNMLVRNASLVRQPLDCQSGSLGGQSVQTSLPPLAGRFPASDVASHAESRVPVGSQFSSEGTEHTRGTIAGFSQIISSGQQLPAVETKSSSQPSLSGTSQQASFSKMLHNVWTNISAQQRQAGIDPFLTPNVLQSIINHGRDTSSWGLPKPGDQVNKEDSAPPEHMDVSHESSNTSQGKEAVLKPQQDVNKTRNGQDQALSSCVLRAPLTNNVSTSSGILAGRMSKPSDVQQQNYSLFHQVQSMKASESDINKTGILPKGAAFSSDSSQMNFNVDQGIVHGQNTIPRIPADGKVGAASHISFTSDAKMLSFTSSDSEEQNPNTSSAGHHIQSHMEPLSTSSTANVLGDGEPTQISPQMAPSWFDSYGTYQNGRMVAMFDAHRSRKASVQQYFLQNAPAKMDNSNVIEQRLDSSQVGSYRQDTLAFKIAPSDTTSSLLPPDVMVHGRIIRSKKRKIANRDLLPWHKMVMGCPQSLQSISMPELDWAQAANRLIEKVDDEAETMDDGLFMPQSRRRIILTTQLMHQLIPAVPAVMFKGEATSGYQSVTFSIAKTALADACSLVSSSESDSHLLLGNENTINIGEVKTSKKVEEDTFLKLMEDFIGRSKKLSTDFSRLERKTSLLDVRLECQELERFSIVNRLGKFHGRTHADGVDVSSTPGNAYRRIFPQRYITAVPVPGNLPEGVCCLSL >Ma04_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6614639:6620707:-1 gene:Ma04_g09260 transcript:Ma04_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPEIESDVGSDASDDDASTVDKSPAGSAPSDDGDAGAASPGAAANGWITSAGGPDDMDVDAAASQIVLAEDKKYYPTAEEVYGEGVETLVMDEDEQPLEQPIIKPVRTLKFEVGVKDSSTYVSTEFLLGLASNPSLVRNVALVGHLQHGKTVFMDMLVEQTHEISTFDVQNERHIRYTDTRIDEQERRISIKAVPMSLVLEDSNAKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVMNKVDRLITELKLPPTDAYFKLRHTLEAINDLISSCSTTVGGTQMVDPVAGNVCFASANAGWSFTLQSFAKLYLKLHGIPFDSVKFASRLWGDMYYHPDQRVFKKKPPMAGGERSFVQFILEPLYKIYSQVIGEHKKSVEATLAELGVTLSNAAYKLNVKPLLRLACSSVFGFATGFTDMLVQHIPSARDAATKKVEHIYTGPQDSYIAEAMKNCDPYGPLMINITKLYPKSDCSVFDAFGRVYSGTIQTGQTLRVLGEGYSPEDEEDMTVKEVTKLWVYQARYRIPISKAPAGSWVLIEGVDASIMKTATLCPLYMDEDVYIFRPLRFNTLSVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGEIYLDSIMKDLRELYSEVEVKVADPVVTFCETVVETSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGVVSIDARQRDISDFFQKRYDWDLLSARSIWAFGPDKQGPNILLDDTLPSEVDKNLLNAVRDSIVQGFQWGAREGPLCDEPIRNVKFKILNASIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTQDVPKPGTPVYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKNIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADVHLQIM >Ma03_p30520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33142526:33149265:-1 gene:Ma03_g30520 transcript:Ma03_t30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQLHSTTLFDSLHASFSRLHFPRLLLPLRRCRCCRFSSSATFPSRNPYPNRDPCDKTLPSLKSDHQSAPWIKNWTEPRFRSLPKKPRAALDYRQSVSSDDDEYGTSRSTGSSAMAKIVEKLRKFGYIDDSEEVKERPLPEKGSVEDIFYAEDGILPDSRGGLSWDVNEKARFPWEKPREEEEEKQASARKTRSKTSLAELTLPEGELRRLRHLAIRTKSKTKIGGAGVTKEIVDLIHEKWKTEEVVRLKCEGPPALNMKRMHEILERKTGGLVIWRSGTSISLYRGVTYEIPQLVKRPYQSNQRASLDSFKQPLGYRAEAFVENGRGSHVAGPIEHLTVKVEEEKDVESPPKIEYESEIDKLLDDLGPRYTDWPGSGPPPVDADLLPSVVPGYKPPFRILPYGVRPSLGLKEGTALRRLARALPPHFALGRSRQHQGLAAAMVKLWEKSSIAKISLKRGVQLTSSERMAEDIKKLTGGAILSRNKDYIVFYRGKDFLSPEVTEALLERERLAKALQDEEEQARLRASSSVASDVDSFDESGTAGTLNETLEAAARWGNNIGDDHMDKMMRAAEMARHAGLVKKLERKLFIAERKLTKAGKALAKVEESLKPTEHVKDPEAITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKIIVKAKTFAQVQNVALALEAESGGVLVSVDKISKGFAIIVYRGKDYHRPPTLRPKNLLTKRKALARSIELQRREALNRHISNVQKKVEQLRSELVHLDNVKDHGDEELYANLDSAYSTEDEEDSEDEGDEAYLDTFNGSVAIDRDDGDAHNHNDDDDYNEESEADGTSNKDEHDSYFDGWINAVDISSDEHGGAQSHYKEDNDSDEDSEIDDYSEDDENDSEHYLRSSTSSANNQQSGLALPVADNMCI >Ma05_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2013790:2016685:1 gene:Ma05_g02890 transcript:Ma05_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGEPWRSELQRPPEPTKDPMEFLSRSWAASALEVSKALAPPPPPPPPQPAAIPEDAAAEVEELGVAAAVAGNTFSFASSATSQLVMERIMSQSEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPSDMDDVKFCGAGNAPKAQPYRGTSKTVGRWLKDRKEKKKEETRAQNAQLHAAISVAGVAAAVAAIAAATAAASGSGKDDRAARTDMAVASAATLVAAQCVEAAESMGAEREHLASVVSSAVSVRTPGDIVTLTAAAATALRGAATLKARALKEVWNIAAVIPVEKGGSANHTNHRRRSKQEKELDSNSSSFSDELVPEENFLGLCSQELLARGTELLKRTRKGALHWKIVSVYINKMGQVMIKMKSRHVAGTITKKKKNVVVDVCKDIQAWPGRHLLEGGEQRCYFGLRTAEQRVIEFECRSRREYDMWTQGVSRLLNIVNEKKHVA >Ma08_p33760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43967630:43970576:-1 gene:Ma08_g33760 transcript:Ma08_t33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRKRRPGERTDPEEEKPVTREEDGEEVIAQKREACRVIDQVKVLIEECLMQYMNKEEVLNDLCTRKGVDRGITETVWEKLVQDNSEFFQQYYAKTMLKKQILCYKYVLEKQVRLTHERFQSAAASSTYRYESLKVEFVVVSVQSQPENTMRHGSNSAGTSYMVGTNNQQSPMIHDEFPALVSTHVGRCASVPTARVPKGIITGDTRAIALEELTGTARVSDNSYMNNLGSGFPEGNLMGRPSFTGNMLDASFNPELVGQHYSKQFLDANISSGYSCQGSEGAGGIPPPHVTQFASASAANYQPCAQNPENMITHGGGIFTDLAPAHVMGNSAGMQASENPFGGMLHEVVGGGTMSSPCYPSSFDFGDAAVPASAMEASAPMLRSEPPNTGMLLHEPGGRGVMSAPFYSSSSGFANGNILYDYVVSSSKIKKSNRQIVEATDHDALCRASKVALAEELLFLL >Ma09_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:287595:290935:-1 gene:Ma09_g00340 transcript:Ma09_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g08210 [Source:Projected from Arabidopsis thaliana (AT4G08210) UniProtKB/Swiss-Prot;Acc:Q9SUF9] MRSIGLPNALNAARTWSSSATRPLGFFGRVLDVDAITSALRHCGRVLAIQEGMSIHSQLLKRGLCPDVLIANHLIDMYGASGFLDGAQRLFGEMPHRNVVSWTTLISAQTRAGHPNLAIETFTRMLNHGSEEPNGYTYSAALKACAIAGNHELGRWIHRHVLNNGLQSDVVLMNAVVDMYIKCGSFSEARFVFDEFSLANSTSWNTMIAGYFRKGSAFEAENLFYQFSKPDAISYNTMISGFAGMESPKALDYVYLMHSKGFKLDHYTIPCALKICGVLTFLKMGKQIHNYIIKSGFLFRCFILSSLIDMYSKCGQINEAIKQYDECMNYKGLTDRLPLLNSMFSGFVGNGYTAHALDLMSVIYRSGTQLDHFTFSSALRLCINLHNMRIGLQVHSLIIISGFHRNHIVGSNLVDLYSRCGNLVDAFRLFHDLSHKDVIAWTGLITGCLREGSNELVFNLFREVIRLKIKVDHFLVSNILKACSVIPWVQGGKQVHAYIVKGGFESESITVTSLIDMYSKCGEIDDGFKVFESEVEKDTVCWTGIITGCGSNGKATEALKLFREMIKAGVEPNEITFLSVLSACRHAGLVADSCRIFKSMEDRHGLKPWIEHYHCMIDILCRAGLFEEAREMISLMPYRHDETAHNSLLSSCQIHQNSELGKLAQVDLFQSISCDTSGYITLPNIYASQGFSDVSAKFRELSRRMNIKDAGKSWVQVRTQ >Ma09_p00340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:287595:290935:-1 gene:Ma09_g00340 transcript:Ma09_t00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g08210 [Source:Projected from Arabidopsis thaliana (AT4G08210) UniProtKB/Swiss-Prot;Acc:Q9SUF9] MRSIGLPNALNAARTWSSSATRPLGFFGRVLDVDAITSALRHCGRVLAIQEGMSIHSQLLKRGLCPDVLIANHLIDMYGASGFLDGAQRLFGEMPHRNVVSWTTLISAQTRAGHPNLAIETFTRMLNHGSEEPNGYTYSAALKACAIAGNHELGRWIHRHVLNNGLQSDVVLMNAVVDMYIKCGSFSEARFVFDEFSLANSTSWNTMIAGYFRKGSAFEAENLFYQFSKPDAISYNTMISGFAGMESPKALDYVYLMHSKGFKLDHYTIPCALKICGVLTFLKMGKQIHNYIIKSGFLFRCFILSSLIDMYSKCGQINEAIKQYDECMNYKGLTDRLPLLNSMFSGFVGNGYTAHALDLMSVIYRSGTQLDHFTFSSALRLCINLHNMRIGLQVHSLIIISGFHRNHIVGSNLVDLYSRCGNLVDAFRLFHDLSHKDVIAWTGLITGCLREGSNELVFNLFREVIRLKIKVDHFLVSNILKACSVIPWVQGGKQVHAYIVKGGFESESITVTSLIDMYSKCGEIDDGFKVFESEVEKDTVCWTGIITGCGSNGKATEALKLFREMIKAGVEPNEITFLSVLSACRHAGLVADSCRIFKSMEDRHGLKPWIEHYHCMIDILCRAGLFEEAREMISLMPYRHDETAHNSLLSSCQIHQNSELGKLAQVDLFQSISCDTSGYITLPNIYASQGFSDVSAKFRELSRRMNIKDAGKSWVQVRTQ >Ma10_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30678569:30680069:1 gene:Ma10_g20580 transcript:Ma10_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISTFRKAQRPQGPATIMAIGTANPPNLYEQSTFPDFYFRVTNSDHMPELKDKFRRICGKTMIKKRYMHLTEEVLKQKPGMCSYMDPSFDERQEIVVEEVPRLAKEAAAKAIKEWGRDKSGITHLVFCSTSGIDMPGADYRLVKLLDLPLSVNRIMLYNQACHIGAQMLRIAKDIAENHKDARVLVVACELNTLIFRGPDERDFLSLAGQAAFADGAAAVIVGADPIQGVEKTIFEMMSAEQVTVPDCEKAVGGHLKEIGLTFHFMNQLPMLISNNLENCLLEAFKPLGITDWNEVFWVSHPGNWGIMDAIEKKVGLKQEKLRSSRHVFGEYGNMMSATVLFVMDDVRKRSAAEGRATTGDGLEWGVLCGFGPGLTIETVVLRSVPL >Ma10_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33309350:33310934:-1 gene:Ma10_g24950 transcript:Ma10_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEALSPAVSSLRPAVALQGASGLATIHHHQPSLPLPRRPGPIAVGAQILRAEGPAGLFSGVSATVLRQTLYSTTRMGLYDMLKKRWSASGDGASLPLHRKIAAGLIAGGIGAAVGNPADVAMVRMQADGRLPPAERRNYRSVLDAIGRMARQEGVGSLWRGSSLTVNRAMIVTASQLATYDQAKEAILRQRGAGADGLGTHVAASFAAGLVAAVASNPVDVVKTRVMNMKVVKGAAPPYAGALDCALKTVKAEGPMALYKGFVPTVSRQGPFTVVLFVTLEQVRKMLKDF >Ma08_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5239102:5240092:1 gene:Ma08_g07640 transcript:Ma08_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSEATAIANEGSDASRKAPLAAPARFPFFLRKTGADGGWQRGVALFEFILRLCGIAATLVAAITMGTTNETLPFFTEFFQFHANFADLPALTFFVVANAIAAGYLVLSLPFSLAIMVRPRAIGPRLLLFICDTVMVALTTAAASAAAAIVYLAHNGDAKANWIAICLRFDGFCQRISGAVVASFIAVVFFFVLVVMSALVMRKH >Ma04_p35870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34440841:34454162:1 gene:Ma04_g35870 transcript:Ma04_t35870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMYGEQPHNPEFSRAPPPPSRQPSASSTNLAPDFPRPPAPYEAYGDSFAARRMRKVVQRRAVDYTSTVVRYMQVRMWQRDVGDRTALQPTPAAVLDILPTAAYADNPSISFAAKFVHASTNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDNAIRSMIWSHNDNWMVTGDDGGAIKYWQSNMNNVKANKTAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLIKLWDAKTGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHTKDVTALAWHPFHEEYFASGSFDGSIFHWLVGHETPQVEIPSAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDLSRDKYSLGQTPGYGDQNPILSGRGASGFSASEPPPTPGPFAVGLSRNEGTIPGIGVAMPLSVPSIDGSDQGEQRQPFPGNMPPGAPPLPPGPHPSLLASGQQQVYQQVPPVHQQHQPFSQQMPSMPISTNLPQLQPPLLPHPHGPPPRPPLAPLGMSASYPSSIPGSMPMPSSLVPSMTPSFPNSMMMQGSATQMPQAHMMGINQMNPGSVTSSNIPPAIGGFANPMANIQGTSTSGLQNFQIGGVFNLPQGGQMAPIPGLNAYQPGMMDVRVPGMALGGNIGMPPPPPTMPHGSAPQ >Ma04_p35870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34440841:34454142:1 gene:Ma04_g35870 transcript:Ma04_t35870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMYGEQPHNPEFSRAPPPPSRQPSASSTNLAPDFPRPPAPYEAYGDSFAARRMRKVVQRRAVDYTSTVVRYMQVRMWQRDVGDRTALQPTPAAVLDILPTAAYADNPSISFAAKFVHASTNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDNAIRSMIWSHNDNWMVTGDDGGAIKYWQSNMNNVKANKTAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLIKLWDAKTGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHTKDVTALAWHPFHEEYFASGSFDGSIFHWLVGHETPQVEIPSAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDLSRDKYSLGQTPGYGDQNPILSGRGASGFSASEPPPTPGPFAVGLSRNEGTIPGIGVAMPLSVPSIDGSDQGEQRQPFPGNMPPGAPPLPPGPHPSLLASGQQQVYQQVPPVHQQHQPFSQQMPSMPISTNLPQLQPPLLPHPHGPPPRPPLAPLGMSASYPSSIPGSMPMPSSLVPSMTPSFPNSMMMQGSATQMPQAHMMGINQMNPGSVTSSNIPPAIGGFANPMANIQGTSTSGLQNFQIGGVFNLPQGGQMAPIPGLNAYQPGMMDVRVPGMALGGNIGMPPPPPTMPHGSAPQ >Ma04_p35870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34440841:34454142:1 gene:Ma04_g35870 transcript:Ma04_t35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMYGEQPHNPEFSRAPPPPSRQPSASSTNLAPDFPRPPAPYEAYGDSFAARRMRKVVQRRAVDYTSTVVRYMQVRMWQRDVGDRTALQPTPAAVLDILPTAAYADNPSISFAAKFVHASTNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDNAIRSMIWSHNDNWMVTGDDGGAIKYWQSNMNNVKANKTAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLIKLWDAKTGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHTKDVTALAWHPFHEEYFASGSFDGSIFHWLVGHETPQVEIPSAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDLSRDKYSLGQTPGYGDQNPILSGRGASGFSASEPPPTPGPFAVGLSRNEGTIPGIGVAMPLSVPSIDGSDQGEQRQPFPGNMPPGAPPLPPGPHPSLLASGQQQVYQQPPLLPHPHGPPPRPPLAPLGMSASYPSSIPGSMPMPSSLVPSMTPSFPNSMMMQGSATQMPQAHMMGINQMNPGSVTSSNIPPAIGGFANPMANIQGTSTSGLQNFQIGGVFNLPQGGQMAPIPGLNAYQPGMMDVRVPGMALGGNIGMPPPPPTMPHGSAPQ >Ma07_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7568688:7571178:1 gene:Ma07_g10130 transcript:Ma07_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLISSMAGPAGVFAARHQDSFPSIPSSPARTSRGAAHVARARKGLSSRSRRLEKRDKKGGATTVNDEAPPAEVGGGGMLPMESPGGGGIEGFEYSTTPMPVLQGEDKDFWEGPQWNALGFFVQYQWAFGIVFALIACGIAVATYNEGATDFRQTPVYKESIQSRELLEEPEASNTDVFEANPTEVAPSLE >Ma09_p27510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38490268:38491413:1 gene:Ma09_g27510 transcript:Ma09_t27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPDWTSLPPDLLAKISEEFPIPHRARIRATCKDWHSAMVPVISPSPWLFVPDDDGEQHNSTFFSLPDKCSFTYPPLPELCGMTCVGSHAGWFVITDWKRKVSLLNPLTGNHVSLPSHVARWNVDRVDLQEFNPKSIGKIVFSSNPTVHNYVAVAICRFTDWELTYTKSGDDKWNLLETALTENDRSYKDIVHHDGKFYCATHEGAVVAFDLSGVSPSVTMVAQSSALASIIPVRTYHIYLAWTSTGELFLVLKLAIHLVLPDDLQKSKDVIVLRLQYSEDQPSWDVVKDLGNMSLLVGNNNSISISTKDLRGMRGNCIYLTEFYSTASSEWMLIVRKARVFDLKKGRWQWLHSSTINLPHTRITPVFFQQPFWFTPSLL >Ma01_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4051583:4053924:-1 gene:Ma01_g05740 transcript:Ma01_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEKTVVRNLSRFEARKLGLALLVGCCVVILTYFISMSETSVDQQPSVAYRVRNTEAVEGKIRLQNQERGRKTEDQHGNTADESVHRKNSSTIPHSITKEEKREPKQPEAEKIHAPKREDRMIQMMKPICDLNNPRTEFCEMKGDVRIHGKSSSVVFVSPHQPREEQWKVVPYVRKQMENIPKVTVRAAPNDGASAILPRCTVNQAVPAIVFALGGFTGNYYHDFTDVLLPLFLTARQFDGDVQFLITNIQPWWLLKYQPIIKRLTRYELVDLDHSDEVLCHPHVLVGLRFHNDLIIEPAQAPNAYSMLDFTEFLRGTYSLPRDHAISLREHPTKKPRLLVVERKGKRRFTNVPEIVRMAEASGFEVVRTDAKFGDVAGFASVVNSCDAIMGVHGAGLTNFVFLPKNAVLIQIVPCCELEGMASHTFRFPSSDAGLHYLEYNITVEESTLLDLYPRDHPVFTDPQSLHRQGFFKMGDVYLAKQNVRLDVNRFRPFLLKTMDLLRQ >Ma10_p24440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33034660:33038981:-1 gene:Ma10_g24440 transcript:Ma10_t24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMYEAIGSEESSFSEEQRAKINEVRASLGKLPDKLFLYSSDASLARYLTARNWNVKKTTKMLNDTLKWRLEYKPEEIRWDEVAHEAETGKIYRSSYSDKYGRSILVMRPGCQNTKSTKGQIRYLVYCMENAILNLPPDQEQMVWVIDFQEFNLSNISLKVTKETADVLQNHYPERLALAILYNPPKFFEPFWMMAKPFLEPKTYKKVKFVYSDDNGTKKIMEDLFNVDELDCAFGGNNQVSFNIDDYAARMREDDKRMPLLWKRSNSSSSDKQLPATTPDVSLGKSASDSDEPEKEIDGQVRNN >Ma10_p24440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33034660:33038976:-1 gene:Ma10_g24440 transcript:Ma10_t24440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGCQNTKSTKGQIRYLVYCMENAILNLPPDQEQMVWVIDFQEFNLSNISLKVTKETADVLQNHYPERLALAILYNPPKFFEPFWMMAKPFLEPKTYKKVKFVYSDDNGTKKIMEDLFNVDELDCAFGGNNQVSFNIDDYAARMREDDKRMPLLWKRSNSSSSDKQLPATTPDVSLGKSASDSDEPEKEIDGQVRNN >Ma10_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33034660:33036047:-1 gene:Ma10_g24440 transcript:Ma10_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGCQNTKSTKGQIRYLVYCMENAILNLPPDQEQMVWVIDFQEFNLSNISLKVTKETADVLQNHYPERLALAILYNPPKFFEPFWMMAKPFLEPKTYKKVKFVYSDDNGTKKIMEDLFNVDELDCAFGGNNQVSFNIDDYAARMREDDKRMPLLWKRSNSSSSDKQLPATTPDVSLGKSASDSDEPEKEIDGQVRNN >Ma10_p24440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33034660:33038967:-1 gene:Ma10_g24440 transcript:Ma10_t24440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNLQRSMSSVMYEAIGSEESSFSEEQRAKINEVRASLGKLPDKLFLYSSDASLARYLTARNWNVKKTTKMLNDTLKWRLEYKPEEIRWDEVAHEAETGKIYRSSYSDKYGRSILVMRPGCQNTKSTKGQIRYLVYCMENAILNLPPDQEQMVWVIDFQEFNLSNISLKVTKETADVLQNHYPERLALAILYNPPKFFEPFWMMAKPFLEPKTYKKVKFVYSDDNGTKKIMEDLFNVDELDCAFGGNNQVSFNIDDYAARMREDDKRMPLLWKRSNSSSSDKQLPATTPDVSLGKSASDSDEPEKEIDGQVRNN >Ma11_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5028711:5030170:1 gene:Ma11_g06220 transcript:Ma11_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVLVCIRREVKCFIDLTADVVCDLWPTAKEVGGCLERHHEASSLTFAIQDEPQAGQTVPHVHIFILPRKKGDFEKNDEIHYAIDLKEKELKEKLDLDRERRDRTPEEMDLEADEYRALFS >Ma05_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12138075:12141167:1 gene:Ma05_g15730 transcript:Ma05_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWSLLMVASRPVLQVLLVGLIGAFLASGYVNILSSNARRDVNKIVFVVFIPALVFASLAKTVTAKDIISWWFMPVNIGITFLIGGILGWAAAKMLKLEHHLQALVIASCSAGNLGAMPLIIVPAICDEDGNPFGLSKICRVQGISYASFSMVVGNLFIWTHTYSLIRKSVSHSSGNHGHDVLTRMNKNPETNRKTRMFDAQEEQDYDDRRALLLPPSDTSDRLAEHQSETEGQLLGRNLSDIIMHIWEKLKGTFHKMSEELLSPPTISAIIGFTVGAIPWLKAFIVGETAPLKVVHDSMTLLGDGTIPCTIIILGGNLTEGLRKSTVKPLVIVAIICVRYVILPLSGIVVVMAAGRLGFLPRSPLYCFILLIQYTVPPAMSIGTMAQLFDACQEECSVIFLWTYLVAAFAVTIWSVVFMWILL >Ma05_p15730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12138179:12141167:1 gene:Ma05_g15730 transcript:Ma05_t15730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWSLLMVASRPVLQVLLVGLIGAFLASGYVNILSSNARRDVNKIVFVVFIPALVFASLAKTVTAKDIISWWFMPVNIGITFLIGGILGWAAAKMLKLEHHLQALVIASCSAGNLGAMPLIIVPAICDEDGNPFGLSKICRVQGISYASFSMVVGNLFIWTHTYSLIRKSVSHSSGNHGHDVLTRMNKNPETNRKTRMFDAQEEQDYDDRRALLLPPSDTSDRLAEHQSETEGQLLGRNLSDIIMHIWEKLKGTFHKMSEELLSPPTISAIIGFTVGAIPWLKAFIVGETAPLKVVHDSMTLLGDGTIPCTIIILGGNLTEGLRKSTVKPLVIVAIICVRYVILPLSGIVVVMAAGRLGFLPRSPLYCFILLIQYTVPPAMSIGTMAQLFDACQEECSVIFLWTYLVAAFAVTIWSVVFMWILL >Ma05_p15730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12138177:12141167:1 gene:Ma05_g15730 transcript:Ma05_t15730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWSLLMVASRPVLQVLLVGLIGAFLASGYVNILSSNARRDVNKIVFVVFIPALVFASLAKTVTAKDIISWWFMPVNIGITFLIGGILGWAAAKMLKLEHHLQALVIASCSAGNLGAMPLIIVPAICDEDGNPFGLSKICRVQGISYASFSMVVGNLFIWTHTYSLIRKSVSHSSGNHGHDVLTRMNKNPETNRKTRMFDAQEEQDYDDRRALLLPPSDTSDRLAEHQSETEGQLLGRNLSDIIMHIWEKLKGTFHKMSEELLSPPTISAIIGFTVGAIPWLKAFIVGETAPLKVVHDSMTLLGDGTIPCTIIILGGNLTEGLRKSTVKPLVIVAIICVRYVILPLSGIVVVMAAGRLGFLPRSPLYCFILLIQYTVPPAMSIGTMAQLFDACQEECSVIFLWTYLVAAFAVTIWSVVFMWILL >Ma01_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15222112:15222836:1 gene:Ma01_g19550 transcript:Ma01_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCCNLELTFGVSVHRMWKAAACEDHVMYPKIVPEYFASVELIGDGEAGSTKIFRFTPAAKPLSFVKDHVEVLDHASHTLRYKTIEGGYVGLTLKSITFEYRYEALSDDACAVKIKMEYDTLDDKPVGGEEVEKMKEGTARLLKAVEGYLLANPGACA >Ma01_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27343243:27346224:1 gene:Ma01_g23190 transcript:Ma01_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDLFAEVKPIWITSKQFYGVPYIWCMLHNFAGNIEMYGILDAIALGPIEARTSENSTMVGVGMSMEGIEQNPVVYDLMSEMAFHHKPVDVKIWVDLYATRRYGRFVPALQDAWQILYHTLYNCTDGAYVSLIFVFSIIG >Ma08_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2765561:2768602:-1 gene:Ma08_g03900 transcript:Ma08_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFALFCTRVNILFLLIALCSPCKFIQSPMDFGPLNFHQTTDTSSLDFGRIVFDSPSAVLRPQSPKEISLLLGFLSASSFSKVTVAARGAGHSIDGQAQALDGIVIEMDSLPSTISIHRGRESGSSYADVSGGALWIELLRESLRFGLAPRSWTDYLYLSVGGTLSNGGISGQTFKHGPQISNVLQLDVVTGKGEQVTCSPTESSELFFAALGGLGQFGIITRARILLQDAPPKVKWVRAFYDDFETFTEDQELLVAMPDAVDYVEGFILLNEQSLHSSSVAFPSHLEFTPEFDSNGSNYRVYHCIEFAIHDYQVESTDVEQVVTEISRKMSHIPSHFYSVEVSYFDFLNRVRMEEVSLRSRGLWEVAHPWLNLFVPKSGIREFKDLLLDNISPTDFEGLVLIYPLLRDKWDANSSAVLPDGGGRAEEQVMYIVGVLRSANPATCAAQCLRDLLRRRRRVAKVATDPRIGAKQYLARHPSQGQWQDHFGRHWDRFVARKAQFDPLSILAPGQGIFPRTYASSS >Ma03_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9118820:9135976:-1 gene:Ma03_g11760 transcript:Ma03_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGARVSIPAGVRRTIQNIKEIAGNHSDEEIYAMLKECGMDPNETAQKLLLQDPFHEVKRKRDKRKETVREPADSRWRPGLQGRGGRGGRGNYPRSVPSDAAAGRNVTSGKDNGLNQGTDKANTSSSSTIPDTENRSAISIPSSISGVASDPSNINHPMLSQGSNVSDVSGIAQWDKSSGAVATKPGISGSVLKDVNHGFASGRPDSDQCSSDKTAAPVLEIYASVSDPVLVPSLDTHKACELEAVKQVTGIQSSIVETATSRAASRDISGYKLSYISGKGSSDSSDAFIHGKIQIKPQGPGKSELSEKSHSKSSFSGSIGSRPSSNYNNRSQHLSGSQKAPVPNKEWKPKTIQANAAQASEMTDTSDVLVVAEAVAQSLPAACSVTTEEPAVKLEKKVNELKLTEKQHVIIPNHLQVPESERHGLSFGSFDANFELNMVAANGPARVEIDTPFSESPEIEETIEKPSLSIHTASSAAQEADSPDHPQSPEQVPDSYSTEEAGVSASKSAAAEYDQSKQQANVSPEGPQNLVIQSAPSYPSLGMTPQVLGSQFSPFENSEPQARDSSRLPNFLVHQPYDPSTSYYTPFYRPTGDADGRISPFLASSASSRYNANIAVLPAQTGQTSQENTNSAVLSTVGSTLATQAAGAMQGSVAIPQQPVPVFRQPAGLHLSHYPPNYIPYNQYFSPFYVPPPTLHHFLSGAAFPQQPPTGSMYQTPGAATPATAVKYSIPQYKAGANIGNSAIVGLPTVYGTYSSTPAGYTSGPAASSGNSTANEDLGSSQFKENSVYVPGQQSEGSAVWIPAPGRDISALQASSFYSIPPQGQHMTFAAAQAGHGAFSGIYPPTPTVAASVHPLLQQSQTVAGAVEMLGPPAGVYQQPQRAQINWTNNY >Ma03_p11760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9118820:9135979:-1 gene:Ma03_g11760 transcript:Ma03_t11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGARVSIPAGVRRTIQNIKEIAGNHSDEEIYAMLKECGMDPNETAQKLLLQDPFHEVKRKRDKRKETVREPADSRWRPGLQGRGGRGGRGNYPRSVPSDAAAGRNVTSGKDNGLNQGTDKANTSSSSTIPDTENRSAISIPSSISGVASDPSNINHPMLSQGSNVSDVSGIAQWDKSSGAVATKPGISGSVLKDVNHGFASGRPDSDQCSSDKTAAPVLEIYASVSDPVLVPSLDTHKACELEAVKQVTGIQSSIVETATSRAASRDISGYKLSYISGKGSSDSSDAFIHGKIQIKPQGPGKSELSEKSHSKSSFSGSIGSRPSSNYNNRSQHLSGSQKAPVPNKEWKPKTIQANAAQASEMTDTSDVLVVAEAVAQSLPAACSVTTEEPAVKLEKKVNELKLTEKQHVIIPNHLQVPESERHGLSFGSFDANFELNMVAANGPARVEIDTPFSESPEIEETIEKPSLSIHTASSAAQEADSPDHPQSPEQVPDSYSTEEAGVSASKSAAAEYDQSKQQANVSPEGPQNLVIQSAPSYPSLGMTPQVLGSQFSPFENSEPQARDSSRLPNFLVHQPYDPSTSYYTPFYRPTGDADGRISPFLASSASSRYNANIAVLPAQTGQTSQENTNSAVLSTVGSTLATQAAGAMQGSVAIPQQPVPVFRQPAGLHLSHYPPNYIPYNQYFSPFYVPPPTLHHFLSGAAFPQQPPTGSMYQTPGAATPATAVKYSIPQYKAGANIGNSAIVGLPTVYGTYSSTPAGYTSGPAASSGNSTANEDLGSSQFKENSVYVPGQQQSEGSAVWIPAPGRDISALQASSFYSIPPQGQHMTFAAAQAGHGAFSGIYPPTPTVAASVHPLLQQSQTVAGAVEMLGPPAGVYQQPQRAQINWTNNY >Ma04_p35510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34264478:34267205:1 gene:Ma04_g35510 transcript:Ma04_t35510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLSWSPLCVKRKAILDIQACNWRLPKLSRQLQSPIFHRKGLKNLSLCRSNLFPWEAETPPYATTDDGDAIIKGSNIVEAIDAEDKSGIPIFQGEEDVIDMKNQPPSLHQPLKWPMWLLGPSVLLVTGMVPTLWLPLSSVFLGPNIAGLLSLVGLDCLFNMGATLFLLMADSCGRPPRSSIQQNSQVPLGYRLWNLGASVLGFLVPLVLLFASQRGSLEPHLPFISFAVVLGPYLLLLSIQMLTEMLTWHWRSPVWLVTPVVYEAYRVLQLMRGLKLAGEIGAPAWMMESVRGLVSWWVLILGIQLMRVAWFAGFASQNHQ >Ma04_p35510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34264495:34267205:1 gene:Ma04_g35510 transcript:Ma04_t35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLSWSPLCVKRKAILDIQACNWRLPKLSRQLQSPIFHRKGLKNLSLCRSNLFPWEAETPPYATTDDGDAIIKGSNIVEAIDAEDKSGIPIFQGEEDVIDMKNQPPSLHQPLKWPMWLLGPSVLLVTGMVPTLWLPLSSVFLGPNIAGLLSLVGLDCLFNMGATLFLLMADSCGRPPRSSIQQNSQVPLGYRLWNLGASVLGFLVPLVLLFASQRGSLEPHLPFISFAVVLGPYLLLLSIQMLTEMLTWHWRSPVWLVTPVVYEAYRVLQLMRGLKLAGEIGAPAWMMESVRGLVSWWVLILGIQLMRVAWFAGFASQNHQ >Ma04_p35510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34264488:34267205:1 gene:Ma04_g35510 transcript:Ma04_t35510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLSWSPLCVKRKAILDIQACNWRLPKLSRQLQSPIFHRKGLKNLSLCRSNLFPWEAETPPYATTDDGDAIIKGSNIVEAIDAEDKSGIPIFQGEEDVIDMKNQPPSLHQPLKWPMWLLGPSVLLVTGMVPTLWLPLSSVFLGPNIAGLLSLVGLDCLFNMGATLFLLMADSCGRPPRSSIQQNSQVPLGYRLWNLGASVLGFLVPLVLLFASQRGSLEPHLPFISFAVVLGPYLLLLSIQMLTEMLTWHWRSPVWLVTPVVYEAYRVLQLMRGLKLAGEIGAPAWMMESVRGLVSWWVLILGIQLMRVAWFAGFASQNHQ >Ma04_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6572813:6575928:-1 gene:Ma04_g09210 transcript:Ma04_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIGAGRRGAACLLRRINVSPSQTAMLHGMSTATRRNCAANFMLEELEDVGESMSQAKSSVMSLAKSLACLPDEFLASKEKRPLTRMEHKRHIELGIKKRVKAQYSNGKYHGLMSKVVANADTLHDSYDMIRLNSNIMLTSKKDDICFSSLAEQLASGEFEVQSNALSIAAKTKCKDCLVLPKLKLRIVQEAIRLVLEVVYRPHFSKISHGCRSGRGQISALNYVGKEIGVCDWWFRLFINKEADQRVLSKLMSEMKDKIEDSELLSFIQSMFDARVLNLVFGRFPKGHGLPQEGVLSPILMNIYLDNFDHEVFGMCMRYEGLGTETGDRKDAQSSKLRSWIRKQIKESDDKSVDQVNDGAGTRISACRYMDEIFVAVSGSKDTAMKIKAEIVSYLKNTLNLDVEDRMDLSAIRENSRGIQFVGTVVRLTTPASAELRAVHKLKDKVRLFSSQKQEIWDAMTLRIGKKWLAYGLRRIKESEIEQLGLSTPLLDHISQFRKDGMKTDHWFKSLLKIWMQDVNAKIESSEEVLLSKYIAEPALPQDLRDAFYDFQKQANEYVSSETAAIVELLHRPCSHPKPSTQGAVTRLEAPMSSIKRSLHRYGLINLEGFPRHVCMLMLQDDELIISWFAGLVRRWLKWYSKLDNFGDVKLLMVECVRKSCIRTLAAKHRMHEVLVEKKFELEQHGIPLTEDMDTDNTVMESDDDESLMFGISGSGLCLLSLSRVKVPARVFNCFVMGCTMASPSMYTLHVKERQRFPGWRTGFATSIHPSLHGRRIGLCSQHVKDLYLGNISLQNVEFGALSR >Ma07_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11969656:11974889:1 gene:Ma07_g15770 transcript:Ma07_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSMLGSSSTSQKRFPKLRGVRWRIDLGVLPSSLSASIDDLRCATADARRRYASLRRRMLMDFHLPRAVDRTPDLTMDNPLSQNPDSTWSRFFKNVELEKLIDQDLSQLHRAHGSYFQTPTCQTMLRRMLLLWCLRHPEYGYRREMHELLTPLVYVLLVDLDHLSQVQKAYESHFSEFDEMSFSELNQVSDNRFRRSISGGMEIEKEGNQNGIAKVHSIDELDPDTKEILLLSDSYGAEGELGVLLSERFLEHDAYSMFDSLMNGARGVVAMADLFSSSPVVFEVSSSFYYLLSMVDSTLYSHLVELGVEPQYFALRWLRVLFGREFDFDDLLMIWDELLSSPNSICIDKDAKYRFKILCAPRGAFIASMAVAMLLHLRSSLLATENATFCLQRILSFPKNPDMKILLEKAKSLEILAIDSNKLLSSSQETSNKNKLAITRGYNLSSGSSSARTAPNQVPDSYWEEKWNLLHKTEETMMRSDGFLDSSGMKFLGERSILSRTASHPSPADGGGRKNKHFSVRHKLLDDFSQDINSVVEHMNSKQSNFLVSNNIESSPLEVNAGNHFMEELNDDNNIRRVTSDRTAEETCLSGECSSIFFIATHHSLSKNKDNDSDKSSITSNSCICDNDQEASIMEELCCRSYNNQLVRDDEVVSAANDEPKSGQEFVSDDGKPLTSKFQWFWKFSRSSAEGNLEKGGNQESERSSAAENTKNSCSTPTFVQTSNSQDVSKRGDVGDNKVLGTLRILGQSMLENIQVIETVFQQDRVQSLSLESFSNNILGGKGQATAVSALKELRKISSLLCEM >Ma10_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28497774:28512053:1 gene:Ma10_g16940 transcript:Ma10_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQEKATPAHASVGVAGAKAGGVPGPVQEWVTAAVDKQASAPPVEAVSPLIAPVEDVQDPPAKPSSKGIPVMMRAQTSHPLDPLSSAEISVAVATVRAAGATPEVRDGMRFIEVVLLEPEKNIVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRRARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSEVVHDVQPSMDAMEYAECEAAVKNYPAFIEAMRKRGVEDMDLVMVDAWCVGYYSDADGPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDIQNNVIIEFEDRKLVPLPPADPLRNYTAGEMRGGIDRSDVKPLHILQPEGPSFRVNGYFVQWQKWNFRIGFTPREGLVIHSVAYIDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYLKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNYTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLSVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGESRKFGTTIAPGLYAPVHQHFFVARMNMAVDCKPNEAFNQVVEVNVKVEEPGKDNIHNNAFYAEEKLLRSELQAMRDCQPLSARHWIVRNTRTVNRTGQPAGYKLMPGSNCLPLAGPEAKFLRRAAFLKHNLWVTSYKHDEMYPGGEFPNQNPRINEGLATWVRKNRSLEETDIVLWYVFGITHIPRLEDWPVMPVEHIGFLLMPHGFFNCSPAVDVPPSPNEADKECGAPKLMHNGLVAKL >Ma09_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3411314:3424686:1 gene:Ma09_g05290 transcript:Ma09_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIATYRESLSRIANEVLDTADELEAPRSRLSEGESPASARRLPRRLSRISPPTGSPTANGVDSGPQDEIAKYKADILKLQASEAEIRALSVNYAAILTEKEEQLSKLREENGSLRKSLEASALHPSRDESHKTLTNNSNALKGNSEHSPGRRQRHFSQENSHSTGNHTPKSNVPRQDGLSNGAMQKHANSHGNGKEGPGLLHENKSVAASKSSFEADIERLRAQLDKECQNAGTLKQKLQEERQLNESYLSNINDLKMDKERSSIELKELRKELNEKISELGQLDAELKKRVMEQESNISLENAKNMIVTLEKENAKLKIEKDELEQNLKLHVQSTSEKAVDTTEDVEKMTLSIKRLEEELMDTRKGRDKALHELARLKQHLLEKELEDSDKMDEDTKMIEDLRANCEQQRAHVMQLEKALRQEIAKKDELKKLKSDELRNSNETISDLKQKLANCMSIVNSKNVELLNLQTALGQYYAESEAKERLGRDLSRAREEAAKLSESLKVANQELVIAKREKEEIASKLAQTERMLSEGKNFIQKLEEDNTKLRHALEQSVTTLNRMSLDSDNHVDRRIVIKLLVTYFQRNHSKEVLDLMVSMLGFTEEDKQSIGFAQHAAGKGVVRGVLGLPGRLVGGILGGSSPETSSRVASDNQSFADLWVDFLLKETEEREKRESSEASSRRSTNSPLEHGSKLQTSSVSSSPTGQTISTTPPPRRYHQILDHADGEFATVPLTSSASTHPAQSSRSRPPTGYY >Ma04_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6061547:6066090:1 gene:Ma04_g08480 transcript:Ma04_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Ma09_p27610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38562778:38574772:1 gene:Ma09_g27610 transcript:Ma09_t27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVTNSDGVTVPGALEFLKWREGGEFLRWIGGIWREVRPRAVAPALRVAVAVCLTMSVMLLVEVVSMAAVSLAIKLLRRSPERRYRWEPIAARDDPEAASLAFPLVLVQIPMYNEREVYKLSIGAACALSWPSDRIIIQVLDDSTDPTVKDMVEVECRLWANKGININYEVRENRRGYKAGALKEGMEHSYVQQCEFVAIFDSDFQPEPDFLMRTVPFMVHNSKIALVQARWQFVNFSDCLMTRIQKMTLDYHFKVEQEAGSSTFAFFGFNGTAGVWRISAINEVGGWKDRTTVEDMDLAVRASLKGWKLLYVGDLKVKSELPSTLKAYRHQQHRWTCGAAHLFRKIIVEISMAKEVSFWKKCYLIYSFFFVRKIVAHTVTFFLYCIVIPTSVLVPEVIIPTWGVVYIPTTITLLNAIRNPRSIHLMPFWILFENVMSMHRMKATMIGLFEAGSVNEWVVTEKLGDTLLEKTKIPILEKPSSGLRERIHLPEIGFAVFLFLCASYNLAYGKNSFYVYIYLQAVAFLVVGLGYVGCFISNT >Ma03_p32720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34393937:34399833:1 gene:Ma03_g32720 transcript:Ma03_t32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLGPHHHPPPPAPEHDAVNSAAPPCDQEMAEDKQASVMEGNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGNGSFGIVFQAKCLETRETVAIKKVLQDRRYKNRELQLMRSMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLRHYSSVNQRMPLIYVKLYTYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGDSAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLTSRLLQYSPSFRCTALEACAHPFFDELREPNARLPNGRPLPSLFNFKPELAGASPELIAKLIPEHVRRQSGLSFLQMPGT >Ma06_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5830115:5845691:-1 gene:Ma06_g08250 transcript:Ma06_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGLTVVLRAALSPIPDERKAAEESLNQFQYTPLHMVRLLQIVVDGTCDMGVRQVASIHFKNFVAKNWSPDEPGEAQKVLETDKSMVRENILGFVAQVPPLLRAQLGECIKTIIQADYPEKWPSLLHWIKCNLLSQDQQVLGALYVLRVLARKYEFKSDEERIPLYAVVEETFPLLLNVFNKLVQIVNPTIEVADLIKLICKIFWSSIYLEIPRQLFDPNVFGAWMALFLNILERPVPLEGQPSDPDVKKSWTWWKVKKWTIHILNRLYTRFGDLKLQKPESKAFAQMFQKNYAGRILGCYLQLLNAIRIGEYLPDRVTNLILQYLSSSISKTSMYQLLQPQLDIILFEIVFPLMCFNDNDQKLWSEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKGNFQKFIHFIVDIFTRYNEASVEFKPYRQKDGALLAIGTLCDKLKQTEPYKSELERMLVQHVLPEFTSPIGHLRAKAAWVAGQYAHINFSDQNNFRRVFHCVVSGLRDPELPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAFGLCQNLAAAFWRCLDASESDDEADDAGALAAVGCLRAISTILESVSGLPHLFVQVEPTLLPIMRKMLTTDGQDVFEEVLEIVSYMTFYSPTISLEMWSLWPLIMDALGDWAIDFFDNILVPLDNYISRSTSHYLTCKDPDYQQSLWNTLSTIMSDRNIDDADMEPAPKLIEVVFQNCKGQVDHWVEPYLRITIERLRQAERSYLKCLLMLVIADALYYNSSLTLGILHKLGVATEVFNLWFQMLQEVKKSGMRANFKREHDKKVCCLGLTSLLGLPADQLPGEAFERVFKATLELLVSYKEQVAEAKKQDDAEVDDDMDGIEDDDEDEEESDKEMGDDAEDGDEADSLKLQKLAAEARGFQSNDEDDDSDDDYSDDEEFQSPIDEVDPFIFFIDTVQAVQASDPARFQNLTRTLDFHYQALASGVAQHAEQRRVEIEKEKLEKAATQ >Ma04_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7669435:7671597:1 gene:Ma04_g10840 transcript:Ma04_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYPSSGGRHSCVCGSRVCLLCVHCLICWKEVVSICDHGALHWLCKRYHIGISLFFFLEYFSLCPSSKVRASFAITCVFPLYAWCAATDPGDPGIFRSKKYLKVEDCKEEIYPNKFRNGTSINLPCYNVPLLALLLDWYPVKYVCDWCNSPKLSPEQQMSEEGMFYCSLCEVDVLKYSKHCRACDKCVDVFDQCCRWLNNCVGRKNYKGFFVLMASALLLVSMVCSPWASLF >Ma06_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3774616:3776836:-1 gene:Ma06_g05060 transcript:Ma06_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKASKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIKKFAQKAMGTTDVRVDVKLNKHIWSRGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPPEGFKGLGTKVVDEAD >Ma04_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1008888:1009759:-1 gene:Ma04_g01170 transcript:Ma04_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G75350) UniProtKB/Swiss-Prot;Acc:Q9FWS4] MALSLNTPFLPTVSMKPSSAKLPLPAQVGGGRWVCRKQGGVHPEFYEDAKVYCNGELVMTTGGTRKEYAVDVWSGNHPFYLGSRSALAVEDDQVEKFRKKYGELSSLMEIPVLKHGEIVLPQRKKGSAKGKGKK >Ma04_p30110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30847369:30855179:-1 gene:Ma04_g30110 transcript:Ma04_t30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSFIHHKFLVCTILSVISLRNAAAQHEGEPYAMRISCGAPKDVTTKPTNTLWKKDFGYTGGKQANATIPSFIEPQLKTLRYFPLSDGPENCYDITDIPRGHYEVRLFFALIADPNFDNEPMFDISIEGTQIHSLKSGWSNNDEQSFVEALVFVTNASFTACFHSTGHGDPSILSIEILQVDDTAYYSGPLWGKGTVLRTAKRLTCGTGKSAFDEDYGGSHWGGDRFWLAISYFQDSGHSISTEHSISMTSISPNFYPEKLYQSAIVGSDLQPDLSFEMEVEPNRNYSVWLHFSEIDPRITGEGERTFDISLNGDIAFENINIIGMTGGSNAALVLNTTVEVSGKALTIVLRGTNGSHAIINAIEVFEVISVESKTSADDVGTLQILKSSLGLPRRLGWNGDPCVPQQHPWSGVDCQFDSKSGNWVIDGLGLDNQGLKGFLPNDIAKLQHLQSINFSENNLYGAIPSSLGTIAGLQTLDLSYNQLNGSIPESLGQLTLLQILNLNGNLLSGRVPASLGGLPLHRASFNFTGNAGLCGIPGLPSCGPRLSVAAKIGIAFGASFVFLLAVVAALIWWKRRENILRAQRIAAAREAPYAKARTHFARDVQMTKHHRPRDQVRSHTLIMDPT >Ma10_p29660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36170110:36171732:-1 gene:Ma10_g29660 transcript:Ma10_t29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVNLKKGPWTVEEDQKLLAYVEKHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGEFSPQEEKTIIQLHALLGNRWSAIASHLPKRTDNEIKNYWNTHLKKRLAKVGIDPCTLKATSNTLSSADGRPRSAANLSHMAQWESARLEAEARLARESKLRAASGSMNLQQQQQQMRSSSLGEPISSPCLDVLRERKNTPGENVDLESPTSTLSFPENRPPTTESGTGLVDGDVAVAPASQQGSENSEPEGAEWRFSGNDTTDCLTGFSVEAFDGEAPWLSEPYASQAGCSWGQFGAGFTGMLLGEFGEQKTRDHSRDSHTNGHETCADEEEEEGEENRNYWNSILNWVNSPSSSSSPVSYNPD >Ma09_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31657490:31659205:-1 gene:Ma09_g21030 transcript:Ma09_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYQFWTKANDKGIFTITHVRTGDYNLYAWVPGFIGDYKLDMTITISSGSQINLGDLVYKPPRDGPTLWEIGIPDRSAAEFFVPDPNPIYVNKLYVNHPDRFRQYGLWERYADLYPDSDLIYSVGASDYRKDWFFAHVTRKIGENSYQATTWQIKFQVDSVNQTGAYKLRVALASATISELQVRFNDATINPPHFTTGLIGRDNSIARHGIHGLYWLFNIDVQSAWLIQGDNTIYLTQTKSTSPFHGIMYDYIRMEGPPGQ >Ma09_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9999955:10005110:1 gene:Ma09_g14650 transcript:Ma09_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLVWRRRTAEGFYRPAGQLPSVAALGFCRRARFLVPLSPPQPSEKWRRRGRDGVGVFEIGNFGIDACHEGSRRAEAKALPPSKTPHASKIGLAVIERLAILVFLKLQITLSQVCEEIQSIFLQMGFEEMPTNNFVESSFWNFDALFQPQQHPAHDSHGTFFFLKCFVLADPGSRRSLPDDYVERVKLVLESGGYGSKGYGYDWKGKKLIRISFGHTQLQFLLEYFTCLLSRANRWKMEPHS >Ma07_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6960328:6963015:1 gene:Ma07_g09270 transcript:Ma07_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFAFLSVRRRRSPLSGMFFAPGDLAGFALVHALAALSADVARCPSRFQRCNARSLVRRLRILSALFEFLEESFASSPSSPLPPRFPSSAALCLKELYIFVYRSKILLEYCSQSSRLWLLLRNPQISGSFHDLARELATLLDVIPLDDLLLTVDIREHLELLRRQCKRSELFIDPYDEELRRKIHSFLRRLETGVLPDPAELRSTFVDGLGIQDARAFRTEIEFLEEQVFNQEEDMDLPVVGGVIALTRYCRFLFFGFQEMEFGRPFGDQGRISRRRLSSLGSSVSQGSADFSLTIPKDFCCPISLDLMKDPVVVSTGQTYDRASITQWIEEGHRTCPNSRQTLANSRLRPNRALRNLISQWCAAYGIPYGTPEGTGTSAESTVAACSSKAAIEANRATARILVQQLSAGSQEWKTVAARELRLLAKTGKENRSLIAEAGAIPLLCRLLHSTNPVAQENAVTAILNISIHDSNKRWIMEEEGCLKLIVYVMIHGLTTEARENAAATLFSLSAVHEFKKMIADEQGAVMALANLLMQGSTRGKKDAVMALFNLSTHPGSWSPMLDMGAVSALVGALRDECVAEEAAGALAFLMRQPFVAQTIGGEDTAITNLVGIMRRGTPKGKENAVAALQEMCQSGGLAVTQKVARMQVLSGLIRTISLTGTKRARRKAALLSKLCQRCEAPTAMAFGNDWLADRTLARTNSVRGSSFRSGNLSVSVSMTIQVPVL >Ma11_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16105622:16109867:-1 gene:Ma11_g12220 transcript:Ma11_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDGPARLMIVSDLDHTMVDHHDPENTSLLRFNALWESLYRHDSLLVFSTGRSPTLYKQLRNEKPMLTPDITITSVGTEITYGDSMVPDQGWVNVLNQKWDRNVVLEETAKLPQLKFQSETEQRPHKVSFYVEKGHAQDVISSLSDRFEKRGLHVKIIYSGGIDLDILPQGAGKGQALAYLLKKFKEGGKPPINTLVCGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAEHAKENSKIIHASNRCAAGIIEAIGHFGLGPNTSPRDSSDILVSKLDNSNPSHEVVKFYITYERWRRAEAEKSDLLIQSLKHICHPTGIAVHPSGVEHSLHECIDTFGPHYGDKQGKQFRVWLDRVFTSQIGSTSWLVKFDKWELTDDGRHCSLTTILLNSEPGNPGAFSLLHVHQTWLEGFAARCQNDWIF >Ma02_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28457584:28460192:1 gene:Ma02_g23480 transcript:Ma02_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPFFFGVFLVSLVLMSSIPGGAPDLAADGAALLALRAAVGRFVLPWNASDSPCTWQGVACGSSRVTALRLPAVGLIGSIPAGTVGNLSELRVLSLRYNALSGDLPPDLPALSELRNLYLQQNRFSGEIPPALGSLKNLVRLNLAGNQFSDGIPPELNNLTRLRTLYLETNRLAGEIPRFDLSNLAQFNASFNQLNGSIPSALRGFPASAFLATGLCGGPLGPCPGEIAPSPAANSPSSQGPVAQVPVGGNAGGAAGNDEKKLSGGAIAGIVIGSAVFLLIWLILLILLCRRSGKSKTSSLEAVEARGKQSEASAASERDKGLGGAAGGNVQSATPVAAAGAEKLVFFGGRAALFDLEDLLRASAEVLGKGTFGTAYKAVLEMGTTLAVKRLRDVALTEREFREKVEVIGAMDHPTLVPLRAYLYSKDEKLLVYDYMPLGSLSALLHGNRGSGRTPLNLETRIGIVLAAARGIEYIHSAGPWASHGNIKSSNILLTKSYEGRLSDHGLALLAGPISSPTRVSGYRAPEVTDPCRVSQKADVYSFGVLLLELLTGKAPTQALLNDEGVDLPRWVRSIVRDEWAAEVFDPELLRYQNVEEEMVQLLQLAINCAAQSPDMRPSMPEVVVRIEEIRSRRAVHREQQDNADGLEDGDDSSSRRTDSIAES >Ma04_p32970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32834346:32836561:-1 gene:Ma04_g32970 transcript:Ma04_t32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFPGRELGYGVRLPIPARSEAGNGGLLKRSLTEMDRLQLQQQMQLQHTFFLRSVKQRTLFNSPASPHFSPPLPPADLSSGSSSSLISAKLTQDGLARSREQSSAPQVAASGAESRPSAAVRDRLQELERRLLLDEEEGEGDVSTSGSAVTTAEWSEAMQQLITPPTSAKNPLSASPTNSSSTTVSSSASCSPSSSSVASSSRQMLLETAAAIADGNLETATANLVVLKRVANPRGDAEQRLTAVMVDALISRLKLPQAGSSSLPIAALCSAEHFAATQMLYELSPCFKLGLITANLAILEATKEQPMIHILDFDVGQGGQYAVLLHALAERHHLRPAAGAPSLRITAVSDPTSPFTNNNSGGNLRAVGNRLEKLAERAGLAVRFNVVHLRAAELDASALRCEPGEALVVNLAFALSRVPDESVSPTNPRDEILRRVRALRPRVVALVEQEINTSTAAFAARFGEACAHYGALLESLDATVARDSVQRARVEAGLARRALNSVANEGADRVERCEVFGKWRARMGMAGFDSVPLGPAVIEPVKARLASIRSNRGFTMRDEAGGHGLGFGWKGRVLTVASSWR >Ma06_p32470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33403320:33415602:1 gene:Ma06_g32470 transcript:Ma06_t32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRARYLRRLRPIHPEAIASITGGGCVGQMRLQCTADLGGSGKFSGGKSAYDVLGVSESSSFPVIKASFRKLAKETHPDVVSSASADDATASQRFLQILAAYEILSDSNKRAHYDSYLLSQRAILRKQCGLGTTIYPHNSSLIMSKQSDVVEWLKWYRLSVNDIVMERRVAMGSGYFDKLENELYSAIRMAYYGPVVESMDLLPDSFEAEERSACETSEVLHLVSGRDLFGIVNLVDRTPELSHMCPEKLTTFDFNVHGVPEHVWQPMVKGNCVHPRILDVCEKEMGQDTNFESDSYKDLELRILGRVVAMATRSPRCKCLGLPMDDLEDHIHVFLTTDGAQNSVTPGSRTPLGTITGLGTSAEEGSCFVYDRAGVKTHVIMKHRTLLVKHMHWYQVHGEASACECRCSRARLPPSKYWLFEPRCCLHDVGGWYIETFGRDKKGKTVPSQRQWDGMIEQSEKRLHPAIYFLALAYRTLDLEHAKRSRWSITNFVVPYIFSITRWWQKLM >Ma10_p04880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15522998:15532839:1 gene:Ma10_g04880 transcript:Ma10_t04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSGIESSLNTYHKTIMSGLLNSTLNGSSSNLTDSTGRPYTTSFSSQSATIPGFHNSSGLQGLHNIHGSFNLPNMPGSLVSRNAAISGVPSGGVPQPGGSIPSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFSSNMSGVGGSITGLSSSSATGNRSAVPGLGVSPVLGNVGPRLASTMGNIVGGGNMGRSISSGGLSVPGLSSRVNLGANSGSGSLSVQGSNRLISGMLQQAAPQMIGMLGNSYPISGGPLSQIQGANNPLSSMGMLNDVNAADSSPFDMNDFPQLNARPSSAGGPQGQLGATRKQGVGVSSIVQQNQEFSIQNEDFPALPGLKGSSSDFSVDLHQKEQLHENISTMQPQHLPLARSAGFSLGGTYPPTRQQQQQHATAASSGGLPFTPGNNVDLRLHGSDFFPSSHGNYHSQIQNSGAPSIGLRPLNSPNPLSGLGAYEQLIQQYQHPQSQSQFRLQQISDVSQSYRDQSIKSVQGSLLAPDRFGLQGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSSDNLHKTFGSPWSDEPAKGEPEFCIPTCYHAKSSPILHQGYLSKLQLSTLFYIFYSMPKDEDQLYAASELYARGWFYHKEHQLWFVRVPNLEPLVKTHAYERGSYHCFDPNTWGTILKENFVLHYEAVEKKPILPSDPSDRP >Ma10_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15523031:15532839:1 gene:Ma10_g04880 transcript:Ma10_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSGIESSLNTYHKTIMSGLLNSTLNGSSSNLTDSTGRPYTTSFSSQSATIPGFHNSSGLQGLHNIHGSFNLPNMPGSLVSRNAAISGVPSGGVPQPGGSIPSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFSSNMSGVGGSITGLSSSSATGNRSAVPGLGVSPVLGNVGPRLASTMGNIVGGGNMGRSISSGGLSVPGLSSRVNLGANSGSGSLSVQGSNRLISGMLQQAAPQMIGMLGNSYPISGGPLSQIQGANNPLSSMGMLNDVNAADSSPFDMNDFPQLNARPSSAGGPQGQLGATRKQGVGVSSIVQQNQEFSIQNEDFPALPGLKGSSSDFSVDLHQKEQLHENISTMQPQHLPLARSAGFSLGGTYPPTRQQQQQHATAASSGGLPFTPGNNVDLRLHGSDFFPSSHGNYHSQIQNSGAPSIGLRPLNSPNPLSGLGAYEQLIQQYQHPQSQSQFRLQQISDVSQSYRDQSIKSVQGSLLAPDRFGLQGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSSDNLHKTFGSPWSDEPAKGEPEFCIPTCYHAKSSPILHQGYLSKLQLSTLFYIFYSMPKDEDQLYAASELYARGWFYHKEHQLWFVRVPNLEPLVKTHAYERGSYHCFDPNTWGTILKENFVLHYEAVEKKPILPSDPSDRP >Ma10_p04880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15523031:15532839:1 gene:Ma10_g04880 transcript:Ma10_t04880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLVSRNAAISGVPSGGVPQPGGSIPSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFSSNMSGVGGSITGLSSSSATGNRSAVPGLGVSPVLGNVGPRLASTMGNIVGGGNMGRSISSGGLSVPGLSSRVNLGANSGSGSLSVQGSNRLISGMLQQAAPQMIGMLGNSYPISGGPLSQIQGANNPLSSMGMLNDVNAADSSPFDMNDFPQLNARPSSAGGPQGQLGATRKQGVGVSSIVQQNQEFSIQNEDFPALPGLKGSSSDFSVDLHQKEQLHENISTMQPQHLPLARSAGFSLGGTYPPTRQQQQQHATAASSGGLPFTPGNNVDLRLHGSDFFPSSHGNYHSQIQNSGAPSIGLRPLNSPNPLSGLGAYEQLIQQYQHPQSQSQFRLQQISDVSQSYRDQSIKSVQGSLLAPDRFGLQGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSSDNLHKTFGSPWSDEPAKGEPEFCIPTCYHAKSSPILHQGYLSKLQLSTLFYIFYSMPKDEDQLYAASELYARGWFYHKEHQLWFVRVPNLEPLVKTHAYERGSYHCFDPNTWGTILKENFVLHYEAVEKKPILPSDPSDRP >Ma10_p04880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15523031:15532839:1 gene:Ma10_g04880 transcript:Ma10_t04880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSGIESSLNTYHKTIMSGLLNSTLNGSSSNLTDSTGRPYTTSFSSQSATIPGFHNSSGLQGLHNIHGSFNLPNMPGSLVSRNAAISGVPSGGVPQPGGSIPSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFSSNMSGVGGSITGLSSSSATGNRSAVPGLGVSPVLGNVGPRLASTMGNIVGGGNMGRSISSGGLSVPGLSSRVNLGANSGSGSLSVQGSNRLISGMLQQAAPQMIGMLGNSYPISGGPLSQIQGANNPLSSMGMLNDVNAADSSPFDMNDFPQLNARPSSAGGPQGQLGATRKQGVGVSSIVQQNQEFSIQNEDFPALPGLKGSSSDFSVDLHQKEQLHENISTMQPQHLPLARSAGFSLGGTYPPTRQQQQQHATAASSGGLPFTPGNNVDLRLHGSDFFPSSHGNYHSQIQNSGAPSIGLRPLNSPNPLSGLGAYEQLIQQYQHPQSQSQFRLQQISDVSQSYRDQSIKSVQGSLLAPDRFGLQGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSSDNLHKTFGSPWSDEPAKGEPEFCIPTCYHAKSSPILHQGYLSKLQLSTLFYIFYSMPKDEDQLYAASELYARGWFYHKEHQLWFVRVPNLEPLVKTHAYERGSYHCFDPNTWGTILKENFVLHYEAVEKKPILPSDPSDRP >Ma01_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27744711:27746242:1 gene:Ma01_g23360 transcript:Ma01_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTGSELESPLVAELARALELARQLESHLSNPAPIDLCKSVAPEILSSIQRSILMAKSSDPDGEQQAAGDSPRSESSSPAFKDHDRKELIKKRKTLHKWTNQVRLTPGTGGVEGSVDDGYSWRKYGQKDILGAKHPRAYYRCTHRHTQGCSATKQVQRSDEDPLTFDITYVGAHTCLQKPQRASASACQVPQRREHQKEDLLLSFRAGLKVKTEVAELEEAQRQTCRQGNEFHVFSAPALNLSPVASESIYFSSFDDGINLQTSDSEITEMISRSNSASYLSLVDMDFMLEELDFERDFQFDASSFFS >Ma05_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5297530:5300973:-1 gene:Ma05_g07280 transcript:Ma05_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRRTSGPPATAFVAAEVEEQPGAAPFPWSTMRLTPPTAAAVALFALLVRVLVSLGSYSGQGTPPTYGDYEAQRHWMEITLHTPAAEWYRNTTANDLAYWGLDYPPLTAYQSLAHALLINVSIPHSLALSSSRGFESHQSKLMMRWTVLSSDLLVFFPAAIYFVWVYFHRNVGGDGEERSAPWLLAMILLNPCLILVDHGHFQYNCISLGFTLGAIAAVLSQNDFAASALFSLAINHKQMSLYFAPAFFSHLLGKCLRRKNPIFEVMKLGLVVIGTFALVWWPYLYSIESVMEVLNRLAPFERGIFEDYVANFWCSTSVVIKWKRIFTIHSMKFLSLITTILAFLPSFVQQLKTPSDQGFLYSLLNNSFSFYLFSYQVHEKSILLPLLPASLLALQEPLLFGWLMHCALLSMYPLLRRDRLLLQHGAVLSLFGLIFFPPHGNHVTKADKLSTKEKALIALGLSCSIVLHLVYLCFQPPKKYPFLFEALIMFFCFSQFVAFTIYTNFKQWMLLDHSSWAVRVKKDL >Ma01_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15460717:15467325:1 gene:Ma01_g19710 transcript:Ma01_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDMEEVEGGEEGAPVAAEEYPVWKKNTPFLYDLVISHALEWPSLTVQWLPSSSSSCGSAATHRLLLGTHTSDEAPNFLMAADVLFPFPYPPPPEAPIPKVEISQTIPHRGEVNRARFMPQRPSIVATKTCGAELRVFDCGQRPPRPIDGTDAGPDLVLRGHATEGYGVSWSPLKEGYLLSGSYDFKICLWDVGMSPGEKLLDAKHVFEAHTSAVEDVAWHLKNENIFGSVGDDHLLMIWDLRSSSLKKPQHLVTAHEDEVNSLSFNPFNEWALATASSDSTIKLFDLRKLTTSLHTFSSHTGAVLQVEWSPRHETVFASSAADKRLMIWDLCRIGDEQTVEDADDGPPELLFVHGGHTAKISEFSWNPELPWVIASAAEDNILQVWKMAESIYRDDYDSQDDNDH >Ma10_p30830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37067637:37070165:1 gene:Ma10_g30830 transcript:Ma10_t30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDSSTYNKVSPAVTVLATTGIIAGGAVLIVIAILIIKCVEKTKQQSVSAEEQLPTVSATSSHGSTLRKDLTVDMGPIYKFLEDIEKEKPMRFAPHHLVHFTNNYAEKLGSGGFGVVYRGRFPNGVKVAVKVLHGTTTSDKRTEEQFMAEIGTICRTSHANLVRLYGFCFDKITKALVYEYMEKGSLDQYLFNHNDRIEWGKLREIAIGAAKAIRYLHEEHERLVVHYDIKPGNILLDADFTPRVSDLGLAKLCDRGDVPVTLTGARGTPGYAAPELWMPMPVTHKCDVYSFGMVLFEILGRRRNLDVRRGERQEWYPRWAWQKHEQGDLESVIADSGVESKYQDKARTMCRVALLCVQHHPESRPSMNSVVRMLEEEEEIIAMPSSSASSQSPWSFGAVEGSNSRGSVTGSSRS >Ma06_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10420618:10420767:-1 gene:Ma06_g15340 transcript:Ma06_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPKDLSKKGNLRVKFNIKIPTRFDFRVQKGVDSVMSACLQPEVSMRW >Ma07_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29559633:29560364:-1 gene:Ma07_g21450 transcript:Ma07_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLAVFVFASLLVITTRVSSDAKDAFMEAGYAKAPVVAPVPAPPAPRIIKDTKECGDACKDRCKLHSRQNVCSRACITCCSVCKCVPPGTYGHTELCGKCYTDWKTHGNRTKCP >Ma07_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28805718:28809358:-1 gene:Ma07_g20790 transcript:Ma07_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase 9, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT1G80660) UniProtKB/Swiss-Prot;Acc:Q42556] MWNPLSWVMEIAAIMAIALANGGGKPPDWQDFIGIVSLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQDASILVPGDIISIKLGDIIPADARLLEGDPLKIDQAALTGESLPVTRHPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIVVGMLIEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVFVRDLDKDAIVLYAARASRVENQDAIDACIVGMLADPREARAGIQEIHFLPFNPVEKRTAITYIESDGKWHRSSKGAPEQIIELCNMRGDARTKVHGMITKFADRGLRALGVARQEVPEASKESAGGPWQFLGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGERSDDTTGLPIDELIEKADGFAGVFPEHKYEIVRRLQEKEHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWRFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLQEIFSTGVVLGAYLAIMTVVFFFLVHDTDFFPKAFGVRSINGNNDELTAALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVSAFVAAQLVATLIAVYATWGFARMNGIGWGWAGVIWLYSLITYFPLDILKFIIRYGLSGRAWDNLLQNKTAFTSKKDYGKGEREAQWALAQRTLHGLHPPETTGLFDEKSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLNIENMQQHYTL >Ma07_p20790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28805718:28809358:-1 gene:Ma07_g20790 transcript:Ma07_t20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase 9, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT1G80660) UniProtKB/Swiss-Prot;Acc:Q42556] MWNPLSWVMEIAAIMAIALANGGGKPPDWQDFIGIVSLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQDASILVPGDIISIKLGDIIPADARLLEGDPLKIDQAALTGESLPVTRHPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIVVGMLIEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVFVRDLDKDAIVLYAARASRVENQDAIDACIVGMLADPREARAGIQEIHFLPFNPVEKRTAITYIESDGKWHRSSKGAPEQIIELCNMRGDARTKVHGMITKFADRGLRALGVARQEVPEASKESAGGPWQFLGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGERSDDTTGLPIDELIEKADGFAGVFPEHKYEIVRRLQEKEHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWRFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLQEIFSTGVVLGAYLAIMTVVFFFLVHDTDFFPVTFGVRSINGNNDELTAALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVSAFVAAQLVATLIAVYATWGFARMNGIGWGWAGVIWLYSLITYFPLDILKFIIRYGLSGRAWDNLLQNKTAFTSKKDYGKGEREAQWALAQRTLHGLHPPETTGLFDEKSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLNIENMQQHYTL >Ma02_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26125366:26129530:1 gene:Ma02_g20080 transcript:Ma02_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPVSISNIGDSIIAITAINGNTTPQQPGSYEMPKPSLRGLNKPKCIKCGNVARSRCPFQSCKSCCAKAENPCHIHVLKQNGILPDKPPVSSSISVEQPSNDVSTTGASWRLNSLRQLSNNFANILRTKRPLTRKDATDINQWRFMKLREHFEQNIEAENEALDRYMENVDLLEETLSIMEGTEPGHQTRFGPSSSENLVSEIKMKLKADSERVAVLRERIWDLIDQKLSKLRDAKFIHVDSSTYVDDLDDHEECQRSKKTMKWRHERSAAMTHLINKLSRAESDEDLRSCLDMKSQLSDQMDIVADASSNLRSENQLMTKQESESVVTLSFHSLSKMSATVYVDEDTLGNIKAQFSSLSQIAEL >Ma02_p20080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26126094:26129530:1 gene:Ma02_g20080 transcript:Ma02_t20080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHLNSLVPMKCQSQASEDSTNRSVSSVAMLLVLVLKQNGILPDKPPVSSSISVEQPSNDVSTTGASWRLNSLRQLSNNFANILRTKRPLTRKDATDINQWRFMKLREHFEQNIEAENEALDRYMENVDLLEETLSIMEGTEPGHQTRFGPSSSENLVSEIKMKLKADSERVAVLRERIWDLIDQKLSKLRDAKFIHVDSSTYVDDLDDHEECQRSKKTMKWRHERSAAMTHLINKLSRAESDEDLRSCLDMKSQLSDQMDIVADASSNLRSENQLMTKQESESVVTLSFHSLSKMSATVYVDEDTLGNIKAQFSSLSQIAEL >Ma09_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31839472:31841318:1 gene:Ma09_g21100 transcript:Ma09_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREETPINENEIRITSQGRMRNYITYATSMLQEKDSNEIILKAMGRAIDKTIMIVELIKRIVDLHQNTAIGSADITDTWEPLEEGLLLLETTRHVSVISITLSKKELDTSAVGLVQQASPYSFGAYVLKFEDIIINNLYFSP >Ma11_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1198990:1200044:1 gene:Ma11_g01700 transcript:Ma11_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPDGGTSGSGPLEGQKQQQRQLAPAGGNGALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSSSLLRGAAGNSGAGAPLSLPTPSSSAAAVSGGTHLLSHALAPAPFILGKRLRADDDSGGKEDTVTGGVGGVSSGAGMGIGPAAGFWALPARQDFGQVWSFATPEMVPVTLNGRFAGQPMGEASAARVGNYLPLAGHLNLLASLSGAPGAAAAGAAAGTDDEAR >Ma03_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10080583:10082106:-1 gene:Ma03_g13060 transcript:Ma03_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAWLDLDLNVGSLRFPVDAPQVFPVEYKRVEDKISMRGGERSDAQVEGLAAELIRVTEENKKLDETLRIITAKYTSLWNQLNDLTTTTSSSEGASPSPSPAGKRKIESSAGHTEPANCNAECTSAEESCKRVRQDCKPPVWKLHVRTNPSDSSLVVKDGYQWRKYGQKVTRDNPSPRAYFRCSFAPACPVKKKVQRSAEDQSILVATYEGEHNHSQPSQVGDRSDMDFGRSSHPKSSVSEELHPTLVEQMARLLTKNPAFTAAVATAISGMML >Ma08_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37578206:37593822:1 gene:Ma08_g24390 transcript:Ma08_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAMEFDLLFVAVDDDTASGVCCGDIDGDDIAAGVLARFQSSAREDHQHLCATVAAMTQALKDQGLPLTSVAYFGATASSLDRLSRDPASGSDPAAASLLFFLALALPRVPRSVVRSRWTEVSDTLVRILGFDSLPPGCVRSGLRCASYFLVVGDMTDWSALSPLYGVLLCFVTDERPKVRKECHSCLSGVLRSFQNLAVLMPASEDITAIFERFLLLAGGSSADSSPSDCSRGAMQVLYIFNAMKDCLPLMAAKHMNTILKYCNRLLELQQLIVTRCIMEILHALCSSPTVELSPELLQNLFCSLALSVSDNEKSPDQMASTARLLYVGTRKIYDLNKQICIVTLPVIFNALGDILASEHEEAMFSAIEALKGLIRACVDESLIEQGVDQIKTTDGELRKSGPTVIEKICATIEGFLGYRYNAVWDMSFQVLSTAFSQLGESSYYLMAGAVKSLADMQNLSDEDFSFRKQLHECLGSAISAMGPEKFLHILPLNLDVEDVSDANVWLLPILKQHVVGARLSFFLEHILVMVKHIKQKSLKLETEGWIFSARSTEGLVYTLWSLLPAFCNYPIDIDCGFNAIQKELCNALREEPDLRGIICSSLQILIRQNSDIISDKSTVPDGKIRNHYSRRQSEENLKTIHSFAPEFFSVLSEAFLTSSHDSGGYLQATIHDFANIADNKVVKKVFMGAMHKLLKVTQEAVKAKQPNGSGTMLIDGASNEASLSHARALLLELAVSLLPGLGVKEIDFLFSVIKPALQDEEGILQKKAYKILSIILKEHGHNLWNNLDELLELMIASLSSCHFAANRQRLDCLYILIVSMSKDSFDHKRRNIISSFLTEIILGLKEVNRKTRNKAYDLLVEIGHACEDEERGGRKENLLQFFNLIAGGLAGETPHMISAAVKGLACLAYEFSDLIGPAYNLLPSVFLLLQRKNREIFKAILGLIKVLVVKSDDDGIQMHLKTIVEGLFKRQDDTNNHFKAKVKLLLEMLVRKCGFDAVRAVMPEEHMKLLTNIRKIKERKERKAKSEDGESLASRTSISRHSKWNHSRIFSESGDEDMDDDSDAELAVAKTTYGRQTKAFARSSMRSLSVRSIRKRQAAKSLPEDFLDQFEDDPLDLLDRQKTRLALRSVTHLKRKQTSTDEPEIGADGRLIVREDSFKPKREKSLSSENDLDTRSHSDNRSVSSSLAMTRKKRRKTTDSGWVYAGSEYTSKRAGGDVKKKDKLEPYSYWRLDRKLLNRRAERKAVARKGMARVLMSSKRLEGKSASSALSLQGLSLDKKQKGR >Ma10_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26616492:26619353:1 gene:Ma10_g14050 transcript:Ma10_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNGASSIEKEQIFGMAEKEMEYRVDLFNRLTQTCFDKCIEKRYKESELNMGENSCIDRCVSKYWQVTNIVGQLLGSNRPPM >Ma08_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17083065:17085732:-1 gene:Ma08_g16120 transcript:Ma08_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLKSSFLPKRSEWHTTRKSSTPQPTVIAFSVRAGAYSDELVKTAKSIASPGRGILAMDESNATCGKRLATIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTTDGKKMVDVLTQQNIMPGIKVDKGLVPLTGSNDESWCQGLDGLASRCAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIERTFEVAQKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECPEKATPKQVAEYTLKLLHRRIPPAVPGIMFLSGGQSEVEATFNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLFRAKANSLAQLGKYTGEGESAEATKGMFVKGYTY >Ma09_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7375721:7375831:-1 gene:Ma09_g10870 transcript:Ma09_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding INYNACREREREREREREESNEAREREREREREREEK >Ma05_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9626755:9629000:-1 gene:Ma05_g13290 transcript:Ma05_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAERAMAGKQERRWHPKGGPQLSMAQHRRQRRPRHHQPSGYHREVWVRPGVADGGTSSYKGGSRRGCEPFIGGAVRGNSSYHPSKYDNSVRSFRGRGRGRVGNGGGRRYYRSVPERQLLDSAPAPIMESSSVAEPGIASGQTPLKVTALATETTQMLPWQPLLLLAWCDVCRVDCNSFQNLEQHKNGKRHKKTVQRIQEIEAQRKVMAELEAKVASKPEIDLQIQQAIEVANAGSDNVPSAMLPYQIHEATKVIDAKAATTIFSSQDRKVNKSSDTPEIVIPAQVKEANQVSTASENLRAKTMLTNHNMESEIQVLVLSGKSESSKEEEARGEAPMFDEQEIMASSIEGRTRRPRTDAFDRYDKRYGQKWKTMRFGHDGKRSRRPEPVRSRPLMHPKEQPRVCTLCNVMCDTLAVFKCHLSGKKHISRIKRFQGQHSVYGQISLYIPPNQPSAYPPQAPQPLFYGLKCHELLQHAVYELGAVQMEGYGLQQGDQAEQGKTADDTALESQTEQASEQPEYKCSSSRFEEATSMGTAGTEHNSAFADSEDLIAVSESGVSLDDKPLLPKIDVKS >Ma08_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15805917:15823508:-1 gene:Ma08_g15630 transcript:Ma08_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPFYAQADAVNNDHQFSSELMAADARPPNTGYVLENGDVGLSARTSDSLMRHEFQIDSGSSKRAKVNNRILDPEIMELYYRSHIQEEEILLLRKQITDASIKELQLLNEKHILERKLCELRIALDEKQDDAIASALKELTKKRSYFEENLRLANNLKVVEDEMYVLTSSLLSLLAEYDIRPPSITSSTISSCVKQLYQHMQWKIRSYGNFGDMNHLLGNQPDNLVVDKKLQHPSSSRKQLPHAYVEPMIRELRPSDQYPMNLHSKSASNQLRATQECLPSDIHMLVQDLSLRAADFLVQTYESLCREVGGTGAPNSFEGYAHEETIRLPDTDAQLHMVTNRGSFISEGEFDLPSIEGFQIYGEAQPGCKLQACGYPTNGTTLCTFQWIHELDNGTRQYIEGATVPEYVVTADDIGTFLAVDCTPIDDSGRQGHLVRQFANNHSKITCDPEMQHEIDAYISAGRAIFDVKLWNDSIDWEQAVLIVKRSTYLIMIKHTDRVVMEEKYSPELKIKVPLGYTTQFVLTCSDGTNLPLRTDGTSQPYNLENDVRLRDMIVLTMRCFQSKAVDAKRKVKA >Ma07_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9212596:9214045:1 gene:Ma07_g12330 transcript:Ma07_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDRKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEEKMVIELHSRLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRRMGIDPMTHKPIPSADEFPHQQQQHVGTLVDENKSSDELGLQHSSTTAEMEAEEDSIMTSRFTPTETEAFLCKSPGFCTDEVPMMEPHEMIVPYAVAASSASCAALPSSSCSSVDSSFEPENLQLPFVEWPESIWLWGADDFGGWDSIYDELDGKLGLHPFNQRQRAVLDQESWKFELF >Ma09_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2129085:2132188:1 gene:Ma09_g03100 transcript:Ma09_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKSRTELHNQLKDLKNELSLLRVAKVTGGAPNKLSKIKVVRLSIARVLTVNSQKQKAALREVYKKKKHIPLDLRPKKTRAIRRRLTKHQESLKTERQKKKEMYFPTRKYAIKA >Ma10_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32475389:32479997:1 gene:Ma10_g23670 transcript:Ma10_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSSANLLELVAGDDSDFAASPLRPPILPRLMDAAADYDSPPASPSQRERRIIVSHRLPLRAATDPASPGGLSFSRDPDALALQLHSGLPPAAEVVHVGTLAATVDPVHHAAVSRILFDRFRCLPVFIPADLHRRFYDGFCKHYLWPLLHYLLPLSPSSLGGLPFDRALWLSYLSANKLFADRLIELLNPDDDLVWIHDYHLLALPTFLRRRSPRIKLGFFLHSPFPSSEIFRTIPVRDELLRALLNSDLVGFHTFDYARHFLSSCSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLKSVISSPETTAKVQELVEAYRDRILMLGVDDVDLFKGIGMKFLAVERLLEEHLQLRGQVVLVQIANPARSQGKDVQEVQDEIGSITKRINERFGRPGYQPIVLIYHAVPTYEKVAFYAVAECCIVNPVRDGMNLVPYDYTVCRHQSPALVHSPKKSMIVVSEFIGCSPSLSGAIRVNPWNVDAVAEAINLAITMPETEKQLRHEKHYKYVSSHDVAYWARSFDQDLQRACKDHFLRRCWGIGFGMSFRVVALGPNFRKLSLEYIVSAYQRTDSRLILLDYDGTMMPHASIVKKPSSEVISVLNGLCSDPKNVVFLVSGRGKDELSGWFAPCEKLGISAEHGYFTRWNKDAPWESCMLTTDFNWKKIAEPVMRLYMEATDGSSIEPKESALVWHHQEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGRVVENLMASLLSTGKAPDFLLCIGDDRSDEDMFESISSYTNNSSVPAIAEVFACTVGQKPSKAKYYLDDTVDVVKMLQGLANASSVQPPRPAQLRVSFEGSLLRKCVFCGQISPK >Ma03_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26340989:26341979:-1 gene:Ma03_g21370 transcript:Ma03_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTRTQEVSTSTPLIEDQIRNYRRNQRRIFNARRRLGQLISRNPNPNIQVLEQQIDPQAQLQLSMRERAAIAPAEILYHSRRDDAHHRVYTHRSEEAMLVTNNQEDRAFIQEQSFDQLIRSGMRYIHLGILQTRIQTLHRQEEGTLALLVFRDNRWADDRSIIATMEVDLTRGSQLVYVVLDTMMTVGDFYRNIQLSILTRGYDTWQNGEANLLITRGMVGRLSNTPNVAFAYEISGVVDYLTSHGIRALPGRRFRSVLIIIKQHRHPAESTITLWMMKRSAMKKKYEATQ >Ma04_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7006077:7006562:1 gene:Ma04_g09840 transcript:Ma04_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMRTRSFRDEDYSNRRAFLRSYPLQWGEQKEEEEREGYQKEAAASREHQASLKTRLAVVLQWGGATLLLLKRWKRKIGCYSVACGPFGFNKPSHKLLPV >Ma03_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24281043:24286455:-1 gene:Ma03_g18770 transcript:Ma03_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLQHQNSTASFQKNYKSCMWDWLRIFDLQHRLSVRRLLEDDGHGNRRHVRRVKIPEVHVPVSVDEHDNLDDETKLLIVNKGSQTKKSSGKALVRALIFKKMFRKHNQKQKMLPVKPRLMRTISIHHLECSDYVLPVEMASDTATPKVDFRSQKSDSSESHEHDQLLPASKKHQVCGTIKHVNHVSHTDLTQSTEKQANHWDAFSKQMDSFEELGRDVFQSNDLISTKEFINGQGESFLGIVEEPDILLENYLQNLHRCSSERALMKSGSSPMVGLSAKRHNKSPNDHLVNQEVKSLVQKSEPAVVDKYNAYYNSEFSPRSFLKHTKQGDHGTLLSHSRTVKKKLKDVFNENRKEHLHISMDGLLHKIPYGHTASGNVMKEKLFRSASARHFKESIEDNVSIPPKAHPHQSFRRSRSLTESYKYSHVFESILTSESKRLQENLTSTDVDFELQDQTAQKVFEQIHSNPEFNSFRCKDVPNEPLHGALLSEAAISNPLNGDEAIDVHTPAEPESGDSLVNVKESIEPGVILEQTLDVHASGNIYGGLELPLIVSKLTETETCRVSWTSNIGCIPLPNELSVDQQESHIELHQSEEVHEVSDSPQTGNSHVAHEHALEVDSNDESTKPRPTSVFQLNSEDDLLKPAKHEYLEDSETEPRNLHFEEVDLLAKRHNLSVFKVSDEMDPAESNFGKKQEEAFANVGKFHIQVDQKDEADFNYVRDVLRKSGFNGFEFVGAQHFPSQLVGPLLSDGHEVASYDYDDLSPEHQLLFDLIDEILLEMSEKYSTGRWFSRFDPDTRTLPAERNILDQVWRKISSHLSHMHEHVVARDYAKNDGWLNLQQDAVCLGVELEDLLLDGLLDELILDCDDMSGYYSVFS >Ma11_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5608989:5621681:-1 gene:Ma11_g07030 transcript:Ma11_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTPLRSPNPSKHGGPGVYQIGGVPVEFPFKPYGSQLAFMGRVISTLDRARRQGRCHALLESPTGTGKSLSLLCSSLAWQRHHQHLVLSGAAAPPPCPPPLASDPLVHGGGFIPPSQPSSDAEEPPAPTSARAQRRQSLPKIYYASRTHSQISQVVREYRKTSYRVPMAILASRKHYCTNKHVCTRDNLDDECKLLLKDENLGCSEYKNAHKVKCHPSLQKGGCYEVHDIEDLVKVGHSVKGCSYFAAQTMAEEAQLVFCPYSYIINPTVRRAMDIDIKGSIVILDEAHNIEDVARDAGSIEVEEDVLFALQTELEHLCMADGGAMTYQPLYDMIQGIISWIGDRKMSMKKHEFEHYSSFWTADKAIRELQQAGITEQCFPILHQCATKAIKAASDEESVGNRLSGMSLIALEGLFSSLSYFFCANGSHATDYQLALQRYVKREGGSAANGWICKLSLWCLNPAVVFKEIANLSLSVILTSGTLAPMGSFASELGVQFEACLEAPHVIDVESQVWAAVVPAGPANCQLNASYKTADGYAFQDGLGASLEEICKIAPGGVLVFFPSYKLLEKLHFRWSQTGQWSRLNAEKLLFVEPRGAMDEFEPVLKGYYEAIRGNTGAPHVKKRLGLMRASKNPSSKECSQNPAKGAAFLAVCRGKVIVGIPFPNKNDIHVVLKKKYNDTYRSSKHLLSGSEWYCHQAFRALNQAAGRCIRHRFDYGAIIFLDERFTEERNLTYISKWLKSSIKCFNSFDESLMGLRSFFETAQKQFGQKGDHPTSKNVSQTESYNSDFSEENSLSSKSSLNQGIQKEKVKKVKVNHQSARKTIHESRAAPAKNNKLIKSSSLDGESAPLSSLKDYFIKGGKASSETNIKPSIFHGDYVDPNESTRKQSKCLETISAGLCETKLHQPLVSESFNADDNNATCERVSKVESLYPAVATFDQFRERATLSPSIFSNGTISEDTLASGSPESNKCMDPFNLEKEIFLNMSVSSHSEKRRKLTDLQMTGLNQMDCISSDTESFYPVDSVSSISRETDQISETPFSDNCSASQHQIPSQGTFIQNGGTSVEKMLVLSCLCCNSPLGLQKNDFLVRCSLTSSSKAFLAYVLKHGPPTEGRLNCLRSTPETDVPVVACDSSAVDHHIFDKGSKEVASQHDFWSEEDGCVFRILNCPFCTARKRCLGVRIIAADASNSHLLNKVLFFAYYLDMKDEQKPTTKVSMPISCNAPGQGSALTEIERDSYVSCPQKTELLNARRSKQLSLPKKDQCSLEHRAGV >Ma11_p07030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5608989:5621681:-1 gene:Ma11_g07030 transcript:Ma11_t07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTPLRSPNPSKHGGPGVYQIGGVPVEFPFKPYGSQLAFMGRVISTLDRARRQGRCHALLESPTGTGKSLSLLCSSLAWQRHHQHLVLSGAAAPPPCPPPLASDPLVHGGGFIPPSQPSSDAEEPPAPTSARAQRRQSLPKIYYASRTHSQISQVVREYRKTSYRVPMAILASRKHYCTNKHVCTRDNLDDECKLLLKDENLGCSEYKNAHKVKCHPSLQKGGCYEVHDIEDLVKVGHSVKGCSYFAAQTMAEEAQLVFCPYSYIINPTVRRAMDIDIKGSIVILDEAHNIEDVARDAGSIEVEEDVLFALQTELEHLCMADGGAMTYQPLYDMIQGIISWIGDRKMSMKKHEFEHYSSFWTADKAIRELQQAGITEQCFPILHQCATKAIKAASDEESVGNRLSGMSLIALEGLFSSLSYFFCANGSHATDYQLALQRYVKREGGSAANGWICKLSLWCLNPAVVFKEIANLSLSVILTSGTLAPMGSFASELGVQFEACLEAPHVIDVESQVWAAVVPAGPANCQLNASYKTADGYAFQDGLGASLEEICKIAPGGVLVFFPSYKLLEKLHFRWSQTGQWSRLNAEKLLFVEPRGAMDEFEPVLKGYYEAIRGNTGAPHVKKRLGLMRASKNPSSKECSQNPAKGAAFLAVCRGKVSEGIDFSDENARVVVIVGIPFPNKNDIHVVLKKKYNDTYRSSKHLLSGSEWYCHQAFRALNQAAGRCIRHRFDYGAIIFLDERFTEERNLTYISKWLKSSIKCFNSFDESLMGLRSFFETAQKQFGQKGDHPTSKNVSQTESYNSDFSEENSLSSKSSLNQGIQKEKVKKVKVNHQSARKTIHESRAAPAKNNKLIKSSSLDGESAPLSSLKDYFIKGGKASSETNIKPSIFHGDYVDPNESTRKQSKCLETISAGLCETKLHQPLVSESFNADDNNATCERVSKVESLYPAVATFDQFRERATLSPSIFSNGTISEDTLASGSPESNKCMDPFNLEKEIFLNMSVSSHSEKRRKLTDLQMTGLNQMDCISSDTESFYPVDSVSSISRETDQISETPFSDNCSASQHQIPSQGTFIQNGGTSVEKMLVLSCLCCNSPLGLQKNDFLVRCSLTSSSKAFLAYVLKHGPPTEGRLNCLRSTPETDVPVVACDSSAVDHHIFDKGSKEVASQHDFWSEEDGCVFRILNCPFCTARKRCLGVRIIAADASNSHLLNKVLFFAYYLDMKDEQKPTTKVSMPISCNAPGQGSALTEIERDSYVSCPQKTELLNARRSKLSLPKKDQCSLEHRAGV >Ma03_p30190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32925800:32928795:1 gene:Ma03_g30190 transcript:Ma03_t30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQAARLNLRLQKELKLLMTDPPHGVSLPSLSDNPNLPSVSLLSIEAQIEGPEETVYSKGIFTVKIQVPERYPFQPPNVTFVTPVYHPNIDNGGRICLDILNLPPKGAWQPSMNISTVLTSIRLLLSEPNPDDALMAETSREFKYNKHVFEQKARTWTERYANPGSTGRSSDHALSTSLNVAPLEHIHEIPANDIHRRHKKLRLTCQDLSTNPTTPTGISNNDENQNVVPTQQRSVSDRQQTGSSRFEWSLCASATIHKRSLDEHAEEAVKDDPKQVEASPEFLETIIVSDSEESDDEDDSPSQFLRKKIV >Ma03_p30190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32925549:32928795:1 gene:Ma03_g30190 transcript:Ma03_t30190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQAARLNLRLQKELKLLMTDPPHGVSLPSLSDNPNLPSVSLLSIEAQIEGPEETVYSKGIFTVKIQVPERYPFQPPNVTFVTPVYHPNIDNGGRICLDILNLPPKGAWQPSMNISTVLTSIRLLLSEPNPDDALMAETSREFKYNKHVFEQKARTWTERYANPGSTGRSSDHALSTSLNVAPLEHIHEIPANDIHRRHKKLRLTCQDLSTNPTTPTGISNNDENQNVVPTQQRSVSDRQQTGSSRFEWSLCASATIHKRSLDEHAEEAVKDDPKQVEASPEFLETIIVSDSEESDDEDDSPSQFLRKKIV >Ma08_p29440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41030167:41030932:1 gene:Ma08_g29440 transcript:Ma08_t29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELKRVFQMFDRNGDGRITKAELTDSLENLGILVPEAELASMIERIDANGDGCVDVEEFGTLYRTIMDERDEEEDMREAFNVFDRNGDGFITVEELRSVLASLGLKQGRTAEDCRKMINEVDVDGDGVVNFKEFKQMMKGGGFAAPS >Ma04_p40170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37084187:37085015:1 gene:Ma04_g40170 transcript:Ma04_t40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVIASSPVDVGIGCWDLRSGSEQLRYRSCSSAPHGLLSIAGRFLASSQLRDSPSSASCPIFFWSWDKPQVEVRSFPAEPIGPLVSNSEGTYIMGGGPSGIIYLWE >Ma09_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:878812:880019:1 gene:Ma09_g01200 transcript:Ma09_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTAFVLVLALLLATSLCLEADPSPISNVTVMGTVFCDACANNVFSEHSYFLAGVRVRVQCMLRVTNSTSREEMSITVDRTTDKFGVYKLDIPPVEGFECREGVVMDSCCRASLLGSPSSLCDVPGLNSSTGHVAVRGGEGKRCLYNLNALNYRPSKKDANLCGTGSGHYLPASVNSSLFLWPPSPPSGFPWPSPMPYPFPPLPFQTPPPPSLPWPFPPLFPTPRTPTFPLPFPPAPNRPPSLPPIPPIFPSPTPTSPFPFRFPPFPPFTPMPPLFMPPSLPPPGSFPFPFPPFPPTTTTSGAPSSSSP >Ma09_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3608655:3610114:1 gene:Ma09_g05630 transcript:Ma09_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLHHGFHENEQQSSHPTSPYSNSSSNNGSSSSSNGVTAAAAAAACSPTPLTPQSLPKSVDTNSFPTTFIQADASSFKQVVQMLTGSVARAAKHAGATAAPPTKNLVSPAAKATGPKKPTFKLHERRGSLKNLKMISPMIPGFVKSDPSSPMGVAFFSRRQPEILSPSMLDLPSLNLSPVTPLIPDPFNRTPQPSPAAATGATMSAEDRAIAERGFYFHPSPKTTPRGSEPPRLLPLFPVTSPRPSSASAAGTSI >Ma05_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37090348:37096960:-1 gene:Ma05_g25040 transcript:Ma05_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTSGDTIEEHGTPIDEEKKRVQCKYCAKEVGGFSRLKHHLAAVGCDVTACIKVPAVVKVGMRNALLEKKKERLLKEVGRIEHPELPLKRKFSPASGEQRRCQPKLTRMTGSLEGNGGTEISVGESSSINNFCPKQSLEKGMNTLDPQSAETVNPSTPRSFIGENIYEVVKEEAKDEPAWHAARCIGRFFFEAGIDTANIKLPSFQGMVDAVIGCGTGYKVPTYDELKGMILHEETTEVLKHVEDVKQSWGRTGCSILLDGWIDQKGRSLIIFLVNCPLGTIFLRSVDASNAVEDPDALFLLICDAIEEVGVEYVVQVVAHETSDCMEATGKRIMEKYRSIFWTLCADYCINIILEKIQALDYVNKLLSDAKAITRFIYSNALTLKLMKEHMRGNDLVRTSNLESVAPFVTLQNMVAERENLLSIFNSPIWDTSDLASNTKGKNISKLVQNSSFWVASVDVLKVTNPLIGILHQISGRDRSPMGFLYDSIDCAKEQIKKNLGGEEARYSHIWSLIDDIWDNYLHSPLHSAAYFLNPSLFYSSDFYVDAEVTNGVLYCIVKMTKDQRDQELVVLQLDEYREAKGEFSGEAAVGQRTKVSPDMWWSLHGCQCPELQRLAVKILSQNCYGPSRYMLRKAISEQLHAEARNLMEQQQFRDLEFVHYNRHLWHSPSSLKQEVEFVQEDLKPSEEWIVDNN >Ma08_p04830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3344336:3348579:-1 gene:Ma08_g04830 transcript:Ma08_t04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGVLGMDALVGASSEGGGSLFSSSFTTSLAPSDTELGRQGGLRGSILQKHDRPAAEPEDCDWRSLKMARPEELVTAPTKAAPFLLRSNSHPLFPDGEQMLSFSSASPPSTTQCYIGNAGSSPGSSNASMQGVLARLRGPFTPSQWLELEHQALIYKYLVANVPIPATLLIPIRRSLGASGFPPLSAGSFGSESKVGWEPFHLGYSGNADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVENQTGNAAKAMPTTASSQSASAVLGGASTNTLTISLQQSKSLQSNITDPCPLQFNGLLMSKGDHNVCSLNSKSLSAASPVNQKPDSDILPPVSDQHNPFEETSSRTEFGLVSPDSLLNPPSISFSNNVNFMATPKLNEQQIQSHPFRHFIDDWPKTQSDRSTITWPELSREYDAIHMPSRQANWRPIPWEASMAGPLGEVLTSTKSTPKDQSKNHSSSSLNLLTEGWDSRLESSPTGVLHKTSFGSLSNSTGSSPSAENSKTHDSTGSLCSGLLGSTL >Ma08_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3344336:3348579:-1 gene:Ma08_g04830 transcript:Ma08_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGVLGMDALVGASSEGGGSLFSSSFTTSLAPSDTELGRQGGLRGSILQKHDRPAAEPEDCDWRSLKMARPEELVTAPTKAAPFLLRSNSHPLFPDGEQMLSFSSASPPSTTQCYIGNAGSSPGSSNASMQGVLARLRGPFTPSQWLELEHQALIYKYLVANVPIPATLLIPIRRSLGASGFPPLSAGSFGSVGWEPFHLGYSGNADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVENQTGNAAKAMPTTASSQSASAVLGGASTNTLTISLQQSKSLQSNITDPCPLQFNGLLMSKGDHNVCSLNSKSLSAASPVNQKPDSDILPPVSDQHNPFEETSSRTEFGLVSPDSLLNPPSISFSNNVNFMATPKLNEQQIQSHPFRHFIDDWPKTQSDRSTITWPEVDKTQSDRTQLSISIPTAYSDFSSSSCNHDKPALSKLSREYDAIHMPSRQANWRPIPWEASMAGPLGEVLTSTKSTPKDQSKNHSSSSLNLLTEGWDSRLESSPTGVLHKTSFGSLSNSTGSSPSAENSKTHDSTGSLCSGLLGSTL >Ma08_p04830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3344336:3348579:-1 gene:Ma08_g04830 transcript:Ma08_t04830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGVLGMDALVGASSEGGGSLFSSSFTTSLAPSDTELGRQGGLRGSILQKHDRPAAEPEDCDWRSLKMARPEELVTAPTKAAPFLLRSNSHPLFPDGEQMLSFSSASPPSTTQCYIGNAGSSPGSSNASMQGVLARLRGPFTPSQWLELEHQALIYKYLVANVPIPATLLIPIRRSLGASGFPPLSAGSFGSESKVGWEPFHLGYSGNADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVENQTGNAAKAMPTTASSQSASAVLGGASTNTLTISLQQSKSLQSNITDPCPLQFNGLLMSKGDHNVCSLNSKSLSAASPVNQKPDSDILPPVSDQHNPFEETSSRTEFGLVSPDSLLNPPSISFSNNVNFMATPKLNEQQIQSHPFRHFIDDWPKTQSDRSTITWPEVDKTQSDRTQLSISIPTAYSDFSSSSCNHDKPALSKLSREYDAIHMPSRQANWRPIPWEASMAGPLGEVLTSTKSTPKDQSKNHSSSSLNLLTEGWDSRLESSPTGVLHKTSFGSLSNSTGSSPSAENSKTHDSTGSLCSGLLGSTL >Ma08_p31690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42521312:42528100:-1 gene:Ma08_g31690 transcript:Ma08_t31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAALVVVPLGLLFLLSGLIVNLIQATIYITIRPFSKSLFRRINRVVAELLWLQLIWLVDWWAGVKVQLYADSKTYELMGKEHALVICNHKSDIDWLVGWILSQRSGCLGSTLAVMKKSSKFLPVIGWSMWFTEYLFLERSWAKDENILTLGLQHLKDFPRPFWLALFVEGTRFTPAKLIAAQEYAASQGLPIPRNVLIPRTKGFVSAVSIMRPFVPAIYDVTVAIPSDQPPPTMLRILKGQSSVVYVHMKRHAMTDLPETDEGVAQWCKDIFVAKDALLDRYQSEGTFGIEQRQIGRPMKSLLVVAFWFSLLSYATFRFFKWTELLSTWKGVALTTAALLMVTMIMHIFIRFSQSEHSTTARAARNRARKE >Ma03_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26289035:26292117:1 gene:Ma03_g21280 transcript:Ma03_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHYIEGPTAASWCMMSMLVERLTLLMTGMMKFSGRLAY >Ma07_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31920680:31922883:1 gene:Ma07_g24620 transcript:Ma07_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESTGSSISFLAIASLVLVLFSTVSIVSPMAEAATKLQEAQEKEEAAVNIVYVAKPEGEEPEAFHIRTLAAVLGSEEAAKDSLIYHYKHAASGFSAKLTRSQVEELSKQPGVLRVVPSKTVSLHGSRVSAVTNLGM >Ma02_p25080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29455069:29464966:1 gene:Ma02_g25080 transcript:Ma02_t25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGLGSGHLMNNGSAGHFARQIACFVMRRLLSTGAMSSSSPYSSFLPGKNPSSIRLTHQRRLNGVRFSFPTRFVVVGSSVRCLASFSPAERIKVHNPIVEMDGDEMTRVIWRMIKEKLIFPFLELDIKYFDLGLLNRDATDDRVTVESAEATLKHNVAVKCATITPDEARVKEFKLKSMWRSPNGTIRNILNGTVFREPILCCNVPRIVAGWKKPICIGRHAFGDQYRATDMIVKGPGKLKMVFVPADKGPPVEQDVYEFKGSGIALSMYNVDESIRAFAESSMSMAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKSKFEEQSIWYEHRLIDDMVAYALKSSGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQRGQETSTNSIASIFAWTRGLEHRAELDKHEKLQDFVQKLESACIETVESGKMTKDLALLIHGPRVSREFYLNTEEFVDAVAQNLKEKIQVAAVI >Ma02_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29455131:29464043:1 gene:Ma02_g25080 transcript:Ma02_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSTGAMSSSSPYSSFLPGKNPSSIRLTHQRRLNGVRFSFPTRFVVVGSSVRCLASFSPAERIKVHNPIVEMDGDEMTRVIWRMIKEKLIFPFLELDIKYFDLGLLNRDATDDRVTVESAEATLKHNVAVKCATITPDEARVKEFKLKSMWRSPNGTIRNILNGTVFREPILCCNVPRIVAGWKKPICIGRHAFGDQYRATDMIVKGPGKLKMVFVPADKGPPVEQDVYEFKGSGIALSMYNVDESIRAFAESSMSMAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKSKFEEQSIWYEHRLIDDMVAYALKSSGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLGRA >Ma06_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3158841:3160198:1 gene:Ma06_g04380 transcript:Ma06_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQATKVAEAEGKGKGFGFPNGALRKHHHRPPAPAEAEFLYRECLKNHAASLGGHALDGCGEFMLSPTADPADPTSLRCAACGCHRNFHRRLADPPSHRHRGHPRDEEDDERDGVEDEDEDEGEDEDEDEEEVGAKIDGRRDRPRPLRGSASPPAFFSSAPHMLLALSAGLPGSPGTIPVRPVAAPTAAAAPVAAVGAPAAEAAQPRKRFRTKFSPEQKGRMQELSERLGWRMQKRDEGLVEECCREIGVDKGVFKVWMHNNKHTFLGLARRGDPASRSARSEGGIGGGDVSQPEGSGHANGADEGDGGHGHVVNGSLSSS >Ma06_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23108003:23108665:1 gene:Ma06_g24580 transcript:Ma06_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTAVTSHEDLENGIEDPLPAYRRRGRLQKPMKDDIGEDDAEKNEGGADDTKITVTSKELKGSTVQKGRKRKRSSLVKDKSDSVSEENGDELKPKNEESTGSNGFRHNGNRRKSKPHRAAEA >Ma05_p28930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39741279:39745438:-1 gene:Ma05_g28930 transcript:Ma05_t28930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIWLLLCLCLSCHSWWVAGDDPYRFFTWSVIYGDIYPLGVKQQGILIDGQFPGPQIEAVTNDNLIVNVFNNLTEPFLISWNGIQQRKNSWEDGVYGTNCPILPGQNYTYVMQVKDQIGSYFYFPTLDFHKAAGGFGGIRVLSRPLIPVPYPPPAGDFTLLTGDWFKTNHTDLKAIVDSGNDLPFPDGLLINGQGANGYAFTVDQGKTYRFRISNVGLLTSVNIRFQGHTMKLVEIEGSHTVQNTYSSLDIHLGQSCSVLVTADQPAQDYFIVVSTRFTDPILTTTAVLHYSNSIEVPATPLPGGPTVEVDWSLNQARSFRLNLTASGPRPNPQGSYHYGQVNVTRTIRLANSAPIINGKQRYAVNSVSFVSADTPLKIADFYNISGVFTLGSIPDNPTYAGGYLQTSVMAANFRDYVEIIFENDEDLVQSWHIDGNSFFVVGMDGGQWTSASRDSYNLHDTVSRCTVQVYPRSWTAIYMPLDNVGMWNVRSENWVRQHLGQQFYLRVYSPANSWRDEYPIPKNALLCGLASGRRTRPL >Ma05_p28930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39741279:39744657:-1 gene:Ma05_g28930 transcript:Ma05_t28930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEWNGIQQRKNSWEDGVYGTNCPILPGQNYTYVMQVKDQIGSYFYFPTLDFHKAAGGFGGIRVLSRPLIPVPYPPPAGDFTLLTGDWFKTNHTDLKAIVDSGNDLPFPDGLLINGQGANGYAFTVDQGKTYRFRISNVGLLTSVNIRFQGHTMKLVEIEGSHTVQNTYSSLDIHLGQSCSVLVTADQPAQDYFIVVSTRFTDPILTTTAVLHYSNSIEVPATPLPGGPTVEVDWSLNQARSFRLNLTASGPRPNPQGSYHYGQVNVTRTIRLANSAPIINGKQRYAVNSVSFVSADTPLKIADFYNISGVFTLGSIPDNPTYAGGYLQTSVMAANFRDYVEIIFENDEDLVQSWHIDGNSFFVVGMDGGQWTSASRDSYNLHDTVSRCTVQVYPRSWTAIYMPLDNVGMWNVRSENWVRQHLGQQFYLRVYSPANSWRDEYPIPKNALLCGLASGRRTRPL >Ma05_p28930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39741279:39744124:-1 gene:Ma05_g28930 transcript:Ma05_t28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKDQIGSYFYFPTLDFHKAAGGFGGIRVLSRPLIPVPYPPPAGDFTLLTGDWFKTNHTDLKAIVDSGNDLPFPDGLLINGQGANGYAFTVDQGKTYRFRISNVGLLTSVNIRFQGHTMKLVEIEGSHTVQNTYSSLDIHLGQSCSVLVTADQPAQDYFIVVSTRFTDPILTTTAVLHYSNSIEVPATPLPGGPTVEVDWSLNQARSFRLNLTASGPRPNPQGSYHYGQVNVTRTIRLANSAPIINGKQRYAVNSVSFVSADTPLKIADFYNISGVFTLGSIPDNPTYAGGYLQTSVMAANFRDYVEIIFENDEDLVQSWHIDGNSFFVVGMDGGQWTSASRDSYNLHDTVSRCTVQVYPRSWTAIYMPLDNVGMWNVRSENWVRQHLGQQFYLRVYSPANSWRDEYPIPKNALLCGLASGRRTRPL >Ma06_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18964702:18975095:-1 gene:Ma06_g22590 transcript:Ma06_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMDEGRLLEHERLQMEQIRELDMEELQVEEVDSNHHGDSDDDDDSAFTSHGYRGAGPVGGFTYNTCLASLHSYLGEVDDTHGRLLFLDGGAILNLPMFYLEGVVLFPEATLPLRVIQPRFIAAVEKALSQSDAPCTIGVVRVHWHPDDGRLRFALTGTTAEIRQYRRLDDGSLNVVARGQQRFRLRRRWVDAEGAPCGEVQIVQEDTPMRTPKEAFARLASISNFQRPSFAHVACSSGSPFKQHGHEDAETSWDCMSYGSITSDHSMDMRVSLSPSDSGDSPCIFERSAEPSSVDEEFMHEQNGRHKNSVSKILGKSSQSCKYGIEWSPRERSDDGVKVESNLATSESKWQFNAPMTFLPYWAYQMYDSYSLARRAADLWRKIIGNPRLDDYARKPDLLSFYIASKLPMSEATRQELLEIDGISYRLRREIQLLNGFNLIRCKICQVLIAKRSDMVVMSSDGPLNAYVNPHGFVHETITVCCASGLELRGRPVKEHSWFPGYAWTIADCDMCGSNIGWLFTATKKHLLPKSFWGIRSASVVDDTHKDRE >Ma06_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12089797:12094261:1 gene:Ma06_g17800 transcript:Ma06_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHNSGGNKFLHGHCNIRKRVCSSPSSASFASKNHRIKREIFVPKKGPSTTPVPFWRLRALSPHSAAGFSEASRHQIIQDVVKDTRASVSARKLGNALWELNNTASPRIPKDLQERRLMTEMNTRDRTSGSSMAGRLLHRLSDSSHNPISELCNRSRTSGHRRMLPLVHHKFHCRDKIHAAVDSQSKGSLMENEACFQGRAPRSSLVGSKNCLKDLQNGLVTSKELVKILIRFGGIGKQQTSAISLATALYCELDQALVQVVQLIQAKNSDHGENSHLVRQFVEEKGAWNGKKQEGIRVAVQSMIEEVKNEKKLRRKAERMNEKLIMEMAQMKASLANAGKELESERRTREMIEQVCSEMLRGIGDNKTEVEEMKRESAKIREELQKEREMLQLADEWREERVQMKLSEAKHQFEEKNAAVDQLRFELEAFLAATGREDLVNAQRDAADSLDSDCQRRMVHPSRSNMATFPTMDRRVTGEEEDQEESDGTDSEDSDLHTLELNVNNNNNGYSWRYASAATGDESKLASTKEKYQRSPKCEVYGSAIFPERVMTEGIKESIVRSFQNLNGYMDERKPVDPSDRLEQNVEKHKSVEILGNQNIASSTILPHGVPSSTRRKQRPK >Ma04_p38950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36249595:36252001:1 gene:Ma04_g38950 transcript:Ma04_t38950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISRSLLAAARSSSPRSSPAVPRLRSQPAAAPRLQRRRISFSPPRSLGQLGCAQSFLPLYSVVAAPRLTSHLSVSARACCELSQGT >Ma04_p38950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36249598:36252001:1 gene:Ma04_g38950 transcript:Ma04_t38950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISRSLLAAARSSSPRSSPAVPRLRSQPAAAPRLQRRRISFSPPRSLGQLGCAQSFLPLYSVVAAPRLTSHLSVSARACCELSQGTFRRTCQDR >Ma04_p38950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36249598:36251996:1 gene:Ma04_g38950 transcript:Ma04_t38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISRSLLAAARSSSPRSSPAVPRLRSQPAAAPRLQRRRISFSPPRSLGQLGCAQSFLPLYSVVAAPRLTSHLSVSARACCELSQGKNGKDG >Ma08_p13710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10831057:10833186:1 gene:Ma08_g13710 transcript:Ma08_t13710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQGLELSTVPNDRWEIHPNPFSRPAITQCCSNAAAAASFADASSHSALSMDSSSGHVSGEPAENRHMWSHVLLDVEDAGEVDDGENFLEVLSSRRLTADVFEPACANSKKMDSCRELHPFSLHSLGSLMQPDFANNWSLAPSNPQLEHHLAPSTCNGYISASMLIDHLASDTSHVTHEIPVSPSFTVDAVVGESSRSYYDHNVKPRSHQTGLNHEFCGGMAEASLSNRRSPSDQVPFGGCLGKQAGMELPASKPRVKGSDLCCGNKQAYERSSIRGNGRNTGTVEGKKQRSDEESTETLLKKSKYDSSMVSPAKVPKARMAAERISALQQIVSPFGKTDQASVLMETIFCIKTLQEQVQLLSDPYMKSSASKDHNSWGQLERKVKAQAKVDLRSKGLCLVPISSISQDHTDNSRPDYWMPTYRSCFY >Ma08_p13710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10831057:10832971:1 gene:Ma08_g13710 transcript:Ma08_t13710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQGLELSTVPNDRWEIHPNPFSRPAITQCCSNAAAAASFADASSHSALSMDSSSGHVSGEPAENRHMWSHVLLDVEDAGEVDDGENFLEVLSSRRLTADVFEPACANSKKMDSCRELHPFSLHSLGSLMQPDFANNWSLAPSNPQLEHHLAPSTCNGYISASMLIDHLASDTSHVTHEIPVSPSFTVDAVVGESSRSYYDHNVKPRSHQTGLNHEFCGGMAEASLSNRRSPSDQVPFGGCLGKQAGMELPASKPRVKGSDLCCGNKQAYERSSIRGNGRNTGTVEGKKQRSDEESTETLLKKSKYDSSMVSPAKLQVPKARMAAERISALQQIVSPFGKIKHLCSWKPYFVSKLYKSKYSC >Ma08_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10831057:10833186:1 gene:Ma08_g13710 transcript:Ma08_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQGLELSTVPNDRWEIHPNPFSRPAITQCCSNAAAAASFADASSHSALSMDSSSGHVSGEPAENRHMWSHVLLDVEDAGEVDDGENFLEVLSSRRLTADVFEPACANSKKMDSCRELHPFSLHSLGSLMQPDFANNWSLAPSNPQLEHHLAPSTCNGYISASMLIDHLASDTSHVTHEIPVSPSFTVDAVVGESSRSYYDHNVKPRSHQTGLNHEFCGGMAEASLSNRRSPSDQVPFGGCLGKQAGMELPASKPRVKGSDLCCGNKQAYERSSIRGNGRNTGTVEGKKQRSDEESTETLLKKSKYDSSMVSPAKLQVPKARMAAERISALQQIVSPFGKTDQASVLMETIFCIKTLQEQVQLLSDPYMKSSASKDHNSWGQLERKVKAQAKVDLRSKGLCLVPISSISQDHTDNSRPDYWMPTYRSCFY >Ma00_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31726715:31727395:-1 gene:Ma00_g03870 transcript:Ma00_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCKAFPTTLRGPARAWYSGLKTGTISSFDQLARDFKLNFLAYARPKPSVALLLGLHQREDEPLSHFVNHFTTQIRGLSNAHPSLLMQAFMIGLRPSRFFWSLVERPPTTVLEMLQRASQFVAAATWMAGKREEHKRVKTEPPRQQQPAASRHRLDRSDPPTSRPPLPALNSSRTEIFLHIREKGLLKEPYPMRNPRTLADQSKYYRFHRQHGHDSE >Ma04_p32340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32357383:32357514:1 gene:Ma04_g32340 transcript:Ma04_t32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFCVFIRYLYCNALIIACICKKEILMDKRRYIIKKNSLLIF >Ma07_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5572012:5576025:-1 gene:Ma07_g07490 transcript:Ma07_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPHLGKHCSVGDCRQIDFLPFTCDRCNQVFCLQHRGYFNHQCPNANQNDVTVLICPLCAKGVRLVLGEDPNVTWDSHVNTDCDPSNYQRATKKRRCPVPGCKEVLSLSNSVRCKDCTEEHCLKHRFGPDHKCPGPRKPDSGFSFGGMFNKNQKGKSLQDRSSNSSSWWGSSLMKAVSNIRASAESGMMKVNNVTTQALQKAKSGTAESSSGGELVEQCVQCRARFSTVSALIEHVERSHQQSSRAGGNRVTIDVCPKCSKGFRDPVALVEHVERDH >Ma02_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14317094:14317864:1 gene:Ma02_g02900 transcript:Ma02_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVELETLLCGGETKVACGTPPDGDREEVDEVCPLPAIGGGGLIYHDYGRMDSTRGLTNPKSVKAKPKSRSGSESLSGAMNAPSKPPIIGLPAKIQTSGYAGRGYRRPARSRIFPRKRRGGRNPVGPESKSEPASPKVSWLGKVLSDTGPEREQQRRPVKDRKARGFWAGLTAIFRCGGCGPGASEEEQQQVQGERRSTPPPRMIPAKTVRGVSAREQPPVVPAPGAMARLSSRRRASSWGEAAVAGQLSLFQSR >Ma06_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8597851:8600797:-1 gene:Ma06_g12380 transcript:Ma06_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSRSVRETVQDLELRLGPSYLSKVGMPPADCLRTYREIILIDDDGDYDNRLINPPEHPEVAFSSYPYSSSWEHVIREEDLELRLGVGATHVHLGNSICGTNRLEFKFKDPNALKSGKIRQASSSQYLCDFNEVKLKCAICMDTMKEETSTTCGHVFCGGCITNAIRVQRRCPTCREKLSLSNVHRIYLPGASS >Ma06_p12380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8597851:8600806:-1 gene:Ma06_g12380 transcript:Ma06_t12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSRSVRETVQDLELRLGPSYLSKVGMPPADCLRTYREIILIDDDGDYDNRLINPPEHPEVAFSSYPYSSSWEHVIREEDLELRLGVGATHVHLGNSICGTNRLEFKFKDPNALKSGKASSSQYLCDFNEVKLKCAICMDTMKEETSTTCGHVFCGGCITNAIRVQRRCPTCREKLSLSNVHRIYLPGASS >Ma01_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27349512:27350842:1 gene:Ma01_g23200 transcript:Ma01_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCHDGFLLGPLLESAKRLARDPEQEQQFEWNARTQVTMWFDNTETEASLLRDYGNKYWSGLLLDYYGPRASIYFKYMIDSLVKGESFPLEDWRRDWIGLTNKWQSSRNLFPVKASGDALNISRWLYDKYLCSDNLQSLYMGSNYDEAANFVSFYV >Ma07_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27215595:27219884:1 gene:Ma07_g19150 transcript:Ma07_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELKAGRRPPWVGLAAAVWVLVAAGNAYTFPLYSPSLKSVLGYNQQQLTMLGVANDIGENFGLIAGFASSRFRPWLVLFAGAACCFLGFGVLWLTVTQTVTGLPFWTLWIALCIGTNSSAWLVTGVLVTNMRNFPLSRGTVSGILKGYVGLSLSVFTALYTGMLHSSSTNLLLFLAIGLPAICLAMMCTVRPCTPSLEENTSERGRFLFIQISSVLLGLYLLSFTITNSYVSLGDGITCLFFGIMVLFLLAPLAIPLKMTFFPANNEQTVAKIPSSGSLDDLSTGGQDKTEPLIATSSANNLGNVQESDDVSDVEMLLAVGEGAVKKKRRPKRGDDFELHEALIKADFWLLFMAFFIGAGSGVTVLNNLAQIGIAVGVDDPTILLCLFSFGNFLGRLGGGAVSEYFVRTRMLPRPIWMTCTQIIMILAYLLYALGLSSTLNASTAMLGICYGVQTSVMVPTVSELFGLKHFGTLFNFMLLGNPLGAFLFSGLLAGSLYDKEAAKQHPPFLDHSSTSCLGPSCFRVTFLTLAGVCSLGTLLSMILTRRIRPVYQMLYAGGSFRQPPTSIH >Ma07_p19150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27215595:27219254:1 gene:Ma07_g19150 transcript:Ma07_t19150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELKAGRRPPWVGLAAAVWVLVAAGNAYTFPLYSPSLKSVLGYNQQQLTMLGVANDIGENFGLIAGFASSRFRPWLVLFAGAACCFLGFGVLWLTVTQTVTGLPFWTLWIALCIGTNSSAWLVTGVLVTNMRNFPLSRGTVSGILKGYVGLSLSVFTALYTGMLHSSSTNLLLFLAIGLPAICLAMMCTVRPCTPSLEENTSERGRFLFIQISSVLLGLYLLSFTITNSYVSLGDGITCLFFGIMVLFLLAPLAIPLKMTFFPANNEQTVAKIPSSGSLDDLSTGGQDKTEPLIATSSANNLGNVQESDDVSDVEMLLAVGEGAVKKKRRPKRGDDFELHEALIKADFWLLFMAFFIGAGSGVTVLNNLAQIGIAVGVDDPTILLCLFSFGNFLGRLGGGAVSEYFVR >Ma03_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9339752:9340630:1 gene:Ma03_g12080 transcript:Ma03_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHGILNDSRNQQTKSSAAARRFVGVRQRPSGRWVAEIKDSSQHVRLWLGTFDTPEEAARAYDEAARALRGENARTNFTMNPNSSPGDSSSGNRGFLVNSDAARGGGGALASSRERLSKSLQGIMARTADGRSSKTRVSDHSTFASVFHRNSDSFHGSLVDARSIEKAVVQPSFIVPSQPSEEPFTSGSDQFTGTRQLATNSREGTTDPMEEIILWRFDHDEVVREKEREMRGSKRIKVSSSIIVPPTFSASEPFGDGQLNCTSL >Ma04_p35100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34037201:34038225:1 gene:Ma04_g35100 transcript:Ma04_t35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASSLVLAGPPSLLSGQALRPTQRHPSTVALPSPSCRRRLRISAEAAPQGTGEGTEKTDAINVRFEKDGNKQHEASAIDRQPRRWAFDVSPLALVDTMSPMWTMKRMLETMERLFQESMSLPGSSVVEMRRPLEIKETDDEIRLRFDMPGLSKDDVKVSVEGDMLLIKGENKVKEEVAAGGEGAGWSSASYHMRFMLPDNCDKQAVTAELKNGVLLVVVPKTKTDRKVLNVEIL >Ma07_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9404423:9405487:1 gene:Ma07_g12510 transcript:Ma07_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVSKTTVLLLVLVSLAQVNMGSRVLSSLVEQPSELLTYHNGAVLQGDIAISIMWYGAFTPTQKAIMSDFLLSLTPSSQTRPQPLTPSVPQWWETIDKVYLAKAGKKTKTTNIVLAEQVSDEECSLGKSLKTSQIPELAARAGPKKGGIALVFTAQDVAVEGFCMSHCGLHGSDRNTGSAYIWVGNSAEQCPGRCAWPFHQPMYGPQTPPLVAPNGDVGVDGMVINLATLVAGTVTNPFGDGFFQGAREAPLEAATACPGVYGKGAYPGYAGDLLVDVTTGASYNANGVCGRKYLVPALFDPSTSTCSTLV >Ma05_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36220001:36225618:-1 gene:Ma05_g24030 transcript:Ma05_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYELIKGEEATAAAEPESKISVIAVAAADALLSPRKADIEAGGPEAPAEKEAPPANRNREPRLVSLDVFRGLTVALMIFVDDAGAFFPAITHSPWDGITLADFVMPFFLLIVGVALALTYKRVSNKAAATKKAVLRATKLFIVGLIVQGGYFHGLHNLTYGVDILRIRWMGVLQRIAIAYLLAALCEIWLRNDDDDDDVNSGYCLVRRCQFQLLVALILTTIYLVLLYGLHVPDWEYQIAVAGSKPMTFSVKCGVRGDTGPACNAVGMIDRQVLGIQHLYRRPAYERTKQCSVNSPASGPLASDAPPWCQAPFDPEGLLSSVMAIVTCLIGLQFGHVIIHFKDHKERIVRWLIPSFWMLALAFSLDFCGIHMNKALYTLSYTLVTAGAAGILFTGVYVLVDVCGYRKPTLAMEWLGRHALMIYILIGCNILPVFVQGFYWREPQNNVLKVIGIWISRCSEESYQLH >Ma07_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29022584:29045825:-1 gene:Ma07_g21020 transcript:Ma07_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLESLDEKAIHELAVVANVLKEFIDVMPPELSKTLLPRRGMDYHIELGLRVKPPARPPYRIPPSELRINIIVRNKATFMARIPEDIFYLEILPKLPYDSLFRFKFVCKNWLHFITQDPSFARQHLSYNGSPGFMQALPFGMDDEERWRMGQQ >Ma01_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8475025:8493480:-1 gene:Ma01_g11690 transcript:Ma01_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTLSGDRRWASARKSGMTILGKVPKPINLPSQRLENNGLDPNVEIVPKGTLTWGSRSSSATPNVWSSSTLLSPKTDGSTGSPSHYSGRPSSGSGTRPSTAGSDRSQDPSPNAWGSNSRPSTASGLLPSNQTPIVSARPRSAETRPGSSQLSRFAENSSESAVAWGATRTAEKLGVGSSKTDEFSLSSGDFPTLGSEKKSESHSPQGHNSQSPSAAASGASRPNEKLELPASGDSFVDNENASTFRTERNSYVEGGGGALLNQKWLNNPQQTQPYQSSKVTSHQFNSWHRPSGQHPDGVWYRGGAVGGPYRPAGPPGCFPVDPFTYCQRPFQPNSEAIPRPGTGSGTYHPTNGETYRPQVVPNSYMFPSHSLIPARPGPYQALGPYDGYHNYHQASFCSSGEQQIPSTEVSTRPNLYDEHPNHNNNLTSVEFLNIPGRDDSQLTKEPILSDRACVPHQGHYKVLLKPHDDLKDNHPQGKGQYGSSSPRHFDLEFKPGDSNSKEGELSIAGGKNETTKSVTLTDCQAPTELVGNHEDQLSKVGANNLGKNKCRTSDGLLRREPGITIPVVQDQKHYPIMRKNSALIEKIEGLNNKVRNADNLDVGQLPLRKEQTKQQKFANAKTERPAQATFSDAAPTENASTSSVTPMSVSFEGSSINGPISSTMVMPVSFDGGSINVINNSNEFIDSAVVPGTSEYQDFALMKPDSLIPGEAVYSHVPKRIISTRRNNYQAKPLLDNQVDGGLTRESSGRDSSVIPVEKKVADGPVSGILDNHSSKETVLTSSSDLLDHRAQRAKLKEIAAQRAKQLKEEEEERTREQKAKALAKLEELNRRSAAQSMNQKLNDAFSTRKSVQHQEESRTDIAQTDALTGNPPGGVLVENADIFLQAGDCDNKEHGTSIALPLNTVSHPSSLGHETNTTEMTTHNIGSQSHVSVGSKHKQMGHRRRQKVPLEKSLGEKPMMENVGSKCLDEVVQERSPDEKPVTAENKESPEIIDEVNTPAPDSAMLQHNGDPSLQHKKKNSRNSRNKNKDEPLMTSSLSFSAHSHENVEERLSESSKSHLPASITVTSSVLAQVSPENSGSQDSRDGVVHSNQGCSNIIEEVHGRLNNQWKPQPPRRPARSQQIVRNMDKVHGSETVVWAPIKPANKNEQPEESSQSSMMGSNYESSQRNEHDLHNGMRTRRAEIERYVPKPVAKEILQHENTRKSSSYVNQSATGDMPNESYTDSKGVRIGKFDGSEPRSTDFFSNTNGEDNKPSKRGRAHATWRQRSSSESTLPLQNSNESLSSSDTSKLFNRPSGQHQLLPEQVKSDGWESRNDSLVKDSGVLPAVIKDQGVTSRQRNQQVHRPRGRNYVTTPDHQYLQHGMDDKAGVGSPILDINETDTRNSTKDNKNAITEHIRTQSHWKPKSQTYSHNQQQGTTGSGSQRNPSHDGRSEKFTSPGFESNSSYNENDSALTEKDHVVTDAGHKDSIRTETGASSSDPSKEQIHIPKPDVPIDTALPPENMNAQRHGHRGGRFNRGQEATYRIRDSMLTSGRSNVQKNGDKRKNNSHLGYQPVGSYNKPSDIRQLDSHIDQEAQSHHASRQRYKERVQTQTRNPGHFVRRDSGATAHVNDSCNSEE >Ma01_p11690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8475025:8493480:-1 gene:Ma01_g11690 transcript:Ma01_t11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTLSGDRRWASARKSGMTILGKVPKPINLPSQRLENNGLDPNVEIVPKGTLTWGSRSSSATPNVWSSSTLLSPKTDGSTGSPSHYSGRPSSGSGTRPSTAGSDRSQDPSPNAWGSNSRPSTASGLLPSNQTPIVSARPRSAETRPGSSQLSRFAENSSESAVAWGATRTAEKLGVGSSKTDEFSLSSGDFPTLGSEKKSESHSPQGHNSQSPSAAASGASRPNEKLELPASGDSFVDNENASTFRTERNSYVEGGGGALLNQKWLNNPQQTQPYQSSKVTSHQFNSWHRPSGQHPDGVWYRGGAVGGPYRPAGPPGCFPVDPFTYCQRPFQPNSEAIPRPGTGSGTYHPTNGETYRPQVVPNSYMFPSHSLIPARPGPYQALGPYDGYHNYHQASFCSSGEQQIPSTEVSTRPNLYDEHPNHNNNLTSVEFLNIPGRDDSQLTKEPILSDRACVPHQGHYKVLLKPHDDLKDNHPQGKGQYGSSSPRHFDLEFKPGDSNSKEGELSIAGGKNETTKSVTLTDCQAPTELVGNHEDQLSKVGANNLGKNKCRTSDGLLRREPGITIPVVQDQKHYPIMRKNSALIEKIEGLNNKVRNADNLDVGQLPLRKEQTKQQKFANAKTERPAQATFSDAAPTENASTSSVTPMSVSFEGSSINGPISSTMVMPVSFDGGSINVINNSNEFIDSAVVPGTSEYQDFALMKPDSLIPGEAVYSHVPKRIISTRRNNYQAKPLLDNQVDGGLTRESSGRDSSVIPVEKKVADGPVSGILDNHSSKETVLTSSSDLLDHRAQRAKLKEIAAQRAKQLKEEEEERTREQKAKALAKLEELNRRSAAQSMNQKLNDAFSTRKSVQHQEESRTDIAQTDALTGNPPGGVLVENADIFLQAGDCDNKEHGTSIALPLNTVSHPSSLGHETNTTEMTTHNIGSQSHVSVGSKHKQMGHRRRQKVPLEKSLGEKPMMENVGSKCLDEVVQERSPDEKPVTAENKESPEIIDEVNTPAPDSAMLQHNGDPSLQHKKKNSRNSRNKNKDEPLMTSSLSFSAHSHENVEERLSESSKSHLPASITVTSSVLAQVSPENSGSQDSRDGVVHSNQGCSNIIEEVHGRLNNQWKPQPPRRPARSQQIVRNMDKVHGSETVVWAPIKPANKNEQPEESSQSSMMGSNYESSQRNEHDLHNGMRTRRAEIERYVPKPVAKEILQHENTRKSSSYVNQSATGDMPNESYTDSKGVRIGKFDGSEPRSTDFFSNTNGEDNKPSKRGRAHATWRQRSSSESTLPLQNSNESLSSSDTSKLFNRPSGQHQLLPEQVKSDGWESRNDSLVKDSGVLPAVIKDQGVTSRQRNQQVHRPRGRNYVTTPDHQYLQHGMDDKAGVGSPILDINETDTRNSTKDNKNAITEHIRTQSHWKPKSQTYSHNQQQGTTGSGSQRNPSHDGRSEKFTSPGFESNSSYNENDSALTEKDHVVTDAGHKDSIRTETGASSSDPSKEQIHIPKPDVPIDTALPPENMNAQRHGHRGGRFNRGQEATYRIRDSMLTSGRSNVQKNGDKRKNNSHLGYQPVGSYNKPSDIRQLDSHIDQEAQSHHASRQRYKERVQTQTRNPGHFVRRDSGATAHVNDSCNSEE >Ma04_p27000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28276816:28278586:1 gene:Ma04_g27000 transcript:Ma04_t27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLAKTVGFNVLKVIPAGSTSGGGKKAFAAV >Ma09_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4128051:4140165:-1 gene:Ma09_g06450 transcript:Ma09_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLLGFRGGSAASSSSSSSKEEPSPTSDPRNPSPSLAAAASGLGRPIRLVYCDEKGKFRMDPEAVAALQLVKGPIGVISVCGRARQGKSFILNQLLGRNSGFQVASTHRPCTKGLWMWSAPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTKIFSLAVLLSSLFVYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGKTSASELGQFSPVFVWLLRDFYLELAEDNRKITAREYLEIALRSMQGGGRDLLAKNEIRESIRALFPDRECFTLVRPLNNENDLQRLDQIPLDRLRSEFRSGLDALVKYIFERTRPKQVGATVMTGPILAGITQSYLDAINNGAVPTISSSWQSVEEAECRKAYDAAAEIYKSSFDRSKPAEETVLREAHQDAVEKALNAFNSCAVGSGLARQNYEKLLLNFFRKTFEEYKRTAFLEADLQCSKVIQSMETKLRAACHAPDAKLSDVIQLLDRLLVNFESSAHGPGKWKKLATFLQQSLEGSISDLFRKQLNHVESERNSLKSKCRLSEDKLALFMKQLEANEKHRSEYLKRYEDAISDKEKISKDYSGRIADLQSKYSKLEERCLSLSNALELAKHESSNWKNKYNESMADQKAEEDKFKAQIAVLEARIGAAEGRLAAVREQVASSQEEASEWKRKYDVAVGDAKTALERAAVAQERTNKKVQAREDTLRTEFAEQLATKDKEIINLTAKVDQSENQANSLLLRLEAAESELKRRESESSVLKNEIQGLLENLDSVKTMAQTHERQVKILEQENNHLQEKYLSESKKFDEADRRCKDAERDAKKATELADTARAEVVAAQKEKNEAQRLAMERLAFIEKAERQVESLERERNKLIDETEALRRSEIDAIAKVASLEHRVEEREKEIEEMLSENNEQRSNTVQVLESLLATERAARAEANKRAEALSLQLQLTQGKLDSLQQELTSIRLNESALDTKMKSARGKRPRVDDNIGTESVHDMDTGEEVAKGRKRSKSTTSPFNYSQMEDGGSVFRGEQDNNQSQANQESETEDYRRFTVVKLKQELTKYGFGAQLLELRNPNKKDIIALYEKHVLGK >Ma04_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26976661:26985716:1 gene:Ma04_g25170 transcript:Ma04_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTLNFPIHWRSKPSLPNADRPILRIPPRRSARPASPVVAAAANWNNGFRGIGDGGAASAEKGVQKNVDLVTLGNLCVDIVLGVPSLPPASKEDRRAYMERLAASRPHKNFWEAGGNCNLAIAAARLGLLCFTIGHVGDEIYGNFLLDVLHDENISFVGMSENVDSTANIAAYETLLCWVLVDPFQKHGFCSRADFSEEPAFSWMTKLTEEVKMAIQQAKILFCNGYAFDELLPDLIVSALDYAIGAGTTVFFDPGPRGRTLAHGTPDEQKALELFLKQSDVLLLTSDEAESLTGIKNPIQAGEALIRRGERTKWVIIKMGSKGSILINRSTVSCAPAFKVNVVDSVGCGDSFTAAIAFGFLHDMPTINTLSLANAVGAATATGCGAGRNVARLCKVLELLKQSNLNEDDKFWSKLIEGNSLTSEVLLLSKVTINGCNDRLVRIPISNVVFELLPKFDAACESSVTQS >Ma11_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6857387:6862181:1 gene:Ma11_g08640 transcript:Ma11_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVMKTLEALKIYFLDKREIIIRTDCQAIISFFNKSSQNKPSRVRWMAFVDYITGSGVDVKFEHIEGETTQEVQAKPNARNGFLSLYIYGIEFLTRRSLSARRMASGKRTRILSLCLLLGLVSVALGATDPGDYQVLDEFRKGLSNAELLKWPKNNQDPCGSPPWPYVFCAGSRVAQIQAKDLGLVGTLPPDLNKLSMLVNVGLQGNNLTGALPSFKGLSSLQYAFLGNNRFDTIPADFFVGLDSLQVLSVDRNPLNQSTGWTLPSDLANSAQLTNLSLMECNLAGPLPDFLGNMRSLNLLKLSYNSLTGEIPASYAALPLQVLWLNNQKAPGLSGTLDVVASMTFLNDVLLHGNQFTGRIPSSIAALTSLNRLWLNGNQFVGLVPANLTGLPQLQSLHLDNNAFMGPIPKASFSDFTFSANSFCQSAPGVPCPPEVAALLGFLDGVGYPPKLTKSWSGNDSCTASWMGISCAGGRTAIINLPNYQLNGIISPSLGELDSLAQILLGGNNLTGTIPSSLTSLKSLKLLNLSANDISPPVPRFPAGVMVILDGNKLLGKSTPGSRSPSGSSSSSAGSKTLVVVVVPVIVGVLVVLLVILFLRCRKNWKKTAPTAIVVHPRDSSDPYNFVKTAVASTAKKIRFAKELRSNDGSGVVEEGNLVISVQVLRAATRNFAPENVLGRGGFGVVYRGELHDGTAIAVKRTESAVRSDKALDEFQAEIAVLSKVRHRHLVSILGYAVEDNERLLVYEYMPQGALSRHLFQWREHQIEPLSWKKRLNIALDVARGIEYLHNFANHCFIHRDLKSSNILIGDDYRAKVSDFGLAKLAPDGKKSMATKLAGTFGYLAPEYAVTGKVTTKVDVFSFGVVLMELLTGLKALDETRPDESRYLASWFIKMKSSTENLKSIIDPSLAITDEAFDAVRAMAELAGHCAARDPYQRPDMRHSVSVLAPLVEKWRPVDDDQECPGTDICQPLLHMVEDWQAADGGSSGSSLSLDTSKASIPGRPVGFAVSMASADGR >Ma05_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3723693:3724545:-1 gene:Ma05_g04840 transcript:Ma05_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRSGKLSKLRCMIKRWHSSSRITRSATGGGGGDSSRSQEEEDATSQLASFQGDDVPPGHHEVFVGRSRRRYLVGSGLVDHPLFQVLVERTGGSDAGTVVGCEVVLFDHLLWMLENADPQPESLDELVDFYSY >Ma06_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8307215:8318871:-1 gene:Ma06_g11910 transcript:Ma06_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) UniProtKB/TrEMBL;Acc:Q8L5Y4] MPKVLGTGTFDFRRHRVAEYFVETGQEAAAEPLPEKPPEQRPAAGLSSSITLLEIQRDRLTKTAADNWLKTAGGGAHSREFDPELVKEIYETELLASGGGRKTAPLHRVMILEVSQYLENYLWPNFDPDSATFEHVMSMILMVNEKFRENVAAWICFHDRKDAFRGFLRRVLLLKEQGRALSIAEKTNYLLFMINAFQSLEDEIVSETILKLVSLQLWHSLSLGRFQIELCLNPHLIKKWKKMTKKEAKEAKKGGQPFDPSKVLEVRFLRNLIEEFLEILDSKVIHQNLSGHEVSDSYDKLVDESSVLYCERFMEFLIDLLSQLPTRRFLKPVVSDAAVVPKCHLSALYTHEKGRLFAQLVDLLQFYECFEIDDHIGMQLSDDDVLLAHYSRLQAFQLLAFKQIPKLQDFALCNIGAIQKRADLRKKLSVLSDEELQDLVCDTLKLVSRDDTWANRSDFLVEVLVSFFEKRQSQKDAINALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINREGETAFGGWSRMAVPIKQFRITEVKQPNIGEVKPSSVVAEVTYSISSYRSHIRSEWDALKEHDVLFLLSIRPSFEPLSQEEAAKSSVPQRLGLQYVRGCEVIEIRDEEGVLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYHMDVADIAEKGTEDVYGTFNILMRRKPKENNFKAILDSIRDLMNESCIVPDWLHNIFLGYGNPSAAQWINMPDLLEAVDFKDTFLDANHLRDSFPDFQVCFVKPDGSDDLHSSPPFRIRLPKTMKSSTHALPGNEKISNITTSNGDEMAQYGSEKEKIFVEAYVPLDPGPYPQDQPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACAQNKDKPSFIKDRFPFMEFFSNTPQPVFTGESFEKDMRAAKGCFCHLSTMFQELEECRAFELLKSTADRANYLMTKQAKVVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFMRFVRLCVPYIELNAQGRARPSIAKLYNWRYRDLGDLPYVREEVMFQKANAGFAYEYQLIDVPDYLGKGETAPSPWFYQNEGEAEYIVSVYIYMRLLGYPASKISILTTYNGQKLLIRDVVNRRCMTSGIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRALFEQCYELQPTFQLLLQRPDKLGLNLEETSPFTERLMGETGRIQFIGGVEEMDGLVNFRIHQLYQAQLISQYGAHQESVPSANGAQDSTSENQSEDTDMPTANGDADNETFEDNTTGEDQME >Ma05_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4207163:4210518:-1 gene:Ma05_g05540 transcript:Ma05_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPLLLLPFFFCFFLPFYLLAGTAIAKSTIEPCTGTDSCPALLGYRLYADLKIQEANNIQDPSALDAGRTLVIPLPCTCFNTTDNFLPAVYLSYVVRQGDSVPAVAARYSTTVTDIMNVNNMGSPSVQQGDILAIPLPACASMFPKYASDYGLIVANGTYAITASHCVQCSCGPGNLNLYCTPASLAVSCSSMQCSNSSLMLGNYTSQQTAAGCSVTSCNYGGFVNGSIVTRLSTSLQPRCPGQHQFPPVIPPPTTVLHDSFLVPSPSPAEAGGTITTPRSSMPGTFTLPGVSPAFSPTGSTSRASSMRQQKSTRDYYYCS >Ma08_p28060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40106574:40113231:-1 gene:Ma08_g28060 transcript:Ma08_t28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGTFHRPPPASSATHHSFASRILLLLTALPLALASLAFVLQWRGGVDDPASRWPAADARVFPGMDNSSLSSPVPSVAARSASDCVEILGGSSSPSFPYYRGWKFDLDKDLKPKICITTSTSAGLEQILPWLFYHKVLGVSQFLLFVEGKAAKPHVSAVLVSIPGVQVVYRTGELEEKQAKSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARNTGMDWIIHLDTDELIHPAGAAEYSLTRLLSDVPANVDIVIFPNYESSVERDDIKEPFSEVSMFKKNFDHLLRETYFGLYKVAANGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKIPNEVKLDEAAVLHYTYPKFSDLTSRRDRCNCKPTKEDVKRCFMLEFDRNAYIIASTATEEEMLRWYNEHVVWNDRRLTLKLLRKGILTRIYAPMVIVQTLRESGVLSSAIASAQISSEEELNSPNQNLQNKTSALPDLSVGGSKKARTRGSENSSQAIVRKIMEVAGGTESAVPPMSPPSLDDLRMET >Ma04_p36600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34894753:34897769:1 gene:Ma04_g36600 transcript:Ma04_t36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKGLMNATLDAVAGEEDEDAAAAARIQSSPAEERSRSTWAEVVSSGHEEEHERVPESDCGNGRNQMVARKEESEMQDDGEWMVVGVKNKKHQQTTPRRPHKAVMKFWGVYKRPPNEQEYINEANNGMGLEPIREELDNLSKACIRLWELDLNRLVPGKDYDIDCGQGKKVYQKGDMASKSLFSWISEDIFMRPTYSRFCSLLDNYNPNVGCKEVITSEEKHEQAAFIEEISRTAPIKYLYHYLVAKDIISNDYEDFKRMMSDLWFNLYGRGGHSSCSSAFEHVFVGEIKGREKYEVSGFHNWIQFYLEEWKERVDYQGYIFPKKRGESPDSETQLLTIQFEWNGVLKSVSSTLIGVSPEFEIALYTLCFFVGEEDNHVCLGPYSVNIKCYRLGKNKIGSVFPVAEI >Ma10_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32560888:32587774:1 gene:Ma10_g23800 transcript:Ma10_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MAESHLQEEEHLDVLTRTGEKTGVSKLRSLVHRDGDYHRAVHVWIYSESTQELLVQKRADCKDSWPGQWDISSAGHISAGDSSLLSARRELYEELGIDLPKDAFELLFVFLQECVINNGNYINNEFNDVYLVTTLSPIPMEAFTLEESEVSSVKYIHWKDYKNVLEEGDEQYVPYDVTGQYGQLFIIIEQRYKENMEARSLNLQKKLNRYAPIYMEPKLSGLSDADKESLSYILRAAMVVDDIFYIQVWHSNPMLRDWLKERSNTSSLDRLKWMYYSINKSPWSSLDENEAFLTTADSAVKLLAKSTKPVSGWEGIEYRAAFPMMKPPGANFYPPDMDKKEFELWKGSLNASEQEAATGFFSVIRRHVDTPSSTVSHLMDHSGSGQHFNLDCLTIVPFSQEYKALLVKAADLLFRASELSDSSSLKKLLETKGNAFLSNDYYESDIAWMELDSKLDVTIGPYETYEDTLFGYKATFEAFVGIRDDIATSQVKLFGDHLQDLEQNLPMDSMYKSKDVVAAPIRVIQLVYNAGDVKGPQTVAFNLPNDERIVNERGTSMVLLKNVSEAKFKHILQPIADVCIKEDQKEFIDFESFYTHTICHECCHGIGPHTIILPNGVQSTVRLELQELHSALEEAKADIVGLWALKFLVDQELLPKSFLKSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLHENGAFLMHENGTFSVDFMKVEGAVENLSREILTIQAKGDKPAAMSLLQRYAKMTQPLHIALEKLERVQVPVDIAPIFSVADKFLEKIH >Ma03_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25272050:25273799:1 gene:Ma03_g20080 transcript:Ma03_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPPQASPPHHRLFFLPSPTHLFKGHSTAYTISCLLLLFSFLLLPHFLTPLQPFLLLRIPRSHDSHPDTACDYTFGRWVWDEARPLDAYTEDCPFLDPGFQCRRNGRNDSSYLYWRWQPHGCDLPRFNASEMLERSRNGKIIFAGDSIGRNQWESFLCMLAKAVQNKSSIYEKYGNPITKHKGFLSMVFHDHNLTVEYYRAPFLAAVGRPPRASPDHVRAAIHLDALHWQCKHWVDADVLILNAGHWWNDKKTIDVGLYFQVGEEIQTAMDVKEAFRRTLETVKLWTFNNLHLRKSHVFFRNHSPIHFSNGTWDNGGSCTAFTEPEKDPAALGPEPWNNRVIADTVEGMKSGGRKVQLLNITYLTEFRKDAHPSAHREPGTPTDAPEDCSHWCLPGVPDTWNQLLYAYLLMMEYDTRKKNV >Ma09_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8716030:8717731:1 gene:Ma09_g12920 transcript:Ma09_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPCLHFKLCCGRNPPPALTVLIAKQSFFETAI >Ma01_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9254727:9263384:-1 gene:Ma01_g12640 transcript:Ma01_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLRPMERNRERETCLGEQGKPGKDEVVIERGEVLLLSLAKQFGDEGGLIGGDAYSISNRDKFGAQFGRWKRVFNICDSENAVVAGKKPYIVYLGAHSHGPQATVEDYARATDSHYELLGSLLGSKEKAGETIFYSYNKHINGFAATLEEEEATLIREHPGVLSVFESINYELQTTRSWAFLHVEGDDGSVSNSSIWTAAKYGSDSIIANIDSGVWPESASFKDDGFGDVPTRWKGECQKDPTDGVTCNKKLIGAKSFYHGFEAANNVTLNVTSPRDHNGHGTHTLSTAAGNFVPDVEVLGNAKGTAKGGSSHARVAAYKVCWNLCDEPNAPPTASCSGQCNGADLLAAFDAAIQDGVDVISVSLGGGAGSVDYFSDSSAIGAFHAVAKGITVACSAGNSGPFLLGAVNNAAPWVITVAASTIDRDFKQTITSTNNKQIQGRSFTLKSLDTGKKYTLIRAVDVIAANASEDDATLCEPHSIDAAKVEGKIVLCQRGPPPPLLDDFPQVPGAAGTIVIDNNKRGDNFLMTAFMDQAHLLISEKDGQALQSNIRDSKSNDLSISGPTTELKTGRQAPAVAYFSSPGPNYHNPLITKPDVAAPGVNILAAYSQAPKKDKDFLNPSVPYHLLSGTSMACPHVAGVVGLLKTLHPDWSPAAIKSAILTTATILDDAGNSIKNFMGSQTDPWRFGAGNIQPNSAMDPGLVYDLKPTDFLDLLCSMGYNSTQLAHFTDPPYACPKQKIEEHNLNYPMIAIRYPTTTATATRTVKNVGPPGTYKVQVTQPVGITLSVTPSILEFKSVGEEKTYTVEAKPNKPNQKPINVLGYITWTDGTRNVRSNIIVLDRW >Ma02_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5970952:5976636:1 gene:Ma02_g00640 transcript:Ma02_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEKRREQRGERRETRDVRVRVGEERGKYIVGPWSDRVILESNPIDPPSPSPRSICLGSGTKVGSMIAKTEEVCALLLLGLPRGLRVGADGTNHRYEEGDHVPLYANKELFQMFGLPYIIAPTEQKLSVLI >Ma01_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:272841:274658:1 gene:Ma01_g00370 transcript:Ma01_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCFPVLRLGKKSRAGSITSPPSFSPSSPFPSSSRWPKRNLLPSEMDIMEKKRWDSLESWSMLLEPGNAESSEANAGGEREEWMADLSQLFIGNKFASGSNSRIYRGIYKQRAVAVKMVRIPEQDEEKRATLEQQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLHKKEPYSLSTETILQLALDISRGMQYLHSQGVIHRDLKSQNLLLNDVRRVKVADFGTSCLETQCRESKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALVPYQGMTPVQAAYAASEKSLRPPLSTTCSPVLNNLIKSCWSANPARRPDFNYIVSVLEKYDERLKEGLPVLVQQELRIGNSLFKLLKGCIATTSSIPVQA >Ma08_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33086341:33087002:-1 gene:Ma08_g19290 transcript:Ma08_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRGQKVDLKLNLAPPPPTRGDTSRRAAAAAVSDGESSPSSCLSSDADSPEATSSMVLAACPRCLMYVMLSKDDARCPKCKSAVLLDFLHDSTTTKTTRKP >Ma06_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6527383:6531525:-1 gene:Ma06_g09280 transcript:Ma06_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTMEDSGAILREISSFKDMLDQVNEEIEKTIQRTREIESEILKLSEIEDGHIMRECELSKIIIVRGFELEASIQVAAISRASAESMERESGSLKINRDIIEKRIYDKREKFILQCCEFQDDMTIAKNNELSRLLSEKKALENEKQTVTKKMTALESSTEELIEEILQEIHKSNSALDAEIHNQRSEYMGVIDDINDLRILLGSISSFEDS >Ma02_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27218616:27222216:1 gene:Ma02_g21650 transcript:Ma02_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASVVLSPIREDSALSPVRFDGALSSHCPPESILIYLALPGSPVTPLRVSESDSIASVKLRIQIHKGFMVSKQKLVFDGRELARNSSLVREYGVTDGKVLHLVIRLSDIRSITVKTACGKKYEFQVERSRNIGYIKQQLTKRGENFRDLEDRKLICDGEELDDQQVINDICKDNDAVLHFLIGNSAKVRPKPVGKDFELSIIAAVAKEEIEAPDRDALIEPVIVNPKVNLSPLIMNMIQSVSSGLERGNPPVMSSEGSGGAYFMQDVEGHKYVAVFKPIDEEPMAENNPRGLPLSKDGEGLKKGTRVGEGAFREVAAYILDHPVGGRRLSDEIGFAGVPPTAMVRCSNGGFHHPEGSGRMVKNCKIGSLQMFVKNYGSCEDMGPRALPVEEVHKICVLDIRLANADRHAGNILICKEAGQTLLVPIDHGYCLPENFEDCTFEWLYWPQARQPFKDEVIDYIKSLDAEEDIALLKFHGWELSPECARTLHVSTMLLKKGVERGLTPYDIGSIMCRETLRKESKIEEILREANDTILPGTSETAFLESVSEIMDHHLDKLTLPTDRLLMVPNL >Ma03_p16000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17562118:17570875:-1 gene:Ma03_g16000 transcript:Ma03_t16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPSLPRGVSSRAAFRLSVLVAAVTLEFVLLLVCFGGTGAKSQDSELLFSQNPKVGEKDVQLTHSCIHDEILHQRRRPGRKEYSVTPQIYYQSSLSRSRHSGGRALLEVSSAPLLQKDAKQPIRILLNYDAVGHSLDRDCQNVGELVKLGEPPVTSVPRTPVCKTHGDRPVFADCWYNCTSEDISGEDKKRRLRKALGQTAEWFKSALAVEPVKGNLRLSGYSACGQDGGVQLPHEYVEDGVSDSDLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHYRDERKRRRSQVTVQVMDEKLGRMVTRVVLPRVVMHARHHYGAFSENFTGLELEDGGGRGTSGSVDTRSVVSKMTLALLEDSGWYHANYSMADHLDWGWNQGTEFVTSPCNHWKGAYRCNTTQLSGCTYNKEAEGYCPIVSYNGDLPKWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMTSSLVRTGFVRGSTTQGNGCYQHRCTNNTLEVAVDGVWKVCRESGGPVQFSGFNGELICPAYHELCSGAPVPIIGQCPGSCSFNGDCIDGECHCFLGFHGDDCSQRSCPRNCSEHGTCHPNGACQCDSGFAGIDCSTAICDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSSLLPSLSICGDVLAQDAFGQHCAPSEPSILQQLESAVVMPNYNRLMPGGRMLFSILNNGHCAAAAKRLACWISIQRCDKDGDNRLRVCHSACRSYNAACGASLDCSDQTLFGSEEEGDGQCTGYGEMRPWWMRRIGNLYSQNQQYL >Ma03_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17562118:17570875:-1 gene:Ma03_g16000 transcript:Ma03_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPSLPRGVSSRAAFRLSVLVAAVTLEFVLLLVCFGGTGAKSQDSELLFSQNPKVGEKDVQLTHSCIHDEILHQRRRPGRKEYSVTPQIYYQSSLSRSRHSGGRALLEVSSAPLLQKDAKQPIRILLNYDAVGHSLDRDCQNVGELVKLGEPPVTSVPRTPVCKTHGDRPVFADCWYNCTSEDISGEDKKRRLRKALGQTAEWFKSALAVEPVKGNLRLSGYSACGQDGGVQLPHEYVEDGVSDSDLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHYRDERKRRRSQVTVQVMDEKLGRMVTRVVLPRVVMHARHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYHANYSMADHLDWGWNQGTEFVTSPCNHWKGAYRCNTTQLSGCTYNKEAEGYCPIVSYNGDLPKWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMTSSLVRTGFVRGSTTQGNGCYQHRCTNNTLEVAVDGVWKVCRESGGPVQFSGFNGELICPAYHELCSGAPVPIIGQCPGSCSFNGDCIDGECHCFLGFHGDDCSQRSCPRNCSEHGTCHPNGACQCDSGFAGIDCSTAICDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSSLLPSLSICGDVLAQDAFGQHCAPSEPSILQQLESAVVMPNYNRLMPGGRMLFSILNNGHCAAAAKRLACWISIQRCDKDGDNRLRVCHSACRSYNAACGASLDCSDQTLFGSEEEGDGQCTGYGEMRPWWMRRIGNLYSQNQQYL >Ma04_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16798049:16799610:1 gene:Ma04_g16860 transcript:Ma04_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPASLSKHLDRDSVQLLRGLVGPIIASIYKGGGTHVQGLVYRGAGCICFLGSGRLPLSLLFCGKVKPREGREVVSRQRLCHVDTCERFSVCSGLF >Ma05_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34029796:34030371:-1 gene:Ma05_g22290 transcript:Ma05_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTQWLRAAVLGSNDGLVSIASLMIGVGAVNQSAKAMLVSGLAGLVAGACSMAIGEFVSVHAQYDIEVAEMERRRRGSGSGSEEGVRGEESLPSPMLAAGASALAFALGAVLPLLAGGFIRSWSVRVGAVCAVSSLGLAGFGAAGAVLGGADIWKPALRVLGGGWLAMLVTYCVLRIFGLLFNMQVSSA >Ma05_p25500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37425544:37429207:1 gene:Ma05_g25500 transcript:Ma05_t25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G49100) UniProtKB/Swiss-Prot;Acc:Q9SMU7] MVYIASWDEFAERSVQLFRADPHSSRYVMKYRHCDGKLVLKVTDNRECLKFKTDQAQDAKKMEKLTNIFFTLMVRGPDADISEASGKEQVEQVASKKGRGRRQ >Ma02_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12062825:12063376:-1 gene:Ma02_g01820 transcript:Ma02_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKACPVATPASGASRPDGCGLTLRSVYCQSYGIQLKFSSVAHPQTNGQVKVMNQAIMEGLKKIISGARGAWVDELPSVLWAMQMTPKTTSGESLFSLAFGTDVVLSPEMEFPTLRTIVYKQDDSEEGLWANLDLIEEKRAEAHLRTLAYKKAMARIYNRKVQPRPIKVRDLVLRKAEVSDPT >Ma10_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31912707:31914930:1 gene:Ma10_g22600 transcript:Ma10_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICSAVAATVALVLASSALLADARIPGVYTGGQWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVETAALSTALFNDGLSCGACFEIKCADDPRWCKGGSPSIFITATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKSGGIRFTINGFQYFNLVLITNVAGAGDIIRATVKGSRTGWMPMSRNWGQNWQSNAALVGQSLSFRVTGSDHRTSTSWNIAPATWQFGQTFSGKNFRV >Ma07_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5296252:5300448:-1 gene:Ma07_g07240 transcript:Ma07_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEISSSSPSYKCSQLIAKRFVRSASPLNFRMVGVKSGTWVCPLICLLYLLAFLQVEGDNVPMTVLKGAVAEGAVCLDGSAPAYNLAPGSGSGANNWLVFVEGGGWCSTVEECLARKNTFRGSSDHMPPQSFSGMLGGVQQSNPDFYNWNRVKIRYCDGASFTGDIEKVDPATGLHFRGARVWRAIMKDLLAKGMNKAQKALLGGCSAGGLTTILHCDNFRSLLPATATVKCFSDAGYFIDAKDISGADAIQSFYGDVVKLHGSANNLPSSCTSRLPANKCFFPQNVVATLKTPLFILNAAYDQWQIDHILVPSSADPKNTWGDCKLDIKKCSSDQLQKLQGFRTTFLNALPGAGSSSTGLFILSCHTHCLSGDADIWYSDDSPKIDNTPIGKAVGDWYFGRSSAVRKIDCPYPCNSSCRKVAAGSMED >Ma09_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32392683:32396486:1 gene:Ma09_g21400 transcript:Ma09_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTVLHFSKGSCTSPGGFVDSEPRSFQSRRIPNSRNQITAYKGLRSENIVDSLRLQSNAKATSTQAKTATRRASRRPLAVVVCGKGMNLVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMTIAPRYDQYKDGWDTSVQVELKVGNRVETVRFFHCYKRGVDRVFVDHPMFLAKVWGKTGGKIYGPATGTDYEDNQQRFSLFCQAALEAPRVLHLNNSEYYSGPYGEDVVFIANDWHTGLLPCYLKSMHRSRGLYRNAKVALCIHNIVYQGRFALSDFALLNLPDEFKSSLDFTDGYDEPVKGRKINWMKAGIIESDRVVTVSPHYALELVGEETGVELDGVLRMTGVTGIVNGMDVNEWNPSTDKYISTNYDTATVMDAKPLNKEALQAEVGLPVDRNIPVVAFLGRLEEQKGSDIFAKAIPEFMDENVQVIVLGTGKKKLERQLAELEDMFPDKLRAHLKFNVPMAHAIMGGADLLAVTSRFEPCGLIQLQGMRYGIPAVCSTTGGLVDTVKEGITGFHMGRFSANCNVVDKEDIEKVVKTVKRAINVYRTPAFAQMIQNCMKQDLSWKGPAKKWEQFLMSLGATGSEPGIDGEEIAPLAMENMATP >Ma11_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26248679:26265867:1 gene:Ma11_g22490 transcript:Ma11_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 31 [Source:Projected from Arabidopsis thaliana (AT2G29940) UniProtKB/Swiss-Prot;Acc:Q7PC88] MAASTGSEFFEIDMEEAGERFEQRRSGLDAALEERVVAEDEEELMWAAVERLPSVKRHNFAVVRRDSRSSSRHGPAPPFELVDVRRLDRAARERVLHKAFATNDQDNYNLLAGIKGRFDSVGLDVPKVEVTFQNLTVSAEVYTGHRALPTLTNFVRDAIEGVFTSLGLLRPKKHTLTILDNVSGVIKPGRMTLLLGPPGSGKTTLHLALAGKLDSNLKRSGDVKYNGCRLDKFVVQRTSAYISQTDNHIGELTVRETLDFAARCQGASNNWAEHLKDLEKLEKEKNIRPTPEIDAFMKVASVKGENNNLATEYTLKVLGIDVCADTLVGSDMFRGVSGGQKKRVTTGEMIVGPRKTLFMDEISTGLDSSTTYQIVKCMLNFVHQMEATVLMSLLQPAPETFDLFDDLILLAEGHIIYHGPREKVLEFFETLGFFMPSRKGVADFLQEVTSRKDQKQYWSDHSKPYVFVPASRIAQTFRESEYGSSVRSNLLVPNDDKGFLPSALARTNFAISKQDLLKACFSREVLLISRHRFLYIFRTCQVAFVGFITCTVFLRTRLHPIDEMNGRLYLSCLFFGLVHMMFNGFSELPITITRLPVFYKQRDSLFYPAWAFSLPSWLLRIPYSIIEAVVWSCVVYYSVGFAPSADRFFCFMLLLFSIHQMALGLFRLMAAIARDFIVANTFGSFALLAIFLLGGFIVPKDSIKPWWIWVYWISPLSYGQRAIAVNEFTDSRWREKSSYGTDTVGNNVLRSQGLPTQRYWYWVGVGILLAYSILFNILFILAMAYLKPLGKAQAVVSSDSQEGEDEERTADGNTSNKGMILPFQPLSMTFHNVNYFVDMPKAMRAQGVPETRLQLLSNVSGVFRPRVLTALVGSSGAGKTTLMDVLAGRKTGGYIEGDIRISGYVKDQRTFARISGYVEQNDIHSPQVTVEESLWFSSSLRLSNEVSQENRCAFVEEVMKLVELDTLRHALVGIPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGLVIYGGSLGRNSQDMINYFQGIHGVPPILDGYNPATWMLEISTPACEESLGLEFATVYKNSDKFREVEALIQELSTPAAGTEPLRFFSVFPQDKLTQFKVCCKKQFLVYWRSPRYSVVRMFFTAITALIFGTVFWNAGSKRDTVQDLFLVMGSLYSACIFLGVNNASSIQPVISIERTVYYRERATRMYASFPYAAAQGIVEIPYILLQTIIFGLITFFMINYERTLVKFILYCLFMFLTFMYFTFYGMMAVGLTPTQQLAAVISSSCYSLWNLLSGFLIPKANLPVWWLWFYYICPVAWTLRGVITSQLGDVETRIAGPGFDGTVKQYLEESLGYGPGMLSITAVVLIAFSFVFFTAYATSIKVLNYQRR >Ma04_p34240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33538588:33544787:1 gene:Ma04_g34240 transcript:Ma04_t34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFGGCSTKRKKNSFVAPTVVFAPLQPVTTVNVGAHPPAVQPLLHMWPSPLVILSQATPWAAQPPNSSISELPSVHIVSQKGTMSDMASADATTLGGSMKWLPRVDMLFDSESDAYEFYNTYAENEGFIVRRSSSTVSAKNIITKRTFVCSREGFREKKKGEREVKCPRAVTRIGCPACMTIRLTPTGKYRVTEFVPNHNHQLATVATFDKLRAKKLRRNARVARAGLVDDTVRIPEFETEDEAYEFYSAYAGRMGFRVRKTSATVTTENVMTRRMFVCSREGFREQKKGEKRVRKPRKEFRTGCPACMVIRRTSTGKYRVSEFVTFHNHQLESSLSTDILTTETAENGSDHVSDMANESADDDVPSQVINHQHGTLLPLDNRSYLHSKRMKAIQVGDAGATLEYLQKMQEDNPSFFYAIQVDKFDNLTNFFWADAKSMMDFCYFGDVICFDTTYKVLGYGRPFALFTGVNHHKQTVIFGAALLYDESTESLKWLFESFKTAMSGKQPKTILTDRSPVISEAITAVFPGTNHRFCVWHIYTDAIVQLSQIFHGSRTLACDFSRCLFDCEDEEEFLKEWETMCEKYDLKDNKWVAKLFEEREKWAMVYGRETFYADMKSVQQKESISTELKKYLGNEKELPSFFEHYERILSERRHAELQDDFDANQSTQKPPSMRMLRQAANAYTPAAYRMFEREFELYMDCILLSCNEFGTLSEYKVMVEEKPKDHVVKCDSLDSSMSCSCKKFEFLGIQCCHVLKVLDTQNIKELPPQYILKRWRKDAKTRNLNEDFAFPFDGDPQLSLADRYSYLCRIFSIAAAHAAKTIDSYTFLESHSEALSNQLEQVLHTSSLEMPAVISASCDRLQNPVDTVVAESVR >Ma04_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6426186:6428565:1 gene:Ma04_g09000 transcript:Ma04_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g11460, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G11460) UniProtKB/Swiss-Prot;Acc:Q9CAY1] MRVGVCGSGGHLSTIRLRDIAAAGRHHEALDLFRHALRHSEPFVSISSILPSAILSAAALSLSPAAAQLHSLALKSGLLPADPFVLTALLSAYSRLRLLPLACRLFDEVPSSAAPTSAFNALISGHALAPVSSPAVLALFCRMRREAVPFDDVTLLALLPAAPPAAIPPLHGVAFRAALASVSSVSNCLLSCYSRVGAVDFARQLFDEMPDHRKDRISWNAMISCYAQNGLAHQVLDLFDTMEHSASVEPDAVTLIGVLSSCAYLGARSIGRKIENYISQKPSFDSNTHLKNALISLHVRCGDLARARKIFDEMPQRTIVSWTAMIAGYGMHGHGEEALRLFDKMIEVGIRPDGIAMVSVLSACSHGGLADKGMWYFSSMKTAYGVVPSREHYACVVDLLGRAGQLKEAWELIESMPVEPDGPIWGALLGACKIHKNVELAELAFEHVIELEPTNVGYYVLLSNIYSDAGRLDGVARVRAIMRQRGLKKGPGCSYVDHKGKVHLFMADDHSHPQAKNIYEMVLRLEAMVKNDSEIYGNKRKENLPLTGFHSEKLAIAFGLLNTEAGAEIVVIKNLRVCEDCHQFMKMVSQVVNRKFVVRDASRFHHFEGGACSCKDYW >Ma11_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20921964:20929187:-1 gene:Ma11_g15210 transcript:Ma11_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGMDAAPPPPPRSPASLRWSILRKSLLRRPSAAPDDPSEVNTKNVSRKRGGVFNLIPCHPVDDSDSVEVVEATLRAKDLVGPRDVCTRYKLPLENGPGLVMIQRMEDCVDLNDFEISTRFDIDTTGLVCSWPSEDVLAYFCVNHPFMFRTFFKQFHESLACTVKSLLKRSEVSEAIFLSPKRGDSLVKFIEKIKEIGLDYKLLENYDTHVWNIHQKLLKGDDSTWANYDPDHCYPLLLRMTFPAHEYK >Ma11_p15210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20920427:20929187:-1 gene:Ma11_g15210 transcript:Ma11_t15210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGMDAAPPPPPRSPASLRWSILRKSLLRRPSAAPDDPSEVNTKNVSRKRGGVFNLIPCHPVDDSDSVEVVEATLRAKDLVGPRDVCTRYKLPLENGPGLVMIQRMEDCVDLNDFEISTRFDIDTTGLVCSWPSEDVLAYFCVNHPFMFRSKRVIELGSGYGLAGLAIAASSDAQEVVISDGNPNVVDYIQHNISLNAQVFGATKVQSMILHWNQDLVSDVLSSFDVVVASDCTFFKQFHESLACTVKSLLKRSEVSEAIFLSPKRELDVQSSSTRR >Ma11_p15210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20921964:20929187:-1 gene:Ma11_g15210 transcript:Ma11_t15210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGMDAAPPPPPRSPASLRWSILRKSLLRRPSAAPDDPSEVNTKNVSRKRGGVFNLIPCHPVDDSDSVEVVEATLRAKDLVGPRDVCTRYKLPLENGPGLVMIQRMEDCVDLNDFEISTRFDIDTTGLVCSWPSEDVLAYFCVNHPFMFRSKRVIELGSGYGLAGLAIAASSDAQEVVISDGNPNVVDYIQHNISLNAQVFGATKVQSMILHWNQDLVSDVLSSFDVVVASDCTFFKQFHESLACTVKSLLKRSEVSEAIFLSPKRGDSLVKFIEKIKEIGLDYKLLENYDTHVWNIHQKLLKGDDSTWANYDPDHCYPLLLRMTFPAHEYK >Ma08_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35289769:35295693:-1 gene:Ma08_g21410 transcript:Ma08_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGWATRMRASFGAPFLWLVTLVYFTQGFRSFVWTAVSYQMKDILKLSPSASQFVVSVAHSPWSIKPIYGILSDCIPISGRRRLPYLVISTILSLFPWLILGLANSLRSSRDSLTAFLTLQNLGSAMADVVVDAMIAEAVRSELAEFAGDLQSLSWSAMALGGIFGSMLGGYTLSHLTIDTIFLLFSVLPAIQLLSCALVKETPLQLESLQHYKNEENLEFKEDARDYKRKDTPTRTSDTSRRRKNNHKYHKRKLNFKSEISEKQPGPLVARYDISLRSTIVSLAKAFKQPIILRPMAWFFLSNVAVPNLSTVMFYYQTEVLNLEASFLGTARVIGWSGLMLGTYLYNRYLKRQKLRHVLKYAHIGLAIVSLLDIMLVSKLHNQLAMPDKYIVLWGSAFGDAIKQFKLMPFLIISGQLCPPGIEGTLFALFMSINNLGATLGSFLGAALASLLNISSESFDNLIFGVAVQLLATLLPITFLFLIPKEATGLTS >Ma07_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2025420:2027781:1 gene:Ma07_g02540 transcript:Ma07_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTAKSQKTQTKGGAPKAAKGPKLGGGGGKR >Ma03_p27120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30602655:30609425:-1 gene:Ma03_g27120 transcript:Ma03_t27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase large chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29900) UniProtKB/Swiss-Prot;Acc:Q42601] MAVCHHHHHHLLLHHRYRIRSSFCPSPLLPFRKPLSASFPLPKPRLRLPQLVPRPLRLQPRASAKAAGEAETSERQRPVGGKRTDIKKIMILGAGPIVIGQACEFDYSGTQACKALVDEGYEVVLVNSNPATIMTDPDLAHRTYVGPMTPELVEQIIDAERPDALLPTMGGQTALNLAVALADSGALARHGIELIGAKLKAIKTAEDRDLFKRAMDRIGLRTPPSGIGNTLEECLAIADDIGGFPLIIRPAFTLGGTGGGIAYNRDEFEAICRAGLAASLTTQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLESGYSGWGCAPIKELNWDWDQLKYSMRVPNPDRMHAIYAAIKKGMKVEEIHELSFIDKWFLTQLKELVDVEQFLLSQTLDQLTKHDFYEVKRRGFSDRQIAYATSSSESDVRSRRLSLGVTPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTPKKKVLILGGGPNRIGQGIEFDYCCCHASFALKENGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDLERPNGIIVQFGGQTPLKLALPIQQYLEEQKLVASTGTGHVQIWGTSPDSIDAAEDRERFNAILDNLKIEQPKGGIAKSESDAISIASKIGYPVVVRPSYVLGGRAMEIVYNDDKLSKYLENAVEVDPERPVLIDKYLTDAIEIDVDALADSNGNVVIGGIMEHIEQAGVHSGDSACLLPTKTVSNKCLDTIRQWTIKLAKKLDVCGLMNCQYAITASGDVYLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKNLHELGFTKEVVPRHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGIDFDFHVAFAKAQIAAGQQLPASGTVFLSLNDLTKPHLASIARGFLELGFKIVATSGTARVLDLEGIPVEVVLKMHEGRPHAGDMLANREIQAMVITSSGDALDAIDGRQLRRMALAYKIPIITTVAGALATVEAIKSLKHSSIKMLALQDYFTVSDEHPKLQAASSAI >Ma03_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26041497:26044891:-1 gene:Ma03_g20960 transcript:Ma03_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLRQQQQPQPPPPPSVDPYPLSPRAAVYGMPHRPMSSFLLGRAGLLAVLSLLVLLGVFLPWSGPMAALFSSSSSSHLGDSHGSLSRWKDYTLAQAAAFAARNGTVVVCAVSWPYLPFLNNWLISVARQKRQDQVIVIAEDYATLYEVNRRWPGHAVLVPPAPDSQTAHKFGSQGFFNFTSRRPRHLLHILELGYSVMYNDVDMLWLSDPFPYLKGKHDVYFTDDMAAVKPLNHPHDLPPPGKKGRTYICSCMIFLRPTRGAKEVMRSWIEELQNQPWSVKRKSNDQPAFNWALNKTAGQVDLYLLPQAAFPSGGLYFKNESWVKETKGMNVIIHNNYITGFDKKIKRFKDYGLWLVDDHSHESPLGQI >Ma04_p26170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27724031:27731519:1 gene:Ma04_g26170 transcript:Ma04_t26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLCFPFVRNRVGRHATVFGSRRAAKLFRNRFMSILVNQSSVLGPELTDDVEVALLSAVDDDHGGVIVELKEPMDPAAFHTSLRASISNWKKQGIKGAWIKLPIQLANLVEACVKEGFWYHHAEPSYLMLVCWLPNTEHTIPVNASHRVGVGAFVMNDKREVLVVQEKNGILRGLGLWKFPTGVVEQGEDIHAGVIREVKEETGVDTEFIEVLAFRQSHKSFFGKSDLFFVCMLRPLSHDIYPQELEIEAAQWMPVEEYAAQPLVQKHELLKYILDVGMAKIDKSYSGFSPVSAKAPFSGSQGHLYLNSRDLNLPRTVNMS >Ma04_p26170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27724070:27731519:1 gene:Ma04_g26170 transcript:Ma04_t26170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVNQSSVLGPELTDDVEVALLSAVDDDHGGVIVELKEPMDPAAFHTSLRASISNWKKQGIKGAWIKLPIQLANLVEACVKEGFWYHHAEPSYLMLVCWLPNTEHTIPVNASHRVGVGAFVMNDKREVLVVQEKNGILRGLGLWKFPTGVVEQGEDIHAGVIREVKEETGVDTEFIEVLAFRQSHKSFFGKSDLFFVCMLRPLSHDIYPQELEIEAAQWMPVEEYAAQPLVQKHELLKYILDVGMAKIDKSYSGFSPVSAKAPFSGSQGHLYLNSRDLNLPRTVNMS >Ma04_p26170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27724070:27731519:1 gene:Ma04_g26170 transcript:Ma04_t26170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVNQSSVLGPELTDDVEVALLSAVDDDHGGVIVELKEPMDPAAFHTSLRASISNWKKQGIKGAWIKLPIQLANLVEACVKEGFWYHHAEPSYLMLVCWLPNTEHTIPVNASHRVGVGAFVMNDKREVLVVQEKNGILRGLGLWKFPTGVVEQGEDIHAGVIREVKEETGVDTEFIEVLAFRQSHKSFFGKSDLFFVCMLRPLSHDIYPQELEIEAAQWMPVEEYAAQPLVQKHELLKYILDVGMAKIDKSYSGFSPVSAKAPFSGSQGHLYLNSRDLNLPRTVNMS >Ma04_p26170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27724070:27731519:1 gene:Ma04_g26170 transcript:Ma04_t26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVNQSSVLGPELTDDVEVALLSAVDDDHGGVIVELKEPMDPAAFHTSLRASISNWKKQGIKGAWIKLPIQLANLVEACVKEGFWYHHAEPSYLMLVCWLPNTEHTIPVNASHRVGVGAFVMNDKREVLVVQEKNGILRGLGLWKFPTGVVEQGEDIHAGVIREVKEETGVDTEFIEVLAFRQSHKSFFGKSDLFFVCMLRPLSHDIYPQELEIEAAQWMPVEEYAAQPLVQKHELLKYILDVGMAKIDKSYSGFSPVSAKAPFSGSQGHLYLNSRDLNLPRTVNMS >Ma09_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36250001:36260930:-1 gene:Ma09_g24600 transcript:Ma09_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSLASQFSGLRREVLSREAAPSTALFLRSVHSRIRLPASCRPPRGVVAMAGTGKFFVGGNWKCNGTRDSITKLVADLNDAKLETDVDIVVAPPYIYIDQVKQSLTDRIEISAQNSWIGKGGAFTGEISAEQLVDIGCKWVILGHSERRHVIGEDDQFIGKKAAYALSQNLKVIACIGEKLEEREAGKTFDVCFQQMKAFADSISNWTDVVIAYEPVWAIGTGKVATPQQAQEVHLAVRDWLKKNVSAEVASSTRIIYGGSVNGNNCSELAKQEDIDGFLVGGASLKGPEFAIIVNSVTSKKVAA >Ma05_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8496341:8502266:1 gene:Ma05_g11620 transcript:Ma05_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIIVVVVLLWRSRVTADPQTTLLNAGCSQYNASGNAAFVATLNETLADLRSSLSSAAAGTSAASFATAQRPRAADPVYALFQCRAYLSSADCLACLSVAEARIRRCGNANGARVIYDGCVLRYESSTFFDQTTLPGNAGVCNGSAASDAGFSEAAKALVRDLTSATPRVSGFFAAAERDGVFAVAQCVETVNEEGCAQCLTVADANIESCPPDTDGRSVDAGCFMRYSSKSFFPANQTVDLSQFLSSGKSNKKGAIIGGVVGGICGLLLLAIVALLWIKRSRRRQGFRTGDLLGATELQGPLNFHYKDLKAATNNFSEENKLGEGGFGDVYKGTLKNGKTVAVKRLAIAQISRAKADFQSEVKLISNVHHRNLVRLLGCSSKGQDLLLVYEYMANSSLNKFIFGDRQGFLNWKQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNILLDDDFQPRIADFGLARLLPEDQSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEIISGRKSNDAQLEPVIQYLLEWAWKLYESGDSIDLVDRSLDPTEYSPEEMKRIVKIALLCTQSTVSARPTMSEVVVLLLSEGDHDRLQPTRPTFIDATSRVRGDGSTSTGSSSTSNATVSASQFSAR >Ma03_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6934421:6937128:-1 gene:Ma03_g09390 transcript:Ma03_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFGHHGGHQHHHHGQEEEDERHRYPPPGYQPPLPTPPYYGGPAYPPAVQHVSHEGGPGYGLPAVQHVSHEGGPGYGPPPPVYPPPPGYHGEGSYGGSQHHHGPSPPPTYPPAPGPGDHHDGGYGHQHHQHHHFLPHFPGVHHVSHERWEGEAAAPPPPGHGQPTVRIYTKAENNFSLSIRDGKVILARNDPTDPYQHWIRDMRYSTKVKDEEGFPSFALINKVTGEALKHSFGATHPVRLIRYNPDYLDESVLWSESKDLGDGFRCIRMVNNIRLNFDAFHGDKNHGGVRDGTILVLWEWLKGDNQRWKIVPH >Ma06_p07420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5277255:5281282:1 gene:Ma06_g07420 transcript:Ma06_t07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWRTGWLWTAVLVALVAAAVVVADRGLKPEEAAADVVAVEEVGLSDYVLKVVDFLWQPDKSSYQHVWPPMKLGWQIVVGTIIGFLGSAFGSVGGVGGGGIYVPMLTLIIGFDAKSSTAMSKCMIMGAAGSTVWYNLKLRHPTLDMPIIDYDLALLFQPMLMLGISIGVAFNVIFADWMVTVLLIILFIGTSSKAFLKGVETWKKETIMKKEAAMLKESNAGREEIEYNALPSGPGNSSTKARRKEVPVMENVCWKELGLLSFVWITFLILQVLKQNYTSTCSLWYWILNLLQVPVSLGVSGYEAVSLYKGKRIISSKGEEGTSFTVLHLVFYCCIGILAGVVGGLLGLGGGFILGPVFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPIPYALYFVAVALVAALVGQHIVKRLIEILGRASLIIFILAFTIFVSAISLGGVGISNMVQKIQHHEYMGFDNLCKYEA >Ma06_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5277255:5281281:1 gene:Ma06_g07420 transcript:Ma06_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWRTGWLWTAVLVALVAAAVVVADRGLKPEEAAADVVAVEEVGLSDYVLKVVDFLWQPDKSSYQHVWPPMKLGWQIVVGTIIGFLGSAFGSVGGVGGGGIYVPMLTLIIGFDAKSSTAMSKCMIMGAAGSTVWYNLKLRHPTLDMPIIDYDLALLFQPMLMLGISIGVAFNVIFADWMVTVLLIILFIGTSSKAFLKGVETWKKETIMKKEAAMLKESNGREEIEYNALPSGPGNSSTKARRKEVPVMENVCWKELGLLSFVWITFLILQVLKQNYTSTCSLWYWILNLLQVPVSLGVSGYEAVSLYKGKRIISSKGEEGTSFTVLHLVFYCCIGILAGVVGGLLGLGGGFILGPVFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPIPYALYFVAVALVAALVGQHIVKRLIEILGRASLIIFILAFTIFVSAISLGGVGISNMVQKIQHHEYMGFDNLCKYEA >Ma02_p23780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28654907:28659575:1 gene:Ma02_g23780 transcript:Ma02_t23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPPPFQESSRCDVCNCSFTTFRRRHHCRCCGRTLCHEHSSNYMALPQFGIYSDVRVCSDCLNNSPRSQKDDTQALANSSDVGADSISRLTLHENTDLVAKATSGESIVGVPECKCGMPLCICVTPTPEPAPMQMQGTTMLNIQSNPKPRKTSSSQQPAESISRKHAATSSSKPSSFFNLGQASNNAVDEACANYDVSGEGLREAIKNSDSTAVRELLSKGVDPNYCDKQGLTLLHLAAVFNQTEIAFILMDHGASTESKNAQGETPLDCAPTMLQYKMRKKAEELAGTAQAI >Ma02_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28654907:28659575:1 gene:Ma02_g23780 transcript:Ma02_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPPPFQESSRCDVCNCSFTTFRRRHHCRCCGRTLCHEHSSNYMALPQFGIYSDVRVCSDCLNNSPRSQKDDTQALANSSDVGADSISRLTLHENTDLVAKATSGESIVGVPECKCGMPLCICVTPTPEPAPMQGTTMLNIQSNPKPRKTSSSQQPAESISRKHAATSSSKPSSFFNLGQASNNAVDEACANYDVSGEGLREAIKNSDSTAVRELLSKGVDPNYCDKQGLTLLHLAAVFNQTEIAFILMDHGASTESKNAQGETPLDCAPTMLQYKMRKKAEELAGTAQAI >Ma04_p29030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29990466:29997085:-1 gene:Ma04_g29030 transcript:Ma04_t29030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEITEVSIDEQTDFVMSAHNGKIIQISPRKPSTVAGSYEATDNNQDYANFHVVHVVPRIRNLSLDHDMTEKKYGDQKSLKQRSAASNSANVTVRSNHTVPQPFALATEKRASGGARAFVAEAPTNGDRHPNEDVQTANNQKKVQSNLTFTSRRPLHPNELMHPDEEDSSSVNSLTIPSVRNLKVSKTVALAPSFRCSERAEKRKEFYSKLEDKHQALEAEKLECEARTREEQEAALKQLRKSLNFKATPMPSFYHEGPPPKIELKKVPPTRAKSPKLGRRKSYGDASNLIGDNCSKACDRHQHRSLGTSKDSPTKSQTSPKNMNMTIKAKEGAKSTRESSKPYAEKAAAHATSVITVQP >Ma04_p29030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29990466:29997084:-1 gene:Ma04_g29030 transcript:Ma04_t29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEITEVSIDEQTDFVMSAHNGKIIQISPRKPSTVAGSYEATDNNQDYANFHVVHVVPRIRNLSLDHDMTEKKYGDQKSLKQRSAASNSANVTVRSNHTVPQPFALATEKRASGGARAFVAEAPTNGDRHPNEDVQTANNQKKSNLTFTSRRPLHPNELMHPDEEDSSSVNSLTIPSVRNLKVSKTVALAPSFRCSERAEKRKEFYSKLEDKHQALEAEKLECEARTREEQEAALKQLRKSLNFKATPMPSFYHEGPPPKIELKKVPPTRAKSPKLGRRKSYGDASNLIGDNCSKACDRHQHRSLGTSKDSPTKSQTSPKNMNMTIKAKEGAKSTRESSKPYAEKAAAHATSVITVQP >Ma07_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2557277:2558816:1 gene:Ma07_g03310 transcript:Ma07_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEIPPYFLCPISLQLMKDPVTLSTGITYDRESIERWIFSGGHNTCPVTKRALPDCEVTPNHTLRRLIQAWCTVNASSGVERVPTPKAPVEQGQIVKLLDEAKLPQSQLRSLARLRAIVSESERNKRCVEATAGVVDFLASVIANDGYSSNEEVDDGLESTGACDEALNILHSLTISEGGLLDLVTRHAGMIESLTTILRRSSYQSRAYATLLLRSMLGVLTQEKLINLGEELFQEIVNVIHDRISNQETRAALHALIEACPCARNRIKAVNAGAVHVLIELLLEEDDRRICELALVAMDRLCGCAEGRAELVGHAAGITVVSKKILRVSEMASERAVRILHSVARHSATPRLLQEMMQMGVVSKLCLVLQVDCKAKTKEKAKEILSMHSRVWRSSPCLSPQFQVSYPSS >Ma03_p05350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3508289:3515312:1 gene:Ma03_g05350 transcript:Ma03_t05350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSYLSRDQKQQLLLGIRRANRQPTNIQSSVLSTDSMHIGILAAAAHAAANHSPFTIFYNPRASPSEFVIPFAKYQKAVYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVVAPFFICPPPFFRKRPRQPGMPDDESSEMENLFKRAMPWLGEEICIKDSQTQNTIMPGLSLVQWMNMQQNPSLANQTLQTEYLRSLTGPVMQNLGPTDISRQLGLQAQMLQQNNIQFNTGRLPQQGQQVDEHAKVSVPLNQVSAVSRAPQQMQDPSMQQKQQLVNQALPVNQTQNNIIQPQALVQTQVQPQQQQQQQPPMIQNNQLVQTSIQQNQQQHPQQLLLQHQQQLQQQQLQQQPQQQFQQQYQQAQSRMPVKLPGQVNQQLSDQQIQLQLLQTLQQQQQQQQSLFSQPRTQQPQLPQVQEYQRTLPDVPQQLSNSHSLLQQSVIPQQCAKPTSQSVRLPQPLQNQSQQKPQQPQVLISDLPGALFPATPATIITASGNPLLAAGGAQSGVTDDIPSCSTSPSANNGMILPHAILNRNEHRNPMSTEKTSKSVITMLGPNSFEAAAANPGIPKELPKVVHNVKPSIPISKMQNQGIVAPQTYVSNTAQMDYLDTISSATSVCLSQTDGALNQNFPLSSFNQPSLLRDAPPDGDVPGTDPRNNVLFGVNIEGSMGMPLATDTLLVNNIDSGKYQNHIPGDLVANYNTSKDAQQELSSSMVSQSFGVPDMAFNSIDSTINDSALLNRNSFAPAPPPPLQRMRTYTKVYKRGAVGRSIDIARYSGYDELKHDLARMFSIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDVDLGNNNLPNQACSSSDGGNVWRGHCDQNSGNPSAGSYDHFE >Ma03_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3504974:3515312:1 gene:Ma03_g05350 transcript:Ma03_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAHGAAAPNPCEGERKTINPELWYACAGPLVTVPPVGSLVVYFPQGHSEQVAASMQRDIDAHIPNYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVNSYDKEALQASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELEARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDQKQQLLLGIRRANRQPTNIQSSVLSTDSMHIGILAAAAHAAANHSPFTIFYNPRASPSEFVIPFAKYQKAVYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVVAPFFICPPPFFRKRPRQPGMPDDESSEMENLFKRAMPWLGEEICIKDSQTQNTIMPGLSLVQWMNMQQNPSLANQTLQTEYLRSLTGPVMQNLGPTDISRQLGLQAQMLQQNNIQFNTGRLPQQGQQVDEHAKVSVPLNQVSAVSRAPQQMQDPSMQQKQQLVNQALPVNQTQNNIIQPQALVQTQVQPQQQQQQQPPMIQNNQLVQTSIQQNQQQHPQQLLLQHQQQLQQQQLQQQPQQQFQQQYQQAQSRMPVKLPGQVNQQLSDQQIQLQLLQTLQQQQQQQQSLFSQPRTQQPQLPQVQEYQRTLPDVPQQLSNSHSLLQQSVIPQQCAKPTSQSVRLPQPLQNQSQQKPQQPQVLISDLPGALFPATPATIITASGNPLLAAGGAQSGVTDDIPSCSTSPSANNGMILPHAILNRNEHRNPMSTEKTSKSVITMLGPNSFEAAAANPGIPKELPKVVHNVKPSIPISKMQNQGIVAPQTYVSNTAQMDYLDTISSATSVCLSQTDGALNQNFPLSSFNQPSLLRDAPPDGDVPGTDPRNNVLFGVNIEGSMGMPLATDTLLVNNIDSGKYQNHIPGDLVANYNTSKDAQQELSSSMVSQSFGVPDMAFNSIDSTINDSALLNRNSFAPAPPPPLQRMRTYTKVYKRGAVGRSIDIARYSGYDELKHDLARMFSIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDVDLGNNNLPNQACSSSDGGNVWRGHCDQNSGNPSAGSYDHFE >Ma08_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6692093:6694477:-1 gene:Ma08_g09240 transcript:Ma08_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQQEEEIFLVVEREAEMVSSSDPLIPIKRVAWFLDANPVPVEELPRYPFAPMMHVNASPVHLRESKVLFRGWGSPLRKWGEWVDKLRPIYGDLWNKSGILDAIGVSTYKIKKDYASVLGLAGVWCGETNTFLFPWGEATVTLEDTMVLGGFPVLGEPVRGSLTGELVEIEQKMVKEHRTFNRSTSKKADQSAWMKHYMEREGDELEHIAFLALWLSRFVFPGPPVKTVKQHLLPIAVRLGRGMRIALASAVLASLYRDMSAIKDYLVADDSKKTEPLVVWAPFNLLQLWVWEHFLTLRPEKQNLIANGEPRAARWHDVGRKLDLSFVGSALESSEFQWHPYMARLENWSRPSFYKDIGVWICGDASKDEELRSFAQCLRAAELVGVDCIEQYLPHRVAMQFGFDQDLPCHVPRSNAMWEAAWETYDITSKNIAFYVPAPPFKSDITQQYSIWWKQCMPYYSKLVENDTQTQGSLENIKWQSKVVAEKNMKRIQTLTSIKKRKLQEFYDAMISDHLLSGNNSVSGDDDSVESQNFQLSAAETEKQHICSMKQKEHMGLKPSNNCVGKETHDPVASQSIKSEICEATISTSLENEYKVMMEDFDQRNGWGSAYDYVVLRQPGMNITSQEAKLKLEQALEKRIMMKAEESELEMQIKVLKEEITAIEARVMDLESVAEVQS >Ma10_p29910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36339662:36350382:-1 gene:Ma10_g29910 transcript:Ma10_t29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative 1-phosphatidylinositol-3-phosphate 5-kinase FAB1C [Source:Projected from Arabidopsis thaliana (AT1G71010) UniProtKB/Swiss-Prot;Acc:Q9SSJ8] MGVVNYPILDLILKVRSWLSFPLDVLSESLMSSGGDPVTCCECRELGFGYRCQSCGRLLCRKCMLGASPVPDRAEQKPVFCNFCFRGDNGPWDAAQQRSSTCAKIYPFVSPKSPLSRSSTDRLGQSELRELSSPRPLRCSTNRNYEEDEGDDEGKQFFTPLSVFSRDPSDIESMSICAGNDLYFRSANSSPLDSPCRPVEQGLVSSFLGKSGLPDQGSPGQLKKYGADCEELPEISGDISGNFLGSRNQESQMATQNFNFENDCIFDPPFPEDEQDDMETSFFGFNDEDDDVGESAKLFKSSSFSSDPMQTKEKSNESHKEALRSAVHAHFRALVSQLLKGEGVHSGVDLEGPDWLEIVSSLAWQAANFVKPDTSKGGSMDPGDYVKVKCVASGNPNDSTLVKGVVCTKNVRHKRMVSRHRNPRLFLLGGALEYQKVPSGLASIGTLLEQETNYMKLALSRIEALRPNVLLVERSVSSYAQEYLLGKKEISLVLNVKRSLLERISRCTGAQIVPSIDSVASARLGHCEMFQIEKVYEESSSSKHRKRPSKTLMFFEGCPRRLGCTVLLRGACLEELKKLKHVVQYASFAAYHLSLETSFLADEGASLPKLPIGPPVTLPMKLTEADDFVSSASSLAAFAEQGVGNSHEKDRSCGKLDIESQAISSDIMHLNKDVLEHSSEQQKYNNLFEHTSIHDIDGFSAPYSENSGGIAFRSTSDICYCGSTGSRFEFYCNEPTSFPPFPIELNNHQDEVLEKLVKERSNLASDRLRSDDEHKKSDIAIKNDVPGDYFSAADNHQSILVSLSSTCIKKSRVCERSQLFRIKFYGSFDKPLGRYLRGDLFNETYCCQSCKEPTESHVRCYTHQQGSLTIMVRRLPSMKLPGERDGRIWMWHRCLRCEKDKDGVPPAARRVIMSDAAWGLSFGKFLELSFSSHATANRVASCGHSLQRDCLRFYGFGSMVAFFHYSPVDILSVCLPPSVLDFTFQIQQEWLKPEAVLVFNKINALHGKISDVLHATERKIMTSEDEPLKASIHRHIIKLKELLEMERHDFDVLLQPVIVGNTQPLRVMVDILELNRLRREILFDSYTWDRRLYSLGLLSKEKGRTIIDSQLTDISSKTNLREWREEMLGKDGTFGREVTDKSSYFSATQKSSFSKQHEELSLRVMECNTNNMVEMDLSIESVEGFAGSASSNFVSSNNDGWDDTSIFDDTESTFLENSTSPLSSLSDKIDLAWSGLGQLMTDQPKGGSEADTSGPLMENPCYSRLKSPLRVYSFDSALRFRKGVHGRFLPASLHLPSAKSFDFSGGLASMPKDPITIMRRAYSQSSPSTVQRLDDLLNHIPLRISSSLEKIAEGARLLLPQNALDDIVVAVYDDEPTSIISYAITSQEYNDYIMPSLDETVSCMLRKGSSVVNIVGAATQQSNLQFHSDVGPDEPQLSRVNPLDPKETHFRISFDDDYSIPSDKAKFSVTCYFAKQFHALRKICCPSELDYIRSLSRCKRWSAQGGKSNVYFAKSLDERFIIKQVTKTELDSFEDFALEYFKYLTQSITSGSPTCLAKVLGIYQVAVKHSKGGREVKMDVMVMENLFFKRNVSRVYDLKGSLRCRYNPDSSGNNTVLLDLNLLETKPIFLGSKAKRRLERAVWNDTSFLASIYVMDYSLLVGIDETHKELVIGIIDFMRQYTWDKQLETWVKASGILGGSKHATPTVISPLQYKKRFRKAMSNYFLTVPDHWSS >Ma03_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18549101:18549823:1 gene:Ma03_g16310 transcript:Ma03_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGLQKSLQTYLSKLNKVPSHLHTPNSPKPAAASWLLSACKYPRTPSFAVDRDLPDHSARDPSATLSDDDCFQYEKFHSLYSREDAGDDFTSESLRYDEDPAPTAFRPTERFFVSPATSNSLLRDPRPSAAASSSSPSCSFSSCTSDPRPAVPGGGVAVMTYSKDPYDDFRRSMQDMVEARHLDPNRASDWDFMEELLFCYLELNDRNVHKDILRAFTDVIVSVRRRDMALRRRAPGG >Ma06_p24680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23324993:23387696:-1 gene:Ma06_g24680 transcript:Ma06_t24680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFAVLLVVSAVGWVIFVFAARLLAWFLSRVLGASVGLRVAGCNCLRDVTMKFQKGSVETLSVGEIKLSFRKSLVKLGFGFISRDPKLQLLICDLEVVLRPLQQSKRGNKASKVEKPRSAGKGKWMLLTSVARLLSISVTDFVIKVPKAVIEVKELKVDISRAQSNQILSVKLHLVPLFVQLGDPHFSFDHTLNFSQKECLQAEQPYPSVMEKNSFLCEDLSVSCDFGHDREKGIKISDLDMVCGDVAVHINEDLFLRTDKNLETISDTTATEGARLDILSKTSEKNKSSLSIKKHIFAFPEKVSFSMPKLDVKYMYGGKDLMIENNVRGIHLTSSKSQLNEDSGETSHFDAQLVLSEIHLLTEDATAVFEIMKVAVVTTLDVPMELLLPIRVEVDIKLGGTQCNLIISRLKPWLQLHMSKKKNLVLVKNKSQNENSETSHVKSIMWTCTVSAPEMTVVLYSLTGLPLYHGCSQSSHLFANNIASKGIQIHMELGEVHLHMEDEYQECIKENVFGVDTNSGSLVHIARLSLDWGQKEIESKEKQDLSRWMLVFSIDISGMGINFGFQHVESLVYNLMSFRSLIKSFSSSSKRATEYNVGHLGKNSAKGAPIFKLNLEKCSISYHGEVNVDDTIVADPKRVNFGSHGGEVLINVSADGTPRRASIISMLTNKSKILKFSTSLDIFHFSLCVNREKESTQVELERARSFHMEFTEDHEPGNKVSLFDMQNAKFVRRTGGLTDVAVCSLFSVTDITARWEPDAHLALYEIFTRVRCLLQNSKLQHYDNDVKLSTDTLKEMEREKMATKNQVRAEKQPKKREAIFAVDIEMLRVSAEVADGVETVIHVQSIFSENARIGVLLEGLMLSFNSARVFKSSRMQISCIPVPPSNVYDTKTQPVITRDWVIQGLDVHICMPYRLQLRAIEDAVEDMFRGLKLITASKTSHISPVKKDKSKTTKIRSEKLGSVRFVIRKLTAEIEEEPIQGWLDEHYHLIRNEVCESAVRMKFLDEILSSVSKIVGNSDQSDLNSERRIVHNGVEIDLNDVSSIERLQEDIHKKAFKSYYLACQKIVHAEGSGACHRGFQAGFRPSSRRTSLLSLCATDLDVTLTRIEGGDPGMVQFIKKIDPVCSDNDIPFSRLYGREVDVNAGSLVAQLRDYTLPLFSATAGKCKGRVVFAQQATCFQPQIHQDVFVGRWRRVCMLRSASGTTPPMKMYSDLPIYFQKGEISFGVGYEPAFADISYAFTVALRRANLSIRNQSSNSNGRNSSNAPHATNFVPESQPPKRERSLPWWDDMRYYIHGKIVLNFNETRWNLLATTNPYEELDKLQIVSDYMEIQQTDGHVLVSAKEFRIYISSLESLLKSCSLKLPRSVSRPFIYSPAFSLEVILDWECESGTPLNHYLHALPNEREPRMKVYDPFRSTSLSLKWNFSLRPFLLDGNATSGFGDSLILDQAIYDTSQKLETVDFPLMNLGAHDLVWVFKWWNLNYNPPYKLRSFSRWPRFGIPRAARSGNLSLDKVMTEFFLRLDATPTCIKHMPLGDDDPAIGLTFRMSKLKYELYYSRGKQRYTFDCKRDPLDLVYRGLDLHMLKAYLNRDCSSSAVQDIQTNKRALHTVTTGKVNDKCSYQHNCAERSRDDGFLLYSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDHTRSDPSDDDGFNVVIADNCQRIFVYGLKLLWTLENRDAVWSWVGGISKAFEPPKPSPSRQYAQRKLTEEQQKLDESEVSPSDNLISSSSATHLADSPSKQIEILDPNPSASSSTKIECLQSDIVVKHGHIDDSEEKGKRNFMVNVIQPQFNLHSEEANGRFLLAAASGRVLSRSFHSVLHVGYEMIKQALGTSNVKIPESQPEMTWKRAEYSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELSFNSSNITATMTSRQFQVMLDVLSNLLFARPPKPRKSSLSYPSDDDDEDVEEEADEVVPDGVEEVELAKIHLEKTERERKLLLDDIRTLLGDYYSDLCSQEKSGDLWMITGGKSVLILY >Ma06_p24680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23301766:23387696:-1 gene:Ma06_g24680 transcript:Ma06_t24680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFAVLLVVSAVGWVIFVFAARLLAWFLSRVLGASVGLRVAGCNCLRDVTMKFQKGSVETLSVGEIKLSFRKSLVKLGFGFISRDPKLQLLICDLEVVLRPLQQSKRGNKASKVEKPRSAGKGKWMLLTSVARLLSISVTDFVIKVPKAVIEVKELKVDISRAQSNQILSVKLHLVPLFVQLGDPHFSFDHTLNFSQKECLQAEQPYPSVMEKNSFLCEDLSVSCDFGHDREKGIKISDLDMVCGDVAVHINEDLFLRTDKNLETISDTTATEGARLDILSKTSEKNKSSLSIKKHIFAFPEKVSFSMPKLDVKYMYGGKDLMIENNVRGIHLTSSKSQLNEDSGETSHFDAQLVLSEIHLLTEDATAVFEIMKVAVVTTLDVPMELLLPIRVEVDIKLGGTQCNLIISRLKPWLQLHMSKKKNLVLVKNKSQNENSETSHVKSIMWTCTVSAPEMTVVLYSLTGLPLYHGCSQSSHLFANNIASKGIQIHMELGEVHLHMEDEYQECIKENVFGVDTNSGSLVHIARLSLDWGQKEIESKEKQDLSRWMLVFSIDISGMGINFGFQHVESLVYNLMSFRSLIKSFSSSSKRATEYNVGHLGKNSAKGAPIFKLNLEKCSISYHGEVNVDDTIVADPKRVNFGSHGGEVLINVSADGTPRRASIISMLTNKSKILKFSTSLDIFHFSLCVNREKESTQVELERARSFHMEFTEDHEPGNKVSLFDMQNAKFVRRTGGLTDVAVCSLFSVTDITARWEPDAHLALYEIFTRVRCLLQNSKLQHYDNDVKLSTDTLKEMEREKMATKNQVRAEKQPKKREAIFAVDIEMLRVSAEVADGVETVIHVQSIFSENARIGVLLEGLMLSFNSARVFKSSRMQISCIPVPPSNVYDTKTQPVITRDWVIQGLDVHICMPYRLQLRAIEDAVEDMFRGLKLITASKTSHISPVKKDKSKTTKIRSEKLGSVRFVIRKLTAEIEEEPIQGWLDEHYHLIRNEVCESAVRMKFLDEILSSVSKIVGNSDQSDLNSERRIVHNGVEIDLNDVSSIERLQEDIHKKAFKSYYLACQKIVHAEGSGACHRGFQAGFRPSSRRTSLLSLCATDLDVTLTRIEGGDPGMVQFIKKIDPVCSDNDIPFSRLYGREVDVNAGSLVAQLRDYTLPLFSATAGKCKGRVVFAQQATCFQPQIHQDVFVGRWRRVCMLRSASGTTPPMKMYSDLPIYFQKGEISFGVGYEPAFADISYAFTVALRRANLSIRNQSSNSNGRNSSNAPHATNFVPESQPPKRERSLPWWDDMRYYIHGKIVLNFNETRWNLLATTNPYEELDKLQIVSDYMEIQQTDGHVLVSAKEFRIYISSLESLLKSCSLKLPRSVSRPFIYSPAFSLEVILDWECESGTPLNHYLHALPNEREPRMKVYDPFRSTSLSLKWNFSLRPFLLDGNATSGFGDSLILDQAIYDTSQKLETVDFPLMNLGAHDLVWVFKWWNLNYNPPYKLRSFSRWPRFGIPRAARSGNLSLDKVMTEFFLRLDATPTCIKHMPLGDDDPAIGLTFRMSKLKYELYYSRGKQRYTFDCKRDPLDLVYRGLDLHMLKAYLNRDCSSSAVQDIQTNKRALHTVTTGKVNDKCSYQHNCAERSRDDGFLLYSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDHTRSDPSDDDGFNVVIADNCQRIFVYGLKLLWTLENRDAVWSWVGGISKAFEPPKPSPSRQYAQRKLTEEQQKLDESEVSPSDNLISSSSATHLADSPSKQIEILDPNPSASSSTKIECLQSDIVVKHGHIDDSEEKGKRNFMVNVIQPQFNLHSEEANGRFLLAAASGRVLSRSFHSVLHVGYEMIKQALGTSNVKIPESQPEMTWKRAEYSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELSFNSSNITATMTSRQFQVMLDVLSNLLFARPPKPRKSSLSYPSDDDDEDVEEEADEVVPDGVEEVELAKIHLEKTERERKLLLDDIRTLLGDYYSDLCSQEKSGDLWMITGGKSVLVQGLKKELLNVQKSRKTASSALRMALQKAAQLRLMEKEKNKSPSYATRISMRIDKVVWTMLADGKSFAEAEINEMIYDFDRDYKDIGVARFTTKSFVVRNCLPNAKSDMLLSAWNAPPEWGKNVMLRVDAKQGAPKDGNSPLELFQVEIYPLKIYLTETMYRMMWDYFFPEEEQDSQRRQEVWKVSTTAGSRRPRKNFGGLEGASTSQSTREYEASGRLSATAAMSANVGSTHTAVHADSSQVSKLQSLKANMVCGSNPELRRTSSFDRTWEENVAESVANELVLQVQSLTVSSKSGPLNSMSENQHTANETSRNKPKDSKSVKSGRLSHEEKRVGKTQDEKRARARKMMEFHNIKISQVELLVTYEGSRFAVNDLRLLMDTFHRVDFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQSHREVHENSVPEIDLNFSDSDGGQPGKSDQFPISWLKRPSDGAGDGFVTSIRGLFNSQRRKAKAFVLRTMRGDAENDYHGEWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGQKNSGLTLQRDSVPSTPRETTPFQSDSSGASSYEDFHG >Ma06_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23301766:23355594:-1 gene:Ma06_g24680 transcript:Ma06_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLDVKYMYGGKDLMIENNVRGIHLTSSKSQLNEDSGETSHFDAQLVLSEIHLLTEDATAVFEIMKVAVVTTLDVPMELLLPIRVEVDIKLGGTQCNLIISRLKPWLQLHMSKKKNLVLVKNKSQNENSETSHVKSIMWTCTVSAPEMTVVLYSLTGLPLYHGCSQSSHLFANNIASKGIQIHMELGEVHLHMEDEYQECIKENVFGVDTNSGSLVHIARLSLDWGQKEIESKEKQDLSRWMLVFSIDISGMGINFGFQHVESLVYNLMSFRSLIKSFSSSSKRATEYNVGHLGKNSAKGAPIFKLNLEKCSISYHGEVNVDDTIVADPKRVNFGSHGGEVLINVSADGTPRRASIISMLTNKSKILKFSTSLDIFHFSLCVNREKESTQVELERARSFHMEFTEDHEPGNKVSLFDMQNAKFVRRTGGLTDVAVCSLFSVTDITARWEPDAHLALYEIFTRVRCLLQNSKLQHYDNDVKLSTDTLKEMEREKMATKNQVRAEKQPKKREAIFAVDIEMLRVSAEVADGVETVIHVQSIFSENARIGVLLEGLMLSFNSARVFKSSRMQISCIPVPPSNVYDTKTQPVITRDWVIQGLDVHICMPYRLQLRAIEDAVEDMFRGLKLITASKTSHISPVKKDKSKTTKIRSEKLGSVRFVIRKLTAEIEEEPIQGWLDEHYHLIRNEVCESAVRMKFLDEILSSVSKIVGNSDQSDLNSERRIVHNGVEIDLNDVSSIERLQEDIHKKAFKSYYLACQKIVHAEGSGACHRGFQAGFRPSSRRTSLLSLCATDLDVTLTRIEGGDPGMVQFIKKIDPVCSDNDIPFSRLYGREVDVNAGSLVAQLRDYTLPLFSATAGKCKGRVVFAQQATCFQPQIHQDVFVGRWRRVCMLRSASGTTPPMKMYSDLPIYFQKGEISFGVGYEPAFADISYAFTVALRRANLSIRNQSSNSNGRNSSNAPHATNFVPESQPPKRERSLPWWDDMRYYIHGKIVLNFNETRWNLLATTNPYEELDKLQIVSDYMEIQQTDGHVLVSAKEFRIYISSLESLLKSCSLKLPRSVSRPFIYSPAFSLEVILDWECESGTPLNHYLHALPNEREPRMKVYDPFRSTSLSLKWNFSLRPFLLDGNATSGFGDSLILDQAIYDTSQKLETVDFPLMNLGAHDLVWVFKWWNLNYNPPYKLRSFSRWPRFGIPRAARSGNLSLDKVMTEFFLRLDATPTCIKHMPLGDDDPAIGLTFRMSKLKYELYYSRGKQRYTFDCKRDPLDLVYRGLDLHMLKAYLNRDCSSSAVQDIQTNKRALHTVTTGKVNDKCSYQHNCAERSRDDGFLLYSDYFTIRRQAPKADPARLLAWQEAGRKNLEMTYVRSEFENGSESDHTRSDPSDDDGFNVVIADNCQRIFVYGLKLLWTLENRDAVWSWVGGISKAFEPPKPSPSRQYAQRKLTEEQQKLDESEVSPSDNLISSSSATHLADSPSKQIEILDPNPSASSSTKIECLQSDIVVKHGHIDDSEEKGKRNFMVNVIQPQFNLHSEEANGRFLLAAASGRVLSRSFHSVLHVGYEMIKQALGTSNVKIPESQPEMTWKRAEYSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELSFNSSNITATMTSRQFQVMLDVLSNLLFARPPKPRKSSLSYPSDDDDEDVEEEADEVVPDGVEEVELAKIHLEKTERERKLLLDDIRTLLGDYYSDLCSQEKSGDLWMITGGKSVLVQGLKKELLNVQKSRKTASSALRMALQKAAQLRLMEKEKNKSPSYATRISMRIDKVVWTMLADGKSFAEAEINEMIYDFDRDYKDIGVARFTTKSFVVRNCLPNAKSDMLLSAWNAPPEWGKNVMLRVDAKQGAPKDGNSPLELFQVEIYPLKIYLTETMYRMMWDYFFPEEEQDSQRRQEVWKVSTTAGSRRPRKNFGGLEGASTSQSTREYEASGRLSATAAMSANVGSTHTAVHADSSQVSKLQSLKANMVCGSNPELRRTSSFDRTWEENVAESVANELVLQVQSLTVSSKSGPLNSMSENQHTANETSRNKPKDSKSVKSGRLSHEEKRVGKTQDEKRARARKMMEFHNIKISQVELLVTYEGSRFAVNDLRLLMDTFHRVDFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAQSHREVHENSVPEIDLNFSDSDGGQPGKSDQFPISWLKRPSDGAGDGFVTSIRGLFNSQRRKAKAFVLRTMRGDAENDYHGEWSESDVEFSPFARQLTITKAKKLIRRHTKKFRSRGQKNSGLTLQRDSVPSTPRETTPFQSDSSGASSYEDFHG >Ma07_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28206039:28208512:-1 gene:Ma07_g20280 transcript:Ma07_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKRNNCGSRGGGGPAPFLVKTHQMVEDNVTDDVISWGKTGTSFVVWKPVEFARDLLPVHFKHNNFSSFVRQLNTYGFHKVVPDRWEFANDNFRQGEQRLLCKIRRRKAALPQVPSAGKASADRNNRPPPSPLSNSGEAHSSSTASLLPPSEHLLELTHENEKLRMDNQILSTELAQAKRQYRELLASLSNFVDVSQPNLSVLMQEKSALSVGNGSETETKKKEAEEETMDNEEEGLKLFGVLLKGLGGEGSDKSRRLKRGRCDETADGCSVGERPMKMGFGWPWWGMSSTVQHGSSRVCN >Ma08_p26140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38744478:38749124:-1 gene:Ma08_g26140 transcript:Ma08_t26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPKSLRDRWPSASFPQRPPQRNPNTRIGDICESFAMGSRMQEHDGASPAKIFVGGLPKDTTLETFVKHFEIYGEIVDSVIMKDRITNKPRGFGFITYKDASVVDRVIDDTHVFSGKTVEIKRTIPKGAAPLKDFKTRKIFVGGIPTTLSEDEFKNFFSEFGRVEDHEIIRDHTTNRSRGFGFILFEKEKDVDDLLAKKGNMIDLAGTKVEIKKAEPRKPSNAPSSAFDGEPRARHFGDSVGRYGGSYGGFGSAGGYGPSSYRTPGSFGPRPGGYGGYGNVAGDYGGGYAGYAGGLGDYRAESSLGYSSRFGSYGGGFGGGYEGGYGGFGREAGGYGGSSYGGGYDSPAGYSSGLYGSRGAYSGGGGGGAGRYHPYGR >Ma03_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26292163:26295955:1 gene:Ma03_g21290 transcript:Ma03_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERVVSMKRAEEWCASGGNIPYFETFVKDDYNVDAAFHHVAQLVLHSDLPKQGGHCSSSSISRFGNSCKSGSKWYWSS >Ma09_p27500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38482536:38487531:1 gene:Ma09_g27500 transcript:Ma09_t27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPDWTSLPPDLLAKISEEFPIPHRARIRATCKAWHSAMEPVISPSPWLLLPGENFEQHNSTFLCLPDNYCFTYPRLPQLSGVRCVGSHAGWFVIVGRKRKVSLLNPLTGNQICLPSHVARWNVDRVNHQAFKPNCIGKMVFSANPTVHSYVVVAIYRFTDWELTYTKSGEDRWNLLETALTENDGSYKDIMHHDGKFYCITRKGEVIAFDLSGVSPIVTIIARSSALVSVIPAGTYCIHLACSNTGELFLVWKLAIDYVLPYDVNKSEDVIVLRLQYSEDQPCWGVVKDLGNMSLLVGNSNSISISTEDLRGMRGNCIYLTEFFPEISVQ >Ma03_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2316060:2329472:-1 gene:Ma03_g03450 transcript:Ma03_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MAANWLPPVSLTASGRPVFLPGEVERCLLPAVDLESENPGLAPFRSGLLVLTSHRLLWIDEQSAAGFAAPLAAVVHAYPPKKSIRSMFASPRIRVQVSVSPDGRIVEDGVRSEVITVVMRGKNDPDAFYCRLLEVLRSRQWEAAVVAEKRNTDSGIAEPPMAAAARVRMPVVGVSGILRKEQELWESTDKSLQEAFQDLNALMSKAKDMVQLAEKMRLKLLSSSSASSNADDEEMGSKQEMQDWLLSVGIVSPVTKESAGALYHQQLSRELADFVKLPLERAGGMIALVDVYCLFNRARGTALISPDDLLQACTLWEKFDVPVMLRKFDSGVMVIQSKARRDEEVFARIASLAQKPDALRTGISPSDAALTLGIAPALAKEHLLTAESKGLLCRDVSPDGFRFFINLFTEIDPSDIYMIKNNGPAHVWSSALSLSG >Ma10_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23687061:23688902:1 gene:Ma10_g09590 transcript:Ma10_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLSDNLKAFVNTSFLLLLCFFLTSHIRSPTTSFHVTSTQTAKPPGCAGLHKLKDHHSKCLYIKSHHPCLSQGYVNYLQLYYCLCGSHPPFGYVLLALWLLILFYLLGNTASQYFCSSVENLSRVLNLSPAIAGVTLLSLGNGSPDVLASIVSFRSGSGEVGLSSVLGGAFFVSCVVVGIINLSAGSSPRAAAVRIDRSSFIRDVCFFVVVLSSLFAILLVGRINIWGAMAFTSLYFVYVSIVSVTHFCGEKYEDLAMPILEKEELNDPVTAAKEAWPEGEEEEPQDSASSLMLNSTASQYLKCFLYLMDMPLYLPRRLTIPDVSEERWSRTYAVTSATLAPIFVAALWNSKRGSKESFAIYLYGGLVGIVLGLIALHTTMKESPPKKFLFPWLAGGFLMSVLWTYIIAEELVGLLVSLGFIFGVSPAILGLTVLAWGNSIGDLIANVAMATSGGQDGAQIAMSGCYAGPIFNTLAGLGLSLVVSAWAVHPDSFVIPVGSALFEILGFMIGGLLWALVMLPRKGMKLDKVVGVGLLVIYFCFLSLRLSQSLVLVQVV >Ma11_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9331328:9332631:1 gene:Ma11_g10080 transcript:Ma11_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQIYIKASLYHLCRGSSQYSVYICYAEYIDVNAANILIYTYSNMYSITSQFLHSIVEPRKPEPLVILRSSSLPKNLLVQIYGAVTMNNTLCLAVFLALVYVRQLAWDFSAEVLIIFIICVVMGLFTSFRTTFPLWTCFFAYLLYPLSLALVYVLDFVFGWS >Ma03_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18346469:18351949:-1 gene:Ma03_g16210 transcript:Ma03_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIRWRLAATGFLSCSPSAVSFLLSSLLASGIVAVAFFLFVVSSSRRPPVLAVDGCGPDSEGSWSIGVFYGSSPFSLSPIELHGSNKSNNSAWPIANPVLTCASPSNAGYPTNFVADPFLYVQDSILYLFFESKSTTMMKGNIGVARSFDQGGTWEFLGIALREDWHLSYPFVFSYQNQIYMMPEGNRKGDLRLYRSVNFPLKWTLEKVLIRKPLIDASLIQYEGYYWLFASDFTRFGIERNAELEVWYSTSPLGPWKQHPRNPIYRADKSLGARNGGRPFIYEGSLYRLGQDCGQTYGRKVRVYKVEKLSKEEFKEVPVELGIEEPKKDRNAWNGIRYHHLDMQQLSSGNWIAVMDGDRVPSGDSTMRFLLGCTFTLLLILLIMFIGFLVGAVNCNFPPNCCMTSSRRNETSWLRNHPYINLRARRYISGMSRYGSSIRERINLKTCSGKLILSFLALVGIAFVCMSVHFLLGGNGAEEAYIFGGQYSQFTMVTMTYEARLWNLKLYVKHYSRCESVREILVVWNKGNPPKVDEFDSAVPVRIRVEEHNSLNNRFKVDPLIKTRAVLELDDDIMMTCNDVEKGFRVWRENPERLVGFYPRLLDGSPPQYRNERYARGKNGYNVILTGAAFMDSEYAFNKYWSEQAREGRTFVDRSFNCEDLLMNFLYANASLGRTVEYVHPAWAIDTSKLSTAAISRNTQVHYNIRTSCLSKFSALYGPLPSKWEFASRPDGWDR >Ma03_p16210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18346469:18351940:-1 gene:Ma03_g16210 transcript:Ma03_t16210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIRWRLAATGFLSCSPSAVSFLLSSLLASGIVAVAFFLFVVSSSRRPPVLAVDGCGPDSEGSWSIGVFYGSSPFSLSPIELHGSNKSNNSAWPIANPVLTCASPSNAGYPTNFVADPFLYVQDSILYLFFESKSTTMMKGNIGVARSFDQGGTWEFLGIALREDWHLSYPFVFSYQNQIYMMPEGNRKGDLRLYRSVNFPLKWTLEKVLIRKPLIDASLIQYEGYYWLFASDFTRFGIERNAELEVWYSTSPLGPWKQHPRNPIYRADKSLGARNGGRPFIYEGSLYRLGQDCGQTYGRKVRVYKVEKLSKEEFKEVPVELGIEEPKKDRNAWNGIRYHHLDMQQLSSGNWIAVMDGDRVPSGDSTMRFLLGCTFTLLLILLIMFIGFLVGAVNCNFPPNCCMTSSRRNETSWLRNHPYINLRARRYISGMSRYGSSIRERINLKTCSGKLILSFLALVGIAFVCMSVHFLLGGNGAEEAYIFGGQYSQFTMVTMTYEARLWNLKLYVKHYSRCESVREILVVWNKGNPPKVDEFDSAVPVRIRVEEHNSLNNRFKVDPLIKTRAVLELDDDIMMTCNDVEKGFRVWRENPERLVGFYPRLLDGSPPQYRNERYARGKNGYNVILTGAAFMDSEYAFNKYWSEQAREGRTFVDRSFNCEDLLMNFLYANASLGRTVEYVHPAWAIDTSKLSTAAISRNTQVHYNIRTSCLSKFSALYGPLPSKWEFASRPDGWDR >Ma01_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8597137:8601478:-1 gene:Ma01_g11870 transcript:Ma01_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNPFFFPVHLIHLGLGVVLPQWRDEVGRLIDEAMPGSGEDREGSRDLMRRRSTMSRSSPIVSNDNGDCSSGDGVDGNSAMVRWRKQNVRAPRCRLNNNESSDEAGRGRSEGEEGDSSRPNLSANATTSLNSRKRVRLHGKVAEDSNAVDTAPVPRKLRSAINKRSSQSSSRAMRVEVLYGNGGRRCKPTMLLDSLTKDEEEVVEALCALSRMLPVGTRVPDKENRRLSDHQDNNATADPHSEAPKKEGEGQHLQQCIASGIRVPSSCMAKPLDETMEEENVFSKQPLTVCARQTIDSDMSRIKEHGTHITPLSENEPPESSVRYFEKISSPSDVLPHTTGNRALHPTQCDAFTAIPPCKLDMLQPNGHVESPALGGNKVQQVECNSENSAKRVCQEGIVPLHIHPSSSNTAVWPSSATGAARLVSSRIDLPTKKVLPDVVSSWKKCAIHVFIGHLIKNYQEKEECKPLLLSEVSRPMDGSKLCATENDEKVGLQNSVAERNKHEGRVEVLCDRRYMSAHQSSVSSDAKQKKACDFASLSGNGNASSFANGAKSAGQLRSPFLHAQVPHHPLVPFPFPHVPYASPYSEKLAAATTQPVQLQVPNYVGHPFFGPQMDDTIGNMRLQQQYQQQQIWQAHFAQYRSPLVGIAALQNDRLHDSSASTHRIVQASSTLPSSPPVQMQCGSSSQHQLLANASSSSSSKAKPHQQPGSLRGGRFHHEGPHSVAAASQHRSIMKNDTIG >Ma01_p11870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8597137:8602427:-1 gene:Ma01_g11870 transcript:Ma01_t11870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRKQNVRAPRCRLNNNESSDEAGRGRSEGEEGDSSRPNLSANATTSLNSRKRVRLHGKVAEDSNAVDTAPVPRKLRSAINKRSSQSSSRAMRVEVLYGNGGRRCKPTMLLDSLTKDEEEVVEALCALSRMLPVGTRVPDKENRRLSDHQDNNATADPHSEAPKKEGEGQHLQQCIASGIRVPSSCMAKPLDETMEEENVFSKQPLTVCARQTIDSDMSRIKEHGTHITPLSENEPPESSVRYFEKISSPSDVLPHTTGNRALHPTQCDAFTAIPPCKLDMLQPNGHVESPALGGNKVQQVECNSENSAKRVCQEGIVPLHIHPSSSNTAVWPSSATGAARLVSSRIDLPTKKVLPDVVSSWKKCAIHVFIGHLIKNYQEKEECKPLLLSEVSRPMDGSKLCATENDEKVGLQNSVAERNKHEGRVEVLCDRRYMSAHQSSVSSDAKQKKACDFASLSGNGNASSFANGAKSAGQLRSPFLHAQVPHHPLVPFPFPHVPYASPYSEKLAAATTQPVQLQVPNYVGHPFFGPQMDDTIGNMRLQQQYQQQQIWQAHFAQYRSPLVGIAALQNDRLHDSSASTHRIVQASSTLPSSPPVQMQCGSSSQHQLLANASSSSSSKAKPHQQPGSLRGGRFHHEGPHSVAAASQHRSIMKNDTIG >Ma02_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19448583:19456850:1 gene:Ma02_g09510 transcript:Ma02_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGISPAPVAPSPMPPRPREAAAEVEQVRVRRRALEVVLENCRRALELIENADLGPDTAAAPNSEDENDVDDAPTPLREEEKEQEGSPPQSHSAVDTETDELCSLLKAKVESPDFLEKLGSIQTSIYQNNYDDNASWDMVTATDLWEDKHVDGGSVPDQDDYVLVNQEDIVDGIACFMAAYLLSLKQTKELTPNQLQEALCKTFSVKKKKSRLRKAWEGSQVIYNIASWGATAIGIYQNPAILKAASVAFWSSCRVVSKLL >Ma04_p37780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35517204:35526851:1 gene:Ma04_g37780 transcript:Ma04_t37780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ML2 [Source:Projected from Arabidopsis thaliana (AT2G42890) UniProtKB/TrEMBL;Acc:A0A178VQK0] MDQRKEHSVSEGLLSVSSNKLVPRAGTNLWKGPSSSSAFYGSADASLFSSSLPVIRHDSVHGVHSADNSSSQLKTHSQYLEGEFMVDELDFQEDEVLLPNNEEDLLAGIMDGFDLTNLPKLVDASEDCDLFGSVGGFELDSGPTESITASMEKVSISDSYAENGISQHILPNGFGTVSGEHPYGEHPSRTLFVRNINSNVEDSELRSLFAPYGDIRSLYTACKHRGFVMISYYDIRAAKNAMRALQNKPLRRRKLDIHFSIPKENPSDKDMNQGTLVIFNLDSSVSNDDLFQIFGVHGEIKEIRETPNKWHHKFIEFYDVRAAEAALQSLNKCELGGKRIKLEPSRPGGARRNLMQQTTYNLDPVEARVHQHHGNSPVNSFTGTWFQFNSPNECSPFQQALCKTPAGRIINSIGSDCLPGLSTILSPVMSNSTKVGLIKDQEKVNYADQLYSGSNSSHEGKFQHCGSPRDHNSGILASSPGPLSSLGPSASNVSGFGSLTGSQFLFGSPTSNMDQPQSSWRSPVTGLPFPSNDRQQRQNIFYSNQHDSFPGLSHGQHHLVGSAPPGVPFKRHYSYFPETPETSVMNKVAFGNMQVTQNSGSGPTALWNPGIVLTGNIPDNSSPKLRMMQYQISGPNFFGNNQYPGSGSFGLQGHSRQFDSQGSQDDNKKRYLLDLDKIVRGEDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYKTFNGKKWEKLNSEKVALLAYARIQGKAALCAHFENSSLMNEDKRCRPVILYSEGSDNIDQKLIPLNGVDLHVHQQDETNSVGDSTKSPVVGS >Ma04_p37780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35517204:35526851:1 gene:Ma04_g37780 transcript:Ma04_t37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ML2 [Source:Projected from Arabidopsis thaliana (AT2G42890) UniProtKB/TrEMBL;Acc:A0A178VQK0] MDQRKEHSVSEGLLSVSSNKLVPRAGTNLWKGPSSSSAFYGSADASLFSSSLPVIRHGKYSVHGVHSADNSSSQLKTHSQYLEGEFMVDELDFQEDEVLLPNNEEDLLAGIMDGFDLTNLPKLVDASEDCDLFGSVGGFELDSGPTESITASMEKVSISDSYAENGISQHILPNGFGTVSGEHPYGEHPSRTLFVRNINSNVEDSELRSLFAPYGDIRSLYTACKHRGFVMISYYDIRAAKNAMRALQNKPLRRRKLDIHFSIPKENPSDKDMNQGTLVIFNLDSSVSNDDLFQIFGVHGEIKEIRETPNKWHHKFIEFYDVRAAEAALQSLNKCELGGKRIKLEPSRPGGARRNLMQQTTYNLDPVEARVHQHHGNSPVNSFTGTWFQFNSPNECSPFQQALCKTPAGRIINSIGSDCLPGLSTILSPVMSNSTKVGLIKDQEKVNYADQLYSGSNSSHEGKFQHCGSPRDHNSGILASSPGPLSSLGPSASNVSGFGSLTGSQFLFGSPTSNMDQPQSSWRSPVTGLPFPSNDRQQRQNIFYSNQHDSFPGLSHGQHHLVGSAPPGVPFKRHYSYFPETPETSVMNKVAFGNMQVTQNSGSGPTALWNPGIVLTGNIPDNSSPKLRMMQYQISGPNFFGNNQYPGSGSFGLQGHSRQFDSQGSQDDNKKRYLLDLDKIVRGEDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYKTFNGKKWEKLNSEKVALLAYARIQGKAALCAHFENSSLMNEDKRCRPVILYSEGSDNIDQKLIPLNGVDLHVHQQDETNSVGDSTKSPVVGS >Ma07_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6966811:6986133:1 gene:Ma07_g09300 transcript:Ma07_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAAKRLWHIARVVFYMLRKGLAKDKLMMDLHLLLKRGKIAGKAIGNLVTFHHHHHHHGVTSMSSAFSCRSMDPSRSFYSPKAVEFSCSNTPSRPSFHAIKRRNRHRRYDYDYDAVAVAKAFEILNSQVSDAESVMASPSPSPMNWSFGKSPAGARQLRITDSPFPLNEEGEEVGTHIDQEAEEFIKRFYQQLRLQQWTPMTPEYKQYRNDLLGRA >Ma08_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3772304:3780170:-1 gene:Ma08_g05550 transcript:Ma08_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSSVYVLEPPTKGKVVLQTTAGPLDIELWPREAPKAVRNFVQLCLEGYYDRTNFHRIIKGFLVQGGDPTATGTGGESIYGGMFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFMTLDRSDWLDRKNTIFGKVTGDSIFNLLSLGDFETDKDDRPVHPPPKILSVEVLWNPFDDIVPRQLPEKPQSDAKVVVEGQDQKKKAVKQLNMLSFGEEVEEDEMEAANIKEKIKSIHDVLDDPRFLKEAKPEPLSSTEVEKKNEIRLAVREALTSNKVDSIREHEMDDLDADDHSDDDDEANFDARMRLQILRKRKEMGDVATHDKLPAEKTRQKDREASPSRRNDDDTKGQNTKVEKLSMKKKGMGSEARAELMAKADADLELLNHAEQERQLQKQKKRRNQGREEDTLAKLQRFKKSLSTKLSSPSSNGSKDNNEEDDSGWMATQLKFIPESSEKDGMTRKDDPNEYVVHDPLLEKGKEKFNKMQAKLKRREREWAGKSLT >Ma08_p16650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19982216:20000973:1 gene:Ma08_g16650 transcript:Ma08_t16650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAPDLPAKFKSMLVPGKIQHILCTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPENKTLTIGQFKLGLCHGHQIIPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTANTQSAH >Ma08_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19982190:20000973:1 gene:Ma08_g16650 transcript:Ma08_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAPDLPAKFKSMLVPGKIQHILCTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPENKTLTIGQFKLGLCHGHQIIPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTANTQSAH >Ma08_p16650.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19982216:20000973:1 gene:Ma08_g16650 transcript:Ma08_t16650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAPDLPAKFKSMLVPGKIQHILCTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPENKTLTIGQFKLGLCHGHQIIPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTANTQSAH >Ma08_p16650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19982207:20000973:1 gene:Ma08_g16650 transcript:Ma08_t16650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAPDLPAKFKSMLVPGKIQHILCTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPENKTLTIGQFKLGLCHGHQIIPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTANTQSAH >Ma03_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4654239:4659875:-1 gene:Ma03_g06780 transcript:Ma03_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTANGIAEPRQQQQQWMPAMQYPPQAAMMMTPHQMVAPPIPQYAQHFVPYHHPTQLPQQHNGAGAYSASAVVGGEDNKTIWVGDLHYWMDENYLHNCFGRTGEVISIKVIRNKQTGQSEGYGFVEFYTHEAAKNVLQSFVGHMMPNTDQPFRLNWASFSTGDRRSDASSDYSIFVGDLASDVTDVTLQEIFASKYPSVKGAKVVIDANTGRSKGYGFVRFGNEDEKTLAMTEMNGIYCSTRPMRIGPATPRKSSGVSGSNGLSAQSDGDLTNTTVFVGGLDPNVNEDDLNQTFSQYGEIASVKIPVGKQCGFVQFVQRNNAEEALQRLNGTVIGNQTVRLSWGRNPANKQLRSDSSNQWNGMYYGGPFYNGYMYAAPVPDPSMYAAYGTYSFQGNQQQILLTDM >Ma07_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3739875:3740482:1 gene:Ma07_g05140 transcript:Ma07_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHGAASNRCRIIPALADSTGAYIFYAGGWDGWVLHSSESYGDWAKRNRFQVADAIVFKYKKGEDSVLVVSKQDYDAYDVSKPIQKLDGGDSVFKFDRSGPFYFISGTPGNCQRGQKLVVVVVMAVRHRPPISSPPSLPLSPPPVSSPAPPPPPFPEPIIQPSTILNGPLAFTDVCCS >Ma11_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3545542:3551426:-1 gene:Ma11_g04550 transcript:Ma11_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAMAVKKAAESFSKALADEVQRWGGMKQTGVSLRYMMEFGARPTQKNLLLSAQFLHKELPTRIARRAIELEALPFGLSDEPAVLKVRDWYLDSFRDLRSFPEIKDTSDELAFTQMIKMIKVRHNNVVPAMALGVQQLKRDMNRKVVPEELEEIHQFLDRFYLSRIGIRMLIGQHVALHDPDPEPGCIGQINTGLSPMLVAQLASEDARSICYREYGSAPEVNIYGDPNFTFPYVPSHLHLMVFELVKNSLRAVQERHMNSDKDVPPVRIIVADGIEDVTIKISDEGGGIPRSGLPKIFTYLYSTAKDPPDENYKGVSNGVTMAGYGFGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >Ma11_p04550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3545542:3551426:-1 gene:Ma11_g04550 transcript:Ma11_t04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAMAVKKAAESFSKALADEVQRWGGMKQTGVSLRYMMEFGARPTQKNLLLSAQFLHKELPTRIARRAIELEALPFGLSDEPAVLKVRDWYLDSFRDLRSFPEIKDTSDELAFTQMIKMIKVRHNNVVPAMALGVQQLKRDMNRKVVPEELEEIHQFLDRFYLSRIGIRMLIGQHVALHDPDPEPGCIGQINTGLSPMLVAQLASEDARSICYREYGSAPEVNIYGDPNFTFPYVPSHLHLMVFELVKNSLRAVQERHMNSDKDVPPVRIIVADGIEDVTIKISDEGGGIPRSGLPKIFTYLYSTAKDPPDENYKGVSNGVTMAGYGFGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >Ma01_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27858784:27859863:1 gene:Ma01_g23380 transcript:Ma01_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIYYFNKKTPVALAKEIEEAHQQAITIVQENLQLKHLRKEINLLKQKEKTKEAAKPEKAKNILFNLKVQLEIPNAPIIKVNAILDTGATTCCIDEGAIPREALEKNPYLVHFNEITSKTTTDKKLRGGRMMIGDNSFRIPYTYAFPMKLGDDIQMIIGCNFIRAMQGGVRIEGNTITFYKNLTTINTLPYLVLYSTEPQKDEDKLRAQFGSLIEKLKAQGYIGENPLQHWKKNGLMCELEIKTQTLQ >Ma11_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4720289:4721852:-1 gene:Ma11_g05910 transcript:Ma11_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKTPPKERRMAAALNPNLVRETLIKVERCMARLQELQCTVTGGTKVVAGVTLSPRSTRGYLRTSLVCKKESLRMRNNASSRRSPAGKFQGSTNGEWRRMSLPAMLLGETVVEILEASRTTKEAVTAAAAAAMRSRHKTTEPTTPITARRTNKSSSRENTEMQARRVKEKQGRLRIIRSEQSPAVSRARSRIRFKSNSPLATAREEQMVGGRPSVAAHRVSPRNRPWAKKTVLFPNPLFTSSSPTSCHGQRRFYKTRSPVIGRTRPSQSPHKFVIKSPSSTSLKSQFAPKKAVTVRILKSSPEKVVVLKKSRRCSFSPSKLVNRIIASPIKTRPISLLRSSTGLVAGLKQRSGFSTPVRISSSIARI >Ma07_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:178328:180562:1 gene:Ma07_g00150 transcript:Ma07_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGILDESDPCWKQPNLYPNPPARFQHRPLTELCPQPNPNRRPLLPSHLANTGTGDAPPLPPPFASLSRISQHQASSTSHVSRTKSHQGHRNWDNGIGHPRSYWQKLVFGGDWPDAPTIDWSGRCVLFCFCPKLNKSCMFIFGSVILALFILSSGGCKDEIIIHLITVKALAFLLRLMLKNYLF >mito6_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000017.1:90201:91521:1 gene:mito6_g00030 transcript:mito6_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCPVALVEFEFVLYGISHTPPSKPFFLWPGKSCEL >Ma04_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8249064:8249570:1 gene:Ma04_g11660 transcript:Ma04_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVFYYATGGDCVSGSSPPPPAAPPVPHGTELACIDRPVPEIFSFVLCFLVIASLVNFAFVSPSDDEEEEERRAKKVVTGGLDPAVLASFPVVTCPEARGAGEGMVGRECAVCLTEFGVGDALRVLPPCRHGFHPVCIDPCLAGHATCPLCRSDLAAGHVIVNGVEA >Ma08_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5714815:5724593:1 gene:Ma08_g08250 transcript:Ma08_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPAYFQYSPSGVHSSPSPHHSMRSPATSDRERYLAELFAERQKLGPFLQVLPFCYRLLNHEIVRASGLAPNQTFVEHERIEPFSPLRLTGHPPIGGPMDLEGRSGMHTEENEYFQRIGVLQSSTSGWNGTPGVTTSPVVKKVIRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGQGSVKDSVKEEKLRDKPGYEHLNEPLHVLVEAEFTADIIDARINQAVAILEDLLKPVEESVDYYKKQQLRELAILNGSLREESPHMSPSASPFNSTSMKRAKTGR >Ma07_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24290803:24293158:-1 gene:Ma07_g18070 transcript:Ma07_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGGGGPSDGGGEGEGRLPVMFTEEQQRYAVELDGKAAALRRSIQDLRLRIPPAHISQRLPDLHAHSLASNAALALQLNAHSTTREQAQLREVTLQEENVAYEKAISNCEKKIQEKLQESSLLQSKLEEMDLEEQNLKAELEKELVAKETSADETSSTDSIRAENSQFEMESLKGFKLEDLEEKKLELCPMEETIQRLESEWSSVQQESFKKPTS >Ma11_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26336589:26340718:-1 gene:Ma11_g22620 transcript:Ma11_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAVNQSRRLPDSASIPLVSSLHPKSRSTPLLSVGLVLLGAFLLIGYSYSSSGGLASDKEAIHKGEGASCTSEVHRAIPFLKKAYGQTMRKVLHVGPDTCAVVSTLLKEDDTEAWGVEPYDLEDADSSCKRLIRKGFVRSADIKFSLPYRPKSFSVVIVSDALDYLSPKYLNKTLPDLARVSSDGLVIFAGYPGQQRAKVLELAKFGKPVKLRSSSWWIRYFVQTGLQENEAATKKFEQAASKSSYKPSCQIFHLVS >Ma11_p22620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26336589:26340702:-1 gene:Ma11_g22620 transcript:Ma11_t22620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAVNQSRRLPDSASIPLVSSLHPKSRSTPLLSVGLVLLGAFLLIGYSYSSSGGLASDKEAIHKGEGASCTSEVHRAIPFLKKAYGQTMRKVLHVGPDTCAVVSTLLKEDDTEAWGVEPYDLEDADSSCKRLIRKGFVRSADIKFSLPYRPKSFSVVIVSDALDYLSPKYLNKTLPDLARVSSDGLVIFAGQQRAKVLELAKFGKPVKLRSSSWWIRYFVQTGLQENEAATKKFEQAASKSSYKPSCQIFHLVS >Ma11_p22620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26336589:26340702:-1 gene:Ma11_g22620 transcript:Ma11_t22620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAVNQSRRLPDSASIPLVSSLHPKSRSTPLLSVGLVLLGAFLLIGYSYSSSGGLASDKEAIHKGASCTSEVHRAIPFLKKAYGQTMRKVLHVGPDTCAVVSTLLKEDDTEAWGVEPYDLEDADSSCKRLIRKGFVRSADIKFSLPYRPKSFSVVIVSDALDYLSPKYLNKTLPDLARVSSDGLVIFAGYPGQQRAKVLELAKFGKPVKLRSSSWWIRYFVQTGLQENEAATKKFEQAASKSSYKPSCQIFHLVS >Ma09_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2763713:2770428:-1 gene:Ma09_g04200 transcript:Ma09_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 3 [Source:Projected from Arabidopsis thaliana (AT5G60100) UniProtKB/TrEMBL;Acc:F4JXG7] MRNVFLWDNRVMESAHQAGPKGATNEGLTDGNHQDETEHKEAPCSGEGHAPSEEDESKINVALEDLNGNQITDATPLAKQTAGLQKQEQQPPGPIVRWERFLPVRTLKVLLVENDDSTRQVVSALLRNCSYEVTAVANGLQAWKILEHLTNHVDLVLTEVVMPGLNGVGLLSKIMNHKTRKNVPVIMMSSSDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSGSESGIQTQKSVKSKSSDDTDNSSSSNDHDNESIDLNARDGSDHGSRTQSSWTKCAPETDSPQPMSPLDQLADPPDSTCAQVIRPTPETFSKDQMPTSAIRENHGKKKPSDDCMGKKMKIQECRKPEMQYESHSTEQDFIKLADKKMDKLPEKDSKNKGHLGTFRNDSFNDPNAQAANLIDAVANSSDSRVVTRIAQAPSGFSKVVEEQDKINHTSIDLPSVELSLKRLRSIGESGTANQDDRNVLRRLELSAFSRYHTSATSNQAPTGCGGSCSPLDTSSEAIKTESMHNIVSSSDAGHPKQGSNGSNDNDMGSTTKNALTQQAAHKTKTAAFTSTVKCNQSTALHPVQYQASESQEPVQDNVETVTAASATNQPRKFQHQVLAQHHRGHHYHHHYHQVHNVQQHRQQPSPNHNDLSLKNKAGATHQCGSFNVFNGHVEGNAANYSINGSNSGSNYCSNRQDGSSNAIQTGGLNMESANGLTEQCGPGSGNGSGRGGACGVDQNRLAQREAALKKFRQKRKERNFRKKVRYQSRKKLADQRPRVRGQFVWQSVHEQTGRAADTEDPHGLVA >Ma06_p36950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36172170:36174443:-1 gene:Ma06_g36950 transcript:Ma06_t36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIDPKVWHKAAAISGVAALGLGTYGFHVFSPQNPSFKEVWRTAALYHLVHTAALVGAPITKFPNIFGGLLTAGILAFSGSCYMAAYFEDKNYSFPAPFGGFAFVAAWASLLF >Ma06_p28350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30042109:30046915:1 gene:Ma06_g28350 transcript:Ma06_t28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEAELELPSFSHGFLQEVAVGSYHGHYSHLPLPDDGFISGFHVGAAGGGLSMGNMVQTCAVSEYDMGGEGDLFKAPEPILEVPSLELDPVTAAIISVGGDVMTETIKVADIESIQTDHLNDIFYECKKDLLEESEIEDSISELLDVKIPAVQMDEVPLSEKLSYAEGSMQKSVSSGCLSSVEWIPGGTRRPDFLDFQGLDFEAAILGLRRAYSEGDIQNLGKNNTSIGNTTAVCSSFEQLLTISDVKTERQQKLSRYREKKSKRNFGRKIKYACRKALADSQPRVRGRFAKTE >Ma06_p28350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30042109:30046924:1 gene:Ma06_g28350 transcript:Ma06_t28350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEAELELPSFSHGFLQEVAVGSYHGHYSHLPLPDDGFISGFHVGAAGGGLSMGNMVQTCAVSEYDMGGEGDLFKAPEPILEVPSLELDPVTAAIISVGGDVMTETIKVADIESIQTDHLNDIFYECKKDLLEESEIEDSISELLDVKIPAVQMDEVPLSEKLSYAEGSMQKSVSSGCLSSVEWIPGGTRRPDFLDFQGLDFEAAILGLRRAYSEGDIQNLGKNNTSIGNTTAVCSSFEQLLTISDVKTERQQKLSSMLAGRLLQTVNPEFVEGLRRPNSVRLQNQTYQCKRRTRQDVEVVSSSHFLHRLEGCFWK >Ma03_p22630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27373715:27382649:-1 gene:Ma03_g22630 transcript:Ma03_t22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVDALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLKNLLKHKLVHHDSSKYDGFRLTYLGYDFLAIKALVNRGVVAAVGRQIGVGKESDIFEVAAEDGTIMVMKLHRLGRVSFRAVKSKRDYLKHRSSYNWLYLSRLAALKEYAFMKALGEHGFPVPDAVDCNRHCVVMSLVQGYPLVQVKQLQNPDEVLETIVGLVVRLAEHGLIHCDFNEFNIMIDDNEQVTMIDFPQMVSVSHRNAKMYFDRDVECIFKFFSKRFNLSYQESEDEYDETEADVEEIERPSFSSIAKTTGFLDKELSASGFTRKDQDDIEKFIEGGTEQKDTTSSDDEELEDQEHTHIEATDDSVAENIDFLDLEDQEDAAASCNVSIQNEDEILQSGEKENSDELDDGDAELTKRLNKQRRRAIAASQGTRKALSRNFYKDKGGKSAHNSKIQRQSCNW >Ma03_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27373657:27382649:-1 gene:Ma03_g22630 transcript:Ma03_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVDALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLKNLLKHKLVHHDSSKYDGFRLTYLGYDFLAIKALVNRGVVAAVGRQIGVGKESDIFEVAAEDGTIMVMKLHRLGRVSFRAVKSKRDYLKHRSSYNWLYLSRLAALKEYAFMKALGEHGFPVPDAVDCNRHCVVMSLVQGYPLVQVKQLQNPDEVLETIVGLVVRLAEHGLIHCDFNEFNIMIDDNEQVTMIDFPQMVSVSHRNAKMYFDRDVECIFKFFSKRFNLSYQESEDEYDETEADVEEIERPSFSSIAKTTGFLDKELSASGFTRKDQDDIEKFIEGGTEQKDTTSSDDEELEDQEHTHIEATDDSVAENIDFLDLEDQKQEDAAASCNVSIQNEDEILQSGEKENSDELDDGDAELTKRLNKQRRRAIAASQGTRKALSRNFYKDKGGKSAHNSKIQRQSCNW >Ma02_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28332813:28333805:-1 gene:Ma02_g23250 transcript:Ma02_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQFPTGRSWLLRLASLARMEPPPPPPQAQPAPAPRASPFAGIPLLPTQAPPPPTQPQETPRPLPPPATEVSKPATRPPTPPQSPEVVKASSPTPPQPPIAGSTQPSPTVETLTASLKPIVTIRSPTPPRSPEVIETSPPTPPPSPKSNTTQPSATPTPHPEPEPKRTFERDNGIKNDGTRTSSNGAGEPPKNNHSSNHYGSSKHAEAAAAKKEEENETRAVTIAGHNLGAHMDLGSSYAYHSRKQQLHDDNMEGKAQTEEGETTKAAIKQKPSITRVNSNVQTVNNSLLVDSSCIFGSPGVHINLTTQKSQPPLHLDQEQGVDPAPAK >Ma07_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31022299:31026900:1 gene:Ma07_g23330 transcript:Ma07_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54210) UniProtKB/Swiss-Prot;Acc:Q9M385] MAMASSSLSTWSMASLRSALPPSPSPRLSTFRFPTPSPFHCSARLSSGRGQAKSLRSFSGLAPMTPLLSVGIGVANFDHVFSNISNGSKFYAMRHGKRVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMVTLAKDGSLHKRRQALGFIYEKQIVHALFAEVQDRYGDRNGGYTRIIRTLPRRGDNAPMAYIELV >Ma10_p25440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33575740:33581622:1 gene:Ma10_g25440 transcript:Ma10_t25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRGFCCGGSPVDFGVEMARVRTRMEGIFRSREKARYVRAQTSGDLENVPTDKLQTKPSGHVLPYVGVACLGAFLFGYHLAVVNGALEYLARDLGIARDTVLQGWVVSTTLAGATVGSFTGGTLADKLGRIRTFQLDAIPLVIGAFLSATAQDVPTMIIGRLLAGFGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILVALVAGLPLAGNPKWWRMMFTVAIFPAVLMALGMAVCPESPRWLFQQGKLSQAETAMKKLYGKEKVAEVMHGLRAGGEGSTEPDAGWIDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGISSDVAASALVGASNVFGTIIASSLMDKRGRKSLLITSFIGMAASMLLLSLCFAWKSLAPYSGTLAVLGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFVIGLCFLSVVNRFGIATVYLGFATVCVLAALYISGNVVETKGRSLEEIERALSAAD >Ma10_p25440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33575740:33581622:1 gene:Ma10_g25440 transcript:Ma10_t25440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRGFCCGGSPVDFGVEMARVRTRMEGIFRSREKARYVRAQTSGDLENVPTDKLQTKPSGHVLPYVGVACLGAFLFGYHLAVVNGALEYLARDLGIARDTVLQGWVVSTTLAGATVGSFTGGTLADKLGRIRTFQLDAIPLVIGAFLSATAQDVPTMIIGRLLAGFGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILVALVAGLPLAGNPKWWRMMFTVAIFPAVLMALGMAVCPESPRWLFQQGKLSQAETAMKKLYGKEKVAEVMHGLRAGGEGSTEPDAGWIDLFSKRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGISSDVAASALVGASNVFGTIIASSLMDKRGRKSLLITSFIGMAASMLLLSLCFAWKSLAPYSGTLAVLGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFVIGLCFLSVVNRFGIATVYLGFATVCVLAALYISGNVVETKGRSLEEIERALSAAD >Ma05_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:629684:632660:-1 gene:Ma05_g01060 transcript:Ma05_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Ma06_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13231445:13233521:1 gene:Ma06_g19260 transcript:Ma06_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGQGIGEELLSLGLGVGSTRRSNAAVGKRKAKDAEERKLLGLLQAREDMLRVDPRRSGPEDKRDQRLVHLLLAAAAAVDAGRASAAMDALVELYRHASLHGDPMQRVMAYFADGLAARILPEASPLYQSVMAPPTAEEEFAAFTDLYRASPYFQFAHFTANQTIMEAFEAEQQHNGGRLHVIDFDVSYGFQWPSLIQSLCDKATTSSPISLRLTGFGGSTEELEETETRLVGFCKGCSNLEFVFQGLLRGSTANDLKIDKDATLAVNLVFYLQTLKTSSEMLATLMSIRSLNPRAVVVLVEKEDSRGPASFLARMVDSLNYFAAMFHSLHDCLPAESTERLSIEKNHLGREIKAAMGKSSHQEKVGGPTWKGRMGSVGYRGMKLSSRSVSQAKLLLKIRSHFSSIEHVSNSGFGIAEGDDGTTISLCWQDRNLITVSAWRCSR >Ma08_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9208113:9208556:1 gene:Ma08_g12200 transcript:Ma08_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGIASEKKKRRARRGPFTSLSFSASLPGDVRGALAESICAVKYSSDPLADFRESILEMIRDGGVKDWEEMEELVYCYVVLNSSDVRCFIADAFLSVCSTCLQLPSGNDH >Ma10_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29609693:29611888:1 gene:Ma10_g18820 transcript:Ma10_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACNGMASSSSFPSNFMFHTETPYEEGNQLTAPLGSLLHTGPQDLRGVAPVMGKRSISFPGIESCEEMNADDDLSDDGWQAGEKKKRLNIEQVRTLERNFELGNKLEPERKMQLARALGLRPRQVAIWFQNRRARWKTKQLEKDYDVLKRQFEAIKTENEALQSQNKKLRAEILAFRGTETSDMINLNKETEGSCSNRSENSSEINIDISRTSVIESSLPPHQILPYFPSIRPADINQLLHNSCKPEFQCAKVENEAPEVSFSNLLGSMGDQSALWSWPDHHHFH >Ma10_p18820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29609647:29611888:1 gene:Ma10_g18820 transcript:Ma10_t18820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMACNGMASSSSFPSNFMFHTETPYEEGNQLTAPLGSLLHTGPQDLRGGVAPVMGKRSISFPGIESCEEMNADDDLSDDGWQAGEKKKRLNIEQVRTLERNFELGNKLEPERKMQLARALGLRPRQVAIWFQNRRARWKTKQLEKDYDVLKRQFEAIKTENEALQSQNKKLRAEILAFRGTETSDMINLNKETEGSCSNRSENSSEINIDISRTSVIESSLPPHQILPYFPSIRPADINQLLHNSCKPEFQCAKVENEAPEVSFSNLLGSMGDQSALWSWPDHHHFH >Ma10_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27003566:27009655:-1 gene:Ma10_g14640 transcript:Ma10_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MQRLATSLRPLRQLGMMGSKPSRSASISSRFKSSAAVPEEPELGEFLDYMEKLKNYERVGVPLGAGTDTDDGFDLGRMRRLLQRLGNPHKQFKAVHIAGTKGKGSTAAFISNILREEGYSVGCYTSPHLLSIRERISVGRGGDPVSAGLLKKVFCEVKEIIDQSIEQEKGALSHFEVFTALAYLLFSEERVDIAVIEAGLGGARDATNVICSTELATSVITSIGEEHLAALGGSLESIAMAKSGIIKHGCPVVIGGPFESHIECIIRHKASLMKSMVISACDPGIQKTLKWLRMEDGKPSQTCDIVIQLEDLQMFITVPNVNLHLLGAHQLQNAATATCTALCLHDQGWVISEKSIRAGLEKTRLLGRSQFLTQMEVSSIGLSGTTILIDGAHTEASAKGLAEVIRMVQPDGVLAFLVAMASDKDHLAFARQLLSGRRPELILLTEVSIAGGRSRIALASTLKEAWTKALLESGADLADVGIMDDEKAIEDHDSCSLITSDPNEVMLASCENASIVDSVKLADQLLKSRSRDQPALIVVTGSLHVVSSLLAALHH >Ma10_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14471182:14473340:1 gene:Ma10_g03870 transcript:Ma10_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGCFLKRIREEMNEEEEKGAERLGARRQSAFIVFESHRPVRSCSTRKQRILKMEISALVLACGLRVGADERGLELMEPIIGPCMSSRSDLSTMPSAFFSILTTPSLWLRDHLTYPGFVNVFFFST >Ma10_p03870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14471182:14473340:1 gene:Ma10_g03870 transcript:Ma10_t03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGCFLKRIREEMNEEEEKGAERLGARRQSAFIVFESHRPVRSCSTRKQRILKMEISALVLACGLRVGADESRGLELMEPIIGPCMSSRSDLSTMPSAFFSILTTPSLWLRDHLTYPGFVNVFFFST >Ma08_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7187014:7190953:-1 gene:Ma08_g09880 transcript:Ma08_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLEEGQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSAKHIDFSLTSPFGGGRPGRVKRKNQKAAAKKAAGGDGDEDDEE >Ma04_p12110.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8703683:9145054:1 gene:Ma04_g12110 transcript:Ma04_t12110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPLPRSLIHTNADASGESNRMMKAHDVLPLVGSAAARKRLSDITNTVSSGRAGMMGADVDQGNSNVISCPSSKDCIVQLMKENTALLKLLAEKNKIIELSSTESQKLQFELQKTSQQNWQLARANSHMLAELNLGKDRLKVLQHELGCTLSILKLKTSELEEKEKLIKELLNKIGSEVGSTRCEEVEANATQLANDKKICNPNKKRKLKQQPLGPIALVHQVASEGKVDGRRRRSLRTKSSGLKSESCEFVNDLLKRDDGRSPMTHRVGLEEKVVGRRSSFRTRSCSLKSESHESMELHKIVDAILPVTCQVPSEEKIDGRSRKSLRRRSGYLKSESCEPTENSVKMKDAKFLVYTIKNENLHEEAIAHGDCSTSSISNISVERVKNEKHNSIRSSELGNRECQRRSSVGRPLRKATEKVGSYKEMNLNVKM >Ma04_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8703683:8706435:1 gene:Ma04_g12110 transcript:Ma04_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPLPRSLIHTNADASGESNRMMKAHDVLPLVGSAAARKRLSDITNTVSSGRAGMMGADVDQGNSNVISCPSSKDCIVQLMKENTALLKLLAEKNKIIELSSTESQKLQFELQKTSQQNWQLARANSHMLAELNLGKDRLKVLQHELGCTLSILKLKTSELEEKEKLIKELLNKIGSEVGSTRCEEVEANATQLANDKKICNPNKKRKLKQQPLGPIALVHQVASEGKVDGRRRRSLRTKSSGLKSESCEFVNDLLKRDDGRSPMTHRVGLEEKVVGRRSSFRTRSCSLKSESHESMELHKIDDAILPVNFQVPSEEKIDGRRKSLRRRSGYLKSESCEPTENSVKMEDAKFLVYTIKNENLHEEAIAHGDCSTSSISNISVERVKNEKQNSIGSSELGNQEGQRRSSVGRPLRKAAEKVGSYKEMNLNVKMRRSE >Ma04_p12110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8703683:8706389:1 gene:Ma04_g12110 transcript:Ma04_t12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPLPRSLIHTNADASGESNRMMKAHDVLPLVGSAAARKRLSDITNTVSSGRAGMMGADVDQGNSNVISCPSSKDCIVQLMKKTSQQNWQLARANSHMLAELNLGKDRLKVLQHELGCTLSILKLKTSELEEKEKLIKELLNKIGSEVGSTRCEEVEANATQLANDKKICNPNKKRKLKQQPLGPIALVHQVASEGKVDGRRRRSLRTKSSGLKSESCEFVNDLLKRDDGRSPMTHRVGLEEKVVGRRSSFRTRSCSLKSESHESMELHKIDDAILPVNFQVPSEEKIDGRRKSLRRRSGYLKSESCEPTENSVKMEDAKFLVYTIKNENLHEEAIAHGDCSTSSISNISVERVKNEKQNSIGSSELGNQEGQRRSSVGRPLRKAAEKVGSYKEMNLNVKMRRSE >Ma04_p12110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8703683:9145054:1 gene:Ma04_g12110 transcript:Ma04_t12110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGPLPRSLIHTNADASGESNRMMKAHDVLPLVGSAAARKRLSDITNTVSSGRAGMMGADVDQGNSNVISCPSSKDCIVQLMKENTALLKLLAEKNKIIELSSTESQKLQFELQKTSQQNWQLARANSHMLAELNLGKDRLKVLQHELGCTLSILKLKTSELEEKEKLIKELLNKIGSEVGSTRCEEVEANATQLANDKKICNPNKKRKLKQQPLGPTTLVHQVASEGKVDGRRRRSLRTKSSGLKSESCEFVNDLLKRDDGGSPVTHQVGLEEKVVGRRSSFRTRSCSLKSESHESMELHKIVDAILPVTCQVPSEEKIDGRSRKSLRRRSGYLKSESCEPTENSVKMKDAKFLVYTIKNENLHEEAIAHGDCSTSSISNISVERVKNEKHNSIRSSELGNRECQRRSSVGRPLRKATEKVGSYKEMNLNVKM >Ma03_p33680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34945913:34963566:-1 gene:Ma03_g33680 transcript:Ma03_t33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAKRSSSSYTGWTIPEIWEEAADSITNDSSSCLPPITLVCGPGNSGKSTFSRLLLNTLLHRFFFFGHVTAQRDPKVYLNFILNLYDYFHNQHYKSGELDEPGNLILPLVINTSGWVKGIGYDLLVDMLRYMSPTHVVQMRVSVESKNLPTGFFWLEENEKTSVNLIEIPTVYEPSTRLVLIKNDACIIRDLRLVAYFRQCLPRELDISTYKELADCLASITPYEVPLSRIKVKHLHQQVPSSEVNHSLNATIVGLAVRSCVPPSSEHCTPWCVGLGIIRAIDISKDRLYVITPVSPCELENVDILLRGCLETPACLLQYIQWTSSKKLSGW >Ma03_p33680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34945913:34963566:-1 gene:Ma03_g33680 transcript:Ma03_t33680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAKRSSSSYTGWTIPEIWEEAADSITNDSSSCLPPITLVCGPGNSGKSTFSRLLLNTLLHRYKRVGYLDTDVGQPEFTPPGCLSLHVIDKQPPDLTILSLKRPERFFFFGHVTAQRDPKVYLNFILNLYDYFHNQHYKSGELDEPGNLILPLVINTSGWVKGIGYDLLVDMLRYMSPTHVVQMRVSVESKNLPTGFFWLEENEKTSVNLIEIPTVYEPSTRLVLIKNDACIIRDLRLVAYFRQCLPRELDISTYKELADCLASITPYEVPLSRIKVKHLHQQVPSSEVNHSLNATIVGLAVRSCVPPSSEHCTPWCVGLGEYHW >Ma03_p33680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34945913:34963566:-1 gene:Ma03_g33680 transcript:Ma03_t33680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAKRSSSSYTGWTIPEIWEEAADSITNDSSSCLPPITLVCGPGNSGKSTFSRLLLNTLLHRYKRVGYLDTDVGQPEFTPPGCLSLHVIDKQPPDLTILSLKRPERFFFFGHVTAQRDPKVYLNFILNLYDYFHNQHYKSGELDEPGNLILPLVINTSGWVKGIGYDLLVDMLRYMSPTHVVQMRVSVESKNLPTGFFWLEENEKTSVNLIEIPTVYEPSTRLVLIKNDACIIRDLRLVAYFRQCLPRELDISTYKELADCLASITPYEVPLSRIKVKHLHQQVPSSEVNHSLNATIVGLAVRSCVPPSSEHCTPWCVGLGIIRAIDISKDRLYVITPVSPCELENVDILLRGCLETPACLLQYIQWTSSKKLSGW >Ma03_p33680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34945913:34963566:-1 gene:Ma03_g33680 transcript:Ma03_t33680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAKRSSSSYTGWTIPEIWEEAADSITNDSSSCLPPITLVCGPGNSGKSTFSRLLLNTLLHRYKRVGYLDTDVGQPEFTPPGCLSLHVIDKQPPDLTILSLKRPERFFFFGHVTAQRDPKVYLNFILNLYDYFHNQHYKSGIGYDLLVDMLRYMSPTHVVQMRVSVESKNLPTGFFWLEENEKTSVNLIEIPTVYEPSTRLVLIKNDACIIRDLRLVAYFRQCLPRELDISTYKELADCLASITPYEVPLSRIKVKHLHQQVPSSEVNHSLNATIVGLAVRSCVPPSSEHCTPWCVGLGIIRAIDISKDRLYVITPVSPCELENVDILLRGCLETPACLLQYIQWTSSKKLSGW >Ma02_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20395696:20403437:-1 gene:Ma02_g11050 transcript:Ma02_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVQPVASASTDFPPRKLVRQLDFTAAACCGAPASAGVPVALDPPQQLQQQRQQQQQQQQRPPWPTPDPLSPSVPSNSVAAKPASPKSRPRPLHDAKDGTPTRKKNCNCKHSKCLKLYCECFASGVYCDGCNCANCCNNIENEAARREAVEATLERNPNAFRPKIGSSPHTLRDSRDEAGELPLVGKHNKGCHCKKSGCLKKYCECFQANILCSDNCKCMDCKNFEGSEERKALFRGDHGSILYMQQATNAALNGAIGPSGFMSPSAPRKRKNQVLLAGASVKDQPTQRLAQIPQANASASGSSFASIPVACAINTAPAASTKVTYRSLLADIVQPEHVRDLCKLLVVVSGEVAKTFSDRRVQERLEEKEGQDESSLRPPNHDRDQSQKDPDMQNALVDEYSSGIPIDKTNTEESGSDSGDGQKGERSMSPGTLALMCDEQDTIFMTSRATGTAPRSSNDQSMSEVYAEQERCVLMEFRDYLHKLVTYGRMKEEKYSSMSAKYETPYHMESPQNAAARTTMPTAMEMPQMLKPIPAYPNKHTPIRQAAIGNGDIKPKIEKSDT >Ma04_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7319139:7319599:-1 gene:Ma04_g10240 transcript:Ma04_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSRYPFETYSALQLFHIEFFPCSIPLASLDSIQELHNAKKF >Ma02_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27850957:27852253:1 gene:Ma02_g22540 transcript:Ma02_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDEKLISYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEDELIIELHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLGRGLDPQTHRPITALKQEERSMAQDSSGDSSSRDGDGGLDLNLDLSISLPRHSSPEQSSPPPAATASTSTMRALCLCCHLGFQSSETCSCRHIPRPLGFGFIWPLEEGQRIA >Ma10_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13724256:13725078:-1 gene:Ma10_g03440 transcript:Ma10_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQAWVAEHKLTSIGAVWASALGTSLAVAHKWSPTVKTSLRLIHARMHAQALTLAVLSGAALLHYYDAKDKAATDDVESATPPPWLN >Ma04_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25352554:25358101:-1 gene:Ma04_g23160 transcript:Ma04_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMSDIPEFSRALALILLKFLALLAFVFVHNSEGVNIEGQYLLELKNMMKDAVHHLYDWNANDLTPCGWKGVNCTSDYNPVVLGLNLNSMNLSGTISPSIGGLVHLTHLDLSFNEFSGTIPREIRNCSRLEILYLNNNKFEGEIPHELGALSSLSKCNLCNNKLSGSLPESIGELSSLVELLAYTNNLTGPLPRSVGRLKNLTTFRVGQNLISGSIPEAISDCSNLKLLGLAQNQLGGEIPKSLGKLNKLTELILWDNQLSGIIPKQLGNCSSLVTLALYQNYLVGDVPVEIGNLNNLEKLYLYRNSLNGTIPKTIGNLTAAIEIDFSENLLTGEVPPEFSNMKGLQLLYLFQNKLMGNIPPELSGLKRLKKLDLSINSLTGIIPLGLQYLPDLIQLQLFSNNLSGIIPQRFGVYSPLWVVDFSENNLTGQVPSNLCRHSNLMLLNFWSNKLTGNIPDGVTNCKSLVQLHLGKNSLTGSFPSSLCKLVNLTTIELDDNRFSGPIPADIGECISLQRLNLHNNFFTHELPGEIGNLSQLVVFNISSNKIRGSIPPEVFNCKMLQRLDLSQNQFVGTLPNEIGNLLQLERLILSDNMLSGKIPTITGKLSRLTELQMGGNDFFGAIPKELGALSSLQIAMNLSYNNLSGDIPPELGHLALLESLFLNNNHLTGEIPSTFANLSSLLGLNVSYNNLTGPIPSIPLFQNMALSSFIGNKGLCGKPLGNCGSSPSSASLPARTNTSLGRIIAIIAAVVGGISLVLIALIVYIMRKPLETVASFHDKQICTTTSGTYISLRENFTFQDIVAATNNFDESFIIGSGACGIVYRAVVQSGQTVAVKKLASNREGNNMENSFRAEILTLGKIRHKNIVKLYGFFYHQGSNLLLYEYMPRGSLGELLHGQSPPLDWSTRYMIALGAAEGLSYLHHDCKLRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDVYSYGVVLLELLTGRTPVQPLDQGGDLVTWVRTYIRDNSLNAGILDSKLNLEDRIVVDHMIMVLKIALRCTNMSPLDRPTMREVVLMLIESKERAGSFSSSPVPNLSS >Ma04_p23160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25352554:25358919:-1 gene:Ma04_g23160 transcript:Ma04_t23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMSDIPEFSRALALILLKFLALLAFVFVHNSEGVNIEGQYLLELKNMMKDAVHHLYDWNANDLTPCGWKGVNCTSDYNPVVLGLNLNSMNLSGTISPSIGGLVHLTHLDLSFNEFSGTIPREIRNCSRLEILYLNNNKFEGEIPHELGALSSLSKCNLCNNKLSGSLPESIGELSSLVELLAYTNNLTGPLPRSVGRLKNLTTFRVGQNLISGSIPEAISDCSNLKLLGLAQNQLGGEIPKSLGKLNKLTELILWDNQLSGIIPKQLGNCSSLVTLALYQNYLVGDVPVEIGNLNNLEKLYLYRNSLNGTIPKTIGNLTAAIEIDFSENLLTGEVPPEFSNMKGLQLLYLFQNKLMGNIPPELSGLKRLKKLDLSINSLTGIIPLGLQYLPDLIQLQLFSNNLSGIIPQRFGVYSPLWVVDFSENNLTGQVPSNLCRHSNLMLLNFWSNKLTGNIPDGVTNCKSLVQLHLGKNSLTGSFPSSLCKLVNLTTIELDDNRFSGPIPADIGECISLQRLNLHNNFFTHELPGEIGNLSQLVVFNISSNKIRGSIPPEVFNCKMLQRLDLSQNQFVGTLPNEIGNLLQLERLILSDNMLSGKIPTITGKLSRLTELQMGGNDFFGAIPKELGALSSLQIAMNLSYNNLSGDIPPELGHLALLESLFLNNNHLTGEIPSTFANLSSLLGLNVSYNNLTGPIPSIPLFQNMALSSFIGNKGLCGKPLGNCGSSPSSASLPARTNTSLGRIIAIIAAVVGGISLVLIALIVYIMRKPLETVASFHDKQICTTTSGTYISLRENFTFQDIVAATNNFDESFIIGSGACGIVYRAVVQSGQTVAVKKLASNREGNNMENSFRAEILTLGKIRHKNIVKLYGFFYHQGSNLLLYEYMPRGSLGELLHGQSPPLDWSTRYMIALGAAEGLSYLHHDCKLRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDVYSYGVVLLELLTGRTPVQPLDQGGDLVTWVRTYIRDNSLNAGILDSKLNLEDRIVVDHMIMVLKIALRCTNMSPLDRPTMREVVLMLIESKERAGSFSSSPVPNLSS >Ma02_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25796524:25797563:-1 gene:Ma02_g19560 transcript:Ma02_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRVHPSHKTLGVLFTSLFSRFLLSPLLAFLPFPVCTRPRVQLRSRNLMEKQDLDFVLVPLGLLSLVAYHLWLLYRISRHPTTTVIGINAINRRIWVQTMMEDPAKNGVLAVQTLRNNIMASTLLASTAIMLSSLIVVLMTNGGSSSRLRGRGFVVGDTSDLGLSIKFFSILVCFLLAFLLNVQSIRYYSHAGILVNVPVKSHRAAPALAAEFVARALNRGSYFWSLGLRAFYFSFPLFLWVFGPIPMASCCFVLVVMLYFLDVYSGWGEERAEEEMEGWVGRVTVHGDC >Ma11_p05830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4559786:4566533:-1 gene:Ma11_g05830 transcript:Ma11_t05830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MADGDGLLEIQGRKRATQTASVSLPAPVPSPSMVPGASSLDIFSFTHTPNYCEENVYLLCKKLCEVGAADPMGADLFVVFISNEEKMVPLWHQKASIRNDGLVLWDYHVICIQVNASRRGKVFDLVWDLDSSLPFPSPINVYFSEAIQPMYSPNLVNSRLFRVVHAPLFLRCFASDRSHMKDDLGNWLALPPKYEPITSEDGTKNNLDKYIHMLAADVSANIQDLVHGVFSNEYGVLVGETMMEWFFSQIHQ >Ma11_p05830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4559786:4566533:-1 gene:Ma11_g05830 transcript:Ma11_t05830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MADGDGLLEIQGRKRATQTASVSLPAPVPSPSMVPGASSLDIFSFTHTPNYCEENVYLLCKKLCEVGAADPMGADLFVVFISNEEKMKASIRNDGLVLWDYHVICIQSRRGKVFDLVWDLDSSLPFPSPINVYFSEAIQPMYSPNLVNSRLFRVVHAPLFLRCFASDRSHMKDDLGNWLALPPKYEPITSEDGTKNNLDKYIHMLAADVSANIQDLVHGVFSNEYGVLVGETMMEWFFSQIHQ >Ma11_p05830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4559786:4566533:-1 gene:Ma11_g05830 transcript:Ma11_t05830.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MADGDGLLEIQGRKRATQTASVSLPAPVPSPSMVPGASSLDIFSFTHTPNYCEENVYLLCKKLCEVGAADPMGADLFVVFISNEEKMVPLWHQKASIRNDGLVLWDYHVICIQSRRGKVFDLVWDLDSSLPFPSPINVYFSEAIQPMYSPNLVNSRLFRVVHAPLFLRCFASDRSHMKDDLGNWLALPPKYEPITSEDGTKNNLDKYIHMLAADVSANIQDLVHGVFSNEYGVLVGETMMEWFFSQIHQ >Ma11_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4559786:4566533:-1 gene:Ma11_g05830 transcript:Ma11_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MADGDGLLEIQGRKRATQTASVSLPAPVPSPSMVPGASSLDIFSFTHTPNYCEENVYLLCKKLCEVGAADPMGADLFVVFISNEEKMKASIRNDGLVLWDYHVICIQVNASRRGKVFDLVWDLDSSLPFPSPINVYFSEAIQPMYSPNLVNSRLFRVVHAPLFLRCFASDRSHMKDDLGNWLALPPKYEPITSEDGTKNNLDKYIHMLAADVSANIQDLVHGVFSNEYGVLVGETMMEWFFSQIHQ >Ma04_p39860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36860814:36862405:-1 gene:Ma04_g39860 transcript:Ma04_t39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRFLISGHGSTRATRRINYRKYGITADRIDSGRSNMTSTTTALAAVRIADRPSSQEHQAALQCPRCDSTNTKFCYFNNYSMSQPRHFCRACKRYWTRGGTLRNVPVGGGCRKNKRVKKPTTMTAKVSPHCPPLPRPIVLPNTLESLSPPRCTSKHIDTSIFRSSPTLPNTIHIPACTAAFDPQPQVSALGAGFPPNRGDDDEYHLRQLFEQLPLTNEYPLFGSSLSSASAASLLVSSLEQPGRNEGSQALLAQEDLREFGNFGTIKEVNNQQGETNGRINNSHIGWQFSTGNSLGAFGSAATKVSPTDTSFLYSREATGWADAVSGWSSAAHLI >Ma10_p26260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34038799:34040294:1 gene:Ma10_g26260 transcript:Ma10_t26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFSSHIFEGNKQNKLNIRKLCVLSSTVPAIRKPHPALCHPGGSRLHQILIPPQPHPLRVLSHQGRLPGARHGPPRQPRQGLHRSLLAPCLRGGHTGMRRALHQEQAHPLHHAPRPRDTRHRPRGAVHPHRLACLSQVRPRFRGVQDNRDGSRLFLIP >Ma11_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25794793:25797137:1 gene:Ma11_g21790 transcript:Ma11_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSFSSSVSSGNRRSASRRHPLQDVPSRPFMPSMHTSSRNPSSRQTGDRFIPDRSAMDMDVAYYLLTETRKEKENAAAASPSKEAYRKLLAENILKNRTRILAFRNKPPAPAQPFFHEADVVSSHHVKPAKQRRYIPQSAERTLDAPDIVDDYYLNLLDWGSSNVLSIALGNTVYLWDAADGSTSELVTVDDDAGPVTSVSWAPDGRHIAVGLNSSDIQLWDSTSNRLMRTLRGVHGSRVGSLAWNNNILTTGGMDGMIVNNDVRVRSHVVQTYRGHQQEVCGLKWSGSGQQLASGGNDNLLHIWDISMASSNPSPGQNQWLHRFDDHMAAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEESKPAAKSTTRTPFSSYNHIR >Ma07_p26060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33019448:33020684:1 gene:Ma07_g26060 transcript:Ma07_t26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPRASLGLVTILVLALAILMPAVQAQAPAPAPTSDGTSIDQGIAYLLMLVALVLTYLIHPLDASSLYKLF >Ma09_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7758020:7758463:1 gene:Ma09_g11470 transcript:Ma09_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPRVLDRLLVRRSYVIASQQPSPARSKQAVDLHGLCSAAQVATIRQLTGQIATEIGLQGSGGRSSCQWPVALDFRKQSRTPRKELSMEKGLVPLVLWIRRTKVTVNALFIFACFSCAIVLCHSEQIFVACINFGLSQFKIRGSI >Ma09_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34232604:34234471:1 gene:Ma09_g22260 transcript:Ma09_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSFSCRFPATRRLRHLRSLPFLLLLFALLTGSALGRGRHVIAIPAAVPFPRGLAWDPSAQHFLVGSRLSHAAVYSVSDAGVAEALVSDLLLPSPSSVAALTVDDRRRRLLIALARPAALAAYDLRSPRPHRRIFLSSFPDPSAVPGGVAVDPKTGSAFITAGSVVLKADLDGTASVLSRSAIYGADPSSEGLGAVAHVSHGFLLVVERGGTGRMFKVDEEDGAAKEVLRKGVGAAAEGIAVRSDGGAVLARGGAGTRWLRSRDGWGDAAVQDEAAVEEGWQATAVAVREGRRAYVLVTPGEVEGGEEKKVRRIEEVEWGKEGEGEMVWGFVLIGLGLAYFCYWRFQMGQLVTNLNKKRA >Ma05_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36286775:36299331:1 gene:Ma05_g24070 transcript:Ma05_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVNAIVVARMLTTATVAASAAAGGSGAPLLQQQGDIPFGSLLWYAYVGISCVLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPAEKKQAATILPVVQKQHQLLVTLLLCNAASMEALPIYLDKLFNPFVAIVLSVTFVLAFGEVIPQAICTRYGLAVGASLVWLVRILMIICYPIAYPVGKILDCALGHNESALFRRAQLKALVSIHGKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRPETETPVSAISIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKVKTKNILPSDGDKSEENKEPSGESKLTTPLLSKTEEKSDSVVVDVDKCQNKQVNESKPTALQQNNMTAKVVARLSEDTEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSYRRLTKQKSAGALNRQGQQPTGILKKPTEADLHTSRHQVNLVEPISGTKR >Ma10_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27169596:27171156:1 gene:Ma10_g14870 transcript:Ma10_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVTKLAAELVTPGEQTPCATLPLSSLDHAMGLRFLVEMIAAYGRHGPEEEPPAKAIKGALSKALVPYYPVAGRLVVTGEGELQVASTGDGVWFVEATSRYSLSDLKDLEFPLAIPKEELLPCPPPSINQRQMILMLQVTQLRCGGFIVGVKFNHMVLDGVGAGQLLGAIAEIARGAACPAVDPIWLREAIPSPRRLPRDPPTTTFSFVRSQWEFPLESINKFKEEFMRETSHRCTTFDVAAAVLWRSRARAINLDLRADVHLVFPANVRPFLRQLLPPEGGYYGNCVYCLTVTATSEEITRAPLMEIVRLIREAKASLPVKLAEWAVGDFKDDPFRVPIGYDALNLSDWRSVGFYEVDYGWGPPHCVAALNDHAVFAGGIILRRPAPNQGGVRFVGQVVSEEHEGVFLEEMEKFT >Ma07_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27376391:27377767:-1 gene:Ma07_g19350 transcript:Ma07_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQQHGPGNWKAVPANTGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNFWNTHLKKKLKKMQNFEDDTNKFGLSIHQPISKGQWERRLQTDIHTAKQALREALYFEKPSYHGDLRPSVGYCSSTNAGPQVPSATYASSTENISRMLEGWMKNSPKKRTSPSNSDSSQRSTNHPAATESASSEESAAVANKILSPAGLNSFLNFEPSASEVSDASLFQGESKPDVETPMPLALLETWLFDESIGQGGAALADMPFDDTAGLF >Ma06_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6069693:6071660:1 gene:Ma06_g08590 transcript:Ma06_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMRRKKLESGAIIGHKRTLVHEPDQRATPTVYFSMESFLLLLFLTASLLILPLVLPPLPPPPSMLLLLPIGLLLVLLILAFMPSDARNITSSYL >Ma06_p08590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6069455:6071660:1 gene:Ma06_g08590 transcript:Ma06_t08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMRRKKLESGAIIGHKRTLVHEPDQRATPTVYFSMESFLLLLFLTASLLILPLVLPPLPPPPSMLLLLPIGLLLVLLILAFMPSDARNITSSYL >Ma06_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2263891:2265769:1 gene:Ma06_g03040 transcript:Ma06_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVSSRMAGSTLLRHLGPRLFSGASAVRGAAAAGGPACSSLLSRATASEPVPLHQRLFPVRMAGTTATPAVGGDRGQDEAKPSAAAKDATASYWGVQPSKITNVDGTPWRWSCFMPWETYKADVSIDLKKHHVPATLLDKIAYWMVKALRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAQPRWYERAIVLAVQGVFFNAYFLGYLVSPKFAHRVTGYLEEEAIHSYTEYLRDLEAGKIDNVPAPSIAIDYWRLPADATLKDVVVVVRADEAHHRDVNHFASDIHYQGLELKDSPAPLGYH >Ma03_p30280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32977167:32985973:-1 gene:Ma03_g30280 transcript:Ma03_t30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLE [Source:Projected from Arabidopsis thaliana (AT5G52820) UniProtKB/TrEMBL;Acc:A0A178UNZ3] MEVMEEGSEISSSNTVMCLLTDPEGEPLGAPLYLPQNAGPPQLQEIVNKLLKNEEKMPYAFYISDQELIVQLGSYLQKNKVSVEKVLRIVYQPQALFRIRPVNRCSATIAGHTEAVLSVSFSPDGQNLASGSGDTTVRLWDLNTQTPLFTCSGHKNWVLCIAWSSDGKHLVSGSKAGELLTWDPQTGKQSGGPLLGHKKWITGISWEPVHLQAPCRRFVSSSKDGDARIWDISLRKCVICLSGHTLAVTCVKWGGDGMIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYILRTGAFDHTRKTYSSPEEMKEAALARYNQMKGNAPERLVSGSDDFTMFLWEPALSKHPKARMTGHQQLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVASFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTQKLKQDLPGHADEVFSVDWSPDGEKVASGGKDRVLKLWMN >Ma07_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27520558:27521578:-1 gene:Ma07_g19550 transcript:Ma07_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPWGNRLPRGGATTTATSSLPPPQLPPPTSSIIRSSSSLKDLLSLLDEYDAHDASASPSRGPRPPPPPPPPPVIHHVRSASSALRLWCSPPEDPFGLPPGEKKRVVLYFTSLRAVRHTFEDCAAVRAILCGLRVAVDERDVSMDAGFLRELKGVLGVRRWHLGLPQLFIGGRHVGGADDVRRLHEAGELRRYVEGAAQATGRACEWCGDVRFVLCRSCSGSRRCYHDKGGAGGGFRTCTACNENGLVRCRRCCDPDAV >Ma08_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5178702:5184095:-1 gene:Ma08_g07560 transcript:Ma08_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSIDGSLMNNGNHAPSDRPMKVIIDTDPGIDDSMAILMAFQKPEIEVLGLTTIFGNVFTEDATSNALLLCEIAGHPEVPVAEGSHEPLKGGKPRVADFAHGSDGFGNIFLPPPVGKKIEKSASEFLVDKVSQYPGDVSILALGPLTNLALAIKRDSSFAKKVKKVVILGGSFFAAGNVSPAAEANIYGDPEAADIVFTSGADIVVVGINITTQVKFTDADLCDLRNSQGRHSQILSDMCKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFTFKKGVVRVETQGICVGHTLMDQGLKRWNTSNPWTGYSPVSVAWTVDVPGVLSFVKQLLAKP >Ma03_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27472065:27473320:1 gene:Ma03_g22740 transcript:Ma03_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKIRSCLFLLLISALALEASVSETPSAYEMLEKFDFPKGILPEGVKSYILNGDGGFEVYLSGNCEFKVEGGYILKYDRKITGKVKSGSLTDLKGVSVKVLFAWFGINEVVRNDYDISFYVGPLSASFPVSNFDECPRCSCGFDCATALPMVS >Ma08_p33500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43782848:43785647:1 gene:Ma08_g33500 transcript:Ma08_t33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MATMVALQSSMASLSISSNSFLGQRLSPPLLTTPVKSVDQPCTIVMRLKRWERKECKPNSLPILHKMHVKVGDTVKLITGHENGKIGEITRIFRHNSTVIVKGLNLKTKHMKSREQGEPGQIVKIEAPIHSSNVMLYSKEKEVASRVGHKILEDGTRVRYLIKTGEIIDSTENWKKVVKERKEKKEEASS >Ma11_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22140196:22147610:1 gene:Ma11_g16690 transcript:Ma11_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSVDEEMNKGSMWELDQNLDQPMDEEAGRLRNMYREKKFSAILVMRLAFQSLGVVFGDLGTSPLYVFYNTFPRGVEDAEDVIGALSLIIYSLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKVNTIPNQHRTDEQLTTYSRHTYAENSLAAKVKRWLESHAYKKNALLILVLVGTCMAIGDGILTPVISVLSASGGIKFDHPKMSNDIAVLFAVVILVGLFSMQHYGVDKVGWLFAPIVLLWFLLIGAIGAVNIWKHDSSVLKAFNPVYIYRYFRRGKRESWMSLGGILLSITGTEALFADLCHFPVLAVQIAFTLIVFPCLLLAYTGQAAYLVHNTEHVSDAFYRSIPDGIYWPMFIIATAAAIVASQATISATFSIIKQALALGCFPRVKVVHTSRKFLGQIYIPDINWVLMILCIAVTVGFKNQIQIGNAYGTAVVIVMVVTTFLMIPIMLLVWRSHWILVSIFTALSLLVELPYLSAVLFKIDQGGWVPLVIAAAFLIIMYIWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDDFEKMLFDSLSLFVQLETMMEGYSDSDEYSLLTQQQMMENGDDNTLSSNVDYSCSSYDIQPAQSQGSNIVRSSSQTTQTISDELEYLNRCKEAGVVHILGNTMVRARRESIIVKRIAVDYIYAFLRRICRENSVIFNVPHESLLNVGQIYYI >Ma08_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3023141:3029938:1 gene:Ma08_g04350 transcript:Ma08_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLELIPIGTILALLTDQVLQTATAAKDVLIEKESFKALSKYLYDIEPVLKQLQLHELNDTQAVRQALEFLKEDVKKAKDIVDKYKNRARFYLLVRCRNIVREIQDVTRDIGRSLGALSLASTEVLSDLSERMNRIHGEMQKAEFEASQAQIRIVEKLDQGLRERKSDQTFANHMLEEIAKAVGVPIEPSEISEELASLKREKEEAAARKERAEEIFLEQVIELLSHADAAVDQEEIKHHYQRRVQTIENYAPQDESIKPLNSFMCPITRTVMVDPVSLCTGTTCERAAIETWFEFGHAIDPETHQILEEFSLRSNIGLRQSIEEWRELNYCLKIRSAKGKLQSGDDSACANALDQLQDVIKENPICKDWIALEGLIDIILLTVPSSHDRDLKKKALATLTVIVEGHAKNKEKVVEAGGLDHIVICLGRGPDISRAAIELLFELLHDGSRWYKSTCKKLKQLKSSILFLVMLLNNEVRESAEKSEVILWKLCEDDDDTILSAAASSWYKPLIDRLSHGPESSRIYMARSIVKMELIDQNIRLLGDEGAIHPLVELASGNLEAKDSAFSALAKLLSSRDNKRLIAVAGGVPLVIEQISSLRVPSIIVGECCEILENLTSDDGIEFLVDANGMHLALEAIITNLIAMLQNSHYSPNILKPVLLTLLNIYKSDQVISQKAIAAANGVSVIFSLLEDRDRKMQELALKLIYHLSQHEPDGITSFLLDKRLETVVDFLEDGTQCDVQTAATGLLACLQSSEAALNERLIQLNVLPLLMSILNTSNTEAKENVLRALIRFVDPSDVEMQRRVVKLGAYPLFVSILKSGSLTAKARAAALISKLSSSSFTLTVAPVTTGCWCFRAVSFPACEVHGGICDVTSSFCLLKAQALPELIRLLKEHEDATTYESLHAMGTLIQDGSSCRVAKVLHEAGGINPIVDILSWGTPTLKEEALVILEKLFQYREVADFYRAVAKIPLIGLSTKSNENGHLGRRAAQVLLELDRYSKSSSMPLT >Ma08_p04350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3023137:3029938:1 gene:Ma08_g04350 transcript:Ma08_t04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLELIPIGTILALLTDQVLQTATAAKDVLIEKESFKALSKYLYDIEPVLKQLQLHELNDTQAVRQALEFLKEDVKKAKDIVDKYKNRARFYLLVRCRNIVREIQDVTRDIGRSLGALSLASTEVLSDLSERMNRIHGEMQKAEFEASQAQIRIVEKLDQGLRERKSDQTFANHMLEEIAKAVGVPIEPSEISEELASLKREKEEAAARKERAEEIFLEQVIELLSHADAAVDQEEIKHHYQRRVQTIENYAPQDESIKPLNSFMCPITRTVMVDPVSLCTGTTCERAAIETWFEFGHAIDPETHQILEEFSLRSNIGLRQSIEEWRELNYCLKIRSAKGKLQSGDDSACANALDQLQDVIKENPICKDWIALEGLIDIILLTVPSSHDRDLKKKALATLTVIVEGHAKNKEKVVEAGGLDHIVICLGRGPDISRAAIELLFELLHDGSRWYKSTCKKLKQLKSSILFLVMLLNNEVRESAEKSEVILWKLCEDDDDTILSAAASSWYKPLIDRLSHGPESSRIYMARSIVKMELIDQNIRLLGDEGAIHPLVELASGNLEAKDSAFSALAKLLSSRDNKRLIAVAGGVPLVIEQISSLRVPSIIVGECCEILENLTSDDGIEFLVDANGMHLALEAIITNLIAMLQNSHYSPNILKPVLLTLLNIYKSDQVISQKAIAAANGVSVIFSLLEDRDRKMQELALKLIYHLSQHEPDGITSFLLDKRLETVVDFLEDGTQCDVQTAATGLLACLQSSEAALNERLIQLNVLPLLMSILNTSNTEAKENVLRALIRFVDPSDVEMQRRVVKLGAYPLFVSILKSGSLTAKARAAALISKLSSSSFTLTVAPVTTGCWCFRAVSFPACEVHGGICDVTSSFCLLKAQALPELIRLLKEHEDATTYESLHAMGTLIQDGSSCRVAKVLHEAGGINPIVDILSWGTPTLKEEALVILEKLFQYREVADFYRAVAKIPLIGLSTKSNENGHLGRRAAQVLLELDRYSKSSSMPLT >Ma07_p29010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34855505:34858006:1 gene:Ma07_g29010 transcript:Ma07_t29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFSVSASAGSQFEAKITPVVIISCLMAATGGLMFGYDVGISGGVTSMDDFLIKFFPKVYRRKTESKDSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRNLGRKHTMLIAGVFFLVGVILNGAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTSKIHPWGWRLSLSLAGVPALLLTVGALFVVDTPNSLIERGRLEEGKAVLKKIRGTDNVEPEFNEILEASRVAHQVKHPFRNLLKRRNRPQLVIAILFQIFQQFTGINAIMFYAPVLFNTLGFKSDASLYSAVITGAVNVLSTVVSIYSVDRVGRRILLLEAGVQMFISQVVIAIVLGIKVTDRSENLSHGYAIFVVVMVCTFVSSFAWSWGPLGWLIPSETFPMETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYGIFAFFSGWVVVMSVFVLFFLPETKNVPIEEMTEKVWKQHWFWKRFMDDDDRVVDVDDKKYTQP >Ma07_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31749474:31751945:1 gene:Ma07_g24360 transcript:Ma07_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSFQKDPDSPMRCRLGLVSRAKRIFVSSPAKDRTLNGGSPVDRFGFQSSGFESSKEDIFFDSRTWLDSDCEDDFVSVNGGMTSAITEHFMMNNFLHAEFTPSRGSTPNHQINSPVTPQFDNHFPSEKYPDSNSEPSPTGRKKLAELLHETLQSEQVNVPNAAEARVDSNVKPDINKTNTDQPQNSENATLYHSGACFICSSEVTPNRDPKSGKEKTWKTGHCCLPSLQSFGFDERRHEMSPGRCTV >Ma03_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22337594:22345531:1 gene:Ma03_g17060 transcript:Ma03_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRAEDDYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSITVDTKVLKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRPTFENVSRWLKELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKAYAERESLYFMETSALEATNVDKAFQEVLTQIYRIVSKKAVEAGDDATSSVPSKGERINVKDDASTLKKPSCCSS >Ma02_p14280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22390415:22393797:1 gene:Ma02_g14280 transcript:Ma02_t14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVSFWPALMLSSILGWVVASSLFDLTRRVRALTQPWVTRRVLADTPSILRVQRFHHGFLDNMFSVLSCGVSVPFYTGFLPILFWSGHSKLARQMTLLMAFCDYIGNSIKDVVSAPRPSCPPVRRVTATEDEKENAMEYGLPSSHCLNTVCLLGYMLHYVLAHYPQRDGIDIAIWFGLVFLFVMLIGVGRVYLGMHSLIDVVAGISFGLVIFAFWLMVHGYVDEFIISGQNVTYFWASLSLLLSFAYPNPELPTPSFEYHTAFNGVAFGIVTGIQQTYLHYHHDNVPRIFSPQLPVAVFLGRVLVGIPTILIVKFCSKAIAKWLLPIVCNTLGIPIVSSCYVPSLKGSDSKDNSDGKQSGYLQKLVTLFPQKAYDVDTGIRFLQYAGLAWSVVDLVPSLFSHLNL >Ma02_p14280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22390415:22393797:1 gene:Ma02_g14280 transcript:Ma02_t14280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVSFWPALMLSSILGWVVASSLFDLTRRVRALTQPWVTRRVLADTPSILRVQRFHHGFLDNMFSVLSCGVSVPFYTGFLPILFWSGHSKLARQMTLLMAFCDYIGNSIKDVVSAPRPSCPPVRRVTATEDEKENAMEYGLPSSHCLNTVCLLGYMLHYVLAHYPQRDGIDIAIWFGLVFLFVMLIGVGRVYLGMHSLIDVVAGISFGLVIFAFWLMVHGYVDEFIISGQNVTYFWASLSLLLSFAYPNPELPTPSFEYHTAFNGVAFGIVTGIQQTYLHYHHDNVPRIFSPQLPVAVFLGRVLVGIPTILIVKFCSKAIAKWLLPIVCNTLGIPIVSSCYVPSLKGSDSKDNSDGKQSGYLQKLVTLFPQKAYDVDTGIRFLQYAGLAWSVVDLVPSLFSHLNL >Ma02_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22390415:22393797:1 gene:Ma02_g14280 transcript:Ma02_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVSFWPALMLSSILGWVVASSLFDLTRRVRALTQPWVTRRVLADTPSILRVQRFHHGFLDNMFSVLSCGVSVPFYTGFLPILFWSGHSKLARQMTLLMAFCDYIGNSIKDVVSAPRPSCPPVRRVTATEDEKENAMEYGLPSSHCLNTVCLLGYMLHYVLAHYPQRDGIDIAIWFGLVFLFVMLIGVGRVYLGMHSLIDVVAGISFGLVIFAFWLMVHGYVDEFIISGQNVTYFWASLSLLLSFAYPNPELPTPSFEYHTAFNGVAFGIVTGIQQTYLHYHHDNVPRIFSPQLPVAVFLGRVLVGIPTILIVKFCSKAIAKWLLPIVCNTLGIPIVSSCYVPSLKGSDSKDNSDGKQSGYLQKLVTLFPQKAYDVDTGIRFLQYAGLAWSVVDLVPSLFSHLNL >Ma10_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24590329:24591030:1 gene:Ma10_g10870 transcript:Ma10_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGTGFMLNTVAIFFGLLAAIPFGTILTVFVSWAFISLPLALLSTAFGRNWNGFQNNPCSIKTIPRTIPENWYVMPYIVSHMWDFSLFAVSSLRCILSSHHSGFTRCTMFMALCYYFS >Ma05_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35885259:35887318:1 gene:Ma05_g23720 transcript:Ma05_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPETGLGRLRKHSSFGFRSNPSKEGPTADGGGIGRSEGGGEEVKVRVTRSIMIKRPAGSPSTGNSTPPASPAGSTPPISPFAGSGDWDRFRRKSSSNAYERVGGGTVSIGSRDPTNEV >Ma07_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10892880:10937587:-1 gene:Ma07_g14500 transcript:Ma07_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNIECISVSDGMVDDDEVAHVPHPFLKPHGGGSGTVIGCAGGFPAPVISPVTRVHQLLKCPVCTNSMYPPIHQYFQYAWSCLQPKIFDAQDKG >Ma06_p29980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31325957:31328357:1 gene:Ma06_g29980 transcript:Ma06_t29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYMSIGISGGALVTIFFGYYVNQWGRIHSFQLKICTLIMLASFVSGVSIPLLNFLQHHISVSLRLFRSLKCTAFALLVLALLDVSILFMKILALFAFVPTIAVVVIVYVIAFREAPTTSDDHHQQRTT >Ma04_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5262600:5263509:1 gene:Ma04_g07230 transcript:Ma04_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHPASGERSAQSHRHQPNPSHLLSSAKVVADAASSAFRHETDKVDKTVAAGAAADLLGAASHYAKLEDGKLGKYVEQAESYLHQYHSSHSAHSSSAAAAHSSSTHSGGEAHAEGGGGLEDYMKMAQGFLKKH >Ma03_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26778654:26784175:-1 gene:Ma03_g21900 transcript:Ma03_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHLAETYACVPATERGRGILISGDPRSDTIAYCNGRSVIIQQLGAPLEVSIYGEHAYQATVVRFSPNGEWVATADVSGVIRIWGRHGDRALKNEFRVLSGRIDDLQWSPDGLRIVACGDGKGKSFVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPYRIVTCGEDFLVNFYEGPPFKFKLSHREHSNFVNCVRFSPDGSKFITVSSDKKGLIYDGKTGEKIGELPMEDGHKGSIYAGSWSPDSKQVLTVSADKTAKVWEIMEDGCGKLRRTLECPGSGGVDDMLVGCLWQNDYLVIVSLGGTITIFSASDLEKSPVSFSGHMKSITSLVCLLQGGQKVFLSSSYDGIITRWIQGTGYVGKLVRKGSSQIKCLAAVGEEIMTSGFDNKVWRVPLNDDQCGDTEPVDVGSQPRGIAVALQIPELALVSIDSGVVMLRGLKVISETKLGYAVTTSAISPDGTEAVVGAEDGKLHIYSITGDALTEEAVLEKHRGTITAICYSPDASMFASADSNREAVVWDRESREVKLKNMLYHTARINCLAWSPDNHLIATGSLDTSVIVYELDKPASNRITIKGAHLGGVYGVAFGDENSLISAGEDACVRVWKIEPQ >Ma05_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:770319:772893:-1 gene:Ma05_g01320 transcript:Ma05_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAEAPPGDPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNMAVVWEETTLYDYLLNPKKYIPGTKMVFPGLKKAQERADLISYLKQSTA >Ma01_p09750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6987758:6991705:1 gene:Ma01_g09750 transcript:Ma01_t09750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLIHRPEASIPPEDAHGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMTEQSKDASYLLENPGSSSLSPRVPTPDVNEGQEVKEALRAQMEVQRRLYEQVEVQKHVQIRMGAYQKYIDSLLARACKIASEQIALNSFGTAEHELPDMTPRVVCPPSSPLSPSILHQLSVSSINLQSPGCKTSPSSSAIEGQLFCQKPPELKNKPC >Ma01_p09750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6988072:6991705:1 gene:Ma01_g09750 transcript:Ma01_t09750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPKAIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMTEQSKDASYLLENPGSSSLSPRVPTPDVNEGQEVKEALRAQMEVQRRLYEQVEVQKHVQIRMGAYQKYIDSLLARACKIASEQIALNSFGTAEHELPDMTPRVVCPPSSPLSPSILHQLSVSSINLQSPGCKTSPSSSAIEGQLFCQKPPELKNKPC >Ma01_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6987269:6991705:1 gene:Ma01_g09750 transcript:Ma01_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMTEQSKDASYLLENPGSSSLSPRVPTPDVNEGQEVKEALRAQMEVQRRLYEQVEVQKHVQIRMGAYQKYIDSLLARACKIASEQIALNSFGTAEHELPDMTPRVVCPPSSPLSPSILHQLSVSSINLQSPGCKTSPSSSAIEGQLFCQKPPELKNKPC >Ma01_p09750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6988019:6991705:1 gene:Ma01_g09750 transcript:Ma01_t09750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPKAIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMTEQSKDASYLLENPGSSSLSPRVPTPDVNEGQEVKEALRAQMEVQRRLYEQVEVQKHVQIRMGAYQKYIDSLLARACKIASEQIALNSFGTAEHELPDMTPRVVCPPSSPLSPSILHQLSVSSINLQSPGCKTSPSSSAIEGQLFCQKPPELKNKPC >Ma03_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1822401:1824832:-1 gene:Ma03_g02690 transcript:Ma03_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGGANCYQQLAFTPASVSVEVSHAHKLQEAFECYDDDGRAKRTGTLWTASAHIVTAVIGSGVLSLAWAIAQLGWVAGPVVMLLFSFVTHYTSTLLADCYRSGDPIAGKRNYSYTDAVHAYLGGLKVKLCGFIQYANLFGVAIGYTIASSISMMAIKRSNCFHERGHENPCHASSNPYMIMFGIAEIFLSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVQVIANKGFKGSLTGISIGAVSPTQKIWRSLQALGDIAFAYSFSLILIEIQDTIKAPPPSEAKVMKKASVVSIAVTTFFYMLCGCMGYAAFGDGAPGNLLTGFGFYNPYWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAVRTWPDSTFITKEVAVPLTPTKRFRLSLFRLVWRSTFVVLTTVISMLLPFFNDVVALLGALGFWPLTVYFPVEMYIVQKRIPRWSLRWVCLQMLSLGCLVITIAAAVGSVAGIFTDLKVYHPFKTTS >Ma03_p02690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1822401:1824832:-1 gene:Ma03_g02690 transcript:Ma03_t02690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGGANCYQQLAFTPASVSVEVSHAHKLQEAFECYDDDGRAKRTGTLWTASAHIVTAVIGSGVLSLAWAIAQLGWVAGPVVMLLFSFVTHYTSTLLADCYRSGDPIAGKRNYSYTDAVHAYLGGLKVKLCGFIQYANLFGVAIGYTIASSISMMAIKRSNCFHERGHENPCHASSNPYMIMFGIAEIFLSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVQVIANKGFKGSLTGISIGAVSPTQKIWRSLQALGDIAFAYSFSLILIEIQDTIKAPPPSEAKVMKKASVVSIAVTTFFYMLCGCMGYAAFGDGAPGNLLTGFGFYNPYWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAVRTWPDSTFITKEVAVPLTPTKRFRLSLFRLVWRSTFVVLTTVISMLLPFFNDVVALLGALGFWPLTVYFPVEMYIVQKRIPRWSLRWVCLQMLSLGCLVITIAAAVGSVAGIFTDLKVYHPFKTTS >Ma11_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25698760:25700014:1 gene:Ma11_g21630 transcript:Ma11_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNTLIAGINFVAMLLSIPIVAVGIWLATQADNSCVQLLQWPVIAVGVVVLLVALAGFVGAFWRVPWLLLFYLVAMLVLILLLAGLVVFIYAVTVNGSGHLAPGRAFQEYRLEDYPGWLRQRVEGPHRWNRIKECLSSTAACAELNRTYTSAQHFFDARIGPLQSGCCKPPTECGYTFVNPTYWISPISAASDIDCMLWSNDQTVLCYSCSSCKAGLVANLGREWKRGDVVLVVTLVALIVVYAMGCYAFRDAKTQELFQRYKQGYY >Ma06_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12720026:12722773:1 gene:Ma06_g18600 transcript:Ma06_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDPWWNPAVEEQAVMRIHRIGQTKSVAIKRFIVKGTVEERMEMVQARKQRMISGALTDHELRTARIEELKMLFT >Ma01_p04190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2719391:2732709:-1 gene:Ma01_g04190 transcript:Ma01_t04190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRGYKLQEFVAHASDVNCVAIGKKSSRVFVTGGEDRKVNLWAIGKPTPLLSLSGHMGSVESVAFDSAEVLVLGGSSTGIIKLWDLEEAKIVRTLTGHRSNCTSVEFHPFGEFFASGSLDTDLKIWDIRKKGCIHTYKGHAGGIQKIRFTPDGRWVVTGGADNMVKLWDLTAGKLLHDFKFHNGQIRCIDFHPHEFLLATGSADRTVKFWDLETFELIGSVGPEATGVCSMIFHPDGKTLFCGLEATLKVFSWEPLICHDVVDMGWSTLGDLSIYEGKLLGCSYYESRVGVWVADISLIAPYALGVVPKASGLVEPTFQVENFSLELKESSGVSNIKPTTEYHDIGIKTKETEGGTCLISDDCRQSISHGTNSCNTSTVAPITLSGVTRNKSSDSCITVPQRFRSRMSSKASTPSSTTSGSVKAIQGSLNPSNKTERSLPSRNTALSSNTTKAISLVTSKKASTVQSSVGTHTRLYMPVIVPRDNLGQDSFRVVTAASEAISRGSRSNKITHKRKSSFASGDSKDQLIENASMDLTDRRSDSDVNSNLFPILAASHEAAEDDVREHTMTRNIAEKFERVLSIEQPVQSQNDNGNESPCSSSETNSVKYVKGVAVQLGKTRTLVERWERRERCGSNMAIKQSEATSERDLTTSEDDLIPETLIQNHDAFINSLKSRLTKLQMVRHFWEQNGIKGAISSVAKLPDYSVGSG >Ma01_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2716155:2732709:-1 gene:Ma01_g04190 transcript:Ma01_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRGYKLQEFVAHASDVNCVAIGKKSSRVFVTGGEDRKVNLWAIGKPTPLLSLSGHMGSVESVAFDSAEVLVLGGSSTGIIKLWDLEEAKIVRTLTGHRSNCTSVEFHPFGEFFASGSLDTDLKIWDIRKKGCIHTYKGHAGGIQKIRFTPDGRWVVTGGADNMVKLWDLTAGKLLHDFKFHNGQIRCIDFHPHEFLLATGSADRTVKFWDLETFELIGSVGPEATGVCSMIFHPDGKTLFCGLEATLKVFSWEPLICHDVVDMGWSTLGDLSIYEGKLLGCSYYESRVGVWVADISLIAPYALGVVPKASGLVEPTFQVENFSLELKESSGVSNIKPTTEYHDIGIKTKETEGGTCLISPITLSGVTRNKSSDSCITVPQRFRSRMSSKASTPSSTTSGSVKAIQGSLNPSNKTERSLPSRNTALSSNTTKAISLVTSKKASTVQSSVGTHTRLYMPVIVPRDNLGQDSFRVVTAASEAISRGSRSNKITHKRKSSFASGDSKDQLIENASMDLTDRRSDSDVNSNLFPILAASHEAAEDDVREHTMTRNIAEKFERVLSIEQPVQSQNDNGNESPCSSSETNSVKYVKGVAVQLGKTRTLVERWERRERCGSNMAIKQSEATSERDLTTSEDDLIPETLIQNHDAFINSLKSRLTKLQMVRHFWEQNGIKGAISSVAKLPDYSVQVDVISILKDKIGLFTLDLFSTLLPVLVGLLNSKIERQTVVSLSLLLELVKIFKPVISSTISASSTVGVDLQAEQRLEHCTHCFNNLEKIKQVLPPLIRRGGLLAKHAGELNIILRDS >Ma01_p04190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2716156:2732709:-1 gene:Ma01_g04190 transcript:Ma01_t04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRGYKLQEFVAHASDVNCVAIGKKSSRVFVTGGEDRKVNLWAIGKPTPLLSLSGHMGSVESVAFDSAEVLVLGGSSTGIIKLWDLEEAKIVRTLTGHRSNCTSVEFHPFGEFFASGSLDTDLKIWDIRKKGCIHTYKGHAGGIQKIRFTPDGRWVVTGGADNMVKLWDLTAGKLLHDFKFHNGQIRCIDFHPHEFLLATGSADRTVKFWDLETFELIGSVGPEATGVCSMIFHPDGKTLFCGLEATLKVFSWEPLICHDVVDMGWSTLGDLSIYEGKLLGCSYYESRVGVWVADISLIAPYALGVVPKASGLVEPTFQVENFSLELKESSGVSNIKPTTEYHDIGIKTKETEGGTCLISDDCRQSISHGTNSCNTSTVAPITLSGVTRNKSSDSCITVPQRFRSRMSSKASTPSSTTSGSVKAIQGSLNPSNKTERSLPSRNTALSSNTTKAISLVTSKKASTVQSSVGTHTRLYMPVIVPRDNLGQDSFRVVTAASEAISRGSRSNKITHKRKSSFASGDSKDQLIENASMDLTDRRSDSDVNSNLFPILAASHEAAEDDVREHTMTRNIAEKFERVLSIEQPVQSQNDNGNESPCSSSETNSVKYVKGVAVQLGKTRTLVERWERRERCGSNMAIKQSEATSERDLTTSEDDLIPETLIQNHDAFINSLKSRLTKLQMVRHFWEQNGIKGAISSVAKLPDYSVQVDVISILKDKIGLFTLDLFSTLLPVLVGLLNSKIERQTVVSLSLLLELVKIFKPVISSTISASSTVGVDLQAEQRLEHCTHCFNNLEKIKQVLPPLIRRGGLLAKHAGELNIILRDS >Ma04_p32460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32403481:32404760:-1 gene:Ma04_g32460 transcript:Ma04_t32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDSATNSREMRSRAVRRLAMILVLVAVVVPGALLYGILIPSYLRGRDSSPILDVAVETVEPADQLEMILRAADMGNKTVIMTTLNDAWTEPGSVLDLFLESFRIGNGTSQLLNHLVFITMGQKAHERCTSMRGHCFDLNTKGANLSEQKDYNTPGYLDITWQRLDFQRQVLEKGYDFIFTDTDILWFRNPLPHFYSQGDFQVSCDRFFGNATDLENWPSNGFNYVRSNNRTISFFKYWYSARTKYPQDHDQAVFNYIKHDAYLRELGLTIRFLDSKYFHGLCEIRSRDWDAVCTMHANCRIGLSSKLSELRGMLEEWKKYISGNHS >Ma05_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3503829:3505536:1 gene:Ma05_g04610 transcript:Ma05_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGYGKMDEKMAIQEAATAGLRSMEHLIFQLSHRHQSPQLDCREITDLTVSKFKKVISILNRTGHARFRRGPAGPPTENSPPRAPLALTLPPAKPPRHLAPPPPPPPPPPPQALTLDFTKPVAIGGRSEATGSKYTTESFSISTTMTSATSSFMSSITGDGSVSNGKIVSSSFLPASSGKPPLSSSSFKKKCHEHAHSELVAGKYAVPGGRCHCSKRKKSRVKRTIRVPAISSKTADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAPDDPTMLIVTYEGEHYHTRGAAVAAPAEPSDVPVAAV >Ma10_p30810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37060737:37061533:-1 gene:Ma10_g30810 transcript:Ma10_t30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEAYDLNLELALQPMSPPEPQRFFSCHYCNRKFYSSQALGGHQNAHKLERSLAKRRREMPTAVGPHAGMSLAGVGDGSAFGRHSMVEFMKEHYSRHDVGLGKVTTVAWRETTEQKWWGFYGPLEDKGKTAEEIDLSLKL >Ma10_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25101598:25103527:1 gene:Ma10_g11650 transcript:Ma10_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTRSAPPLTSIHPSGLGPCLDDDASKHSLHRSWPWPGLLTSSKSLGRLNTASCMEQLLVHCANAIESNDATLAQQILWVLNNIAPPDGDSNQRLTSAFLRALIARASKTGSCKMLTAVAARADADLAIHLHRFSAIDLASFIDLTPWHRFGYAAANSAIVEAVEGLPVVHIVDLSTTHCMQVPTLIDLLANRPEGPPFIRLTVPTFTTTATPPLLDMSYDELGSRLVNFARSRNVAMEFIMIPSDPSDAFDSLIEQLRVQRLVSEGEAVIVNCQMLPHYIPEETVGAIVSTMNVNSPILSLRTMFLKALRSLEPTLVTLVDEDADFTSCDVVGRLRSAFNYLWIPYDAVDTFLPKGSEQRRWYEAGLCWKIENVVAQEGLQRVERLEPKGRWGQRMRAAGFRSVRFTEEAAGEVKSMLGEHAAGWGLKREDEDLMLTWKGHNVVFASAWMPS >Ma04_p28840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29830193:29842041:1 gene:Ma04_g28840 transcript:Ma04_t28840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLGEDGRGYELARRLEGCGAWRAWLGDAAYSAFAHNLASPAAWDAFISPSPSPSRAHLHLQLRVRALLFDKASAALFLRPAPAAGNSAPSLADLNPDYLQLHGDDIYYSLEDDQQDGVQHEIQIKTAFTTSKVNEHSYERSSNSGPKYHEGDNINSFPRHRLEDRLDTWYSQFLREYRMRHHTFPYCDKEPQKRTSEGMSMFLKLCDTHKRKRQTFKGDQNVATGVPMAENGSSMHSKSASNLTNLTDEDYTFFPEIMFPSNCVPDSAIPPSNGTEKNQNIEVREVLDNLPTIISRSPAMIERFGIMPEYHKVGGKYRGKDGSGTEKKPLGPEEASKMTRKVVASTLAKVGFEGGSEFSVEIFSEILSSHICKLGRSLKLLSDSYRRQFSSIELLKMFLQTAGYSNLGILSEVIKDGNKGFTQHAHQNVRVMQSPQQNMILQAQQIQRQMQMQQMQLLHPQNLAFQQQQQWDKLRRRQVATPRGSMVIMDKDQPMVDVKLENMTESPMESMFSTLNKQQQLQLRHQISMSNQHAQSGQQFKQMSNVQLPQLQAQNAYSMRTQPVKVEASFHELMGGDSTIKHEPEHNKLTSPQQ >Ma04_p28840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29830191:29842041:1 gene:Ma04_g28840 transcript:Ma04_t28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLGEDGRGYELARRLEGCGAWRAWLGDAAYSAFAHNLASPAAWDAFISPSPSPSRAHLHLQLRVRALLFDKASAALFLRPAPAAGNSAPSLADLNPDYLQLHGDDIYYSLEDDQQDGVQHEIQIKTAFTTSKVNEHSYERSSNSGPKYHEGDNINSFPRHRLEDRLDTWYSQFLREYRMRHHTFPYCDKEPQKRTSEGMSMFLKLCDTHKRKRQTFKGDQNVATGVPMAENGSSMHSKSASNLTNLTDEDYTFFPEIMFPSNCVPDSAIPPSNGTEKNQNIEVREVLDNLPTIISRSPAMIERFGIMPEYHKVGGKYRGKDGSGTEKKPLGPEEASKMTRKVVASTLAKVGFEGGSEFSVEIFSEILSSHICKLGRSLKLLSDSYRRQFSSIELLKMFLQTAGYSSNLGILSEVIKDGNKGFTQHAHQNVRVMQSPQQNMILQAQQIQRQMQMQQMQLLHPQNLAFQQQQQWDKLRRRQVATPRGSMVIMDKDQPMVDVKLENMTESPMESMFSTLNKQQQLQLRHQISMSNQHAQSGQQFKQMSNVQLPQLQAQNAYSMRTQPVKVEASFHELMGGDSTIKHEPEHNKLTSPQQ >Ma02_p13330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21775867:21784585:-1 gene:Ma02_g13330 transcript:Ma02_t13330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAALKRGVSRQFSLGSLSKSRFSFGRHASLDPRLANARRFAFGRQSSLDPNRRSPVKGELVGVPENLDATMQLLFMACQGDANGVEDLLKDGVDVNSIDLDGRTALHIAACEGHVDVVRLLLSIGANIDARDRWGSTAAADAKHYGNAEVYDLLKARGAKTPKTRKTPMAVSNPQDVPEYELNPTELYFRQGDELSKDIRQVAKWNGIKVSVKMLEQDAYSDPDTINAFKNELTLMQKVRHPNVVQFVGAVTQNIPMMIVYEYLPKGDLGSYLKKKGRLKLHKALRFALDIARGLNYLHECKPDPIVHCDLRTKNIFIDDGGQLKVAGFGLTKISKLSPDRYKLAHPMAHIDSLYIAPELYKNEIFDRSVDAFSFSLILYEMIEGVPAFHPKAPGDVAQMICLEGIRPILKTKSKAYAPDLNELIEECWNPQPVVRPTFSEIILRLDKMYANCSRPSRWKENFKLPWK >Ma02_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21776252:21784585:-1 gene:Ma02_g13330 transcript:Ma02_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAALKRGVSRQFSLGSLSKSRFSFGRHASLDPRLANARRFAFGRQSSLDPNRRSPVKGELVGVPENLDATMQLLFMACQGDANGVEDLLKDGVDVNSIDLDGRTALHIAACEGHVDVVRLLLSIGANIDARDRWGSTAAADAKHYGNAEVYDLLKARGAKTPKTRKTPMAVSNPQDVPEYELNPTELYFRQGDELSKDIRQVAKWNGIKVSVKMLEQDAYSDPDTINAFKNELTLMQKVRHPNVVQFVGAVTQNIPMMIVYEYLPKGDLGSYLKKKGRLKLHKALRFALDIARGLNYLHECKPDPIVHCDLRTKNIFIDDGGQLKVAGFGLTKISKLSPDRYKLAHPMAHIDSLYIAPELYKNEIFDRSVDAFSFSLILYEMIEGVPAFHPKAPGDVAQMICLEGIRPILKTKSKAYAPDLNELIEECWNPQPVVRPTFSEIILRLDKMYANCSRPSRWKENFKLPWYI >Ma03_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28753193:28807386:-1 gene:Ma03_g24480 transcript:Ma03_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLIRRSSSSQTSEGGTSGHGDKFAAPSPRIWFGDAGEEVILNTLWQRYENAIDKAEKRKLLQTFLMQFIEMYENWKPVHNGQFSVQRASGPDDIILGCSVGHPSEVSLIIIQEIARITSLLTDLKNNAGQVTDDPSEPPGSFVFSTDALLALNCLTILTRSMHNCKVFSYYGGVQKITALLKAAVVKLKTLTSALPVDEHLSSSGLEKIGILQKILVYVVSIIFSFMELHTLGTEKTQFVDGVSHMSSKKNPHDVSSSGPKSLVSETRLLWQQKAIVMVMEAGGVNWLVELLRVIRRLNLKQQWTDLSLHYLTLVTLKSALSENPRAQNHFRSIGGLDVLLDGLGLPSSNFSFSKSIILCGDDRSGIFQLQLLSLEVLRESTFGNQNNMQFLCENGRVHKFANSICWPAFMLQEFYRRRMASSVSDNELLSSTHANSLEQKASPEFSIQVHDNSPNLNEWNEYSVKLSRVLCSFLLAPEDTKFHHGHASISQSSFPISLAYWELSIRWVMKVLLTVFPCLKACTTESEVPNHIRILASSLQHYILCAFRKVLVSAPALLEIFREEKIWELIFSAKFFYFGSSLEEFKMGRGTFSSGVLIDPEISYRPENPNDLTKPAEVDALQVEAISFLEFVAGLNGNKNNLPECSVLLETLEKSACNPEIASILLKSLLRILQLAVEQSLASFKSLDAIARVLKVACIQAQELRKLKNMDYHVEDDINGVQSEQSNQMVCIVQPAVCWVKCMESSFELFTEYLLLAENGRSLVLHNSSCIDSLFDLFWEENLRKRVLEQILGLLKLPPSSAEGNRAKSQLCSKFLETFTQAKEREKAFAELSIELLIGMRELILIDQMYYQTLFHSGECFLHILSLLNGSLDESIGEQLVLNILQTLTLLLMGNDNLKVSFRALVGVGYQTLQSLLLDFCKWQPNIALLHALLDMLVDGNFDMEENIVIKNEDVIMLFLNVLQKSSNSLQHYGLDILQNMLKDSIINRTACFKAGVLGFLLDWFREEDREDMISKIAELIQIIGGHSISGKDIRKIFALLRREKRESIQKHRSLLLTSIRYMLKEKGPEAFFEFNGCDSGIVVKSPVQWPNNKGFSFSCWLRLEDIPENGIMGLFSFFTDTGKGCLAMVAKDMLIFESINQKRQCVLLPLNLLPRKWQFLCITHSIGRAFSGGSQLRCYVDGNLISSEKCRYAKVSEVMSRCTIGTELKPTNEEPYPFNFGKIYPFLGQLGPLYVFSDALSSEQIKGLYCLGPSYMYSFLGDGVLLDSQNSLYGGILDAKDGLSSKVIFGLNAQASDGRILFNVSSMLENSDKNAFEAVIMSGTKLCSRRLLQEIIYCVGGVSVFFPLLTQFDRSELDNGQLDYASIGSIMSDKLAAEVIELIASILDGNASNQQQMHLLSGFSILGFLLQSVPPTQLNLETLSALKYMFYVLRNTGMSETLIRDAILPIYLNPHIWVFASYEVQRDIYMFLIQYFESDRSLLPALCGLPRIIDMICHFYWDKAGRSAIGNKPLLHPITKEVLGERPCLEDVRKIRLLLLSLAEMSLRKKILHHDIKALIAFFEKSNDMVCIEDVLHMVIRSLSHKPLLVSFLEQANLLGGCHIFINLLQRELEPIRLLGVQFLGKLLVGLPSEKKGMKFFSLSVGRSKYISENFRKGGTMPEPIFSAMSERLFKFPLSDHLCATLFDVLLGGASPKQVLQKHSHLDAQRNKKSSMGLSSHFLLPQILVCIFKYLETCKDSSTRVKILGDLLNLLDSNPSNIEDLMEYGWTSWLETSVRLDVFKNYETESKTKADSLNFDELILVKNLYCVVLSHCLFSVKGGWQQLEETINFLLLNLEQEELSHSRFLRDIYEDLIGSLVEISLEENIFTSQPCRDNTLYLLKLINELVIIESGDKLLLPILGISSDYSSDGLQPDNQKDINFAVSELLNLEADQLPRVSWNFKSIAVEDDKANDGWWDLYDKTWILIGALNGKGPSKMPKASNTGGPSFGQRARGLVESLNIPAAEMAAVVVSGGITNALGGKTNKYIDKAMLLRGEKCPRVIFHLVILYLCKADLESASRCVQQFISLLPLLLTSDDDQSKNRLHFYIWSLVTMRSKYGMLDDGARFHVITHLILEIVNFGKFMLATSILGRDDPVEVCGSSKEAGFILGLIQRDRVLAAAVDEAKSVKSVNADRLKQLQDLRVKLDEHSLALVNQQKTFDDDIQSNVNAVLSSDDNRKASFQLAFDEEQQIVADKWIHMYRALIDERGPWSSHPFPNAIITHWKLDKTEDSWRRRPKLKRNYKFDERLCHPPTAKISNGASQPANESFTGMGTSFPEQMKQFLLKGVRGITEEKSLETCDDDLARLNDSGPNNSSENQIIEYIKDHSSEVDIVPDKKEPSSGSVESDLSEVHLSIPSVLITPKRKLAGHLAIVQNVLHFAGEFIVEGTGGSSVFKSFRDTGNSDSNKCEQPGSLPKQKWNKGPTLDNNRGKGTPTDSEDVDASLQHKTNKIKLHRRWNLSAIKAVHWTRYLLQYTAIEIFFNDSTAPVFLNFASQKDAKHVGTLVVSLRNETLYPKGSSRDKNGIISFVDRRIGLEMAENARESWRRREISNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEKLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTALHRNLQGGKFDHADRLFQSIDGTYRNCLSNTSDVKELIPEFFYMPEFLVNLNSYHLGVKQDGEPLGDVALPPWAKGSPEEFIYRNREALESEYVSSNLHHWIDLVFGYKQRGKPAMEAANIFYYLTYEGAVDLENMDDMLQKSSIEDQIANFGQSPIQIFRKKHPRRGPPIPIAHPLYFAPASIALTSIVSSTASPPSAIVFIGLLDSNIVLVNQGLTLSVKLWLTTQLQTGGNFTFSGSQEPFFGIGSDVLPPRKLGTPLAENIEFGRQCLATMQVLNENYLISCGNWENSFQVISLNDGKIVQSIRQHKDVVSCVAVSSDGSILATGSYDTTVMVWHAYRGRFTERRSRTLQTDFPRKDHVIIESPFHILCGHDDIITCLFISAELDIVISGSKDGTCIFHTLREGTYVRSIQHPFGSPLSKLVVSPHGRLVVYAESDLSLHMYSINGKHVASSESNGRLNCIELSSSGDFLVCAGDQGQIILRSMHSLDVVKKYEGVGKIITSLAVTPEECFLAGTKDGSLLVYSIENPLIRRGSSLSRNNKSKNSVTG >Ma03_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2944016:2950605:-1 gene:Ma03_g04520 transcript:Ma03_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSSVANKPEGASGSVSQVEALFQRRIEFHRARKPYSSFSSPDGDFRLETLNPSSGPDRPGVSAAAAVSSRSGEGRFYEHGLDPELSFRITFRRIGAGLANLGNTCFLNSVIQCLTYTEPFAAYLQSGKHKSSCHTTGFCAMCALQNHVITALQSSGKILSPSHLVKNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPSGVPSESPSAYERSLVHKIFGGRLRSQVKCMQCSYSSSKFDPFLDLSLEIVKADSLRKALAHFTAVEQLDGGQRQYQCQRCKEKVRALKQLTIHKAPYVLTIHLKRFGSHVPGQKIDKKVTFEPTLDLKPFVSDQHGGDLKYTLYGVLVHAGWSTHSGHYYCYVRTSSGMWHSLDDNQVRQVSEKIVLVQKAYMLFYVRDRGSTPKGSINTVCKDNISASAIGKKLIPESSSLVSSGAAQISATERKLSTSESISVKTRTDATNIQSGLVDASSPNPLHQAASTLRKNDNNALSEVPELHNNTQEVNKDSVVVKAISKASCENDSPFISQSGRSDSEKCHRSGGSNGVVKSGVLVAQPKNSGSPDPESQKNEIKLIKERDTAKSGDAANAILKKNDVLCKKVSYIHEENGKTRLLSQSSHTNGFIRKEANATTCHNERCSLNLQSCKENIPEQGKPILVDISKGLGTPSSFLQKEACGEGKIDKQMGLKPKKLAKYPQVGLHFGRNHLFLSSLNLRLINKFKKRKKPHLSSKNSPKDNNIILDSHGASTSEATENVSVHKHSHLEHSCSGLIKADNAKNVKWRKYSNSESLNVAGGGEELNSIKNAVLDPNQLPRTCLDTAEKVLSSRVIDADDKLLPRHDFLKLMMGGLKETTVPRWDDTELPKLELNGPESSRRTSIGYVLDEWDEEYDQGKRKKLRKCQPSFAGSNLFQETANLKAWKKSNSKPDETRFGNQPFRI >Ma03_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23249972:23250909:-1 gene:Ma03_g17650 transcript:Ma03_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAEQQSGEAAAAAVVGLLGFKPHLAVPGMKADAAVQFYKAAFGAEELRRVAHPKRKAEQELPLILFAELKIGSSLLLVSDCFDAAGEGGTAVVIGGGISFRLEAEDVDAAVKMAVAADAEVVSEVAEDESGVVVKLKDPFGVVWVVSAAVGNKTLDAEAEA >Ma04_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1668702:1670010:-1 gene:Ma04_g01910 transcript:Ma04_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRKVEFLRRRIMFNRGPSRKRRSGAVPVHLNVYDLTPINGYAYWFGLGVYHSGVQVHGVEYAYGAHDHPTTGIFEGEPRQCPGFVFRKSILIGRTDLGPCEVRALMEELAAKYTGDTYNLISKNCNHFCNEASLRLTGKPIPRWVNRLAKIGFLCNCVLPVQVAAVRQRGPEEGKDGGNGERRRLRSNSSRFPTVTTAAHPSSSSSSSDNPLSSISKPAVIISLSIGGE >Ma08_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5206847:5207810:-1 gene:Ma08_g07590 transcript:Ma08_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSDPLTVGRVIGEVIDSFNPSVKMMVTYNSNKLVYNGHELFPSAVVSKPRVEVQGGEMRSFFTLVMTDPDAPGPSDPYLREHVHWVVTDIPGTTDASFGKEVVSYESPRPSIGIHRFVFVLFKQKRRQSVAAAPPSRDRFSTRGFAQENDLDLPVAAVYFNAQRETAARRR >Ma10_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33068164:33071337:-1 gene:Ma10_g24490 transcript:Ma10_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAAGRWTLVLVNLAAILERADEALLPAVYKEVGAALHVSPAGLGSLTLFRSIVQSSCYPLAAYMAARHNRTHVIALGAFLWATATFLVALSNTYLQVAISRAFNGVGLALVIPAIQSLVADSTDDSIRGSAFGWLQLTGNIGSILGGCFSLLLASTTFMGIAGWRVAFHVVGIISVVVGLLIRIFAVDPHFSDDVKPADAFLTRKSVREDVKFMLDEAKAVIKIPSFRIIVAQGVAGSFPWSSLLFAPMWLELIGYSHNQTGVLMSMFIIASSLGGPLGGKMGDCLSKYFPNSGRIFFSQITSGSAIPLAALLMLFLPDNPSAELGHALLMFVTGLSISLSGPATNSPISAEIVPEKSRTSIYALDRSFVSVLSSFAPPTVGILAEHVYGYKPIFYGPSESASVEIDRQNASSLAKALYTAIAIPMLLCCFIYSFLYCTYPRDRERARMNLLNASEMPQMELDDDHEMLVESSGLQLSERSVIDIAYGGEDFETDDNDGRALLSHKVD >Ma07_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2078725:2080203:-1 gene:Ma07_g02610 transcript:Ma07_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLFSSLPKNEEGCFFPWQMSIVLAALPKAKTLAVKKTRLMFLGMLSKRKVLASAISNKIHALKGHDRGGGSGHALGGYSKAIVMYNAAREEVFSSPADHRESLEYVEEDEYPDLAHTLFDLDDEPIDNPCSVMDLVRGSGEDGLEFNLENEIDHVADVFIRRFRREMRLQEQE >Ma04_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3239480:3240068:1 gene:Ma04_g04230 transcript:Ma04_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMPGIIIFFSQIENSKLMACSIYFCNFVFLKSLCNWKASQHGRHGRGEWLCHAEEELHPRNNTKRSTISLFSTAYSGRHSIFIHHSLILLLIVPDDADLAVELKTSDRQTNLRSVLLHQVEDAGDVHVNLRAALESKPHLGEPSPPRMPTG >Ma07_p13340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10062432:10066348:1 gene:Ma07_g13340 transcript:Ma07_t13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLRHGLLLASHNRLLPLLFLPLQSNSPRLKPSPRSRLDAAFSAALPRPSNRSSGHRVRAVVEEGTDEPKWWEKNGGPNMIDIHSTEEFLDALSQAGDRLVVVEFYGTWCGSCRALFPKFQKITDAIEKHNSARCSIGPPSGVGDLLDSSTSQN >Ma07_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10062432:10066299:1 gene:Ma07_g13340 transcript:Ma07_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALLRHGLLLASHNRLLPLLFLPLQSNSPRLKPSPRSRLDAAFSAALPRPSNRSSGHRVRAVVEEGTDEPKWWEKNGGPNMIDIHSTEEFLDALSQAGDRLVVVEFYGTWCGSCRALFPKLCKTAEEHPDILFLKVNFDENKPMCKRLNVRVLPYFHFYRGADGLLQSFSCNLVKFQKITDAIEKHNSARCSIGPPSGVGDLLDSSTSQN >Ma10_p28610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35445010:35445637:1 gene:Ma10_g28610 transcript:Ma10_t28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSSGASRLSRCMKAPIRMLCRACDFYVRRMNSCAGRMPQGGALGYTMTTTLPRSFSVQSGRTSMSGDDDLQELIRANSVSRTGGGRFDLKGASPQQPAVVPRSQSVAIGRIDEDKPCDFDDDVKLGSDFLFPRSRSYAVAPRRRVAAFV >Ma06_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9382612:9385413:-1 gene:Ma06_g13700 transcript:Ma06_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFNVTDCDGNKLWDEEIISYIKKSLESEACLFPKLQNSVGIMPSTEDTLIEITGSDRPGLLSEICAVLATQMSHVVKAELWTHNNRVAAVLHVTHESTEGAIEDPQQLSTLKELLCNVLRGDHDPRMGTMTVSMGLTHTERRLHQMMFHDRDYERVEVKEAVGNKSRPQVTVMDCSEKDYTVVILRSKDRPKLLFDTICTLTDMQYVVFHGTASAGKEEAYQEYYIRHVDGLPISSEVEQQHLIQCLEAAVERRASDGLELELKTEDEVGSLSEITRVFRENGLTIRRAEISTEGGKEVDTFHLSETSGNPVDAKTIDSIRRQLGQTIVRVKQNPLLEAKPPPEVVSTVSFFFGNLFRASFQSFRLIRSYS >Ma06_p13700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9382612:9385524:-1 gene:Ma06_g13700 transcript:Ma06_t13700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYPEVEMDVEDDEFAKLIRRMNPPRVVIDNDSCGDATVIRVDSVNKHGILLEVVQVLTDLDLVIRKAYISSDGSWFMDVFNVTDCDGNKLWDEEIISYIKKSLESEACLFPKLQNSVGIMPSTEDTLIEITGSDRPGLLSEICAVLATQMSHVVKAELWTHNNRVAAVLHVTHESTEGAIEDPQQLSTLKELLCNVLRGDHDPRMGTMTVSMGLTHTERRLHQMMFHDRDYERVEVKEAVGNKSRPQVTVMDCSEKDYTVVILRSKDRPKLLFDTICTLTDMQYVVFHGTASAGKEEAYQEYYIRHVDGLPISSEVEQQHLIQCLEAAVERRASDGLELELKTEDEVGSLSEITRVFRENGLTIRRAEISTEGGKEVDTFHLSETSGNPVDAKTIDSIRRQLGQTIVRVKQNPLLEAKPPPEVVSTVSFFFGNLFRASFQSFRLIRSYS >Ma00_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33679916:33680125:1 gene:Ma00_g04040 transcript:Ma00_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFSTTTYHGFQAVLTKEMSQKFRGLHGVVFILPDLLG >Ma11_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24790516:24809335:-1 gene:Ma11_g20260 transcript:Ma11_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRYRSDRFGEKVEFKLSNLQAVKVPRGWDKLLLSIVSVESGKTIARTGRATVRSGNCQWTETESIWVSQDDASKELEECRFKIVVSPASSRSVVIGEVTLNLADYLSSGEIGPLLLPLKKCDSGTTLQVKIQCCTPISKSRVGKSWKETSHLDDQTNNDELDSKSDGSDNLINKSVGSSSSNHFGNNSYPDESGNRDTSFSASGSRHSSDSGDSLSRTGLSPKNSLNGGQYIGRQDSSGSHISATYSTGPGGSNPSSFNSRASVSSVHNNQWQDMAAQTSEHGTVPSLRPSDSSKDLLESAEEIEELRDEVKMWERHSQQLKLDLELLKKENSEKSKHQVNLDRQLSAASSERDSLKLEVERLKAALEESTSKQTDIINFKNEDLVHVQKELEDELKFQNDSNANLTQQLRKTQESNIELVAILQELEEITEKQRLEIANLSQQNCVNEREKQERSQKSLENESEWEGKVSLKEEETVKLESPNIVKNERQYEYDGNHSDLIKEIEVLKHKVHELEQDCAELTEENLDLIFKLKEFSKDTDKGSQSHGSRSIEYHDHNLSNNCEYENGLLTSHIYEIEDELVKKEVMTPPLSAKLKDLEKASAYLEKELQHYKDEASSFETKLLQSNKEFEEMNIELSNLQQKLNLNIDTDLEGLNAFPMKGFENEESFSSSNMQTVLFEMDKQTHLALAQARNLLANDSIDAENVCGSDTDSTLPTTDPISQKNLAEDITKNLHELNTLLRENIFRCNSMSQHESSGINQRINNTEAPDQLKDEGFMEQEPEYAGNKTRSKEIFEHEFQSDLLLKEQEIDRLKHSNKELEDLISYLQKEKCQLEEDFASLRRESSDTSKHLEHVEHDLMVLTGQIEYHVSSNKALERKSMELESCKNELELHVSELEQDNVKLSERISGLEAQLRYITNEKESNRLELEDTISLIEELKDEVEQQRDEMEMQKAELKQKLQETQKRLSEALEESDISRRSNLKLQATIENLIEECNSLQNLTGDLKRQKLELHERITRLEVDLNESKKKDFDFCDQIDLLELKLSSLQKDIGSKEKSLLSQLEKMFQDHKEHEDKIAKVHILLNRIELEITVEVENLEKEIADLTAQMSLNYDEREKVASDAIHEASLLRSEKARFECSLQEVNSKVELYETDLQALQQESKNKIQGLVDLLNASKQSEEMLMADIEHMQRIMEGVKYSEEKYRKLATDLELRLKTSDYEKQHAMEEISGLEVQLQKLFHLQNSVLDLKGSLDEADFEKRKLELLLESVTQESEELKAENTVLMEKVSIMQKALSDGQDDRRSKIALQEKLVRLESDLSVKEASYVYEAELKNELSRIKRTNSEYQRMFQNLEQENVELMNKVQNMEEELMLRKTTHQDEKISSEDDSNSCDHTEVPDDSKEVDHESDIPLHGTEHTEAGGVNNMGKEQLKRGISRKQAGNIEALKKTLKENADKISSLETELKDMRERYLHMSLQYAEVEAQREELVMQLKSVKKEKRWFS >Ma02_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20386087:20388754:-1 gene:Ma02_g11020 transcript:Ma02_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNDPVLVGRNKQLTSAGGGFPGEVVEAWIALLLMVRRRGGNGLPSRENSDVQLLEVSKPARKLSHMINTWFGVANLDGGSKCVSNGHLKDALDAQESLKLQSRKTIPRQREFMEGAHIGHELEKPHRYAVNSYSCRLQEEKLCSSLSWRSCGEGMKKVIGEGLHGQNLLQISSDDEKTSSSRSLGVYFRSALGSSYHETEGGRKNSTAASEQPVKMKAPSLIARLMGLEEVPAEAAETIRKEQIRENLNAPRSMFHVGKPEAGRLLLSKQSPKPERVTLRSIIEREKIERLVKRGQEIGCRNQSDSNDTSADERYSKRFHMDEEDPSTVKAMQFPERGEQHELLPSRPPSSQESIEATKVEHDEVIANRRRELAHESVRKEAVSSKKSKVESSKTSKLPASVCHGQQKKEANLHKRSYQGQRSLLDRTTPQSRKGLKAAAAPLSQAKKATTASTEPNRRPASAKSIAMKAGATQQKSMMKPQREMVSGNSTNAAAEKRTAAAKPARKMTKVKTPKQRDGQEMSPSCKTDDDASAINISPAAEPSKQRKLSPKSSNNEKTGKDQKVIREVMPKTSKGGMVSGKAEAAAAKHVGNELISTTTRADKVEHLEALLLSCQSFLLHADELTLDAHTPTNHGKLDWDEVDKDAKLYLGCAEELIERKRQHAELSGHHPMSLTHRWSRTEHASLDQIVGEISKGIGRLAKYSEADDDVPYRDSLYVRLERDLRCKDMLTNAMWDVGWRNGFCMEEAYNVVSKVEEHIVTALVEKVAMELADAIFVGDCSPN >Ma02_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19839600:19843115:1 gene:Ma02_g10180 transcript:Ma02_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMTTGAGGDEQQQQHQNPFFLDFLGMGMSCGGQTPAPDSRSMAGHAEPDAASPSASWQTLGVSSAGQHGIVSATSLLGSERRGMRSSEVFHFHGRKNAISDPEGGNTLPGRKRSHPESVYSGLIDRAFPLSSGSPESPCLIKMFGKEIVSERPDKARDDEIMLSMHRPPRPTSLVLHPPLSSRPDSLSSKLEQSLSRSPGRLLHASCFSKATISSSYVNKDASAVATVISQSSIDDGSRTNIKASGVVSISNPVSMVCEKISTEFLPRPKASHATESETSNAVRRSKAASPGRQMTIFYSGQAHVFDSVHPNKADVILALAGSNGVSWSTTYHSRSSDQSIVNEAKTREEAWTSNLPISVQGDMSHQIAEIPPPSDHSAIRSGGKDVGDEGCA >Ma02_p10180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19839600:19843115:1 gene:Ma02_g10180 transcript:Ma02_t10180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMTTGAGGDEQQQQHQNPFFLDFLGMGMSCGGQTPAPDSRSMAGHAEPDAASPSASWQTLGVSSAGQHGIVSATSLLGSERRGMRSSEVFHFHGRKNAISDPEGGNTLPGRKRSHPESVYSGLIDRAFPLSSGSPESPCLIKMFGKEIVSERPDKARDDEIMLSMHRPPRPTSLVLHPPLSSRPDSLSSKLEQSLSRSPGRLLHASCFSKATISSSYVNKDASAVATVISQSSIDDGSRTNIKASGVVSISNPVSMVCEKISTEFLPRPKASHATESETSNAVRCVSFTMWLICFDKLSLNLDSSVSVEYDGINATKPLFVFDRRSKAASPGRQMTIFYSGQAHVFDSVHPNKADVILALAGSNGVSWSTTYHSRSSDQSIVNEAKTREEAWTSNLPISVQGDMSHQIAEIPPPSDHSAIRSGGKDVGDEGCA >Ma11_p01000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:743228:744621:1 gene:Ma11_g01000 transcript:Ma11_t01000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQKSAVDIVTVDGHEARGLVASGNRYLDVRLEEDFEKGHVEGALNIPYYSSVTPQEKVKNPRFIEQVSSLFGKDEVFIVGCRSGVRAKRATVDLLDAFHFAGFWKRQVSCRRLPFMAEEHK >Ma11_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:743206:744621:1 gene:Ma11_g01000 transcript:Ma11_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQKSAVDIVTVDGHEARGLVASGNRYLDVRLEEDFEKGHVEGALNIPYYSSVTPQEKVKNPRFIEQVSSLFGKDEVFIVGCRSGVRAKRATVDLLDAGFGNVKYLAGGYLSWLKSTNEEA >Ma03_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22658872:22663236:-1 gene:Ma03_g17420 transcript:Ma03_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGGPAAGIRMAAMEVAMAVVVVVGMMTRGVGEVTWCIARSAAGTTALQTALDYACGSGAADCTPVQSSGLCYLPNSLAAHASYAFNSYYQRSKAAPGACDFAGTATVTITDPSYGSCTYPSSASTAGGSTSTPRTNTPSNTPATTSIPPSTPNFGSTDGGVGGLSPPGFGSTVPNADTSIASPMCPHFLTSCLYFISLLI >Ma10_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29367533:29371216:-1 gene:Ma10_g18470 transcript:Ma10_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNPPELETDDSEQEEGEGYGSGGGLNPEIKPSGAGVSGPPLLCLIRFASDSAAGALMGSVFGYGSGLMKKKGFKGSFADAGSLAKTFAVLSGVHSLVSCLLKRLRGKDDVINAGIAGCCTGFVFSFPGQPHALLQSCLTFGAFSSIIEGFYRKQAALAQPYLARQGSFKGPDDVLPPFTLPFPHQLWEGFSSFCQSLPKSKGIASN >Ma08_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8398791:8400242:-1 gene:Ma08_g11360 transcript:Ma08_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDIAHNPLNPRQGGLRIVVSLISVFNRDYTREQVTTKNLYAMLLGNKSDIEGGSGKVIDSKSDDRILIYYSDHGGPGVLGMPNMPYLFAADFIEVLKKNMHPVLMVS >Ma05_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:941496:951360:-1 gene:Ma05_g01550 transcript:Ma05_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLANELYKILDQVNSEELPVGLKVPGSFSEFLSDMKNNQDGAKTFAVRLKAMMEYMDREVKRSRLAEQLHKYFAATSIPKGMHCLSLRLTDEYSSNAQARKELPPPELLHLLSDNSYHHFVVASDNILAASVVVTSVVRSSLQPERVVFHVITDKMTYPSMHSWFALNHLSPAIIEVKGVHQFDWLTRDNVPLLEVFENHHGVGNHYHGNHIMGVNVGDSPMIFASKLQARSSKFISLLSHLRIYLPELFPNLSTVVFLDDDVVVQHDLSPLWEIDLLGKVNGAVETCKGEDTLVMAKHFRTYFNFSHPLIANELDPDECAWAYGMNVFDLNAWRKTSIRKTYHYWVKENLKSNLTLWKFGTLPPALIAFRNYVHPIDPSWHMLGLGYQESTDLERVRKAAVIHYNGQCKPWLEIGFKRLQPFWTKHVNYLNDFIRNCHILEPQWFPQN >Ma05_p01550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:941496:951360:-1 gene:Ma05_g01550 transcript:Ma05_t01550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISNSNGLLDLMKVKVAARHISYRTLFYTVLILAFLLPFVFILTAIVTLEGVNKCSSFDCLGRRLGPRFLSRGGDDSMKLANELYKILDQVNSEELPVGLKVPGSFSEFLSDMKNNQDGAKTFAVRLKAMMEYMDREVKRSRLAEQLHKYFAATSIPKGMHCLSLRLTDEYSSNAQARKELPPPELLHLLSDNSYHHFVVASDNILAASVVVTSVVRSSLQPERVVFHVITDKMTYPSMHSWFALNHLSPAIIEVKGVHQFDWLTRDNVPLLEVFENHHGVGNHYHGNHIMGVNVGDSPMIFASKLQARSSKFISLLSHLRIYLPELFPNLSTVVFLDDDVVVQHDLSPLWEIDLLGKVNGAVETCKGEDTLVMAKHFRTYFNFSHPLIANELDPDECAWAYGMNVFDLNAWRKTSIRKTYHYWVKENLKSNLTLWKFGTLPPALIAFRNYVHPIDPSWHMLGLGYQESTDLERVRKAAVIHYNGQCKPWLEIGFKRLQPFWTKHVNYLNDFIRNCHILEPQWFPQN >Ma05_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33730633:33731610:-1 gene:Ma05_g22000 transcript:Ma05_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVEHLEQPPPPPHSSSTFITSFPILATTIFGMLTTAILLVSYYVFVIKCSPSWRRSDILRRVFSSTHFRRHRHLYVPPVDHAFTAGFRGLDPSVIRSIPVVKFTKARDDDADTDQRMSFRDCAVCLNEFREEERLKLIPNCSHAFHIDCIDTWLQFNAKCPLCRSDIASSPIGLAMDRIIVLAPRTEQSGGTVIEVGDEVGGGQSSSEEGTDPSPRKNWWKYHKVGSMGDECIDVRSKDEQFCVQPIRRSLSMDSSTDRQLYLSVQEILRRKQHCCFEGGNDEGSSSDVWGGDGGETGRFRRSFFSFGRSSRSSVLPLQRHA >Ma06_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6414426:6415676:-1 gene:Ma06_g09100 transcript:Ma06_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLDRIVSSVDSCICDIIANICFLQISGHSVWIAENTSSSNLTQASYIIEFQKCHADHPIGKFFRQCTELKIKLDRCFRQEVFENMRTVKRMANFEENKKFKERLQAYRKEMVEKGT >Ma08_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1934941:1940412:-1 gene:Ma08_g02530 transcript:Ma08_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSAMEDIGGLDLNVAGDAGEDGDGGCLAGTGDGDSIPREAGNAPSGDQDAMMNAAEDTSVADTGGRGLEIPRGANEAGLQIMGCGGAAEVGEEMQNDAKDEGSNSCLGHGFKGDQNPESNVVEETGNSTAEVETVPDKFEPAESVNTSNEVESTKKFESSFVEKPNSENDLMTRNLVESVIPATEDEADESCLVAEDESGGHVRKRSEVMETQIVIESAKTMDALDLNEVEGVKDLFIDINANVDGEKDNGLKNENGVVLVREVISHEEKEQTTSNAAIWCDSVGGHILSEDDSRCSHDLSVGAKHAECAAENLATLASADFDVDKVKRLVDASDPGAIQVEVSESSNQTAARKGHSSCTDKKFITVGWLQPEKDTVDYVSGERQKRSCDDEKSEAVFVNVGCLSGSKSSMNQTGVEADNLECVADQGNVKGGAVEISDKSEPTRCGVSCPENVSHVTKHDFVDGHIDATEIDLGSDALDAGCAMMAAEADPPVAFVKVDTERLEGTPDGGRAQVDVIEMSSGINLPGYSLSIAKQNKSFPNEVVNHTVADSHLHATEGVLGPGTTYQNEAMILKSSVITSERVDVLTLEVNQDKESQELDGKTVVGDLSMPDNDQGSSSVLELPLVPRESSVNASPDVDANGDQEMVVDEQENSKGTDKQTVKHAAARPGISIKDKDQRALHHVPYKDEDGFSTSDLVWGKVKSHPWWPGQICDPSDASDLALKYQKKDNFLVAYFGDKTFAWCDVSQLKHFETFFSQMEKQSSSDVFVGAVQGALDELSRRIGLGMTCFCFPQAANAGISYQKVENSGIREGSNGYTFDRSAILSYFQPGRLLGYVKTLAKFSSGRTNKLELVTANAQLNAFYRSKGYPKLSSFQFDDDLTESCADIPQSKSDRIYEVTVEQSNPTLSDLVYGKRKSRVRGSYFNNQKHILEDGKKQKSLSELMEAEYCQFANGAKIESGMRDVESVPISSSKKSKVTDSDSSDSGSGKKKRLDSLGDLEIKQPSPAISSSFKIGECIRRVASQLTGSSPILKSHNETSMKNVSKNDNIFDMFVSDGFSHNIKQSPKLRIDISEDYSSPDEMLSQLRIVGRNPIKGYSFLSTIISFFTEFRDYCVSSSACQKKHPEKSGVRRGRKRKVDIQSAFSEMTELDHMQDSYWSDLVSYDSPARSKEAHTRSQRKRRGTSGKTSTPTLVSILQGTEHLQVGTVSPNVRQTPPTDRSVISIEEKIVEECTPTALILNFSGSKSLPSETDLIRVFSRYGPLKEAATEVQRRNNRVKVVFKRRADAEIAFSSAGKYSIFGPSLLSYRLRYLPSTPDTSPATKLPDKRDSLLVESSDLDIPDNLHSSISSAPNTNLQDKSDATLTESSNTSIPGDLRSSKSNSSRDTNLRIKK >Ma08_p30970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42040342:42044327:1 gene:Ma08_g30970 transcript:Ma08_t30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSPKEQDIQMMLAANVHLGARNCDFQMERYVYKRRSDGVHIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHPIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLSRMVLQMRGTIPLGCKWEVMVDLFFYRDPEEAKEQEEEEAPVAPDFGAVPGYGAMVPNEQWTTEQWMPDVGAVPAVVPLVPGVEWTAAQAPIAAGGWDVAAAPPADGAVAPPPSGWETAPPAASSGWES >Ma09_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5111582:5116146:-1 gene:Ma09_g07790 transcript:Ma09_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIHSVATLIDSTTSKIQQLQHAFAELESLNAISLNLKWKELEEHFRGLETSLKKRFDELEVEETKYVTKVKEAQEMLESREAAVVAKELASLEQLQEKRDAALSALFDTYKTSPDPIAEGVGPRNGMTDVISEENSDVTYAKSSLEDVYHAENVNPHNEHPTELVKLCEEMDAEGLHKFISDNRKNLSSIREEMPAALRRAANPFSLVLDSLKGFYAGEILGSDGKKDGNLLGLRRTCLMLMESLEQLLADAVPGSLSDKQILTSDIKEKAKVITKEWKPKLDHLGIEASSGNSLEAHAYLQLLATFDIVSEFDQDEICKLIPAVARRRQTVDLCCSLGLSNRMPGLIEYLLNSGRQIEAVNLACAFKLTEQFPPVPLLKAYLKDARKVAQVKAGSISPGAQNEMNERELAALKIVIKCIEEHKLEEQYPVDPLQKRIVLLEKAKADKKRVAEVAKPQSKRPRATGCIYTARITSMPDKSFYRALPERSPYPYDMQYAYAAENHYPLRMGSAPYSISPTQTNYYGNSYQVHYQTAYLH >Ma09_p07790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5111582:5116091:-1 gene:Ma09_g07790 transcript:Ma09_t07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIHSVATLIDSTTSKIQQLQHAFAELESLNAISLNLKWKELEEHFRGLETSLKKRFDELEVEETKYVTKVKEAQEMLESREAAVVAKELASLEQLQEKRDAALSALFDTYKTSPDPIAEGVGPRNGMTDVISEENSDVTYAKSSLEDVYHAENVNPHNEHPTELVKLCEEMDAEGLHKFISDNRKNLSSIREEMPAALRRAANPFSLVLDSLKGFYAGEILGSDGKKDGNLLGLRRTCLMLMESLEQLLADAVPGSLSDKQILTSDIKEKAKVITKEWKPKLDHLGIEASSGNSLEAHAYLQLLATFDIVSEFDQDEICKLIPAVARRRQTVDLCCSLGLSNRMPGLIEYLLNSGRQIEAVNLACAFKLTEQFPPVPLLKAYLKDARKVAQVKAGSISPGAQNEMNERELAALKIVIKCIEEHKLEEQYPVDPLQKRIVLLEKAKADKKRVAEVAKPQSKRPRATGCIYTARITSMPDKSFYRALPERSPYPYDMQYAYAAENHYPLRMGSAPYSISPTQTNYYGNSYQVHYQTAYLH >Ma09_p07790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5111582:5116146:-1 gene:Ma09_g07790 transcript:Ma09_t07790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIHSVATLIDSTTSKIQQLQHAFAELESLNAISLNLKWKELEEHFRGLETSLKKRFDELEVEETKYVTKVKEAQEMLESREAAVVAKELASLEQLQEKRDAALSALFDTYKTSPDPIAEGVGPRNGMTDVISEENSDVTYAKSSLEDVYHAENVNPHNEHPTELVKLCEEMDAEGLHKFISDNRKNLSSIREEMPAALRRAANPFSLVLDSLKGFYAGEILGSDGKKDGNLLGLRRTCLMLMESLEQLLADAVPGSLSDKQILTSDIKEKAKVITKEWKPKLDHLGIEASSGNSLEAHAYLQLLATFDIVSEFDQDEICKLIPAVARRRQTVDLCCSLGLSNRMPGLIEYLLNSGRQIEAVNLACAFKLTEQFPPVPLLKAYLKDARKVAQVKAGSISPGAQNEMNERELAALKIVIKCIEEHKLEEQYPVDPLQKRIVLLEKAKADKKRVAEVAKPQSKRPRATGCIYTARITSMPDKSFYRALPERSPYPYDMQYAYAAENHYPLRMGSAPYSISPTQTNYYGNSYQVHYQTAYLH >Ma08_p26850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39290706:39295428:1 gene:Ma08_g26850 transcript:Ma08_t26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPHKSFQSDASLDLTHLPPGNNGTGSVNGNSIHRTNSDNVVSSRSTTMKRTASAIFPSNVVTSAEPLLLFKDVPNAEKQNLFISKLNFCCLVFDFSDPNKNSTEKDMKRQVLLDLIGYVDAGTSRFTEPVISASCKMIAINLFRAFPPNTRSGNGGGEAEEEVPVYDSAWTHLQLVYDLLQKFIESSYLDSKIGKKYIDHSFVMRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYRFVFETDRHNGIVEILEVFGSVISGFAQPLKEEHKLLLWRVVMPLHKPKTLGVYQQQLTYCVTQFIEKEPKLASSVIKGLLRYWPVTSSQKEVMFLSELEEILEATNTAELQGCMIPLFRRIGFCINSSHFQVAERALFLWNNNHVINLMAQNRQAIMPLVLPALERNLQSHWNQTVLNLTQYVKKMFSEMDEELVLACKKKFEEKEKKQKTMEEKRRLIWEHMETSTAFHPVTRNTTVLVVPVIAPPIAAALT >Ma10_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28026741:28028142:-1 gene:Ma10_g16250 transcript:Ma10_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENANKCDVWELLYSDSSFTSDHESIVDLGTVDDVMSHYFGVNLEEEEEEESEEGGIDSDNPSWIDTDSDAVEQREEETAIFVSEDETKMIEPSNAGNKFEGADESQGSTASFPEDSNSEGIDGNHEEKKRVEDERVPSEEETTGGVVASWEYSFQLLKLWVAKAKSVWAISMATANVVVVVLRSCFYRMRQRVSLALAVDDKRVNQFIILKGAYLIGKHME >Ma10_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24767491:24787795:1 gene:Ma10_g11170 transcript:Ma10_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISITNILEKMTGKDKDYRYMATSDLLNELNKEGFKSDSDLEMKLTSAVLQQLEDAAGDVSGLAVKCLAPLVKKISEDRILEMANKLCDKLLNGKDQHRDIASIALKTIVSEVNTTSLAQRILASLGPQLIEGITNPGKSTEIKCECLDILGDMLQRFGNLMTKDAHEELLSALLSQLGSNQASVRKKSILCIASLASSLSDDLLAKATFEVVQLLKSKNIKPELTRTNIQMIGALSRSVGYRFGPHLGDSVPLLINYCMTASESDEELREYSLQALESFLLRCPRDISPYCDDILILTMEYLSYDPNFTDNMEEDTDAEGDDEEEDDESANEYTDDEDASWKVRRAAAKCLQAIIMSRPEMLIKLYYEACPKLIERFKEREENVKMDVFNTFIELLRQTGNVTKGQVDIDESSPRWLLNQEVPKIVKSVNRQLREKSVKTKVGAFSVLKELVVVLPNCLAEHIGSLVSGIEKALTDKSSTSNLKIEALVFTRLVMASHSPSVFHPYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVLRPNFETSTLDFKPYINPIYNAILARLANQDQDQEVKECAISCMSLVISTFGDNLQRDLPACLPILVDRMGNEITRLTAVKAFAVIANSPLRIDLSCVLEQVVSELTAFLRKANRALRQATLGTLNSLVVAYGEQITSSAYEVIIVELSTLISEADLHMTALALELCCTMMTDRISSQSVGLTVRHKVLPQALILIRSSLLQGQALQALQRFFASLVHSANTSFDALLDSLLSSAKPSPQSGGLAKQALYSIAQCVAVLCLAAGDQKCASTVEMLKGILKDDSSMNSAKQHLALLCLGEIGRRKDLSMHVHIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIARHSIDQTGQSEFQESNVEKILNLLFNHCESDEEGVRNVVAECLGKIALIEPKKLVPALKERTASPTAFTRATVVVAVKYSIVERPEKIDEILYPEFSTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVIKKELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDGCLDQMNPSSFIVPYLISGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLEKTINHKPKADAVKQEVDRNEDMIRSALRAIASISRISGGDYSLKFKMLMNNIMSTASLAEKYHFVRSE >Ma07_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29276403:29283190:-1 gene:Ma07_g21210 transcript:Ma07_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRTLPPNSARALPDSDEFWRENPGGGWATAVVVLLLLSWQLLRLFFSRRHRAASVAAGSSPASTTMGSLEGGPSAGISKLITDADLRDLMISLEGNLEENERWKDVIEKSSDLVSYKAKCCRPKDGPLKYLSVTTFEKCSAELLRDFYMDNEYRKEWDKILIQHDQLQVDENSGTEIGRSVKRFPLLTPREYVLAWRVWEGKDKTFFCLIKDCEHPLAPREKKYVRVVFFRSGWCIREVPGRDACEITMVHQEDAGLNIEMAKLAFAKGIWSYVSKMNSALRQYSSHPSRSILVPILLRLTKKVPPELETNAAETSIQKVKERSGSDFGSQSRVDISQKKPSRSSKKWIANGLLLLGGIICLSRRRPNIGTQLAMACILKKLMNNGAESSQVESAQLRPDHRKTRHIG >Ma11_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22112669:22118193:-1 gene:Ma11_g16670 transcript:Ma11_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHGWQLPAHNFQVVAITVFFLLVVAFYAFFAPFLGKDVIEYASIAVYTPVALTVFILYVRCTRINPADPGIMSKFDDDSDSEQKSAPSLQGIDDSKANARMGPRKRTARCSLIGFICSLFVKEDCRKHEVTEQQPDEDDALFCTLCNAEVCKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFIALMATSLIWLAIEIGVGIAVFVLCFVDKKGTEANIKEKLGNGFSRGPVAAIIAICIAVSLLACVPLGELFFFHMILIKKGITTYEYVVAMRAMSEAPPVSGDEEEPNTLYSPTNSATTSLSIGSSLGLQYKGVWCTPPRVFVDQQDEVISHLGPGMVPSTVDPDAVGSVERANKSKKAVKISAWKLAKLDSNEAIRAAAKARASSSVLRPIEAHHVPHTDGSSSGNASVRSSLSADYSASKESRSEVKLSPLRNSELQSIASKEDFETGTQTASSLSSPVHIYESVAPSSLPLQHPVTEQRPPHFIPRGPPTTQNNTMFQSSAAVVRDNKRATVVWDQEAGRFVSVPAAAGMVSTEVPPRTSRVSLVNPSPETSTYDRRATLKASSSILPPLSQQERLVYTGRSIFFGGPLLNDPVRDAVTNNSSSDVMQGNNKESNADHEERGGKW >Ma01_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14358876:14362001:-1 gene:Ma01_g18970 transcript:Ma01_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPTPPGSPSPGRCTDPGPPRPPIFQSLKIKRTPKTPNSFGDRTTSDVVVRLRTHEGRDNWFYCHSEILIEKSKYFAERLSDDWPTCQILDSRYCVEVYCEELEFDFHVIAFRLLYVTEPQTRYGVRNTLGIFQVAVRLGCHQLAHDCIDYLESVPWEETEEEEMLRIIPHLGSQYEEILARLQPVNPISVVGVFISTIRFATSSPSTSLRDLKSSAQDQLEYMLTEDDDAPLLTLDNEDIKIEVKNCVRDLLSRFNYHIESLLNASQDMVSEMTMVCDMHSFLSDISWVCQILTKMEMMKHLVHYWLEASLNIVRVTEKLNQDDHMPETRLKVIEVTSKVLDAVGFGHVIVATAMRLGLVNVWLPFVQRTRPLLEQANSDSEGGSSLQIDSEIWQGLESAFISILLTLPSVSQAEILAGWLRSEHARYPDLTEAFEAWCYRSKIAKRRLALSDGISNRTSSQVPP >Ma08_p25320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38213564:38227939:-1 gene:Ma08_g25320 transcript:Ma08_t25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPLLKLSQRCRQSLCSMLGLQPPLSALLRRSPPPPPLLFPSTSDRLCCSPFRSLASTADGVKDARRSERIGNDGNPPNSPPPPPSDSGLDYPTGEFEMEEFGWWRRLVVKLRLFFALPWVRVEKGSVLKMQLRGKISDQLTTRFSSGLSLPQICENFMKAAYDPRISGIYLHIEPLNCGWGKLDEIRRHIMNFKKSGKFIISYLPECREKEYYLACACGEFYVPPSAYVALYGLTVQSAFLGGVLEKAGVHPEVHRIGKYKSFGDQLACKGMSNEVREMLSSLLDNIYENWLETVSSSQGKRREEIEDFLNSGIFQVEKLKEEGWITNIMYDDEVMSMLKERLGQKNKKKLLMVDYSKYSRVRKSTLGLDGGREQIAIIRASGNITRTRGPLSVAGSGIMAEQLIEKIRSIRDSDRYKAVILRIDSPGGDALASDLIWREIKLLAASKPVIASMSDVAASGGYYLAMAAGTILAEKLTLTGSIGVVRGRFSLNKLYGTIDLNKEILSRGQYAELNVAEQRPLRPHESELFAKSTQYAYTQFRDKAALSRSMTVDQMEEVAQGRVWTGKEAASKGLVDAIGGFSRAVTIAKYKAKIPHDKQVKLVEVSRPSPTLPERLGGIGNSLFGLDMAVKEVLQDLTFSDGVQARSDGILLDIAGNISQDNHVLHLIKDCLSSS >Ma06_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3301109:3312838:1 gene:Ma06_g04470 transcript:Ma06_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSGSSVAEISPSPAAPPGTATGLAGNNAHMLYIFNRGGVCLLYREWHRPLRTLDANQDQKLMFGLLFSLRSFTAKMDPTSVDKGNLGVPLLPGQGCSFYSFRTNTYKLSFMESPSGIKLILITHPKTSDHRESLKQIYSLYVEYVVKNPLYVPGTPIKSELFDTNLEQYVKTLI >Ma10_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28684405:28687484:-1 gene:Ma10_g17200 transcript:Ma10_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPNPKVKRNAAIRRFFVVLLAVSVAIIFLILSLTDTAPEVSGKEDEGLLSLPPSDLYSSGLHFVRRSVLAVRSDPLRSRAELIRKQVGDHAAVAGAYASFARRLKLESSKQARLFAELARNLSLLLAAHRPFLESAAPLDEATVRGFERGVKDRIRAARLLIADAKESFDNQLKIQKLKDTIFAVNEQLSKAKKAGAFSSLIAAKSIPKSLHCLAMRLMEERIAHTDRYVDPPTPPPELEDPKLYHYAIFSDNVLAASVVVNSAVRNAREPWKHVFHVVTDRMNLGAMQVMFRMKDYSGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTALWKIDMDGKVNGAVETCFGSFHRYTQYMNFSHPLIKEKFNPNACGWAYGMNFFDLDAWRKEKCTEQYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIKNAAVVHFNGNMKPWLDIAMNQFRHLWTKYVDYDLEFVRQCNFAA >Ma10_p30740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37023547:37026050:-1 gene:Ma10_g30740 transcript:Ma10_t30740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGSREVRGGMAASASAQMALHDDHGSQQIQNPGLHDDFFDQMLSGLPSAWPDLGNPKSPWDASAAQKLFRIDLAGKQPDESQPEAAAEGLRYASYEEATMLASRLSQSQGSGGSSPTGEAMMLQLNNHHILPAVGRSQTAVGGSVGESGLLPLPLTLGSGGAADPRIIVETPRDEMDRPYKSPDHNGGEELYNGLGGSIRAAQAATGQHFHHPQQGASMSSQSYGGAAAPAGVGQGPAASASSGGGTPPQKQKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADISTEGPGGRAGANGAAAGNNDSLTVTEHRVAKMMEEDMGSAMQYLQGKGLCLMPISLASAISSAACRPSAGGLVPAPPPATTSAAAAAESPSSPSASALTVQSAAADTTAPKR >Ma10_p30740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37023547:37026050:-1 gene:Ma10_g30740 transcript:Ma10_t30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGSREVRGGMAASASAQMALHDDHGSQQIQNPGLHDDFFDQMLSGLPSAWPDLGNPKSPWDASAAQKLFRIDLAGKQPDESQPEAAAEGLRYASYEEATMLASRLSQSQGSGGSSPTGEAMMLQLNNHHILPAVGRSQTAVGGSVGESGLLPLPLTLGSGGAADPRIIVETPRDEMDRPYKSPDHNGGEELYNGLGGSIRAAQAATGQHFHHPQGASMSSQSYGGAAAPAGVGQGPAASASSGGGTPPQKQKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADISTEGPGGRAGANGAAAGNNDSLTVTEHRVAKMMEEDMGSAMQYLQGKGLCLMPISLASAISSAACRPSAGGLVPAPPPATTSAAAAAESPSSPSASALTVQSAAADTTAPKR >Ma11_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26848109:26853091:-1 gene:Ma11_g23550 transcript:Ma11_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGGGPPDFDLPEAVLSALPTDPFEQLDVARKITSIALATRISALESEVSALRLRLSERDDLVAELRAKLEDLDASLGDVSDRLGRAQDEKETLLNENATLSNTIKKLNRDVSRLEIFKKTLMQSLQDEEESPQGVARVAPKISETASFSSGSLVEDDEVGSSPIKYSQQSRLSETGSSLSEQGGSGEIDGSKEGRVFGSLASLGSTPRLTPPGSPPRRHSISVATTRNMFEERSSVFSSLPSSHHNSMSSPFDTGAQAGRTRVDGKEFFRQVRSRLSYEQFSAFLSNVKELNAHKQTREETLQKAGEIFGPDNKDLYAIFEGLITRNLH >Ma10_p28670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35489344:35490266:1 gene:Ma10_g28670 transcript:Ma10_t28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEMEKQPPPLIVAMKGHPGTGKSTLARAISAALACPLLDKDDVRDCTLPVQHALSDTGTAVAAAGLLNDLSYSVIWRLAATQLRLGLSVVVDSPLSRRAHLDRLLDLAHGAAAAGVVVVECRPKDAAEWRRRLEARGAAAGSGEEGWHKPGTWEDLQRLLEGYQGCTDFDVSDVPRLVVDTTAADEMVATVLEFIRSAR >Ma08_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1584324:1588614:1 gene:Ma08_g01920 transcript:Ma08_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSHHLFLLLLHHVLTVCSTVAAFSPKDNFLINCGANATVTSADGRAFWPDTSGEVSSFVLSPISHDVVQVSSSDVYGSARVFREAAAYRFNIKQKGRHFLRLHFQPIRSSLYDMKSASFSVKANEYTLFHNFSCSRLDLCRSVVVKEYIIEVGLVLKQLSVMVTPSNGSIAFINAIEVVSVPGNLVPSVASPVPPGPGVEISARTGFETAYRINVGGPVLDSRNDTLWRVWEDDRPFLVISASVHSISTDPDSIRYPSEVPQYIAPSLVYATAQEMADANVGNQKFNISWVFNIELGFMYLVRLHFCDFLSKSARNLLFNVYIDNQSVLSSFDISGKKGFLTAYFVDFIVDVQMDSDRRILVQIGPPELMNFPPDAILNGIEIFKLSDSDDNFDVNHMVHSIDVELTKNQKNEALVTASSCFLGLVFLIIIVVVILLCLRGRRNAKKPTLASFPSPIAPTTHMGNSHTKVSTRSYASSGPSLGIGQLLAFSEIQEATKNFDESLVVGIGGFGKVYKGVLENGLVVAVKRGNPRSQQGLVEFRTEIEMLSKLRHRHLVSLIGHCHEANNMVLVYEFMAGGPLRKHLYGSGLPALSWKQRLEICIGAAKGLHYLHTGAAENIIHRDVKTTNILLDENLTAKVADFGLSKMGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPAINPALPRDQVNIVEWAMNWQKRGLLEHIIDPHLARTVSPDSLRKFSGTAEKCLAEHGIDRPSIGDVLWNLEYSLQLQETFSGVIGDGSSSNCIPDLREWIPQVDIAQHDVSVSSDATDVATSRVFSQLMNPKGR >Ma08_p01920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1584324:1588614:1 gene:Ma08_g01920 transcript:Ma08_t01920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSHHLFLLLLHHVLTVCSTVAAFSPKDNFLINCGANATVTSADGRAFWPDTSGEVSSFVLSPISHDVVQVSSSDVYGSARVFREAAAYRFNIKQKGRHFLRLHFQPIRSSLYDMKSASFSVKANEYTLFHNFSCSRLDLCRSVVVKEYIIEVGLVLKQLSVMVTPSNGSIAFINAIEVVSVPGNLVPSVASPVPPGPGVEISARTGFETAYRINVGGPVLDSRNDTLWRVWEDDRPFLVISASVHSISTDPDSIRYPSEVPQYIAPSLVYATAQEMADANVGNQKFNISWVFNIELGFMYLVRLHFCDFLSKSARNLLFNVYIDNQSVLSSFDISGKKGFLTAYFVDFIVDVQMDSDRRILVQIGPPELMNFPPDAILNGIEIFKLSDSDDNFDVNHMVHSIDVELTKNQKNEALVTASSCFLGLVFLIIIVVVILLCLRGRRNAKKPTLASFPSPIAPTTHMGNSHTKVSTRSYASSGPSLGIGQLLAFSEIQEATKNFDESLVVGIGGFGKVYKGVLENGLVVAVKRGNPRSQQGLVEFRTEIEMLSKLRHRHLVSLIGHCHEANNMVLVYEFMAGGPLRKHLYGSGLPALSWKQRLEICIGAAKGLHYLHTGAAENIIHRDVKTTNILLDENLTAKVADFGLSKMGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPAINPALPRDQVNIVEWAMNWQKRGLLEHIIDPHLARTVSPDSLRKFSGTAEKCLAEHGIDRPSIGDVLWNLEYSLQLQETFSGVIGDGSSSNCIPDLREWIPQVDIAQHDVSVSSDATDVATSRVFSQLMNPKGR >Ma04_p32230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32301854:32303173:-1 gene:Ma04_g32230 transcript:Ma04_t32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPHLKTVLFGLCSVFVAVGFASAATQTETLVSVVGATECLDCAQKSIKTENAVKGLRVAVKCKVSDEQYETKATGELDSNGNFEVKLPSELLLENGDLKHECFAQLHNTSNAPCPDKNNALNPSKLILKSKDEGKHTFVAASGKLSFSSATCASATFYPCPPLAWKKKHPKLHMPHYKFPPKSHYHPHPVYSPPTYNPPAPVHKAPSGGYYQPPAPVHSPPSGGYYQPPAPMHKPPSGGYYNPPAAPVYKPSPMPHHEHPTIKYHPMPKKTLPHMKHIPHFHHDHPKYNKPSFPPAKVHSKP >Ma05_p06820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5011291:5016089:1 gene:Ma05_g06820 transcript:Ma05_t06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVPSVYIHVVDDVINKVREEFINYGVGEGVLNELQALWEMKMKQCGAIGDSIERSSLPKIAAPTPVRDLNVPYEGPTEEYETPTAEMLFPPTPLQTPIQTPLPGTTDPSMYNIPTGPSDYAPSPISDIRNSIDLKAGRPSPYMQPPSPWMNQRPLGVDVNVAYVDGREEAGRGSSHQPTTQDFFMNPAGKRKRDDYNSHFSSGGYIPQQDGSGDVMMEFSLTQNVLSQFQKSSIMDGQGTATSKFLSNKDAKPAKLIPQVDGTHDDYDDMFHLQEVPSEDYNTPGEHVEVRGVTPVGTPKPSTDDDEPSLNEDDDELDDLDQEEVETNTQHLVLALFDKVTRTKSRWKCNLKDGIMHLNNRDFLFNKATGEFEF >Ma05_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5011291:5016089:1 gene:Ma05_g06820 transcript:Ma05_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVPSVYIHVVDDVINKVREEFINYGVGEGVLNELQALWEMKMKQCGAIGDSIERSSLPKIAAPTPVRDLNVPYEGPTEEYETPTAEMLFPPTPLQTPIQTPLPGTTDPSMYNIPTGPSDYAPSPISDIRNSIDLKAGRPSPYMQPPSPWMNQRPLGVDVNVAYVDGREEAGRGSSHQPTTQDFFMNPAGKRKRDDYNSHFSSGGYIPQQDGSGDVMMEFSLTQNVLSQFQKSSIMDGQGTATSKFLSNKDAKPAKLIPQVDGTHDDYDDEVPSEDYNTPGEHVEVRGVTPVGTPKPSTDDDEPSLNEDDDELDDLDQEEVETNTQHLVLALFDKVTRTKSRWKCNLKDGIMHLNNRDFLFNKATGEFEF >Ma04_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24030510:24033932:1 gene:Ma04_g21460 transcript:Ma04_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICQDRPDIVFSPFFPWVCQQTTMIPRQATKFTRAVAGLNVARTIIEATAAVIILDKKGSEKSILIFYLGSGTISVSILTIVNENFMVLAANGDTYLVGKDFGQQMMEIFIKSI >Ma03_p10360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7793937:7797903:1 gene:Ma03_g10360 transcript:Ma03_t10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGKKVTHDFLSLCSPDSSFQLQDPRASSQGLFLKTRDFLQPLEREGKGGEAADGGSVPAERNASSISHIGAGQGAEKPEGSALRVLPIGLQTKPEPDYGSRSTTTSYGSYAGGVSYTLWDDNDTASRGQWPPPSLAALVATKHTWAPEKKRFMEAAASRSSRGYQVDDEEEAFGKRQGSSSSHKDSSIKAEGRAFSSEERPNTPRSKHSATEHRRRTKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQNYESSYPGWNQDEIKLMPWQKKNPASKNASQIIRNDSAAPAQILSEKLENMPSTMVGSPATDVPAGNSCKTTEAATRFASNMAIHDQSPWSVRSCRDMLNEPEELALDEGTISVSAAYSHGLLNTLTQALQSSGVDLSRASISVQINLGKRAKRTAATATIKVMRTLLFQDINISTPVLKQQMISIPLLLAYQL >Ma03_p10360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7793935:7798319:1 gene:Ma03_g10360 transcript:Ma03_t10360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISFRFAPRIRLSSFKIQGLHLKTRDFLQPLEREGKGGEAADGGSVPAERNASSISHIGAGQGAEKPEGSALRVLPIGLQTKPEPDYGSRSTTTSYGSYAGGVSYTLWDDNDTASRGQWPPPSLAALVATKHTWAPEKKRFMEAAASRSSRGYQVDDEEEAFGKRQGSSSSHKDSSIKAEGRAFSSEERPNTPRSKHSATEHRRRTKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQNYESSYPGWNQDEIKLMPWKKNPASKNASQIIRNDSAAPAQILSEKLENMPSTMVGSPATDVPAGNSCKTTEAATRFASNMAIHDQSPWSVRSCRDMLNEPEELALDEGTISVSAAYSHGLLNTLTQALQSSGVDLSRASISVQINLGKRAKRTAATATIKEQKDPASIFQSSKRRKAENS >Ma03_p10360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7793938:7798319:1 gene:Ma03_g10360 transcript:Ma03_t10360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGKKVTHDFLSLCSPDSSFQLQDPRASSQGLFLKTRDFLQPLEREGKGGEAADGGSVPAERNASSISHIGAGQGAEKPEGSALRVLPIGLQTKPEPDYGSRSTTTSYGSYAGGVSYTLWDDNDTASRGQWPPPSLAALVATKHTWAPEKKRFMEAAASRSSRGYQVDDEEEAFGKRQGSSSSHKDSSIKAEGRAFSSEERPNTPRSKHSATEHRRRTKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQNYESSYPGWNQDEIKLMPWQKKNPASKNASQIIRNDSAAPAQILSEKLENMPSTMVGSPATDVPAGNSCKTTEAATRFASNMAIHDQSPWSVRSCRDMLNEPEELALDEGTISVSAAYSHGLLNTLTQALQSSGVDLSRASISVQINLGKRAKRTAATATIKEQKDPASIFQSSKRRKAENS >Ma03_p10360.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7793937:7797903:1 gene:Ma03_g10360 transcript:Ma03_t10360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISFRFAPRIRLSSFKIQGLHLKTRDFLQPLEREGKGGEAADGGSVPAERNASSISHIGAGQGAEKPEGSALRVLPIGLQTKPEPDYGSRSTTTSYGSYAGGVSYTLWDDNDTASRGQWPPPSLAALVATKHTWAPEKKRFMEAAASRSSRGYQVDDEEEAFGKRQGSSSSHKDSSIKAEGRAFSSEERPNTPRSKHSATEHRRRTKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQNYESSYPGWNQDEIKLMPWQKKNPASKNASQIIRNDSAAPAQILSEKLENMPSTMVGSPATDVPAGNSCKTTEAATRFASNMAIHDQSPWSVRSCRDMLNEPEELALDEGTISVSAAYSHGLLNTLTQALQSSGVDLSRASISVQINLGKRAKRTAATATIKVMRTLLFQDINISTPVLKQQMISIPLLLAYQL >Ma03_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7793937:7797903:1 gene:Ma03_g10360 transcript:Ma03_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGKKVTHDFLSLCSPDSSFQLQDPRASSQGLFLKTRDFLQPLEREGKGGEAADGGSVPAERNASSISHIGAGQGAEKPEGSALRVLPIGLQTKPEPDYGSRSTTTSYGSYAGGVSYTLWDDNDTASRGQWPPPSLAALVATKHTWAPEKKRFMEAAASRSSRGYQVDDEEEAFGKRQGSSSSHKDSSIKAEGRAFSSEERPNTPRSKHSATEHRRRTKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQNYESSYPGWNQDEIKLMPWQKKNPASKNASQIIRNDSAAPAQILSEKLENMPSTMVGSPATDVPAGNSCKTTEAATRFASNMAIHDQSPWSVRSCRDMLNEPEELALDEGTISVSAAYSHGLLNTLTQALQSSGVDLSRASISVQINLGKRAKRTAATATIKVMRTLLFQDINISTPVLKQQMISIPLLLAYQL >Ma03_p10360.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7793935:7797903:1 gene:Ma03_g10360 transcript:Ma03_t10360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGKKVTHDFLSLCSPDSSFQLQDPRASSQGLFLKTRDFLQPLEREGKGGEAADGGSVPAERNASSISHIGAGQGAEKPEGSALRVLPIGLQTKPEPDYGSRSTTTSYGSYAGGVSYTLWDDNDTASRGQWPPPSLAALVATKHTWAPEKKRFMEAAASRSSRGYQVDDEEEAFGKRQGSSSSHKDSSIKAEGRAFSSEERPNTPRSKHSATEHRRRTKINDRFQILRELIPHSDQKRDKASFLLEVIEYIRFLQEKAQNYESSYPQKKNPASKNASQIIRNDSAAPAQILSEKLENMPSTMVGSPATDVPAGNSCKTTEAATRFASNMAIHDQSPWSVRSCRDMLNEPEELALDEGTISVSAAYSHGLLNTLTQALQSSGVDLSRASISVQINLGKRAKRTAATATIKVMRTLLFQDINISTPVLKQQMISIPLLLAYQL >Ma06_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9859567:9860862:-1 gene:Ma06_g14410 transcript:Ma06_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLEGKERAETLARIANGCQEWGFFQLVNHGIPVEFLERVKKVCSECYRLRAEGFKASKPVQLLNKLVEEEGDAADAKRLDNVDWEDVFLLQDDNEWPANPPEFREIMKEYREELRKLAEKVMEVMDENLGFEKGSIRNSFSGNGEHQPFFGTKVSHYPPCPRLDMVNGLRAHTDAGGVILLFQDDQVGGLQILKDGQWIDVQPVANAIVINTGDQIEVLSNGRYKSVWHRVLTTSDGNRRSIASFYNPSLKATIAPGTNKDGSATALYPKYVFGDYMDVYVKQKFLAKEPRFAAVRAV >Ma07_p26990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33531116:33531815:1 gene:Ma07_g26990 transcript:Ma07_t26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNTQPSADDIKCILESVGAEVDDGRIDFLLSEAKGKDVTELIAAGREKFASVPSGGGVAAVSVAVAGGGGAGAGPAAEEPQKEEKVEEKEESDDDMGFSLFD >Ma02_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19002676:19034251:1 gene:Ma02_g08750 transcript:Ma02_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSNHLPATPKILLRSSPSNPIFLASNRSLFFGDASCLSRRRRRHRAAALRGVGASGWSSASVKAVLDVDRQGAALRASVVKQRCPDDRPQVASLSDIISERGACGVGFIANLKNEPSHKIVKDALTALGCMEHRGGCGADNDSGDGAGVMTSVPWQLYDNWAVKQGLASLDRSKTGVGMVFLPKDEKFMKEAKSVISKIFLKEGLEVIGWRPVPVNSSIVGYYAKEAMPSIQQVFVKVSKEENADDIERELYICRKLIERATKSEEWKDDVYFCSLSNKTIVYKGMLRSEVLGQFYLDLQNELYESPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMQSREATLKSPVWRGRENEIRPFGNPKASDSANLDSAAELLLRSGRSPAEALMVLVPEAYKNHPTLMIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTVDDIVYVASEVGVLPMDESKIIMKGRLGPGMMITVDLQSGQVYENTDVKKSVASAYPYGNWLRENMRNMKPGNFLSSVVMDNETTLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVISRKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENASQVILSSPVLNEGELESLMKDPNLQAQILSTYFDIRKGLDGSLEKALQRLCEAADEAVRDGCQLLVLSDRSEDLEPTRPAIPVLLAVGAVHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSTKAVSLMRTGKMPTVTIEQAQRNFCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEIVDIAFCGSVSKIGGLTLDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVRQKSENAYSIYQQHLANRPVNVLRDLLELKSGRAPIPIGKVESAVSIVQRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPVRWSPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIQNGLRERVILRVDGGFKSGIDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRGVLAQLGYEKLDDIIGRTDLLKPRHISLTKTQHLDLSYLLSNVGLPKWSSTEIRNQDVHTNGPILDEIILSDPEISNAIENEKEVNKTVKIYNVDRAVCGRIAGVIAKKYGDVGFAGQLNLTFIGSAGQSFACFLTPGMNIRLVGEANDYVGKGMAGGELVVTPVDDTGFCPEDATIVGNTCLYGATGGQIFVRGKAGERFAVRNSLVEAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLIPKVNKEIVKIQRVNAPAGQMQLKSLIEAHVEKTGSSKGAAILREWEVYLPLFWQIVPPSEEDTPEACTEFERIVAKRGMTLQSA >Ma01_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24713713:24718929:1 gene:Ma01_g22400 transcript:Ma01_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phosphoribosylformylglycinamidine synthase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G74260) UniProtKB/Swiss-Prot;Acc:Q9M8D3] MVVLGDTTATGFLRLQASSIPAGRRGSNGVLWRTYHRRMRCHVTRCSVDRRQLPGLATGRGLMPRSPLLLNPRAVRSKGLQEPVVEEPDALGLAPEIMHFYRRPLLQESAVAELLRQVQIRVSADIVDIETEQCINVGLNGMLSGEQLRILKWLLQETFEPENLQAESFLEKEASKNVGAMIVEVGPRMSFTTAWSTNAVSICQACSLAEVTRMERSRRYLLRVRTGSKPLDESQINDFAAIVHDRMTECVYSKRLVTFHSSAVPEPVTVIPVIERGREALEEINLKMGLAFDEQDIQYYTRLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLIIDGEPMSKTLMQIVKSTLKANPKNSVIGFKDNSSAIKGFPVTQLRPASPGLTSPLCNLTCELDVLFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLHIEGAYAPWEDPSFTYPSNLASPLHILIDASDGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSAGIGQIDHSHISKGEPEVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGDKNPIISIHDQGAGGNCNVVKEIIYPEGAEIDIRSIVVGDHTMSVLEIWGAEYQEQDALLIKPESRSLLESVCKRERVSMAVIGTISGSGRIMLIDSSAVEHCQINGLPPPPPVENLELEKVLGDMPQKSFEFKRVTPVVEPLDIAPGTTLMDCLKRILKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLSDVAVISQTYTDLTGGACAIGEQPIKGLLNPKSMARLAVGEALTNLVWAKVTSLGDVKASGNWMYAAKVDGEGAAMYDAAVALSESMIQLGIAIDGGKDSLSMAAHASGELVKAPGNLVISTYVTCPDITLTVTPDLKLGDDGVLLHIDLAKGLRRLGGSALAQVFDQVGDGCPDLDDVLYLKVVFETVQELLSERLISAGHDISDGGIIVCILEMAFAGNCGVQLNLSSKGHNLLQELFAEELGLVLEVSSQNINKVVKRLEAAGISGEIIGNVTASPTIELSVDGINQLKEETFYLRDLWEETSFQLEGLQRLASCVKLEKEGLKSRHVPLWRLSFTPRFTDEKLMSATLKPKVAVIREEGSNGDREMSAAFYAAGFEPWDITMSDLLHGQISLNDFNGIVFVGGFSYADVLDSAKGWSATIRFNQPLLQQFQDFYNRPDTFSLGVCNGCQLMALLGWVPGASVGGSLGNGGDMSQPRFIHNESGRFECRFTSVTIGESPAIMFKGMEGSTLGVWAAHGEGRAYFPDDGILNNVLKSRLAPLRYCDDDGSITEIYPFNPNGSPLGVAALCSPDGRHLAMMPHPERCFMMWQFPWYPKEWDVEKRGPSPWLRMFQNAREWCS >Ma09_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3468584:3472729:1 gene:Ma09_g05400 transcript:Ma09_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRKLLKVIILGDSGVGKTSLMNQYVNRKFSIQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFIVLGNKTDIDGGNSRVVSEKKAKHWCTSKGNIPYFETSAKEGINVESAFECIAKIALKNEPEDDIYLPDTIDVASGGRQQRSSGCEC >Ma02_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23028581:23032131:1 gene:Ma02_g15250 transcript:Ma02_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALAEMGLELELCAMRTTVCGFVKEASAIESVGGGRATRLEASIKSLEEEKRKIEAFRRELPLCMRLLSEVIEELRREIDRCHGESFGCIVEEFIPIKSKVGDDGGIKVESDCKDKMNWMSSVQLWSDNYIENNDDDKAIAKEKDGVVDRRQEEQSNLECKNRRSGAALSLFKGLPPLAARSMTEDKPTASLPELSLQSAVIKSNPDVVTPVTVDHRGGSAKGARKSPELFRATLSVQSQQQPPRKERRCWSQELHRRFVLAIEQLGGTQVATPKQIRELMKVEGLTNDEVKSHLQKYRLHARKMTTSWATASHSVPVIWVREEQYTSSSQQSISQAGSPTSPLQLTAADSSEEDGKSESYNWK >Ma02_p15250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23028581:23032131:1 gene:Ma02_g15250 transcript:Ma02_t15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALAEMGLELELCAMRTTVCGFVKEASAIESVGGGRATRLEASIKSLEEEKRKIEAFRRELPLCMRLLSEVIEELRREIDRCHGESFGCIVEEFIPIKSKVGDDGGIKVESDCKDKMNWMSSVQLWSDNYIENNDDDKAIAKEKDGVVDRRQEEQSNLECKNRRSGAALSLFKGLPPLAARSMTEDKPTASLPELSLQSAVIKSNPDVVTPVTVDHRGGSAKGARKSPELFRATLSVQSQQQPPRKERRCWSQELHRRFVLAIEQLGGTQVATPKQIRELMKVEGLTNDEVKSHLQKYRLHARKMTTSWATASHSVPVIWVREEQYTSSSQQSISQAGSPTSPLQLTAADSSEEDGKSESYNWK >Ma09_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18088452:18088628:1 gene:Ma09_g18560 transcript:Ma09_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKIACAVLIAAASATTTLAAEAPAPGPASASFAINPAVGAAIGASLLSFFALYLQ >Ma07_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32050240:32052386:-1 gene:Ma07_g24880 transcript:Ma07_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTLAFSPITRKDQPLHSSSGSRFGDVARIWQTTLADPRYISRLDVDWGQVSSTLEDIDCAGEGNLRIGLLNFNLSEVRSWQQTLPPHAEPSSVHLDHADTNLTWELLYPEWIDEEEESGVAPACPSLPQPRVKRGSESFHLVAVKLPCDRSGRWSRDVARLHLQLAAAKLAAASAALRVLLLTDCLPLPNLFTCRDLVRHEGNLWLYKPDPATLEEKRRLPVGSCELAIPYEEKVRIYTEAGKREAYATILHSAEHYVCGAIAAAQSLRSSGSARDLVILVDETISRRDRGGLEAAGWKLRTIERIRNPKAKRDAYNEWNYSKFRLWQLTDYDKVVFIDADLLVLRNIDFLFAMPEVSAVGNNATLFNSGVMVVEPSDCTFRLLMDHVDEITSYNGGDQGYLNEIFTWWHRVPRNMNFLKHFWVGYTERRKAKKNGLMGADPPALYVLHYLGPKPWLCFRDYDCNWNPQSYRDFASDAAHATWWRVHDALPENLRGFCLLPTKTKAYLEHIRRQAEKAKYPDGHWKQNITDPRLGVCAEEFCSWESMLLHWGEANSPHDSAAAPPSS >Ma05_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23927693:23941804:-1 gene:Ma05_g18470 transcript:Ma05_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREPDGATVAMGEPVAAGAACVAEPPRIRRLDESVVNRIAAGEVIQRPVLAVKELVENSLDAGSTSISVVVKDGGLKLIQVSDNGHGIRSEDLPILCERHTTSKLSAYEDLQSIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMEHEPKPCAAVRGTQIMVENLFYNMIARRKTLQNANDDYAKIVDLISRFAIHNSSVSFSCRKHGVNRADVHTVATLSKLDAIKTIYGISVARDLMEITVSDDNPSRSIFEMNGFISNANYTAKKTTMVLFINDRLVECTSLKRAVEVVYSSTLPKASRPFIYMSIKLPSEHVDVNIHPTKREVSLLNQESLIETIQNAVESKLMTCNTTRTFQTQTVSCAPASNFTARKDTEISPSVSGTKSQKVPVNQMVRTDSRDPFGRLHAYWQDCPASQHEKKSDLASVRIAVRQRRNPRESADLTSIHELLNEIDQNIHPGLLEIVKNCTYIGLADEAFALLQHNTHLYLVNVVNVSKELMYQQVIRRFAHFNAIQLSEPAPLPELLILALKDEDLESGGDNDDELRKKIAEMNTELLKQKGEMLEEYFCININQEGNLMRLPVILEQHTPDMDHIPEFLLSLANDVDWENEKECFQAISAVLGNFYAMHPPVLPNPAGDGIEFYKKINGKAASIDDADDHGQDDLDQELLAEAETAWAQREWNIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >Ma02_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19416416:19418325:1 gene:Ma02_g09420 transcript:Ma02_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFQILQFYEPEKVMGNRGQASACPPRALVAQGSLHTITFDDVQQHLSELGKPLNSMNLDELLNSVMAVEQQIQAPSSSSSSSSSSSSSSSCTTNASSVPPGNYDLNCPLSHKTVDEVWREIMRHEEQGNTEGAETFGETTLEDFLVRAGAINVGAPNCGGGIDGDSPPLLPMDPVVQQQQEEWLRYQLTTAQHQQQSQPMSLLETGLPLFANAVMNAGLCDHQLVVKPASSDSKMTSERQRSDEKTLDKITERRQKRMIKNRESAARSRARKQAYIKQLEEEVMQLTNTNKRLKKQKEEEMALRVTPPSEPRYQLRRTSSAKF >Ma10_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24947798:24951850:-1 gene:Ma10_g11420 transcript:Ma10_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLILHCWFSTPSFASSIGTTTFSSATAFTSSASHPQALSECEHTLTALGLNVARDAFDDTAGAAEYVVANGLQDTAAIASARAAELYGLEVLADGIQDNQGNMTRFGTSVLSKVLLAFAIRDIGLTKIESRPHRQRPIRMEDEAGNGSGGGGGGGVAGTAKHFEYVFYVDFQASLAEPRAQNALAEVKEFSSFLRVLGSYPMDMTPT >Ma03_p33550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34867956:34869169:1 gene:Ma03_g33550 transcript:Ma03_t33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARHSVHIGGLFRVDVEELSVDSIYLTVWASPLIPLHMGKTEKASIMIEHHFGRQLQPPIGEERINELGKWVRKEINVSGNSWDASSVDIAVAGLGWCAIGLKGEAVLGVWTYDGIDVVQRNSLISRRAEIFEEAGFTDSKIVSQADSAASKLNRSTCCTFGNI >Ma07_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1319963:1323521:-1 gene:Ma07_g01680 transcript:Ma07_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRPARRAAPVRNPPQPAHRAPPPAPVQGGGSILGGIGSTIAQGMAFGTGSAIAHRAVDAVLGPHTIQHETVASQAPAAATTPMSNGSTDACSIHSKAFQDCLNNYGSDISKCQFYLDMLNECRQGSGARLGA >Ma09_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1460281:1465823:1 gene:Ma09_g01970 transcript:Ma09_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVASSVAAKLAFFPPSPPSYEVVTDPGTGMARLSRFPHRENVEVLRLPTRRGTEIVAVYVRNPMASSTLLYSHGNAADLGQMYELFLELSIHLRVNLLGYDYSGYGQSTGKPSEQNTYADIEAAYKCLIEQYGAKEEEIILYGQSVGSGPTVDLATRLPRLRAIVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLIIHGTSDEVVDCSHGKKLWELCKEKYEPLWLKGGKHCDLELFPEYIKHLKKFVSTVEKSPSHRSTWRISTERFEPPRKSSDCFEPSRKSIDHREKHRPSSDKSRNKDQRRSNMEKLGKSKTSFDHLEKCRRSVDCLEKSRKNTDQLDRGRKSVDRLDRIWAG >Ma10_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25721307:25724321:1 gene:Ma10_g12680 transcript:Ma10_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRDSGAAQLPPAASSPPPLHAMDADEEDDNVKQLKECATLYLSLQECLAETNRNWKSCQSYVQALKACHTRRNPSEQK >Ma01_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5459965:5463453:1 gene:Ma01_g07540 transcript:Ma01_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFVNTFIEKLADLIKDRVVMMSEVDDELQKLKESLETIICLLKDAERKKIQDSAINSWVRKLKDVMYEADDIIDLCKAEDGRPAEEEPPSTSLGQRVRCGSPLLSCFGSVPFRYQIGIRIRDLNDRLQHLSNDHLSFKLASINRQASISAITSKTSPLLPPDTTGSVICNATDTLVDLLNEGNGQSRRLFAITGMGGIGKTTLAQNIFNDSRIIDKYQIRLWVCVSQKYSEIDLLKQMIRGAEIDHGQATERAELEPMLRRAIEGKSVFLVLDDVWRADVWVNLLRTPLSSAMAIRRILITTRDRKIANQMGAVHIHNVKLLHEDEGWELLCRSASLQRKKDIQDLRDIGIGIVRKCHGLPLAIKTMGGVLITKEKSRREWEKVLDNDAWTMSKLPEELKGALYLSYEDLPSHLKQCFLYFALFPEDYEFLQEDLVKLWVAEGFVENEGSKLLEETAKEYFREFMRRSILQPNPGFGSTRISKVHDLLRSLAQFLAEDECAYGNALVSRQNCLRTVMLFRSPTTVLNESLVRLRCLRCLSLRGTATGSIPNSIGNLIHLRYLDLCSTSVSRLPETIGQLTNLQVLDLRFCKHLCTLPQGITRLCNLRCLDLFMAPLEHLPAGIMKLKQLNFLSGFVIGERDNVGNRTLSVCDLEELKYLDRLRHLRLDRLERCFSNGTSLLLNKSLLQRLRLSCTSELQYSEEETNQIQHVFDNLKPPPGLDDLIIVGFFGRKIPSWMQSSSLATCFPCLTCLTLTNFASCLQLPPLGELLHLQYLKIIGANSVISIGLEFLGDDAATSTVFFPELKSLVIAKMPNWEEWSLHRGGGGGGGEAEEEIAAAPERPLLLLPNLETLILGKCPKLRSLPQGLLDHATKLRSLFIEGANELKALENLPSLSGLLQTKDCPSLERISNLGALGTLDIIGCPSLVCVEKVDALKRLQMRDAPMERLPEWLWGLVHQPNSSLVVVVVCDVRLLERCIRGGEDWHIVQQIPAISLYTDTDRGSRYVHYNKEPVSYNTNFSTES >Ma10_p16530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28190511:28205808:-1 gene:Ma10_g16530 transcript:Ma10_t16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPSPKPLLPLVSPLPRLRHLAALSGCLAWLRLFRSAGHMVGDLAAERTTVVAKIRRVRWASFLLGSWNIGVVLLGCFLMVYLLSGCSAEEKLPFAASTMIAGIRVVAMVGAGKAQQETAEIIVSCPTDSATVDAVVRNDRRLRYKRWLCWTRFGMVVTVLQFLGAIYLMFIVLTDISYGGRSTSCFLGQDAVNQAWKKTLVVSFLLLVWLVILIQCFTGSDVLRWRSFYSTHDTAWKAHYREVFDHGIREALCCVGRVKYLSVLEEDEVYSVARLLGDLVAYRASGTGHLELLIGLALLQSHKQMQNLHDELLDAPDILVQKAAFFHQFAEAAYTGPLLDFGRNPILFPCAWLYRQGFLTPWARDRRPLLEGDNWWRGHAAAFLKFVNLPPKALHKGRVSQTKREAAYFVVVLHDKKTIVIAIRGTETPEDLITDGLCRECALTVEDLDGLINSEHLPSAKRQKVLSSFPHYGHAGIVESARELFMQIDGEHGDKDASPSEISGFLASLLGPGSECHGYEVNIVGHSLGGAIATLLGLRLYRWYPNLHVYAYGTLPCVDFVIAEACTDFVTTIVYNDEFSARLSVNSILRLRSAATNALSDDSLADSAIIQKFARRILHVNKYLEDGQNHNVSASSVRPSLAATEKNHVSKRRPFKPITVSAQMNQDLYLEDSSLFDADASETQVLVDCDVWRANSCRTVSSKDTCLIMDRMTQFMGDQPSSTQVPVEPPEMFLPGCIVHIVREPKSILPFWKSWKLFEGDHNYRAFLPTRESFRDIKVTSHMFIDHLPWRCHYAIQRVLEERESQGRHCSNLLDAKDIANLNLLDLVS >Ma10_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28190511:28206558:-1 gene:Ma10_g16530 transcript:Ma10_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFGLHGVRRVTVAMGAISLAEATLGAALMGVMRRRWCGAREMAAVGLTSSLAVARIAAMVGMAVAQELTAIAIGATDEASLEDDFFRRERRLRYKRWLCWTRFGMVVTVLQFLGAIYLMFIVLTDISYGGRSTSCFLGQDAVNQAWKKTLVVSFLLLVWLVILIQCFTGSDVLRWRSFYSTHDTAWKAHYREVFDHGIREALCCVGRVKYLSVLEEDEVYSVARLLGDLVAYRASGTGHLELLIGLALLQSHKQMQNLHDELLDAPDILVQKAAFFHQFAEAAYTGPLLDFGRNPILFPCAWLYRQGFLTPWARDRRPLLEGDNWWRGHAAAFLKFVNLPPKALHKGRVSQTKREAAYFVVVLHDKKTIVIAIRGTETPEDLITDGLCRECALTVEDLDGLINSEHLPSAKRQKVLSSFPHYGHAGIVESARELFMQIDGEHGDKDASPSEISGFLASLLGPGSECHGYEVNIVGHSLGGAIATLLGLRLYRWYPNLHVYAYGTLPCVDFVIAEACTDFVTTIVYNDEFSARLSVNSILRLRSAATNALSDDSLADSAIIQKFARRILHVNKYLEDGQNHNVSASSVRPSLAATEKNHVSKRRPFKPITVSAQMNQDLYLEDSSLFDADASETQVLVDCDVWRANSCRTVSSKDTCLIMDRMTQFMGDQPSSTQVPVEPPEMFLPGCIVHIVREPKSILPFWKSWKLFEGDHNYRAFLPTRESFRDIKVTSHMFIDHLPWRCHYAIQRVLEERESQGRHCSNLLDAKDIANLNLLDLVS >Ma07_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29593841:29599345:1 gene:Ma07_g21500 transcript:Ma07_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGEEQQGGPQSPPSSVGVDGAVVDSAMPSSDLKESRKLPGTVNWGTATIVGVFAGLLYGGSKEASASVSKDAEVMLKLGSTPDKREQYRIMRDAMEKRFIRVARGSIVGGVRLGMFTAAFYGLQNLLAEKRGVHDVYNVAGAGSATAAMFGLIMPGSLKWRARNVLLGSVLGAGICFPLGWVHLKLVEKANEERLNSKPSDLDEEEETNRSRVGAAIERLEGNLRR >Ma08_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35068690:35077978:1 gene:Ma08_g21070 transcript:Ma08_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSTPPTMSFSTMFLVLFWLFPSSQSLHYDAIFSFGDSLSDTGNVQVAGLPYGMTFFGRPTGRCSNGRLVIDFIAEAVGLPLLPASSTTKGNSFRQGANFAYTAATALDFDFFNRRGLGGKLWVNASLSSQIGWFEKTMPSLCSSTSACKNYFGRSLFVVGEFGGNDYNTAIFAGRSMAEVNSYVPIVIRAIRLGVERLVGHGAVDILVPGMLPIGCFPVYLTLYGSSNKNDYTGIGCLRKYNDLAMHHNALLRRAIYGLQRKHSGTRIRYADYYNPALGFAADPAKYGFTGGALKACCGATGSGEYNVNPHKVCAQPGSSVCGDPTTHVSWDGSHLTEAAYLLIARGWLGGPDAKPSILSSSAAPLPLPPLALTMKQLPLLIFLLPPLFLLSCSQRYNAIFSFGDSLSDTGNVVIAGLPYGMTFFGRATGRCSNGRLVIDFIAEATGLPLLPPSTAKGQSFAQGANFACTAATTLDFDFFYHRNLSGGLWVNASLSQQIGWFEQMLPSLCGQTRECNDYLGTSLFVVGEFGGNDYTTPIFAGRSMWEVYTFVPRVVQAIAHGVERLIGHGAVDLVVPGMLPIGCFPVYLTLYATSNPSDYSSIGCLRKFNDLTSYHNSLLQAALYQLQIKYPSTRIRYGNYYTPAIQYVAYPSKYGFSGGGLKACCGAAGSGKYNVNLKAMCAKAGSSVCSDPDTYVSWDGIHLTEAAYRLIADGWLNGPYANPPIVS >Ma03_p30570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33169988:33171332:1 gene:Ma03_g30570 transcript:Ma03_t30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAQVACGEIGKRASPLVAGAKSLQRVGSGGSDVIDPEVGVEAESRKLPPSQYKGVVPQPNGRWGAQIYEKHQRVWLGTFNREAEAARAYDVAVQRFRGRDAITNLRPLNDADNNDDAAELFFLGSHSKAEIVDMLKKHTYNEELQQSKRSFGADKKAATSPGDTKSPIGTQRQHLFDKAMTPSDVGKLNRLVIPKHHAEKHFPVMEAGGAASRGVLLSFEDSSGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLGAGDVVTFQRSTGPGKQLFIDSKSPVQIFRLFGVNIVRTPAAGSAACCGTGKRTRDRMELASSQEMVKKQCTESL >Ma01_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4846236:4854486:1 gene:Ma01_g06740 transcript:Ma01_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTMARSLLTASPPLSGPRAHPEPLSSPPLRVHARFTRPISISNPKTSTSALRLEKINRFLTRCSLDWVETKADVPIEKRFPPFPAVMDINQIRNILPHRFPFLLVDRVIEYTPGHTAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGDLVCEGEFLMATGSGSE >Ma09_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8925378:8928019:1 gene:Ma09_g13230 transcript:Ma09_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDATHNWLAFSLSQQPCFLEAFSSVAAPPHHHGGIEGAEEETSAATELVALASMGPKLEDFLGGPMGRYSSAEDAASPAEGVYDSDLKTIAAGFLRGLPVEQQEPHSAKEAAPKVESRKTTADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYEKELEGMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDINSIVNNDLPIGGLSGRPSKASESSPSSSSDCMSVDMKHQLHHQDPSASFGLATIPMKQDHDYWSLLALHHQPSGFGLFSSGDTMDFATATSSKGMLEHQQEQSQSSSYSPVPCATYVACGGGHSYDGSNIMGGWAAPPSSYQQPASKPHVAAFQSAIFGME >Ma08_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34545170:34546396:1 gene:Ma08_g20500 transcript:Ma08_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSLHKALVCILLLLFLPFLSLSEDYLPINPRLEKAYVALQAWKHAITADPKNLTLDWCGPHVCNYTGVFCAPAPEDRHELTVAGVDLNHGRIAGTLPEELGLLSDLALLHLNSNRFHGGLPEAFERLQLLYELDVSNNRFQGPFPAVVLHLPSLKYLDIRFNRFRGEVPRRVFDLRLDALFLNDNQFAFSLPDSIGNSSLSVLVVANNRISGCFPRSITDLADTLNELILLNASITSCIPEDVGKLKNLTVFDVSFNNLVGPLPDSIGEMRKLEQLNVAHNNLSGDIPESICELPRLKNFTYSYNYFCGEPERCLKVRSRDDRENCIPERPHQRSEEECIAFSSKPVHCDANGCMAPPPPPVHY >Ma07_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30829562:30835546:1 gene:Ma07_g23080 transcript:Ma07_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRREIKMYIEKVMILCIQTSYRSARDHPFVFALVLFLLVLYISFPCLFAFLVSSSPVIVCTTLLLGLLLSYGEPNIPEIEKEDERTRDMPSEEIGGSFKHLYVKEDDNLMVEEHVEYRSHHEGIASMATERSNAGEDIYESTNQNEGTKKLDAIVATNSLDGEVNRENYNKEKVIQEKELHGQEIFKDRYLYVENPGVTGVGKIISSVGIADRQETEDLMLENGEPALDHHFDSSLGLPWQSIDNDHASSDTDSDRAESSSPDASMADIIPMLDELHPLLDSEHPQHVSISKSDVDTEGSSSDHEPDDNSVDEEGENHAEEEDEEAQEEKDDGMEAAVKWTEDDQKNVMDLGSSELERNRRLESLIAKRRARKNLAFLMERNLIDLDASDSFLSRDDLSRFRVQVPPISAPRRNPFDIPYDSEETMDLLPIPGSAPSSLLPRRNPFDIFYDEQEQNSSLTGETWGHQDFVSAPHREVLYRRNETFSLGTRELQQERPHSRLKSYFVAENMDSEGSSTFHRQFSDKSESKVSYIPESDTVSSVTDQEYKRELEKQEFQQETELTPLGKHDADVVDNGSHTTEEAELVDSEVKNVHLTDDLEIDVDTDLIIQESDQVVEDSGAVEEDIRDGIHLNPPISDYEKLDVVEQRYDQPHSSSSDEDKKDSETTFSEQSLNLEQTRNLRESDYSTRVDQVHDYKVAEPIYDSSPLVAGKSFSELSTVDEAETDAVGAGQGDNTSSSVKFHMQKEVSEVGSSPSSIEQNAATPIGDFVSVNASVEEMASVSSGPFTSGQSLISIEENESRSREITDISKYDDVIIGKSASQEGSIHTISCMIDELAAADSINHTNSSSLTAQSRVADPIVVNMSHYTEIEETVEITQSSYSNISLLSDDSVSSELQKPVPFMEKYSLSSDHITVKDSQLSNLDLASISDDSREDFKQQDKDPGVTNEELKVNVSDIEQQASFFWKSREDISHMDDCDKLFHKPEVSTSDLLSAGHIHDGSLTGLQLISLTESITEIKASSGSDLSASRDGIITEVLTKYEDGSLKMVDSSDEESDEIHPVVLETDEMDESLPTAMDGTADFHIEELSSNQRGSLSMFQMDSQSEDISSASRSSFVHDNTQLQFSEDRSTQYDDISSNQPSKGSFIESQEVSSAVSLPRVIESEIGSSYSSSGNFEQIVYNPKVHVFEASLVQEVNSASNQPLQKTMVPSVSDSKIGEPMTAKLNLQLREMVAAESNTTDSELLVVEARSVEDIHSTFKQISEELLEKSLYHEVGSQNLHESKSQNLQGTGDHDSKQMQSDLHVEAKSIEDIDMAFSQLSHSTSKKAPKATGAIDGYLEVCASEEQLETKVVEVQSADEISFAFKEPVASETSTSMEQIGEKSGAEIVGDVPASKTLTSKKTQKKHKRRNSVSSSSSSSSSSDSDFYENKKDD >Ma07_p23080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30829560:30835546:1 gene:Ma07_g23080 transcript:Ma07_t23080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRREIKMYIEKVMILCIQTSYRSARDHPFVFALVLFLLVLYISFPCLFAFLVSSSPVIVCTTLLLGLLLSYGEPNIPEIEKEDERTRDMPSEEIGGSFKHLYVKEDDNLMVEEHVEYRSHHEGIASMATERSNAGEDIYESTNQNEGTKKLDAIVATNSLDGEVNRENYNKEKVIQEKELHGQEIFKDRYLYVENPGVTGVGKIISSVGIADRQETEDLMLENGEPALDHHFDSSLGLPWQSIDNDHASSDTDSDRAESSSPDASMADIIPMLDELHPLLDSEHPQHVSISKSDVDTEGSSSDHEPDDNSVDEEGENHAEEEDEEAQEEKDDGMEAAVKWTEDDQKNVMDLGSSELERNRRLESLIAKRRARKNLAFLMERNLIDLDASDSFLSRDDLSRFRVQVPPISAPRRNPFDIPYDSEETMDLLPIPGSAPSSLLPRRNPFDIFYDEQEQNSSLTGETWGHQDFVSAPHREVLYRRNETFSLGTRELQQERPHSRLKSYFVAENMDSEGSSTFHRQFSDKSESKVSYIPESDTVSSVTDQEYKRELEKQEFQQETELTPLGKHDADVVDNGSHTTEEAELVDSEVKNVHLTDDLEIDVDTDLIIQESDQVVEDSGAVEEDIRDGIHLNPPISDYEKLDVVEQRYDQPHSSSSDEDKKDSETTFSEQSLNLEQTRNLRESDYSTRVDQVHDYKVAEPIYDSSPLVAGKSFSELSTVDEAETDAGQGDNTSSSVKFHMQKEVSEVGSSPSSIEQNAATPIGDFVSVNASVEEMASVSSGPFTSGQSLISIEENESRSREITDISKYDDVIIGKSASQEGSIHTISCMIDELAAADSINHTNSSSLTAQSRVADPIVVNMSHYTEIEETVEITQSSYSNISLLSDDSVSSELQKPVPFMEKYSLSSDHITVKDSQLSNLDLASISDDSREDFKQQDKDPGVTNEELKVNVSDIEQQASFFWKSREDISHMDDCDKLFHKPEVSTSDLLSAGHIHDGSLTGLQLISLTESITEIKASSGSDLSASRDGIITEVLTKYEDGSLKMVDSSDEESDEIHPVVLETDEMDESLPTAMDGTADFHIEELSSNQRGSLSMFQMDSQSEDISSASRSSFVHDNTQLQFSEDRSTQYDDISSNQPSKGSFIESQEVSSAVSLPRVIESEIGSSYSSSGNFEQIVYNPKVHVFEASLVQEVNSASNQPLQKTMVPSVSDSKIGEPMTAKLNLQLREMVAAESNTTDSELLVVEARSVEDIHSTFKQISEELLEKSLYHEVGSQNLHESKSQNLQGTGDHDSKQMQSDLHVEAKSIEDIDMAFSQLSHSTSKKAPKATGAIDGYLEVCASEEQLETKVVEVQSADEISFAFKEPVASETSTSMEQIGEKSGAEIVGDVPASKTLTSKKTQKKHKRRNSVSSSSSSSSSSDSDFYENKKDD >Ma04_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11307915:11314973:1 gene:Ma04_g14920 transcript:Ma04_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISEGRTFGCRNVCSSDLNDLGLTTHVPKSSEFMGAEKIGSYMKNSVLQKDVKSYDEVVIPDKSYLHRHQDSSINWENYKACHCPDSEGITSEQNHIPIDGINKKIKSNLNQRQGPGHDQVVNRTNESQMPLKKTALSSHLTAFAAGGICFEARRMTKISAQEIKSSESIQGSQPIQDEFTVLASHSARNNFTNSPIYIKDKRVSSVSDHMIEEHLEKARLANLKHELHNFTRPSRVTVSEMKDENEIANSERCSWPKINHRSLQKPGDSWPGDFPHPSTDRDNNTLIVDSTETGKAKGTALFEMITGPTTSKCHGKQSGDSLLLINSNGIRDGDDGVGNGKGNGRHTTATRKESSAKTETMDIEVCQTRSSLIGMIADRQRKDPVCLNVMKPHYPLASPIKRTVSRHATAASLDKTGGVSNRELSTSRSESIDAHQIISQVQMYKILNSSSIADKPMEAEPYGKWLKRLGPDPYAFGHRSKRMKIRDGPTGAEMCRLSSNVHNDNTSSSDLMKCPEKQQSFDRAKNSPSIPECCCELSAKTTWYWIERWCHRSPQRAKAQASAATTGLSKPRSPKVVPEYFEGKELPSIRALALMGKAMNNSRKGEFQRRGSSVVWHTEDL >Ma04_p14920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11307922:11314832:1 gene:Ma04_g14920 transcript:Ma04_t14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISEGRTFGCRNVCSSDLNDLGLTTHVPKSSEFMGAEKIGSYMKNSVLQKDVKSYDEVVIPDKSYLHRHQDSSINWENYKACHCPDSEGITSEQNHIPIDGINKKIKSNLNQRQGPGHDQVVNRTNESQMPLKKTALSSHLTAFAAGGICFEARRMTKISAQEIKSSESIQGSQPIQDEFTVLASHSARNNFTNSPIYIKDKRVSSVSDHMIEEHLEKARLANLKHELHNFTRPSRVTVSEMKDENEIANSERCSWPKINHRSLQKPGDSWPGDFPHPSTDRDNNTLIVDSTETGKAKGTALFEMITGPTTSKCHGKQSGDSLLLINSNGIRDGDDGVGNGKGNGRHTTATRKESSAKTETMDIEVCQTRSSLIGMIADRQRKDPVCLNVMKPHYPLASPIKRTVSRHATAASLDKTDKPMEAEPYGKWLKRLGPDPYAFGHRSKRMKIRDGPTGAEMCRLSSNVHNDNTSSSDLMKCPEKQQSFDRAKNSPSIPECCCELSAKTTWYWIERWCHRSPQRAKAQASAATTGLSKPRSPKVVPEYFEGKELPSIRALALMGKAMNNSRKGEFQRRGSSVVWHTEDL >Ma08_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2930491:2936185:-1 gene:Ma08_g04180 transcript:Ma08_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERKGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNTVGEQKTKPTQHSVRSLRALGLTPNILACRSAKPLEENVKEKLSQFCHVPVSNIVTLHDVTNIWHIPLLLMEQRADEALIKVLKLKRFAKEPMLEEWMRRAEICDTLQDPVRIAMVGKYTGFADSYLSVLKALLHASVACQRKLVVDWVASPDLEKHTEVEVCLSCLPNLLFPFGYTLVFLPKRFGYLFLTQAPEVHKKAWDLLKAADGILVPGGFGDRGVEGKILAAKYAREHKVPYLGICLGMQIAVIEIARSVLNLPDANSTEFNPDTTTPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPSCKAAKLHGNASFVDERHRHRYEVNPEMVPEFEKAGLAFVGQDETGKRMEIIELADHPYFIGVQFHPEFKSRPGKPSALFLGLIAASCRQLDSLLRHILHRGNLTSRCISNTGPVSTKAYQNGNLKKHSKSLANGNFHSNGNGVHA >Ma07_p28330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34416622:34419498:1 gene:Ma07_g28330 transcript:Ma07_t28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVAAPPPDASSTPSPPAPDAPPPSDPSSSAPPPTSSPPPATSLPPPPVQSPPPSSLPPQSPPPSLPLPQSPPPSPSLPQSPPPSPPPSPPLPQSPPPSPPPSQPLLQSPPPLSPPSSTPPTTTPNAPPPRNSPPPPSSSSSPKPPSSTSSPPSSTPPSLPSSSSSSPPPPKSNDATSLPLQPPSPPQPISPTTPSSSAALLPPPPRSPSKSVPSHGARPSPSKDSSTPSKSDHSGLNLPLVLGFTAGVGIFVVLMIIALVLYARNKKKPHQYYDAAGSQGGFYNAGSLPNWQNGAQRKDHNGNIPPPPGVVVPPGGGWQASSMMSNSDISSAYSGPHVSSPSTPSPNISLGFNKNHFSYEELSAATNGFSRDHILGQGGFGCVYKGVLPNGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSQRMLVYDFVRNKTLEFHLHGKGLPTMDWPTRLKIAIGSAKGLSYLHEDCHPRIIHRDIKTANILLDNNFEAMVADFGLAKIFSDTNTHVSTRIMGTIGYLAPEYASTGKLTEKSDVFSYGVMLLELITGRRPIDDSDTFMVDSLVDWARPLLAQALADDKFDELADPRLENSYDTVEMVRMVACAAACVRHSAKGRPMMSQIVRALDGDVSLEILNEGSKRGQSTTFSSSSDYDASLYSSNMKHFRTVALESNDYSNGYSGATSEYGLNPSETSSSGDVISPDSPKKHSYHRL >Ma08_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5668109:5672145:-1 gene:Ma08_g08170 transcript:Ma08_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNVAGQFGDTTYTKIFVGGLAWETQSDTMRNYFEQFGEILEAVVITDKSTGRSKGYGFVTFREPAAAMRACVDPSPVIDGRRANCNLASLGAHRSTPTTPPHGGNRSLRMVKSFHTGYQGGMAAAFASPASFPHYAVQQGAPYGLYGYSPYSSEYSYPMSYYNVFGGATAQYPVHGSAAGTVTGTTAFYPYFQFGQGGGGGATVGHGYSIPYPPILHYSAVASTTTGLTGFMQHLGGPLSIPPSPPAQPVCFPLKQA >Ma02_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12907540:12943744:1 gene:Ma02_g02000 transcript:Ma02_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAVAAGGSFLGRTSVRVAVMGEGGTGKSSLIVAVATESFPENVPHVMPPTRLPADYYPDRVPLTIIDTSSRPENKAKLIAECKAADAIVLTYACDRPSTLDRLSTYWLPELRRLEVKVPVIVVGCKLDLRDEQQVSLEQVMSPIMQQYREIETCIECSALRQIQVQEVFYYAQKAVLHPTAPLFDQETQSLKPRCVRALKRIFILCDHDRDGALSDSELNDFQVRCFNAPLQQTEIAGVKKVVQEKLPEGVNDHGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLRDDLLPTTFKRASDQTVELTNESVDFLKGVFLMFDIDSDGALRPAELDDLFSTAPESPWSEAPYKDAAEKNVLGGLSLEGFLSEWALMTLQDPAASLANLIYIGYTGDPASAFHITRKRRLDRKKQQTQRNVFQCLVFGPRNAGKTTLLNSFIGRTFSEKYTPTMSDRFATNVVELHNGEKKTLVMREIPETEVKNLLSNKESLAACDIAVFVHDSSDEESWKRTKELLVQVASHGENTGFEVPCLIISAKDDLDPYPLAVQDSTRVSQDMGIETPIPISVKLRDHNDVFCRIVSAAQQPHLSIPETEAGKSRKHYRRLVNRSLMFVSVGAAVAVVGLGAYRIYAARKNTSA >Ma10_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2156552:2156947:1 gene:Ma10_g00740 transcript:Ma10_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEIADDGVGEGVYATTGSSLPDCSHACGPCFPCKRVMVSFKCFVAESCPIVYRCMCKGKYYHVPSN >Ma06_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7802173:7803089:1 gene:Ma06_g11100 transcript:Ma06_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSACTPFAASGGSTKVINGEGGLEEYVQSVRAAELMVENPGQFVCSSNHLSVGCRIPGLKADEALQRRRVYFLLPMDMLFSVLTEEEMAALSRRASAAMKWGASKNNIRRRIFPVLSDLCLLPAEAKKVNEPARISKRMSRQRSWKPALDTIVEVP >Ma11_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26537192:26538803:-1 gene:Ma11_g23000 transcript:Ma11_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDHWTLEAVTMDAGFPSVWGIHESMEELKHKLLCTTSELEALRANAKEEIRKRAENIDQLIRLLQVIAHERDVARDQLQLLLSKISQPNTGEYSPTQTRGSCSVTESDSLSATPNHHSYGGGGGASPGSPELPSINMGVPQQQKYDRASAIIDGLAMKRPLPRKGKLLQAVLEAGPLLQTVLLAGPLPQWRNPPPLQQFQVPPVAAVRLHNASLFDEKIVPDQINSAVLNISGGTGSFTTKRTMASPCMESYDTWNVKRQKTQCT >Ma04_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5712846:5714775:1 gene:Ma04_g07900 transcript:Ma04_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSLLAVLCLLLLSPQHHVEAAGGFIRTRGLNFVLDGNPFFANGFNAYWLMTLASDPSQRGKVSSAFREASSHGLLVARTWAFSDGGGNALQYSPGHYNGQTFTGLDFVVSEARRYGIRLILSLANNYDTFGGKKQYVQWARNQGQYIASDDEFFTNPVVGGFYKNHVKTVLTRVNSITGVAYKDDPTIFAWELMNEPRCQSDWSGNSVQRWIAEMAAYVKSIDSNHLLEAGLEGFYGASSPQKQFNPRLLQVGTDFIANNRIPNIDFATIHVYPDQWLSASNDQSQLAFLSNWIDVHIRDARDALRKPLLVTEFGKSSKDPGFSVGQRDALFKTVYSKIYWSARSGGSAAGGFFWQLLSEGMGSYGDGYEIVLSEGSSTARIIALQSRQLRYLGKWYARQRNVAKLNKAKAMREEERQRRASKAADAGN >Ma11_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4006535:4006853:1 gene:Ma11_g05220 transcript:Ma11_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVKSMLHETVMLDLPFGSPRERSHWAPASQEEDDEARMSDKNRAMAVKRFMDLLLYVTTVHSAIFVWSSAVIAD >Ma10_p25850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33797058:33798689:-1 gene:Ma10_g25850 transcript:Ma10_t25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGGLGIRNWGYYEPPSKGNLGLQLMSSAAERNTKPLQSGGGFFHRDCSIPEPSVQMDFGRDGWIHHGRDSNKILEMLPVNHHHQHHHSHYGILHDPPVTQTIQMLQHPEPPKDDKLPMMDDPVGKNETPLKKRAQARPQKSSKAKKPKKVAAPKDETTNGSVSHGKSSRSSGMVINGITLDISGIPTPVCSCTGKPQPCYRWGAGGWQSACCTTSISMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLTEEGHNLSNPIDLRPLWAKHGTNKFVTIR >Ma05_p31360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41306702:41314009:-1 gene:Ma05_g31360 transcript:Ma05_t31360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGEEEGGGGGGGGEEMEEDGKEEMMVVEETMEEKGRDKTVLMWGYLPGVSPQRSPLLHPVAVRMPDSPAGDRWRDVSGGGCGFAMAISESGKLYTWGSTDDMGQSYVTSGKHEETPAIFDLPTEVPIIMAAAGWAHCVAVTAQGEVYTWGWKECVPTGRITRDQSSSGGTSEKEERHSGLLSDQVSPRSQGSRASGTLSGFDSRGGDENTKRRKLSSAKMGPESSSSGEEALSAPPCLVTLNVGIHITSVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGTRIRNVSSPHPVPCIESASFNKDRSSPATKGKQSSDGQAYKLIGSCVKAIACGGRHSVAVTDTGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGVTIQGVAAGLWHTVCTSADGGVYSFGGNQFGQLGTGSDQAETLPKLLDAPCLENKNAKLVSCGARHNAMMTDDGQVFCWGWNKYGQLGLGDAIDRNIPALVPMENLRPKNVSCGWWHTLVLAESPT >Ma05_p31360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41306702:41314009:-1 gene:Ma05_g31360 transcript:Ma05_t31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGEEEGGGGGGGGEEMEEDGKEEMMVVEETMEEKGRDKTVLMWGYLPGVSPQRSPLLHPVAVRMPDSPAGDRWRDVSGGGCGFAMAISESGKLYTWGSTDDMGQSYVTSGKHEETPAIFDLPTEVPIIMAAAGWAHCVAVTAQGEVYTWGWKECVPTGRITRDQSSSGGTSEKEERHSGLLSDQVSPRSQGSRASGTLSGFDSRGGDENTKRRKLSSAKMGPESSSSGEEALSAPPCLVTLNVGIHITSVAAGGRHTLALSVSDVGQVWGWGYGGEGQLGLGTRIRNVSSPHPVPCIESASFNKDRSSPATKGKQSSDGQAYKLIGSCVKAIACGGRHSVAVTDTGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGVTIQGVAAGLWHTVCTSADGGVYSFGGNQFGQLGTGSDQAETLPKLLDAPCLENKNAKLVSCGARHNAMMTDDGQVFCWGWNKYGQLGLGDAIDRNIPALVPMENLRPKNVSCGWWHTLVLAESPT >Ma02_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19405793:19408167:1 gene:Ma02_g09390 transcript:Ma02_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAPDLPAKFKQMLVPGKIQHILCVGNLCIEDAHDYLRSLCPDLHFARGEYDEDARYPERKTHDWSIQAWALHGHHIIVPWSDLDSLAMFQRQLDVDILVTGHTRQFKAYKHEGGVVINPGSATGAHGSITYDANPRFVLLDIDGLRVLIYIYELIDGQVKVDKIATTLPAH >Ma09_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15578660:15580856:1 gene:Ma09_g18100 transcript:Ma09_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTDAYKQTGPCCFSPNSRYLAVAVDYRLVIRDVVSLKVIQLYSCVDKISYIEWALDSEYILCGLHKRPVVQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQVRLTVWSLLNTACVHVQWPKHASKGVSFTQDGKFAAVCTRRDCKDYINLLSCHTWEIMGTFAVDTIDLAGIEWSPDDISIVIWDSLLEYKVLIYSPDGRCLFKYQAYESGLGVKTVAWSPCGQFLAVGSFDQILRALNHLTWKTFAEFTHVTTIRSPCNAVVFKEVDEPLQLDMSELYLSDNFLHNIQGNCP >Ma11_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:956782:958434:1 gene:Ma11_g01350 transcript:Ma11_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKIFLVSILRNPNPAFDLSPDVAASLFRILAARSPSFPALRASHALLVVSGAVPFHKQVNRRLAALYSRLSPDDAVLLHCQLRSPDPIISGFVIKSLVRRRRDPSDVVAFFCRHVHPVGCRPSRCTFPLLITSSKFSESIHQGEILHCLALKLGFLGHLPVPNSLIHMYASCDGLDLARQLFDEMPKKDRVSYNSLLDGYVKSCDFDEAERLLRIIPDWNVISWSTLFNGFVRNQMFSRGISFFQQMQKLGVEPDDCSVVSLLVVYSNYELAQHGKSVHGLLLRRWRQIPLHVSNALVNFYCKCGLLDAAAKVFERTMEKDLISWNTLISGFGSNGRGEEALGFFKRMLQEGTKPNDITFIGILVACAHSGLVEEALHHFKMMSSEFGMKPTFAHHWCLVDLYVRLESPCDALKVIQEMPLYNQSAIWGAVICLAKVRGDISVGERLGKHLIELEPDNSRRYLPLLNIYTAASRWDKYKELMELMKARGLKKLPDCTLIDLNLVVHKFLVGDKSQPEIEKVYGVLENIANQLKLQPPKADDAMVDAI >Ma06_p35150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35106845:35110105:1 gene:Ma06_g35150 transcript:Ma06_t35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGMSCACSGYDHDFFAAVQGGDLRAVESFIRSDPSLVFRTTIYDGLSALHVLSMILDRSVNPDTVNRHKQTPLMLAVMHGKFACVQKLLEAGANILTFDSLNGRTCLHHAAYYGYADCLQVILSAAQSATVADSWGFRRFVNVRDSSGATPLHLAARQTKPDCVHILLDNGALVSATTGGYCCPGSTALHLAARGGSLDCVRELLAWGANRLQRDSSGRIPYAVALKRNHGACAALLNPSAAEPLVWPSPLKFISELDPDAKALLEAALVVANRKREKKILKGIAYSLPSPTHSDESTDDDTPEESDSELCYICFDQVCTIKVQDCGHQMCAHCMLALCCHNKPSSMSLCSSSPTCPFCRSGISRLVIAKNRVKDEGDKDTISKLRRSRRSTNFSEGSSSLKGLSSVIGSCGKMTLGSGHISDGNDMADKPSDEYYMLLCEERLQMGSENSAGFCIINNYSFSMFFSYPLSMRVCLSILHYNALMYFKNSL >Ma09_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36327213:36328169:1 gene:Ma09_g24680 transcript:Ma09_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNEIALELAPIIRVYKDGRIERLNADVHLPPSVDTATGVASKDVPIAAGVTARLYLPAVAAPSKLPVFVYYHGGCFCIGSAFSSIYHDFLNTIVSTASVIAVSVDYRLAPEHLIPAAYEDAWCALQWVASHAEGGPEPWLAKDRTDFTRLFLAGDSAGANIAHNVAMRVAAEGLGVGGARVESAVLLHPYFWGTARLPSEVGRVDHPFIPPHTVDSMWQLITGGADNDDPRLNPLAEGAPSLAGLGCRRVMVAVAEKDTLRDRGRAYFEALRKSGWGGEAQLWETQGEDHVFFLFKPGCNEVSLLVKRLVSFLKD >Ma05_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20626292:20668119:1 gene:Ma05_g17580 transcript:Ma05_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPTASAFLCVSLMILALAGVSRGGYIAYNTSGGTVVGKLNVHLVPHSHDDVGWLKTIDQYYVGSNNSIQGACVMNVLDSVVDALLKNPTRKFIFAEQAFFQRWWIEQNDRTQEVMRKLVDSGQLEFINGGWCMHDEATVHYIDMIDQTTLGHWMIKKQFNKVPRAGWQIDPFGHSAVQAYLLGAELGFDSMHFARIDYQDRQKRKLDKSLEVIWQGSRTFGPSLQIFANAFPVHYSAPTGFGFDITDQNTLPVQDDHLLYDYNVQERVNDFIDAAMTQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVHALYSTPSIYTDAKNAENETWPLKTDDYFPYSDSENAYWTGYFTSRPTFKRYVRTLSGYYQVARQIEFLGGRTLSGSSTFSLGDALGIAQHHDAVSGTAKQHTTNDYAKRLAYGASEAEAVVNLALSCLTSSSKSCEPTATKFSQCNLLNISYCPATEEDISAGKSLVVVAYNPLGWHHDDFIRIPVNDDQLVVRDSAGKYIATQFVEVDNITSNLRKFYVEAYLGVSSNKTLNYWLVFQVSVPPMGWNSYFISKESRKVTTPNAYTSTHAVLENETIEVGPGPFKIQFSSINGQMERVINHRTGVDISIQQNYLWYGASSGDTDPQASGAYIFRPNGAPPVPSSRSVPLKIIRGPLVDEVYQQFNSWIYQVTRLYKDKEHAEIEYTIGPIPTDDGVGKEVITRLTTNMVTNRTFYTDSNGRDFLKRVRDYREDWNLEVTQPVAGNYYPLNLGIYMTDGKSELSVLVDRAVGGSSIQDGEIEIMLHRRLLFDDSRGVGEALDEQVCVSNACEGLTARGNYYISVNKFGSGAYWRRTCGQQIYSPLLLAFTQEDEESWKSSHVAKSTSMDVGYNLPPNVAIITLQDLDDGTTLFRLAHLYEAAEDLQYSTMAVVELKKVFAGKMIKELRETNLSANQNKTNMKKMKWRTEGDVGTVSAPIRGSPVDNTTLVVELGPMEIRTFLIKF >Ma05_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6565962:6572514:1 gene:Ma05_g08910 transcript:Ma05_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLVTGGAGYIGSHTVLQLLLEGFTVVMVDNLDNSSEVAVQRVAELAGDHGKNLSVYQIDIRDREALETVFASTKFDAVIHFAGLKAVGESVHKPLLYYKNNIIGTITLLEVMASHGCKKLVFSSSATVYGWPKELPCTEESPLCAMNPYGRTKLMIEDICRDIHHADDEWKIMLLRYFNPVGAHPSGRIGEDPRGIPNNLMPVVQQVAVGRRPALTVFGNDYSTKDGTGVRDYIHVVDLADGHIAALQKLFDDPSVGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLIMAGRRPGDAEILYASTAKAEKELNWKAKYGIEEMCHDQWNWASKNPWGYESPDPANRGSSIL >Ma05_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:669950:671651:-1 gene:Ma05_g01130 transcript:Ma05_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSLNSFFSFLTHGLDDLDQSFAAEAFMSLQLLQRAVALLRSLHSQLTHLVQKLHLPVGGTWLDEYMDESSRLWDVCHVIKHGISGMEKYCSTAAAMISSLDEWRRNPSPHLTRQVVRAISICRREAMGLEEENRALAETRIEPVSLRFDDRAPMESRYNGFNGFRGVLYALRNTSSLLLTILLWGSVACWPELAAIEGCSLFFGSGFMASMARLRQRLVGETETMGGRPGILMHEFRQTRAAVEELLEELEGDAAMGCDSQTTGGSLKEKVEALKGWFGMLRTGTENLVGQMDDFFDEIVEARKKLLDLCSHR >Ma08_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3445244:3449516:1 gene:Ma08_g05030 transcript:Ma08_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLHPLGRDLHRCPTASEVVEEMRAIGAISVPAALTGLVLYSRAMVSTLFLGYLGELELASGSLSIGFANITGYSVLSGLAMGMEPICGQAFGAKQRKLLGLTLQRAILLLLSASVPISFLWRNMRRILSWCGQDEQIASAAHVFITFAIPDLFLLSFLHPLRVYLRSQNVTFPVTCCSVVSVVLHIPLNYLLVVRLRMGIAGVALAMVWTNLNLFVCLLLFVLCSGACKDSWVRPSMTCLRGWSALLKLAVPSCVSVCLEWWWYELMILLSGLLPNPKAAVASMGILIQTTSLVYVFPSALSFGVSARVGNVLGANHPAKARTATMVSLACALVLGLTAMAFTTSMRHRWGRLFTDDAEILKLTTLALPIAGLCELGNCPQTTGCGVLRGSARPTTGANINLGSFYLVGTPVAVLLGFFRRMGFPGLWLGLLAAQASCATLMAYALARTDWMVEAEKARELTKTSNSPPPPLPLIPLTAATNAIAGGNKSTAAHIMNGDVKRLGASETAPLIPVRGE >Ma08_p05030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3445244:3449516:1 gene:Ma08_g05030 transcript:Ma08_t05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLHPLGRDLHRCPTASEVVEEMRAIGAISVPAALTGLVLYSRAMVSTLFLGYLGELELASGSLSIGFANITGYSVLSGLAMGMEPICGQAFGAKQRKLLGLTLQRAILLLLSASVPISFLWRNMRRILSWCGQDEQIASAAHVFITFAIPDLFLLSFLHPLRVYLRSQNVTFPVTCCSVVSVVLHIPLNYLLVVRLRMGIAGVALAMVWTNLNLFVCLLLFVLCSGACKDSWVRPSMTCLRGWSALLKLAVPSCVSVCLEWWWYELMILLSGLLPNPKAAVASMGILIQTTSLVYVFPSALSFGVSARVGNVLGANHPAKARTATMVSLACALVLGLTAMAFTTSMRHRWGRLFTDDAEILKLTTLALPIAGLCELGNCPQTTGCGVLRGSARPTTGANINLGSFYLVGTPVAVLLGFFRRMGFPGLWLGLLAAQASCATLMAYALARTDWMVEAEKARELTKTSNSPPPPLPLIPLTAATNAIAGGNKSTAAHIMNGDVKRLGASETAPLIPVRGE >Ma05_p30110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40621913:40628889:-1 gene:Ma05_g30110 transcript:Ma05_t30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGERTAFGDLLRPIADPPVRPDLISLSASATAAASTAVDPPHGSRAEADRLGASLKELADADARIVFVGDPGLTDPRLALPGNAVRTAKYSFLTFIPRNLFEQFRRLAYVYFLAIAVLNQLPQLAVFGRGASVLPLAFVLLVTAVKDAYEDWRRHRSDRVENGRAAAVLDPAGSGQFRSRRWKDLRVGEVIKVLADESIPCDMVLLATSDPTGVAYVQTINLDGESNLKTRYAKQETMSRLPNITAGSITTLIRCETPNRNIYGFHANLEVDGKRVSLGASNIILRGCELKNTAWAIGVAVYTGTETKVMLNSSGATSKRSRLETHMNRETLLLSAILITLCSAVSICNGIWLAIHRDELELSQYFRKRDYSGGDEKYYNYYGIAMQVFFIFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDKNLYDESSNSRFQCRALNINEDLGQIRYVFSDKTGTLTENKMVFQCASIRGIDYSEGKDPSPNGSDVYYVVVGDQFWRPKMLVKTDPKLVRLLRSEGETQEGKHARDFFLALAACNTIVPLIVGTPDPKQKLIDYQGESPDEQALVYAAAAYGYVLIERTSGHIVIDVLGDRQRFNVLGLHEFDSDRKRMSVIIGCPDRTVRLFVKGADSSMFGVLEKSVDLDIIRATETNLHAYSSVGLRTLVVGMRELSRNDFEEWHSDYENASTALYGRGNLLRAVASRVENNLQILGASGIEDKLQQGVPEAIESLRQAGIRVWVLTGDKQETAISIGYSCKLLTSEMTHIVINSNSEASCRKSLEDAIAISSKFVAISSRAQNTIIATGSARVPLALIIDGTSLVHILETELEEKLFKIATVCDVVLCCRVAPLQKAGVVALIKKRTEDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRIAYMILYNFYRNAVFVFIMFWYVLYTAYTLTTAITEWSSVLYSVLYTALPTVVVGILDKDLSRRTLLKYPKLYGTGQREERYNLKLFILTMMDAIWQSLVVFFIPYLAYRDTTVDGSSLGDLWTLAVVTLVNIHLAMDVFRWNWITHLSIWGSIAVAVMCVILIDSIWSLPGYWAIYHIMKTGLFWLCLLGIFAAGMIPRFTMKALTEYFMPNDIQISRELEKFGNFNDFTGTEISMSTFSETHPGFIR >Ma04_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23486863:23489625:-1 gene:Ma04_g20750 transcript:Ma04_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGKIRKVCNRNRPSAVVESVKGANRREEAEAEKESLLPAEESGGVATGRRKKDSARNVQWNDCKGDNLVEVLEFQPSDSSDSEDEYLDSCLCTIM >Ma03_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24611106:24614081:-1 gene:Ma03_g19260 transcript:Ma03_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYTGTSDLQLERVNVYYNEASCGRYVPRAVLMDLEPGTMDSVRTGPYGRIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNQLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEQLAQDM >Ma08_p00840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:774423:776809:1 gene:Ma08_g00840 transcript:Ma08_t00840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFDGTSRQRWFERRCMICSIGGSFRGWGFPVWFSDLGLLLPLIRPSCCGMWEWQGDEYSLQRNTRNEFSHLFWDEVCQNEDDLLYMLDEHTPIKDCADLGHQVSDVGDETTKGLEECKDSNQLKRRRTLQFTTDTNEAANDQMTSTVFKSKLMESSMMEDGISESLECTTQWTLGFSDDRSAINSDGLDQSSDGWLVDYLNESETHYSPDEKNNIVAFNQQVDISEFYHDSPAMETDMVPETPAPAHLKFFNGVLGKMSYIKGPKKLTTSIAYPFALIKPCGVHGDVTLKDINQRILAPLPSKSKLKKDEDPSISYPTSAFSGKPVVVKTKIRTEGGQGSITIMRTKG >Ma08_p00840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:774593:776809:1 gene:Ma08_g00840 transcript:Ma08_t00840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSAIVSQVREFSICGMWEWQGDEYSLQRNTRNEFSHLFWDEVCQNEDDLLYMLDEHTPIKDCADLGHQVSDVGDETTKGLEECKDSNQLKRRRTLQFTTDTNEAANDQMTSTVFKSKLMESSMMEDGISESLECTTQWTLGFSDDRSAINSDGLDQSSDGWLVDYLNESETHYSPDEKNNIVAFNQQVDISEFYHDSPAMETDMVPETPAPAHLKFFNGVLGKMSYIKGPKKLTTSIAYPFALIKPCGVHGDVTLKDINQRILAPLPSKSKLKKDEDPSISYPTSAFSGKPVVVKTKIRTEGGQGSITIMRTKG >Ma08_p00840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:774423:776809:1 gene:Ma08_g00840 transcript:Ma08_t00840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFDGTSRQRWFERRCMICSIGGSFRGWGFPVWFSDLGLLLPLIRPSCCGMWEWQGDEYSLQRNTRNEFSHLFWDEVCQNEDDLLYMLDEHTPIKDCADLGHQVSDVGDETTKGLEECKDSNQLKRRRTLQFTTDTNEAANDQMTSTVFKSKLMESSMMEDGISESLECTTQWTLGFSDDRSAINSDGLDQSSDGWLVDYLNESETHYSPDEKNNIVAFNQQVDISEFYHDSPAMETDMVPETPAPAHLKFFNGKMSYIKGPKKLTTSIAYPFALIKPCGVHGDVTLKDINQRILAPLPSKSKLKKDEDPSISYPTSAFSGKPVVVKTKIRTEGGQGSITIMRTKG >Ma08_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:774150:776809:1 gene:Ma08_g00840 transcript:Ma08_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDGNGKSNNCGMWEWQGDEYSLQRNTRNEFSHLFWDEVCQNEDDLLYMLDEHTPIKDCADLGHQVSDVGDETTKGLEECKDSNQLKRRRTLQFTTDTNEAANDQMTSTVFKSKLMESSMMEDGISESLECTTQWTLGFSDDRSAINSDGLDQSSDGWLVDYLNESETHYSPDEKNNIVAFNQQVDISEFYHDSPAMETDMVPETPAPAHLKFFNGVLGKMSYIKGPKKLTTSIAYPFALIKPCGVHGDVTLKDINQRILAPLPSKSKLKKDEDPSISYPTSAFSGKPVVVKTKIRTEGGQGSITIMRTKG >Ma01_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17620824:17621956:1 gene:Ma01_g20340 transcript:Ma01_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVVSLIDWPNTYPLSKSSLLNSSSISVSFFYYFWMNLNQNRYKITR >Ma10_p12940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25903142:25908501:1 gene:Ma10_g12940 transcript:Ma10_t12940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASK >Ma10_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25903142:25908501:1 gene:Ma10_g12940 transcript:Ma10_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASK >Ma05_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9116586:9117560:-1 gene:Ma05_g12620 transcript:Ma05_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLERKESFYITDKEEESSKQPPFNPPSSSPSLASTAFKWHDSSSGGGGDFSFMEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDLSASEKGLLLSFEDRTGKHWRFRYSYWNSSQSYVMTKGWSRFVKEKTLGAGDTVSFGRGVGDAARHRLYIDWKRRPERRGLARIPFPGFCFDRSTGPWSARLVVPPAVACDHTWQGRTYSAANSGIGAGQLLLPLYFTLPAPKPPQMAVQQVTSSRVPLVHDHAAVKRVRLFGVNLDCPDRGDDANYHRSVRQLHPTTALPFLQPLRAESQTLLASSSSQMSNQQRSPLDLCL >Ma11_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15895251:15896520:-1 gene:Ma11_g12080 transcript:Ma11_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQTKGFKAGYDRQLTGNEILKEIGGEGSSRPAVEPVSDVVNSIIASNMLQKTAAVGIAEVREISSQKIVQAESNDDTVKSVEVSYQSGEDGHILDDAATGSRPATPSDDEDEDEEECEQQNASVGRKLWTFFTT >Ma04_p25920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27550752:27552447:1 gene:Ma04_g25920 transcript:Ma04_t25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKQMLLLLLCFPCHGMGTTAGSGKDKSSGTFNVKDFGAKANGMTDDSKAFLAAWDAACKSSGKVKILVPSGTYLLNPVKFSGPCRDVQTLEFMLQGTLKATTDLNKFGDGEGWVQFGRVDGLTVTGGGTFDGQGAVTWPYNKCPKEKNCKVLPTSVKFVNTNNTIVKDITSLNSKFFHMALLGCHNFKGSGITITAPATSPNTDGIHLEGNSGVTIASSKIRTGDDCVSIGHGNSFVTISGITCGPGRGISVGSLGRYKDEEDVQNIVVKDSKLIGTMNGVRVKTWANSPVKTRAINMTFENLVMRNVGNPIVIDQTYCPYDKCDNSAWSKVKLSDIHFRNIKGTTTSPVAVTLKCSKGMPCEKLSLHDVNLQHAGPGNISSACLNVKAEYSGTNNPPPCE >Ma04_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19943020:19945073:1 gene:Ma04_g18220 transcript:Ma04_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARESLGLAGHVVRGRWFMAFASFLVMSTAGATYIFAIYSKDIKTSLGYNQETLNTISFFKDLGANVGIVSGLLAELAPPWVILAMGAAMNFFGYLMIYLAITGRLAHTQVWQMCLYICVGANSGTFANTAALVAAVKNFPESRGIVLGLLKGFVGLSGAIFTQLYLAFYGTDSTSLVLLIAWLPAAISVLFLPTVRIMKVVRQANEFKVFCSILYISLVLAAYLMVVIIVQKRVSFSRSGYGVSAAVVLLILFLPLVVVIREEINVYNQNKQMVPQTPPPPSVTIDKETISEQAPTEVDSSLRSISSDEKKPVLARIIEAVKPPKRGEDYSILQALLSLDMLIIFFATICGVGGTLTAIDNMGQIGESLGYPTRSISTFVSLISIWNYMGRVAAGFASEIFLVRYKFPRPLLFALVMAPSCVGYLLIAFGVPGSLYIASVIIGFCFGAQMPLLFAIISEVFGLKYFATLYNVGGLASPVASYLLNVRVAGYLYDREAAKQNAGVISSSSSKSLTCMGVRCFRLSFLIITAVTVVGVLAMLILVWRTWAFYRGDIYAKFKEGGEGGSSEDKAKKKEACVRMARQMTTEASAMQSKA >Ma04_p08100.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5809692:5832389:-1 gene:Ma04_g08100 transcript:Ma04_t08100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGDSTQSEPGTSNAAWWASDLIENLNSVSLAPREETLTTGESASDVRLAVHSSQVASQILWSTGTFSGLIPNGFYSIIPDKKLKELFDTIPSPDELFSLGMEMSMADIILVDAERDKKLSMLKQLSAAMVKGIYANPALVIRKIAGLVFDFYKRPNSELSPAKASVDDISHLMDNKGIQLLGQIRQGSCRPRAILFKVLADAVGLESKLVVGLPSDGGVECIDSFKHMSVVVVLNSVELLVDLMRYPGQLIPFSTKAIFISHISAAGESDSAEYDSCDSPLEPNSPLYGLSDKVEAAGEPDIANAFWRRSSRKVAGGRTASSSPEHPVSRARGRSMLGGDRQLFREYADGVIISRSDGASTSDARRARRRSISFTPEIGDDIVRAVRAMNEALKQKRFLRDHDGEGSGSFSMNDKCRLNDPPSNDEASGRLSDTCSNFRKQTGSTQKAISLPSSPHEYRGQTLKRSGDFLRTEVMESNWNKLLQTSPFLNKPLLPFDEWNIEFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTSENVEDFCNEISILSRLRHPNVILFLGACVKPPHLSLVTEYMEMGSLYYLIHTSGQKRRLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMKDSPMRDNSSAGTPEWMAPELIRNESFTEKCDIFSLGVIMWELCTLNRPWEGIPPVQVVYAVANEGKRLDIPEGPLGSLISDCWAEPNERPSCQEILTRLLDCEYSLC >Ma04_p08100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5809692:5832389:-1 gene:Ma04_g08100 transcript:Ma04_t08100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGDSTQSEPGTSNAAWWASDLIENLNSVSLAPREETLTTGESASDVRLAVHSSQVASQILWSTGTFSGLIPNGFYSIIPDKKLKELFDTIPSPDELFSLGMEMSMADIILVDAERDKKLSMLKQLSAAMVKGIYANPALVIRKIAGLVFDFYKRPNSELSPAKASVDDISHLMDNKGIQLLGQIRQGSCRPRAILFKVLADAVGLESKLVVGLPSDGGVECIDSFKHMSVVVVLNSVELLVDLMRYPGQLIPFSTKAIFISHISAAGESDSAEYDSCDSPLEPNSPLYGLSDKVEAAGSEHYENLQSSYQRRVDISSSLLDHSLRRVMLRSTTFAEGNLSEPDIANAFWRRSSRKVAGGRTASSSPEHPVSRARGRSMLGGDRQLFREYADGVIISRSDGASTSDARRARRRSISFTPEIGDDIVRAVRAMNEALKQKRFLRDHDGEGSGSFSMNDKCRLNDPPSNDEASGRLSDTCSNFRKQTGSTQKAISLPSSPHEYRGQTLKRSGDFLRTEVMESNWNKLLQTSPFLNKPLLPFDEWNIEFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTSENVEDFCNEISILSRLRHPNVILFLGACVKPPHLSLVTEYMEMGSLYYLIHTSGQKRRLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMKDSPMRDNSSAGTPEWMAPELIRNESFTEKCDIFSLGVIMWELCTLNRPWEGIPPVQVVYAVANEGKRLDIPEGPLGSLISDCWAEPNERPSCQEILTRLLDCEYSLC >Ma04_p08100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5809692:5832389:-1 gene:Ma04_g08100 transcript:Ma04_t08100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGDSTQSEPGTSNAAWWASDLIENLNSVSLAPREETLTTGESASDVRLAVHSSQVASQILWSTGTFSGLIPNGFYSIIPDKKLKELFDTIPSPDELFSLGMEMSMADIILVDAERDKKLSMLKQLSAAMVKGIYANPALVIRKIAGLVFDFYKRPNSELSPAKASVDDISHLMDNKGIQLLGQIRQGSCRPRAILFKVLADAVGLESKLVVGLPSDGGVECIDSFKHMSVVVVLNSVELLVDLMRYPGQLIPFSTKAIFISHISAAGESDSAEYDSCDSPLEPNSPLYGLSDKVEAAGSEHYENLQSSYQRRVDISSSLLDHSLRRVMLRSTTFAEGNLSEPDIANAFWRRSSRKVAGGRTASSSPEHPVSRARGRSMLGGDRQLFREYADGVIISRSDGASTSDARRARRRSISFTPEIGDDIVRAVRAMNEALKQKRFLRDHDGEGSGSFSMNDKCRLNDPPSNDEASGRLSDTCSNFRKQTGSTQKAISLPSSPHEYRGQTLKRSGDFLRTEVMESNWNKLLQTSPFLNKPLLPFDEWNIEFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTSENVEDFCNEISILSRLRHPNVILFLGACVKPPHLSLVTEYMEMGSLYYLIHTSGQKRRLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMKDSPMRDNSSAGTPEWMAPELIRNESFTEKCDIFSLGVIMWELCTLNRPWEGIPPVQVVYAVANEGKRLDIPEGPLGSLISDCWAEPNERPSCQEILTRLLDCEYSLC >Ma04_p08100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5809692:5832389:-1 gene:Ma04_g08100 transcript:Ma04_t08100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGDSTQSEPGTSNAAWWASDLIENLNSVSLAPREETLTTGESASDVRLAVHSSQVASQILWSTGTFSGLIPNGFYSIIPDKKLKELFDTIPSPDELFSLGMEMSMADIILVDAERDKKLSMLKQLSAAMVKGIYANPALVIRKIAGLVFDFYKRPNSELSPAKASVDDISHLMDNKGIQLLGQIRQGSCRPRAILFKVLADAVGLESKLVVGLPSDGGVECIDSFKHMSVVVVLNSVELLVDLMRYPGQLIPFSTKAIFISHISAAGESDSAEYDSCDSPLEPNSPLYGLSDKVEAAGSEHYENLQSSYQRRVDISSSLLDHSLRRVMLRSTTFAEGNLSEPDIANAFWRRSSRKVAGGRTASSSPEHPVSRARGRSMLGGDRQLFREYADGVIISRSDGASTSDARRARRRSISFTPEIGDDIVRAVRAMNEALKQKRFLRDHDGEGSGSFSMNDKCRLNDPPSNDEASGRLSDTCSNFRKQTGSTQKAISLPSSPHEYRGQTLKRSGDFLRTEVMESNWNKLLQTSPFLNKPLLPFDEWNIEFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTSENVEDFCNEISILSRLRHPNVILFLGACVKPPHLSLVTEYMEMGSLYYLIHTSGQKRRLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMKDSPMRDNSSAGTPEWMAPELIRNESFTEKCDIFSLGVIMWELCTLNRPWEGIPPVQVVYAVANEGKRLDIPEGPLGSLISDCWAEPNERPSCQEILTRLLDCEYSLC >Ma04_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5809692:5832389:-1 gene:Ma04_g08100 transcript:Ma04_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGDSTQSEPGTSNAAWWASDLIENLNSVSLAPREETLTTGESASDVRLAVHSSQVASQILWSTGTFSGLIPNGFYSIIPDKKLKELFDTIPSPDELFSLGMEMSMADIILVDAERDKKLSMLKQLSAAMVKGIYANPALVIRKIAGLVFDFYKRPNSELSPAKASVDDISHLMDNKGIQLLGQIRQGSCRPRAILFKVLADAVGLESKLVVGLPSDGGVECIDSFKHMSVVVVLNSVELLVDLMRYPGQLIPFSTKAIFISHISAAGESDSAEYDSCDSPLEPNSPLYGLSDKVEAAGSEHYENLQSSYQRRVDISSSLLDHSLRRVMLRSTTFAEGNLSEPDIANAFWRRSSRKVAGGRTASSSPEHPVSRARGRSMLGGDRQLFREYADGVIISRSDGASTSDARRARRRSISFTPEIGDDIVRAVRAMNEALKQKRFLRDHDGEGSGSFSMNDKCRLNDPPSNDEASGRLSDTCSNFRKQTGSTQKAISLPSSPHEYRGQTLKRSGDFLRTEVMESNWNKLLQTSPFLNKPLLPFDEWNIEFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTSENVEDFCNEISILSRLRHPNVILFLGACVKPPHLSLVTEYMEMGSLYYLIHTSGQKRRLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMKDSPMRDNSSAGTPEWMAPELIRNESFTEKCDIFSLGVIMWELCTLNRPWEGIPPVQVVYAVANEGKRLDIPEGPLGSLISDCWAEPNERPSCQEILTRLLDCEYSLC >Ma08_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2489605:2490995:1 gene:Ma08_g03420 transcript:Ma08_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRCCSKQKVKRGLWSPEEDEKLIQYVTAHGHGCWSTIPKEAGLQRCGKSCRLRWINYLKPDLKKGSFSEEEERIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCLKKKLIAQGLDPMTHNLIPASRSSTGNNSDELSQLHCTSTTPFTITSPIKSFDKMKKSIDLNPHLLSLPHASTFPFPEILPPVSNFQFKDSHELMSFKDQNFTDGFASLDQTNISSTSLNQPSFMDDCMWDRTMEALEALKQSEVGHAVGKDQMQVQPTMCEMDFSEANEKGPVIMETSTFELEMLDNTLFPCGEFRNGSSMEQLQWDC >Ma04_p18530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20519799:20536395:1 gene:Ma04_g18530 transcript:Ma04_t18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDSVKLPPPLLRRSHIALPPSSPAAAAANRRFACPSTSVDRPHLFLGRSPPGAAENDKIPPPDTPNKKEMHEAEKKDIQSADGAINQALKEEFNGSTIPTGLGIAIKRQRKTKLKSKHLELHTSGSTDGTHLNPLASNSCRYDSSLGLLTKKFIDLLHKAKDGSLDLNTAAETLEVQKRRIYDITNVLEGVGLIEKTFKNKIRWKGIDMSRPKELDDQIARLKAEVKALYSEDCRLDEMIREIQESLWVFTEDENHKKGLYLTKEDITSIPCLQDAVLIAIKAPHGTSVEVPDPDEGIDFPQRRYQIFLRSSIGPINCFLISNHMDRIGASNHSPQEAATDSCIQSSSSNNGISLQPTEQDAGQGYELEDPVPPRILLDSIISNDCMGGIVKIVPSDVDIEADYWLFSDLRSSITDAWRTE >Ma04_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20519799:20536395:1 gene:Ma04_g18530 transcript:Ma04_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEDSVKLPPPLLRRSHIALPPSSPAAAAANRRFACPSTSVDRPHLFLGRSPPGAAENDKIPPPDTPNKKEMHEAEKKDIQSADGAINQALKEEFNGSTIPTGLGIAIKRQRKTKLKSKHLELHTSGSTDGTHLNPLASNSCRYDSSLGLLTKKFIDLLHKAKDGSLDLNTAAETLEVQKRRIYDITNVLEGVGLIEKTFKNKIRWKGIDMSRPKELDDQIARLKAEVKALYSEDCRLDEMIREIQESLWVFTEDENHKKGLYLTKEDITSIPCLQDAVLIAIKAPHGTSVEVPDPDEGIDFPQRRYQIFLRSSIGPINCFLIRIGASNHSPQEAATDSCIQSSSSNNGISLQPTEQDAGQGYELEDPVPPRILLDSIISNDCMGGIVKIVPSDVDIEADYWLFSDLRSSITDAWRTE >Ma04_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7502409:7505034:-1 gene:Ma04_g10540 transcript:Ma04_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLPPAVGPGGAAAPASWADEFLDFAAARRGHRRRSANDSVSFIGAPLADECPGFDRLDDDQLLSMFSDEVPPPSSSSAGVPVSSTSTPLDHGSIHEAKPAAGPEEVQSVCKTEADASALAPPAAGSEPILDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSALSPRVAFLDHQRSILAMDNSHLKQRIAVLAQDKIFKDAHQEALKKEVERLKQVYHQQNVDEMAASTSEPVGLAEKELID >Ma01_p15330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11081093:11086942:1 gene:Ma01_g15330 transcript:Ma01_t15330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNHGTAAVLARLAVACDGAILGLALAAVSAASWVKYATTSAALDRISCAPSAPISGLRAILSSADYLNEDPLLVVVRGRVQPSSAVEALSDGAVSETNGVLTPRGSDEMAVAILNTQMCLYNEWRGMFRWNFDLHALFAKSLKEQRSSSSLLKSVSFVLVEAGDWPNSGYVHVNLDGSAHPLPLTTVYHELHPIQVPPFTFFQVALLDEEKILPVGKEITAIGICRPRDEAIEIKSCQELPCFLSDMTKDEIVAELNFDAGVLFWSGILLGILSVGILGYAVIRNWWKWKEWRLRRRRQNEDLNNEALSRSSMEQEDVPDGELCVICLSRRRRTAFVPCGHLVCCPHCATSVVHDSSPKCPLCCQDVRSSIRIYES >Ma01_p15330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11081093:11086942:1 gene:Ma01_g15330 transcript:Ma01_t15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNHGTAAVLARLAVACDGAILGLALAAVSAASWVKYATTSAALDRISCAPSAPISGLRAILSSADYLNEDPLLVVVRGRVQPSSAVEALSDGAVSETNGVLTPRGSDEMAVAILNTQMCLYNEWRGMFRWNFDLHALFAKSLKEQRSSSSLLKSVSFVLVEAGDWPNSGYVHVNLDGSAHPLPLTTVYHELHPIQVPPFTFFQVFFGSGYPVALLDEEKILPVGKEITAIGICRPRDEAIEIKSCQELPCFLNWWKWKEWRLRRRRQNEDLNNEALSRSSMEQEDVPDGELCVICLSRRRRTAFVPCGHLVCCPHCATSVVHDSSPKCPLCCQDVRSSIRIYES >Ma01_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11081093:11086942:1 gene:Ma01_g15330 transcript:Ma01_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNHGTAAVLARLAVACDGAILGLALAAVSAASWVKYATTSAALDRISCAPSAPISGLRAILSSADYLNEDPLLVVVRGRVQPSSAVEALSDGAVSETNGVLTPRGSDEMAVAILNTQMCLYNEWRGMFRWNFDLHALFAKSLKEQRSSSSLLKSVSFVLVEAGDWPNSGYVHVNLDGSAHPLPLTTVYHELHPIQVPPFTFFQVFFGSGYPVALLDEEKILPVGKEITAIGICRPRDEAIEIKSCQELPCFLSDMTKDEIVAELNFDAGVLFWSGILLGILSVGILGYAVIRNWWKWKEWRLRRRRQNEDLNNEALSRSSMEQEDVPDGELCVICLSRRRRTAFVPCGHLVCCPHCATSVVHDSSPKCPLCCQDVRSSIRIYES >Ma02_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19332638:19336780:-1 gene:Ma02_g09220 transcript:Ma02_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFIRKHMMQLREASEESRGSSSSMSVITTGFSPMDSMTPSYLQASTLLPAIGRHSLDSSSYFSNKGNPFVDSFPDPLCKLNLKETSDFVKAFPMNTKCSSDNRGLLEASSQRRREGLSLMGQKRLETPSTPGKPVFSFSPGHLYRKNIPSKWDDAEKWLISSSCNESPAHVTKPTDSSSKSSRQHGILPQKGDSFAEKQMSFAERKDLSSPIPGFDGPVMSMDPIVPFSGASSEVLLKDKFTNNADQVYPNFRYSEPSEEDFVSRNSCFGPPMEDTTTAAGICEVQRRDIGTEMTPLGSSTATRCNTPIKSSSPARHNTPADRSGALVPCNTCIDISELNDCHFAKLKLSAQYDPIVSNWSTREEEEEEVSRSLRHLEISSGRTSIGVSWASAWEEEERTKSCLRYQREEAKIQAWLNLQNAKAEAQSRKLEVKIQKMRSNLEEKLMKRMAIVHRRAEEWKATAQQQHSQQLKRASEHSQKMKSQHSSRFPVNSTCGCFPCNNQL >Ma02_p09220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19332638:19336780:-1 gene:Ma02_g09220 transcript:Ma02_t09220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFIRKHMMQLREASEESRGSSSSMSVITTGFSPMDSMTPSYLQASTLLPAIGRHSLDSSSYFSNKGNPFVDSFPDPLCKLNLKETSDFVKAFPMNTKCSSDNRGLLEASSQRRREGLSLMGQKRLETPSTPGKPVFSFSPGHLYRKNIPSKWDDAEKWLISSSCNESPAHVTKPTDSSSKSSRQHGILPQKGDSFAEKQMSFAERKDLSSPIPGFDGPVMSMDPIVPFSGASSEVLLKDKFTNNADQVYPNFRYSEPSEEDFVSRNSCFGPPMEDTTTAAGICEVQRRDIGTEMTPLGSSTATRCNTPIKSSSPARHNTPADRSGALVPCNTCIDISELNDCHFAKLKLSAQYDPIVSNWSTREEEEEEVSRSLRHLEISSGRTSIGVSWASAWEEEERTKSCLRYQREEAKIQAWLNLQNAKAEAQSRKLEVKIQKMRSNLEEKLMKRMAIVHRRAEEWKATAQQQHSQQLKRASEHSQKMKSQHSSRFPVNSTCGCFPCNNQL >Ma06_p34610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34765882:34769489:1 gene:Ma06_g34610 transcript:Ma06_t34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAQEGSYSPGAATAAADATTKSVQAWRALVCRVAILFRLLLQILRGTPSWAQLLSSVGLRHPFFSSPSAGYKLLSVAPPADALPNHTAPASEPLGKLTVVLDLDETLICAYETSSLSSTVRAQAVEAGLECFDLECVSSEQDADGSQKVNHVTVFERPGLREFLKQSSEFADLVLFTAGLEGYARPVIDRIDIDNKLTHRLYRPATVSTKYREHVKDLSCVSQHLSRIVIVDNNPFSFLLQPLNGIPCMPFFAAQPCDDQLMGVILPLLKHLSLHKDVRPILNEKFHMREWFEQQGIPTKCWTLSEVPTVLPSSCYQCT >Ma05_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37021870:37029144:-1 gene:Ma05_g24900 transcript:Ma05_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALLLFCLQMRYCTRSRFIHHNLVRLNRTAGPLRRRVLKLARSRACRNAELSFRCLGRCSPPRLRSKMKLVRFLMKLNNETVSIELKNGTVVHGTIAGIDISMNDTLEDCETYSEGKKPSYS >Ma03_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29145864:29146967:-1 gene:Ma03_g24970 transcript:Ma03_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTKGGDAGEGGGNLQVIRFRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAALQFRGPKAKTNFPYPDPRHFPAVFVGAGSGSAASSPSSSTVESSTPSLPYPAAPPPLDLELGHASARLPFLHPLPATAAPAHPFLFLDAMARSEKAVAVTGFKTVAMSAYGGAHSESASSLDMSYSLSPVVMAAPGRRLPFDVDLNLPPPPEVA >Ma05_p23740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35897216:35897668:1 gene:Ma05_g23740 transcript:Ma05_t23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESNSGAATAQQKELDVILKGVDMSDEMRSHACACARAAFQEHTVEKNIAEYIKKEFDAKYGQSWHCIVGRNFGTRIDLVLPSSSCA >Ma04_p28990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29956377:29956691:-1 gene:Ma04_g28990 transcript:Ma04_t28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTRKLVQSITLSPGKVFEMLHTILLIRIEPAPYISTYIIALRRVGKRGSLAAGCLSKPIQAKANE >Ma04_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11968584:11984966:-1 gene:Ma04_g15640 transcript:Ma04_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEAAGGTPPKRSSLLRPYPSPSACRSSLHRLSRFLLSEKVGYLQWVFTVAAFLLVVALFQAFLPGSTVEGPGGGSGASGRELGEIGDLDFGEGIRFVPVKLLERWEREDREANSSVSAFGERPLRRFGLRNPLLALVVPDLLADAMQLQMVSIAVVLKEIGYDIQVFSFEDGPVHTVWQAIGISVNILLKTQETTIDWLNYNGILMNSLESRPLISRLLQEPFKNVPVIWTIHEMVLHLSSSEYAANGQDQLLNDWKQIFSRATVVVFPTYLMPMMYSAFDAGNFLVIPGSPSEAWEAINSATQKIHNSEENMGHSPEELLIAIVSSQFLYSGKLIEHAIILEALMPLHQQFLHVNTSYSSLKIGILGANFTGAQRTALEAIARNVGFPSNIVENIVVAGDMNNFINIADIVIYGSFLEEQSFPSVLMQAMSLGKLIIAPDLDMISKYVVNEVNAYLFSKEKVGMLRKILLEVVSNGKLSLSARQVAAVGKRHARNLMASETIQGYVSLLEKVLKFPSEIALPKPVKEIPLRLSEEWQWDLFLNLRNMNNLNRSFISYRMLNKLKEQLDHSSSANTSANFDKALSSVAWEEEKIIEMVNAKKRIEEEELRDRSDQPHGTWDEVYRSAKRADRERNELHERDDRELERTGQPLCIYEPYFGEGAWPFLHQTSLYRGIGLSSKGRRPGADDVDASSRLPLLSNSYYRDALGECGAFFALANRIDRVHKNAWIGFQSWRASARKVSLSKEAEAKLLEAIQTQRHGDALYFWIRLDTDPRNPRQLEFWDFCDAINAGNCRFAVVEILRRMYGVQDDWDSLPQMPNDGDFWSVMHSWVLPTRSFLEFAMFSRMFVDALDAMVYDEHNTSGYCLLSISKDRQCYSRLLELLVNVWAYHSARRIVYVNPESGAMQEQHRLKKRRGQMWIGWFSYTTLKGIDEDLAEEADSDHPDRRWLWPSTGEIVWQGVYERERNMRQQQKERRKQHSRDKIQRIKKRARQKTLAKYIKPPPDEADHLNTTTVR >Ma10_p27990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35032807:35033210:-1 gene:Ma10_g27990 transcript:Ma10_t27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLEDIWRSTRERGSFAVEMNAGLQDPAFMGTGIRIGCCRCVLCKLWHLFPDVWERI >Ma08_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:88036:104209:-1 gene:Ma08_g00110 transcript:Ma08_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMCTYKEFLEITCLILLSADESVLIWFSGKEEKHVKLSHVARIMPGQRTAIFQRYRQPEKERQSFSLIYNERSLDLICKDKDEAEVWFAGLKTLISRSHYRRWRTESRSDGVSSGTNSPRTYTRRSSPLNSPFGSSDSMQKSFFPSDSVTESLHSLSSGCSDSINGHTRVIATDVFRVSLSSAVSSSSQGSGHDDGDALGDVFIWDVQNVSCGGRHAALVTKQGEIYTWGEESGGRLGHGVKTDVSQPRLVDALVNMNIELVACGEHHTCAVTLSGDLYTWGDGTSTLGLLGNGNEMSHWLPKMVSGPLEGIHVSSVSCGPWHTSVVTSSGQLFTFGDGTFGVLGHGDRRSISVPREVGSLRGLRTVRAACGVWHTAAVVEVISGNSSSSNCSSGKLFTWGDGDKGRLGHGDKENRLVPTCVAALVEPNFCQVACGHSLTVSLTTSGHVYTMGSAVYGQLGNPQSDGKLPVRVEGKLLKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHRDTDDRNSPTLVEALKDKQVRNVVCGTNFTAAICIHKWVSGVDQSMCSGCCLPFNFKRKRHNCYNCALVFCHSCSNKKSLRASMAPNPHKPYRVCDNCFIKLSKPLETDSSSHSAASKRGNMIQGFSDMIEEDKLDPRSNFFSASVPGSRIVSRATSPVSRRASPPRSTTPNPTLQGLTSLRTILDGSKSATDSISQEVLKLRAQVANLTYKAQLQEVVLERTTKQLKEAISVAGEEAAKCKAAKEVIKSLTAQLKDMAERLPVGAAENSELSSSASFNTSLDSSSFSDATAEKLSSLLTSREKDANGSSEVLGSNGQSTIGSRNNLGTPVSRNGSKVIDADPNHETEWVEQDEPGVYITLTSLPEGARDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYSVRTVNRSAPSVGNSKRSD >Ma01_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5054571:5058803:-1 gene:Ma01_g06990 transcript:Ma01_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWMQWLTNPRRNWFAAQHYKALSNRLKKYGLRYDDLYDPMYDLDIKEALARLPREVVDARNQRLKRAIDLSMKHEYLPEDLQALQTPFRSYLKDMLALLGATRLMV >Ma01_p06990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5054547:5058739:-1 gene:Ma01_g06990 transcript:Ma01_t06990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWMQWLTNPRRNWFAAQHYKALSNRLKKYGLRYDDLYDPMYDLDIKEALARLPREVVDARNQRLKRAIDLSMKHEYLPEDLQALQTPFRSYLKDMLALVKKENAEREALGALPVYQRTIP >Ma07_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15701689:15726897:-1 gene:Ma07_g16900 transcript:Ma07_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MATNPFRLSGYSQFSTSSHLANAVSSSFPLFPNLKFAAFCPFTVTLLPIRLPCKPYPISCRASSASPSPSPSPPPTSVELDGTGAAAPTRGDLYLERQQSMSAAALVLKKNKEGKKKRRNDAKLPTNVACCYGCGAPLQTTEVDAPGYVNAETYELKKMHRQLKTILCGRCKLLSHGHMVTAVGGHGGYSGGKQFISAEELREKLSYLRHEKALIVKLVDIVDFNGSFLARVRDLAGGNPIILVITKVDLLPRGTNLNCIGDWVVEATTKKKLNVISVHLTSSKSFVGIAGVISEIQKEKKGRDVYILGSANVGKSAFINALLKLMARKDPVAAAAQKYKPMQSAVPGTTLGPIQIEAFQGGGNLFDTPGVHLHHRQAAVVHSDDLPSLAPQSRLRGQSFPVISIGTITDSEAVGINGSSLFWGGLVRIDVIKVLPRTRLTFYGPKKLKVHMVSMAKADEFYHKELGNTLTPPSGKERVESWLGLQAVRQLQIKFEDTNRPACDIAISGLGWIAVEPVSAAEPNDPDTRVKAGELHLAVHVPKPVEIFIRPPIPVGKAGEEWYQFQELTEKEEESRPKWFY >Ma09_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7271963:7276023:1 gene:Ma09_g10700 transcript:Ma09_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVFFLVLVSGEMLERELSNDQSAYYSVLFYASWCQFSSDIQPIFDALSYMFPQIKHLLVEESSVMPSVLSRNGIHSFPAIMLFNGTTRFRYRGSKDFTSLVHFYKRSTGLDPITYLEIHQSSSGNARTVIVQVESIWELITKESYLTFAILFICLKIIMCFFPLIYLRFKSFWVSHVWPVNLRILHESSLLLEKVLQVVDIKRMWSNIQLSNKTRNFQKGANNARVWASSLTRLNESSSSRLALKDS >Ma09_p10700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7272952:7276023:1 gene:Ma09_g10700 transcript:Ma09_t10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSLRLLELHLLIAVASLTFPRSLAAVVPPLCPRSGIAFLDDLGCQCSRWIELSSPQEVSGEMLERELSNDQSAYYSVLFYASWCQFSSDIQPIFDALSYMFPQIKHLLVEESSVMPSVLSRNGIHSFPAIMLFNGTTRFRYRGSKDFTSLVHFYKRSTGLDPITYLEIHQSSSGNARTVIVQVESIWELITKESYLTFAILFICLKIIMCFFPLIYLRFKSFWVSHVWPVNLRILHESSLLLEKVLQVVDIKRMWSNIQLSNKTRNFQKGANNARVWASSLTRLNESSSSRLALKDS >Ma02_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21182338:21183116:-1 gene:Ma02_g12450 transcript:Ma02_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YCF37 [Source:Projected from Arabidopsis thaliana (AT2G23670) UniProtKB/TrEMBL;Acc:A0A178VUP0] MASSSTALLLNPLLSNCGAGRRGGVRCFIKPSSSPQPAPRQILGGRRNCLLFLVLSTAAPLAALPPQARSQEIPLFGLRKRIKKIEEEAEEIVEEGEKAVEQGIAAAEKGIVAAEKEIQAAEEGIVASAGVGVAGDLLQAGAVAGAEAVGVLVGVSVVNGILAPERQKL >Ma04_p22880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25085305:25086607:1 gene:Ma04_g22880 transcript:Ma04_t22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKPRFPSCLFSLSFFPCFGSLLYRMVSMSEQTSLQLPVLDISQPVLTTSLSLLYQACKGWGFFYITNHGINKDLYDHLRALAHQAFNLPLDAKLKIGPLTAMGTYTPHFIASPFFESLRVSGPNYLASAKGSSDAIFEQPNVEFCHILQQYGEAMVDLSRRILAILLICLGDGIETKYYDSEFSACHGYLRINNYSRPEESSMDEVEGLGMHTDMSCITILYPDEMGGLQVRSKEGKWVDIMPIKGALVVNIGDLLQAWSNGRLRSSEHRVVLKQTANRFSLAFFWCFEDEKVVAAPEEVVGDGGKRIYRPFVCRDYIKFRENSERGRFDKVGYTVDDFAATQDA >Ma04_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25082092:25086607:1 gene:Ma04_g22880 transcript:Ma04_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYTPHFIASPFFESLRVSGPNYLASAKGSSDAIFEQPNVEFCHILQQYGEAMVDLSRRILAILLICLGDGIETKYYDSEFSACHGYLRINNYSRPEESSMDEVEGLGMHTDMSCITILYPDEMGGLQVRSKEGKWVDIMPIKGALVVNIGDLLQAWSNGRLRSSEHRVVLKQTANRFSLAFFWCFEDEKVVAAPEEVVGDGGKRIYRPFVCRDYIKFRENSERGRFDKVGYTVDDFAATQDA >Ma10_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32101236:32102394:1 gene:Ma10_g22970 transcript:Ma10_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHPYLRVKPPQETSERFPQWTHDETMVFLAIRAQLDKSFVETKRNKPLWQAISSLLQQRGFFRTPDQCKSKWKNLVTRFKGSESVEGEINRQFPFYEEMRKIFSDRMERLLVLEKARGKQVQVQAKEWEEEEGEGKVAGSKKRRKVERKKRPDEELEGAVRDFMRRQLEMEARWAEAVEARDAERRAKEEQWRRLMQGLQEERTDLERRWREREEERRVREETRAERRHALLIALLNKLVHKDC >Ma09_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10081118:10086370:1 gene:Ma09_g14750 transcript:Ma09_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDRSRQSLIPSFLYSTAASRTIGLERNILGRSPVSKAAPAATANDGALMGSVVAQAPNEPGKIKLYSPMFYAACTAGGIASCGLTHTAVTPLDLVKCNMQIDPSKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKYSIPRPKDQCSKELQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAVPATGLDKLKAA >Ma03_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9271569:9273153:-1 gene:Ma03_g11950 transcript:Ma03_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVTSEKIDLDVIRDVDLNKLEPWDIQEKCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSSVKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDHSDLLSQSNTSSAMGEATNQEDGWVVCRVFKKKNHHKSIGSPNNSCSVTSDAKTQLLHHPGSGDDALDQILQYMGRSCKRESHGTSINDVNPNNANRHLRPIETVFACGLQEMFMKLPGLQSPSVPSLPDTFPLDHSCDNQTSYGSSAVLHAGASSGNGYCADPAVGDWAAFDRLLASQLNGPFEEPKPLPCCDDPCFTFHSSAGYHHGGGGGSGSGSGDGDLWSMTRTTSSQSDRISHVSM >Ma08_p33960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44096373:44101105:-1 gene:Ma08_g33960 transcript:Ma08_t33960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RKF3 [Source:Projected from Arabidopsis thaliana (AT2G48010) UniProtKB/Swiss-Prot;Acc:P93050] MTIAVSDPLRLLFSAFCLLLLLDLALSQNSSSCPLDFSVTQKFVSAAASSPNSSDSAHSCTYALQLLHLTLSQYLRTDSLFHPPVDAAAACWTAFQAALAPLFSAADPFDVRTGCGFRTEWIAQGCMNITSRQDFENVVPSAGISDMDRNCNQSFQTTPACTACTVSLNRVKAAYLPGPNHGNVSDCASYPAIYAGGAISSLGPSDGANAYCFFLLSPNTSAPSPSGGGSSTWIYGVAAGCFVLLLLAALAAWFWVRRYLNRRRKLRRKPSKPEVEPSLALESISASTTLVKFRFDDIKQATKNFSRDNIIGRGGYGNVYKGVLADGTEVALKRFKNCSAAGDASFAHEVEVIASVRHVNLVALRGYCLATTQMEGHQRIIVCDLMRNGSLHDHLFGTGDHRLSWPLRQKIALGTARGLAYLHDGVQPAIIHRDIKASNILLDEMFEPKVADFGLARFAPEGMSHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKKAFVSMGEGQAFVLSDWAWSLVRRGRTMAVLEEGMEELGPTEVLEKYVLVAVLCTHPQLHARPKMDQIVKILETDLAVPSIPDRPISIVSNIEDIERSVSSSGSEKA >Ma08_p33960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44096373:44101105:-1 gene:Ma08_g33960 transcript:Ma08_t33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RKF3 [Source:Projected from Arabidopsis thaliana (AT2G48010) UniProtKB/Swiss-Prot;Acc:P93050] MTIAVSDPLRLLFSAFCLLLLLDLALSQNSSSCPLDFSVTQKFVSAAASSPNSSDSAHSCTYALQLLHLTLSQYLRTDSLFHPPVDAAAACWTAFQAALAPLFSAADPFDVRTGCGFRTEWIAQGCMNITSRQDFENVVPSAGISDMDRNCNQSFQTTPACTACTVSLNRVKAAYLPGPNHGNVSDCASYPAIYAGGAISSLGPSDGANAYCFFLLSPNTSAPSPSGGGSSTWIYGVAAGCFVLLLLAALAAWFWVRRYLNRRRKLRRKPSKPEVEPSLALESISASTTLVKFRFDDIKQATKNFSRDNIIGRGGYGNVYKGVLADGTEVALKRFKNCSAAGDASFAHEVEVIASVRHVNLVALRGYCLATTQMEGHQRIIVCDLMRNGSLHDHLFGTGDHRLSWPLRQKIALGTARGLAYLHDGVQPAIIHRDIKASNILLDEMFEPKVADFGLARFAPEGMSHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKKAFVSMGEGQAFVLSDWAWSLVRRGRTMAVLEEGMEELGPTEVLEKYVLVAVLCTHPQLHARPKMDQIVKILETDLAVPSIPDRPISIVSNIEDIERSVSSSGSGQLSSFAGYQPFAFGNDDVSSDDSEKA >Ma10_p10040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24147418:24150964:1 gene:Ma10_g10040 transcript:Ma10_t10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRLWRDKREREMYDNFADLYAIVKTTDKLEKAYVRDLVSSAEYEAECLKLIAQFRTLHSALHGAVPSLDRFAEVYRLDAPAALNRLLVSGVPATVEHRAATSSSSSASAAAVAECVQNFITAMDSVKLNMVAVDQVHPLLSDLSTSLVKLGTGLLPPDFEGRVKVRDWLSRLAKMGAADELTEQQARQFHFDLESSYNAFMAALPNADS >Ma10_p10040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24147417:24150964:1 gene:Ma10_g10040 transcript:Ma10_t10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRLWRDKREREMYDNFADLYAIVKTTDKLEKAYVRDLVSSAEYEAECLKLIAQFRTLHSALHGAVPSLDRFAEVYRLDAPAALNRLLVSGVPATVEHRAATSSSSSASAAAVAECVQNFITAMDSVKLNMVAVDQVHPLLSDLSTSLVKLGTGLLPPDFEGRVKVRDWLSRLAKMGAADELTEQQARQFHFDLESSYNAFMAALPNADS >Ma10_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24147421:24150963:1 gene:Ma10_g10040 transcript:Ma10_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRLWRDKREREMYDNFADLYAIVKTTDKLEKAYVRDLVSSAEYEAECLKLIAQFRTLHSALHGAVPSLDRFAEVYRLDAPAALNRLLVSGVPATVEHRAATSSSSSASAAAVAECVQNFITAMDSVKLNMVAVDQVHPLLSDLSTSLVKLGTGLLPPDFEGRVKVRDWLSRLAKMGAADELTEQQARQFHFDLESSYNAFMAALPNADS >Ma04_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6976298:6978785:-1 gene:Ma04_g09790 transcript:Ma04_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVLTALDHARTQYYHFKAIIIAGMGLFTDSYDLFCIAPVMKLIGRIYYGDARAGKPGVTPPAVASAMVAVALAGTVIGQLVFGFLGDRIGRRRMYGLSLLLMVASSFASGFSICRTRGCVLTSLCLFRFLLGIGIGGDYPLSATIMSEFANKRTRGSFIAAVFSMQGFGILASSAVTMAITAAFNRATERGDAGPLQTPESADLAWRIILMVGAIPAGLTFYWRIAMPETARFTALVEQNVMKATADMGKVLGGLDVHNFAEDAEALRRSPQPRPPSYGLLSRQFLRRHGWNLFACAMAWFLVDIPYYSSTLFQSQIYQPFFPRPEHVNAYQDAYNVAKFQAIIAAASTIPGYFATVYFIERVGRRRIQMMGFFFMGLFLFALAGPYDNYWNHHTNAGYIVLYALTFFFSNFGPNTTTFIVPAELFPARFRSTCHGISGAAGKVGAIIGAIGFLWASQARNKEDVASGWKPGIGMMNALIILAGVCMIGAVHTYLFTPETKMRSLEENEGGGSQDGDSHEDVDGEENKYSTPSPLSVQPLVGQSPLQSPG >Ma06_p36150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35718194:35719998:-1 gene:Ma06_g36150 transcript:Ma06_t36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTGQGIALSLISGCLFLALVAELSYLFWWKTRTNRARELLHLFCWRKPSFPGSTQVNPREISATVDVAASSEEGQLQLQHHSSSSRARELLHLFCWRKPSFPGSTEVNPQEISATVDVAACSEEGQLQLQHHSSSSRARELLHLFCWRKPSFPGSTEVNPQEISATVDVAACSEEGQLQLQLHSSSSRARELLHLFCWRKPSVPSSTEVNPQEISATVDVAACSEEGQLRLQSSSSNGDDDTVEAELRRLHSLAGPPRFLFTINEESKEDLESEDVRSGCKKSWSDSFDRSDTPYLTPLSSPPFLTPPLTPLARFKHSAFNPLFESSKEEDFFRI >mito3_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:682521:683110:1 gene:mito3_g00090 transcript:mito3_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPLHFHYEDVSRQDPLLKPNHANVMEVPGSFEIRLVPSAAFIIPFSKFAMEMECGQRFIQKQRSPYFQAGKVKSFRSNTFLGSEKDTAYVSDFERQSALRGLGMSHLMVRISMVMSILDSKVEIRENPIQFSMETEFFEFSPELEEHFEIFEHIKGFNVTIVTSANTKDETLPLWSGFLLKD >Ma06_p28040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29831534:29834053:1 gene:Ma06_g28040 transcript:Ma06_t28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFFLPSPKTTASPSNRLSVPGFRPPRLHSLRWRPAVSVRASIATKPRPTVVPFDPAVETKQDVGSGRKLAAWTSIRQERWEGELVVEGEIPLWLKGTYLRNGPGIWNIDDYNFRHLFDGYATLVRVCFEHGGVTASHRQIESEAYKAAMTNRRLCYREFSEAPKPTSFLAYVGELASLFSGASLTDNSNTGVVRLGDGRVVCLTETVKGSVQIDPDTLDTVGRFVYEDNLGGLIHSAHPIVTESEFLTLLPDLVRPGYLVVRMEPGSNERKVVGRVNCRGGPAPGWVHSFAVTDRYVVVPEMPLRYCVHNLLRAEPTPLYKFEWHPESGSYMHVMSKATGKIVASVEVPPFLTFHFINAYEEVDENGRVTGIIADCCEHNADTSILDILRVQNLRSFTGLDVLPDARVGRFRIPLDGSTRGELLAALDPEEHGRGMDMCSINPAYLGKKYRYAYACGARRPCNFPNTLAKIDLVEKKAKNWHEDGAVPSEPFFVARPGATEEDDGVVISIVSDKNGEGYALMLDGATFEEIARAKFPYGLPYGLHGCWVPKM >Ma08_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32352850:32374082:-1 gene:Ma08_g18780 transcript:Ma08_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAEVPAPYDAGKAKGEGPSPDDAVIFVGISLLLGIGSRHLLRGTRVPYTVALLILGIGLGSIEYGTSGGLGKLGAGIRLWANINPNLLLSVFLPALLFESSFSLEVHQIKRCMVQMLLLAGPGVVISTFFLGVAVKITFPYGWDWKTSLLLGGLLSATDPVAVVALLKELGASKKMNTIIEGESLMNDGTAIVVFQLFYQMVLGRSFNVGDIIKFLSQVALGAAAMGIAFGIVSVLWLGFIFNDTVIEITLTLAVSYIAFFTAQDAADVSGVLTVMTLGMFYAAFARTAFKGDGQRSLHHFWEMVAYIANTLIFILSGVVIAEAVLNNDSHFERHGTSWGYVILLYAYLQVSRIVVVGSLFPLLQYFGYGLTWKEAIILVWSGLRGTVALALALAVKRASDNLDKSILKRELGTLFLFFTGGTVFLTLILNGSTVQFFLQLLDMDKLSTEKIRILNYARYEMLNKALESFRDLGDDEELGPADWPTVRRYITCLSNLDEGQIHPHNISEGESYMQMMNLRDVRVRFLNGVQASYWGMLEEGRITQTTATLLMRSVDEAMDLVANDPLCDWKGLKSSVHFPYYYRFLQVSKFPRRLITYFTVERLESACYICAAFLRAHRIARGQLHDFLGESVIATTVINESNAEGEEARKFLEDVRVTFPQVLRVVKTRQVTYSILKHLNEYVQNLEQVGLLEEKEMFHLNDAVQTNLKKLLRNPPMVKMPKICELLSSHPLLGALPSAIREPLGSSTKETMTLHGVNLYREGSKPTGIRFISVGVVKWTSKNLRNKHSLHPTFSHGSTLGLYEVLTGKPYICNMVTDSVVHYFFIKSEKILSLLMSDPAIEDFLWQESAIVIAKILLPQIFEKMSMQELRGLIAERSSMNKYIRGEAVEIRPKSIGFLLEGFIKTQNDQEQLITSPAVLLSSQTDQSFIDLESSGVNSLSFCHTASRYQVETRARVIFFDIGVSEADGALQKRSASWILQSGEPQRTPSMEHIGLLSWPEHLYKATGHHQSPNESIKQSTSLSAKAMELSIYGSMVNVRCSHCRNIMTGSTSNHSHSLSYPRVPSRTTDARSLVSVQSEGSSLQSRLAPRRSGKSGSIAPRRNVRHAEDNSSDESGGEEVIVRIDSPSRLSFNQTSGT >Ma07_p25730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32704931:32720758:-1 gene:Ma07_g25730 transcript:Ma07_t25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKEVFPSVEIDTSQPPLVFKCQLYALTGVPPERQKIMVKGGLLKDDADWATLGVKEGQKLMMMGTADEIVKAPEKGPVFMEDLPEEEQVVAVGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYTNSGRNNELDQYSHLLTVATRDLFGELDRNVRPVAPLQFLSILRKKYPQFAQQHNGGYMQQDAEECWTQLMYTLSQSLRSSDSSEASATVKALFGVGLVNRVYCAESSEESFENESVYALKCHISQDINHLHEGLRRGLKSELEKMSTSLGRSAVFSKESCISELPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYEFCSDELRQKLQTPRQTLRELENVKLGLKVEDKKKEHEVNMPTSEGSSNDSSEPSSMALDKAVSSEDKGQLTGIYDLVAVLTHKGRSADSGHYVAWVKQENGKWIQYDDDNPIPQREEDITKLSGGGDWHMAYICVYKARVV >Ma06_p21190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15337707:15342308:1 gene:Ma06_g21190 transcript:Ma06_t21190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEITNVCEYEEIAKQKLPKMIYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSNIDMTTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAASAANTIMTLSSWATSSVEEVASTGPGVRFFQLYVYKDRDVVRQLVKRAERAGFKAIVLTVDTPRLGRREADIKNRFTLPPFLTLKNFEDLDLGKMERTNDSGLASYVASQVDRSLSWKDVKWLQTITSLPIIVKGVMTAEDTRLAIQAGVAGIVVSNHGARQLDYVPATINCLEEVVKAARGQVPVFLDGGIRRGTDVFKALALGASGVFIGRPVVFALAADGEAGVRKVLQMLRDELELTMALSGCTSLKEITRNHIVTETEMPRTLSRL >Ma06_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15337696:15342350:1 gene:Ma06_g21190 transcript:Ma06_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEITNVCEYEEIAKQKLPKMIYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSNIDMTTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAASAANTIMTLSSWATSSVEEVASTGPGVRFFQLYVYKDRDVVRQLVKRAERAGFKAIVLTVDTPRLGRREADIKNRFTLPPFLTLKNFEDLDLGKMERTNDSGLASYVASQVDRSLSWKDVKWLQTITSLPIIVKGVMTAEDTRLAIQAGVAGIVVSNHGARQLDYVPATINCLEEVVKAARGQVPVFLDGGIRRGTDVFKALALGASGVFIGRPVVFALAADGEAGVRKVLQMLRDELELTMALSGCTSLKEITRNHIVTETEMPRTLSRL >Ma06_p21190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15337644:15342308:1 gene:Ma06_g21190 transcript:Ma06_t21190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEITNVCEYEEIAKQKLPKMIYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSNIDMTTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAASAANTIMTLSSWATSSVEEVASTGPGVRFFQLYVYKDRDVVRQLVKRAERAGFKAIVLTVDTPRLGRREADIKNRFTLPPFLTLKNFEDLDLGKMERTNDSGLASYVASQVDRSLSWKDVKWLQTITSLPIIVKGVMTAEDTRLAIQAGVAGIVVSNHGARQLDYVPATINCLEEVVKAARGQVPVFLDGGIRRGTDVFKALALGASGVFIGRPVVFALAADGEAGVRKVLQMLRDELELTMALSGCTSLKEITRNHIVTETEMPRTLSRL >Ma06_p21190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15337696:15342350:1 gene:Ma06_g21190 transcript:Ma06_t21190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEITNVCEYEEIAKQKLPKMIYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSNIDMTTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAASAANTIMTLSSWATSSVEEVASTGPGVRFFQLYVYKDRDVVRQLVKRAERAGFKAIVLTVDTPRLGRREADIKNRFTLPPFLTLKNFEDLDLGKMERTNDSGLASYVASQVDRSLSWKDVKWLQTITSLPIIVKGVMTAEDTRLAIQAGVAGIVVSNHGARQLDYVPATINCLEEVVKAARGQVPVFLDGGIRRGTDVFKALALGASGVFIGRPVVFALAADGEAGVRKVLQMLRDELELTMALSGCTSLKEITRNHIVTETEMPRTLSRL >Ma11_p20850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25242425:25246150:1 gene:Ma11_g20850 transcript:Ma11_t20850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQAASEEKKGGAQCVMVGLQMDANGKELLDWAIHRVSEQGDRIMAVHVCRDSDLRNTITTLSLITMLDEYLAAYEGACSLKQIVLVGRVARGNSIRKALVKEAKLCAAMKVIVGVNKHSSIGGSAPLAKYCAKKLPSATAVIAVQNGNIIFEKGATKPSPGEESKRRSLQNLLSPVTANSKDKTGKETLKSSDSGFGDGKEEAMPNDSNHVTKQMTKATPTSVTMLVKQLPEPRLGWPLLRRAKPGRTGEAKRADPARKMSVVQWVMTLPDRTLSSAQPSLQLTEELNTILSSSNLSCKWFAYEELLSSTKQFSSENLIGKGGSSRVYRGCLQNGHQVAIKLSKLSTEASKDFLLEVHIITKLQHSRVVSLLGICVEETTLISVYKYFPNGSLEEKLHGDKVKHLLPWDKRFKVAVGIAEALSYLHHGCPQPVIHRDVKSSNILLNDEFEPQLSDFGLAMWAPTTSAYLTQRDVVGTFGYIAPEYFMYGRVSNKIDVYAYGVVLLELVTGRKRIDDENPKGEESLVMWAARIIEGGGDLMDLLDPDLDANYDKDQMRRMILAASLCITRVARLRPQIDKIRSLLQGEEDMETWISRQADSMVDCPDDEAYPASDIGSHQGLAALEAEDDASVTSFGQSHVGSWEEYLRGRWSRSSSFD >Ma11_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25242516:25246150:1 gene:Ma11_g20850 transcript:Ma11_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQAASEEKKGGAQCVMVGLQMDANGKELLDWAIHRVSEQGDRIMAVHVCRDSDLRNTITTLSLITMLDEYLAAYEGACSLKQIVLVGRVARGNSIRKALVKEAKLCAAMKVIVGVNKHSSIGGSAPLAKYCAKKLPSATAVIAVQNGNIIFEKGATKPSPGEESKRRSLQNLLSPVTANSKDKTGKETLKSSDSGFGDGKEEAMPNDSNHVTKQMTKATPTSVTMLVKQLPEPRLGWPLLRRAKPGRTGEAKRADPARKMSVVQWVMTLPDRTLSSAQPSLQLTEELNTILSSSNLSCKWFAYEELLSSTKQFSSENLIGKGGSSRVYRGCLQNGHQVAIKLSKLSTEASKDFLLEVHIITKLQHSRVVSLLGICVEETTLISVYKYFPNGSLEEKLHGDKVKHLLPWDKRFKVAVGIAEALSYLHHGCPQPVIHRDVKSSNILLNDEFEPQLSDFGLAMWAPTTSAYLTQRDVVGTFGYIAPEYFMYGRVSNKIDVYAYGVVLLELVTGRKRIDDENPKGEESLVMWAARIIEGGGDLMDLLDPDLDANYDKDQMRRMILAASLCITRVARLRPQIDKIRSLLQGEEDMETWISRQADSMVDCPDDEAYPASDIGSHQGLAALEAEDDASVTSFGQSHVGSWEEYLRGRWSRSSSFD >Ma11_p20850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25242516:25246150:1 gene:Ma11_g20850 transcript:Ma11_t20850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQAASEEKKGGAQCVMVGLQMDANGKELLDWAIHRVSEQGDRIMAVHVCRDSDLRNTITTLSLITMLDEYLAAYEGACSLKQIVLVGRVARGNSIRKALVKEAKLCAAMKVIVGVNKHSSIGGSAPLAKYCAKKLPSATAVIAVQNGNIIFEKGATKPSPGEESKRRSLQNLLSPVTANSKDKTGKETLKSSDSGFGDGKEEAMPNDSNHVTKQMTKATPTSVTMLVKQLPEPRLGWPLLRRAKPGRTGEAKRADPARKMSVVQWVMTLPDRTLSSAQPSLQLTEELNTILSSSNLSCKWFAYEELLSSTKQFSSENLIGKGGSSRVYRGCLQNGHQVAIKLSKLSTEASKDFLLEVHIITKLQHSRVVSLLGICVEETTLISVYKYFPNGSLEEKLHGDKVKHLLPWDKRFKVAVGIAEALSYLHHGCPQPVIHRDVKSSNILLNDEFEPQLSDFGLAMWAPTTSAYLTQRDVVGTFGYIAPEYFMYGRVSNKIDVYAYGVVLLELVTGRKRIDDENPKGEESLVMWAARIIEGGGDLMDLLDPDLDANYDKDQMRRMILAASLCITRVARLRPQIDKIRSLLQGEEDMETWISRQADSMVDCPDDEAYPASDIGSHQGLAALEAEDDASVTSFGQSHVGSWEEYLRGRWSRSSSFD >Ma08_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16660117:16663949:-1 gene:Ma08_g15980 transcript:Ma08_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMSKRKFKYVNGVAKAPTLEDASYKTWEIKNMMIFQIKRQIQKMKQGTSSVTDYYNNLRGLLAELDIYQILEMESAADTKRLRNLLEMERLNVKNAFFNGELEEEVYMNLPPSFEGTLAFDRSPQAE >Ma09_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35535260:35535646:-1 gene:Ma09_g23820 transcript:Ma09_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLSCGGRTEPRRDTKLEHGREDVPWASRCFGVGRSWSGELTPPLLYAHLRSSSIPVDPPDDKQKGHRKIASTGSALFGGSTDAREAGAATEEEPRLVRSGGMRRDWSFEDPRERMAGRGGEGFR >Ma03_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24562900:24566922:-1 gene:Ma03_g19190 transcript:Ma03_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASK >Ma03_p19190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24562900:24566892:-1 gene:Ma03_g19190 transcript:Ma03_t19190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASK >Ma09_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8877745:8884313:-1 gene:Ma09_g13130 transcript:Ma09_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTGVIVFLFMFILFAAAQRNGSNIMENPFHVGVILDLTTLVGKMGQTSISMAIDDFYSINSNYTTRLVLHTKDSGNDVVQAASAALDLIEKIEVQVIIGPQKSSQAALVSYVGNKSHVPIISFTATSPSLSSALTPYFVRAAFDDAVQVDSISSIIRAYGWREVVPVYEDSDYGRGIVPYLIDSLEQVDCRVPYRSVISLVATDDQIIEELYKLMTMQTRVFIVHMSVPMGSRLFRKVNEAGLMSQGYAWIMTDGLTSLVESLDPSIIASMQGTIGVRLYVPKSRKLDHFARRWRRRFQQENPDDQPAEPGIFALWAYDTVWTVAMAAENVKGINSSFLKLANTGNSTGSDRLGVSIAGPLLLKLISESRFRGISGEFLFADGQLQSSTFQIINVVGKGGREIGFWTPQHGIIKQLKKNRTKEYSALMTDMNPVIWPGESTVVPKGWEMPLSGKKLRIGVPLLDETDEFVKVERNPITNAITVSGFCIDVFEAALQTLPYALPHEYIPFENEKGLCAGTYDDLVDQVYFQFTISSIISSSPSIRFILIDLCQQKYDSVVGDVTIRENRSRYVDFTLPYTESGIAMLVPVKDSINKNAWIFLKPLTFDLWLGSLAAFFYTGFVVWVLEHRINMEFRGPVSQQLGTIFYFSFSTLVFAHREKLENLLSRIVVIIWVFVVLILTSSHTASLTSMLTVQQLQPTVTDLHELQNNGEYVGYPVNSFVKGLLMQLNFDEKRMRGYSYSDEYVEALKKGSHSGGVAAIVHEIPYIKQFLSKYCKGYTMVGPIYKTAGFGFVFPKGSPVVPDISRGILNVTEGDSMKQIEKKWFGGRDSCLKQGDIVGSGSLGFNSFWGLFLLSGAVSTCALVIFLASFVCKNWHEMRDIDRDKSISQRLISWVRYYNKKDYSANTFRKDNSEDSEQDADGTRRNSGEIPATLDDDPSNGRRSISNLSDVSCPPGESSSAELASPCSEARPISIIVTNRRH >Ma05_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27859134:27883531:1 gene:Ma05_g19420 transcript:Ma05_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKAPTSGMPAVAGPASGPQGLSRRPSMRSSVMATFSMEVFDNEVVPSSLASIAPVLRVASEIEAERPRVAYLCRFYAFEKAHRVDPSSSGRGVRQFKTALLQRLERDNTPSLAKRVKKSDAREIESFYQQYYENYVRALDQGEQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAAKDVQEKTEIYVPYNILPLDAAGASQCIMQLEEIKAAVTALRNTRSLNWPSSFDQQRQKTGDLDLLDWLRVMFGFQRDNVRNQREHLILLLANVHIRLSPKPEPLNKLDDRAVDAVMNKIFKNYKTWCKFLGRKHSLRIAQGLQPQEIQQRKILYMGLFLLIWGEAANVRFMPECLSYIFHNMAYELQGLLTGNVSVVTGENIRPSYGGDDEAFLKKVISPIYHVIEKEAKKSNNGKAPHSAWCNYDDLNEYFWSVDCFSLGWPMRDDGDFFKYIRESRPIVQARRSSHKVSNKSTGKSNFVETRTFWNIFRSFDRMWTFYVLALQAMIIMAWSDYSLTKIFQKDTLYSLSSIFITAALLRFLQSVLDLVLNFPGYHRWKFTDVLRNFLKILVSLAWVIVLPLFYIDSTSQISLPWKDLTQWLHQLKGVPPLYIMAVALYLLPNLLTGVIFIFPMLRRWIENSDWHIIRLFLWWSQPRIYVGRGMHESQFALFKYTLFWVLLLSSKFAFSYYMQIQPLMKPTKDIMNVHNVHYAWHEFFPYASGNFGAIASLWAPVILVYFMDTQIWYAIFSTLYGGVSGAFGRLGEIRTLGMLRSRFHSLPGAFNTCLVPSEKVRNRGFSLSKRFAEVPPSKRTEAAKFAQLWNEVICSFREEDLISDRKGLKEMDLLLVPYSSDPSLKVIQWPPFLLATKIPVALDMAAQFQSKDSDLWKRICVDEYMKCAVIECYESFKLILNLLVVGENEKRIIGIIIKEIEANIAKNTFLSKFRMSEVPILCNKFVELLAILKEGDASKRDTVVLLLQDLLEVVTRDMMVNEIHELAELGHGNKDSVPRRQLFAGTGSKPAILFPPVITAHWEEQIKRLYLLLTVKESAVDVPTNLEARRRIAFFTNSLFMEMPRAPRVRKMLSFSVMTPYYSEETVFSKNDLDLENEDGVSIIFYLQKIFPDEWNNFMERINCNRESEVWSNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMAQECEILEGYKAVADPTDEEKKSQRSLSAQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPSLRVAYIDEAEERDGDKVQKVYYSVLVKAVDNRDQEIYRIKLPGSAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNEDHGLHQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMVVVIIVYAYLYGRLYLSLSGLESAIVTQARKRGNEALESAMASQSVVQLGLLMALPMVMEIGLERGFRTALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTVLHGGAKYRATGRGFVVRHVKFAENYRMYSRSHFVKGLELMLLLIAYQIYGSAVTDSVAYFLLTSSMWFLVATWLFAPFLFNPSGFEWQKIVEDWDDWIKWINSWGGIGVPANKSWESWWDEEQEHLQSTGFLGRFWEIVLSLRFFLFQYGIVYHLNVVNANKSIIVYGLSWLVIVAVMLILKVMSMGRKQFSADFQLMFRLLKLLLFMGFIGTLVILFTLLNLTVGDIFASLLAFMPTGWALLQISQALRPLVKGLGLWGSVKALARGYEYVMGLTIFSPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILTGGKKQN >Ma04_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11643381:11647180:-1 gene:Ma04_g15340 transcript:Ma04_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINPFDLLGDDDSEDPTQLIAAHQQKIASKKPTAPAAAAPHAPAKLPTKPLPPAQAVREVRDARSNTGAVRGGAGRGGPVRGRGGRGGPMIQNRDFGNGNTGGASRVYEGADGAGGGEDGDAGRLQERDRPPRQPFSGGRRGGYGGRGGYRNGEAVDDSERPPRRMYDRRSGTGRGYEMKRSGAGRGNWGAATDDDIAQEKEEVLNADDKTIATEKQAEQDEVPSPEVNKDNEGAPNEGEEKEEDKEMTLEEYEKVREEKRKALLAMKNEERKVEIDEELQSMKQLSVKKGNDDVFVKLGSDKDIGKKKENIDRDERSKKSMSINEFLKPTEGERNRGGRGRGRGRGGRGQFGSGFGGEGSTFAAAAAPSIEDPGQFPTLGGK >Ma11_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6738307:6745996:1 gene:Ma11_g08470 transcript:Ma11_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPKNGDAVGSGVGGREAEEEQVVNPWEVTAKDGGKIDYDKLIEKFGCQRLEEPLVRRVERLTGRPAHVFLRRGVFFAHRDFNEILDVYERGEKFYLYTGRGPSSEALHFGHLVPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLTVEESKRLARENAKDIIACGFDITRTFIFSDFNYVGGAFYENMVKVAKCVTFNKVVGIFGFTNEDHIGKISFPPVQAVPSFPSSFPHLFSGKDNLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPALIESSFFPALQGETGKMSASDPNSAIYVTDSSKDIKNKVNRYAFSGGQDSIENHRKYGANLEVDIPVKYLGFFLEDDAELDHIRKEYGAGRMLTGEVKKRLIEVLSELVERHQRARASVTDEMVDAFMAVRPLPHMFS >Ma08_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6131103:6131378:-1 gene:Ma08_g08680 transcript:Ma08_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQLSFPHPRRKSGSWCTKWSSAEGSERRSSCRRRRRRRRRGHKTARRRRGCSATRGSLAGSRGAAPAGRRTPSPLSSSSWPVLYVLLLP >Ma02_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28431261:28432514:1 gene:Ma02_g23420 transcript:Ma02_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPTPIPLAQIESSTASPAAAAVAAAAAAAAPPPSKLPIKRKKTPQPHSQEQAQPHLSSPDPLLVPAPSSSSSDPPLAADQGFDEDDDYDDGDDDYGAAAAAGSAAPIDVRAGGASAAAAPPFRFQRVWSESDEIRFLQGLLGCWSQGLVFPRDLNLFFDRFSESMPQPYTRSQLSEKLRRLRKKFRVMSSRIARGQDPARLAPHDRDVLHLCTRLWHPSYAASSPFSAPDALAPGSGGNKQKEVKVEKEEKPLSGVGRDEVAPGIDVPRHLLAKTILDVFDACLNEFKVTVAGQGLVFPGGSASSGAAGRSDLEQRWREQRVTELDVLGRRLRLVLEKTIQN >Ma09_p25790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37144518:37146263:-1 gene:Ma09_g25790 transcript:Ma09_t25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMALVVVFLLAASVGLSESAVYKVGDSAGWTLLGNPNYTAWALSKNFQVGDTIVFEYNKEYHNVVEVKKHDYDCCGEKSPIATYATGNDSITLKTKGHHYFLCGIPGHCTAGQKVDIKVSSCAAPATSPSPSPPSISPATPPSSGGGGGAGGAGGGVSTPGAAPGASTGTRAVPGGIALLLAVFSCAAIYGGLVWHY >Ma10_p06380.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19019264:19025793:1 gene:Ma10_g06380 transcript:Ma10_t06380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKNSPDPSCSSHLPAPRTGESASQTLAFQERNPILEETPTPNFSIRDYVFASRTKGLETSWPFAQHFLRLCFKDGVKGLLPPFEPPNLLRVQCRRKEVEQILAHIVDPQEEKQSCDRKTRTCQEISKDDLAHCDAGVRLIRTHEQVETSSYDIGNQESKTPATDIENKCRLIVKLGVISGSSRTEDTISDSSTVSDPMASKVCPVCRTFSSTSNTTLNAHIDQCLSMESNTKWMSSKLSKPTEKPRKKRLMVDIYATAPRCTLEDLDKRNGTNWANEMAFATAPTVEVDMETKKPKLSPTESTAYVEGSVSVNSAGAKLKILSKFNDMPKLKEGPKFQKHEEVIEPTKMFLVSKKKHLNAKFSRKMKVKAQRNKLSSRTLLKAQIQTAHEGYCNAKTHHENEESLNPGNLNKSSSSLRQLMCSRRSDLPKNLFRKNVKRASDNTVPLTRSRLTSEDLVSSPKRVHSAGDGFEDSEKLSIPTFKWSSESTVKNGILLRKPKSSGNSVASSGIKIKEIDLSIQHQSDNSSRRTNISLEICHRPLIKDPIKLILKKKDSAGRTSSTSEVRKGDLKDKLFSFDKFRKHRSVSRSGKSGVEFQATPYGIDIPRTSNDALESCEFDCSKSVTVSPAGEMMNHGSTSRKDVPGDEERDDRSTMEEQNHGDECHGPDVENLNMQVEVFHSGHCVIKSSKEISTANPSPNDIVSSGNLQASFGARLDPSPLVEQVQYISKREFHEKQLVERSERQEESCDGVSREEIDDQNIQIADEMEERGEKVSCAIEPKEYTVDTMSIQESSGCLTNGDVGPRIPERSTSVTSVRTIVDDAMNLASDGEPSGSPVSTASTLFVPSSIDSKYTDPENEALGIVVNVEDKLALMERNVEGRNQELKANLSAKEPSQSVNDEPFYSSCRESLSRESQSLRSNATQHRTTIGKQVPDLFPGPRISSSFSLYQNPRTNTMVSSTSTETLSDSAVKVPSCTGANPILRLMGKNLMVMKNEEFVPPSTVMDHPPDVNLSSHLGFSSANTHMKQQNFPGTVAEHQFPVCLPSTAVAGFSFTSLHAALVPRPNQQTQEKNAYKKFDSSATPCMMNEVIVIDDSPKTDKQPSLSSPTSTLPFDASSLNPLSQRSFSCLSSQDHIEDPPSGMRPLLPNLYTGVDASLIKRYSTAEGHFMFQSPGTAYMRPSICYSQTLH >Ma10_p06380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19019264:19025733:1 gene:Ma10_g06380 transcript:Ma10_t06380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPSSSTENRDYVFASRTKGLETSWPFAQHFLRLCFKDGVKGLLPPFEPPNLLRVQCRRKEVEQILAHIVDPQEEKQSCDRKTRTCQEISKDDLAHCDAGVRLIRTHEQVETSSYDIGNQESKTPATDIENKCRLIVKLGVISGSSRTEDTISDSSTVSDPMASKVCPVCRTFSSTSNTTLNAHIDQCLSMESNTKWMSSKLSKPTEKPRKKRLMVDIYATAPRCTLEDLDKRNGTNWANEMAFATAPTVEVDMETKKPKLSPTESTAYVEGSVSVNSAGAKLKILSKFNDMPKLKEGPKFQKHEEVIEPTKMFLVSKKKHLNAKFSRKMKVKAQRNKLSSRTLLKAQIQTAHEGYCNAKTHHENEESLNPGNLNKSSSSLRQLMCSRRSDLPKNLFRKNVKRASDNTVPLTRSRLTSEDLVSSPKRVHSAGDGFEDSEKLSIPTFKWSSESTVKNGILLRKPKSSGNSVASSGIKIKEIDLSIQHQSDNSSRRTNISLEICHRPLIKDPIKLILKKKDSAGRTSSTSEVRKGDLKDKLFSFDKFRKHRSVSRSGKSGVEFQATPYGIDIPRTSNDALESCEFDCSKSVTVSPAGEMMNHGSTSRKDVPGDEERDDRSTMEEQNHGDECHGPDVENLNMQVEVFHSGHCVIKSSKEISTANPSPNDIVSSGNLQASFGARLDPSPLVEQVQYISKREFHEKQLVERSERQEESCDGVSREEIDDQNIQIADEMEERGEKVSCAIEPKEYTVDTMSIQESSGCLTNGDVGPRIPERSTSVTSVRTIVDDAMNLASDGEPSGSPVSTASTLFVPSSIDSKYTDPENEALGIVVNVEDKLALMERNVEGRNQELKANLSAKEPSQSVNDEPFYSSCRESLSRESQSLRSNATQHRTTIGKQVPDLFPGPRISSSFSLYQNPRTNTMVSSTSTETLSDSAVKVPSCTGANPILRLMGKNLMVMKNEEFVPPSTVMDHPPDVNLSSHLGFSSANTHMKQQNFPGTVAEHQFPVCLPSTAVAGFSFTSLHAALVPRPNQQTQEKNAYKKFDSSATPCMMNEVIVIDDSPKTDKQPSLSSPTSTLPFDASSLNPLSQRSFSCLSSQDHIEDPPSGMRPLLPNLYTGVDASLIKRYSTAEGHFMFQSPGTAYMRPSICYSQTLH >Ma10_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19020140:19025793:1 gene:Ma10_g06380 transcript:Ma10_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKNSPDPSCSSHLPAPRTGESASQTLAFQERNPILEETPTPNFSIRDYVFASRTKGLETSWPFAQHFLRLCFKDGVKGLLPPFEPPNLLRVQCRRKEVEQILAHIVDPQEEKQSCDRKTRTCQEISKDDLAHCDAGVRLIRTHEQVETSSYDIGNQESKTPATDIENKCRLIVKLGVISGSSRTEDTISDSSTVSDPMASKVCPVCRTFSSTSNTTLNAHIDQCLSMESNTKWMSSKLSKPTEKPRKKRLMVDIYATAPRCTLEDLDKRNGTNWANEMAFATAPTVEVDMETKKPKLSPTESTAYVEGSVSVNSAGAKLKILSKFNDMPKLKEGPKFQKHEEVIEPTKMFLVSKKKHLNAKFSRKMKVKAQRNKLSSRTLLKAQIQTAHEGYCNAKTHHENEESLNPGNLNKSSSSLRQLMCSRRSDLPKNLFRKNVKRASDNTVPLTRSRLTSEDLVSSPKRVHSAGDGFEDSEKLSIPTFKWSSESTVKNGILLRKPKSSGNSVASSGIKIKEIDLSIQHQSDNSSRRTNISLEICHRPLIKDPIKLILKKKDSAGRTSSTSEVRKGDLKDKLFSFDKFRKHRSVSRSGKSGVEFQATPYGIDIPRTSNDALESCEFDCSKSVTVSPAGEMMNHGSTSRKDVPGDEERDDRSTMEEQNHGDECHGPDVENLNMQVEVFHSGHCVIKSSKEISTANPSPNDIVSSGNLQASFGARLDPSPLVEQVQYISKREFHEKQLVERSERQEESCDGVSREEIDDQNIQIADEMEERGEKVSCAIEPKEYTVDTMSIQESSGCLTNGDVGPRIPERSTSVTSVRTIVDDAMNLASDGEPSGSPVSTASTLFVPSSIDSKYTDPENEALGIVVNVEDKLALMERNVEGRNQELKANLSAKEPSQSVNDEPFYSSCRESLSRESQSLRSNATQHRTTIGKQVPDLFPGPRISSSFSLYQNPRTNTMVSSTSTETLSDSAVKVPSCTGANPILRLMGKNLMVMKNEEFVPPSTVMDHPPDVNLSSHLGFSSANTHMKQQNFPGTVAEHQFPVCLPSTAVAGFSFTSLHAALVPRPNQQTQEKNAYKKFDSSATPCMMNEVIVIDDSPKTDKQPSLSSPTSTLPFDASSLNPLSQRSFSCLSSQDHIEDPPSGMRPLLPNLYTGVDASLIKRYSTAEGHFMFQSPGTAYMRPSICYSQTLH >Ma10_p06380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19019166:19025793:1 gene:Ma10_g06380 transcript:Ma10_t06380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKNSPDPSCSSHLPAPRTGESASQTLAFQERNPILEETPTPNFSIRDYVFASRTKGLETSWPFAQHFLRLCFKDGVKGLLPPFEPPNLLRVQCRRKEVEQILAHIVDPQEEKQSCDRKTRTCQEISKDDLAHCDAGVRLIRTHEQVETSSYDIGNQESKTPATDIENKCRLIVKLGVISGSSRTEDTISDSSTVSDPMASKVCPVCRTFSSTSNTTLNAHIDQCLSMESNTKWMSSKLSKPTEKPRKKRLMVDIYATAPRCTLEDLDKRNGTNWANEMAFATAPTVEVDMETKKPKLSPTESTAYVEGSVSVNSAGAKLKILSKFNDMPKLKEGPKFQKHEEVIEPTKMFLVSKKKHLNAKFSRKMKVKAQRNKLSSRTLLKAQIQTAHEGYCNAKTHHENEESLNPGNLNKSSSSLRQLMCSRRSDLPKNLFRKNVKRASDNTVPLTRSRLTSEDLVSSPKRVHSAGDGFEDSEKLSIPTFKWSSESTVKNGILLRKPKSSGNSVASSGIKIKEIDLSIQHQSDNSSRRTNISLEICHRPLIKDPIKLILKKKDSAGRTSSTSEVRKGDLKDKLFSFDKFRKHRSVSRSGKSGVEFQATPYGIDIPRTSNDALESCEFDCSKSVTVSPAGEMMNHGSTSRKDVPGDEERDDRSTMEEQNHGDECHGPDVENLNMQVEVFHSGHCVIKSSKEISTANPSPNDIVSSGNLQASFGARLDPSPLVEQVQYISKREFHEKQLVERSERQEESCDGVSREEIDDQNIQIADEMEERGEKVSCAIEPKEYTVDTMSIQESSGCLTNGDVGPRIPERSTSVTSVRTIVDDAMNLASDGEPSGSPVSTASTLFVPSSIDSKYTDPENEALGIVVNVEDKLALMERNVEGRNQELKANLSAKEPSQSVNDEPFYSSCRESLSRESQSLRSNATQHRTTIGKQVPDLFPGPRISSSFSLYQNPRTNTMVSSTSTETLSDSAVKVPSCTGANPILRLMGKNLMVMKNEEFVPPSTVMDHPPDVNLSSHLGFSSANTHMKQQNFPGTVAEHQFPVCLPSTAVAGFSFTSLHAALVPRPNQQTQEKNAYKKFDSSATPCMMNEVIVIDDSPKTDKQPSLSSPTSTLPFDASSLNPLSQRSFSCLSSQDHIEDPPSGMRPLLPNLYTGVDASLIKRYSTAEGHFMFQSPGTAYMRPSICYSQTLH >Ma10_p06380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19019264:19025793:1 gene:Ma10_g06380 transcript:Ma10_t06380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKNSPDPSCSSHLPAPRTGESASQTLAFQERNPILEETPTPNFSIRDYVFASRTKGLETSWPFAQHFLRLCFKDGVKGLLPPFEPPNLLRVQCRRKEVEQILAHIVDPQEEKQSCDRKTRTCQEISKDDLAHCDAGVRLIRTHEQVETSSYDIGNQESKTPATDIENKCRLIVKLGVISGSSRTEDTISDSSTVSDPMASKVCPVCRTFSSTSNTTLNAHIDQCLSMESNTKWMSSKLSKPTEKPRKKRLMVDIYATAPRCTLEDLDKRNGTNWANEMAFATAPTVEVDMETKKPKLSPTESTAYVEGSVSVNSAGAKLKILSKFNDMPKLKEGPKFQKHEEVIEPTKMFLVSKKKHLNAKFSRKMKVKAQRNKLSSRTLLKAQIQTAHEGYCNAKTHHENEESLNPGNLNKSSSSLRQLMCSRRSDLPKNLFRKNVKRASDNTVPLTRSRLTSEDLVSSPKRVHSAGDGFEDSEKLSIPTFKWSSESTVKNGILLRKPKSSGNSVASSGIKIKEIDLSIQHQSDNSSRRTNISLEICHRPLIKDPIKLILKKKDSAGRTSSTSEVRKGDLKDKLFSFDKFRKHRSVSRSGKSGVEFQATPYGIDIPRTSNDALESCEFDCSKSVTVSPAGEMMNHGSTSRKDVPGDEERDDRSTMEEQNHGDECHGPDVENLNMQVEVFHSGHCVIKSSKEISTANPSPNDIVSSGNLQASFGARLDPSPLVEQVQYISKREFHEKQLVERSERQEESCDGVSREEIDDQNIQIADEMEERGEKVSCAIEPKEYTVDTMSIQESSGCLTNGDVGPRIPERSTSVTSVRTIVDDAMNLASDGEPSGSPVSTASTLFVPSSIDSKYTDPENEALGIVVNVEDKLALMERNVEGRNQELKANLSAKEPSQSVNDEPFYSSCRESLSRESQSLRSNATQHRTTIGKQVPDLFPGPRISSSFSLYQNPRTNTMVSSTSTETLSDSAVKVPSCTGANPILRLMGKNLMVMKNEEFVPPSTVMDHPPDVNLSSHLGFSSANTHMKQQNFPGTVAEHQFPVCLPSTAVAGFSFTSLHAALVPRPNQQTQEKNAYKKFDSSATPCMMNEVIVIDDSPKTDKQPSLSSPTSTLPFDASSLNPLSQRSFSCLSSQDHIEDPPSGMRPLLPNLYTGVDASLIKRYSTAEGHFMFQSPGTAYMRPSICYSQTLH >Ma06_p37600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36597941:36599791:1 gene:Ma06_g37600 transcript:Ma06_t37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWETGMGSRCSEEDRAEAAAVLGGRALDFLLARSHVSSSDHLDAVGEGVPDLQTKLQSLVEGHGSVWACAVFWQISRSRSGELVLRWGDGHCRELVSGVDDDGTNGRYPQGAGPLHRMRKRVLERLHVLSGGSHEENYALCRDRLADSEMYFLASMYFLFHPGEGAPGRALLSENHIWIPETAFPGADYFVRAFLARTAGVRTVVLIPIDAGVLELASFDAVPESPDELHRIRAVFGHDLNKGAAAASGETERRGATCSSSHSRFGDAVRQHPKIFGKDLNVAPAQMSMKVPNWNLNHSVEEATPVHEACLRHGSGVARDEDPVTNQFLAEKQQQPKPQCSSSKSTGRLGTPATPEDRKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFCALRAVVPNVSKTDKASLLADAIAYITELQRKLKEMEAEKEMLRQPAFMDHDSHTHRPKLNVELVQDELMVRLSCPSHTHPVSGVIRALNESHINVVESKVVVSDDTVMHTFVVESQQLTREKLLAAITREVNRRKPQCLSL >Ma07_p10060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7522894:7527961:-1 gene:Ma07_g10060 transcript:Ma07_t10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGQSIPVGGIAYYITAPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >Ma07_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7522894:7527864:-1 gene:Ma07_g10060 transcript:Ma07_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGQSIPVGGIAYYITAPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >Ma05_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4031736:4033543:1 gene:Ma05_g05280 transcript:Ma05_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQNLIKVPNNVTSSPATTKWISPLQCLKPASIVRPAPPPLPPPPPTEPERRRSRFISHADAVRLINRQTDPQRALDLFNAAAAQPGFAHNHATYSALLLKLARHRRFPALDAVLRRMSLEPCLFHEAVFLRLMPLLCRASLPDKAVRLFRSAIPLLVRRNPSLKALATCLDALVEVRRFDLAQDLLTDARARFSIEPNTCVCNILVKHHCTSHDLDSAFRVLEEMRASELAKPNLITYSTLMGGLCREGRLKDAIDLFEEMIDKDKIVPDHLTYNVLIDGFCRSGQVDKARTIFGFMRSNGLEPNMYNYAVLMNGFCREGRVEEAEEVFEEMGKIGLQIDVVTYTTLIGCLCREGRVDEGIELVKEMREKGCKADVVTCNVVIEGLCKEERFKEAMGLLESLPYDGVRLNVASYRIVLNSLCATGDMEKAIGLLGLMLGRGFRPHFATSNKLLLGLCEVGRVADATVAMYGLAEMGFMPESDTWFQLVDSVCRERKLKRAFDLFDDLLIAE >Ma06_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8927144:8930381:1 gene:Ma06_g12970 transcript:Ma06_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGENGRAEEENEVRAPLLAAVRRVARKNSVNSMRGEFVARLPEKVKHGVDPERPFTIDVSRTRDLLEGEKEYYEKQFATLRSFEEVDSLNTPNVVDEALDLEEQRQSEFAMKISNYANIALLALKIYATIRSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNINIYKYPIGKLRVQPVGIIVFAAIMATLGFQVFVQALERLIENKPADKMTSAQLVWLYSIMLTATFVKLALWLYCRASGNKIVRAYAKDHYFDVVTNVLGLAAAILGDKFYWWIDPAGAIILAIYTITNWSGTVWENAVSLVGQSAPPEMLQKLTYLVIRHHPRIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILVKLPSSQA >Ma04_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20112679:20120616:1 gene:Ma04_g18290 transcript:Ma04_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSETEEAEAPTAGPAQVVRVKRERLVARMTCPICHKLLRDATTISECLHTFCRKCIYEKLNEEEADCCPVCNIPLGCVPVEKLRADHNLQDLRAKIFPLKKKKAGAPDTVPSIELPVKRKEISLSSLVVNSPRIATQTGLTGRRKKVVGSRAATIHGRNPATGEFNKNENDIVDKSAKKSSSNGNPSRLIPSRKQASSGAEISNNLANKNIENGGKPLVDKAELWKPLNCLVEAANRTKSFRSSPHKSVIKAEEKNVSDSEVNINKTRVEEHIHKSKVKDENFDNVKMPPMTAKARRIKGVGQKRRKLANSIQMLPDAANAQSDRRITPLWFSLVASFDQTGDSSLPQISTSYLRIKDGNVPVSYIQKYLVVKLNLVSEAEVEITCRGEMVRPTMSLHSLVRQWLQGGTPQRLQAVIGTSAKEFVVVLGYRRCRLSAQ >Ma06_p31260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32429589:32433437:1 gene:Ma06_g31260 transcript:Ma06_t31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLWEKSETWRWLVRKTRDPKRFFFAFATVCGVVPGGIGYCVMQLTNTRNEQLEAHLHQTARPESTMMGQVNREAG >Ma02_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25372699:25373400:-1 gene:Ma02_g19060 transcript:Ma02_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHKRHADSYWETLVLCFLCLLFFLDRFEGATTVPAEVAALNAILGRWGWTASATSSPAWNISGEPCSGAAIGSTALDDPNFNPGIKCDCSYDNGTTCHITRLL >Ma02_p04330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15804150:15811767:1 gene:Ma02_g04330 transcript:Ma02_t04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKEEAIRAREVAEKKMQSRDFIGALKIARKAQHLFPELENISHMLTVCEVHCSADAKINGEMDWYGILQVEPTADDSSIKKQYRKLALLLHPDKNQFAGAEAAFKLIGEAHKILSDRLTRQHYDVKMNVNIRTASSRQPAPQMRNSFYARSNFSAVSFNGLNQQQQQPSAFATANTFWTICPNCSMRYQYYLSILNKTLRCQHCSKPFIAYDLNAEAAPSGVKSGQSWNNVGNSHHQIPVQQANNVNLQSQSGNASSSTGLKSGVGGGPWAPFGHGGGPTNMANMATDDRMDVKGVASNEVQFEEKNPRQMNEGGKTAKPSTANANLKRSRKVAVESSESDSTDVEEDIAIEVDGPQAKQYSSSSAPRRSTRLKQNINYSEVGSEDDDDFINSPSYKKWRGESSGSADGHAGSSHADTDGVTSSVKATEFGDDKMENIYKDDASEKQPLNGSEGVNVDPTGESKLDTGTEEKLGPAAESSIDSRSKTSPEHDTLTYPDPEFYDFEKLRHVNKFSVDQIWALYDNLDGMPRFYARIRHVHAPHFKLRITWLEHNPLNEVETVWSGEELPVGCGNYILGSTQFAEDHLMFSHIVSWEKGKRRNSYDIYPRKGEVWALFKDWNAGWRSDAGNHRLYKYEVIEVLSDFAVDAGISVIPLVKIEGFVSLFMRAKEMAMAPYMIPPNEILRFSHGIPSYRLNGTEKEGIPQGCLELDPASLPTDFSESFPSVSLGGGTSGVGNLSESHVSCFKSTDNEVEPGMKDVTHAELYQAGGRQQSEAWKHAQNDTKQPEVVIREEDRLDAADIHYNSAENENSSPMSSSSPLVVEYPEAEFHNFDEGKSIENVQRGQIWALYSEIDQYPNYYGWVKKVELEYHKVHIAWLEACPVSEEEAHWIQEGMPVACGTFKVEQQSVAFENMGMFSHLVQAKPSARRNRYDILPCHGEIWAVYKNWSAGWSRSDWQNCEYDVVEISECTDAGLKVRLLTKVDGYRAVFKHENEGKAVTMDVPANEYTRFSHKIPSFRLTNERGGKLRGYWELDTASIPDILLISDSA >Ma02_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15804150:15811767:1 gene:Ma02_g04330 transcript:Ma02_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKEEAIRAREVAEKKMQSRDFIGALKIARKAQHLFPELENISHMLTVCEVHCSADAKINGEMDWYGILQVEPTADDSSIKKQYRKLALLLHPDKNQFAGAEAAFKLIGEAHKILSDRLTRQHYDVKMNVNIRTASSRQPAPQMRNSFYARSNFSAVSFNGLNQQQQQPSAFATANTFWTICPNCSMRYQYYLSILNKTLRCQHCSKPFIAYDLNAEAAPSGVKSGQSWNNVGNSHHQIPVQQANNVNLQSQSGNASSSTGLKSGVGGGPWAPFGHGGGPTNMANMATDDRMDVKGVASNEVQFEEKNPRQMNEGGKTAKPSTANANLKRSRKVAVESSESDSTDVEEDIAIEVDGPQAKQYSSSSAPRRSTRLKQNINYSEVGSEDDDDFINSPSYKKWRGESSGSADGHAGSSHADTDGVTSSVKATEFGDDKMENIYKDDASEKQPLNGSEGVNVDPTGESKLDTGTEEKLGPAAESSIDSRSKTSPEHDTLTYPDPEFYDFEKLRHVNKFSVDQIWALYDNLDGMPRFYARIRHVHAPHFKLRITWLEHNPLNEVETVWSGEELPVGCGNYILGSTQFAEDHLMFSHIVSWEKGKRRNSYDIYPRKGEVWALFKDWNAGWRSDAGNHRLYKYEVIEVLSDFAVDAGISVIPLVKIEGFVSLFMRAKEMAMAPYMIPPNEILRFSHGIPSYRLNGTEKEGIPQGCLELDPASLPTDFSESFPSVSLGGGTSGVGNLSESHVSCFKSTDNEVEPGMKDVTHAELYQAGGRQQSEAWKHAQNDTKQPEVVIREEDRLDAADIHYNSAENENSSPMSSSSPLVVEYPEAEFHNFDEGKSIENVQRGQIWALYSEIDQYPNYYGWVKKVELEYHKVHIAWLEACPVSEEEAHWIQEGMPVACGTFKVEQQSVAFENMGMFSHLVQAKPSARRNRYDILPCHGEIWAVYKNWSAGWSRSDWQNCEYDVVEISECTDAGLKVRLLTKVDGYRAVFKHENEGKAVTMDVPANEYTRFSHKIPSFRLTNERGGKLRGYWELDTASIPDILLISDSA >Ma02_p04330.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15805083:15811767:1 gene:Ma02_g04330 transcript:Ma02_t04330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKEEAIRAREVAEKKMQSRDFIGALKIARKAQHLFPELENISHMLTVCEVHCSADAKINGEMDWYGILQVEPTADDSSIKKQYRKLALLLHPDKNQFAGAEAAFKLIGEAHKILSDRLTRQHYDVKMNVNIRTASSRQPAPQMRNSFYARSNFSAVSFNGLNQQQQQPSAFATANTFWTICPNCSMRYQYYLSILNKTLRCQHCSKPFIAYDLNAEAAPSGVKSGQSWNNVGNSHHQIPVQQANNVNLQSQSGNASSSTGLKSGVGGGPWAPFGHGGGPTNMANMATDDRMDVKGVASNEVQFEEKNPRQMNEGGKTAKPSTANANLKRSRKVAVESSESDSTDVEEDIAIEVDGPQAKQYSSSSAPRRSTRLKQNINYSEVGSEDDDDFINSPSYKKWRGESSGSADGHAGSSHADTDGVTSSVKATEFGDDKMENIYKDDASEKQPLNGSEGVNVDPTGESKLDTGTEEKLGPAAESSIDSRSKTSPEHDTLTYPDPEFYDFEKLRHVNKFSVDQIWALYDNLDGMPRFYARIRHVHAPHFKLRITWLEHNPLNEVETVWSGEELPVGCGNYILGSTQFAEDHLMFSHIVSWEKGKRRNSYDIYPRKGEVWALFKDWNAGWRSDAGNHRLYKYEVIEVLSDFAVDAGISVIPLVKIEGFVSLFMRAKEMAMAPYMIPPNEILRFSHGIPSYRLNGTEKEGIPQGCLELDPASLPTDFSESFPSVSLGGGTSGVGNLSESHVSCFKSTDNEVEPGMKDVTHAELYQAGGRQQSEAWKHAQNDTKQPEVVIREEDRLDAADIHYNSAENENSSPMSSSSPLVVEYPEAEFHNFDEGKSIENVQRGQIWALYSEIDQYPNYYGWVKKVELEYHKVHIAWLEACPVSEEEAHWIQEGMPVACGTFKVEQQSVAFENMGMFSHLVQAKPSARRNRYDILPCHGEIWAVYKNWSAGWSRSDWQNCEYDVVEISECTDAGLKVRLLTKVDGYRAVFKHENEGKAVTMDVPANEYTRFSHKIPSFRLTNERGGKLRGYWELDTASIPDILLISDSA >Ma02_p04330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15804150:15811767:1 gene:Ma02_g04330 transcript:Ma02_t04330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNKEEAIRAREVAEKKMQSRDFIGALKIARKAQHLFPELENISHMLTVCEVHCSADAKINGEMDWYGILQVEPTADDSSIKKQYRKLALLLHPDKNQFAGAEAAFKLIGEAHKILSDRLTRQHYDVKMNVNIRTASSRQPAPQMRNSFYARSNFSAVSFNGLNQQQQQPSAFATANTFWTICPNCSMRYQYYLSILNKTLRCQHCSKPFIAYDLNAEAAPSGVKSGQSWNNVGNSHHQIPVQQANNVNLQSQSGNASSSTGLKSGVGGGPWAPFGHGGGPTNMANMATDDRMDVKGVASNEVQFEEKNPRQMNEGGKTAKPSTANANLKRSRKVAVESSESDSTDVEEDIAIEVDGPQAKQYSSSSAPRRSTRLKQNINYSEVGSEDDDDFINSPSYKKWRGESSGSADGHAGSSHADTDGVTSSVKATEFGDDKMENIYKDDASEKQPLNGSEGVNVDPTGESKLDTGTEEKLGPAAESSIDSRSKTSPEHDTLTYPDPEFYDFEKLRHVNKFSVDQIWALYDNLDGMPRFYARIRHVHAPHFKLRITWLEHNPLNEVETVWSGEELPVGCGNYILGSTQFAEDHLMFSHIVSWEKGKRRNSYDIYPRKGEVWALFKDWNAGWRSDAGNHRLYKYEVIEVLSDFAVDAGISVIPLVKIEGFVSLFMRAKEMAMAPYMIPPNEILRFSHGIPSYRLNGTEKEGIPQGCLELDPASLPTDFSESFPSVSLGGGTSGVGNLSESHVSCFKSTDNEVEPGMKDVTHAELYQAGGRQQSEAWKHAQNDTKQPEVVIREEDRLDAADIHYNSAENENSSPMSSSSPLVVEYPEAEFHNFDEGKSIENVQRGQIWALYSEIDQYPNYYGWVKKVELEYHKVHIAWLEACPVSEEEAHWIQEGMPVACGTFKVEQQSVAFENMGMFSHLVQAKPSARRNRYDILPCHGEIWAVYKNWSAGWSRSDWQNCEYDVVEISECTDAGLKVRLLTKVDGYRAVFKHENEGKAVTMDVPANEYTRFSHKIPSFRLTNERGGKLRGYWELDTASIPDILLISDSA >Ma11_p15450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21155178:21157700:-1 gene:Ma11_g15450 transcript:Ma11_t15450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKKGSESRMTPTPARSAHVEESKASSSDSDLFAAFIARRKFFNHEQNRLQDQVDTLGRRSKWLKTCMDEQSEDGLRSAKALKTCIGKTSELQRALHDRRDKRETLKALNSEIGFLRSDETMVWNEYQNTEHELHECKQRMKSLLRQLSEESKAKGSASEQHKTTSKGKQIMS >Ma11_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21155233:21157700:-1 gene:Ma11_g15450 transcript:Ma11_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKKGSESRMTPTPARSAHVEESKASSSDSDLFAAFIARRKFFNHEQNRLQDQVDTLGRRSKWLKTCMDEQSEDGLRSAKALKTCIGKTSELQRALHDRRDKRETLKALNSEIGFLRSDETMVWNEYQNTEHELHECKQRMKSLLRQLSEESKAKGSASEQHKTTSKVRNNIISYNFCHS >Ma08_p31900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42679744:42680265:-1 gene:Ma08_g31900 transcript:Ma08_t31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLLSPLLILPSDSREVPSHTGVGGTASLRSFASLARRTEFAPPSPTANSNDSPGIG >Ma04_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24482526:24482841:1 gene:Ma04_g22100 transcript:Ma04_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNFLFVYRVQGFPAGNDGKLMDRVLCIMHFCVVLFHLSMLFFFSIRGDSTKLQAIFTLGLVLFYKMLPSRTNSFQPVFVGRHSGRL >Ma05_p26770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38294926:38314391:1 gene:Ma05_g26770 transcript:Ma05_t26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH6 [Source:Projected from Arabidopsis thaliana (AT4G02070) UniProtKB/Swiss-Prot;Acc:O04716] MAPSRRLSGRSPLVRQQSQITSFFSLGKNSKESPSPSPDPSPSQPKEKKPRLVIPPSPASGAKVPLTAAKNCHTKEVVGKRIKVFWPLDKAWYEGRVSSFDEMSGKHLICYVDGEEEALDLGKEKFEWIGEETPRSLRRLRRMSETVKMACSSADVDNEISEEDTADDEEWGKVGGEDAEEDNSDEVELEDEDEEEFVASSGSRSKNSTGSKRRKTMNLAKLDCAKKIKFEKNRERTASKASLSMTESNAVAPLSNDRRVQVLDSIGSTVTVEAAERFGKREAEKFRFLQEGRRDVRGRRPGDKNYDPRTLYLPPEFLRTLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGARELDLQYMKGYRVLVVEQTETPEQLEIRRKEMGSKDKVVKREICAMVTQGTLMEGESLLRNPDTSYLLSIAEHFRSLEVPGKGGVVIGLCVVDVSTSKFMVGQFEDDLERHWLCSILSELRPVEVIKPSKALSPETERVIKNNTRNPLVNNLLPFDEFWDAERTINEIRKYYSLSEHYLAAQNGCTSADNAGNCPVDLPDVLTELVNVGIDGSYALSALGGCLFYLRQAFLDEKLIKCAKFERLACSGFFNNLQKPYMILDAAALENLEILENNRSGGLSGTLFAQLDHCVTAFGKRLLKGWLARPLYDIRSIVERQDAVACFKGAGLTSALEFRKELSKLQDMERLLSRLFVSCEAHGRNANRVILYEDAAKKRLQEFIASLHGCEAMIQACSSLDTVLTSTESTLLHYLLTPGKGLPDMCSVIEHFKDAFDWSEADRTGRIIPHEGGDVDYDAACKKLKDIESNLMRYLKEQRKVLGNSEVNYVAVGKDLYLLEVPESLRGAVPAEYELQSSKKGYFRYWTPKIKDFLSELSQAEAEKESKLKGILQRLIGQFSEHHSKWRQLVSVIAELDVLISLAIASDYYEGPTCRPVIKEVCHENEPYLSARGLGHPMLRSDALGKGSFVPNDVRIGGVGQPRFILLTGPNMGGKSTLLRQVCLAVVLAQLGADVPAECFELSPVDRIFVRMGARDNIMAGQSTFLMELSETAGVLSSATQNSLVALDELGRGTATSDGQAIAASVFEYLVHRVQCRGLFSTHYHRLILEYEKNTKVSICHMACQVGKGVGGVEEVTFLYRLAPGSCPKSYGVNVARLAGLPSSVLQKAAKKSNDFEISNGKHQPVAEVKISDTETDEGRTLIKKLLSISETWNLGEDSRVVTLSLLGDIQQRARWLVLGK >Ma05_p26770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38294926:38314391:1 gene:Ma05_g26770 transcript:Ma05_t26770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH6 [Source:Projected from Arabidopsis thaliana (AT4G02070) UniProtKB/Swiss-Prot;Acc:O04716] MAPSRRLSGRSPLVRQQSQITSFFSLGKNSKESPSPSPDPSPSQPKEKKPRLVIPPSPASGAKVPLTAAKNCHTKEVVGKRIKVFWPLDKAWYEGRVSSFDEMSGKHLICYVDGEEEALDLGKEKFEWIGEETPRSLRRLRRMSETVKMACSSADVDNEISEEDTADDEEWGKVGGEDAEEDNSDEVELEDEDEEEFVASSGSRSKNSTGSKRRKTMNLAKLDCAKKIKFEKNRERTASKASLSMTESNAVAPLSNDRRVQVLDSIGSTVTVEAAERFGKREAEKFRFLQEGRRDVRGRRPGDKNYDPRTLYLPPEFLRTLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGARELDLQYMKGEQPHCGFPEKNYSMNLERLTRKGYRVLVVEQTETPEQLEIRRKEMGSKDKVVKREICAMVTQGTLMEGESLLRNPDTSYLLSIAEHFRSLEVPGKGGVVIGLCVVDVSTSKFMVGQFEDDLERHWLCSILSELRPVEVIKPSKALSPETERVIKNNTRNPLVNNLLPFDEFWDAERTINEIRKYYSLSEHYLAAQNGCTSADNAGNCPVDLPDVLTELVNVGIDGSYALSALGGCLFYLRQAFLDEKLIKCAKFERLACSGFFNNLQKPYMILDAAALENLEILENNRSGGLSGTLFAQLDHCVTAFGKRLLKGWLARPLYDIRSIVERQDAVACFKGAGLTSALEFRKELSKLQDMERLLSRLFVSCEAHGRNANRVILYEDAAKKRLQEFIASLHGCEAMIQACSSLDTVLTSTESTLLHYLLTPGKGLPDMCSVIEHFKDAFDWSEADRTGRIIPHEGGDVDYDAACKKLKDIESNLMRYLKEQRKVLGNSEVNYVAVGKDLYLLEVPESLRGAVPAEYELQSSKKGYFRYWTPKIKDFLSELSQAEAEKESKLKGILQRLIGQFSEHHSKWRQLVSVIAELDVLISLAIASDYYEGPTCRPVIKEVCHENEPYLSARGLGHPMLRSDALGKGSFVPNDVRIGGVGQPRFILLTGPNMGGKSTLLRQVCLAVVLAQLGADVPAECFELSPVDRIFVRMGARDNIMAGQSTFLMELSETAGVLSSATQNSLVALDELGRGTATSDGQAIAASVFEYLVHRVQCRGLFSTHYHRLILEYEKNTKVSICHMACQVGKGVGGVEEVTFLYRLAPGSCPKSYGVNVARLAGLPSSVLQKAAKKSNDFEISNGKHQPVAEVKISDTETDEGRTLIKKLLSISETWNLGEDSRVVTLSLLGDIQQRARWLVLGK >Ma09_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35433571:35434497:-1 gene:Ma09_g23610 transcript:Ma09_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPHLSDTDDDAVNAVIAEAADLCALEQIAALNTAHLSDSTLLPSHLESRYRKLKSLPASLPNLSTPHPPYPPEKENFPDALELNRARTQPNSPADPRKPEEPIAGRDGRKAEIFPLDNEVAANKAEAKPKSGFGSSPSFSFDSSGESSPSPPRQRCCFGYSPKRAQRRKGKGDDVLSELGVLSLKEHRKLKKALKEQEKAMRESEKIVKWVKQASARMNAAAIDELLSDDEELK >Ma04_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11271488:11275661:1 gene:Ma04_g14840 transcript:Ma04_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGLTVVDGSTLRADDLRLPLPDGAVSGARLLQHAESEVAARLFGLSLPDPLRSAAFRRLAGGDARSFEEEIIDADSVKRKVREYLLALADDLADDPLAILVLDGSALRVFLDDEDDFAMLAENLFTDLDVDDRGKLSKKKIQDALVLMGVEMGVPTFSEHSDLFSNILRKHGAEGEQQLGQAQFAQLLQLILQDLADGLAEKPVVVIQNLKVVNGSKIKKLLEHKELLDDEMESMFGDWNARGRGEGNMERLQGLLKAKGSRLGLPPAESNEAVALLYDQIFSEIKEEVIAGELTRDAFHIVVSDIMEKLVDQLEANPIFTDMGS >Ma04_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25174675:25178502:1 gene:Ma04_g22990 transcript:Ma04_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAKRRVMVNALLGISNQCFVLLLETCIPLFAFNFTYRYLIFHELIGGVIVPDEHYIPTVLTVRAPHLIANRSLTRKMWKKDHKGHPATFGKVDMNGMFPERINRDRNCSYNDRPSAIFFLFARKFATGALEPLRELASAYSVSVRSSTDAEEVRRIPSTVSDSKSFSTIDAARQLLANALLDLSNEHFILLSLACIPLFNFSFTDNYLMSSEYIFVDAFDMRPRRRRS >Ma10_p28300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35222336:35230919:-1 gene:Ma10_g28300 transcript:Ma10_t28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLERAEASDIPKKPRSLDLQSIYVKKSSSSVIKSWAGREVSRLEQESKVPKKKLGSSFDEVGELLSESTRKPSRKEVSLSNLQPDSRRQRGSLNVSRPKRNYISTSGSDATHDLDGSNGNAQYLASDFYSPLPNKTDHESPSGVTSKNKSYFGEDLFIPKRPRGISKWRKAKDPISFEVAFSNSSYERINTDAQNNKSSIGENSISQVLNDKQMLEADDFELNGYQKDDSAPCTSVENDSHFKSDYRNYGSRINLKMSEQGCLESDAPLIDDSGDSVEVSQDDDEENLEVDAARMLSSRFDPSCTGFSGKRTTCMAEPVEGLTYLQSDHAMSKVSQAEACSVDATGRVLRPRRHIGKSFARKRRHFYEVCSRDMDPYYIVKQRIRVFWPLDKNWYFGLVKGYDPVTRLHHVKYDDRDEEWINLQKERFKLLLFPSEVSSKFNFGKQGSESRQNNTEGEPEAMESSYIGSLLESEPIISWLSRTTRRVTSSPSSTIKKHLRVSPLKDISPVLLESKESMSMNPLDKNPNKLFFNCNESEQSCDQNFNRFSELKRSVDSECRKLPYVYFRKRFRSKRDVLDTRVVQGAAPGGPGGSVRIHASVANSKAAAEELNMIVTWKEFKVVIFKLNLPPQCTLELAFQRESLWLCQALYIMHHGELVHAWPVVRMEIFFIDTVPGLRFLLFEGSLKRAVSLLCLIITTVSGHVVKSDFAEPESSCSSIGLRISSLHNLGRKLLFVLSTLSKIGSSKWRYLEDKLKKLFAKEALSTAEYMCSNIQNLASSQIPGSSIKSIKRFWGRSSLVHRFNLKKLVDPNVNSVIHYLAQDQKKPLLCSLYFAAAPSFSLGLHLKLLNEKDTASLCSGDFNIVSSQNYADNNDKLTADGHTSLEDPFKHAPEKLDNLISSLSEAEATHGRPSLDALSAGSNSDLNRVTKNFFTSEDNVIQNSVDSSAVGKSISGEGVVQYGRFQCEDGTSQFAEDTCSECPEQSSFTDKSLAGGCSSFVKTANVEVQLFEVEKHSLHKGLLSADSTSNLVLDLNEHTIHSPTAPRSMWHRNRHTSLSRTFIHHPRLGSKDDVENAFTSGYKRPRTQVSYSQLSGSYGHAAKSQSNHQKVQSHKKVKTVIANVSSNCSRSHQSYLDSLACDANVLVTHGDKCWREFGAKVQLDCDDQKNWRIIIKVSGAIKYAYKAHHVLQPGTTNRYTHAMMWKGGKEWMLEFTDRNQWYIFKQMHEECYNQNIRAASVKNIPIPGVRLLPNGDDGCVEVPFILSSSKYFRQVGTEVDLALDSSHVLYDMDSEDEEWISTVRANMDAKDNIMTEVTDDMFERVMDMLEKFAYTQQCEEITNDDIEKYMADDGPADTIKVIYEHWRQKRRKKGLPLIRQFQPPLWELHQQQLNQWESNMNKTPLQPVGCHEKAHSLKKPPMFAFCLRPRGLEIPNKGSKQRSHKKLIFTGHHNVLMREQDCFHTPGRKTDGISVGEVAISSYESSDSYHGPQSRSTFSPRDTASTESFFTNDGSERCPDPKFYRSTSKKFDPFLSPRDPQGSPFSGNQRSNRNGLNRWSSELCEWSNTRQSQSTGFHRHHADMDEFRLRDATSAAQHALNMAKLKREKAQWLLHKADLALHRATVALMTAEAIKASEKDIVGDG >Ma02_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6276745:6283777:-1 gene:Ma02_g00740 transcript:Ma02_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEGVLLGMGNPLLDISAVVDDEFLSRFGIKLNDAILAEEKHLPMYDELSTKYNVEYIAGGATQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKNSKAAGVNVHYYEDETAPTGTCAVCVVGGERSLVANLSAANCYKIEHLKRPENFALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFMMNLSAPFICEFFRGVQEKALPYVDYVFGNETEARTFARVRGWETENVEEIALKISALPKASGTHKRITVITQGCDPVVVAEDGKVRLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIEDCVRAGCYAANVIIQRSGCTYPEKPDFK >Ma01_p11280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8139032:8142434:1 gene:Ma01_g11280 transcript:Ma01_t11280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIGSSSTRSPSPADTSPVRLASKTSSSTGTTGKLSSLSSSTFGQSTGSGVSVDDVFPEGRILEAPNLRIFTFAELRSATRGFKPETVLGEGGFGRVYKGWVEEKTLNPAKSGLGIVVAVKKLNPESMQGLEEWQSEVNFLGRLSHPNLVKLMGYCWEDKELLLVYEYMSKGSLENHLFRRGAAFEPLSWSIRLKIAIGAARGLAFLHSSDKQVIYRDFKASNILLDANYIAKLSDFGLAKHGPTGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGQRALDANRPSGQHNLVDWARPMLADRRKLARLMDPRLEGQYSSKGALQAAQLTLSCLAGDPKSRPSMKVVVETLERIEAIKGRSREARDAASQQAVARSRGHTPVHGRSPLHPWHENGGPGIAIATHQPHRAS >Ma01_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8137939:8142434:1 gene:Ma01_g11280 transcript:Ma01_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIGSSSTRSPSPADTSPVRLASKTSSSTGTTGKLSSLSSSTFGQSTGSGVSVDDVFPEGRILEAPNLRIFTFAELRSATRGFKPETVLGEGGFGRVYKGWVEEKTLNPAKSGLGIVVAVKKLNPESMQGLEEWQSEVNFLGRLSHPNLVKLMGYCWEDKELLLVYEYMSKGSLENHLFRRGAAFEPLSWSIRLKIAIGAARGLAFLHSSDKQVIYRDFKASNILLDANYIAKLSDFGLAKHGPTGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGQRALDANRPSGQHNLVDWARPMLADRRKLARLMDPRLEGQYSSKGALQAAQLTLSCLAGDPKSRPSMKVVVETLERIEAIKGRSREARDAASQQAVARSRGHTPVHGRSPLHPWHENGGPGIAIATHQPHRAS >Ma06_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16877593:16901526:-1 gene:Ma06_g21990 transcript:Ma06_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVPPHKRHSGTANKPTPLPPSLSRHFDQSLTLSGSSSHRRTGRTDSNHGRGQIVYASRSISRWWTIGGRLNHAGLRLEPFPCEIVERKTGSKPLVLAVGGDPLPVPSGEDPPPWVEIAERIEPDLLVTATSARGDLASENEEIKLSFVARVGQVFFHGESSSCLDSLKKAAIAEADIRGQVHKSFYTNLPNEHVEELQRRDLLKLGFDFDSEKEHYHVKVIDKSRPGSTISCKCTVVEGGGLEIRKIELNQLRHLVVDISCLPKDLDVRLMLYTKRILKTLNDEEKKGINRLISDAVIDQDVKGGLRWPLGKEAIDEKFNIVGVWHTKHKVFRSQNIRLKFRFADRFDHRTSVGEVSNEVSLKLTGISEQLIEESMETSSLSMMVQDAVKLIWDNFLSYNHSS >Ma03_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:706673:706957:1 gene:Ma03_g00890 transcript:Ma03_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDPLVKVITSIDLNDVDIVGYLPAELDLLIDVTSSSSILIASTISSPKISRLKLLHELDANNNRFVGPFPNIALHLLSIKYLNLWFNDFEGV >Ma10_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24309782:24311014:-1 gene:Ma10_g10340 transcript:Ma10_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLLLLFVAVLPSFAPATAQFPCIDSHGGNFSRLEFLRRAAMLRKASLQATQTGVQLPVRWSSAGYLVDLAIGTPPLVFSAMLDTGSDLVWTQCFSQPSESLTYAALPCTSPQCQTLPRFSCSPDCHYSYSYGDTSYTKGVLGTETFTFGAADPAAITGIAFGCSTVSEVGAENSPFFSNSAGILGMARGPLSLVSQLGEERFSYCFASDDTTTALLFGSSANPSPQASSTPFVNVPSPLYYLSLQGISVGATLLPIPNTTLALQSNGTGGLVIDSGTTFTLLTDPAHAMLKQALVSQIDLPVATVAGYDLCFSLPPDASGVALPILVFHLDGADMAFPAANYFVVNSSAGLLCLAIFGSPFNLSILGNFQQQNMHLVYDLAGGKLSFEPANCSDRSLVDVVTKK >Ma10_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32912620:32914651:1 gene:Ma10_g24230 transcript:Ma10_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLRSVLRCNGLLPLFETCRLRDLLFFSSSVDTAAAVGGTISPDPHFMVEYLVNSCGFSPSEAAKFSEPLAHLRSTEKPDAVLNFMRSQGFDGAGIRKVISGDPRHLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNDGILRLDFHRSLVPKLEMWESLLGSRELVLKHLKKTSRFFHSSVENRLHPNLKFLRDECGIPEEMVSVVLRSNPQLISQKPESLRALVARADELGMPRQSRMFMWTLDVFHNVSKEKFESKGELMRSFGWSESEFSSAVMKNPTFLCISLDMLRRKVEFFINVVGYTPSFIASHPNLLLSSLQKTVIPRFRVLEMLNTKGLWTRRGTFLSYVTISNTKFMEKIVLPYKEKVPELLDILRAGAEQ >Ma06_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15721610:15727829:-1 gene:Ma06_g21490 transcript:Ma06_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVNGSVVGSSSGSGDGSAPRRNSKRPKYSRFTQQELPACKPILTPKWVISVFTLIGVVFVPIGVASLMASNDVVEIVDRYDNVCVPLNMTNDKVAYIQNNETDKSCTRTLEVPKHMSQPIYVYYQLDNFYQNHRRYVKSRNDAQLRKKSQENETSGCDPEKRTKDGAPIVPCGLIAWSLFNDTYIFAIGNKNLTVNKKGISWKSDRDHKFGKDVLPKNFQSGPLIGGGTLDATKPLSEQEDLIVWMRTAALPTFRKLYGKIEQDLNAGDVITVTLENNYNTYSFSGKKKLVLSTSSWLGGKNDFLGIAYLAVGGLCFFLAVAFTIVYLVKPRKLGDPSYLSWNRNPAGH >Ma01_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3987733:3991944:1 gene:Ma01_g05650 transcript:Ma01_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLSIPGLSPPMDDLKTTTSPRSPDPDPHPDHSSQPPNSYAAVVIGGTFDRLHQGHHLFLKASAELARERVVVGVCDGPMLSKKKYAFLIEPVEKRMQSVKDYIKSIKPELTVQVEPIMDPYGPSIVDENLEAIIVSKETLPGGIAVNRKRAERGLSQLKIEVVDLLPEESTGTKISSSTLRKIEAEQAIINNQQ >Ma01_p05650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3987733:3991969:1 gene:Ma01_g05650 transcript:Ma01_t05650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLSIPGLSPPMDDLKTTTSPRSPDPDPHPDHSSQPPNSYAAVVIGGTFDRLHQGHHLFLKASAELARERVVVGVCDGPMLSKKKYAFLIEPVEKRMQSVKDYIKSIKPELTVQVEPIMDPYGPSIVDENLEAIIVSKETLPGGIAVNRKRAERGLSQLKIEVVDLLPEESTGTKISSSTLRKIEAEQAIINNQQ >Ma01_p05650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3987733:3991974:1 gene:Ma01_g05650 transcript:Ma01_t05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLSIPGLSPPMDDLKTTTSPRSPDPDPHPDHSSQPPNSYAAVVIGGTFDRLHQGHHLFLKASAELARERVVVGVCDGPMLSKKKYAFLIEPVEKRMQSVKDYIKSIKPELTVQVEPIMDPYGPSIVDENLEAIIVSKETLPGGIAVNRKRAERGLSQLKIEVVDLLPEESTGTKISSSTLRKIEAEQAIINNQQ >Ma01_p05650.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3987733:3991958:1 gene:Ma01_g05650 transcript:Ma01_t05650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLSIPGLSPPMDDLKTTTSPRSPDPDPHPDHSSQPPNSYAAVVIGGTFDRLHQGHHLFLKASAELARERVVVGVCDGPMLSKKKYAFLIEPVEKRMQSVKDYIKSIKPELTVQVEPIMDPYGPSIVDENLEAIIVSKETLPGGIAVNRKRAERGLSQLKIEVVDLLPEESTGTKISSSTLRKIEAEQAIINNQQ >Ma09_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6225833:6229632:-1 gene:Ma09_g09470 transcript:Ma09_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGYNSPNFHGHDDSSCDSGRKIIVHPLYLPKSSPWLDLKVVYVRLSNYEFNESIPEHLTIKHISLTADTILEVNGRRSGISSDSVSCLLRRDRIDKKSEEVTFVSTDCVRMTGSVRFDVCDGDDMLLSGVLELSNHNGLDVEPKKHKGKWSMRCQTVATAAYHFYDGKIKGSEVPSPIIEVYVAGCSSGTPIILTKTIQLRCQKKHCRKLAQNSFLEDESMKLKKEFPMEDVLKVLGYEEQISEKDADIDYYSLHSGAAYIEEEHGELSWFNAGVKVGVGISVGVCLGIGIGVGLLVRTYQATRRNIKRRLL >Ma09_p09470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6225833:6229634:-1 gene:Ma09_g09470 transcript:Ma09_t09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSYGCESEGGGRRLLDPLRSVAVAFVFSLLLVRKILRCAFRFLLHHRNHNRRTRVEAGLKDEGALKVVSKLEESARQADFLNMDCGYNSPNFHGHDDSSCDSGRKIIVHPLYLPKSSPWLDLKVVYVRLSNYEFNESIPEHLTIKHISLTADTILEVNGRRSGISSDSVSCLLRRDRIDKKSEEVTFVSTDCVRMTGSVRFDVCDGDDMLLSGVLELSNHNGLDVEPKKHKGKWSMRCQTVATAAYHFYDGKIKGSEVPSPIIEVYVAGCSSGTPIILTKTIQLRCQKKHCRKLAQNSFLEDESMKLKKEFPMEDVLKVLGYEEQISEKDADIDYYSLHSGAAYIEEEHGELSWFNAGVKVGVGISVGVCLGIGIGVGLLVRTYQATRRNIKRRLL >Ma04_p19840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22500094:22504431:-1 gene:Ma04_g19840 transcript:Ma04_t19840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLDATRAELGLLVLYLNKAEARDKICRAIQYGSKYLSDGKPGTAQNVDKTTSLARKVFRLFKFVNDLHALISPTSSGSPLPLILLGKSKNALLSTFFFLDQIVWAGRTGIYKNKERAELIGRISLFCWMGSSVCTALLELGELGRLSASMKKLEKELKQADKYKEEQYLAKLKQSNERLLSLIKASMDIVVAIGLLQLAPKKVTPRVTGAFGFVTSLISCYQLLPASRTKTKMT >Ma04_p19840.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22500094:22504369:-1 gene:Ma04_g19840 transcript:Ma04_t19840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLDATRAELGLLVLYLNKAEARDKICRAIQYGSKYLSDGKPGTAQNVDKTTSLARKVFRLFKFVNDLHALISPTSSGSPLPLILLGKSKNALLSTFFFLDQIVWAGRTGIYKNKERAELIGRISLFCWMGSSVCTALLELGELGRLSASMKKLEKELKQADKYKEEQYLAKLKQSNERLLSLIKASMDIVVAIGLLQLAPKKVTPRVTGAFGFVTSLISCYQLLPASRTKTKMT >Ma04_p19840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22500094:22504321:-1 gene:Ma04_g19840 transcript:Ma04_t19840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLDATRAELGLLVLYLNKAEARDKICRAIQYGSKYLSDGKPGTAQNVDKTTSLARKVFRLFKFVNDLHALISPTSSGSPLPLILLGKSKNALLSTFFFLDQIVWAGRTGIYKNKERAELIGRISLFCWMGSSVCTALLELGELGRLSASMKKLEKELKQADKYKEEQYLAKLKQSNERLLSLIKASMDIVVAIGLLQLAPKKVTPRVTGAFGFVTSLISCYQLLPASRTKTKMT >Ma04_p19840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22500094:22504431:-1 gene:Ma04_g19840 transcript:Ma04_t19840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLDATRAELGLLVLYLNKAEARDKICRAIQYGSKYLSDGKPGTAQNVDKTTSLARKVFRLFKFVNDLHALISPTSSGSPLPLILLGKSKNALLSTFFFLDQIVWAGRTGIYKNKERAELIGRISLFCWMGSSVCTALLELGELGRLSASMKKLEKELKQADKYKEEQYLAKLKQSNERLLSLIKASMDIVVAIGLLQLAPKKVTPRVTGAFGFVTSLISCYQLLPASRTKTKMT >Ma04_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22500094:22504422:-1 gene:Ma04_g19840 transcript:Ma04_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLDATRAELGLLVLYLNKAEARDKICRAIQYGSKYLSDGKPGTAQNVDKTTSLARKVFRLFKFVNDLHALISPTSSGSPLPLILLGKSKNALLSTFFFLDQIVWAGRTGIYKNKERAELIGRISLFCWMGSSVCTALLELGELGRLSASMKKLEKELKQADKYKEEQYLAKLKQSNERLLSLIKASMDIVVAIGLLQLAPKKVTPRVTGAFGFVTSLISCYQLLPASRTKTKMT >Ma10_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29795703:29796071:-1 gene:Ma10_g19110 transcript:Ma10_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLSCNIKGVTNGFPLRLEAEKWVEKEVELNADFLRHIFPKIEWKALAGAARAVDYCELPEEADAAMLDSEDFLRRFHHALLEIHVEEGALVCPETGRGFPITKGIPNMLLHEDEV >Ma10_p30920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37138092:37148917:-1 gene:Ma10_g30920 transcript:Ma10_t30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVIDMPTEALDRRRDLQPERRENAGGGSSSPPPPPKRKDRDSSERRDDWPSSRTVDQHDSRNRSPPPPPPPLLGQPRDDRDRDYRRRSSPSSPSYRDRRHSPPRRSPPPGPFKRARRDDGGYDRRRGGYNDRRHGYDYGGGYDRDGSGSRGGYGYERPHGRYMNRQADWPNSGYSGYGDGPEVIPRGGLMSYKQFIQELEDDISPAEAERRYEEYRSEYISTQKRAYFEAHKEEQWLKDKYHPTNLVAVIERRKEQARTVAKEFLLDLQSGTLDLGPGLTPSLASKSGNDSEPNSEDEADPHGERRRHGRGNAKGHDLLSAAPKSHPVSSEPRRIQVDIEQAQALVHKLDMEKGIQDNVLSYSGHDKLDAEKSHGGSMGPIVIIRGPTTVKGLEGVELLDTLITYLWRVHGLDYYGMSETSEAKGLRHVRADNKTHDGTNASGSNWEKKLDIFWQARLEGQDPLETLTAKDKIDAAATEALDPLVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPDLVMELTSKVREELYFQNYMNDPNAPGGTPVMQQSAPKVKSQRRGPPLGNRLRDERGYRRESDRNDRDDNRHDKTDNSPRDANDGLEGDDNEKPLHEAYGGQGLHGAFPSDVPPPPVLMPVPGAGPLGPFVPAPPDVAMRMLRETGGPSSYEATAGSRGRTGRLGPQVSGPAPILSMPPAFRHDPRRIRSYQDLDAPDDEVTVIDYRSL >Ma06_p35560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35345566:35348065:1 gene:Ma06_g35560 transcript:Ma06_t35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLRALILVFFLLPLLAEGITRHYKFNVAEKKFTRLCSTKSIATVNGQFPGPTLYAREGDTVLVKVVNHVHYNVTIHWHGVRQLRTGWADGPAYITQCPIQPGQNYVYNFTLTGQRGTLWWHAHISWLRATVHGAIVVLPKSNVPYPFPAPHKEVVLVLAEWWKSDTEAVINEALNSGLAPNVSDAHTINGHAGPLSGCSSSARDGFTLQVDKGKRYLLRIINAALNEDLFFKVAGHQLTVVEVDAAYTKPFTTDTLLLTPGQTTNVLLTARQGAGRYIVTASPFMDSPLVAVDNRTATATVQYANSVSTSAITTTKPPPQNATPVASSFTDSLRSLNSQQYPAKVPATVDHSLLFTVGLGVNPCATCANGSRVVADINNVSFVMPTTALLQAHYFNASGVFTDDFPGQPPIAFNYTGSGPRNLQTMSGTRLYRVPYNASVQLVLQDTGIIGPENHPIHLHGYNFFVVGRGVGNYDPKSSPSNFNLVDPIERNTIGVPSGGWTAIRFRADNPGVWFLHCHFEVHTTWGLKMAFVVDDGEGPNQSLLPPPNDLPSC >Ma03_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9882267:9885497:-1 gene:Ma03_g12830 transcript:Ma03_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMWDLNSTASAAASAAGDGGEEDNGNLAGVSSADAENNASSSSVVVVEAADEGETDGGAGKIFGFAISRRREESLSAESEPGMVTHQFFPLGDQGDARHGGGPHGSSWPPPPPPPPSSHWAGVRFCHSTERVVIGKPAEVPQPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQIYLGGFDTAHAAARAYDRAAIKFRGMDADINFDLDDYQEDLKQMSNLTKEEFVHVLRRQSTGLPRGSSKYRGVTLHKCGRWEARIGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCSGKDAITNFDPSIYSNDLDIQTGTTEHDLDLSLGSSGSKRNNLDQRDDKIFKVVDQGVPLGFEPEWSRNTRLKFHEMLKLPDEKESRSNRSQNIGFLRSPVRVEADEVCKYPQLERNSETLPMVQIIPQQFSHPRFHQYAGSIEGVRTAAGLSFPVAGEQRWSHLGQGKGSGTWLPAAAPPSHLFSATTSAASSGFPPQIATLPSNWQQQQDLQPFVRPS >Ma06_p38140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37031238:37032474:1 gene:Ma06_g38140 transcript:Ma06_t38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEAAEILQEIQQHMTVLSMDPKIKLPRNYILSFSKALQYSKVNGTAQMSSPTLKLNGVTEAEICMIGNICPETVDEVYALIPSLKVNKYKNEGSITEVLPSLATFRASK >Ma10_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23105667:23110194:-1 gene:Ma10_g08840 transcript:Ma10_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMVVRSSLEIMLDAIRQRDERPKDLPPALPVRPTSRGRLPTSKRSLPVNLKLESSAARSLLTKSMNWEDKKEAQTRRTGINVVLKNEVFGSKIISDVEQLVESAYIKMPNLVSYEERVQVADGTDLPPLVVTYNSIDCGGTIKYAMNEVAGLGDAGNQTLQGILWLQKNYRGVRARSRYQQLKKGATTLQSFVRGERARHNFEFLMKRWRAAVFIQKHVRLWLARTVFKNQQKDIIFLQSVIRGCLASKHFTVLKKVEVSKVIHVKGWSFVLQDTNNNEPPQIHPSILAEFKSQMSKAEAALREKEEENVILKQQLQAYETRWSEYELKMKSMEETWQKQLTALQMSFTAGRKSIVPEEMTKQPGWPDASQTNHYYEAEDDESVGAQTPEDTLAKLPNASDAMQVRNNDTACNAVIQLIKEFDQQRQVFDTDAGLLIEVKSGAINPYEELRNLKSHFASWKKDYKMRLRDTKTALLKLVNPEKRAYKRWWCTTVAAR >Ma10_p08840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23105667:23110194:-1 gene:Ma10_g08840 transcript:Ma10_t08840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMVVRSSLEIMLDAIRQRDERPKDLPPALPVRPTSRGRLPTSKRSLPVNLKLESSAARSLLTKSMNWEDKKEAQTRRTGINVVLKNEVFGSKIISDVEQLVESAYIKMPNLVSYEERVQVADGTDLPPLVVTYNSIDCGGTIKYAMNEVAGLGDAGNQTLQGILWLQKNYRGVRARSRYQQLKKGATTLQSFVRGERARHNFEFLMKRWRAAVFIQKHVRLWLARTVFKNQQKDIIFLQSVIRGCLASKHFTVLKKVEVSKVIHVKGWSFVLQDTNNNEPPQIHPSILAEFKSQMSKAEAALREKEEENVILKQQLQAYETRWSEYELKMKSMEETWQKQLTALQMSFTAGRKSIVPEEMTKQPGWPDASQTNHYYEAEDDESVGAQTPEDTLAKLPNASDAMQVRNNDTACNAVIQLIKEFDQQRQVFDTDAGLLIEVKSGAINPYEELRNLKSHFASWKKDYKMRLRDTKTALLKLVNPEKRAYKRWWCTTVAAR >Ma10_p08840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23105667:23110194:-1 gene:Ma10_g08840 transcript:Ma10_t08840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMVVRSSLEIMLDAIRQRDERPKDLPPALPVRPTSRGRLPTSKRSLPVNLKLESSAARSLLTKSMNWEDKKEAQTRRTGINVVLKNEVFGSKIISDVEQLVESAYIKMPNLVSYEERVQVADGTDLPPLVVTYNSIDCGGTIKYAMNEVAGLGDAGNQTLQGILWLQKNYRGVRARSRYQQLKKGATTLQSFVRGERARHNFEFLMKRWRAAVFIQKHVRLWLARTVFKNQQKDIIFLQSVIRGCLASKHFTVLKKVEVSKVIHVKDTNNNEPPQIHPSILAEFKSQMSKAEAALREKEEENVILKQQLQAYETRWSEYELKMKSMEETWQKQLTALQMSFTAGRKSIVPEEMTKQPGWPDASQTNHYYEAEDDESVGAQTPEDTLAKLPNASDAMQVRNNDTACNAVIQLIKEFDQQRQVFDTDAGLLIEVKSGAINPYEELRNLKSHFASWKKDYKMRLRDTKTALLKLVNPEKRAYKRWWCTTVAAR >Ma11_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:736779:741924:1 gene:Ma11_g00990 transcript:Ma11_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATETINKGAKEVVSSVSHLFLLLLFLSPWPLVSSLDVITHLPGFDGPLPFYLETGYVNVDEANDVQLFYYFIKSEREPKDDPLLLWLTGGPGCSGLSGLIYEIGPLEFDVDGYTEGLLPSLLYKPTSWTKVSSIIFLDSPVGTGFSYTTCKQALKTSDIKSSVDVHTFLRKWYVDHPSFIANPLYIAGDSYSGFTVPVVAQYIADGNEAGYGVHLNLKGYLVGNPCTDANYDGDAIVAYAHGFGFISDELYESAKKSCGGRYQNPSNAECARWVDTVKQDLSGINAAQILEPQCSFPESPKPNIITADRRRLLEALSELPTSNTNDPLCRNYWYLLSHAWANNDTVRQALGIREGSVQIWLRCDIGLNNYTYDVLSSIKYHLSLTSRGYRALVYSGDHDLTVPFLGTQAWIRSLNFSIVDDWRPWSVEGQVAGFTRSYSNNLTFATVKGGGHTAPEYQPKECFAMVQRWLSGAPL >Ma09_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5823128:5839597:-1 gene:Ma09_g08770 transcript:Ma09_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLSSAPRILQDLLFLRPTVGRLPPLPGYRRLQSRRLRRLSSRVVVQSGSNERISVTEVSRDLDRYVGNGNGNGGGSGYGFREVVNGSANGKIEVILNGNEASNGSASLVYTDGNGAVAKEVDEVFEEAKRKKRVEDIGKEDAWFKKGGEQPQVSVTPGGRWNRFRTYSTIQRTLEIWGFVFAFLFKSWLNNQKFSYRGGMTEEKKVSRRKALAKWLKESILRLGPTFIKTGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAISIIEEELGASLDDIFDRFDYEPIAAASLGQVHRASLNGQEVVIKVQRPALKELFDIDLKNLRVIAEYLQKVDPKSDGARRDWVAIYDECASVLYQEIDYTEEAANAEKFAENFKDMDYVKVPKIYWECTTPQVLTMEYVPGIKINRIKQLDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISSNIREGLLEAFYGIYEKDPDRVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQVKEREMATTELGFKKPLTKEERLEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGIEVIVKDVKKRWERQSSAFYNLFRQADRVEKLAQIIQRLEKGDLKLRVRTLESERAFQRVAAVQRTIGNGVAAGSLVNLATILYFNSIRVPAMAAYLLCAFFGAQVLFGILKIKKLDQQERLITGTA >Ma03_p09920.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7377607:7385156:-1 gene:Ma03_g09920 transcript:Ma03_t09920.4 gene_biotype:protein_coding transcript_biotype:protein_coding METSRGIHTYASVSKNDHEDYFVEDFENDLWPAPDKTCTSTPRCSKQVPCKFSTVSRLSDEYDSGDDHGHLEQSRAQIDVNLKNVLGGIVAILTGRNKESNGIQPLQSPTSSSLFLGSEDSGGGFLHPSVYIPSAPPFLEAEAINYNAYRAVLEADPPEWLPDSCTAACMQCASPFTALTRGRHHCRFCGGIFCRACSKGRCLLPVKFRERDPQRVCDACYDKLDPLQGLLINSISNAMQSAKHDVMDWTSSRGWLNLPVGLSMEHEIYKAANTLKSYSQVARLNPERSIPLAVLRGAKGLAILTVAKVGAFLTYKLGTGLVVAKRSDGSWSAPSSILSVGLGWGAQIGGELMDYIIVLHGSKAVKTFCSRMHFSLGAGLSAAAGPVGRVLEADLRAGDKGSGMCYTYSCSKGAFVGVSLEGNLVVTRQDANTRFYGDPYLTTTDILVGTVEQPKAALPLYAALDDLCSTLQC >Ma03_p09920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7377607:7385135:-1 gene:Ma03_g09920 transcript:Ma03_t09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGLLLTRLALQHRDAQSKFHSRAQIDVNLKNVLGGIVAILTGRNKESNGIQPLQSPTSSSLFLGSEDSGGGFLHPSVYIPSAPPFLEAEAINYNAYRAVLEADPPEWLPDSCTAACMQCASPFTALTRGRHHCRFCGGIFCRACSKGRCLLPVKFRERDPQRVCDACYDKLDPLQGLLINSISNAMQSAKHDVMDWTSSRGWLNLPVGLSMEHEIYKAANTLKSYSQVARLNPERSIPLAVLRGAKGLAILTVAKVGAFLTYKLGTGLVVAKRSDGSWSAPSSILSVGLGWGAQIGGELMDYIIVLHGSKAVKTFCSRMHFSLGAGLSAAAGPVGRVLEADLRAGDKGSGMCYTYSCSKGAFVGVSLEGNLVVTRQDANTRFYGDPYLTTTDILVGTVEQPKAALPLYAALDDLCSTLQC >Ma03_p09920.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7377607:7385107:-1 gene:Ma03_g09920 transcript:Ma03_t09920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISGLLLTRLALQHRDAQSKFHSRAQIDVNLKNVLGGIVAILTGRNKESNGIQPLQSPTSSSLFLGSEDSGGGFLHPSVYIPSAPPFLEAEAINYNAYRAVLEADPPEWLPDSCTAACMQCASPFTALTRGRHHCRFCGGIFCRACSKGRCLLPVKFRERDPQRVCDACYDKLDPLQGLLINSISNAMQSAKHDVMDWTSSRGWLNLPVGLSMEHEIYKAANTLKSYSQVARLNPERSIPLAVLRGAKGLAILTVAKVGAFLTYKLGTGLVVAKRSDGSWSAPSSILSVGLGWGAQIGGELMDYIIVLHGSKAVKTFCSRMHFSLGAGLSAAAGPVGRVLEADLRAGDKGSGMCYTYSCSKGAFVGVSLEGNLVVTRQDANTRFYGDPYLTTTDILVGTVEQPKAALPLYAALDDLCSTLQC >Ma03_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7377607:7385106:-1 gene:Ma03_g09920 transcript:Ma03_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRGIHTYASVSKNDHEDYFVEDFENDLWPAPDKTCTSTPRCSKQVPCKFSTVSRLSDEYDSGDDHGHLEQSRAQIDVNLKNVLGGIVAILTGRNKESNGIQPLQSPTSSSLFLGSEDSGGGFLHPSVYIPSAPPFLEAEAINYNAYRAVLEADPPEWLPDSCTAACMQCASPFTALTRGRHHCRFCGGIFCRACSKGRCLLPVKFRERDPQRVCDACYDKLDPLQGLLINSISNAMQSAKHDVMDWTSSRGWLNLPVGLSMEHEIYKAANTLKSYSQVARLNPERSIPLAVLRGAKGLAILTVAKVGAFLTYKLGTGLVVAKRSDGSWSAPSSILSVGLGWGAQIGGELMDYIIVLHGSKAVKTFCSRMHFSLGAGLSAAAGPVGRVLEADLRAGDKGSGMCYTYSCSKGAFVGVSLEGNLVVTRQDANTRFYGDPYLTTTDILVGTVEQPKAALPLYAALDDLCSTLQC >Ma03_p09920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7377607:7385158:-1 gene:Ma03_g09920 transcript:Ma03_t09920.3 gene_biotype:protein_coding transcript_biotype:protein_coding METSRGIHTYASVSKNDHEDYFVEDFENDLWPAPDKTCTSTPRCSKQVPCKFSTVSRLSDEYDSGDDHGHLEQSRAQIDVNLKNVLGGIVAILTGRNKESNGIQPLQSPTSSSLFLGSEDSGGGFLHPSVYIPSAPPFLEAEAINYNAYRAVLEADPPEWLPDSCTAACMQCASPFTALTRGRHHCRFCGGIFCRACSKGRCLLPVKFRERDPQRVCDACYDKLDPLQGLLINSISNAMQSAKHDVMDWTSSRGWLNLPVGLSMEHEIYKAANTLKSYSQVARLNPERSIPLAVLRGAKGLAILTVAKVGAFLTYKLGTGLVVAKRSDGSWSAPSSILSVGLGWGAQIGGELMDYIIVLHGSKAVKTFCSRMHFSLGAGLSAAAGPVGRVLEADLRAGDKGSGMCYTYSCSKGAFVGVSLEGNLVVTRQDANTRFYGDPYLTTTDILVGTVEQPKAALPLYAALDDLCSTLQC >Ma11_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9040944:9041979:-1 gene:Ma11_g09780 transcript:Ma11_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKACFQVSTIASSAAATTGTWALPAHRPVFIRDKFHLPAATGHRKSVSSPPSTSTGDDGRRSRVTCRAKKTVDEVLVVVDANWENLVAASDKPVLVEFWAPWCGPCRMIAPVIKELARDYAGKIVCCQVNTDDCSGIASRFGIRSIPTVLVFKNGEKKESVIGAVPKSTLVSVVDKYLEGS >Ma04_p30310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31011198:31014959:-1 gene:Ma04_g30310 transcript:Ma04_t30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGMALANENPEASGGGNVWNLCRMPFWQAGGSGSSSSSAAAGVSHHHVIHGHAPRSAEGSDTQYSGASGSVSSVAKSLLLTRRRLRLDPASKLYFPYEPGKQVRSAIKIKNTSKSPVAFKFQTTSPKSCFMRPPGAILSPGEHIIATVFKFVEHPENNEKVLDQKNKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILSVVFLNVERPSPRLDKLKRQLAEADAAVEAHKKPPEETGPRILGEGLVIDEWKERRERYLAQHQVEFVDSV >Ma03_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23906210:23906634:1 gene:Ma03_g18350 transcript:Ma03_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCAYMILPSHTNMQEMLFQILKQYQVCNACIKADAPRNRWKNTIILTCGCFIVHRSLKLNPCYPILKQLGREINCECTYHGFISFAKMVYCVPRKPISICSLHHLGV >Ma08_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9159789:9175200:1 gene:Ma08_g12150 transcript:Ma08_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRGATPLAIVVALCLIAATRTEAQLRVGFYSRICPKPELIVKQEVEKAVRANPGIAAGLLRLHFRDRFVRCCDGSVLIDSTWRSTAEKDTLPDKSLRGFEVIDRAKRRLEAVCRGKVSCADILAFAARDSVVHKGFYQVPAGRRNVRISRAADVIDLPPPAFNLSPLTPFFTKKGLSQDDMITLSAAQLKRRCPLRSNSVVPMDSPSPFTFDTSYYRNLLVNRGLFTSDQTLTSTWATAAKVRQLAGNPMLFQRKFAAAMVKMGKIGVLTGRKGEIRRYCRRTN >Ma11_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6271548:6272630:1 gene:Ma11_g07870 transcript:Ma11_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVCLPRSLRVSSTRRKKKLCAVEKRTRVLRRLVPGGESLSGFSLLDETLDYVLSLRAQIGLMQSLLMTCEASKRRAHESQVHIA >Ma04_p29270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30233329:30238738:1 gene:Ma04_g29270 transcript:Ma04_t29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDTLSPPGPSSSSSFSAAAAGRLCPCACPFCCRPSSPSWRRSMKRRLDPDAADHGPARVEVEDEVAALREAVASQQETIQELCAELDEERNAACSAASEAMSMILRLQREKAEAQMEARQFKRFAEEKMDHDQQELIALEDLLFKREEAVQSLTFQIQAYRHRLLGYGIDPGAVDVAPSGGSVNDEPETPQSNESPTFEYTPLKCTLTNGVEREEDYLDEAADLEKYAFGETPHDREDLENLEQRICQLEALPDTSSMLEKGIIQEPPGSSSHFRRSSTHSCDSVMGMTSQEPMKEGEFPASMDRPLDDSDDTDDMSDRVYTIDSVHGVPMVHSSEDGMEKGKRGEIDGGAEGGSPDITNLYTRLQALEADRESMRQAIMSMQTEKAQLLLLRHIAQQLHKEVSPERRITKKKSSITNFSIVSMLKWIMSFIVWRKKASRIKYTFGSSNNVGLLLLLDNSPRISNWSCITRTQG >Ma08_p17990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27759016:27797567:-1 gene:Ma08_g17990 transcript:Ma08_t17990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MANFRSLLLGLSRARSASLFGIGSSKYSRSSLNYKLESLNCAVSSPSGGSLYVLSKKLLVQVHLFSSAGLPSHMVLGMPALSPTMNQGNIARWRKNEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILAPEGSKDVLVGQPIAITVENPDDVKSIPADICKGFEAKGEESTKEWTDTAEHKVSIPKANFSRISPSAKLLIAHHGLEPSSLWASGPRGTLLKGDVLAAIKSGVQSTGAHKSDQELVAASTSTLKPTVHQLSQSAVPLHDTDTHEDFLNSQIRKVIAKRLLESKQHIPHLYLSSDVILDPLLAFRKELKEQYNVKVSVNDIVIKAVALALRNVPEVNVCWNDEKGEPALCDSIDISVAVATEKGLMTPIIRNADQKSLSAISLEVKELAEKARGGKLKPDQYQGGTFSISNLGMFPVDHFCAIINPPQACILAVGQGNKVVEPVIGIDGVEKPAVITKMSLKLSADHRIFDGQLGSKFLSALASNFSDIRRLLL >Ma08_p17990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27759016:27797566:-1 gene:Ma08_g17990 transcript:Ma08_t17990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MANFRSLLLGLSRARSASLFGIGSSKYSRNCAVSSPSGGSLYVLSKKLLVQVHLFSSAGLPSHMVLGMPALSPTMNQGNIARWRKNEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILAPEGSKDVLVGQPIAITVENPDDVKSIPADICKGFEAKGEESTKEWTDTAEHKVSIPKANFSRISPSAKLLIAHHGLEPSSLWASGPRGTLLKGDVLAAIKSGVQSTGAHKSDQELVAASTSTLKPTVHQLSQSAVPLHDTDTHEDFLNSQIRKVIAKRLLESKQHIPHLYLSSDVILDPLLAFRKELKEQYNVKVSVNDIVIKAVALALRNVPEVNVCWNDEKGEPALCDSIDISVAVATEKGLMTPIIRNADQKSLSAISLEVKELAEKARGGKLKPDQYQGGTFSISNLGMFPVDHFCAIINPPQACILAVGQGNKVVEPVIGIDGVEKPAVITKMSLKLSADHRIFDGQLGSKFLSALASNFSDIRRLLL >Ma08_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27759016:27795193:-1 gene:Ma08_g17990 transcript:Ma08_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MNCAVSSPSGGSLYVLSKKLLVQVHLFSSAGLPSHMVLGMPALSPTMNQGNIARWRKNEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILAPEGSKDVLVGQPIAITVENPDDVKSIPADICKGFEAKGEESTKEWTDTAEHKVSIPKANFSRISPSAKLLIAHHGLEPSSLWASGPRGTLLKGDVLAAIKSGVQSTGAHKSDQELVAASTSTLKPTVHQLSQSAVPLHDTDTHEDFLNSQIRKVIAKRLLESKQHIPHLYLSSDVILDPLLAFRKELKEQYNVKVSVNDIVIKAVALALRNVPEVNVCWNDEKGEPALCDSIDISVAVATEKGLMTPIIRNADQKSLSAISLEVKELAEKARGGKLKPDQYQGGTFSISNLGMFPVDHFCAIINPPQACILAVGQGNKVVEPVIGIDGVEKPAVITKMSLKLSADHRIFDGQLGSKFLSALASNFSDIRRLLL >Ma11_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15144873:15145861:1 gene:Ma11_g11910 transcript:Ma11_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIQCKSKKGSSIRMKLFGFQVSEEETGPGSDSSSSTATTATAAAPAGGVGEGGDGRKYECQYCCREFANSQALGGHQNAHKKERQRLKNSAQMQQQQHHLHGGGGLAGTLYPRNPIVSAFTPPPHLLQDPPHRRRRPHRVGLLLQRVAGPAVPRLARLCLPLHRCSCSSGDARLLLLRSRRRRRRRWLAAVRRELDRGADLVRQVHGLRARQDEHRLGGSGRLVRVGPPTRPGSHPDVTRCSHHCVTRFLIRR >Ma09_p27740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38668295:38671247:1 gene:Ma09_g27740 transcript:Ma09_t27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSSLFLLLLLFLFLLTRDSLAGPVATEFLYPNFTASYLNFVDNSGVFLASPNANFVAAIANPGGQQSRFYLSLTHSATRKVVWSANRDAPAPRDGTVTLSTRGLVVSHPNGSVLWSTPLLPSPVRALRLLDSGNFLLLDAANATLWQSFDHPTDTLLSSQVLPAGSSLIASVSDNDFASGDYSLVLTTGDAIMTWKGGAQQYWSLSKDVRSFKNSNSGVAYMATNVTGLNLYSTEGKVVLQAFLPTSDFRIVKLDPTGRFHVFSYSAANASSILDDEFTAPTSNCDLPFPCLSLGVCTAGANGSTCNCPARFVQLESGNCSPANGSLPPSSSSSSSASCGGDSDLATSYIQLGSGIDYFANKFASPATSGNDISACQNLCTGNCTCLGFFYRNSSKSCYLMRNTLGSLFKRNAGETSSSIGYIKTLVSGSSPPTSGGTSKTHLIAILLPTVAAFLLIFVVVSAGIIWWKRSNDPRRLRMRRPSKRLATKEINLGRHKSSKTQSLDTDDDESTDENDGGSDTLIPGLPTRFTFKELEGATNYFRNKIGSGGFGAVYKGELPDRTTVAVKRIESAGLQGRKEFCTEIAIIGNIRHVNLVRLRGFCAQGNRRLLVYEYMNRGSLDRSLFGVGPALEWQERVDIARGAARGLAYLHAGCDHKIIHCDVKPENILLHDGGQVKISDFGLAKLLSPEQSGLFTTMRGTRGYLAPEWLTNAAISDRTDVYSFGMVLLEIVHGRKNRSGGSEECEEEEEGSGSGWSSAAAAGGGYFPLVALEGHEEGRYLQLADQRLEWRVREEEVARVVKLALCCLHEEPWLRPSMAVVVGMLEGNMEVWEPKVESLNFLRLYGRGFMEPPATVVGGGGGSERVEGVVALAGGDDTASRTSAVTGFAVDGSGSSNSCC >Ma11_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17885243:17888905:-1 gene:Ma11_g13510 transcript:Ma11_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQLSEESPGREIRRDGTHGRGSKRVVAGDEEGDNGEDRDDKSCSQDEPQDQKPGWKKFLAHVGPGFLVSLAYLDPGNLETDLQAGAKHRYELLWVVLIGLAFALIIQSLAANLGVATGKHLAELCKAEYPKYVNYCLWVMAEVAVIAADIPEVIGTAFALNILFRIPVSAGVLITGLSTLLLLGLQRYGVRKLELLISMLVFVMAACYFGELSYVNPPATQVMKGLFVPRLGGDGATSDAVALLGALVMPHNLFLHSALVLSRKTPPSAEGINDACRYFLLESGFALSVALLINVAVVSVSGTVCAGRSLPPEDSETCSNLTLNSASFLLKNLLGKSSSIVYGIALLASGQSSTISGTYAGQYIMQGFLDVKMRMWLQNLMTRCIAIGPSLVVSIIGGPAGAGRLIIITSMILSFELPFALIPLLKFSSSGTKMRPHKNSIYIIVISWILGFGVIGINVYFLSTSFVEWITSSSLPKPATALVGVIVFPFMAVYILAVIYLTFRKDTAVTFVDKSDSSLKEMEDGVHRSDGDKGGDVVPFRGDLNNISPPE >Ma02_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25990423:25995109:1 gene:Ma02_g19850 transcript:Ma02_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGFADKNAVFRKLKSKSDNKMCFECNARNPTWASVTYGIFLCLDCSAVHRSLGVHISFVRSTNLDSWTPEQLKMMVFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYRQLLTKEVAKSAAEDSGPSSPVAATQSTHAVNGLPELKLADTSKDNPNKTNESEITRSPKAPVRSAVISSVKKPIGAKKSGSKTGGLGVRKLTTKPNESLYEQKPEEPAPVAVSAKSNTTNGQLLSTRFEYVDVENTPSTKSSSDGPQVISHVAPPKSSSFFADFGMDGGFEKKSNSISSNIQESNEARQKFSNAKSISSAQFFGDQNKASDAQISLEKFTGSTSISSADLFGHETDSGVELTAADLINKISFQASQDISSLKNIAGETGKKLSSLASGFINDFQNRML >Ma03_p30070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32868034:32872268:-1 gene:Ma03_g30070 transcript:Ma03_t30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAARRKKEMALPSADPSSQDSGHNDELMPAEDSKESDDGSPTSSSSSSSSQEHHRSRSAEELLGSDAVVFDSTAESGKGVALAEEVEAESLVAVEEPAYTTTVESFAESEDVVVEFPKSEKEDEEVAEESAVLVEKVVALAEEDRRLDEVAAKVLEETSGGVKEVPLPDADEAVDQLGESGDARCVAGKAENLPTVEVSPGAAPVVHHATWWNCCGLLDVFACSTR >Ma05_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34852515:34863817:1 gene:Ma05_g23010 transcript:Ma05_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLEILEEMLARHIRKQLAAIVTKIQWSYAFFWSTSTTQPGVLEWCGGFYNGQIKTRKMIQPIELEADQMSLQRSEQLRELYESLSSGDSNQQMRRACAALYPEDLTDAEWYYLTCMSFVFNIGQGLPGKAFADNQHIWLNGAQFANCKMFSRSLLAKTVVCIPIMDGVLELGTNDLILEDPAIIEKITSSPWELPNPICSEQSISGRRMAENDEDHLCPNLDNNIDDCIDLEDQNLIVDPQTQLGNGPQHIPFHLYAPIEQTEPVRCRVEELHTSIREELIVGSSDGSLNDGCPTQKVEDAFGVDGLNDISQTQSRQFIDDEFSNVLHGYLDCDVHEPMSFVNARRVISGTEGGRKNNQILDGVQQRSLSRIVPLDLDGDDSHSAKTVAVILQNSKHVKPVSSYPKISHKSSFATWRIDMNPPKPFTSMSQKLLKKLLVDITWLHDGRLQRHQENGMQEKTCKPEGESDVRHVLSERRRREKLKEKFLVLRSLIPSISKVDKASVLGNTIDYLKDLERRVLELESSQEPAELEIAESRKHPDVAKRSSENYRNKEIVNGENSLAKKRKVSDVDRSNAEHLWILTKDRPIEVNVTLKDKEVLVEMHCPWRESLVFEIVESISNLHLDLLSVQSSTVDGMLSLAIKSKFRSTSVASPGMIKQSLQRVMGLL >Ma06_p30420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31683934:31689625:-1 gene:Ma06_g30420 transcript:Ma06_t30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTPTNYGASGSPSQDDPFSLAELMNFDGFAESCSPTMVDQISNLSFIAAYQIPGFSSSLAPLSVSAEGTDSVPGDNRVSTGNYYNCIEKTALQRAGSQMGLPSTSSRTNITSRSASYSAFDGLSDDAILTIPRPFEGVSLPERMLKALSLLKESSCSGILAQVWRPIKQGDQYILSTSEQPFLLDEILAGYREVSRQFTFSAKEAPGLFTGLPGRVYISGMPEWTSNVIYYRKFEYLRVDYAISHEVRGSLAVPVFDPYEGSCLAVLELVTTRERPNFDAEMETVCNALQVPSLLLLQAVNLKTTKVQVHHQNLTKSQISAFSEILDVLRTVCHAHMLPLALTWVPVWYDDGGVNDLSNDNIGGMKPTSRRLALRIQESACYVNDMQMQDFLHACAEHRLEKGQGIAGKALQSNHPFFSPDVKVYDIREYPLAHHARKFDLRAAVAIRLRSTYTGNDDYILEFFLPVNCGGNIEQQLLLNSLSNTMQRICRSLRTVSDAAAAGAEITRIGNHKGADVGALSTNFSMTCSQLSDYDNETATEMHLGTQEIGSNEQNGDAHLEQLSSSSIKQMEKKRSTAEKNINFSVLQRYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLQKIQNVINSVQGVEGALKYDPSTGCLVAAVSSPEKPSLITFEPKGQDLMTAPSAHHIETEQPVGKMVPDFYFLGRHQRGTTHRSKCETDEVGMLSNDCSRQLNFICADGGQLSYATMQGAPKWPSYSKDVSDSSYISKEAGCQGGQDGLSLASLECQVTSRSSSLEELDKMMMKAEADDGIMEHSHRSSSGMTDSSSGSASSHPSFKRSKMLISQNGPSVTVKATYKDDTVRFKFMPSMGIHNLFEEIGKRFKLLVGTFQLKYRDDEEEWVMLENDSDLQECVDVLENIGLQKMKLQVRDIPCNVGSSASSNCLKP >Ma06_p26200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27857305:27862570:-1 gene:Ma06_g26200 transcript:Ma06_t26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGVVDMDMLVAASSDAGGVYSSSMTSSDTELSRQRVLSGSSLQKNETSPEAEDHDMRCLKLARTEPKVAPTAKVAPFLVPSYPYLFPDGAQMLSFSSTFKQEATPPDGTLPYQHHRSAPTSTPSCLRNAGLCSGSFDVNMNEVLARVRWPFTPTQWLELERQALIYKHIVANVAIPPSLFIPFRTSLSTFGFSSLPVGSFGSSTFGWGLYHQGYSGNDDPEPGRCRRTDGKKWRCSREAVADQKYCERHINRGRHRSRKHVEGRTSHAMKAIPALAPSPSVSANQSSGISGKLATSQHQTESLQTNMTNCCPAQFDRITMSNGNVNGRTQNSKDLSMLDSFNSRSMSNLFPVSEEHDPFKGSSSETELGHISMDSLLKPQSSSFSDNISYITIPMLNNQQTETHPLRPFTDDWPRNQSDHSNISRSEEYDTIDVGLGAAAPSEASNCQPGWLPISWESSMAGPLGEVLNNNTSNVDDQCRNLLSSSVNFLTDSCGLHTWLESSPTGILQKTSFCSVSSSTRSSPRVENHKSHESNGSMCDDIFGSTKVDLPTIPS >Ma01_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:242430:250536:1 gene:Ma01_g00330 transcript:Ma01_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47760) UniProtKB/Swiss-Prot;Acc:O82244] MARPRSPTNDLPPSLGGYKVSKPLFASALLVLDSILVALIIAYVPYTKIDWDAYMTQVDGFLGGERDYSKLKGDTGPLVYPAGFLYVYSAIKFLTGGEVFPAQILFGILYIINLGLVFFIYIKTDLLPWWALSFLCLSKRVHSIFILRLFNDCFAMTLLHASLALLFSQKWHLALIIFSGAVSIKMNVLLYAPPLFLLMLKALDVKGVFSVLFGAALVQIILGLPFLLTYPVEYISRAFNLGRVFIHFWSVNFKFVPEEIFVSKEFACALLVLHLTLLIVFANFRWSKNGLFHLLKSKVSDAFSIFSIQQFQSCESRIKTLNKEYIATVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKAPFPTPLR >Ma05_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9856273:9857612:1 gene:Ma05_g13570 transcript:Ma05_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHPSYLNLLLFLHVIVFFPSLTSCTCSNKCGSIDVRYPFGTGYGCGSPHFYPSVTCTPGGNDDDNSAGDSDHDDKEQLVLGTHTGRYPITSISYSASTLTIAPPLMSTCSSMHPSAPNIGLDWSAPFQLDSSVFVLLACPAPTSSLASHGNLICDAASGPRLCGALLECPAVASLGLPLFAPTNTCCVYSPASLGPKGDLDLQGLGCAAYASVASLGPTPMDPSTWDYGVALKYGEAEIEVDGLAEACAACERSDGVCGYEPPKNYFVCVCKSGVNSSTDCYGQNEDLKDFWRSTGNASITGHVMLVWKLMLLLGCWWLVL >Ma03_p30760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33251772:33254639:-1 gene:Ma03_g30760 transcript:Ma03_t30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLCFPLVLLVLLVGARCPAATGEEKAAKGGKPWLDTGGLSRGAFPAGFTFGTAASAYQVEGMALQDGRGPSIWDAFVKIPGEIANNATADVSVDEYHRYKEDVDIMKKMNFDAYRFSISWSRIFPEGEGRVNWKGVAYYNRLINYMLLRGITPYANLYHYDLPEALEKKYNGLLSRRIVDAYANYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDDGKFAPGRCTNCSAGNSATEPYIVAHNMILSHAAAVKRYRDKYQAKQKGRIGILLDFVWYEPLTDSKDDQDAAQRSRDFHLGWFLHPIIYGEYPKSMQEIVQARLPKFTEEEIKMVKGSIDYVGINQYTAYYMFDPHLPKQEKPTRYQSDWNAGFAFERNGVPIGPRAHSEWLYIVPWGMYKAVTYVKEHYGNPTVILSENGMDDPGNVTLRQGLHDTTRINYYRSYITELKKAIDDGATVIGYFAWSLLDNFEWKSGYTSRFGIVYVDYKNLRRYAKMSAYWFKQMLDRGKRN >Ma01_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1818580:1819269:-1 gene:Ma01_g02770 transcript:Ma01_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPGEHINPAVSFGLLLGRKISLLRAVLDMVLQCMGAICGVGIVKGIMNHPYNSLGGRANQVAAGCSQGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVMAPLPIGFAVFTVHLGTGINPARSLGAAVLYDQRKAWLAVVQWIFWVGPFAGALAAAVKASSSFRSSNGK >Ma05_p28500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39484493:39489559:1 gene:Ma05_g28500 transcript:Ma05_t28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRMDRDEEEEEMEEEELGPDGTPMPWPTSPILLLPRDALYNVLGRLTLREALACRPVCRVFLDALSSTPFLASLAPLRLLALRHPRAAEASSSPSLYAFDPSLRRWLRLPLAFLPFRSSSPVTASPSPSLLYLWVDAVPSPSTVTGAKNHPKSLAVCNPLTGSHRLLPPLGSAWSRHGTVLAGPGGAVLVVTELAALSYAPGTDRWLKFPLSLPSKPRSPILMSGAVFALCDVGTPWRSQWKLFSCHLRDLGGTRGWTSLDRHEWRDMFNILKRPRLLPGAGGRRILMIGGLRSSFAVDGPCSTVMILRLDLATIEWEEAGRMPQEMYRCFGGGVFGPMAAPAAAGGNNKVKVFGGDGRIWFSGKRVRGKLIMWEEDDIGGSGGGLWSWVDGIPGYNEGMYRGFVFDAGFTATP >Ma05_p28500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39484493:39489559:1 gene:Ma05_g28500 transcript:Ma05_t28500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRMDRDEEEEEMEEEELGPDGTPMPWPTSPILLLPRDALYNVLGRLTLREALACRPVCRVFLDALSSTPFLASLAPLRLLALRHPRAAEASSSPSLYAFDPSLRRWLRLPLAFLPFRSSSPVTASPSPSLLYLWVDAVPSPSTVTGAKNHPKSLAVCNPLTGSHRLLPPLGSAWSRHGTVLAGPGGAVLVVTELAALSYAPGTDRWLKFPLSLPSKPRSPILMSGAVFALCDVGTPWRSQWKLFSCHLRDLGGTRGWTSLDRHEWRDMFNILKRPRLLPGAGGRRILMIGGLRSSFAVDGPCSTVMILRLDLATIEWEEAGRMPQEMYRCFGGGVFGPMAAPAAAGGNNKVKVFGGDGRIWFSGKRVRGKLIMWEEDDIGGSGGGLWSWVDGIPGYNEGMYRGFVFDAGFTATP >Ma05_p31330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41285728:41290888:1 gene:Ma05_g31330 transcript:Ma05_t31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MILGLSTGRLLSCPPFVSSARDGTIRRRAAPGLPSPSAQSSDGLRSRRSWGIPPRRVAPAVRRLAAPPARVSTKNGASGEFSEESGPRNASFLEFLTSERVKVVAMLGLALALCNADRVVMSVAIVPLSRAHGWTQSFSGIVQSSFLWGYLMSPIVGGALVDYYGGKLVMAWGVALWSLATFLTPWAAETSLWTLLAMRVLLGVAEGVALPSMNNMVSRWFPRSERSRAVGIAMAGFQLGSAAGLLISPIIMSRTGVFGPFIIFGLFGFLWVLVWASATSSTPERHPQISKSELDYIGQREKQPVSKIKKPEKIMIPPFKKLLSKLPTWALIFANSMHSWGYFVILSWMPIYFNTVYRVDLRQAAWFSALPWVMMAVLGYFAGAWSDMLIQNGFSVTFTRKLMQSIGFLGPGISLLGLNVAKSPSVASSWLTAAVGLSSFSHAGFLVNLQEVAPRFAGVLHGMSNTAGTMAAIMGTVGAGFFVERMGSFQGFLILTSLLYFISTLFWDLFATGERIDIIMDGGGADDEEESSSPN >Ma11_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5082266:5087714:-1 gene:Ma11_g06290 transcript:Ma11_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMALLASPHPPTIFRALSCKRNHLRPRITSPPPSLLLLTARNLSLVLARCADGSADAVDGNMEKKDEAVSPTPRPLESEKNKQPHQQQQQYHHHEIRDGSVVKAQALKFEDTRWVGGTWDTRQFGKNGRTDWDAVIHAEASRRKWLEDHPEASSIDEPVIFDTSIIPWWAWIKSFHLPEAEKLNGRAAMIGFFMAYFVDSLTGIGLVGQTGNFFCKTMLFATVVWVLLIRENRDIENLKKLFEETTMYDKQWRATWQDDAPGDSKK >Ma08_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14758224:14764534:1 gene:Ma08_g14820 transcript:Ma08_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHEFQPHKYITLEEREREREREREAPVVVGWWPHLAPNPPLSIYISYPPLAHRDRVRIFDETRSRRSIHHGFFFGVLQIFTRGRESRRRLRPRLPSALRSPPPVLRRRPDLHPASDFPSPAKARQISKPGSRSRNSLSERSRSQLCPWPTRRLHAYRKCCATSGTRSFPPMRPRLGVCAVLTRT >Ma04_p40010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36959083:36959524:-1 gene:Ma04_g40010 transcript:Ma04_t40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIDLQKPISWVDEEEWEAAELPSPAHCRKEEKTERVAAASANPPAKEELEEFLHWKNSICRKQGVASTEIKTRISKKQLEELLHQADEKGLPLKKILVDIATIGGVCTENRDRCWRPNLQSILEEVSELSRTP >Ma07_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6980197:6980763:1 gene:Ma07_g09310 transcript:Ma07_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL7 [Source:Projected from Arabidopsis thaliana (AT1G79800) UniProtKB/TrEMBL;Acc:A0A178W8V4] MTTMCSLVGATNLYRVGDAEGWREPDKNDAAMYDTWAGKYAFRVGDSLAFDYKNDSVVRVSKRGYYHCNETGGGSASKDGSTVFLLDQPGFYYFVSGDVDHCKRGQRVMIEALDAQRPPAAAGPAPSPLPSSAVSWTAIAASLRDMVALGSLLFVASYCSYLS >Ma03_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3394202:3397753:-1 gene:Ma03_g05210 transcript:Ma03_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKPNHMSDLRTAAAHPLASGTGEGHMIAAADARAIQGYGHDKDSGGGCDGMEEAVDGEGVEGDAPADHGDLGNPHALVVPPVASNQLTLSFHREVYVFDSVSPEKVQAVLLLLGGREIATSTASIESATNPLDKRLNFPHRVASLMRFKEKRKERNFDKKIRYAVRKEVALRMQRNRGQFTTSKSNPDVATLGATNCEGTQCWGTIEGRPPSAALCHHCGINSKSTPMMRRGPDGPRTLCNACGLMWANKGTLRDLSKNPSLLTQSDPLEGSEMNGASAPVAEELLPHADAANDHHSSSL >Ma03_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4157061:4158096:-1 gene:Ma03_g06020 transcript:Ma03_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPSSLSLSLDSTLQPCLFAPSAPSTTLAGTTTSLPPEKRQSSIFRSLLGRFSSSSATQPTASSRTDAPEQPGAVINDEAVQVLRDFRVIVELDRGGVLEIRPVEPGETEATGTLLAETFSESRLVPVRYAHLIAFLVKQYLEERRALEPHVAVLIGFYKESDAEGPAQLACTAEISFDARGANVVPPTPQPPPDCPYICNMAVRKSLRRRRIGWHLLEACEELITRMKAKREVYLHCRVVDKGPFDMYRKAGYEVSKTDSYFIWLSLQRRKHLMWKKLPPPSDDDAVNKTSACDDHPI >Ma05_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6889892:6891241:-1 gene:Ma05_g09520 transcript:Ma05_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETFHHKLDFTGLSLEKAGTPEWERVRAQMMEVVANKQNWFEAVYDGVAPELREALFGRTMKELFALPADVKMRNTSNKPHHGYIGQFPGLDYEALSVFDAHLVEGTRSFTELMWPEGNPSFCDTVHSVGRQLSELEKMVRRMLLESLGVVKHLDRQNSELTFGLRMAKYGTLTSQEATVVLSPHVDETTVTLVVQHKVAGLQVLTADGEWLTVPLSPNSYPVMIGQALQGWSNGRLNAKRHRVLVGGGETRYSVIFGSHPKDDVMVQVPEELVDEEHPLIYKPFGYLSFVNFSHSEEGMKSDDSLKAYCGVQVDEAGA >Ma05_p29010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39825207:39839562:-1 gene:Ma05_g29010 transcript:Ma05_t29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGKKPEISDHVVTVRRRLHQALSLGMKVSNSKVKRWQSTDTDTQSHALKSMNAFLSCISFTLLQHPLIQDSILDMVIALGGILQSDNKRILDLAADVAQKLVTTLGNTIHRYPMSEVIIHLSCLLSLSELPVAISSAIALNRILTNLGPARGKVLKEIWNALEKADSVGNVMCALQNYEIETQPIEYFLVMATLLESILRRWSLSRYPVWSNSKLMVILQDRCSQSEISISNAVLKLYSALALCGNVAVKLLENKDFLSMVVRSMGLSVPFSVRIEALRLCQCLSRSEDACSMLNGLYCEPIIQGLVGALGGWRSSCSKRVPSDQLPLVLEACRATLLTRWAGNHHSYFWKHEIDRVLLDILLGDCTVSYEAKVALSSDELVAIIYDNTADTRPFVWDILGNLAVHCKEDFLSKTKGALCYLDFLISCACSVATDLMRKGCSSLSSYMNELEPVSRAVLLMVFSPCKYIASQAIYYLSETLRAFGDVCLEYVLASLKLNASGDVSLVADSYHTITNLISLACYSTLPKYHELIVKREGISSLSSIIKMCLNGDIHIGRSNNASHLQSISYGTECCLSNVSSLEGEEVILLYSLQALSQLIAFLNIVCNHHKIVLGEIVVCKKCRNSDAYNLFESLWYILNNSFGSGPKWYSAYILSFFGFYGFPSKIGKKIAKAIDENELADIELLLAKGQSLQVHSPIIVARCPYLLSNETSLPKKSAWNDWKDQNSEHHHRKMRHEIRISDRVDSVSFVKLLEYIYTGFIQADDNLRTPLKVLAKHCGLKSLYDMLSRKLPEWGIACASCNFSEALEPIGNQLSDIILEAKVIEGVSWSCAICRSSVPHMHAHKIILLSSCDYLRALFQSGMHDSCSQVIKVPISWKALVKLVHWFYLGYLPSIKQDCTWNNLDPEWQLHELQVYVELSSLAEFWCLEEVEEQSFKVVVSCINSQQKSSLELIRFAASLNQWKIVTVGVSSIASIYPKLRDGGELEDLDEELVDMLRAKYVCYCQHGDNAFD >Ma11_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22795562:22796543:1 gene:Ma11_g17500 transcript:Ma11_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPHLISFLLISLLHLSTAAAPSAYEVLRSHGLPIGLLPKGVREFEVDGGGRFRARLDAPCTAKFESEVRYNATLAGTISPGQIAALSGVSAQDLFLWFPVLAIRLDDNASGIIHFDVGVVDKRLPLSLFEFPPDCTPLSFSPQYKLDQDVDGKAAT >Ma01_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6553404:6560055:-1 gene:Ma01_g09110 transcript:Ma01_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVRRAIHAGSWYTNNAKKLDEELDRWLQAAGLVKSPNVRGVIAPHAGYSYSGRCAAFAFANIDPASIERVFLLGPSHHYYTPKCALTRATIYSTPLGDLPVDLEVNDELRATGKFELMDLHVDEAEHSMEMHLPYLVKVFHGYPVKVVPIMVGALNLENEAMYGQLLAKYVDNPKNFFSISSDFCHWGSRFSYTHYDKKHGPIYKSIEALDHMGMDIIETGDADAFKRYLREYDNTICGRHPISVFLHMLKNCSTKIKIGFLQYEQSSQCKSMRDSSVSYASAAATAES >Ma03_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4273335:4273601:-1 gene:Ma03_g06180 transcript:Ma03_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWKLGSMDTWRKQRLVSEKFDLVRERCSILPAFDRLIASAIHQPNGSSPSKLLLSASGIFPSRPVTHPRSGLRSSITRSKLTPRSL >Ma07_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30766433:30770000:1 gene:Ma07_g23000 transcript:Ma07_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELREAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >mito4_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000015.1:757051:758026:-1 gene:mito4_g00070 transcript:mito4_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding DREYIRKERGELADKEGQYRLRNNHFRSSILSYIGDLDGEQKQLINKLVNFRMIDGKRTRVRAIVYQTFHRPATSISERDVIKLMVDAVDNIKPICEVVKVGVAGTTYDVPGIVARDRQQTLAIRWILGAAFKRRISYRISLDKCSFAEILDAYRKRGIARNKKDHLHGLASSNRSFAHFRWR >Ma05_p25920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37742780:37743213:-1 gene:Ma05_g25920 transcript:Ma05_t25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVALVFFFFCMCYWFLVYILYTSLESVIKHMTCHFGTS >Ma02_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25564019:25582551:1 gene:Ma02_g19390 transcript:Ma02_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETGNFPPPKPAAPAPAPSPANPSSKSPSREDGELSSGDDAELTTSTAVFANKALSEPILVSTRNRLVRNLQTGNSSSIANTKFHTSTKRYYDKTFRTKQVPFKLNKNRALSWHKKISDDNLVISFSDDDSGTDSENSKPEATTEKKDNAVRSVKCKMPLTLSRRQHEILHQSTQFGTRLKSNKGVAGRVPFSSTGKNNGSNFGPPRTSSSEKVEHIQKQITALKSSISQVHGQIRDTVLADSAVESLRHQIALRENELNVQMKSLAQTKDRVTGSYNDHLEQLNQKLDNQVADIDGTAAANAKGLALNIRPTKRLKLDEHLERIQGSDGLLLMQEHSTKSMAESHQQLMGESSYLEVNSVLGYDGSEKGKRLSIINQEINKSHRDVNENVLGSSKVKHAGLEDNEMLLPSFVTDSTLYADPEMNSKQEVNSKITGDASCSYLKSDKGPELLASALLDQSLYLAQTGPALEGGISEWGTMNLESLLEMEELQDKELEEAQELRRQCELEERHALKAYRKAQRALIKANERCVILHRNREIITAKLQTLMLESSNSIWPSNKQGHGESMLFSRLGYSIPTKGQTSEHLGDKLNHNFSDGAPLDASYKQIDRHGSCANQFSEPDDSTSEQRDKSAANGLGSPFQNLSTDDDEENLALDNRYVESNLACLIDVGNHVEETSVVDVNKDGDSQDYDLEAALRSKLVARFGMRTSCKSADISNIECQVDRAINSKVEKSCTSFDQQLQEQKKTCVSNPEGISEVGGSMNLSSVEHCGQSQQCMFSLKSEAHRNDDPAESSSFLNETCLSVSKPILSVPSSILHNVLPLLKLKIPACHSEVSITKEKGSLMDQSHEVTACLPDAIDDYTQRSARNPVICEMSYSLCDPSIDPFWPFCLFELRGKCNNDECPWQHVKQCTKRKLKQDGFLVTYNTDVHCHALTAEISHSAFESVHDLYKHFVPIPAYYIGSTLIKVDSHLYHSVLARSIWQYWQRGFSASFPLPFSIQRILPQDAPFLQTSDDTVADYDSWSRHSWYLQCQDGKMKKFIQGLPDSEQSLELALDLFCGKFYKPERKKALSVLSRAIEADPNSICLWVVYLHIFYRKEKSIGKDDMFFHAVQHNGCSHELWLMYINSRVKVNDRLDAYNDALSMLCQKKLICDKEQKYRSACVLDIFLQMVDCFCMCGSVEKAVRRIYQLSSESDSEQSGDTVLAEILSCLTFPDQCIFWICCIYLVMYKKLPQEIIQHFEVEKDLPFSIDWPFVQLTTDETDRVGELMKFALQRVALDVDENHQKRDTTALRSLHFLAVSHVRFVTALNGFHRSAELLVKYMELYPTCVELVLLSVRLQENGKTDVFWRGFEDILCNWPKEVPGFQCLWNQYIEHELVKGTDCAEKLIDQWFQQFGELIDPQCRNLEGKDADFCRSSEQPLLVESAGSDHTNSDDKMFGLINLSLHRMFKNDVRGACNAVDEALKLASPKYYRHCLREHAALFLLKGLKSPHNNHGQVILDLLNIYFGDTRILPRLELLSRRYYQSIKKSRIRQLIDEIIGSVPADFSLLNSVLEACYGPTFLPEKIDPKDLVDFVESLMEFTPANYRLALSVYKFIARNYSDSGVASDGIVFWGSCLLVNSIFQSAPVAPESVWLEAAALLRNSEVQGIAERFYQQALSVYPFSVKLWKSYLDLSKMTENEDVVTEAARERGLELNTTPD >Ma08_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21397708:21402999:-1 gene:Ma08_g16900 transcript:Ma08_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMDNASRRNRSHQHHISLGRNKSGYEPSDTESEWQESPWHDGISGSSRPTTLDHARIVTPLNHNQTHFLKEDNSKDLVKVSRVNPSSRSHSRSPYKAVRGAGDGNTSEVGSGSRKNTSPLKISDNHRRVSSYNIIHEESNNLNGELHSPVPERNHRTLSKSHKSGNNNVHSQFELVSKVSGSSYSRNRSKSAPKPQRMEEELQVSTGPTVGNAGQILSPLVKTMIHNQMDHADASDSSILDIRDMISRNKLSKSPSYDAYELKSTDSISPGNIFFSQNRLVPQKNFAMDKGNNAESFAQNLQVISEGNITVHQDSRGTGCSGQTQGISVRNVLSRTNTSSSSAICHSSSGRTNTIFVSANSRLNNGGISSRSSKFSDDSGKLDGGIMKFSIIRQKNQTDAWFSCVKGVSCRKSKPPEQTTIDEASLIEKAFVVEELRQFWADKHRPKSLDGFICHKHQAHHLKELVSCNKCPHILFKGPMGSGKKSLCMALLHELFGDSASKVSHELRHYHVQVTLS >Ma06_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11432257:11434964:-1 gene:Ma06_g16850 transcript:Ma06_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDMSLDDIIKNNKKSADGSRGRGRRSAAGPARRVSNRSANRPAPYSVGKAPDSAWQHDMYAAQMGGLPAPAAGASAIETGSKLYISNLEYGVSNEDIKELFSKVGDLKRYSINYDRSGRSKGTAEVVFARRVDALAAVKRYNNVLLDGKPMKIEIIGTNIPTPAVVPHFTNGAFRSTNGSSKRHLGPWARPKRLAPPPGDAIH >Ma06_p16850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11430809:11434964:-1 gene:Ma06_g16850 transcript:Ma06_t16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDMSLDDIIKNNKKSADGSRGRGRRSAAGPARRVSNRSANRPAPYSVGKAPDSAWQHDMYAAQMGGLPAPAAGASAIETGSKLYISNLEYGVSNEDIKELFSKVGDLKRYSINYDRSGRSKGTAEVVFARRVDALAAVKRYNNVLLDGKPMKIEIIGTNIPTPAVVPHFTNGAFRSTNGSSKSTRPGRGSSGWPRGGGRGSSGGRERGKGRGGEPISAATLDVDLDKYHAEAMQTN >Ma02_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20843176:20847699:-1 gene:Ma02_g11880 transcript:Ma02_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSEMFLIIQNMQHHVELESTIMLSCIPCGIVRLQQNPLIHDLQSTNNEERRGLRASKQRYQVQENRQNYDDVVNNHHSMARESFDDWRNKQNQDGLLDDGKFSKRRKVGSNG >Ma09_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:561175:562200:-1 gene:Ma09_g00840 transcript:Ma09_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYPPLPEPSFQQQPQQGSEEATAMEDEWGAERAAEIDYVFKVVVIGDSAVGKTQLLSRFTKDEFCFDSKSTIGIEFQTRTIVLNRKRIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRPTFDHVARWVEELRANADKAIVIMLIGNKSDLAEKRAVSTEDAVEFAEEQGLFFSEASALSGDNVESAFLRLIEEIHGVVSRKDLESDEGSRDGGNDVLRLKGNKVSVLSEVSMMETSALRKGTRCACS >Ma11_p23740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26982541:26984350:1 gene:Ma11_g23740 transcript:Ma11_t23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGYGQVSNLNQPAQGNKKKLVLVAGSAIVLLAMVVAVAVGVSRRNNSSSSSSDAELTTTSKAIRAICRPTDYAEECQSSLAARAGNVTDPKKLVELSFTSAMDSLREAFNHSSVLQEAAKDPRTSEALENCKELLDYAIDDLKNSVARFGGVDMAKMSDVVDDLKVWLSATITYQQTCLDGFDNTTGDAADNMSKALNSSSALTSNALAIIDGISSVLASFQLSSLGRRLLSDDEGERGEFPSWVGNDKRKLLALSTKDIKPDVTVAQDGSGKYKTITQALSAVPKKGNATFVIYIKEGVYKENVMVDRSMTNVMMFGDGPTKTKITGSLNYVDGTSTFKTATLAVVGDGFIGKNLGVENTAGAAKHQAVALRVQSDKSVFYLCQMDGYQDTLYTHTKRQFYRECTISGTIDFLFGDAAVVFQNCLMLVRKPMANQQCIVTAQGRKDRHEATGIVLHNCTISADPTLSSASTTTVSYLGRPWKEYSRTIIMQSQIDGLINEDGWQPWLGEFGLKTCFYTEIGNRGPGAATTKRVTWKGYKKVDLTHAHKYTVQQFIQGKTWLPKTGVPFTPGLM >Ma08_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29624200:29626037:-1 gene:Ma08_g18290 transcript:Ma08_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGQKCEVLKALEALKKASKEIEANPVGAAENGGGGCDSPSMKALLRLQSGSRDLLSGDPQLANLSDLLYRLRCLVSSFRSSSCRDGGGECGRGGGDGKGGIFGSIRWRRSSHSHEISRVAGSIGAEIQSWIDRESADRLISALRSFSPPVARDAEVEEGESLVRALESRVSQGFDLSLQDVLLRSGAFAAVESALADAAAPKRVRECAAAAVLALVRFNKAVFVGPVLMGPTLGALVSMSSAFASAAALRSLNGLITAIRSPLVDELHARGHIPRLVGLLGITVAVEVRVLALDWALQIGYFGRKEAIDAMLTEGLIKRLTALQRSDLGGALIEINDGGGGGVRVGWLRRGRGGEDEERQFLDAQPFASCVALWAVQVEVGEGLRQREKREIKRDVVARVKEAAATEAEAATVLAEVLWGSTIW >Ma00_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36077789:36079018:1 gene:Ma00_g04270 transcript:Ma00_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSINDLMQLTSAEDEEARMYAMQLVMISGLPTTLKAAIELELLEIIVNGGPGGELSLADIAAQLPTENLQAADMVDRILRLLAAYRFVSCTIETNADGRLLRKCGAAPVCKHFTKNEDGVSMAALCLLCQDKVVMDLRYYLKDAVLEGGICFDKAHGMSTFEYNGTDPRFNRLFNEQHGESLHHPHEEATPSLLQLRRQQGARRHRRAPPLWSPPDTLTSRASTMTFPMSSPRSLLYQRRSKTISPIESQVSNIFIPKDFL >Ma06_p36440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35851337:35853545:-1 gene:Ma06_g36440 transcript:Ma06_t36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25970 [Source:Projected from Arabidopsis thaliana (AT3G25970) UniProtKB/Swiss-Prot;Acc:Q9LU94] MHSSRRLDAALRAAAKTHAWLLKSGDALDPCTWNKVLASYSKSGGLVEACKLFDEIPLRDTASWNSLIAAHVLSQAHRQAWSVFRTMLSEGLPFDQYTFGSVLKSVACAARLDLGRRVHALIVKSSFDRNVFSGSALVDMYAKCGRIREAVMALELMPERSVVSWNAVVAGYARAGDAKAAFHVSCRMEREGVTLDEATFASLLTLLDGIADYGLMSQAHAKIVKCGRTADTIVYNAAITAYSQCGSVADSRKIFDKMDRVKDLVTWNSMLAAYACHGFTADAIELFVRMQKLGIDPDIYTFTSAISACFEHGQSGNGRALHAVAIKRGFDDALQVSNALIAMYIRPGEDGTVDDAWRCFRSMELKDSVSWNSMLTGLSQNGLGEAAAKLFAHMRSVHVEIDHYSFSATLRSCSDLAVLQLGRQIHGLALRSGFAANEFVGSSLIYMYSKCGVLDDARQAFDETPHGSSVTWNSMIFGYAQHGRGRTALHLFSKMQEQEVSPDHITFVGLITACSHTGLVEEGSRLLKLMQPAFGVPLRMEHYACGVDLFGRAGRLDEAKKLVESMPFQPDAMVWMTLLGACRIHGDMALARRVTEHLRLSEAEHHSTYVLLSHMYSGLGLWADRATVQKTMRSRGLSKVPGWSWIEITNEVHSFNAEDRSHPQSSEIYRMLELLIEVMETASFFEIEVLDSIP >Ma09_p28720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39378456:39384459:-1 gene:Ma09_g28720 transcript:Ma09_t28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGKKVTHDFLSLYSSDSSLQLQDPRPPSQGFFLKTRDFLQPPERGKEEDAVPVERPAEQPLPGEVGTYAIGHAAGAVKPQRRGCSEAPGFPAGLQTKPEPEYGGRTTTTASYRHAGGTSYTPWDDNDTDSGGQRTSHFAAAGECHDSGPIPATVAIAAATTGRQDSTPEKKQLTETATSRSSRAYDGVEDEDFTKREGSSASKDLTIKVDGKASCVDQRPNNPRSKHSATEQRRRSKINDRFQILRELIPHSDQRRDKASFLMEVIEYVRFLQEKIQKHESSPPGWNTDNTKLIRWNNSQVPPNGLSVPPHVVKNDSAPPAHVFSKQLDESRVPVVPPSPLSLHSPPATGHTAGVFYKTNSNLVATQNLLQPRLFPVGSETSISQSQQRLADAGTMASHNRSPCVRTCSLADCSVSKQMLNEQEELTIDEGTISVSTVYSHGLLNTLTEALQSSGIDLSQANISVQFKFGKPPINKRPDATATTSTIKEPEDPASCNQSIGYRRMGISGEESSRASKRHKAHR >Ma08_p24960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37992943:38001863:-1 gene:Ma08_g24960 transcript:Ma08_t24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASLPKVLTVEDKLLNLGCKEIGRKTVEAEMDLTLAKSQGYLRGNVTSSEKKLLAVVGVYTGFGSRIKRNIFRGSWMPRGDALRKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRQTKDFLILESHEEAAEELPRKAKFFFSSAVESWDAEFYVKVDDNINLDLDGLIEILEKRRGGHGLYMGCMKSGGVISEEGRQWYEPEWWKFGEAKSYFRHAAGSLIILSNNLARYININSASLQTYAHDDTSVGSWIIGLDATYVDDDHLCCSSSRQEKVCSMA >Ma08_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37992943:38002094:-1 gene:Ma08_g24960 transcript:Ma08_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGDRLPIRGGETLASAMKQERRWRSHHPKSSSSSSASQSSRAPLVMGFLSCLAWLYVAGRLWQDAENRLLLSDLLQKNSGHLPKVLTVEDKLLNLGCKEIGRKTVEAEMDLTLAKSQGYLRGNVTSSEKKLLAVVGVYTGFGSRIKRNIFRGSWMPRGDALRKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRQTKDFLILESHEEAAEELPRKAKFFFSSAVESWDAEFYVKVDDNINLDLDGLIEILEKRRGGHGLYMGCMKSGGVISEEGRQWYEPEWWKFGEAKSYFRHAAGSLIILSNNLARYININSASLQTYAHDDTSVGSWIIGLDATYVDDDHLCCSSSRQEKVCSMA >Ma03_p09890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7356932:7363060:-1 gene:Ma03_g09890 transcript:Ma03_t09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIDSFMSSPAWSDRNASSKASWNGTSVSHTNGLLADSTEPYGEAKNPSVCMVPSSNIIGNAAAEDLNVHGHDGTPSMFIDGSVNYGILKDLYSGERLSHQNLHNQTSNSISMNNGTRVRTFPLLGVTGSNQTSASFESALPRGTLAISSSIESNSSELSAFPQSLGDAHSINSVPTIWPSSYSSVSSFVGHGNSPAFGYQGNENNDYVLGKLSLENGKFHVDRLPAESVHAKNQNEIRDFSSFSVGQHMNLIAGALLPQKEQNGLHSPSFPSGSCMMAVNKMAGIQTPQQLSPSSERHTASHQINNTSSTPSLAVSANASGCNGTAKPRARARRGQATDPHSIAERLRREKIAERMKNLQELVPSSNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGATGAVVPLLTDTQTEVSGSLLLSSSAGQGSSDISESEDSLAFEQEVVKLMETNVTTAMQYLQNKGLCLMPIALATAISNQKGFSTAIPPDRRKPIMSHGMAPLSHSSMDRNCNKNDVTGCNGTIVKQEARESNDKTRELDHEAK >Ma03_p09890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7356932:7363060:-1 gene:Ma03_g09890 transcript:Ma03_t09890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIDSFMSSPAWSDRNASSKASWNGTSVSHTNGLLADSTEPYGEAKNPSVCMVPSSNIIGNAAAEDLNVHGHDGTPSMFIDGSVNYGILKDLYSGERLSHQNLHNQTSNSISMNNGTRVRTFPLLGVTGSNQTSASFESALPRGTLAISSSIESNSSELSAFPQSLGDAHSINSVPTIWPSSYSSVSSFVGHGNSPAFGYQGNENNDYVLGKLSLENGKFHVDRLPAESVHAKNQNEIRDFSSFSVGQHMNLIAGALLPQKEQNGLHSPSFPSGSCMMAVNKMAGIQTPQQLSPSSERHTASHQINNTSSTPSLAVSANASGCNGTAKPRARARRGQATDPHSIAERLRREKIAERMKNLQELVPSSNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGATGAVVPLLTDTQTEVSGSLLLSSSAGQGSSDISESEDSLAFEQEVVKLMETNVTTAMQYLQNKGLCLMPIALATAISNQKGFSTAIPPDRRKPIMSHGMAPLSHSSMDRNCNKNDVTGCNGTIVKQEARESNDKTRELDHEAK >Ma03_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7356932:7363075:-1 gene:Ma03_g09890 transcript:Ma03_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLIDSFMSSPAWSDRNASSKASWNGTSVSHTNGLLADSTEPYGEAKNPSVCMVPSSNIIGNAAAEDLNVHGHDGTPSMFIDGSVNYGILKDLYSGERLSHQNLHNQTSNSISMNNGTRVRTFPLLGVTGSNQTSASFESALPRGTLAISSSIESNSSELSAFPQSLGDAHSINSVPTIWPSSYSSVSSFVGHGNSPAFGYQGNENNDYVLGKLSLENGKFHVDRLPAESVHAKNQNEIRDFSSFSVGQHMNLIAGALLPQKEQNGLHSPSFPSGSCMMAVNKMAGIQTPQQLSPSSERHTASHQINNTSSTPSLAVSANASGCNGTAKPRARARRGQATDPHSIAERLRREKIAERMKNLQELVPSSNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGATGAVVPLLTDTQTEVSGSLLLSSSAGQGSSDISESEDSLAFEQEVVKLMETNVTTAMQYLQNKGLCLMPIALATAISNQKGFSTAIPPDRRKPIMSHGMAPLSHSSMDRNCNKNDVTGCNGTIVKQEARESNDKTRELDHEAK >Ma08_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1769223:1769891:1 gene:Ma08_g02190 transcript:Ma08_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPFGFGPRRSNIFDPFSLDLWDPFEGFPFDSNLSFPRIPFPTSSYAGAASAFAADTRIDWKETPEAHVFKADLPGLRKEEVKVEVEDGRVLQISGERSREHEEKTDTWHRVERSSGRFLRRFRLPENAKVEQVKAAMEDGVLTVTVPKEGVKKPNAKSIEISG >Ma02_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23815693:23818340:1 gene:Ma02_g16470 transcript:Ma02_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSSAPTILRLFLLLLFSVSAPLLTVAGSVAHEFVYPNFTASYLHFVDNSGVFLSSSAFSAGFHNPGGQSSRYYLSVIHAPSFTVVWTANPSAPVPPSANLVLAPAGLTLSLPDGSLAWSTPRLAAPVVALQLLSSGEFRLLDAANASLWSSFDHPTDTLLPSQLLPASASLSSAVSDNDPSPGNYRLLITPGDALLRWTAISQNYWSLSTDVRFTKDSNLEVGYMAVNATGLYLLAGDRQTTVFRMISPPPHSSSPDEFRIAKLDPSGKFRILSFDTDFVAPSNDCDLPSVCGSLGLCFPIANNSICRCPASFGASLAGGCSPADGSILANSSCPDEDNSGSVSYITLGSQIDYFGTKFSTPITAGANISACSDLCSRNCSCLGFFYNNSSKTCYILEHQIGSLFIADNGSGDTSTAGYIKTLSQPSRQPPSSGSQSLARLLVVLLPTTATVLLVISICLVCYAMRRRNKRQTTAEISNEEAGKEDEIAIPGLPTRYTYAELEAATDNFQTRIGSGGFGSVYKGQLQDKSFVAVKKINAVSVQGRREFCTEIAVIGNIHHVNLVRLRGFCAQGQSRLLVYEYMNRFSLDRALFGHSLVLEWRERLQIAVGAARGLAYLHTGCEHKIIHCDVKPENILLHDHNQVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLTNSAITDKADVYSFGMVLLEIVRGRKNRKEQLWTEPSGSCGSSTPETYFPMVALEMHGRGTYEDLADPRLEGRVNEPELERVVKVALCCLHREPAQRPSMTKVAAMLEGTMLVPHPRLESLDFLRSYGRGFGDPNARRGCGPNSTSAGSGSSASPLLSYVSSQEVSGPR >Ma09_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4482360:4483219:1 gene:Ma09_g06980 transcript:Ma09_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAQVPSEAQGAHQVESPLEEEKKVQQQKQRYAFHTRSHVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSKDEGIVETTYEGVHNHTTEKPIDSFGHVLEQMQIYS >Ma03_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1336436:1344623:-1 gene:Ma03_g01960 transcript:Ma03_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVNVVLEFLKKNRFTNAEAALRGELNARPDLNGFLQKHLAEEKEVGRTVGEVDSVRQQSTSSQIAESSKEFVVKEIEVGGIGNGFGSKKGCGLGQGRESGSVDLYPWNFISTASTSSVSKNTGTGNNFADILISEEPKHRRGSFVLEKRDRAVGVEPDWPVEQRVSYDMDMDKTDVEVKPNISQVIDHKDQTAYCQGHFLDDPWVKSGDPGCSVKTVFPFPMDNASSGYIGHASERREFKHKINSDDIRESTKEELDAVSRSSFDGKSLDSAEQNFFKNFDGPVSGGHHRDELPRLPPVRLKSEDKLVNLQWEEKADCHESGMKPSNADTTFMIGSYLDVPIGQEINSSGGRRTIGSNWLSVSQGISEDTSDLVSGFATVGDESLDYPNEYWDSDEYDDDDDIGYTRQPIEDETWFLAHEVDCPSDNEKGTGHGSVLDHQDQAPIKDEDDTSFAEEDSYLSGEQYLPTKNVEQVAISAGSMGHKMLEMYDKTDESDLIAHYDGQLMDAEELSLMRSEPVWQGFVTQNNELMMLDNGKGPNDVGRSHQENPFTEDDQHGSVRSIGVGINSDAAEIGSEVRESLVGGSSEGDTDFFPDHGVSASGRSYHQNDTTDIDMHRPKREKMRGNKQDGDILACKNDMSLSGVSTDGGFSFPPLLKTGSMLEADSGKTLSSSKTNAAHSPDECANGIATEDMLATWRRKGSDSSPVKSSRDEEISDVARSRNSSASSGSNYGYAVTESISKGHHEANEISEEDRGATLEDEEAAALQDQIRQIKVQEEEFETFYLKIVHRKNRTGFEEDKNFHVVPNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKNDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALRFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDRGLLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSVRHRLPMGDQGFIDFIAYLLEVNPKKRPSASEALRHPWLSHPYEPISS >Ma02_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24307196:24308289:1 gene:Ma02_g17400 transcript:Ma02_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRLNFTPKSSTSFGVSYIFVGQSTDLKQKDRFLTPMAHPPNQSEAESSANSMSSNSSPSSPSSSSPSPVLHRQATRMSKVDKKVVEGAPAGAAQGLRDQCRHPVYRGVRMRNRGKWVSEIREPRKKSRIWLGTFTTPEMAARAHDVAALSIKGAAAILNFPELATSLPRPASLSPRDIQAAAAKAAATEPVTSLPAAPAASPSADDELGEIVELPRLGGWFLDSDDPGAEFVYHNDSLDSLPCPGIDFYNSASDPMWEWSDALVPSSFDPRLWDC >Ma04_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5850510:5851193:-1 gene:Ma04_g08120 transcript:Ma04_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRFLACYEEWLQIQGADLNELLQAISSQNGSRARSEMELRELVEKSVRHYEEYYERRRRLLREDGPAFFAPPWCNSFEKAVLWVGGCRPSMFIRLIYSLSSAGLEAHLDNLAGRAFASHGEGLVELSAPQLVLVNELHRSTLLEENRITSQVATLQENLADRPLLPIVKERQRWRRSDTSRGEIGDRGDAEVVAAMGHMRRRWRVWWRRQIGLGWRRRGRCCRTS >Ma06_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25384157:25394870:1 gene:Ma06_g25110 transcript:Ma06_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALEDASIVSVGQNLDIIKKFELSLPPAADNGQLRTQMPSEINHSMSSKPLIDSEDREVSDDDDDRNHKHRRREAHPNMSDNDVQELSMRQTNRKRNKPFESRKMFHDNINNINMERDKRRPIFTPGMRVSSDLSSRARTNQTYTGPCFDKSTSTGRPPVGRGRGRSTISRSLQDSRFNPFDTHDFASQVVSQGLPTHPSLFVGTGIPAAASSQNTCWGTYGFVPGMNSRILDPLQPLGLQGALQSTITPLFNMGMPRLRCRDFDEQGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSDPNSHALGIQAGPGSLPSVRAPSGLVTGGNLIPAKDAKTILSDDPLKLSGVSSACGAAEADVYDPDQPLWNNECPETSGTGFPLLSNDEPLWNADSSSQQSLTLSNGFKSDQASRVFMENIGSQSTNSSIWGRIGRGSRSEMGIKTSSNAASISHLSDATKEDNEKSMVNTSVIPEKRIPAEDMGYKTTELQPLSRLHVDSGRNSGRMSQKASRTLYVHGIPQKNNTREALLSHFQKFGEVVDIYIPLNSEKAFVQFSKREEAEAALEAPDAVMGNRFIKLWWANRDRVYDVRKNNVCTKLPPSSSKGGSSFPSCPSGHDKEKEDLNSPVPTGRKTPASELLVAVPGLKISSPHSLKIASPVPKKLEGLELLKEELRKKQEILAQKRDEFRRQLDKFEKQAITVKKGEVASGQTSKKLKVDIGNEAAKAGTPRAPNTPAGGRQEVENTGQTRKSKEVLVSPTTIANAANFQNSNTEETSHLTNPDNQSTSFRVLPPLPADFTDVAALQDHFSVFGDLASVVLEEPEERSENDAVKTPENCCARVTFISCDNAKRAYVGGKCWQGHNLHLMWLSDSGNSNRACGSQEIMGFLGGSSAYIRDDPMTSVISSPVEESSADVAISGVTAVMDVSSSRGLEDVHCDDNGVLMVPLRTSHPNPNTLSCEDHPGLDVPVVKDKMGVDLAQ >Ma06_p25110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25375012:25394870:1 gene:Ma06_g25110 transcript:Ma06_t25110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKAKEIVSSAPVVVFSKTNCGHSKWVKELLTQLGVFFKVIELDLESDGSDVQSALTEWTTQRKLPIVFIGGNHIGGDESTRNFFTRMLQALEDASIVSVGQNLDIIKKFELSLPPAADNGQLRTQMPSEINHSMSSKPLIDSEDREVSDDDDDRNHKHRRREAHPNMSDNDVQELSMRQTNRKRNKPFESRKMFHDNINNINMERDKRRPIFTPGMRVSSDLSSRARTNQTYTGPCFDKSTSTGRPPVGRGRGRSTISRSLQDSRFNPFDTHDFASQVVSQGLPTHPSLFVGTGIPAAASSQNTCWGTYGFVPGMNSRILDPLQPLGLQGALQSTITPLFNMGMPRLRCRDFDEQGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSDPNSHALGIQAGPGSLPSVRAPSGLVTGGNLIPAKDAKTILSDDPLKLSGVSSACGAAEADVYDPDQPLWNNECPETSGTGFPLLSNDEPLWNADSSSQQSLTLSNGFKSDQASRVFMENIGSQSTNSSIWGRIGRGSRSEMGIKTSSNAASISHLSDATKEDNEKSMVNTSVIPEKRIPAEDMGYKTTELQPLSRLHVDSGRNSGRMSQKASRTLYVHGIPQKNNTREALLSHFQKFGEVVDIYIPLNSEKAFVQFSKREEAEAALEAPDAVMGNRFIKLWWANRDRVYDVRKNNVCTKLPPSSSKGGSSFPSCPSGHDKEKEDLNSPVPTGRKTPASELLVAVPGLKISSPHSLKIASPVPKKLEGLELLKEELRKKQEILAQKRDEFRRQLDKFEKQAITVKKGEVASGQTSKKLKVDIGNEAAKAGTPRAPNTPAGGRQEVENTGQTRKSKEVLVSPTTIANAANFQNSNTEETSHLTNPDNQSTSFRVLPPLPADFTDVAALQDHFSVFGDLASVVLEEPEERSENDAVKTPENCCARVTFISCDNAKRAYVGGKCWQGHNLHLMWLSDSGNSNRACGSQEIMGFLGGSSAYIRDDPMTSVISSPVEESSADVAISGVTAVMDVSSSRGLEDVHCDDNGVLMVPLRTSHPNPNTLSCEDHPGLDVPVVKDKMGVDLAQ >Ma07_p21690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29745702:29752913:-1 gene:Ma07_g21690 transcript:Ma07_t21690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPHSYRLLNQAEILRVTMLLGNASLLDQSGLEHGSPMTTGGLLSNGGATEMNGWASAFQSEGLGLIQPSSAHGWLGSQSSASGLIVKKTIRVDIPIDKYPTYNFVGRLLGPRGNSLKRVEANTDCRILIRGRGSIKDLAKEEMMRGKPGYEHLSEPLHILVEAELPVEIVDARLLQAREILEDLLKPVEESHDFFKQQQLRELAMINGTLRDEGTHMSGSVSPFHSSLSMKRAKTRE >Ma07_p21690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29745702:29752910:-1 gene:Ma07_g21690 transcript:Ma07_t21690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSGIRSSASAFAEQEKYLSELLAERHKLSPFMPVLPHSYRLLNQEILRVTMLLGNASLLDQSGLEHGSPMTTGGLLSNGGATEMNGWASAFQSEGLGLIQPSSAHGWLGSQSSASGLIVKKTIRVDIPIDKYPTYNFVGRLLGPRGNSLKRVEANTDCRILIRGRGSIKDLAKEEMMRGKPGYEHLSEPLHILVEAELPVEIVDARLLQAREILEDLLKPVEESHDFFKQQQLRELAMINGTLRDEGTHMSGSVSPFHSSLSMKRAKTRE >Ma07_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29745702:29752906:-1 gene:Ma07_g21690 transcript:Ma07_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSGIRSSASAFAEQEKYLSELLAERHKLSPFMPVLPHSYRLLNQAEILRVTMLLGNASLLDQSGLEHGSPMTTGGLLSNGGATEMNGWASAFQSEGLGLIQPSSAHGWLGSQSSASGLIVKKTIRVDIPIDKYPTYNFVGRLLGPRGNSLKRVEANTDCRILIRGRGSIKDLAKEEMMRGKPGYEHLSEPLHILVEAELPVEIVDARLLQAREILEDLLKPVEESHDFFKQQQLRELAMINGTLRDEGTHMSGSVSPFHSSLSMKRAKTRE >Ma04_p06280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4623376:4644013:1 gene:Ma04_g06280 transcript:Ma04_t06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVILDNCTNKHFYSSFEQHLSLLLASTDADIVEASLQTLAAFLKKTVGKCYIRDASLRLKLFALSQGWGGKEDGLGLVACSLPNGCDSVAYDIGSTLHFEFYSVGESSKNSHTTEYVNQGWQVIHLPGISNDNEDVLQIMQKLVRSYNIPSNLCFSLSTRLRFAKAFGSLTARRQFIRIRLYAFNLLVQASNNADDLATFFNNAPEFIGEMLSLLGYEDEIPEDIRILGIQSLVALHQDRSYQPMVLSSVSAGGHRGTLPSLMQKAVDSVTSGSMTFSIVFAEALLSLISILVSSTPGSLALQEGGFIPSILPLLKDTSAQHLHLVSTAVRVIEGFLDYHNPSLALFRDLGGLDNAIARLKVEVTHVERGANDTGEKLLQDSKGKQIISSLSELERQSFSSESMVTHDRRSLIKALLRTISLATYVPGSSTRVDGSEESLLPTCLSIIFRRAKEFGGGVFSLAANVMSDIIHKDPTCFSVLAAADVPGAFLDAIDSGVPCSSEAISCIPQCLDALCLNNTGLQLVKNCNALRCLIKIFTSASYLRALNDQSLEVLSNGLDELMRHSSLLRASGVDVLIEILNTILRYGSCSESYSTESECSSVRLPVEINLERDSISLGKGEMSVTGNSEQLNETSFDGTSLISGSFLPEYIGNASRLLEAVLQNANTINIFIERRGIEALLKLFTVQVVPTSDSVSQSISNAFKKFSSQNSATLTRAICSFIKEHLKFTNELLSSVSGTKVVEIEHLKQTEVLKCLSSLMGLLSLSTNLLKGSSTMNSELGSADADILKELAKAYKEVIWQISLCSDSSDGQRAANQEIGNVDASASASDITGRDGDDDGNTVPVVQYMNTVSIRNSFASRWRTERDLSSIPHSSGTLHRHARHSLSRARGGRIYRQLDPSQTDSEGSASTLENYHIQDVKSKSADFVVPELLRKLGLAIRSFLVTIVKGLSARRGDSSSLSLFSKNLVTAVAKLFLDALSYPGHSTPGLELTLSVKCRYLGKVVEDIVAIIVDKRRTCSTALVNSFYVNGTFKELLTTFEATSQLLWTLPFSILAATTDQGSFSVEKVSHRSWLLDTLQTYCCLLAYHVNSSLLLSSASSSDIQLLVQPVAAGLSIGLFPVPRDPELFVRMLQSQVLDVILPIWNHPMFPNCSPSFITSVNSILTYIYLGVGHVKHGRNDIIGSTGRRFTSPSLDESAISTIVEMGFSRARAEEALRSVGANSVEMAMDWLFSHPEEIVQEDVQLAQALALSLGNTFESSKEDNNEMTINAYLENKQEAPPVDDVLALSVKLLHCSDVMVFSLTDLFLALCRRNNSEDRPRVVLFLVQQLKFCPSDFSDDTGALCPISHILSLLLHEDSSTRESAAENGLILVVLDILSKFKSRNESRYGTAATKAVSSLLLVVDNMAQSRPKFISGAADGAGKSLSDLSGAGMSFANSTAITDKESAVDDCEKESSDIFEKILGKSTGFLTLEESQRALSIACEFIKQHVPAMVMQAVLQLSARLTKTHALASQFLESGGLAALFGLPKSCVFPGFDSLVSVIVRHLIEDAQTLQTAMELEMKQTLVGTHSRHAGRLSPKLFLTSMAPLISRDPAVFMRAAAAICHIESSGGRINIVLRKEKEKDKDKLRTAGNEGGFPSTESIRLPENRLHDTPSKCSRSHKRVPGNLSQVIDQLLEIILSLPSLKKEEEGTSSSVPMEIDEHVVKEKGKSKIGEIIMMDKDNLSERSAWLSKVTFVLKLLTDILLMYTHAVGVLLRRDVEIFQMQGCGQLGVSGHSAILHHILHHLLPLSSERSSETSDELNDKLSEKASWFLVVLCGKSTEGRRRVISEILRALLSFLDEGPNTSKSLLIPDKQLLTFVELINSILSRNSSSSNLPGPGCSPDIAKAMIDGGMARSLSCILQVIDLDHPNAPKVVNLIVKALENLTRAANADQVVKLDGLAKKRSTLPRGRTGDHNAGTENANNDQNENYETTAASQRADQLHPESSHDEMNHDVNQDSRMEQNTRVNVEENQTINPHDGLEFMHEEMEEVGGTPNTNEIGLTFQDDHQNIDDMGYEDEDVGEDIEDDEEDDDQDDEEDVAEDGAALSLADTDMEDHDDNDNGIGDEYNDHMIDGEDGHFPDNPVIEVRWRESLTGLDHLRVLRGPVTASAFIDIAEDPFQGLDSADLYHLHRPFGLDHSRQGSNRTSELSRLDATAFRHPLLMRPSHSVELSTSLWSTNGSSSRDLNSSFAGLGSSPLNIFDATVPSEHAAGAAIFGDRLDGAAHPHLIDFSRGMDFSHITSRRGPGDNRWTDDGQPQAGGHASAIAQAVEDQFLAHLRAAISVDNPQAMGKSECANQVNRQPQLLDVNVQALETTNLDTLSTENQQQDSGIISDHQLVNLPLEGYSCPPDSSHEIVVQQVAIAEDSRETTEIRQSIPYNLNITNNNNSETITSMVAVFEVDLSTTALPVMHPVQNATEILDDSHDPEFHNECEPVHSSLGSPSGGQGLISSGSGIQELSDAYAGSAPGSADVHINGLNAVQNQYDDALPSNGGVSVCRNIEVPEQATQANRINSSNEASSTNAIDPTFLEALPVDLRAEVLASQQASQPTQATLAATYAPPPTEEIDPEFLAALPPDIQAEVLAQQQVQRNAQFQQAEGQPVEMDNASIIATFPPELREEVLLTSPEAVLSRLPPALIAEAQMLRDRRNNSRYHYRSTLFGGSHRLDGRRLAANRQTVMDRGVGVTIGRRVLSPNPNTSKVKEVEGTPLLDADAMKALIRLLRLAQPISKGLLQRLLLNLCAHTVTRNILVSHLVDMIRLEADGPGQSIASTSQRLYGCRWDVVYGRPHHSNGLPPFVTRRLLEILTYLAKNNLSVASVLFYFDPSSAVESTLVEYSENKREKGKEKTVVTNTLLDTMETSAKGFSPLILLLKLLDRPLFLRSNVHLEQVMCLLQVVVNNAVSEIDCEPHFGQAPGCSEREAAALTSSDSKHDNLTSEQNLGLEMNPKPSAEASSLSLKSSVNRHDIFLQLPKSDMHNLCHILAHEGLSDKVYSLTAELVKKIALVAIPHRNFFAVELADLANHLSSSAITELLILRNSNVLGLGTGSMAGAPVLRVLQALSVLASVDCNKDVNADEQQSILWNLNKSLEPLWQALSDCISATETQIGQTSSFSFTVPVHDSGVMAGPFSPTQPLPPPAQRLLPYIEAFFLLCEKLQTNQIIGQPDSNVTAREVKESAGSSSSPIHKCTGMGTMTFARIAEKHRRLLNVFIRQNPNLLEKSLSMMLKLPRLIDFDNKRAYFRSRIRQQHDQHFAVPLRISVRRAYVLEDSYNQLRLRSSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVTFDKGALLFTTVGNNSTFQPNPNSAYQTEHLSYFRFVGRLVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSIDADEEKHILYGKNQVTDYELIPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINSFLEGFNELVPRELVSIFNDKELELLLSGLPEIDIDDLQANTEYTGYSAASIVIQWFWEVVKSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGTPKRLPSAHTCFNQLDLPEYSSREQLEERLLLAIHEASEGFGFG >Ma04_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4618765:4644013:1 gene:Ma04_g06280 transcript:Ma04_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKRRVLEVPSHITFFINNVISAAFENIEELLKDFRWEFDKGDFHHWIDLFNHFDSFFEKHIKPRKDLQFEDNFLNADPLFPRDAVLQILRVMRVILDNCTNKHFYSSFEQHLSLLLASTDADIVEASLQTLAAFLKKTVGKCYIRDASLRLKLFALSQGWGGKEDGLGLVACSLPNGCDSVAYDIGSTLHFEFYSVGESSKNSHTTEYVNQGWQVIHLPGISNDNEDVLQIMQKLVRSYNIPSNLCFSLSTRLRFAKAFGSLTARRQFIRIRLYAFNLLVQASNNADDLATFFNNAPEFIGEMLSLLGYEDEIPEDIRILGIQSLVALHQDRSYQPMVLSSVSAGGHRGTLPSLMQKAVDSVTSGSMTFSIVFAEALLSLISILVSSTPGSLALQEGGFIPSILPLLKDTSAQHLHLVSTAVRVIEGFLDYHNPSLALFRDLGGLDNAIARLKVEVTHVERGANDTGEKLLQDSKGKQIISSLSELERQSFSSESMVTHDRRSLIKALLRTISLATYVPGSSTRVDGSEESLLPTCLSIIFRRAKEFGGGVFSLAANVMSDIIHKDPTCFSVLAAADVPGAFLDAIDSGVPCSSEAISCIPQCLDALCLNNTGLQLVKNCNALRCLIKIFTSASYLRALNDQSLEVLSNGLDELMRHSSLLRASGVDVLIEILNTILRYGSCSESYSTESECSSVRLPVEINLERDSISLGKGEMSVTGNSEQLNETSFDGTSLISGSFLPEYIGNASRLLEAVLQNANTINIFIERRGIEALLKLFTVQVVPTSDSVSQSISNAFKKFSSQNSATLTRAICSFIKEHLKFTNELLSSVSGTKVVEIEHLKQTEVLKCLSSLMGLLSLSTNLLKGSSTMNSELGSADADILKELAKAYKEVIWQISLCSDSSDGQRAANQEIGNVDASASASDITGRDGDDDGNTVPVVQYMNTVSIRNSFASRWRTERDLSSIPHSSGTLHRHARHSLSRARGGRIYRQLDPSQTDSEGSASTLENYHIQDVKSKSADFVVPELLRKLGLAIRSFLVTIVKGLSARRGDSSSLSLFSKNLVTAVAKLFLDALSYPGHSTPGLELTLSVKCRYLGKVVEDIVAIIVDKRRTCSTALVNSFYVNGTFKELLTTFEATSQLLWTLPFSILAATTDQGSFSVEKVSHRSWLLDTLQTYCCLLAYHVNSSLLLSSASSSDIQLLVQPVAAGLSIGLFPVPRDPELFVRMLQSQVLDVILPIWNHPMFPNCSPSFITSVNSILTYIYLGVGHVKHGRNDIIGSTGRRFTSPSLDESAISTIVEMGFSRARAEEALRSVGANSVEMAMDWLFSHPEEIVQEDVQLAQALALSLGNTFESSKEDNNEMTINAYLENKQEAPPVDDVLALSVKLLHCSDVMVFSLTDLFLALCRRNNSEDRPRVVLFLVQQLKFCPSDFSDDTGALCPISHILSLLLHEDSSTRESAAENGLILVVLDILSKFKSRNESRYGTAATKAVSSLLLVVDNMAQSRPKFISGAADGAGKSLSDLSGAGMSFANSTAITDKESAVDDCEKESSDIFEKILGKSTGFLTLEESQRALSIACEFIKQHVPAMVMQAVLQLSARLTKTHALASQFLESGGLAALFGLPKSCVFPGFDSLVSVIVRHLIEDAQTLQTAMELEMKQTLVGTHSRHAGRLSPKLFLTSMAPLISRDPAVFMRAAAAICHIESSGGRINIVLRKEKEKDKDKLRTAGNEGGFPSTESIRLPENRLHDTPSKCSRSHKRVPGNLSQVIDQLLEIILSLPSLKKEEEGTSSSVPMEIDEHVVKEKGKSKIGEIIMMDKDNLSERSAWLSKVTFVLKLLTDILLMYTHAVGVLLRRDVEIFQMQGCGQLGVSGHSAILHHILHHLLPLSSERSSETSDELNDKLSEKASWFLVVLCGKSTEGRRRVISEILRALLSFLDEGPNTSKSLLIPDKQLLTFVELINSILSRNSSSSNLPGPGCSPDIAKAMIDGGMARSLSCILQVIDLDHPNAPKVVNLIVKALENLTRAANADQVVKLDGLAKKRSTLPRGRTGDHNAGTENANNDQNENYETTAASQRADQLHPESSHDEMNHDVNQDSRMEQNTRVNVEENQTINPHDGLEFMHEEMEEVGGTPNTNEIGLTFQDDHQNIDDMGYEDEDVGEDIEDDEEDDDQDDEEDVAEDGAALSLADTDMEDHDDNDNGIGDEYNDHMIDGEDGHFPDNPVIEVRWRESLTGLDHLRVLRGPVTASAFIDIAEDPFQGLDSADLYHLHRPFGLDHSRQGSNRTSELSRLDATAFRHPLLMRPSHSVELSTSLWSTNGSSSRDLNSSFAGLGSSPLNIFDATVPSEHAAGAAIFGDRLDGAAHPHLIDFSRGMDFSHITSRRGPGDNRWTDDGQPQAGGHASAIAQAVEDQFLAHLRAAISVDNPQAMGKSECANQVNRQPQLLDVNVQALETTNLDTLSTENQQQDSGIISDHQLVNLPLEGYSCPPDSSHEIVVQQVAIAEDSRETTEIRQSIPYNLNITNNNNSETITSMVAVFEVDLSTTALPVMHPVQNATEILDDSHDPEFHNECEPVHSSLGSPSGGQGLISSGSGIQELSDAYAGSAPGSADVHINGLNAVQNQYDDALPSNGGVSVCRNIEVPEQATQANRINSSNEASSTNAIDPTFLEALPVDLRAEVLASQQASQPTQATLAATYAPPPTEEIDPEFLAALPPDIQAEVLAQQQVQRNAQFQQAEGQPVEMDNASIIATFPPELREEVLLTSPEAVLSRLPPALIAEAQMLRDRRNNSRYHYRSTLFGGSHRLDGRRLAANRQTVMDRGVGVTIGRRVLSPNPNTSKVKEVEGTPLLDADAMKALIRLLRLAQPISKGLLQRLLLNLCAHTVTRNILVSHLVDMIRLEADGPGQSIASTSQRLYGCRWDVVYGRPHHSNGLPPFVTRRLLEILTYLAKNNLSVASVLFYFDPSSAVESTLVEYSENKREKGKEKTVVTNTLLDTMETSAKGFSPLILLLKLLDRPLFLRSNVHLEQVMCLLQVVVNNAVSEIDCEPHFGQAPGCSEREAAALTSSDSKHDNLTSEQNLGLEMNPKPSAEASSLSLKSSVNRHDIFLQLPKSDMHNLCHILAHEGLSDKVYSLTAELVKKIALVAIPHRNFFAVELADLANHLSSSAITELLILRNSNVLGLGTGSMAGAPVLRVLQALSVLASVDCNKDVNADEQQSILWNLNKSLEPLWQALSDCISATETQIGQTSSFSFTVPVHDSGVMAGPFSPTQPLPPPAQRLLPYIEAFFLLCEKLQTNQIIGQPDSNVTAREVKESAGSSSSPIHKCTGMGTMTFARIAEKHRRLLNVFIRQNPNLLEKSLSMMLKLPRLIDFDNKRAYFRSRIRQQHDQHFAVPLRISVRRAYVLEDSYNQLRLRSSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVTFDKGALLFTTVGNNSTFQPNPNSAYQTEHLSYFRFVGRLVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSIDADEEKHILYGKNQVTDYELIPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINSFLEGFNELVPRELVSIFNDKELELLLSGLPEIDIDDLQANTEYTGYSAASIVIQWFWEVVKSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGTPKRLPSAHTCFNQLDLPEYSSREQLEERLLLAIHEASEGFGFG >Ma09_p28380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39159535:39163080:-1 gene:Ma09_g28380 transcript:Ma09_t28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQSSERGPVVAIECVAGSSKAEEWGGDMLQTGDVVEEIKIGGSPAVHSPFKGGRSGVQKLLHSAFKRGDTSIEVRVQRCGGEAAELQACIVPHSPAGRRQYVLRSIRDPNYAVGFVDRMVSECVALQGSRSSRVVCALSTAKVQDGYVPYNWEKKMKEFLPVPNSSCFLSMLVLPKALDLVASRYNCLEDTLARANTWLFASQASGVPIEFMNVQTEALLTKISGETASATVNSGSLSDLSNLANVSLYGFEDYHGVDIGVVRAVRLWFTPAAGELAVDIKLQEGDTKLGFAISCTEEGFIYISSVDDTDDEAASTRSGLRDMFRQARNADKLLVISRVSNEKVLPWMVSSAGAIRCFDTISISQKLSLHRHALKPIRIHVLMWEQPSAYEFAEYKAAAPISLPLPPSTADAFETGFERDTAGDVSFRFNEISRSNGWV >Ma06_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2394851:2397224:-1 gene:Ma06_g03230 transcript:Ma06_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISFSFPEVDDDAKDESSKAILNDNVRPAQRSLSFKGRDANPSTVKTLQPGKLVVKGSLSFNNTRQMRPYHFETMISLVNPTTEDDNSKSSNEPALSRFTVLKDKPKHEAAVKLQKVYKSFRTRRQLADCAVIVEQQWWKLIDFALLKLSSVSFFDIEKEESAVSRWSRARTRAAKVGKGLSKDQKAQKLALQHWLEAIDPRHRYGHNLHFYYDYWLHSESRQPFFYCIWQAVWPHSGHYQPTEENFEEFMTFLQEIGIDLTDVRRSPTEGDDLPWRGHRSSYSDINLPDDITIDPQPEEQLSHASTGEISNDARTGSSNDDLQRKGVLRDTTEEAGEETNNPRESKSISSEEEKPEGCERDFSDAAQQKEEETSIPSELILRRINSKKGLKSYQLGKQLSFKWATGTGPRIACVRDYPPELQCRALEQVNLSPRTAATSRFASPRTTASQSPKMSN >Ma08_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34818322:34818723:-1 gene:Ma08_g20740 transcript:Ma08_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISRPVRTGRRTRAAAPRPPPPPPVSPLLQPPRVLQRPVPQQAPLCRHHQHRTQLRYLQARRAQPERVRRRVIRLRPRRNRQYGRSAPGSALRPGGTPPRTLSAALRQKGAAVGRTRAPGPVPAWRGLRAS >Ma07_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28859572:28874398:1 gene:Ma07_g20850 transcript:Ma07_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAVAATAALATLGLTKPADIEIPQIAFTVKEMDFSEWKGDLLAVAVSEKDTKKDSDSKFQNVFLKRLDDKIGGLLAEAVTEEDFTGKAGQSTFLRLPGLGFKRLGLVGLGSSSSTAAAYRGIGEAVAGVAKAAQANSVAVCLASSDGISEELKINAASAIASGTILGVYEDNRFRSDSKKPLLKTVDVIGFGSGTVVDQKLKYATDVCSGVIFGKELVNAPANVLTPGALAEEASKIATLYSDVLTATILDAEQCKELKMGSYLGVAAASSNPPHFIHLCYKPPNGDVKRKLAIVGKGLTFDSGGYNIKTGPGCSIETMKVDMGGAAAAFGAAKSLAQIKPPGVEVHFISAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGIDKVVDLATLTGACIVALGPSIAGFYSPSDDLAKEIVTASEVTGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVNEKVQWLHIDMAGPVWNDKKRAATGFGVSTLVEWVMKNS >Ma04_p03480.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2678445:2689592:-1 gene:Ma04_g03480 transcript:Ma04_t03480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDESMHSGADVEALSAALNRDIGGDPAALARPPESDTGVSMLGSSSASKQVLGQWQTSSEVENEQQIQQKEQKRHLQSSEQHSSGGELIQAGSVSQPQDEQINNQPQHDCPTIQQEASHSDDLQRQPEANLLEKEQHSENQQQHIVQQSNSQQIPTSNQANMAMRRTKAASSIPFHLLIPILRPHLDKDRSMQLQAIFAKLRNNEVSKEDFLRVIRNIVGDQMLRQAAQKVQVQLQAQAARGAQTNTNSFSLQSQASSQQLASSVPPQITGAQSFPALHSIPSSQSLKVTGSPPHQPYVPPLTFQAQPGTGLTAPDNSTQKPREVETKSDGKGAQSVQNYTSNTNITNPERDVSMVSLQPVNKQQHHAQLPQSSFSVSGATSSYNTHAYPRPSMSSSTSIRPQNLDSHARQVSVTPGAVSTQLRPTQSVSVINVPKYEQNPANEAKRQQVGSVTASQHNPIAWQLSANKDQKGNTFPSMAVKQELVDQSSEPPNKSHFASSESTLFGSAHVNQGNHALGSSSTTGTTQISGSVPSQVDQIVQLHSHISSATPPLGGATAKTPSKKPSVGQKKLFEAPGSSPPMPSKKQKTSGTSLDQSIEQLNDVTAVSGVNLREEEEQLLSGLKEESRASEATRRIVQEEEERLLLLKAPLQRKLSDIMLKCGLKNIGGDVERCLSMCVEERLKGLISYLIRLSKQRVDIEKSRHRFVITSDVRRQILLANQKTKEEWDKKQAEESEKLRKVNEMDGNTGVDAEKDKEDGRPKALKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGLDGAPGKTASSKPLLSLGRSSREKQESEKKGSSAVSASGGTRRFGRKNALESHPKVARNVSLKDVIAALEREPQMSKSALIYRLYERLSSNSSAT >Ma04_p03480.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2678445:2689592:-1 gene:Ma04_g03480 transcript:Ma04_t03480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDESMHSGADVEALSAALNRDIGGDPAALARPPESDTGVSMLGSSSASKQVLGQWQTSSEVENEQQIQQKEQKRHLQSSEQHSSGGELIQAGSVSQPQDEQINNQPQHDCPTIQQEASHSDDLQRQPEANLLEKEQVKKPEQNTIQASDIVEGRKQEVAQHSENQQQHLTQQSNSQQIASANIANMAVQHSENQQQHIVQQSNSQQIPTSNQANMAMRRTKAASSIPFHLLIPILRPHLDKDRSMQLQAIFAKLRNNEVSKEDFLRVIRNIVGDQMLRQAAQKVQVQLQAQAARGAQTNTNSFSLQSQASSQQLASSVPPQITGAQSFPALHSIPSSQSLKVTGSPPHQPYVPPLTFQAQPGTGLTAPDNSTQKPREVETKSDGKGAQSVQNYTSNTNITNPERDVSMVSLQPVNKQQHHAQLPQSSFSVSGATSSYNTHAYPRPSMSSSTSIRPQNLDSHARQVSVTPGAVSTQLRPTQSVSVINVPKYEQNPANEAKRQQVGSVTASQHNPIAWQLSANKDQKGNTFPSMAVKQELVDQSSEPPNKSHFASSESTLFGSAHVNQGNHALGSSSTTGTTQISGSVPSQVDQIVQLHSHISSATPPLGGATAKTPSKKPSVGQKKLFEAPGSSPPMPSKKQKTSGTSLDQSIEQLNDVTAVSGVNLREEEEQLLSGLKEESRASEATRRIVQEEEERLLLLKAPLQRKLSDIMLKCGLKNIGGDVERCLSMCVEERLKGLISYLIRLSKQRVDIEKSRHRFVITSDVRRQILLANQKTKEEWDKKQAEESEKLRKVNEMDGNTGVDAEKDKEDGRPKALKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGLDGAPGKTASSKPLLSLGRSSREKQESEKKGSSAVSASGGTRRFGRKNALESHPKVARNVSLKDVIAALEREPQMSKSALIYRLYERLSSNSSAT >Ma04_p03480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2678445:2689592:-1 gene:Ma04_g03480 transcript:Ma04_t03480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDESMHSGADVEALSAALNRDIGGDPAALARPPESDTGVSMLGSSSASKQVLGQWQTSSEVENEQQIQQKEQKRHLQSSEQHSSGGELIQAGSVSQPQDEQINNQPQHDCPTIQQEASHSDDLQRQPEANLLEKEQHSENQQQHIVQQSNSQQIPTSNQANMAMRRTKAASSIPFHLLIPILRPHLDKDRSMQLQAIFAKLRNNEVSKEDFLRVIRNIVGDQMLRQAAQKVQVQLQAQAARGAQTNTNSFSLQSQASSQQLASSVPPQITGAQSFPALHSIPSSQSLKVTGSPPHQPYVPPLTFQAQPGTGLTAPDNSTQKPREVETKSDGKGAQSVQNYTSNTNITNPERDVSMVSLQPVNKQQHHAQLPQSSFSVSGATSSYNTHAYPRPSMSSSTSIRPQNLDSHARQVSVTPGAVSTQLRPTQSVSVINVPKYEQNPANEAKRQQVGSVTASQHNPIAWQLSANKDQKGNTFPSMAVKQELVDQSSEPPNKSHFASSESTLFGSAHVNQGNHALGSSSTTGTTQISGSVPSQVDQIVQLHSHISSATPPLGGATAKTPSKKPSVGQKKLFEAPGSSPPMPSKKQKTSGTSLDQSIEQLNDVTAVSGVNLREEEEQLLSGLKEESRASEATRRIVQEEEERLLLLKAPLQRKLSDIMLKCGLKNIGGDVERCLSMCVEERLKGLISYLIRLSKQRVDIEKSRHRFVITSDVRRQILLANQKTKEEWDKKQAEESEKLRKVNELQMDGNTGVDAEKDKEDGRPKALKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGLDGAPGKTASSKPLLSLGRSSREKQESEKKGSSAVSASGGTRRFGRKNALESHPKVARNVSLKDVIAALEREPQMSKSALIYRLYERLSSNSSAT >Ma04_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2678445:2689592:-1 gene:Ma04_g03480 transcript:Ma04_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDESMHSGADVEALSAALNRDIGGDPAALARPPESDTGSSSASKQVLGQWQTSSEVENEQQIQQKEQKRHLQSSEQHSSGGELIQAGSVSQPQDEQINNQPQHDCPTIQQEASHSDDLQRQPEANLLEKEQVKKPEQNTIQASDIVEGRKQEVAQHSENQQQHLTQQSNSQQIASANIANMAVQHSENQQQHIVQQSNSQQIPTSNQANMAMRRTKAASSIPFHLLIPILRPHLDKDRSMQLQAIFAKLRNNEVSKEDFLRVIRNIVGDQMLRQAAQKVQVQLQAQAARGAQTNTNSFSLQSQASSQQLASSVPPQITGAQSFPALHSIPSSQSLKVTGSPPHQPYVPPLTFQAQPGTGLTAPDNSTQKPREVETKSDGKGAQSVQNYTSNTNITNPERDVSMVSLQPVNKQQHHAQLPQSSFSVSGATSSYNTHAYPRPSMSSSTSIRPQNLDSHARQVSVTPGAVSTQLRPTQSVSVINVPKYEQNPANEAKRQQVGSVTASQHNPIAWQLSANKDQKGNTFPSMAVKQELVDQSSEPPNKSHFASSESTLFGSAHVNQGNHALGSSSTTGTTQISGSVPSQVDQIVQLHSHISSATPPLGGATAKTPSKKPSVGQKKLFEAPGSSPPMPSKKQKTSGTSLDQSIEQLNDVTAVSGVNLREEEEQLLSGLKEESRASEATRRIVQEEEERLLLLKAPLQRKLSDIMLKCGLKNIGGDVERCLSMCVEERLKGLISYLIRLSKQRVDIEKSRHRFVITSDVRRQILLANQKTKEEWDKKQAEESEKLRKVNELQMDGNTGVDAEKDKEDGRPKALKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGLDGAPGKTASSKPLLSLGRSSREKQESEKKGSSAVSASGGTRRFGRKNALESHPKVARNVSLKDVIAALEREPQMSKSALIYRLYERLSSNSSAT >Ma04_p03480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2678445:2689592:-1 gene:Ma04_g03480 transcript:Ma04_t03480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDESMHSGADVEALSAALNRDIGGDPAALARPPESDTGVSMLGSSSASKQVLGQWQTSSEVENEQQIQQKEQKRHLQSSEQHSSGGELIQAGSVSQPQDEQINNQPQHDCPTIQQEASHSDDLQRQPEANLLEKEQVKKPEQNTIQASDIVEGRKQEVAQHSENQQQHLTQQSNSQQIASANIANMAVQHSENQQQHIVQQSNSQQIPTSNQANMAMRRTKAASSIPFHLLIPILRPHLDKDRSMQLQAIFAKLRNNEVSKEDFLRVIRNIVGDQMLRQAAQKVQVQLQAQAARGAQTNTNSFSLQSQASSQQLASSVPPQITGAQSFPALHSIPSSQSLKVTGSPPHQPYVPPLTFQAQPGTGLTAPDNSTQKPREVETKSDGKGAQSVQNYTSNTNITNPERDVSMVSLQPVNKQQHHAQLPQSSFSVSGATSSYNTHAYPRPSMSSSTSIRPQNLDSHARQVSVTPGAVSTQLRPTQSVSVINVPKYEQNPANEAKRQQVGSVTASQHNPIAWQLSANKDQKGNTFPSMAVKQELVDQSSEPPNKSHFASSESTLFGSAHVNQGNHALGSSSTTGTTQISGSVPSQVDQIVQLHSHISSATPPLGGATAKTPSKKPSVGQKKLFEAPGSSPPMPSKKQKTSGTSLDQSIEQLNDVTAVSGVNLREEEEQLLSGLKEESRASEATRRIVQEEEERLLLLKAPLQRKLSDIMLKCGLKNIGGDVERCLSMCVEERLKGLISYLIRLSKQRVDIEKSRHRFVITSDVRRQILLANQKTKEEWDKKQAEESEKLRKVNELQMDGNTGVDAEKDKEDGRPKALKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGLDGAPGKTASSKPLLSLGRSSREKQESEKKGSSAVSASGGTRRFGRKNALESHPKVARNVSLKDVIAALEREPQMSKSALIYRLYERLSSNSSAT >Ma04_p03480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2678445:2689592:-1 gene:Ma04_g03480 transcript:Ma04_t03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDESMHSGADVEALSAALNRDIGGDPAALARPPESDTGVSMLGSSSASKQVLGQWQTSSEVENEQQIQQKEQKRHLQSSEQHSSGGELIQAGSVSQPQDEQINNQPQHDCPTIQQEASHSDDLQRQPEANLLEKEQHSENQQQHLTQQSNSQQIASANIANMAVQHSENQQQHIVQQSNSQQIPTSNQANMAMRRTKAASSIPFHLLIPILRPHLDKDRSMQLQAIFAKLRNNEVSKEDFLRVIRNIVGDQMLRQAAQKVQVQLQAQAARGAQTNTNSFSLQSQASSQQLASSVPPQITGAQSFPALHSIPSSQSLKVTGSPPHQPYVPPLTFQAQPGTGLTAPDNSTQKPREVETKSDGKGAQSVQNYTSNTNITNPERDVSMVSLQPVNKQQHHAQLPQSSFSVSGATSSYNTHAYPRPSMSSSTSIRPQNLDSHARQVSVTPGAVSTQLRPTQSVSVINVPKYEQNPANEAKRQQVGSVTASQHNPIAWQLSANKDQKGNTFPSMAVKQELVDQSSEPPNKSHFASSESTLFGSAHVNQGNHALGSSSTTGTTQISGSVPSQVDQIVQLHSHISSATPPLGGATAKTPSKKPSVGQKKLFEAPGSSPPMPSKKQKTSGTSLDQSIEQLNDVTAVSGVNLREEEEQLLSGLKEESRASEATRRIVQEEEERLLLLKAPLQRKLSDIMLKCGLKNIGGDVERCLSMCVEERLKGLISYLIRLSKQRVDIEKSRHRFVITSDVRRQILLANQKTKEEWDKKQAEESEKLRKVNELQMDGNTGVDAEKDKEDGRPKALKANKEEDDKMRATAANVAARAAVGGDDMLSKWQLMAEQARQKREGLDGAPGKTASSKPLLSLGRSSREKQESEKKGSSAVSASGGTRRFGRKNALESHPKVARNVSLKDVIAALEREPQMSKSALIYRLYERLSSNSSAT >Ma02_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20580052:20583196:-1 gene:Ma02_g11430 transcript:Ma02_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPSPSATPPSTSPPPPTSLSPPPPSNSPPPAPNAPPPTPPSPPPASSPPPTPPPPADVPPPPVVTSPPPPDPPPPSVSPPPPALSPPPASTPPPPPSASPPPPPPPSATPPPTNSPPPPPSATPPPTNSPPPPPSATPPPTISPPPPGSQSPPAPAPSNSTGGTPPAPPKPPSPPSPSPSASHRAPADPISPSSNGSGIPTSDVGQKSGQSSGDGGMKAGPAVAVALVTAVVLLGLLGAITWIVRRKRRKPPANYESGFAMSSPYQSSVMSESSHQRSPSAPLVHHHNHHKSGSLASESMVASTIGSATSWFSYEELYEITNGFSPQNILGEGGFGCVYKGCLSDGREVAVKQLKVGSGQGEREFKAEVEIISRVHHRHLVSLVGYCISDNQRLLVYDYVPNGTLESHLHGKGGPAMDWATRVKVAAGSARGIAYLHEDCHPRIIHRDIKTSNILLDNKFEAQVSDFGLARLAMDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDGTRPLGDESLVEWARPLLAHAIETGEFGELPDSRLEDAYDDTEMFRMIEAAAACTRHSAAMRPRMGKVVRVLDSLSDVDLHNGVKPGQSEVFNVGQTADIRLFQRLAFGSQEFSSEYSQSNWSRQSEL >Ma11_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24436214:24437070:-1 gene:Ma11_g19660 transcript:Ma11_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLLEGSRCLINHFQAPVLPTHTATEREKKSLWFICIQASTCTGQIEAFFRPYLH >Ma11_p04030.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3120074:3132986:1 gene:Ma11_g04030 transcript:Ma11_t04030.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEGLDETVIKDCEQIMRIEFAEYHKQLKLRYEEHLTSIGTFHQQLEEVDVEDAATREGQLQLPKYDYSSSGREKFNEYSTTESSDDVEKQELDELSDEEEVSFFDTNECFGDPIVTCAAEVTSSNVSNRISGFNTNHCDAKIMDVELQPDYPNMLLHIPRRKKLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVFFNEPLSSLQKCFEDLEYSDLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSCDGRPCKPFNPLLGETYEADYPDKGIRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNIRGNQQYSCKLKFKEQSLLDRNPRQVQGLVEDAKGTKVATLVGKWDDSMCCSFGDEVLKSKSSFLTENSTLLWARNKPPPDPTRYNLTSFAITLNEITSDLKGKLPPTDSRLRPDQRYLENGEYEKANSEKLRLERRQRMSRKLQENGWKPRWFRRDSEDGTFRYIGGYWEAREQMEWDDCMDIFGEF >Ma11_p04030.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3119949:3132986:1 gene:Ma11_g04030 transcript:Ma11_t04030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTILGCSGISSFRESKTDDRRFYIVSPTKTLHLRTYSSIDRVAWIQALILATKEISINRGVSFMQNDVLISTEKLRDRMQAEGLDETVIKDCEQIMRIEFAEYHKQLKLRYEEHLTSIGTFHQQLEEVDVEDAATREGQLQLPKYDYSSSGREKFNEYSTTESSDDVEKQELDELSDEEEVSFFDTNECFGDPIVTCAAEVTSSNVSNRISGFNTNHCDAKIMDVELQPDYPNMLLHIPRRKKLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVFFNEPLSSLQKCFEDLEYSDLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSCDGRPCKPFNPLLGETYEADYPDKGIRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNIRGNQQYSCKLKFKEQSLLDRNPRQVQGLVEDAKGTKVATLVGKWDDSMCCSFGDEVLKSKSSFLTENSTLLWARNKPPPDPTRYNLTSFAITLNEITSDLKGKLPPTDSRLRPDQRYLENGEYEKANSEKLRLERRQRMSRKLQENGWKPRWFRRDSEDGTFRYIGGYWEAREQMEWDDCMDIFGEF >Ma11_p04030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3119952:3132986:1 gene:Ma11_g04030 transcript:Ma11_t04030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEGLDETVIKDCEQIMRIEFAEYHKQLKLRYEEHLTSIGTFHQQLEEVDVEDAATREGQLQLPKYDYSSSGREKFNEYSTTESSDDVEKQELDELSDEEEVSFFDTNECFGDPIVTCAAEVTSSNVSNRISGFNTNHCDAKIMDVELQPDYPNMLLHIPRRKKLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVFFNEPLSSLQKCFEDLEYSDLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSCDGRPCKPFNPLLGETYEADYPDKGIRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNIRGNQQYSCKLKFKEQSLLDRNPRQVQGLVEDAKGTKVATLVGKWDDSMCCSFGDEVLKSKSSFLTENSTLLWARNKPPPDPTRYNLTSFAITLNEITSDLKGKLPPTDSRLRPDQRYLENGEYEKANSEKLRLERRQRMSRKLQENGWKPRWFRRDSEDGTFRYIGGYWEAREQMEWDDCMDIFGEF >Ma11_p04030.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3118683:3132986:1 gene:Ma11_g04030 transcript:Ma11_t04030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPREMPHPFCCIALDCPGLGARSRAPDDPPPDPGPSSTVAVAGVLCKWTNIGKGWRHRWFSLGNGVLSYSRIRRRDPPPVPEGDGVRLIGSATAMFAPVTAASSSRPGSGCGCRQPQKPVRVVHLKISSFRESKTDDRRFYIVSPTKTLHLRTYSSIDRVAWIQALILATKEISINRGVSFMQNDVLISTEKLRDRMQAEGLDETVIKDCEQIMRIEFAEYHKQLKLRYEEHLTSIGTFHQQLEEVDVEDAATREGQLQLPKYDYSSSGREKFNEYSTTESSDDVEKQELDELSDEEEVSFFDTNECFGDPIVTCAAEVTSSNVSNRISGFNTNHCDAKIMDVELQPDYPNMLLHIPRRKKLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVFFNEPLSSLQKCFEDLEYSDLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSCDGRPCKPFNPLLGETYEADYPDKGIRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNIRGNQQYSCKLKFKEQSLLDRNPRQVQGLVEDAKGTKVATLVGKWDDSMCCSFGDEVLKSKSSFLTENSTLLWARNKPPPDPTRYNLTSFAITLNEITSDLKGKLPPTDSRLRPDQRYLENGEYEKANSEKLRLERRQRMSRKLQENGWKPRWFRRDSEDGTFRYIGGYWEAREQMEWDDCMDIFGEF >Ma11_p04030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3120074:3132986:1 gene:Ma11_g04030 transcript:Ma11_t04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDVLISTEKLRDRMQAEGLDETVIKDCEQIMRIEFAEYHKQLKLRYEEHLTSIGTFHQQLEEVDVEDAATREGQLQLPKYDYSSSGREKFNEYSTTESSDDVEKQELDELSDEEEVSFFDTNECFGDPIVTCAAEVTSSNVSNRISGFNTNHCDAKIMDVELQPDYPNMLLHIPRRKKLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVFFNEPLSSLQKCFEDLEYSDLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSCDGRPCKPFNPLLGETYEADYPDKGIRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNIRGNQQYSCKLKFKEQSLLDRNPRQVQGLVEDAKGTKVATLVGKWDDSMCCSFGDEVLKSKSSFLTENSTLLWARNKPPPDPTRYNLTSFAITLNEITSDLKGKLPPTDSRLRPDQRYLENGEYEKANSEKLRLERRQRMSRKLQENGWKPRWFRRDSEDGTFRYIGGYWEAREQMEWDDCMDIFGEF >Ma11_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3119972:3132986:1 gene:Ma11_g04030 transcript:Ma11_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSYEYSTCWRLMVLKMRSQISSFRESKTDDRRFYIVSPTKTLHLRTYSSIDRVAWIQALILATKEISINRGVSFMQNDVLISTEKLRDRMQAEGLDETVIKDCEQIMRIEFAEYHKQLKLRYEEHLTSIGTFHQQLEEVDVEDAATREGQLQLPKYDYSSSGREKFNEYSTTESSDDVEKQELDELSDEEEVSFFDTNECFGDPIVTCAAEVTSSNVSNRISGFNTNHCDAKIMDVELQPDYPNMLLHIPRRKKLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVFFNEPLSSLQKCFEDLEYSDLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSCDGRPCKPFNPLLGETYEADYPDKGIRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNIRGNQQYSCKLKFKEQSLLDRNPRQVQGLVEDAKGTKVATLVGKWDDSMCCSFGDEVLKSKSSFLTENSTLLWARNKPPPDPTRYNLTSFAITLNEITSDLKGKLPPTDSRLRPDQRYLENGEYEKANSEKLRLERRQRMSRKLQENGWKPRWFRRDSEDGTFRYIGGYWEAREQMEWDDCMDIFGEF >Ma11_p04030.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3118683:3132986:1 gene:Ma11_g04030 transcript:Ma11_t04030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPREMPHPFCCIALDCPGLGARSRAPDDPPPDPGPSSTVAVAGVLCKWTNIGKGWRHRWFSLGNGVLSYSRIRRRDPPPVPEGDGVRLIGSATAMFAPVTAASSSRPGSGCGCRQPQKPVRVVHLKISSFRESKTDDRRFYIVSPTKTLHLRTYSSIDRVAWIQALILATKEISINRGVSFMQNDVLISTEKLRDRMQAEGLDETVIKDCEQIMRIEFAEYHKQLKLRYEEHLTSIGTFHQQLEEVDVEDAATREGQLQLPKYDYSSSGREKFNEYSTTESSDDVEKQELDELSDEEEVSFFDTNECFGDPIVTCAAEVTSSNVSNRISGFNTNHCDAKIMDVELQPDYPNMLLHIPRRKKLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVFFNEPLSSLQKCFEDLEYSDLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSCDGRPCKPFNPLLGETYEADYPDKGIRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNIRGNQQYSCKLKFKEQSLLDRNPRQVQGLVEDAKGTKVATLVENSTLLWARNKPPPDPTRYNLTSFAITLNEITSDLKGKLPPTDSRLRPDQRYLENGEYEKANSEKLRLERRQRMSRKLQENGWKPRWFRRDSEDGTFRYIGGYWEAREQMEWDDCMDIFGEF >Ma11_p04030.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3118683:3132986:1 gene:Ma11_g04030 transcript:Ma11_t04030.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRPREMPHPFCCIALDCPGLGARSRAPDDPPPDPGPSSTVAVAGVLCKWTNIGKGWRHRWFSLGNGVLSYSRIRRRDPPPVPEGDGVRLIGSATAMFAPVTAASSSRPGSGCGCRQPQKPVRVVHLKISSFRESKTDDRRFYIVSPTKTLHLRTYSSIDRVAWIQALILATKEISINRGVSFMQNDVLISTEKLRDRMQAEGLDETVIKDCEQIMRIEFAEYHKQLKLRYEEHLTSIGTFHQQLEEVDVEDAATREGQLQLPKYDYSSSGREKFNEYSTTESSDDVEKQELDELSDEEEVSFFDTNECFGDPIVTCAAEVTSSNVSNRISGFNTNHCDAKIMDVELQPDYPNMLLHIPRRKKLPEPIEKEKGVSLWSMIKDNVGKDLTRVCLPVFFNEPLSSLQKCFEDLEYSDLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSCDGRPCKPFNPLLGETYEADYPDKGIRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLKSKFWGQSIQVDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNIRGNQQYSCKLKFKEQSLLDRNPRQVQGLVEDAKGTKVATLVGKWDDSMCCSFGDEVLKSKSSFLTENSTLLWARNKPPPDPTRYNLTSFAITLNEITSDLKGKLPPTDSRLRPDQRYLENGEYEKANSEKLRLERRQRMSRKLQENGWKPRWFRRDSEDGTFRYIGGYWEAREQMEWDDCMDIFGEF >Ma06_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3064986:3066783:1 gene:Ma06_g04230 transcript:Ma06_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTPSNKESTANKTKASAALQSDLVELINQHYDSCFKNVTEFDDFYRALHEIMEKLSESKGAIQLKLPSSDALKSAFEKHHPRENKALLKEEFKNIIKDVITFESFSMGKGALETIFFIFGVPICTFFLKRFIPGGARVSDDILIPAVTSGTVILLAKTNKL >Ma06_p06920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4945054:4950539:-1 gene:Ma06_g06920 transcript:Ma06_t06920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDQATSSLHSSSERSSSPPPQMEVKEGLESDEEIRRVPDFGFEPPGPSTSGREATSMAGPDRAQSAAQPVPRRRGRRPADKEHTRLKRLLRNRVSAQQARERKKTYLNDLEAKAKDLEARNTELEERLSTLQNENNMLRQILKNTTVCRKGSNIGATEEGRRSAHQWRSRTALTI >Ma06_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4945131:4950539:-1 gene:Ma06_g06920 transcript:Ma06_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDQATSSLHSSSERSSSPPPQMEVKEGLESDEEIRRVPDFGFEPPGPSTSGREATSMAGPDRAQSAAQPVPRRRGRRPADKEHTRLKRLLRNRVSAQQARERKKTYLNDLEAKAKDLEARNTELEERLSTLQNENNMLRQILKNTTVCRKGSNIGATEEGRRSAHQWRSRTALTI >Ma07_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31900584:31905741:-1 gene:Ma07_g24590 transcript:Ma07_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRETEISGSLSSSQAYWDEPEVSRASAANSSPSDAQSVDDSLKDVDVEVGDTTNGITEKLAAALVSIRSKEDLVKQHAKVAEEALLGWEKSEKESTYLRQQLKTAMQKNTSLEEKLGHLDDALKECVRQLRQSKEEQAEKVQEAIFKKTREWESLESELEARFTELQTQLEAKTESYNSLNHELCSKVETLEKENASLKVKLTALTEDLHVRTLEMDLSIRAAETASKQHLEGIKKMAKLEAECRSLRARIQKPLLSNDHRFICNSLYGESLTDSQSDSGERLFGLENELSVPDSWASALIAELDQFKNEKSSARNLTSPVEIDLMDDFLEMERLAALTEIDYGSSSFEHEADLDVAIPRQRSPRSSPETMRQQMAVLEEKIEKMTIEKGNMETSIAQTNCQLKTSCNQLVVAEGELVELHRQLNLVNGEKHTLEIELEIAEAKRKKMGLELDTAHKEIGDLKHRLNLLEKRVDEEKPLSKKFTGRCQNMEVDAERKEMESELESAYGDHTNLQDNTDFLKVEFEEKRLSAECTYRYQNLGTLEEKRKDLESQIESANLELSKLHEKFDRTENKIEMFCFDNCPSMDAIDEKGTQLEYQTNSANLEVHKLQEKVDLSEAEAEEQVRPSAEFESDIESLEAKKMELVVQLELEHMEVRSLQEKVQILEKQIEKEKRLTAEFAARCHTLEDELSTKQQSETQQSANSTASLNIRQEKEVAQAAGRLAKCQKTIASLNLQLKTLATLDDFLVQTETPESSGKTVELKEDSSEKPGGSSALCNGAQSLPSVDCRLISSPIRSNDLIQTKYICH >Ma10_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31349947:31351791:-1 gene:Ma10_g21650 transcript:Ma10_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQVNREEEVSREEERAKREAMSLEEIGHHRATAQQNSIESIRAAEERYEKAKHAGLATVHHAKETVVHGLGAAGAYAAAKGTEAKDYAGEKARGAAEVAAQKAAAAKDVTIEKGRQGLEVAKDTAAQAAAKSKDVAVSAGETAADYAKQAAVKAKDVTVGTGETAMEYAKQTAAKTKDVTVYTGQTAADYVKQAAMKTKDVTAGAGETAIDYAKQAVEKAKDVTISTGQTAAEFAQLAAAKTKDATLSAAEYAREKAVGAKDTAAETTQRTTEEVKETAKAMGQGAMEKAEEAKEAAKDTGGRAMGYTSNKAEEAMEAARAMARKAVEKAREAREAAKGTGEEAMRKARAKAEEAIEAAKRALEYAAFKGGDEQEKTREYTAEKASEAKKVKAGEGGGGGEETKPAKIPAAVIEVTMQEAIDSTAAAGELLETIGVAVTEIAMSTADMIIGLEAEEKRGE >Ma03_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24847917:24850047:1 gene:Ma03_g19560 transcript:Ma03_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAVEVVVVDISVARITAWNSEQLPIYEPGLDDVVKQCRGRNLFFSTDIEKHIARADIIFVSVNTPTKTRGLGAGKAADLTYWENAARMIADVAKADKIVVEKSTVPVKTAEAIEKILIHNSRGVNFQILSNPEFLAEGTAIKDLFNPDRVLIGGRETPEGKKAVQALKQVYANWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVAEVAYAIGKDTRVGPRFLSASVGFGGSCFQKDILNLVYICECHGLPEVANYWKDVIKINDYQKSRFVNRVVSSMFNTVSEKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKVCIYDPQVTEEQIQRDLALNKFDWDHPVHLQPMSATEVKPVAVTRDAYEATKGAHGVCILTEWDEFKKLDYVRIYESMQKPAFMFDGRNVVDPQKMREIGFIVYSIGKPLDSWLVDRPAVV >Ma05_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6137816:6138460:-1 gene:Ma05_g08260 transcript:Ma05_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDDSSTLRDDALEHPLLEVIFMLPECDLIFHPSFSNLLVPQRWLREVEHMLDYVRRHESFDFGIFHDGIFFLTYEADREFSRCSRVTIEVNIDQDDGPPSTQVDGGEVMVMMQRVSDVSEVTADPSHQRGFGAVPASTASIKSLKTLTVGAADGVTAGRECSVCLENMGRGEKVCRMPCSHVFHATCLRQWLQLSRLCPLCRFSLTADDGD >Ma11_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9042907:9050005:1 gene:Ma11_g09790 transcript:Ma11_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAERLSSSVASSSCCCLLPTSKRAAMPSSATLPPLPHRFSSSSSRPSHRLASYLLTSSSSSLRLLRRPGIHPRRTMLVLCASSDPAQLRSAREDIKELLKTTFCHPILVRLGWHDAGTYDKNVVEWPKCGGANGSLRFEIELNHAANAGLVNALKLIQPIKDKYSSVTYADLFQLASATAIEEAGGPQIPMRYGRVDVSGPEQCPDEGRLPDAGPSSPADHLRDVFYRMGLDDKEIVALSGAHTLGRARPERSGWGKPETRYTKDGPGAPGGQSWTVQWLKFDNSYFKDIMERKDEELLVLPTDAVLLEDPSFKIYATKYTMDQDAFFKDYAEAHGKLSNLGAKFDPPEGISIEYDSKTVAAEPFVAAKYSYGERKKELSDAMKQKIRAEYEALGGSPDTPLKSNYFLNIMILIAGLAFLTSLVMN >Ma05_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6483701:6484635:-1 gene:Ma05_g08820 transcript:Ma05_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVSSSWTEEQNKMFEDALAKYDKDTPDRWNKVARAVRGKTVEEVKRHYELLVEDIGRIENGHMPYGKYLSTSRRAG >Ma05_p08820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6483701:6484970:-1 gene:Ma05_g08820 transcript:Ma05_t08820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPCVEVRGKGGKLYGKEEVSSSWTEEQNKMFEDALAKYDKDTPDRWNKVARAVRGKTVEEVKRHYELLVEDIGRIENGHMPYGKYLSTSRRAG >Ma05_p08820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6483701:6484970:-1 gene:Ma05_g08820 transcript:Ma05_t08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIPCVEVRGKGGKLYGKEEVSSSWTEEQNKMFEDALAKYDKDTPDRWNKVARAVRGKTVEEVKRHYELLVEDIGRIENGHMPYGKYLSTSRRG >Ma03_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1415545:1417370:1 gene:Ma03_g02070 transcript:Ma03_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPLPEFSSSVKLKYVKLGYQYLVNNFVTLLLIPVMAAVYLELVRMGPEEIMGLWRSLRLDLIQILCSFFVIIFAATVYLTSRPQPVYLVDYACFKPPTTCRVPFSTFMEHTRLISSDEKSVRFQMRILERSGLGEETCLPPANHYIPPRPTMEASRAETQLVIFSAIDDLVRKTGLRPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNVRSFNLSGMGCSAGLISVDLARDLLQVHRSSNALVVSTEIITPNFYAGNQRSMLLPNCLFRMGAAAILLSNRRRDARRAKYRLVHVVRTHKGADDRAYRCVYQEEDAEGHSGISLSKDLMAIAGEALKSNITTIGPLVLPMSEQLLFFLTLVGRKLINPKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLELSAEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKTPVDGPWSDCIDRYPVDIPEIVRL >Ma07_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8515886:8518292:1 gene:Ma07_g11470 transcript:Ma07_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQNMVMQEQPTLAIVSAAAITESSSPFFRPPLRATDASAAASYARLRKLLSRTDLGGGGGGGAGRASSWIESMKALPPSQLSSAAACTAPVLASHSEDYDGWVKRHPSALRNFDELMAASKGKQIVMFLDYDGTLSPIVEDPDRAYMSDEMREAVRDAASLFPTAIVSGRCREKVSSFVKLSELYYAGSHGMDIKGPNDGPKHTKAKAKAILFQPASEFLPMIDEVYKSLVDSTKSIPGSRVENNKFCLSVHFRCVDAKKWSLLDERVKTVIKDYPKLRLTKGRKVLEIRPTIKWDKGKALEFLLESLGFDDCNDVLPLYIGDDRTDEDAFKVLRDRGQGYGILVSKIAKETSASFSLREPAEVLEFLCRLVEWKQFHADGK >Ma09_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11956019:11967454:1 gene:Ma09_g16580 transcript:Ma09_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMASSQCCANPPTLSPACGDGIVVDDLGGVRAYTAGSPNSKLAVLLISDIFGFEAPNLRKIADKIATSGFFVVVPNLLYDDPYSADNPERPISIWIQSHSPDKGCQDAKPIIAALKNKGISAVGAAGFCWGGKVVVELAKSSEIEAAVLCHPSFVNIDDMKGVKCPISILGAENDHISPPELLKQFEQALSLTSEERHIVKIFPGVAHGWTVKHKADDAAAVKRAQEAHQDMLNWFTQHVKKEYVN >Ma11_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25295358:25295903:1 gene:Ma11_g20950 transcript:Ma11_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTQWVNSLLGSRGSVSAGDGKPQGWLSGAWFGNKKDEEAENKGAGGKSNLQQQKRRLPRFAVELDGLNCFETIVPQ >Ma04_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23463875:23468286:1 gene:Ma04_g20700 transcript:Ma04_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSENMNLEGQELLRDKCAVTSCLVNSAEVGEDNHTNVNFLEVKLDLKELISKIPFVWDIKHLKLSRRCRQIQRTYPCSNQINFHDYIMDDDINIPADMTASGVDFKSSKHRKATRSMDNLGTFSVEYSPDEQSENVIITSPAKLIYDSVEGIMKRIGLTEMPLPFDPLKQDVGMNHIRLRSASTREMKFSDLARSPWNCRSLTKSLRKHGSEAKFSQESICSCSSTRSISSYQSASPSDGFVTSAALLHCVSEGNVPYFLFVVDDDGCEVYMARPQKIRASVDKPLDYIYQFHSWKASRKKWKKIVIDASSHVGKMKVSSSLIVNSNRSKFMETEFVLFGAKEEERSTEKKKSLSNFKRINMRSKKVAKIFTPTRTSRHDPKLKVDESGTQFEEPCHLFPDELQIIDESDRADHFANEFPPNRELTAIVVRDYGYNSSKGAAFGGWGLKFLEKVETDDANFFQEYPSSSSESCENTSRSATKKISRNVTVLVPAGFHGDPIARGGGPSSLTERWKSNGHCDCGGWDVGCAIKVLNNDSICSKVLPEAELEEDCKSFELFIEVRFCIDYLTLCARTGGKQTQPTLQMLSVSKDLYIVNFQSKLSALQSFSIGVAFIHSETLELCPKL >Ma06_p33910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34363351:34365536:-1 gene:Ma06_g33910 transcript:Ma06_t33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSPAKLSLPLLPSMASAAGDPQDARLVFVGDPDRSSSPGADVAASNAIRTTKYSLLTFIPRNLFEQFRRVAYIYFLALALLNQIPQLTVFGRQTAFLPLGTVLLLTAIKDAYEDYQRHRSDTAENNRPASVLPLRSQQAASTVRKKWKEIRVGELVRVVANETIPCDMVLLATSDPTGVAYVQTINLDGESNLKSCYAKLETASLAPEALAGAIIRCEPPNLNIYRFQATIELRGDGPRIPLGPTNIVLRGCDIQNTSWVVGVAVYIGTETKVMLNNSGAPSKRSRLETRMNREIIVLAVIMVGICSLIAISTVIWLHSNRDKLDTLPFYRRKDYSRVPPRDYLYNGLGLEMLFAFLKSIFRFQNFIPISLYISMELSRLMQSFMMTQDESMCHEATGNKLKCRALNINEDLGQIKYVFSDKTGTLTENKMVFQCASIHGIDYVCREPLTPGEIAPHPTIVDGQVWRPKVMVNTDRKLLRLLETERDTEAGLYAVSFFLALATCNTIVPQVLDTSDPAVKLIDYQGDSPDEQALVYAAAAYGFVLIERTSGHITIDVLGERQRYSFLSVIFQLFCVAFLVFFIPSGSSGAKFL >Ma02_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13652623:13654389:1 gene:Ma02_g02410 transcript:Ma02_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGNGGTGSGLVVSFGEMLIDFVPSEAGVSLAEASSFLKAPGGAPANVAIAVARLGGRAAFVSKLGDDEFGHMLAAILREKGVSDAGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTAAELNVDLIRNGAIFHYGSISLIAEPCRSAHLKAMELAKESGALLSYDPNLRLPLWPSPEEARKQILSIWDKADIVKVSGAELEFLTGHDSVEDEVALRLWRPSLKLLLVTLGDKGCKYFTKDFRGIVGSFTVKQVDTTGAGDAFVGALLQGIIEDQSALQDEKKLRQVLRFANACGAITATKKGAIPSLPSASEVMEFLEKA >Ma10_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16124975:16127082:-1 gene:Ma10_g05270 transcript:Ma10_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVQEWPEPVVRVQTLADAQVIPERYIKLPSERPHPSSAAGGAGSLPMVDLGGLKRGAAERQATLLAVSDACRDWGFFQVVNHGVSLELMARMREVWKGFFDLPMEEKQAYANSPVTFEGYGSRLGVKKGAILDWGDYYFLPLFPHSIKNYDKWPSLPASLRETTEEYGEELVKLCGVIQNVLSLTLGLDEGFLHREFGEAGAGLRVNYYPKCPQPDLTLGLSPHSDPGGLTILLTDDQVKGLQVRKGGSWITVEPIPDAFVVNVGDQIQVLTNGRYKSVEHRVAVNAATERLSMAFFYNPQDDLLIHPAKELVADGTSPMYKPKTFKEYKLCMRMLGPCGKMLADAMEAT >Ma06_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2947370:2951066:-1 gene:Ma06_g04070 transcript:Ma06_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGQQTVEFSALVRRAAEDSYLALKELVERSRAPEDQRSDSEKKIDLLKFIAKTRQRMLRLHVLAKWCRQVILVLDAIRFELISDGTAHKEQILVLCNWPKTVNSIQLA >Ma10_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28920480:28930810:-1 gene:Ma10_g17580 transcript:Ma10_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILLVYEPGAADPTFRAQAMAFCDHAKADLSALLRLCLDRLHRSSLVPVHFWCLQALHDAVRLRYSSIPPADLPILRSALLSLASDRPLPAASPPFLRNKLAQTLAALIRLEYPSLWPDPFLRILPCLPSADPSSIDMFARLLIALDDDLLSLDYPRSFAEAADATRVKDAMRQQCVPQIVRHWFDVVSLYHSSDTFLVAAALDTMRRYVTWIDIALVANDAFVPLLFELILAPDSIEQLRAAAVGCVLAILQKRMDPRQKVALLRSLPVSLVFADPNLVIKVPYLVTGYAAEVLECCKKLGLTDIDSSSPVELLEEALPSVFYVMQESEEVELGNVVEFLSVYVTTMRTPSQKQAVYLGHILEFIRVQICYDPAYRNNLDIPDKIGREEEDQMGERRKDLLVLFCSICRLAPDVTQHFIQNLLIRALSSSEASVEEVEATFTLFHRLGETVNEEAMRTGSGLLRELVQILLSSQFPCLSHRMVALIYLETVMRFMKFVQDNPQYIPYVLAAFLDQRGIHHPNLNVSRRAGYLFMRAVKLMKSKFVPYLDMILRGLQDTITQFTTSDWCSKNFECSGSEDGSQIFEAIGLLIGMEDVSPEKQSDYVAAFLRPLCQKLNEVLLDSKAQGLEESSGKVLTLQQIIMALNALSKGFNARLATSICPAIGIMFKQALSGVLEILTAFPNIKTLRNKITSFIHRMVDILGGSIFPCLPVVLKQLLMESEPKDMVDFLVLVNQLISKFSTSVESILEEIFPAVASRLIVILSKDAFPSGPGCNTEEVRELQELQRILYTFLHLMANHNLSSVFLAPNCRGYLDALMQLLLLAACSHKDVLLRKLCVQIFMKLIKDWCTNCNVDDKVPGFRSFIIEKFATDCCLYSVLDKSFEFHDANTLLLFGEIVLAQKVMYEKLGSDFIIHFVSKGLQAAHCPHELTEQYYQKLQQANDIKALKSFYQLLVENLRQQQNGSLVFR >Ma10_p17580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28920691:28930810:-1 gene:Ma10_g17580 transcript:Ma10_t17580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILLVYEPGAADPTFRAQAMAFCDHAKADLSALLRLCLDRLHRSSLVPVHFWCLQALHDAVRLRYSSIPPADLPILRSALLSLASDRPLPAASPPFLRNKLAQTLAALIRLEYPSLWPDPFLRILPCLPSADPSSIDMFARLLIALDDDLLSLDYPRSFAEAADATRVKDAMRQQCVPQIVRHWFDVVSLYHSSDTFLVAAALDTMRRYVTWIDIALVANDAFVPLLFELILAPDSIEQLRAAAVGCVLAILQKRMDPRQKVALLRSLPVSLVFADPNLVIKVPYLVTGYAAEVLECCKKLGLTDIDSSSPVELLEEALPSVFYVMQESEEVELGNVVEFLSVYVTTMRTPSQKQAVYLGHILEFIRVQICYDPAYRNNLDIPDKIGREEEDQMGERRKDLLVLFCSICRLAPDVTQHFIQNLLIRALSSSEASVEEVEATFTLFHRLGETVNEEAMRTGSGLLRELVQILLSSQFPCLSHRMVALIYLETVMRFMKFVQDNPQYIPYVLAAFLDQRGIHHPNLNVSRRAGYLFMRAVKLMKSKFVPYLDMILRGLQDTITQFTTSDWCSKNFECSGSEDGSQIFEAIGLLIGMEDVSPEKQSDYVAAFLRPLCQKLNEVLLDSKAQGLEESSGKVLTLQQIIMALNALSKGFNARLATSICPAIGIMFKQALSGVLEILTAFPNIKTLRNKITSFIHRMVDILGGSIFPCLPVVLKQLLMESEPKDMVDFLVLVNQLISKFSTSVESILEEIFPAVASRLIVILSKDAFPSGPGCNTEEVRELQELQRILYTFLHLMANHNLSSVFLAPNCRGYLDALMQLLLLAACSHKDVLLRKLCVQIFMKLIKDWCTNCNVDDKVPGFRSFIIEKFATDCCLYSVLDKSFEFHDANTLLLFGEIVLAQKVMYEKLGSDFIIHFVSKGLQAAHCPHELTEQYYQKLQANDIKALKSFYQLLVENLRQQQNGSLVFR >Ma09_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8466336:8468609:-1 gene:Ma09_g12570 transcript:Ma09_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDMHDGKRVFSADPFCDLMKPLEPSIAGASTSSASSSSNFTVTHSSHFYFPPPPPSSVSPSFFPPCSTHQNHSFDAYSHQNPTFAFRSHQDPTLYGCSQSPSSAGMVPESFLGLDRQGLVGSVGLTHLSPEQIQQIQAEIQLQQQLSIVGRQLPPNRHHQHQAAGFLAPRPQAMKHAGSTPPPKTTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDQAAYRLRGESARLNFPENRHGAADGGSLHASVDAKLQAICQSLASSSKQGSASGALLPTDTTNPDSNAATKVAAEGVTSMVEISSDGEDSSGSSPVLEMQQLDFTEVPWDESENFVLKKYPSWEIDWDSILSPRT >Ma10_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28539717:28542548:-1 gene:Ma10_g17010 transcript:Ma10_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAETREMFELSVRQGEVTLVPPAEETQKGLYFLTNLDQNIAVIVQTIYCFRSEEKGNEKAGEVIKEALAKVLVHYYPLAGRLTISNEGKLIVDCTGEGAVFVEAEADCEMADIGDIAKPDPNTLGKLVYNVPGAKNILEIPPLAAQVTRFRCGGFILGLAMNHCMFDGLGAMEFVNSWGETARGQPVSVPPFIDRTILKPREPPVISFPHHEFAEIEDVSDVVTVYQEEMLYRSFCFDPEKLGRVKRSAMDDGALDKCTTFEALSGLVWRARTEALLLQPGQQTKLLFAVDGRSRFDPPLPEGYFGNGIVLTNSLCTAGELLARPLSFAVGLVQDAVKMVTDEYMRSAMDYFEATRARPSLTATLLITTWSRLSFHTTDFGWGEPVQSGPVTLPQKEVILFLSHGKERKSINVLLGLPNSAMARFQELMEI >Ma00_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:7323910:7324197:1 gene:Ma00_g01410 transcript:Ma00_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGASGRRREEEEKKRSRRRRRRRRGEEEEKKREKKRGRRGRREEKKKKKRGKRERKER >Ma04_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:881455:883538:-1 gene:Ma04_g00990 transcript:Ma04_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCEIEGHHLTAAAIVGQDGSVWAQSASFPQFKSEEITNIINDFNEPGTLAPIGLFLGSTKYMVIQGESGAVIRGKKGSGGITVKKTNQAMIFGIYDEPMTPGQCNMVVERLGDYLIDQGL >Ma03_p25430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29466422:29474550:-1 gene:Ma03_g25430 transcript:Ma03_t25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENSSSRQVTYSKRKAGILKKAKELSILCDIDLVLLMFAPNGKPTICVGDRSNIEEVVARFAQVSPQERAKRKLESLEALRKTFKKLDHDVNIQEFLGSSTQTVEELTNHLRSLHGQILDVQKRLSYWTDPDKISNIDHIRAMEQSLKESLSRIQAHKENYGKQLISLECSGQFQNDMHLSSGLGCAQGASPLQWLHNNDGQQLMLPQDANLIPQRDVGCSTDTSLQNYPAYFSTGKQTDANEPVQEDSLHEFSPNACLRLQLGGQYPYQSYGQNLLSDRTFKPDAENSLQESTIDYQVNQFETPRSGYDASFQNWASTSGTCGVAIYDEQSYTQQPN >Ma02_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21929576:21940378:1 gene:Ma02_g13620 transcript:Ma02_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSAIFSAVVVTILAVLGGCSSPSEAARAFFVFGDSLVDNGNNNYLATTARADAPPYGIDTPSHRPSGRFSNGRNIPDIMSEQLGAETTLPYLSPELRGEKLLVGANFASAGIGILNDTGIQFVNIIRINRQLQYFEEYQKKLSDLIGASQAEMRVNQALVLITLGGNDFVNNYYLIPYSFRSRQFALPDYVRYLISEYYKILQRLYDLGARRVIVTGTGPLGCVPAELALRGRNGQCDSELQRAAGLFNPQLVQILNRLNSKIGSDVFVSANAFGMHMNYVANPQAFGFTTSKIACCGQGPYNGIGLCNAASNLCPDRDKYAFWDPYHPTERACRIVVSHMMTGSTEFMNPMNLSTILSVDAASDAAAAAARVRARVRTPSVDWWRETSMTATSPVTLAFLVYFPIFQPFPSPVKALVFYTHERKE >Ma03_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29170948:29178687:1 gene:Ma03_g25030 transcript:Ma03_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAKGSRKGKKAWRANISTDDIDDYFEKSNKDALSGRTAAIASLPSDSLFYLDKRSTTEIPAKRKIEKHKDKILHYESLLQKNPFVQPVPSSTLKKLKRKKKKVDTEKTQTQNASKAEDTFSTLTDIWYNEGEADAKTKKKHKACLIPAVEVEPPGCSFNPPLEAHQDSLAQAVADEMQKIYKRELGPQPVPITVPGEVVAEDDKYFLEADDGNESEIEEETDSVTNELPGQRKSKTKRVTRVELNRRARRKEQLKAEEAAKKMEILSKEIDSLPDIINEITKEDEEKNRRHMRRIIARQERLKSAPPRLGKYKFEPAPLQVLLTEEISGSLRKLKGCCTLATDRFKSLEKLGLLVPQAKCIRRK >Ma11_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4326618:4326794:1 gene:Ma11_g05660 transcript:Ma11_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCFASTSGGRPDLATRWEQAVQSWCMSCFLDAIKTFITSSIDAFSTMLINCFCTQE >Ma09_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7325028:7326647:1 gene:Ma09_g10750 transcript:Ma09_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLAMATGLVALLLVAGAGPAEGAVYKVGDSAGWTIMGSPNYTAWAASKTFHVGDTIVFEYNNSFHNVLEVTKAEYNACNASSPIATYATGNDSITIKTKGHHYFLCGFPGHCTIGQKVDIYIPKSSSAAPSTSPAAAPSPSSGSSSSSSGTGGIPTPAAAPRPSAGTKPVPQAFALVLAVFSFVAVAGGLVRQ >Ma01_p13320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9740680:9752831:-1 gene:Ma01_g13320 transcript:Ma01_t13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQANVRAAGLNSAAALLDEMKLLGEVPSKPSARKVLNSELWHACAGPLVSLPQPGSLVYYFPQGHSEQVTASTRKIANSQIPAYTDLPSQLMCQVHNVTLHADKETDEIYAQMTLQPVTSENDVFPIPDLGHTRCKHPTEFFCKILTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRANRKQTALTSSVLSTDSMHIGVLAAAAHAAANRSPFTVYYNPRACPSEFIIPLTKYHKAAYTQVSIGMRFGMMFETEESSKRRYMGTIVGISDYDPVRWPNSRWRNLQVEWDEHGYGERPDRVSLWEIETPESLFAFPNVTSSLKRQCLPGYVGPAINNHFVNLKPFPKPTEDGNVDTQHFFAGLGSENLLRLLNKPCNPNRDGLLAHHQSIYASILQNVRNSELSRSFSVTMPPLHTVGSSTQQEVVTTAAMQQKQHFSPQQGMDPLQNVVSQEHRLYLVPTQGIKLDFVSGTQVKSQVSDREEARPAEHEQNFQDHNTRGENGINLQGSEDASLQESIPQQSEVASVAFPGDLDSQSDGMIKTLSHDMLAEHMDQLSKHQNGESTSTGPFDRVNLAAQISANPALQVKEQSDHKLVQQQNDPSRTQSPGLHAAQSSDMCNLNYLLPHQDCSHPILDNDDWMTQHSCLQSFMGSSKTPEFPYINSKLDSFYLSAAENATTSSADISSMANPNSFEPTETFQLSCISDSGTPQRITTDIQEFLGTQLNSLDDELLVQGILSSEVHNLDVQGDCTVLQGVSNPYGVMDLSEESNNQGETISNLRFDPSNESMDMGHVPGVAIDGLSPIGSSRFQIPSVMPVCNFTSNQESMSHITSKRMTDSVFSLQDVHDSSAGTSSASIATNDYSLYRGSRKPACQQPLRTYTKVQKVGSVGRSIDVTRFSNYCELRSAVACMFGLEGQLDDPRGSEWKLVYVDYENDVLLVGDDPWEEFISCVKCIRILSPSEVQQMSQEGMQLMDGFA >Ma01_p13320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9740680:9752831:-1 gene:Ma01_g13320 transcript:Ma01_t13320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQANVRAAGLNSAAALLDEMKLLGEVPSKPSARKVLNSELWHACAGPLVSLPQPGSLVYYFPQGHSEQVTASTRKIANSQIPAYTDLPSQLMCQVHNVTLHVSIADKETDEIYAQMTLQPVTSENDVFPIPDLGHTRCKHPTEFFCKILTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRANRKQTALTSSVLSTDSMHIGVLAAAAHAAANRSPFTVYYNPRACPSEFIIPLTKYHKAAYTQVSIGMRFGMMFETEESSKRRYMGTIVGISDYDPVRWPNSRWRNLQVEWDEHGYGERPDRVSLWEIETPESLFAFPNVTSSLKRQCLPGYVGPAINNHFVNLKPFPKPTEDGNVDTQHFFAGLGSENLLRLLNKPCNPNRDGLLAHHQSIYASILQNVRNSELSRSFSVTMPPLHTVGSSTQQEVVTTAAMQQKQHFSPQQGMDPLQNVVSQEHRLYLVPTQGIKLDFVSGTQVKSQVSDREEARPAEHEQNFQDHNTRGENGINLQGSEDASLQESIPQQSEVASVAFPGDLDSQSDGMIKTLSHDMLAEHMDQLSKHQNGESTSTGPFDRVNLAAQISANPALQVKEQSDHKLVQQQNDPSRTQSPGLHAAQSSDMCNLNYLLPHQDCSHPILDNDDWMTQHSCLQSFMGSSKTPEFPYINSKLDSFYLSAAENATTSSADISSMANPNSFEPTETFQLSCISDSGTPQRITTDIQEFLGTQLNSLDDELLVQGILSSEVHNLDVQGDCTVLQGVSNPYGVMDLSEESNNQGETISNLRFDPSNESMDMGHVPGVAIDGLSPIGSSRFQIPSVMPVCNFTSNQESMSHITSKRMTDSVFSLQDVHDSSAGTSSASIATNDYSLYRGSRKPACQQPLRTYTKVQKVGSVGRSIDVTRFSNYCELRSAVACMFGLEGQLDDPRGSEWKLVYVDYENDVLLVGDDPWEEFISCVKCIRILSPSEVQQMSQEGMQLMDGFA >Ma01_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9740680:9752831:-1 gene:Ma01_g13320 transcript:Ma01_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQANVRAAGLNSAAALLDEMKLLGEVPSKPSARKVLNSELWHACAGPLVSLPQPGSLVYYFPQGHSEQVTASTRKIANSQIPAYTDLPSQLMCQVHNVTLHVSIADKETDEIYAQMTLQPVTSENDVFPIPDLGHTRCKHPTEFFCKILTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRANRKQTALTSSVLSTDSMHIGVLAAAAHAAANRSPFTVYYNPRACPSEFIIPLTKYHKAAYTQVSIGMRFGMMFETEESSKRRYMGTIVGISDYDPVRWPNSRWRNLQVEWDEHGYGERPDRVSLWEIETPESLFAFPNVTSSLKRQCLPGYVGPAINNHFVNLKPFPKPTEDGNVDTQHFFAGLGSENLLRLLNKPCNPNRDGLLAHHQSIYASILQNVRNSELSRSFSVTMPPLHTVGSSTQQEVVTTAAMQQKQHFSPQQGTQVKSQVSDREEARPAEHEQNFQDHNTRGENGINLQGSEDASLQESIPQQSEVASVAFPGDLDSQSDGMIKTLSHDMLAEHMDQLSKHQNGESTSTGPFDRVNLAAQISANPALQVKEQSDHKLVQQQNDPSRTQSPGLHAAQSSDMCNLNYLLPHQDCSHPILDNDDWMTQHSCLQSFMGSSKTPEFPYINSKLDSFYLSAAENATTSSADISSMANPNSFEPTETFQLSCISDSGTPQRITTDIQEFLGTQLNSLDDELLVQGILSSEVHNLDVQGDCTVLQGVSNPYGVMDLSEESNNQGETISNLRFDPSNESMDMGHVPGVAIDGLSPIGSSRFQIPSVMPVCNFTSNQESMSHITSKRMTDSVFSLQDVHDSSAGTSSASIATNDYSLYRGSRKPACQQPLRTYTKVQKVGSVGRSIDVTRFSNYCELRSAVACMFGLEGQLDDPRGSEWKLVYVDYENDVLLVGDDPWEEFISCVKCIRILSPSEVQQMSQEGMQLMDGFA >Ma06_p30360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31649283:31653080:1 gene:Ma06_g30360 transcript:Ma06_t30360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFRLEWRKRWEQGKSPLSSASRLGVSGEWRLGVPWLLSVSTLRPCHRVLEKELGGICMFTAMKCRPSVILLHTLFWFSLHDICYSTPSDIECLRLVKLSLKDPENNLFYSWTFDNTSEGSICKFNGVECWHPDENKVLNLRLSNMGLQGQFPSGLENCTSLTGLDLSNNNLSGTIPVNIAKKIPYVTSLDLSFNDFSGQIPVNLSDCTYLNSLKLQHNNLTGQIPGQLSILSRLTEFDVSDNHLSGPIPALQAKLTQSNFANNPGLCGAPLGACAGTSKKINAGVIIGSAIGGVVITIIIVGVVLYFCMRRMPIKKKEKIDIEENKWAKSIKGAKGTKVSMFEKSVSKMKLSDLMKATDDFSKENIIGTGRTGTMYKATLPDGTSLAIKRLQDSQQSENQFVSEMATLGNVRHQNLVSLLGYCVAKKERLLVYKYMPKGTLYDQLHGSGAQGKSMEWPTRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTQVSKASENFRGSLVDWITFLSNNSLLQDAIDKSVIGKDYDSELLQFMKVACACALSGPKERPTMFEVYQLLRAIGEKYHFTADDDIFLPPLSIDADNLDELIVAK >Ma06_p30360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31649226:31653080:1 gene:Ma06_g30360 transcript:Ma06_t30360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAMKCRPSVILLHTLFWFSLHDICYSTPSDIECLRLVKLSLKDPENNLFYSWTFDNTSEGSICKFNGVECWHPDENKVLNLRLSNMGLQGQFPSGLENCTSLTGLDLSNNNLSGTIPVNIAKKIPYVTSLDLSFNDFSGQIPVNLSDCTYLNSLKLQHNNLTGQIPGQLSILSRLTEFDVSDNHLSGPIPALQAKLTQSNFANNPGLCGAPLGACAGTSKKINAGVIIGSAIGGVVITIIIVGVVLYFCMRRMPIKKKEKIDIEENKWAKSIKGAKGTKVSMFEKSVSKMKLSDLMKATDDFSKENIIGTGRTGTMYKATLPDGTSLAIKRLQDSQQSENQFVSEMATLGNVRHQNLVSLLGYCVAKKERLLVYKYMPKGTLYDQLHGSGAQGKSMEWPTRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTQVSKASENFRGSLVDWITFLSNNSLLQDAIDKSVIGKDYDSELLQFMKVACACALSGPKERPTMFEVYQLLRAIGEKYHFTADDDIFLPPLSIDADNLDELIVAK >Ma06_p30360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31649185:31653080:1 gene:Ma06_g30360 transcript:Ma06_t30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAMKCRPSVILLHTLFWFSLHDICYSTPSDIECLRLVKLSLKDPENNLFYSWTFDNTSEGSICKFNGVECWHPDENKVLNLRLSNMGLQGQFPSGLENCTSLTGLDLSNNNLSGTIPVNIAKKIPYVTSLDLSFNDFSGQIPVNLSDCTYLNSLKLQHNNLTGQIPGQLSILSRLTEFDVSDNHLSGPIPALQAKLTQSNFANNPGLCGAPLGACAGTSKKINAGVIIGSAIGGVVITIIIVGVVLYFCMRRMPIKKKEKIDIEENKWAKSIKGAKGTKVSMFEKSVSKMKLSDLMKATDDFSKENIIGTGRTGTMYKATLPDGTSLAIKRLQDSQQSENQFVSEMATLGNVRHQNLVSLLGYCVAKKERLLVYKYMPKGTLYDQLHGSGAQGKSMEWPTRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTQVSKASENFRGSLVDWITFLSNNSLLQDAIDKSVIGKDYDSELLQFMKVACACALSGPKERPTMFEVYQLLRAIGEKYHFTADDDIFLPPLSIDADNLDELIVAK >Ma06_p33270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33956942:33964770:-1 gene:Ma06_g33270 transcript:Ma06_t33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVARCLVLSGSLPASPVAWHDVPKNPSPSPPPPLPCVAGFCRGSVGFPTCKNAKSPRFSSSRRFSSSDLQDSKPAQDLALLLEVEGVIADIYRLGNRQAFNVAFQKLGLDCANWTEPIYADLSRKAAGDEERMLILFFNRIGWPASLPTNEKEAFMKRVLREKQKALEDFSTSSSLTLRPGVENFIDDALNEGLPVALLIAYSKYGDKACRSIIEKLGQERLSKVKIVGKEEVKESLYGQLVLGKGVSSSLEELLAKEVQKAASMEKQRIAQDVASILKLSVDIDISSPESLENIIVTLRAGAEYTGLPVDNCVLIAGSQSGVYGAERIGMPCVVIRSGATARAEFRSAKAVMDAFGGADLTLSKLRRKKWS >Ma04_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8121247:8125603:-1 gene:Ma04_g11510 transcript:Ma04_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGMSHREKANSAACRLVAVAIDKDKSSQSALKWALDNVVTRGQTLTLIHVNTRPSSGYRDDASSAAISRDVFIPFRCFCTRKDVQCKDVILEDTDVAEAISDFITHAAIEKLVVGSSSRGGFVRSFRTIDLSASICKGVPDFCTVYIISKGKVSSMRNAVRPPPTISSLRNQIQEQASVNPEPQDHKPKNVPHEAALSPWCLQKDAESIKSPFTRGGRTSTTKSYGDLMLDSDISFVSSGGTSMEHSFPPRSSNVSDSFDLSFESLSRSLGSNSGGNDLSSFSYESSSSQAMEDVEAEIRRLRLQLKQTMDMYSTACKEALTAKQKAMELQRWKVEEGQRLKEARQAEEAALALVERERAKCMAAIQTAEASKRIAESEAQKRISAEMQALKEEEERRKAIDSLSHTSLRYRRYTIEEIEVATEYFANERKIGEGGYGPVYRCYLDHTPVAVKVLRPDAAQGRSQFQQEVEILCCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLLRRGNTPPIPWQHRFRITAEIGMCLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQYRLTATAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQIISGRPPMGLTHYVERAIETGTFVEMLDYTVPDWPMDEALSLAKLALKCAELRRRDRPDLATVILPELNRLRDFAEENMQYSLFGNSSHLSPMQSQVSTQETRSDPETMQSGYESSISQFSGSSTPGRRLSTS >Ma04_p34750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33799554:33806110:1 gene:Ma04_g34750 transcript:Ma04_t34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDAELVGRLREVLRASDLSTTTTTSVRRQLEEDFGIDLSDKKAFIRQQVDLFLSELHDNKDAEEAEEEEEDAAAPAEAVKEEEEEEEDHDGSGEEDEEEEEEAGGIGEVLDEEEGDEGEEDDEKTNEGSSKKRKSSKPSKEVKKRGGGFAKLCSLSPLLQDFVGEPELARTEVVKRLWAYIREKNLQDPNNRRKIICDEKLKPLFNVNVIDMFQMNKALSKHIWPLNSDDGPVTSAKPKQHDKPKKELEGKRQKVGSSGLLAPLPLSDDLVKFFGTGENTLSRSDVVKRMWDYIKGNNLQDPADKRNVICDEKLKELLKVDSFHGFTVSKLLAPHFIKEKQ >Ma06_p36230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35748853:35749185:-1 gene:Ma06_g36230 transcript:Ma06_t36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYRFGGEGEEMDRIRLVDILMLLSRGGAGGGVGESEKSSAGRVFECKTCSRRFPSFQALGGHRASHKKLRAAGNDHGRPQGGAAAKPKLHSAPYALRRAYETALSICP >Ma04_p38320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35903613:35904258:-1 gene:Ma04_g38320 transcript:Ma04_t38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCDGVFAMAILVVVVFSGNIETCFAARHLLDTAEPAAAPPSIPAMPKLTLPPMPAIPNLMVPPLPVVPTIPTLAAPPLPAFPTIPTVTLPPMPSIPTIPIIPFLTPPPVAAP >Ma02_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20736304:20739040:-1 gene:Ma02_g11670 transcript:Ma02_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLDDWPSYDPHNFSQLRPADSSAQSSKLTPVTYRPTHNKSLPPPDQVISSEARNILLRHFYQKSEEKFRPKRAASDHLTPEHSCKQPRCAYTDGAD >Ma02_p11670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20736234:20739006:-1 gene:Ma02_g11670 transcript:Ma02_t11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLDDWPSYDPHNFSQLRPADSSAQSSKLTPVTYRPTHNKSLPPPDQVISSEARNILLRHFYQKSEEKFRPKRAASDHLTPEHSCKQPRCAYTDGAD >Ma11_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19720910:19731387:-1 gene:Ma11_g14420 transcript:Ma11_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYSRTIYVGNLPGDIREREVEDLFNKYGPIIDIDLKIPPRPPGYAFIEFEDPRDADDAIRGRDGYNFDGHRLRVELAHGGRGQSSSFDRHSSYSSGGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSEVFRDGGGTIGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKEYDSKRSLSRSRSRSRSYSRSPTPPRSRSRSRSKSPRAKPSRHSLSRSRSRSASSHSRSASKGRSLSRSQSRSRSPVTSPLRGSKSPSKPSPNRSRSLSRSRSPMAKSE >Ma11_p14420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19721341:19731387:-1 gene:Ma11_g14420 transcript:Ma11_t14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYSRTIYVGNLPGDIREREVEDLFNKYGPIIDIDLKIPPRPPGYAFIEFEDPRDADDAIRGRDGYNFDGHRLRVELAHGGRGQSSSFDRHSSYSSGGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSEVFRDGGGTIGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKEYDSKRSLSRSRSRSRSYSRSPTPPRSRSRSRSKSPRAKPSRHSLSRSRSRSASSHSRSASKGRSLSRFGLKRGSLDCMLGSELDMVAMLATFLVL >Ma05_p27710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38893622:38893750:-1 gene:Ma05_g27710 transcript:Ma05_t27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKFERPENGPTASTVRKRERLTPNMLFQFVTLILLYTIF >Ma07_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15199963:15202174:-1 gene:Ma07_g16690 transcript:Ma07_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPCVICYLALLIACLGICWQVHGGGITHQHVTIRSLLPSDACSSYKDGDGVNHNETSLTVLDRHGPCSPFDLHHQLSHKQILDHDQSRVDSLHGRVSTAPKQDQQLDALAASSIPAHSGISLGTGNYVVIVGFGTPKRDQTVIFDTGSDVTWIQCQPCVVTCYQQQDPIFDPSHSSTYLNISCSSAYCTDLGASGCSSSTCVYGVQYGDNSYTVGFYAEDTLWLTPYDVIPNFRFGCGERNDGLFGKAAGLIGLGRDKPSFVSQTYQRYGGVFTYCLPPTSSSTGYLRFGGGYPSSDLRFTPMLSGASSPTFYYLTLTAISVGGQQLLVPPTVFSVAGTIIDSGTVITRLPPTAYSALRSAFRQEMTTYKSAPALSILDTCYDLSGLDKVTVPEVALHLGGGATIHLDITRILYIASLSQACLAFAANRADTDLGIIGNVQQRGLDVVYDVSKHVIGFGPGGC >Ma05_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36107837:36117202:-1 gene:Ma05_g23920 transcript:Ma05_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQKEFVNKKETDVEEEKEHSNQASRVKLIVSDSFLSFMWVLSGSVIRYLIYMILGTGMDPISVLLKGYLALVYLYYFSQLRKVTNGGTYNPLFVLCHAISDNFVEFLYAVFGRIPAQVLGSVIGVWLINATFPAAANGPRLNVDVSYGALIEGLITFAIIIVSLGLNKFPRSSHKTWISSFAKLALHVLASDITGGVMNPASAFGWAYAQGKHLTKEHLCVYWLAPLEATLLVVWICSLFIKLPKRKRQHEMQYKDKLV >Ma03_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29147636:29152582:-1 gene:Ma03_g24980 transcript:Ma03_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQKSGHRCLPKRIILVRHGESQGNLDMAAYTTTPDCRIPLTPLGVVQARTAGERIQQVVSEGGRAARWKVYFYVSPYERTRATLREIGRAFPRKRIIGVREECRIREQDFGNFQVEERMKVIKETRERFGRFFFRFPEGESAADVFDRVASFMESLWRDIDMRRLEQDDNLDVNLVIVSHGLTSRVFLMKWFKWTVDQFELLNNPDNCEFRVMQLGPGGEYSLAIHHTPAELQLWGLSPEMIADQQWRATTVRGSWYENCPWHLDNFFHHLKDSSDDDGSSDECYNA >Ma02_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25356174:25372038:-1 gene:Ma02_g19050 transcript:Ma02_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADAMKTFRDLNQNYLTGPLPAFIGNLTKLQYLTFGANALSGSIPKELGKLTSLISLWASDNNFTGKFPDFSRTSLATLRIQGNSFEGPIPSSLSNMTKVTDLRIGDIQNGSSSLAFIHNLSSLSILILRNCRISDIMPSDFSRFTSLRRLDLSFNNLTGQIPQSLFNLSLLSYLFLGNNSLSGSLPTSKSESLLNIDLSYNQLGGSFPSWVSEQNLKINLVANNFVISGSNNSVLASGLNCLQRDIPCNHRVPIYSSFAINCGGNKTITSSDGTLYEIDGRTLSSASYYVTETNKWAVSTVGSFQDASNAEYTLYSSSQFQKTQEPELYQTARISPSSLRYYGLGLENGNYTVMLHFAETQIFDPPTWRSTGRRIFDIYIQGNRVLKDFDIRAEENSFTAVTRKFIVPVTDNFLEIHFFWAGKGTCCVPDQGYYGPSVSAISVNPYDFIPTVSNEPPSSASKNSNKSTIVGIFSGIGALVLLIVFGILIYWQRQRLSKDDEDELLEMSSRPDTFSYAELRSATEDFDPSNKLGEGGFGPVFKFHKVWGQLMKTGYQNSCLAHQVERFAYLYTRQVTNLSLHSLDKYYSPNEDYMAHEFVILGPV >Ma04_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6742186:6744335:1 gene:Ma04_g09510 transcript:Ma04_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGKTGRDELAQSLEDVFINVSTMIKGELQGTNNQLLLLETMNQRVAEEYDGYGDVASGLRLLSTPSKLRR >Ma03_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7572727:7574409:1 gene:Ma03_g10120 transcript:Ma03_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL27 [Source:Projected from Arabidopsis thaliana (AT1G70230) UniProtKB/TrEMBL;Acc:A0A178WG99] MGTHLYHHHNTPKTHHLLLKKLIIWALYTIIPLALLHLYLFPLFSPPPQPNATLSPSSSSSSASSTTTTTFTSSSSSSQAGIAELKKRPSRPRCNYSDGQWVRHSGEPRYSGTGCKTIKEGQNCMAHGRPDTGYLHWRWQPKQCAVPAFDPAAFLRLIENRHLAFIGDSMARNQLESLLCLLATAEEPELVYRDGEDNKFRRWVFRGRNATVSVLWSPFLVKGVEKSEATGQYHNNLYLESVNERWASELDGMDVVVFSVGHWYLHPAFYRENGVVLGCHHCPEFNYTEIGFFDVFRKALNTTLREVSRRHDSSPGTSEKLVVVTTFSPAHFEGEWDKAGACPKKEPYKEGEKEMEYMDAEMRKIEVEEVAAAAERGGGKGLRFEALDVTKMALMRPDGHPGPYMHPNPFANGAQERMQNDCVHWCLPGPIDSWNEILLEMMRRWKGESK >Ma01_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15754542:15756014:-1 gene:Ma01_g19880 transcript:Ma01_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARLSPRLVKLTSHFLTSAADYLSFRAVCKPWRSALPLRPSHLHTQLPFLLLLSPSEPRIRSAFRLSAASYSGSIRPLPNTVNKFCIGSSFGWLILICEASSDVSLFNPITAEEISLPSLSTLPADVLISSAQSEDGVRMYTVKTDCHNLPVESDAIVDKAVLSSDPTLDRDFVAIVFLNDVHKKCFSCRPDDPSWRANVNGPILDLYFFAPGIRFLFRLVDVVPYGTRRLCAVYDDTEMLGIFDVDPGPPGRVTMIACDVMPISVPQNRLPSYLVPSAGELLLVTGCSKRTADGRDIESFRVFWLDPGDWNRDAEAVEVDDIHLIRDRIFFLGTSHSVSVDAGDYPGFEGNNMYFVYRDEVTEEEEESVFSIGLLSLGDGEIRVIADSNQAERQRFEWRSDGSDATWWVSPNLRGRYE >Ma04_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3368015:3368332:-1 gene:Ma04_g04430 transcript:Ma04_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLWGLAKAVEFEPVPRLCRYILAVYEDDLDNFRWAPPAGYRMNPQRVVRRKTYEDTHGNAPPYLICLDHAHADGVLAVLLDNRLGKRQFDGGYVHSGLLNVMS >Ma06_p31500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32619394:32622216:-1 gene:Ma06_g31500 transcript:Ma06_t31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILASLLPNLASAIAAPISNVGHLLSTVGDGVDWLRDELRSMRSFLVNTETSATEDHMSWADEIRAIVYDSEDIIDAFDAISSHPFACFVCHLRSRHRVGWKIREIKNRLDDHFRRRSGYINPAGDRSTSLDLHNRWIHGLLASSPWTHQGERIVGFEEDFDAVVGRLMNGSPELSVLSLVGMGGVGKTTLVKKVFNHSDVRRHFDHLAWVYVSRSFRLGNLVNEVAKGLMQIPSTEIDALSERQLQELLLRTLKEKRFLLVLDDVWDRGVWETIRLVLPINGHGNRVIITTRNSEVAASVVGARSCTHVLRPLSHEESWELFCDKVFAVSEPCPDELIEVAERIVRKCHGLPLANSNRGMNDAAKRSQLEWNHVLDNIYSDLIGNEVEVQGPLFLSYKDLPYPLKSCFLLCSIFPQDWNIPRKKLIRLWIAEGLIKDVERERVEDVAEKYLMELINRNIIQISIISSSGRVKACRIHHLLHQLSISISRAQNFSAVYEDQQAVIPSRASRISLQKSSYDALQNKGWEKLRSLFMFGIFDSLHISERMLKNLRLLRVLDLENASLVELPGEVGNLFHLRYLSVRGTRLEKLPVSLKNLCNLQTLDIRRTQLRKLSFEIKRLKNLRHLEMRQDEKSIKVPLGLSRMQYLQVVTGVQADCTFVHEVGKLTELRKLAVEDLRAEDAAVLCSSINNMAGLLSLSIFSIDVSTAIDLEKLNPSSLQKLHIAGRLERLPHWFSGISNLTKLRLGLSGLFADPFEVLRQLPNLVFLQLYEAYQGKVLRCANRGFIKLKILILTDLKELEEWEVEDGAMRCIQEMWIMSCSKLKTVPLGLEFLVTLQQLRLVSMPEHFVKRLNPSEGEDFIKVKHIPSIQVN >Ma07_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2001060:2001723:-1 gene:Ma07_g02510 transcript:Ma07_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQHEPHKAASNGPVVRTERVVRYKECRKNHAASVGGYAVDGCREFMASGEEGTSDALRCAACDCHRSFHRREVETVAAVCGCSSDEHSTPRR >Ma05_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3132607:3133332:1 gene:Ma05_g04180 transcript:Ma05_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF084 [Source:Projected from Arabidopsis thaliana (AT1G80580) UniProtKB/Swiss-Prot;Acc:Q9M8M5] MEATTTTTTITSSIGTGSTLPFFHVKTEPGEPAGSVGQDRPPELDGIAAVVGYHVLFGRPQSDSCTRSASAAGAEGTGVSAATRTSYRGVRKRPWGRWSAEIRDRVGRCRHWLGTFDTAEEAARAYDAAARRLRGSKARTNFTIPSSSYIPTPISTAPSSSSSSAAAAMISCPSATRSRKTNVAGAGIPMKTRRKRRQGDEKDESKRRCKTVTRFAQLFNSSPAVAHVDGGGQPSTWDDPK >Ma01_p01550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1064516:1074872:-1 gene:Ma01_g01550 transcript:Ma01_t01550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGCSVSSLAAKFTFFPPDPPTYSVKKDDSGRLVASGVPRDHSMDVLLLDTKHGTKLVAFYLKNPCARLTVLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSEYNTYADIEAVYQCLQTEYGVGQEDLILYGQSVGSGPTLHLAAHLPRLRGVVLHSAILSGLRVVCHVKFSFCFDIYKNIDKIKKVKCPVFVIHGTEDDVVNWLHGHGLWKLAEEPYDPLWIKGGGHCNLELYPDYIRHLCKFIQEMENLTTATRLKKIRQTLKLPAKAVATTSTTTFTTNCCCQIRCRKPNCWSCPRRGCLMVRCSKGSAYLCNWCCGDWH >Ma01_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1064516:1076548:-1 gene:Ma01_g01550 transcript:Ma01_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGCSVSSLAAKFTFFPPDPPTYSVKKDDSGRLVASGVPRDHSMDVLLLDTKHGTKLVAFYLKNPCARLTVLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSEYNTYADIEAVYQCLQTEYGVGQEDLILYGQSVGSGPTLHLAAHLPRLRGVVLHSAILSGLRVVCHVKFSFCFDIYKNIDKIKKVKCPVFVIHGTEDDVVNWLHGHGLWKLAEEPYDPLWIKGGGHCNLELYPDYIRHLCKFIQEMENLTTATRLKKIRQTLKLPAKAVATTSTTTFTTNCCCQIRCRKPNCWSCPRRGCLMVRCSKGSAYLCNWCCGDWH >Ma08_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34794068:34796326:1 gene:Ma08_g20700 transcript:Ma08_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLINLEPSSQVVIRIEPTQKCCGELTLRNVMYTMPVAFRLDPVNRLRYAIRPRSGIIAPLATLLVEFTYVLPLDSPLPDSLPRCDDRFLLHSVVVPSASLKVPASISDSIPGEWFTNKKKQVFIDSGIRIFFVGSAVLTRLVEDGLMDSVREVLERSEPEWRAVDSVDSEGRTLLHLAIAQCRPELVQLLLEFEPDVEAADRNGRTPLEAAAAAGEALIAEILIARRASVERSRGSDLGPLHLAAAAGHAEVVRLLLLNGVAVDAPAADGRTALRLAAEGRRHDCAKLLLKAGARVDARGGADGGMPLHAAAAGGDEAIVKLLLTRGVAGVKEVRNAAGLTAYDLAAEGGHVRMLDALRLGDALATAARKGEVQAAARLVDRGAAVNGRDQHGWTALMRAAFKGRVEAIRVLTDTGAEVEARDEDGYTALHCAAEAGYAEAVEALLRRGADIGARTAKGATAAEIAASHGYDGIVRILARGSTALLVEKRRGGDVGAKKGKEREGRRKKSDIGGSDGGRVAVRNGGRFHRARAAVEVAWSH >Ma05_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8714864:8715142:1 gene:Ma05_g11970 transcript:Ma05_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYWTAYSDATRGSSPGVSCPRPQRGSRKMLMLGLDGGAEEGGADLRAGLAAAVPAGVRQRGQGDGTPVEPAQPRGNNVEGLSRDLHHHNIQ >Ma09_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36054175:36055557:1 gene:Ma09_g24390 transcript:Ma09_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSQMLSRGFGIWPPPADDPMGFPGQPRPPPFAAVGGGGKPGRMSWKGTKAAVAGGVVGAAGGTVSGYNPLGLGEHQFQNRAKARRFYPKKKFVRFAPFAPRNTTSFIMRAKKAGGIASLVSPCPVTPAILPTPKFSPTREGLVDMAKEEWGLDGYGSMKGLIRLRSSNGFEIRAGGGEEEEDEVAELSSESDVDQYLDQHLELERRLDHDVSRFEMVYPWAEHGSGVTAYVLENRMDNQDAHIARLEEENFTLKERLFLMEREMGDLRRRLQLLETRCHQGEEHNNNRDTRNMNGSTHEEASESEVGRDAC >Ma01_p19860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15732960:15751386:1 gene:Ma01_g19860 transcript:Ma01_t19860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDTTESCSSWAAVDSSQAHTRQQQQRHKLDVYNEVLCRLREDGRPEVQSPTFEDGLWNHFNRLPARYALDVNVERAEDVLTHKKLLELAKEPANRPVFAVRLVQVSPVPDGSQADFSDSNAPGIGDSQSASTYFRQSVHPPPAFGSSPNLEALALEASRQQVQDEDNFASAPPYPRPMHEITFSTHDKPKLLSLLTSLLAELGLNIQEAHAFSTNDGYSLDVFVVDGWPCEETDRLKDLLQKEIHKMGRQAWSKSHSSSPQIKNAQSGENFLPDHVQIPTDGTDVWEINFQLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERVNVDMQREFAQEVFIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDFLHKQKGVFKLPALLRVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAGSGVMTAETGTYRWMAPEVMIQC >Ma01_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15732960:15751389:1 gene:Ma01_g19860 transcript:Ma01_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDTTESCSSWAAVDSSQAHTRQQQQRHKLDVYNEVLCRLREDGRPEVQSPTFEDGLWNHFNRLPARYALDVNVERAEDVLTHKKLLELAKEPANRPVFAVRLVQVSPVPDGSQADFSDSNAPGIGDSQSASTYFRQSRQQVQDEDNFASAPPYPRPMHEITFSTHDKPKLLSLLTSLLAELGLNIQEAHAFSTNDGYSLDVFVVDGWPCEETDRLKDLLQKEIHKMGRQAWSKSHSSSPQIKNAQSGENFLPDHVQIPTDGTDVWEINFQLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERVNVDMQREFAQEVFIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDFLHKQKGVFKLPALLRVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAGSGVMTAETGTYRWMAPEVMIQC >Ma01_p19860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15732960:15751389:1 gene:Ma01_g19860 transcript:Ma01_t19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDTTESCSSWAAVDSSQAHTRQQQQRHKLDVYNEVLCRLREDGRPEVQSPTFEDGLWNHFNRLPARYALDVNVERAEDVLTHKKLLELAKEPANRPVFAVRLVQVSPVPDGSQADFSDSNAPGIGDSQSASTYFRQRQQVQDEDNFASAPPYPRPMHEITFSTHDKPKLLSLLTSLLAELGLNIQEAHAFSTNDGYSLDVFVVDGWPCEETDRLKDLLQKEIHKMGRQAWSKSHSSSPQIKNAQSGENFLPDHVQIPTDGTDVWEINFQLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERVNVDMQREFAQEVFIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDFLHKQKGVFKLPALLRVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAGSGVMTAETGTYRWMAPEVMIQC >Ma02_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27954788:27955486:-1 gene:Ma02_g22700 transcript:Ma02_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSMCSVCIIELDLHSRKHNDPMFPQCGSVGPQVANPFGGISLPKKTTAGVVRRHSTPSVPRSRFGAPPAVPPVHSPSPLASEHPQAIRQALHPSSMDASGAGERSSCHANYFNRDSRPYPSSSPDAMHAINPKIWTDHVIIDSDLSSLRAWGAGAVKPAMRSPLNSSNPHLPSVLYVSVLRFRFGAKLTSPSKRAPSVH >Ma06_p32090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33074566:33081202:-1 gene:Ma06_g32090 transcript:Ma06_t32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLMCNACNKGFDDEAQQKLHYRSEWHRYNLKRKVAGVPGVTEELFQARQLALAEERSKLSATPMLYGCALCGKEYRSSKAHAQHLKSRAHTMRASQELGPSTARITTIKPFAGRTSNKATVHVAQAVRGDEDEESEESEDEWIEVNPNDELDMASESLSNLHVGENNVTYDIEPDDFIDEAGELDTSCCFICDLKHENIETCMIHMHKQHGFFIPDVEYLMDPKGLLTYVGLKVRRDFMCLYCNERCHPFQSLEAVRKHMIAKGHCKVRYGDGGDDEDVDLENFYDYSSSYMDADGKQLVATDYMSSSVELGSGGSELIISRKTDNGVLVRTFGSREFLRYYRQKPPPSLTRDASLALSLASRYRSMGLATVQSKEQIVRMKVMREMSRHGVEAMRSKIGMKSNVIRNLPKNVPY >Ma06_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10057144:10063200:-1 gene:Ma06_g14710 transcript:Ma06_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCRSCLVWVLCFFLCRCLVFVEPSRRVFLYPQSQEISSIVSKQYRTAYHFQPPKNWINADPNGPMYHNGVYHLFYQYNPNSSVWGNIHWAHSVSTDLINWAPLDLAIHPTKPFDINGCWTGSATILPGHQPVILYTGMNRDNQQVQNIAVPGNLSDPFLREWTKPDYNPLMTPSDGIHPDMFRDPTTGWRGADGHWRVALGAEISGNGTALLYKSEDFVRWQRADSPLHSSSASGMWECPDFFPVPIEGREGLDTSVNSKDVRHVLKMSLMEPQSDYYMLGTYDETRDIFVPDNAADDYRMWLRYDYGKFYASKTFFDAKKKRRILWGWLNESDTESDDIAKGWAGIQIIPRKIWLDSRGRQLVQWPIEEVESLRRNEVHLHGFELTTGLHEIKGVKGSQADVEVDFELPSLDRADPFDPTRAMDAPKLCSQEDASVRGGIGPFGLLVLASENLEEHTAIFFRVYREQNTAKVLMCSDQRRQSRSSLRPELDKPVYGVFLDMDLEKERKISLRTLIDHSVIENFGGKGRACITARVYPELFLNTSSHLYLFNNGSSSVMISRFQSWGMAKAHLNIKLKDLVIEN >Ma08_p29810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41282618:41285095:1 gene:Ma08_g29810 transcript:Ma08_t29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSFFLRFLLLLLLVAISSPSIAASLSTVAISRISNVVVVCAVVRPNANRGYDLSCTSLPTGHRRIYRSGPISSFAIAGGDGFLCLLSLSTDVSTMVWWDLYQESYNGWPPDYRRVYSGPPLADLSAGDTHVCGVRGGSLPRPTCWRWNQFTFPEGVYFSDIAVGGDFVCGLLLSREIACFGNDIGVVGQEPPGTYSTVAAGTRHACAVTGDGKLVCWGAGKPEVGVIPIRISSLALGENKTCALGSHGGVMCWGDNSSLPSSLANTEFVAIHAKGSTICGILRINYSLACWGSAVFCHKPVVFEGVLPGTCMPTSSCRCGPLEGSGQLCANGRVVCSLCELGREASSPRAPLPPPPPPASPNAAATPRRRKTVFVVIGSVGFAIGLVTLILCLLYFARCNGRIHDSGAARRPLAPGLPSALARPHGSGGLHAPIECRFSSLFCRGHSAMVEEFPLSVLLAATDNFAESQKVGSGSFGSVYRATLDDGRVVAIKRAETSASSSTTAPGPAENKRPDKESAFLSELALLSRVNHKNLVRLLGYCNQGPERVLVYEFMANGSLHDHLHRLPGATLGSWGARLRVALDAARGLEYLHTYAVPPIIHRDIKSSNILLDETWTAKVSDFGLSLMSPVEDERRPDYHPPDRAAGTVGYMDPEYYRLQHLTAKSDVYSFGVVLLELLTGCRVIHQNEDSGTPRNVVEFAMPHIAADDVHRVLDPRVPTPKPSEIEAVTYVGYLAIDCVSPEGRERPTMTEVVDGLERSLDACEPPSASLSRSTTVRSI >Ma10_p08540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22878387:22886949:1 gene:Ma10_g08540 transcript:Ma10_t08540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MAERQQLVEPEEQVDLDGDNDIEEEEEEEDMMDDEDPVEEEEDNGYQKAREEFGEEDEEERSYGADDDNDEDGAGDGRSEKVPTLEGVNDDAPEAVEGGGGQEEEVEKQVEAEDDEDSRKRAKLLALPPHGSEVFIGGLPRDASEEDLRELAEPFGDIYEVRVMKDKDTKESKGFAFIMFTNSDAAQKAIEGIHEREFKGRKLRCSLSQAKHRLFIGNVPKSLAEDELRKILEDSGPGVEHIEMFKDPQNPARNRGFLFVEYYNHACAEYARQKMTNSNFKIDGTNPTVSWADPKNSADSSAAAQVKAIYVKNLPENVSSERLKELFELNGEVTKVVLPPAKAGQGKRDFGFVHFADRSSALKAVKATEKFEIDGHVLEVSLAKPQADKKPEHAAKPGLIPNLPSYPPYGYSGDPYGAYGGGYGAAGFGQPMIYGRGPMPPGMRMVPMMLPDGRLGYVLQQPGAQPSPPPPPRRGDRSGGSSESGSRGSDGNRGRRYRPY >Ma10_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22878379:22886949:1 gene:Ma10_g08540 transcript:Ma10_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MAERQQLVEPEEQVDLDGDNDIEEEEEEEDMMDDEDPVEEEEDNGYQKAREEFGEEDEEERSYGADDDNDEDGAGDGRSEKVPTLEGVNDDAPEAVEGGGGQEEEVEKQVEAEDDEDSRKRAKLLALPPHGSEVFIGGLPRDASEEDLRELAEPFGDIYEVRVMKDKDTKESKGFAFIMFTNSDAAQKAIEGIHEREFKGRKLRCSLSQAKHRLFIGNVPKSLAEDELRKILEDSGPGVEHIEMFKDPQNPARNRGFLFVEYYNHACAEYARQKMTNSNFKIDGTNPTVSWADPKNSADSSAAAQVKAIYVKNLPENVSSERLKELFELNGEVTKVVLPPAKAGQGKRDFGFVHFADRSSALKAVKATEKFEIDGHVLEVSLAKPQADKKPEHAAKPGLIPNLPSYPPYGYSGDPYGAYGGGYGAAGFGQPMIYGRGPMPPGMRMVPMMLPDGRLGYVLQQPGAQPSPPPPPRRGDRSGGSSESGSRGSDGNRGRRYRPY >Ma10_p08540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22878379:22886949:1 gene:Ma10_g08540 transcript:Ma10_t08540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein Q [Source:Projected from Arabidopsis thaliana (AT4G00830) UniProtKB/Swiss-Prot;Acc:Q9ASP6] MAERQQLVEPEEQVDLDGDNDIEEEEEEEDMMDDEDPVEEEEDNGYQKAREEFGEEDEEERSYGADDDNDEDGAGDGRSEKVPTLEGVNDDAPEAVEGGGGQEEEVEKQVEAEDDEDSRKRAKLLALPPHGSEVFIGGLPRDASEEDLRELAEPFGDIYEVRVMKDKDTKESKGFAFIMFTNSDAAQKAIEGIHEREFKGRKLRCSLSQAKHRLFIGNVPKSLAEDELRKILEDSGPGVEHIEMFKDPQNPARNRGFLFVEYYNHACAEYARQKMTNSNFKIDGTNPTVSWADPKNSADSSAAAQVKAIYVKNLPENVSSERLKELFELNGEVTKVVLPPAKAGQGKRDFGFVHFADRSSALKAVKATEKFEIDGHVLEVSLAKPQADKKPEHAAKPGLIPNLPSYPPYGYSGDPYGAYGGGYGAAGFGQPMIYGRGPMPPGMRMVPMMLPDGRLGYVLQQPGAQPSPPPPPRRGDRSGGSSESGSRGSDGNRGRRYRPY >Ma06_p26300.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28142920:28144619:1 gene:Ma06_g26300 transcript:Ma06_t26300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLVDQMAIPCFPSHARVVAIALCLLLLFSFWSCCRNHLASAFLATLFVLVLSTFLPFSLPRIRQLIKSDSIQKPSPADQVFADKKVDAAVAGSGEGELTHAWTSSDSGTPDSLSRSASQFSNFDDDDDESLLEDACHSTESSEDSISDDENLIEISLPDGHFVAPEKPKALMKPLPDTRRGFLTNRLPDSVLRQHGLMELLSEINEEDNLIEIDITRGSIKYSREGIKA >Ma06_p26300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28142920:28144619:1 gene:Ma06_g26300 transcript:Ma06_t26300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLVDQMAIPCFPSHARVVAIALCLLLLFSFWSCCRNHLASAFLATLFVLVLSTFLPFSLPRIRQLIKSDSIQKPSPADQVFADKKVDAAVAGSGEGELTHAWTSSDSGTPDSLSRSASQFSNFDDDDDESLLEDACHSTESSEDSISDDENLIEISLPDGHFVAPEKPKALMKPLPDTRRGFLTNRLPDSVLRQHGLMELLSEINEEDNLIEIDITRGSIKYSREGIKA >Ma06_p26300.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28142459:28144619:1 gene:Ma06_g26300 transcript:Ma06_t26300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLVDQMAIPCFPSHARVVAIALCLLLLFSFWSCCRNHLASAFLATLFVLVLSTFLPFSLPRIRQLIKSDSIQKPSPADQVFADKKVDAAVAGSGEGELTHAWTSSDSGTPDSLSRSASQFSNFDDDDDESLLEDACHSTESSEDSISDDENLIEISLPDGHFVAPEKPKALMKPLPDTRRGFLTNRLPDSVLRQHGLMELLSEINEEDNLIEIDITRGSIKYSREGIKA >Ma06_p26300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28143360:28144619:1 gene:Ma06_g26300 transcript:Ma06_t26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLVDQMAIPCFPSHARVVAIALCLLLLFSFWSCCRNHLASAFLATLFVLVLSTFLPFSLPRIRQLIKSDSIQKPSPADQVFADKKVDAAVAGSGEGELTHAWTSSDSGTPDSLSRSASQFSNFDDDDDESLLEDACHSTESSEDSISDDENLIEISLPDGHFVAPEKPKALMKPLPDTRRGFLTNRLPDSVLRQHGLMELLSEINEEDNLIEIDITRGSIKYSREGIKA >Ma06_p26300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28142920:28144619:1 gene:Ma06_g26300 transcript:Ma06_t26300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLVDQMAIPCFPSHARVVAIALCLLLLFSFWSCCRNHLASAFLATLFVLVLSTFLPFSLPRIRQLIKSDSIQKPSPADQVFADKKVDAAVAGSGEGELTHAWTSSDSGTPDSLSRSASQFSNFDDDDDESLLEDACHSTESSEDSISDDENLIEISLPDGHFVAPEKPKALMKPLPDTRRGFLTNRLPDSVLRQHGLMELLSEINEEDNLIEIDITRGSIKYSREGIKA >Ma08_p34810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44807145:44812310:-1 gene:Ma08_g34810 transcript:Ma08_t34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPELQRSPKNPQSDVERWKHRVDEYNSKVDELEQRVNEVLEHYATRKQPKTSKGSSGGKVKEMDKFSAINNSGSNHSGGKLVEGCPKEVICSKRMQELMRQFGTILKQMSQHKWAWPFMEPVDVKGLGLDDYHEVIKRPMDFSTIKNQMEAKDGSGYKNVREIYADVRLVFANAMTYNDDRTDIHVMAKTLLEKFEEKWLQLLPKVVEEEARQKEDEAWDLANMQIFHEASYETMAKDTSSELDELNLHLEKLRELVIQKCRKMSTKEKRELSVGLSSLPPEDLNKALEIIAQNNPIFRATGEVVDLDMDAQ >Ma04_p27870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29036269:29036964:-1 gene:Ma04_g27870 transcript:Ma04_t27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDSSAVPPNHDHRKQEPEITLRPFDLSDVGAFMTWASDPRVTRFQRRGPCTSEEDARRYLADHVLPHPWYRAICVDGRPVGSINAKPGQGEERFKASVAYRLAYQHWGRGIATAALRAAVSSLLEEWPHLERLEAIAEVENPASQRVLEKAGFLREGLLRKYVMLHGKSRDVVMYSLLATGPNSDDI >Ma06_p34000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34410389:34416517:-1 gene:Ma06_g34000 transcript:Ma06_t34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARQESFPDKIQRFRGVILVVAVPLLLISFVLFLMPRSPATISIAGRKAMPGGGEPRSKSYAVIFDAGSSGSRVHVYCFDENLDLLHIGMELELFIQKKPGLSFYANDPQEAANSLVPLLEKAESVVPVELRQKTPVRVGATAGLRALGAETSEKILQAVRDLLQRKSSLKFNPDWVTVLDGTQEGAFQWVTINYLLGKLGKSYAKTVGVVDLGGGSVQMAYAISEKDAANAPKVSDGQDSYVQELFLKGTRYYLYVHSYLHYGLLAARAEILKITEDRSNCILGGYDGSYKYGGKAYKASASPSGASFSKCRDDAIKALKVDEPACTHMKCTFGGIWNGGGGDGQKHLFVASFFFDRAAEAGFVDSEKPTAKVKPAYFKKAAKQACQLSVEDAKTKYPLVQDDNLPYLCMDLVYQYTLLVDGFDVDPDHDITLVKKVKYADAVVEAAWPLGSAIEVASSP >Ma01_p05470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3835915:3863668:1 gene:Ma01_g05470 transcript:Ma01_t05470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDIGLAAAINILVAIAFLVAFAILRLQPINDRVYFPKWYLKGVRSSPTCSGAFVRKFVNLNLSSYLRFLGWMPAALRMPEPELINHAGLDSVVYLRIYLIGLKIFVPMAILAFAVLVPINWTNTDTLKNSEVEFSNIDKLSISNIPLSSQRFWAHLVMAYIFTSWTCYVLFKEYEIVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHRDHYLTHQVVYNANKLSKLVKKKKQMQNWRDYYQLKYDKDPSKRPAVKTGFLGLCGEKVDAIDFYKSKIDELSKKETTEQEMIKKDPKSIMPAAFVSFRTRWGAAVCAQTQQTRNPTLWLTEWAPEPRDVYWQNLAIPFVSLTVRRLIIAVAFFFLTFFFMIPIAFVQSLANIEGIEKVVPFLKPVIEVGTIKSFIQGFLPGIALKIFLILLPTILMLMSKFEGFTSLSALERRSASKYYLFLLVNVFLGSIITGTAFQQLNRFIHQSANEIPRTIGVSIPQKATFFITYIMVDGWAGIAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIDFASSEPKIQLYFLLGLVYAVVTPFLLPFIIIFFALAFVVFRHQIINVYNQEYESGAAFWPDVHRRIITALIISQLLLLGLLSTKHAVNSTPLLLVLPVLTIWFHRFCKNRYEPAFVKFPLQEAMMKDTLEHAREPNLDLRAYLLNTYVHPVFKDGEDDDSFSDDGEQECENVLVPTKRQSRKNTPVPSKYNGSSSPSLPDIAKEEL >Ma01_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3835915:3863668:1 gene:Ma01_g05470 transcript:Ma01_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDIGLAAAINILVAIAFLVAFAILRLQPINDRVYFPKWYLKGVRSSPTCSGAFVRKFVNLNLSSYLRFLGWMPAALRMPEPELINHAGLDSVVYLRIYLIGLKIFVPMAILAFAVLVPINWTNTDTLKNSEVEFSNIDKLSISNIPLSSQRFWAHLVMAYIFTSWTCYVLFKEYEIVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHRDHYLTHQVVYNANKLSKLVKKKKQMQNWRDYYQLKYDKDPSKRPAVKTGFLGLCGEKVDAIDFYKSKIDELSKKETTEQEMIKKDPKSIMPAAFVSFRTRWGAAVCAQTQQTRNPTLWLTEWAPEPRDVYWQNLAIPFVSLTVRRLIIAVAFFFLTFFFMIPIAFVQSLANIEGIEKVVPFLKPVIEVGTIKSFIQGFLPGIALKIFLILLPTILMLMSKFEGFTSLSALERRSASKYYLFLLVNVFLGSIITGTAFQQLNRFIHQSANEIPRTIGVSIPQKATFFITYIMVDGWAGIAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIDFASSEPKIQLYFLLGLVYAVVTPFLLPFIIIFFALAFVVFRHQIINVYNQEYESGAAFWPDVHRRIITALIISQLLLLGLLSTKHAVNSTPLLLVLPVLTIWFHRFCKNRYEPAFVKFPLQEAMMKDTLEHAREPNLDLRAYLLNTYVHPVFKDGEDDDSFSDDGEQECENVLVPTKRQSRKNTPVPSKYNGSSSPSLPDIAKEEL >Ma01_p05470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3835538:3863668:1 gene:Ma01_g05470 transcript:Ma01_t05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDIGLAAAINILVAIAFLVAFAILRLQPINDRVYFPKWYLKGVRSSPTCSGAFVRKFVNLNLSSYLRFLGWMPAALRMPEPELINHAGLDSVVYLRIYLIGFWAHLVMAYIFTSWTCYVLFKEYEIVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHRDHYLTHQVVYNANKLSKLVKKKKQMQNWRDYYQLKYDKDPSKRPAVKTGFLGLCGEKVDAIDFYKSKIDELSKKETTEQEMIKKDPKSIMPAAFVSFRTRWGAAVCAQTQQTRNPTLWLTEWAPEPRDVYWQNLAIPFVSLTVRRLIIAVAFFFLTFFFMIPIAFVQSLANIEGIEKVVPFLKPVIEVGTIKSFIQGFLPGIALKIFLILLPTILMLMSKFEGFTSLSALERRSASKYYLFLLVNVFLGSIITGTAFQQLNRFIHQSANEIPRTIGVSIPQKATFFITYIMVDGWAGIAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIDFASSEPKIQLYFLLGLVYAVVTPFLLPFIIIFFALAFVVFRHQIINVYNQEYESGAAFWPDVHRRIITALIISQLLLLGLLSTKHAVNSTPLLLVLPVLTIWFHRFCKNRYEPAFVKFPLQEAMMKDTLEHAREPNLDLRAYLLNTYVHPVFKDGEDDDSFSDDGEQECENVLVPTKRQSRKNTPVPSKYNGSSSPSLPDIAKEEL >Ma01_p05470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3835532:3863668:1 gene:Ma01_g05470 transcript:Ma01_t05470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDIGLAAAINILVAIAFLVAFAILRLQPINDRVYFPKWYLKGVRSSPTCSGAFVRKFVNLNLSSYLRFLGWMPAALRMPEPELINHAGLDSVVYLRIYLIGLKIFVPMAILAFAVLVPINWTNTDTLKNSEVEFSNIDKLSISNIPLSSQRFWAHLVMAYIFTSWTCYVLFKEYEIVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHRDHYLTHQVVYNANKLSKLVKKKKQMQNWRDYYQLKYDKDPSKRPAVKTGFLGLCGEKVDAIDFYKSKIDELSKKETTEQEMIKKDPKSIMPAAFVSFRTRWGAAVCAQTQQTRNPTLWLTEWAPEPRDVYWQNLAIPFVSLTVRRLIIAVAFFFLTFFFMIPIAFVQSLANIEGIEKVVPFLKPVIEVGTIKSFIQGFLPGIALKIFLILLPTILMLMSKFEGFTSLSALERRSASKYYLFLLVNVFLGSIITGTAFQQLNRFIHQSANEIPRTIGVSIPQKATFFITYIMVDGWAGIAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIDFASSEPKIQLYFLLGLVYAVVTPFLLPFIIIFFALAFVVFRHQIINVYNQEYESGAAFWPDVHRRIITALIISQLLLLGLLSTKHAVNSTPLLLVLPVLTIWFHRFCKNRYEPAFVKFPLQEAMMKDTLEHAREPNLDLRAYLLNTYVHPVFKDGEDDDSFSDDGEQECENVLVPTKRQSRKNTPVPSKYNGSSSPSLPDIAKEEL >Ma06_p30290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31592624:31603066:1 gene:Ma06_g30290 transcript:Ma06_t30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSYSFTYKGSIPDAINESRNQKKLFMVYISGADENSVKMDQTWLDERVAESISKCCIFLHLTAGSLDASQFSAIYPQKSTPSICAIGLNGVMLWHYDGYITIENLIENIDKAWAALHMQEAALTLLTAALASKEPEPLNSSPNAVSSEQVVSSDLNVPSTSADKSSQDSEPRPLTDSQQLEDERLGESNSQKDNLLGEETCSQMDTPNDVKIGSIDGSSSSRNIAEEALSILSDVNSETYSYAANSNVPVECSTMDMTQAATKSEPDTRSARCGPIPSEITTEAEEETPPAKKVDGSSGFSDAVKSKDVHLNIRIPNGTSLQTKLTLADTLRSVKIFVDENLDTMVGSYNLAVPYPRKLFNDEDMTRTLSELGFASREALIVVPHRQGTRAPRGQSSSNDSQNGVTRTVSSENTGGYFDYVKRILSYVNPLSYLGGNSSSANSETTESDGLWQYRPNPAAIQNHLSGAPRRLSSSSDQSNQNTDSHNTSGGVRPSPSRQFGSNIHTLRHDDDPSGDKNMFWNGNSTQFGGDDKK >Ma03_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9305112:9305267:-1 gene:Ma03_g12040 transcript:Ma03_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYVYEFFRVSVYECWKHMRYWISIRIARSDLLESCLVFGPITRCAKIMW >Ma11_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5685387:5685987:1 gene:Ma11_g07090 transcript:Ma11_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma00_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:42314251:42315769:-1 gene:Ma00_g04910 transcript:Ma00_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVRRSYEVEGIESAVALPLGCARHPRHGPASPLGLHQGRLHLIS >Ma10_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4641954:4642538:-1 gene:Ma10_g01410 transcript:Ma10_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHHLSVVPDQLTAVQDMPAKLPWSLLAVMALLLGSTGVFPAAAAAVAGEAGRKVIVAVEGLVSCQDCASVGTWELAGSRRLPAARVGITCKDHRGRVVLYRAATADDNGYVFAELYTTTMRGGYFDPAESCAVRLLVSPDDRCSSVTDVNGGDRGTPLRYQNTTIPGQYADLDVYVAGPLAFKPAYCPPKTT >Ma03_p25190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29307669:29322777:-1 gene:Ma03_g25190 transcript:Ma03_t25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRGTEFSHDPATVLVPTRFVWPYGGRRVFLSGSFTGWSEHLPMSPVEGCPTVFQVICSLTPGLHQYKFYVDGEWRHDERQPFATGNYGIVNTIYITREPNPPPALLSPGTPNSRMSMDVDPETFQHVGAASDGTVQDAALRISEADIKISRQRVSTFLSAHTAYDLLPDSGKVVALDVNLPVKQAFHILHEQGVSVAPLWDSIRGQFVGVISALDFILILRELGNRGSNLTEEELETHTISAWKDGKHQMYGQLDEHGRQLQRHIIHAGPYDSLKDVALKILQNKVSTVPIVHSMAHDGSFPQLLHLASLSGILRCICRHFRHSSSSLPILQQPICRIPLGTWVPRVGDQSGRPIVVLKPNASLGLVLSLLVQAEVSSIPIVDENESLVDTYSRSDITTLAKDTAYAQIHLDEMSIHQALQLGQDANSPYGIFNGQRCQMCLRSDPLHKVMERLANPGVRRVIIVEAGSKRVEGIISLGDVFRFLLG >Ma03_p25190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29307669:29322777:-1 gene:Ma03_g25190 transcript:Ma03_t25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRGTEFSHDPATVLVPTRFVWPYGGRRVFLSGSFTGWSEHLPMSPVEGCPTVFQVICSLTPGLHQYKFYVDGEWRHDERQPFATGNYGIVNTIYITREPNPPPALLSPGTPNSRMSMDVDPETFQHVQGAASDGTVQDAALRISEADIKISRQRVSTFLSAHTAYDLLPDSGKVVALDVNLPVKQAFHILHEQGVSVAPLWDSIRGQFVGVISALDFILILRELGNRGSNLTEEELETHTISAWKDGKHQMYGQLDEHGRQLQRHIIHAGPYDSLKDVALKILQNKVSTVPIVHSMAHDGSFPQLLHLASLSGILRCICRHFRHSSSSLPILQQPICRIPLGTWVPRVGDQSGRPIVVLKPNASLGLVLSLLVQAEVSSIPIVDENESLVDTYSRSDITTLAKDTAYAQIHLDEMSIHQALQLGQDANSPYGIFNGQRCQMCLRSDPLHKVMERLANPGVRRVIIVEAGSKRVEGIISLGDVFRFLLG >Ma03_p25190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29307669:29322777:-1 gene:Ma03_g25190 transcript:Ma03_t25190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRGTEFSHDPATVLVPTRFVWPYGGRRVFLSGSFTGWSEHLPMSPVEGCPTVFQVICSLTPGLHQYKFYVDGEWRHDERQPFATGNYGIVNTIYITREPNPPPALLSPGTPNSRMSMDVDPETFQHVQGAASDGTVQDAALRISEADIKISRQRVSTFLSAHTAYDLLPDSGKVVALDVNLPVKQAFHILHEQGVSVAPLWDSIRGQFVGVISALDFILILRELGNRGSNLTEEELETHTISAWKDGKHQMYGQLDEHGRQLQRHIIHAGPYDSLKDVALKILQNKVSTVPIVHSMAHDGSFPQLLHLASLSGILRCICRHFRHSSSSLPILQQPICRIPLGTWVPRVGDQSGRPIVVLKPNASLGLVLSLLVQAEVSSIPIVDENESLVDTYSRSDITTLAKDTAYAQIHLDEMSIHQALQLGQDANSPYGIFNGQRCQMCLRSDPLHKVMERLANPGVRRVIIVEAGSKRVEGIISLGDVFRFLLG >Ma03_p25190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29307669:29322777:-1 gene:Ma03_g25190 transcript:Ma03_t25190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRGTEFSHDPATVLVPTRFVWPYGGRRVFLSGSFTGWSEHLPMSPVEGCPTVFQVICSLTPGLHQYKFYVDGEWRHDERQPFATGNYGIVNTIYITREPNPPPALLSPGTPNSRMSMDVDPETFQHVQGAASDGTVQDAALRISEADIKISRQRVSTFLSAHTAYDLLPDSGKVVALDVNLPVKQAFHILHEQGVSVAPLWDSIRGQFVGVISALDFILILRELGNRGSNLTEEELETHTISAWKDGKHQMYGQLDEHGRQLQRHIIHAGPYDSLKDVALKILQNKVSTVPIVHSMAHDGSFPQLLHLASLSGILRCICRHFRHSSSSLPILQQPICRIPLGTWVPRVGDQSGRPIVVLKPNASLGLVLSLLVQAEVSSIPIVDENESLVDTYSRSDITTLAKDTAYAQIHLDEMSIHQALQLGQDANSPYGIFNGQRCQMCLRSDPLHKVMERLANPGVRRVIIVEAGSKRVEGIISLGDVFRFLLG >Ma03_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1950986:1952186:-1 gene:Ma03_g02890 transcript:Ma03_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIDPLVVGRVIGEVLDSFNPSVKMLVTYNSNKLVFNGHELYPSAVTSKPRVEVQGGDMRSFFTLVMTDPDAPGPSDPYLREHLHWIVTNIPGTTDASFGREMVSYESPRPNIGIHRFVFVLFKQQRRQAVSPPSSRDYFSTRRFAEENDLGLPVAAVFFNSQRETAARRR >Ma10_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25784779:25788755:-1 gene:Ma10_g12750 transcript:Ma10_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTGRSVALLFLVLMEFLGWKSCVVDAKPRRILLDTDMDTDDFFALLYLLKQNRSQFDLKAITISANAWADAGHAVNHVYDILYMMNRDDIPVGVGGDGGILDDGTILPHVGGYLPLIEQGMSTAGDCRYRQAIPVGGHGRLDVNTNYGLRRSFLPQGRRRYIPLQQPTAQKVLIDTVSAGPTVLFVIGSHTNIALFLMTNPHLKKNIEHIYIMGGGVKSKNPCCTNNPSTSCEPQHCDRKGNLFTGYISNPYAEFNIFGDPFAAYQVFHAGIPVTLVPLDSTNTIPINEEFFDVFQQQQETFEAQYCFKSLKIIRDNWFDNQFYTSYFMWDSFTSGVAIEIMSKSDNYDGENEFAEMKYLNITVVTSNEPYGVRDGSNPFFDGRAVPEFNLQKGGVHSGHVQTGLQDPFCIVKGSDRGICQDGYTKEETGPLAVQVLVAQEAKPNQDVHSPLNRQFFKSFLDVLNVHHRSGRFNFTTQFPFYREILYKPNRTNRTLGRGNVFTVPSNEFAEFNMFLDPLAAKKVMESNLRITLIPLSAQQKASSFKRTLQNLKLAEKTPESIFAHSLLSLLDKLQQKQPKLYHHMEIFLGEVLGAVFLVEHSKLNATMQTEKITILTGNMSLDGQITVDKRYGKLVNIVDEFDSEAYYKLLADLLGDKQQSAVIGSFDEQQKIWRTPPK >Ma05_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8197220:8198647:1 gene:Ma05_g11350 transcript:Ma05_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDSVCLIFVLFLLPCSAMACDRCVHQARAGYSSSSAFSVGACGYGSLALGFSGGYVAAAGSSLHRGGIGCGACFQVRCKNTKICSSGGVKVILTDLNKISDTDLALNRPAYAAMARYGMAKELKKLGIVDVEYKRIPCEHNKNLSIRVEEKSQSPNYLAIKVLYQGGQTDIVAVDVAQVGSSDWQFMSREYGPVWSTNRAPPGPLQLRMVVTGGYDGKWVWSQEEVLPVDWKTGSVYDLRVQITDIAQEGCFTCDAKDW >Ma01_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3735612:3743035:1 gene:Ma01_g05320 transcript:Ma01_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYADLDRQIEHLRECKFLPEAEVKALCEQARTILVEEWNVQSVKCPVTVCGDIHGQFHDLIELFRIGGEAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSLDTLDNIRALNRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLTLVARAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAIMEIGENMEQNFLQFDPAPRQIEPETTRRTPDYFL >Ma10_p24830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33253242:33256290:-1 gene:Ma10_g24830 transcript:Ma10_t24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEKEEEEVPLLRNREVGEASEPGLLRRVWSESKKLWRIVGPAIFLRITSYSMNLATQAFAGHLGDLELAAMSVAATFSGFSFGLMLGMASALETLCGQAYGAKKHHMLGVYLQRSWIVLFGCAVLLLPVYIMATPLLELMGEPAELAREAGRVCIWIIPMHLSFAFLFPLNRFFQSQLKNSVSAVTSGLVLAVHIFLSWLVVYKLDQGLRGAALTLCFSWWLQVLGQFAYVACGRCPETWKGFSMDAFLELWEFVKLSAASGVMLCLENWYYRVLILLTGSLKNAEIAVDAISICMNINNWELMIPLAFFAGTGVRVAIELGAGNSRGAKFATGVSAVTSTVIGLFTCCLIVAFHDKLALIFSSSTVVLNAVDKLSLLLATAILLNSIQPILSGVAVGAGWQATVAYVNVGSYYLIGIPVGVIMGSVFHLGVRGIWAGMIGGTAVQTLVLIYLTMRCDWDKEALKASARMEKWTISRKS >Ma06_p16530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11191722:11194000:-1 gene:Ma06_g16530 transcript:Ma06_t16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVITIGHLLQRAASSPLSHHLPGGHAFEDGDFEEEEKEEKGVGIWDEEGHSASATPGASHKGKIREMESFIYEVFDAASAVKRAYVGVQEAHSPWDPDKLRVADAVVVAELRKLGRLRDRFRRGCFSPSSAGPPAAPLRDAVAPYEATIEDLKGQLSAKNAEVDSLNEKLRSATLGGLGRKGRLHSGKRVGRITVLGAPGTRTPELFEAYMEQVKSASKTFTSHLLSLMRSAGRDVAAVFRSIIEGGGGGDGAAKDRAPAIPNLEARHAKYALEAYVNGKLFQGFENETFYLEGSLSSLINPAEFRRDCFTQFQDMRGMEPEQLLGILPGCPFGRFAASKYLAVVHDKMGESLFDGGSEQRQQVLAGAHPRTAFYGEFLRLAKAVWLLHLLAFALDPAPAHFEASRGAEFHPNYMESVARFTGGRVPPASVVGFPVGRGFRLGNGSVVRARVYLTPRAQPQ >Ma06_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11191722:11194000:-1 gene:Ma06_g16530 transcript:Ma06_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVITIGHLLQRAASSPLSHHLPGGHAFEDGDFEEEEKEEKGVGIWDEEGHSASATPGASHKGKIREMESFIYEVFDAASAVKRAYVGVQEAHSPWDPDKLRVADAVVVAELRKLGRLRDRFRRGCFSPSSAGPPAAPLRDAVAPYEATIEDLKGQLSAKNAEVDSLNEKLRSATLGGLGRKGRLHSGKRVGRITVLGAPGTRTPELFEAYMEQVKSASKTFTSHLLSLMRSAGRDVAAVFRSIIEGGGGGDGAAKDRAPAIPNLEARHAKYALEAYVNGKLFQGFENETFYLEGSLSSLINPAEFRRDCFTQFQDMRGMEPEQLLGILPGCPFGRFAASKYLAVVHDKMGESLFDGGSEQRQQVLAGAHPRTAFYGEFLRLAKAVWLLHLLAFALDPAPAHFEASRGAEFHPNYMESVARFTGGRVPPASVVGFPVGRGFRLGNGSVVRARVYLTPRAQPQ >Ma09_p31260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41058642:41061837:-1 gene:Ma09_g31260 transcript:Ma09_t31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19830) UniProtKB/Swiss-Prot;Acc:O81864] MGTALYPTLSASPLSSSNPHLPPKPVTPLIPRLTTRRSLLASSAAFLSSVPLLDLPPSAAALPPPSSPAPSAPAFFEIPGSGGVKALDLRVGSGETPIDGDQVSIHYYGRLAAKQGWRFDSTYDHKDTMGDPVPFLFVLGSGKVISGIEAAVKSMKVGGTRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVSSRHS >Ma03_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4235130:4240012:-1 gene:Ma03_g06120 transcript:Ma03_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWIGSSLLSRSRSASSRALAYSLFRKDGSASGVFRRFSIAAAIEEPISPPVQVKYSQLLINGKFVDSASGKTFPTLDPRSGEVIAQVAEGDAEDVNRAVTAARKAFDEGPWPRMTAYERSRILNRFADLIEKHNDEIAALETWDNGKPFEQASQIEIPMLARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTIVLKTAEQTPLSALYVSKLFLEAGLPEGVLNVISGFGPTAGAALASHMDVDKLAFTGSTSTGKIVLELAARSNLKPVTLELGGKSPMIVMDDADIDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFIEKAKARAIKRVVGDPFIKGVEQGPQIDEEQFSKILHYIKSGVEDGATLVTGGTRAGTKGYYIQPTIFTDVQDGMKIAQEEIFGPVQSILKFKDLNEVIQRSNKTRYGLAAGVFTNNLHTANTLMRALRVGSVWINCFDVFDAAIPFGGYKMSGQGREKGIDSLKNYLQIKAVVTPLNNPAWL >Ma03_p06120.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4235130:4241737:-1 gene:Ma03_g06120 transcript:Ma03_t06120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRIASSALFRSLAAPLFRKGIDGSASGVFRRFSIAAAIEEPISPPVQVKYSQLLINGKFVDSASGKTFPTLDPRSGEVIAQVAEGDAEDVNRAVTAARKAFDEGPWPRMTAYERSRILNRFADLIEKHNDEIAALETWDNGKPFEQASQIEIPMLARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTIVLKTAEQTPLSALYVSKLFLEAGLPEGVLNVISGFGPTAGAALASHMDVDKLAFTGSTSTGKIVLELAARSNLKPVTLELGGKSPMIVMDDADIDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFIEKAKARAIKRVVGDPFIKGVEQGPQIDEEQFSKILHYIKSGVEDGATLVTGGTRAGTKGYYIQPTIFTDVQDGMKIAQEEIFGPVQSILKFKDLNEVIQRSNKTRYGLAAGVFTNNLHTANTLMRALRVGSVWINCFDVFDAAIPFGGYKMSGQGREKGIDSLKNYLQIKAVVTPLNNPAWL >Ma03_p06120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4235130:4241746:-1 gene:Ma03_g06120 transcript:Ma03_t06120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRIASSALFRSLAAPLFRKDGSASGVFRRFSIAAAIEEPISPPVQVKYSQLLINGKFVDSASGKTFPTLDPRSGEVIAQVAEGDAEDVNRAVTAARKAFDEGPWPRMTAYERSRILNRFADLIEKHNDEIAALETWDNGKPFEQASQIEIPMLARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTIVLKTAEQTPLSALYVSKLFLEAGLPEGVLNVISGFGPTAGAALASHMDVDKLAFTGSTSTGKIVLELAARSNLKPVTLELGGKSPMIVMDDADIDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFIEKAKARAIKRVVGDPFIKGVEQGPQIDEEQFSKILHYIKSGVEDGATLVTGGTRAGTKGYYIQPTIFTDVQDGMKIAQEEIFGPVQSILKFKDLNEVIQRSNKTRYGLAAGVFTNNLHTANTLMRALRVGSVWINCFDVFDAAIPFGGYKMSGQGREKGIDSLKNYLQIKAVVTPLNNPAWL >Ma03_p06120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4235130:4240012:-1 gene:Ma03_g06120 transcript:Ma03_t06120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWIGSSLLSRSRSASSRALAYSLFRKGIDGSASGVFRRFSIAAAIEEPISPPVQVKYSQLLINGKFVDSASGKTFPTLDPRSGEVIAQVAEGDAEDVNRAVTAARKAFDEGPWPRMTAYERSRILNRFADLIEKHNDEIAALETWDNGKPFEQASQIEIPMLARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMYAWKVGPALACGNTIVLKTAEQTPLSALYVSKLFLEAGLPEGVLNVISGFGPTAGAALASHMDVDKLAFTGSTSTGKIVLELAARSNLKPVTLELGGKSPMIVMDDADIDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFIEKAKARAIKRVVGDPFIKGVEQGPQIDEEQFSKILHYIKSGVEDGATLVTGGTRAGTKGYYIQPTIFTDVQDGMKIAQEEIFGPVQSILKFKDLNEVIQRSNKTRYGLAAGVFTNNLHTANTLMRALRVGSVWINCFDVFDAAIPFGGYKMSGQGREKGIDSLKNYLQIKAVVTPLNNPAWL >Ma11_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5459088:5466595:-1 gene:Ma11_g06830 transcript:Ma11_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTDISEELAHIEGQISDIFRALSNGFQKLEKIKDSNRRSRQLEELTGKLRECKRLIKEFERVMKEEEIRNTHDTNKRLNEKKQSMIKELNSYVALKKQHASENKRLDLFDGPDGGDVFAEENVLLASSMTNQQLMDNGNRMMDETDQAIERSKKVVEETVNVGAETAASLKAQTEQMSRIVNELDSIHFSIKKASQLVKEIGRQVATDRCIMGMLFLIVIGVITIIIVKLVHPNNKDIRDIPGLAPPANRKLL >Ma04_p33140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32931612:32933248:-1 gene:Ma04_g33140 transcript:Ma04_t33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEASHQLVWEGISSPIAVQLLDFCDDDGSAASDIFTLTDHHPSQPCLPHREDGSSSSSSSTSSSISTSSAATTAIATNTPLYGYAGDAAPFSPFSSLYALLDAPSVSLDPEPGLARYPSSSSSSQNPPPHTLPPPMFPLAPTPTYVGDPFDHILLTETIPSGFSLDPAMVVPMPATGGPQCQQQNPQAAYGEQHYAAAMQQPQELVGLDAPPCGFLEGTLGMGAALYGGGGGGEPQGFFGMAATGPDAALVGLGDAAEGSDLGTFGQETIARAYSPGDLQVINGGGQHLMVGCSGNSRPPLPATDVSPLEDSSYKVGRLSVEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELGELARLRSSNHEFEDEEEVAIKEEDILNTSDILAHLSGVNSLKCNYTLESWI >Ma05_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11843702:11845488:-1 gene:Ma05_g15590 transcript:Ma05_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAAMAGSCFAAAKAFLRSYPEVLLSIFCFVFFCYFGRVFRRSSFPVDWPLAGMLPGLLLNLDHLHDWCTDLLRVVGCNFFFRGPWFLGMDYLVTCDPANLQHVFSANFSNYPKGGEFSEIFDILGDGIFNSDGESWKKQRMKAHGLMNGRRFRSFVASSIRNKVEKGLIPLVDDVVRRGAVVDLQDVFMRLTFDATSYLVFGVDPCCLSIGLPTVPFARAIDDAMGALLLRHTVPPAWWKLARWLRIGDEKKLAMSWKVIDRYIAESIAEKKKLRSLRNKKDVGSEAKADLLSSYINDDDDEEQQDSHRQGSTEFDKFVRDTAMNFMLAGRDTTGAALTWFFWLLCKNPLVESKILEELSSTPLRKQRSSSNDMTIFDTEELSKLVYLHAALCESLRLFPPVPFEHKAALRHEILPSGHRVEAGTKILVSLYSMGRMEGIWGKDCLEFRPERWISEKGRVRHEPSYKFMPFNSGPRTCLGKEVAFTQMKAVVAAMVYNFQVQVLPGHVVEPKLSIILHLKNGLRVKIKRRAGDDQQQ >Ma10_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28520737:28525551:-1 gene:Ma10_g16970 transcript:Ma10_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPTTFPTNLRTLPSVDRRPSVHVGWRRRHRNPDTCAYPTKKQATAESTEDDNYGGVAPYATNAPFVSALMAFAERDAAYFCFPGHNGGKAAPAMLSQLFGPDVFTYDSTPLPWIGNLFSSEGPLTAAQKLAAEVFGSSETWFLVGGSTCGVMASIMATCSPGDFLVLPRNAHISATHAMVLSGAIPKYITPEYSSLWDVAGGVTPSQVETAIEELKEVGKRAAAVLITSPTYQGICCKIDEITKLCHSHGVPVIVDEAHGAHFKFHPRLPMTALEQGADLVVQSTHKVLSSLSQSSMLHMSGHRVDRERVRRCLEALQSTSSSYLLLASLDAARAQLSENPGAILGKAMEMADLARLQIGRIPGLSILDASCFSSDFPDMDPLRVTVGVSRLGLTETCSCQTKKQAAAESAEDGGYGGADPYATNAPFVSALMALAELDPACFQFPGHNRGKAAPAMLSQLIGPDVFTYDLAPIPRIGNLSSSKGPISDAQKLAAELFGSSETWFLVGGASCGVMASIMATCSPGDFLVLPRNAHISATHAMVLSGAIPKYITPEYSSLWDVAGGVTPSQVETAIEELKEGGKRAAAVLITSPTYQGICCKIDETTKLCHSHGVPVIVDEAHGAHFKFHPRLPMTALEQGADLVVQSTHKVLSSLSQSSMLHMSGHRVDRERVRRCLEALQSTSSSYLLLASFDAARAQLSENPGAILGKAMEMADLARLQIGRIPGLSILDASSFSSDFPNMDPLRVTVGVSRLGLTGFKVNELLCEEHGVIPQFEGMRSVTLVFNWGTSMEHTERLITALSHLSTRFLDENRSQNQVGSGAITPFAGFRRELSPREAFFAKKRKVNIGESLGEICGELICTMPPGIPVLNPGEVITREALDYLQDARNKGAVIMGAADPRLSSMLVCSE >Ma04_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18781146:18794416:1 gene:Ma04_g17750 transcript:Ma04_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQQQIAAILGAAESGPFESLIGQLMSASNEQRAQAESLFNLCRDLHPDALVLKLASVLHSSPSPDLRAMSAVLLRKLLTHRGGGDSDAPLWPRLSPSSQSSLKSLLLSVLHREPDRSIAKKVADTVSALAVSLLPDAAWNDLLPFLFHAVSAPDTSPRLQESALLVFAQIAYVLADDASFVGPHLPTLHSLLLSALSHPSSPDVRVSALSAAVNLVTSLESAADRNRLADLLPAMMRTLTESLNSGQEAAAQEALELLVELAGAEPRFLRRQLADVVGAMLQIAEADGLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFVSRLFAVLMKMLLDIEDDPAWHSAEVQDEDAGETSNYGVAQECLDRLAIAVGGNTIVPVASELLPAYLAAPEWQKHHAALITLAQIAEGCSKVMLKNLEQVITMVLSSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHQRVLPALATAMDDFQNPRVQAHAASAVLNFCENCTPDILTPYLDGIVGKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKVILMNATNKSNRMLRAKSMECISLVGMAVGKEKFRDDAKQVMEVLMALQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDDDIEDSDDESVETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVAAMPELLRSAKLAIEKGQAQGRDETYVKQLADYIIPALVEALQKEPETEICASMLDSLNECMQLAGLLLSENQVRSIVDQIKHVITASAARKKERAERTKAEDFDAEEEEVLKEENEQEEEVFDQVGDCLATLIKTFKASFLPFFDELSLYITPMLGKDKTAEERRIAICIFDDVAEQCQEAALKYYDTYLPFLLEACNDENADVRQAAVYGVGVCAEFGGSVFRPLVGEALSRLNNVIRHPDAMHSDNVMAYDNAVSALGKICQFHRDGIDATKVFPAWLGCLPIKNDLIEAKIVHEQLCSMIERSDRELLGPNNQHIPKIVSVFAEVLCAGKDLATEQTISRMINLLKQIQQTLPPAVLASTWSTLQPQQQLALQSILSS >Ma06_p32020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32992304:33000309:1 gene:Ma06_g32020 transcript:Ma06_t32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGSKAAHFVSDITTVLLNPISDEPSKPHEEDMETKSIEKEDSQDDSEDPDGPDTSSFTAFLISLLSSSNPSNHPTEELNEHHAEAGEWTSNSAPNENSGRISLLARGKRTFGKAIHKAAKISGFRQNSEPKIDHNIINEPELTGCDSRSVTVTKDSATHFDLPNMSEPSLLLSENMRAALYFSLPALVKGRNWLLLYSTWRHGISLSTLYRRSALCPGYTLLVVGDTKGAVFGGLVEAPLQPTNQRKYQGTNNNFVFSDLSGNPVIFRTTGTNHYFTLCSSEFLALGGGGHFALYLDGDLLTGSSSSSETFANSCLAHSEDFQVKEVELWGFVYASKYNEMLDLCRVEKPGICRW >Ma10_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26309779:26316465:1 gene:Ma10_g13550 transcript:Ma10_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDNNKFGHDLTSRNSSNSMSGVPIKKRLFRLTQHSSPIPQEPDVPGQDCGPMCLEPESTTTDNLTHPTFTILPEPPAYKEDIEMKSSSVKYAYLPNSLWQLTSSLDAKNNTHNLVNQVRAETCSSDLPLAPLKTFSETNAKVCAANSVTRLNLDLNIPVNVWDAAVNGSVIECNMHKVLNDSDKCQEKLEACTKQTIPLRINGSDVTPAKSVSGRGHYDQRLSNLGMPAEKHKSADLGLDLQLKPPGRPELCINWSAVAPDLSLSLRGNVSNSSKNIKPEPYTGKYCHLGKPNIVGLGHVKPEPSNQNYQDETAKKTFASDQKQEARSLVKMEPPKDQSREFYNRPAVGMLNTESDNNKPTQHMEVSGTVEAIDLNSVIVPVEKVCEVDGSVMIVNTNINTDQRTCVASASVVNSSYVESCKVEETLNSTCNLEHEEGSATKGADLNADSVVLGVKENLVGYVNSEDFCMPSDPYHESAGPVALDGMSEGSAELDCSDGEYYISSKSVAEGKLHLDSAGETLVGEKKQESMGVSSEIQENSADSQSPYDSPHFHRDEVLTHGADTGDFKNRNILLHGAIESSCDEGEKADKDRDLVPYGAIESSSVEREKADSKIAASSTAPEDVTFKMPSTLVVSNETQLQVSDARTSRSDETSDGNLCNDKNDTGSSLTTDLLESSGGRVPTVSSGTRPTKPSEKANRKVKGSLIKSRSAGSSSSEVVKTSKDDISSQQVKAKEADVPVSNPLSSELELDTNGLADQHVDNMGKSNQIRKVSSLVTNKSSFEKMKLSSSRLVISQTDRVRLIDKSHRWERSHSQGCRGERCNHRFLKYRSNDQDQPTRKRSYGLVNTRRDGNQMSVYGRDSGPRYAPKVNDSKGYRFFRPCSNQEGPLRIVADASVGSTGKSFRRFMDDEQPHRTRFPYRRHSPGACDRGVQISGRPSREVSPSRSAGRHVPDIPISCLPNEMIDPFLYADPCVKYEQAENHSMWRERSLSPTQRRGTPIHFPRMRSPYQFSPPRRSPDMGYGGHPELMQHRSPPVVKIDQMRSPPRRLCLPEYAMVRNDLPHSCLPNDMREMQELDLPRPGRGSQRNIRRFDLSDQQEVTEDYFGSLHFAQPLPLAHAEDELLARRKFDDNCSYQRSYEDHHPVGGDSEEPFLYPGDCSPARCSPGRAVRFYPKREGFSNRVSLRSPVMRMKNQLGSTTNRSRSMAEQEDYRNRSRPAWRDDSFNDIRLKRRRV >Ma10_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15553631:15555208:1 gene:Ma10_g04910 transcript:Ma10_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECMDLPPGFRFHPTDEEIITHYLSPKVVDHGFSARAIGDVDLNRCEPWDLPGRAKMGAKEWYFFCQRDRKYPTGTRTNRATEAGFWKATGKDKEIFKGRGVLVGMKKTLVFYRGRAPKGEKTSWVMHEYRLEGTSCLSHLPKYAKDDWVVCRILHKDVVPVLDRIKSFGNDPFIDPLYGCATRPGSSFSSKEESFDFRNIPASFSSMMGMENQQVMSHQVPTNPPANPTFFAPAPPQSSCYLNYLHREDEALMLRAFAATGTDAPCAIRRLYNMEQCSNHSMGCPSQETGLSTDHNTEISSVASRVYDDLDVPSSTRPVLDLENIWKY >Ma08_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10958725:10959667:-1 gene:Ma08_g13810 transcript:Ma08_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWENKQEKTIHHITHLHPLKLTDLRGDEAKPVCHACRHPSAASAYRCERCRYVLDPTCARLPQVLRHPTPPIPPTLCNACSQNGAAFCFHCARCQFDRHLPCDGLAEKLSRPSHPHPVTLVHQDPTSGRGYLCDLCRGAFDASSQWLYSCRACDFGGHISCFVSGTKPAEQATAAQRQIDPAAALSGMQQKLMAQMFMADTMAQTGRNAVALTGGPREYVYYNSAPLPSQYAGMADSMVMNNLALLSLNDQKTGGGGGGPAGGDVASSAGNTSTLS >Ma01_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3828436:3829150:1 gene:Ma01_g05450 transcript:Ma01_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWQPAGPWTVSTRHQWSAPFTTSGGPSLLRRLQGHAPSSNQVVGFLTLVISGCILLVLAGLTLAGAVLGLTFFGPIVLLTSPMWVPVGFVMFVVSAAVLSACGFGVAALAGASWLYNYFMGRQPAGSDRVDCARSRIADGPSDVTDYAREHGGYARSRVKDAAPGA >Ma10_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7498107:7503585:-1 gene:Ma10_g02220 transcript:Ma10_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRFPGRRRSSWELQRSALVSCSLVLLSQFALAMVPRLFPFLSLLAMLPIAAMVMVATILLGRCWRRFLGISASAPAFVLFNILFLWGVYVSTIRQAIPSLLDAILNAECALLLYGLYRILSGDPGMVSYSSSPVESGQNDFAALNVLYEQNSPSFSRVRYCKSCKSSIKGFDHHCPAFGNCIGRKNHRLFIILLAGFLIAESSYTMCSTKFLTKSADSQRMESIHASSLVISTTLFCILQVLWQVVFLIWHIYCICFNIKTEEWINWKRYPEFQLVMEPQPGSPIVQTRFTNPYDKGLLGNILDFIKSKD >Ma04_p29120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30079357:30081082:1 gene:Ma04_g29120 transcript:Ma04_t29120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILTDEQIIEFQEAFCLFDKDGDGCITLEELGTVIKSLGQDPSEEELQEMIREVDSDGNGTIEFGEFLNLMARKVKETNIEEELKEAFKVFDKDQNGFISATELRNVMINLGEKLTDEEVDQMIREADLDGDGQVNYEEFVRMMMAV >Ma04_p29120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30079346:30081096:1 gene:Ma04_g29120 transcript:Ma04_t29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILTDEQIIEFQEAFCLFDKDGDGCITLEELGTVIKSLGQDPSEEELQEMIREVDSDGNGTIEFGEFLNLMARKVKETNIEEELKEAFKVFDKDQNGFISATELLQLRNVMINLGEKLTDEEVDQMIREADLDGDGQVNYEEFVRMMMAV >Ma09_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35519834:35523406:1 gene:Ma09_g23780 transcript:Ma09_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRFPLSRLEVAAATGVLASYMLGLVGLYLTMPASDYRFLKLPRTLEDLRILRDHLESYTSDYTMQVLMCYFTVYIFMQTFMIPGTVFMSLLAGALFGVAGGMVLVIVAATAGASSCFFLSKMIGRPLVFSLWPEKLTFFQAQVAKRREKLFNYLLFLRVTPTLPNTFINMASPIVNVPYRVFFLATSIGLIPAAYVTVRAGTALGELKSVADLYDFQSIATIFFIGIVTLTPTFLSKGQK >Ma06_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8836620:8840757:-1 gene:Ma06_g12790 transcript:Ma06_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPVALFLPTAAHILLLLLLAGAASRSAADTNLGDLASMQVLATALGADKALDWSASADPCTAWAGVACSDGRVTAIQVGNRSLAGSLPADVRNLTSLVRLELQNNRLAGPLPSLAGLASLQVLLFHGNLFSSIPPDFFSGLSSLQAVFLDDNPLAAWPLPASLSDAAALVNFSANNANVSGPLPDFLATAFPGLDHLGLAFNLLSGPVPSAFAAASFRSLWLNNQVGPSRLNGGIAFVENMTALEELWLQSNGFSGPLPDFSALTNLRNLELRDNQLTGVVPRSLVELKSLSKVTLTNNLLQGPVPVFPDSVTLDLVPGSESFCLKSAGECDDRVTLLLSIAKSFGYPERFAENWKGNDPCGWLGISCDADGNITVINFSRMSLNGTISPDFSAFTSLQRLLLPNNNLTGTIPSTLTNLTSLKELDVSNNMLWGKIPSFSKNVLVMTGGNVNMGKDVAPPGSDSGSAPNGSDSDPAGSVDVSGNSSGKASSGSVGVIAGSVIAVVAGVSLVGLLSFCYYKKKLQNSGRVQSPNTTVIHPRHSGSDQDMVKITVVGSSMNGGATASESYSQASSGPSDVHVVDAGNMVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMEAGVMGTKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERLLVYEYMPQGTLSRHLLDWKEEGLKPLEWKKRLSIALDVARGVEYLHNLAHQSFIHRDLKPSNILLGDDMKAKVADFGLVRLAPDGKGCSVETRLAGTFGYLAPEYAVTGRVTTKADVYSFGVILMELITGRKALDESQPEESVHLVTWFRRMQLNKDTFRKAIDPTIDLDEETITSISTVAELAGHCCGREPHQRPDMSHAVTVLASLAELWKPSDPDSEDSYGIDLDMSLPQALKKWQAFDDSSHFDGATSSFLASLDNTQTSIPTRPPGFADSFTSADGR >Ma02_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12884310:12884832:1 gene:Ma02_g01980 transcript:Ma02_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTATQDLDVLHHTFDMGSLKTHLPPKRKGLSRYFSGESKSFACLADAKCIDDLKKTDVPESKKRKYLDRQGYSMHIPYYAVATLR >Ma06_p38890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37510096:37519640:-1 gene:Ma06_g38890 transcript:Ma06_t38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVLLVTWLSLTNNNKEADQRMVFPGELGVYVDNIAFQRIGYSMDDRSKRT >Ma08_p27600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39791676:39796682:-1 gene:Ma08_g27600 transcript:Ma08_t27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPISRSARAGLQFPVGRIHRQLKSRIQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Ma08_p27600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39791676:39796647:-1 gene:Ma08_g27600 transcript:Ma08_t27600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPISRSARAGLQFPVGRIHRQLKSRIQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Ma08_p27600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39791676:39796613:-1 gene:Ma08_g27600 transcript:Ma08_t27600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPISRSARAGLQFPVGRIHRQLKSRIQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Ma07_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8097487:8099229:-1 gene:Ma07_g10930 transcript:Ma07_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVTEEIERLLGYAFRSQSLLAEALTHSSYPDHRSYHRLEFVGDAALSLAITKHLYLTNPDLGPGRLSALRAANISTEKLARVAVRHRLYRFLRRNSHALDQMVFDFTNLVMMEREEEIGWAPYGGSTVKAPKVLADIVESIAAAVYVDCNFDLELLWKVLRGILEPIITSENMDEQPVTTLYELCQKQGRSIEIKNWKRGFVNNISVFIDGDLMGIGCSEQRSIAKLNAARDALQKLSALEEADMDVELSSAAGNGTAEEKDGSKQKLNQFCSKKHWIMPIYKIEKEEGPAHCKRFICSVQVETEGCTFITFGDPKSRVKDAENSAASKMLADILVGR >Ma03_p08900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6541143:6547488:-1 gene:Ma03_g08900 transcript:Ma03_t08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAESGDRLRRATTVAATAAATTTKMMIPASDSGGASAGEEDPSLAKRVADRYLKREVLGEGTYGIVFKAIDTKTGQTVAIKKIRLGKYKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMESDLEAVIRDRNIVLSPADVKSYLQMTLKGLAYCHKKWVVHRDMKPNNLLIASDGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLYGAKQYGAGVDVWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKPSQWPDMVFLPDYVEYQYVPAPPLRTLFPMASDDALDLLSKMFTYDPKARITVQQALEHRYFSSVPAPTKPSLLPRPPPKGESQNHKPSDFISQDGPLVLSPQRKLRRVTLHQDGLEGNVYQLDKAGEHVKEVRNMDGTTGQSGSMPMSIDLGAVFGSRPPPRPTLNSVDRSHLKRKLDLDPEFDYH >Ma03_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6541143:6547488:-1 gene:Ma03_g08900 transcript:Ma03_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAESGDRLRRATTVAATAAATTTKMMIPASDSGGASAGEEDPSLAKRVADRYLKREVLGEGTYGIVFKAIDTKTGQTVAIKKIRLGKYKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMESDLEAVIRDRNIVLSPADVKSYLQMTLKGLAYCHKKWVVHRDMKPNNLLIASDGQLKLADFGLARIFGSPDRKFTHQGSSDIDQLGKIFAAFGTPKPSQWPDMVFLPDYVEYQYVPAPPLRTLFPMASDDALDLLSKMFTYDPKARITVQQALEHRYFSSVPAPTKPSLLPRPPPKGESQNHKPSDFISQDGPLVLSPQRKLRRVTLHQDGLEGNVYQLDKAGEHVKEVRNMDGTTGQSGSMPMSIDLGAVFGSRPPPRPTLNSVDRSHLKRKLDLDPEFDYH >Ma07_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8238462:8243058:-1 gene:Ma07_g11090 transcript:Ma07_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDEQDVPDSQGRKKRYHRHTPRQIQELESMFKVCPHPDEKQRAQLSRDLGLEPRQIKFWFQNRRTQMKAQHERADNCLLRAENDKIRCENIAMREAMKNVICPSCGAPPASDDSYFDEQKLRMENARLKEELDRVSSLASKYLGRPITQLPPVQPLSVSSLDLSVGGYSNPGISPSLDLDLLCRNSSSAFPYTFPAAVSELEKPLMMEMATGAMEEVIRLVQADAPLWVKSGSDGRDILQLETYDRIFQRSNRQLRFPDTQTEASRDSALVFMNATTLIDMFMDASKWAELFPTIVSKARTFEVLAAGMAGSRSGSLILMYEELQVLSPVVPTREFCFLRYCQQIEPHVWAVADVSVDYPRDNQLAPSRSRKLPSGCLIEEMSNGYSKITWVEHMEIEEKNPIHILFRDLINSGMAFGAQRWLTTLQRMCERFACLTITGLPARDLGVTPTPDGKKSMMKLAQRMVNNFCANVGAANGHKWTTLSGLNDVGVRVTLHKSTDAGQPNGVVLSAATSIWLPISTERVFSFFKDEQTRTQWDVLANGNTVQEVAHITNGSHPGNCISLLRGLNSGQNTMLILQECCTDASGSVVVYSPIDLPAINIVMSGEDPSYVPILPSGFTILPDGRSAGGQGASSSSNPLGGSSGSLVTVAFQILMSSLPSAKLNLESVTTVNNLIGTTVQQIKAALNCPDV >Ma04_p29480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30414431:30414748:1 gene:Ma04_g29480 transcript:Ma04_t29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSKQVNENSRNLNFKCLSCFNIVFISRSSSSSSRKYNVVTGFNKKKRGIKLDSEAYILKCFLVLLRNIWIRMEQVEIRFCTLHMDDNNYTKRCCHFCLGMNLI >Ma03_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9063300:9064619:1 gene:Ma03_g11670 transcript:Ma03_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLKSHEEGDQEHHRHSNPVHPNSQHGLISPSAPVRQLLVSCAELVHRGDLPAARHTGSLLLATASPYGDSTDRLVHQFARALSLRVDPLFPSVDAASPEALQSSYLSFNQITPFLRFAHLTANQAILEAVDGHRQVHILDFDTSHGLQWPPLLQAISERSDPNNPPSIRITGTGRNLDVLRRTGDRLQTFADSLGLGFEFHALLFPSTTSDPSSSTTTDFTSSSLRLHPGEILTVNCVLFLHNLLQDGSGSDDSRDLRAFLQAVRAMNPAVVTVAEREANHNAPIFFQRFMEALDYYTAVFESLEATLPPTSRERAAVEQVWLGREIEDVVAREGERRRERHERFDRWETLMRGAGFTNLPLSPFALSQARLLLRLHYPSEGYQLHTVRDSFFLGWQNKPLFSVSSWH >Ma08_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:13283508:13284634:1 gene:Ma08_g14590 transcript:Ma08_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGTDKSVMKLAVLFFNSFLALLLSTTPVNALNIGVQSVNVGISASKQQCSRKCESQHCNVPPFLRYGKYCGILYTGCSGEKPCDALDACCMVHDACVQSRNNDYLSQECNQNLLNCISRVRESGKGSFKGNKCMVEEVVDVISLVMEAALLAGRVLHKP >Ma00_p00050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:52793:54446:-1 gene:Ma00_g00050 transcript:Ma00_t00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILENFVAASLLLLLPLLLPCVGSLRDTPRLVQSRRNGQPPNPLQLTPQLSTQITVHAFLLWVSVGFLMPVGIIIIRVSHRVHCITKLKALFYAHVIVQTVAILLATAAAVLSVINFENSFSNTHQRLGAALYALIWIQPVVAFLRPHRGTKLRGVWYLLHWLLGTGVCVLGVANVYIGLHTYRERSSRSVSLWASLLTAAVSIVAVVYLLQDKWEYLMKQARVGDEQVTPSPLGGSHKESEMNQLWMPCFFYKQK >Ma00_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:52722:54446:-1 gene:Ma00_g00050 transcript:Ma00_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILENFVAASLLLLLPLLLPCVGSLRDTPRLVQSRRNGQPPNPLQLTPQLSTQITVHAFLLWVSVGFLMPVGIIIIRVSHRVHCITKLKALFYAHVIVQTVAILLATAAAVLSVINFENSFSNTHQRLGAALYALIWIQPVVAFLRPHRGTKLRGVWYLLHWLLGTGVCVLGVANVYIGLHTYRERSSRSVSLWASLLTAAVSIVAVVYLLQDKWEYLMKQARVGDEQVTPSPLGGSHKEINYGCHASFTSRSERYQKQEGELVVELQLQRR >Ma04_p20020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22697198:22746439:1 gene:Ma04_g20020 transcript:Ma04_t20020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTQMLLDAVVSRSFVKTAQLMIDEDGSVKDSASSELRRSRDQVRVLEQKLYQLMDKLLGQEKNETSTLEMCIVNGRCCIKVMTDRSTIFDGLLLSSGSRAGSILEPIAAVPLNDELQRARALVIKAEEEVLSKLADKMLAEIDDIQNLLQIIIRLDVITARAKYSLAYDGTFPDIYMPNHIGGEPSDSLSQGATTSSASSHPSRRNWKLYMPKSYHPLLLKRHLEDLHNAKKDVVDATAEIRRNLLGKHIEGNDGDARLASMKLRVSELEKNYPVPVDLMITENTNVLVITGPNTGGKTISLKTVGLASLMTKTGLYVLASEPVKIPWFDGIYADIGDEQSLTQSLSTFSGHLRQIGAIRSQSTHKSLVLLDEVGAGTNPLEGAALGMSILESFAETGSFLTIATTHHGELKMLKYRNDAFENACVEFDELSLKPTYKILWGVPVVSCRL >Ma04_p20020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22705531:22748446:1 gene:Ma04_g20020 transcript:Ma04_t20020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCICGSRAGSILEPIAAVPLNDELQRARALVIKAEEEVLSKLADKMLAEIDDIQNLLQIIIRLDVITARAKYSLAYDGTFPDIYMPNHIGGEPSDSLSQGATTSSASSHPSRRNWKLYMPKSYHPLLLKRHLEDLHNAKKDVVDATAEIRRNLLGKHIEGNDGDARLASMKLRVSELEKNYPVPVDLMITENTNVLVITGPNTGGKTISLKTVGLASLMTKTGLYVLASEPVKIPWFDGIYADIGDEQSLTQSLSTFSGHLRQIGAIRSQSTHKSLVLLDEVGAGTNPLEGAALGMSILESFAETGSFLTIATTHHGELKMLKYRNDAFENACVEFDELSLKPTYKILWGVPGRSNAINIAERLGLNFVIVDGARKLLGTANAEINEVIVDMERFKQSFQEHLQEAEHYLMLSKELRESLLVAKKKIADHAVKLKNRKTRAVLDSASVARSLLRSKLLQQQLQFRESSEVESEKGRVVSSRQSAEDLEQSKSCDISPGGRSLSSEASKAAGVDEQSKIPVAGDMVHVPSLGMQVVVSKVEETKGEIIVQAGNMKLRLKLKDIQSRRSRTS >Ma04_p20020.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22697198:22748529:1 gene:Ma04_g20020 transcript:Ma04_t20020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTQMLLDAVVSRSFVKTAQLMIDEDGSVKDSASSELRRSRDQVRVLEQKLYQLMDKLLGQEKNETSTLEMCIVNGRCCIKVMTDRSTIFDGLLLSSGSRAGSILEPIAAVPLNDELQRARALVIKAEEEVLSKLADKMLAEIDDIQNLLQIIIRLDVITARAKYSLAYDGTFPDIYMPNHIGGEPSDSLSQGATTSSASSHPSRRNWKLYMPKSYHPLLLKRHLEDLHNAKKDVVDATAEIRRNLLGKHIEGNDGDARLASMKLRVSELEKNYPVPVDLMITENTNVLVITGPNTGGKTISLKTVGLASLMTKTGLYVLASEPVKIPWFDGIYADIGDEQSLTQSLSTFSGHLRQIGAIRSQSTHKSLVLLDEVGAGTNPLEGAALGMSILESFAETGSFLTIATTHHGELKMLKYRNDAFENACVEFDELSLKPTYKILWGVPGRSNAINIAERLGLNFVIVDGARKLLGTANAEINEVIVDMERFKQSFQEHLQEAEHYLMLSKELRESLLVAKKKIADHAVKLKNRKTRAVLDSASVARSLLRSKLLQQQLQFRESSEVESEKGRVVSSRQSAEDLEQSKSCDISPGGRSLSSEASKAAGVDEQSKIPVAGDMVHVPSLGMQVVVSKVEETKGEIIVQAGNMKLRLKLKDIQSRRSRTS >Ma04_p20020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22697198:22748287:1 gene:Ma04_g20020 transcript:Ma04_t20020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTQMLLDAVVSRSFVKTAQLMIDEDGSVKDSASSELRRSRDQVRVLEQKLYQLMDKLLGQEKNETSTLEMCIVNGRCCIKVMTDRSTIFDGLLLSSGSRAGSILEPIAAVPLNDELQRARALVIKAEEEVLSKLADKMLAEIDDIQNLLQIIIRLDVITARAKYSLAYDGTFPDIYMPNHIGGEPSDSLSQGATTSSASSHPSRRNWKLYMPKSYHPLLLKRHLEDLHNAKKDVVDATAEIRRNLLGKHIEGNDGDARLASMKLRVSELEKNYPVPVDLMITENTNVLVITGPNTGGKTISLKTVGLASLMTKTGLYVLASEPVKIPWFDGIYADIGDEQSLTQSLSTFSGHLRQIGAIRSQSTHKSLVLLDEVGAGTNPLEGAALGMSILESFAETGSFLTIATTHHGELKMLKYRNDAFENACVEFDELSLKPTYKILWGVPGRSNAINIAERLGLNFVIVDGARKLLGTANAEINEVIVDMERFKQSFQEHLQEAEHYLMLSKELRESLLVAKKKIADHAVKLKNRKTRAVLDSASVARSLLRSKLLQQQLQFRESSEVESEKGRVVSSRQSAEDLEQSKSCDISPGGRSLSSEASKAAGVGG >Ma04_p20020.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22697198:22748446:1 gene:Ma04_g20020 transcript:Ma04_t20020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTQMLLDAVVSRSFVKTAQLMIDEDGSVKDSASSELRRSRDQVRVLEQKLYQLMDKLLGQEKNETSTLEMCIVNGRCCIKVMTDRSTIFDGLLLSSGSRAGSILEPIAAVPLNDELQRARALVIKAEEEVLSKLADKMLAEIDDIQNLLQIIIRLDVITARAKYSLAYDGTFPDIYMPNHIGGEPSDSLSQGATTSSASSHPSRRNWKLYMPKSYHPLLLKRHLEDLHNAKKDVVDATAEIRRNLLGKHIEGNDGDARLASMKLRVSELEKNYPVPVDLMITENTNVLVITGPNTGGKTISLKTVGLASLMTKTGLYVLASEPVKIPWFDGIYADIGDEQSLTQSLSTFSGHLRQIGVGAGTNPLEGAALGMSILESFAETGSFLTIATTHHGELKMLKYRNDAFENACVEFDELSLKPTYKILWGVPGRSNAINIAERLGLNFVIVDGARKLLGTANAEINEVIVDMERFKQSFQEHLQEAEHYLMLSKELRESLLVAKKKIADHAVKLKNRKTRAVLDSASVARSLLRSKLLQQQLQFRESSEVESEKGRVVSSRQSAEDLEQSKSCDISPGGRSLSSEASKAAGVDEQSKIPVAGDMVHVPSLGMQVVVSKVEETKGEIIVQAGNMKLRLKLKDIQSRRSRTS >Ma04_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22697198:22748446:1 gene:Ma04_g20020 transcript:Ma04_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKIVRVRSLEGPVIKFGSLNKSYTSLWTSYLDRKRMKLPLWRCVLLMGGAASSGSRAGSILEPIAAVPLNDELQRARALVIKAEEEVLSKLADKMLAEIDDIQNLLQIIIRLDVITARAKYSLAYDGTFPDIYMPNHIGGEPSDSLSQGATTSSASSHPSRRNWKLYMPKSYHPLLLKRHLEDLHNAKKDVVDATAEIRRNLLGKHIEGNDGDARLASMKLRVSELEKNYPVPVDLMITENTNVLVITGPNTGGKTISLKTVGLASLMTKTGLYVLASEPVKIPWFDGIYADIGDEQSLTQSLSTFSGHLRQIGAIRSQSTHKSLVLLDEVGAGTNPLEGAALGMSILESFAETGSFLTIATTHHGELKMLKYRNDAFENACVEFDELSLKPTYKILWGVPGRSNAINIAERLGLNFVIVDGARKLLGTANAEINEVIVDMERFKQSFQEHLQEAEHYLMLSKELRESLLVAKKKIADHAVKLKNRKTRAVLDSASVARSLLRSKLLQQQLQFRESSEVESEKGRVVSSRQSAEDLEQSKSCDISPGGRSLSSEASKAAGVDEQSKIPVAGDMVHVPSLGMQVVVSKVEETKGEIIVQAGNMKLRLKLKDIQSRRSRTS >Ma11_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26623865:26626551:-1 gene:Ma11_g23150 transcript:Ma11_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSAPAHAYRSRSIIMNCASAPGARFRAFFSSVGIPKLPASSLTAAETHRSPPKLANGSRVAESPEGTVRDLYEAINGRDVGRLHQLLAPDLEWWFHGQPEHQHLKRLLTGEAEYIAFEFEPQEVASFGSTVVAEGCSPGAVWVHAWTVDPEGVITQVREYFNTSLTVTRLGGDSALSSPASSSSKDSAGSTQCLPVWESRLHQRARKSLPGLVLAI >Ma10_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3881062:3941845:-1 gene:Ma10_g01060 transcript:Ma10_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQQQLKRRKRQKRKLEEEDAASAAESEAGGEGGGEEICCQRSRQALAREVRAKVQVLERTFSWRFADRAAAKRATHILAELAKDEKVVNVIVEEGAVPALVKHLQEPPPLLGSEGSANEGERPFEHEVEKGSAFALGLLAVKVSAVPEHQQLIVDAGALPLLVDLLKRHKKGFNCQVVNSVIRRAADAITNLAHENSNIKTSVRIEGGIPPLVELLKSTDAKVQRAAAGALRTLSFKNYSNKNQIVDCNALPTLILMLQSEDAAIHYEAVHIVQRGAVRPLIEMLQSPDIQLQAMSVFALGRLAQHCCS >Ma05_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9520570:9525371:-1 gene:Ma05_g13140 transcript:Ma05_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVDFHGPNESLYQGGVWRVRVELPDAYPYKSPSIGFINKMYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEEKVKEYCQKYAKPEDIGASPEDKLSDEELSEDEYDSSDEQVVGKPDP >Ma01_p19460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15126101:15133767:-1 gene:Ma01_g19460 transcript:Ma01_t19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLFPGASKTILQVDSSLNSKSLLWRVPEEPQRLISSGAFQKQFLHVKASQNTSSLTTNADINKKNATATLQKKNVYKSTFPSGFQTLVEEVCDQTDVAELKLKVGDFEMLLKRDTGNSKAPISVSAPIESPTTAPPIPSKPMVETISSPSPVAEQESAAATFGSFTNTSAAKTSKLAALDASGQNAYVLVSSSTVGLFQRGRTLKEKRQPPSCKEGDIIKEGQIIGFLDQFGNELPVRSDVAGEVLKIIYEDGEAVGYGDPLVAVLPSFHGIK >Ma01_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15126101:15133726:-1 gene:Ma01_g19460 transcript:Ma01_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLFPGASKTILQVDSSLNSKSLLWRVPEEPQRLISSGAFQKQFLHVKASQNTSSLTTNADINKKNATATLQKKNVYKSTFPSGFQTLVEEVCDQTDVAELKLKVGDFEMLLKRDTGNSKAPISVSAPIESPTTAPPIPSKPMVETISSPSPVAEQESAAATFGSFTNTSAAKTSKLAALDASGQNAYVLVSSSTVGLFQRGRTLKEKRQPPSCKEFSNNRVTSSKKGKSSVSWINLEMNFLLDQMLLEKY >Ma07_p26150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33068138:33069722:-1 gene:Ma07_g26150 transcript:Ma07_t26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVVLYPLPGIGHLVPMLELAKLFLLHDFSVTVVLMDTPIDHPSIDSVIARVSSAYPSISFHRLPPVSSVPDPEAVFTVRLLDVVRLNNPQFLHFVAAHSQTYDVRAVVLDFFCTDADVTAELRLPSYLFWTSGAADLAIFLYFPTLHFATHLSFKDLDDAPLHIPGLPPVPASHMPPDGLDRNRESYKRLLLIAERGPNADGLLINTFESMEVQAVRALQEGAFIPGRRMPPVYCIGPLVADWSGDDRGVKEEKAECVAWLDAQPRGSVVFLCFGSMGTFRAEQLMEIAAGLERSGQRFLWVVRAPEAESVEHQVSEPLTESDLETLLPEGFLERTRQRGLVVKSWAPQVEVLNHRAVGGFVTHCGWNSVMEAIMAGVAMVAWPLYAEQKLNKVFLVDQMRMAVAMEGYDKEMVAAEEVEAKIRWLIESEAGQELRARAVAMKETAVEARREGGSSQRAWVEVVKSLKASSWN >Ma10_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31592167:31595833:-1 gene:Ma10_g22090 transcript:Ma10_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPPKTTTAVSSRSWSIYGRAEITGRYDILGRIGSGAYADVYRGRRRSDGLDVALKEIHDYQSSAREIEALLALRGAPNVVDLLEYFWGEDEDEDAVLVLEFLPADLAAVIRDAKRAGAFAVGEVKQWMIQILRGLETCHRSSVVHRDLKPSNLLISADGILKLADFGQSRILQETRCISIENEQVLQNGTWIPQQQGYMSWPVGLEQQTVQELMPQHQNFQETRFANEDDTLRELDSPKTKNAMYDTDNETSLQDCDASCLATCGTGDVEDDPFKSSYSCEAEEGGADEYGALTSCVGTRWFRAPELLYGSTDYGQEIDLWSLGCLFAELLNLEPLFPGTSDIDQLGRIISVLGNPTEEAWPGCSNLPDYNKIFFNKVENPIGLEACLPNRSASEVNLVKRLLCYNPANRATATETLHDRYFAEEPLPVPVNSLRVPSSRDEPNDSSQGEWATYQETESDSDLDEFGSMDMLVTEKGFSIRFS >Ma06_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8074146:8076406:1 gene:Ma06_g11590 transcript:Ma06_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVLQLIDQQCKGQLDLHDYQLVKDSLCGQIYDSTPVDFTSDLGTRFVLHPSVLKRPHPPRVVSWMAKALATGLDTLFISRFEAERADYWQTLYSSVNVGPFLIFCSEDDELAPFQVICNFAQHLQELGGDVKLIKWNSSLHVGHYNLHASDYRAGVSELLVKAATVYSHRRKKSQGLQCHSISQSVCNLHKVAASSNESLKRVAIDPSDHFFLPSSMEYNGTKESSLQTGELFHMQNIPSINAHGVLGQLLFDVCVPKNIEGWDIKPSASLNGRPKFASAHRPRGPFFPMKCIRRSRL >Ma09_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35252476:35256223:1 gene:Ma09_g23410 transcript:Ma09_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSSSSSSLLSHAKRRRNVAVAAASSAAALLSIFLLVIAPQRALKSPLSLVSDLSISPSPPRPRSKAASLAQVPADKPLSEAEIFPSPEAAVEPPPEAEEARTREERCDLYQGRWERDEEGQYPLYQPGSCPYVDEAYSCHENGRQDRGYLRWRWKPDGCDLPRFNGTDFLERIRGKRLMFVGDSMNRNQFESMLCLLREALPDKSKMYETRGYKITKGRGYFIFKFVDYDCTVEFVRSHFLVREGIRVNRQGNSNPILMIDRIDKSAKRWKRANILVFNTGHWWTHGKTARGKNYYKEGNVLYPQFDATKAYRKAIKTWGRWIDNNVDRSKLIIYRGYSAAHFRGGDWDSGGTCNGETDPIRSGAFLDNYPLKMNIVDKVISRMHVPVVLLNVTKLTNYRKDGHPSIYGKKLTDGAKVSKRRQDCSHWCLPGIPDSWNELIYATLVLKQHPHFFT >Ma07_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5830321:5835365:-1 gene:Ma07_g07850 transcript:Ma07_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVMEYEAVAKQKLPKMVFDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVKWLQTITTMPILVKGVLTAEDTRLAIQSGAAGIIVSNHGARQLDYVPATITALEEVVKAAQGRLPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAAGEAGIRNVLQMLRDEFELTMALSGCTSLKEITRSHIVTEAERNRPVPRL >Ma10_p27260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34625492:34627984:-1 gene:Ma10_g27260 transcript:Ma10_t27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLSIGATRKKKLKVRKKLREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKDTQHPRSYYRCTQGDCRVKKRIERLAEDPRMVITTYEGRHAHSPSHHEEESQASSKLNLFWQ >Ma06_p32490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33422146:33423773:-1 gene:Ma06_g32490 transcript:Ma06_t32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFSFTLFLVLILLLYLLFSAKKNNKKKNLPPSPPSLPFIGHLHLRRKPLHRCLARLTALHGPVLLLRFGARPVLVVDSPATADECFTTHDITFANRPSLPSRKYLLYKNNTTLGSASYGPYWRNLRRIATVEVLSSHRLQSSSDARAKEVRAMARELLRACNAAADEFAKVELKSRLFQLAMNVLMRPIAGKRYYGEEGVVSEESKRFMVTVEEIFALSGASNLGDFIPLLRWVDYGGVRRKLMRLHRVRDEFLQQLIDELRTKGGEESQTTEAKEEKTTISDLLSLQKTDPENYSDQIIKSLISSLLSAGTDATANTIEWAMSLLLNHPNAMDKTRAEIDARVGNGRLLEESDLPSLPYLHCVVAETLRMYPAGPLLVPHESADECVVGGFHVPRGTILLVNAYAMHRDPKTWDEPARFMPERFEGGKGEGKWLAPFGMGRRKCPGEGLAARMMGLTLGTLIQCFEWGRVGDKEVDMAEGSGLSLPKAVALEATCHPRPSLAHLSSEL >Ma05_p04210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3184193:3188460:-1 gene:Ma05_g04210 transcript:Ma05_t04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGYGSISLEEIRNETVDLESIPVEEVFTQLKCSQEGLTTAEGEQRLHIFGLNKLEEKKESKFLKFLGFMWNPLSWVMEIAAIMAIVLDNGGVSSILLSPLPSSVASEHLTMHGCISQGEPPDWQDFIGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKMPGNEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAMGMIIEIIVMYPVQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFVNGLDRDTVVLYAARASRVENQDAIDASIVGMLAEPKEVIHYYHAAAALNFHGSKLFCSRDPCLQARAGIEEVHFLPFNPVDKRTAITYIDSDGKWHRSSKGAPEQIIDLCNLKDDTKKKVHAMIDKFAERGLRALGVARQEVPEATKASAGDPWQFMGLLPLFDPPRHDSAETIRQALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGEKTDDVTGLPIDDLIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWQFDFSPFMILVIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGIVLGAYLAIITVVFFWLVHDTDFFPEKFGVKSIRDNNNELTAALYLQVSIISQALIFVTRSRSWSFVERPGLLLVTAFLAAQLVATVIAVYASWGFARIEGIGWGWAAIIWIFSLVTYFPLDVLKFIIRYALSGRAWDNLLQNKTAFTTKKDYGREEREAQWALAQRTLHGLQVPDTSALFNDNNSYRELSEIADQAMRRAEVARLRELNTLKGHVESVVKLKGLDIDTIQQHYTV >Ma05_p04210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3184193:3188460:-1 gene:Ma05_g04210 transcript:Ma05_t04210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGYGSISLEEIRNETVDLESIPVEEVFTQLKCSQEGLTTAEGEQRLHIFGLNKLEEKKESKFLKFLGFMWNPLSWVMEIAAIMAIVLDNGGVSSILLSPLPSSVASEHLTMHGCISQGEPPDWQDFIGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKMPGNEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAMGMIIEIIVMYPVQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFVNGLDRDTVVLYAARASRVENQDAIDASIVGMLAEPKEARAGIEEVHFLPFNPVDKRTAITYIDSDGKWHRSSKGAPEQIIDLCNLKDDTKKKVHAMIDKFAERGLRALGVARQEVPEATKASAGDPWQFMGLLPLFDPPRHDSAETIRQALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGEKTDDVTGLPIDDLIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWQFDFSPFMILVIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGIVLGAYLAIITVVFFWLVHDTDFFPEKFGVKSIRDNNNELTAALYLQVSIISQALIFVTRSRSWSFVERPGLLLVTAFLAAQLVATVIAVYASWGFARIEGIGWGWAAIIWIFSLVTYFPLDVLKFIIRYALSGRAWDNLLQNKTAFTTKKDYGREEREAQWALAQRTLHGLQVPDTSALFNDNNSYRELSEIADQAMRRAEVARLRELNTLKGHVESVVKLKGLDIDTIQQHYTV >Ma05_p04210.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3184193:3188460:-1 gene:Ma05_g04210 transcript:Ma05_t04210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGYGSISLEEIRNETVDLESIPVEEVFTQLKCSQEGLTTAEGEQRLHIFGLNKLEEKKESKFLKFLGFMWNPLSWVMEIAAIMAIVLDNGGGEPPDWQDFIGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKMPGNEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAMGMIIEIIVMYPVQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFVNGLDRDTVVLYAARASRVENQDAIDASIVGMLAEPKEARAGIEEVHFLPFNPVDKRTAITYIDSDGKWHRSSKGAPEQIIDLCNLKDDTKKKVHAMIDKFAERGLRALGVARQEVPEATKASAGDPWQFMGLLPLFDPPRHDSAETIRQALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGEKTDDVTGLPIDDLIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWQFDFSPFMILVIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGIVLGAYLAIITVVFFWLVHDTDFFPEKFGVKSIRDNNNELTAALYLQVSIISQALIFVTRSRSWSFVERPGLLLVTAFLAAQLVATVIAVYASWGFARIEGIGWGWAAIIWIFSLVTYFPLDVLKFIIRYALSGRAWDNLLQNKTAFTTKKDYGREEREAQWALAQRTLHGLQVPDTSALFNDNNSYRELSEIADQAMRRAEVARLRELNTLKGHVESVVKLKGLDIDTIQQHYTV >Ma05_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3184193:3188460:-1 gene:Ma05_g04210 transcript:Ma05_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGYGSISLEEIRNETVDLESIPVEEVFTQLKCSQEGLTTAEGEQRLHIFGLNKLEEKKESKFLKFLGFMWNPLSWVMEIAAIMAIVLDNGGGEPPDWQDFIGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKMPGNEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAMGMIIEIIVMYPVQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFVNGLDRDTVVLYAARASRVENQDAIDASIVGMLAEPKEVIHYYHAAAALNFHGSKLFCSRDPCLQARAGIEEVHFLPFNPVDKRTAITYIDSDGKWHRSSKGAPEQIIDLCNLKDDTKKKVHAMIDKFAERGLRALGVARQEVPEATKASAGDPWQFMGLLPLFDPPRHDSAETIRQALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGEKTDDVTGLPIDDLIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWQFDFSPFMILVIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGIVLGAYLAIITVVFFWLVHDTDFFPEKFGVKSIRDNNNELTAALYLQVSIISQALIFVTRSRSWSFVERPGLLLVTAFLAAQLVATVIAVYASWGFARIEGIGWGWAAIIWIFSLVTYFPLDVLKFIIRYALSGRAWDNLLQNKTAFTTKKDYGREEREAQWALAQRTLHGLQVPDTSALFNDNNSYRELSEIADQAMRRAEVARLRELNTLKGHVESVVKLKGLDIDTIQQHYTV >Ma08_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3205926:3206429:1 gene:Ma08_g04590 transcript:Ma08_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPSDPPKMMPPQTGLSSVAFPPGRRWQLIAKEKQKPKLGARLAEAAGETAAECAAIVCCCPCGLANLLFTAAVKLPAGLVRRALRHKRKHGAGFGKRKAGLFRNRVGSLDDDDFGIYSGSLLVALEAEEAWPVKVASPEVLALEKEMSKRFYSAGFWRSPSQKE >Ma09_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8844735:8849189:-1 gene:Ma09_g13110 transcript:Ma09_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREALELSDSDEEEGGEQQQQQDQGGTGHRSGETLTPTYSLDEVDRQLQSLKLQYTKPYSSPSPSSQNPSPTNSVKLYLHVGGSSPAARWVLSDKLASYAFFRPSLSGDSDGEDESPSSSPWFLRIGARVRVRVGPELQLKSLPDQRRVDFVADGVWAIKFPTLEGYREFQNQYQSCLFENTYGFAANEANKVKVFGKDFMAWVRPEAEEESIWGDAEEYFESSPGPLAMPTRVNQDLLEEFEEAANGGGIQSLALGALDNSFLVSDSGIQVLRNFSHGVHGKGISVNISRSGGRAGGCSTPKKALLMKAETNMLLMSPAQGNSRATGLHQLDIETGKVVTEWKFEKDGTNITMQDIANDSKGAQLDPSESTFLGLDDNTLCRWDLRDQRGMVQNIAIQMESPVLQWKQGHQFSKGTNFQCFATTGDGSIVVGSLNGKIRLYSDGSMRVAKTAFPGLGSPITHVDVTFDGKWVLGTTDTYLILISTVFKDKYGREMTGFSGRRGHRIAAPRLLKLTPLDSQLAGTDNKFRGGQFSWVTDNGKRERHLVATFGNFSVIWNFQHVKDSNHECYKNQEGLKSCYCYKIVPKDESIVDSRFMHEKFAVSDSPEAPLVVATPMKVSSFSISSGRH >Ma09_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11251062:11252882:-1 gene:Ma09_g15880 transcript:Ma09_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLEMEEFGNREEIRLLVDDDDGLASSCSPAAALCLCRICHEEEEERNTSMESPCGCSGTLKFAHRECIQRWCDEKGSNVCEICLEKFEPGYTIPEKKALIDVGVTIRGSLEVPRLNYDPRNPEFVADDDAGSDRAECSPASRRRASYCRSIVLLLMMILLLRNLIAVITVGDDRYAFTILTVFLLRASGILLPFYLVMRFVSAFQEAHRQDQLHMENTGRLGWEEEEEEEEEEHRIHIRF >Ma03_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11414200:11415707:1 gene:Ma03_g14260 transcript:Ma03_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAHD acyltransferase DCR [Source:Projected from Arabidopsis thaliana (AT5G23940) UniProtKB/Swiss-Prot;Acc:Q9FF86] MTVENGHAETKAAAVKVTNEAMVLPRKAPGKPTCPLITFDLPYMTFYYNQKLLLYKCDEEFGDAAERLKHGLAAALEFFYPLAGRLKQDEEKVVYVECEGEGLVGAEVIEAAAEGVSAVELAEGENPALLQKLVPYTGVMNLEGLHRPLLAVQFTKLKDGLAIGAAFNHAILDGHSTWHFMSSWADLTRGSPAISLLPTHDRIVARSVRLPLSLPASALDHELADPNGPAKPLVARVFSFSESTVDLIKSCANAGLPPGSKPLSTFQSLGAHMWRAVCRARALKPEDITVFVIFADCRSRVDPPMPDAFFGNLIQAIFTGTAAGLLLASPPEFGAGMLQQVIGAHNADAIEKRLEEYEAAPKLFYYSDAGMNCVAVGSSPRFKVYDVDFGFGKPERVRSGSNNKFDGMVYLYPGREGGKSIDVELTLQAEAMERLEKDEEFLVAKA >Ma07_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7303855:7305368:-1 gene:Ma07_g09740 transcript:Ma07_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFKLDAPRQYFATSSLVVGYALCSSLLSVINKFAITMFDYPGLLTALQYLTSAVGVWVLGKLGFLYHDPFVFETAKKFLPAATVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTIFRKQPCPSRLTFFSLVVILGGAVCYVLTDSAFNLTAYSWAIAYLVTITTEMVYIKHMVTNLGLNTWGFVFYNNLLSLMMAPVFWFVTGEYADVFTAYGSSSGIWFNIMTFVAVALSCVFGFLISFFGFAARKTISATAFTVTGVANKFLTVAINVLIWDKHASKIGLICLLLTLVGGVLYQQSVTSNGNLSQQHGSAISKKANGVVRNVDSEDERHDKRLSGRDSTV >Ma05_p16600.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17535552:17560115:-1 gene:Ma05_g16600 transcript:Ma05_t16600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVSADPVAIDAPRGFLFEWWSVFWDIFIARTNEKHSEVAAAYIEVQQIKAREHQQQLQMQQLQLIQQRHAQLQRNNRNLSPASAVNPDGILGPSTASVLAAKMYEERLKNPHSMDSETSSQLLDPSGMALLKSTTSNPGQLVHGNSGSISATLQQMQARNQQTTDIKTEGNLGVPQRSLPMDPSSVYGQGIVPSRSGLGVAGLNQGVSGLPLKGWPLTGLDQLRPNLGPQVQKPFISTPAQFQLMSPQQQQQFLSQAHAQGNLGGSSTIEDMDPRRFRALPKGSLNGKEGQPTGTDGSIGSPIQSDSPKIRHDQAEYLMKMKVAQMQQSSTQQPQEQLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTMGPSPNSPSSIPFTHTAGDGVGIAGNLNHISSMPKSLMMYGADGPGLASSSNQMDDLEHFGDVASLEDNVESFLSQDDGDPRDIFAALKGSPTDQNPGSLKGFTFKEVNCIRTSNSKVVCCHFSSDGKFLASAGHEKKAVLWNMETRQTESTQEEHSLIITDVRFRPNSTQLATSSFDRLVKLWDSAQPSYSLHTFSGHNSQVTSLDFHPMKTDLMCSCDGNGEIRLWNVSQFSCSRVSKGGTVQVRFQPNIGQLVAAAAENVVSIFDVETDRKKHTWQVHTKEVQSVCWDSTGELLASVSQDCVKVWSLTTGECIHELSSNRNKFHSCVFHPSYANLLVIGGYQSLELWNMVENQTKTIQAHEGLIAALAQSPATGLVASASHDKSVKLWK >Ma05_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17535552:17560088:-1 gene:Ma05_g16600 transcript:Ma05_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVSADPVAIDAPRGFLFEWWSVFWDIFIARTNEKHSEVAAAYIEVQQIKAREHQQQLQMQQLQLIQQRHAQLQRNNRNLSPASAVNPDGILGPSTASVLAAKMYEERLKNPHSMDSETSSQLLDPSGMALLKSTTSNPGQLVHGNSGSISATLQQMQARNQQTTDIKTEGNLGVPQRSLPMDPSSVYGQGIVPSRSGLGVAGLNQGVSGLPLKGWPLTGLDQLRPNLGPQVQKPFISTPAQFQLMSPQQQQQFLSQAHAQGNLGGSSTIEDMDPRRFRALPKGSLNGKEGQPTGTDGSIGSPIQSDSPKIRHDQAEYLMKMKVAQMQQSSTQQPQEQLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTMGPSPNSPSSIPFTHTAGDGVGIAGNLNHISSMPKSLMMYGADGPGLASSSNQMDDLEHFGDVASLEDNVESFLSQDDGDPRDIFAALKGSPTDQNPGSLKGFTFKEVNCIRTSNSKVVCCHFSSDGKFLASAGHEKKAVLWNMETRQTESTQEEHSLIITDVRFRPNSTQLATSSFDRLVKLWDSAQPSYSLHTFSGHNSQVTSLDFHPMKTDLMCSCDGNGEIRLWNVSQFSCSRVSKGGTVQVRFQPNIGQLVAAAAENVVSIFDVETDRKKHTWQVHTKEVQSVCWDSTGELLASVSQDCVKVWSLTTGECIHELSSNRNKFHSCVFHPSYANLLVIGGYQSLELWNMVENQTKTIQAHEGLIAALAQSPATGLVASASHDKSVKLWK >Ma05_p16600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17535552:17560088:-1 gene:Ma05_g16600 transcript:Ma05_t16600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVSADPVAIDAPRGFLFEWWSVFWDIFIARTNEKHSEVAAAYIEVQQIKAREHQQQLQMQQLQLIQQRHAQLQRNNRNLSPASAVNPDGILGPSTASVLAAKMYEERLKNPHSMDSETSSQLLDPSGMALLKSTTSNPGQLVHGNSGSISATLQQMQARNQQTTDIKTEGNLGVPQRSLPMDPSSVYGQGIVPSRSGLGVAGLNQGVSGLPLKGWPLTGLDQLRPNLGPQVQKPFISTPAQFQLMSPQQQQQFLSQAHAQGNLGGSSTIEDMDPRRFRALPKGSLNGKEGQPTGTDGSIGSPIQSDSPKIRHDQAEYLMKMKVAQMQQSSTQQPQEQLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTMGPSPNSPSSIPFTHTAGDGVGIAGNLNHISSMPKSLMMYGADGPGLASSSNQMDDLEHFGDVASLEDNVESFLSQDDGDPRDIFAALKGSPTDQNPGSLKGFTFKEVNCIRTSNSKVVCCHFSSDGKFLASAGHEKKAVLWNMETRQTESTQEEHSLIITDVRFRPNSTQLATSSFDRLVKLWDSAQPSYSLHTFSGHNSQVTSLDFHPMKTDLMCSCDGNGEIRLWNVSQFSCSRVSKGGTVQVRFQPNIGQLVAAAAENVVSIFDVETDRKKHTWQVHTKEVQSVCWDSTGELLASVSQDCVKVWSLTTGECIHELSSNRNKFHSCVFHPSYANLLVIGGYQSLELWNMVENQTKTIQAHEGLIAALAQSPATGLVASASHDKSVKLWK >Ma05_p16600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17535552:17560088:-1 gene:Ma05_g16600 transcript:Ma05_t16600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVSADPVAIDAPRGFLFEWWSVFWDIFIARTNEKHSEVAAAYIEVQQIKAREHQQQLQMQQLQLIQQRHAQLQRNNRNLSPASAVNPDGILGPSTASVLAAKMYEERLKNPHSMDSETSSQLLDPSGMALLKSTTSNPGQLVHGNSGSISATLQQMQARNQQTTDIKTEGNLGVPQRSLPMDPSSVYGQGIVPSRSGLGVAGLNQGVSGLPLKGWPLTGLDQLRPNLGPQVQKPFISTPAQFQLMSPQQQQQFLSQAHAQGNLGGSSTIEDMDPRRFRALPKGSLNGKEGQPTGTDGSIGSPIQSDSPKIRHDQAEYLMKMKVAQMQQSSTQQPQEQLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTMGPSPNSPSSIPFTHTAGDGVGIAGNLNHISSMPKSLMMYGADGPGLASSSNQMDDLEHFGDVASLEDNVESFLSQDDGDPRDIFAALKGSPTDQNPGSLKGFTFKEVNCIRTSNSKVVCCHFSSDGKFLASAGHEKKAVLWNMETRQTESTQEEHSLIITDVRFRPNSTQLATSSFDRLVKLWDSAQPSYSLHTFSGHNSQVTSLDFHPMKTDLMCSCDGNGEIRLWNVSQFSCSRVSKGGTVQVRFQPNIGQLVAAAAENVVSIFDVETDRKKHTWQVHTKEVQSVCWDSTGELLASVSQDCVKVWSLTTGECIHELSSNRNKFHSCVFHPSYANLLVIGGYQSLELWNMVENQTKTIQAHEGLIAALAQSPATGLVASASHDKSVKLWK >Ma05_p16600.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17535552:17560088:-1 gene:Ma05_g16600 transcript:Ma05_t16600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVSADPVAIDAPRGFLFEWWSVFWDIFIARTNEKHSEVAAAYIEVQQIKAREHQQQLQMQQLQLIQQRHAQLQRNNRNLSPASAVNPDGILGPSTASVLAAKMYEERLKNPHSMDSETSSQLLDPSGMALLKSTTSNPGQLVHGNSGSISATLQQMQARNQQTTDIKTEGNLGVPQRSLPMDPSSVYGQGIVPSRSGLGVAGLNQGVSGLPLKGWPLTGLDQLRPNLGPQVQKPFISTPAQFQLMSPQQQQQFLSQAHAQGNLGGSSTIEDMDPRRFRALPKGSLNGKEGQPTGTDGSIGSPIQSDSPKIRHDQAEYLMKMKVAQMQQSSTQQPQEQLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTMGPSPNSPSSIPFTHTAGDGVGIAGNLNHISSMPKSLMMYGADGPGLASSSNQMDDLEHFGDVASLEDNVESFLSQDDGDPRDIFAALKGSPTDQNPGSLKGFTFKEVNCIRTSNSKVVCCHFSSDGKFLASAGHEKKAVLWNMETRQTESTQEEHSLIITDVRFRPNSTQLATSSFDRLVKLWDSAQPSYSLHTFSGHNSQVTSLDFHPMKTDLMCSCDGNGEIRLWNVSQFSCSRVSKGGTVQVRFQPNIGQLVAAAAENVVSIFDVETDRKKHTWQVHTKEVQSVCWDSTGELLASVSQDCVKVWSLTTGECIHELSSNRNKFHSCVFHPSYANLLVIGGYQSLELWNMVENQTKTIQAHEGLIAALAQSPATGLVASASHDKSVKLWK >Ma05_p16600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17535552:17560109:-1 gene:Ma05_g16600 transcript:Ma05_t16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVSADPVAIDAPRGFLFEWWSVFWDIFIARTNEKHSEVAAAYIEVQQIKAREHQQQLQMQQLQLIQQRHAQLQRNNRNLSPASAVNPDGILGPSTASVLAAKMYEERLKNPHSMDSETSSQLLDPSGMALLKSTTSNPGQLVHGNSGSISATLQQMQARNQQTTDIKTEGNLGVPQRSLPMDPSSVYGQGIVPSRSGLGVAGLNQGVSGLPLKGWPLTGLDQLRPNLGPQVQKPFISTPAQFQLMSPQQQQQFLSQAHAQGNLGGSSTIEDMDPRRFRALPKGSLNGKEGQPTGTDGSIGSPIQSDSPKIRHDQAEYLMKMKVAQMQQSSTQQPQEQLQQQQQLEQNNRKRKQPSSSGAANSTGTGNTMGPSPNSPSSIPFTHTAGDGVGIAGNLNHISSMPKSLMMYGADGPGLASSSNQMDDLEHFGDVASLEDNVESFLSQDDGDPRDIFAALKGSPTDQNPGSLKGFTFKEVNCIRTSNSKVVCCHFSSDGKFLASAGHEKKAVLWNMETRQTESTQEEHSLIITDVRFRPNSTQLATSSFDRLVKLWDSAQPSYSLHTFSGHNSQVTSLDFHPMKTDLMCSCDGNGEIRLWNVSQFSCSRVSKGGTVQVRFQPNIGQLVAAAAENVVSIFDVETDRKKHTWQVHTKEVQSVCWDSTGELLASVSQDCVKVWSLTTGECIHELSSNRNKFHSCVFHPSYANLLVIGGYQSLELWNMVENQTKTIQAHEGLIAALAQSPATGLVASASHDKSVKLWK >Ma05_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7483648:7487502:1 gene:Ma05_g10380 transcript:Ma05_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPATPLGDRSPVDGVRRSGDIWDVSRSSASSGSSAGGFSSSSSSRNPVRIAARAITSCFGSHDGGLPPSADDSEEFKPPSLTPSVSTQGSSHGSLQGSRPRGMGIYSNGNNPSRSEPGGIRLSIAEIMKATKNFSPSLKIGQGGSGTVYRARLDDGTVVAVKRAKKNTHNSHLNAEFQNEIQTLACIEHLNLVRLHGYLEHQDERIVIVEYVSNGTLREHLDCQRGQILDLATRLDIAIDVAHAITYLHVYSDNPIIHRDIKSSNILLTDNLRAKVADFGFARLFVMDSDVTHISTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVSGRRPIEPRRELTERLTPKWAMKRFTEGNGIQTLDPNLKPSLATSFAVEKILELALLCLAPTKTSRPSMRRCAEILWMVRKDYREFLSSHPLSRHKN >Ma03_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28062268:28065883:1 gene:Ma03_g23520 transcript:Ma03_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Ma09_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:936279:937680:-1 gene:Ma09_g01290 transcript:Ma09_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKNTNEKVEVVHEALHQLLEERKRRRKEEEEEDLLLSNLLLRLESLEKDGIATEGPEESAIEEEPEFSKPVSQNCEKREVGMDEIARELRKVKKQNLITHCLLSVMLVITAVWQFNEVSLLLAVRDKLSHPLRTVGDVVKRCLKGNGKRPQIEALPLPPIAVPELSNADLLPLTLRSEE >Ma09_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:924673:929436:1 gene:Ma09_g01270 transcript:Ma09_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MAAKSKQELGVEGQRHLEETINAAFQILSSMNDELCNPVLWSTLSPGHPSAALAGAGDAPVDSSSHPSEAGGGSGGGVLDEARLRYKSAVLALRSCIAAIPSATQEAGALDSRADAVELERLEERASSLRKELENKNKHLKLLIDQLRDLITDIAMWQSPCSV >Ma04_p33100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32908316:32909842:-1 gene:Ma04_g33100 transcript:Ma04_t33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secondary wall-specific cellulose synthase, Secondary cell wall formatio [Source: Projected from Oryza sativa (Os10g0467800)] MIIFGDAIDLREQSSDSLHNTMKAKTARLGGHRGGIGGLRGAGEVVAHVAEELRAAVRAVAGVHRVDPHGRRGNAEGYQLRRPYQGGHPRNQLRIRREDRVGQGDWVDIWFGDGGHIDGLKMHCRGWKSVYCIPERAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGNLKWLERFAYTNTIVYPFTSIPFLAYCTIPAICLLTVKFIIPTLDNIASVWFLALFLSIIATGILELRWSGVTIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGSVDTNFTVTAKAGEDSEFGKLYLFKWTTLLIPPTTLIILNMVGVVAGVSDANNNGYGSWGPLFGKLFFSFGVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASILSLVWVRIDPFLPRQQGPVLKQCGVEC >Ma00_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19071175:19072949:-1 gene:Ma00_g02580 transcript:Ma00_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKDMQHLTREEDEKACTYAVQLVVGAVLPMTLKAAIELDLLEIVARAGPGAKLSPDGIVAQLPTENPHAAEMVDRILRLLAAYSIVSCSVETGEDGRPSRRYGPAPVCRYLTKNEDGVSLAAASLMNQDKVLMESWYHLKDAVLEGGIPFNKAYGVTTFEYHGSDARFNQVFNECMRNHSTIFTKKVLDVYRGFDDVKVLVDVGGGTGATLRMITSRHPHIKGVNFDLPHVISEATPCPGVEHVSGDMFESVPSGGDAIFMKWILHDWSDEHCVKILRNCWKALPENRKVIVVECVLPIAPEPTPETQSACQGDLMMLAYNPGGKERNQQEYQALANEAGFSGFKLIHVYSITWIMEFTK >Ma05_p31880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41597719:41610269:-1 gene:Ma05_g31880 transcript:Ma05_t31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRYLIRNEYGLADPELHRAADKDDPEAILEGVAMAGLVGVLRQLGDLAEFAAEIFRDLHEEIMGTAARSHGLTLRVQQLEAEFPSVEKSFLSQSSHSSFAYNDGIDWRCTIQMDQNLITQGDMPRFILDSYEECRGPPQLFTLDKFDTAGAGACLKRYSDPSFFKMESVSSGLLETYIPKEKKSRKTRKKGSRWRNCQSLESLLSPHANSNLHPTTSDQVSNKSATKFRRLRSRNSNGTSGSIGINLRKLLLELHSDKQKVVYDNSGSRLNINVNLVDSSELTCELHDTVMDVSANHPLARYASPIKTPTKEVPVLTTYELDCWKEEVEELSEAQYEPFGQVQSPQRIFNFMEKNEKLADSEKKSEGSACDYKLSDLEKTTSLHVVDYTLVEDELKLEGSLDGYRSEDIGSELENFMDALNSMESEVEMDFENKGRPDLGILIKEALEMDIDTSERPEGLQTNILKPGSAEVSTLRLNYIQKGGMSCVPYSDTSSNLTEMPATQEKLISSNSSVNSELCNETHDENRGRPDLGILRKEAHEMDFDSSERPEEKQCDISEPDSAEFSTVSVRLNDIQKSGMSSDVYSDTLSNLASVSATQEEAVSSNSSANSELCDETHGENKGGPYLDILRKEAHEADFDPCKIPEEKQSDISEPDSAEVPTVSVRLNDVQKSGMSSDPSPDTLSNLAVVSETQEVVSSNSSANSELCDETHDKNKCRPDPDVLRKEAHEMGYDTSERPEEKLSDISEPYSAEVFTMSVRLNNIQKSGMSHVACLDTLSNLAVMSATQEEVVSSNSSANSELCDVTHDENKGRLNLDVLRKEAHEMDFERPEEKQSDISEPDSAEVSTMLIRLNNIQKSGMSRVACLDTLSNLAVMSATQEEVVSSNSSADSENCDVTHDENKGKPDLDILRKEVHEMDFDTTERPEDMQTEISEPGSAGLSAVSVNLNNIPKSGMSSIPYLDTLSNLDEMPATQPMVVSSNSSMNSELHGETNDKSCEEILPHDEVVNSAEPKSDEASNRKTFDGLNLDIKDESCSSFVIKSTSSLINIDPKESFDAQKLVTALPNDALAGLNAKGTDETTKCLDGSTYLGSNSPAKPHHAEHVDEPILKDMMETFEMPNDLSCSPIRSVSMDDFGNEYSLVTTVPTAKEMQHSLDQDIETFASEEGTVTNSGGSSLTSIVAFVPDTGMDLQHHQLAADTNKGQHPEETNTETSFDYMKGTDGVAQNMNGSSVQTKDDNVSESLHLTHNPVEQMSEEMSLRPDTSDHLSDTKHESSLAKDFACYIEVPQHLSNVTIGKRTDSMNQDAEVDSTETIFSSVSAIVAINDKDCLTEMENLIAVENLSYSRSEVGFKDADRVAENNVELPETRQLQLEFLANKEESQESPRVSSAEETQESSIMNSEEQVRWCSESETISNRVMNSPMFFLDHLKYPNEFNQNNPQKNIQEIEQIIHIDNVSAENFPSKDEAKSYDPSLMYTQEASGLRSQLLEDSTTSTSVSYGHQLKTREINSPKKVKMLGKADTLNMTVTSEAGQSALDPGVSCSGMSAKHSFNANDAGFLGDIGIMVPAEHVQAYLQTCTHDAHLKYPMESEPDLGSKSFAQGCQRSEKHQTTLLTGILVSDPASLPGVFMSQAGDEVKVESESLHHKGEYLEFGIPFIDIDDKPSDGYEPNYRAFVNNGKDNDLDELPNAGMVGKLCTEALVSSKGSPPEVSSGYAVSNVLDMMFSLVSSSDVTVTESASRLSHEPQSDEPTSSYPMQNPEEPPLPPLEWISRKLPLSHLLPIENSSQSLARTKTFMALSDSKPANLPTAPPLGSSELPPIIVDQIHQHVSLGDAIVHSLNASSSISSSSEYEMSRCACDTQDGLKLSLVDTFTPSATMENQISQDSTSQEEKIQVMSDKRFQLSQLCSGLGLVKPHYSDLSHLNLEKETVEPQNPFLVESALGDANHHRNYGGFGSVNMHLLESSELSFSSGVVPEPNLVYSLEGNQSTLFGFVPTTEDDWFSIKPCSIRNRPRNPLIEAVAAHDRSTLRKVPELARPSNESKADKKDPLLESNILQLRKVSEIVKPSDKPKANERDALLEQIRNKSYSLKPAVLSKPNSKGHPANIKVAAILEKANAIRQVIAGSDEEDGGDSWSDC >Ma10_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25549760:25558765:-1 gene:Ma10_g12510 transcript:Ma10_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVGATGWLRGKVKAVPSGDSLLIMGSTKAEIPPEKTVTLSSLIAPRLARRGGVDEPFAWESREFLRKHCIGKDVTFKVDYTVPSIGREFGTVFLGDKNVAYLVVSEGWAKVREQGQQKGEASPYLTELLHLEEQAKQQGLGRWSKVPGATESAVRNLPPSAIGDPSNFDAMGLLAANKGRPMQGIVEQVRDGSTLRVYLLPEFHFVQVFVAGVQAPSMGRRPTNDTIPVTEVSTDDTNGEISGESQQPLTSAQRLAASSLSITEVAPDPFGREAKHFTEIRVLSRDVRIVLEGVDKYSNLIGSVYYPDGDTAKDLALDLVQNGFARFLEWSANMMEDEAKRKLKAAELQAKKDRLRIWTNYVPPASNSKAIHDQNFTGKVVEVVSGDCIIVADDAVPYGSPLAERRVNLSSIRAPKMGNPRRDEKPVPYAREAREFLRTRLIGHQVNVSMEYSRKVGVADGPSTVVSSSADSRVMDFGSVFLVKSENDETSSPSVNQPGVTNVAEMVVSRGFATLVRHRDFEERSNHYDALLAAESRAINSRKGIHSAKDPPVMHITDLTMASAKKARDFFPFLQRSRRHAAVVEYVLSGHRFKLLIPKETCTIAFSFSGVRCPGREEPFSDEAIALMRRKILQRDVEIEVETVDRAGTFLGSLWESRTNMAVILLEAGLAKLQTSFGSDKIPDAHLLAQAEQSAKHQKLKIWENYVEGQEVSNGSSTEAKQKEMLKVVVTEVLGGGKFYVQPVGDQKVAAIQQQLAALNIKEAPVIGSFNPAKGDIVLAQFSVDNSWNRAMIVNGPRGAAKSHNDTFEVFYIDYGNQEIVPYSLLRPLDSSIPSAPGLAQLCSLAYIKVPNLEDDFGQEAAEYLSECTLNNTKEFSAMIEERDASGGKARGQGTGTVLVVTLVDVGSEVSINAAMLQEGLARLDRKKKWDTRERKAALDGLEEFQAKAKRERLKIWQYGDVQSDDEELAPPPPRKAGGRR >Ma04_p37040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35133456:35134405:-1 gene:Ma04_g37040 transcript:Ma04_t37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNKTAASSAFSSAGASSYDRLLLQTLMSRLQLRPPYLDTNSFLSHSLDDFLLGDRGSDADGSDGDDEGDDEDSGEGSKNRRLLAKEEAKLEKEIMRIVRSGNAVEALKANSGQSVAIGDHNICVAVHEEPGSEYRVWEWHGHIMLFDEENGYTAEYIYGNYFERLPEKKGRKQADDDDEEEIEDGQDKSKLKAGGSLGLRDLIQDLKDSINNGAGRVLHRNSLKDGSVAR >Ma09_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2124091:2126099:-1 gene:Ma09_g03090 transcript:Ma09_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLSSSPSPQDGPQLHHLHRNHRRPVELSPSRQTFSDRMMEDSIAAAEEVISKWGPEVEAPLFSGNGRAEADRFLRVASDLHRSMLFFTSPSANSAASPATRSAVLFRAQSLLSAAMRRLERELHLLLSDHCRLLDSHCSSSSDASTIEDAAESITEMESALDVVMRDLRAVAEAMISAGYTKECVRVYKTVSKSFIDKSIRRLGFERLTQSQVQKLDGSALESRIRAWLAAAPIAFRILFSRERLLCDRIFAGSDAVRESCFADVARDAAAALLAFPESAARSKRSPEKLFRILDLYDTLVELWPDIESLFIFESTAAVRSQAVVSLLRLAEVARSTLADFEAAIERDASRSPVPGGDVHPLTRYVMNYLVFLADYELALDDIFADFPLQTPSPLLDSFFEAAAVATSPTSSHSSPSSASTTISFEGSPWSSSSSAAARSISDRIAWLVLVLICKLDGKAELYREAALSYLFLANNIQYILRKVKESGLGPHLGDEWVARHGAKARHYAASYVQLAWAKVAAAIPADVSGMEAEERMRGINAALEAECRGQAGWVVVSDGGLREEVREAVAEMVVPAYRVFYERCRPMLRDSGAGSTAVVRFSPEDVSNHLNGIFSGSTGSGSSRNRVSGSSKDSNRSQ >Ma09_p25980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37338987:37339657:-1 gene:Ma09_g25980 transcript:Ma09_t25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQASNVIKTAAQARGWGFRNCPCDSESRWGMEPADKITGISVGAASCVNSIKITFEIDGTTGVTPRYGGSDGELFQITLMQDEYLTSVSGYVRHICSDLPCISQLMFGTNLGRTHGPYGGGGGTFFEVNVEYDDIKGFFGYATTEHLTVFGVYMMPA >Ma04_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23425022:23427401:1 gene:Ma04_g20640 transcript:Ma04_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLTRPSFTSIFHRTTPCLPSLHRRHHHQLPILSSNQEPRRRAARFDRKAHAIRPLASPALGSSSLAKEGTGGNGTDAGRQVLALCGFGYWVQGFRCFPWLALNFHLVHALNLSPATLQLVQNTANLPMVAKPLLGVISDAVYIGDAHRLPYMSIGVILQLVSWGTLASIPVTGETFPTQVTCILLSNLGASFAEVATDALVAEISRTRRAGELQSYAFIALAAGAMLGNLSGGFLLLKTQEPQILFVIFALLLSAQLALSLTTKETSIYLPHISNHRLIPSSLSENLSKQFSNLITAINEESISYPLSWIVASVAVVPILSGSMFCFQTQCLKIDPAVIGLSKVIGQLVVLSAAFFYERYSKRLPMRRLICGAQILYALSLLSDLILVKQVNIKLGISNEAFVLCLSALAEAIAQFKMLPFSVMFSRLCPSGCEGSLLAFFASAMCLSSILGGIFGVGLASLIGVTSGDYSSMATGILVQFVAGLVPLGWTSCIPITRNLEEMRMAKRRRRA >Ma11_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21498069:21500903:-1 gene:Ma11_g15870 transcript:Ma11_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASATRFPLPATLSPRFSAYRIPALRLGSTGRLTVRASVSVAPPPSPATSPTGIVLVDRSEAEKVNRLKTVYLEKVVPLLKEEFSYENIHEVPKIEKIVVNCGMGDAEQNSKGLEAAMRDLALITGQRPVKTKAKKSIARFKLREGATVGIAVNLRGNVIYSFLDRLVNLGLPRTRDFQGVDPNSFDGHGNYSTGFRDQSVFPEIRYEALGKQKGMDVCITTTAKTDNEAQRLLALLGMPYREGAAPTALMVRKKKRKARPPILIPNLREESSSQIFLTCCGIK >Ma10_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29740787:29741533:1 gene:Ma10_g19010 transcript:Ma10_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSCGSLPSMEETGGDGKLKLKSLDGAYDPICVLTVAPAECSSTCRGPSWSSCLAPAPQHEPDFVPAYSPVKPARRNPSPGPKRPEKGNVINPPFAWATDRRATIHTLRHLVSRGITEIHGESQCKRCEARRVIRYDLVDKFAAVAGFFGANQHHMHDRAPSKWMRPTFPDCEACGQRNCVRPILSEKKREINWLFMLLGQTLGYCTHKQLKYFCKYSANHRTGAKNRLVYLTYRSLCKQLDPTLRF >Ma06_p31180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32344627:32358905:-1 gene:Ma06_g31180 transcript:Ma06_t31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKRKAAVMATLAAQAPDKSPKGAVDAPIVPVLDALNRHHSYFTTSSCSGRISILAHGRRPAPDAGAVEGDGNKTASKKKAAGGSWLFVSHDPADPAAVVDLLFGSPEKSVEGDLVFRFEPLIIAVECRDVAAAQVLVSTAISCGFRESGITNTQKRIMVAIRCSIRLEVPLGQMDHILVTAQYVHYLVTIANDKMEINRKRTESFLHVLQCKGLSGSSKEIIDCSQEDCNQMVGKGNSLDIEAKTNVSRATTTLNRNKAVTPGEDIGGGSRFKSAGHLEEKGNDATPKELLEESVHYHEVETGYSEMATSTDYTLGFKAHHCFCYRLSYNLSTEISGTSRSSLSVVMLKIVGEPIEKLSLWGQSACALNIKGKEQVIVFGGFGGLGRHARSNYSLLLDPQSGLLRKIEVASSPPPRMGHTSSLVGSSIFVIGGRAGPLEILNDVWVLETTENRWSLLDCSGQVFNPRHRHAAVTMDSKIYVFGGLNNEVIYSCMNVLDTQTMKWSEVNISGEWPCARHSHSMVAIGSQLFMFGGYDGEKALGDLYSFDGKTLRWKREKTTGRAPFPRFSHSMFVYKTYLGIIGGCPVRQHYQELSLLNLTCHVWVHVTIDSFGRELWVRSSTCVVDDELVIIGGGASCYAFGTKFNQPMKINLQLLESTGYLCPETEYQSVIQYNKTNMLGSSLCNNYPHGASCDSEPNKLVDRSCNGNGHDTKEYVLQIKKNCAKFAKDVIKKFGYLDLSRNVQPSPDGFYICLPITREFYGLVQEKQLTQLNDTNDYSDNFHAYLKDHSVNEVSLSTALSILLSCGGSLLIDNVACSKKFHKSPQKTLRESVCSLLTEKGMPLQLLEQIPARWEHLGDIIVLPKTAFMDPRWDSVGEELWPIVAKSLGAQRLARQGRILPTGTRDSTLEILLGENGRVTHHENGIIYSFDATKCMFSSGNLSEKRRIAQLDCADEIIVDLFAGIGYFVLPFLVKARAKLAYACEWNPHAIEALQQNVHINSVADRCIILEGDNRITAPRGVANRVCLGLLPSSECSWNVAVRALRAEGGILHVHGNVNDSEESSWLEHVIKSISNIACSEGLLWDVSIHHLERVKWYGPHIRHLVADIRCKQL >Ma04_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4263492:4265706:-1 gene:Ma04_g05710 transcript:Ma04_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTFQIEGFLLHKLLGRPCSEEASVAVRDEFEVCMFS >Ma09_p26470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37747845:37748642:1 gene:Ma09_g26470 transcript:Ma09_t26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPWPVLQTKSKPNSSSRTPLNPKKLPISILLFSLPLLYVSLLRIPPSTLFTDTAFWFLLSNSIVVVVAADSGMFSSSSTAGSDLYDEYIKLKSPPWFERAADASAVAEETEEIRTDCREEESEYSKMSNEELNRRVEEFIRRFNREMRLQVRDE >Ma10_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28299749:28301880:-1 gene:Ma10_g16680 transcript:Ma10_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTISNPNSVSLLPLSSHGRGSSTLGRRFLALHGVRQSPPGAPRNLAVKAMVPDGRDSLDHLQRAGKVRQQQEPQRHAPKRGVAPSAPLGLWDRFPTARTVQQMMETMERIMDDPLGYSVTSSPSLGGEEFGGGYRRGRTPWEIKEGEGVYKMRFDMPGMTKNDVKVWVEERMLVIKAEKLPKEIKEGEEEEWSAKSYGRYNSRIALPDTIDLEKIKAEVKDGVLYVTIPKASPSSKVVDISVQ >Ma07_p28040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34187682:34190441:1 gene:Ma07_g28040 transcript:Ma07_t28040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASRAIAGKLGSKNFCSRSSNPNPDRSDDEVSSCMRKEEGLECPICWESFNIVENVPYVLWCGHTLCKSCVMGLQWAAVKIPTLPIQLPFFISCPWCNLSSFRLVYNGNLMFPRKNYFLLWMVESMNGDRLKSQSSIHGERRPVWTSSSNVMGGSHASYQHIQRSPHTHTEHSNSSQFHVHLVGNYFSTEWIHASFRKSLACFIQLTAKFPLVIIFLLIVLYAIPASAVILALYILITVLFGLPSFLILYFAYPGLDWLLREIIT >Ma07_p28040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34187682:34190441:1 gene:Ma07_g28040 transcript:Ma07_t28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASRAIAGKLGSKNFCSRSSNPNPDRSDDEVSSCMRKEEGLECPICWESFNIVENVPYVLWCGHTLCKSCVMGLQWAAVKIPTLPIQLPFFISCPWCNLSSFRLVYNGNLMFPRKNYFLLWMVESMNGDRLKSQSSIHGERRPVWTSSSNVMGGSHASYQHIQRSPHTHTEHSNSSQFHVHLVGNYFSTEWIHASFRKSLACFIQLTAKFPLVIIFLLIVLYAIPASAVILALYILITVLFGLPSFLILYFAYPGLDWLLREIIT >Ma07_p28040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34187682:34190441:1 gene:Ma07_g28040 transcript:Ma07_t28040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASRAIAGKLGSKNFCSRSSNPNPDRSDDEVSSCMRKEEGLECPICWESFNIVENVPYVLWCGHTLCKSCVMGLQWAAVKIPTLPIQLPFFISCPWCNLSSFRLVYNGNLMFPRKNYFLLWMVESMNGDRLKSQSSIHGERRPVWTSSSNVMGGSHASYQHIQRSPHTHTEHSNSSQFHVHLVGNYFSTEWIHASFRKSLACFIQLTAKFPLVIIFLLIVLYAIPASAVILALYILITVLFGLPSFLILYFAYPGLDWLLREIIT >Ma03_p32260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34148339:34149450:-1 gene:Ma03_g32260 transcript:Ma03_t32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSSTTEPYCSRSSEASDDVECSGNSCRSCTAVLVANCIALGCCPCAVVNMLALTLVKVPWMVSKRWWQSLRRRGASQRRRVADVATVGREGTSSEMKRNYKWNEEGAVGERKGHSGRGSLPSSLGADDGVWEELYRVGNWGFGRVSFSGTQREWQSGKNTSGDDELGEQR >Ma08_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25659834:25666317:1 gene:Ma08_g17460 transcript:Ma08_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRELLSRNIKELRFLFCQTSPASAHTREFVYKNYKDLKTLNPKLPILIRECRGVEPQLWARYDMGVERCVRLDGLTEADINKKLEELAKAAGALKP >Ma06_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11744361:11746172:1 gene:Ma06_g17290 transcript:Ma06_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEGYIVHSSPISLGCPSHSFVRGLVRGRRGVGSSSAFLQVLAAIFLPPRIASCQRSPRKITHAKRVGLDAAHASAGWPSASDQIAKSVKTQTPSSSLAALRGDRRPLPALLLPVDDGRPAVPLLSRTNSCQSSWTLDF >Ma06_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11131025:11132965:1 gene:Ma06_g16420 transcript:Ma06_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDLCESSSSHGESGDSKLSWSSSELTDDATSSSPRSSSTASQPDSNGSLFDLSSLMAQLPIKRGLSKYYQGKSQSFRSLSDVRCMEDLAKEENPNRKKMKVCHGIGGLGATPRLYKKTTSRRASRGSCPSLPARRNRSSPLRSRKPLDLPPAKVHRPNDVLCQWEESKEQLALL >Ma06_p16420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11131009:11132251:1 gene:Ma06_g16420 transcript:Ma06_t16420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDLCESSSSHGESGDSKLSWSSSELTDDATSSSPRSSSTASQPDSNGSLFDLSSLMAQLPIKRGLSKYYQGKSQSFRSLSDVRCMEDLAKEENPNRKKMKVCHGIGGLGATPRLYKKTTSRRASRGSCPSLPARRNRSSPLRSRKPLDLPPAKVHRPNDVLCQWEESKEQLALL >Ma11_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21442771:21444211:1 gene:Ma11_g15800 transcript:Ma11_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLPFSQIRPPCVASFRPRLAGSHNVPARDRIIDFGKHKGRMLGSLPSSYLRWVSRNLRARDFEEWARLADEVLQDPVYRDRLEWEALERILTGDGLRRSSFDPADSPVAELIEVSDRFGWDNKAKDAWAGINFELLGTSKGGRIPRVRSPSPATEGGHGEGKRVTFRRDSKISSGSRPGPEKKSGGVDVGSILGGVRLKRDGKTIVPACDSIALSKEQTGAFATDSTSREQIKDGFFRGNPKGPLHGSTSSGRRVGILSKGHRFVIGGGGEEEEQEEEEEEEGKEEVATRGNREERRARRRLKREQQLEMLRREVGVEERSGRGNEALVNKRGVLRGEHHHQIANPFPGRRALLEKVKRQGD >Ma07_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10415669:10418096:-1 gene:Ma07_g13900 transcript:Ma07_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKLVLFGDSITEESFGEGGWGAALAHRFSRKADVVLRGYSGYNTRWALKVVGRAMEGVCPDGVAPPAAATVFFGANDATLPDRCSGFQHVPLPEYQTNLRAICAYLRERWSSTVIILITPPPIDEDGRLRDTSGDNSSGLPERTNEYAGAYAKACVAVANELGLPVIDIWSKMLQFPDWEKSFLRDGLHFTASGNSVLFEEVVKKLGDEGLSLEALPVDLPDLLDMDPNDPLKSFCD >Ma04_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25346945:25348523:-1 gene:Ma04_g23150 transcript:Ma04_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGREAETNLRGVSVVVLPLPAFGHLNQLLHLARLLSTRGVAVHYAGSATHNRQVRDRASGWRPDATIHFHDFPLPPFASPEPDPRAAVKFPAHLQPAFDAAVHLRHPLAALLRSLAAPSRRVVLIHDSAMSFAAALAASLPGVEAFSFHSVSAFAVLLYLWESCGKQLEDLVVGKLNLAVVTNDGCFTDEFLGFLQSQHQMTGADSGRLLNTCRSIEGPFIDLLKEEPRWREQKTFAVGPLNQTVVADDGTSCRHECLEWLDQQPPSSVVYVSFGTTSSLSDEQVAELAAGLQASGQRFIWVLRDADRADIYADEGISDHASGDKQLPNYDKAVHRTGRVVRGWAPQLDILAHRSTGGFMSHCGWNSCMESLSMGVPILAWPMHSDQPRNTLLVTHILGTGVLVRDWAKRNELTPAMAIRDSILRLMISEEGKDVQRRANAVGQAVRKAMAEGGSSKADLDAFIAYITS >Ma04_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13780838:13781218:-1 gene:Ma04_g15820 transcript:Ma04_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDTIPTMSDDPLTLPVNLIPPYKFPCLHKSYPAFGLHRPQRAVVDRRRFRILTPSSCGRKMQTRDTSSGF >Ma11_p18270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23367164:23373343:-1 gene:Ma11_g18270 transcript:Ma11_t18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKMLWISVWVLFLLWGSCWGRFVVEKNSLKVTSPDSLKGIYECAIGNFGVPQYGGTMVGIVAYPKDNQKACKSFRDFDISYKSKPGGFPTFLLVDRGDCYFTAKAWNAQNAGAAAILVADNKAEPLITMDTPEEEDTKADYLQDITIPSALISKSFGDSLKKAIENGDMVSVNLDWRESLPHPDDRVEYEFWTNSNDECGPKCDSQVQFVKNFKGAAQIMEKKGYTQFTPHYITWYCPEAFLLSKQCKSQCINRGRYCAPDPEQDFSKGYDGKDVVIQNLRQVCLYQVANESGKPWLWWDYVTDFAIRCPMKEKKYTKQCAEEVIRSLGIDLKKINKCMGDPDADEENPVLKAEQDAQIGKDSRGDVTILPTLVINNRQYRGKLDKTAVLKAICAGFQETTEPAVCLSEDIQTNECLENNGGCWQDKASNITACKDTFRGRLCECPVVKGVKFVGDGYTRCEASGYGRCEINNGGCWKKTHEGKTYSACVEDGCKCPPGFKGDGINKCEDVDECKEKTACQCSGCKCKNTWGSYECSCGGDLLYMKEHDTCISKKASTEVGWSFLWVILFGLVIAGIGGYAVYKYRIRSYMDSEIRAIMAQYMPLDNQEGQIHVHHGDI >Ma11_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23367164:23373349:-1 gene:Ma11_g18270 transcript:Ma11_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKMLWISVWVLFLLWGSCWGRFVVEKNSLKVTSPDSLKGIYECAIGNFGVPQYGGTMVGIVAYPKDNQKACKSFRDFDISYKSKPGGFPTFLLVDRGDCYFTAKAWNAQNAGAAAILVADNKAEPLITMDTPEEEDTKADYLQDITIPSALISKSFGDSLKKAIENGDMVSVNLDWRESLPHPDDRVEYEFWTNSNDECGPKCDSQVQFVKNFKGAAQIMEKKGYTQFTPHYITWYCPEAFLLSKQCKSQCINRGRYCAPDPEQDFSKGYDGKDVVIQNLRQVCLYQVANESGKPWLWWDYVTDFAIRCPMKEKKYTKQCAEEVIRSLGIDLKKINKCMGDPDADEENPVLKAEQDAQIGKDSRGDVTILPTLVINNRQYRGKLDKTAVLKAICAGFQETTEPAVCLSEDIQTNECLENNGGCWQDKASNITACKDTFRGRLCECPVVKGVKFVGDGYTRCEASGYGRCEINNGGCWKKTHEGKTYSACVEDGCKCPPGFKGDGINKCEDVDECKEKTACQCSGCKCKNTWGSYECSCGGDLLYMKEHDTCISKKASTEVGWSFLWVILFGLVIAGIGGYAVYKYRIRSYMDSEIRAIMAQYMPLDNQEGQIHVHHGDI >Ma01_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1874942:1879003:-1 gene:Ma01_g02880 transcript:Ma01_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNPQIPQPSPPPFAPSAPPFPIPTTTTTTPSSSSLYPPVDVSDFTEDLFPHIAEDDPKNPSLQPPSEETMLRIPGAFLHLIDKQRSVELASGDLYISGLRQGDSFVAVLVRVGGTDDAVQWPLAHDEAAVKLDESHYFFSLHVPGETGDGGSGSPDVLSYGLTFASKGQEALLKELDAILESYSSFSVQKVSGKEPAEALDGTVAKEVTPTEVMEDSSKKEMMEERCVAYWTTLAPNVEDYSGLVAKAIAAGSGQLVKGILWCGDVTVERLKWGNDMLKTRLGPNEKPTEISPETWARIQRVKRFTKMSEKVATGVLSGVVRVSGYFTSSVVNSRAGKKFFGMLPGEVVLASLDGFGKICDAFEVAGKNVLSTSSVVTTGLVSHRYGEQAAAAATQGLDAAGHAVGTAWAVFKIRKGIDPKSSIAPTTLAKSAAQAAASEIRSKRGK >Ma03_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25574032:25587775:1 gene:Ma03_g20410 transcript:Ma03_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKGKANSEIFETLGDFTSKENWDKFFTLRGTGDTFEWYAEWPELRDPLISQLQSSSASDGAADLQILVPGCGSSRVSEYLYDAGFRRTTNIDFSKVVVSDMLRRYVRSKPEMRWRVMDMTDLQFADDFFDAILDKGGLDALMEPEYGSKLGIKYLKEVKRVLKSGGKFLCLTLAESHVLDVLFTELRFGWETSIHAIPQKPGSKPTFQTFMVVTVKEKLGAPNLIKLLFDQHSINHKGGQVHALLNAVENENRIRSGYTAGADIVYSLKDLQLGAKGALNELVPGRRCQTILGEQGSSLFHYKAVLLDSQQLSEPFLYHCGVFIVPKARAHEWLFTSEEGQWLIVESSKAARLIMIFLNSSHTGASMDDIQKDLSPLVRNLAPGKADDEARIPFMMAGDGIKQRNIVHEATSAITGHIIVEDVIYDNADGDPSELKLFRRLTFGRSSSMVQSEALLSQEAHSKEADTDRKRNVPSSRTRTKRGQKRSDSYKLIDGSKVTKVDHSCLASLYHSGIVSGLALIISALELAVSSGKKISTYIVGLGAGLLPMFLHACLPFLQLEVVELDPVMLDLARQYFSFVEDDRMKVHIGDGIRFIEDANVIKSHEQETDGTCTESLANGNSSGIKILIVDADSSDLSSGLSCPPSDFVEESFLLQVKNFLSEGLFVINLVSRSPAIREKVVLRLKAAFSQLFSLELEEDVNEVLFASPRDMCIDVDQLQEAVAKLCSLMKFPLPDGQIEPGKFKRLK >Ma03_p20410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25574385:25587775:1 gene:Ma03_g20410 transcript:Ma03_t20410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEYGSKLGIKYLKEVKRVLKSGGKFLCLTLAESHVLDVLFTELRFGWETSIHAIPQKPGSKPTFQTFMVVTVKEKLGAPNLIKLLFDQHSINHKGGQVHALLNAVENENRIRSGYTAGADIVYSLKDLQLGAKGALNELVPGRRCQTILGEQGSSLFHYKAVLLDSQQLSEPFLYHCGVFIVPKARAHEWLFTSEEGQWLIVESSKAARLIMIFLNSSHTGASMDDIQKDLSPLVRNLAPGKADDEARIPFMMAGDGIKQRNIVHEATSAITGHIIVEDVIYDNADGDPSELKLFRRLTFGRSSSMVQSEALLSQEAHSKEADTDRKRNVPSSRTRTKRGQKRSDSYKLIDGSKVTKVDHSCLASLYHSGIVSGLALIISALELAVSSGKKISTYIVGLGAGLLPMFLHACLPFLQLEVVELDPVMLDLARQYFSFVEDDRMKVHIGDGIRFIEDANVIKSHEQETDGTCTESLANGNSSGIKILIVDADSSDLSSGLSCPPSDFVEESFLLQVKNFLSEGLFVINLVSRSPAIREKVVLRLKAAFSQLFSLELEEDVNEVLFASPRDMCIDVDQLQEAVAKLCSLMKFPLPDGQIEPGKFKRLK >Ma02_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26777682:26781057:-1 gene:Ma02_g21020 transcript:Ma02_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGEKSSGDMEEGPHHSHLNQSDEDSLSFSDAEEHSWHSPYGYNFAGSTYNDCSVSGASDREIDGFPEPCRKSCLSESSLDDDPETGASEVKIDIDKIERDCRICHLSLEKAAPESGAPIVLGCSCKDDLAAAHKQCAETWFKIKGNKICEICCSTASNVVGVSENEPSEQRNEANTSAAPPPAPPSEARSFWQGHRFLKFLLACLVLAFVVSWLFHFNVPG >Ma11_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15932786:15933568:1 gene:Ma11_g12110 transcript:Ma11_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVNNIAATFSTASRSSPHVPTTPTVALSAASSGPISASWNPHSVLPRSRRSSLLGTYGLPGPRPRPLRLPRPSAAPPPRTISAGDRLPDATLSYLDRSGAVRTVSISGLTRGRRTVIMAVPGAFAPPRRPRWWSGSGPTFGLSAEGLVKRAAEMKQRGGGGVVVACVAANDVYVMRAWGEQLGAAEAGVTMLSDPDAELARALGLALDLRGGTEGFGMRSEGYLLVAADGVVKALFRNYQNGGGAAIVRSDDVFKVL >Ma07_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27754965:27767618:1 gene:Ma07_g19830 transcript:Ma07_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSAVQLLRAAKFVLSIAAGGFLLVCQWPMDFPNFVAAMYVLVLAAILLLYFSLGRPQLGSTVATTAVGFWSATIPDLVSLSVTDSDQIPSSSLGFQLTDCSTLPTTTVDGFRSTTGGILLVCQRPTDFRSFVAAMYLMMTLGFLLLYLTLARPHLGSTTATTAADDSCVPTEQLLKVAKFVLAIATGGFLLVCQSPMDYPSYAMTMYLLMTLGFPSAKPFHVSFTDGTDNDLRWFPMSQQLLFLHALLFPLTPSVIDE >Ma05_p06610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4886612:4888465:-1 gene:Ma05_g06610 transcript:Ma05_t06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHSEESALTEENQQKPRGHEDSSSLSSGSDAEKPGASPSVKVTPYRLFSRERPVHQVLGSGRTADVMLWRNKKISAGVLSGATAIWALFELVGYHLLTLICQGLMLSLLVLFLWSKACTLINKSPPRIPEVNISEELAIKVALNMRNEMNGASAVLREIALGQDLKKFLAVIAVLWILSTVGSSVNFLTLVYITFMTLLTVPVLYEKYEDKIDASAEKAIARIKQQYAVFDAKVLSMIRRGPLKAKKH >Ma09_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:79164:85471:-1 gene:Ma09_g00080 transcript:Ma09_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVAVSRLRALEHHARATRYASTSVAKRSSGGFFSWLTGEQSSQLPPLDFPLPGVMLPPPLPDHVEPGKTKITTLPNGVKIASETSPNPAFSLGLYVDCGSVYETPLSFGATHLLELMAFKSTTNRSHLRIVREVEAIGGNVMASASREQMGYTYDALKTYMPEMVEVLVDCVRNAAFLDWEVNEQLQKVKAEIGEISKNPQGLLLEAIHSAGYSGALANPLMATESSINRLNSTTLEEFVVENYTAPRMVLAASGVEHEELVSIAEPLLSDLPKVPRPEEPKSVYVGGDYRCQADSDKTHVALAFEVPGGWRQEKDAMTLTVIQMLMGGGDSFSAGGPGKGMYSRLYLRVLNEHQQIQSFSAFNSIYNNTGIFGIHATTSSDFVSKAIDLAIRELHAIATPGQVDQLQLDRAKESTKSAVLMNLESRMVASEDIGRQILTYGERYALNH >Ma03_p29890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32731914:32732042:1 gene:Ma03_g29890 transcript:Ma03_t29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMARRISLGDSRSSLGWSLPTKRAVKIRANWAIMCSGRSF >Ma03_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26463457:26465908:1 gene:Ma03_g21500 transcript:Ma03_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVGKNASDEDLKKTYRKLAMKWHPDKNPTNKKEAEAKFKQISEAYEVLSDPQKRGVYDQYGEEGLKGMPPPGSSCGPNDIQFNPRNAEDIFAEFFGSSPFGFGSTGRAKSMRFQSDGGLFGGFGGAENVFRSYTDGVGTGGGGQPRKPPAVESKLSCSLEELYTGSTRKMKISRNVMDASGRMVPESEILTIDVKPGWKKGTKITFPEKGNEQAGQLPADLVFIIDEKPHEVYKRDGNDLIISQKISLVEALAGTSVELTTLDGRNLSIPVIDIVSPGYELVIAKEGMPMVKEPGRKGNLRILFEVKFPSRLTAEQRAGLKRILDG >Ma03_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14673580:14674712:1 gene:Ma03_g14850 transcript:Ma03_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERHRIHPAVDVEALLPSSSHAPSKPLQTQAAEKTDHPPPPPPPPKKRRSCCCRCLCWTVLTVVILVVIIGAIVGILYLAFDPKLPKYSVDRLAISNFTVDDNMTVSATFNLTVTTTNPNKKIGIYYRGGSHLSAWYTNTSLCSGTFPVFYQGHKNTTVLNLLLSGETQLGSGLLQELQQQQQTGTIPLVFRGNVPVKVKLGSLKLPKVTFKVKCDIIVNSLSSSNTISLKSSRCKFRLKL >Ma05_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3057339:3057554:1 gene:Ma05_g04040 transcript:Ma05_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANPLLDYCLGSCVRLEPFRILLLHLSPKFKLIFILLSLSSAIDASVIVISLRNSLLMIFCCQKYFFSCC >Ma04_p33660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33168103:33172337:1 gene:Ma04_g33660 transcript:Ma04_t33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQRTFFPFGNPFRIILPKGSYLSLKLRELQFSFEKNLADKLKKLKPKDNADVLTLSWMRLAIEFLSEAYNSLKTLINELQLPVSDWDEKWIDTYLDSSVKLLDICIALSSELSRLNQGQILLQYVVRLMDVSTSHPSPEQLKKSHLHLHEWIERINSKSFKLESCPVIESLRGTLGLPKVKSSKGKVLMRALYGVKVMTVFICGVVSVMLSGCSKPLIDLHISSEDFFWFEAFSDLQAAANEEIRRRFDSGKVVIFKEIAAVEACASGLCNLTISDCCQKEPVQDGIGINHEEEMIAPRKSTGLERQMLQEYAINLVNGANSLGHELDSVSKQVNDFFEIVLMGRDALLCNLRMSAVTQQ >Ma04_p33660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33168264:33172337:1 gene:Ma04_g33660 transcript:Ma04_t33660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQRTFFPFGNPFRIILPKGSYLSLKLRELQFSFEKNLADKLKKLKPKDNADVLTLSWMRLAIEFLSEAYNSLKTLINELQLPVSDWDEKWIDTYLDSSVKLLDICIALSSELSRLNQGQILLQYVVRLMDVSTSHPSPEQLKKSHLHLHEWIERINSKSFKLESCPVIESLRGTLGLPKVKSSKGKVLMRALYGVKVMTVFICGVVSVMLSGCSKPLIDLHISSEDFFWFEAFSDLQAAANEEIRRRFDSGKVVIFKEIAAVEACASGLCNLTISDCCQKEPVQDGIGINHEEEMIAPRKSTGLERQMLQEYAINLVNGANSLGHELDSVSKQVNDFFEIVLMGRDALLCNLRMSAVTQQ >Ma04_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24053526:24055629:-1 gene:Ma04_g21510 transcript:Ma04_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRESNLRKEAAVNLSEVAASTQLRKIRQQGYVYVELRRVGRRISFFCILCLTPCYSETVLFVHLGGNLHKRRLAGAKATLFGATPWPFDDGVLFFDTSTETDMLMHDAPGLGRNCSCGASSRSSCSVADEVTTCNWADNSLIIPGVLLKQGVSGLAVQPLGVGQIAYRVQENKESRGKIITRIWCAWLGQEGSNLSDELNVPVTSQYAIVNFPYAYGLGRKPAPGDEDLPMQPMLPRKDVATLLNRRTGNLACSSRNTTGAFHPFHASCLIHWILICELEMLADQKQSTETTEDAREDQRLENRISSVFCPECQGTGISIQGEELEKPTVPLSEMLRYKLKTIEATEAWMKNPEMLKKCSTGLHFPSDCTENSEENVVPLKLLHFFRAHEQRQA >Ma02_p21520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27113948:27117664:1 gene:Ma02_g21520 transcript:Ma02_t21520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKTPLGGIINDVKGRASCYKQDWICGCHSGMSILAPTMYIFFASALPVIAFGEQLSKDTDGALSTVETLASTAICGIIHSVIGGQPMLIVGVAEPTVIMYTYLYSFAKGREDLGGRLYLAWAGWVCVWTAVMLFLLSIFNASAIISRFTRIADELFGMLITVLFFQEAIKGVVSEFRVPKGEDRTLPIFQFEWLYTNGLLGIIFAAGLLLTAIKSRRARSWKYGTGWLRGLTADYGVPLMVLLWTAMSYAVPNKVPSGVPRRLFSPLPWEAKSLYHWTVAKDMLSVPPVYIFAAIIPALMVAGLYFFDHSVASQMAQQKEFNLKKPSAYHYDILVLGFMVLVCGLLGIPPSNGVLPQSPMHTKSLAVLKRRIIRKKMVETAKESIRQQATNSEMYGKMQEVFVKMDEGSTVIQQLLQDSLGFSAIYRRFRPVQSISIDKELKNLKDAVMGNGAGGDDSKGAFDPEKHIDAHLPVRVNEQRMTNLLQSLLVGACLAAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERTLLLLITPSRRYKVLEGMHASFVESVPFRQIAAFTIFQFLYLLLCFGVTWIPIAGILFPLPFFVLLSIRQHVLPKFFHPHHLWELDAAEYDEIAGTPRRARSFSFKEGEASTSDSDDGRVEVCDAEILDELTTHRGELKHRNKSFNDDRFHQVTNDTASIKFHLRPMLHLQHWCL >Ma02_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27113948:27117657:1 gene:Ma02_g21520 transcript:Ma02_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKTPLGGIINDVKGRASCYKQDWICGCHSGMSILAPTMYIFFASALPVIAFGEQLSKDTDGALSTVETLASTAICGIIHSVIGGQPMLIVGVAEPTVIMYTYLYSFAKGREDLGGRLYLAWAGWVCVWTAVMLFLLSIFNASAIISRFTRIADELFGMLITVLFFQEAIKGVVSEFRVPKGEDRTLPIFQFEWLYTNGLLGIIFAAGLLLTAIKSRRARSWKYGTGWLRGLTADYGVPLMVLLWTAMSYAVPNKVPSGVPRRLFSPLPWEAKSLYHWTVAKDMLSVPPVYIFAAIIPALMVAGLYFFDHSVASQMAQQKEFNLKKPSAYHYDILVLGFMVLVCGLLGIPPSNGVLPQSPMHTKSLAVLKRRIIRKKMVETAKESIRQQATNSEMYGKMQEVFVKMDEGSTVIQQLLQDSLGFSAIYRRFRPVQSISIDKELKNLKDAVMGNGAGGDDSKGAFDPEKHIDAHLPVRVNEQRMTNLLQSLLVGACLAAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERTLLLLITPSRRYKVLEGMHASFVESVPFRQIAAFTIFQFLYLLLCFGVTWIPIAGILFPLPFFVLLSIRQHVLPKFFHPHHLWELDAAEYDEIAGTPRRARSFSFKEGEASTSDSDDGRVEVCDAEILDELTTHRGELKHRNKSFNDDRFHQVHPDKNTQIG >Ma02_p21520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27113948:27117664:1 gene:Ma02_g21520 transcript:Ma02_t21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKTPLGGIINDVKGRASCYKQDWICGCHSGMSILAPTMYIFFASALPVIAFGEQLSKDTDGALSTVETLASTAICGIIHSVIGGQPMLIVGVAEPTVIMYTYLYSFAKGREDLGGRLYLAWAGWVCVWTAVMLFLLSIFNASAIISRFTRIADELFGMLITVLFFQEAIKGVVSEFRVPKGEDRTLPIFQFEWLYTNGLLGIIFAAGLLLTAIKSRRARSWKYGTGWLRGLTADYGVPLMVLLWTAMSYAVPNKVPSGVPRRLFSPLPWEAKSLYHWTVAKDMLSVPPVYIFAAIIPALMVAGLYFFDHSVASQMAQQKEFNLKKPSAYHYDILVLGFMVLVCGLLGIPPSNGVLPQSPMHTKSLAVLKRRIIRKKMVETAKESIRQQATNSEMYGKMQEVFVKMDEGSTSISIDKELKNLKDAVMGNGAGGDDSKGAFDPEKHIDAHLPVRVNEQRMTNLLQSLLVGACLAAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERTLLLLITPSRRYKVLEGMHASFVESVPFRQIAAFTIFQFLYLLLCFGVTWIPIAGILFPLPFFVLLSIRQHVLPKFFHPHHLWELDAAEYDEIAGTPRRARSFSFKEGEASTSDSDDGRVEVCDAEILDELTTHRGELKHRNKSFNDDRFHQVTNDTASIKFHLRPMLHLQHWCL >Ma02_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23485987:23487091:1 gene:Ma02_g16000 transcript:Ma02_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSTGAYRQVSLSKAKVAGSTTAPRCPAGARDPPLAEPDLEETVKEVLSETPRPPPPTTRSAADQAPRPKEVETIDKAPLLVDSTNGCDTRSEDASEAWSVSAKSETHSASTAPAGKPRRDAAEARRGAAREERSPAKYQRKRSDSGELACRRDRSVAAGRSSPSPTPKGSDHAAAGRTVERSGKRSVSPEANRAAQELRQNAGRRRASAAAAARASGPREQMPADEGGRRLCMQDVGTVDGGVAGSEAEAKDSLENPLVSLECFIFL >Ma10_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21788545:21789084:1 gene:Ma10_g07350 transcript:Ma10_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESVDEKRMNWSSSGEAYVLSTASFAGLEGSSRKRHLWDCEASSHHHDTNHTFFPNTPIPDCRPPLLPMNNLPFCWPPPTVPKNAVEPVPGGQIGLNLWGHRTYVPPSDGLETAHLFALRSVGAHSPSHTPPRCQADGCSADLSGAKYYHRRHKVCEFHFKAAVVVAHGLPQRFCQQCSR >Ma04_p29640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30507883:30508516:-1 gene:Ma04_g29640 transcript:Ma04_t29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNSALAMLFAVALAMACTSALAQNSPQDFVSPHNAARAAVGVGPVSWDNTVAAYAQNYANQRAADCQLVHSGGPYGENIFWGSGRDYTAADAVNAWVSEKQDYDYNSNKCAPNKVCGHYTQVVWRSSTAIGCGRVRCNSGAIFIICNYKPPGNYVGQRPY >Ma02_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20098549:20101660:1 gene:Ma02_g10580 transcript:Ma02_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYFALFASGLAVLLLLVQGSPPGPVVQCRSGNTNCTVTNGYGAFPDRSTCCVAAVAYPSTELELLLAVSDATEKQQHMKAVTMYSHSIPKLSCPGGPSGQGLVISTQRLNRSVSVDMATSRMTFEAGITLRELLDAAAARGLALPHSPYWQGMTLGGLLSTGSHGSSVFGKGSAVHEYVVGMRLVVPSPAPVNGYYAKIVNLGEDDPDLLAAKVSLGVLGVISQVTLQLEPMFKRSITNRVVSDVGFEQTISSYAVTTYYGDISWYPSQRRVVYRDDIKVPITTEGKGVNDYLGFRAQPTHVGASLRASEERVEATGNAEGKCVLFRLQVDTLIATGMGLKNNDGGLLEFTGYPVVGNQSDMQSSGSCLRSAEDNLLTACGWDPRFAGSFYHETAISIPFTTVADFIADVKKLRDAHPNSLCGTELSLGFFIRFLRNSTAYLGKTDDVVEIDITYYRSRDPKRPRLYEDVLEEIEQMALFKYNGLPHWGKNRNVGFLNVKNKLGAKLAKFVSVMQKYDSNGLFSSDWTDAVLGLRGKEVVVQGDGCALEGLCICSTDDHCAPKLGYFCRPGEVYEQARVCRKIKSVEADGLAWSA >Ma07_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12470604:12482816:-1 gene:Ma07_g16090 transcript:Ma07_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPASGVPPPSTSPFSSAPGTTLRRSLQSRQWANTLIWISNRQRLLAFANVNASRGKGSSSGEIIMVDPIEAKQLAAKQMQEIQVKEKLKRRRQAEAVNGTLAMIGLTAGLVVEGQTGKGILGQLAGYWDAITSFFTR >Ma07_p16090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12470604:12482816:-1 gene:Ma07_g16090 transcript:Ma07_t16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPASGVPPPSTSPFSSAPGTTLRRSLQSRQWANTLIWISNRQRLLAFANASRGKGSSSGEIIMVDPIEAKQLAAKQMQEIQVKEKLKRRRQAEAVNGTLAMIGLTAGLVVEGQTGKGILGQLAGYWDAITSFFTR >Ma07_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8763614:8765394:-1 gene:Ma07_g11790 transcript:Ma07_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEFEVLKLKTHILKVNIHCDGCRLKVKKLLHRIEGVFSVNVDVENQKVTVQGNVDSETLIRKLTRSGKHAELWSGKASGDQKNSHSQQKQVAPPVKDGNKNKKDQGKQDLKAPKHQPKKLSPLSSDEDDDDDGEDDEDVDDEVRLFDKLKQFNLLMQANNAAAGAKKNTNGNATGFMGGKKDGANPNQSHMKHPNGSAKKGVNVAAHQKTINTHPSKGEGRRVTDINGMTGLGLGGLGGNSNGGGFQGNVFHGYAGLPSHGGGQHQPPMMVNMQGYQAHPSPMMSNFRVHDNRYMQPQMMHLRSPQISPYTAYYNCHPSPYLQSNQSYNEYVTHLFSDDNTRGCVVM >Ma11_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10725794:10734887:1 gene:Ma11_g10810 transcript:Ma11_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAGDSRSWSGSDERQQEWERELRAEAGAATTALDGRVKTLHPSIHGGILARRDHNHHMEALSRHNIGTFDIVVVNLYPFYEKVTSANGITFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHKDYPAVLEFLQGKQDNQHFRRKLAWKAFQHVASYDSAVSEWLWKQTEDGDKMPPSFTVPLSLKCTLRYGENPHQKAAFYDDRSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFKNPTCVIVKHTNPCGVASRQDILEAYRLAVKADPVSAFGGIVAFNTIVDEDLAKEIREFRSPIDGSIRMFYEIVVAPKYTEKGLEILRGKSKTLRILEAKKSEKGMLSLRQVAGGWLAQDSDDLTPEDIKFTVMSERIPQEAELQDAEFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRVESLRIAFRKAGEEAKGAALASDAFFPFAWKDAVEEACQNGIGVIAEPGGSIRDGDAVDCCNKYGVSLVFKNVRHFRH >Ma08_p31340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42285398:42294769:-1 gene:Ma08_g31340 transcript:Ma08_t31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFAVTRRETVPFEGQKPGTSGLRKKVTVFKQPNYLHNFVQSTFNALPTDKVKGATLVVSGDGRYFSKDAVQIIIKMAAANGVRRVWVGQNSLLSTPAVSAVIRERVGADGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIYANTKTLKEYFIAENLPDIDISVLSVSTFSGPEGPFDVDVFDSTTDYVKLMKSIFDFGSIQKLLSCPKFTFCYDALHGVAGIYAKHIFVEELGANESSLLNCTPKEDFGGGHPDPNLTYAKELVARMGLGKSSSQFEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVEAIPYFASGLKGVARSMPTSAALDVVAKNLGLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDKLNDDKLVTVEDIVRKHWATYGRHYYTRYDYENVDAGAAKELMAHLVNLQSSLSEVNRIIKEIRSDVSDVVQANEFEYKDPVDGSVSKHQGIRYLFVDGSRLVFRLSGTGSEGATIRVYIEQYENDATKTGRDSQEALGPLVEVAIKLSKMKEFTGRSAPTVIT >Ma07_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30548602:30552439:-1 gene:Ma07_g22700 transcript:Ma07_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAADAQNEREGFLAQVDSFLVDALENPRHRLTVLRMELAIQRFMQNPDQHQFEFQHLPTSYLRCAAHRVAQHYGLHTMSLDNSVDGLGSRVIARKTPNSKYPAICLSEVPPKQNEKEIAEQFKIAIRPRPMKGCLSDDVELGLKKGAVRSVEERKEEYDRARARIFSGSSSSEGDGSLSADSIDRRSLPSSMDEQEYALEEIEKTHSKDSASRVAIFRDMEKDRTDPDYDRSYERYVRGPAAFQNFGLQACNILQPPFVQYEADVSSFSPMSRNLANPSVNPYFAFGYDQNTKDAFCMQWPNPAMMYAYSYEHFRQAKFQAPLYQQPLSFEHPRNL >Ma05_p29520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40161297:40162196:-1 gene:Ma05_g29520 transcript:Ma05_t29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETHHLLLVALFSCVLVPATAVCVPRNSSNSIYHATTSPPAFVVNYGSSSRPSPTPASYQPSSSPPPSPLSPAKYVPSSSPPPPTPVSYQPPTSSPPPPTPVSYQPPTSSPPPPVRYQRISSQQPTPAGSLGSTSPSGYSSPSTQLPIRDAVSALCSKTDYPDVCSSTIQSFPALVGPVDAAVMLKLHMKACREKAQIAQSQVSALVSHPGASSKLASSLQVCSDMYDDVFDSLDTAADAMASHDKGTLDSMLSGLISDFSTCEDAFTEDSITSPMAAVDDRLTKLASNCLAFSSLL >Ma09_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35673146:35678970:1 gene:Ma09_g24000 transcript:Ma09_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLKVSQLSYGAWVTFGNQVDVKEAKILLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWRRSDIVVSTKIFWGGPGPNDKGLSRKHLVEGTRASLRRLDMDYVDVVLCHRPDAATPIEETVRAMNHIIDKGWAFYWGTSEWSAQQITEAWAIASRLDLVGPVVEQPEYNLLSRHKVEVEYLPLYSTYGLGLTTWSPLASGVLTGKYSKGNVPADSRFALENYKNLASRSLVNDTLRKVNGLKPIADELGVPLSQLAIAWCASNPHVSSVITGATKESQIVENMKALEVIPKLTPDVLERIEAIIQSKPKRPESYR >Ma02_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15290870:15300549:1 gene:Ma02_g03830 transcript:Ma02_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANLRNQRVFTFGKGKSEGNKNMKALLGGKGANLAEMASIGLSVPPGLTVSTEACQEYQECGHKLPTGLWEEILDALAAVEADMGARLGDPARPLLVSVRSGAAVSMPGMMDTVLNLGLNDEVVAGLAIKSGDRFAYDSYRRFLDMFGNVVMGIAHSLFEEKLEALKKAKGAKQDTDLSAADLRELVAQYKNVYVDAKGERFPTDPKRQLYLAVIAVFDSWDSSRAKKYRSINQITGLKGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPQDLDTMKQCVPDAYDELVENCEILERHYKDMMDIEFTVQENRLWMLQCRAGKRTGNGAVKIAVEMVKEGLVDIHSAIKMVEPGHVDQLLHPQFENPSAYKDKVIATGLPASPGAAVGQVVFTADDAEAWHAQGKAVILVRTETSPEDIGGMHAAEGILTARGGMTSHAAVVARGWGKSCVSGCSDIRVNDADKVVVIGDKVIHEGDWLSLNGSTGEVITGKQPLSPPALSGDLGTFMSWVDEIRQLKVMANADTPDDALTARNNGAQGIGLCRTEHMFFASDERIKAVRQMIMASNLEQRQRALNLLLPYQRSDFEGIFHAMDGFPVTIRLLDPPLHEFLPEGNLEDIVSELASETGAKEEEIFSRVEKLSEVNPMLGFRGCRLGISYPELTEMQTRAIFEAAISMSKRGVTVFPEVMVPLIGTPQELEHQVSLIRKIAEQVFSEMGASITYKVGTMIEVPRAALIADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVLDQKGVGQLIKVAVERGRRARPDLKLGICGEHGGEPSSVAFFAQTGLDYVSCSPFRVPIARLAAAQVAV >Ma10_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25516947:25520250:1 gene:Ma10_g12410 transcript:Ma10_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVSCSPIERVGGCLRSSFFCRGSMSSPLLARKGRHQQRYDNQLRLVAGCIPYKVKADDGNRSGDLLGRVEVLMITSPGRLDLVFPKGGWEIDETAGEAACREAMEEAGVKGILNDTDLGVWEFRSKSRQNSCTMEGTCKGYMFALEVTEELDCYPEKDNRDRKWVPLGEAYELCRYDWMREALDSFKNLLTEKLVSTVPDLSVSSSFCIVKPTAADHAIALC >Ma10_p12410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25516951:25520250:1 gene:Ma10_g12410 transcript:Ma10_t12410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLARKGRHQQRYDNQLRLVAGCIPYKVKADDGNRSGDLLGRVEVLMITSPGRLDLVFPKGGWEIDETAGEAACREAMEEAGVKGILNDTDLGVWEFRSKSRQNSCTMEGTCKGYMFALEVTEELDCYPEKDNRDRKWVPLGEAYELCRYDWMREALDSFKNLLTEKLVSTVPDLSVSSSFCIVKPTAADHAIALC >Ma04_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9433029:9438894:-1 gene:Ma04_g12490 transcript:Ma04_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRVVAHSKARSGGKAAVPQQAVFEMKQRVILLLNKLADRDTYNIGVEELEKAALRLTPDMIAPFLSCVTETNAEQKSAVRAECVRLMGTLARSHRILLAPYLGKVVGSIVKRLKDTDSVVRDACVEACGVLATSIRGGEGGGGATFVALAKPLFEALGEQNRYVQVGAAHCLARVIDEASDAPQNILPQMLTRVIKLLKNQHFMAKPAIIELIRSIIQAGCALAEHTLSAAVTSILEALKSNDWTTRKAASVALAGIAVNPGSSLAPLRSSCLHFLESCRFDKVKPARDSIMHAIQCWRALPVTHSSETSEAGSSTKENFGKDINVVTGVCNSRWRDTLSRKNGPVSDLCGNSTSSTQKRAPLSVRKPCTTNMQSHQRMKSNDWHIAMSVPKTHGTPLVNSNSVKSDSNVIDLLERRMLNTAELQNINFDYGSVFDKTECSSVSVPDYRIYEMEHLTVSHDCDGENDSEGNDSISPTRNNHSAIEDNGRECLGTQERKSPESTISDLCSRSMHGCCVHAANGLAAIKQQLLEIETKQSNLLDLLQVFIRNSIDNVSKLQSKVNFLEQTLDKMTHSVAECENYSSMVCSKILKKVQTVSSSPKLTPRPSVDNNYNDSSLLSSKSMEIWQDNLSSKSRSSTSVIEEGEILEDSPLDILQSPIPRGVGNNSGRSLISLRNQERDAKDASVGASNLKDVNGYLKRIKEFLSAGNLESAYVEAILSGDDLSLVLLMDRTGPVLDKLSHETTNEVLSIMATNFVNRRYLEGAIPWLQQVVNLTMANEPRNLFLSTKAQMDFLLALQVAATRGCADPVAKTSISRLAVKLSKLWHGDPSRKGLPPRGSQGNKKCAF >Ma06_p34020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34431478:34440514:-1 gene:Ma06_g34020 transcript:Ma06_t34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNTTLNGSASNLTDSTGRPYTSFSAQSATSPGFHHSGLHNIHGSFNLPNMPGSFASRNAAMSGVPSGGVQQPGGSISSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFGNSMNGVGGSITGISSNSATSNRNSVPGLGVSPVLGNVGPRIPNSMGNIVGAGNMGRSISSGGISIPGLASRINLASNSGAGSLSVQGPNRLISGMLQQAPQMIGMLGNSYPTSGGQLSQSPGGNNPLSSMGVLNDLNVADSSPFDMSDFPQLNSRPSSAGGPQGQLGTTRKQGGVSSIVQQSQEFSIQNEDFPALPGSKGGSSDFSVDLHQKEQLHENISTMQPQNLPMSRSVGFSLGGTYLPNRQQQQHSTSAGGGGLPFTPGNNQDLLRLHDSDFFSSHGTYHSQIQNSGAPSIGLRTLSSPTPASGVGAAYEQLIQQYQHPQSQSHFRLPMSDVSQSYRDQNLKSSTGSQVAPDRFGLQGLLSVIRMYDPDLTSLALGIDLTTLGLNLNSSEDLYKTFGSPWSDEPAKGEPDYCIPTCYYSKPPPLLHQGHFSKFQVSTLFYIFYSMPKDEAQLYAASELYARGWLYHKEHQLWFTRVPNVEPLVKTLTYERGTYVCFDPNTWGTILKENFVLHYEAVEKKPILPSDRPHLA >Ma06_p34020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34431478:34440528:-1 gene:Ma06_g34020 transcript:Ma06_t34020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNTTLNGSASNLTDSTGRPYTSFSAQSATSPGFHHSGGLQGLHNIHGSFNLPNMPGSFASRNAAMSGVPSGGVQQPGGSISSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFGNSMNGVGGSITGISSNSATSNRNSVPGLGVSPVLGNVGPRIPNSMGNIVGAGNMGRSISSGGISIPGLASRINLASNSGAGSLSVQGPNRLISGMLQQAPQMIGMLGNSYPTSGGQLSQSPGGNNPLSSMGVLNDLNVADSSPFDMSDFPQLNSRPSSAGGPQGQLGTTRKQGGVSSIVQQSQEFSIQNEDFPALPGSKGGSSDFSVDLHQKEQLHENISTMQPQNLPMSRSVGFSLGGTYLPNRQQQQHSTSAGGGGLPFTPGNNQDLLRLHDSDFFSSHGTYHSQIQNSGAPSIGLRTLSSPTPASGVGAAYEQLIQQYQHPQSQSHFRLPMSDVSQSYRDQNLKSSTGSQVAPDRFGLQGLLSVIRMYDPDLTSLALGIDLTTLGLNLNSSEDLYKTFGSPWSDEPAKGEPDYCIPTCYYSKPPPLLHQGHFSKFQVSTLFYIFYSMPKDEAQLYAASELYARGWLYHKEHQLWFTRVPNVEPLVKTLTYERGTYVCFDPNTWGTILKENFVLHYEAVEKKPILPSDRPHLA >Ma02_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29115625:29116164:-1 gene:Ma02_g24550 transcript:Ma02_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVREINGPRSAPLKIHKDSHLIHKSPSSSAATGARCQRNHPVVIYTHSPKVIHTQASDFMALVQKLTGRSQSPEDDNGTNGSAPPPDVSREDDAAVSSDSSSRFISSSLSSATTMSWAGFDTVPPPNLLSAETPMLFTPGFPSAFFGCMDESGAVSPSVVEAMSIDSFGGRRRPRE >Ma11_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19609579:19624417:1 gene:Ma11_g14310 transcript:Ma11_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAATAACPLLRFRSLPLGCYISPSRSYRQRRPIDCIRVLAMAGSSTSAAPHRHTNRLASEHSPYLLQHAHNPVDWYPWGEEAFENARKRNVPIFLSIGYSTCHWCHVMEVESFESKEIAKMLNEWFVSIKVDREERPDVDKPLMGGTYFPPDDNYGRPGFKTVLRKVKEAWETKCEMLERGGTLAIEQLSEALSAAAKSTKLSDELAQASLKTCSQQLASSYDPKFGGFGSAPKFPRPVEVCLLLYESKKLMENGRQSEANKNMQMICNTLQCMARGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQVANVYLDTFSITRDTFYASITRDVLDYLRREMIGDAGEIYSAEDADSAEHHGASRKKEGAFYVWTSQEVEELLGEYANLFKDHYYIKPSGNCDLSRMSDPHNEFKGKNVLIEREDIPSMASKWGKSVDEYCHIMAECCKKLFDVRSKRPRPHLDDKVIVSWNGLAVSSFARASRILRTEPKGTKYYFPVVGCDPNEYLEVAEKAASFIRRNLYDLSTQRLQHSFRNGPSKAPGFLDDYAFLINGLLDLYEFGGKIDWLSWAIELQATQDKLFLDREGGGYYNTPGEDPSVLLRIKEDHDGAEPSGNSVAAINLIRLSSIISGASSEDYRRTAEHLLAVFEMRLKDQGIAVPLMCCAADMLAVQSRKQVVLVGDKASAEFDDMVAAVFASYDPNRTVIQIDRSSKEEMEFWENCNPDIARMAKGTVEDKQAVAHVCQDFVCSPPVTDPDALRALLDKA >Ma11_p14310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19609579:19624414:1 gene:Ma11_g14310 transcript:Ma11_t14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAATAACPLLRFRSLPLGCYISPSRSYRQRRPIDCIRVLAMAGSSTSAAPHRHTNRLASEHSPYLLQHAHNPVDWYPWGEEAFENARKRNVPIFLSIGYSTCHWCHVMEVESFESKEIAKMLNEWFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDNYGRPGFKTVLRKVKEAWETKCEMLERGGTLAIEQLSEALSAAAKSTKLSDELAQASLKTCSQQLASSYDPKFGGFGSAPKFPRPVEVCLLLYESKKLMENGRQSEANKNMQMICNTLQCMARGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQVANVYLDTFSITRDTFYASITRDVLDYLRREMIGDAGEIYSAEDADSAEHHGASRKKEGAFYVWTSQEVEELLGEYANLFKDHYYIKPSGNCDLSRMSDPHNEFKGKNVLIEREDIPSMASKWGKSVDEYCHIMAECCKKLFDVRSKRPRPHLDDKVIVSWNGLAVSSFARASRILRTEPKGTKYYFPVVGCDPNEYLEVAEKAASFIRRNLYDLSTQRLQHSFRNGPSKAPGFLDDYAFLINGLLDLYEFGGKIDWLSWAIELQATQDKLFLDREGGGYYNTPGEDPSVLLRIKEDHDGAEPSGNSVAAINLIRLSSIISGASSEDYRRTAEHLLAVFEMRLKDQGIAVPLMCCAADMLAVQSRKQVVLVGDKASAEFDDMVAAVFASYDPNRTVIQIDRSSKEEMEFWENCNPDIARMAKGTVEDKQAVAHVCQDFVCSPPVTDPDALRALLDKA >Ma03_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27191941:27197220:-1 gene:Ma03_g22450 transcript:Ma03_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYNLKNIYMTACMSTQFTPPLSAMPIQYACVETEKASTCRVTMPQGRDPLVLGQVVGDVLDPFTRSAAMRVMYNSKEIRNGTGLRHSAVVNKPRVEIEGHDRRQLYTLVMVDADAPSPNSPTDREYLHWLVTDIPETLDASYGNEIVSYESPHPTAGIHRIVLVLFRQEVQQTIYAPGWRQNFNTRDFSAFYSIGPPVAAIYFNCQRENGCGGRR >Ma03_p28850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31903462:31906182:1 gene:Ma03_g28850 transcript:Ma03_t28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDMVGQRLEEDSKALVEQLTKQDPSKNVVVLAIVGIGGIGKTTFAQKVFNDGKIKASFRTTIWVCVSQEFSETDLLRNIIEGAGGKYKREQSRSQLEPTVERLLRGNKFLLVLDDVWDAQIWDDLLRNPLQGGAAGSRVLVTTRNAGIARQMKAAHFHEMKLLPPEDGWSLLCKKATMNAEEERDAQDLKDTGMKIVEKCGGLPLAIKTIGGVLCTRGLNRDAWEEVLRSAAWSRTRLPEGVHGALNLSYQDLPAHLKQCFLYCALFPEDYVFRGSAIVRLWIAEGFVEARGDVSLEEAGEQYHRELLHRSLLQSLQPFSLDSDEYSKMHDLLRSLVHFLSRDESLFISDVQNEWRSAAATMKLRRLSIVATETMDIRDIVSWTRQNESVRTLLLEGIRGSVKDIDDSLKNLVRLRVLHLTYTNIDILPHYIGNLIHLRYLNVSHSHVTELPESICNLTNLQFLLLKGCDQLRHIPRGIARLFNLRTLDCTYTQLESLPCGIGRLKHLNKLRGFVVNTGNDGMCPLEALYGLQELRYLSVGRLERAWLEAEPKRVTSILKGNHKLKNLYLHCSSTLTSDGHTEEEIERMEKVLDVALHPPSSVVLLSLLNFGLRYPSWMASASISSLLPNISRLELNYCVHWPLLPPLGKLPSLEFLEIVGARAVTTIGPEFFGCEVAAAGHERERNSKRSSSSTSPPSLFPKLRQLELLDMTNMEVWDWVAEGFAMRRLDKLVLGNCPKLKSLPEGLIRQATCLTTLFLADVCALKSIRGFPCVKEMSIIGESDLEIVADLPALEVLNLGLFGRRNNHLPDWLAQQSFTTLRRLDVRGTTQILRRCLQNGADWPMIERFPIFSIRDFRGNYINYIKHSCTFDTNLVDDDAAFAAVAAAEEEEEEEEEEDVNEL >Ma05_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12007757:12009121:1 gene:Ma05_g15670 transcript:Ma05_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQQAHFDEPPTVRSHPTDATGEHPLQEGVRDEHPAAISERYWRLFNDPGLSPPIGNPGGPSPVPPEAFYDLTHQVRALTGVMQTIIPLVSHPTSSHSTLPPQRQRSAAQTHAPLPESPASPPGQSTQLGSRGAEDPATHPTLVAPLSDSTEGLWAQLRLVGHRLDEVQREVRRTRGDPGAEQHQGSPFTPEVQEQAIPPHFRLPSLDAYDGATDPADHVAAFRAQMALYGTSDALMCRAFPTTLRGSARAWYSNLKTATIASFDQLAKDFELNFLAHAKPKPSVAMLLGLNQREDEPLSHFVNRFTTQIRGLSDAHPSLMMQAFMMGLRPTRFFWSLVERPPTSVPEMLQRANQFIAAEAWMVGRRDERKRVKPEQSHQQQPAASRRRADGLNDAVPRSPPPGLNSSRTEIFLQIKEKGLLKDPYPMRSPRELADRSKYCRFHRQPGHDTE >Ma02_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20344943:20346079:-1 gene:Ma02_g10950 transcript:Ma02_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCPLLMVMTLAALLALTTPLDGAQPAAPEPAPEPLNITAILVNGTNYNTFIHLLRETQVDIQINEELNDLANAFTIFAPTDAAFKSLKSGTLNTLVQQDQVGLVLYHILPRYYSLDMFETASNPVRTQASGNHGAYTINVTTDSNMQVNISTGIVHTRIAKKIFDDSQQLAVYSLDKVLLPYDLFGPKPPASVPSTAGGKSPSGNSTAAEEGTSGAGLHGRGVGWSLLVGGGLVAIAGYLF >Ma10_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29841177:29844071:1 gene:Ma10_g19200 transcript:Ma10_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMSRFVKQSNVSMVYESSEDKIQAKMATFPRDILFCILSRLPLKSVLRFCCVSKLWLDVIKSPEFGYLHSKKSAGDPTLLILSHRLLKENIISISPISLRGHHLHISDNSISNLVGSCSLNLVGACNGFLCFASFDHERILVCNPITIEFVILPKPGTTLPPEPLTIVYGFGFDSTSETYKVVRVSYSEGSLHGDANLKVSVEVYNLGATGSWRAVRDFQQPPYGLPVFANGHLHCISELGGCLSVVDLRKRTLIEVWVLKDQFNNYWQQEYILPVGVPYGLDMGLPRLISISEKNGVLLIWLQDAIFSYEQRTLSRKKLLISGLPSWLDWEICCGFRPSLAPLRGNLERLKENMDVAYFTSDMEIYSQPIISSQIVEYKAIGRCDGLVSCFVKNGMLVP >Ma10_p19200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29841133:29844071:1 gene:Ma10_g19200 transcript:Ma10_t19200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYESSEDKIQAKMATFPRDILFCILSRLPLKSVLRFCCVSKLWLDVIKSPEFGYLHSKKSAGDPTLLILSHRLLKENIISISPISLRGHHLHISDNSISNLVGSCSLNLVGACNGFLCFASFDHERILVCNPITIEFVILPKPGTTLPPEPLTIVYGFGFDSTSETYKVVRVSYSEGSLHGDANLKVSVEVYNLGATGSWRAVRDFQQPPYGLPVFANGHLHWLVHPHFSGADRIISFDIGKEESVMTPHPNFGLRFSISELGGCLSVVDLRKRTLIEVWVLKDQFNNYWQQEYILPVGVPYGLDMGLPRLISISEKNGVLLIWLQDAIFSYEQRTLSRKKLLISGLPSWLDWEICCGFRPSLAPLRGNLERLKENMDVAYFTSDMEIYSQPIISSQIVEYKAIGRCDGLVSCFVKNGMLVP >Ma10_p19200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29841177:29847011:1 gene:Ma10_g19200 transcript:Ma10_t19200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMSRFVKQSNVSMVYESSEDKIQAKMATFPRDILFCILSRLPLKSVLRFCCVSKLWLDVIKSPEFGYLHSKKSAGDPTLLILSHRLLKENIISISPISLRGHHLHISDNSISNLVGSCSLNLVGACNGFLCFASFDHERILVCNPITIEFVILPKPGTTLPPEPLTIVYGFGFDSTSETYKVVRVSYSEGSLHGDANLKVSVEVYNLGATGSWRAVRDFQQPPYGLPVFANGHLHWLVHPHFSGADRIISFDIGKEESVMTPHPNFGLRFSISELGGCLSVVDLRKRTLIEVWVLKDQFNNYWQQEYILPVGVPYGLDMGLPRLISISEKNGVLLIWLQDAIFSYEQRTLSRKKLLISGLPSWLDWEICCGFRPSLAPLRGNLERLKENMDVAYFTSDMEIYSQPIISSQIVEYKAIGCFS >Ma10_p19200.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29841177:29845187:1 gene:Ma10_g19200 transcript:Ma10_t19200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMSRFVKQSNVSMVYESSEDKIQAKMATFPRDILFCILSRLPLKSVLRFCCVSKLWLDVIKSPEFGYLHSKKSAGDPTLLILSHRLLKENIISISPISLRGHHLHISDNSISNLVGSCSLNLVGACNGFLCFASFDHERILVCNPITIEFVILPKPGTTLPPEPLTIVYGFGFDSTSETYKVVRVSYSEGSLHGDANLKVSVEVYNLGATGSWRAVRDFQQPPYGLPVFANGHLHWLVHPHFSGADRIISFDIGKEESVMTPHPNFGLRFSISELGGCLSVVDLRKRTLIEVWVLKDQFNNYWQQEYILPVGVPYGLDMGLPRLISISEKNGVLLIWLQDAIFSYEQRTLSRKKLLISGLPSWLDWEICCGFRPSLAPLRGNLERLKENMDVAYFTSDMEIYSQPIISSQIVEYKAIDHVGR >Ma10_p19200.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29841177:29847010:1 gene:Ma10_g19200 transcript:Ma10_t19200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMSRFVKQSNVSMVYESSEDKIQAKMATFPRDILFCILSRLPLKSVLRFCCVSKLWLDVIKSPEFGYLHSKKSAGDPTLLILSHRLLKENIISISPISLRGHHLHISDNSISNLVGSCSLNLVGACNGFLCFASFDHERILVCNPITIEFVILPKPGTTLPPEPLTIVYGFGFDSTSETYKVVRVSYSEGSLHGDANLKVSVEVYNLGATGSWRAVRDFQQPPYGLPVFANGHLHWLVHPHFSGADRIISFDIGKEESVMTPHPNFGLRFSISELGGCLSVVDLRKRTLIEVWVLKDQFNNYWQQEYILPVGVPYGLDMGLPRLISISEKNGVLLIWLQDAIFSYEQRTLSRKKLLISGLPSWLDWEICCGFRPSLAPLRGNLERLKENMDVAYFTSDMEIYSQPIISSQIVEYKAIDHVGR >Ma10_p19200.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29841177:29845187:1 gene:Ma10_g19200 transcript:Ma10_t19200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMSRFVKQSNVSMVYESSEDKIQAKMATFPRDILFCILSRLPLKSVLRFCCVSKLWLDVIKSPEFGYLHSKKSAGDPTLLILSHRLLKENIISISPISLRGHHLHISDNSISNLVGSCSLNLVGACNGFLCFASFDHERILVCNPITIEFVILPKPGTTLPPEPLTIVYGFGFDSTSETYKVVRVSYSEGSLHGDANLKVSVEVYNLGATGSWRAVRDFQQPPYGLPVFANGHLHWLVHPHFSGADRIISFDIGKEESVMTPHPNFGLRFSISELGGCLSVVDLRKRTLIEVWVLKDQFNNYWQQEYILPVGVPYGLDMGLPRLISISEKNGVLLIWLQDAIFSYEQRTLSRKKLLISGLPSWLDWEICCGFRPSLAPLRGNLERLKENMDVAYFTSDMEIYSQPIISSQIVEYKAIGCFS >Ma10_p19200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29841139:29844071:1 gene:Ma10_g19200 transcript:Ma10_t19200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYESSEDKIQAKMATFPRDILFCILSRLPLKSVLRFCCVSKLWLDVIKSPEFGYLHSKKSAGDPTLLILSHRLLKENIISISPISLRGHHLHISDNSISNLVGSCSLNLVGACNGFLCFASFDHERILVCNPITIEFVILPKPGTTLPPEPLTIVYGFGFDSTSETYKVVRVSYSEGSLHGDANLKVSVEVYNLGATGSWRAVRDFQQPPYGLPVFANGHLHWLVHPHFSGADRIISFDIGKEESVMTPHPNFGLRFSISELGGCLSVVDLRKRTLIEVWVLKDQFNNYWQQEYILPVGVPYGLDMGLPRLISISEKNGVLLIWLQDAIFSYEQRTLSRKKLLISGLPSWLDWEICCGFRPSLAPLRGNLERLKENMDVAYFTSDMEIYSQPIISSQIVEYKAIGRCDGLVSCFVKNGMLVP >Ma04_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15039101:15039251:-1 gene:Ma04_g16240 transcript:Ma04_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTLNIFAATALRDLYCQPIKEDND >Ma07_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5308444:5318032:1 gene:Ma07_g07260 transcript:Ma07_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVIFQKELFSVVGISLGSVLEFFSTMRNRPASDAVALLSINWFILGTLSSRTLPVIAHMLAPSAIRIAVQLVFKDIFFPVSLLASDLGIPGIYLVHDFITVEEEKRFLAEVDNRQWRSLSKRRVQHYGYEFLYETRNIDSKHFLGELPSFVSNILQKILSFPGLGRDQNKEMDQLTVNEYPCGVGLSPHIDTHSAFDELIFSLSTAGPCIMEFRRYPEGTWCHPTASVNEIHEDTPLLSSYITRKAIFLPPRSMILMSGEGHYAWHHYIPHCKVDRVGEKAIGRSSRRVSFTFRKVRKGPCCCPYKQYCDSQLECRNQQVKQNIVQSSLPLKREAFCPTDTDQI >Ma08_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:163317:173807:-1 gene:Ma08_g00190 transcript:Ma08_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSASQREEEEVKKKNEGKAGGAYHKDSSAATASNHGSIDKSKSRMGSDTSKKASTPKECNADHIAAKTFTFRELAAATQNFRQDCLLGEGGFGRVYKGRLENGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPHLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPADKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTRPAGEQNLVAWARPLFKDRRKFPQMADPLLQGHYPARGLYQALAVAAMCLQEQAATRPLIGDVVTALSYLASQTYDPNSATGQSTRFGPSTPRSRSGKDHQHAVHSPQRNSPDLRQRDPVKGLSKGAKVGRGGSGCGSGQKWGLEESETQESQMDSPVHIDGARDSPKNRDLVREHAVAEAKLWGEKWRERQRRNAPDSFESTHE >Ma05_p26880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38393919:38394360:1 gene:Ma05_g26880 transcript:Ma05_t26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLAYVAGAEAIMLLFLTLPGLDRLRRGLVAVVRSALKPLLSVVPFCLFLIADIYWKYEMRPFCEQEACTPSEHLRHQKSIIKSQRNALLIASALLLYWLLFSVSGLVLRIEKLKRSE >Ma01_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12607678:12610039:-1 gene:Ma01_g17240 transcript:Ma01_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVHEAKYECLLFDMDDTLYPLSSGLNLACRKNIEDYMLHHLQIEESQVPKMCLELYKEYGTTMAGLKVFYLIKKSVHLNQLNLFLSFCEQALGYEFDDDEFHAYVHGRLPYEILKPDPLLRNLLLSMPQRKIILTNADKAHAARVLSRLGLEDCFEGVTCFETLNPPRQQNGSADGHGNFGETDSNTDGESDSSADTAGCVGGRKSNSKGRILCKPSLEAIEAAIKIANIDPKRTIFLDDSARNIAAGKAAGLHTVLVGSPTLVPGADVALESIHNMREALPEIWKDGDKLEPVLAATAVETTVLA >Ma01_p17240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12607678:12610029:-1 gene:Ma01_g17240 transcript:Ma01_t17240.3 gene_biotype:protein_coding transcript_biotype:protein_coding METVVHEAKYECLLFDMDDTLYPLSSGLNLACRKNIEDYMLHHLQIEESQVPKMCLELYKEYGTTMAGLKALGYEFDDDEFHAYVHGRLPYEILKPDPLLRNLLLSMPQRKIILTNADKAHAARVLSRLGLEDCFEGVTCFETLNPPRQQNGSADGHGNFGETDSNTDGESDSSADTAGCVGGRKSNSKGRILCKPSLEAIEAAIKIANIDPKRTIFLDDSARNIAAGKAAGLHTVLVGSPTLVPGADVALESIHNMREALPEIWKDGDKLEPVLAATAVETTVLA >Ma01_p17240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12607678:12610040:-1 gene:Ma01_g17240 transcript:Ma01_t17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVVHEAKYECLLFDMDDTLYPLSSGLNLACRKNIEDYMLHHLQIEESQVPKMCLELYKEYGTTMAGLKVFYLIKKSVHLNQLNLFLSFCEQALGYEFDDDEFHAYVHGRLPYEILKPDPLLRNLLLSMPQRKIILTNADKAHAARVLSRLGLEDCFEGVTCFETLNPPRQQNGSADGHGNFGETDSNTDGESDSSADTAGCVGGRKSNSKGRILCKPSLEAIEAAIKIANIDPKRTIFLDDSARNIAAGKAAGLHTVLVGSPTLVPGADVALESIHNMREALPEIWKDGDKLEPVLAATAVETTVLA >Ma01_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14771953:14777689:1 gene:Ma01_g19280 transcript:Ma01_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFGKLAAVSGRPMTVPASAAAVSYSTLTGGGGGRGRGRGSGTPSRVPGQPDSNAGDDDLFAPPGVGRGRGQPVLPSSPVLPSFSSWMSSEKPAVGRGRGRLAPPPPDSSETSSDMLPKKPIFFKREDVAVSPVEKPEFADADEVEPLPLSLSSGLAGAGRGKPTRSAEPDSRAREENRHLRPRAAPRSTLGTSDQTSSQPRMGREEAVRKAVEVLSRGGPGGGRGPGRGRGGRAMMRGRGRGGRFRGRGAGEADEDIGIYLGDNADGEKLKKRLGEEKMKQLSEAFEEMSWTALPSPFEDAYLDAVHTNNMIEYEPEYLVDFDNPDIDEKPPMSLLEALEKAKPFLMAYEGIQSQEEWEEAVKEIMEKLPYMKELMDVYCGPDRVTAKQQQQELERVAKTLPENAASSVKRFTERALLSLQSNPGWGFDKKCQFMNKLVWEVSQYYK >Ma06_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4896038:4896316:-1 gene:Ma06_g06820 transcript:Ma06_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFAAALSIITDLAMNTTINRSVHPLYHPHTSPLSSSSSLSFHERFILANSAPLAYCVSLFPHLNACNYVITVKVMWAEQSSLDCNSPFPQ >Ma02_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24230149:24231740:-1 gene:Ma02_g17210 transcript:Ma02_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL31 [Source:Projected from Arabidopsis thaliana (AT1G73140) UniProtKB/TrEMBL;Acc:A0A178WIY7] MWLPLSNQSLLALFLFVSASILVVGTLRTIVEFSFLTKIYFSTTHGDPADVLEQGCNLFQGRWVLDEHNSRPLYTEESCPYLTRQVTCGRNGRPDSLYQKWRWKPDACDLPRFDALKLLERLRNKRLMFVGDSIQRTQWESMVCLLQPAVPDGKRFIHKDPPRKVFVAEEFNASIEFYWAPFLVESNSDHATKHTVQRRQVKLDSITEHSQHWAAVDVLVFESYVWWMYQPLINATNGSHDVREYDVAAAYELMLRTWAKWIDSAMNPDTQKAYFMSLSPTHLWSWEWRNGSNGNCFNESYPIQRPFWGAGSSLDVMRAVAEALQGLKVKVTMLNITQLSEFRKDAHTSVYTERRGKLITGELRLNPEMYADCIHWCLPGVPDTWNEILYTYLIHGGH >Ma04_p27210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28425829:28431946:1 gene:Ma04_g27210 transcript:Ma04_t27210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHSEFDIGASATPPFGIREYAFADAGNLEHCSKYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYSLLQQRQRDIEFREASNDQRQRLQSDISRFEAKVERLEAQLTAKDRELATLTRTEAKNTANLKAQIEKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADSDFYKMIVDAYEVKKKELMAENSDLRALLRSMQMDMRDFLNAPNGLPQQTSAANEKEDAGSPQSPLGGRMDVFDLPFHMARDQIEESLRTKMASIKARMSQLQDAQKGAEVTCEATERELELEAQLVEARSIIQEQASIMSKHFAKNDKPRRLSSQFDAEREVILSKSAEEV >Ma04_p27210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28425802:28431946:1 gene:Ma04_g27210 transcript:Ma04_t27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHSEFDIGASATPPFGIREYAFADAGNLEHCSKYLNQTLVTFGFPASLDLFATDPRQRDIEFREASNDQRQRLQSDISRFEAKVERLEAQLTAKDRELATLTRTEAKNTANLKAQIEKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADSDFYKMIVDAYEVKKKELMAENSDLRALLRSMQMDMRDFLNAPNGLPQQTSAANEKEDAGSPQSPLGGRMDVFDLPFHMARDQIEESLRTKMASIKARMSQLQDAQKGAEVTCEATERELELEAQLVEARSIIQEQASIMSKHFAKNDKPRRLSSQFDAEREVILSKSAEEV >Ma05_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33062280:33069627:1 gene:Ma05_g21410 transcript:Ma05_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGVFSYAREKLSENCSLLCPGLFDRASRSSCGLKAVIVLLHITFVGVIFLFDANLIRKSREEPWYITVYLMVFLVTLVQYFFTSGSFPGYIIDAMRVKNGSNTTFINSPAIVEQSNARNGNLVSSTRNNQLERQNSRLPSLSWEKLVMELYPPGSAIRNWTCTYCNIIQPPRSKHCHDCDKCVLQFDHHCVWLGTCIGQRNHCRFWWYIFEETILCIWTVVLYITFLRSKLMKTWWKDLIAIVLLTALVFSLIFLLVLLLFHSYLMITNQTTYEMARRRRILYLRGIPKKVHPFSRGICKNLYTSCCSRDSMYTLEAVPPMEELEARARPYTCVDIISCKCC >Ma04_p31020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31469541:31470148:-1 gene:Ma04_g31020 transcript:Ma04_t31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFFPIPFVVNLHKDLERDTFENPHFVAAMIQNPGNNCKNRLEYFVRQLSLLIVYVIFFMYFGIYLLSILIYHLNHITTISFYCDTINHHSSLSSQHCPLSNIAAPTLPAHNNGFISISNLHQWDSYQSHTKEIIKLLNRSLQKSIITSNNICKTKKNTF >Ma08_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:317496:322647:-1 gene:Ma08_g00350 transcript:Ma08_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLSTSCVHQRFALIRCCDLKDKKSRRRKTREERRTLVESFIDKYRTLNNGKFPSLNLTHKEVGGSFYIVREIVRDIIQKNKVLGPGSPSMKALTLEDCLEEHESENFAINPHYEVFTSSIELAVDEKQIEISSQAHLGEDNEQLVQPDFDEIIVSGQNNHLFSHVIQHSPSHLQQCSSGDNEHDNLINTESKEVNLRKPSSWTYDKTGNNEESRSAVHGDIERNKFHQVKQPEPLYETSSITSPEEELLLNEDVKNNCKSLAETVNMSTENGNYETSTADALPEEKVFISSLTTSDHLFSNSASSEEEFSSLVSSAMKTDSDELVTPQDVASIQSLSNVQEESSNDELSIPNGTELPENTFSSLDDKVTNSMSQVSENLIFPPSGILQAETPPVPKASDMETSRQKTECASAESLMPTMQEISTIPLKTGHKTEDSSMDRSSSKSANANEEIQSKTNPVWNAIKAFVTGFIKFWSE >Ma08_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9314836:9316948:1 gene:Ma08_g12320 transcript:Ma08_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFVDSFSYALSLPKAQAVAQETLAVWCSLASRLGVWALKSELEDLCFAVLQPRVFRIIRAELASMWAPNNKIRNFRRVSMKAGLLTPSKDDGIIFHDGWPIENNEERKNMRVSMICKNFYGLVAVFQINN >Ma10_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10141828:10144981:1 gene:Ma10_g02950 transcript:Ma10_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLQAHVTDETDAENTEVKSSECNSGRISPPRMPQPTQLTIFYNGADSVYNGVPPEKAQAILLIAAAATAASRAANKVGPTAAAAGLPALTRSLSLQSSNAGMRKIIVPRAQIFLGVSSPLCKQQAELPMARRHSLQCFLEKRRNRLAGKAPYASVKPPDDIEMTYEQKLGYNCH >Ma06_p11830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8242083:8249652:1 gene:Ma06_g11830 transcript:Ma06_t11830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEVYGGEIPDEADMDADVDIGRSDEDAAKELDEMKKRLKEMEEEAAALREIQAKVEKEMGAAQGPISSPSLDPAGSAATQASKEEVDARSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLELEAVQDALQLNESELHGRQIKVAAKRTNVPGMKQFHPRRFNPYMGYPYRRPYMPPYFASPYGFGKVPRFRRPMRFRPYY >Ma06_p11830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8242085:8249652:1 gene:Ma06_g11830 transcript:Ma06_t11830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEVYGGEIPDEADMDADVDIGRSDEDAAKLQELDEMKKRLKEMEEEAAALREIQAKVEKEMGAAQGPISSPSLDPAGSAATQASKEEVDARSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLELEAVQDALQLNESELHGRQIKVAAKRTNVPGMKQFHPRRFNPYMGYPYRRPYMPPYFASPYGFGKVPRFRRPMRFRPYY >Ma06_p11830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8242086:8249652:1 gene:Ma06_g11830 transcript:Ma06_t11830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEVYGGEIPDEADMDADVDIGRSDEDAAKLQELDEMKKRLKEMEEEAAALREIQAKVEKEMGAAQDPAGSAATQASKEEVDARSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLELEAVQDALQLNESELHGRQIKVAAKRTNVPGMKQFHPRRFNPYMGYPYRRPYMPPYFASPYGFGKVPRFRRPMRFRPYY >Ma06_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8242084:8249652:1 gene:Ma06_g11830 transcript:Ma06_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEVYGGEIPDEADMDADVDIGRSDEDAAKELDEMKKRLKEMEEEAAALREIQAKVEKEMGAAQDPAGSAATQASKEEVDARSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLELEAVQDALQLNESELHGRQIKVAAKRTNVPGMKQFHPRRFNPYMGYPYRRPYMPPYFASPYGFGKVPRFRRPMRFRPYY >Ma07_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9787671:9791915:-1 gene:Ma07_g13040 transcript:Ma07_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVVMGVSWKAELPQARRRCGRGYASTTPGDPFSGSHKLPRLSPPSAAASFSLLHITATPLYSSVSGDGSLKKRVVDVMPIATGLEREELEGKNRFDMDAPAGPFGTKKMSMM >Ma07_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29422544:29423771:1 gene:Ma07_g21290 transcript:Ma07_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNGYELDPNSNAPPPPNPHKSAVTTDKKQKREIESETRPTRYIPIDIVESLLTSMNPKDAVRLSVACKDWRATAAKFDPTMSKTPWLITTEFQNLTCSLRSVVDKEVTFKIELHGYPVTRTLFCNCSHGWLVVNPSNYSRMLLLNPFSRAWLQLPPCLLAPTFFLSMSSAPSNPDCVLLAHDFVNHLYVWRPGDQSWTFEKDVVELFDTIISFEGQFYTWNNHSGCLTIFRVLPLRLRKLMVPCPIDRSDYFKCITSLVECGGNILLVYVTAQADESLAIFLFQLDLEKKMWIKMESLGDRALFMNIPFNHAFSVLASEARCCANCIYFTHFWQPSSEVELISYNMDSHSFERFPNLVKHERQQYSYSQFWITPNLS >Ma02_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23658572:23660435:1 gene:Ma02_g16180 transcript:Ma02_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRHDSETVRNKCAACFRQYNKVEHLVEHMRVSFHSVHEPKCGVCQKHCRFFESLREHLIGPLPKIECARVFRTRGCNLCLNIFESPNALGTHRASCQLSRDASGLTSRMSRMSLQDTSDYGTRNQGSQVVALACKMVGGGSDGSLDLCARVCLIGEDENVIFQTYIKPQIPVTNYRYETTGIRPEYLRDAMALKQAQRRIQDFLSNGEPIWKIRSRGGEARILVGHGLDHDLECLGVEYPEFLIRDTAIYPPLMRTSKLSNSLKYLTQAYLGYDIQTGTQDPYEDCVAAMRIYIRMRSQNHPRDYASGCGESRNNYPAWRQRELEKMTPDALLELSASDYYCWCLDS >Ma09_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35621894:35623765:1 gene:Ma09_g23930 transcript:Ma09_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPEPEVPPADSTNALRAQLRLFNRRLDEVQQEVRRSKEELGADGYQGSSFPPEIQDQVIPPHFRLPSLDAYDSAADPADHVVAFRAQMALYGTSDTLMCRAFPTTLRGPARTWYSGLKTRTISSFDQLARDFELNFLAYARPKPSVALLLGLHQREDEPLSYFVNRFTTQIRGLSDAHPSLLMKTFMISLRPSRFFWSLVERPPTTVPEMLQGASQFVAAETWMAGKHEEHKRVKAEPPRQQQPAASRHRLDRSNPPTSRPPLPALNSFRTEIFLHIREKGLLKEPYPMRNPRALADQSKYCRFHRQHGHDTEQCRELKRQIEELIRRGHLGQYLRPDKELSPRPEGPIERHIDVITGGPASGWDSMTGRKAYVRAAPAEAPGHGPEPNDTFPAEVPQQAEHDDALMISARIANAQVRRIMVDTGSSTDILYFDAFQKLGLPRDSMKPLLSALTGFTGDSISPLGAITLPLTLGAPSKSKTVMTTFLVIYLPAAYNAILGRPTLNKIRAVVSAYYQTVKFPTHAGTGEVVGSPGESRRCYLTVVSLHKRARVEPPLADPREAKKPTSHPEPRGSTMDMPLQEGRPEQTVMIGSELPEQKRRQLVGLLQKNADVFAWSLLK >Ma04_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7511474:7512191:-1 gene:Ma04_g10560 transcript:Ma04_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKMTDVEAQQQQKQPLLAPDSAGDDQATLVQKAISQTFKSTAHLAKLLPTGTVLAFQLLSPIFTDGGHCMAVNRLMTECLVAICGLSCFVLSFTDSFRDGNGTVRYGLATFRGLWVIDGTKPLPPAIAARYRIKFIDLAHALVSVLVFAAVALFDQNVVSCFFPMPSEETKQVLTCLPVGIGVIGSILFVTFPTTRHGIGFPLSPH >Ma05_p31390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41325717:41326163:1 gene:Ma05_g31390 transcript:Ma05_t31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRVPHATRRHREPNGRPNEKRVVKACVTAGFHVLRFSHQNKTYPCPSGLSRSAVGTRGKRRGFFLARLWSPRILVSLFILQSIGRPGLGWRFDRRVADPATWNIQRLTRLLFPHFSPLFYVRGNSERGRGEGYFLFKENNKKISI >Ma11_p14120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19377706:19386244:1 gene:Ma11_g14120 transcript:Ma11_t14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGPPPRGSDLAARGMAADAESPRVFEAWKGSNIFFLQGRFIFGPDVRSLFLTIFLIVAPVSIFCVFVARKLMDEFSSTLGIPVMVIAVLFTLYDLILLLVTSGRDPGIVPRNPHPPEAEIFEDNSEVGGARTAQLHLPRTKDVNVNGMTVKVKFCDTCLLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFSWVCIVKIRNAEQISLWRAMTKTPASIVLIIYIFISVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRANPYNRGVVENFKEIFFTSIPPSKNKFRARVPREQEYQQLTIGGGFMSPNMGRAVGDIEMGRKPVTWDELRAVTQAGDLEGGLSSRNMVDDKDSELGEVSPDLRREFLSTRGVEVQAALHHRRSSWGRGGSWETMPEVRAVASAMWEKSRIGSGSGSRSMSAANL >Ma11_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19378226:19386244:1 gene:Ma11_g14120 transcript:Ma11_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSSTLGIPVMVIAVLFTLYDLILLLVTSGRDPGIVPRNPHPPEAEIFEDNSEVGGARTAQLHLPRTKDVNVNGMTVKVKFCDTCLLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFSWVCIVKIRNAEQISLWRAMTKTPASIVLIIYIFISVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRANPYNRGVVENFKEIFFTSIPPSKNKFRARVPREQEYQQLTIGGGFMSPNMGRAVGDIEMGRKPVTWDELRAVTQAGDLEGGLSSRNMVDDKDSELGEVSPDLRREFLSTRGVEVQAALHHRRSSWGRGGSWETMPEVRAVASAMWEKSRIGSGSGSRSMSAANL >Ma11_p14120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19377510:19386244:1 gene:Ma11_g14120 transcript:Ma11_t14120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGPPPRGSDLAARGMAADAESPRVFEAWKGSNIFFLQGRFIFGPDVRSLFLTIFLIVAPVSIFCVFVARKLMDEFSSTLGIPVMVIAVLFTLYDLILLLVTSGRDPGIVPRNPHPPEAEIFEDNSEVGGARTAQLHLPRTKDVNVNGMTVKVKFCDTCLLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFSWVCIVKIRNAEQISLWRAMTKTPASIVLIIYIFISVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRANPYNRGVVENFKEIFFTSIPPSKNKFRARVPREQEYQQLTIGGGFMSPNMGRAVGDIEMGRKPVTWDELRAVTQAGDLEGGLSSRNMVDDKDSELGEVSPDLRREFLSTRGVEVQAALHHRRSSWGRGGSWETMPEVRAVASAMWEKSRIGSGSGSRSMSAANL >Ma11_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16674896:16676296:-1 gene:Ma11_g12760 transcript:Ma11_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEKAAFDEAENNKEEEEANNDDWDIDASYSLIFL >Ma01_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10804087:10805319:1 gene:Ma01_g14810 transcript:Ma01_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMNCSRTPIKSISKCLLSPHRRGPPVCGSSNMSMIRSLASFYRRKVYLLGQHGPRSHGIIVRHTQGPATLNRERRNHCTKMYVHSESSTDADVRQTSSHRPPPRSPLSTWARWVLGSMLTLILPFWEKKWRTLLRIEGEVEMVTDAVEEVFEVVEKVATVVEKVSSEVAERLPEEGKLKDAVLSVEHVSREAAEDAHLAKDIIHKLDEVKQEVELILESVVDAGKKVDGKK >Ma07_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6088155:6091453:1 gene:Ma07_g08160 transcript:Ma07_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKDYIGIKKRSLPDAEVQHSLKQEILQLEKRLLGQFKTRCALEKALGCSSSAVLCSNDTWMPKTTKELIREIALLELEVMYLEQYLLSLYRKAFSHQIAPLSADSAHKETMPQSSQSEMFQDDDDSTQEASSEKEGPGAQFHQIREAYESICKEKNAGADVRLGHSSVSQRATRSARISPTEESIARALRSSHSQPLAFPKEEKNHASSVISLADYLGANIADHVPETPNKLSEDMVRCMCAIYCRLAEPPLVCHGPPSSPVSSLSSITELSVLDTPIHRREPLLDYSRLIHPFQVEGLREFSGPYASMVEVPSISRDPQRVKDVEDMLQNYKSILQRLRKVNPRKMKHEEKLAFWINIHNALMMHAYVEYGIPQNNVKKTSLLIKASCNIGGGSVNAAIIQGSILSCRTQCPAQWLQSLLFPRLRNKAGDRWQGYTIDQQEPLLHFALCSGNHSDPAVRVYTPKRLYQQLEAAKEEFIRATVRIRKEHKIVMPKVVDLYAKDMKLSSQALLDMVHHHLCETLRKSMQGFPQARSGKIIEWEPHNFSFRFLLSKELAFA >Ma10_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9656797:9661389:-1 gene:Ma10_g02800 transcript:Ma10_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASVPEASSTPQKRFSNLRGVRWRVDLGILPSSPSASIDDLRRVTADTRRRYATLRRRLLMDHHPPKDGDASPDLTVDNPLSQNPDSTWGRFFSYAELGRMVDQDLSRLYPEHSGYFHTPTCQAMLRRILLLWCLQHPEHGYRQGMHELLAPLVYVLHVDLDHLIQVQKLHEDCFNDEFDGIILPESDMISNYRSRRIRNWDSGIEIENNPYKVSNGLSVGELDPHTREIFLLSDSYGAEGELGVILSERFMEHDAYCMLDYLMDGAQGVLAMASFFSPVVGSSTNLPPVIEASSALYHLLSIVDHSLHAHLVELGVEPQYFALRWLRVLYGREFCLDDLLVIWDELFSSKNSSCIDSDAEYNFKVLCSPRGSFVAALAVSMLLYLRSSLLATETATTCLQRLLNFPQKPDMKKLIEKAKSLQMLALESNITSSSSQRLSDKNKLNVSRGYSLPSGSAMPKTSVSVIPDSYWEEKWRVLHEDEELRKQSNSESSSSGIMKKILTRKLSLSRTKSEPLEGKNAQSQSSVRRRLFDDSSKDIVAEIDHVKSECYVPAFVLDNLNSGKSFTEKSVDQRTSDCMVEETLLSGNSSMVLSPATSPHDIGNDHGYESEKSSVTSNSFLGDNDEETLSTEDPCSQNHQDEETINMEEHCTQNLDNQLAQDVEAVSSVVIDTVPEQVAAPKDRKSFPGKFQWIWRFGRGCDEGNQKSLAGYSKKDSCNISTCDAIYNSNGVNKRTEVGDKKVMDTLRSLGQSMLENVQFL >Ma10_p02800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9656730:9661389:-1 gene:Ma10_g02800 transcript:Ma10_t02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASVPEASSTPQKRFSNLRGVRWRVDLGILPSSPSASIDDLRRVTADTRRRYATLRRRLLMDHHPPKDGDASPDLTVDNPLSQNPDSTWGRFFSYAELGRMVDQDLSRLYPEHSGYFHTPTCQAMLRRILLLWCLQHPEHGYRQGMHELLAPLVYVLHVDLDHLIQVQKLHEDCFNDEFDGIILPESDMISNYRSRRIRNWDSGIEIENNPYKVSNGLSVGELDPHTREIFLLSDSYGAEGELGVILSERFMEHDAYCMLDYLMDGAQGVLAMASFFSPVVGSSTNLPPVIEASSALYHLLSIVDHSLHAHLVELGVEPQYFALRWLRVLYGREFCLDDLLVIWDELFSSKNSSCIDSDAEYNFKVLCSPRGSFVAALAVSMLLYLRSSLLATETATTCLQRLLNFPQKPDMKKLIEKAKSLQMLALESNITSSSSQRLSDKNKLNVSRGYSLPSGSAMPKTSVSVIPDSYWEEKWRVLHEDEELRKQSNSESSSSGIMKKILTRKLSLSRTKSEPLEGKNAQSQSSVRRRLFDDSSKDIVAEIDHVKSECYVPAFVLDNLNSGKSFTEKSVDQRTSDCMVEETLLSGNSSMVLSPATSPHDIGNDHGYESEKSSVTSNSFLGDNDEETLSTEDPCSQNHQDEETINMEEHCTQNLDNQLAQDVEAVSSVVIDTVPEQVAAPKDRKSFPGKFQWIWRFGRGCDEGNQKSLAGYSKKDSCNISTCDAIYNSNGVNKRTEVGDKKVMDTLRSLGQSMLENVQVIETVFQQDKGKSDNVPNNSLGGKGQGAAITALKELRKISNLLQEM >Ma11_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16209685:16212924:-1 gene:Ma11_g12330 transcript:Ma11_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTTTPVRFDVAKDGLRKSMLTCHPSISASICLLATEQGEPLATNTAPPSITVNQTPFPSTLHFIPLLASSSSSSSSSSPGGGGVPPLHLPDMEASAPSLLLLLLFLLLFASSLSRPSSALSSDAGAASTARRQLLALIENGILPDDFEFHIEIGVRIANPRLRRAYIALRAWRSAIYSDPFNYTGNWQGPDVCAYNGVFCSPAPDEPSFNVVAGVDLNGADIAGHLPPELGLLTDAALFHINSNRFCGTIPKSFSRLVILRELDASNNRFVGPFPRVVLGLPSLTYLDLRFNDFEGALPPELFDKELDAIFLNDNRFSSGIPDNFGNSKASVVVLANNKLGGCIPASVGNMGATLNELILLNNGLVGCLPPEMGMLGNATVVDASWNSLTGELSKSFQGLSKVEQLDLSHNVLMGVLPGRLCQLPSLANLTVSYNFFTGEAEECVPSSTKLELVVDDRSNCLAKRPRQKSNRLCAPVVSRPVDCGRFKCGSSPNKPTSSPAKPSPRQKTTSPPPKSKPPVRSPPPLVHSLPPPTPVSSPPPPQQKKSPARPVHSSPPPPSSSESPVKRVRSPPPPVKTPKSSPPPTHSPPPSVRSPSPSSSPPPPPVHSSPHPNSSRPPSPSPPVYSPPPPIHSPLPPLIHSPPPPTHSAPPPSQHSSLPPVSSPPSPVHSPPPSPPAASPPSPPVHSSPPPPPAHSPPPPTASLPPPPVHSPSPPLAHSPPPPPARSPSPLPPLMHSPPPPTASPPPPAAHSPPPPVHSPPPVIASPPPPLVRSPQPPTASPRPPPAHSPPPPTAPPPPPQLHSPTPPAHSSPPPVRSPPPPLVHSPPQPVASPPPPPITSSPPPPPAHSPPTPPQVHPSPPPTPSQPKGFSPPPPISSPHQAPPSPPPTPPTDNDVLPPVGGLSYSSPPPPIYPGYN >Ma00_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15885392:15885763:-1 gene:Ma00_g02160 transcript:Ma00_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVGTSWGRCSTVASSASPTSTPTRPQGSTLLASPSPRRSCAMLWLIENVRREVEIMRHLSAHPNIVSLDDTYQDDYDVHVVRELFNRIVAGGALHRKGGGHRHTYHRTSYSGLISAPCLL >Ma08_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35585803:35590076:-1 gene:Ma08_g21820 transcript:Ma08_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNVASSGESTAVEEETIGRGTGGGGLAIGSDTSESSVLNAEASADAAADENSCSTRPVTAPAIEFGILRSSASEEGENDVDEENEEVRNVVSQEPALITRQLFPPEPPLEPFPAASSSPSSSKLQWMDPSFYQADIAPQATVPLPRQWQPQPQPQPQPQPQQQQQQQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFNTAHVAARAYDRAAIKFRGIDADINFNLSDYEEDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEEEAAMAYDKAALKCNGREAVTNFDPSSYEGHLSTEADSEGQSVDLNLKISQPDVHSPKRDQNPPEILYSYSPSEASATKRVQISSPPLQPTSTPYHMDMLPDKSHAWTAQNPPFYSTTEERDRKKRPMVGLEALPNWTWQMHGSTPLPLFSCAASSGFPTAIITAAIPPPKPPASTSSPFPSTSHI >Ma08_p21820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35585803:35590090:-1 gene:Ma08_g21820 transcript:Ma08_t21820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNVASSGESTAVEEETIGRGTGGGGLAIGSDTSESSVLNAEASADAAADENSCSTRPVTAPAIEFGILRSSASEEGENDVDEENEEVRNVVSQEPALITRQLFPPEPPLEPFPAASSSPSSSKLQWMDPSFYQADIAPQATVPLPRQWQPQPQPQPQPQPQQQQQQQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFNTAHVAARAYDRAAIKFRGIDADINFNLSDYEEDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEEEAAMAYDKAALKCNGREAVTNFDPSSYEGHLSTEADSEGQSVDLNLKISQPDVHSPKRDQNPPEILYSYSPSEASATKRVQISSPPLQPTSTPYHMDMLPDKSHAWTAQNPPFYSTTEERDRKKRPMVGLEALPNWTWQMHGSTPLPLFSCAASSGFPTAIITAAIPPPKPPASTSSPFPSTSHI >Ma11_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21505277:21508242:-1 gene:Ma11_g15890 transcript:Ma11_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRGDGDDRPGKSDLRAGGGDGGGGVGGGALATPPPAVIPVHRFIVPKPEHIEMLGMGAFQLIRRPASRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIQWLLERAEPAILAATGTGTVPAIATNVGGTLKIPTEAPTSAPVTSTSAPAAADDPVAPDDEEAGKKRRKKLQPSRTGRSAAIANHYPVQDPLLPVGGAISIPSGLAPIGAGVQGVVPMWALGGSAAGASVIPPGALWVLPQPSTAVAGTSSQSHVWTFPQTPQIIQLASARPISAGGLFSGVNVATGPEGEPSAASPPAAGRKHEPRLMSAPVASRRGQVQELDEDDEDEEDEDDSSAED >Ma10_p27530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34771329:34773912:-1 gene:Ma10_g27530 transcript:Ma10_t27530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILVEQPKTGVADEAKGNGGFVVPDTNSFGNTFRDYNAESERQKTVEEFYRMNHIHQTYDFVKRTREKYGKLDRVEMSIWECIELLNEFVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLHRSFGELPQWAVVGDTFPVGCAFDECNVHHKHFKENPDGHNPKFNTKFGVYSEGCGLNNVLMSWGHDDYMYLVAKENKSTLPSAGLFIIRYHSFYPLHKHGAYQYLMNEEDKENLKWLHIFNKYDLYSKSKVRIDVDKVKPYYMSLIEKYFPAKLKW >Ma10_p27530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34771329:34774086:-1 gene:Ma10_g27530 transcript:Ma10_t27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILVEQPKTGVADEAKGNGGFVVPDTNSFGNTFRDYNAESERQKTVEEFYRMNHIHQTYDFVKRTREKYGKLDRVEMSIWECIELLNEFVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLHRSFGELPQWAVVGDTFPVGCAFDECNVHHKHFKENPDGHNPKFNTKFGVYSEGCGLNNVLMSWGHDDYMYLVAKENKSTLPSAGLFIIRYHSFYPLHKHGAYQYLMNEEDKENLKWLHIFNKYDLYSKSKVRIDVDKVKPYYMSLIEKYFPAKLKW >Ma07_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32220934:32225874:-1 gene:Ma07_g25100 transcript:Ma07_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MALRFDIPLCRRLASLPSSPKPNPPPPFPSISMPPRSARRRVAGVEAGFNKDRTRSRINCIAEGDGRSQNEAVKERSVSVVLLAGGQGKRMGASMPKQYLPLLGQPIALYSFYTFSQMTEVKEIVVVCDPSYKDVFEDASEKLNVDLKFALPGKERQDSVFSGFQEIDGSSELVCIHDSARPLVSSGDIKKVVQDAWVVGAAVLGVPVKATIKEANKDSFVVKTLDRKTLWEMQTPQVIKPELLRSGFEVVNRDGLEVTDDVSIVEHLKHPVFITEGSYTNIKVTTPDDLLLAERILNMEIAVAS >Ma11_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19500230:19505548:1 gene:Ma11_g14220 transcript:Ma11_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMTMASQGGVGNSGDGQRSQTQGLSRQGSFYNMTLNEVQNHLGEPLHSMNLDDLLKTVFAAEGNQLSSMDIDSPGDQYASDSGLHRQGSITMSRELSKKTVDQVWRDIQLGNEKGDEVQGSGHGRQPTLGEMTLEDFLVKAGVVAKDFGKDRNDLTGNVDPVGNADLIAGTQDFMRATSWLQQFQRMATIDQQIHGQQSMTGAYMPNCVLPQPMGAGTGPMLEAVFPEGQINISSPTLGAFSDSQTPSRKHGASEDLDILAERRHKRMIKNRESAARSRARKQAYTNELENKVSHLEKENERLKKQKELDKILLSVPLPEPRYQLRRTSSSPF >Ma08_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15973735:15976475:1 gene:Ma08_g15780 transcript:Ma08_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSSSSISSEKRRMSSRRPLQDVPSRPFLPSMHTTSRNPSWRQSGDRFIPDRSAMDMDVAYYLLTQTRKEKENAVPASPSKEAYRKLLAENLLKNRTRILAFHSKPPEPSRPFFQEADAVSPHHAKPAKQRRYIPQSADRTLDAPDIVDDYYLNLLDWGTGNVLSIALGNTVYLWDASDGSTSELVTVDDDAGPVTSVSWAPDGRHIAVGLNSSDVQLWDSASNRLLRTLRGVHGSRVGSLAWNNNILSTGGMDGKIVNNDVRVRSHVVQTYRGHQQEVCGLKWSGSGQQLASGGNDNLVHIWDVSMASANPPRGQNQWFHRLDDHMAAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKKERELLSSHGFTQNQLTLWKYPSMVRIAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEAAKPAAKSGNAGPFSSFNHIR >Ma04_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6141051:6145033:-1 gene:Ma04_g08600 transcript:Ma04_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGEMGRPYQKTGLDSGTPGGVEAVADRFKAALTFEENKPDFPDLGSPVSPLRPHASTQTSSCSTSSGSATSKSVSATDAAVRKPGAGAPDPGRRCHSGELVGEISPTAAEVRDLKAAAHRRSGSGPLIFSGGNGGGGGGGGGGGGGGGGSSTASSPVANALPAGNIFPSGKIGKTAMMPRTTPRSDVLGSGTGNYGHGSIIRGGMSGGGAAVSSGGDVGMGNLTGASAGRLDPQEVTRTGNMHYKKGQYGEALAFYDKAVAMCPESAACRSNRAAALMGLNRLGEAVKECEEAVRLDPTSGRAHHRLACLNRRLGLVGDARKHLFLTGQTPDSVELQKLQAVERHLAKCGDARKIGDWKSTLREADAAIAAGADSSPLLVASRAESLLHLCHLDEADSVLTRSPKFEDSFPFSTATKIFGMLSFSYFYIVRAQVDMALGRFENAVTAAEKAKQIDTRNIEVAMVLSNIRSVASARAQGNELFKSGNFAEACTAYGEGLKHDPSNPVLLCNRAACRSKLGQWVKSVEDCNEALRIQPSYTKALLRRADSYAKLERWAESVRDYEVLRKELPGDNEVAEALFHAQVALKASRGEEVSNLKFGGEVEEVTGAEQFRAAVSLSGASVVYFMTSLNKQCTQIAPFVDALCTRYPSANFLKVDVDQSPVIAKAENVRIVPTFKIYKNGIRVKEMICPSQQVLEYSVRHYSL >Ma05_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4249162:4256040:1 gene:Ma05_g05600 transcript:Ma05_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHAAAPAFPGLASGARLRSNDAASLRMFPTRRPTIAALPTCYPIRRHRGCIKAVSGNSDPLETSDSSLIVCFGEMLIDFVPTVSGLSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYLLANILKENNVNSQGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLEVAELDLDIITKAKIFHYGSISLITEPCKSAHIAAAKAAKEAGVLLSYDPNLRLPLWPSAESARDGILSIWDTADIIKISEEEISFLTKGEDPYDDAVVRKLFHPNLKLLLVTEGPNGCRYYSKDFSGRVSGLKVEQVDTTGAGDAFVAGILSQLASDISLLQDEQWLREALKFANACGALTVMERGAIPALPTREAVLSALVNMVA >Ma06_p37400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36436915:36437208:1 gene:Ma06_g37400 transcript:Ma06_t37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSWITGGATESYMMHVRTLCLTKLNLLSSRLLHLARADTTLSHLHSEMLFYVPFLVSFIVILTLNIKSV >Ma11_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20992977:21004298:1 gene:Ma11_g15300 transcript:Ma11_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLATPWRHHLHPIPPISTFPTAPRTARFAISRTLKSAPFPLNSGPHVRHVPLSRRTVLGRPIAATMNFLSPAASDALPLLQYPPARRDESVVDDYHGVPVADPYRWLEDPDAEEVKEFVEGQVALTDSVLARCEEREKLRGQITALFDHPRYDTPYKRGGKYFYYHNTGLQAQSVLYVQKDLDGEAEVLLDPNKLSEDGTVALSMASVSKDGQFFAYGLSASGSDWVTIKVMRVDSKTPEPDTISWVKFSSIIWTLDGKGFFYGRYPSPKEGVELDAGTETNINLNHELYYHFLGTDQSEDILCWRDPEHPKYIFDSYVTNDGKYVLLYIEEGCDPVNKLYYCDLSSLSNGLEGFKGSNEMLPFVKLVDTFEACYSLVANDDGEFTLLTNKEAPKYKLVRIDLKKPALWSDILPEDEKDVLESAYAVNSNQILVCYLSDVKHVLQIRDLKTGNLLHPLPLDVGSVSGISGRREDSEIFISFTSFLTPGIIYRCNLASEVPEMKIFREISVPGFDRTDFEVKQVFVSSEDGTKIPMFIVSKKNIELNGSNPVLLYGYGGFNISLPPSFSVARLVLARNLGCIFCIANIRGGGEYGEDWHKAGSLSKKQNCFDDFISAAEFLVSNGYTKPERLCIEGGSNGGLLVAACMNQRPDLFGCVLAHVGVMDMLRFHKFTIGHAWTSDYGCSDNEEEFHWLIKYSPLHNVKRPWEKSSDQSCQYPSTMLLTADHDDRVVPLHSLKLLATMQYVLCSSVVNSPQTNPIIARIDRKAGHGAGRPTQKMIDEAADRYSFMAKVLGATWTD >Ma09_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5175604:5187742:1 gene:Ma09_g07880 transcript:Ma09_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGNTKRMKDPLLSDPHSRADDLLVNHDNGQPPSLTWQRKVNDKGHQLSEFTLTMREKLKLAPLGIRLGRQIVEDIARGQVAVIDPLKKRIGMSCQGVPLGGIGVGSIGRSYRGDFQRWQLFPGVCEDKSVLANQFSVFISRSDGKKYSTVLSPRNPELIKQNSISGVGSWDWNLDGKNTTYHALYPRAWTIYDGEPDPDLKIVCCQISPFIPHNYKESSYPVAVFTFTLTNLAKIAAEVTLLFTWANSVGGTSEFSGYHSNSKMVEKDGVRGVLLHHRTDDGLPPVTFAIAAEETADVHVSECPCFMISGDSDAFTAKDMWSAIKEHGSFDHLDAHEISFHSEPGSSIGAAVAASVALASQTTRTVTFSLAWACPEVKFPSGKIYHRRYTKFYGIDCDAAANLVHDAIIEHGSWETQIEEWQNPILQDKRFPAWYAVTLFNELYYFNAGGTIWTDGSPPIQSLATIEERKFSLDMSNGDFDNLTEVITRKNTAVNLLDRMASILEKLHAPIASNSAIGTSLLQGEENIGRFLYLEGIEYYMWNTYDVHFYSSFSLIMLFPKLELSIQRDFAAAVMMHDPEKVKTLHDGKCSARKVLGAVPHDLGLYDPWFKVNAYNLYNTDRWKDLNPKFVLQVWRDTVATGDKSFAKAVWPSVYMAMSYMDQFDKDKDGMIENEGFPDQTYDVWSATGVSSYSGGLWVAALQAASAMAREVGDRASEELFWDKYLKAKSVYYKLWNGSYFNYDNSGSKTSSSIQADQLAGHWYARACGLTPIVDKEKAKSTFHKIFCFNVLKFKDGKRGAVNGMRPDGTIDMSSMQSREIWPGVTYSVAAAMIQEGMLEEAFRTAQGIYEAAWSQEGLGYSFQTPEAWNNNDQYRSLCYMRPLAIWAMQWALSPPVLHKEPETALQGEAHLNHHASYSRVAKLLKLPEEETSKSILRVICEITCSRLRS >Ma06_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1265112:1266284:-1 gene:Ma06_g01550 transcript:Ma06_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVEEMVEPAVAVDEEEEEAEVGVQWRGWWGEAPWPRKSGGVMLEGYVDGADSGGDQGPGGFLRTKSLTDEDLKELKGCLDLGFGFSYEEIPELCNTLPALELCYSMTQRFLDDHHHHHHHHQRSFYRSSSGESMDLCASPTSPPIANWRISSPGDHPDEVKARLSIFDREGSFLSESYTRGTKLRHRGRR >Ma06_p01550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1265146:1266284:-1 gene:Ma06_g01550 transcript:Ma06_t01550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVEEMVEPAVAVDEEEEEAEVGVQWRGWWGEAPWPRKSGGVMLEGYVDGADSGGDQGPGGFLRTKSLTDEDLKELKGCLDLGFGFSYEEIPELCNTLPALELCYSMTQRFLDDHHHHHHHHQRSFYRSSSGESMDLCASPTSPPIANWRISSPGDHPDEVKARLRYWAQAVACTIRLCS >Ma03_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26789930:26791072:1 gene:Ma03_g21920 transcript:Ma03_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPCCDKQGTNRGAWSKEEDQKLIDYVGVHGEGCWRALPKAAGLLRCGKSCRLRWINYLRPDVKRGNFQEDEADLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRKKLTSMGIDPDNHRVARKVPLHQSRSSNSATPSSYGTRNYKNMSFWHLNSTVGDDRVRCAGSGSLEENSSGLPDLNLDLTICIPSSVMEKNTANPTLLLFR >Ma09_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9418245:9419060:-1 gene:Ma09_g13900 transcript:Ma09_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRPADPPPLRPPPPHLPVGVRFDPTGIEMLHYFLRPKVHCLPINEERITDLNIYLFHPDHLPSKASTPSSLLLLPPPPLFLGGISGPASVLESKLPVNLESPDRRYAYFFVRREPSEHRQRRRRTPHGYWNQVGLEEAVRDESSNVILGFKRNFVFFEGTKKTLWEMDEYRLNLEIQGMRNTFDHRRNNYVICKVSTETSESSGDLSFASAEAQLIGGVELDSTSAGNKRRRN >Ma01_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13344016:13347394:-1 gene:Ma01_g18040 transcript:Ma01_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGEKVSGTVVLIRKTGLCFNYCGGTVIDNVLGRSISFQLVSATVGDANNGNRGVVGEEAYLEQHITSLPALAAGETAYQVTFHCEEKNGIPGAVIVKNNLSSEFFLKTLTLEDFPGKGRIHFVCNSWVYPAVKYKYDRVFFANTTYLPGDTPLPLKPYREEELCNLKGANVDGQLKEWDRVYRYDYYNDLGSPDTCQDLARPILGGTPEHPYPRRGRTGRPPTKNDLKSESRLPLLNLNIYVPRDEQFGHLKMADFLTYAFKGVVRVVLPVLQAIAGATPIEFNSFEDVLKLYEGGLPVPPNPLLEELRQLVPFEMIRALQRVEGGRGLLKLPMPQVIQVDKTAWRTDEEFTREMLAGLNPVVIRRLEEFPPTSKLDACKYGDHTSTITAAHIEHHLDGLTVHQALKQNKLFILDHHDAYLPYLNRINALAVKVYATRTLLYLRQDSTLKPLAIELSLPHQDGEQHGAVSKVYTPAESGVEGSIWQLAKAYAAVTDSGYHGLISHWLNTHAVMEPFVIATHRHLSVIHPIHKLLSPHYRDTMTINALARHALIPAGGIFEMTVFPGRYALELSSTVYKSWNFREQALPADLIKRGVAVKDRDDRLCLLIEDYPYAVDGLQIWHAIETWVGEYCAIYYPTDDVVKADAELQAWWKEVREVGHGDKKDEHWWPAMQTTSELIETCTTIVWIGSALHAAINFGQYPYAGYLPNRPTMSRRFMPEPGTPEYEELKKNPDKVFLKTITSQLLTMLGLNTIEILSNHASDEVYLGQRDTPEWTSDERAVKAFERFGQRLKAIEAEIMKRNGDPSLKNRNGPVKMPYTLLFPSSGVGVTGRGIPNSISI >Ma05_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19908807:19909220:-1 gene:Ma05_g17310 transcript:Ma05_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKCSHSNHRKKTNELRAKVVALEEEMKEMRRVREQEARAFTSKEAEWEQERRQHREEAAELRKRVMEEEETTARGSRGAKEWLRLGTECLVEHLKEERARREEAVEKWKQLYLAIKTELDDLIRRTRRG >Ma03_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14456882:14463301:-1 gene:Ma03_g14660 transcript:Ma03_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYHIVPNYYSFVARQVHKVADEIIMDFTDQINLLESKYQKVVKDLYTQLTPIQLLHIACHPNRPTFLDHVLNITDKWVELHGGCAAYDDPAIVLGIESIDGKSYMLIGHQKGRNTKEHIQRNFGMLTPHGYWKTLNMMKYADHHRFPIITFIDTPGAFSDLRSEELGQGKAIAFNLRAMFGVKIPIVTVVIGEGGFGGALAIGCANKLYMLENSVFYVASPEACAAILWKTSQEAPKAAEKLKNTATKLCNLKIADGIIPELLGGARINPSHGGIYSLQFFACVIWSWGMDKDSLLNMRHMKFWVLRGFVEGEHVEPEIKRNMKKKEADVSQVTTDICWGWRRKKTIIT >Ma08_p34850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44854983:44858428:-1 gene:Ma08_g34850 transcript:Ma08_t34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPFHTNRVLCFQSQALRLIHSPPSLRSMAALSTKDPGSGTSDGPAEVLSGNYPTPLSPPYPPLSKNVELHRAMSAAARSGEYALSAADIVFEDQYLVVANKPSGVYCEAILSSLSSSLGSVIVKPEFHLANRLDRDTSGLMVITKSHKAAGRLVKAFTDHKVKKTYLALCIGYAPDWNKIRIISGHGRSKFGAWRVYSTEEIGRTLPGGSMVKNMVTSFEVLFVNGKGKFREPIDHKVNDVESVVVQKEAERSIDDGKKSDQILIRAYPQSGRTHQIRLHCQYLGIPIRGDVKYGGFHEWKGITYDTHALHAESLSFEHPITGMPVRIQAPLPLWASEICGDMPQKS >Ma04_p37940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35638392:35648379:1 gene:Ma04_g37940 transcript:Ma04_t37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEEEVGGASAKKGSPPSKEAEVVSVELPAPAGWKKKFMLNEDGTPRRNEIVFVSPTGEEIKNKRQLQQYLKSHPGGPSSSEFDWGSGDTPRRSARIREKVKAVETPENEKPRKRERKSSSKKGAKEKKDDGDGLDETSGVKDDVTTGEAEAPTDVEMKESGVDVNMVENEGVAVEVAVNSDTDGKAVDEGTGEQDSVKTNGNAQEKTEPSLKNDNEEAGPEMEKNQANDKPAKAKVSPSAGNPEEASAGKEMQDREVLSGNISHKEDSSAVVSKDVPSAKCSDGEHLPSASPINS >Ma06_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2004016:2005240:1 gene:Ma06_g02600 transcript:Ma06_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate oxidase 1 [Source:Projected from Arabidopsis thaliana (AT2G19590) UniProtKB/Swiss-Prot;Acc:Q9ZUN4] MEIPVINLGELEGEKRSKTMSLLHDACQKWGFFWLENHGIEDGVMEEVKQLVKQHYEESMRESFYESELAQGLRRGTKASDVDWETSFFYRHRPDPNINDLPELVRDAMKQYVEQVVKLAEKLAELLSENLGLANTYLKNAFAEPFVGTKVAMYPKCSNPELVMGLRGHTDAGGIILLLQDDTVPGLEFLKDGEWVAVPPAQGHRIFVNLGDQVEVVSNGVYKSIRHRVLADGTGSRLSIATFYNPGADAIISPAAELVYPSRYRFQDYLDYYTKTKFSDKASRFQNMKQTLV >Ma11_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6532110:6532782:-1 gene:Ma11_g08180 transcript:Ma11_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSFPERPAAEIIGALAQVGIAALKPEDLANPSADLVCTLYSYFLAFADPLGEESDIQIAFSALEFLDNPDHHVDAIRTFNLYRKIKGMLASIRFGNFNLRDLIKPDTKRTLQILSTIVNFIYYREEKLNMLQPIVDQFPAYEERRADLEAKIAEVFSSSFASTLIWL >Ma06_p02870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2155354:2171467:-1 gene:Ma06_g02870 transcript:Ma06_t02870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSEGGDGGGSGVDCSVGTIIWVRRRNGSWWPGRILGPEELSVSHLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKAEASLGVPIKKREKYARREDAILHALELERKQLEMKQQKQVITSNGITGKPLGTLKREFINLSASDTLTGNDESLINSKNAIRKTQMLPRKAGLLHEEENINNSMKMDDDHKNSKQIGREEDISDTFHRMRGLQDFGLRIASKKKLPKSVPWLTTKEPAENNMDAFPSAGHIVGGRGHVSSVKDALEINRKRSHGGVIEESLAKKRDRRRPLHQVLQSSAKLQSSDSSQFIHYPDIVRTQGRKDHMGIICRAKRSRCIYVPADSVDSQDGGYSSEDMQIPADQIGMDSLDRPGSLEEDCTSSGMIKMTDSDSSLKDYLETGMEEEDFLGEDRDASASHSSEKFEDVDNDEVPFPGNISQLHPYGHSADASAEAGVSKWHMKGKRNTRNLVKRPSNVRDGKNCVIGSDKYDVSLKEAAYGAKYSNLKLEKMEPFSQRTVEPDSYHIKEEDNYASDDVDLSDGDFLQEQVIGYTNQRYPLVSKAARDSGRRRIGMNNLGSDSHLMMTPGWEADGPSYVTRRKYWEESGECYDPVYSSHISREIGSNLFNVDLKVKASYQGEHVPLVSLMSRLNGKAIIGHPLQIEILEDGSTGQYFSSNPDESTAHQPVWRTARRTAMQRVPRSNPVSSLEDDEAGVSLYSEIENKHLPDMYSGHSKNQSRSTKKKVFHDLRPPLGNSQKKSLKRASLSSQKTRTLSSFATEQRNSRENGDVRLGRDRDILSGLMKPEGQLPSVTCVPVKVAFSRILEAVRRPSTAVTHHI >Ma06_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2155354:2171467:-1 gene:Ma06_g02870 transcript:Ma06_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSEGGDGGGSGVDCSVGTIIWVRRRNGSWWPGRILGPEELSVSHLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKAEASLGVPIKKREKYARREDAILHALELERKQLEMKQQKQVITSNGITGKPLGTLKREFINLSASDTLTGNDESLINSKNAIRKTQMLPRKAGLLHEEENINNSMKMDDDHKNSKQIGREEDISDTFHRMRGLQDFGLRIASKKKLPKSVPWLTTKEPAENNMDAFPSAGHIVGGRGHVSSVKDALEINRKRSHGGVIEESLAKKRDRRRPLHQVLQSSAKLQSSDSSQFIHYPDIVRTQGRKDHMGIICRAKRSRCIYVPADSVDSQDGGYSSEDMQIPADQIGMDSLDRPGSLEEDCTSSGMIKMTDSDSSLKDYLETGMEEEDFLGDRDASASHSSEKFEDVDNDEVPFPGNISQLHPYGHSADASAEAGVSKWHMKGKRNTRNLVKRPSNVRDGKNCVIGSDKYDVSLKEAAYGAKYSNLKLEKMEPFSQRTVEPDSYHIKEEDNYASDDVDLSDGDFLQEQVIGYTNQRYPLVSKAARDSGRRRIGMNNLGSDSHLMMTPGWEADGPSYVTRRKYWEESGECYDPVYSSHISREIGSNLFNVDLKVKASYQGEHVPLVSLMSRLNGKAIIGHPLQIEILEDGSTGQYFSSNPDESTAHQPVWRTARRTAMQRVPRSNPVSSLEDDEAGVSLYSEIENKHLPDMYSGHSKNQSRSTKKKVFHDLRPPLGNSQKKSLKRASLSSQKTRTLSSFATEQRNSRENGDVRLGRDRDILSGLMKPEGQLPSVTCVPVKVAFSRILEAVRRPSTAVTHHI >Ma08_p20060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33914559:33919869:-1 gene:Ma08_g20060 transcript:Ma08_t20060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVATADSSAAATSASPSNVAAVSVAPSRGGGRAAYVPPHLRNRQSSSESPAPSPAAGPSGAGQPPAFAAPSPAGGSRWGGGPIRDVGRPGSAGGRGGGGGRHSRIGGWDRREREANPFSNDDDTTEADFDSQETSGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGPSGPRQRGSRTVYPLALILSPTRELSIQIHEEARKFAYQTGVRVVVAYGGAPINQQLRDLERGVEILVATPGRLVDLLERARVSLQHIRYLALDEADRMLDMGFEPQIRRIVEQMDMPPRGQRQTMLFSATFPKEIQRLASDFLNNYIFLAVGRVGSSTDLIVQRVEFVPESDKRSYLMDLLHGQRDTGVHGKQALTLVFVETKRGADSLEHWLCMNGFPATTIHGDRTQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGRATAFFNESNSSLARALTELMQESNQEVPDWLARFSTAHSYGGSGRHRRTGGARFGGRDFRRDSTFSRGGGGDYGYGGGSYGASSGYGGSSVATSAWD >Ma08_p20060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33914559:33919898:-1 gene:Ma08_g20060 transcript:Ma08_t20060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADAVATADSSAAATSASPSNVAAVSVAPSRGGGRAAYVPPHLRNRQSSSESPAPSPAAGPSGAGQPPAFAAPSPAGGSRWGGGPIRDVGRPGSAGGRGGGGGRHSRIGGWDRREREANPFSNDDDTTEADFDSQETSGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGPSGPRQRGSRTVYPLALILSPTRELSIQIHEEARKFAYQTGVRVVVAYGGAPINQQLRDLERGVEILVATPGRLVDLLERARVSLQHIRYLALDEADRMLDMGFEPQIRRIVEQMDMPPRGQRQTMLFSATFPKEIQRLASDFLNNYIFLAVGRVGSSTDLIVQRVEFVPESDKRSYLMDLLHGQRDTGVHGKQALTLVFVETKRGADSLEHWLCMNGFPATTIHGDRTQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGRATAFFNESNSSLARALTELMQESNQEVPDWLARFSTAHSYGGSGRHRRTGGARFGGRDFRRDSTFSRGGGGDYGYGGGSYGASSGYGGSSVATSAWD >Ma08_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33914559:33919898:-1 gene:Ma08_g20060 transcript:Ma08_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADAVATADSSAAATSASPSNVAAVSVAPSRGGGRAAYVPPHLRNRQSSSESPAPSPAAGPSGAGQPPAFAAPSPAGGSRWGGGPIRDVGRPGSAGGRGGGGGRHSRIGGWDRREREANPFSNDDDTTEADFDSQETSGINFDAYEDIPVETSGENVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMRGPSGPRQRGSRTVYPLALILSPTRELSIQIHEEARKFAYQTGVRVVVAYGGAPINQQLRDLERGVEILVATPGRLVDLLERARVSLQHIRYLALDEADRMLDMGFEPQIRRIVEQMDMPPRGQRQTMLFSATFPKEIQRVEFVPESDKRSYLMDLLHGQRDTGVHGKQALTLVFVETKRGADSLEHWLCMNGFPATTIHGDRTQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGRATAFFNESNSSLARALTELMQESNQEVPDWLARFSTAHSYGGSGRHRRTGGARFGGRDFRRDSTFSRGGGGDYGYGGGSYGASSGYGGSSVATSAWD >Ma09_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2587602:2590136:1 gene:Ma09_g03930 transcript:Ma09_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLVKLAPCFSGDDRPVGDAAAESSETVDEGLGHSFFYVRPDGGPHLARSGSNGSSSSSARVHHSDEAAAASTTTFRSISGAAVSANAATPLSTAPLMFRNELTCSSAASFESSSSFASVSLQPRHSGSLSGPIGSDRHYFGSGPLDRGFHSGPLEHHRASVVSSGPLDRLPSSSSSAGHLYRSLPHRLAPSRAARRRRSAPALIRRFTKYLSRTVTKFRCIATPAKPSEKPSGAKVPNFIAQLVSTADPSSNSTTITSSGNDQTSFDCLGDESDLSDAANGNLHWAQGKAGEDRTHVVVSEEHGWVFVGIYDGFNGPDATDYLLVNLYPAIHRELKGLLWDDPQNGCEHAIDTSQELDDDCRRVKRGQRNAESSEINRRSKEQLTQSSGGGIDHRAVLKALSRALRRTEEAYLRMANTMVSANPELALMGSCVLAMLVRGEDVYLMNVGDSRAVLGRRAEPDLWNLVGQATQDLEVMRNETLRYLESYDELVALQLTLDHTTFNNEEVRRIRTQHPDDPAAIANNRVKGSLKVTRAFGAGYLKQPKWNNALLGAFRIDYKGTSPYINCNPFLCYHRLGPKDKYLILSSDGLYQYFTNEEVVTQVEMFVATNPDSDPARYLVEEVLHRAADKAGMDFDQLLDVPQGDRRRYHDDVSVILISMEGRMWRSCL >Ma01_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26209723:26233371:1 gene:Ma01_g22900 transcript:Ma01_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSKAMDLEKTDFSSVGKGTAAAPACSICLELVLDKGRRSTAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKVEKGRWLYANGNHSSADFDIDGWVTEDIYDLGYSELPFGFQWLPFRGFTQLASLFEEAESVPSFYHEFMGNSMFQDPTNSSTSSHICPYLALHGFPNAMRAAPLSSADSVPDSGLFHQHPVSLGAQSSSDMMNSHSFPATEPPQTHSWQQQHPLSFPFSGNADQSASQYGVRMSRNDTGNQHRLGSFVHPHPLMHGSVARSGSNLVGSVGPPMTGEVRGHNSGLGSHMYQPSLFSSSLLSSPFTPIRRMRPRGVTLVSSVGAPSSAEVGGFYGFSVSGSVNRNHQEGDNLGRHVDRFYGWGRDGISPLPWIPVEGESHWWGPFNPSESPVPGGFTQRATPERATHNCPENGYQQMPPPGLPPYM >Ma08_p28630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40481702:40487009:1 gene:Ma08_g28630 transcript:Ma08_t28630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGVPGSPESGHSGDHGGGGGGGASAREQDRFLPIANIGRIMRKAIPENGKIAKDAKESVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMGTLGFEEYVEPLKLYLQLYREVEGNGKGSRPAEQSGKIDGAINGDPGASFNGM >Ma08_p28630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40481702:40487011:1 gene:Ma08_g28630 transcript:Ma08_t28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGVPGSPESGHSGDHGGGGGGGASAREQDRFLPIANIGRIMRKAIPENGKIAKDAKESVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMGTLGFEEYVEPLKLYLQLYREVEGNGKGSRPAEQSGKIDGAINGDPGASFNGM >Ma06_p26870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28849493:28860078:1 gene:Ma06_g26870 transcript:Ma06_t26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNIVGGVVGGILGGSKGVQVKGTVVLMQKNVLDFNDLAGNVIDGLFELLGQGVTFQLVSATVGDPDNGNRGVVGEAASLQYLGHLPSLAAGESKYSVTFQWQENHGIPGAVIVKNKHATQFFLKTLTLDNFPGKGRIHFVCNSWVYPASKYKYDRVFFANTTYLLADTPEPLKPYRQDELRNLRGQDVTGELKEWDRVYDYAYYNDLGSPDQGANHVRPILGGSAEYPYPRRGKTGRAPTKTDPKTESRLPLLNLNIYVPRDERFGHLKMGDFLTYAIKAISTGLLPTLQAIFDITPNEFDSFEEVLSLYENGLPVPQIPLLDELRQRIPFEMIRELLRTEKGQNFLKLPKPHVIQVDKNAWRTDEEFGREMLAGVDPLIVSRLDNFPPISQLDSDKYGNQHSTITAAHIEHNLEGLTVDEALRSYRLFILDHHDALMPYLGRINSGSNKIYATRTLLFLKEDSTLKPLAIELSLPHPDGEQFGAVSKVYTPAETGVEGSIWQLAKAYVDVNDSGVHQLISHWLNTHAVLEPFVIATNRHLSVVHPISKLLTPHYRDTMNINALARQTLINAGGILETTVFPGKYAMEMSAVIYRNWNFVEQALPTELIKRGVAVQEGDGLRLLIKDYPYAVDGLAIWNAIQTWVTEYCSIYYPSDEAVKADTELRAIQMSSDHQIHFGGPLTTGPPASGEHPLHNETHDKRPAAVSERYWQLFNDPGLTPPGDTPAGPSQVSPEAFHDLAHQVRALTSIVQTIVPIVSPQAPSHATRPTQQREPPVRTHAPLPELPISPRNPATRPGSREAEDTASRLEPEAPTADSTNALRAQLRLVSQKLDEVQQEVRKSKGELGVDGHQGSPFTPEIQEQTIPPHFRLPLLDAYDGAADPADHVAAFRAQMALYGTSDALICRAFPTTLRGPAHAWYSSLKPGTVASFDQLAKDFELNFLAYVRPKPSMALLLGLNQKEDEPLSHFVNRFTTFFWSLVERPPAAVPEMLQRASQFVAAETWMAGKREDHKKVKSEPPRQPQPLASRRRTNRPESGPPPPALNSSQTEIFLHEKGKGLLKDPHPMRNPRELVDCSRYCRFHRQHGHDTEQCYELKRQIEELILRGHLGQYLRPNKERSPRPEGPIE >Ma03_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1431850:1435183:1 gene:Ma03_g02100 transcript:Ma03_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCLRPLERCSGRLGGGDGLLWHMDLKPHASGEFSIAVAQANVSLEDQGQVFASPSATYIGVYDGHGGPEASRFISNRLFSHLDRFASEQGGLSSEVIKRAFDATEEEFLYLVKRSWRLRPQMASVGSCCLIGAITDDRLYVANLGDSRAVLGRCSLGGRAVVAERLSTDHNVSMEEVRKEVAANHPDDKQIIVHTRGAWRIKGIIQVSRSIGDVYLKKPEFSSDPLFQQFVSPVPLERPVITAEPSIRVHKLKPHDLFFIFASDGLWEQLSDEAAVKIVFKNPRAGIAKRLVRAALIEAARKQGMSYDDLKHIEKGIRRRVHDDITVVVIYIDQHHQGGHSRFNRSNRDCTSAPVDIFSFNFDESEDPLRRAE >Ma11_p19120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24068164:24072891:1 gene:Ma11_g19120 transcript:Ma11_t19120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLKTWPLRSLPSSLRFLSFSTPARLLQDPSPISPSDLESLVLRQYRAGKFHNLLPYVVATPSVLLAATGRLLSRANPSIPTPSLAAPPFSVDALADELGCGGFDPAAHCATLIPSRKKGEALTLPDLKLKVVVEAVRMALEVVYGKRFATFAYGGRESMGRHTAVRYLKTTVQNPTWWFPVALRREPFGTRHLRRLVAILEEKIEDPALVALVERLFGSQTISIEFGGVQLGRGFPQESDLSAIILNIYLDALDREIKDLRAEIHKKNPRLGGLEENETSGVFHKPIRVYAVRYLDEILLATSGSKLFTMNVKDRILKVLEDNLELKVDKLKTSIHSAVSEKMNFLGMELQAVPPSVLHPPMSEKAIRAKKKYLKRKAAKAQELKNARETRRKKLGLKILNHLFKKLKRGHEFEFDFRIESEVREVFANWAHEVVAEYFKSREDCWHWHRMLSTGDFLSLKRIRDQLPHELVDSYDHFQEKLDKYLMPIGATRDVEEEERLAEEEEERKYAKRTVEDLTELRMRVNAPLELVRKAVKLAGFTNSMGRPRPIKLLICLDDADIIKWYAGVGRRWLEFFCCCRNFKMVKTIVTYHLRFSCFLTLAEKHEATKRQAISHYTKDLKVTDVNGMEAVHFPTEREVKMMGDQNLSDPKPVDGALTLILARLATNEFSFPCLAHFCGQVDTVLYRIRLLQNRLNVDPLNKSKWVPGMGAIHESLNKKCLPLCSKHASDLLLGKISLQDIDCTSFVDM >Ma11_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24068164:24072891:1 gene:Ma11_g19120 transcript:Ma11_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLKTWPLRSLPSSLRFLSFSTPARLLQDPSPISPSDLESLVLRQYRAGKFHNLLPYVVATPSVLLAATGRLLSRANPSIPTPSLAAPPFSVDALADELGCGGFDPAAHCATLIPSRKKGEALTLPDLKLKVVVEAVRMALEVVYGKRFATFAYGGRESMGRHTAVRYLKTTVQNPTWWFPVALRREPFGTRHLRRLVAILEEKIEDPALVALVERLFGSQTISIEFGGVQLGRGFPQESDLSAIILNIYLDALDREIKDLRAEIHKKNPRLGGLEENETSGVFHKPIRVYAVRYLDEILLATSGSKLFTMNVKDRILKVLEDNLELKVDKLKTSIHSAVSEKMNFLGMELQAVPPSVLHPPMSEKAIRAKKKYLKRKAAKAQELKNARETRRKKLGLKILNHLFKKLKRGHEFEFDFRIESEVREVFANWAHEVVAEYFKSREDCWHWHRMLSTGDFLSLKRIRDQLPHELVDSYDHFQEKLDKYLMPIGATRDVEEEERLAEEEEERKYAKRTVEDLTELRMRVNAPLELVRKAVKLAGFTNSMGRPRPIKLLICLDDADIIKWYAGVGRRWLEFFCCCRNFKMVKTIVTYHLRFSCFLTLAEKHEATKRQAISHYTKDLKVTDVNGMEAVHFPTEREVKMMGDQNLSDPKPVDGALTLILARLATNEFSFPCLAHFCGQVDTVLYRIRLLQNRLNVDPLNKSKWVPGMGAIHESLNKKCLPLCSKHASDLLLGKISLQDIDCTSFVDM >Ma00_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:24627272:24627892:1 gene:Ma00_g03050 transcript:Ma00_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFRTHRRLELLHLGHRVAERCGVYRSPKLQDCGTGGLPRKPYKKWAMRSSAARATSNALLEFVPRIKKENLEFDLPSYDPSKGLTLDLAVIGGGPVGLAVAQQVSETGLFVCSSDPSPRLVWPNNCGLWVEEFEAMGLLDCLDATWPGAVVYVNDRRKRILGRPYGRVNRKQVKSKIMQTSRMVFDSTRPRSSRSSTRRRNRC >Ma10_p17060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28568400:28590432:-1 gene:Ma10_g17060 transcript:Ma10_t17060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRSNLPLRLQQILSGGRSVSPVLKLESEPPPKVKAFIDRVIKSPLHDIAIPLSGFRWEYNKGNFHHWRPLFMHFDTYFKTYLSCRKDLLLSDNIVEEDPFPKHSIMQILRVMQVILENCHNKSSFGGLEHFKLLLASTDPDILIATLETLSALVRINPSKMHLGGKLIGCGSTNSYLLSLAQGWGSKEEGLGLHSCVVANERNQHEGLCLFPSDLGDNCDGTQHRLGSTLHFEYNMGSSIGTEGTKPSNIHVIKIPDLHLRKEDDLGILKQCVDQFNVPPEHRFSLLTRIRFAHAFRSPRICRLYSRISILAFVVLVQSNDAHDELVSFFANEPEYTNELIRLVRSEDCVPGTIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMMLLNVLQKAIVSLSNPSDPSTPVFVDALLQFFLLHVLSSSSSGSAIRGSGMVHPLLPLLQDSDPAHIHLVSSAVKTLQKLMEYSSQAVSLFKDLGGIELLAQRLQIEVHRIIGSGEGSSNTVICTDLGKSDADHMYLQKRLIKFLLKTLGSTTYSPANATRAHNSHHNSLLSSLSLIFNNVNWFGGDIYFSAVSVMSEIIHKDPTCFPVLNELGVPESFLSSVNSGIIPSSKALICVPNGLGAICLNAKGLEAVKETAVLRFLVEAFTTRKYLVAMNEGVVLLANAVEELLRHVSSLRGVGVEIIIEIVNKLASMGEEKCKETADDMNENTAMETDLEEKANEGHDLVSAMDLAADSISDEQFEQLSIFHVMVLVHRTMENSETCRMFVEKGGIETLLRLLQRPSITQSSDGMPIALHSTVVFKGFTQHHSAPLAHAFSSSLRGHLMKALNEFSSLSGSLLQDTKSVQDNGIFSSLFVVEFLLFLAASKDNRWMSALLTEFGDSSKDVLEDIGRVHREVLWQIALLEDSKVERDYDSSSSDINVDPGMVDSEEQRIGSFRQYLDPLLRRRVSGWSIESQFSDLVSIYRDLGRAATGSHRHGIDGYSTLRVAPTTRSQPSNSLDTSSASKTEEDKQRSYYSLCHETMRSLCYHINHLFMELGKAMLLTLRRENNPVNVSPSIVSVVGTVASIVLGHLNFAGRVSAAMESEVSVSTKCRYLGKVIDFVSGILFDRPEISNPIMVKCFFGHGVIQAVLTTFEATSQLLFTINRMPASPMDMDDKCQKEEKEESDNSWISGPLASYGTLLDHLATSSSILSSSTKQLLEQPIANGSISFPQDAETFVKVLQSKVLKAVLPIWTHPHFAECNSEFITSMISIMKHVYIGVEVRNVSGNAGAHLPGPPPDESAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARAVAMSLGNSDTSLKEDEILNAGIFDQEEEAVQLPPVDEILSACIRLLQVNEPLAFPIRDLLVMICSQNDGEHRLKVLSYIIDHVKHCCVPSAPLSESMLSALFHVLALVLHEDFMAREIAFQAGLVKIALDLLSGWNLGSSDGEKSQVPKWVTACLLSVDQMLQVDPKMTPGVINLEQLKKDKHNSQNSVVIDENRKKDLQSSLGSTTGNLDIQDQRRLLEICCRCIQNQLPSETMHVVLQLCSTLTKVHTIAVSFLDSGGLHALLSLPSSSLFPGFNNVAAAIIRHILEDPHTLQQAMELEIRHSLIAATNRHSNARVSPRTFVQNLAIVISRDPVVFLKAAQAVCQIEMVGDRPNIVLLKDREKERSKAKDKEKTAEKDKVAASDGKTTGTEVVSVAAGSGHSKLPDLSVKNTKAHRKSPQSFTSVIEYLLDLIVKFVPPSEVNCHTDTVPGTPSLSDMDIDSTSAKGKEKVIAVSSEDGKITTQEALASLAKIAFIIKLLTEILLTYASSIHVLLRKDAELSSSRATSKGLSGNSSGGIFHHILHNFLPYPGIHKKDKKTDGDWRHKLATRANQFLVASSVRSTEGRRRIFSEISHVFNDLVDSSNNCRSADSHMHAFVDLLNDILAARSPTGSYISAEASVTFIDVGLVRSLSRTLQVLDLDHADSPKLIPGIIKVLELVTKEHVHSAYINSAKGDNSLKLASNEHQVGSSDYHGERFQALEMASQPDHAEVVADQREAVNGIQTSGNYHSVVDDMEHDRELDGNFAREAEDDFMHEASEDGTGLENGVSTVEIRFEIPQNAEDDMGDEDDDEDMSGDEGEVDEDDEDDEENNDLEEDEVHQMSHPDTDHDDHEIDDEEFDEDVLEEEDDDDDDDDGVILRLEEGFSGINVFDHIEVLGGDNFSVMPLDIFGSRRQGRTTSIYNLIGRTGDHGALHFDHPLLEEPSSFRQLVHQRQSENAVDIAYSDRNHESASYRMDAIFRTLRNGRHGHRFNMWLDDNHQRGASSAPAVPQGIEELLVSQLRQPTVQISDQNIPTNSPQETHETSQLQMSEVEVREEAETRASDNNENITLPSRVIGGSGNASVGSTNGDIIQDAGVSATGEQVTEMQYERGDVIVRDVEAVSQASSGSGATLGESLRSLEVEIGSVDGHDDGDRPGPVDRLPLGDLQPPVRLRRSSGNPVPVSGRDTSLESVSEVPQHQDQETDRSAPHEEPQPNGNVETDTIDPTFLEALPEELRAEVLSSRQNQVAQISSEQPQADGDIDPEFLAALPPDIREEVLAQQHAQRRQQAQQLEGQPVEMDAVSIIATLPSEIREEVLLTSPDTLLATLTPALVAEANLLRERFAHRHHSGTLFGMSSRNRRGESSRHGETIGSTLDRTVEAAARGTAVGKLIETDGIPLVDIDDLKAMIRLLRIVQPLYKGQLQRLLLNLCTHYETRTSLVKILMDMLMLELRGSINNSVDSAESPFRLYGCQSHVAYSRPQFNGGVPPLVSRRILETLTYLARNHPKVSKLLLHLELPCTPACLLETSVQARGKAVLMEEDKPEGERGAFAIVLLLRLLSQPLYMRSVAHLEQLLNLVEVIIVNGESDSGLSNKPGASLEQQSGSENTMQDAQVTADAVGSAAEEGVKSVKAKDSERASTSCADNVNSISDILLSIPEGELQLLCSLLAREGLSDNAYVLLAEVLKMMVASAPTYCRLFTTELVNAARSLSVCAMNELNLYEDAEKALLSSSSTNGTAILRVLQALSSLVTGLHEKAPDVLPEKGHTDALSHVWDINAALEPLWLELSNCISKIEISSETPSDMVSISGNLASTSTPLPAGAQNILPYIESFFVTCEKLRPGQCEVVQDFATTSDIEEATTPACGQKSSGACTSTDEKHVVFVRFLEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIRHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGKLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYFKNLKWMLENDISDVLDLTFSMDADEEKLILYERAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHLLTTAIRPQINAFMEGFNELIPRELISIFNDKELELLISGLPDIDLDDLRANTEYSGYSNASPVIQWFWEVIQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGSQRFQIHKAYGSPHHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >Ma10_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28568400:28590432:-1 gene:Ma10_g17060 transcript:Ma10_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRSNLPLRLQQILSGGRSVSPVLKLESEPPPKVKAFIDRVIKSPLHDIAIPLSGFRWEYNKGNFHHWRPLFMHFDTYFKTYLSCRKDLLLSDNIVEEDPFPKHSIMQILRVMQVILENCHNKSSFGGLEVKLKIQVQNYVHNIAQFQQNCRLFYLAFRLSNFFLIMFFNQHFKLLLASTDPDILIATLETLSALVRINPSKMHLGGKLIGCGSTNSYLLSLAQGWGSKEEGLGLHSCVVANERNQHEGLCLFPSDLGDNCDGTQHRLGSTLHFEYNMGSSIGTEGTKPSNIHVIKIPDLHLRKEDDLGILKQCVDQFNVPPEHRFSLLTRIRFAHAFRSPRICRLYSRISILAFVVLVQSNDAHDELVSFFANEPEYTNELIRLVRSEDCVPGTIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMMLLNVLQKAIVSLSNPSDPSTPVFVDALLQFFLLHVLSSSSSGSAIRGSGMVHPLLPLLQDSDPAHIHLVSSAVKTLQKLMEYSSQAVSLFKDLGGIELLAQRLQIEVHRIIGSGEGSSNTVICTDLGKSDADHMYLQKRLIKFLLKTLGSTTYSPANATRAHNSHHNSLLSSLSLIFNNVNWFGGDIYFSAVSVMSEIIHKDPTCFPVLNELGVPESFLSSVNSGIIPSSKALICVPNGLGAICLNAKGLEAVKETAVLRFLVEAFTTRKYLVAMNEGVVLLANAVEELLRHVSSLRGVGVEIIIEIVNKLASMGEEKCKETADDMNENTAMETDLEEKANEGHDLVSAMDLAADSISDEQFEQLSIFHVMVLVHRTMENSETCRMFVEKGGIETLLRLLQRPSITQSSDGMPIALHSTVVFKGFTQHHSAPLAHAFSSSLRGHLMKALNEFSSLSGSLLQDTKSVQDNGIFSSLFVVEFLLFLAASKDNRWMSALLTEFGDSSKDVLEDIGRVHREVLWQIALLEDSKVERDYDSSSSDINVDPGMVDSEEQRIGSFRQYLDPLLRRRVSGWSIESQFSDLVSIYRDLGRAATGSHRHGIDGYSTLRVAPTTRSQPSNSLDTSSASKTEEDKQRSYYSLCHETMRSLCYHINHLFMELGKAMLLTLRRENNPVNVSPSIVSVVGTVASIVLGHLNFAGRVSAAMESEVSVSTKCRYLGKVIDFVSGILFDRPEISNPIMVKCFFGHGVIQAVLTTFEATSQLLFTINRMPASPMDMDDKCQKEEKEESDNSWISGPLASYGTLLDHLATSSSILSSSTKQLLEQPIANGSISFPQDAETFVKVLQSKVLKAVLPIWTHPHFAECNSEFITSMISIMKHVYIGVEVRNVSGNAGAHLPGPPPDESAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARAVAMSLGNSDTSLKEDEILNAGIFDQEEEAVQLPPVDEILSACIRLLQVNEPLAFPIRDLLVMICSQNDGEHRLKVLSYIIDHVKHCCVPSAPLSESMLSALFHVLALVLHEDFMAREIAFQAGLVKIALDLLSGWNLGSSDGEKSQVPKWVTACLLSVDQMLQVDPKMTPGVINLEQLKKDKHNSQNSVVIDENRKKDLQSSLGSTTGNLDIQDQRRLLEICCRCIQNQLPSETMHVVLQLCSTLTKVHTIAVSFLDSGGLHALLSLPSSSLFPGFNNVAAAIIRHILEDPHTLQQAMELEIRHSLIAATNRHSNARVSPRTFVQNLAIVISRDPVVFLKAAQAVCQIEMVGDRPNIVLLKDREKERSKAKDKEKTAEKDKVAASDGKTTGTEVVSVAAGSGHSKLPDLSVKNTKAHRKSPQSFTSVIEYLLDLIVKFVPPSEVNCHTDTVPGTPSLSDMDIDSTSAKGKEKVIAVSSEDGKITTQEALASLAKIAFIIKLLTEILLTYASSIHVLLRKDAELSSSRATSKGLSGNSSGGIFHHILHNFLPYPGIHKKDKKTDGDWRHKLATRANQFLVASSVRSTEGRRRIFSEISHVFNDLVDSSNNCRSADSHMHAFVDLLNDILAARSPTGSYISAEASVTFIDVGLVRSLSRTLQVLDLDHADSPKLIPGIIKVLELVTKEHVHSAYINSAKGDNSLKLASNEHQVGSSDYHGERFQALEMASQPDHAEVVADQREAVNGIQTSGNYHSVVDDMEHDRELDGNFAREAEDDFMHEASEDGTGLENGVSTVEIRFEIPQNAEDDMGDEDDDEDMSGDEGEVDEDDEDDEENNDLEEDEVHQMSHPDTDHDDHEIDDEEFDEDVLEEEDDDDDDDDGVILRLEEGFSGINVFDHIEVLGGDNFSVMPLDIFGSRRQGRTTSIYNLIGRTGDHGALHFDHPLLEEPSSFRQLVHQRQSENAVDIAYSDRNHESASYRMDAIFRTLRNGRHGHRFNMWLDDNHQRGASSAPAVPQGIEELLVSQLRQPTVQISDQNIPTNSPQETHETSQLQMSEVEVREEAETRASDNNENITLPSRVIGGSGNASVGSTNGDIIQDAGVSATGEQVTEMQYERGDVIVRDVEAVSQASSGSGATLGESLRSLEVEIGSVDGHDDGDRPGPVDRLPLGDLQPPVRLRRSSGNPVPVSGRDTSLESVSEVPQHQDQETDRSAPHEEPQPNGNVETDTIDPTFLEALPEELRAEVLSSRQNQVAQISSEQPQADGDIDPEFLAALPPDIREEVLAQQHAQRRQQAQQLEGQPVEMDAVSIIATLPSEIREEVLLTSPDTLLATLTPALVAEANLLRERFAHRHHSGTLFGMSSRNRRGESSRHGETIGSTLDRTVEAAARGTAVGKLIETDGIPLVDIDDLKAMIRLLRIVQPLYKGQLQRLLLNLCTHYETRTSLVKILMDMLMLELRGSINNSVDSAESPFRLYGCQSHVAYSRPQFNGGVPPLVSRRILETLTYLARNHPKVSKLLLHLELPCTPACLLETSVQARGKAVLMEEDKPEGERGAFAIVLLLRLLSQPLYMRSVAHLEQLLNLVEVIIVNGESDSGLSNKPGASLEQQSGSENTMQDAQVTADAVGSAAEEGVKSVKAKDSERASTSCADNVNSISDILLSIPEGELQLLCSLLAREGLSDNAYVLLAEVLKMMVASAPTYCRLFTTELVNAARSLSVCAMNELNLYEDAEKALLSSSSTNGTAILRVLQALSSLVTGLHEKAPDVLPEKGHTDALSHVWDINAALEPLWLELSNCISKIEISSETPSDMVSISGNLASTSTPLPAGAQNILPYIESFFVTCEKLRPGQCEVVQDFATTSDIEEATTPACGQKSSGACTSTDEKHVVFVRFLEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIRHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGKLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYFKNLKWMLENDISDVLDLTFSMDADEEKLILYERAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHLLTTAIRPQINAFMEGFNELIPRELISIFNDKELELLISGLPDIDLDDLRANTEYSGYSNASPVIQWFWEVIQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGSQRFQIHKAYGSPHHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >Ma04_p09130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6507758:6513950:1 gene:Ma04_g09130 transcript:Ma04_t09130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGSNENFKPFCCQAVRLNFSISYYCFLFFFFGTAGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFIMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRSLRFEDKPDYGYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIAGAPARAIGASAGPSSGLAPAVANDRQSGEEGRASGWLAMDPSRRGRVTPPPVNASSSSKQKAPVGNDTSASKDAMFSSSTFMGRSSGSSRRAAVSSSRDMVGPDTDQSRTRTAEASPVTFHKVSSAQRNSPAEHKHTSSGRKPSAIKNYESTLKGIEGLNFDSEERNQL >Ma04_p09130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6507753:6513667:1 gene:Ma04_g09130 transcript:Ma04_t09130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGSNENFKPFCCQAVRLNFSISYYCFLFFFFGTAGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFIMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRSLRFEDKPDYGYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIAGAPARAIGASAGPSSGLAPAVANDRQSGEEGRASGWLAMDPSRRGRVTPPPVNASSSSKQKAPVGNDTSASKDAMFNLYGTIKRFIKASCCF >Ma04_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6507758:6513950:1 gene:Ma04_g09130 transcript:Ma04_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFIMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRSLRFEDKPDYGYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIAGAPARAIGASAGPSSGLAPAVANDRQSGEEGRASGWLAMDPSRRGRVTPPPVNASSSSKQKAPVGNDTSASKDAMFSSSTFMGRSSGSSRRAAVSSSRDMVGPDTDQSRTRTAEASPVTFHKVSSAQRNSPAEHKHTSSGRKPSAIKNYESTLKGIEGLNFDSEERNQL >Ma09_p28160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38995025:38996608:-1 gene:Ma09_g28160 transcript:Ma09_t28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGVAVLRRREDHRHMELPPGFRFQPTDEELITHYLAKKVTDAGFHAVAIGEVDLNKHEPWDLPLRANMGEKEWYFFCVRDRKYPTGLRTNRATKAGYWKATGKDTAIYKGKSLVGMKKTLVFYRGRAPKGEKSDWVMHEYRLEGKYSVYNLPPTVAKNEWVVCRVFHKITSIKKPVKPNVPLLPPSKETHVSYVSENQAASTFDSVYVTCFSNATAGTNGELFDECFRSSLLRASAEPRQGSPAPIFLPMMSLSSSLCSGMQFQPDAILAKKPIRCSAERELVSASQDTGLTAEMNPEITSVMSNDEIGRREFVPSASAGHGLLLHRCLWNC >Ma03_p29530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32413591:32416695:-1 gene:Ma03_g29530 transcript:Ma03_t29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSIGRCSLLLSSVLLLFELVLGLNQDGVLLLQFKYSVLSDPLTALGDWNYDDASPCSWNGVVCMGLPEESTGAQASTTSRVIGLVLPDSQLVGSIPPELGLVEHLRHLDLSGNALNGTLPPSIFNASELQVLSLADNAISGELLELDGRMNGLQVLNLSGNALVGAVPAGLALLPNLTVVALAGNYLSGELPGGGLGVVEYLDLSSNLINGSLPPDLGGKMVRYMNLSHNRIAGAIPRELTSIIPANATVDLAFNDLTGEIPQTEAFASQKPTAFAGNPDLCGKPLENLCSIPSTLSESPPAFAAMPKNAAVGTSPSDSGHGQGGLRPAAIIAIAVGDVIGIGILFAVFYYVFQVKKRNRLQHQQMKRVGAIGGTKEQPPTSSKSKGFGGLSCCLRKKGDEEDDSEETSESSASSETEADEEAQQKGGKDEGGGRTPTQQKQQEATLVTVDGDTELELETLLKASAYILGATGSSIVYRAVLADGTALAVRRIGESSVIDKLKDFDAQVRGIARFRHPNLLRLRGFYWGADEKLLIHDYAPNGSIANISFTKKLGSSPFHLSWESRLRIARGVARGLAYLHEKKAVHGNMKPSNILLDADMEPKISDFGLDRLTSGGGGGYRLGTSSRQFGSNRSVQSQSSLPDLSPPAAGASPCGSSSALAIASAAPYQAPESLKNLKPSAKWDVYAFGMVLLELLAGRVLSEVELGQWNAGFAAEERGRMLRMTDPALRGEVEGKEEAMLSCFKLGFACCAVAPQRRPSMKDAVQVLEKIPSSSSS >Ma11_p22160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26021314:26027136:-1 gene:Ma11_g22160 transcript:Ma11_t22160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEAREEKELDLTSPDVVTKYKSAAEIVNKALQLVVSECKPKAKIVDLCEKGDAFIREQTGNMYKNVKRKIERGVGFPTCVSVNNTVCHFSPLGSDEAVLEANDIVKIDMGCHIDGFIAVVAHTHVIQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVIISVTNPETRVDEVEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKSYHLKMKSSRFIFSEISQKFPIMPFSARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTLLLMPNGSDRVTSHPLQQLQPTKTIDDNPEIKAWLALGTKTKKKGGGKKKKGKKGDGRDDSADAEPMDTTSNTTVA >Ma11_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26021314:26027136:-1 gene:Ma11_g22160 transcript:Ma11_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEAREEKELDLTSPDVVTKYKSAAEIVNKALQLVVSECKPKAKIVDLCEKGDAFIREQTGNMYKNVKRKIERGVGFPTCVSVNNTVCHFSPLGSDEAVLEANDIVKIDMGCHIDGFIAVVAHTHVIQEGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVIISVTNPETRVDEVEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKSYHLKMKSSRFIFSEISQKFPIMPFSARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTLLLMPNGSDRVTSHPLQQLQPTKTIDDNPEIKAWLALGTKTKKKGGGKKKKGKKGDGRDDSADAEPMDTTSNTTVA >Ma10_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32646562:32648715:1 gene:Ma10_g23880 transcript:Ma10_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGINLRQTTMVRPAEQTPRRRLWNSNLDLVVPRFHTPSVYFYRPNGSRGFFDAAVLRSALGRALVPFYPMAGRLGRGEDGRVEIDCNGEGVLFVEAEAPDATVDDFGDFAPTMEMKQLIPHVDYTGDISSFPLLVLQVTYFKCGGVSLGVGMQHQVADGFSGLHFINSWSDIARGLDVAVPPFMDRSILLARDPPTPCFPHVEYHPAPHMKRHHRPGAEDSVEAPTAAAAVDIFKLTKAQLSLLKLKAPPGPASYSTYALLAAHVWRCACVARGLPPDQPTKMYIATDGRQRLRPALPEGYFGNVIFTTTPIATAGEVAAEGCGPAPAAGRIQAALARMDEPYLRSALDYLELQPDLAALVRGAHTFRCPNIGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFLLPSPTGDGSLSLAISLRADHMLKFRKLIYDM >Ma06_p07940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5638985:5644369:-1 gene:Ma06_g07940 transcript:Ma06_t07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPAPPVSAAAAAATTSGASDPSSATHLLSRPFASPPLLPAPPFSSQPLSKPLGHPPPPPPPQGFLYHQRGFATTPAAGPAPAASDQSVAVANPAVYTRNATPAAAMTFAAATQSGPFACGPVDRPVRNVRPRLPPSTSQPVTPIVVPRPVVTAAGASRSAPVATQLKAAILSSVSSTPEHNNCKERDESREDDVVVIHDRKVRVLDGCSPSLYSLCRSWMRNGQPHEIKLNFANTEKPIPIPLHLSMFDAQVMKQHEDVTETEDVNNEEPVGCVEELSAHDLLEIHINHAKRVRARLQKERLRRLERCKQRLAFLLLPPMEFERNETDN >Ma06_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5638985:5644369:-1 gene:Ma06_g07940 transcript:Ma06_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPAPPVSAAAAAATTSGASDPSSATHLLSRPFASPPLLPAPPFSSQPLSKPLGHPPPPPPPQGFLYHQRGFATTPAAGPAPAASDQSVAVANPAVYTRNATPAAAMTFAAATQSGPFACGPVDRPVRNVRPRLPPSTSQPVTPIVVPRPVVTAAGASRSAPVATQLKAAILSSVSSTPEHNNCKERDESREDDVVVIHDRKVRVLDGCSPSLYSLCRSWMRNGQPHEIKLNFANTEKPIPIPLHLSMFDAQVMKQHEDVTETEDVNNEEVAKRTPSEAGTLQTKIGLSPSATHGV >Ma08_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3189261:3194284:1 gene:Ma08_g04570 transcript:Ma08_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEPTVPPREGGGRPGGGEEAFTCLCCGPSWVPSMAWRLSLKRKLDERDAEARCLSCAGVGVARVETEIEAMALREALVNHQQSVQKLQAELEEERSAAASAATEAMLMILRLQHEKAEAQMEARQFKRLAEGKMAHDQHEIAALEDLLYKRDQTVQSLSYEVRTYRHRLLSYGIGIDGGVPSSEPQTPDTDTATAACSVPQFDLLHHGYPPSRCNGDDAANLDKYRSGPEVIKGEEFSATMDCASNSGGRHDMSDEVYTVAAVHGASEEYVSTPRELRNRRDMAGRVEEAEIRKLYTRLQALDADRESMRQTLISLGTDMAQMVFLKQIAQQMYKEVAPERKIVKKPLSFKGSSLISTIKSVISFFWRKKSARVKYTFGLSPTNVGLLLLLDKSSRMRQLRSLTRTQRSSTSIAPPRPWR >Ma08_p04570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3189261:3194284:1 gene:Ma08_g04570 transcript:Ma08_t04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEPTVPPREGGGRPGGGEEAFTCLCCGPSWVPSMAWRLSLKRKLDERDAEARCLSCAGVGVARVETEIEAMALREALVNHQQSVQKLQAELEEERSAAASAATEAMLMILRLQHEKAEAQMEARQFKRLAEGKMAHDQHEIAALEDLLYKRDQTVQSLSYEVRTYRHRLLSYGIGIDGGVPSSEPQTPDTDTATAACSVPQFDLLHHGYPPSRCNGDDAANLDKYRSGPEVIKGEEFSATMDCASNSGGRHDMSDEVYTVAAVHGASEEYVSTPRELRNRRDMAGRVEEAEIRKLYTRLQALDADRESMRQTLISLGTDMAQMVFLKQIAQQMYKEVAPERKIVKKPLSFKGSSLISTIKSVISFFWRKKSARVKYTFGLSPTNVGLLLLLDKSSRMRQLRSLTRTQRQWSPQVPNLKNPSMIACGDLLVGLFTH >Ma04_p37770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35512164:35514914:-1 gene:Ma04_g37770 transcript:Ma04_t37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLHGSLPFRLPLPIKSSLTPALIPRNYFSFFAMAANATSSAGDDAERTEVESDVKVEDNNNDKKKKKIFVAGSTGRTGKRIVERLLSGGYGVRAGALDLEKARSGLPQDPDLQIVRADVTEGSEKLAEAIGDAEAVICATGFRYSWDIFAPWKVDNFGTVNLVEACRKTGVNRFILVSSILVNGAAMGQILNPAYIILNV >Ma05_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5321597:5322310:1 gene:Ma05_g07320 transcript:Ma05_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKEHRHHRWCSRRCVIISVAVILGIALLLLILGLTVFRPRHTVTTINSVHLGALRVGLDVPHLSVDLNVTLDLDITATNPNRASFRYDTGNAELFYHGGLVGEAVIPPGRVGAEGSVRTNVSLTVMADRLISDATLYKDVISGSVPFSTSTRLPGTVTILGVFKHHMVAYTMCNITVSVQSRSVENSDCRYKTKF >Ma08_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37230583:37234111:1 gene:Ma08_g23870 transcript:Ma08_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPLLPLLFFSVAILAGAGAAVAKSTIEPCTGTASCSALLGYTLYADLKVSELAVLFHADSTALLAANAIDVSFPGVENRILPAGLFLRVPVACSCSGGIRRSLSTRYTVRPADTLTSIAASVYSGLATPDQIQEANNIRDPAALDVGRTLVIPLPCTCFNSTDNNLPTVYLSYVVRAGDSVPAIAARFSTTKTDIMNVNAMGSPSIRPGDILAIPLPACASMFPSYASDYGLIVANGTYAITASHCVQCSCGPGNLRLYCSPASLSASCSSMQCSNSNLMIGNYTSQQTSAGCNVTSCNYGGFVNGSIATVLTTSLQPQCPGQHQLPPIIPPPTTVIHDSFLIPTPSPSPSPSAVQAGTTTITTPSATVPGTFSLPGVSPAYVPSVSISEAVFVSPLSHILWLFGLSLGLSNSLF >Ma08_p23870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37230583:37233564:1 gene:Ma08_g23870 transcript:Ma08_t23870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPLLPLLFFSVAILAGAGAAVAKSTIEPCTGTASCSALLGYTLYADLKVSELAVLFHADSTALLAANAIDVSFPGVENRILPAGLFLRVPVACSCSGGIRRSLSTRYTVRPADTLTSIAASVYSGLATPDQIQEANNIRDPAALDVGRTLVIPLPCTCFNSTDNNLPTVYLSYVVRAGDSVPAIAARFSTTKTDIMNVNAMGSPSIRPGDILAIPLPACASMFPSYASDYGLIVANGTYAITASHCVQCSCGPGNLRLYCSPASLSASCSSMQCSNSNLMIGNYTSQQTSAGCNVTSCNYGGFVNGSIATV >Ma10_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32283438:32285592:-1 gene:Ma10_g23240 transcript:Ma10_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSTGRAKRKEPEEVYDDFSYFSLSSPAAKIRRLDADMLPMIEENEATAMTRFKQQLPIEQISTSTYGMPDIRPVTVHSIAACPPNEKGAFVYDSAEATPFLSPVGPNVSFRVSSDLIRGIKNHVFWLRDQTMAKMDDKIPVSNNCLALVPWVPPQATVGAYEYAGSQSGSQSSQEPMEAEEAGAAPMAVEENREQTVSGIDVDVIQQWQQHCMAPEFLRNTPSRLMS >Ma05_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36269624:36281261:-1 gene:Ma05_g24060 transcript:Ma05_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDVEVVDFEPDDDDLMDEEVAAAEVDPSPAPRLRSAIAGSGSSGPRKTKGRGFREEAGRDSDSRLTARDFDSLDSDGGPGPQRSIEGWIVLVTGVHEEAQEDDLHNAFREFGQVKNLHLNLDRRTGFVKGYALIEYENFDEAQAAITTLNGTELLTQTIYVDWTFSKGPIKRRNMRRRSPRAHRSRSPPRRRY >Ma00_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45046238:45050846:1 gene:Ma00_g05190 transcript:Ma00_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETAAVFEKLFKFTGPNLKVMVERPAVEGPAGDDPGRYCLRLHKNRVYYASESLVRRATNVARDRLVSLGTCLGKFTKGGSFRLTVQSLDLLAAHARRKVWLKPTAEMSFLYGNPVLKSGLARITEGVSPGDGVVVFSMSDLPLGFGVAARSTQDCRKAHADAIVVNRQADAGEYLRNEDDL >Ma06_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23004893:23011480:-1 gene:Ma06_g24480 transcript:Ma06_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCISCPISLYSPASVARNSAISSRSKSKPFAIRCTVGEYIEAPKVASVTEPLLLNAVRGEKVERPPVWLMRQAGRYMKSYQLLCEKYPSFRERSENVDLVVEISLQPWKVFRPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYSPIRTADDVAQVREFVPEESVPYVGEALTILREEVKSDAAVLGFVGAPFTLASYVVEGGSSKHFTKIKRLAFSQPQVLHSLLQKFTNSMAEYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKKTHPDLPLILYASGSGGLLERLPSTGVDVVSLDWTVDMAEGRRRLGSDIAVQGNVDPGVLFGSTEFITERINDTVRKAGSSKHILNLGHGIVVGTPEENVAHFFEVAKGIRY >Ma08_p02140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1737737:1746964:1 gene:Ma08_g02140 transcript:Ma08_t02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAGAATGRTVTKEADEELALFLEMRKLEKERNNLLLHGAGELDPPLGNVVIRFSFLEEMTSFCSFYPLSAVSCRFLASNTFTLAALFYVSCIVGAKPGTAPIFKIASSAPARKAGIDDFLNSDSEKNDYDWLLTPPGTPLFPSLDTESKRSPAGSTGTPKARPTVLKSRLANSPDPSRSTLAPRQPASSSGLNSAIGTRRPSSSGGTTHSSSRPATPTGRPTLPAASKPTRPSTPPSRTTLPSKSLAPPRSSTPVRSSTPTSRPSLPVVSKPSSRSATPTRRPSVPSTVSSSSAPSSRSSSVTKSGPTISKSSVPPHGSSPTIKPRPLKPTDIPGFSLDAPPNLRTSLPERPSSASRGRPGAPSSRSSSVEPGPNIRPRRQSCSPSRGRVPNGSVHKGTSVPPSSRPQASGSDNVNPVIIGNKMVERIVNMRRLAPPKQDDQRSSHNNLSGKSSLSPDGAGFGRTLSKKSLDMALRHMDIRRSVPNSLRPLMANIPASSVYSVRSGATRSRTVSVSDSPLATSSTVSSEQSVNNNMLGLDGSEIEDEITSDKRGRCPPAVPNTR >Ma08_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1737737:1746964:1 gene:Ma08_g02140 transcript:Ma08_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAGAATGRTVTKEADEELALFLEMRKLEKERNNLLLHGAGELDPPLGAKPGTAPIFKIASSAPARKAGIDDFLNSDSEKNDYDWLLTPPGTPLFPSLDTESKRSPAGSTGTPKARPTVLKSRLANSPDPSRSTLAPRQPASSSGLNSAIGTRRPSSSGGTTHSSSRPATPTGRPTLPAASKPTRPSTPPSRTTLPSKSLAPPRSSTPVRSSTPTSRPSLPVVSKPSSRSATPTRRPSVPSTVSSSSAPSSRSSSVTKSGPTISKSSVPPHGSSPTIKPRPLKPTDIPGFSLDAPPNLRTSLPERPSSASRGRPGAPSSRSSSVEPGPNIRPRRQSCSPSRGRVPNGSVHKGTSVPPSSRPQASGSDNVNPVIIGNKMVERIVNMRRLAPPKQDDQRSSHNNLSGKSSLSPDGAGFGRTLSKKSLDMALRHMDIRRSVPNSLRPLMANIPASSVYSVRSGATRSRTVSVSDSPLATSSTVSSEQSVNNNMLGLDGSEIEDEITSDKRGRCPPAVPNTR >Ma10_p11250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24823747:24827352:1 gene:Ma10_g11250 transcript:Ma10_t11250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTVKLQIWDTAGQERFRTITSSYYCGAHGIIIVYDITEMESFDNIRQWLSEIDRYANDSVCKLLVGNKCDLVENRVVEMEKAKAFADSLCIPFIETSAKDSINVEKAFLTMCAEIKKRMGNQHSAQRAPSTTVQMKGQPIQQKSSCCS >Ma10_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24823747:24827358:1 gene:Ma10_g11250 transcript:Ma10_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTVKLQIWDTAGQERFRTITSSYYCGAHGIIIVYDITEMESFDNIRQWLSEIDRYANDSVCKLLVGNKCDLVENRVVEMEKAKAFADSLCIPFIETSAKDSINVEKAFLTMCAEIKKSRMGNQHSAQRAPSTTVQMKGQPIQQKSSCCS >Ma03_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26644474:26649155:-1 gene:Ma03_g21700 transcript:Ma03_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit O, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13450) UniProtKB/Swiss-Prot;Acc:Q96251] MALSGRLRSALPFFRKIVAVESPALCVRSAVDLTFGLKTFATQAKPTTNVKVPLSLFGGSGNYASALFLAASKANTLDKVESEILDLVEASKRSPLFSQFIEDLSVPRETRVKAVQQIFSDVGFSDVTKNFLAVLADNGRLSYIESIAKRFVELTMAQRGEVKVIVTTVIPLPAEEEKELKQTLQEILGQGKSIRIEQKIDPSILGGLMVEFDQKVFDMSIKTRAKQMEKFLREPINFDNF >Ma02_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23913747:23920899:-1 gene:Ma02_g16640 transcript:Ma02_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVERELMLRGSIWFLLVFNPFSWVRGNMEGDALHNLKTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGTLVPQLGLLKNLQYLELYSNNISGTIPNDLGNLTNLVSLDLYLNSFTGEIPDSLGKLTKLRFLRLNNNSLSGHIPQSLTNITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPLLCGPGTTTACPGAPPLSPPPPFVPPTPPSSQGSSASSTGAIAGGVAAGAALLFAAPAIMFAWWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLEWATRRKIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNDYVEVEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVDVVRQDELAPRHLNNDWILDSTDNLRPEVLSGPR >Ma11_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21944276:21945346:1 gene:Ma11_g16430 transcript:Ma11_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNKGSWSLEEDMRLIAHIQKHGHGNWRALPKLAGLLRCGKSCRLRWTNYLRPDIKRGNFTKEEEDTIVKLHKLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRLVFTEQKPAATNDPEEPPPTSSSSSSNHGGNKSHEHQHDPCLHTRDSSAEVIEIPIDPTMDMSSIFDDALSNMTSSSTSTQLSDGLVIPDGDLWSMIEDNSACKEGNTGAWLEYLERELELELGLREVAPSDQDSLTTDGVGMEEDPVSCYFRKEPPLHPLQTFLASEMI >Ma06_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10034428:10037561:1 gene:Ma06_g14650 transcript:Ma06_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHELSARTNIFGLRLWVVISICAGTGFLLLFLLSLCLSLMRKNASSRRTTATIPVTSKEIQEVRVDPSQTSAVVTSPRSVKQKEDESPVVVQKARVASPERGKSEEGGSPLGKRPTDQAAAVPAEVSRLGWGLWFILRELEVATDNFSDENVIGEGGYGTVYHGILEDGTQIAVKNLLNNKGQAEKEFKVEVEAIGRARHKNLVRLLGYCVEGAQRMLVYEYLDNGNLEQWLHGDVGPSSPLTWDIRMNIMLGTAKGLLYLHEGLEPKVVHRDIKSSNILLDKHWTPKLSDFGLAKLLGTGRNYVTTRVMGTFGYVAPEYASTGMLNETSDVYSFGILIMEIISGRRPVDYSRPPGEVNLIEWIKTMVSNRNTDEVVDPKMAEKPLSRTLKKTLLVALRCVDPDSQQRPKMGHVIHMLEVDDFPYQDEHRAGRIGQPYSNSPQYKVRVSARQVTEPGDNSTKSEI >Ma03_p30690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33211361:33214465:1 gene:Ma03_g30690 transcript:Ma03_t30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGGKPKRMMVAIDESECSHHALEWVLANLRESLSSLPLIIFTVQPLTDFAYLTAASLGSPPMELIQSVQQQQKQASVALLEKATEICAQYGVVAETITEVGDPKEAICEAVKKLNVNLLVVGSHGKGALQRAFLGSVSNYCVHHAECPVLVAKK >Ma08_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3456938:3457750:-1 gene:Ma08_g05050 transcript:Ma08_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVHLISTIILSIASCFLFSYQFVVAEWGISFAGELCSGIVTEVKENDVRFHRYSCLFTQPLHLIEQVPFSQNPRASDKSDPWLEGLGFSDQDSREQQIR >Ma10_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29955969:29960667:-1 gene:Ma10_g19380 transcript:Ma10_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKVIEDQFSGEHLLTISCSAESLPTIGPPKFSCHDENIDTIHHQEGATKNAKKKVEQYIADNAEYVDRPDTKDQKEAVIKEPELSLKHIVYDVPSLGQNTLDHIVVTNHQQGMILEDSVCPVQQGLSAISSQDHNIPDPQISNVLGDSISGVSVLSYGDITYPISHSFELQKMELESTPSQLQNGTSINLVETPRVTDSTTSCENRKIMESTPSLPELATTAEPIKTVYINRGIVDTSAPFESVKEAVTKFGGIVDWKAHRQNSLEKRKLLQLELERVQADIPECKKQSEAAEEIKAQVLKELDRTNVIIEELKLNLEKVQTEEAQAKQDSELAQMRVKEMEQGISTESSVAAKTRVELAKARHEAAVAELKKVKSELKTLQGEYMSLVSERDFATRQAEDAISALKEIEMTAEELTLELITRKESLESAHAAHLEAEEHRIGAALAREQDCLAWEKELKHAEEELEQLNQQLLLTKDLKSKLETASALLLKLKAELTAYMESKLNQESESIENKLSDDVEETEKTQSITHALALTRKELEEVKASIEKAQDEVGCLKVASSALKSELDREKASLTNLQQREGMASIAVSSLEAELDRTKQDLEVVRAKEKTAREKMAELPKLLQHAAQEADQSKSVAQIAREELRKSKEEADEAKASASTIEIRLHAALKEIEAARSSERMALVAIKALQESEQAASIYGTDSPHSVTLPLDEYHNLSKRAHEAEELAHERIAAAIAQIDVAKQSEVKSLERLDDAYGEMRARKEALKVATEKAEKAMEGKLGAEQGLRKWRAEHEQRRRAGETAKDLIDRPQETFEQRSGPGSYTKEKSDAVHSMTNPKSYVPEDNSENDVPKVKTMKKKTSLVPKIVLSLARKKSQPVK >Ma06_p27230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29253578:29262269:1 gene:Ma06_g27230 transcript:Ma06_t27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPVAHENEVIEERKRTSGSYGNLSTANQSSQHQMNEQHNVLLYPPGGTLFFTMDDNQREPLLAHLVTTNANGSTNIHQQVQPLVYQANLVTGVFVVGTNNNMLYNVGFPVYQGVLNPVNQDGLGATKELATRSTENEIVQHKRKKQRISRASKCETKQTFETPLVTKAQNKKNISKIKEAKMGGQTAIANPPSQNYREVVGFDGDEISESNSGCLKESSLEKKSFNVSEIGKKYASPTVKTSSRMIHKNTAKLGVRARKRYNKDERGWPIMDLGDRGDTLMKLNQNDVLELRLSEGDSNHLRMNSQKSTCCRSSHPRNSSEKKNVQEQLLDFTESNSMLYNISISQEPHRESCLHTSVILLDGKLPFSGNNLEVSKVKRPEIFKENKQKQQSKGHANDDDLFIATIVKRKGCRSASREIISKVGSLDASEKLRSRNGDHKLFQNNAGEGGKISNVKRSIGRRTVLCRLIEMGVISVRNTFQYCELKDSTVVKDGRVTKNGILCRCCKKFFSISEFKLHAGDMLQKPCLNLFLRSGKSYVLCQLQAWSLEYKTRKDAIPVMDDEETEQNDDTCGHCGDGGELICCDNCPSSYHQACLLSKELPEDRWYCPNCICDVCGVVVNIMEAPSAMATLECSQCQHRYHDKCIKEKVTRNEEVASRTWFCGVDCQEVYLGLRSLVGVMNCVGDGFSSTVLRCNHGDKTLQSAEEIAVVAECNMKLAIALSIMEECFLPMVDLKTGIDMIPHILYNWGSKLPRLNYKGFYTAVLEKNDELISVASIRIHSVKVAEMPLIATRSEHRRRGMCRRLLAAIEEMLKSLKVEMLVLSAIPTLVETWTSVFGFKQLEDTEKWLQNIDLMSFPGTVLLKKSLYDATTKTSATNDEVPTRPSSSGMQGMMKDGNTQERVKQAEASTVVRPVSTGCFPQYIGSDDFALTPVITSSALSGLSLRMEPFKLELVILP >Ma04_p31920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32107536:32108145:-1 gene:Ma04_g31920 transcript:Ma04_t31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIVCLRISNFSSDLPEFCSRDSSLIVKEIFGVTDDDADTLRMHTLSEIDDVESMKKMVDDSVLKGHSEESPSTA >Ma04_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2351965:2356598:1 gene:Ma04_g02900 transcript:Ma04_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSLNAELLQKTPVFGLRLWVVIGICFGVLIVFILTILSTWVTFKRKTSRGFDNMTISQIPTVSKEITVDRVGNPCDAQTLQELTGPFFHSHDKFSDTESVRTLAHLTMSKSSDADNLSHCSSAYHNDRAGSSYSGDEGSTGPTRKTHPAYAFVAASPLIGLPESSQLGWGHWFTLRDLELATNRFSKENVIGEGGYGIVYRGRLINGSEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGILSWENRMKVILGTAKALAYLHEAIEPKVVHRDIKSSNILIDDGYNGKISDFGLAKLLGSDKSHIATRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLETVTGRDPVDYGRPTNEVNLVEWLKMMVGNRRAEEVVDPNLEVKPAMRALKRTLLVALRCVDPDSDKRPKMGQVVRMLEADELPYREDRRNRRNQAGSMEIEALKESNSSTDLENKVGLGDNRASDRFQA >Ma09_p25180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36733331:36734618:1 gene:Ma09_g25180 transcript:Ma09_t25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRVIEIGPADQNSHKWRVPFTEDAFHSFIVHGGVAVRNVFGEGSLFSPLLFGKFFDPADAFPLWEFESDALLSGLRNASKSTVDWSATNADYLLKAELPVGARKCDVDVCNLKEMVVEISGRWRGRETDPKDWKNGPWWEYGFARRLELPEDANWTKMEAYITDDIFIEIRIPKNSSDIDLQQMQAV >Ma02_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14362763:14363254:1 gene:Ma02_g02970 transcript:Ma02_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCSLLQRSSMLLNTCFWWKVEYQPLTGICSSLKRTRRGSTHTDQV >Ma03_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14881863:14893296:-1 gene:Ma03_g15130 transcript:Ma03_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATAAIANPLVSVRGPRLQALSGYTSKVSDKSLVVCHPLSVSIRSLRRRKAGAAGCNGHFFLRPVHRESGSPGNAVFFIGGFVLGGIMAGTLACVYAPQISKALTGTDKKDLIRKLPKFIYNEEKASEKSRKALAEKIAQLDSTIEDVSSQFRPDDEPNGVAVTSDEFEAAK >Ma08_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3838981:3839058:-1 gene:Ma08_g05630 transcript:Ma08_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICEADVDGDGQINYEESVKVMMAK >Ma09_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:430977:433860:1 gene:Ma09_g00600 transcript:Ma09_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTSILQKVSSPTLNEMCCFVPFDCSIQKRWKKPVDSAQTRLENRTRDLKLDKLMNQLKKLRLVMGVHELMAKRRGHYTSVQLLSRWKHMVGLNTEIGDFLHKYPHIFETYIHPVKKNLCCKLSRKMIDLIAEEARIIRASEFTVVQRLRKLLMMSTLGTLNLHALWLSRRELGLPDDFRDSVIPKYPDCFRVELPDTLVLISRDASLAEAEIEKWREKENREKWLGEHETKYAFPIQFPTGFKIEKGSRERLKNWQMLPYTKPYEKKHVIRICNVLRFEKCAVGILHEFLSLTMEKMVEVERLSHYRRDFSMEVNVRELILKHPGIFYISTKGSTQTVFLREAYSKGCLIEPNPVYAVRRKMLDLVSLGCRNTNPKRRLEQLDERCDKQYNGDLVAQMAECHDEEHIMEDLCSSCLHSDEEDAE >Ma09_p00600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:430957:433860:1 gene:Ma09_g00600 transcript:Ma09_t00600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTSILQKVSSPTLNEMCCFVPFDCSIQKRWKKPVDSAQTRLENRTRDLKLDKLMNQLKKLRLVMGVHELMAKRRGHYTSVQLLSRWKHMVGLNTEIGDFLHKYPHIFETYIHPVKKNLCCKLSRKMIDLIAEEARIIRASEFTVVQRLRKLLMMSTLGTLNLHALWLSRRELGLPDDFRDSVIPKYPDCFRVELPDTLVLISRDASLAEAEIEKWREKENREKWLGEHETKYAFPIQFPTGFKIEKGSRERLKNWQMLPYTKPYEKKHVIRICNVLRFEKCAVGILHEFLSLTMEKMVEVERLSHYRRDFSMEVNVRELILKHPGIFYISTKGSTQTVFLREAYSKGCLIEPNPVYAVRRKMLDLVSLGCRNTNPKRRLEQLDERCDKQYNGDLVAQMAECHDEEHIMEDLCSSCLHSDEEDAE >Ma09_p00600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:430956:433861:1 gene:Ma09_g00600 transcript:Ma09_t00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTSILQKVSSPTLNEMCCFVPFDCSIQKRWKKPVDSAQTRLENRTRDLKLDKLMNQLKKLRLVMGVHELMAKRRGHYTSVQLLSRWKHMVGLNTEIGDFLHKYPHIFETYIHPVKKNLCCKLSRKMIDLIAEEARIIRASEFTVVQRLRKLLMMSTLGTLNLHALWLSRRELGLPDDFRDSVIPKYPDCFRVELPDTLVLISRDASLAEAEIEKWREKENREKWLGEHETKYAFPIQFPTGFKIEKGSRERLKNWQMLPYTKPYEKKHVIRICNVLRFEKCAVGILHEFLSLTMEKMVEVERLSHYRRDFSMEVNVRELILKHPGIFYISTKGSTQTVFLREAYSKGCLIEPNPVYAVRRKMLDLVSLGCRNTNPKRRLEQLDERCDKQYNGDLVAQMAECHDEEHIMEDLCSSCLHSDEEDAE >Ma09_p27550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38518727:38519757:1 gene:Ma09_g27550 transcript:Ma09_t27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLALPGSDRTPELGVHGEKRASLCRKRGVDEMLAKATLPLFIQKDNDEDGDDDSRQSKHLQDLNFSGSRVLVGWPPVKMWRRKSNNCSRRRANCVKVNMEGVAIGRKVDLSLHDSYQAFFLTLGQMFPKKQHDGEESDAAYYKVTYEDEDGDWMLVGDVPWEAFIQSVRRLKILN >Ma09_p27550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38518727:38519757:1 gene:Ma09_g27550 transcript:Ma09_t27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLALPGSDRTPELGVHGEKRASLCRKRGVDEMLAKATLPLFIQKDNDEDGDDDSRQSKHLQDLNFGSRVLVGWPPVKMWRRKSNNCSRRRANCVKVNMEGVAIGRKVDLSLHDSYQAFFLTLGQMFPKKQHDGEESDAAYYKVTYEDEDGDWMLVGDVPWEAFIQSVRRLKILN >Ma03_p31510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33716392:33718907:1 gene:Ma03_g31510 transcript:Ma03_t31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPKQYRCTHSAACICIKGHLSEDAIYLIFQHLNWNPRLIALMSCVCKWFDEISKRILWKEFCRTRAPKMMRDLQTSGSHNVDGNWKALAKLLIYCSGCSQRTLFDLTHVPGHFVYKTRFSKTLGKSFLIPQCRKDVLYVSDLCEHLDQGDDGDVGFFRGVFESFSVSRVRKMLIDRKASLHPSEVCPYCKAKLWNMLQAKLIPRSAGIRLDAYDDSIECYVCLNGHMLGACTLQPLSDSEGASDFDEHKDRLKPRILSATM >Ma05_p25770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37603912:37609580:1 gene:Ma05_g25770 transcript:Ma05_t25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFSGSSAVDISPSPPAPPGTATALSGNNANVLYIFNRSGVCLLYREWHRPLRTLDANQDQKLMFGLLFSLRSFTAKMDPTSVDKGNLGVPLLPGQGCSFYSFRTNTYKLSFMESPSGIKLILITHPKTSDHQESLKHIYNLYVEYVVKNPLYVPGTPIKSELFDTNLDQYVKTLI >Ma08_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32630732:32642537:1 gene:Ma08_g18860 transcript:Ma08_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMQPQIILLKEGTDTSQGKAQVVSNINACTAVADAVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSYRTASSLAINKIKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASIVVDAVLAIGNDDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFVNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNIIDEILGSCEVFEERQVGNERFNIFNGCPSGQTATIVLRGGADQFIDEAERSLHDAIMIVRRALKNSTIVPGGGAIDMELSRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDSTDVLNKLRQKHASGEGSNYGVDINTGGIADSFANFVWEPAVVKINAINAATEASCLILSVDETVKNPKSESAQGEAAASAMMGRGQGGPAFRGRGGRGMRRR >Ma00_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:38560135:38568913:-1 gene:Ma00_g04470 transcript:Ma00_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSENFSDYGKLCRERTNKAMIKTRQVQVIDKDHGVLMRPMPGMVALVPSGSKDKKKLTPTKGSDAKRTRRDRRELENIIFKLFERQQNWALKQLVQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTEELDNM >Ma04_p38290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35888039:35889176:1 gene:Ma04_g38290 transcript:Ma04_t38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHLLGPPASLDVRFASPSSIYRVYHSPLFLPPLAFSFLVLFLEVPSVTASPSPFPFPSGDTAEAMAQAIRGQEDAFGQAQLIRDAAVESRSRESSSSQLLGHGTHDQLCDAVHENLLNSDPEFNAVGQISTLSLQEKGRSQGTSSSARV >Ma01_p21280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20918542:20922427:1 gene:Ma01_g21280 transcript:Ma01_t21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPDIVKEEYPETSSYNIEDSFMEPPRPMEGLHEVGPPPFLTKTFDMVDDPVTDQVVSWSLTSNSFVVRDPHAFAVTLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTIKRRKPPSNPLPRQQSMGSFLEVGHFGLDGEIDRLKRDKNILMAEVVKLRQEQQNTRTQLQAMEERVQSTEQKQQQTMTFLACALQNPDFFQQLVQQQEKRKQLEEAISKKRRRPIEAGPCHGEEETNEAQEFEPPFDIETGKLEGVYEPEFFQLDGLSMEIHGLGRNEEAENKPGEKHEGGYTELNDEFWEALFKERIEEEKGGPEWVERGEDDDIVVLAEKLGYLTSNSPK >Ma01_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20918127:20922427:1 gene:Ma01_g21280 transcript:Ma01_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPDIVKEEYPETSSYNIEDSFMEPPRPMEGLHEVGPPPFLTKTFDMVDDPVTDQVVSWSLTSNSFVVRDPHAFAVTLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTIKRRKPPSNPLPRQQSMGSFLEVGHFGLDGEIDRLKRDKNILMAEVVKLRQEQQNTRTQLQAMEERVQSTEQKQQQTMTFLACALQNPDFFQQLVQQQEKRKQLEEAISKKRRRPIEAGPCHGEEETNEAQEFEPPFDIETGKLEGVYEPEFFQLDGLSMEIHGLGRNEEAENKPGEKHEGGYTELNDEFWEALFKERIEEEKGGPEWVERGEDDDIVVLAEKLGYLTSNSPK >Ma03_p28780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31867949:31870177:-1 gene:Ma03_g28780 transcript:Ma03_t28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSVPWPLQLLTLLLSLIPWRHPAGASDDGRILLQFKATLSPGVGGDAVLATWVDTRGPCIDQNVSAWAGVYCENGKVATLQLESMSLLGALDLDILTGLPSLRALSFSNNSLEGGIPDFTKLPALKSLYLSWNRFSGEIPDGMFSTMRALKKVWLSHNNFSGPIPTSLTVPEKLMDLGLDSNSFEGHLPDLWQPELQVVNVSYNNLEGPIPVRLSNMSATLFEGNKNLCGPPLLVSCNLPEKHKLAPALLVAIILIAVAVLLAVIALIVFLLLRRRNKKEETTVDRPQTSTNSEKIEHLEAAADAELGSEKHHGGGKKAPKKEQGKLSFVVEWRRKFDMQDLLRASAEVLGSGNFGSSYKATLVDGPAVVVKRFKEMKGVGREDFQEHMRRLGRLSHPNLLPLVAYYYKKEEKLLITEYIPNGSLAHMLHGNRGSKLPPLDWPTRLKIVKGVARGLAYLYDELPMLTVPHGHLKSSNVLLSSSFEPILTDYALVPVMKKAAASQVMVAYKSPECAQHGEPSKKSDVWSFGTLILEILTGKFPADHLAEGSAGADLASWVNTVAGEEGTSKVFDKNMEGTKDSEGEMLKLLKIGIACCEADVDERWEMKEALEKIEELKEREGGTERPLISSEGDGFSSKAMVER >Ma03_p33570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34889595:34890773:-1 gene:Ma03_g33570 transcript:Ma03_t33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPARLTGARFLIQAEEAEAMAKEAGVAAVTELLPLLVPAAQRLARPPISNYRVGAVGLGTSGRIFLGANLEFPGLPLNHSVHAEQFLVANAAAHGETGIRCFAASTVPCGHCRQFLQEIRGSAEIQILVTSDEEPAFRPLASLLPLPFGPHDLLHKGVPLLLEPHDNALGATVVPGGGGGRGLFDAIGEGRMEQRLRAAAEAAARASHAPYSGCVAGFAVADVDERVYAGSYLESAAYNPSLGPVQAAMVAWVAAGGGGGGEDGGWGIVAATLVEEEAAVVSHVGTARIFLEAMAPGAHLEAYRLRSSKAD >Ma01_p18740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14020755:14022982:1 gene:Ma01_g18740 transcript:Ma01_t18740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTVMDITFHRNSLIHLLSQVNPFWIQFFYFLSSSITGFLLLKLLPTRDATSRPTNVDLLFMSVSANTVSSMDTMEMEVFSNYQLAVLTLLMVIGGEVFISMLSVHFTKINSQMRDSALDAAGTELATLSDQRRSSDLKFSSRKHLFFVVLGYLLVGHVVGFLLILVYLRLVPEAGAVLERKGINASLFSIFITVSTFANCGFVPTNENMVVFRTYSGLLLILTVQVLVGNTLYASCLWAVIWLLKKLTKRREYDYLLTNYGEMECNHLLPGSHSLYLALTVAGLVLVQFGLFCCMEWTSEILSGLSTYQKVVGVVFQCVNSRYAGETIVDLAAVAPAILVLFVVMMYIPPYTCFLPRKGDKQLLKGRERSRKKQGLSLIFSPLSYIAIFTIIACITERRQISEDPINFSVLNIVVEVVSAYGTVGFTTGYSCKRQVKADVHCKDVSAGFSAKWSSKGKLVLIVVMLFGRLKRFSMRGGKHWKFI >Ma01_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14020755:14022998:1 gene:Ma01_g18740 transcript:Ma01_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTVMDITFHRNSLIHLLSQVNPFWIQFFYFLSSSITGFLLLKLLPTRDATSRPTNVDLLFMSVSANTVSSMDTMEMEVFSNYQLAVLTLLMVIGGEVFISMLSVHFTKINSQMRDSALDAAGTELATLSDQRRSSDLKFSSRKHLFFVVLGYLLVGHVVGFLLILVYLRLVPEAGAVLERKGINASLFSIFITVSTFANCGFVPTNENMVVFRTYSGLLLILTVQVLVGNTLYASCLWAVIWLLKKLTKRREYDYLLTNYGEMECNHLLPGSHSLYLALTVAGLVLVQFGLFCCMEWTSEILSGLSTYQKVVGVVFQCVNSRYAGETIVDLAAVAPAILVLFVVMMYIPPYTCFLPRKGDKQLLKGRERSRKKQGLSLIFSPLSYIAIFTIIACITERRQISEDPINFSVLNIVVEVVSSNSTPRLDRRVSAENIGPKAAMAFLIKLYGCIWNGWVHNGVQLQAASEGGRTLQRRVGWVLSEMEQQRETCAHRCHVIRQVEKVQHERWQTLEIYISLIHAWKD >Ma11_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4329979:4347926:-1 gene:Ma11_g05670 transcript:Ma11_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLPSSLLRSAKAVGLHSQPSSTSSYPSTHYSTYNLEAHNFSSILRKHNKAN >Ma06_p32730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33597314:33600231:1 gene:Ma06_g32730 transcript:Ma06_t32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDKSGDFYAVLGLRKECSETELRNAYKKLAMRWHPDKCLASGNAQIVGEAKEKFQEIQKAYSVLSDSNKRFLYDVGVYDNDDDNDENGMGDFIGEMLEMMSQTKPNENSQDSFQELQELFVEMFQDDLDAGFGGSIFHDCPWAQPTNGQDCWTSSGLHFANGRSKCGNKRGNSAVNLGKVNLEELEHGTSDFYFGLNDAAQPSQGKGGSNNKRRNGRKQKVSSNHDVSS >Ma05_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22817309:22817869:-1 gene:Ma05_g18140 transcript:Ma05_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASFLVLFVFNLVLWLVLRPNLPEIAVSWAAVSGFNLTAAAQRLSADFNLSLTFHNPNDKLGIDYDEIGVTVLYDSEIISYAPIPPFYQENGSTTAAGARLVSASEHLSSDAAKAMDWDRSHGDGALNFVFRVFAWVKFGSGAWWTRRDAVMAHCKDVRIGFGNGTVAAAGDLVGPSPKNCLVLM >Ma08_p27610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39800324:39802169:1 gene:Ma08_g27610 transcript:Ma08_t27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPTKIQPIDVIAVGRSDLAKPVQKSRLKRLFERQFPSVLRISSAERLAGGADLELSSICLDKMVRNFMEDNNGEQTSYRSGRSRCNCFHGNCRDSSDDDLDLLPSSFNSGDPPMVSAAEVVKGLVVSASLVERNLLADASSVVEKNKNCKRKDEQMKAVANGLRLLGYDASISKSRWEKTPSFPAGEHEYIDVIVAGDRLLVDVDFRSEFEIARSTKSYAAVLQSLPPVFVGKEDQVDQIVAVVSEAAQQSLKKKGLHFPPWRKPEYMRSKWLAPYERSIPLADPPPAAQAEETGESDGKAKSEKSAEGKSSAVLVVSAASAWEPPEVKPKAVHEGAKVVTGLTSVLSEKP >Ma10_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29661260:29663201:1 gene:Ma10_g18900 transcript:Ma10_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIERRKIPNDYEYYVHYTDHFFPVNRRLDERVKLEQLDLGTMETDLYEKVEDKVTSLKMTRRQKRKIDETHVEHGDEELDASSLQEHEEFTKVKNIAKIELGRYKIDTWYFSPFPPDYSDSPKLFFCEFCLNFMK >Ma10_p18900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29661260:29663201:1 gene:Ma10_g18900 transcript:Ma10_t18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIERRKIPNDYEYYVHYTEFNRRLDERVKLEQLDLGTMETDLYEKVEDKVTSLKMTRRQKRKIDETHVEHGDEELDASSLQEHEEFTKVKNIAKIELGRYKIDTWYFSPFPPDYSDSPKLFFCEFCLNFMK >Ma11_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24258656:24259622:1 gene:Ma11_g19360 transcript:Ma11_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLHHFCMGPSSCSFVLLLGLGVHKSLLLHYILCDRSTLVPSIMEESLCRDCEADTISKKTVKSGCKRVARTNCSGGGGVDEARKGSKGGKHPAYRGVRMRAWGKWVSEIRQPRKKTRIWLGTFPTAEMAARAHDVAALSIKGQSACLNFPELAAELPRPASAAPEDIQAAAALAASIMFGDPRPGTCTSAESGLRQTELPVSRSPPPLTPSSDSDDALFDLPDLLLDVGEGFCYSSPWENCMEFRLEEPLLWEY >Ma02_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14635954:14637714:-1 gene:Ma02_g03280 transcript:Ma02_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSTDLDARLELDSFASWKSKSGPFAPDWVRRNQTGLSYINHLASRFRSPHLETLIRRPSDHVLIEIELKEMAGEEAEKTAPALGEPMDLMTALQLVMKKSLAHDGLVRGLHEAAKAIEKHAAQLCILAEDCNQADYVKLVKALCADHNVHLVTVPSAKTLGEWAG >Ma02_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24996838:24998871:-1 gene:Ma02_g18540 transcript:Ma02_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLGLMSSTFLVMALSFVISFLSLLGQLRPWPATLPQGLLSLDIADELHYGPNATARFSIDYGRLTEAAPAAVLYPSSPDDIASLVRFSYASPRPFVIAARGHGHSIRGQAFAPGGVVVDMASLGGGRRARRRDRIKVPLDDVHRLLYVDAGGEQLWIDVLRETLEHGVAPRSWTDYLYLTVGGTLSNAGISGQAFRHGPQISNVHELDVITGKGDMVTSSRDVNSDLFYGVLGGLGQLGIITRARIAVEPAPQRVRWVRFIYTDFGSFINDQELLISISEEGFDYVEGQLLMKNGAANSSFFSERDSEKIKVLAAEFGVIYFLEGAIYYELATASLVDQKLKLLLKKLSFVPGFAFTKDVSYLRFLDRVHHDEIKLRPMGLWDVPHPWLNLFVPKSRMRDFETGIFRGILIENNTPMATVLISPMNRSKWDEKMSVTIPDEDIFYAIGILRSATMDDWKHLDDQNDEILRFCNQAGIEFKQYLPHYTTQADWKKHFGLKWDTFVQLKRRYDPKALLSPGQQIFTTSL >Ma09_p29540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39960042:39962811:-1 gene:Ma09_g29540 transcript:Ma09_t29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFMQSFPPMAEQHLLPFTDLEDFLTTNFPDAASNPTCAISEYDLGGEGDLFKAPKPILEESLLAFDPMAAANSMIFGGESIIMEDKIQIAHMESIQNEDLFCEVFYDCKNDLLAISTDAPVPEAADFMLPVLQTEEVAVGENNNIFAEGPLQKSVSSGCLRSVDCTNGSLQRGLSSTGPCLLDVHEMNLEAAFGMRTYSEGDIQSIGIINNLVHGHMNIVPSFKLLSTNEGLKIEERIQKLSRYRKKRTKRNFHRKIKYACRKALADSQPRIRGRFAKMQSSCAKTEHNDAANCRNKE >Ma08_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36371235:36371605:-1 gene:Ma08_g22890 transcript:Ma08_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKAILSDTASGLLWIRFSHLFIFYLQHHHYVMALIDRIHDAGPVIHQQALIIDNLY >Ma08_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10744571:10744708:1 gene:Ma08_g13580 transcript:Ma08_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENSFLMDIFTKKFDTIVPIIPLVRSLSKAKFCVVFGHPISKPI >Ma02_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13245745:13251727:1 gene:Ma02_g02190 transcript:Ma02_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQNDFDRIVFFEHARKTAEVVYAKNPLDADNLTRWGGALLELSSFQSGSDSIKMVEDAVSKLEEALEVNPSKHDTLWCLGNAHTSHAFYTPDHEAAKVEFDKAAQCFRQAVELDPGNELYLKSLELSNKAPELHQELQRQMASQQASQGATTASSMKTPKKKKDSDLKYDVLGWVILAIGIVVWVGMAKSHVPPPPPR >Ma01_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1593510:1595538:-1 gene:Ma01_g02400 transcript:Ma01_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATADPRGRHPPGRRVDDKNLVFDTSPVVEAVASFDQMGIRDEFLRGIYAYGFEKPSAIQQRAVVPIIQGRDVIAQAQSGTGKSSMISLYVCQIIDTTSREDTR >Ma04_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16823753:16828127:-1 gene:Ma04_g16910 transcript:Ma04_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36120) UniProtKB/Swiss-Prot;Acc:Q8RWM7] MHTTLSKSHAFCFAHPASSLSVFRPPSPRMALPSASITRFDLSGHQKVTGILRGSVSPVLIRSIRRGKVHHTISRSGSRCLLNVTVPCSIQSALMTASATPYDPSRCVQPSLPIIPQNLGAALSEYIQGLIIADIDPATVKLAISVTGPFLSAFGFLFILRIIMSWYPKLPVKKFPYIIAYVPTEPFLSITRKVIPPLAGVDVTPVVWFGLVSFLNEILVGPQGLLVLLSQQL >Ma04_p16910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16823753:16827789:-1 gene:Ma04_g16910 transcript:Ma04_t16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36120) UniProtKB/Swiss-Prot;Acc:Q8RWM7] MVLIRSIRRGKVHHTISRSGSRCLLNVTVPCSIQSALMTASATPYDPSRCVQPSLPIIPQNLGAALSEYIQGLIIADIDPATVKLAISVTGPFLSAFGFLFILRIIMSWYPKLPVKKFPYIIAYVPTEPFLSITRKVIPPLAGVDVTPVVWFGLVSFLNEILVGPQGLLVLLSQQL >Ma06_p28270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29982345:29987369:1 gene:Ma06_g28270 transcript:Ma06_t28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPISKSSSASSQAGPIRPSSRCIDPKSGFHFGSSPIERLWRDSDEQREQQKAEMARLSQRILSSLFLLLLLFIYSSLPYFVASSKKPPPAARKGDIPFIRCQVCEKIAHQIIHQVKKKEAQISPKKVSEFQIIEIAENICNLKKEEADWILQIDVVEKGDKLELVEQGIEGQCNSECKTIEHACQEIMGYSDTDVAEYVFSARPSIDQLVKFLCKDLSKACSVKPPPIPADRVPGEPFIAKSSKDAEMEKILRSMEGMPGAPGMKMYSREDLMSGKFGEADDDDDDDDDEDNFSEKLV >Ma02_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22651942:22657486:-1 gene:Ma02_g14680 transcript:Ma02_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVLPEHICYVHCNFCNTVLAVSVPGNSLLNIVTVRCGHCSNLLSVNMGALLQALPFQSLQTRSICSQGNGVECGSPSKCKRTSLLCTMPKDQEQTLPMHPPEKRHRAPSAYNRFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPRIHFGLNIDGNKQAKLDEPVSAPANTPGGQKGHSFC >Ma04_p31150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31540930:31541881:1 gene:Ma04_g31150 transcript:Ma04_t31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNPISPSKLRSDLYNFPCDDDESTTTAPPSVISVLASLLDRAIARNDRAAVNRGNAAVGDARSRAFESHQVLDMTIQSFLERIYRYARVAPPVYVVAYVYMDRLCQFNPGLRICSANAHRLLITTIMVASKFVEDLNYCNSYFAKVGGLSARELNSLELDFLFLMKFKLHVSVSVFESYCSHLEREVSFGGGYQIERSLRRLMCGGEITAKEKERREPNPVAQGLIYYM >Ma03_p01660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1148364:1151847:1 gene:Ma03_g01660 transcript:Ma03_t01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISSNRPSTAEISAIEGPSKDPQVADSASKGWTDEKHTLFLNSIEASFVNELYNEHYHSKNFQGWLSRTKEHKGSTGPSENDMKYGQFKVLRKGCWKNLRFEMDNNHAAIENGSFPLHTNPWVQHFRSPYNMKEKHLKSSDRDDDTEFNRICHQDSIDSSTEVSDQNFIADELIVGQQSSRICRKRRRGSAPVDEPIKDQVMGCSIQKSTCYHNL >Ma03_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1148364:1151847:1 gene:Ma03_g01660 transcript:Ma03_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISSNRPSTAEISAIEGPSKDPQVADSASKGWTDEKHTLFLNSIEASFVNELYNEHYHSKNFQGWLSRTKEHKGSTGPSENDMKYGQFKVLRKGCWKNLRFEMDNNHAAIENGSFPLHTNPWVQHFRSPYNMKEKHLKSSDRDDDTEFNRICHQDSIDSSTEVSDQNFIADELIVGQQSSRICRKRRRGSAPVDEPIKDQVVPSRKALVTTISDVNHACPHPANFRSDATTCEVIASIVPPETEASLCKDQEVNS >Ma08_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36049098:36052572:1 gene:Ma08_g22480 transcript:Ma08_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPLFNRVLVEKIVPPSKTSAGILLPEKTTKLNSGKVVAVGPGARDRDGKLIPVSVKEGDTVLLPEYGGTEVKLGEKEYHLYRDDDILGTLCD >Ma06_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4737234:4738771:1 gene:Ma06_g06550 transcript:Ma06_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWLKSFVGLKAQEKNDAEKRGGSENGKSRKWKKLWRSASGEQLFLWRGSKGSSHRSVASEASDVSSLADAFTAAVATVIRAPPKDFRVVSQEWAALRIQTAFRGFLARRALKALKGIVRLQAIVRGRQVRNQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKMLEARRSQQDLLKEAEEGWCDSQGTLEAIRARLQKRQEGVLKRERAIAYAMSQE >Ma06_p06550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4737234:4739572:1 gene:Ma06_g06550 transcript:Ma06_t06550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWLKSFVGLKAQEKNDAEKRGGSENGKSRKWKKLWRSASGEQLFLWRGSKGSSHRSVASEASDVSSLADAFTAAVATVIRAPPKDFRVVSQEWAALRIQTAFRGFLARRALKALKGIVRLQAIVRGRQVRNQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKMLEARRSQQDLLKEAEEGWCDSQGTLEAIRARLQKRQEGVLKRERAIAYAMSQEQSKSTVNGRPNQTTASLKHNDFDKNNAKWSWLDLWMSAKPWENRLMEEKAQNMCGISSTHSEPCLVKTKKNNTSTRISSKPPTTPIHLSCRTHSSTSTELHCNESSASSSVCTLTPISSTIGLASERTEDSYKSRPRYMNLTESIKAKKKAVGAHTATKQRLQSGDALFQRKALADINMNSDACSNHKALSSKLVATFPPRDKKRLTGSRDKENYNCHEQHTYVTS >Ma01_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28185378:28186205:-1 gene:Ma01_g23550 transcript:Ma01_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAFPTTLRGPARAWYSNLKTATIASFDQLARDFELNFLAHAKPKPSVAMLLGLHQREDEPLSHFVNRFTTQIRGLSDAHPSLMMQAFMMGLRPTRFFWSLVERPPTSVPEMLQRANQYIAAEAWMVGKRDERKRVKPEQSQQQQPATSRRRAGGLNDTVPRSPPPGLNSSRTEIFLHIKEKGLLKDPYPMRSPRELADRSKYCRFHRQPGHDTEECRELKRQIEELIRRGHLGSYLRPDKELSPRPEGPIERHIDVITGGPASGGSSMAGGRA >Ma04_p26620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28015659:28016594:1 gene:Ma04_g26620 transcript:Ma04_t26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQANDRSRGTVKWFNNTKGFGFISPDDGGEDLFVHQSSIKAEGYRTLTEGEIVEFMVTEGDDGRIKAVDVTGPDGSNVQGGGGGGGGDGRREGFGGGRGGGSWGGGYGGGRGRGGGSYGGGGSCYNCGETGHFAKDCHQGSGGGGAACYNCGEMGHLARDCSQGGGSGSRRYGGGGGGNDRSCYNCGEMGHIARECPGKV >Ma05_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7295606:7298057:1 gene:Ma05_g10130 transcript:Ma05_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSSFVSLAAAVVGLVLLATRTWRALNSNRKRYPPVVGTIFHQLLNFRRLHDYHTKLSLKHKTFRLLSPLCHQIYSTDPAVVEYILKTNFDNYGKGWYNYGNTKELFGDGIFAVDGDKWRHQRKLASFGFSTKVLREFSGAIFKRNAVKLAHVLSSYATSNEKFDMQDLLMKSTMDSIFKIGFGLELNCLDDSDNHGSEFAKAFDVSNEFIMMRYVNAFWKVMRFLNIGGEKTLKSKVKLVDDFIYKLIRIRVEEMSNEGSDSEGKDDILSRFLEESRKDPQNIDLKYLRDIILNFMIAGKDSTAGTLAWFFYSICKNPPVQEKIYQEIKEVIEASEDAAFDAFAESIDDESLNNMHYLHAALSETLRLYPAVPLENKVCFADDILPGGYNVRKGDIVFYQPYAMGRMEYLWGRDAEIFRPERWLDDGGVFQPESPYKFSAFQAGPRICLGKEFAYRQMKIFAMVLLRFFKFKLGDEKKDVEYRTMMTLHIDQGLYLQVLHR >Ma04_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2406084:2417543:1 gene:Ma04_g03020 transcript:Ma04_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRGRPVFDLNELPTEEEGDNDNPIISQPQKSLPVGNLNSSNLFLSSEGCQRILNNHAFTHASIGSGFQPFVRKKDLPKAECPKQLDGESSVNQMLTSVTASLEDDNKASKLVSSGDQDGQTAEREEGEWSDMGGNPDEIVSFSTNKQKVPDSEAAEKQIVNEESEPDCIRADENSHNDSSYIGNSDNEVGESFKDLKENDSLGSKSHKVSDCDSRVEVLADGLGESSISKNKEIRGVEASHALRFVNNPVKRPKLDEHKEAMLGKKRARQTVFINMEEAKQASSVKTATPRRQTSFSATVVTRTAKDTFRAATSSIDRIAERQNQMITKEQKQSNILDAEGGFPIESVDQKTETNGDLNSGGLSRSKKMNHNGSALDTYPPPIPRQGPRKQPVDTRQFKSLPFLGQSVADQKVGTKKIASSKRPTSSNLQNLDTSVERLLREVTNEKFWHHPEEAELQRVPEHFESVEEYVKVFEPLLFEECRAQLYSTFEELQETTTRDAHIMIRVKNVERRERGWYDVIVLPAHECKWNFKEGDVAVLSYPRPGTARSSRRNSSAANEDVEHEVTGRVLGTVRRHIPIDTRDPPGAILHFYVGDLYDSSSKIDDEHVLRKLQPKTTWYLTILGSLATTQREYIALHAFRRLNLQMQTAILMPSPEHFPKYDEQPPAMPDCFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTNSGLTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQTTESNSEIVSTGSIDEVLQSMDQSLLRTLPKLCPKPRMLVCAPSNAATDELLARVLGRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKGREEVLRWLHELKVRECHFVQQISSLQRELSVAAAAGRSQGTVGVDPDVLTARDQSRDVLLQNLAAVVESRDKNLVEMSRLLVLESRFRPGSNFNLEDARASLEASFANEAEIVFTTVSSSGRKIFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQGGCPTLLLSVQYRMHPQIRDFPSRYFYQGRLTDSDSVVNLADEVYYRDQLLRPYIFYDIVHGRESHRGGSVSYQNVHEAQFSLRLYEHLQKFVKANGGKKVSVGIITPYKLQLKCLQREFEEVLNSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALVQSEDWAALIADAKSRKCFVDMEKIPKEFLVLKGPTSSPARDSLNNIRGSRTGGQRQRHLDVLSETKSGALSEDEDKTNSFLPRNGSCRNLKSNESPSEDLGHSSERSRDASQYGITKRQNSYGASRRVI >Ma11_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16554001:16555708:-1 gene:Ma11_g12660 transcript:Ma11_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHSAVNGHVAVLPYPGRGHINPMLRLARRLAARGFLVTVVLTEEWLSLLSDSLPQPLPAAVRLRTIPDVVPSERGRGADFASFIRSVLVRMGGPVAALLAELDPSPDAIIADSMLPWAPLIAHRMGVPVAAFFPQAANVFLAFQELKTLAAGLSQPSELCQTGTDTTRNGDGFLDRLPKAASGHLGDFITHSAGEGMLKAFMDGIAWFSSARCLLFNSFDQLERRAFDKLLRANLSVPFYPVGPFVPDAADDEEAATAPCCKWLDSQPKSSVLYVSMSSFLPVSGEEIKEIAVGLQMSGHHFLWAVRDATNTVRELIGEKGMVVPWCDQPRMLRHPSVGGFLTHCGWNSTLEAIDAGVPMLTFPLMWDQYPNSKLVVEDWKIGLRLGDEEKDGVGREEVARAVQRLMDLDAAESKELRRRAMELKERSHAALREKGSSTMNLDAFVDHLLEKAD >Ma09_p26370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37664116:37666390:-1 gene:Ma09_g26370 transcript:Ma09_t26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKREKEEPSRRGFGDLVNPNPDPNARFAAGEERCSTQMVVRSTCRTEEVEPGRFVRKCEKTEQTLKDCVGRPVEVVESKTEHTEDDVTDEVTRGLFPSNSPTMEPFNFPGLLSDIEGIEGRLFGGFGNFLEAADGMANEFFKSFGDPYWHKRESLPFRQWETTDGQLKKDPWKRRTSESDSSESAGQMTDV >Ma07_p27400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33796898:33800739:1 gene:Ma07_g27400 transcript:Ma07_t27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSFVYYLRPVAQAGAILLYVELHKGPEPLGKEIAEYVFFLQTKFCGEKLAMRIKGGLLAGREASALELYKLESGSPKSNWQLISDIKRRTNTTGLGEEDMLCIMSGPIKDADGSSVM >Ma07_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7583625:7589154:-1 gene:Ma07_g10150 transcript:Ma07_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGEDERTGLLVSAAGDEESPSKAVVAAPKDVFHVAYAVYFTLGAGFLLPWNAFITAVDYFSYLYPDAPVDRVFSVSYMLTCLLFLLVIVGWAHLSSAPLRINAGLALFVVSLLIVPVMDAAYVRGVRGLYASYDVTVGAVVLSGIADALVQGGVIGSAGELPERYMQAVVAGTAASGVLVSALRVITKAIYPQDDSGLRKSANLYFIVSIVVMAICIVCYNIADRLPVVQYYKDIKVQAMKEERNEKGPKSGSAWRSTLWHIVGRIKWSGFGISLIYIVTLSIFPGYITEDVHSDVLKDWYPIILIAGYNVFDLVGKSLTAVYLLENTNVAVACCVGRLLFYPLFLGCLHGPKFFRTEIPVTILTCLLGLTNGYLTSVLMILAPKSVPIQHSETAGIVIVLFLVIGLAAGSIVSWFWVV >Ma09_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5851293:5855419:1 gene:Ma09_g08800 transcript:Ma09_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAFSRFPASLLLSPIVVLLFLPHSICHPSLEIKKTSLPGAGIQDGLVLPRRFVAEVPPPGNLTVDNSSFILAAARTHRKDPLNGFKRYTGGWNISEKHYWASVGFTAAPLFAIALAWFLGFGLALLLICCCYFCCRRRTYSYSRTAYALSLILLMLFTCAAIVGCVVLYQGQGKFHSSTYKTLDYVVDQANFTVDNLRNFSETLAEAKKVKVDQVFLPTNVQGEIDALEAKVNSSANNLASQTSDNSRKISRVLDSVRLDLIIVAAVMLLLAFLGLLFSALGLQLLVSILVVIGWILVTGTFILCGVFLILHNVVADTCVAMSEWVDHPHAHTTLDDILPCVDAATANESLYRSREVTFQLVSIVNGVIVNISNRNFPPSVPLYYNQSGPPMPTLCNPYTPDLSNRTCISGEVDFNNASQVWKGYVCQTAIVSGSEVCTTIGRVTPSIYNQMMADVTVSRGLYYYVPFLTGLEDCSFVRETFTAIHKNNCPGLEQNSKLVYIGLVMVSAAVMLSLVFWVIYARERSRRKFNKRFYP >Ma10_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15107349:15108951:-1 gene:Ma10_g04440 transcript:Ma10_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSIPFASIGRSILSIRRDQVHAVDSQQHDSSSGDLGVEAFQKHVADLFLDLASASSSSTADELLSLAWVRKLLDSFLICQEEFRGILFGCGKGQEMMLSRRPLDRFLSDFFDRAVKALDVCNAVRDGVDQLRQWRQHLEIALAALGGGRPLGEGHLRRARKALTDLTILMLDEKEAAGGPALSHRNRSFGRAGKEPPHHRRGGSGGAAASLGNFRSLSWSVSRSWSAARQLQAIGNNLAAPRGNEVAATNGLAVPVYTMGSVLFFVMWALVAAIPCQDRGLQTHFSVPRTFLWAASITSLQERIFEESKKKDRKNSCGLLREIHQVEKCSRHLSELLDLVEFPLTEEKEMELRQAVEELAAVCNVLKEGLDPLERQVREVFLRIMRSRTEGLECLSRSHDPE >Ma04_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:589915:593056:1 gene:Ma04_g00630 transcript:Ma04_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTAKEQATATEDVPLRSGGGGKPLHRSPTEKPSFTLGQIKKAIPPRCFERSVLRSFSYVVHDLFFASLFLYFALAIIPTLPPSIVLAAWPLYWVVQGCVLTGVWVIAHECGHHAFSDYSLLDDVVGLVLHSALLVPYFSWKYSHRRHHSNTGSMERDEVFVPKPKSAMRWYSTYLNNPPGRILTLAVTLTLGWPLYLAFNVSGRAYPRFACHYDPYGPIYSDRERAQIFISDAGLMAAVYALYRIAASYGFWWVVRVYGVPLLIVNGWLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGILNNVFHNITDTHVAHHLFSTMPHYHAMEATRVIKPLLGEYYQYDGTPLLKAMWREARECLYVEPDEGSTKKGVFWYRNDI >Ma01_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19150569:19153335:-1 gene:Ma01_g20610 transcript:Ma01_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLAMASCSEKQKACVGWINKYFDDCVCNLSGEISFGLGMISLFCWGMAEIPQLITNFQTKSGHGVSLALLLTWVIGDVFNLVGCLLEPVTLPTQFYTALLYTSTTVVLVLQTLYYDYGLRWWKSICFDAPLEEEDNGQPLNPKVEDLSRPIPTTAVANASRRTDVYYTSARSLASSGTPSCGGTSYLGVRSGPSEGLAFHDSSSEDEGSPAHHHGAAARKKTTFSRSVSYGTFVAGFIGLPYQTKAFREMTIISSERRTLQDSEVKSLEGNFYGLLLGWIMAAIYMGGRLPQIYLNMKRGSVEGLNPLMFMFALAANATYVGSILVRSVEWEKVGPNAPWLLDAIVCILLDLLIMLQFAYYKFLRGRDACTEDEHEGFTHERRKILV >Ma03_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24810877:24812459:-1 gene:Ma03_g19490 transcript:Ma03_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKPRLTRLPPGFRFHPTDEELVVQYLKRKVFSCPLPASFIPDLDLARFDPWDLPGGGEEERYCFSLREAKSPSRSRSNRMARSGYWKASGRDKRITSPRCGQVVGMKKVLVFYGGKHPTGSKTDWVMHEYRLAGPESTACIFPQRKNSTHNCIIPSRDWVLCRIFKKKRATKMDVETEEGEEAPVTESGIGFIDFMGQRYRDQGHSASSLSDSSCITDTSDGSSNGEETSSGS >Ma11_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2392631:2393722:-1 gene:Ma11_g03230 transcript:Ma11_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCSPNPMGVQEEEEDLFFESQEDVLSVFDSCLGSPTKDDFLLEDQFVSQGSIGPLCDVWITSSDGARERRDRFMRWMGIDLMNGSFLSSSDPGGQTQVDDEIQTDTDGIMSSSGSVMRGFDIDNKYSISSGSTEDEHPSCNEALEE >Ma11_p03230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2392631:2393549:-1 gene:Ma11_g03230 transcript:Ma11_t03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEEDLFFESQEDVLSVFDSCLGSPTKDDFLLEDQFVSQGSIGPLCDVWITSSDGARERRDRFMRWMGIDLMNGSFLSSSDPGGQTQVDDEIQTDTDGIMSSSGSVMRGFDIDNKYSISSGSTEDEHPSCNEALEE >Ma10_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17344288:17345847:-1 gene:Ma10_g05920 transcript:Ma10_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQNGNCSSFADRWSLVGATALVTGGTKGIGFCIVEELAGFGAAVHTCSRNEAELNKCLQEWKKKNFKVTGTVCDVSSPADRERLIEEVKTVFDGKLNILVNNAGTGYPKPVTQCTPGDYKFMTTTNVESAFAMCQLAHPLLKASGRGSIVFNSSISGIMALEFLSIYGITKGALNQLARSLACEWAKDNIRVNSVAPGAVDTPLMKPAFENKEFVTRESHNVPLGRLGVPEDVAPVVAFLCLPAASYITGQVVVIDGGRTVNGYY >Ma02_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7765183:7773937:1 gene:Ma02_g01050 transcript:Ma02_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RBCX protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04330) UniProtKB/Swiss-Prot;Acc:Q94AU9] MKCCCLGISNLPPSFFSSSSSVLTGKCRFRFHYYYSPPNKRPVRFRGFHALRCHKMYVPGFGGASPESKAARNLQDFFTYAAVKIVLAQLESYNPEAYQELMEFINHNSLNDGDMFCRRLMRESPRHKSLALRILEVRSAYSKKDFEWDNLKKLAFKMVDESNTRLMREYVTETSHLENEQ >Ma11_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26976073:26979234:1 gene:Ma11_g23720 transcript:Ma11_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylformylglycinamidine cyclo-ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55010) UniProtKB/Swiss-Prot;Acc:Q05728] MSANLGTAAEPILRSMAGRKMSSPVTNRASPMGRPLRLPPVARSRLIERLRISCSGVGSGSRGLTYKDAGVDIDAGSELVRRIAKLAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSNLDVDLAEKVIKGIVDGCQQSDCVLLGGETAEMPDFYAKGEYDLSGFAVGIVKRDEVINGKDIEAGDVLIGLPSSGVHSNGFSLVRRVLAQSGLSLNDQLPNNNGKPIRLGKALMAPTIIYVKQVLDIISKGGVKGIAHITGGGFTDNIPRVFPSGLGAKIFTDSWEIPAVFKWLKEAGGVEDAEMRRTFNMGIGMVLVVRSDATARILSECQGSSGAYRIGEVIVGEGVEYV >Ma02_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23675015:23679448:1 gene:Ma02_g16210 transcript:Ma02_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGDGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEERRERRREEWEIDPSKLLIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEEGNRTEAEVAALRTAFSQEVSVWHQLDHPNVTKVKIVISSMLPSERLGLQGVIWLEIFSAFIFLNMTENMLLDRTGTVKIADFGVARIEAQNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEITSAVVRQNLRPEIPRCCPSSLANVMKTCWDANPDRRPEMDEVVAMLEAIDTSKGGGMIPPDQQQECCGCFGRYRGP >Ma05_p00750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:466695:469212:-1 gene:Ma05_g00750 transcript:Ma05_t00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKEKGKSSEVNRKVIQGKGFASNDHWAFLEEIEAPMWADLTMEARSMGKDMIHQMSSRQLKKLFQSIGKDEDSSHTSQCHSPKVPESVSRSRGKHYKCRKWLGNAHGSLAARQHPVRELGGKSLDAVTNKATSRNSSMSTVTMSSSSRKPLKGSADDNQNSVVESIAAAERSSSSSVVSKCQKLRPKSSFGGPRNTKIGTSNVAGKTSSSRNTRYSTREITKSENLLQNRNSSAGKSSVGSSYSHGNILKNVNTTTMPKNGRTKKVLGTAVKVQEMQIKARTQCLQHRNRASGAKFVSQETKSKVLNPTSTRKTLSQVNGPRPQKKGLATKPRPIAGAVKKDLISVWNKENTIDGKIQRPRYGK >Ma05_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:466695:469224:-1 gene:Ma05_g00750 transcript:Ma05_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKEKGKSSEVNRKVIQGKGFASNDHWAFLEEIEAPMWADLTMEARSMGKDIDDAWFRVSHPIHQMSSRQLKKLFQSIGKDEDSSHTSQCHSPKVPESVSRSRGKHYKCRKWLGNAHGSLAARQHPVRELGGKSLDAVTNKATSRNSSMSTVTMSSSSRKPLKGSADDNQNSVVESIAAAERSSSSSVVSKCQKLRPKSSFGGPRNTKIGTSNVAGKTSSSRNTRYSTREITKSENLLQNRNSSAGKSSVGSSYSHGNILKNVNTTTMPKNGRTKKVLGTAVKVQEMQIKARTQCLQHRNRASGAKFVSQETKSKVLNPTSTRKTLSQVNGPRPQKKGLATKPRPIAGAVKKDLISVWNKENTIDGKIQRPRYGK >Ma09_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3330198:3330606:-1 gene:Ma09_g05170 transcript:Ma09_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKLFVDEHYSVKTDLDAMEANFWERCISTDNLYIVYYRNVICNNLQDKANVRKQESICWSMHWSSFSKFESSSVYSLCNKSSFSNQFVVSCETDQKSH >Ma02_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12750186:12752925:1 gene:Ma02_g01930 transcript:Ma02_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDCYPLPRIDQLVDATAGHARLSFMGAFSGYNQIRMAPQDQEHTTFVIDLGVYFYKVMPFGLKNAGATYQRAVNKMFAPQIGRNVKVYVDDMIVKSRMAVDHLIDLAETFSTLRRHGLCLNPAKCVFGISSGKFLIFIVHERGIDVNLEKVRAVINMQAPRTIKDLQRLNGRLAALSRFLSWSGDRCLPFFRALKNPKDFRWMVKCEEAFGQVKQHLANLPRLASVAFEEKLSIYLAASQHMVSSVLTKEASGEQLSVYYISHVLNGPEEQYPPIEKLALALVLASQKLLPYFQAHPIEVITDQPLRQVLSKFDVARRLLKWSVELGEFNIRYVPKTAVKAQSVADFIAELVQNENESSEQLEEAWFLHVDGSATSSSAGAGLVLSTLDGRSFERSFRFGFRATNNEAEYEALLVGLKLALEMQVDALHRLAARGRATRVPRSQNERADELAKLASRPDHRNHSEVKELPFRAISVSAITPTDVRATWVQEMLLFKRDGILPDNEAAARRVCRTQAWYSEVNGRLYKRSFSQPLLRCLEPEEALKVLAEVHEGICGEHIAGRTLAYKILRQGYYWPTMSRDARSYIQLCGPCQWHARIPQQPTVPLTPIDCAWSFEQWGLDLLGPFPPASGQRRYIVVGVDYFTKWAEVEPLATIIERQVEKFIWKNIMTRFGLPEANIMDNGSQFTSARFREFCPNYGIQLKFSSVAHPQTNGLAELTNRSILDGLRRRVSVAQSAWVDELPSILWSLQTTPKTATGESPYSLSFGTEAVLPPEMVFPTSRTATYDEGVSAQGLRADLDLLEERRADAHLKDLSYKRAVARIHNHRVHPRPIKLGDLVLRKAEVSDPTRMHGKLAPSWGGPYRVTDVVGVGAYRLATM >Ma02_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27696225:27699069:1 gene:Ma02_g22330 transcript:Ma02_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSCSVQQGLTPEAASVVRQAVFLARRRGHTQVTPLHVANTMLSSPTGLLRAACLRSHSHPLRCKALELCFNVALNRLPASAPYHARHHLPSLSNALVAAFKRAQANHRRGCVEAQQPALLAVKIELEQLVVSILDDPSVSRVMREAGFCSTQVKSNVEQSICTETCVATPPQASTTKPAAPAVKDDDIASVVEALVSMGRRSLVVVGECSDTIDAVVRGVIDRVDRGEVPVALRNSEFITLPLFSFRHMSREEVDQKVAELRCLLRRCCVGRVVVLYLGNLTWISQYRVSSGEKGRGPFCPVEHVVMEISSLVCEGVEVESSSRRLWLMGTATYQTYMRCKIGQPSLETLWGLQPLTIPSDSLGLSLKCESSHRAVAPTLPSWLQQYKEENRRCSDDQSCCILEDPCRGWNSVCSSALKSQHRPSDLTFNFSSASPCDSSSSSISSYDQRPPSLHQNQQHWLLQLEVTDPCKGYQLRSSESTEQEGFEHDSRSSIPYVNLDSNPNSSASSSTMEMEYIPKFKELNAENLKILCSALEKKVPWQQEIIPEIASSILQCRAGMTKRKEKSRSNYENKEETWLFFRGDDSEAKKRVAKELASLIFCSKTNFVSIGLSSISSPRSNSKKRLREGASHGHLERLYEAINENPHRVIFIEDTEQADYRTQAGMKTAIERGRVCGYGGEEVGVSDAIIILSCKSFNPPAKYKASKSDDEKEAKLLLPLDLNHCASDDDGGVDDVGLPEMVDRTFCFELPEDL >Ma02_p22330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27696225:27699069:1 gene:Ma02_g22330 transcript:Ma02_t22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSCSVQQGLTPEAASVVRQAVFLARRRGHTQALELCFNVALNRLPASAPYHARHHLPSLSNALVAAFKRAQANHRRGCVEAQQPALLAVKIELEQLVVSILDDPSVSRVMREAGFCSTQVKSNVEQSICTETCVATPPQASTTKPAAPAVKDDDIASVVEALVSMGRRSLVVVGECSDTIDAVVRGVIDRVDRGEVPVALRNSEFITLPLFSFRHMSREEVDQKVAELRCLLRRCCVGRVVVLYLGNLTWISQYRVSSGEKGRGPFCPVEHVVMEISSLVCEGVEVESSSRRLWLMGTATYQTYMRCKIGQPSLETLWGLQPLTIPSDSLGLSLKCESSHRAVAPTLPSWLQQYKEENRRCSDDQSCCILEDPCRGWNSVCSSALKSQHRPSDLTFNFSSASPCDSSSSSISSYDQRPPSLHQNQQHWLLQLEVTDPCKGYQLRSSESTEQEGFEHDSRSSIPYVNLDSNPNSSASSSTMEMEYIPKFKELNAENLKILCSALEKKVPWQQEIIPEIASSILQCRAGMTKRKEKSRSNYENKEETWLFFRGDDSEAKKRVAKELASLIFCSKTNFVSIGLSSISSPRSNSKKRLREGASHGHLERLYEAINENPHRVIFIEDTEQADYRTQAGMKTAIERGRVCGYGGEEVGVSDAIIILSCKSFNPPAKYKASKSDDEKEAKLLLPLDLNHCASDDDGGVDDVGLPEMVDRTFCFELPEDL >Ma02_p22330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27696225:27699069:1 gene:Ma02_g22330 transcript:Ma02_t22330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSCSVQQGLTPEAASVVRQAVFLARRRGHTQVTPLHVANTMLSSPTGLLRAACLRSHSHPLRCKALELCFNVALNRLPASAPYHARHHLPSLSNALVAAFKRAQANHRRGCVEAQQPALLAVKIELEQLVVSILDDPSVSRVMREAGFCSTQVKSNVEQSICTETCVATPPQASTTKPAAPAVKDDDIASVVEALVSMGRRSLVVVGECSDTIDAVVRGVIDRVDRGEVPVALRNSEFITLPLFSFRHMSREEVDQKVAELRCLLRRCCVGRVVVLYLGNLTWISQYRVSSGEKGRGPFCPVEHVVMEISSLVCEGVEVESSSRRLWLMGTATYQTYMRCKIGQPSLETLWGLQPLTIPSDSLGLSLKCESHRAVAPTLPSWLQQYKEENRRCSDDQSCCILEDPCRGWNSVCSSALKSQHRPSDLTFNFSSASPCDSSSSSISSYDQRPPSLHQNQQHWLLQLEVTDPCKGYQLRSSESTEQEGFEHDSRSSIPYVNLDSNPNSSASSSTMEMEYIPKFKELNAENLKILCSALEKKVPWQQEIIPEIASSILQCRAGMTKRKEKSRSNYENKEETWLFFRGDDSEAKKRVAKELASLIFCSKTNFVSIGLSSISSPRSNSKKRLREGASHGHLERLYEAINENPHRVIFIEDTEQADYRTQAGMKTAIERGRVCGYGGEEVGVSDAIIILSCKSFNPPAKYKASKSDDEKEAKLLLPLDLNHCASDDDGGVDDVGLPEMVDRTFCFELPEDL >Ma07_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11413033:11418305:1 gene:Ma07_g15170 transcript:Ma07_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCPSIKNVLLLDSEGKRVAVKYYTDDWPTLAAKLAYEKSIFTKTLKTNARTEAEIAMLDGYIVVYKFIQDLHFFVTGGDDENELIIATVLQGFFDAVGLLLRNNVDKRSALENLDLILLCLDEIVDGGIVLETEASVIATKVAANSLDGATSLSEQTISQALATAREHLARSLLK >Ma11_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25738080:25738797:1 gene:Ma11_g21690 transcript:Ma11_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRRVVSSALLLLLGATVCFASRALLVAPQELPYGVGHGIGDVIPGGGWHFFPGYGAGGEFGGIGEYGGGYGGGSGSGVGYGAGGDHGGGYGTGAGTGSGGGYGAGGEHGGGYGGGGGSGTGVGYGAGGENGGGYGIGSGKGGGAGYGAGGEHGGGYGGGGGSGAGVGSGAGGEHGGGYGTGSGAGAGGGYGAGGDHGGGYGGGGGSGGGVGYGAGGDHGAGYGTGSGAGGGVGYGA >Ma06_p35870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35586339:35587235:1 gene:Ma06_g35870 transcript:Ma06_t35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGAYAEGPDAGDARGGGGGTSIDITALDGIMNVNSLFTFAVFVGLAWNPSSYPDGGLPDVDCAVGNRVVEDLVSFHVLAFASFLFSSLIALCLKQAVRLVRPHRRAAARINKALLRGGIVASAVGSVLGCGFLTLALVNVVQVKLGRLGCSGIAVGAIVPLVTLIPTAMIIYIAIIFYAFTRRSHHGLR >Ma03_p26580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30250677:30253534:1 gene:Ma03_g26580 transcript:Ma03_t26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVVGHGGVLEEPRLERQMGCMAGFLHLFDRHQILAGRRRYSTRSLPTSSAAGSRSPSESSVASSASLFMESHPPSRPLEPRPSSPERSSVSETPARRSLPLPLPIFEVADGARTTWRIRDSPRLSLDSRAVVDARGKLRPREIRTAVPVTPGGQSDASDAGEEQRRSPSVVVRLMGLNSLPSSGSAGGAELDGAELRRSASESRVRRDPSSYGFADAGSFHKPSPQAEATPISAEEFFKTVNPARFRLNDAKKAKPAARSTLLQPLRRKIFFDAEDFFPEPKRSAALYCEIEKRLRMRGIDEPAKDLETLKQILEAVQLKGLLHSNLSDHHVIDRRNLTYDHEGRIHRDSPIVVMKPTSRSPRRPSSEPPPPRSGAACKSAGPVRRERAAVERTIKEGKQRKYRAPISPESPSSAVHRRPLNAAAQKSAQPQRRVSTVGSPKSSPKRVGSDPLAVRSPRSRRPTSASPAEEKVHAPAEDDSSTSLSESSISASSQLDLERSRAEDCRSGASLLERCDKLLHSIAAFTAADQQPSPVSVLDSSSYLGDDGSPSPSPLAKRSIYFKDQLAADEWEELEWSPPASTNHGAADGGPDAVDHDYAYVHDVVRACRRYGDVSDDVYAVLEKGCRRRRAGDATKAARLHRRLLFDTVAEILDRKRRVSPWEAFSSPPAGGGGEGEGEEEALLRQVWAEVRRIREQVAEDDQDAVACCAVRKDMAGGLAADGWARHAAEMSDAIRQIERQIFKDLVADTIHDLAAGVPESRPLLPRRKLVF >Ma03_p26580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30250677:30253897:1 gene:Ma03_g26580 transcript:Ma03_t26580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVVGHGGVLEEPRLERQMGCMAGFLHLFDRHQILAGRRRYSTRSLPTSSAAGSRSPSESSVASSASLFMESHPPSRPLEPRPSSPERSSVSETPARRSLPLPLPIFEVADGARTTWRIRDSPRLSLDSRAVVDARGKLRPREIRTAVPVTPGGQSDASDAGEEQRRSPSVVVRLMGLNSLPSSGSAGGAELDGAELRRSASESRVRRDPSSYGFADAGSFHKPSPQAEATPISAEEFFKTVNPARFRLNDAKKAKPAARSTLLQPLRRKIFFDAEDFFPEPKRSAALYCEIEKRLRMRGIDEPAKDLETLKQILEAVQLKGLLHSNLSDHHVIDRRNLTYDHEGRIHRDSPIVVMKPTSRSPRRPSSEPPPPRSGAACKSAGPVRRERAAVERTIKEGKQRKYRAPISPESPSSAVHRRPLNAAAQKSAQPQRRVSTVGSPKSSPKRVGSDPLAVRSPRSRRPTSASPAEEKVHAPAEDDSSTSLSESSISASSQLDLEVQQPFPSPPTQSRNISWSFEFVVTRTVDDHLTQRSRAEDCRSGASLLERCDKLLHSIAAFTAADQQPSPVSVLDSSSYLGDDGSPSPSPLAKRSIYFKDQLAADEWEELEWSPPASTNHGAADGGPDAVDHDYAYVHDVVRACRRYGDVSDDVYAVLEKGCRRRRAGDATKAARLHRRLLFDTVAEILDRKRRVSPWEAFSSPPAGGGGEGEGEEEALLRQVWAEVRRIREQVAEDDQDAVACCAVRKDMAGGLAADGWARHAAEMSDAIRQIERQIFKDLVADTIHDLAAGVPESRPLLPRRKLVF >Ma11_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3870839:3872067:-1 gene:Ma11_g05020 transcript:Ma11_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENPGHGETLKSRPQYPPFPVGTIDDGSSFTSTPFVSAPSSPGREGGAATAAAGSYFFSCPASPVHYVLSSPPYSFSPAAASVAIDEASGSGSFEFDGAARGGTMISADELFLNGQIRPMKPSSHHLRPQSLAPLMDLDDDDCDDVEEAKEMPALGAPEEGGRGRRLRIRGRFIHRRTRSMSPLRSPRFRCQRNEEEQQEEAKELEFNPDPKEAAMAPDSASSSGNRNSKPWIFLKDLLLYRSKSEGSGRGNGREKEKFWHSISFSPSSKSKPPLPPPAASSSVLPPAPEQNKTKQSKRPANGSGRRRPTAHERHYTANRAQAEEMRRRTFLPYRQGLLGCLGFSSRSYGVFNGLAKALNPVTSR >Ma10_p07450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21840156:21843776:1 gene:Ma10_g07450 transcript:Ma10_t07450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKGEEEEDEGRRGGNERGCGGDAGGHQFLDRSKVRILLCDNDPKSSQEVLQLLYKCSYQVTSVKSAKRVIDVLNAQGSEIDIILAEVELPMAKGLKMMKYIARNKELRHIPIIMMSAQDEVSIVVKCLQLGAADYLVKPLRMNELLNLWTHMWRRRRMLGLTEKDVFSHDLEISLSDPSDVNTSSTILISDNTDDQLDVVANPELNMSNHPECESNVSPVGPACNNDLDDAQYIPRNNDRAVIASGIVSLPKKIEFKVGRSSAFLTYVNSNAPSKIPHLAIDTNSAPSKSLNYEGSPLAGGNMEGYNNKLVQENYTSGNVSVTRENICNTKDFETLPECPVPYPSSSTEQNEGTDVSGVPPVFSFPFYYPGVMNQNIMSSPGQTFQGSLNDVQAHPAPAMVPQYAVVPYMPLMPSFPCQPLGTNPQSSHMAAPSMWSSMTSSSTPEVKSGRTERRAAALVKFRQKRKERCFDKKIRYAKRKRLAEKRPRVRGQFVKQVDNVDLSHDISSGDGDSEDDEADEPTSRELELISSPEQNASY >Ma10_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21840156:21843776:1 gene:Ma10_g07450 transcript:Ma10_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEVADSQFDSSTLTVTSVKSAKRVIDVLNAQGSEIDIILAEVELPMAKGLKMMKYIARNKELRHIPIIMMSAQDEVSIVVKCLQLGAADYLVKPLRMNELLNLWTHMWRRRRMLGLTEKDVFSHDLEISLSDPSDVNTSSTILISDNTDDQLDVVANPELNMSNHPECESNVSPVGPACNNDLDDAQYIPRNNDRAVIASGIVSLPKKIEFKVGRSSAFLTYVNSNAPSKIPHLAIDTNSAPSKSLNYEGSPLAGGNMEGYNNKLVQENYTSGNVSVTRENICNTKDFETLPECPVPYPSSSTEQNEGTDVSGVPPVFSFPFYYPGVMNQNIMSSPGQTFQGSLNDVQAHPAPAMVPQYAVVPYMPLMPSFPCQPLGTNPQSSHMAAPSMWSSMTSSSTPEVKSGRTERRAAALVKFRQKRKERCFDKKIRYAKRKRLAEKRPRVRGQFVKQVDNVDLSHDISSGDGDSEDDEADEPTSRELELISSPEQNASY >Ma10_p31170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37359130:37368394:1 gene:Ma10_g31170 transcript:Ma10_t31170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSVEVVSSKGCSRLFVASSTFLPSASSLRFMSMMSPASSSLSEPTVPLVNGPFAGLVICVTGLSKEARKEVMAATERLGGQYSASLHPQCTHLVVQSFNGRKFEHAMQYGSKNGLFIVTLGWFVDSVKRNVRLSESLYSVKTIGGNGLPLGELNRLVAIPGSAKSCLPSPALGDEKSSGKAWQPPVQPPKKEDTNGGSVFSNKFIFVDPEISDELKKKVIEAARIEGATFLNDWFIGCRASHIVCEGPSIQRYMGHANNLVTPLWVLKTAKEKCIQRLVHLSSDLAKQASVMLENAQTAGEVGYGGSVHPVSKNSRHSLAEGRSNESLEERQKAVELAKQGVRSRRCRRIQSCQVPIHPITPSNLLESICWTVSEPTSSARIYVESSSTEDTSEQHSFDYFNARGDGKDSEALLENFSRPLRESEKMEIILKSHFLTILFPVDRFGELGPLSRTFYGDGGFTSVQILDHIYNFYQENMSAEEINLAMHTDSRHADRLRSLYTSKESVEQGALTFKRIDFLGSRRSFETLKRVSGENNGNVYEILVRA >Ma10_p31170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37359130:37368394:1 gene:Ma10_g31170 transcript:Ma10_t31170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSVEVVSSKGCSRLFVASSTFLPSASSLRFMSMMSPASSSLSEPTVPLVNGPFAGLVICVTGLSKEARKEVMAATERLGGQYSASLHPQCTHLVVQSFNGRKFEHAMQYGSKNGLFIVTLGWFVDSVKRNVRLSESLYSVKTIGGNGLPLGELNRLVAIPGSAKSCLPSPALGDEKSSGKAWQPPVQPPKKEDTNGGSVFSNKFIFVDPEISDELKKKVIEAARIEGATFLNDWFIGCRASHIVCEGPSIQRYMGHANNLVTPLWVLKTAKEKCIQRLVHLSSDLAKQASVMLENAQTAGEVGYGGSVHPVSKNSRHSLAEGRSNESLEERQKAVELAKQGVRSRRCRRIQSCQVPIHPITPSNLLESICWTVSEPTSSARIYVESSSTEDTSEQHSFDYFNARGDGKDSEALLENFSRPLRESEKMEIILKSHFLTILFPVDRFGELGPLSRTFYGDGGFTSVQILDHIYNFYQENMSAEEINLAMHTDSRHADRLRSLYTSKESVEQGALTFKRIDFLGSRRSFETLKRVSGENNGNVYEILVRA >Ma10_p31170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37359130:37368394:1 gene:Ma10_g31170 transcript:Ma10_t31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSVEVVSSKGCSRLFVASSTFLPSASSLRFMSMMSPASSSLSEPTVPLVNGPFAGLVICVTGLSKEARKEVMAATERLGGQYSASLHPQCTHLVVQSFNGRKFEHAMQYGSKNGLFIVTLGWFVDSVKRNVRLSESLYSVKTIGGNGLPLGELNRLVAIPGSAKSCLPSPALGDEKSSGKAWQPPVQPPKKEDTNGGSVFSNKFIFVDPEISDELKKKVIEAARIEGATFLNDWFIGCRASHIVCEGPSIQRYMGHANNLVTPLWVLKTAKEKCIQRLVHLSSDLAKQASVMLENAQTAGEVGYGGSVHPVSKNSRHSLAEGRSNESLEERQKAVELAKQGVRSRRCRRIQSCQVPIHPITPSNLLESICWTVSEPTSSARIYVESSSTEDTSEQHSFDYFNARGDGKDSEALLENFSRPLRESEKMEIILKSHFLTILFPVDRFGELGPLSRTFYGDGGFTSVQILDHIYNFYQENMSAEEINLAMHTDSRHADRLRSLYTSKESVEQGALTFKRIDFLGSRRSFETLKRVSGENNGNVYEILVRA >Ma10_p31170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37359130:37368394:1 gene:Ma10_g31170 transcript:Ma10_t31170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATERLGGQYSASLHPQCTHLVVQSFNGRKFEHAMQYGSKNGLFIVTLGWFVDSVKRNVRLSESLYSVKTIGGNGLPLGELNRLVAIPGSAKSCLPSPALGDEKSSGKAWQPPVQPPKKEDTNGGSVFSNKFIFVDPEISDELKKKVIEAARIEGATFLNDWFIGCRASHIVCEGPSIQRYMGHANNLVTPLWVLKTAKEKCIQRLVHLSSDLAKQASVMLENAQTAGEVGYGGSVHPVSKNSRHSLAEGRSNESLEERQKAVELAKQGVRSRRCRRIQSCQVPIHPITPSNLLESICWTVSEPTSSARIYVESSSTEDTSEQHSFDYFNARGDGKDSEALLENFSRPLRESEKMEIILKSHFLTILFPVDRFGELGPLSRTFYGDGGFTSVQILDHIYNFYQENMSAEEINLAMHTDSRHADRLRSLYTSKESVEQGALTFKRIDFLGSRRSFETLKRVSGENNGNVYEILVRA >Ma10_p31170.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37359130:37368394:1 gene:Ma10_g31170 transcript:Ma10_t31170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSVEVVSSKGCSRLFVASSTFLPSASSLRFMSMMSPASSSLSEPTVPLVNGPFAGLVICVTGLSKEARKEVMAATERLGGQYSASLHPQCTHLVVQSFNGRKFEHAMQYGSKNGLFIVTLGWFVDSVKRNVRLSESLYSVKTIGGNGLPLGELNRLVAIPGSAKSCLPSPALGDEKSSGKAWQPPVQPPKKEDTNGGSVFSNKFIFVDPEISDELKKKVIEAARIEGATFLNDWFIGCRASHIVCEGPSIQRYMGHANNLVTPLWVLKTAKEKCIQRLVHLSSDLAKQASVMLENAQTAGEVGYGGSVHPVSKNSRHSLAEGRSNESLEERQKAVELAKQGVRSRRCRRIQSCQVPIHPITPSNLLESICWTVSEPTSSARIYVESSSTEDTSEQHSFDYFNARGDGKDSEALLENFSRPLRESEKMEIILKSHFLTILFPVDRFGELGPLSRTFYGDGGFTSVQILDHIYNFYQENMSAEEINLAMHTDSRHADRLRSLYTSKESVEQGALTFKRIDFLGSRRSFETLKRVSGENNGNVYEILVRA >Ma02_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16625629:16626416:1 gene:Ma02_g05280 transcript:Ma02_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVYLHLSPRGMTGGGGRRGPAPPKGWMAIRVGGAGEEQQRFVVPVGYLNHPLFVALLRAAEEEYGFHHTGAITIPCHVEQFRHVQGIIDRDTSSTTAGAGGHRHLHLCFRA >Ma06_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7835688:7836225:-1 gene:Ma06_g11160 transcript:Ma06_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVPLCANRAPSSHFSGGGASSLGAFNFPIVAPIEMHAIVLQCELTLTQLTNEGAKVSITTKMH >Ma08_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3139307:3151062:1 gene:Ma08_g04520 transcript:Ma08_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVKNDSSGTDDDLPNPGIIRASKGLACSGIMAIASGPMSSDKDLRDMEFQVHCIEIEAYSAVLRAFIAQSNDLSWGKEELISELRKELRVSDVEHREILGKVHSDDSIKFLRESLKNAEAQLAAMNPPPLGPNSMGHVPCKKLKQGHMTVSASPQYLTYAQPSSTAVQLPAKHARDDQLGRKGTLFSPQSNGQALGPVNPNVQPNKVKARGSLIVQASKKGFVHSGVDILKPGSDIIEILATDKLIHEVERVCGGENPEPALVQRAKLILKDHERALLEAIGKLADVSDGDDSPDRIQYMIQEPQSRAHVFPNNRSRSHCK >Ma06_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8434879:8439132:-1 gene:Ma06_g12140 transcript:Ma06_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAFLRVVARNFDVLAGPLVTLVYPLYASVKAIESKSPVDDQQWLTFWVLYSLLTLFELTFAKVIEWLPFWPFAKLIFNCWLVLPYFNGAAYVYEHFVRPLVLNQRTVNIWYVPQKKGIFSKPDDILSAAEKFIEENGPEAFEKLINKARGASKSKKSNKHVTFDMGEAERESKSWKSYVRFSKLDAKKISKSSKSNKHVTSDEMEVEKESRTGANKMDAEKESNPSKSDRHVTFDETDVERESRSWMNGSFMIFDDDGRYWN >Ma02_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29508129:29511091:-1 gene:Ma02_g25170 transcript:Ma02_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSHLDHFHQEELHGFASLATVPAFHDLACSREWNQNQLLNLGDFISNANGTLNHEISSHSSPMIQDMGCHWACNDQEGFVNQLPAYQLNLAKAKEELTDNSFRKLNGFFKDHSDQDHQPHEKLFMRALASDCQMDGLRPLPGNLPENSSFDSYEGGHVALPTANFSQSCPLRPGMMDDVHALDLSASAGLGGGVSFCQPSLAGMVLLGGEDASSGLDHLQESIQGPFYLHHKMPSLVSGGTEARRCNSSWEHKSCQAAPGNPRFQQQRSSCSPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLLDQVEKLSVPYMRSSGSKKRSRTTQEASNEEAKRDLRSRGLCLVPLACTSYMTTTEQGVWSAATYGGSD >Ma05_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:475257:477963:-1 gene:Ma05_g00770 transcript:Ma05_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRNPSSHQDGRAYGPPSQSLIANSSMEALVEASIREPDGSELKRARGDEPISFCPSSDAFKSDSFELGCTVDCKMEKVSLIGCSDHLLSDPSAPVADGEGGRKEFGDGVTNGDSEREELSSEESDSSSSTSSEEEEEEDGNSDGDGGQGPEEVLAGSVDEEQVVRGPIKSKNELEVLPSVPRVEITLKPHHHTIPVGMISSVLGNRVIVEGSVNHNPLNEGSILWITETRTLLGIIDEIFGPVKKPYYVVRYNSENDVPTGITDGTAVSFVIEFAKYVLDKNICNKGYDASGENDEEITNEVEFSDDEKEVEYRRSMRQTKRGPDDRKHVKLKNDTRKKKTNFKGARVQKGMVSPIPDDLEAPKQPIPGVRSPFLAPSRACKSDFGKLSYLQSAYTCENASPMLPSIAQADTFVVTSPSHQLTQQPNPSLGHGVSYLQQPNAFLACGMPMPFQQQQNAFWPLATPTQQQMNAIVTHGMSLQQQQVAAMAGFQMNCLPSQQLGTGAYLRQHQIQGFSDNSNRMPSQQQIFPMLGIPWIGGSLNFSSGLMPPVPVPQAGQASLVHTEQGVSDQLFPATDQGGMLFNPGSSSIHGRMPLQRGGRHSFRQSMRR >Ma11_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26717049:26721410:1 gene:Ma11_g23340 transcript:Ma11_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTVKKLKDQLFVARAYYPSLAKLNGQQKLSHDMKQNIQEHEHMLSEAIVDADLPTFVEKKIQKMEQTIARTKACTVDCKNVDKKLRQILDLTEDEANFHLKQSAFLYQLGVQTMPKSLHCLSMRLTVEFFKSLSTDSKNSHPNKLDSPNLMHFVIFSKNILAAAVTINSTVVNSQVSQNMIFHVVTDAQNYYGMKLWFVRNSYKEATIVVINFEELNLEHLHNDGLTKLSLPVEFRAYIHKMDQPTTQMSTEYITVFGHSHYLLPEIFKNLKRVVVLDDDVVVQRDLSSLWNLHLQGKVNGAIEFCRLRFGQLKMLLGRNSYDADSCAWMSGLNIIDLEKWREHNVTGTYLRLLESFGTKNETSLRAAAFPAGLLALKNLIYPLGERWSLLGLGHNYSVNVEDMKTATSLHYNGQMKPWLDLGIPEYKKYWKIYLTQDEKFMDECNVNP >Ma05_p31710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41526315:41530263:-1 gene:Ma05_g31710 transcript:Ma05_t31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLYSFPRPRISLRYIWPWSWAVTAPKKMVAMAKKEAELVNGGEPQGKFESANRPPFKIGDVRAAIPSHCWVKDKWRSMSYVLRDVVVIAALALAAGHLNSWIFWHVYWLAQGTMFWAIFVLGHDCGHGSFSDSVRLNNVVGHLLHSAILVPYHGWRISHRTHHQNHGNVDKDESWHPLTEKTYRGMASSSRTLRFRLPFPLFAFPAYLWWRSPGKEGSHFLPNSKLFHPEEKQDVMVSTICWSAMVASLLILSWAYGPVAVLKFYGVPHLVFIMWLDLVTYLHHHGHRERLPWYRGKEWNYLRGGLTTMDRDYGWINNIHHDIGTHVVHHLFPQIPHYNLVEATKAAKPVLGKYYREPEKSGPLPLHLFGALLRSLRVDHFVSDEGDVVYYQTDPQLSAGWQQKSH >Ma05_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7268058:7269032:1 gene:Ma05_g10100 transcript:Ma05_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGAVKLLGHKMSLFVTRVEIALNLKKVGYEFVQVEVHGQKSEILVKSNPVYKKIPVLIHQGKPICESAIIVEYIDEMWTSEPPILPSHHFDRAVARFWTTYIDDKLLSLLKALAFGAAEGSSKAETVDRVAEVLQLLEEAFAECSHGKDYFGGDTIGYVDIALGSCLRWMKTVEEIKNVRLLDEKKVPLLVDWAERFLQDKAVKEVTPEIDEFRQYAKMILASAR >Ma05_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4447523:4449095:-1 gene:Ma05_g05890 transcript:Ma05_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKLRIIVATAIIFLLSLLLILWLVLRRSHAFFLTGSLDAVFIFAILVWSAVRHALLGPPIRCIEVAVAALALFVLLTVVVLSCLRRCSATFYVTASLDAAVAVAFISWVVARRVKALRRKRGEPEQVESEEEEAPLAYTFYREVIGLPRRFRYEELKAATEDFRTPIGRGGSGSVFKGFLGDDLPIAVKRIEGEVRGEKEFRTEITAILSVQHINLVRIIGYCLLAKSYRFLVYEFYENGSLDGWIFPRSGRERCLAWALRYQVAMDVAKALAYLHHDCRVRILHLDVKPENILLDQSFRAHVSDFGISRLVQGDESKVITTLRGTRGYLAPEWFLGIGISDKSDVYSYGMVLLELVGGRRNARASDHGNFSEPRWSYFPKNASEKMREGKLMEAVDERMLGEGGGVKEEELIKLVSIALWCIQDKPELRPSMALVVNMLEGHVAVSMPPETKMFMVDLTSPDDLEAPSDPGVAQSTTSGEDTEHIMTVSTR >Ma02_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21608508:21610184:1 gene:Ma02_g13050 transcript:Ma02_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGITTLSSLPMAKKTTTFLLLNLFMAALSTLTTAAVSPAVFVFGDSTVDVGNNNFLPSEAPKVNFRPWGIDFPGRTPTGRFSNGFIYSDYIGMHSVLISRLRGVNFASGGAGILYSSDADVIAMATQIEDFEQVAANLTERLGKKSAAVFLDKSLFYLSVGSNDVFTQYSLLNPGNSTQKDEAVVPVISKFKHQLERLYDLGARKFAVLGTGLLGCIPIFRAAVPSYGCYEDLNDFSLRFKTATKAILEELSMSLKGFQYSFGDSYEMVTKIFSHPQEFGFTELKAACCGGGRLNAESDCLRNSTYCSNRDQYAFWDLSHPSQALSKTIAQLSLYGPPLFANPVNFHHLVKS >Ma09_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4358953:4362205:1 gene:Ma09_g06830 transcript:Ma09_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASKLGNLLKQAVTSNPSLYQAIRCMSSSKVFVGGLSYGTDDQSLRESFTGFGEVVEARVIVDRDTGRSRGFGFVTFTSGEEASAAISGMDGKDLHGRIVRVNYATDRTGGFRGGGYGGGGSGYGGSGGGYSGGGGYGGNTGGYGSVRGSFGGYGGGSGGENYPTAGGTGGSGSYVSGASGNNFGSSGGFYSDNATTQSNSNFSGDGSYGNNNQDDLLEDNFKDADDEPEDYAKRG >Ma08_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32723926:32724132:-1 gene:Ma08_g18960 transcript:Ma08_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGAAQFMFPLKDHSGSHIEWRAVEGYKFPPSVFMCAVLQQLESANLQWSDFDNKLENATQRHKHEV >Ma07_p27810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34040913:34043043:1 gene:Ma07_g27810 transcript:Ma07_t27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCMKQYDKDYMEMKTIMLKQEGTFRHQVHELHRLYQIQKLLMRNTKPAAMKMRRHMKPETELWNVENETASHRNSHRRQPLCSLDLEAPAEYIRGHNGDEESELELTLATGSSRSQRKRKYATFTSDSGSTFSSSSIESGGLVPDISTRFRNEGKSGLNIEEQMRQDAAKQPPWLFQCTKQDMVASFRL >Ma07_p27810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34040913:34043043:1 gene:Ma07_g27810 transcript:Ma07_t27810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERCMKQYDKDYMEMKTIMLKQEGTFRHQVHELHRLYQIQKLLMRNTKPAAMKMRRHMKPETELWNVENETASHRNSHRRQPLCSLDLEAPAEYIRGHNGDEESELELTLATGSSRSQRKRKYATFTSDSGSTFSSSSIESGGLVPDISTRFRNEGKSGLNIEEQMRQDAAKQPPWLFQCTKQDMVASFRL >Ma07_p27810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34040913:34043043:1 gene:Ma07_g27810 transcript:Ma07_t27810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERCMKQYDKDYMEMKTIMLKQEGTFRHQVHELHRLYQIQKLLMRNTKPAAMKMRRHMKPETELWNVENETASHRNSHRRQPLCSLDLEAPAEYIRGHNGDEESELELTLATGSSRSQRKRKYATFTSDSGSTFSSSSIESGGLVPDISTRFRNEGKSGLNIEEQMRQDAAKQPPWLFQCTKQDMVASFRL >Ma07_p27810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34040913:34043043:1 gene:Ma07_g27810 transcript:Ma07_t27810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCMKQYDKDYMEMKTIMLKQEGTFRHQVHELHRLYQIQKLLMRNTKPAAMKMRRHMKPETELWNVENETASHRNSHRRQPLCSLDLEAPAEYIRGHNGDEESELELTLATGSSRSQRKRKYATFTSDSGSTFSSSSIESGGLVPDISTRFRNEGKSGLNIEEQMRQDAAKQPPWLFQCTKQDMVASFRL >Ma11_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17961289:17961630:1 gene:Ma11_g13550 transcript:Ma11_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRLAEHDVDMEQLERALLVSLWCIQEQPSQRPSMGKVVQMLEGVSAIDRPPAPKAADGGLAVVTSITIFATSSPAQPSMGSSHSIAGTSLVSKRNLDKPTSSMVVADQSSS >Ma02_p13770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22013594:22020589:1 gene:Ma02_g13770 transcript:Ma02_t13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGEEMVPWERAEESLEVASESGGGGKMEKIVVSVRLRPLSEKEVAENDPSDWECINDTTVIFRESLLERSINPTAYTFDKVFPSDCTTKQVYEEGAKEVALSVVTGINSTVFAYGQTCSGKTYTMTGITEYTAQDIYEYIHKHEERAFVLKFSAIEIYNEAVKDLLSTDSAPLRLLDDPEKGTVIEKLTEETLRDWNHLKALISVCEAQRKIGETSLNETSSRSHQILRLTIESSAREFLGKDNSSTLVASVNFVDLGGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHIEQSRNTLLFASCAKEVATNAQVNIVMSDKALVKQLQKEVARLECELRYPGISPRVEALLREKDAQIKKMENEIKELIKQRDLGQSRQEGLLEVVGKDHFSSQWGDLSQTSLLNLPHSCDDLLLVSEPLDIADHSLDFASTQFVTSHNQHYLQTHNQDALSPRHSVANRKFIGSTEDQGEEEIDKSLCERFEENCEEVICIEMNGTCRSEDSSSLLTEGRNSLQHPSTVSSGHIDGNHKAGERRDLGSPSADPITLEQHLHNVRKTLISLVKEYPDESSPLSSWQDSSFRSFPLGRSRSCRSTLMSSSSWLQEDGTPPSTSLKEFRGRPEGFQNKLFSSNFGAKIKKLSARVFQNSEDSKSFDAQKQTTSRFDDYVEPEMAQVHHRKQFFIDQGTEQNVFEDFGNEGTTKDIGLEPTLDVLQSPSVWSLEFEKKQQEIIKLWHDCNVSLVHRTYFYMLFKGDPTDSIYMEVELRRLSFLKSNLSLGNADKTAELGQSSTYASSLKLLRRERDMLCREMQKSLCAAERESLYIKWGIALSSKQRRLQLARSLWSDRKDLEHVRESASVVARVIGLFEQGQALKEMFGLTFSPQRSNRRFHNWIHALSHRK >Ma02_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22013527:22020589:1 gene:Ma02_g13770 transcript:Ma02_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGGEEMVPWERAEESLEVASESGGGGKMEKIVVSVRLRPLSEKEVAENDPSDWECINDTTVIFRESLLERSINPTAYTFDKVFPSDCTTKQVYEEGAKEVALSVVTGINSTVFAYGQTCSGKTYTMTGITEYTAQDIYEYIHKHEERAFVLKFSAIEIYNEAVKDLLSTDSAPLRLLDDPEKGTVIEKLTEETLRDWNHLKALISVCEAQRKIGETSLNETSSRSHQILRLTIESSAREFLGKDNSSTLVASVNFVDLGGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHIEQSRNTLLFASCAKEVATNAQVNIVMSDKALVKQLQKEVARLECELRYPGISPRVEALLREKDAQIKKMENEIKELIKQRDLGQSRQEGLLEVVGKDHFSSQWGDLSQTSLLNLPHSCDDLLLVSEPLDIADHSLDFASTQFVTSHNQHYLQTHNQDALSPRHSVANRKFIGSTEDQGEEEIDKSLCERFEENCEEVICIEMNGTCRSEDSSSLLTEGRNSLQHPSTVSSGHIDGNHKAGERRDLGSPSADPITLEQHLHNVRKTLISLVKEYPDESSPLSSWQDSSFRSFPLGRSRSCRSTLMSSSSWLQEDGTPPSTSLKEFRGRPEGFQNKLFSSNFGAKIKKLSARVFQNSEDSKSFDAQKQTTSRFDDYVEPEMAQVHHRKQFFIDQGTEQNVFEDFGNEGTTKDIGLEPTLDVLQSPSVWSLEFEKKQQEIIKLWHDCNVSLVHRTYFYMLFKGDPTDSIYMEVELRRLSFLKSNLSLGNADKTAELGQSSTYASSLKLLRRERDMLCREMQKSLCAAERESLYIKWGIALSSKQRRLQLARSLWSDRKDLEHVRESASVVARVIGLFEQGQALKEMFGLTFSPQRSNRRFHNWIHALSHRK >Ma10_p22830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32031237:32036198:1 gene:Ma10_g22830 transcript:Ma10_t22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDSNLQEMEEVVKKMLKLIEADADSFAKRAELYFKRRPELTSFVEDAYRAYRALAERYDHISGELHKANHTIATACPEQVQYAMLEEEDDNFPKAITPIDPSKINKPTVEGLMNRRRENESSIKRKQKNSNVPQINKEKAQEEIDKLQKGILVLQTEKEFIKSSYETGIAKYWEIEKQIMDMQEKVSCLQDEFGTSSVIKDDEARALMTATALKSCEDAIVSLQEQRKKSLEQAKVESERIEVAKDKLKTLKGEYCQSEMEDADMSGENTQMSFTAEKMEEDLYSLDNARLELQSICEKIKTHFEMNPESSVIEIAEKINELVDKVLALELTVSSQAVHINRLTSENDELDKYLQKLEEEKTILISDSNALSERLKEAEEELNRVQAIEKIVRDGEINFYDNFSEACHSLSGISEKLQSHKSPEDECVADASTEEEASAFSTVPLRECQDKEVTEIHDVKKDMEEEIHTTKELGHRPEDPSQMEAGSQLKSASDEIEDPKKGNELGEKGLSQTYLGIRQSDNEEILLDEKEHALNFQQVVPSGLEGTEKIVLAEYTSILQNYEETKRRLSEVENKNEEHLQETMALIGELKNVIAMKDEEIQLLKQQLASLKMSSDITADAPSIGDSWDGQQKLESTSNSVMVTESSNLRDSEMLEDLSISTTKRDSDDEFTEVHGPPEEGSNADCINEPKSISPVEEKLRRDIDTLLDRNLEFWLRFSTSFHHIQEFKAKYEDLQADIDRLKDNKTPGGNDGATGNQDGEPESAIVATRLRELKTELQVWLEQNALLKGELQSTISSLDDMQEEISTAANTKSETGEAMCTPYQAARFQGEVMNMKQEKNKAASELQEGLDQVRRLQAEIEQQWSKLRENFEPFPSASTPDAGLEHSPSRTRVPLRVFLFGAKPKKPSIFARIHPVFQKQNSKLKAGRR >Ma10_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32031237:32036715:1 gene:Ma10_g22830 transcript:Ma10_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDSNLQEMEEVVKKMLKLIEADADSFAKRAELYFKRRPELTSFVEDAYRAYRALAERYDHISGELHKANHTIATACPEQVQYAMLEEEDDNFPKAITPIDPSKINKPTVEGLMNRRRENESSIKRKQKNSNVPQINKEKAQEEIDKLQKGILVLQTEKEFIKSSYETGIAKYWEIEKQIMDMQEKVSCLQDEFGTSSVIKDDEARALMTATALKSCEDAIVSLQEQRKKSLEQAKVESERIEVAKDKLKTLKGEYCQSEMEDADMSGENTQMSFTAEKMEEDLYSLDNARLELQSICEKIKTHFEMNPESSVIEIAEKINELVDKVLALELTVSSQAVHINRLTSENDELDKYLQKLEEEKTILISDSNALSERLKEAEEELNRVQAIEKIVRDGEINFYDNFSEACHSLSGISEKLQSHKSPEDECVADASTEEEASAFSTVPLRECQDKEVTEIHDVKKDMEEEIHTTKELGHRPEDPSQMEAGSQLKSASDEIEDPKKGNELGEKGLSQTYLGIRQSDNEEILLDEKEHALNFQQVVPSGLEGTEKIVLAEYTSILQNYEETKRRLSEVENKNEEHLQETMALIGELKNVIAMKDEEIQLLKQQLASLKMSSDITADAPSIGDSWDGQQKLESTSNSVMVTESSNLRDSEMLEDLSISTTKRDSDDEFTEVHGPPEEGSNADCINEPKSISPVEEKLRRDIDTLLDRNLEFWLRFSTSFHHIQEFKAKYEDLQADIDRLKDNKTPGGNDGATGNQDGEPESAIVATRLRELKTELQVWLEQNALLKGELQSTISSLDDMQEEISTAANTKSETGEAMCTPYQAARFQGEVMNMKQEKNKAASELQEGLDQVRRLQAEIEQQWSKLRENFEPFPSASTPDAGLEHSPSRTRVPLRVFLFGAKPKKPSIFARIHPVFQKQNSKLKAGRRSKWFSQSDE >Ma08_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3583334:3586880:-1 gene:Ma08_g05220 transcript:Ma08_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g10920 [Source:Projected from Arabidopsis thaliana (AT4G10920) UniProtKB/TrEMBL;Acc:Q0WP62] MDEETKRQIEETVLQILRDADMTSTTEFKVRSLAAQRLGIDLSHRDRKLFVRGIVESFLISQNSNDGHDDDKSDPGQEQEEVAVEQPERDREEEEEEEEEDEDEGAKKRRRGSKEYDDDGDLIICRLSSKRRVTLQDFRGKTLLSIREYYMKDGKELPSSKGISLTVEQWEAFRNAVPAIEAAIKKLEGSD >Ma11_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3450648:3453040:-1 gene:Ma11_g04430 transcript:Ma11_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAGGGARRWWWWAAASSTQLAAGIAWYRRGYSGSGVTMPFKAFAIATMFVGSGATAMGGTLLATGIDDVDDMKEVGASVRRWMMRSPPGGT >Ma10_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17016063:17017845:1 gene:Ma10_g05680 transcript:Ma10_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREELKRYEPWRLHSRPMRAGSTAVFGSLLLLMVTYGGNGPKAMNPRFLRNCSLPHCLPPSSPVPTPMSSTNPFFLSLFLDMEAAAGGRDGFGGKSWPPPPLMPLGNVLSGSYREEPWAHHHADGAIGTLPDGILLNDSSFYADLGSGSCENKVVKREVCRERHQARSSSSSSNGDAAAANVVKGQWTAEEDSLLVGLVKQHGVRKWSYIAKNLVGRIGKQCRERWHNHLRPDIKKDMWTEEEERRLVEAHMKYGNRWAEIAKHMPGRSENSIKNHWNATKRRLNAKRSKRKAPKGGRCPPSILQDYIRSKTFDLSKTCSTKTSPSTMSNQLDHVAYPGTQNPSSAHNSTSSAAEDIFPYMQKIPDQGEEETHDPCMHDSLHVLIFNDEDDFDFLPIRDAPAQVFGSSGNLSDSNGSSHLHPPYLLNGAPASSTGVLVGMDDLKAQASWSSKRDLDLVEMLSLQFSSSRSSSSSNSTPLNTNPSSAFECRVGQVFSTHP >Ma02_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18777237:18777744:1 gene:Ma02_g08380 transcript:Ma02_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSPCSRKAATSPPAKISSSFAIGPYCCLSLLSPRILLLLLFFFAAPLLGSSFGRAEGDFFDPTRVTQLSWRHRLRVSWRNRWSRTMSRGACDERGSD >Ma08_p26910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39323939:39324413:-1 gene:Ma08_g26910 transcript:Ma08_t26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWRSDDDCRCSEDQRRCKGCRSRGSVCAYGCGSPSYLSRPLTTGRKVVLRSYLVFNAVTLLTRWGLRLVLRENTWCNFTE >Ma07_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10080833:10081331:1 gene:Ma07_g13360 transcript:Ma07_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAASRELKDHENGGGEAAKAVKIISTILDAEEVAEMEEAAVAEVMKWLEEEMSSAAVAHSPSTPSYNEETSFVTINGNEESCGPSFSSSASTVMASIDASGGVCGVPYFVGCPSGSAPWASPAPLVRMLAEPSAAAPMAGPSVEPRSS >Ma05_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6019531:6020175:1 gene:Ma05_g08130 transcript:Ma05_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSQTAASAGGGARPAGAVPRGTPAAAAGMRRRRLGGGGGGGGFAGGGPGGGANMLRFYTDDAPGLKMTPTVVLVMSLCFIGFVTALHVFGKLYRHRAGGA >Ma09_p16450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11823741:11829744:1 gene:Ma09_g16450 transcript:Ma09_t16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYGRDPAPGGARPDPDTGLEESMWRLGFGGGGDAHYPERPGEPDCAYYMRTGTCSYGEKCRYNHPRDRGSLTGAGRTGAVEYPERVGQPVCEYYMRTGSCKFGSSCKYDHPRQGGGSAQPVSLNYYGYPLRPGEKECGYYMKTGQCKFGSTCKFHHPQPGGASVPSASAPAFYPSVQHPSVPSSHQYPPYAGWQVARPSVMPGSYLQGSYAPMLLSHGVVPVQSWSPYPASVNPVLSPAGQQTIQAEPIYGLENQASSINPALPVPQMPSLSPAGPSSTNQRGNIFPERPGQPECQFFMKTGDCKFGAKCKYHHPPGSSTLHLLHAAWIMQVWADMQI >Ma09_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11823741:11829744:1 gene:Ma09_g16450 transcript:Ma09_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYGRDPAPGGARPDPDTGLEESMWRLGFGGGGDAHYPERPGEPDCAYYMRTGTCSYGEKCRYNHPRDRGSLTGAGRTGAVEYPERVGQPVCEYYMRTGSCKFGSSCKYDHPRQGGGSAQPVSLNYYGYPLRPGEKECGYYMKTGQCKFGSTCKFHHPQPGGASVPSASAPAFYPSVQHPSVPSSHQYPPYAGWQVARPSVMPGSYLQGSYAPMLLSHGVVPVQSWSPYPASVNPVLSPAGQQTIQAEPIYGLENQASSINPALPVPQMPSLSPAGPSSTNQRGNIFPERPGQPECQFFMKTGDCKFGAKCKYHHPPGRRMPMTNVVLNVLGLPLRPGAQPCTYYMQHGLCKFGQTCKFDHPVATISYSPSASSLSDMPVAPYPIGSSVATLAPSSSSSELQPEFISTKEHFSSRMPPENRSSSSIGSIFSTGGFLPHSFIQPQTSTSRSSSSSSPVPGNDISGSR >Ma09_p16450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11823741:11828643:1 gene:Ma09_g16450 transcript:Ma09_t16450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYGRDPAPGGARPDPDTGLEESMWRLGFGGGGDAHYPERPGEPDCAYYMRTGTCSYGEKCRYNHPRDRGSLTGAGRTGAVEYPERVGQPVCEYYMRTGSCKFGSSCKYDHPRQGGGSAQPVSLNYYGYPLRPGEKECGYYMKTGQCKFGSTCKFHHPQPGGASVPSASAPAFYPSVQHPSVPSSHQYPPYAGWQVARPSVMPGSYLQGSYAPMLLSHGVVPVQSWSPYPASVNPVLSPAGQQTIQAEPIYGLENQASSINPALPVPQMPSLSPAGPSSTNQRGNIFPERPGQPECQFFMKTGDCKFGAKCKYHHPPGRRMPMTNVVLNVLGLPLRPDLK >Ma01_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11763009:11765801:1 gene:Ma01_g16220 transcript:Ma01_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSARASPFSSPKPAALLKKVVFTWSQETGSPASVCVRIHGKIFNLHMLPLISRSRYFKKALIESSSDVELPHSFPGGSETFEMVALFAYDSPLPLDPFNVSALRCAAEFLQMTEDQTSRNLCETSDLYLNQVVLQSWDDTLIVLQTCQTLLPMAEELLIVSRCVESLAFMACMEILDPEQRRDRPVPTLQALAGRPWDSEAVKEVAGQDLWIKDLIALPFQFFRRIIRSLRRQGMKEKYVSPVVVFYANKWVLSKKTHKFWENTAEEDGAGTAGNKVSAILRGILELLPAANSAEIVPVTFYFALLSMSLSLNLTDSIRLKLQDLVAYHLHLAQAEDFLLPDNTLQNIASSPELKTMERVVSIHVSSRNETTAANSSSTVAELWDIYLSQIAVDPKLGPDRFMKLVETVPMVDRDTHDHLYKAINTFLSAHPWVSNEEKARLCSNINCQKLSQEACIQAVQDELMPLRLIIQALFVQQLHTQQAFKHCSESFRYLHCGEFSGSIPSSKCQVPKSQKLDESPHDLAIGEEAPVVSLGSLMKEDLSLKGPAHGSKAENESTRFRIQALEKKLASLKHSLQNTSKGSVETDLKTVSFRLFAMEGSTVARRNPFGHVSGCIGSLSWTAQRKYANRLLKVFRKIAMLGKGKSKVQQMASGHPNGSLSCRSKSLH >Ma04_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:319292:319739:1 gene:Ma04_g00310 transcript:Ma04_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAILTACLLLVLFAIAFSVEYATVAQAQGLCSVNGDCKSFCGKCIPKCISGQCSCMC >Ma07_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3057750:3059986:1 gene:Ma07_g04060 transcript:Ma07_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVPPVAHVYFGKPMLPDVSRVLACLYEKDIAFELVDMYEGHRMPADILKLQATMRAPVPAFKDRDTFLLESRAICRYVSEKYAEHGNKYLLGRDLLDRGSIEQWLKTEEQSFNPPSWALVFHLAFAPLTNGNELDQSLVTESEKMLGNVLDVYEHRLRESKYLAGDEFTLADLSHLPNTHYLVNSRQWNHLFNSRKNIQRWWEKISNRPSWTKVVAMLNEVETPKSSAAEEVATAREAKISHLSQTPAAATASQTSPQSTPVVPQSTPEVKTSPQTAATASQASPQSTPVVPRSTPEVKTSPQTAATASQASPQSTPVVPRSTPEVKTSSQTAATASQASPQSTPVVPRSTPEVKTSPQTAATASQASPQSTPVVPRSTPEVKTSSQTAATASQASPQSTPVVPQSTPEVKTSPQTAATASQTSPRTAAPEPSSKPSLEQKSSKSSADKPDSAIDQQSSPKGPTSNLISQSEPATQPTPQSQQGDSSTGKPTSVTTQSNQKTDTPIDNSAPTGTSETKATSQPDSTASSTASDKPNSQPSGDKPTS >Ma06_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13836069:13837425:-1 gene:Ma06_g19750 transcript:Ma06_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASYVVVLFLLIELLISGAEALSMDYYMMSCPFMDQIVRNTVNQALRQDPTLAAGLLRLHFHDCFVQGCDASVLIDSTEDNTAEKDSPANLSLRGYEVIDTAKKSIEDQCPGVVSCADIVAVAARDAVFWAGGPFYEISKGRKDGRRSKIEDTVNLPAPTLNSTALIKVFDQHGFDARELVALSGAHTLGVARCASFKNRLSNFDSANDVDPTLDSSFSRTLSRACSAGDDTQVPFDRTRNSFDTGYFNALQRGMGLLSSDQTLFTDPRTRPVVSGYAANVAMFFLDFQQAVIKMGLLDVKEGSQGEVRLHCRRVN >Ma04_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10971602:10973515:1 gene:Ma04_g14480 transcript:Ma04_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKTHEFLLGASILVASSSFFSSRLCASALTSEEFSMQLTRAELVRLAGYGEERISSVLVAGTLLCDACLRPGSDPVTFPVPGARIGVGCRTNGESTRKTNWTCGTTDEFGEFLVDLPSHLHAAPWLEESCMVRVLLMPKECLCRSNPGMVFRDLKLSSGGNSIRVYSAGTLRCRSEVVSEQCSQEGEDEA >Ma02_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18497968:18501532:1 gene:Ma02_g08020 transcript:Ma02_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEDGEQYLFKIVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSVVIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRTTFDSVPRWLQELETHSDTTVAKMLVGNKCDLDNIRNISVEEGKSLAEAEGLFFIETSALDSTNVKKAFEIVIREIYNNVSRKVLNSDSYKSELSLNRVSLTSNGSDETKQTSSKTCC >Ma08_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1446411:1449544:1 gene:Ma08_g01730 transcript:Ma08_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEDTVEQVLMQTQDRSEAFHRSSAASAAAAAASPACNSSSASSSSSSSTSSSPPSPSAALPPPKKWQRLGRNFAGAIAALRSSLNIDPSRDPSPSRFDRLLRGVGLARNPGGLPPDKLVSSVRRHFDSLPNSYAQAGFDMKDVLLHLRLMEQASADDHPAIHIQETDSNGGAEGSVFKLTFACSAPLSWPAMSGTLDSSSICCKKIQIFEKKGLTLGVVTVLVQQGNERHFKARIEASLKAAVKKPKNNGVKLPFGLCGCQEESSRNVEEDAQFDGDDGRGSDGERLRRVQLPCPLPMSSVVVSIDEWQSIRSGGDEMGRWVLSSDEVELVDRVRPNSFRGAYRGRRVWVKKLRGCERGSAYEIEIRQDLLQLMSCGQRNILQFYGIFFEENEGLCVVTKMLDGGSLHDVIQKNKNVAIREVMRIALDVAEGLMFMNNHGVAYRDLNTHRILLDRQKNACLGDMGIVTSCNNVGEVTEYEMAGYRWLAPEIIAGDPESVTETWMSNVYSYGMVLWEMVTGEAAYSSYSPVQAAVGIATCGLRPEIPKDCPQVLRSLMQRCWNNNPAKRPQFAEIIAILNRQNVR >Ma08_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1672383:1673774:1 gene:Ma08_g02060 transcript:Ma08_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPASTFVVVVVFLFVVSLSDRNCEAADKDHDFCFSFDGLEKNRSFDSDFALYGDAEMSGSAVRIAQPAYSSSGRIAYRKAIRFLGTKPGFSSSFSFSISRGDGGALAFFLSPSGLPLVSAERDRLGRSTGDVAVRFGRFNVEKLGELGGSFIEIDVEGETLTRSIDLTEVGLILNSGEKLRSWIDYDGESKRIEVRLSQARDPRPRNSSISYSIDLSNVLWREAVFVGISFSSGNSTHTSSIYSWNFAVKHGAPYLMHSEPLNPDSFLVRSTESPSVHLRKASPWGVFMAMVFAAACGAMLALFVMLVWAVLAARRPVAPVESPVGVAYGKIVSAGDTCLDNVK >Ma05_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32942695:32945218:-1 gene:Ma05_g21290 transcript:Ma05_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVVNAEYLKEIEKARRDLRALISSKNCAPIILRLAWHDAGTYDVKTKTGGPNGSIRFEEEYSHGSNAGLEIAIDLLEPVKAKHPKITYADLYQLAGVVAVEITGGPTVPFAPGRKDSSVCPEEGRLPDANQGVSHLRDVFYRMGLSDKDIVALSGGHTLGRAHRERSGFQGAWTKDPLKFDNSYFAELVKGENEGLLQLPTDKALLDDPVLRDYVVLYAVDEDKFFEDYAESHKKLSELGFTPPRTCLTRGKTRSILQQGALGVAVAALLLVLSYFYEINRKTK >Ma05_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22171321:22175514:1 gene:Ma05_g18010 transcript:Ma05_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIVDFLGSHGDRNAVSTVCKAWYQVDRLSRRSVFVGNCYAIRPERVVTRFPEMKSLTVKGKPHFADFNLVPYDWGGFAQPWIEAAARGCPGLEELRLKRMVVTDDDLELLARSFPNFKSLVLVSCEGFSTDGLAAIATYCRGLRELDLQENEVEDHGRQWLSCFPDSCTSLVSLNFACLKGEVNASALERLVARCPNLRSLKLNHSISVESLNKILARAPHLVDLGTGSFAIDNRSETYHRLINSFFKCKSLRSLSGFWDASPFCLQALYPVCTNLTVLNLSYAPAIQSADLTKLIGLCFKLQKLWVLDCIGDKGLAVVASTCKELQELRVFPSDIYGAGITAVTEEGLVAISSGCPKLNSLLYFCYQMTNSALTTVAKNCPHFTRFRLCILEPEKPDPVTNQPLDEGFGAIVRSCKNLRRLSVSGLLTDQVFLYIGMYAERLEMLSIAFAGDSDKGMVYVLNGCKNLRKLEIRDCPFGDAALLKDVAKYETMRSLWMSSCDVTLGGCKALAAKMPRLNVEIINENNGTCESKENLSDLHKVEKMYFYRTVAGPRNDAPDFVWTL >Ma05_p18010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22171321:22174434:1 gene:Ma05_g18010 transcript:Ma05_t18010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIVDFLGSHGDRNAVSTVCKAWYQVDRLSRRSVFVGNCYAIRPERVVTRFPEMKSLTVKGKPHFADFNLVPYDWGGFAQPWIEAAARGCPGLEELRLKRMVVTDDDLELLARSFPNFKSLVLVSCEGFSTDGLAAIATYCRGLRELDLQENEVEDHGRQWLSCFPDSCTSLVSLNFACLKGEVNASALERLVARCPNLRSLKLNHSISVESLNKILARAPHLVDLGTGSFAIDNRSETYHRLINSFFKCKSLRSLSGFWDASPFCLQALYPVCTNLTVLNLSYAPAIQSADLTKLIGLCFKLQKLWVLDCIGDKGLAVVASTCKELQELRVFPSDIYGAGITAVTEEGLVAISSGCPKLNSLLYFCYQMTNSALTTVAKNCPHFTRFRLCILEPEKPDPVTNQPLDEGFGAIVRSCKNLRRLSVSGLLTDQVFLYIGMYAERLEMLSIAFAGDSDKGMVYVLNGCKNLRKLEIRDCPFGDAALLKDVAKYETMRSLWMSSCDVTLGGCKALAAKMPRLNVEIINENNGTCESKENLSDLHKVEKMYFYRTVAGPRNDAPDFVWTL >Ma05_p18010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22171321:22174434:1 gene:Ma05_g18010 transcript:Ma05_t18010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIVDFLGSHGDRNAVSTVCKAWYQVDRLSRRSVFVGNCYAIRPERVVTRFPEMKSLTVKGKPHFADFNLVPYDWGGFAQPWIEAAARGCPGLEELRLKRMVVTDDDLELLARSFPNFKSLVLVSCEGFSTDGLAAIATYCRGLRELDLQENEVEDHGRQWLSCFPDSCTSLVSLNFACLKGEVNASALERLVARCPNLRSLKLNHSISVESLNKILARAPHLVDLGTGSFAIDNRSETYHRLINSFFKCKSLRSLSGFWDASPFCLQALYPVCTNLTVLNLSYAPAIQSADLTKLIGLCFKLQKLWVLDCIGDKGLAVVASTCKELQELRVFPSDIYGAGITAVTEEGLVAISSGCPKLNSLLYFCYQMTNSALTTVAKNCPHFTRFRLCILEPEKPDPVTNQPLDEGFGAIVRSCKNLRRLSVSGLLTDQVFLYIGMYAERLEMLSIAFAGDSDKGMVYVLNGCKNLRKLEIRDCPFGDAALLKDVAKYETMRSLWMSSCDVTLGGCKALAAKMPRLNVEIINENNGTCESKENLSDLHKVEKMYFYRTVAGPRNDAPDFVWTL >Ma02_p02740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14063768:14068951:-1 gene:Ma02_g02740 transcript:Ma02_t02740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGEKMEVEPELVMCWKDLPMELLLRILSLVDDRMVIVSSTVCTGWRDAIGFGLTSLSLSWCKNNMNNLVRSLAPKFTKLQVLTLRQNNAPQLEDSAVEAVANYCHDLRELDLSKSLRLTDQSLYALGHGCPRLNKLNISGCSAFTDSALAYLASCCRNLKTLNLCGCARAATDRALQAVSRHCSELQSLNLGWCESVSDKGVTSLAAGCPNLRALDLCGCVLITDESVIAIANCCPHLRSLDLYYCQNITDRAMYSLANSCMKSRYGLWRDDAGSSNGNDNTGLVNLNISQCTALTAAAVQAVCDTFPLLHTCPGRHSLIISGCLSLTSVRCACAIHAHRAGRASLADHAY >Ma02_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14063768:14068951:-1 gene:Ma02_g02740 transcript:Ma02_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGEKMEVEPELVMCWKDLPMELLLRILSLVDDRMVIVSSTVCTGWRDAIGFGLTSLSLSWCKNNMNNLVRSLAPKFTKLQVLTLRQNNAPQLEDSAVEAVANYCHDLRELDLSKSLRLTDQSLYALGHGCPRLNKLNISGCSAFTDSALAYLASCCRNLKTLNLCGCARAATDRALQAVSRHCSELQSLNLGWCESVSDKGVTSLAAGCPNLRALDLCGCVLITDESVIAIANCCPHLRSLDLYYCQNITDRAMYSLANSCMKSRYGLWRDDAGSSNGNDNTGLVNLNISQCTALTAAAVQAVCDTFPLLHTCPGRHSLIISGCLSLTSVRCACAIHAHRAGRASLADHAY >Ma02_p02740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14063768:14068951:-1 gene:Ma02_g02740 transcript:Ma02_t02740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGEKMEVEPELVMCWKDLPMELLLRILSLVDDRMVIVSSTVCTGWRDAIGFGLTSLSLSWCKNNMNNLVRSLAPKFTKLQVLTLRQNNAPQLEDSAVEAVANYCHDLRELDLSKSLRLTDQSLYALGHGCPRLNKLNISGCSAFTDSALAYLASCCRNLKTLNLCGCARAATDRALQAVSRHCSELQSLNLGWCESVSDKGVTSLAAGCPNLRALDLCGCVLITDESVIAIANCCPHLRSLDLYYCQNITDRAMYSLANSCMKSRYGLWRDDAGSSNGNDNTGLVNLNISQCTALTAAAVQAVCDTFPLLHTCPGRHSLIISGCLSLTSVRCACAIHAHRAGRASLADHAY >Ma06_p34710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34831550:34831739:-1 gene:Ma06_g34710 transcript:Ma06_t34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDALFAESGMPRFLYASCGGINNLAI >Ma03_p04560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2983535:2985738:-1 gene:Ma03_g04560 transcript:Ma03_t04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAEEGSREARKLVFGKYEMGRVLGKGTFGKVYYGRELRSGESVAIKVIDKEQIRRQAGMMEQIQREIAVMRLVRHRNVVELREVMATRSRIFFVMEYVRGGELFARVVRGRLPEDQARRYFHQLISAVDFCHSRGVSHRDLKPENLLLDEHGDLKVSDFGLSALPEQLRHDGLLHTQCGTPAYVAPEVLSRRGYDGAKADFWSCGVILFVLLAGFLPFQDASLMRMYRKVFKAEYQIPPWFSGEARRLVSRLLVVNPEKRISMPAIMQLPWFKKGSCRPPPIQIPPLPLQPEEEEVKPATPRFYNAFELITSMSSGSDLSSLFENRRKAGTVFTSRSPAAEIVERLERVGRALGFGVARAKSYKVRMEGKTEGRKGRLAVTAEVFEAAAGVAVVEFSKSTGDTLEYTKFCEEDVRPGLKDIVWTWQGDDATSVGNGEPRHDTGR >Ma03_p04560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2983535:2985738:-1 gene:Ma03_g04560 transcript:Ma03_t04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAEEGSREARKLVFGKYEMGRVLGKGTFGKVYYGRELRSGESVAIKVIDKEQIRRQAGMMEQIQREIAVMRLVRHRNVVELREVMATRSRIFFVMEYVRGGELFARVVRGRLPEDQARRYFHQLISAVDFCHSRGVSHRDLKPENLLLDEHGDLKVSDFGLSALPEQLRHDGLLHTQCGTPAYVAPEVLSRRGYDGAKADFWSCGVILFVLLAGFLPFQDASLMRMYRKVFKAEYQIPPWFSGEARRLVSRLLVVNPEKRISMPAIMQLPWFKKGSCRPPPIQIPPLPLQPEEEEVKPATPRFYNAFELITSMSSGSDLSSLFENRRKAGTVFTSRSPAAEIVERLERVGRALGFGVARAKSYKVRMEGKTEGRKGRLAVTAEVFEAAAGVAVVEFSKSTGDTLEYTKFCEEDVRPGLKDIVWTWQGDDATSVGNGEPRHDTGR >Ma03_p04560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2983535:2985738:-1 gene:Ma03_g04560 transcript:Ma03_t04560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAEEGSREARKLVFGKYEMGRVLGKGTFGKVYYGRELRSGESVAIKVIDKEQIRRQAGMMEQIQREIAVMRLVRHRNVVELREVMATRSRIFFVMEYVRGGELFARVVRGRLPEDQARRYFHQLISAVDFCHSRGVSHRDLKPENLLLDEHGDLKVSDFGLSALPEQLRHDGLLHTQCGTPAYVAPEVLSRRGYDGAKADFWSCGVILFVLLAGFLPFQDASLMRMYRKVFKAEYQIPPWFSGEARRLVSRLLVVNPEKRISMPAIMQLPWFKKGSCRPPPIQIPPLPLQPEEEEVKPATPRFYNAFELITSMSSGSDLSSLFENRRKAGTVFTSRSPAAEIVERLERVGRALGFGVARAKSYKVRMEGKTEGRKGRLAVTAEVFEAAAGVAVVEFSKSTGDTLEYTKFCEEDVRPGLKDIVWTWQGDDATSVGNGEPRHDTGR >Ma03_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2983535:2985738:-1 gene:Ma03_g04560 transcript:Ma03_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAEEGSREARKLVFGKYEMGRVLGKGTFGKVYYGRELRSGESVAIKVIDKEQIRRQAGMMEQIQREIAVMRLVRHRNVVELREVMATRSRIFFVMEYVRGGELFARVVRGRLPEDQARRYFHQLISAVDFCHSRGVSHRDLKPENLLLDEHGDLKVSDFGLSALPEQLRHDGLLHTQCGTPAYVAPEVLSRRGYDGAKADFWSCGVILFVLLAGFLPFQDASLMRMYRKVFKAEYQIPPWFSGEARRLVSRLLVVNPEKRISMPAIMQLPWFKKGSCRPPPIQIPPLPLQPEEEEVKPATPRFYNAFELITSMSSGSDLSSLFENRRKAGTVFTSRSPAAEIVERLERVGRALGFGVARAKSYKVRMEGKTEGRKGRLAVTAEVFEAAAGVAVVEFSKSTGDTLEYTKFCEEDVRPGLKDIVWTWQGDDATSVGNGEPRHDTGR >Ma10_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11150769:11151223:1 gene:Ma10_g03060 transcript:Ma10_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNVGVQEMMGHASADGKELLMSLSGLGAQSSLSQVVVEWSEC >Ma09_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3966858:3969297:1 gene:Ma09_g06160 transcript:Ma09_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKDTKESTTVISKVPSTQYREDESEEERFHHALLELKDLRSQLHHAADYCETAFSKSEHKKMILEGTKSYICEAMVAVVDHLGNVSSKLEQSPLANAVVVQTEQRIDCLKQRLLTCQHYATSLELASMQLSIKFPRQHQHYVSPAAQCIGKSSDDLSRTEGTNSQPSEVPITKDHSSVMEHFLGSQAGTHFMPASNGTISGVELAKAVPVLEGPSILSKPSNSSFSCKSEELYLHAELTYA >Ma11_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5292895:5300723:1 gene:Ma11_g06510 transcript:Ma11_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLYRRILPSPPAIEFASSEGKRLFSESLQNGTMEGFFKLISHFQTQSEPAYCGLASLSMVLNALAIDPQRKWKGPWRWYDESMLDCCEPLEKVQTDGITFGKVACLAQCAGAKVDSFRTNQSTIDDFRNHVVKCTSSEHCHLIASYHRKPFKQTGTGHFSPIGGYHAESDMALILDVARFKYPPHWVPLALLWEAMDTIDEATGRPRGFMLISSHQRAPSLLYTLSCRDESWVSMAKYLIDDVPILLKSEDLKNVHEVLSLLLMSLPACAGNFIKWVAEVRRQEEEGSSLSKEEKDRLAVKEEVLQQVHETELYKCVTDLLSSSTSNCKLRKKDSLTEIAANVCCEGAALLSGGLTSRNGICCRTCIRCLKDNGDEHTTVVSGTVVSGGGNEQEVDMLVPVSKATARSSCDTTLNNCIVMHPANNDVLTVLLLALPPSTWLDIQDESLLAEIQGLVSTENLPDALQQEVLHLRWQLHYLKRCKDKEVDNDLTLP >Ma10_p26250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34025723:34029768:-1 gene:Ma10_g26250 transcript:Ma10_t26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEHDYIGLSELHSPAAAVVGGGGAEDGALNLKDTELRLGLPGSDSSDRKDKVALTLGLLPKIFVSGAKRGFSDTIDGAGKWGLAAVGGGSEVEAGKGGALFSPRGERGGGGHGNAGNDAVGKAVGHEPKDTGQVGDSAGNDRGVAPAAKAQVVGWPPIRSYRKNTMATNPSKNKEDANGKQGLGCLYVKVSMDGAPYLRKVDLKTYSNYKEFSLALEKMFSGFTIGQCGSHGIPGRDGLSESRLMDFLSGSEYVLTYEDRDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAMEKCRNRN >Ma01_p10080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7213767:7227011:1 gene:Ma01_g10080 transcript:Ma01_t10080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRDENSGQKNAGGVHCPSTIFVSNLPYSFKSSELEALFSEVGPVRRCFMVTSKGSEVSRGFGFVQFATVEDAERSIQLKNRLAVDGRKISVKLAKHRLPLEERQQKAKNDDIDTKHNEILHSTSVTEHKGCLRAQDTGKPPRSIVDDGKGILLASKDPTVEFPGSEKQRVARTVIFGNLVNSEMAADVFQQAGEVGTICSISYPLPKEELKLHGLARDGCKSEAAAVLYTTVKSARFSVTKLHQQEIKGACVWARQLGGEGSKARKWRVIVRNLPFKATVSEIREIFSSAGFVWDVLIPHKSDEGVSKGFAFVSFTCKQDAENAIKNINGRVIAKRTVAVDWAVSKRVYSVATAAASGEGFQDDSDNESKSESDSEVDRDNVSTVDMGTDEVNLDGPVIEKLTETNENEVIPIEVDFRSEAEVARKILDNLIRSSTSVSDATHGSDSRTAESITESWTSHHAGHEEPPLPIKKDGIVGNKVGKGSEAEVQELGKRDKDLDRTIFISNLPFEIDSEEVKERFSSFGKVQSFFPVLHKLTKRPRGTAFLIFDSPAAADSAISAANAALGLGIIMKGRPLKVLKALDKESVHKKELQNLKNEAHDRRNLYLAKEGEILAGTPAAEGVSESDMRKREMLIKKKEEMLQSPKFHVSRTRLIIYNLPKTMTTEEVKKLCVNAVVSRASKQKPVIQKVKLLKDVKKGKVVIKKHSRGVGFVDFNEHQHALVALRVLNNNPETFGPDHRPIVEFAFDNIQKLRQQKAKLDSIKENNAKSEDGKRNLQQRFPTQTTETDIDKAGKKLKNAKHQRMQRISSQVSEPSEGMTVELGSPEEDTNPEVKAGKSKQIKQQKKASKGGKADSPSNSKHMKSESQSNLMQVGTHAKEKQLNKKNQMEKPIDKTTVTIPRKRKRNTKPDGGSEQHKPARKAKSRTDSSGEEIVDKLDILIEQYRSKFSHHDSSKTKDATSSGHKVRRWFESAS >Ma01_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7213767:7227011:1 gene:Ma01_g10080 transcript:Ma01_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRDENSGQKNAGGVHCPSTIFVSNLPYSFKSSELEALFSEVGPVRRCFMVTSKGSEVSRGFGFVQFATVEDAERSIQLKNRLAVDGRKISVKLAKHRLPLEERQQKAKNVHSDDIDTKHNEILHSTSVTEHKGCLRAQDTGKPPRSIVDDGKGILLASKDPTVEFPGSEKQRVARTVIFGNLVNSEMAADVFQQAGEVGTICSISYPLPKEELKLHGLARDGCKSEAAAVLYTTVKSARFSVTKLHQQEIKGACVWARQLGGEGSKARKWRVIVRNLPFKATVSEIREIFSSAGFVWDVLIPHKSDEGVSKGFAFVSFTCKQDAENAIKNINGRVIAKRTVAVDWAVSKRVYSVATAAASGEGFQDDSDNESKSESDSEVDRDNVSTVDMGTDEVNLDGPVIEKLTETNENEVIPIEVDFRSEAEVARKILDNLIRSSTSVSDATHGSDSRTAESITESWTSHHAGHEEPPLPIKKDGIVGNKVGKGSEAEVQELGKRDKDLDRTIFISNLPFEIDSEEVKERFSSFGKVQSFFPVLHKLTKRPRGTAFLIFDSPAAADSAISAANAALGLGIIMKGRPLKVLKALDKESVHKKELQNLKNEAHDRRNLYLAKEGEILAGTPAAEGVSESDMRKREMLIKKKEEMLQSPKFHVSRTRLIIYNLPKTMTTEEVKKLCVNAVVSRASKQKPVIQKVKLLKDVKKGKVVIKKHSRGVGFVDFNEHQHALVALRVLNNNPETFGPDHRPIVEFAFDNIQKLRQQKAKLDSIKENNAKSEDGKRNLQQRFPTQTTETDIDKAGKKLKNAKHQRMQRISSQVSEPSEGMTVELGSPEEDTNPEVKAGKSKQIKQQKKASKGGKADSPSNSKHMKSESQSNLMQVGTHAKEKQLNKKNQMEKPIDKTTVTIPRKRKRNTKPDGGSEQHKPARKAKSRTDSSGEEIVDKLDILIEQYRSKFSHHDSSKTKDATSSGHKVRRWFESAS >Ma01_p10080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7213767:7227011:1 gene:Ma01_g10080 transcript:Ma01_t10080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRDENSGQKNAGGVHCPSTIFVSNLPYSFKSSELEALFSEVGPVRRCFMVTSKGSEVSRGFGFVQFATVEDAERSIQLKNRLAVDGRKISVKLAKHRLPLEERQQKAKNVHSDDIDTKHNEILHSTSVTEHKGCLRAQDTGSYTTKPPRSIVDDGKGILLASKDPTVEFPGSEKQRVARTVIFGNLVNSEMAADVFQQAGEVGTICSISYPLPKEELKLHGLARDGCKSEAAAVLYTTVKSARFSVTKLHQQEIKGACVWARQLGGEGSKARKWRVIVRNLPFKATVSEIREIFSSAGFVWDVLIPHKSDEGVSKGFAFVSFTCKQDAENAIKNINGRVIAKRTVAVDWAVSKRVYSVATAAASGEGFQDDSDNESKSESDSEVDRDNVSTVDMGTDEVNLDGPVIEKLTETNENEVIPIEVDFRSEAEVARKILDNLIRSSTSVSDATHGSDSRTAESITESWTSHHAGHEEPPLPIKKDGIVGNKVGKGSEAEVQELGKRDKDLDRTIFISNLPFEIDSEEVKERFSSFGKVQSFFPVLHKLTKRPRGTAFLIFDSPAAADSAISAANAALGLGIIMKGRPLKVLKALDKESVHKKELQNLKNEAHDRRNLYLAKEGEILAGTPAAEGVSESDMRKREMLIKKKEEMLQSPKFHVSRTRLIIYNLPKTMTTEEVKKLCVNAVVSRASKQKPVIQKVKLLKDVKKGKVVIKKHSRGVGFVDFNEHQHALVALRVLNNNPETFGPDHRPIVEFAFDNIQKLRQQKAKLDSIKENNAKSEDGKRNLQQRFPTQTTETDIDKAGKKLKNAKHQRMQRISSQVSEPSEGMTVELGSPEEDTNPEVKAGKSKQIKQQKKASKGGKADSPSNSKHMKSESQSNLMQVGTHAKEKQLNKKNQMEKPIDKTTVTIPRKRKRNTKPDGGSEQHKPARKAKSRTDSSGEEIVDKLDILIEQYRSKFSHHDSSKTKDATSSGHKVRRWFESAS >Ma01_p10080.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7213767:7227011:1 gene:Ma01_g10080 transcript:Ma01_t10080.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRDENSGQKNAGGVHCPSTIFVSNLPYSFKSSELEALFSEVGPVRRCFMVTSKGSEVSRGFGFVQFATVEDAERSIQLKNRLAVDGRKISVKLAKHRLPLEERQQKAKNDDIDTKHNEILHSTSVTEHKGCLRAQDTGSYTTKPPRSIVDDGKGILLASKDPTVEFPGSEKQRVARTVIFGNLVNSEMAADVFQQAGEVGTICSISYPLPKEELKLHGLARDGCKSEAAAVLYTTVKSARFSVTKLHQQEIKGACVWARQLGGEGSKARKWRVIVRNLPFKATVSEIREIFSSAGFVWDVLIPHKSDEGVSKGFAFVSFTCKQDAENAIKNINGRVIAKRTVAVDWAVSKRVYSVATAAASGEGFQDDSDNESKSESDSEVDRDNVSTVDMGTDEVNLDGPVIEKLTETNENEVIPIEVDFRSEAEVARKILDNLIRSSTSVSDATHGSDSRTAESITESWTSHHAGHEEPPLPIKKDGIVGNKVGKGSEAEVQELGKRDKDLDRTIFISNLPFEIDSEEVKERFSSFGKVQSFFPVLHKLTKRPRGTAFLIFDSPAAADSAISAANAALGLGIIMKGRPLKVLKALDKESVHKKELQNLKNEAHDRRNLYLAKEGEILAGTPAAEGVSESDMRKREMLIKKKEEMLQSPKFHVSRTRLIIYNLPKTMTTEEVKKLCVNAVVSRASKQKPVIQKVKLLKDVKKGKVVIKKHSRGVGFVDFNEHQHALVALRVLNNNPETFGPDHRPIVEFAFDNIQKLRQQKAKLDSIKENNAKSEDGKRNLQQRFPTQTTETDIDKAGKKLKNAKHQRMQRISSQVSEPSEGMTVELGSPEEDTNPEVKAGKSKQIKQQKKASKGGKADSPSNSKHMKSESQSNLMQVGTHAKEKQLNKKNQMEKPIDKTTVTIPRKRKRNTKPDGGSEQHKPARKAKSRTDSSGEEIVDKLDILIEQYRSKFSHHDSSKTKDATSSGHKVRRWFESAS >Ma01_p10080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7213767:7227011:1 gene:Ma01_g10080 transcript:Ma01_t10080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRDENSGQKNAGGVHCPSTIFVSNLPYSFKSSELEALFSEVGPVRRCFMVTSKGSEVSRGFGFVQFATVEDAERSIQLKNRLAVDGRKISVKLAKHRLPLEERQQKAKNVHSDDIDTKHNEILHSTSVTEHKGCLRAQDTGSYTTKPPRSIVDDGKGILLASKDPTVEFPGSEKQRVARTVIFGNLVNSEMAADVFQQAGEVGTICSISYPLPKEELKLHGLARDGCKSEAAAVLYTTVKSARFSVTKLHQQEIKGACVWARQLGGEGSKARKWRVIVRNLPFKATVSEIREIFSSAGFVWDVLIPHKSDEGVSKGFAFVSFTCKQDAENAIKNINGRVIAKRTVAVDWAVSKRVYSVATAAASGEGFQDDSDNESKSESDSEVDRDNVSTVDMGTDEVNLDGPVIEKLTETNENEVIPIEVDFRSEAEVARKILDNLIRSSTSVSDATHGSDSRTAESITESWTSHHAGHEEPPLPIKKDGIVGNKVGKGSEAEVQELGKRDKDLDRTIFISNLPFEIDSEEVKERFSSFGKVQSFFPVLHKLTKRPRGTAFLIFDSPAAADSAISAANAALGLGIIMKGRPLKVLKALDKESVHKKELQNLKNEAHDRRNLYLAKEGEILAGTPAAEGVSESDMRKREMLIKKKEEMLQSPKFHVSRTRLIIYNLPKTMTTEEVKKLCVNAVVSRASKQKPVIQKVKLLKDVKKGKVVIKKHSRGVGFVDFNEHQHALVALRVLNNNPETFGPDHRPIVEFAFDNIQKLRQQKAKLDSIKENNAKSEDGKRNLQQRFPTQTTETDIDKAGKKLKNAKHQRMQRISSQVSEPSEGMTVELGSPEEDTNPEVKAGKSKQIKQQKKASKGGKADSPSNSKHMKSESQSNLMQVGTHAKEKQLNKKNQPIDKTTVTIPRKRKRNTKPDGGSEQHKPARKAKSRTDSSGEEIVDKLDILIEQYRSKFSHHDSSKTKDATSSGHKVRRWFESAS >Ma01_p10080.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7213767:7227011:1 gene:Ma01_g10080 transcript:Ma01_t10080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRDENSGQKNAGGVHCPSTIFVSNLPYSFKSSELEALFSEVGPVRRCFMVTSKGSEVSRGFGFVQFATVEDAERSIQLKNRLAVDGRKISVKLAKHRLPLEERQQKAKNDDIDTKHNEILHSTSVTEHKGCLRAQDTGKPPRSIVDDGKGILLASKDPTVEFPGSEKQRVARTVIFGNLVNSEMAADVFQQAGEVGTICSISYPLPKEELKLHGLARDGCKSEAAAVLYTTVKSARFSVTKLHQQEIKGACVWARQLGGEGSKARKWRVIVRNLPFKATVSEIREIFSSAGFVWDVLIPHKSDEGVSKGFAFVSFTCKQDAENAIKNINGRVIAKRTVAVDWAVSKRVYSVATAAASGEGFQDDSDNESKSESDSEVDRDNVSTVDMGTDEVNLDGPVIEKLTETNENEVIPIEVDFRSEAEVARKILDNLIRSSTSVSDATHGSDSRTAESITESWTSHHAGHEEPPLPIKKDGIVGNKVGKGSEAEVQELGKRDKDLDRTIFISNLPFEIDSEEVKERFSSFGKVQSFFPVLHKLTKRPRGTAFLIFDSPAAADSAISAANAALGLGIIMKGRPLKVLKALDKESVHKKELQNLKNEAHDRRNLYLAKEGEILAGTPAAEGVSESDMRKREMLIKKKEEMLQSPKFHVSRTRLIIYNLPKTMTTEEVKKLCVNAVVSRASKQKPVIQKVKLLKDVKKGKVVIKKHSRGVGFVDFNEHQHALVALRVLNNNPETFGPDHRPIVEFAFDNIQKLRQQKAKLDSIKENNAKSEDGKRNLQQRFPTQTTETDIDKAGKKLKNAKHQRMQRISSQVSEPSEGMTVELGSPEEDTNPEVKAGKSKQIKQQKKASKGGKADSPSNSKHMKSESQSNLMQVGTHAKEKQLNKKNQMEKPIDKTTVTIPRKRKRNTKPDGGSEQHKPARKAKSRTDSSGEEIVDKLDILIEQYRSKFSHHDSSKTKDATSSGHKVRRWFESAS >Ma01_p10080.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7213767:7227011:1 gene:Ma01_g10080 transcript:Ma01_t10080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRDENSGQKNAGGVHCPSTIFVSNLPYSFKSSELEALFSEVGPVRRCFMVTSKGSEVSRGFGFVQFATVEDAERSIQLKNRLAVDGRKISVKLAKHRLPLEERQQKAKNVHSDDIDTKHNEILHSTSVTEHKGCLRAQDTGSYTTKPPRSIVDDGKGILLASKDPTVEFPGSEKQRVARTVIFGNLVNSEMAADVFQQAGEVGTICSISYPLPKEELKLHGLARDGCKSEAAAVLYTTVKSARFSVTKLHQQEIKGACVWARQLGGEGSKARKWRVIVRNLPFKATVSEIREIFSSAGFVWDVLIPHKSDEGVSKGFAFVSFTCKQDAENAIKNINGRVIAKRTVAVDWAVSKRVYSVATAAASGEGFQDDSDNESKSESDSEVDRDNVSTVDMGTDEVNLDGPVIEKLTETNENEVIPIEVDFRSEAEVARKILDNLIRSSTSVSDATHGSDSRTAESITESWTSHHAGHEEPPLPIKKDGIVGNKVGKGSEAEVQELGKRDKDLDRTIFISNLPFEIDSEEVKERFSSFGKVQSFFPVLHKLTKRPRGTAFLIFDSPAAADSAISAANAALGLGIIMKGRPLKVLKALDKESVHKKELQNLKNEAHDRRNLYLAKEGEILAGTPAAEGVSESDMRKREMLIKKKEEMLQSPKFHVSRTRLIIYNLPKTMTTEEVKKLCVNAVVSRASKQKPVIQKVKLLKDVKKGKVVIKKHSRGVGFVDFNEHQHALVALRVLNNNPETFGPDHRPIVEFAFDNIQKLRQQKAKLDSIKENNAKSEDGKRNLQQRFPTQTTETDIDKAGKKLKNAKHQRMQRISSQVSEPSEGMTVELGSPEEDTNPEVKAGKSKQIKQQKKASKGGKADSPSNSKHMKSESQSNLMQVGTHAKEKQLNKKNQMEKPIDKTTVTIPRKRKRNTKPDGGSEQHKPARKAKSRTDSSGEEIVDKLDILIEQYRSKFSHHDSSKTKDATSSGHKVRRWFESAS >Ma11_p06130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4893617:4900096:1 gene:Ma11_g06130 transcript:Ma11_t06130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKLIKEVGDGTFGSVWRALNKQSGEVVAIKKMKRKYYSWEECMNLREVKSLRRMNHPNIVKLKEVIRENDILYFVFEYMEFNLYQIMKDRGKGFSEAEIRNWCFQIFQALAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREVCSQPPYTDYVSTRWYRAPEVLLQSSIYGAAVDMWAMGAIMAELFTLRPLFPGSSEVDEIHKICSVLGSPNEDSWAEGLQLADFMKHQFPQCPPVHLSLLIPSASEDAINLITALCSWDPCRRPKASEVLQHPFFQSCFYVPPFLRPRVASHTPVGIKGAPEQSSTRRYSTGALSVTKSANSSVTAKANASLRAGVQRKLEMDNQEFEKNGKSPKNNLKESRYKPPARLNPGHLGRNLGKVTDVPSKAHVQMTDKLGRMSLNSRTHKTDKPPPSMKAGGWHSQSEIPARRYSRKVVG >Ma11_p06130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4890645:4900096:1 gene:Ma11_g06130 transcript:Ma11_t06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKLIKEVGDGTFGSVWRALNKQSGEVVAIKKMKRKYYSWEECMNLREVKSLRRMNHPNIVKLKEVIRENDILYFVFEYMEFNLYQIMKDRGKGFSEAEIRNWCFQIFQALAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREVCSQPPYTDYVSTRWYRAPEVLLQSSIYGAAVDMWAMGAIMAELFTLRPLFPGSSEVDEIHKICSVLGSPNEDSWAEGLQLADFMKHQFPQCPPVHLSLLIPSASEDAINLITALCSWDPCRRPKASEVLQHPFFQSCFYVPPFLRPRVASHTPVGIKGAPEQSSTRRYSTGALSVTKSANSSVTAKANASLRAGVQRKLEMDNQEFEKNGKSPKNNLKESRYKPPARLNPGHLGRNLGKVTDVPSKAHVQMTDKLGRMSLNSRTHKTDKPPPSMKAGGWHSQSEIPARRYSRKVVG >Ma11_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4890645:4900096:1 gene:Ma11_g06130 transcript:Ma11_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKLIKEVGDGTFGSVWRALNKQSGEVVAIKKMKRKYYSWEECMNLREVKSLRRMNHPNIVKLKEVIRENDILYFVFEYMEFNLYQIMKDRGKGFSEAEIRNWCFQIFQALAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREVCSQPPYTDYVSTRWYRAPEVLLQSSIYGAAVDMWAMGAIMAELFTLRPLFPGSSEVDEIHKICSVLGSPNEDSWAEGLQLADFMKHQFPQCPPVHLSLLIPSASEDAINLITALCSWDPCRRPKASEVLQHPFFQSCFYVPPFLRPRVASHTPVGIKGAPEQSSTRRYSTGALSVTKSANSSVTAKANASLRAGVQRKLEMDNQEFEKNGKSPKNNLKESRYKPPARLNPGHLGRNLGKVTDVPSKAHVQMTDKLGRMSLNSRTHKTDKPPPSMKAGGWHSQSEIPARRYSRKVVG >Ma03_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27978103:27980489:-1 gene:Ma03_g23400 transcript:Ma03_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGFFGYRDQGKCFPCLEELTFRDMPQWEEWSWADGRQLFPCLRKLQIERCPRLKRMPPLPPPLQTLRLDEVGLTEVAGLREGIHGGGSCITASLSSLEISQCPNLRNLEEGLLSHSFPNIGDIAILECAELVWLPVKEFKELTSLKKLSIRSCPKLLSMTRDGDIDIPLPPSIEELVLFDCGNLGKLLLGCLHNLTSLARLEIGGCPSIVSLPETSLLHLKRLEYLKIWKCGTSIDGLRILESLRELTIKLCPKLLLNEGNEQVEGSSVTELCIDDTALFKVSLLRRTLPSVRALTISNLPRATMSDEEEQLLRSLTALRSLEFLDCKNLQSLPRELHAFPSLWLLRIIGCPEIESVPEKGLPTSLRNLRFQGCHAMLTEQLQKHLAEIKSSGRFLSLHQLAIRKDAWSSLGYGFKKLARCKLCQPAFHF >Ma08_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15387731:15389788:1 gene:Ma08_g15290 transcript:Ma08_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g57150 (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G57150) UniProtKB/TrEMBL;Acc:C0SVF3] MSSPSPTANDNKKKKKNKDDQKSAANGGIERTSLPLLPAACFGGDQDKDYFIKPQSFTPAVDTSTWPILLKNYDRLNVRTGHYTPLPAGHSPLKRPLAEYLRYGVLNLDKPSNPSSHEVVAWIKRILRAEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARLHSAVPDVAKVARALETLTGAVFQRPPLISAVKRELRIRTIYESKLLEYDPDRHLVVFWISCQAGTYVRTLCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVLDAQWMYDNFKDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIADMTTAVMATCDHGSVAKIKRVVMDRDTYPRKWGLGPRALMKKKMIAEGLLDKHGKPNEKTPAEWLRNVVLPTGGDSMVASLAAAPESVVQKEEVVFEVVKEKKKNKHKDGTYNDDDGERRKRKLEDAGEVPPVKKVKIEGLQDAILGAEAKKVKDEVEEALEEERSEKKKKKDKEREAEELADGEKIVEKVKKEKGTKDEGKAGPSDEEKSMEKKKKKKKKSEEVIDSKENKVVEKKVKKKEKKKKNYEAEETSA >Ma07_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4487186:4491561:1 gene:Ma07_g06220 transcript:Ma07_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRNLVLALLCLTVLAPIVLYTDRLSAASEPSSNDEVLDEASGLSFGTNQVGEPHALSQELTNSVKEPVGVIYSDNSSNSNQIPTDPVDGFASSTTAELSLGKSEEHKSRVLSAAVDERSRPEREAVIKEVTNGVIGNGLEKPESVEEHKEMGSQQTIPNSIQAKEENESEKQHRQTSPRNNPEKHQTILRKKSSNMTIPDARVRQLKDQLIRAKVYLGIGPIRANPHLVRELRLRIKDTQRVLGDATKDSDLPKNTYEKLKAMELILNKGKQIQDDCAAVVKKLRAMVHSSEEQLRIHKKQELFLTQLAAKTISKGLHCLPLRLSNEYFSLNSSQQQFPYREKFEDPDLYHYALFSDNILATAVVVNSTISNANNPADHVFHIVTDRLNYAAMRMWFLANPPGKAAIQIQTIEEFTWLNDNYSPVLKQLRSQSMIDYYFRTHHGTANSDANLKYRNPKYLSILNHLRFYLPEVFPRLSKVVFLDDDIVVQKDLTGLWKVDMKGKVSGAIETCGESFHRFDKYLNFSNPLIAKNFNPRACGWAYGMNVFDLDEWRKQKITQVYHYWQNLNIDRQLWRLGSLPPGLITFYNHTIPIDHRWHILGLGYNAQVDLKDIESAAVIHYNGNMKPWLDIGMPKYRGYWSKYVNYDHIFIRDCNINP >Ma04_p31940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32111768:32116696:1 gene:Ma04_g31940 transcript:Ma04_t31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIKSAGVITCKAAVAWGPEQPLVLEEVEVDPPKASEIRVKVACTSLCRSDLTQWQSQAQPDLFPRIFGHEASGIVESVGEGVTEFKEGDHVLTVFIGECQSCKHCTSGKSNMCQKLGLERRGVMHSDQKTRFRVNGRPIYHYCAVSSFSEYTVVHSGCAVKISPSVRMDRACLLSCGVAAGIGAAWNVADISKGSTVVIFGLGTVGLSVAQAAKSRGASRIIGVDINPEKYEKGKAFGVTEYINPDECKEPIQQVIKQITDGGADYSFECVGDTSIVSTALQSCCDGWGMTVTLGVPKTNPVVSTHYAFLLMGRTLKGSLFGGWKPKSELPLLVDKYMKGEIQLDELVTHDITFANINEAFSLMREGKCLRCVIHMPK >Ma09_p30180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40439489:40440590:-1 gene:Ma09_g30180 transcript:Ma09_t30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDTKLSLEGVEGQAPDVGEQDYTQRAQWLRAAVLGANDGLVSTASLMMGVGAVKDDPKAMIISGFAGLVAGACSMAIGEFVSVYAQLDIEVAQMKRELQTKGDGASADRLPSPVQAAAASALAFSLGAVVPLLAAGFIGNYKVRLGVVAAAASAALVVFGSVGAVLGRAPVGRSCLRAVVGGWAAMAVTFGLMRLFSVSAL >Ma07_p05010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3643177:3647823:-1 gene:Ma07_g05010 transcript:Ma07_t05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPRFPGIMGSGVGIDNGNSFYYMAYYRKLGEGSNMSIDSINSIQTSVDGGSIAMSRDASSVGSSDSRTGILNHPGLRQIAIPNYSVDHSVLRPGRVNPGLAADALVHALMDMGHPTETLQGYEEWTIDLNKLNMGLPFAQGAFGKLYKGTYNGEDVAIKLLERPENDPERTQLMEQQFVQEVMMLATLKHPNIVRLIGACRKPVVWCIVTEYAKGGSVRQFLMKRQNRSVPLRLAVRQALDIARGMAYVHGLGFIHRDLKSDNLLIFTDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPTIPHDCPLALGEIMTRCWDANPDVRPPFPEVVRMLEIAEMEIICTVRKARFRCCISEPMATD >Ma07_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3643177:3647823:-1 gene:Ma07_g05010 transcript:Ma07_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPRFPGIMGSGVGIDNGNSFYYMAYYRKLGEGSNMSIDSINSIQTSVDGGSIAMSRDASSVGSSDSRTGILNHPGLRQIAIPNYSVDHSVLRPGRVNPGLAADALVHALMDMGHPTETLQGYEEWTIDLNKLNMGLPFAQGAFGKLYKGTYNGEDVAIKLLERPENDPERTQLMEQQFVQEVMMLATLKHPNIVRLIGACRKPVVWCIVTEYAKGGSVRQFLMKRQNRSVPLRLAVRQALDIARGMAYVHGLGFIHRDLKSDNLLIFTDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPTIPHDCPLALGEIMTRCWDANPDVRPPFPEVVRMLEIAEMEIICTVRKARFRCCISEPMATD >Ma11_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26649164:26649229:1 gene:Ma11_g23220 transcript:Ma11_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNREVKVRWILGLFSALCTV >Ma06_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8422308:8426831:-1 gene:Ma06_g12130 transcript:Ma06_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQREHETEIYVVRQDRHGEDVEIVEASNVDSSDVATSSQSRHTPKPISLVRLFLACMVAGGVQYGWALQLSLLTPYIQTLGLSHALSSIIWLCGPVAGFIVQPCVGLWSDRCRSRFGRRRPFIFVGCCLICIAVLVVGFSSDIGYALGDTKKHCSVYRGARWHAAIIYVLGFWLLDFSNNAVQGPARALMADLSGHHGCSAANAIFCSWMALGNILGYSSGSTGNWHKWFPFLMTRACCEACANLKAAFIIAVFFLIISLTVTLIFAKEVPLSGPAPDPQAPARVDSKEELMPSEGEQSTSFLAVFKAFKNLPPGMPSVLLVTSLTWLSWFPFILYDTDWMGREIYHGNPKGTNAEIDAYNRGVRQGAFGLLLNSIVLGVTSFLIEPMCRKVGSRVVWAASNFMVFVCMAATAVVSVWSSKEFNGSIQQAITADSGVRATALVLFAVLGVPLAVLFSVPFAVAAQLVVDKGTGGQGLCTGVLNISIVIPQVIIALSAGPWDALFGKGNIPAFALASAIAFVSGFVGLFMLPRLSRSNFATSGLAGGH >Ma09_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36656255:36671588:-1 gene:Ma09_g25080 transcript:Ma09_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVASAGNTSNSTRPRKEKRLTYVLNDADNTKHCAGINCLAILNSATPNGCDHLFTGSRDGTLKRWALSDNDATCSATFESHVDWVNDAVLAGNNLVSCSSDTTMKIWNPLSDGVCSRTLRQHSDYVTCLAAAEKNSNIVASGGLGAEVFIWDIDAALLPLAKTVDPKEEELPNGNLGLPLSSLRGMNTGNNMSFHNNQSRGYSPIVAKGHKESVYALAMNDSGTLLVSGGTEKVIRVWDPRTGSKNLKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASNSTFTHVYSGGRDLSLYLTDLSTRESLLLYTKEHPILQMALQDDSIWVATTDSSVHRLPAEGCNPQKVFQRGGSFLAGNLSFTRARASLEGSAPVPVYKEPSFTIPGIPGIVQYEILNNRRHVLTKDTSGSVKLWEITRGIVIEDYGKVSFDEKKEELFEMVSIPAWFAMDTRLGSLSIHLDTPQCFSAEMYAVDLNISGAPEDLKINLAQETLRGLLVHWAAKRRQRSGSQASSNGNVASSNDVTRNLPHSKIEVDDGAENNTFVVLPSFEFATDSPPSIVTEGSHGGPWRKKITDLDGTEDEKDLPWWCMDCVLNGRLPPRENTKCSFHLQACEGSSVQILTQGKLSAPRILRINKVINYVIEKMVLDKPLDGGSSDGASGLGLSTGPSQLSTLGDVSLRPGMKPWQKMKPSIEILCNNQVLSPEMSLATVRTYIWKKPEDLVLNYRLVPK >Ma00_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2967878:2970387:1 gene:Ma00_g00750 transcript:Ma00_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNASDNWLDFSLSQQRCLLEAFSDAPHHHGGIEGTDEETNAATELVALAGMGPKLEDFLGGPPGRYSGGDVDTGPKGVCDSDLKTIAAGFQRGIPAEQEKPKAAQKAPLEESRMAADSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRRQGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDMSRYDVERIVSSNLPVGGITGKSSASTSSDTMSVDVRHQIEHHDPWATLGLIANIPMKQDHDFCSLLTLHQHHHHHQQHGQALDFGLFSSSGVAMDLAAAYSNNDMSQESGSELPERQQEQSQSSSQCYSIPPCVTTVGSFGGNGYGGYNYVGDWVAPPPSYYHQTSKPDVAACHTAIFGME >Ma03_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6962597:6974700:1 gene:Ma03_g09430 transcript:Ma03_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEGLVPITRAFLARYYDTCPLPPLSEDVSRLTAELRELSDGLARESPLTSGEELLVHEVDHQPAHKIDENLWKNRENIEEILVLLEESHRPRLLQEKAIPEYVDIAATLGELEVKLRSTLKTLEAFQQTNADNVFNTVMTYMPQDFRGSLIRQQRERSERNRQAEVDALVNSGGSIHDRYALLWQQQMERRRQLAQLGSASGVYKTLVKYLVGVPQVLLDFIRQINDDQGPMEEQRQRYGPSLYSLTKMVLNIRLFLLLTWGRFEEKKIQMDQISLMQHAVDLYTHEFEKFIKFISKVYANSPFFIRAEDAGATESRKSYDDYKETIIPPGKTHEITLTVESINSYIAWDFSLIQGTLSHDIGFHVEYVNPSGDVTLILPYRRYVSDQGNFCTILAGSYKLKWDNSYSTFSKKSLRYKVDAVPPVVDTPHPIDEP >Ma08_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2980896:2982616:-1 gene:Ma08_g04280 transcript:Ma08_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVCPIEHLCYVRCTYCNTLLAVGVPFRWLMDRVTVNCGHCHHLSFLSPGDVVQCICPISHLQMSLQGPCFGSRREPPSLRRSSTSGEQFKKAPFVLYDVKHRAPSAYNHFMREEIQRIKAAKPDIPHREAFSMAAKNWANSDPRNSSDV >Ma09_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6363064:6375592:1 gene:Ma09_g09680 transcript:Ma09_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDTERNPYPNDGVRELGDGSADNALFDASRYAFFGKDVMEEVELGGLEDKDGDTDGFVDLNDEKHTFSSIGDKEFEGFASLSEIDDLESTFRKLNRVVSEPRSVGIIGDRGSFSRESSSAAEWTQEADFPSWIDQEIFDAEDAEEGKRWWSQPDATWSQLNELNPLYRTSSYPEQQQQQHSSELIHGPKSSFTSYPPPGGQCHPSLNLTRHLSLPSVTAALQIPIPFPYSSSQHQLEGLPHGLHYGANAQFTPHGISSTCIPVNYWLNQTSLFSREHSSMLPNLLQKQLLLPNGSLPSHLLSQQQQQRLQLVQQSLTHFSHLQPNNLNLHNQPLRKINKFEAATGMSSSRDHRSKTSHRGRHNMRLSQRASETGILKSNSGFQLRSKYMSAEEIESILRMQHAATHITDPYIDDYYHQACLAKKSASSRLNHNFCPTVIKDPPSRSRANNESHANCQVDALGRVQLSSIPRLHPLLEVNMPSASGDDHSMKPLEQEPMLAARITIEDCNSLLLDIDDIDRVLQVNQPQDRGLHLKRRRQVLVEVIAALLQLVDPLGPGTADHSVGFAPNDDLVFLRIISLPKGRKLVHRYLHLLIPGNHVTRVICMAVFRHLRFLFGGLPSDSIAAETTINLAKSVSLCVCNMELSALSACLAAVVCSSEQPPLRPVGSSAGDGASIIVKSVLDRATDLLTDPYAASNYSVSSRTLWQASFDAFFGLLTKYCLSKYDSTMQTLLMQAPSTAITGSEATRAISREMPIELLHASLPHTNENQRKVLLDFTQRSMPVVHFSTHGVLSRAESSESVPG >Ma09_p09680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6363064:6375594:1 gene:Ma09_g09680 transcript:Ma09_t09680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHESFVVLKFLCRFIFTCICIFLVMHFISCCLSSVYTRGLSTILPICKIDPDNALFDASRYAFFGKDVMEEVELGGLEDKDGDTDGFVDLNDEKHTFSSIGDKEFEGFASLSEIDDLESTFRKLNRVVSEPRSVGIIGDRGSFSRESSSAAEWTQEADFPSWIDQEIFDAEDAEEGKRWWSQPDATWSQLNELNPLYRTSSYPEQQQQQHSSELIHGPKSSFTSYPPPGGQCHPSLNLTRHLSLPSVTAALQIPIPFPYSSSQHQLEGLPHGLHYGANAQFTPHGISSTCIPVNYWLNQTSLFSREHSSMLPNLLQKQLLLPNGSLPSHLLSQQQQQRLQLVQQSLTHFSHLQPNNLNLHNQPLRKINKFEAATGMSSSRDHRSKTSHRGRHNMRLSQRASETGILKSNSGFQLRSKYMSAEEIESILRMQHAATHITDPYIDDYYHQACLAKKSASSRLNHNFCPTVIKDPPSRSRANNESHANCQVDALGRVQLSSIPRLHPLLEVNMPSASGDDHSMKPLEQEPMLAARITIEDCNSLLLDIDDIDRVLQVNQPQDRGLHLKRRRQVLVEVIAALLQLVDPLGPGTADHSVGFAPNDDLVFLRIISLPKGRKLVHRYLHLLIPGNHVTRVICMAVFRHLRFLFGGLPSDSIAAETTINLAKSVSLCVCNMELSALSACLAAVVCSSEQPPLRPVGSSAGDGASIIVKSVLDRATDLLTDPYAASNYSVSSRTLWQASFDAFFGLLTKYCLSKYDSTMQTLLMQAPSTAITGSEATRAISREMPIELLHASLPHTNENQRKVLLDFTQRSMPVVHFSTHGVLSRAESSESVPG >Ma09_p09680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6363064:6375592:1 gene:Ma09_g09680 transcript:Ma09_t09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNWEMVAQFEGFASLSEIDDLESTFRKLNRVVSEPRSVGIIGDRGSFSRESSSAAEWTQEADFPSWIDQEIFDAEDAEEGKRWWSQPDATWSQLNELNPLYRTSSYPEQQQQQHSSELIHGPKSSFTSYPPPGGQCHPSLNLTRHLSLPSVTAALQIPIPFPYSSSQHQLEGLPHGLHYGANAQFTPHGISSTCIPVNYWLNQTSLFSREHSSMLPNLLQKQLLLPNGSLPSHLLSQQQQQRLQLVQQSLTHFSHLQPNNLNLHNQPLRKINKFEAATGMSSSRDHRSKTSHRGRHNMRLSQRASETGILKSNSGFQLRSKYMSAEEIESILRMQHAATHITDPYIDDYYHQACLAKKSASSRLNHNFCPTVIKDPPSRSRANNESHANCQVDALGRVQLSSIPRLHPLLEVNMPSASGDDHSMKPLEQEPMLAARITIEDCNSLLLDIDDIDRVLQVNQPQDRGLHLKRRRQVLVEVIAALLQLVDPLGPGTADHSVGFAPNDDLVFLRIISLPKGRKLVHRYLHLLIPGNHVTRVICMAVFRHLRFLFGGLPSDSIAAETTINLAKSVSLCVCNMELSALSACLAAVVCSSEQPPLRPVGSSAGDGASIIVKSVLDRATDLLTDPYAASNYSVSSRTLWQASFDAFFGLLTKYCLSKYDSTMQTLLMQAPSTAITGSEATRAISREMPIELLHASLPHTNENQRKVLLDFTQRSMPVVHFSTHGVLSRAESSESVPG >Ma04_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3837912:3842590:-1 gene:Ma04_g05080 transcript:Ma04_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASHLLTSTLFGTRLRPKSTPNPTLLLLLPRRTNSIRSLLDRNKNSNDNRLTLSPSVAAAAAFLLSSLPPVAIAEDVAPVPPPTSPPAVQFDAASKPDNPFAQSLLTAPRPQTSSDLPEGSQWRYSEFLDAVKKGKVERVRFSKDGGVLQLTAVDGRRAAVIVPNDPDLIDILAMNGVDISVSEGDAGNGLFNFIGNLIFPFLAFAGLFFLFRRSQGGPGGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGSGGNPFLGQQMSTQKDYSMATADVVDAEVRELVERAYSRAKQIITTHSDILHKLAQLLIEKETVDGDEFMSLFIDGKAELYVA >Ma11_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3192009:3194914:-1 gene:Ma11_g04090 transcript:Ma11_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGEQRNETQHHRPVGVRFLEYIRGTPFTFRTYQAIVLVLTFFAYASFHATRKTTSIVKSVLDPQTTELGFTHWSRFYFLGTVEGAQRNPGLNAGWAPFDTSEGTAMLGQIDVAFLSIYSLGMYFAGHLGDRFDLRVLLALGMAGTGIFTSLFGAGYWMNIHSFYYFLVVQMLAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSIGNISGSLIASALLKYGWGYSFAVPGLIIALFGLTVFLFLPVSPEKMGIENEEESQLKSPEKDGITQPLLEGRPNEKGRAVGFVEAWGIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTAIDGKYLSDSTAGTLSSLFDVGGVVGGILAGHISDRLDARALTAASFMYCAIPALFFYRIYGSISLYWNVALMFVAGMFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAIGPLVTGYISANSWSAVFTMLMAAALVAGLLLTKLVVAEVTAKMESGGSRTGGLPQRSSVPETLV >Ma10_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14842229:14850213:1 gene:Ma10_g04150 transcript:Ma10_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGFKVLHMDRNGYYGGESTSLNLIQIWKRFRGSDKPPAYLGPSRDYNVDMIPKFMMANGTLMCTLVHTNVTKYLSFKTVDGSYVFNKGKIHKVPATDMEALKSSLMGLFEKRRARKFFIYVQNYDESDPKTHEGLNLSRVTTRQLISKYGLNDNTTEFIGHALALHRDDQYLNEPALDTVKRMKMYAASLSRFQGGSPYIYPLYGLGELPQAFARLSAAYGGTYMLNKPECKVEFDMRGKAYGVTSEGTTARCKKVVCDPSYLPNKVRKVGKVARAIAIMSHPIPDTNWSHSVQIILPQKQLGRKSDMYLFCCSYLHKVAPKGKFIAFVSTEAETDRPEVELKPGIDLLGPVDELFFETYDRYKPINTPSSDHCYISTSHDATTHFESTVMDVLSMYTMITGKTIDLNMDPSAAGIAIE >Ma06_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2875322:2879049:-1 gene:Ma06_g04000 transcript:Ma06_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSAVLLRLAVLLPLLLLTVAPGAAGVWLNLPASGTKCVSEEIQPNVVVLADYAVVHEGHAEGGLPTIAAKVTSPYGNTLHHKEHVTAGQFAFTTTEAGNYLACFWIDSGDKGVDTSVSLDWKIGIAAKDWDSVAKKEKIEGVELELTKLEAAVQAIHENLLYLKSREAEMREVSERTNARVAWFSIMSLGVCIVVSILQLWHLKSYFQKKKLI >Ma10_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24583222:24583374:1 gene:Ma10_g10850 transcript:Ma10_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEARHCLGATCGASDFKAQTLIYRLNKRYQT >Ma05_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11522710:11524661:-1 gene:Ma05_g15450 transcript:Ma05_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKIEGLFSAIGLLLLLLLLSRSHLATSQEVEDESEFSYEEGSPNGPQRWGEIREEWAICNNGDMQSPIDLLHERVKVLPGLGRLRRSYRAANATLKNRGHDIMLEWPEEAGRIHIDGTDYELKQCHWHSPSEHSINGKRFALELHMVHQSADERVAVVGIMYKIGRPDSFLTELMEYIEEIAGNKEEERVVGFVDPRYIKIGSRKYYRYMGSLTTPPCKQGVVWTISEKIRTVSKDQVSLLREAVHDDAENNARPLQPLNGREIQFYTPRLRKNDEIFHP >Ma07_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2427560:2429343:1 gene:Ma07_g03130 transcript:Ma07_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHSLALSPRHRLLSSPLISPKAGGSRRHRVSAIAVPPLRRNAVQHSMPPEKVEVFRSLEGWATRALLPLLKPVEQCWQPTDFLPDSSRPTEEFEEEVRALRARTAELPDDYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASECPWAVWTRKWTAEENRHGDILGKYLYLSGRVDMRMLEKTVQYLIGAGMDPGTENNPYLGFVYTSFQERATFISHGNTARLAKDRGDAVLARVCGTIAADEKRHENAYARIVEKLLELDPDGAMLAIADMMRKKITMPAHLMVDGRDPRLFDHYSAVAQRLGVYTAADYVAVVEFLVDRWRLEKLEAGLSGEGRRARDFVCGLPARMRRVQERAKVAEPKRVKFSWIFDREVTI >Ma10_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26194568:26197782:-1 gene:Ma10_g13360 transcript:Ma10_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVNFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAYDGYMLPKLYIKMQYCVSCAIHSKVVRVRSRTDRRNREPPQRYRRRDDLARPGQGPRPVGAATNPPRP >Ma02_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14781126:14781710:1 gene:Ma02_g03430 transcript:Ma02_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDATSSPAGRLGVQSIEQPQSLAASCFGHSSVPFSAGAMQAGVGGRVSRPPRRRTRVSRRAPVILLNTDAANFRAMVQHFTGLSSEPYSPANQPGGRSAIKLGLSFHDAVLETMTAYSEKRFQPQWQQQRYPEAMFSLHSNRNSGSDALPQALRHSAMNREMADGLLFAGISSQMTIRPTSLNTRVDGYFS >Ma03_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3155817:3163265:1 gene:Ma03_g04790 transcript:Ma03_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRRVDDEMEVKKERAVRFYPNDKQNPAKSTYQGLKPDGYISSRVGNFNTGKSIVFAEDHEPWRKRILDPGSDIALKWNRIFLISCLVTLFIDPLYFYLPKVELETSNNSTCMKMDENVSVAVTVFRTLADLFYILHIVIKFRTAYVAPSSRVFGRGELVMDPKRIAMRYLKSDFIIDLAAALPLPQILIWSAIRNSRADHNNNALALIVLVQYIPRLYLIFPLSCQIVKADGVVTKTAWAGAAYNLLLYMIASHVLGASWYLLSVERQTTCWKSECMKENGTDGPSCDPSFLDCSSLKESVRHNWANLTNVFHNCDPSNDNITFKYGIFESALTNGALSTAFIKKYFYCLWWGLQNLSSYGQTLTTSTFIGETLFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMWHRQLPHDLRDRVRRFVQYKWLATRGVDEESILQTLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLCTEGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSIALRPGDFCGEELLAWALLPKVTVNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSRKLQHTFRLHSHHWRTWAACFIEAAWRRYKRRKMAKDLSMRESFNSQTDEQTADETVLEEDEVNTYSTSTSQPKQNLGATILASKFAATTRKGAQKIKLQKPEEPDFSTDPYD >Ma04_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13127508:13133435:-1 gene:Ma04_g15760 transcript:Ma04_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPTSTRNRQLPPSLVANLQNDLAGRKPPGGDGVEGDSAAAPVEAAADSDPAVDGPRRPVVLVTNADGISSPGLTHLVEALVRIGQYDVYVCAPESDMSASAHSVTIHRTLAATSAPIEGAKGIESGHPADCISLALSGALFSWSNPALFYSGAVAGAREAIMIGVPFVAMLTHRSDLCLQKEESRESDFKDAVEVCLPLIKAAMRDIEKGLFPRKCLLNIEIPTARSKNKGFKLTKHSFWRSIRSWQAVSRNRYPAGHFMSMNQGLGAQLAQLNRDASAAGAARRSGGQSKMLETESVAAAGKPDQREVVKKLFRLELRILLEMQQEDPDEDLDFRALKNGYIAVTPLHLDMHVESQIRASVSDWLSAALEGCRDAS >Ma02_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13613912:13616164:1 gene:Ma02_g02390 transcript:Ma02_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLVPPLVLLCSDLLLHSSPPLISALPFDPLASGAAPTGQVYASRRPSNVIFHPPTGSCVLRKSVTDPLRLGPCNQSDDWNYTPQKFLVVKGTYFCLQSVDSGKPAKLGIVCSESDSSWDITSKSKAQISKELPDGTALCLDVDPENTLITNPCLCLSIGTCDRESQWFEFTARNEVPVAQLSPMNTAQNSTSP >Ma02_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27033428:27037924:1 gene:Ma02_g21410 transcript:Ma02_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCGTPIQLLCRSRGESRTTLAPRSSVSFPRDVKCRSLIRVRSSAISAPPMSGSFTPAISLTDKALNHLNTMRSERDEDLCLRIGVKQGGCSGMSYTMEFENRANTRPDDSVIEYNGFVIVCDPKSLLFLYGMLLDYSDALIGGGFSFKNPNATQTCGCGKSFAAESI >Ma11_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27177842:27183405:1 gene:Ma11_g24040 transcript:Ma11_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENPQMLPTNRSRTQPRAVRPWPLSGMDNSDSRRKPHVYGKLLMAVILTAFCILILKQSPNFSGSNVFSHHEPGVTHVLVTGGAGYIGSHAALRLLTDSYRVTIVDNLSRGNLGAIRVLQQLFPEPGRLQFIFADLGDARAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVILEAMAAHGVKTLIYSSTCATYGEPEKMPITEETPQLPINPYGKAKKMAEDIILDFSKRSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYATSDGTCIRDYIDVTDLVDAHVKALDKARPNKVGIYNVGTGKGRSVKEFVEACKKATGVNIKVDYLERRPGDYAEVYSDPSKINSELNWTAHYTDLQKSLSTAWRWQKSHPNGYRSPSAMAV >Ma11_p24040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27178151:27183405:1 gene:Ma11_g24040 transcript:Ma11_t24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKENPQMLPTNRSRTQPRAVRPWPLSGMDNSDSRRKPHVYGKLLMAVILTAFCILILKQSPNFSGSNVFSHHEPGVTHVLVTGGAGYIGSHAALRLLTDSYRVTIVDNLSRGNLGAIRVLQQLFPEPGRLQFIFADLGDARAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVILEAMAAHGVKTLIYSSTCATYGEPEKMPITEETPQLPINPYGKAKKMAEDIILDFSKRSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYATSDGTCIRDYIDVTDLVDAHVKALDKARPNKVGIYNVGTGKGRSVKEFVEACKKATGVNIKVDYLERRPGDYAEVYSDPSKINSELNWTAHYTDLQKSLSTAWRWQKSHPNGYRSPSAMAV >Ma10_p30470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36770129:36770488:-1 gene:Ma10_g30470 transcript:Ma10_t30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVLSFSLRLPQFSLFSVKAALLLLSILTTVFNLHTVTCYFFKVCSWQDADRLFGG >Ma08_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5680013:5685231:-1 gene:Ma08_g08180 transcript:Ma08_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRESDEVVESSVGGVAPRDMENNASSFFDDEEMGIRDPLLRWQTGNTTSQLAVVGANVCPIESLDYEVVENDIYKEDWRSRKKEQIFQYVLLKWTFALLIGLATGLVGFFNNLAVENIAGFKWLLTSNLMLKHRYHEAFAVFAGCNASLAAAASALCAYLAPAAAGSGIPEVKAYLNGIDAHPILAPSTLFVKIFGSICGVSAGFVLGKEGPMVHTGACIASLLGQGGSRKYHLTWTWLRYFKNDRDRRDLITCGAAAGVAAAFRAPLGGVLFAYEEAASWWRSALLWRTFFTTAVVAVVLRTFVYFCRSGNCGLFGKGGLIMFDLSSNISAYGTPDLIAVVLLGVIGGVLGSLYNFLIDKTLRKYSIINERGVPFKILHAVAISLLTSCCSYGLPWLVKCTPCPPDILDQCPTIGRSGNYKNFLCPPNHYNDLASLFLNTNDDAIRNLFSGGTNNEFHISTLIIFFAGVYCLGIVTYGIAIPSGLFIPVILAGASYGRLVGTLLGPISKLDEGLFALLGAASFLGGTMRMTVSVCVILLELTNDLMILPFVMLVLLISKTVADCFNKGVYDQMVKLKGFPFMEAHAEPYMRNLKAGDVVSGPLITLAGVEKVGNIVHALKWTRHNGFPVVNEPPFSDARELCGLALKSHLLVLLKGKGFTKERVSTGAADVLRRFDPFDFAKAGSGKGVKLEELDIDDAEMEMYVDLHPIVNRSPYTVKETMSLAKAAVLFRELGLRHLCVVPKSPGGSPVAGILTRHDFMPEHILGRFPHLKNH >Ma06_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22355527:22356081:-1 gene:Ma06_g24020 transcript:Ma06_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHLVMASSKVIKYHGAEVNSCCSFMHAYGLVLEQKDQELRRSYSDMVAESEKKLMMDAETRSNEVESVKCECCGMSQDCTRIYIERIKAFFCGHWVCGLCSEAVKEEMRLRPAVAMEEAMRAHMALCKGFNRSRLNPQLSLASAMRDIARKSLQHRTSDVFFASEARRTTSCKFRRASFQ >Ma06_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25366216:25373899:1 gene:Ma06_g25100 transcript:Ma06_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGAKKNKEPPPTIQEATDRINKRGETVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMMYNQTFNLDQVAFATEGLKDAQQTMSALKSANKELKGVMKTVNISDIDSMQDEMLDMMDISTEIQESLGRSYNVPDDIDEEELFGELDALEADMGAEIESDAVPSYLQPDKESDLDSELNLPAAPTGNAAAVHNRVNPQDDLGLPAVPQASLRN >Ma09_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1465849:1465974:1 gene:Ma09_g01980 transcript:Ma09_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMMVCWDLCDHPFNSLFSLEAAAQTSEDCGFYCEFFSFI >Ma06_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21126872:21130779:-1 gene:Ma06_g23300 transcript:Ma06_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYQRVIPDVLPLGNGRKPCLRPCKEHDAVLDYTSEAKPSLLARSASGSANPSPPRDQPCSFPSDSSPARSSDRPPSSSTATAAKAQNHHYHREVNGLSPDGGGDVLLQWGHNKRSRGSRAEASPAAHSRHKIQLRSAATVMPPPSCGSFSRGANYRPLITRGTEDSSSGLTRTERRCPASPPEKSRKASAKATLNGCTAADVSANPEQSKRAPDRETGGGGGTMTAGEKLNLDKFEWPRIYVSLSRKEKEDDFLAMKGTKLPHRPKKRAKNIEKALQYCFPGMWLSDLTRGRYEVREKKSVKKKRAGLKAMESLDSDSE >Ma06_p23300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21127489:21130779:-1 gene:Ma06_g23300 transcript:Ma06_t23300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYQRVIPDVLPLGNGRKPCLRPCKEHDAVLDYTSEAKPSLLARSASGSANPSPPRDQPCSFPSDSSPARSSDRPPSSSTATAAKAQNHHYHREVNGLSPDGGGDVLLQWGHNKRSRGSRAEASPAAHSRHKIQLRSAATVMPPPSCGSFSRGANYRPLITRGTEDSSSGLTRTERRCPASPPEKSRKASAKATLNGCTAADVSANPEQSKRAPDRETGGGGGTMTAGEKLNLDKFEWPRIYVSLSRKEKEDDFLAMKGTKLPHRPKKRAKNIEKALQKLYKTN >Ma03_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24068151:24068634:-1 gene:Ma03_g18430 transcript:Ma03_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDRFWCFFTRRKQNRADKIITCSSKDAAMKKTLRYYYIGQPPEGIKTNRVMHRYHLLDGILGSSPSGGSSSSTSTRGKRRRAVCTYYYHELNRWVIRRVHESTSSSQASFRGSKRYSYPWMISMKYACQIKTAIP >Ma02_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26566693:26572980:-1 gene:Ma02_g20700 transcript:Ma02_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLSFSLLMLVISFIPANARSLATLDAFVVEVDNKIQSESSIIKNEKLCTLCEDFTSKALYYLGENETQTQVISTLHKACSTLHSFKQQCITLVDYYAPMFFLEVSTVSPEQFCEKVNLCGETVVMQLPKRDDACNLCHNVVVEVLVKLKDPDTELEVLETLLKGCSKMENFAQKCKKLVFQYGPLILANAENFLETNDVCTAIHACKDSQEDLTASMLADA >Ma03_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16792702:16793373:-1 gene:Ma03_g15670 transcript:Ma03_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQYGAPDKDTNLGSMQNMATVAITTAAVAIAMAAVAAATAAVAAATATVVAAMATKAAVEEEAIAKEVGDSYSEEERWGNVGEHNTRDAADEGNRRWRRVAVEKTIAVRRRGKICRTDGLTMKKQW >Ma04_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7362099:7362233:1 gene:Ma04_g10330 transcript:Ma04_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSVSAHRRCCVVLDIFFLVIRYILRFQTVCVHSIHFFVWLFV >Ma09_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4818164:4827195:-1 gene:Ma09_g07370 transcript:Ma09_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRSDSDSSPKSFSGDSSSGSEEVKPIRRAGGSRRFADPGSPSNAQQSSDEGGSSDGVLVDLPGNRDQDSRGSPGEPDSGILVNIDGSMQESTDDSGREDTFEDAPDQLGVAVARSLRLEESMAVIDIGESSTGRLGTNELTRFQARLEDVVAECQKYKDEREVFEKEVVSLWRRLQDIFDRHSLLAAAKNDESVSLPQLKTSGGEDRALSSPTPLYLMLNECSQFLVDLESTLDERINSDGIMRELRAVLNEKDQEIEDLNVKASESSVSHDVIFSYLGSLHKTWSKSMEDSTNLLTRRLLSSLESVVGEAHVPIKDSPTDDISLVEQKTLMLTEKHSQFLSEIHLLQQCLAEVGPAFTASEENELGNIFSFAREKLFESKTKEGYLHEEMNRLEEENRRLVEQLERMKESLEAAEVEKNKTKAELEQSENKLVATREKLSIAVTKGKSLVQHRDSLKQSLAEKTGELEKCMQELQQKSEALQATEVSLEELKQLLYERTSELEKCLEELQHKTDEFETAKVIIEDLNATNNLVSALQESLSQRDKFLQEIEEIMLVTNSPQEVLSMETIDRVRWFVNQKNAADIIILENKKIRDAISSIELPEDVSPRELDYQINWLLTAFTHAKDDNSKLRDQISGFQLAMVSHETEMSEAHKEIACLDSYLLEEKSAKEILHNEHEDLKCKYEEMVQKLSTLSSDKDQLMKVLLELSESTLDDHISVDTSSIAEKCMIMVSEKMKSSLAEIERYERMLSTLYLTAQELKLCEGILEDEMIDRSAMVKLSDELTKLSNEAFVLKNEKDSIQKQLDLVEEKNSLLREKLSMAVKKGKGLMQERDHLKLSVQEKEIEIENRTHELQLKDSTINEYQEKIKNLSAKVEHIEKLEADIVLLKDEREQSQQILHERGTILNNLVSSIGKIVVPSVEVLEGPLEKVNWIAEYIQQTEVAKSNALEELHKAKDEASLQASRLSDAFATIKSLEDELSKAEKHISFTVEEKNVIQLGKISIEHEFEKLKEESSSHASKLSEAYATIKSLEDALQEAEKDIVRLNTDMNELEAKSKQEIIDLNAKLIQCREELAGTREIIENHSAELNNQLGYLEMFIKDESLFSRMAEKFSKSIEGLRTMNNLIQNMHSHFSSVGLRVHPSMQHDPAFRELPSLPKFEDFMDNRAIQLEASAADNEDISSLAKIVGSLHARAELCGDNFEVFCKILDEHIAGILQAMQATRDEFVHVLEHSESLKLDVHKLEAHNKVQEAKLVSLQKGLMTLFPACIDAMRELNQFSDSSGTLSSLDKEAFSGGLEEEDTECYAKAADSLLLAAKRIKNQYQQSSNSEKVWLTAADDMKSKLEEAESIAKTAIQEQMIDQERISTLERDLEALRELCHDMKIKVENYQAKEDMLKDKEQELLTMQNALDREIGGQELFKSQMNALMDKVNKLEVHFIETETHNPEVQYSGPVEKLFFIVDKVIDMQKKMDILTYDKEDMQLMIASHVREIEYLKRSAETIDIKYQELESQKNELLEITGDLEKIVKRLGGYDPLQDQKPLSAKLLLVVLERLITASRLESENLKSKAQELGAKLQAKDNLIKELSEKVKILEDSIHTRQDVTKERTVFEETPTTLEPEISEIEDGLLAKNISPVATAAQLRTTRKGSNDHLILNIDSGPVHSIAAREIDAKGHVFKSLNTTGLIPKQGKLIADRIDGVWVSGGQLLMRRPGARLSIMAYMFFLHLWLLGTIL >Ma09_p07370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4818164:4827195:-1 gene:Ma09_g07370 transcript:Ma09_t07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRSDSDSSPKSFSGDSSSGSEEVKPIRRAGGSRRFADPGSPSNAQQSSDEGGSSDGVLVDLPGNRDQDSRGSPGEPDSGILVNIDGSMQESTDDSGREDTFEDAPDQLGVAVARSLRLEESMAVIDIGESSTGRLGTNELTRFQARLEDVVAECQKYKDEREVFEKEVVSLWRRLQDIFDRHSLLAAAKNDESVSLPQLKTSGGEDRALSSPTPLYLMLNECSQFLVDLESTLDERINSDGIMRELRAVLNEKDQEIEDLNVKASESSVSHDVIFSYLGSLHKTWSKSMEDSTNLLTRRLLSSLESVVGEAHVPIKDSPTDDISLVEQKTLMLTEKHSQFLSEIHLLQQCLAEVGPAFTASEENELGNIFSFAREKLFESKTKEGYLHEEMNRLEEENRRLVEQLERMKESLEAAEVEKNKTKAELEQSENKLVATREKLSIAVTKGKSLVQHRDSLKQSLAEKTGELEKCMQELQQKSEALQATEVSLEELKQLLYERTSELEKCLEELQHKTDEFETAKVIIEDLNATNNLVSALQESLSQRDKFLQEIEEIMLVTNSPQEVLSMETIDRVRWFVNQKNAADIIILENKKIRDAISSIELPEDVSPRELDYQINWLLTAFTHAKDDNSKLRDQISGFQLAMVSHETEMSEAHKEIACLDSYLLEEKSAKEILHNEHEDLKCKYEEMVQKLSTLSSDKDQLMKVLLELSESTLDDHISVDTSSIAEKCMIMVSEKMKSSLAEIERYERMLSTLYLTAQELKLCEGILEDEMIDRSAMVKLSDELTKLSNEAFVLKNEKDSIQKQLDLVEEKNSLLREKLSMAVKKGKGLMQERDHLKLSVQEKEIEIENRTHELQLKDSTINEYQEKIKNLSAKVEHIEKLEADIVLLKDEREQSQQILHERGTILNNLVSSIGKIVVPSVEVLEGPLEKVNWIAEYIQQTEVAKSNALEELHKAKDEASLQASRLSDAFATIKSLEDELSKAEKHISFTVEEKNVIQLGKISIEHEFEKLKEESSSHASKLSEAYATIKSLEDALQEAEKDIVRLNTDMNELEAKSKQEIIDLNAKLIQCREELAGTREIIENHSAELNNQLGYLEMFIKDESLFSRMAEKFSKSIEGLRTMNNLIQNMHSHFSSVGLRVHPSMQHDPAFRELPSLPKFEDFMDNRAIQLEASAADNEDISSLAKIVGSLHARAELCGDNFEVFCKILDEHIAGILQAMQATRDEFVHVLEHSESLKLDVHKLEAHNKVQEAKLVSLQKGLMTLFPACIDAMRELNQFSDSSGTLSSLDKEAFSGGLEEEDTECYAKAADSLLLAAKRIKNQYQQSSNSEKVWLTAADDMKSKLEEAESIAKTAIQEQMIDQERISTLERDLEALRELCHDMKIKVENYQAKEDMLKDKEQELLTMQNALDREIGGQELFKSQMNALMDKVNKLEVHFIETETHNPEVQYSGPVEKLFFIVDKVIDMQKKMDILTYDKEDMQLMIASHVREIEYLKRSAETIDIKYQELESQKNELLEITGDLEKIVKRLGGYDPLQDQKPLSAKLLLVVLERLITASRLESENLKSKAQELGAKLQAKDNLIKELSEKVKILEDSIHTRQDVTKERTVFEETPTTLEPEISEIEDVGLLAKNISPVATAAQLRTTRKGSNDHLILNIDSGPVHSIAAREIDAKGHVFKSLNTTGLIPKQGKLIADRIDGVWVSGGQLLMRRPGARLSIMAYMFFLHLWLLGTIL >Ma04_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24589028:24592897:1 gene:Ma04_g22220 transcript:Ma04_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPNGNDDKVVETVMVGRYVEMEHDGEEKTIKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLLGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAIASLLHGQVEGVKHSGPTKLVLYFTGSTNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAASVYWAFGDALLNHSNAFSLLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGLHDCRSLCKRAAARLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPSLAHMFTFRSAIARESAVERPPRFVGGWIGAYVMNTFVVGWVLVVGFGLGGWASMTNFIHQINTFGLFAKCYQCPPPPPPPFLPMPSITPTPYPGNSHNATNPAIVPSPSPSPSFFLHHRHHHHHHHGGR >Ma08_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32947384:32958331:1 gene:Ma08_g19150 transcript:Ma08_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVEMDDFLRECEKSGDAAYAAIKSLLERLEKPDTRSGARVFLARLQQRFQSKDDADRCFDTYHFRIHDVLLHDFQGYQKRKKITILEIPSIFIPEDWSFTFYEGINRHPDTIFKDRMVAELGCGNGWISIALAEKWSPLKVYGLDINPRAIKVSWINLFLNALDETGTPVYDGEGKTLLDRVEFHESDLLAYCRKNNIQLERIVGCIPQILNPNPEAMSKLITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIEVIKPTGFMIFNIGGRPGQGVCKRLFERRGFNITKLWQTKIMQASDTDISALVEIEKNSHHRFEFFMGLVGDQPICARTAWAYVKSGGRISHALSVYSCQIRQPNQVKIIFDFLRNGFQEVSSSLDLSFEDDSVADEKIPFLAYLASVLKENSFLPYEPPAGSMHFRNLIAGFMKVYHHIPLSADNVTVFPSRSVAIENVLRLFSPRLAIVDEHLTRNLPKQWLTSLTIEGTNDKLEDIITVIEAPHQSDLMIELIRKLKPQVVITGMPHFEAITSSAFENLLTTTRELGTRLFLDISNHFELSSLPGSNGVLKYLAGKSLPSHAAVLCGLVKNQVYSDLEVAFVISEDEAVYTILSKTVELLEGNTALFSQYYYGCLFHELLAFQLADRHLPAEREGADRNSAKLIGFTSSAVSALNNAELFIMDQDNSLIHMDVTRSFLPIPSTVKASIFESFARQKMVESETDVRFGIQQLAMNSYGFPCGGSSEFIFANSHLALLNKLILCCIQEKGTFIFPSGTNGHYVSASKFMNANIVTVPTKSETGFKLVPDALASLLGTLRKPWLYISGPTVNPTGLLYSNKEISEILAVCGKFGARVVIDTSFSGLEFHTDGWGGWDLKSCLSSIACTNSSFCVSLLGGLSFELLTGGLEFGFLILNDPTLIDAFSTLPSLDRPHNTVKYAIKKLLSLRNQKCRQFSQVMDEQKDVLRSHADRLMKTLGSCGWDVVRCCGGVSMVAKPTAYLGKMLKLDGFEAKLDETNFRQAVLRATGLCINSGSWTGIPNYCRLTFALEDAKFEQALQQITQFKKLVLEN >Ma02_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28447426:28448782:1 gene:Ma02_g23460 transcript:Ma02_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVCAKEKKKKTAKVMTLDGAVIRLKPPAAAGDVLRDHPGHSVLEADEVKRLGVRATPLDSTQPLEPGKLYFLAELPRPLDRRGLRRASSVVAAARGSATDRLENLRLSRPRRSASLAVETAEGGGAVRVRVRLPAARVAKLLQESADGTEAAERIVELYLAEKSPPARKERRTRFMPVVDETRRRQGSEDRM >Ma03_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3681245:3682411:1 gene:Ma03_g05590 transcript:Ma03_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLEKSFGIAGQEKPRTGSCRLPDNVHQVILSYLPAKTFFRLQSVCKSFHQLSEESHFLLSQSYLCKVVSGFFTKSYSSFDSFLHVDPCAGVPRTFGKFLSKNNGFILGSADGLVFVRHDNRRATTHSLFVYNPARRTRCHLPAPSDMCLEGGIAAVTFMNDGEKVMKDYKLVYLSPTSEWNSFRRCQVYDSVAKMWTMDKHLDFGGAAIDLDHPVVYDETIFWVSTPRPLVMINRYVVAFDLRTKCTQIIRPPERMNIDRSDTIGIGKWEGKSVCLIHYRKSSRVFALELLEKSGNGAIRWVTVHEASLDRMGFKERLTVSFTMLCEVATTTLLVFATLEDAYTYSIKDGEIKKLGPLRSWITSLIPYSNTLRPCGEEEELFETT >Ma08_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10576560:10578695:1 gene:Ma08_g13410 transcript:Ma08_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLEMTRALMRTGRPIFVSLCEWRILHPALSHQDIIH >Ma07_p26420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33226005:33229447:-1 gene:Ma07_g26420 transcript:Ma07_t26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAKKRRIEAKKGNVPSLAVKDNAAASSTGSPIQIPTAPARVQLQIQQAKKFAVTQAQQEGCLGNYRSFDSPFGNYLVPVIPTRADLFGFHARENNWTSLPRS >Ma07_p26420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33226005:33229447:-1 gene:Ma07_g26420 transcript:Ma07_t26420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAKKRRIEAKKGNVPSLAVKDNAAASSTGSPIPTAPARVQLQIQQAKKFAVTQAQQEGCLGNYRSFDSPFGNYLVPVIPTRADLFGFHARENNWTSLPRS >Ma08_p27870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39997182:39998349:-1 gene:Ma08_g27870 transcript:Ma08_t27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTWQLIRVPSCTASSSAIHVPLSTSLIDCRRAPSLIRCVMVSDPITHICTAGKDKNEKRNIYTKLVLGSAENVTILLATDGFQFLTLSI >Ma04_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5945296:5947615:1 gene:Ma04_g08270 transcript:Ma04_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIVPEPVLEENHNSVEEQQKDGGALDLDGEFVVPGSNAFGHSFRDYEKETARRATVEEFYRVNHIYQTYDFAKRKKEEYGKLQKGEMSIWECVELLNEFVDESDPDLDEPQIEHLLQSAEAIRRDYPDQDWLHLTALIHDLGKVLLHPTFGGEPQWCVVGDTFPLGCAFDESIVHHRYFKENPDYNNSLFRTRLGVYTENCGLDKVTMSWGHDEYMYLVMKGNETKLPPAALFIVRFHSFYALHHSVAYTYLMNEDDREMLKWLLVFNKYDLYSKSKVKIDLEEVKPYYLSLIGKYFPEKLRW >Ma00_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:23444230:23459973:-1 gene:Ma00_g02980 transcript:Ma00_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDRTAKRRVAFILIDGIGDVCLPRFNYKTPLQVANIPNLDAIASAGVNGLMDPVEAGLGCGSDTAHLSILGYDPRIYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKSGIVTSRRADRHFEEEGPILCAALDRMKLPSFPEYDVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLLLKAEALDDTEEAKNTAAVVNELSIEMSKILVAHPLNAKRAAEGKNIANIVLLRGCGIRIEVPQFEKQHGLSPCMVAPTKIIAGLGLSLGVDILEAPGATGDYRTLLTSKATAIAKALSAPLQPSPCIFVPGEDEHKPGLSQGYDFGFLHVKAIDDAGHDKASILKVRALEAVDRAIGQLTRLLWQAEKSGKYKYYLCVTGDHSTPVEYGDHSFEPVPFALCQLRDFVSAVGESNLMQVSLEAFPLPSVKSGEDLKNDDVELPEEANSRLKVFSGDSVCQFSEIAAARGCLGRFPGSEMMGVIKKFLKLKNE >Ma06_p38920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37551134:37551571:1 gene:Ma06_g38920 transcript:Ma06_t38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAILEGLKRRISSAHGAWVDELPNVLWAIQTTPKTTSGESPFSLVFGTEAALPPKMVFLTLRTSNYKQGDSEKGLQANLDFLKERRAKAHLRTLAYKKATARVYNRKVRPRLIKVRDLVLRRAEVSDLTRARGKLAPNWEGPY >Ma08_p17490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25738875:25779488:1 gene:Ma08_g17490 transcript:Ma08_t17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVALAAPLRLAPSRRWRFSADLRAEPPSRLSSRRGASASFPTAAATVPKVLDDSVIEPYGIRDYIERSRELIKPDGGPPRWFSPLECGARGTDSPLLLYLPGIDGTGLGLIRHHHRLGKIFDIWCLHIPVMDRTSFEGLVEYVERTINSEKSYSLNKPIYLVGESIGACLALAVAARNPDFDLVMILANPATSFSKSQLQSVSTFLGILPEPLHVTIPYFVNFITGYHLTFRDLPDNFNDMLADLSFLVDILPKESFVWKLDLLKSAALFVNSRLHVVKAQILILASGRDQLLPSHEEAERICSNLPNCRIRHFKESGIDLVTIIKGAGYYRRSSQIDYVSDYLLPTPLEFQQATEIYRWFDLATSPVMLSTLQNGKIVKGLMGIPSKGPTLLVGYHMLLGLELGIMFSRFFTEKRIHLRGLAHPFMFNRASEQLMPDSSSFDGHRLMGAVPVSATNFYKLLSMKSFVLLYPGGAREALHRKGEEYKLFWPEKSEFIRMTSKFGATIIPFGVVGEDDMCDVLLDYEDLVKIPFYDTLNKRINQDGVRLRTDTVEEVGNQDLYPPVLLPKIPGRLYFLFGKPIETRGRSEELRDRKQAQQLYIHVKSEVENCMAYLKEKREKDPYRNLLPRLLYQTTNGFTNEVPTFEI >Ma08_p17490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25738875:25776681:1 gene:Ma08_g17490 transcript:Ma08_t17490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVALAAPLRLAPSRRWRFSADLRAEPPSRLSSRRGASASFPTAAATVPKVLDDSVIEPYGIRDYIERSRELIKPDGGPPRWFSPLECGARGTDSPLLLYLPGIDGTGLGLIRHHHRLGKIFDIWCLHIPVMDRTSFEGLVEYVERTINSEKSYSLNKPIYLVGESIGACLALAVAARNPDFDLVMILANPATSFSKSQLQSVSTFLGILPEPLHVTIPYFVNFITGYHLTFRDLPDNFNDMLADLSFLVDILPKESFVWKLDLLKSAALFVNSRLHVVKAQILILASGRDQLLPSHEEAERICSNLPNCRIRHFKESGHTMFLESGIDLVTIIKGAGYYRRSSQIDYVSDYLLPTPLEFQQATEIYRWFDLATSPVMLSTLQNGKIVKGLMGIPSKGPTLLVGYHMLLGLELGIMFSRFFTEKRIHLRGLAHPFMFNRASEQLMPDSSSFDGHRLMGAVPVSATNFYKLLSMKSFVLLYPGGAREALHRKGEEYKLFWPEKSEFIRMTSKFGATIIPFGVVGEDDMCDVRPVWCPCCLIPVSVGWNESH >Ma08_p17490.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25738872:25779488:1 gene:Ma08_g17490 transcript:Ma08_t17490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVALAAPLRLAPSRRWRFSADLRAEPPSRLSSRRGASASFPTAAATVPKVLDDSVIEPYGIRDYIERSRELIKPDGGPPRWFSPLECGARGTDSPLLLYLPGIDGTGLGLIRHHHRLGKIFDIWCLHIPVMDRTSFEGLVEYVERTINSEKSYSLNKPIYLVGESIGACLALAVAARNPDFDLVMILANPATSFSKSQLQSVSTFLGILPEPLHVTIPYFVNFITGYHLTFRDLPDNFNDMLADLSFLVDILPKESFVWKLDLLKSAALFVNSRLHVVKAQILILASGRDQLLPSHEEAERICSNLPNCRIRHFKESGHTMFLESGIDLVTIIKGAGYYRRSSQIDYVSDYLLPTPLEFQQATEIYRWFDLATSPVMLSTLQNGKIVKGLMGIPSKGPTLLVGYHMLLGLELGIMFSRFFTEKRIHLRGLAHPFMFNRASEQLMPDSSSFDGHRLMGAVPVSATNFYKLLSMKSFVLLYPGGAREALHRKGEEYKLFWPEKSEFIRMTSKFGATIIPFGVVGEDDMCDVLLDYEDLVKIPFYDTLNKRINQDGVRLRTDTVEEVGNQDLYPPVLLPKIPGRLYFLFGKPIETRGRSEELRDRKQAQQLYIHVKSEVENCMAYLKEKREKDPYRNLLPRLLYQTTNGFTNEVPTFEI >Ma08_p17490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25738875:25779488:1 gene:Ma08_g17490 transcript:Ma08_t17490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVALAAPLRLAPSRRWRFSADLRAEPPSRLSSRRGASASFPTAAATVPKVLDDSVIEPYGIRDYIERSRELIKPDGGPPRWFSPLECGARGTDSPLLLYLPGIDGTGLGLIRHHHRLGKIFDIWCLHIPVMDRTSFEGLVEYVERTINSEKSYSLNKPIYLVGESIGACLALAVAARNPDFDLVMILANPATSFSKSQLQSVSTFLGILPEPLHVTIPYFVNFITGYHLTFRDLPDNFNDMLADLSFLVDILPKESFVWKLDLLKSAALFVNSRLHVVKAQILILASGRDQLLPSHEEAERICSNLPNCRIRHFKESGHTMFLESGIDLVTIIKGAGYYRRSSQIDYVSDYLLPTPLEFQQATEIYRWFDLATSPVMLSTLQNGKIVKGLMGIPSKGPTLLVGYHMLLGLELGIMFSRFFTEKRIHLRGLAHPFMFNRASEQLMPDSSSFDGHRLMGAVPVSATNFYKLLSMKSFVLLYPGGAREALHRKVLLDYEDLVKIPFYDTLNKRINQDGVRLRTDTVEEVGNQDLYPPVLLPKIPGRLYFLFGKPIETRGRSEELRDRKQAQQLYIHVKSEVENCMAYLKEKREKDPYRNLLPRLLYQTTNGFTNEVPTFEI >Ma08_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25738875:25776681:1 gene:Ma08_g17490 transcript:Ma08_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVALAAPLRLAPSRRWRFSADLRAEPPSRLSSRRGASASFPTAAATVPKVLDDSVIEPYGIRDYIERSRELIKPDGGPPRWFSPLECGARGTDSPLLLYLPGIDGTGLGLIRHHHRLGKIFDIWCLHIPVMDRTSFEGLVEYVERTINSEKSYSLNKPIYLVGESIGACLALAVAARNPDFDLVMILANPATSFSKSQLQSVSTFLGILPEPLHVTIPYFVNFITGYHLTFRDLPDNFNDMLADLSFLVDILPKESFVWKLDLLKSAALFVNSRLHVVKAQILILASGRDQLLPSHEEAERICSNLPNCRIRHFKESGHTMFLESGIDLVTIIKGAGYYRRSSQIDYVSDYLLPTPLEFQQATEIYRWFDLATSPVMLSTLQNGKIVKGLMGIPSKGPTLLVGYHMLLGLELGIMFSRFFTEKRIHLRGLAHPFMFNRASEQLMPDSSSFDGHRLMGAVPVSATNFYKLLSMKSFVLLYPGGAREALHRKVRPVWCPCCLIPVSVGWNESH >Ma08_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34474652:34483796:1 gene:Ma08_g20390 transcript:Ma08_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPNISFSLLPARVSRGASLVRPSCKPISLRKSFSPMASLSVAPAPGISETLSRLKEQGKVALIPFITAGDPDLSTTSKALKILDSSGSDLIELGIPYSDPLADGPVIQAAATRALAKGTNFDGVISMLREVVPQLSCPIAIFTYYNPILKRGIDKFMSTIEEVGVRGLVVPDVPLEETESLRKEAAKHNIELVLLTTPTTPTERMKEIVEASEGFVYLVSSIGVTGARASVSSRVRSLLQEIKESTTKPVAVGFGISQPEHVKQVAGWGADGVIVGSAIVKLLGGAKSPEEGLNELEAFTRSLKSALL >Ma01_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10532287:10544919:1 gene:Ma01_g14420 transcript:Ma01_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTVLDYAVRMLKYNGSISSHSALAEAPTTPDRQNDVGILEITWEDQLSEESLFYTVPSGAMQAYRKSMESQWMHYKKYGTVHQDDCETSMCNSVADMLNIGGPQENVYEEDEGETGTYLLPGMFEAGSSSKLSQKKRKHMQQKSIATRLNEGGGDFSYEPYLESKSGNQPFILNGKRTSSTFSVGSFPTKRVRTATRQRGVSPYPSGVVGPLRAISKTDASSEDTSSFLDDQSSLHGGSMSRKNLGVETTVDFERQLPYDGNEISSKSKKKKKKPKHLGYKNSLNLAEPGLLVVPGKQGSIQGSSYEQRLQAEPMIQHEQKEHVKKRMESQNFDTNGGGVYAQHAAKKTKILKQMPEASPDALTPVTGLLPSPVASQMSNMSNSNKLIKIIATRDRARKKGLKMTAGQSGSGGPWTNFEDQALVVLVHDMGPNWELVSDAINNTLQFKCIFRKPKECKERHKFLMDKSAGDGADSAEDSGSSQPYPSSLPGIPKGSARQLFQRLQGPMEEDILKTHFEKIILLGQNLSACRHQTDIQEGKQMTPIHSSHVVALSLVCPNNLSGGILTPLDFCESVSSSTDVFPMAYQGTHTGSLPVPSHQGSMTSILSTSSVSTMLQGSPGMVLSSSLPSTSAPLNPSSRDSQRYGVPRPSSLPVDDPQRMQQYSQMLSGRTLQQSSMSLPGALPMGVDRGVRMLPVASSMGMMSGVNRGMPMTRPAFQGLSSPGMLNIVSTGNILSSGGNGVPNSVNVHPGSVSSPGNSMMRPRDPLQMLRPGQNPEEHKQMMMQEIQMQASQANGQSVPPFNGLGASFSNAVIPAPIQTFPVQQHQQSHQMLQQAHMLGNPHHHHIQGTNHSSPQQQAYAIRVAKERQLQQRLMPHQQHHISVQNAVSPIQNNSQIQPQSQPCSPVTPVSSSQGQQKQQSISRNPPPGMSNQIMKQRQRQQVQHHQPRQQQQQQQQQQQQQRQQSQQQVKLMKGLGRGNVLIHHNLSADTPQISGFSTTSKNQVSDKHMMQQGQGFFPGNPGLNPALHQPGSQTNIYPHPLPQSTKQISPISDTCNQGSAQSSPSHNMLTSQQAPIPSSVSLPKQHQQPQQRYMNQSQQSTQRIMLQQNRQMNSDGRAQSSTDQGPVNKTVPSASITQGSDSGTSAPAVSSPTLWNPEPIYDTDAPPPTAQMVRSAQENVVGSEALVPSSSQSLVPHQLPGGVPLHGQDVGGQWQQQQQQQQQPQHQQEQQHSQHENQQTVQSNLYAQPSELGPG >Ma01_p14420.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10525895:10544919:1 gene:Ma01_g14420 transcript:Ma01_t14420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSPGLSLPVNAEIKPMGGVVDCGLGVDSKTSPRRAAIEKAQADLQQEFGIREERKKELEFLEKGGNPLDFKFIHAASISVQSTSLTDQVAEPYVTSEAKGSFTLAASPHGESVESSGRPGGSVGREPNIGDNLLLLNRENNKLHGEKNAKHRSKRGSISHLEQSSHVDGCHNAKDTEDSVIFRLGAKSQAYARRNRSRTGRDCTNLGLTDSGSRHGNRASITSSYTPSPRGTKGSLLELQAQNHAASSISNPKAANPDGAVVPEALAPDDQVDMQLDMMQHNDTCPDTVMDGSPQGVEEVKITENLQGSDSYNQHSSLAEKATNGTSSQLCDIIRKDDFLSVDLISAPLEANKSKEVTCGAENDNGCGVTDKSITSLDEDDLCHKISVADNINQNLDVDITENFFCANGTCDIHENTDGGQSLMPRTTDGSSGGDLKQTSEATTSVPDNRSLKEELTNADGPTNPNDASRFQLNFSNSVVQLKDDGCDSRTEAQIEVLPVTNSEPVKLNGEISCEPEKKIDNNLADSNCIKTSLLLSSTSGSQEAVLIKRSSTSTSEIQTSTASHKKAHEDAILKEARLIEARFKSAGELSSDSKYFEKQQKCHWDFVLEEMTWMANDFMQERLWKTAAASQVSRLIASCGRGKFDQLNILRVQRNVARSLAKAVMHFWHAAEALRMGDTTPNAIHHECKLYRLSSSNFMVAEMERDQVGDLDRHTVLDYAVRMLKYNGSISSHSALAEAPTTPDRQNDVGILEITWEDQLSEESLFYTVPSGAMQAYRKSMESQWMHYKKYGTVHQDDCETSMCNSVADMLNIGGPQENVYEEDEGETGTYLLPGMFEAGSSSKLSQKKRKHMQQKSIATRLNEGGGDFSYEPYLESKSGNQPFILNGKRTSSTFSVGSFPTKRVRTATRQRGVSPYPSGVVGPLRAISKTDASSEDTSSFLDDQSSLHGGSMSRKNLGVETTVDFERQLPYDGNEISSKSKKKKKKPKHLGYKNSLNLAEPGLLVVPGKQGSIQGSSYEQRLQAEPMIQHEQKEHVKKRMESQNFDTNGGGVYAQHAAKKTKILKQMPEASPDALTPVTGLLPSPVASQMSNMSNSNKLIKIIATRDRARKKGLKMTAGQSGSGGPWTNFEDQALVVLVHDMGPNWELVSDAINNTLQFKCIFRKPKECKERHKFLMDKSAGDGADSAEDSGSSQPYPSSLPGIPKGSARQLFQRLQGPMEEDILKTHFEKIILLGQNLSACRHQTDIQEGKQMTPIHSSHVVALSLVCPNNLSGGILTPLDFCESVSSSTDVFPMAYQGTHTGSLPVPSHQGSMTSILSTSSVSTMLQGSPGMVLSSSLPSTSAPLNPSSRDSQRYGVPRPSSLPVDDPQRMQQYSQMLSGRTLQQSSMSLPGALPMGVDRGVRMLPVASSMGMMSGVNRGMPMTRPAFQGLSSPGMLNIVSTGNILSSGGNGVPNSVNVHPGSVSSPGNSMMRPRDPLQMLRPGQNPEEHKQMMMQEIQMQASQANGQSVPPFNGLGASFSNAVIPAPIQTFPVQQHQQSHQMLQQAHMLGNPHHHHIQGTNHSSPQQQAYAIRVAKERQLQQRLMPHQQHHISVQNAVSPIQNNSQIQPQSQPCSPVTPVSSSQGQQKQQSISRNPPPGMSNQIMKQRQRQQVQHHQPRQQQQQQQQQQQQQRQQSQQQVKLMKGLGRGNVLIHHNLSADTPQISGFSTTSKNQVSDKHMMQQGQGFFPGNPGLNPALHQPGSQTNIYPHPLPQSTKQISPISDTCNQGSAQSSPSHNMLTSQQAPIPSSVSLPKQHQQPQQRYMNQSQQSTQRIMLQQNRQMNSDGRAQSSTDQGPVNKTVPSASITQGSDSGTSAPAVSSPTLWNPEPIYDTDAPPPTAQMVRSAQENVVGSEALVPSSSQSLVPHQLPGGVPLHGQDVGGQWQQQQQQQQQPQHQQEQQHSQHENQQTVQSNLYAQPSELGPG >Ma01_p14420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10525895:10544919:1 gene:Ma01_g14420 transcript:Ma01_t14420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSPGLSLPVNAEIKPMGGVVDCGLGVDSKTSPRRAAIEKAQADLQQEFGIREERKKELEFLEKGGNPLDFKFIHAASISVQSTSLTDQVAEPYVTSEAKGSFTLAASPHGESVESSGRPGGSVGREPNIGDNLLLLNRENNKLHGEKNAKHRSKRGSISHLEQSSHVDGCHNAKDTEDSVIFRLGAKSQAYARRNRSRTGRDCTNLGLTDSGSRHGNRASITSSYTPSPRGTKGSLLELQAQNHAASSISNPKAANPDGAVVPEALAPDDQVDMQLDMMQHNDTCPDTVMDGSPQGVEEVKITENLQGSDSYNQHSSLAEKATNGTSSQLCDIIRKDDFLSVDLISAPLEANKSKEVTCGAENDNGCGVTDKSITSLDEDDLCHKISVADNINQNLDVDITENFFCANGTCDIHENTDGGQSLMPRTTDGSSGGDLKQTSEATTSVPDNRSLKEELTNADGPTNPNDASRFQLNFSNSVVQLKDDGCDSRTEAQIEVLPVTNSEPVKLNGEISCEPEKKIDNNLADSNCIKTSLLLSSTSGSQEAVLIKRSSTSTSEIQTSTASHKKAHEDAILKEARLIEARFKSAGELSSDSKYFEKQQKCHWDFVLEEMTWMANDFMQERLWKTAAASQVSRLIASCGRGKFDQLNILRVQRNVARSLAKAVMHFWHAAEALRMGDTTPNAIHHECKLYRLSSSNFMVAEMERDQDRHTVLDYAVRMLKYNGSISSHSALAEAPTTPDRQNDVGILEITWEDQLSEESLFYTVPSGAMQAYRKSMESQWMHYKKYGTVHQDDCETSMCNSVAGGPQENVYEEDEGETGTYLLPGMFEAGSSSKLSQKKRKHMQQKSIATRLNEGGGDFSYEPYLESKSGNQPFILNGKRTSSTFSVGSFPTKRVRTATRQRGVSPYPSGVVGPLRAISKTDASSEDTSSFLDDQSSLHGGSMSRKNLGVETTVDFERQLPYDGNEISSKSKKKKKKPKHLGYKNSLNLAEPGLLVVPGKGSIQGSSYEQRLQAEPMIQHEQKEHVKKRMESQNFDTNGGGVYAQHAAKKTKILKQMPEASPDALTPVTGLLPSPVASQMSNMSNSNKLIKIIATRDRARKKGLKMTAGQSGSGGPWTNFEDQALVVLVHDMGPNWELVSDAINNTLQFKCIFRKPKECKERHKFLMDKSAGDGADSAEDSGSSQPYPSSLPGIPKGSARQLFQRLQGPMEEDILKTHFEKIILLGQNLSACRHQTDIQEGKQMTPIHSSHVVALSLVCPNNLSGGILTPLDFCESVSSSTDVFPMAYQGTHTGSLPVPSHQGSMTSILSTSSVSTMLQGSPGMVLSSSLPSTSAPLNPSSRDSQRYGVPRPSSLPVDDPQRMQQYSQMLSGRTLQQSSMSLPGALPMGVDRGVRMLPVASSMGMMSGVNRGMPMTRPAFQGLSSPGMLNIVSTGNILSSGGNGVPNSVNVHPGSVSSPGNSMMRPRDPLQMLRPGQNPEEHKQMMMQEIQMQASQANGQSVPPFNGLGASFSNAVIPAPIQTFPVQQHQQSHQMLQQAHMLGNPHHHHIQGTNHSSPQQQAYAIRVAKERQLQQRLMPHQQHHISVQNAVSPIQNNSQIQPQSQPCSPVTPVSSSQGQQKQQSISRNPPPGMSNQIMKQRQRQQVQHHQPRQQQQQQQQQQQQQRQQSQQQVKLMKGLGRGNVLIHHNLSADTPQISGFSTTSKNQVSDKHMMQQGQGFFPGNPGLNPALHQPGSQTNIYPHPLPQSTKQISPISDTCNQGSAQSSPSHNMLTSQQAPIPSSVSLPKQHQQPQQRYMNQSQQSTQRIMLQQNRQMNSDGRAQSSTDQGPVNKTVPSASITQGSDSGTSAPAVSSPTLWNPEPIYDTDAPPPTAQMVRSAQENVVGSEALVPSSSQSLVPHQLPGGVPLHGQDVGGQWQQQQQQQQQPQHQQEQQHSQHENQQTVQSNLYAQPSELGPG >Ma01_p14420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10525895:10544919:1 gene:Ma01_g14420 transcript:Ma01_t14420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSPGLSLPVNAEIKPMGGVVDCGLGVDSKTSPRRAAIEKAQADLQQEFGIREERKKELEFLEKGGNPLDFKFIHAASISVQSTSLTDQVAEPYVTSEAKGSFTLAASPHGESVESSGRPGGSVGREPNIGDNLLLLNRENNKLHGEKNAKHRSKRGSISHLEQSSHVDGCHNAKDTEDSVIFRLGAKSQAYARRNRSRTGRDCTNLGLTDSGSRHGNRASITSSYTPSPRGTKGSLLELQAQNHAASSISNPKAANPDGAVVPEALAPDDQVDMQLDMMQHNDTCPDTVMDGSPQGVEEVKITENLQGSDSYNQHSSLAEKATNGTSSQLCDIIRKDDFLSVDLISAPLEANKSKEVTCGAENDNGCGVTDKSITSLDEDDLCHKISVADNINQNLDVDITENFFCANGTCDIHENTDGGQSLMPRTTDGSSGGDLKQTSEATTSVPDNRSLKEELTNADGPTNPNDASRFQLNFSNSVVQLKDDGCDSRTEAQIEVLPVTNSEPVKLNGEISCEPEKKIDNNLADSNCIKTSLLLSSTSGSQEAVLIKRSSTSTSEIQTSTASHKKAHEDAILKEARLIEARFKSAGELSSDSKYFEKQQKCHWDFVLEEMTWMANDFMQERLWKTAAASQVSRLIASCGRGKFDQLNILRVQRNVARSLAKAVMHFWHAAEALRMGDTTPNAIHHECKLYRLSSSNFMVAEMERDQDRHTVLDYAVRMLKYNGSISSHSALAEAPTTPDRQNDVGILEITWEDQLSEESLFYTVPSGAMQAYRKSMESQWMHYKKYGTVHQDDCETSMCNSVADMLNIGGPQENVYEEDEGETGTYLLPGMFEAGSSSKLSQKKRKHMQQKSIATRLNEGGGDFSYEPYLESKSGNQPFILNGKRTSSTFSVGSFPTKRVRTATRQRGVSPYPSGVVGPLRAISKTDASSEDTSSFLDDQSSLHGGSMSRKNLGVETTVDFERQLPYDGNEISSKSKKKKKKPKHLGYKNSLNLAEPGLLVVPGKQGSIQGSSYEQRLQAEPMIQHEQKEHVKKRMESQNFDTNGGGVYAQHAAKKTKILKQMPEASPDALTPVTGLLPSPVASQMSNMSNSNKLIKIIATRDRARKKGLKMTAGQSGSGGPWTNFEDQALVVLVHDMGPNWELVSDAINNTLQFKCIFRKPKECKERHKFLMDKSAGDGADSAEDSGSSQPYPSSLPGIPKGSARQLFQRLQGPMEEDILKTHFEKIILLGQNLSACRHQTDIQEGKQMTPIHSSHVVALSLVCPNNLSGGILTPLDFCESVSSSTDVFPMAYQGTHTGSLPVPSHQGSMTSILSTSSVSTMLQGSPGMVLSSSLPSTSAPLNPSSRDSQRYGVPRPSSLPVDDPQRMQQYSQMLSGRTLQQSSMSLPGALPMGVDRGVRMLPVASSMGMMSGVNRGMPMTRPAFQGLSSPGMLNIVSTGNILSSGGNGVPNSVNVHPGSVSSPGNSMMRPRDPLQMLRPGQNPEEHKQMMMQEIQMQASQANGQSVPPFNGLGASFSNAVIPAPIQTFPVQQHQQSHQMLQQAHMLGNPHHHHIQGTNHSSPQQQAYAIRVAKERQLQQRLMPHQQHHISVQNAVSPIQNNSQIQPQSQPCSPVTPVSSSQGQQKQQSISRNPPPGMSNQIMKQRQRQQVQHHQPRQQQQQQQQQQQQQRQQSQQQVKLMKGLGRGNVLIHHNLSADTPQISGFSTTSKNQVSDKHMMQQGQGFFPGNPGLNPALHQPGSQTNIYPHPLPQSTKQISPISDTCNQGSAQSSPSHNMLTSQQAPIPSSVSLPKQHQQPQQRYMNQSQQSTQRIMLQQNRQMNSDGRAQSSTDQGPVNKTVPSASITQGSDSGTSAPAVSSPTLWNPEPIYDTDAPPPTAQMVRSAQENVVGSEALVPSSSQSLVPHQLPGGVPLHGQDVGGQWQQQQQQQQQPQHQQEQQHSQHENQQTVQSNLYAQPSELGPG >Ma01_p14420.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10525895:10544919:1 gene:Ma01_g14420 transcript:Ma01_t14420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSPGLSLPVNAEIKPMGGVVDCGLGVDSKTSPRRAAIEKAQADLQQEFGIREERKKELEFLEKGGNPLDFKFIHAASISVQSTSLTDQVAEPYVTSEAKGSFTLAASPHGESVESSGRPGGSVGREPNIGDNLLLLNRENNKLHGEKNAKHRSKRGSISHLEQSSHVDGCHNAKDTEDSVIFRLGAKSQAYARRNRSRTGRDCTNLGLTDSGSRHGNRASITSSYTPSPRGTKGSLLELQAQNHAASSISNPKAANPDGAVVPEALAPDDQVDMQLDMMQHNDTCPDTVMDGSPQGVEEVKITENLQGSDSYNQHSSLAEKATNGTSSQLCDIIRKDDFLSVDLISAPLEANKSKEVTCGAENDNGCGVTDKSITSLDEDDLCHKISVADNINQNLDVDITENFFCANGTCDIHENTDGGQSLMPRTTDGSSGGDLKQTSEATTSVPDNRSLKEELTNADGPTNPNDASRFQLNFSNSVVQLKDDGCDSRTEAQIEVLPVTNSEPVKLNGEISCEPEKKIDNNLADSNCIKTSLLLSSTSGSQEAVLIKRSSTSTSEIQTSTASHKKAHEDAILKEARLIEARFKSAGELSSDSKYFEKQQKCHWDFVLEEMTWMANDFMQERLWKTAAASQVSRLIASCGRGKFDQLNILRVQRNVARSLAKAVMHFWHAAEALRMGDTTPNAIHHECKLYRLSSSNFMVAEMERDQVGDLDRHTVLDYAVRMLKYNGSISSHSALAEAPTTPDRQNDVGILEITWEDQLSEESLFYTVPSGAMQAYRKSMESQWMHYKKYGTVHQDDCETSMCNSVADMLNIGGPQENVYEEDEGETGTYLLPGMFEAGSSSKLSQKKRKHMQQKSIATRLNEGGGDFSYEPYLESKSGNQPFILNGKRTSSTFSVGSFPTKRVRTATRQRGVSPYPSGVVGPLRAISKTDASSEDTSSFLDDQSSLHGGSMSRKNLGVETTVDFERQLPYDGNEISSKSKKKKKKPKHLGYKNSLNLAEPGLLVVPGKGSIQGSSYEQRLQAEPMIQHEQKEHVKKRMESQNFDTNGGGVYAQHAAKKTKILKQMPEASPDALTPVTGLLPSPVASQMSNMSNSNKLIKIIATRDRARKKGLKMTAGQSGSGGPWTNFEDQALVVLVHDMGPNWELVSDAINNTLQFKCIFRKPKECKERHKFLMDKSAGDGADSAEDSGSSQPYPSSLPGIPKGSARQLFQRLQGPMEEDILKTHFEKIILLGQNLSACRHQTDIQEGKQMTPIHSSHVVALSLVCPNNLSGGILTPLDFCESVSSSTDVFPMAYQGTHTGSLPVPSHQGSMTSILSTSSVSTMLQGSPGMVLSSSLPSTSAPLNPSSRDSQRYGVPRPSSLPVDDPQRMQQYSQMLSGRTLQQSSMSLPGALPMGVDRGVRMLPVASSMGMMSGVNRGMPMTRPAFQGLSSPGMLNIVSTGNILSSGGNGVPNSVNVHPGSVSSPGNSMMRPRDPLQMLRPGQNPEEHKQMMMQEIQMQASQANGQSVPPFNGLGASFSNAVIPAPIQTFPVQQHQQSHQMLQQAHMLGNPHHHHIQGTNHSSPQQQAYAIRVAKERQLQQRLMPHQQHHISVQNAVSPIQNNSQIQPQSQPCSPVTPVSSSQGQQKQQSISRNPPPGMSNQIMKQRQRQQVQHHQPRQQQQQQQQQQQQQRQQSQQQVKLMKGLGRGNVLIHHNLSADTPQISGFSTTSKNQVSDKHMMQQGQGFFPGNPGLNPALHQPGSQTNIYPHPLPQSTKQISPISDTCNQGSAQSSPSHNMLTSQQAPIPSSVSLPKQHQQPQQRYMNQSQQSTQRIMLQQNRQMNSDGRAQSSTDQGPVNKTVPSASITQGSDSGTSAPAVSSPTLWNPEPIYDTDAPPPTAQMVRSAQENVVGSEALVPSSSQSLVPHQLPGGVPLHGQDVGGQWQQQQQQQQQPQHQQEQQHSQHENQQTVQSNLYAQPSELGPG >Ma01_p14420.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10525895:10544919:1 gene:Ma01_g14420 transcript:Ma01_t14420.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSPGLSLPVNAEIKPMGGVVDCGLGVDSKTSPRRAAIEKAQADLQQEFGIREERKKELEFLEKGGNPLDFKFIHAASISVQSTSLTDQVAEPYVTSEAKGSFTLAASPHGESVESSGRPGGSVGREPNIGDNLLLLNRENNKLHGEKNAKHRSKRGSISHLEQSSHVDGCHNAKDTEDSVIFRLGAKSQAYARRNRSRTGRDCTNLGLTDSGSRHGNRASITSSYTPSPRGTKGSLLELQAQNHAASSISNPKAANPDGAVVPEALAPDDQVDMQLDMMQHNDTCPDTVMDGSPQGVEEVKITENLQGSDSYNQHSSLAEKATNGTSSQLCDIIRKDDFLSVDLISAPLEANKSKEVTCGAENDNGCGVTDKSITSLDEDDLCHKISVADNINQNLDVDITENFFCANGTCDIHENTDGGQSLMPRTTDGSSGGDLKQTSEATTSVPDNRSLKEELTNADGPTNPNDASRFQLNFSNSVVQLKDDGCDSRTEAQIEVLPVTNSEPVKLNGEISCEPEKKIDNNLADSNCIKTSLLLSSTSGSQEAVLIKRSSTSTSEIQTSTASHKKAHEDAILKEARLIEARFKSAGELSSDSKYFEKQQKCHWDFVLEEMTWMANDFMQERLWKTAAASQVSRLIASCGRGKFDQLNILRVQRNVARSLAKAVMHFWHAAEALRMGDTTPNAIHHECKLYRLSSSNFMVAEMERDQVGDLDRHTVLDYAVRMLKYNGSISSHSALAEAPTTPDRQNDVGILEITWEDQLSEESLFYTVPSGAMQAYRKSMESQWMHYKKYGTVHQDDCETSMCNSVADMLNIGGPQENVYEEDEGETGTYLLPGMFEAGSSSKLSQKKRKHMQQKSIATRLNEGGGDFSYEPYLESKSGNQPFILNGKRTSSTFSVGSFPTKRVRTATRQRGVSPYPSGVVGPLRAISKTDASSEDTSSFLDDQSSLHGGSMSRKNLGVETTVDFERQLPYDGNEISSKSKKKKKKPKHLGYKNSLNLAEPGLLVVPGKQGSIQGSSYEQRLQAEPMIQHEQKEHVKKRMESQNFDTNGGGVYAQHAAKKTKILKQMPEASPDALTPVTGLLPSPVASQMSNMSNSNKLIKIIATRDRARKKGLKMTAGQSGSGGPWTNFEDQALVVLVHDMGPNWELVSDAINNTLQFKCIFRKPKECKERHKFLMDKSAGDGADSAEDSGSSQPYPSSLPGIPKGSARQLFQRLQGPMEEDILKTHFEKIILLGQNLSACRHQTDIQEGKQMTPIHSSHVVALSLVCPNNLSGGILTPLDFCESVSSSTDVFPMAYQGTHTGSLPVPSHQGSMTSILSTSSVSTMLQGSPGMVLSSSLPSTSAPLNPSSRDSQRYGVPRPSSLPVDDPQRMQQYSQMLSGRTLQQSSMSLPGALPMGVDRGVRMLPVASSMGMMSGVNRGMPMTRPAFQGLSSPGMLNIVSTGNILSSGGNGVPNSVNVHPGSVSSPGNSMMRPRDPLQMLRPGQNPEEHKQMMMQEIQMQASQANGQSVPPFNGLGASFSNAVIPAPIQTFPVQQHQQSHQMLQQAHMLGNPHHHHIQGTNHSSPQQQAYAIRVAKERQLQQRLMPHQQHHISVQNAVSPIQNNSQIQPQSQPCSPVTPVSSSQGQQKQQSISRNPPPGMSNQIMKQRQRQQVQHHQPRQQQQQQQQQQQQQRQQSQQQVKLMKGLGRGNVLIHHNLSADTPQISGFSTTSKNQVSDKHMMQQGQGFFPGNPGLNPALHQPGSQTNIYPHPLPQSTKQISPISDTCNQGSAQSSPSHNMLTSQQAPIPSSVSLPKQHQQPQQRYMNQSQQSTQRIMLQQNRQMNSDGRAQSSTDQGPVNKTVPSASITQGSDSGTSAPAVSSPTLWNPEPIYDTDAPPPTAQMVRSAQENVVGSEALVPSSSQSLVPHQLPGGVPLHGQDVGGQWQQQQQQQQQPQHQQEQQHSQHENQQTVQSNLYAQPSELGPG >Ma01_p14420.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10525895:10544919:1 gene:Ma01_g14420 transcript:Ma01_t14420.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSPGLSLPVNAEIKPMGGVVDCGLGVDSKTSPRRAAIEKAQADLQQEFGIREERKKELEFLEKGGNPLDFKFIHAASISVQSTSLTDQVAEPYVTSEAKGSFTLAASPHGESVESSGRPGGSVGREPNIGDNLLLLNRENNKLHGEKNAKHRSKRGSISHLEQSSHVDGCHNAKDTEDSVIFRLGAKSQAYARRNRSRTGRDCTNLGLTDSGSRHGNRASITSSYTPSPRGTKGSLLELQAQNHAASSISNPKAANPDGAVVPEALAPDDQVDMQLDMMQHNDTCPDTVMDGSPQGVEEVKITENLQGSDSYNQHSSLAEKATNGTSSQLCDIIRKDDFLSVDLISAPLEANKSKEVTCGAENDNGCGVTDKSITSLDEDDLCHKISVADNINQNLDVDITENFFCANGTCDIHENTDGGQSLMPRTTDGSSGGDLKQTSEATTSVPDNRSLKEELTNADGPTNPNDASRFQLNFSNSVVQLKDDGCDSRTEAQIEVLPVTNSEPVKLNGEISCEPEKKIDNNLADSNCIKTSLLLSSTSGSQEAVLIKRSSTSTSEIQTSTASHKKAHEDAILKEARLIEARFKSAGELSSDSKYFEKQQKCHWDFVLEEMTWMANDFMQERLWKTAAASQVSRLIASCGRGKFDQLNILRVQRNVARSLAKAVMHFWHAAEALRMGDTTPNAIHHECKLYRLSSSNFMVAEMERDQVGDLDRHTVLDYAVRMLKYNGSISSHSALAEAPTTPDRQNDVGILEITWEDQLSEESLFYTVPSGAMQAYRKSMESQWMHYKKYGTVHQDDCETSMCNSVADMLNIGGPQENVYEEDEGETGTYLLPGMFEAGSSSKLSQKKRKHMQQKSIATRLNEGGGDFSYEPYLESKSGNQPFILNGKRTSSTFSVGSFPTKRVRTATRQRGVSPYPSGVVGPLRAISKTDASSEDTSSFLDDQSSLHGGSMSRKNLGVETTVDFERQLPYDGNEISSKSKKKKKKPKHLGYKNSLNLAEPGLLVVPGKQGSIQGSSYEQRLQAEPMIQHEQKEHVKKRMESQNFDTNGGGVYAQHAAKKTKILKQMPEASPDALTPVTGLLPSPVASQMSNMSNSNKLIKIIATRDRARKKGLKMTAGQSGSGGPWTNFEDQALVVLVHDMGPNWELVSDAINNTLQFKCIFRKPKECKERHKFLMDKSAGDGADSAEDSGSSQPYPSSLPGIPKGSARQLFQRLQGPMEEDILKTHFEKIILLGQNLSACRHQTDIQEGKQMTPIHSSHVVALSLVCPNNLSGGILTPLDFCESVSSSTDVFPMAYQGTHTGSLPVPSHQGSMTSILSTSSVSTMLQGSPGMVLSSSLPSTSAPLNPSSRDSQRYGVPRPSSLPVDDPQRMQQYSQMLSGRTLQQSSMSLPGALPMGVDRGVRMLPVASSMGMMSGVNRGMPMTRPAFQGLSSPGMLNIVSTGNILSSGGNGVPNSVNVHPGSVSSPGNSMMRPRDPLQMLRPGQNPEEHKQMMMQEIQMQASQANGQSVPPFNGLGASFSNAVIPAPIQTFPVQQHQQSHQMLQQAHMLGNPHHHHIQGTNHSSPQQQAYAIRVAKERQLQQRLMPHQQHHISVQNAVSPIQNNSQIQPQSQPCSPVTPVSSSQGQQKQQSISRNPPPGMSNQIMKQRQRQQVQHHQPRQQQQQQQQQQQQQRQQSQQQVKLMKGLGRGNVLIHHNLSADTPQISGFSTTSKNQVSDKHMMQQGQGFFPGNPGLNPALHQPGSQTNIYPHPLPQSTKQISPISDTCNQGSAQSSPSHNMLTSQQAPIPSSVSLPKQHQQPQQRYMNQSQQSTQRIMLQQNRQMNSDGRAQSSTDQGPVNKTVPSASITQGSDSGTSAPAVSSPTLWNPEPIYDTDAPPPTAQMVRSAQENVVGSEALVPSSSQSLVPHQLPGGVPLHGQDVGGQWQQQQQQQQQPQHQQEQQHSQHENQQTVQSNLYAQPSELGPG >Ma01_p14420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10525895:10544919:1 gene:Ma01_g14420 transcript:Ma01_t14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSPGLSLPVNAEIKPMGGVVDCGLGVDSKTSPRRAAIEKAQADLQQEFGIREERKKELEFLEKGGNPLDFKFIHAASISVQSTSLTDQVAEPYVTSEAKGSFTLAASPHGESVESSGRPGGSVGREPNIGDNLLLLNRENNKLHGEKNAKHRSKRGSISHLEQSSHVDGCHNAKDTEDSVIFRLGAKSQAYARRNRSRTGRDCTNLGLTDSGSRHGNRASITSSYTPSPRGTKGSLLELQAQNHAASSISNPKAANPDGAVVPEALAPDDQVDMQLDMMQHNDTCPDTVMDGSPQGVEEVKITENLQGSDSYNQHSSLAEKATNGTSSQLCDIIRKDDFLSVDLISAPLEANKSKEVTCGAENDNGCGVTDKSITSLDEDDLCHKISVADNINQNLDVDITENFFCANGTCDIHENTDGGQSLMPRTTDGSSGGDLKQTSEATTSVPDNRSLKEELTNADGPTNPNDASRFQLNFSNSVVQLKDDGCDSRTEAQIEVLPVTNSEPVKLNGEISCEPEKKIDNNLADSNCIKTSLLLSSTSGSQEAVLIKRSSTSTSEIQTSTASHKKAHEDAILKEARLIEARFKSAGELSSDSKYFEKQQKCHWDFVLEEMTWMANDFMQERLWKTAAASQVSRLIASCGRGKFDQLNILRVQRNVARSLAKAVMHFWHAAEALRMGDTTPNAIHHECKLYRLSSSNFMVAEMERDQVGDLDRHTVLDYAVRMLKYNGSISSHSALAEAPTTPDRQNDVGILEITWEDQLSEESLFYTVPSGAMQAYRKSMESQWMHYKKYGTVHQDDCETSMCNSVAGGPQENVYEEDEGETGTYLLPGMFEAGSSSKLSQKKRKHMQQKSIATRLNEGGGDFSYEPYLESKSGNQPFILNGKRTSSTFSVGSFPTKRVRTATRQRGVSPYPSGVVGPLRAISKTDASSEDTSSFLDDQSSLHGGSMSRKNLGVETTVDFERQLPYDGNEISSKSKKKKKKPKHLGYKNSLNLAEPGLLVVPGKQGSIQGSSYEQRLQAEPMIQHEQKEHVKKRMESQNFDTNGGGVYAQHAAKKTKILKQMPEASPDALTPVTGLLPSPVASQMSNMSNSNKLIKIIATRDRARKKGLKMTAGQSGSGGPWTNFEDQALVVLVHDMGPNWELVSDAINNTLQFKCIFRKPKECKERHKFLMDKSAGDGADSAEDSGSSQPYPSSLPGIPKGSARQLFQRLQGPMEEDILKTHFEKIILLGQNLSACRHQTDIQEGKQMTPIHSSHVVALSLVCPNNLSGGILTPLDFCESVSSSTDVFPMAYQGTHTGSLPVPSHQGSMTSILSTSSVSTMLQGSPGMVLSSSLPSTSAPLNPSSRDSQRYGVPRPSSLPVDDPQRMQQYSQMLSGRTLQQSSMSLPGALPMGVDRGVRMLPVASSMGMMSGVNRGMPMTRPAFQGLSSPGMLNIVSTGNILSSGGNGVPNSVNVHPGSVSSPGNSMMRPRDPLQMLRPGQNPEEHKQMMMQEIQMQASQANGQSVPPFNGLGASFSNAVIPAPIQTFPVQQHQQSHQMLQQAHMLGNPHHHHIQGTNHSSPQQQAYAIRVAKERQLQQRLMPHQQHHISVQNAVSPIQNNSQIQPQSQPCSPVTPVSSSQGQQKQQSISRNPPPGMSNQIMKQRQRQQVQHHQPRQQQQQQQQQQQQQRQQSQQQVKLMKGLGRGNVLIHHNLSADTPQISGFSTTSKNQVSDKHMMQQGQGFFPGNPGLNPALHQPGSQTNIYPHPLPQSTKQISPISDTCNQGSAQSSPSHNMLTSQQAPIPSSVSLPKQHQQPQQRYMNQSQQSTQRIMLQQNRQMNSDGRAQSSTDQGPVNKTVPSASITQGSDSGTSAPAVSSPTLWNPEPIYDTDAPPPTAQMVRSAQENVVGSEALVPSSSQSLVPHQLPGGVPLHGQDVGGQWQQQQQQQQQPQHQQEQQHSQHENQQTVQSNLYAQPSELGPG >Ma01_p14420.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10525895:10544919:1 gene:Ma01_g14420 transcript:Ma01_t14420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSPGLSLPVNAEIKPMGGVVDCGLGVDSKTSPRRAAIEKAQADLQQEFGIREERKKELEFLEKGGNPLDFKFIHAASISVQSTSLTDQVAEPYVTSEAKGSFTLAASPHGESVESSGRPGGSVGREPNIGDNLLLLNRENNKLHGEKNAKHRSKRGSISHLEQSSHVDGCHNAKDTEDSVIFRLGAKSQAYARRNRSRTGRDCTNLGLTDSGSRHGNRASITSSYTPSPRGTKGSLLELQAQNHAASSISNPKAANPDGAVVPEALAPDDQVDMQLDMMQHNDTCPDTVMDGSPQGVEEVKITENLQGSDSYNQHSSLAEKATNGTSSQLCDIIRKDDFLSVDLISAPLEANKSKEVTCGAENDNGCGVTDKSITSLDEDDLCHKISVADNINQNLDVDITENFFCANGTCDIHENTDGGQSLMPRTTDGSSGGDLKQTSEATTSVPDNRSLKEELTNADGPTNPNDASRFQLNFSNSVVQLKDDGCDSRTEAQIEVLPVTNSEPVKLNGEISCEPEKKIDNNLADSNCIKTSLLLSSTSGSQEAVLIKRSSTSTSEIQTSTASHKKAHEDAILKEARLIEARFKSAGELSSDSKYFEKQQKCHWDFVLEEMTWMANDFMQERLWKTAAASQVSRLIASCGRGKFDQLNILRVQRNVARSLAKAVMHFWHAAEALRMGDTTPNAIHHECKLYRLSSSNFMVAEMERDQVGDLDRHTVLDYAVRMLKYNGSISSHSALAEAPTTPDRQNDVGILEITWEDQLSEESLFYTVPSGAMQAYRKSMESQWMHYKKYGTVHQDDCETSMCNSVADMLNIGGPQENVYEEDEGETGTYLLPGMFEAGSSSKLSQKKRKHMQQKSIATRLNEGGGDFSYEPYLESKSGNQPFILNGKRTSSTFSVGSFPTKRVRTATRQRGVSPYPSGVVGPLRAISKTDASSEDTSSFLDDQSSLHGGSMSRKNLGVETTVDFERQLPYDGNEISSKSKKKKKKPKHLGYKNSLNLAEPGLLVVPGKQGSIQGSSYEQRLQAEPMIQHEQKEHVKKRMESQNFDTNGGGVYAQHAAKKTKILKQMPEASPDALTPVTGLLPSPVASQMSNMSNSNKLIKIIATRDRARKKGLKMTAGQSGSGGPWTNFEDQALVVLVHDMGPNWELVSDAINNTLQFKCIFRKPKECKERHKFLMDKSAGDGADSAEDSGSSQPYPSSLPGIPKGSARQLFQRLQGPMEEDILKTHFEKIILLGQNLSACRHQTDIQEGKQMTPIHSSHVVALSLVCPNNLSGGILTPLDFCESVSSSTDVFPMAYQGTHTGSLPVPSHQGSMTSILSTSSVSTMLQGSPGMVLSSSLPSTSAPLNPSSRDSQRYGVPRPSSLPVDDPQRMQQYSQMLSGRTLQQSSMSLPGALPMGVDRGVRMLPVASSMGMMSGVNRGMPMTRPAFQGLSSPGMLNIVSTGNILSSGGNGVPNSVNVHPGSVSSPGNSMMRPRDPLQMLRPGQNPEEHKQMMMQEIQMQASQANGQSVPPFNGLGASFSNAVIPAPIQTFPVQQHQQSHQMLQQAHMLGNPHHHHIQGTNHSSPQQQAYAIRVAKERQLQQRLMPHQQHHISVQNAVSPIQNNSQIQPQSQPCSPVTPVSSSQGQQKQQSISRNPPPGMSNQIMKQRQRQQVQHHQPRQQQQQQQQQQQQQRQQSQQQVKLMKGLGRGNVLIHHNLSADTPQISGFSTTSKNQVSDKHMMQQGQGFFPGNPGLNPALHQPGSQTNIYPHPLPQSTKQISPISDTCNQGSAQSSPSHNMLTSQQAPIPSSVSLPKQHQQPQQRYMNQSQQSTQRIMLQQNRQMNSDGRAQSSTDQGPVNKTVPSASITQGSDSGTSAPAVSSPTLWNPEPIYDTDAPPPTAQMVRSAQENVVGSEALVPSSSQSLVPHQLPGGVPLHGQDVGGQWQQQQQQQQQPQHQQEQQHSQHENQQTVQSNLYAQPSELGPG >Ma01_p04600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3122671:3129256:1 gene:Ma01_g04600 transcript:Ma01_t04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESASASSSNPSSSSLYSYPATTYFPLPFHLQNADPAAQQQYLPPSQIPPPVKIPTMAQTFPVPPPVVAGMYSLPQFQQAQQLFQRDAQTITPEAIEVVKAALANSEVDHKSDTKKKAIPRKAAGQSWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVIRDKRTGKTKGYGFVSFSNPSDLAAAVKEMNGKYVGNRPIKLRKSTWRERTDVEALERQKNYIQKKPKLPKKGILHK >Ma01_p04600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3122671:3129195:1 gene:Ma01_g04600 transcript:Ma01_t04600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATESASASSSNPSSSSLYSYPATTYFPLPFHLQNADPAAQQQYLPPSQIPPPVKIPTMAQTFPVPPPVVAGMYSLPQFQQAQQLFQRDAQTITPEAIEVVKAALANSEVDHKSDTKKKAIPRKAAGQSWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVIRDKRTGKTKGYGFVSFSNPSDLAAAVKEMNGKYVGNRPIKLRKSTWRERTDVEALERQKNYIQKKPKLPKKGILHK >Ma01_p04600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3122671:3130314:1 gene:Ma01_g04600 transcript:Ma01_t04600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATESASASSSNPSSSSLYSYPATTYFPLPFHLQNADPAAQQQYLPPSQIPPPVKIPTMAQTFPVPPPVVAGMYSLPQFQQAQQLFQRDAQTITPEAIEVVKAALANSEVDHKSDTKKKAIPRKAAGQSWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVIRDKRTGKTKGYGFVSFSNPSDLAAAVKEMNGKYVGNRPIKLRKSTWRERTDVEALERQKNYIQKKPKLPKKGILHK >Ma01_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3122671:3129533:1 gene:Ma01_g04600 transcript:Ma01_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESASASSSNPSSSSLYSYPATTYFPLPFHLQNADPAAQQQYLPPSQIPPPVKIPTMAQTFPVPPPVVAGMYSLPQFQQAQQLFQRDAQTITPEAIEVVKAALANSEVDHKSDTKKKAIPRKAAGQSWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVIRDKRTGKTKGYGFVSFSNPSDLAAAVKEMNGKYVGNRPIKLRKSTWRERTDVEALERQKNYIQKKPKLPKKGILHK >Ma00_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12340320:12340436:1 gene:Ma00_g01760 transcript:Ma00_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGQRDTKNAALIPGVRTLIDSKLGVDLFRGSASLEL >Ma09_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32303522:32304569:1 gene:Ma09_g21360 transcript:Ma09_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETLELFPFLYSVFISAVTGMPFFHSVCLQGNLDDVAVAGKIPRIHANSVRHSLSGSLLGYSASSFGQDNGGTRKIKEKECSVIEFGTKDNIMKIDCLSWGSKPNMKRAHTCSATYGEASQSTDGANTWQEKAGYFCSEDDLEHKRMKHYSEGHGTGSYRDPSIDGRSPFKVQPFKVQTSRSNENIFDGS >Ma07_p08100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6039488:6056015:1 gene:Ma07_g08100 transcript:Ma07_t08100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPKRPLVAALYSSASAASSSSSSSTALLLFRPRAPHPPPHRYLPRTGYSRSVWNGSMEGIGVDEKRPLAVDLGPCNAADGGDRRIWSSREWGQKIATGKRIFCNRSLNMKNIIAVGFDMDYTLAQYKPETFESMAYDGTVKKLVYNLGYPHEILEWTFDSKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSTYGNTLVRDSFDEPDYALIDTLFSLAEAYLFAQLVDFMDKNPAKFTSGVDYIRMYKDVRAAVDLCHRDGTLKQMVAKDPGRYINEDLSIVPMLRMLRDSGRSTFLVTNSLWDYTNVVMNYLCGNCNSNCDSAGCDSAGNYEWLQNFDVVITGSSKPSFFHEENRANLFEVESETGMLLNTDNGTLVPQVGSTSPRLPPNHLKKACRVFQGGNVAHLHKLLSIASSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEQEVELLCRSREMRKKLRQLRLERDLVEDKIHHLKWSLKFDILDANEEQSLSSELHKLELQREQVRCLHQDAQREHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILGL >Ma07_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6039914:6056015:1 gene:Ma07_g08100 transcript:Ma07_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGVDEKRPLAVDLGPCNAADGGDRRIWSSREWGQKIATGKRIFCNRSLNMKNIIAVGFDMDYTLAQYKPETFESMAYDGTVKKLVYNLGYPHEILEWTFDSKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSTYGNTLVRDSFDEPDYALIDTLFSLAEAYLFAQLVDFMDKNPAKFTSGVDYIRMYKDVRAAVDLCHRDGTLKQMVAKDPGRYINEDLSIVPMLRMLRDSGRSTFLVTNSLWDYTNVVMNYLCGNCNSNCDSAGCDSAGNYEWLQNFDVVITGSSKPSFFHEENRANLFEVESETGMLLNTDNGTLVPQVGSTSPRLPPNHLKKACRVFQGGNVAHLHKLLSIASSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEQEVELLCRSREMRKKLRQLRLERDLVEDKIHHLKWSLKFDILDANEEQSLSSELHKLELQREQVRCLHQDAQREHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFDILGL >Ma06_p23390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21360039:21362268:1 gene:Ma06_g23390 transcript:Ma06_t23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAYQSSIVQQMMGRSPSLWSMNNNLMPPSQEMSTLFASSSSSPSPLSSSVFPRYPKPAGFAPMIPCHESQEVPESWSQLLLGGLEEEEEGRSHTGNFPVKRMENWEYQLPYTPANAHMVDIKQEGSEVGHHAYYHGKEQGAQGGRSSWSQMVPASSPRSCVTTSFSNNILDFSNCKSQRKHHKSDLSSECNSNSGAALKKARVQTSSAQSSLKVRKEKLGDRIAALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGSRSRSTRQCGKGESSSIFVEDPGVQI >Ma06_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21360039:21362900:1 gene:Ma06_g23390 transcript:Ma06_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAYQSSIVQQMMGRSPSLWSMNNNLMPPSQEMSTLFASSSSSPSPLSSSVFPRYPKPAGFAPMIPCHESQEVPESWSQLLLGGLEEEEEGRSHTGNFPVKRMENWEYQLPYTPANAHMVDIKQEGSEVGHHAYYHGKEQGAQGGRSSWSQMVPASSPRSCVTTSFSNNILDFSNCKSQRKHHKSDLSSECNSNSGAALKKARVQTSSAQSSLKVRKEKLGDRIAALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGSRSRSTRQCGKGESSSIFVEDPGVQLLSADSCMKRRGPPADQDDGGGGDGDEDEDEPKDLRSRGLCLVPVSFTLHVGNDNGADFWAPNFGMGFQ >Ma04_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9634754:9637633:-1 gene:Ma04_g12770 transcript:Ma04_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRIPGLSKIMVTSKKSNQMLVILVTLPTTLRIT >Ma04_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3271287:3282949:1 gene:Ma04_g04320 transcript:Ma04_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIITKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGICKMAFELIFAFDEAISLGNKENLTVAQVKQYCEMESHEERLHKLVMQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFMSLSGPRRIESSFSDMSISSSGSGFGSGSGFGLGADVESFSSKPKGRPPASANAPPKGLGMKLGKTQRTNQFLESLKAEGEVILEDVQPTAALSKSSLPPTDPVTLTIEERLNVVIRRDGGLNNFDIQGTLSLQILNQEDGFVQLQIENQDVPGLSFKTHPNINKELFNSKHIIGLKDSNRPFPTGQNDVGLMKWRIQGMDEAALPLTVNCWPSVSGGETYVNIEYEASELFDLQNVVISIPLPALREPPSVRQIDGEWKYDSRNSTLDWSILLIDHSNRSGSMEFVVPPADSSVFFPITIRFTAASTYSDVKVVNLMPLRSNAAPKYSQRIQLITDTYQVI >Ma04_p04320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3271284:3282949:1 gene:Ma04_g04320 transcript:Ma04_t04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIITKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGICKMAFELIFAFDEAISLGNKENLTVAQVKQYCEMESHEERLHKLVMQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFMSLSGPRRIESSFSDMSISSSGSGFGSGSGFGLGADVESFSSKPKGRPPASANAPPKGLGMKLGKTQRTNQFLESLKAEGEVILEDVQPTAALSKSSLPPTDPVTLTIEERLNVVIRRDGGLNNFDIQGTLSLQILNQEDGFVQLQIENQDVPGLSFKTHPNINKELFNSKHIIGLKDSNRPFPTGQNDVGLMKWRIQGMDEAALPLTVNCWPSVSGGETYVNIEYEASELFDLQNVVISIPLPALREPPSVRQIDGEWKYDSRNSTLDWSILLIDHSNRSGSMEFVVPPADSSVFFPITIRFTAASTYSDVKVVNLMPLRSNAAPKYSQRIQLITDTYQVI >Ma10_p19850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30257217:30267824:1 gene:Ma10_g19850 transcript:Ma10_t19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MVKRRWKGFQAKEAAMELLNQMMRDKPLLPFLIPLGLFAWAVERWLVPFSNWVPLAAAVWVTIQYGKFQRRLLVEDMNRRWKQLLLNTAPVTPLEPCEWFNKLLVEVWPNYMEPKLSRTFFSMVEKRLKYRKPKWIEKIELQEFSLGPCPPNLGRNGMQWITSGDQLVMRLGFDWDVNDMSILLLAKLAKPLIGTARIVINSIQIKGDLLLRPILDGQAVLYSFESTPEIRLGVAFGSGGSQTLPATELPGVPTWLVKLFTETIAKIMVEPRRHCYSLPPVDLRKKATGGLLSVTVVSASNLGRNNLKSSNSGTRQSTIVSSHLSGNLGKKALKTFVEVEVGDLTRRTSVSEGLNPRWDATFNMILHGETGILKFHLYEWDQSGVRLNYLTSCEIKMKYVADDSTVFWAIGHRSGVVAKQAENCGKEVEMTIPFEEANLGELTVRLILKEWQFSDGSVSLSNSTNSAAQLLMYNSHNLQLRTGRKLKVTVVEGRSLSTKDKSGKCDPYVKLQYGKAFYRTKIISHTSDPVWKHIFEFDEIGGGEYLKIKCYSADIFGDENIGCARVNLEGISEGSCRDIWVPLEKVNSGELRFQIEVVKNEDNESLKNLGMKQGSGWIELVLVEAKDLVAADIRGTSDPYVRVHYGNIKKRTKVIYKTLVPQWNQTLEFPDNGSPMILHVKDHNAVLPTSSIGHCTVEYEALPPNQTADKWIPLQGVKSGEIHVRITRKIPDLQKKSNLDTVVSSLSKAHKISTQIRDILKKFQGLIEDGDLEGLSLALSEVESAEDEQEEYMIQLQREKTLLINKISELGHEISRTSSAPTKMSY >Ma10_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30258527:30267824:1 gene:Ma10_g19850 transcript:Ma10_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MACNGLLQLVMRLGFDWDVNDMSILLLAKLAKPLIGTARIVINSIQIKGDLLLRPILDGQAVLYSFESTPEIRLGVAFGSGGSQTLPATELPGVPTWLVKLFTETIAKIMVEPRRHCYSLPPVDLRKKATGGLLSVTVVSASNLGRNNLKSSNSGTRQSTIVSSHLSGNLGKKALKTFVEVEVGDLTRRTSVSEGLNPRWDATFNMILHGETGILKFHLYEWDQSGVRLNYLTSCEIKMKYVADDSTVFWAIGHRSGVVAKQAENCGKEVEMTIPFEEANLGELTVRLILKEWQFSDGSVSLSNSTNSAAQLLMYNSHNLQLRTGRKLKVTVVEGRSLSTKDKSGKCDPYVKLQYGKAFYRTKIISHTSDPVWKHIFEFDEIGGGEYLKIKCYSADIFGDENIGCARVNLEGISEGSCRDIWVPLEKVNSGELRFQIEVVKNEDNESLKNLGMKQGSGWIELVLVEAKDLVAADIRGTSDPYVRVHYGNIKKRTKVIYKTLVPQWNQTLEFPDNGSPMILHVKDHNAVLPTSSIGHCTVEYEALPPNQTADKWIPLQGVKSGEIHVRITRKIPDLQKKSNLDTVVSSLSKAHKISTQIRDILKKFQGLIEDGDLEGLSLALSEVESAEDEQEEYMIQLQREKTLLINKISELGHEISRTSSAPTKMSY >Ma06_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14084797:14085261:-1 gene:Ma06_g20020 transcript:Ma06_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLQIGSTFMNSPDISSSEIYLILKQILLRLYQQHEKRQPLDPRPRVIEQFYQS >Ma05_p00120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:85678:92720:1 gene:Ma05_g00120 transcript:Ma05_t00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCDCIEPQWPADELLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHMINLWTFTMHSRTVALVMTVAKISTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGIIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLDLAECALWMPSRSGLNLQLSHTLHHQMPLGSVVSINLPVVNQVFSSNRAVRIPHTCPLARIRPQTGRYVPPEVVAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHIHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDSARREAEMAIRARNDFLAVMNHEMRTPMHAVIALSSLLLETELTPEQRLMIETVLKSSNLLAALINDVLDLSKLEDGSFELEIANFNLHAVFREVINLIKPIAAVKKLSVSVTLAPDLPLCAIGDEKRLMQTILNVAGNAVKFTKEGHISITASVARSDSSRDPRAPEFSPVTSDRHFDLRVQIKDTGCGISPQDLPHIFTKFAHSRNSANKASNGSGLGLAICRRFVSLMEGQIWLESEGIGKGCTATFIVKLGICDPSGNLQQILQTTPSHGDANLPGPRAKFDDENGKFTPMMRYQRSV >Ma05_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:85678:92720:1 gene:Ma05_g00120 transcript:Ma05_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCDCIEPQWPADELLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHMINLWTFTMHSRTVALVMTVAKISTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGIIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLDLAECALWMPSRSGLNLQLSHTLHHQMPLGSVVSINLPVVNQVFSSNRAVRIPHTCPLARIRPQTGRYVPPEVVAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHIHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDSARREAEMAIRARNDFLAVMNHEMRTPMHAVIALSSLLLETELTPEQRLMIETVLKSSNLLAALINDVLDLSKLEDGSFELEIANFNLHAVFREVINLIKPIAAVKKLSVSVTLAPDLPLCAIGDEKRLMQTILNVAGNAVKFTKEGHISITASVARSDSSRDPRAPEFSPVTSDRHFDLRVQIKDTGCGISPQDLPHIFTKFAHSRNSANKASNGSGLGLAICRRFVSLMEGQIWLESEGIGKGCTATFIVKLGICDPSGNLQQILQTTPSHGDANLPGPRAKFDDENGKFTPMMRYQRSV >Ma07_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6076963:6082545:-1 gene:Ma07_g08150 transcript:Ma07_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVGRTEQGQTKIRNVPIAVTPEGFWCCPSPAVLQKTLKNHNHQSKSRVSSPLPSKTLPVQRAATPPVDRRSHGTSPGTKVVSDEQRCPTDNAAASVSPTKAPERPLERPPKPCPDTPQRKISVGFGQPDTSDLKVVLFGKEGIYVRMSVHRNILAEHSSFFADKFSRQSPVPCVEIVDCEDVEIYVETVGLMYCKEPKHRLIKQCVPRVLRILKVAESLGFHECIKSCLDYLEAVPWVADEEEKVVSSVKHLQSFGASPILKRVASDISNPPNDTLAHIMQLVLKSNEDRGRREMKALVLKLLKENNVGTNGSVNIRIELFYGSCRTCMESLLHLFRQVSEPGLSDTSIDSKDPVMRQIALEADNLIWLLEILIDRHAADEFATTWASQHELAELHSKLPIMSRHLVSCITSRLFVGIGRGEMLPSRETRQLLLQIWLQPLIDDYTWLQHGCRSFDRTVVEEGIGQTILTLPLEDQQSILLSWLGRFLKVGDNCPNLQRAFEVWWRRTFIRPYAEQQGTNVMSD >Ma07_p08150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6076962:6082545:-1 gene:Ma07_g08150 transcript:Ma07_t08150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVGRTEQGQTKIRNVPIAVTPEGFWCCPSPAVLQKTLKNHNHQSKSRVSSPLPSKTLPVQRAATPPVDRRSHGTSPGTKVVSDEQRCPTDNAAASVSPTKAPERPLERPPKPCPDTPQRKISVGFGQPDTSDLKVVLFGKEGIYVRMSVHRNILAEHSSFFADKFSRQSPVPCVEIVDCEDVEIYVETVGLMYCKEPKHRLIKQCVPRVLRILKVAESLGFHECIKSCLDYLEAVPWVADEEEKVVSSVKHLQSFGASPILKRVASDISNPPNDTLAHIMQLVLKSNEDRGRREMKALVLKLLKENNVGTNGSVNIRIELFYGSCRTCMESLLHLFRQVSEPGLSDTSIDSKDPVMRQIALEADNLIWLLEILIDRHAADEFATTWASQHELAELHSKLPIMSRHLVSCITSRLFVGIGRGEMLPSRETRQLLLQIWLQPLIDDYTWLQHGCRSFDRTVVEEGIGQTILTLPLEDQQSILLSWLGRFLKVGDNCPNLQRAFEVWWRRTFIRPYAEQQGTNVMSD >Ma07_p08150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6076962:6082748:-1 gene:Ma07_g08150 transcript:Ma07_t08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVGRTEQGQTKIRNVPIAVTPEGFWCCPSPAVLQKTLKNHNHQSKSRVSSPLPSKTLPVQRAATPPVDRRSHGTSPGTKVVSDEQRCPTDNAAASVSPTKAPERPLERPPKPCPDTPQRKISVGFGQPDTSDLKVVLFGKEGIYVRMSVHRNILAEHSSFFADKFSRQSPVPCVEIVDCEDVEIYVETVGLMYCKEPKHRLIKQCVPRVLRILKVAESLGFHECIKSCLDYLEAVPWVADEEEKVVSSVKHLQSFGASPILKRVASDISNPPNDTLAHIMQLVLKSNEDRGRREMKALVLKLLKENNVGTNGSVNIRIELFYGSCRTCMESLLHLFRQVSEPGLSDTSIDSKDPVMRQIALEADNLIWLLEILIDRHAADEFATTWASQHELAELHSKLPIMSRHLVSCITSRLFVGIGRGEMLPSRETRQLLLQIWLQPLIDDYTWLQHGCRSFDRTVVEEGIGQTILTLPLEDQQSILLSWLGRFLKVGDNCPNLQRAFEVWWRRTFIRPYAEQQGTNVMSD >Ma04_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20218075:20225790:1 gene:Ma04_g18350 transcript:Ma04_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESDCVITHSPNGKIYQTSPCRPSTVVGSYEPTDSQDDANSHEGHVVPRVRNLTLDHGMTEKYKDQKSLDQKSATSNSANATILSDHTVCQTFSAANKKHGSGVNCAFVSEAAANGDKHPNGDIQSANNQKKAQSYTTLTPWKPLHPDNVMHRDEEDYCSVASSVASVKNSKTRRTVAIAPTFRCSERAERRKEFYSKLEEKHQAMEAQKLQCEARTKEEQEAALKQLRKNMTFRATPMPSFYLEGPPPKVELKKVPTTRAKSPKLGRRKSCGDASHRAEGDNCSGVCGRLQRHSLGAYKDATNKLQNSPKNRSATTTKEANKSMRENSKPDASKVAALDTTGTTVQGLADTGVTVQP >Ma08_p01620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1384434:1390702:1 gene:Ma08_g01620 transcript:Ma08_t01620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVGRIPVSLKKDSWKTILLLAFQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKYECPPEITNRSRIKVWLEKHKNLHVALLIVVMLGTCMVIGDGILTPAISVFSAVSGLELSMSKEHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIVHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQMAFTFVVYPSLILAYMGQAAYLSKHHGIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALAFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIVMFVWHYATIKKYEFDLHNKVSLDWLLALGDKLGIVRVPGIGLVFTDIISGVPANFSRFVTNLPAFHRILVFVCVKSVPVPFVPPSERYLVGRVGPPYHRSYRCIVRYGYRDVHQDVDSFESELIASLADFIQLEASFSGQRSSELMDGYEDGLTVIGRSLLTCGRNYNTVSSTPGGTMTGELASEENAIELAPASAGKKVRFFLEENSHPALSEPAREELNDLLAAQESGCAFVLGHSHVQASQGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma08_p01620.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1384030:1390702:1 gene:Ma08_g01620 transcript:Ma08_t01620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVGRIPVSLKKDSWKTILLLAFQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKYECPPEITNRSRIKVWLEKHKNLHVALLIVVMLGTCMVIGDGILTPAISVFSAVSGLELSMSKEHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIVHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQMAFTFVVYPSLILAYMGQAAYLSKHHGIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALAFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIVMFVWHYATIKKYEFDLHNKVSLDWLLALGDKLGIVRVPGIGLVFTDIISGVPANFSRFVTNLPAFHRILVFVCVKSVPVPFVPPSERYLVGRVGPPYHRSYRCIVRYGYRDVHQDVDSFESELIASLADFIQLEASFSGQRSSELMDGYEDGLTVIGRSLLTCGRNYNTVSSTPGGTMTGELASEENAIELAPASAGKKVRFFLEENSHPALSEPAREELNDLLAAQESGCAFVLGHSHVQASQGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma08_p01620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1384030:1390702:1 gene:Ma08_g01620 transcript:Ma08_t01620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVGRIPVSLKKDSWKTILLLAFQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKYECPPEITNRSRIKVWLEKHKNLHVALLIVVMLGTCMVIGDGILTPAISVFSAVSGLELSMSKEHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIVHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQMAFTFVVYPSLILAYMGQAAYLSKHHGIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALAFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIVMFVWHYATIKKYEFDLHNKVSLDWLLALGDKLGIVRVPGIGLVFTDIISGVPANFSRFVTNLPAFHRILVFVCVKSVPVPFVPPSERYLVGRVGPPYHRSYRCIVRYGYRDVHQDVDSFESELIASLADFIQLEASFSGQRSSELMDGYEDGLTVIGRSLLTCGRNYNTVSSTPGGTMTGELASEENAIELAPASAGKKVRFFLEENSHPALSEPAREELNDLLAAQESGCAFVLGHSHVQASQGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma08_p01620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1384434:1390702:1 gene:Ma08_g01620 transcript:Ma08_t01620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVGRIPVSLKKDSWKTILLLAFQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKYECPPEITNRSRIKVWLEKHKNLHVALLIVVMLGTCMVIGDGILTPAISVFSAVSGLELSMSKEHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIVHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQMAFTFVVYPSLILAYMGQAAYLSKHHGIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALAFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIVMFVWHYATIKKYEFDLHNKVSLDWLLALGDKLGIVRVPGIGLVFTDIISGVPANFSRFVTNLPAFHRILVFVCVKSVPVPFVPPSERYLVGRVGPPYHRSYRCIVRYGYRDVHQDVDSFESELIASLADFIQLEASFSGQRSSELMDGYEDGLTVIGRSLLTCGRNYNTVSSTPGGTMTGELASEENAIELAPASAGKKVRFFLEENSHPALSEPAREELNDLLAAQESGCAFVLGHSHVQASQGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma08_p01620.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1383872:1390702:1 gene:Ma08_g01620 transcript:Ma08_t01620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVGRIPVSLKKDSWKTILLLAFQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKYECPPEITNRSRIKVWLEKHKNLHVALLIVVMLGTCMVIGDGILTPAISVFSAVSGLELSMSKEHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIVHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQMAFTFVVYPSLILAYMGQAAYLSKHHGIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALAFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIVMFVWHYATIKKYEFDLHNKVSLDWLLALGDKLGIVRVPGIGLVFTDIISGVPANFSRFVTNLPAFHRILVFVCVKSVPVPFVPPSERYLVGRVGPPYHRSYRCIVRYGYRDVHQDVDSFESELIASLADFIQLEASFSGQRSSELMDGYEDGLTVIGRSLLTCGRNYNTVSSTPGGTMTGELASEENAIELAPASAGKKVRFFLEENSHPALSEPAREELNDLLAAQESGCAFVLGHSHVQASQGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma08_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1383204:1390702:1 gene:Ma08_g01620 transcript:Ma08_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVGRIPVSLKKDSWKTILLLAFQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKYECPPEITNRSRIKVWLEKHKNLHVALLIVVMLGTCMVIGDGILTPAISVFSAVSGLELSMSKEHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIVHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQMAFTFVVYPSLILAYMGQAAYLSKHHGIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALAFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIVMFVWHYATIKKYEFDLHNKVSLDWLLALGDKLGIVRVPGIGLVFTDIISGVPANFSRFVTNLPAFHRILVFVCVKSVPVPFVPPSERYLVGRVGPPYHRSYRCIVRYGYRDVHQDVDSFESELIASLADFIQLEASFSGQRSSELMDGYEDGLTVIGRSLLTCGRNYNTVSSTPGGTMTGELASEENAIELAPASAGKKVRFFLEENSHPALSEPAREELNDLLAAQESGCAFVLGHSHVQASQGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma11_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21941446:21942307:1 gene:Ma11_g16420 transcript:Ma11_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDDGGAGEDSVKLDDEQLGELREIFRSFDRNKDGSLTQLELGSLLRAIGLKPSNDQLESLIHRADTNSNGLVEFSEFVALVSPELIAAKSPYTEEQLRRLFKMFDRDGNGYITAAELAHSMAKLGHALTASELTGMIKEADTDGDGRINFQEFAQAITSAAFDNSWS >Ma10_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33100940:33105103:-1 gene:Ma10_g24550 transcript:Ma10_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGILGFDYGVVQGPLGPDVSGPELVAAVANAGAIGILRAPDWEAPDHLRELIRETRALTDKQFGVGIVLSFPHKENLKAVLDEKVAVLQVSWGDFPKELVSEAHHAGVKVIHQVGCIEDAKKAKCAGVDAIVVQGHEAGGHVLGQDGLISLLPRVVDLVSDCDIPVIAAGGIVDARGYVAALVLGARGVCLGTRFLATLESFAHPHYKQKLIESDKTEFTNIFGRSRWPGAPQRVLQTPFFNEWRHLPDHENEENQPVIGLSTIHGVPKEIRRFAGTVPNPTTTGNVDCMAMYAGEGVGLIKEILPAGEVVKSLVEEAQYIIQQKLSHG >Ma08_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3651524:3654490:-1 gene:Ma08_g05320 transcript:Ma08_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSVPLWNWFAAAGSISLGEKKANRILRSLSFGRSNSSNRMVSGACDDAVTERSLSFRNWEPETPKLDASVPIGEQAADDDDVTLQPSCLKVPMNFAVPHVKLPQQLVELSSPRPSSELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKRSSILFFDVEKPEPAVSKWARAKRRAARVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWSGSESTQPFFYWLDVGDGREVNLERCPRSKLQKQCISYLGPKEREAYEVIVENGKLVYKQSGMPVNTTESCKWIFVLSTSRALYVGKKNKGTFQHSSFLAGGATTAAGRLVAKEGRIEAIWPYSGHYLPTEDNFREFISFLGDNHVDLTNVKKCSVDDDEFPAHKKDDDVEVAVEAVVAAVEEKAKSEGEIENEEPKEPKEQSDASREKAAMAFELGRRLSCRWTTGAGPRIGCVRDYPADLQSKALEQVNLSPRVVASPAANKVPVPIPSPRPSPRLRLSPRLQYMGIPTPPIVSLTLPKP >Ma03_p28730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31838078:31842213:1 gene:Ma03_g28730 transcript:Ma03_t28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGNEVLRAHLGMALVQMANGGYHVLTKSVLNVGMNEVVFCVYRDLLAISILGPCALLQHRRSVRLQLTQRLLASFFLLGFTGIFANQLLFLLGLSYTNPTYAAAIQPSIPVFTFILAVVLGVETINLDANEGRMKVVGTLVCVSGAILMVLYRGPAVVGSNIVDLSYYSVGTMKPQSQSAGWLASFLTGFGLEKWHIGVLCLIGNCFCMAAYFVMQAPVLTKYPASLSLTAYSYSFGALMMVLTGIFTTNGYTEWLLTPPEIIAVLYAGIVASAMNYGIMTWSNKILGPSMVSLYNPLQPASSSVLSMIFLGSSIYLGSIVGGIFIVIGLYLVTWARFKETESAICGCHEHATHLENVSLMKKADTSSSFSVIP >Ma03_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27606148:27609067:-1 gene:Ma03_g22900 transcript:Ma03_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFLSHGSPTLSIDESLPARWFLKSWRSKVFQAVPSAILIISGHWETDSPTVNVINGHNDTIYDFYGFPKPMYKLKYPAPGAPNLAKRVRELLQNAGFGQVKEDRTRGLDHGAWVPLMLMYPEADIPVCQLSVQTNKDATYHYNMGKALAPLRDEGVLIIGSGSATHNLRILNRESQNVEKWALDFDTWLTESLINGRHDDVNNYEEKAPNARKAHPWPDHFYPLHVALGAAGEQAKAELIHSSWSYAALSYSSYRFTTA >Ma10_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29487878:29490153:-1 gene:Ma10_g18620 transcript:Ma10_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPLATAASQRVMAEHLWPGLEKGKQTTRRRRRGVEVPDDDDDDDDFEADFQEFSDETQVDEFDAVHFGFGSEAPFPRDGSPKSVYLDGPAIKSSKRKRKNRYIGIRQRPWGKWAAEIRDPNKGIRVWLGTFNTAEEAARAYDAEAHRLRGKNAKVNFPTGAASLSSRKRSSKPTASVIPKPKVLEKLDCNQNSNYLCDQDSELYSTFMEDQELTKPDHLNPVTTIKSSAPLEEAALNFHSDEGSNSLGYADFVWEFDAKTPEIMSILAPIEPELFEDGGPHKKLRSDISGVELSEELYANEPYMKFLPTPYFEGSSDASMDSLFGGADLWSFDDLPMEAVFTEGI >Ma10_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23853044:23853766:1 gene:Ma10_g09760 transcript:Ma10_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVVKEGPWGANAGNAFDTGRVDRFTKVKIYHGDVIYGLELTFVVGGKPQPPMLIGTKKRASQEITLDEDEHFISISGYFKQMLGNDIFITQLTLTTDENRNVSAGNETGNPFSLALEEGGQIVGFCGLVGQLTVAVGAIAVYCSLADS >Ma02_p18700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25113976:25121859:-1 gene:Ma02_g18700 transcript:Ma02_t18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEENLDAAIESLLNVEKQMRLAGDVAGTKKAVLDIVELCYKARAWKTLNDQIVLLSKRRGQLKQAVTAMVQKAMLYIDDTPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEDQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADASKEKKKPKEGDNIVQEAPADIPSLLELKRIYYELMIRYHSHNNDYLEICRSYKSIYDIPSVKEDPAQWIPVLRKICWYLVLAPHDPMQSSLLNSTLEDKNLFELANFRFMLKQLVTMEVIHWATLWETYKDEFENEKNLLGGSLGPKAAEDLKLRIIEHNILVVSKYYSRITLKRLSELLCLSLQEAEKHLSDMVVSKALVAKIDRPMGIVCFQRAKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMIHKAVLKA >Ma02_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25113976:25122437:-1 gene:Ma02_g18700 transcript:Ma02_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLDAAIESLLNVEKQMRLAGDVAGTKKAVLDIVELCYKARAWKTLNDQIVLLSKRRGQLKQAVTAMVQKAMLYIDDTPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEDQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADASKEKKKPKEGDNIVQEAPADIPSLLELKRIYYELMIRYHSHNNDYLEICRSYKSIYDIPSVKEDPAQWIPVLRKICWYLVLAPHDPMQSSLLNSTLEDKNLFELANFRFMLKQLVTMEVIHWATLWETYKDEFENEKNLLGGSLGPKAAEDLKLRIIEHNILVVSKYYSRITLKRLSELLCLSLQEAEKHLSDMVVSKALVAKIDRPMGIVCFQRAKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMIHKAVLKA >Ma10_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24098804:24102272:1 gene:Ma10_g09980 transcript:Ma10_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVILLLLLLASAAAASDLYIVTGGEGNGAQAFGRSDEEVRLLYQEWVAKHRPSRNALENGESRFEVFKDNLRYVDEHNAAADRGEHAFRLGLNRFADLTNEEYRAKFLGLRASASSRRRRASSAGSDRYRLRAGDDLPDSVDWREKGAVVGVKDQGSCGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTSYDQGCNGGLMDYAFEFIINNGGIDSEKDYPYKARDGRCDTYRKNAHVVTIDSYEDVPVDDEKSLQKAVANQPVSVAIEAAGRAFQFYDSGIFTGSCGTDLDHGVTAVGYGSENGKDYWIVKNSWGEDWGEDGYIRMERNIASSTGKCGIAMEASYPIKEGQNPPNPGPSPPSPVKPPTVCDDYYTCEESTTCCCVYEYGKYCFAWGCCPLEDATCCEDHYSCCPHDYPICNVQEGTCLQSKNSPLSVKASKRTPAKPYWANSGSEGKKSSA >Ma04_p29510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30434589:30438520:1 gene:Ma04_g29510 transcript:Ma04_t29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGRTRVGKYELGRTLGEGTFAKVKFAKNFETGENVAIKILDKDKILRHKMIDQIKREISTMKLIQHPNVVRMYDVMASKTRIYIVLEFVNGGELFDKIARHGRLKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDSSGVLKISDFGLSALPQQVHEDGKLYTTCGTPNYVAPEVVKDKGYDGAMADLWSCGVILFVLMAGYLPFEDSNLVSLYKKIFKADFSCPSWISASAKKLIQRILDPNPQTRITIPQVIENEWFKKGYQPPHFETPDVVLDDVDAIFDESGEGTNLVVERREERPVLMNAFDLISTAQGLNLGTLFEKQMGLVKRETRFTSKLPADEILSRIEAAAKPLGFDVKKQNYKLKLQGEKSGRKGHLAITTEVFEVTPSFHVVELRKSRGDTLEFHKFYKGISTGLKDIMWKSQE >Ma04_p29510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30434590:30438520:1 gene:Ma04_g29510 transcript:Ma04_t29510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGRTRVGKYELGRTLGEGTFAKVKFAKNFETGENVAIKILDKDKILRHKMIDQIKREISTMKLIQHPNVVRMYDARHGRLKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDSSGVLKISDFGLSALPQQVHEDGKLYTTCGTPNYVAPEVVKDKGYDGAMADLWSCGVILFVLMAGYLPFEDSNLVSLYKKIFKADFSCPSWISASAKKLIQRILDPNPQTRITIPQVIENEWFKKGYQPPHFETPDVVLDDVDAIFDESGEGTNLVVERREERPVLMNAFDLISTAQGLNLGTLFEKQMGLVKRETRFTSKLPADEILSRIEAAAKPLGFDVKKQNYKLKLQGEKSGRKGHLAITTEVFEVTPSFHVVELRKSRGDTLEFHKFYKGISTGLKDIMWKSQE >Ma04_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16649296:16649633:1 gene:Ma04_g16820 transcript:Ma04_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVIQKQRRAKGPGTEAGGARGAEHHRQAEGANSTCLHLWQAQAEALQEMAEGAKRSTGEGVGHDGGRRDGGG >Ma02_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7174015:7175470:-1 gene:Ma02_g00890 transcript:Ma02_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQQLLLPHLLSLLLLCVTLSRGHNITRILAQHPEFSTFNHYLTATHLAGEINRRLTITVLAVDNPAMAALLTAHPGLSLYSLKHLLSLHVLVDYFGAKKLHQLTGGSALTSTLFQASGAAPDTAGFVNISDYRAGHVLFSASSLPASAFVKSVEEIPYNLSVIQISSALSSPAAEAPVTAPAQVNLTALMARKGCATFAGLLAATADAEQTFASNIDGGLTAFCPLDEAMKPFLPKFKNLTADGKLSLLLYHAIPVYYSVEMLKTGNGVVNTLATDGTSRNYNLTVQNDGNQVTLRTRVTVATLMGTLIDEDPLAVYTIDKVLEPMELFKPAEPPAPAPAPETDKRAAAAPKAGTSTSAKASPPAPAGPEGQPGDQKAADESAAIRSGVYWSMAAAAAAAIVVVA >Ma07_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8777542:8781409:-1 gene:Ma07_g11810 transcript:Ma07_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTDSSGHVKPRRLSCAALCGCLLMTVVLVCLIRSTSNSVSTLSLRLFIETNVSFPEAVEESNAERQVGTASRPFSFEEAEVSDASIEDELLESGKQTVVLPQPLKGKQEGSDSNAAADAVAILHSSRMEQQNESATGDRTVVLQLQYEGKQHENVPKTDSPIDASRVVCDFTSDRSDTCWMDGDIRVLGRSSTIMLASPPTDRSPTENTTWKIKPYTRKWESTMEFIKELTVTTAADPQQSPLCTVNHSVPAVFFSTGGFVGNYFHDFTDVIVPLFMTVRRFDGEVQFVITDLNNQFFDKYQPILRRLSHYPAIDMDTDDRVHCFPHAQVGLMSHKELGIDASRSPNRISMNDFREFLRTCFSLERRYSTGIDQQARIKPRLLLILRKGSRSFVNEREVIGMVEGLGFELITAGPEDAKNLSSFAQTVNSVDVLIGVHGAGLTNMVFLPTNATLIQIVPCCNLKVGCRYIFADPAPDMGIRYVDYVIADEESSLIEQYPRDHAVFSDPLSILKQQGFYPFWDIFLNQQKVKIDVRRFRSVLSEVLHSHVKY >Ma04_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23546315:23546988:-1 gene:Ma04_g20840 transcript:Ma04_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKMLEEVAIIPSKRLRNKIAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDESAIKVDHIEVDKDTVDMLASLGMTDIPGVEQQADAPAAPTYPYQSRPGGGYGAGRRN >Ma01_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4487954:4494276:1 gene:Ma01_g06280 transcript:Ma01_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAKTVVHGEEEREVQKSYWMEHSRDLTLEAMMLDSRASELDKEERPEVLSLLPPYKGKSVLELGAGIGRFTSELAKEAGHVLALDFIESVIKKNESLNGHYKNTSFMCADVTSPDLTIEADSVDLIFSNWLLMYLSDKEVEKLVERMVKWVKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHTYDDQGNSFELSLITYKCIAAYVKNKKNQNQICWIWRKNKSDDGRGFQRFLDNVQYKSNGILRYERVFGDGFVSTGGIETTKEFVAKLELKPGQKVLDVGCGIGGGDFYMAENFDVDVVGIDLSINMVSFALERAIGRKCAVEFEVADCTKKTYPENTFDVIYSRDTILHIQDKPTLFRSFFKWLKPGGKLLISDYCKKLGTPSDDFAAYIKQRGYDLHDVDAYGQMLKNAGFNKVIAEDRTNQFLEVLQRELDAVEMGKEGFIRDFSQEDYDEIVNGWKAKLKRSSVGEQRWGLFIAQKK >Ma08_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33758859:33760560:1 gene:Ma08_g19880 transcript:Ma08_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWAIAVHGGAGVDPCLPAVRQEEARRVLSRCLKLGAGALQAGLTAVDVVEMVVRELETDPVFNSGRGSALTRRGTVEMEASIMDGRGRRCGAVSGLSTVKNPVSLARLVMDRSPHSYLAFDGAEDFAREQGVEMVDNSYFITEENVSMLKLAKEANSIMFDYRVPIPGVDSCSAIAGLMGAKAEGGLQMNGLPINVYAPETVGCVVVDNNGWCAAATSTGGLMNKMSGRIGDSPLIGSGTYACDVCAVSCTGEGEAIIRSTLARDVAALMEYKGLSLQEAVDYAIKERLDEGNAGLIAVSRNGEVAYGFNTVGMFRGSATQDGFMEVGIW >Ma03_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29004064:29010162:-1 gene:Ma03_g24780 transcript:Ma03_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESRSPASESRPSKAYRTTLLLAYQSFGVVYGDLCISPIYVYKSTFSGKLRLHEEDVEIFGVLSLVFWTLTLIALFKYIIFVLGADDNGEGGTFALYSLMCRNSKMGLLSTPHEHLTAYKLEPHREETRTSLSIKKFIEKSQTSRLVLLLFVLLGTSMVIGDGVLTPTMSVVSAVSGLRIKAPELHENYTVLISCIILVGLFGLQHYGTHRVGFLFAPILISWLVCISSIGIYNTIIWNPGVVRALSPHYIYYFFKKAGKDGWSSLGGLVLCITGAEAMFADLGHFSNLSIRIAFTAVVYPCLVVAYMGEAAYLSKHREDLQQSFFKALPEGVFWPVFVIATLATVVGSQAIISATFSIISQCRALGCFPRVRIIHTSSHIHGQIYIPEVNWLLMLSCLMVTIGFRDSYMIGNAYGLAVITVMLVTSCLMFLIITTVWRRSVFVALLFTVTFGSLESLYLSASIAKVYHGGWLPLLISLIILMVMFTWHYGTVKKREFELQNKVSLDRLLSVSPSLGLVRVPGVCLVYSNVTNGVPPMFAHFVTNFPAFHRVLIFLCLQTLTVPKVPLGERFLIGRVGPPESGLFRCIVRYGYKDGRLESYELENQLLLRVAQFLQQEGGRGSSTSGAMLVISEPCTLVVGAPGGADGGPKRVQFKGVEAAAEEELSHEVWELMEEREAGVSYMMGHTHVFAHESSPFLKKLAIDGVYGFLRRNSRSSAVSLGIPHSSLIEVGTVYHV >Ma02_p24090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28835357:28840599:-1 gene:Ma02_g24090 transcript:Ma02_t24090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEEHEVYGGEIPDEGDMDADVDMARPDEDAAKLQELDEMKRRLKEMEEEAAALREMQAKVEKEMGSVQDPASAAASQASKEEVDSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEALQLNESELHGRQIKVAAKRTNVPGMKQFRPRRFSPYMGNPYRRPYMPPYFASPYGYGKFPRYRRPMRFRPF >Ma02_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28835357:28840601:-1 gene:Ma02_g24090 transcript:Ma02_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEEHEVYGGEIPDEGDMDADVDMARPDEDAAKELDEMKRRLKEMEEEAAALREMQAKVEKEMGSVQDPASAAASQASKEEVDSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEALQLNESELHGRQIKVAAKRTNVPGMKQFRPRRFSPYMGNPYRRPYMPPYFASPYGYGKFPRYRRPMRFRPF >Ma07_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9078623:9081052:1 gene:Ma07_g12160 transcript:Ma07_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTLLVTLYSCLLLFLLLLEAPLCPTNATSTSSPKLQIVAPPPEVSTTVTDPPSELTEVAGHPAPPTLIRPALYIIHTSNFSRPSHFATQEQWYSSLLQSLAANSTVAAALTETSQRPFLYTYNIVLHGFATTLTPLEAKRISRIQGVIGVYKNRILELQSTRSPDFLGVTSSDSGVRPPVGESNSGQDVVIGLVDSGIWPESLSFDDSDLLPIGSKWRGTCENGTRFDATNCNNKLVGARFFKAGIVAEGILIDEASEFLSPRDANGHGTHTASTAAGSEVPGANLFGFANGKARGMASRARIAMYKACWGYGSCSSADILAAMEMAIKDGVDILSLSIGSNIDAPYYDDPISIGAFAAVRNGIFVACSAGNNGPTESTVTNTSPWITTVGAGTIDRKFPARVTLGNGEVYVGESLYPEMAEGTNMFPLLYVGFCTEDVLLPDVIMGKIVVCTEASVATGFYVEAAGGAGMISITGEEQGQVIIVKSFTLPALTVGYIDGKKILSYITSAANPVAELAFGHETIIGENRAPIVAGFSSRGPSYIQPKILKPDILAPGVNIIAAWPTESSLTRLADDPRREAFNIISGTSMACPHVAGIAALLREAHPTWSPAMIRSAIMTTAVTLDNYYRPTVDQSLGVATPFEIGAGLVHFPMARDPGLVYDTSVQDYVDLMCTMNYTKGQISQFVPGIFTCSNLEGGAGGLNYPSFVVIFDNETQARMLKRTLTKVSKQPETYTVRVVNPRPDKVEVTVEPQILAFDDENKLRSYKVEFKSIVVDQTDKGTEYGYIIWENSVHQVKSPAVFMWN >Ma08_p26580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39112803:39118447:-1 gene:Ma08_g26580 transcript:Ma08_t26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM3 [Source:Projected from Arabidopsis thaliana (AT5G46280) UniProtKB/Swiss-Prot;Acc:Q9FL33] MDVNEEAMAAHRRAFLDFFDQDVGKGFYMKSIRDMIQSKRRRLIVGVDDIRNYSLDLARRLILSPAEYILPISDALTEVTRNVDPKYLKEGERVLVGFSGQFGFRKVTPRDLMSSFIGSMVCVEGIVTKCSLVRPKVVRSVHYCPTTEQFTTREYRDITSMVGLPTGSVYPTRDENGNLLVTEYGLCEYKDHQTLSMQEMPENSAPGQLPRTVDVIIEDDLVDCCKPGDRVAIVGIYKALPGKSKGSLNGVFRTVLIANNVSLLNKEANAPIYSLEDLKRMKEIAKRNDTFDLLGNSLAPSIYGHLWIKKAVILLMLGGVEKNLENGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVSIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRLISEHVSRMHRYCADGRGVGSLDKSSRYTEEDDGDANASAFVKYDRVLHGQEKRRGKRQKHERLTIKFLKKFIHYAKNRIQPKLTDEASDHIATSYAELRDASSNAKSGGGTLPITARTLETMIRLSTAHAKLKLRNEVLKTDVEAALQVLNFAIYHKELTDMEEREQRELEMKQKADHDAGQNIGDLGGRSTTMDEMDVDIHHAADQDNLPTDRIEAFESILGQHVLANHLDQISISEIEQIVNREAVRPYTRHQISLILERMQDSNRIMIRDGIVRII >Ma06_p38880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37506759:37508514:-1 gene:Ma06_g38880 transcript:Ma06_t38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVRRGLWSPEEDEKLIRYITAHGYCCWSEVPMKAGLQRCGKSCRLRWINYLRPGIRRGRITPEEEKLIISLHGIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPTTPQPTASPRVELVHPSLNSMNQLDSTDLHRDLTSKSSPDPIFPSPWPLFMFDDGGVSGRHSASSCTREEPLQEATCSDMYNVPNHKQDEADMPSLFDLVNSSMTYNNLPPLVDDMENTVTEEEAQPCCLGDNGEVSGESFEKKGLGEWLVPQQYSCLLSWDDVQSPIGDETIITTSSSMATMDTTFPFPWQ >Ma11_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26166556:26168421:-1 gene:Ma11_g22370 transcript:Ma11_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCGVETAALKSCEPVSRAARRRRMEIRRLSFVAGVEAPAVEDEPSEKRQRMDGGSSSGSAEKSGTTPGGSPSLEPPIMASAELGTSGRRPRFGMAAVCGRRRDMEDAVSIRPDFVRRDDGVSARHHFFGVFDGHGCSHVASLCSDRMHEVVAEEVETLASGAATSPQAWRVAMERSFARVDAEAVNGSGERPSQDCRCELQPPRCDHVGSTAVVAVVSPTRIVVANCGDSRAVLCRNGAPIPLSSDHKPDREDELQRIEAAGGRVIYWDGARVLGVLAMSRAIGDSYLKPYVISEPEVTVLDREEGDECLILASDGLWDVVSNETACDIARMCLQGGGDEEEEVAGGDASCSDAAVLLTKLAFARHSADNISVVVVDLREKWKRAPTRKNS >Ma11_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3752216:3755625:-1 gene:Ma11_g04800 transcript:Ma11_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPMRPLLWAMAAARRRLFTASAASSPSPSPSSSSAEARASEVGERKESKPLYRRLSALGRAPAGSVTKTLNKWLREGRTVTATQLMKYVKELRKYNRYSHALELMEWMSGTRGMNISYTNHAIRLDLISKVKGTESAEEYFSQLPEPAKNERTYGSLLNCYCSGKNADKAISLYNHMKDRNIASSTLVHNNLMSLYMKLGQPENAITQFQEMKSKNIVPDNLTCCILMNCYASLNDIVSVESVIKEMEEGGEVTLQWSAYSTLAAIYSSAGMATKAESALKQLEGLVDKRDWMPFHFLISLYAGIGKLGEVKRIWMSLKEVYSNPINMSYLKMLQALSKLDDIHGLKLLYEEWESGYTAYDLRLTNLMIGTYLKKDMIREAESIWQKASERGAVPDFWTCDRFLDYSLKNKDTGLALRWLETATSMVKQDEWKLNEDKVDAFLKAFEEAKDVEGLEEFCKSLRRLKCLDLNAYEALLRTYLAAGKKNPGLHRRIKDDKIKISSETKKLLQWVCATN >Ma09_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2005819:2012689:1 gene:Ma09_g02930 transcript:Ma09_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEVHRIASLRRNSSIWKRDDNIFSRSSRDEDDEEALKWAALEKLPTFDRVRRGILTLAEDGKQLQEVDVQRLGFQERKTLMERLVRVAEEDNERFLLKLKDRIDRVGIDLPTIEVRYEHLSIEAETHVGNRGLPTVFNSVANVLETAANYLHILPSRKKPLSILHDVNGIIKPRRMTLLLGPPGSGKTTLLLALAGKLSSDLKTSGKVTYNGHEMKEFVPQRTAAYISQYDLHIGEMTVRETLAFSARCQGVGTRYDMLTELARREKAANIKPDPDVDVFMKASAMKGQETNVTTDYILKILGLEVCADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGLIVYQGPRENVVEFFESMGFKCPERKGVADFLQEVTSRKDQQQYWSRQDEPYRYVPVREFAEAFQQFHIGRALAEELSVPFDKSKSHPAALTTTRYGVSKTEVLKANMARELLLMKRNSFVYIFKAVQLVIMAVIAMTVFLRTKMHRNDIDDGMIYNGALFYGIVTIMFNGFSELAMTIMKLPVFFKQRDLLFYPAWSYTIPGWILKIPIAFAEVAVWVFTTYYVIGFDPNVGRLFKQYLLLLVTNQMASGLFRTIGAVGRNMIVANTFGAFALLILLVLGGFILSREKVKKWWIWGYWISPLMYSQNAVSVNEFLGHSWSHITSNSNSTESLGVAILESRGVFPEARWYWIGFGATVGYVLLFNALFTLALTYLDPFGKSQPPLSEETLKEKHANLTGEVLENSSRGRRSVRHSASKKSASGIGRKSSSLGSMREAFEQNKKGMVLPFTPLSITFDDVRYSVDMPQEMKAQGVAEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKRQETFARISGYCEQNDIHSPHVTVHESLAYSAWLRLPSEVDSETRKMFVEEVMELVELTPLRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGRDSSHLISYFEGINGISKIKDGYNPATWMLEVTSQSQENILGVNFNETYRNSELYRRNKSLIKDLSIPPAGSSDLYFPTQYSQSFPVQCMACLWKQHLSYWRNPPYTAVRFFFTTVVALLFGTIFWDLGRKTSTQQDLFNAIGSMYAAVLFMGIQNCSSVQPVVAVERTVFYREKAAGMYSALPYAFGQVAIELPYILIQSALYGVIVYAMIGFEWTVAKFFWYLFFMYFTLLYFTFYGMMAVGITPNHSIASIVSAFFYAIWNLFCGFIIPRPKIPVWWRWYYWACPVAWTLYGLAASQFGDIETVMTDKNLPVSEFLRSYFGFKHSFLGVVAAVVVAFPLMFAFLFAFSIKMLNFQKR >Ma08_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6912537:6917118:-1 gene:Ma08_g09550 transcript:Ma08_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDEDGIYYALDLGATTCQVLRIQLGGRGSKIINHKVELHQIPQELASSTSEELFDFISLTLKEFVEREENGIDQAPDERKELGFTFSFPIRQLSISSGVLIKWTKGFAIEDVVGKDVSRCLEEAMSRNGLNMRVGALVNDTVGTLALGHYYDKDTVAAVIIGTGTNACYVERSDALIKSQGLLTNSGGMVVNMEWGNFWSAHLPKTSYDIALDEESPNRNEQGFEKMISGMYLGEIVRRVLHRIAEESDIFGESAYHLSVPFILRTPLMAAMHEDDSPNLREVGRILEEQFQMPGISLKARMLVVRVCDIVTRRAARLTAAGIIGILKKIGRDGISGVASGRAKGKPKRSVVAIERGLYTNYSIFREYMNEAITDILGEEIAQNVVLRVAEDGSGIGAALLIATYSSKR >Ma01_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18034593:18034966:-1 gene:Ma01_g20440 transcript:Ma01_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSKILIIGGTGYIGKFIVAASARSGHPTSALVRSTAAPADQPAKAKLLSDFQAAGVTLIQGDLYDHESLVKTIKQVDVVISTVGSCS >Ma01_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28149716:28150722:-1 gene:Ma01_g23530 transcript:Ma01_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEALCGGKTSSAASGRSPSVARAGTREAPVDVEAGRPRKRARVLPGEGPEAVATSAAESTVALAVEAVVAPAANAAGSLGEGEASTSGNAAVEAPRQPSIRELLHLPLGREDEPYLAWEVGALPRGATTDPLTGRWDDLTRGSRVWADGDCAARFVRGGLHPDIARDLYTLSSEVLLSKSAKSLLWGNHYVVALMDRVCDAGRVIAALSSRNAELRRQADEARAGAGPEAVAAVEQRASDLEAEVTRLRSELQSSAERLVEFQARLETSEERNTELQTHLRASVAEARSARTDSLELIRRLKESRAEA >Ma11_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1553807:1557824:1 gene:Ma11_g02170 transcript:Ma11_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRSRQQRHPPSSPCQNRHLLPLFLCHHPPLCCFYLLLPLLLSLSPFSSSLLASANQEVTALYQWINSSSSSARALPDWDPAHATPCKWSRIACNADDSVSTITIRSVSLAVPLPAGLCAALPSLTTLVVSDANLTGAIPSDLAACSLLTLLDLSSNSLSGAIPAALARLSYLSSLILNSNQLSGLIPEELGSAAALRHLILFDNRLSGPIPDSLGNLSLLETIRAGGNRDLSGPIPDSLSLCANLTVLGLADTKISGPIPASLGRLSNLQTLSIYTTMLSGSIPPELGNCSSLVNLYLYENSLSGPLPASLGRLSKLERLLLWQNVLSGPIPDEFGDLSSLQSIDLSINTISGAIPPSLGALSNLQDLMLSDNNVSGSIPPSFANLTSLSQLQLDTNQISGLIPAELAALKSLTVFFAWQNQLEGAIPPSLASLTNLQALDLSHNHLTGPIPPALFLLPNLTKLLLLSNDISGPIPAEIGRCASLVRLRLGDNRIAGPIPAEIGGLKSIDFLDLSGNRLTGPIPASIGNCSQLQMVNLSNNTLSDAIPDSLSLITRLQVLDLSLNRLTGPIPGSFGKLDSMNKLVLNGNSLTGPIPTSLGQCSNLELLDLSSNQLTGGIPDDLCLIEGLDIALNLSRNALTGPIPAKMSAFSKLSVLDLSYNMLDGSLTPLAGLENLVTLNVSNNNFTGYLPDTKLFRQLSASDLAGNQGLCTHGGDVCFVTLDANGRPIMTVEAESRRVHKLKLAIALLITATVAMVLGMIGVIRARRMGGKGGEDDGSEMGGEMSWPWQFTPFQKLSFSVDQVVRSLVDANVIGKGCSGVVYRVQMDNGEAIAVKKLWPTSASAGKLAAKEDCNSSRVRDSFSAEVRTLGSIRHKNIVRFLGCCWNKSTRLLMYDYMANGSLGGLLHERTGFSLEWDLRYQIILGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVEDGDLARSSNTLAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRRRKGSAEVLDTGLRGRPDSEVQEMLQVLGVALLCVNAAPDERPTMKDVAAMLKEIRHEREEYAKVDCLLKGAAPAAAVDATTSTSCSALLGQRGQSNSSNSSFSASSIYSSARAKSPFESTSAYPS >Ma11_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3810624:3811899:1 gene:Ma11_g04880 transcript:Ma11_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPVRRASSSEGDSQPTSDERKRKRMISNRESARRSRMRKQQHLDDLINQAEQLKNQNSQIDVQINLATQQYVKVESENAILRAQLSELTERLHSINSVLRFIEEVSGMAMDIPEIPDPLLKPLQLPRAAQPIMANADMLQF >Ma07_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10115194:10115881:-1 gene:Ma07_g13430 transcript:Ma07_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAALSIDGAEEAPEERVGRLIQENPAVIFSRRGCCMSHVMKRLLAAVGAHPAAIELEEADEEKAAAAAGGGGLPTLFVGGVAVGGLEGLVGLHLRDHLVPMLREAGALRS >Ma06_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12626478:12630655:-1 gene:Ma06_g18450 transcript:Ma06_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIQEARENHVKKKVEEALRSKMKQKALKECDKYCAKYAECATGRTFSVVWRCRKQARELNECLHRYTNDSVLEEMKRSYVLEQEKQEQK >Ma11_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4020782:4030985:-1 gene:Ma11_g05260 transcript:Ma11_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSFEDISAQNQVKASVQRKIRQSIADEYPGFEPLLDDILPKKAPLIVAKCQNHLNLVLVNSVPLFFNIRDGPYMPTLRLLHQYPHIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGALDEEVLEETPVAIMAEGKQHALAIGYTKLSAKDIKTINKGIAVDNMHYLNDGLWKMERLE >Ma05_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4539260:4542412:1 gene:Ma05_g06070 transcript:Ma05_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSNLSFNILSAGGQSLIFLSAFSAARAERFASSFVLTALAGLVEGFVDWSSFSASRTSVTTLEGRLAEQKKPEASAPAGKFSSGKRLQAANKSRRKQKKPGQMPSVVQRLFETCKEVFAEGGDGIIPSLEDVNRLRSVLDNVGASDVGLTQNMPYFQNSSSARTPSVTYLHIYECHKFSIGIFCLPPSGVIPLHNHPGMTVFSKLLFGSMHIKSYDWVNVPQNSDEIVNSLHYQHPGLRLAKVKTDAIFTAPCKASVLYPEDGGNMHCFTARTSCAVLDVLGPPYSDLDRGRDCTYFNDLPFDSFSGDGKLAADEDGVYAWLEERKKPDDFLVVGARYSGPRIRER >Ma06_p34830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34939459:34943839:-1 gene:Ma06_g34830 transcript:Ma06_t34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6A MPSQRSPRMQRGNNHLPFFFDFLPTAALRLAPPRPMSEKKRRRTEAGPPAASAASKKLRRPDSHAQGLRKLLKPDAEILASVREMYDAAQSAASSSKALTLSDLSLSAACREVSDCDAASVQLAIERAVLAMARSILAGRGFSFDVPSRAASNQLYVPELDRIVLRDKSSSRPFASLSTVRKATITARVLSLVYLVLRRDIHVTKRDLFYTDVKLFQDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFTDDGDRIDCTKMGIGGKAIPPNIDRVGNLESDALFILLVEKDAAFMRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRRMKTELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSSNLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTEQDVKAGKDLLEEDFVKRNGGWVKELDMMVKTRQKAEIQALSSFGFQYLTEVYLPLKIQQRDWL >Ma05_p13560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9829409:9849060:1 gene:Ma05_g13560 transcript:Ma05_t13560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSRFFYKRPPDGLLEFVDRVYVFDSCFSTEVVPDGLYHIYLHEIVTELHEEHADSSFLAFNFREGEKRSQFAEILCKYDVTIIDYPRQFEGCPLLPLSLVHHFLRVSESWLSLRNNQNIILLHCERGGWPLLAFLLACFLTFRKLHGTEQKTLDIVHREAPRGFLQLLSPLNPLPSQLRYLQYVSRRNITPEWPPMERALSLDCLILRGIPSFDSENGCRPLIRIFGHNIHKKDDLSSNTLFTTSKKKSLRHYQQEDCDVIKIDIQCLVQGDVVLECVHLDLDPDREVMMFRIMFNTAFIRSNILMLNSDDVDILWDSKDRFPKGFRAEVLFGETENISPPRAPTAILNGEVKGGLPIEAFEKVQELFSGVEWFERNDDDAFWLLKQISANALQEKLEKLILTDAKEISRLQSKVGLQIPLMSPLESDEEKDSVTSDSVVSVDSEKVQHHSSISMDVENILADSATQDSDSTGSPQSNISTDAVLTPPSLPPSSEGTFGGLGTPNFVPEAAPPLPPPPSSPTVKSQQPSPLSSSSEVSILPPSPPPLPPAPISTTGKNGQSPPLPPQPPPPPPPPPPPRSTISIRCPPAPAPPPPPPPPLSTTSSKGPLAPPPPPPPPPPPPPPLLYATSRKVPPPPQPPAPYLPPFAASTRGPPPPPPPPPSPLPPPSTISGRGPPPPPPPPPPSTITSRSPSVPSPPPPFSTMSGNALPPPPPPPPFSTPGKSHAPPNPPQPPPPPPPPPPSTKSNKGSFLSPPPSFPVTAASNRSPPPPPPPPPPSSSLSHIDHGTVHAVPQPPPPPLKNGRGNAPGPPPPPSFGSKGMPPPPPPQALKPPGLVPLPPPLQGGSHGVPAPPQPPGLKDLNTLAPPAPASGRGRLIASSSGKGRGSLQSIPPKKTPLKPLHWVKVTRAMQGSLWADSQKQENQSRAPEIDLSELESLFSTTTASHESGRDKSGARRGTSTTKPEIVHLIDMRRANNCEIMLTKIKMPLPDMIHAVLALDTSVLEIDQVENLIKFCPTKEEMEMLKNYTGNKEMLGRCEQFFLELMKVPRVESKLRVFSFRITFSTQVNELRTNLNAINDASREVKESLKLRQVMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLTLHHLGH >Ma05_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9829409:9855929:1 gene:Ma05_g13560 transcript:Ma05_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSRFFYKRPPDGLLEFVDRVYVFDSCFSTEVVPDGLYHIYLHEIVTELHEEHADSSFLAFNFREGEKRSQFAEILCKYDVTIIDYPRQFEGCPLLPLSLVHHFLRVSESWLSLRNNQNIILLHCERGGWPLLAFLLACFLTFRKLHGTEQKTLDIVHREAPRGFLQLLSPLNPLPSQLRYLQYVSRRNITPEWPPMERALSLDCLILRGIPSFDSENGCRPLIRIFGHNIHKKDDLSSNTLFTTSKKKSLRHYQQEDCDVIKIDIQCLVQGDVVLECVHLDLDPDREVMMFRIMFNTAFIRSNILMLNSDDVDILWDSKDRFPKGFRAEVLFGETENISPPRAPTAILNGEVKGGLPIEAFEKVQELFSGVEWFERNDDDAFWLLKQISANALQEKLEKLILTDAKEISRLQSKVGLQIPLMSPLESDEEKDSVTSDSVVSVDSEKVQHHSSISMDVENILADSATQDSDSTGSPQSNISTDAVLTPPSLPPSSEGTFGGLGTPNFVPEAAPPLPPPPSSPTVKSQQPSPLSSSSEVSILPPSPPPLPPAPISTTGKNGQSPPLPPQPPPPPPPPPPPRSTISIRCPPAPAPPPPPPPPLSTTSSKGPLAPPPPPPPPPPPPPPLLYATSRKVPPPPQPPAPYLPPFAASTRGPPPPPPPPPSPLPPPSTISGRGPPPPPPPPPPSTITSRSPSVPSPPPPFSTMSGNALPPPPPPPPFSTPGKSHAPPNPPQPPPPPPPPPPSTKSNKGSFLSPPPSFPVTAASNRSPPPPPPPPPPSSSLSHIDHGTVHAVPQPPPPPLKNGRGNAPGPPPPPSFGSKGMPPPPPPQALKPPGLVPLPPPLQGGSHGVPAPPQPPGLKDLNTLAPPAPASGRGRLIASSSGKGRGSLQSIPPKKTPLKPLHWVKVTRAMQGSLWADSQKQENQSRAPEIDLSELESLFSTTTASHESGRDKSGARRGTSTTKPEIVHLIDMRRANNCEIMLTKIKMPLPDMINYTGNKEMLGRCEQFFLELMKVPRVESKLRVFSFRITFSTQVNELRTNLNAINDASREVKESLKLRQVMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLIHLEAASKIQLKLVAEEMQAVSKGLEKVEQELTASESDGDISVGFRMALKGFLHTAEADVRSLTSLYSEVGRNADSLSQYFGEDPARCPFEQVTSILVVFVNMFNKSREENARNAEAEKKKIVKEASKERSNTATKRD >Ma05_p13560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9829409:9855929:1 gene:Ma05_g13560 transcript:Ma05_t13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSRFFYKRPPDGLLEFVDRVYVFDSCFSTEVVPDGLYHIYLHEIVTELHEEHADSSFLAFNFREGEKRSQFAEILCKYDVTIIDYPRQFEGCPLLPLSLVHHFLRVSESWLSLRNNQNIILLHCERGGWPLLAFLLACFLTFRKLHGTEQKTLDIVHREAPRGFLQLLSPLNPLPSQLRYLQYVSRRNITPEWPPMERALSLDCLILRGIPSFDSENGCRPLIRIFGHNIHKKDDLSSNTLFTTSKKKSLRHYQQEDCDVIKIDIQCLVQGDVVLECVHLDLDPDREVMMFRIMFNTAFIRSNILMLNSDDVDILWDSKDRFPKGFRAEVLFGETENISPPRAPTAILNGEVKGGLPIEAFEKVQELFSGVEWFERNDDDAFWLLKQISANALQEKLEKLILTDAKEISRLQSKVGLQIPLMSPLESDEEKDSVTSDSVVSVDSEKVQHHSSISMDVENILADSATQDSDSTGSPQSNISTDAVLTPPSLPPSSEGTFGGLGTPNFVPEAAPPLPPPPSSPTVKSQQPSPLSSSSEVSILPPSPPPLPPAPISTTGKNGQSPPLPPQPPPPPPPPPPPRSTISIRCPPAPAPPPPPPPPLSTTSSKGPLAPPPPPPPPPPPPPPLLYATSRKVPPPPQPPAPYLPPFAASTRGPPPPPPPPPSPLPPPSTISGRGPPPPPPPPPPSTITSRSPSVPSPPPPFSTMSGNALPPPPPPPPFSTPGKSHAPPNPPQPPPPPPPPPPSTKSNKGSFLSPPPSFPVTAASNRSPPPPPPPPPPSSSLSHIDHGTVHAVPQPPPPPLKNGRGNAPGPPPPPSFGSKGMPPPPPPQALKPPGLVPLPPPLQGGSHGVPAPPQPPGLKDLNTLAPPAPASGRGRLIASSSGKGRGSLQSIPPKKTPLKPLHWVKVTRAMQGSLWADSQKQENQSRAPEIDLSELESLFSTTTASHESGRDKSGARRGTSTTKPEIVHLIDMRRANNCEIMLTKIKMPLPDMIHAVLALDTSVLEIDQVENLIKFCPTKEEMEMLKNYTGNKEMLGRCEQFFLELMKVPRVESKLRVFSFRITFSTQVNELRTNLNAINDASREVKESLKLRQVMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLIHLEAASKIQLKLVAEEMQAVSKGLEKVEQELTASESDGDISVGFRMALKGFLHTAEADVRSLTSLYSEVGRNADSLSQYFGEDPARCPFEQVTSILVVFVNMFNKSREENARNAEAEKKKIVKEASKERSNTATKRD >Ma03_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:675206:679577:-1 gene:Ma03_g00840 transcript:Ma03_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASCLPPLILLLLLFLFLTLGISGVHSSTTLSPSAAMQSLAQKRKPAMDSELPFTAHFFPQQLDHFTFRPKAYHVFYQKYLINSTYWDQGPVHTAPIFVYTGNEGNIEWFAANTGFMLDIAPKFKALLVFIEHRFYGESMPFGNDSYESAEELGYLTSTQAMADYAILIRSLKKNLSAEASPVVVFGGSYGGMLAAWFRLKYPHITIGALASSAPILQFDHIVPWSSFYDGVSQDFKDESINCFEVIKDSWDELMVVGAKKGGMLELTKTFRACKKLQSVYSVRDWLWTAFVYTAMIDYPTPANFLMPLPAYPVKEMCRIIDGFPAGEDILIKVFSAASLYYNYSSTNSCFDIENGSDPHGLHGWDWQACTEMVMPMTSSNESMFPPSTYDYKEFGDQCMTKYEVRPRPHWITTEYGGNKIELVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTPLGAHHLDFRAATKDDPKWLKEQRETEVKIIQAWIDQYYEDLRK >Ma03_p32530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34280561:34283518:-1 gene:Ma03_g32530 transcript:Ma03_t32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFHQYQVVGRALPSSTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERKPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRCHCIQIIKTATIPSKLCKRESTKQFHDSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFM >Ma04_p13660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10330615:10337742:-1 gene:Ma04_g13660 transcript:Ma04_t13660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYIKQGDDRQRKLCLIASEMSLQESLNRFQRQQEKCQTTLTRITTRATLPKVVQSHKATPASNSLAPSKVTASVKFSNDTERLQHINAIRKSPVGAQLKRVIGLLFETRQTLTPEEINEACYVDINSNKALFDSLKNNTKVHYDGKRFSYKSKYDLKGKDQLLSLIRKYPEGLQVMEVKDSYPSVLEDLQALKAAGQIWLLSNMDSQEDIVYPNDPKVMIKVDDDIKQHFREIELPRDMVDIEKELQKNGMKPATNTAKRRVMAQFHGITSKPKPKKKREITKRTKLTNAHLPELFQN >Ma04_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10330615:10337742:-1 gene:Ma04_g13660 transcript:Ma04_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQESLNRFQRQQEKCQTTLTRITTRATLPKVVQSHKATPASNSLAPSKVTASVKFSNDTERLQHINAIRKSPVGAQLKRVIGLLFETRQTLTPEEINEACYVDINSNKALFDSLKNNTKVHYDGKRFSYKSKYDLKGKDQLLSLIRKYPEGLQVMEVKDSYPSVLEDLQALKAAGQIWLLSNMDSQEDIVYPNDPKVMIKVDDDIKQHFREIELPRDMVDIEKELQKNGMKPATNTAKRRVMAQFHGITSKPKPKKKREITKRTKLTNAHLPELFQN >Ma04_p13660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10330615:10337742:-1 gene:Ma04_g13660 transcript:Ma04_t13660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQESLNRFQRQQEKCQTTLTRITTRATLPKVVQSHKATPASNSLAPSKVTASVKFSNDTERLQHINAIRKSPVGAQLKRVIGLLFETRQTLTPEEINEACYVDINSNKALFDSLKNNTKVHYDGKRFSYKSKYDLKGKDQLLSLIRKYPEGLQVMEVKDSYPSVLEDLQALKAAGQIWLLSNMDSQEDIVYPNDPKVMIKVDDDIKQHFREIELPRDMVDIEKELQKNGMKPATNTAKRRVMAQFHGITSKPKPKKKREITKRTKLTNAHLPELFQN >Ma08_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12749420:12751092:-1 gene:Ma08_g14430 transcript:Ma08_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSFLPPPPFPRSSVFTPIPEHDEEEEEEEEEQPQEAVPVEQPSTAAVTDSTSSVHNPAQHHAATASSAAIALPMATTRRPSRSRGHDDSEGVSVSCNNCRPTSRDKLISVVPLDTASGRQHPTAFSSSSPGQGGLLRSLFFSLTGRSPAVSSAAAASSAIREDQWRFLAAELSRKLIHTTRKRDEAVLEASRLKQSLAELDDKIDRLESHCCDLRAALQSGPAPGPSSGTFPAESFHLAVADARAAVRHLARSLIAQLRLSPPGSLSSDRVAALIQSYDPRAAVQWQRNPGGLVFYMEALLNRVLYDGFEKDEEEEARQIDPAVRCAASRAGYEAVRGLGWEEVLSNGTRHYSEGLSRFCDRKMSEVVVMVGLARAWPEGLLQAFFGAAKGAWVVRLMARSVHPAVPALRAGRGARFDGRFMEDVASDRARRQTTACVRAMVAPGFHVYNNNGGGGVVKCTVLCAYNSECGNNGRSDTTMVTRDLRSCSVGKK >Ma10_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27768148:27771072:1 gene:Ma10_g15800 transcript:Ma10_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRPSGAWSTPWLVVVLVHWLLCATERRRGAVVEASHVEFASLQSVPASVVDNRLRTGYHFQPPRNWINDPNGPMYFNGVYHLFYQYNPNGSVWGNIVWAHSVSTDLVNWIALDPAIRPSKPFDINGCWSGSATVLPGNRPVI >Ma08_p31180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42171146:42174360:1 gene:Ma08_g31180 transcript:Ma08_t31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASQTDVSVHSTFASRYVRDALPRFRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNRRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPKKAVELVDENTICVAAILGSTLNGEFEDVKLLNDLLAEKNKKKGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKDDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKNVMENCQENAMVLKRGLERTGRFDIVSKDNGVPLVAFSLKDRSRHDEFEVSDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVMDVQKVLHELDSLPPKQPKVNGHPHHHRNGTIPKKSELETQRSVTDAWKKFVMAKKTTNIVC >Ma10_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14268706:14271105:-1 gene:Ma10_g03680 transcript:Ma10_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRVGAMRCFRRPLSSCQPHQTITLTSFSAAILRRPFSSSDEPSEGGRVALYFRRARLIDALRLRLRSADPSPPPLPPDSFVAVQALRSAPSPDSALSLFRSLRSPSTPLLHALAKRLALARRLPDLRSLLDAADAGSYPSSIPPSPFDRLRWFAAAGDLPSALQIWSSIRSSADPNRHSRRRSHPSTESYNLVMSLHADAGAHSAAVATFSQMIQEGANPNSRTYTVIIRHLVRSGHLDAATEIFHLLPSMRTPHTSKQYEVLAEAYSSAGLFDELGRLVKEMNSDGILPGPAMRAAMAKMRAAGHIVGTEEFVQELFPNDRIADIVSDSGDEEGCEEEDSRDKDDDIEGDRIRLKPWLEPTALARALEDWDPNEVAELEAARLVWTPRLVCKLLRAFKKAETAWAFFCWVAHQPGDFVHDRRTVSRMISILARHGHAELVGRLLSKVRSEGISLPFATVRLVIDFHGLSKKATAAFEVYREADSICGALSGSQRLLLCSSLLRAMVKCKRGSDALDLLEETMLEGVLPDIQTFSGLMQHFAGAGDLRSVHKLFGMVRQCGLAPDAYMYKILIQAHCKQERAALALRLFDEMTSSGLGPDRATKTLLMKSLWNEGKHREAALVEERCGDEADTRLPVASPGHEWTVSAADFRRVYDIYSACFA >Ma01_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7331857:7338816:-1 gene:Ma01_g10200 transcript:Ma01_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSSAVTLPTHEYSASCSLLLDTSIPIPNLVLPICKSSCGFRPIVRMSASRNNSCNLSCTSKKWRIHSVEQVQAVLLEDEQKKTWETCTEILSTLKFSIEEADSILKKAFGWVHSPYWGEERSKEVPKVQKVNEMLDYIKGLGLNDEDLYKILKKFPEVLGCSLVDEVQTNIDMLEKDWGIKGQALRNLLIRNPKVLGYNVDCKGDCMAQCTRCWVRF >Ma09_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1666159:1669146:1 gene:Ma09_g02350 transcript:Ma09_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLAAMEERLVSDRLRRKLEDVNAAAQKQLSPIQDHVNFTLQQAYFKCAYECFDRRRKQEEISNCVEHCSVPVLNANNMVETEMAKFQERLNRSLMVCQDKFEAAKLQKIKTDAMTDLESCVNKAIDDSLGALPHVVDHVKASLSIN >Ma05_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2710087:2716309:1 gene:Ma05_g03680 transcript:Ma05_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVLLHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISERLNIQVSDVKNVIIWGNHSSTQYPDVSHATIKTPSGQKPVRELVSDDDWLKGEFIKTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCRAGEWTIVQGLSVDEFSRKKMDATAEELTEEKALAYSCLS >Ma04_p38980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36267215:36269601:1 gene:Ma04_g38980 transcript:Ma04_t38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVALRSPPLQIRPGYVWEAAAADLSSSRLAPRSIVVCDAQRSHGSIPKLKPFSRSRFERWLKDPPFLQQIENEISDYCTTLEGDDCYSCWRAYFELKDLEQQWPKEDVEKLVRQVGGIKMLIDCLHGITAMLKKKEKETEPLKPVTSCPQRERPVISCPQRERPFPVPDGLPPTEEELEEAERARMPDSPFTRLLRSKGKFPAWYTPPPDHETD >Ma05_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15066014:15069618:-1 gene:Ma05_g16030 transcript:Ma05_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHASRRLIQALGDGGRRRSFSTDLPAASSEDAAFVEAWRKVAPSIDPPKTPLAFMKPRPPTPSSIPSKLTVNFVLPYQSEISNKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNEVTKYFVSSGFAFIHANSVTDIVAVEAVPVDRIDPSLVQKGLADFTQKLNSTTTDLEKAEAQIGVDVHSALNAALSG >Ma04_p07140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5196068:5200087:1 gene:Ma04_g07140 transcript:Ma04_t07140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVRSFLDTGIYRLVRDGSKVVFLDPVRIINHSYTRFWVSPSAYYSRSFETTTAALKTDAVEDSRILKKRKRTRAPRDLNESERAAVKRHQEARTFLLNAHKAFLEAAELQALLPELVKSEVSLPTRRASEQKFIQLGSLWQAPLYEISLCFRNKNLSDDTGGYRLGDHGGTIIVHQLYNNLICNETNEDVEAEFQSNRYILPSRSCFHMSDLQQVRNLVPAHSKDGFNFIVIDPPWENGSACQKAVYPTLPNRYFLYLPVKELAHEGGALVALWMTNREKLRIFVENELFPAWGVTKFVLSYWLKVKPDGSLIGELDLFHHRPYECLLLGYINLQNDDSRAMPFEYLGINQVIVSIPGDYSRKPPLGRSYTRPQARKVH >Ma04_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5196068:5201327:1 gene:Ma04_g07140 transcript:Ma04_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVRSFLDTGIYRLVRDGSKVVFLDPVRIINHSYTRFWVSPSAYYSRSFETTTAALKTDAVEDSRILKKRKRTRAPRDLNESERAAVKRHQEARTFLLNAHKAFLEAAELQALLPELVKSEVSLPTRRASEQKFIQLGSLWQAPLYEISLCFRNKNLSDDTGGYRLGDHGGTIIVHQLYNNLICNETNEDVEAEFQSNRYILPSRSCFHMSDLQQVRNLVPAHSKDGFNFIVIDPPWENGSACQKAVYPTLPNRYFLYLPVKELAHEGGALVALWMTNREKLRIFVENELFPAWGVTKFVLSYWLKVKPDGSLIGELDLFHHRPYECLLLGYINLQNDDSRAMPFEYLGINQVIVSIPGDYSRKPPLGQLLKDHIPGPKPAKCIELFARELGAGWTSWGNEPLHFQDSKYFVERDNER >Ma04_p07140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5196068:5201327:1 gene:Ma04_g07140 transcript:Ma04_t07140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVRSFLDTGIYRLVRDGSKVVFLDPVRIINHSYTRFWVSPSAYYSRSFETTTAALKTDAVEDSRILKKRKRTRAPRDLNESERAAVKRHQEARTFLLNAHKAFLEAAELQALLPELVKSEVSLPTRRASEQKFIQLGSLWQAPLYEISLCFRNKNLSDDTGGYRLGDHGGTIIVHQLYNNLICNETNEDVEAEFQSNRYILPSRSCFHMSDLQQVRNLVPAHSKDGFNFIVIDPPWENGSACQKAVYPTLPNRYFLYLPVKELAHEGGALVALWMTNREKLRIFVENELFPAWGVTKFVLSYWLKVKPDGSLIGELDLFHHRPYECLLLGYINLQNDDSRAMPFEYLGINQVIVSIPGDYSRKPPLGQLLKDHIPGPKPAKCIELFARELGAGWTSWGNEPLHFQDSKYFVERDNER >Ma04_p28140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29254692:29255910:1 gene:Ma04_g28140 transcript:Ma04_t28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASFVPVVSLLALLAPAAGRIPGVYTGGQWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVETAALSTALFNEGQSCGACFEIKCAEDPQWCHRGSPSIFITATNFCPPNYALPSDDGGWCNPPRPHFDLAMPAFLKIAEYRAGIVPVSFRRVPCRKSGGIRFTIHGFKYFNLVLITNVAGAGDIVRVSVKGSRTGWMPMSRNWGQNWQSNAVLVGESLSFRVTGSDRRTSTSWNIVPSTWQFGQTFEGKNFRV >Ma04_p27760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28928824:28932039:1 gene:Ma04_g27760 transcript:Ma04_t27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRGGYQKAGDLESGTQPLYPMMSESPELRWAFIRKVYSIIAVQLALTAAVAAVVIAVPPISHFLVSSTAGLAVYFLLIFLPLIVLCPLYYYHQRHPVNLLLLGLFTVSFSFAVGMSCAFTDGKVILEAAILTAVVVVSLTLYTFWASRRGHDFNFLGPFLFAAILVLLVFSLIQILFPLGKISMMIYGGLAAIIFAGYIIYDTDNLIKRYSYDQYIWAAVALYIDIINLFLNLLTILRAANN >Ma04_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26310060:26310320:1 gene:Ma04_g24270 transcript:Ma04_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGSEAFKLLLMMLHQFKSPLLHRQSTKRFPLVSKAVKQKTAIWQMDRAVAILSLYDITGSTSFNFQYK >Ma10_p25610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33667876:33672409:-1 gene:Ma10_g25610 transcript:Ma10_t25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE277 [Source:Projected from Arabidopsis thaliana (AT2G26930) UniProtKB/TrEMBL;Acc:A0A178VZZ2] MMASCQLLSHHPHPFSFDEKISSGLFKKGAASPTPCFGLGTSCWRRTTRSRPSHRIMADSTSGRRQVEVVYDPDERLNKLADEVDKNTGLSRLSLFSPCKINVFLRITGKREDGFHDLASLFHVISLGDTIKFSLSPTKTRDRLSANMSGVPLDERNLIIKALNLYRKKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCPATEKELQEWSGEIGSDVPFFFSHGAAYCTGRGEVVEDIPRPLPLDLPMVLIKPQEACSTAEVYKRLRLDQISSYDPLVLLGEITQNGVSQDVCINDLEPPAFEVLPSLKRLKKRVLAASRGQYDAVFMSGSGSTIVGVGSPEPPSFVYDDDEYKDVFVSEACFLTRQENQWYREPSSPITYSSKDLTSGAAPTS >Ma09_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8288439:8291494:-1 gene:Ma09_g12280 transcript:Ma09_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSAVSTSLPPIRAAVSRMKKEMVRRELENCHLLAGIWCHGLTVRQLQALRGALPPSAKLIVAKNTLVEKAIAGTRWEPLRPCAKGMNAWLFVHSDEIPPALKPCRDFQRDFKLALNDFTGAVFEGRLYGPDDFQALETMPTRMESYAYLLGCLQTPAVSLLSILQAPDTDADQAAEGAAAAAAPEN >Ma00_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3525528:3529152:1 gene:Ma00_g00950 transcript:Ma00_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHFTVDLIPKLRDPEGSRQPAEGNPPGSGGRELHPLSAVAVDPSVALNNDAEDVDEFDGFSETQSRYDGGEVEIDEEDEKVMAAFMSTKSGRSSHWRTSSFRGSKRRKRRSLLNNLSLNWIVASLICIRGYTALRKSVYKPAAFFKGVLLSLCQSGTCTLQEAVIIGSIIQKVSIPPLHSTAALMKLAELDYCGTTSYFINPFLGKKYALPYRVLDVVVAHFMRCLEDTRIMPVIWHQLVLAFVQR >Ma01_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11298854:11299064:-1 gene:Ma01_g15610 transcript:Ma01_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHGSPILVDQKLIDGRNQNDRGKFFISLILAFQDILHRG >Ma07_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31698990:31704855:-1 gene:Ma07_g24290 transcript:Ma07_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPEYEKKTSSGHVDLLAEDKHKEKKHKKEKSDKGKREGKEKKDKDRSKDKHKEKKDRKERHKDKKKKDQDKDKLKTPYDRADKQTDSPNGDMVGECSWKAEAIKHSKSTEELGRKIKDGDKVPANRKVDNFSGPVEKSIGTFAASAVFKERVPTDKSIPSSVDAPQGRIDGLERSADKIAISNQRRNEGLVSSHVSQKERSTSDKLAPDLNSTAQRGNGGMALPLEKWMDSVPRQFEGPYPAAAMEIDNYKSNKVTSSSTNAVQRTTNGMGQPTQNLSTPKNVASIGLASKMEDRREVNKTDPNHDLMDQRRIDRMGRSVEKDANNRIKEEKARNTEREADDRREDRQRDKDHDKKKIKDKDKHKGKEKEKAKVKEKGEHKHKEQIGPRDGMKKDQSDSLNLKPLAPQRDNAKNYPINDNFKKRKEMDINGFHSENNMRIDKCPRTNPSSHLREENGRTLESSHIATVFPSVKPEAIRYTLTVKPVDNKEHKINGIAEAQPSLSGLTHLVAAKKGAAGKVDTSPHPDSMYLNQVYSIPIVDEWPEYDDQEWLFSSCHLQQKPKSKLGGNEVPQVWSEALKIEQEDVIALPYVVPY >Ma03_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1005867:1009455:-1 gene:Ma03_g01370 transcript:Ma03_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGAEYLHQFVEETDWYNGIVLDGLLPGVAWRRLPRPLQSWLRNYIGATTLYFVSGFLWCFYIYYLKRNVYVPKDAIPSNKAMILQIIVAMKAMPWYCVLPTLSECMVENGWTRCFSSIREVSWPAYTVYLITYLVIVEFGIYWAHRELHDIKPLYKYLHATHHVYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFLVPTHFMTHMLLLFCEAVWTANIHDCIHGKIWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLRDPEEELKKAE >Ma09_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6969536:6976751:1 gene:Ma09_g10230 transcript:Ma09_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRSKIAGTPLSKFEESPIFNFINNLSPIQPVKSIDSVHIAHTYQSLNFASLSSIFSSPHANPPRETRVLSRPPFTDTTKQENFASNVGESNLCSEVSDAVRPSRFTASTRGNYTTCSLNEAALDPSDQCPSLHSPFPQSTQYNSGSPDHNMPTHFGIKMDPNLDIGHTPVEIHLVQNGGERRKILFAMEAGFQGNHPHELNKDEVVGCDWESLISDDVESLLIFDSSTESEAHNEVGEKGMDCDGNSLVSVLSNCTENADHQQATQPDISLGAFVHNVNQDPSLNSNEDSRKENETDHATNLLSGTCQAQVDCHQKRGMHRRCLVFEVASVSKRNMYSDLDLNPSTSFPSKGKRICDGNNLEPKISRSLCALSGIGLHLNTLATTSKDRMVNKETLSTGKQPISIPCSIDPFPSTTAEDNSLRKPFSAEKDLRPSGSELDPQIISYDAPKDGKPNNSEELNQGSPKKKRRKSENGGESEGCKRCNCKKSKCLKLYCECFAAGVYCSEPCSCQGCFNKPIHEETVLATRKQIESRNPLAFAPKVIRTSDSGLDMGDDDKKTPASARHKRGCNCKKSNCLKKYCECYQGGVGCSISCRCEGCKNLYGRKDGILPGVEEIEQVEKEPDVCEKENESSDDVQLHHATNVQVDEHHTYGEVLPITPYQHCRLSVELPFSSSAKPTRPTKLSIGRSPGLYGFHMLQKSEIILPQPKFENKGSTVLEDDTPAILKPIASPTMGVNISSPNRKRVSPPHNGGVGSSPPNRKGCRKLILKSIPSFPSLTGDASTESPVNYSNS >Ma05_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26919998:26929964:1 gene:Ma05_g19200 transcript:Ma05_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05190) UniProtKB/Swiss-Prot;Acc:O23049] MALVIPSIHSSCNLNSAFLGDRSRICISDAPFSRVHFLRKPVECKESRIGKRPIEVPPNVTIALDGQDLKVKGPLGELSRTYPREVKVQRDESGFLKVSKAVETRRANQMHGLFRTLTDNMVVGVSKGFEKRLQLVGVGYRATLEGRDLVLNLGFSHPVRMAIPDGLQVMVEDNTRIAVIGYDKCAIGEFAAAVRKWRPPEPYKGKGIKYADEIVRRKDGKAGKKK >Ma08_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3021366:3021602:-1 gene:Ma08_g04340 transcript:Ma08_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVDMVIWTTSPKVLGDALDLCIIERSYGSDRDHPIILLQGRQQLPDALCEGVDVKLEVLVVDVDTVEVIVSDDGGE >Ma08_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:31352880:31353349:1 gene:Ma08_g18480 transcript:Ma08_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYVCLMKTLNNIAYAKGSKISGPEDWNQYCLPTTAFIADSEAVTSEDILNKQFTEDLNQVLDIES >Ma01_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1251199:1254086:-1 gene:Ma01_g01860 transcript:Ma01_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPSRKVKKRRFSHKSARRSKFLLKGDDEVYNEILKQAQEAAAAAKPLPLDEDLPGMGQFYCLHCDRYFASEDVRDEHFRSKRHKKRVKQMSGPAPHTQLDADLAAGMGMPDNGPKLMSF >Ma03_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24895655:24899682:1 gene:Ma03_g19610 transcript:Ma03_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNAKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLAPIKDGFAEGKDLVVSVMCSMGEEQICALKDIGPK >Ma06_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5627062:5637201:-1 gene:Ma06_g07930 transcript:Ma06_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MEEDAPAGLGFGSGDEGSPASAITRQQVDAAVLQYQNQRLVQQLEAQKAEMHTLEGKFKELRERQSSYDKSLMTVNRMWNQLVDDLILLGIRAGGDLHYLQSLDHEDHCKDALVSCPPEETFLCRLIRAGSVVKISGSLSVNFVQEALASRHAATIDLMKYVLETITSRRDKNECLSFALHGKLAPEDAIVQIQKFDESLREVINNMHQSADILLEKHKQFTEEINTYKESLSTTQSEIKRLSGELEESMAELEESRRKLAILQMHKHGASTTHASIANAANGSSSPDKSADRTMGLKDLKDSIEEAKTLAASRLLELQEAQEDFLILSKQLDDLQSQLKDENYVVTSKPYTLLNDQLQHLNAELVRYKGLIESLQVDRNNYLPKENELNLKADSADAIKISVSNYEAKIAELELQIQKFVVEKNDLESKLEEAEQDLGRKDIKDEINVMASALTKEMEMMESQLNRSKLAASEALALRKEADSLRPLLNRRISEHKVLSDKYAEEMVEIKSLKALVDKLEKEKQELQFIMDMHGQECLDTRTIVEIKESEHRAHIQADLLKATLADHSLELRVKAANDAEATCQQRLSTAEAGIPELRAKLDASERDVLELQEAIRIKDAEAEAYISEIETIGQAYEDMQTQNQHLLQLVADRDAYNIKLVSDSVKMKQTHSSLLSEKQAMSKQLQQVNSSLEFLKTKVAHSEEQMKLHVTQAVKASMENRHININLEKTKLELVDAEKELKWLRSTINSFEKEYERNQKKIAELKVELERERNEKKKLEEELAEVKNEVMEMSSESEEVTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCYPCIQRNLEIRHRRCPGCGTAFGQNDVREVKI >Ma03_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22397156:22413996:-1 gene:Ma03_g17150 transcript:Ma03_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIATYKESLTRIADGILDAADEPHGPQALATEGEESPRRFPRRSLPRVSPPPGSPIANGVDSGRQDEGNAEVSPNRWQIHSSQQNPHPMGNHSSKVNVPKQDGISNGAIQTQEHDAVKRNMELTYANSQGNGQEHPGLFQETNISLAAVKVSLEAEIKQLREQLDQEHESALLLKQKLQEECQLNESYQSELNDLKMDKKRSSIELKELQKDLNKKITELGQLEAELRKRDMEQEHNIPMENAKSMIMTLEKENAKLKIEKDELEKNMKLCMKSSVENTVDSEDTENMTLSKRKLEEALEETCTERDKALQELARLKQHILEKELEDSDKMDEDSKMIEELQANCQHQITHISQLEKALKHEIEKKEEFMKLKNDELHKSNEAIIDLMQKLANCRSIVDSKNVELLNLETALGQYFAESEAKERLAKDLAMVREEAAKFSDSLKAANNELEISSREKDEMIAKLTQTERTVSEGTHFIRKLEEDNAKLRRALEQSMTTVNRMSLDSDNCVDRRIVIKLLVTYFQRNHSKEVLDLIVRMLGFTEEDKQRIGFAQHAAGKGIVRGMLGRTGRLVGGFLGGNSPETSSSSDNQSFADLWVDFLLKETEDRERRESSEALGASSSQAGRHMLESPRECHQHT >Ma04_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1689241:1690710:-1 gene:Ma04_g01940 transcript:Ma04_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEWINPSLSLDLSIGRSPDQASNGDLEAKLNELSEENKRLNEMVKAMYSNHTALHHQLMDLTNSVPPAKKRKRMRMSANMTSIYTTSMRIDASSSRLVVRDGYQWRKYGQKVTKDNPSPRAYFRCSFAPSCPVKKKVQRSAEDSSVLIATYEGEHNHDPPTTENESLPCFVSLSSSDSAVALDLRPRGFRSEVECVEFQRILAEKMVSSLTRDPSFAEALASAISGRMFQHLQAQS >Ma08_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9475844:9486409:1 gene:Ma08_g12530 transcript:Ma08_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSIISSFGDLPFPERVAAATHASLLLFFLFFASARRVFACAIRRVPALKDDGRSPLPVRRDPGCDRLVEVGYWFKVTTFCCFFVFFLQAVVLGYETVKLVTGEVESRDFTLLYLPSVQASAWLVLGLSVFHCKLKALVKFPCLIRVWWFISFIFSLYIGYLDTKELITKSISLNSHTLSNYAALPALAFLFLASVRGITSIELYREHGDLREPLLAGEDEAGCLRVTPYSEAGLFSLATLSWLDPLLSVGAKRPLELRDIPLLATKDRSKTCYKILNSNWERLKAEDPENQPSLALAICRSFWKEAALNAVFAGLNTLVSYVGPYLISYFVDYLSGNIAFPHEGYILASIFFTAKLIETLSTRQWYLGVDILGMHVKSALTAMVYRKGLRLSSTARQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIVLALAILYKNVGIATIATLVATIISIIVTIPLAKVQEEYQDNLMSAKDERMRKTSECLRNMRILKLQAWEDRYRLILEEMRNVEFKWLQRALYAQSVITFIFWGSPIFVSVATFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLISMIAQTKVSLDRISGFLQEEELQEDATIVVPRGLTSNSIEINDGEFCWDPSSAIPTLSGIQLKVERGMRVAVCGIVGSGKSSFLSCILGEIPKTSGEVSISGSAAYVPQSAWIQSGNIEENILFGSPMDKPRYKSVLHACCLKKDLELLLHGDQTIIGDRGINLSGGQKQRVQLARALYQGADIYLLDDPFSALDAHTGSELFKEYILTALAGKTVIYVTHQVEFLPAADKILVLKDGHIIQAGKYEDLLQAGTDFNALVSAHHEAIETMDILEDSSITIHSGAPPVFGKRLTSSPSSTDKMKSETPENEPPSEEKAIKEKKKVKRTRKKQLAQEEERERGRVSLKVYLSYMAAAYKGTLIPLIILAQITFQVLQIASNWWMAWANPQTRGDSPKTSSIVLLVVYMTLAFGSSLFVFIRAVLVATFGLAAAQKLFLRMLRTVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLFLPMAMACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESIAGAATIRGFRQEKRFMKRNLYLLDCFTRPFFCSIAAIEWLCLRMELLSTFAFAVCMALLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCQIPSEAPAVVEDCRPTSWWPETGKIELVDLKVRYKDTLPLVLHGVTCTFPGGKKVGIVGRTGSGKSTLIQALFRLIEPAEGKIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWQALEKCQLGEVIRHKPQKLDAPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRREFKDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDSPHQLLEDKSSMFLRLVSEYSTRSSSVQDA >Ma08_p12530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9475841:9486409:1 gene:Ma08_g12530 transcript:Ma08_t12530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSIISSFGDLPFPERVAAATHASLLLFFLFFASARRVFACAIRRVPALKDDGRSPLPVRRDPGCDRLVEVGYWFKVTTFCCFFVFFLQAVVLGYETVKLVTGEVESRDFTLLYLPSVQASAWLVLGLSVFHCKLKALVKFPCLIRVWWFISFIFSLYIGYLDTKELITKSISLNSHTLSNYAALPALAFLFLASVRGITSIELYREHGDLREPLLAGEDEAGCLRVTPYSEAGLFSLATLSWLDPLLSVGAKRPLELRDIPLLATKDRSKTCYKILNSNWERLKAEDPENQPSLALAICRSFWKEAALNAVFAGLNTLVSYVGPYLISYFVDYLSGNIAFPHEGYILASIFFTAKLIETLSTRQWYLGVDILGMHVKSALTAMVYRKGLRLSSTARQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIVLALAILYKNVGIATIATLVATIISIIVTIPLAKVQEEYQDNLMSAKDERMRKTSECLRNMRILKLQAWEDRYRLILEEMRNVEFKWLQRALYAQSVITFIFWGSPIFVSVATFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLISMIAQTKVSLDRISGFLQEEELQEDATIVVPRGLTSNSIEINDGEFCWDPSSAIPTLSGIQLKVERGMRVAVCGIVGSGKSSFLSCILGEIPKTSGEVSISGSAAYVPQSAWIQSGNIEENILFGSPMDKPRYKSVLHACCLKKDLELLLHGDQTIIGDRGINLSGGQKQRVQLARALYQGADIYLLDDPFSALDAHTGSELFKEYILTALAGKTVIYVTHQVEFLPAADKILVLKDGHIIQAGKYEDLLQAGTDFNALVSAHHEAIETMDILEDSSITIHSGAPPVFGKRLTSSPSSTDKMKSETPENEPPSEEKAIKEKKKVKRTRKKQLAQEEERERGRVSLKVYLSYMAAAYKGTLIPLIILAQITFQVLQIASNWWMAWANPQTRGDSPKTSSIVLLVVYMTLAFGSSLFVFIRAVLVATFGLAAAQKLFLRMLRTVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLFLPMAMACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESIAGAATIRGFRQEKRFMKRNLYLLDCFTRPFFCSIAAIEWLCLRMELLSTFAFAVCMALLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCQIPSEAPAVVEDCRPTSWWPETGKIELVDLKVRYKDTLPLVLHGVTCTFPGGKKVGIVGRTGSGKSTLIQALFRLIEPAEGKIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWQALEKCQLGEVIRHKPQKLDAPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRREFKDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDSPHQLLEDKSSMFLRLVSEYSTRSSSVQDA >Ma08_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42668:42877:-1 gene:Ma08_g00050 transcript:Ma08_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKREELANYSFQNEFLRHFVLCMLRVCVCVFCMSCMFMFVCSVCCVYYVCVVCCLCAVCVMCVMCV >Ma08_p16630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19871578:19874024:1 gene:Ma08_g16630 transcript:Ma08_t16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVVEVANRRLMDSPQQASATDGEGQKKSGSSAMQIMVSILVVVIFGTLLYCIYCWRWRRRNAVRRAQVENLRHISSSDLSVMDLSTIQAATNNFSKDNKLGEGGFGPVYRGVLFGGQEVAVKRLSTKSRQGNAEFKNEVELIAKLQHRNLVRLLGCCVSRDEKLLIYEYLPNRSLDAFLFDPNRRPQLDWRRRFLIIVGVARGLLYLHEDSLLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEEDYEVNTGRVVGTYGYMAPEYAMEGTFSFKSDVFSYGVLLLEILNGQRNGASHVLQRGQTLLRHAWELWEKDRASEFVDPSLGDCYPTNEARRCFQVGLLCVQESPDDRPTMSSVLLMLKSEQMPLPLPNEPPSFARLRTDGLKSSATKSDSTRTHSVNEVTITVIDPR >Ma08_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19871577:19874024:1 gene:Ma08_g16630 transcript:Ma08_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVVEVANRRLMDSPQQASATDGAVRRAQVENLRHISSSDLSVMDLSTIQAATNNFSKDNKLGEGGFGPVYRGVLFGGQEVAVKRLSTKSRQGNAEFKNEVELIAKLQHRNLVRLLGCCVSRDEKLLIYEYLPNRSLDAFLFDPNRRPQLDWRRRFLIIVGVARGLLYLHEDSLLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEEDYEVNTGRVVGTYGYMAPEYAMEGTFSFKSDVFSYGVLLLEILNGQRNGASHVLQRGQTLLRHAWELWEKDRASEFVDPSLGDCYPTNEARRCFQVGLLCVQESPDDRPTMSSVLLMLKSEQMPLPLPNEPPSFARLRTDGLKSSATKSDSTRTHSVNEVTITVIDPR >Ma02_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26536714:26540827:1 gene:Ma02_g20640 transcript:Ma02_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASSSLLLSKAARFLAARAPGSFAKPRAVESLIRPLRTLKTPPIAAAGMEGGERGSASKPGISVSSGGGSGHTFPISRSTVLKIQKGDITVWSVDGSTDAIVNAANEKMLGGGGVDGAIHRAAGPELLEVCRKVPEVQPGVRCPTGEARITPAFRLPVAHVIHTVGPIYDVDKQPEVSLSNAYRNSLKLAKENNIQYIAFPAISCGVYRYPYKEASSIAISVAKEFPDAFKEVHFVLFSDELYGVWLESANELL >Ma03_p02710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1838733:1854062:1 gene:Ma03_g02710 transcript:Ma03_t02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVNAKRRGGPPPAPASNPSKRFQAGSRNPQTPQQPLNGVAAEEEMMDEDVFLEETLLRYEEDEEAVLLLRDEAFSSRLSRWRRPALSPSHLSGYESIVFQQLEIDYVIGESHKELLPNSSGPAAILRIFGVTREGHSVCCHVHGFEPYFYISCPVGMGPDDISRFHQTLEGRMRESNRNSNVARFIKRVELVQKKSIMYYQKHSSQPFLKIVVALPTMVASCRGILERGITIDGIGSKNFLTYESNILFALRFMIDCNIVGGNWIEISAGKYKKAIKSMSYCQLELDCLYSELISHAPEGEYSKMAPFRILSFDIECAGRKGLFPEPTHDPVIQIANLVTLQGDDHPFIRNVMTLKSCSPIVGVDVMSFDTEREVLLAWRDFVREIDPDIIIGYNICKFDLPYLIERAEALKIGEFPILGRIRNSRVRVRDTTFSSRQYGVRESKEVKVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLARGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQETFEGATVLEAKTGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTAEDARRLNLPAESINKTPSGEVFVKSELQKGILPEILEELLAARKRAKADLKEAKDPFEKAVLDGRQLALKISANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKKLVEEKFTISGGYDRNAEVVYGDTDSVMVQFGEPTVEAAMKLGREAAEYISGTFIKPIRLEFEKVYYPYLLISKKRYAGLYWTKPDTFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGDDYAVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQMSKPLLRIFEPILKNASKELLHGSHTRAVSISTPSNSGIMKFAKKQLSCLGCKAVIGNAEQTLCSHCKGREAEIYCKMVANVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKMQLDRWNF >Ma03_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1838733:1853932:1 gene:Ma03_g02710 transcript:Ma03_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVNAKRRGGPPPAPASNPSKRFQAGSRNPQTPQQPLNGVAAEEEMMDEDVFLEETLLRYEEDEEAVLLLRDEAFSSRLSRWRRPALSPSHLSGYESIVFQQLEIDYVIGESHKELLPNSSGPAAILRIFGVTREGHSVCCHVHGFEPYFYISCPVGMGPDDISRFHQTLEGRMRESNRNSNVARFIKRVELVQKKSIMYYQKHSSQPFLKIVVALPTMVASCRGILERGITIDGIGSKNFLTYESNILFALRFMIDCNIVGGNWIEISAGKYKKAIKSMSYCQLELDCLYSELISHAPEGEYSKMAPFRILSFDIECAGRKGLFPEPTHDPVIQIANLVTLQGDDHPFIRNVMTLKSCSPIVGVDVMSFDTEREVLLAWRDFVREIDPDIIIGYNICKFDLPYLIERAEALKIGEFPILGRIRNSRVRVRDTTFSSRQYGVRESKEVKVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLARGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQETFEGATVLEAKTGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTAEDARRLNLPAESINKTPSGEVFVKSELQKGILPEILEELLAARKRAKADLKEAKDPFEKAVLDGRQLALKISANSVYGFTGATIGQLPCLEISSSVTSYGRQMIEHTKKLVEEKFTISGGYDRNAEVVYGDTDSVMVQFGEPTVEAAMKLGREAAEYISGTFIKPIRLEFEKVYYPYLLISKKRYAGLYWTKPDTFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGDDYAVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQMSKPLLRIFEPILKNASKELLHGSHTRAVSISTPSNSGIMKFAKKQLSCLGCKAVIGNAEQTLCSHCKGREAEIYCKMVANVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKMQLDRWNF >Ma08_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37235974:37240219:-1 gene:Ma08_g23880 transcript:Ma08_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSGGCDRRVSFRWIVILCLCSFALGILLTDKFWTVPDVNNPIVSSRRKTEQELQIISEDCTTKRKHADDKDVMGEVTRTHEAIQSLDKAISTLQMELAAKRSARELISEDGSPSIDASRRPRKKAFVVIGINTAFSSRKRRDSVRATWMPQGEKLQQLEREKGIVVRFMIGHSATSHSILDKAIDSEEAQHNDFLRLAHVEGYHELSAKTKIFFSTAVATWDAEFYVKVDDDVHLNLGMLATTLARHRSKPRTYIGCMKSGPVLSDKNVKYHEPEYWKFGEEGNKYFRHATGQIYAVSKDLATYISINHPILHKYANEDVSLGSWFIGLEVEHIDERSMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKDVHERCGEGDGAVWSALF >Ma04_p31370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31688998:31692005:-1 gene:Ma04_g31370 transcript:Ma04_t31370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAGDFQYVDAHETMDVHYQHGAFGLTSSSGDAYSCYHNTDTFHEACGEQLTNLDPTGGPVQSPSIFYLGSYHGSGSHKGSMIPTSCSIGASRDFCNSLQKTPIMSRDSSFYFTEQHNTSTLQAQVQVSPNTKLLSISHRPQNSGATYLKTGNCSNSIITFPMMEQGLSSDAPSSSKFALHDSINKAESSEVVKAFIYGAERLHLPLSDLSCGKPSGLMHSFESEYLNDFVTNHPDMTPESSSLFHPEDVPVTRLCDQQPEHVMDDAAMQINQSVLDVMSVSSCLSEGDKSGCNMVTGINVKQAENSILAAVNSLVLESKSKENSSIPPTQLLSDNDQFNGMELNMRANSLVQELWDGVTMPVGHNSCSDMSAGISDCYSAMETGSIHGTDKGLFSESGLQQLLDAIAGDHFSKTSAYRSSANPISGLNLEHQFSTSVGGPSVYMNQVPSVCAPFMNGASDVLLPHCNPEIVHGSVKQAPSNSNIRLWIDDSCSINTESSVLSQSKKPEEAAKVKKRARPGESTRPRPKDRQQIQDRLNELREIVPNGAKCSIDALLDKTIKHMLFLQSVTKYADKLKQADEPKIISEQSGVVLKDNPGGASGGGATWAYEVAGQTMVCPIIVEDLTPSGQMLVEMVCEDRGLFLEIADIIRGFGLIILKGVMEIRECKIWARFLVEANREVTRMDIFLSLIQLLQQNSCLRSGDLATKVVNKGAPMFPSHHQSPVYSS >Ma04_p31370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31688998:31693568:-1 gene:Ma04_g31370 transcript:Ma04_t31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAGDFQYVDAHETMDVHYQHGAFGLTSSSGDAYSCYHNTDTFHEACGEQLTNLDPTGGPVQSPSIFYLGSYHGSGSHKGSMIPTSCSIGASRDFCNSLQKTPIMSRDSSFYFTEQHNTSTLQAQVQVSPNTKLLSISHRPQNSGATYLKTGNCSNSIITFPMMEQGLSSDAPSSSKFALHDSINKAESSEVVKAFIYGAERLHLPLSDLSCGKPSGLMHSFESEYLNDFVTNHPDMTPESSSLFHPEDVPVTRLCDQQPEHVMDDAAMQINQSVLDVMSVSSCLSEGDKSGCNMVTGINVKQAENSILAAVNSLVLESKSKENSSIPPTQLLSDNDQFNGMELNMRANSLVQELWDGVTMPVGHNSCSDMSAGISDCYSAMETGSIHGTDKGLFSESGLQQLLDAIAGDHFSKTSAYRSSANPISGLNLEHQFSTSVGGPSVYMNQVPSVCAPFMNGASDVLLPHCNPEIVHGSVKQAPSNSNIRLWIDDSCSINTESSVLSQSKKPEEAAKVKKRARPGESTRPRPKDRQQIQDRLNELREIVPNGAKCSIDALLDKTIKHMLFLQSVTKYADKLKQADEPKIISEQSGVVLKDNPGGASGGGATWAYEVAGQTMVCPIIVEDLTPSGQMLVEMVCEDRGLFLEIADIIRGFGLIILKGVMEIRECKIWARFLVEANREVTRMDIFLSLIQLLQQNSCLRSGDLATKVVNKGAPMFPSHHQSPVYSS >Ma01_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:777056:795020:1 gene:Ma01_g01140 transcript:Ma01_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKHEECHWNSFRNSIYTEKEKYEPSPNEIVVSSRSWTDVSTVNGMCSSLRHQSEQFVYKRRKLNRNSVALLPEEYTMESRKRKFSSESCSCSEDYLLGIRKADFERETVDIVTADVESVPSSGLCGICSVGKFEVPSKASDGKISKSAFEHRCNVNDGCSSSKSNVELTSTFLKIDIEDTGECSSSNVMELVGEFASARELCIYVLKTHGLLGKSCASSNYAASESLCDGSTNLSQKCKACRLFDDPLKMLICDHCEEAYHPSCCIPRVKKLPVDEWYCQPCFKKKPKPLLSQSHDTEGENSNHTNRISCRGYSISFMLTDNKPYTSGARIGKDFQVEVPDCSGPVSNEDDYFDEPSEIDSAHSVNLNGWTDGKPQKPSSIGNWVQCREVLCSDGSDEGIVCGKWRRAPLFVVQSEDWDCSCSVLWDPFHADCAVPQELETEEVLKHLKFTKWLRSRLMAKSRNQLHLKSQLRR >Ma01_p01140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:777049:795020:1 gene:Ma01_g01140 transcript:Ma01_t01140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKHEECHWNSFRNSIYTEKEKYEPSPNEIVVSSRSWTDVSTVNGMCSSLRHQSEQFVYKRRKLNRNSVALLPEEYTMESRKRKFSSESCSCSEDYLLGIRKADFERETVDIVTADVESVPSSGLCGICSVGKFEVPSKASDGKISKSAFEHRCNVNDGCSSSKSNVELTSTFLKIDIEDTGECSSSNVMELVGEFASARELCIYVLKTHGLLGKSCASSNYAASESLCDGSTNLSQKCKACRLFDDPLKMLICDHCEEAYHPSCCIPRVKKLPVDEWYCQPCFKKKPKPLLSQSHDTEGENSNHTNRISCRGYSISFMLTDNKPYTSGARIGKDFQVEVPDCSGPVSNEDDYFDEPSEIDSAHSVNLNGWTDGKPQKPSSIGNWVQCREVLCSDGSDEGIVCGKWRRAPLFVVQSEDWDCSCSVLWDPFHADCAVPQELETEEVLKHLKFTKWLRSRLMAKSRNQLHLKSQLRR >Ma01_p01140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:777056:795020:1 gene:Ma01_g01140 transcript:Ma01_t01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKHEECHWNSFRNSIYTEKEKYEPSPNEIVVSSRSWTDVSTVNGMCSSLRHQSEQFVYKRRKLNRNSVALLPEEYTMESRKRKFSSESCSCSEDYLLGIRKADFERETVDIVTADVESVPSSGLCGICSVGKFEVPSKASDGKISKSAFEHRCNVNDGCSSSKSNVELTSTFLKIDIEDTGECSSSNVMELVGEFASARELCIYVLKTHGLLGKSCASSNYAASESLCDGSTNLSQKCKACRLFDDPLKMLICDHCEEAYHPSCCIPRVKKLPVDEWYCQPCFKKKPKPLLSQSHDTEGENSNHTNRISCRGYSISFMLTDNKPYTSGARIGKDFQVEVPDCSGPVSKAPLFVVQSEDWDCSCSVLWDPFHADCAVPQELETEEVLKHLKFTKWLRSRLMAKSRNQLHLKSQLRR >Ma01_p01140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:776996:795020:1 gene:Ma01_g01140 transcript:Ma01_t01140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKHEECHWNSFRNSIYTEKEKYEPSPNEIVVSSRSWTDVSTVNGMCSSLRHQSEQFVYKRRKLNRNSVALLPEEYTMESRKRKFSSESCSCSEDYLLGIRKADFERETVDIVTADVESVPSSGLCGICSVGKFEVPSKASDGKISKSAFEHRCNVNDGCSSSKSNVELTSTFLKIDIEDTGECSSSNVMELVGEFASARELCIYVLKTHGLLGKSCASSNYAASESLCDGSTNLSQKCKACRLFDDPLKMLICDHCEEAYHPSCCIPRVKKLPVDEWYCQPCFKKKPKPLLSQSHDTEGENSNHTNRISCRGYSISFMLTDNKPYTSGARIGKDFQVEVPDCSGPVSNEDDYFDEPSEIDSAHSVNLNGWTDGKPQKPSSIGNWVQCREVLCSDGSDEGIVCGKWRRAPLFVVQSEDWDCSCSVLWDPFHADCAVPQELETEEVLKHLKFTKWLRSRLMAKSRNQLHLKSQLRR >Ma07_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8573188:8573718:1 gene:Ma07_g11560 transcript:Ma07_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEQTIRAELETDIETNLEEELKDDICRLTVSLHRLYLHKKDMNDKLTSSNDNSTEATTVVKITTKTVGEYMMQIYESKTTALDVVRHCSSRSKATQGKVYGGNKQTEWAKTLLSTSGSTVAAGKKHGSFDRYGKSPNVKPLKKEGRSSCGASSQTSKPNERNKKKLLELGWKY >Ma08_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3870159:3870923:-1 gene:Ma08_g05680 transcript:Ma08_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPPPPPPGEAAVDSDGTETESSVHRFPVTLLQPSQRKHHQSKPTRFLRSFRSAFRSLPILAPPGCHLPAAAPHQGSRHRDGHVRGATRTTGTLFGHRKARITLAFQDNPRSVPHLLLELAVPTARFMQDMGSSGLIRVALECEKKAAAGAGKSRVLDEPMWSAFVNGRNVGYAARRDPTELDLGVMQLLHAVSMGAGVLPGDMTDPSDGELTYMRAFFDRVVGSKDSETFYMLNPDGNAGPELSIFFVRI >Ma02_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29137046:29137418:1 gene:Ma02_g24590 transcript:Ma02_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT1G67100) UniProtKB/Swiss-Prot;Acc:Q9ZW96] MSCNGCRVLRKGCSDGCTIRPCLQWIKSPEAQANATLFLAKFYGRAGLLNLMDAGPQNLRPAIFRSLLYEACGRIINPIYGAAGLLCSGTW >Ma10_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27590005:27591229:-1 gene:Ma10_g15520 transcript:Ma10_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPSPSTSTAAMCSSSQPRNLRSGQQERSVGVRKHPPERSASFHGRTTTAFPQEHHQIRRPKTQPNLLPRGARRGGAAAAAAAGKLPDEVERKVPTKVLVNVTVQRSLGPVQVMASTDWSVGDLVAAALRLYVKEGRRPPLPTPEPSAFGLHYSQFSLESLDPKEKLVDLGSRNFFLCLNPQTEAAASTSSSSSGPCSKQAEKASKIGISWLSFMDCLL >Ma03_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5693117:5722029:-1 gene:Ma03_g07950 transcript:Ma03_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQIHLFRPFPTLDGTTRRCNASRRPSAATAFSHSPRAELPSRTRRIMERISSSNEVGGAGGAYSYDALKRLDLVWSNICSESLEAEKVPEVVSRVPGSSKISELEEKAGCSFDVLVCGGTLGIFIATALVTRGLHVAIIERNLIKGREQEWNISRKELLELVEVGILTKEEIEHIITVKFNPNRCGFEKKGDIWVEDILNLGVSPANLVETVKRRFISLGGKVFEAKVVSSIHIYDDAAIVQLTNGEILSSHLIIDSMGNFSPIVKQIRSCRKPDGVCLVVGSCSRGFSENTSSDIIFSSSSVKKVQETPLQYFWEAFPAGTGPTDRTTYMFTYVEAKPGSPKLEHLLEDYWDLLPSYQGVPLEELEILRVIFGIFPTYRDSPLPAAFDRILQVGDASGIQSPVSFGGFGSMTRHLNRLSTGIYEAVKGNFIDAYSLSLINPYMPNLSASWLFQRAMSARVHTEVSSTFINELLYFNFQAMQKLGNTVIRPFLQDVIQFEPLVRTLVSVMINQPQILPSIFKQVGLHVILDWSVHFVMLGYYTFLSSYIDPAVRPWINYLPERKKYEWTRHLEAWKYGSGLDYKQVE >Ma04_p01770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1566904:1567947:-1 gene:Ma04_g01770 transcript:Ma04_t01770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSSLLGSGHKYRKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVDINRKQYKVTVTGYVEPHKVLKKVQSTGKKAEIWPYVPYNLVAHPYAAQTYDKKAPPGYVRNVEVIKVSSQAVRPEDQFTTLFSDDNPNACSIM >Ma04_p01770.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1566904:1567919:-1 gene:Ma04_g01770 transcript:Ma04_t01770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSSLLGSGHKYRKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVDINRKQYKVTVTGYVEPHKVLKKVQSTGKKAEIWPYVPYNLVAHPYAAQTYDKKAPPGYVRNVEVIKVSSQAVRPEDQFTTLFSDDNPNACSIM >Ma04_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1566904:1567919:-1 gene:Ma04_g01770 transcript:Ma04_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSSLLGSGHKYRKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVDINRKQYKVTVTGYVEPHKVLKKVQSTGKKAEIWPYVPYNLVAHPYAAQTYDKKAPPGYVRNVEVIKVSSQAVRPEDQFTTLFSDDNPNACSIM >Ma04_p01770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1566904:1567907:-1 gene:Ma04_g01770 transcript:Ma04_t01770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSSLLGSGHKYRKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVDINRKQYKVTVTGYVEPHKVLKKVQSTGKKAEIWPYVPYNLVAHPYAAQTYDKKAPPGYVRNVEVIKVSSQAVRPEDQFTTLFSDDNPNACSIM >Ma04_p01770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1566904:1567919:-1 gene:Ma04_g01770 transcript:Ma04_t01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSSLLGSGHKYRKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVDINRKQYKVTVTGYVEPHKVLKKVQSTGKKAEIWPYVPYNLVAHPYAAQTYDKKAPPGYVRNVEVIKVSSQAVRPEDQFTTLFSDDNPNACSIM >Ma02_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26356791:26359488:1 gene:Ma02_g20350 transcript:Ma02_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETSVVFVMEREHRLAAAIYKQAFYEKGKLNCETNKLQNREREKLYLSNREKFHTDADKQYWKAIAKLITNEIANIEKRGKKEEQKPSQTKAWKAH >Ma01_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4119126:4120836:1 gene:Ma01_g05830 transcript:Ma01_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSGVRRLVLGGEGFMTRPSDLRVRLVARWALLAVALLCVPCVQAALLPGADVGGAVDSDDTFLPALLRDLRRRGLLRPEGNVVIFDDMIAGDRSATLPDSSTDFVFSSSAVDFRRIDRILKAGGVVAVRSGSNPPDSFLPPANYRTAYVGRIGSDAVVAMRKSFASGEGGMRLRRLLAVPAAKKKSLRGLESAMLEPPMQREWARRARYLPQLTGDELDGYPRRVFVEVAAKGDAGSGTAWFERNYPRKGRAFEEIRVEVEEGDDEVSEAKEGTSSSLAEWLQRNVREEEYVVVKAEVGAVEEVMAEGAIGLVDELFLECDHQLWDEDEKEKGARRGRRRAYWECLVLYGKLRDAGVAVHQWWSF >Ma09_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7927234:7939745:-1 gene:Ma09_g11700 transcript:Ma09_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTGRCILLPLLIISTASRIVFSIAADTLLPSQSLRDGQTLVSANKTFEFGFFSPGSSTNRYVGIWYHKVQKQTPVWVANRDNAIADKSGVLMFDDNNGDLILLDGRGSSSVLASGLGTNNREATILDSGNLVLRSSDNTSMVSWQSFDHPTDTFLPGMKLGLNRRQNRLLTSWKSKDDPALGDFSLGLDPTGKPKFLIWKKGTPYWSSGDWDGKMFRAVPEMTPDYIFNYEFVHDQHELYFTYSMKDDSIISRLIIGISGQIQQMTWLEIETSWILFWSQPHTQCNVYDLCGAFGVCNEAIQPKACECLPGFQPASLQDWFEGSTGGGCLRKTSLQCESGEKPDKFVVMPHMKFNANATKLDVSDAKDCESACHKHCNCTAYAFSGGCSLWQGDLVNLQQVDGGENITIGTLYIRVADSESQGAQVSEPKISSDHKGKKRKLLQIMASVAASLALLLSCSLMCFLWIRKRRSRDTKRSHEEKSLLALVNHLPIKIGEGDRAAEFLLYHFSDIEKATSNFSAENKLGEGGFGPVYKGQLPEGQEIAVKRLSARSGQGLLEFSNEIILIAKLQHRNLVRLLGYCIQGEEKLLVYEYMPNKSLDFFLFDSTRGALLDWSKRAHIIEGIAQGLLYLHKHSRLRVIHRDLKASNILLDADVNPKISDFGMARIFGSNETQANTNRVVGTYGYMAPEYASQGQFSIKSDVFSFGVLLLEIVTGKRSAGFHQYGGNALNLLGHAWELWKAGKWSELMDPSLGDGCPSWEVSRCIHVALMCVQENAGDRPTMSDVIAMLGNESVALADPKQPAFFTVATAAEAEHASMLAANCSLNEMTITTPEGRYKENVYMKDDKGDTLTSSTPLSDNQTLTSAGGIFELGFFRPGNSSEWYLGIWYKEIPDQPIVWVANRDTPLNGSVRILNLTADGNLLLLNKDANILWSTNTSNATYPLLQLSDSGNLILTGGIPKSILWQSFDHPSDTFLAGMKIGLDFSAKLDRHLISWKSSSDPSPGNYSYGMDPHGVPEVYIWEGSSRTFRTGPWNGKGWSGRPDMWTNGVLRFHFVMNQHEVYYTFESLNKSVHCRAVLDASGVLQRLVWSTASNRWDLFWLVPEDPCDQYATCGANGMCTTIYSPRCQCLQGFTPKSPKDWDLRENSDGCVRRTGLNCSTDGFFPLQNVKLPDTSNASTESNKTLNECQDLCLKNCSCLAYALNGESMCITWLSDLVDIRMFIEGGDDLYIRLAASELDSISNSGNKIRLAIAVTIPVLSSLLLLCVALLLWLKRRRRRNHGKVMSSHSIGSKESELELPLFDVRRIKAATDDFSVDKILGVGGFGPVYKGQLEDGHEVAVKRLSKNSIQGIDEFKTEVMLIAKLQHRNLVRLLGYCIEDEERMLIYEYMQNTSLDAFIFDKRKSSLLNWQKRLDIIIGIARGLLYLHQDSRLRVIHRDLKASNILLDHEMNPKISDFGTARTFRAGQTEGNTKRVVGTCGYMSPEYAMGGLFSEKSDVFSFGVMLLEILSGKKNNVVLQADQRINLLGHAWMLWKQGRCLELLEESIGHSYPVSEVFRCFQVGLLCVQEGSEDRPTMGEVVLMLSSESVMLPQPNRPGFYVTRTSIGEDCSPSEITMTELEGR >Ma09_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4736972:4738063:1 gene:Ma09_g07200 transcript:Ma09_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSSHAENLRSVTRGNQLNDLGECVPLFEILVLVVSVTGRVGSETPINCEQSGLKSVVVSETYE >Ma06_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7018237:7018356:-1 gene:Ma06_g10110 transcript:Ma06_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWRTTGSSTPTRSLRSRMLTSRTNTTTLKVITLLSRP >Ma08_p34740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44728862:44730813:-1 gene:Ma08_g34740 transcript:Ma08_t34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPKATLPLSSLSPPSNSNSNSNSNSFACAFHFSYPDRRRHAHSKISCKASDEHEMTANAKLDRRDVLVGLGGLCGAAAGLGIDGKALGNPIQAPDLTKCGPADLPKGATPTNCCPPYFPDKKIIDFKRPPNSSPLRVRPAAHLVDSDYLDKYKKAVELMRALPADDPRNFMQQANVHCAYCDGAYDQIGFPNLELQVHNSWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPGGMKLPSIYADPSSSLYDKFRDAKHQPPVLVDLDYNGTDPSFTDAEQIDQNLKIMYRQVISNGKTPLLFLGSAYRAGDNPNPGAGSLENIPHGPVHGWTGDRNQPNLEDMGNFYSAGRDPIFFAHHSNVDRMWYLWKKLGGKHQDFNDKDWLNTTFLFYDENADLVRVTLKDCLQPEWLRYDYQDVEIPWLKTRPTPKALKAQKTAAKTLKATAETPFPVTLQSAVSTTVRRPKVSRSGKEKEEEEEVLIVEGIEFDRDYFIKFDVFVNATEGEGITPGASEFAGSFVNVPHKHKHSKKEKKLKTRLCLGITDLLEDIGAEDDDSVLVTIVPKAGKGKVSVAGLRIDFPN >Ma08_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5522946:5523702:1 gene:Ma08_g07960 transcript:Ma08_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G79850) UniProtKB/Swiss-Prot;Acc:P16180] MSLTSSFLQLSSILKPHHRSQFLHGSLPIPSLPKIPPFSSSPAPSPPSVLTVRAMKVTQGLVVCASSDKTVSVEVVRLAPHPKYKRRVRKKKKYQAHDPDNQFKVGDYVQLEKSRPISKAKTFIAIPVPPRDSTRGVGLGLPLQSAEQG >Ma02_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13668643:13672120:-1 gene:Ma02_g02430 transcript:Ma02_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVLSSFDLSTIKAATNNFSVGNKLGEGGFGIVYKGVLRDGKYIAVKMLSRCSSQGPDEFRNELLLIANLQHRNLVRLLGCIEGDERILILEYMENKSLDAFIYDKTKSALLDWKKRLHIIIGIARGLLYLHHDSYLRVVHRDLKPSNILLDKDMNPKISDFGIARIFEGDDIEENNTTRPVGTLGYMAPEYITEGVFSFKSDVFSFGVIVLEILSGKRNRVLNVADSRLNLLGHAFKLWKEDRTLEILDEALDSWTPTLEILECIRVGLLCVQENSEDRPTMAEVVMMLTNEDPQLTSPKEPITLVASSEEERSSIIQMSVMDEICSDM >Ma04_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7720807:7723113:1 gene:Ma04_g10930 transcript:Ma04_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINQSRQIGTQFWGVVLHDDGWIG >Ma08_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2773292:2773543:1 gene:Ma08_g03920 transcript:Ma08_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVVSYTKLTEKAALIQVLPFSLRNHALHVLKCPYTSDASKVKELCVNHDLWMIPAAIIHLFFIFTENPLVFSVNATCISSC >Ma02_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18328805:18330430:1 gene:Ma02_g07810 transcript:Ma02_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVGGEGERMELQGVGGGVAEEEPSPNGVALECFVGAGEGSDQGSEGGNGVGRARSLTDDDLEELKACLDLGFGFTYDEIPELCKTLPALVLCFSLRRSLETSTANVASSPIANWKISGPGDQPEEVKARLKFWAQAVACTVRLCSRWIMQLGISLVATNCSP >Ma04_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26127709:26137886:1 gene:Ma04_g24060 transcript:Ma04_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMAKQLSPQCLSGVSPPCSAFLVSEKWLRTAWACQWRWAISRSVLCGVMLFALGLVSLFTGQIAADLEWSRIRGRWRSKRVGYSTPTDIWKSEFANLYHGCSDRSPHFRDAVHDSESNGYLLIATSGGLNQQRTGITDAVVVARILNATLVVPELDHHSFWKDDSDFADIFDVDWFINSLSKDVPVVKRIPDKVMRSMEKPPYTMRVPRKSTPEYYLEQVLPLLLRRRAVQLTKFDYRLTNKLDEELQKLRCRVNYHALRFTKPIKLIGRKLVKRMRTMSSRYIAVHLRFEPDMLAFSGCYFGGGDKERNELGEIRKRWATLPDMRAEVERSRGKCPLTPQEVGLMLRALGFVNNTYLYVASGEIYGGEASLQPLKDLFPNFYTKEMLAGNDLKPFLPFSSRLAAIDYIVCDESDVFATNNNGNMAKILAGHRRYMGHKRTIRPNAKKLSSLFKACKQMEWEMFSRKVKLVQRGFMGEPDEMRPGRGDFHEFPSSCICQNPDHLVIGWISMKPGIGGNETVRQHATPEVEISGDESRFF >Ma03_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7881764:7890885:-1 gene:Ma03_g10470 transcript:Ma03_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIFCRVLGSAQFPQVSWPPPRPAADSSSSAHVVRCRIRCSVSATSSNALTGSEARVIPWGCEIESLESASALQRWLSASGLPPQKLEIQRVDVGERGLVALNNIRKGEKLLFVPPSLVITADSEWSCPEVGNVLKKNSVPDWPLLATYLLSEASLMSSSRWNRYISALPRQPYSLLYWTPSELDTYLVASQIRARAIERITNVIGTYNDLKLRIFSKHPELFPEEVFNIDSFLWSFGILFSRLVRLPSMNGKVALVPWADMLNHSPQVETFLDYDKSSQGIFFMTDQSYQPGEQVFISYGKKSNGELLLSYGFVPKEGTNPNDSVELSLSLNKSDKCYKEKLEALRKHGLSTPQRFPLQITGWPVEMMAYSYLVVSPSNMSQHFEEMAAASSNGTALKMGIKNPELEEKALQFILDCCESSISKYSKFLEGGGTPDSGTFSAKQANRKSLLKKMATDLCTSERRILYRTQYILRRRLRDMRSGELRALTLFNGFRKLFKQ >Ma07_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5119617:5120468:-1 gene:Ma07_g07050 transcript:Ma07_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKLDVRYHVDLILEIDTFCVDPISAFCLSKSTFVPISSPLFSERDDHPTVPFEVRRRLRSRLMEVEPPGPLRYLIGAAIMMVGVVLPLGYMMFCIKRVPSSSSTYSKQTTKGLI >Ma07_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5896150:5899666:1 gene:Ma07_g07940 transcript:Ma07_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCGLLLVLLASLCAASAQTAAKPILIDCGCNSTITVNGRQWIGDSFPGENFTLSSSGITASATAAVSGEPVYGALYRTARIFNTSSSYNFTVLPGNYCIRFHFFPFSFDGFNVNDSSFDVTANDLKLVSKFNVPGEIYWKNTRSKSNITSLVKEYLLSVSLNQLKIEFVPESGSFAFISAVEVIPILDRLFAETANRVGSNGLKVPSSLSDRGMETMYRLNVGGPEISSGEDHDLWRKWDSDERFMFSVNAAYSISNTSNVSYASINDSSIAPILVYETARTMTDNQVVEKRFNVSWKFDVDPNFDYLIRLHFCELVYDKPNQRIFRVYINNKTAAENYDVFKQAGGKNKAYHEDYVDAISQKVDTLWLQLGPDSLTSASGTDALLSGVEIFKLSRSANLAHAPERINTVQGGLLDQKPKNKILWTAIGAGAGMTITVLLLFAAFVRYRTRRKKPPPAKRSPVWRPLSLHGTMGSTTNAWASKSPSNKIGSTASNRIERRFTIAEIRAATRNFDDTLVIGTGGFGKVYKGEMDEGMTVAIKRANPQSEQGLKEFETEIEMLSKLRHRHLVSMIGYCDEQNEMILVYEYMANGTLRSHLFGSDLPPLTWKQRLHACIGAARGLHYLHTGAEGGIIHRDVKTTNILLDENFVAKMADFGLSKDGPAFDHTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVLCARPVINPSLPREQINLAEWALHWQRQKSLERIMDPRLEGNYSSESLKKFADIAEKCLADEGKNRPTMGEVLWHLENVLQLHEAHVQKGDLNSSPTSQARYAADVSFSLPRIVEGEEEACTEPESIDGVGYQEGQG >Ma11_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19075843:19076097:-1 gene:Ma11_g13930 transcript:Ma11_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCMRMICCLINSKDNSYPHRKWDASGLVPCFLFFHEA >Ma07_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10438178:10439840:-1 gene:Ma07_g13950 transcript:Ma07_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMIGVMLLLVVFVMPLAWGVGARCDLYNGSWVEDESYPLYDSRSCPFGRKEFDCLRYGRPDTKYLKFRWEPAGTCNLPRFDGVKLMRTLRGKKVMFVGDSLTLNQYISFLCLLHAAVPNATLSSSSDNRSLSAVTFEDYNLTVMYYKSHYLVDIVKENIGRVLKLDSVQIGGSIWLTADVLVFNTWRWWLSTGSRQEWDYMQDGDRTVKDMNRTVAFSRALATWANWVDSSINSSTTRVFFQGISPDHYRGTEWGEKGSTCEGETDPSSPSAYHGGPIPQVAIVKQQLSKMSKPVYLFDISYLSQLRKDAHPSKYNGVKFRVDCTHWCVGGLPDTWNLLLYAALVHSI >Ma02_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22534700:22540028:-1 gene:Ma02_g14480 transcript:Ma02_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHPPTAAAPARVVAPKKRGSYNCGRCGLPKKGHVCPSADGGSASLHLPRSGNRLRRALSFDEDRPSSSPAPALEDEDERVIEAAALVTMGEPEVVVEEGGEEEDDEEAGWDGEGSLPSSCMVEILRRLSPKELMRAVAVCRGWRECVRKVWRSAEELRLRVSPQSQIGFVGSVLHKCAGLTRLTLTMESDIDATMLACVAFSLPNLEAFEINMAENVVNRITGDELGRFVAEKRCLSTLKVEGCTNIGFLSISSSSLSSLWLSNLYCISKMVFKCPNLRELSLDFTRQENDSTDLITMMECLGRTCPRLRNIHVASIHLSNEAVLSLTSANLRDLRMLSLVLGSRITDAAVTAIVSCCTSLELLDLSGSSITDSGIRMICNVFPETLSRLLLALCPNITSNGVQFAAVQLPLLQLIDCGMSICDTDYQNESAEENVYPNNERSGEYTKCQKSSTMKSQKIYQKLIIKHGTLRKLSLWGCSGLDTLYLNCPELNDLNLNSCTNLHPERLLLQCPNLKNVHASGCQDMLIGAIRNQVLNEFAAAVKDHLPCKRLADGSKRVQVPHFVQQLSDDEKQKRRRMTQCIVHHD >Ma05_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9752931:9754352:-1 gene:Ma05_g13410 transcript:Ma05_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTFMLVGNYVYIPQLWKIQVSLFGHYAFEGPSTSTGIKSETLAVLWQNSTCMASVAARGAQNIHSEVMRKLANLRYIEATRCSRSTPTSKALRPQWAVGGAGKGGSATIKKSKAGKAKCWQKGRHLN >Ma09_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8541521:8543425:-1 gene:Ma09_g12720 transcript:Ma09_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVLRSQDCLQGRLHLDAFGSRSPQLPSTKPHRKKPPVSSATTPMKPGRGCPPRSTPSPPPKAKPPRPVRQWPSPENEGATDGMTRPRRVLVMEEVKILKRGEQLKPVASPPADALPDWADSVFCPTSRLGPGPDDLPRKLGFFDLKPVYAGPGFVVSPSPSSLPLPSFCLKKSGVAGK >Ma06_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16321075:16325600:1 gene:Ma06_g21820 transcript:Ma06_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTWNLLRRHCETYGAYFCGGDSRFHDIYGLSPLKPNCSMADKPSSAPEDEQHGPGTVEVGEDTNSSKDWLRLGLASPPAAIESRPERLKVEIELLCGQPSSSSVLPTSATYPVTSRGISGFRAPVMGTTVIPWASYRQEMPRGPWSANLPMGATSSMIPPPVMPEFVARQFMYPISSPALPSAPEVWPDMRVVSPPRRPQSGVWFMLRAAQNQGREPFLPQIPKSYLRIKDGRMTVRLLMKYLATRLGLEDESEVEITCRGQLLLPFLTLQHVRDNVWCSRETMTLLQDSPSIQHVMMLQYNRSEYNSAQSYV >Ma07_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2952985:2954605:1 gene:Ma07_g03900 transcript:Ma07_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIEAAVARKRCRRRPQKRRTRRRAEVREERRMRSSVQGGDDASEASAALANCWWRSLIEFDGDSEGGRTEAAAGSRGAVASPRVRVLRELERLAAAANVSLDDIRHKLLTYNAGDLWLPAGGIPKHETDIPPVITILLLGLAGTGKTALVDLMYCVLGRAGFLPFAQSIPLAGNDGRTQCLEEHNVLRSMRSGFCIFDSRGLDCDRMADGLEEVAEWMNEGVRHGQPCRGANPPDAPAPASAPPATRFLRRRVNCPVVVANLYELHHSLLSGDPRPLEATRDLFHYPPIKISPTDSPILVLTHGDELSPEERIQARVKTCEYLGVSETNGVYDISCLNEYGTAVDEMDPATSYAVAEAIFRALVVADRTHPAKASIKEWLLVVITWAMCALSTFFAFLSCCCSKLAKANREYTKLRTQ >Ma08_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6338162:6348483:-1 gene:Ma08_g08920 transcript:Ma08_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQDDKLFNRWPFDGGEVLPMGLSKTEINLRRLLDAAPRQKNQTKLIHYVTTLREQLEQLGAETTPQGAPSIPKAKLNEYSERIEALAAKLAAPLAEHEEATHEAKEEGPSSTEQTVNYTSSLPGLRRRPTAKMETKESSHDAKEKDSAMPVKLDASALDHIEKHRKLQEDLTDDLVALARQLKEGSLLINRSVQDTEKILDSTENAVEHSLASTSRASKRAIEVYSESFKTTCFTWLVIFIMICVFIMVVLLIRIT >Ma03_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26980192:26981195:-1 gene:Ma03_g22210 transcript:Ma03_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIEMSPEAATMAQLYSPELRIEGSAVAFVGPCPCFGIRKRRCLRPTTRSRKKLLVYDLLPCSPPSPPPPHAVVASLSRFDLSPRSSSPFPSAYRLSLSSPLPSPSSSSSTTSSLTQSPSSSNSPGTPGSPFVRCLSPRLASHLEPIVMISPSSSPPTFLFATLPEPSESTASPSSSGPDEATTSPVEKNKKIEAGKTKAERASTAAVASFV >Ma09_p26710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37918442:37924972:1 gene:Ma09_g26710 transcript:Ma09_t26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSVLSSSSMMRSMRRGFFCSSAALRWEGGVSMVQGASRGIGLEFVRQLLEKNDKGHVIATCRNPDGATGLLDLKKKFMERLNLLQLDVTKESTIEAAATSVRESYGSLNLLINASGILSIPDVLQPETTLTKVEKSSLLLAYEVNAIGPILVIKHMWPLLKVGGGSGTERKHSVVASLSARVGSIGDNGLGGWHSYRASKTALNQLTKTISVEFARKKDPIVCILLHPGTVDTDLSRPFQRNVPKGKLFTKEFSVQNLLSIIDNAKSSDNGKFFAWDGQEIPW >Ma09_p26710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37918548:37924972:1 gene:Ma09_g26710 transcript:Ma09_t26710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFVMAGVQVYLFGLLYGKVRQLLEKNDKGHVIATCRNPDGATGLLDLKKKFMERLNLLQLDVTKESTIEAAATSVRESYGSLNLLINASGILSIPDVLQPETTLTKVEKSSLLLAYEVNAIGPILVIKHMWPLLKVGGGSGTERKHSVVASLSARVGSIGDNGLGGWHSYRASKTALNQLTKTISVEFARKKDPIVCILLHPGTVDTDLSRPFQRNVPKGKLFTKEFSVQNLLSIIDNAKSSDNGKFFAWDGQEIPW >Ma01_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13297224:13301814:1 gene:Ma01_g17980 transcript:Ma01_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRHSTPRSATFEEFRSLSPEEDGSSSPSLAAKAIRASAAHRESSLSSAYDGDSAAFSSSSSSTSSSSSSGSNVYEYSSLKNSNGPRHGFWEVLAKKAKAILEDDTVAQQFEDHDSNHSQILDSSGSQLQTNESLDSYCKTENPTFQKDAIASSLREFGGTIKNAFEEGISIMDNKTADIIHETKKLQLGTKTESSDTANQPMYTMASTNLAQNEAEYETQLKASQKVANAMAAKAKLLLRELKTVKADMAFAKQRCAQLEEENKVLRENRQKGDNPADDDLIRHQLETLLAEKARLVHDNSVYERENLFLREIVEYHQLTMQDVVYLDEGIEEVTEVYPIHQIKTLSSPSRSAYETSTPGISCSATPRSNTMLSLSADTISAFGSLSSPKSPNRTFKVKKLTDAEVNPSPSPAAEGSVQQHSPSPTPH >Ma08_p04150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2915365:2918461:-1 gene:Ma08_g04150 transcript:Ma08_t04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVACAAVSVPGSSPAAATVRDHLREGMRRSRSAPQLRCSLAVTRAAAPASLKSSRSIGVFPFGSIVTNSIRSFLFEEEEAGGGMRVEPADDSDEEAVSGLDEEDRIRQEERANWVARISELRRRWRDRQHKHEEEGAEEEDGYCGVSYDTDEEVGGQRDEWDRESFARLLGRVPWSEAELFSQLAYLCYMAYEIPDIKATELWEESELGFVTSSLEKKSQAAIRAQHESDPRAAPGFATSTGAQLNNHSCRPSLAYEIAASAASYIHSRAKGLLSLGSGAASAEIAASAAVASGPYRCGYKNPEVAAYVAASTMTAVVAAEEEARQEAAKDLRSLHSSPCEWFVCDHDSTCTRCFVIQGSDSLASWQANLLFEPIKFEEMEVLVHRGIYEAAKGIYEQFLPEIKEHLSRHGDRARLRFTGHSLGGSLCLLVGLMLLARGDVRLRQLLPVVTFGSPSVFCGGQRVLEELGLDEGFVRSVMMHRDIVPRAFSCNYPNHVAHVLKRLNAAFRSHPCLNNQIYILQPDDKSSPFHPLLPPEAALYALDGKNDAGGSSPRGTTASALRAFINSPHPLETLSDPMAYGSEGTILRDHDCRNYVKAINGLLRQHTKSARRRSRKHRRNRWWPRLPVPANGALPAHS >Ma08_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2915365:2918461:-1 gene:Ma08_g04150 transcript:Ma08_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACAAVSVPGSSPAAATVRDHLREGMRRSRSAPQLRCSLAVTRAAAPASLKSSRSIGVFPFGSIVTNSIRSFLFEEEEAGGGMRVEPADDSDEEAVSGLDEEDRIRQEERANWVARISELRRRWRDRQHKHEEEGAEEEDGYCGVSYDTDEEVGGQRDEWDRESFARLLGRVPWSEAELFSQLAYLCYMAYEIPDIKATELWEESELGFVTSSLEKKSQAAIRAQHESDPRAAPGFATSTGAQLNNHSCRPSLAYEIAASAASYIHSRAKGLLSLGSGAASAEIAASAAVASGPYRCGYKNPEVAAYVAASTMTAVVAAEEEARQEAAKDLRSLHSSPCEWFVCDHDSTCTRCFVIQGSDSLASWQANLLFEPIKFEEMEVLVHRGIYEAAKGIYEQFLPEIKEHLSRHGDRARLRFTGHSLGGSLCLLVGLMLLARGDVRLRQLLPVVTFGSPSVFCGGQRVLEELGLDEGFVRSVMMHRDIVPRAFSCNYPNHVAHVLKRLNAAFRSHPCLNNQKVLYSPLGQIYILQPDDKSSPFHPLLPPEAALYALDGKNDAGGSSPRGTTASALRAFINSPHPLETLSDPMAYGSEGTILRDHDCRNYVKAINGLLRQHTKSARRRSRKHRRNRWWPRLPVPANGALPAHS >Ma04_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2788030:2793097:-1 gene:Ma04_g03610 transcript:Ma04_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRFDLVTLSFQNLTPLCSFAFNLLRYATCGGSEGPKMEVENEWEKLLKPFDLEALRDSLNILTPLRLCKLLQLPLDISTSMQLFHWAGSQKGYCHSFDVYYALVRKLGEAGEFSTIDQLLRQSKEERIVLKERLFVVIMRWYGKAGLPGAAVQLLDEMADVFGCKPTFRSYNVALDILIGANCHRIAADVFNAMVRRGVSPTTFTFARVMKALCLINEVDAACSLLRGMARHGCVPDTVIYQTLIHALYKENKAHEALKLLEEMFLMGCSPDVNTFNDVIHGLCKLGHLREAAKLVDRMLLRGCSPNALTYGVLLHGLCRKGQVDEARTLLSKVPHLNVVLFNTVINGYLSEGKFIEAKDLYGRMVESGCQPDVYTYNIMMRGLCKTGNLGSAMQLLKEMEANGCMPNVISYTILIYGFCSDGMWQDANAIAEEMSAKGIGLNTVGFNCLISALCKDHELHEAMELFEKMKRVGCKPDIFTFNSLICGLCKNGQIEEAFHLYENIFLEGVVANTVTYNTMIHAFLQAGKWQEAMQLVNDMVLNGCSLDIITYNGLLKALCKAGEVDKGLGLLEEMTKKGIRPTNISYNFLISGLCKTRRVHDALELLREMLDRGITPDIVTYNSLISGLCKMQWMRAALNLLEKLHAEGIAPDIVTYNTLISWHCKANMLDDAYMFLNRAINGGIMPSALTWGIMVKNFTRESVLLMPEQ >Ma04_p03610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2787637:2793097:-1 gene:Ma04_g03610 transcript:Ma04_t03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRFDLVTLSFQNLTPLCSFAFNLLRYATCGGSEGPKMEVENEWEKLLKPFDLEALRDSLNILTPLRLCKLLQLPLDISTSMQLFHWAGSQKGYCHSFDVYYALVRKLGEAGEFSTIDQLLRQSKEERIVLKERLFVVIMRWYGKAGLPGAAVQLLDEMADVFGCKPTFRSYNVALDILIGANCHRIAADVFNAMVRRGVSPTTFTFARVMKALCLINEVDAACSLLRGMARHGCVPDTVIYQTLIHALYKENKAHEALKLLEEMFLMGCSPDVNTFNDVIHGLCKLGHLREAAKLVDRMLLRGCSPNALTYGVLLHGLCRKGQVDEARTLLSKVPHLNVVLFNTVINGYLSEGKFIEAKDLYGRMVESGCQPDVYTYNIMMRGLCKTGNLGSAMQLLKEMEANGCMPNVISYTILIYGFCSDGMWQDANAIAEEMSAKGIGLNTVGFNCLISALCKDHELHEAMELFEKMKRVGCKPDIFTFNSLICGLCKNGQIEEAFHLYENIFLEGVVANTVTYNTMIHAFLQAGKWQEAMQLVNDMVLNGCSLDIITYNGLLKALCKAGEVDKGLGLLEEMTKKGIRPTNISYNFLISGLCKTRRVHDALELLREMLDRGITPDIVTYNSLISGLCKMQWMRAALNLLEKLHAEGIAPDIVTYNTLISWHCKANMLDDAYMFLNRAINGGIMPSALTWGIMVKNFTRESVLLMPEQ >Ma10_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29587598:29589993:-1 gene:Ma10_g18790 transcript:Ma10_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDRPYLISRVFESTSEQESNWHYLAYHFHEASDSKKRLLNEELGEEQGEQEDRGKRKKSLHQPETPDIADMEWHLDNSDDQKSDEEYSDPNYFNRLTRDMLVSCLVLLSRSNYGAVASVNRVFRSMIWSGELYRRRRQLGITEHWVYFSCNALEWEAYDPYRGRWVAVPKMPPSPTESFTLSDKESLAVGTELLVFGREVNSYIVLRYSILTNSWSPGVVMNSPRCLFGSSSLGGKAIVAGGTNGPATLSSAELYDCETKTWETLPTMNRARRMCSGVFMDGKFYVIGGMTSDNEVLTCGEEYDLEQRSWRLIPNMSAGLNGASGAPPLVAVVNNELYAAHYADKEVMKYNKENNTWVRLGKLPERSDSVNGWGLAFRACGERLIVIGGQRGSQGGMIELNSWIPDGGPPKWNIIANKHSGNFVFNCAVMGC >Ma07_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11777066:11779060:-1 gene:Ma07_g15610 transcript:Ma07_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKPVFALRPCLRLRRSFHSDLHEYVSQLQLCAARRHLPKARELHARLLATGLHASPVATSALISLYSKCARPADALSVFLSAPAHPSNLFVWNAAIAGLASNGLPSDALRLFRRLSSEPGLSPDEFTFPCAIRACSDLGASDEVRKIHATLFKVGFDAEVFAASALIRAYLNMGLADEAVKVFDELHHRDVAIWNAMVNGFAQLGQFARSMDYFRQMMSEGMVPSKFTVTGVLSVFTARADLGNGRKIHAFVIKMGLDDEIAVSNSLIDLYGKCHALEEAEEIYESMQEWDAYSWNSMMSAYQYSAHHAGTLQLFRRMRHNGVMPDAVTIAAVLPACSQVAALRFGREIHGFAITSGMRGVKDDVFVDNALMDMYAKCGALEEARLLFNGMPSRDVASWNIMIDAYGAHGRGAEAVELFEQMVVVGPAPDEVTFVGVLSACSHAGLVEAGKDLLQRMEAEFGLAPSMEHYACVADMLGRAGLLEEAKRVAEKAGAGGAGVWRAYLAACRMHGKSAQASEAAQRVAEMEPQGSGSYVLLASTYGGAGKYSELAEVRNQMRRKGLRKAPGCSWVEVGGARMHAFVAGDQEHPEAAEIYTALHGLMGWMREAWGYVPEVIAAHGE >Ma07_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4275082:4283408:1 gene:Ma07_g05910 transcript:Ma07_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKNVSAAEDGDAGRHRDPQTSVNGGPRVGSPAPPTPQRKGRSGAATPVRSSASASAWPSPHPQGSASPLPDGISPSPARSTPRRFFRRPFPPPSPAKHIMAALVKRQGPPKPKEGPIPEDGTGEVERPLDKSFGYGKNFGAKYELGKEVGRGHFGHTCLATAKKGEIKGQLVAVKIISKAKMTTPISIEDVRREVKILKSLSGHKNLVKFYDACEDALNVYIVMELCEGGELLDRILSRGGRYLEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFATKDENSPMKLIDFGLSDFIKPEERLNDIVGSAYYVAPEVLHRSYSTEADIWSIGVIAYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPDVSFEAKDFVKRLLNKDYRKRMTAAQALTHPWLRDEQRQIPLDMLIFKLIKSYLRATPLKRAALKALSKGLTEDELFYLRFQFNLLQPNKDGHIFLENFRMALLQNATEAMRESRVTDILNALEPLSYRWMDFEEFCAAAISPYHLEALEGWEQLASRAFEHFEREGNRVISVEELAQELNIPPTSYSFLKDWIRPEDGKLNVLGYTRYLHGVTIRSSNTRHR >Ma08_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5873773:5874878:1 gene:Ma08_g08460 transcript:Ma08_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVIGGGFRHEIWLLSAQGDRIINVGVVDSEYIIHKGTPTLGGDPEKIGRSFYFVVH >Ma09_p28070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38908902:38910194:1 gene:Ma09_g28070 transcript:Ma09_t28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANISSTVTSRSREEEPQVEEGITTERPDWTLLPLDLITKISEELPIPHRLCLRATCTTWYHAAVLDMDVPSPWLLIPDHESEQSDSCTFASLPTGLFFTYSPIPELRGTRCVGSHAGWLAIANVHLDVSLLNPLTRSQIYLPSFTTLPTDDLMLECDVWSMDNPATDVPAYNPFKAFRDRFIGKVVFSSNPTIHNHVAVTLYGAYGDLAYTKAGKDAWLLLKEPSTKDHQYEDVMYHDDKFYCLSNECEVEAFDLSGDCPTAALVVERLTFSVTYFHDIRGSNLSCTYKKYLARSSTGELFMFLRYINQPVLPNEMELRRPKKFMVLRANPETNPCWAAATNDLGSMSLFIGTNNSMLVSNENLPIVHGDGIFFIESYTVAFGNGIELLTSDVGWFNLKEECSDNYYASSCPQFDLASVIWFTPSLQ >Ma04_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:52994:59898:1 gene:Ma04_g00020 transcript:Ma04_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCCCLCPEDFEEHAYSSNSIYRHCICLRYFFHRLLDGYGATFQRLDGRSVPSQIQITTPSSLSTATGNAPDNSACETYHVVPLPQPYDADPRYSRLQREGLVSRREKSMNHTQEELHTLRRNGSSSAVETLGAIKKRNIAESEEGFKLTHPESEKYLSAKACDTSFVITTSEDEDVCPTCLEEYTPENPKIVANCSHHFHLSCIYEWMERSDTCPVCGKEMEFCESP >Ma04_p00020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:51396:59898:1 gene:Ma04_g00020 transcript:Ma04_t00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCCCLCPEDFEEHAYSSNSIYRHCICLRYFFHRLLDGYGATFQRLDGRSVPSQIQITTPSSLSTATGNAPDNSACETYHVVPLPQPYDADPRYSRLQREGLVSRREKSMNHTQEELHTLRRNGSSSAVETLGAIKKRNIAESEEGFKLTHPESEKYLSAKACDTSFVITTSEDEDVCPTCLEEYTPENPKIVANCSHHFHLSCIYEWMERSDTCPVCGKEMEFCESP >Ma04_p00020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:51402:59898:1 gene:Ma04_g00020 transcript:Ma04_t00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCCCLCPEDFEEHAYSSNSIYRHCICLRYFFHRLLDGYGATFQRLDGRSVPSQIQITTPSSLSTATGNAPDNSACETYHVVPLPQPYDADPRYSRLQREGLVSRREKSMNHTQEELHTLRRNGSSSAVETLGAIKKRNIAESEEGFKLTHPESEKYLSAKACDTSFVITTSEDEDVCPTCLEEYTPENPKIVANCSHHFHLSCIYEWMERSDTCPVCGKEMEFCESP >Ma02_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20534607:20534981:1 gene:Ma02_g11310 transcript:Ma02_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQNQVEEAAIAASGITTFNNETEEDDETTSVFSMKSLLWHGGFAWDAWFSCASNQVAQVLLTLSYSSQLGMLSGVILQLFYGFLESWTAYLISILYIEHRTRKEKENVSFKNHVIQLPEIPS >Ma09_p21740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33595832:33627266:1 gene:Ma09_g21740 transcript:Ma09_t21740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGYKLAEEAKLRGKLLRFSAWGFPCRTFPSPRKAWPNRRGSRHPAGGGTRSVAAVVVAKTSAVPSTYLPRMRRWSASGGGGFRYTLDLRKEEEKEQVRRKIRAHAQVIRAAFLFKEAGEKEKPGIPGVPTLPAIGFGIGQEVLTKITREHDFSALQGYGGVKGLSSLLKTNLEKGISGDEAELLRRRNYFGSNTYPRKKGRSFWVFLWEAWQDLTLIILMIAAALSLVLGIKTEGIKEGWYDGGSIAFAVIIVILVTAVSDYRQSLQFQNLNEEKSNIHLEVIRGGRRVEVSIFDLVVGDIVLLKIGDLVPAEGIFISGHSLAIDESSMTGESKVVHKDQKAPFLMAGCKVADGYGNMLVSAVGINTEWGLLMASISEDNGEETPLQVRLNGLATFIGIVGLTVAVVVLVVLLVRYFTGHTKNPDGSAQFIKGQTSAKAAVNGAIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYIGGKKIDPPDNVRLISSSVSSLLYEGIAQNTTGSVFKPESGALELSGSPTEKAILHWGFKLEMEFDYAKSKSSIIYVFPFNSEKKRGGVAVHLSGSEVHVHWKGAAEIVLASCIGWLDIDGAMQPMTADKVNEFKKYIEDMASASLRCIAFAYRHFNLENIPNEEQRNDWLLPEDDLILLAIVGMKDPCRPGVKEAVDLCTHAGVKVRMVTGDNLRTAKAIALECGILKDADAPEPILIEGRTFRAKTTAEREEIAEKIQVMGRSSPNDKLLLVQALRRRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAFSSGEVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMDRTPVGRREPLITNIMWRNLMVQALYQITILLVLNFGGRSILHLKNDTRAHADKVKNTFIFNTFVLCQIFNEFNARKPDEINVFSGVARNHLFMGIVGVTALLQVLIIEFLGKFTSTVRLNWKLWLVSVAIGITSWPLAIIGKLLPVPRTPLAEYFRSSSGRRQEDDGTAQESSTAHP >Ma09_p21740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33595832:33627266:1 gene:Ma09_g21740 transcript:Ma09_t21740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSGKSSPSGRWDEECGGGRGSEDICGPFDIPPKNAPVECLRRWRQAALVLNASRRFRYTLDLRKEEEKEQVRRKIRAHAQVIRAAFLFKEAGEKEKPGIPGVPTLPAIGFGIGQEVLTKITREHDFSALQGYGGVKGLSSLLKTNLEKGISGDEAELLRRRNYFGSNTYPRKKGRSFWVFLWEAWQDLTLIILMIAAALSLVLGIKTEGIKEGWYDGGSIAFAVIIVILVTAVSDYRQSLQFQNLNEEKSNIHLEVIRGGRRVEVSIFDLVVGDIVLLKIGDLVPAEGIFISGHSLAIDESSMTGESKVVHKDQKAPFLMAGCKVADGYGNMLVSAVGINTEWGLLMASISEDNGEETPLQVRLNGLATFIGIVGLTVAVVVLVVLLVRYFTGHTKNPDGSAQFIKGQTSAKAAVNGAIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYIGGKKIDPPDNVRLISSSVSSLLYEGIAQNTTGSVFKPESGALELSGSPTEKAILHWGFKLEMEFDYAKSKSSIIYVFPFNSEKKRGGVAVHLSGSEVHVHWKGAAEIVLASCIGWLDIDGAMQPMTADKVNEFKKYIEDMASASLRCIAFAYRHFNLENIPNEEQRNDWLLPEDDLILLAIVGMKDPCRPGVKEAVDLCTHAGVKVRMVTGDNLRTAKAIALECGILKDADAPEPILIEGRTFRAKTTAEREEIAEKIQVMGRSSPNDKLLLVQALRRRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAFSSGEVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMDRTPVGRREPLITNIMWRNLMVQALYQITILLVLNFGGRSILHLKNDTRAHADKVKNTFIFNTFVLCQIFNEFNARKPDEINVFSGVARNHLFMGIVGVTALLQVLIIEFLGKFTSTVRLNWKLWLVSVAIGITSWPLAIIGKLLPVPRTPLAEYFRSSSGRRQEDDGTAQESSTAHP >Ma09_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33595832:33627266:1 gene:Ma09_g21740 transcript:Ma09_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSGKSSPSGRWDEECGGGRGSEDICGPFDIPPKNAPVECLRRWRQAALVLNASRRFRYTLDLRKEEEKEQVRRKIRAHAQVIRAAFLFKEAGEKEKPGIPGVPTLPAIGFGIGQEVLTKITREHDFSALQGYGGVKGLSSLLKTNLEKGISGDEAELLRRRNYFGSNTYPRKKGRSFWVFLWEAWQDLTLIILMIAAALSLVLGIKTEGIKEGWYDGGSIAFAVIIVILVTAVSDYRQSLQFQNLNEEKSNIHLEVIRGGRRVEVSIFDLVVGDIVLLKIGDLVPAEGIFISGHSLAIDESSMTGESKVVHKDQKAPFLMAGCKVADGYGNMLVSAVGINTEWGLLMASISEDNGEETPLQVRLNGLATFIGIVGLTVAVVVLVVLLVRYFTGHTKNPDGSAQFIKGQTSAKAAVNGAIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYIGGKKIDPPDNVRLISSSVSSLLYEGIAQNTTGSVFKPESGALELSGSPTEKAILHWGFKLEMEFDYAKSKSSIIYVFPFNSEKKRGGVAVHLSGSEVHVHWKGAAEIVLASCIGWLDIDGAMQPMTADKVNEFKKYIEDMASASLRCIAFAYRHFNLENIPNEEQRNDWLLPEDDLILLAIVGMKDPCRPGVKEAVDLCTHAGVKVRMVTGDNLRTAKAIALECGILKDADAPEPILIEGRTFRAKTTAEREEIAEKIQVMGRSSPNDKLLLVQALRRRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAFSSGEVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMDRTPVGRREPLITNIMWRNLMVQALYQITILLVLNFGGRSILHLKNDTRAHADKVKNTFIFNTFVLCQIFNEFNARKPDEINVFSGVARNHLFMGIVGVTALLQVLIIEFLGKFTSTVRLNWKLWLVSVAIGITSWPLAIIGKLLPVPRTPLAEYFRSSSGRRQEDDGTAQESSTAHP >Ma06_p25650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26033838:26035720:-1 gene:Ma06_g25650 transcript:Ma06_t25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELRGSTPGHVSVPDPNPFDTPHGSLSGALKGCLGSLDGAGIEKLLLHCATAVEANDATLVQQVMWVLNNIASSHGDPNQRLTSWFLRALVTRASQFCPTAIPSATTGLTRARPMTATELVGYVDLTPWHRFGFTAANGAILKMVQGRRKVHVLDFSIYYCMQWPTLIDALSGRPEGPPLLRITMPSARPSVPPHLNVSTEEIGLKLANFAKSRDVPLEFHVVKATWSCSSDALNGHSNFLQEFASCLLDPSSLGLREGEALVVNCQSWLRYLPEGSGRATDDLSSRDAFMELIHRLNPCLVTVTDEDADLDSARLTSRIMSCFNYLWIPFDALETFLPKDSPQRLAYEADIGQKIENIIGFEGEERMERLESGARFSQRMTRAGFESFPFDEETVSEVKLLVDEHASAWGLKREEDTLVLTWKGHNSVFTTAWVPSGYDG >Ma09_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8718549:8719996:1 gene:Ma09_g12930 transcript:Ma09_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVFSVCWFVLLLLLLSSVCGGRRLRGESTALTLESVRKFLTREEDSIVFSLIERAKYPCNAPAYDPSYLGRATKFHALSLVDLFVRETEAVQAKAGRYQNPVEIPFFPKDMTLPSVPPYNFPNELHAAAASVNVSDTIWRKYFDEWLPQITSQGDDGNYAPTAAADLVCLQALSRRIHYGRYVAEAKYREAPQDYNTAIRAKDRDALMKLLTFESQEDAVKRRVEEKAKVFGQDVTLGKRVDDSSNVTNYKVDPMVVYRLYGDWVIPMTKMVQVEYLLRRLD >Ma06_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14215360:14218374:-1 gene:Ma06_g20180 transcript:Ma06_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEVVRDIGSGNFGVAKLMRHRDTKELVAMKHIERGQRIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICDAGRFSEDEARYFFQQLICGVSYCHLRQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSPREYDGKQADVWSCGVTLYVMLVGAYPFEDQNDPKNIRKTIRRIMSVQYKIPDYVHISQDCRQLISRIFDANPMRRIKMREIRTHPWFLKNLPRELTEAAQAVYYRRDNSIPPFSTQSIDEIRKILTEARTLPKPSRSISGFGWSEADSEEEDNKEENQEVEEEEEDDEYDKTVKAVHASGEFHIN >Ma08_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1804659:1804748:-1 gene:Ma08_g02260 transcript:Ma08_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSKGYRELLQLLSDHQNELSNLQVDLY >Ma03_p27530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30885875:30890909:1 gene:Ma03_g27530 transcript:Ma03_t27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWERDEAANGGSTGVGIDDPADAVDSGHARLSELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLRFGGTVTMTFGWFLAGVFTMFVGLSMAEICSSYPTSGGLYYWSARLSGHDWAPFASWMTGWFNIVGQWAVTTSVDFSLAQLLQVMILLSTGGNNGGGYLASKYMVIGFHGGILLIHAILNSLPITLLSLFGQIAAAWNILGVFVLMIAIPAVATERASAKFVFTHFNTENTDGIHNKLYIFVLGLLMSQYTLTGYDASAHMTEETKSADKNGPRGIISSIGISIIVGWCYLLGITFAVTNIPNLLSSDNDAGGYAIAEVFYLAFKSRYGSGTGGIICLGIVAVAIFFCGMSSVTSNSRMVYAFSRDGAMPLSTFWHKVNKQEIPINAVWLSTFVSFCMALTYLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARKSFVRGPFNLGRYGVLVGWIAVLWVATITVLFSLPVAYPITKDTLNYTPVAVGGLLILTVSWWVLSARYWFRGPITNVPT >Ma06_p27040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29065732:29074603:-1 gene:Ma06_g27040 transcript:Ma06_t27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTVTVTSALLESVSDTASLPHRLSQGQFKPTLPLFSVLFVLLPLVSWVSPRLSILLGGRAMVLVCQTCGVPGYTELLIYCNMCKSSAEHRYCLDILPSYHEEVSWSCDLCKPRPSKVELEILHRSTRKLKCKKIRKRCQITIAAPQFAPPQKQPHYKKSPATVHGQASIWKSPASLLQKEVDDLSQSFRVQLKDKKLKKRRRLVIPVDDQLVEDIQTNNVEAKYENTLTAPKHDSPQKRQEYVPHSHVHMENNGDSTVNGKWNRPSDSLLQKKVVDLSQSFGIQFERKNLKRRRMILPEDDQLDGDVLNNSAVNERLLEARYENTVIASKVASPENPHQYITCTHSVQNGTCAGATVKSNRSTNSLSQKEVDDLFQSIRMQSEEKNLRMRRTRLILLEGEQLGQEAQTNNAVNKRLTEVRYDKTVTAIKAASPGKPHQYVYKENHAGPSEDGISNRSTDNLLQKKVVDPFKSFRMQLERKNLRMQSRRLMLLEDDQLDEDRSQ >Ma08_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37830505:37830624:1 gene:Ma08_g24750 transcript:Ma08_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAPICYVMLSTIGYLEIIDLLIPKWKKLSTCLMYMK >Ma00_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45730680:45730841:-1 gene:Ma00_g05260 transcript:Ma00_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFIRSQTLTLLEKLNELDADEQADICESLHDHADELYRSCLARFGDDGENL >Ma09_p28940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39570013:39570222:-1 gene:Ma09_g28940 transcript:Ma09_t28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKWKLCKKGSRREGGTVREGRRWRRSAAATASRSFSSRCAGLVKEQRARFYIMRRCVTMLVCWRDYP >Ma07_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1195784:1198173:-1 gene:Ma07_g01530 transcript:Ma07_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGEISAAELENLMTVVANPRQFKIPDWFLNRKKDYKDGRYSQIVSNSLDMKLRDDLERLKKIRNHRGLRHFWGLRVRGQHTKTTGRRGKTVGVSKKR >Ma09_p05740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3688316:3694587:1 gene:Ma09_g05740 transcript:Ma09_t05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANQQHHKRGKTAKGFDATEKVVVAVKASKEIPRTALVWALTHVVQPGDCIMLLVVVSPHSPGRKLWGFPRFAGDCASSHRKSHSGTALEQKSDISNSCSQMMLQLHDVYDSDKISVKIKIVTGSPCGVVAAESTRVQANWVVLDKQLKHEQKHCIDELQCNIVVMKHSQPKVLRLNLVGSHEEEPQLPSKLDKTNTDNDIKGSQNFSRGPVVTPTSSPELETSFTTTEAGTFSVSSSDLGNSPIFSTVKKEEHTSVKEIRNLDVSTSDSDSESLSHTRTEFQPWMAEVFGNGCPSSKEIQELSHALDTKARISTTKALLDKFSKLDNKSEIGSLSYRSDLNFIGNVREVISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYSELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCVEDRRRLLVYEYICNGSLDTHLYGRNREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDLGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLLELVTGRKAVDINRQKGQQCLTEWARPLLEEDAIVEFVDPCLGNCYSEHEVSCMLHAASLCIRRDPQSRPRMSQVLRILDGDVVMDSGYTSTPAYANGNRSGWMWPDQQQQQLSIPVKQAIQVSAGSKSYEALRTAWERERESILQRC >Ma09_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3688316:3694587:1 gene:Ma09_g05740 transcript:Ma09_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANQQHHKRGKTAKGFDATEKVVVAVKASKEIPRTALVWALTHVVQPGDCIMLLVVVSPHSPGRKLWGFPRFAGDCASSHRKSHSGTALEQKSDISNSCSQMMLQLHDVYDSDKISVKIKIVTGSPCGVVAAESTRVQANWVVLDKQLKHEQKHCIDELQCNIVVMKHSQPKVLRLNLVGSHEEEPQLPSKLDKTNTDNDIKGSQNFSRGPVVTPTSSPELETSFTTTEAGTFSVSSSDLGNSPIFSTVKKEEHTSVKEIRNLDVSTSDSDSESLSHTRTEFQPWMAEVFGNGCPSSKEIQELSHALDTKARISTTKALLDKFSKLDNKSEIGSLSYRSDLNFIGNVREVISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYSELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCVEDRRRLLVYEYICNGSLDTHLYGRNREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDLGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLLELVTGRKAVDINRQKGQQCLTEWARPLLEEDAIVEFVDPCLGNCYSEHEVSCMLHAASLCIRRDPQSRPRMSQVLRILDGDVVMDSGYTSTPAYANGNRSGWMWPDQQQQQLSIPVKQAIQVSAGSKSYEALRTAWERERESILQRC >Ma07_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7676954:7677034:-1 gene:Ma07_g10280 transcript:Ma07_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETTNGNNHVVAKMPPTPSPLRNS >Ma10_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25922358:25927030:1 gene:Ma10_g12970 transcript:Ma10_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLMEGMVYPGGPNYVQLFPSNDEKNLCYGSLHQPSMGECIMGEGDLVDPPPEKFAEVGDEESDEDIDIEELERRMWRDRVRLKRLKEQQQNKNKEQGDAAKQWQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNTIPGSNNEVVSSTVSPHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTGREEWWPQSGIPNEQGPPPYKKPHDLKKAWKVSVLTAVIKHISPDIEKIRRLVRQSKCLQDKMTAKEIATWLAVVKQEEDMYMKLHPDTCLPPSSGSGLTGAISFNTSCGDYDVEGVDEDKSEDVILHNPPADGNTFSLSATVGNEKFVLSVPMKDETDCAFIQKRTAAEPELLLNQRIYTCDNAKCPHHDFCHGFTDRNARNSHQYLCKYQNTFPQSIGALSAENFPVNENKPPVLSMSSTAQPTPTSLGPSLNPISVSDLGIPSDGQKSINELMGFYDNNISGDKSLTLGSASVLEGSNSFQSRIQMEDTFFGQETGMGGSLFEEVGSLVQQPQFFVREDMMPFGQQFSNQPNESSGGFRFTSGLNIPAVDYSNAASQRGMLQKKHDGSNWFY >Ma11_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24951534:24958939:-1 gene:Ma11_g20490 transcript:Ma11_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNHAVAEEVEEEEEEEEEFYESLDRILSSSCSSTSASDDDADEVRSRRPSPPPPPLLPSLDVWTSEPASVAERRRLLLQRLGLAGDAALARPAAGSDGRGHSSSVDTPDPPHLPRQQQHQRSINLLDSRKLPLSSRSRPLSAVNVRLSGGDDHRCLIKNLDNGREFVVEEFGQDGMWNKLREVGTGRQLTMEEFEMCVEGSPIVQELMRRQKVEAARQHGGSGGGPRADGPSKERTRSKKIASWLRRISNVAGATIARRHHQDRRSGDEKDTSSETGGRRSSSATDHSQDGSHGLDLRVKVWQYGKSHKELSGLYMSQAIQAHNGSIWSIKFSLDGRYLASAGEDCAIHVWGVSEINTKGDSLRVAEENGNSKPFALSICNRPPDPTSVLPGAEGSHWDKKIIAKFHGGRKSVISDPVMMPEHVFALSEKPVCSFRGHADDVLDLSWSKSQYLLSSSMDKTVRLWHMSSNSCLKIFTHSDYVTCIQFNPIDDRYFISGSLDEKVRIWSIPDPQVVDWNDLHEMVTAACFSPDGQAALVGSHKGSCYLYDTSDNRLIEKRRIDLENKKKKAAHKKITGFQFAPGSSSKVLVTSADSRVRIIANEELIHKFKGFRNTSSQISAYWTANGKYVICASEDSHVYVWRYDNQLQPSRSKTPASVTRSYEYFHCQGVTMAIPWPSTGTEMMNRAHSSEQLVFNEESDANGHHRSSSHGYLTIGCQQKNNILTPNSSHSSDRVSATWPEELMALRQSPQSDGDLFGRRMPVQNTSAWGLVIVTASQCGEIRTFQNFSFQFLT >Ma02_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25219178:25219749:1 gene:Ma02_g18820 transcript:Ma02_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSATTFRRSGSSGVVWDERFFSGDLSRMRKEEAAAEFSELRHSKSMASSIRRTTARSGSTGGRHAFRASFVSPGIDPPSPRFICCGFLGTSRSAQRPKPRRR >Ma02_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28395022:28402511:1 gene:Ma02_g23350 transcript:Ma02_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLQPQIILLKEGTDTSQGKAQVVSNINACTAVADAVRTTLGPRGMDKLIHDEKGSTTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSYRTASFLAINKIKEIAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGNDDRLNLIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLLRVAAATGGTVQTSVNNVIDEVLGSCEVFEERQVGNERFNIFNGCPSGQTATIVLRGGADQFIDEAERSLHDAIMIVRRALKNSTIVPGGGAIDMELSRYLRLHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDSTDVLNKLRQKHASGEGANFGVEINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAANAMAGRGGPAFRGRGGRGMRRR >Ma09_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8730250:8730640:-1 gene:Ma09_g12960 transcript:Ma09_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIIESLCGPGGPGLSGNLVDSEGFPRADIEFRLLEF >Ma06_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4698918:4699615:1 gene:Ma06_g06490 transcript:Ma06_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSFRSNAENLGLHGVHIENSVYTLKMRMDESCKVTSRTKLSSEAAKSYMVISDDEYYPGASYSLYGILDNLHVAVPRQRRGGS >Ma03_p31280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33549857:33553666:1 gene:Ma03_g31280 transcript:Ma03_t31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAVDPFAKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAHRKIRLRAEDVQGKNVLTNFWGMDLTTDKVRYLVRKWQTLIEAHVDVKTTDNYTLRLFCFGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMVNQASSCDLKDLVLKFIPEVIGKEIEKATASIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKMERPAEDVQMEGEAEVVGA >Ma08_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33944279:33947351:-1 gene:Ma08_g20110 transcript:Ma08_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFSGNFNSIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGYTYCVVAVESVGRQIPIAFLERVKEDFNKKYGAGKAATASANSLSREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHSQAQDFRQQGTKMRRKMWLQNMKIKLIVLGIIIALILIIVLSVCHGFKC >Ma05_p30960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41111420:41116223:1 gene:Ma05_g30960 transcript:Ma05_t30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASRRLRQLQSQPGNKTCVDCAQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLKKMEAGGNDRLNAFLARYGVPKETDIVAKYNTRGAAVYRDRIQALAEGRSWQDPSIVKESLNSGAKPPLGRSGGKGAHQSSNGGWDSWDNDDYQSSSYSDMRRNQSTGNFRTGSGSGIGGAPPPPRSRSTEDIYTRAQLEASAANKESFFSRKMAENDSRPEGIPPSQGGKYVGFGSTPPPPPQSNNSQGDMLRDTVSIVSQGLGRLSLVASSAASVVQAGTKELTSKMKESGYDQKVNETVSVVATKSTEIGQRTWGIMKGVMAMASQKVEEYSNEGISWKVDDWSQKESVKNGYYQEFGQDNKNWNSPQENPNKHYNSVSSSWDNWDEKERREEPRKGTQSSESWAGWDDMKDDDGYANYHYSSSNNAANQNGKSGSSWTDGGFL >Ma04_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26094418:26108263:-1 gene:Ma04_g24030 transcript:Ma04_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLYLSVFSTAASVVGLQWWTVSSLDGMKSDGLITSDGGGGSKESAGRALELLLSSHVTVALLANFVINVYVLVVLLLKTLFFVQLNTSETRKVLERFVNYIIYKGTFLPLVVPPNVSQVILWTSWLVFLCSLKIFESLARDRLERLNASPSVTPSKYFRVFSALLVVLSADFLWMKLCMMIYSSYSYSLFMLLFFEPLCIASETFQAIMVHGFQLLEIYQRHSSESTVGCSDYIQKTAAGSLSEWKGILIRHCGFILDMLALVMALGHYLMTWWLHGMAFHLVDVILFLNLRALASAIVKRIRTYINLRKALSSLDGALPDATYEELCAYDDECAICRGPMTRAKKLPCNHLFHLVCLRSWLDQGLTEVYSCPTCRRPLFVSNPQGDTRSVPGNGVDDQQLAEHLSLRLNQQRIPGQALPLGSSPNQQQNTSDTIWRGAAFDSSLAPPWVNQGVDGASSSSSVRPVGFGGVQMMMRQLASVSENFAHGSLDDAAWNLWSSQHTSIPSIPSSASIRLNRNAAGQRIRNASPSVNNMSELLAMVDRVREVLPHIPDELIVQDLLRSNNINITVNNLLMQ >Ma04_p24030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26094312:26108175:-1 gene:Ma04_g24030 transcript:Ma04_t24030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLYLSVFSTAASVVGLQWWTVSSLDGMKSDGLITSDGGGGSKESAGRALELLLSSHVTVALLANFVINVYVLVVLLLKTLFFVQLNTSETRKVLERFVNYIIYKGTFLPLVVPPNVSQVILWTSWLVFLCSLKIFESLARDRLERLNASPSVTPSKYFRVFSALLVVLSADFLWMKLCMMIYSSYSYSLFMLLFFEPLCIASETFQAIMVHGFQLLEIYQRHSSESTVGCSDYIQKTAAGSLSEWKGILIRHCGFILDMLALVMALGHYLMTWWLHGMAFHLVDVILFLNLRALASAIVKRIRTYINLRKALSSLDGALPDATYEELCAYDDECAICRGPMTRAKKLPCNHLFHLVCLRSWLDQGLTEVYSCPTCRRPLFVSNPQGDTRSVPGNGVDDQQLAEHLSLRLNQQRIPGQALPLGSSPNQQQNTSDTIWRGAAFDSSLAPPWVNQGVDGASSSSSVRPVGFGGVQMMMRQLASVSENFAHGSLDDAAWNLWSSQHTSIPSIPSSASIRLNRNAAGQRIRNASPSVNNMSELLAMVDRVREVLPHIPDELIVQDLLRSNNINITVNNLLMQ >Ma09_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:388276:388984:-1 gene:Ma09_g00500 transcript:Ma09_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNIFDPFSLDVWDPFQGFPFDAFRSLAETRPGFVSETSAFANTRIDWKETPEAHVFKADLPGVKKEEVKVEVEEGRVLQISGERSKEKEEKRDKWHRVERSSGKFLRRFRLPENAKVDQLKASMENGVLTVTVPKEEAKKKPEMKSIEISG >Ma03_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2082386:2083286:-1 gene:Ma03_g03090 transcript:Ma03_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVPDPNPILYACVAHGTTILAELSSAEAGGGDDDLPLGHAAGCLATVPRFHRHYSHTAGGRIYAFLMAEPLVFFAIADEAVLGKPRALLFLRRLRDAFSSSAVRRRRTAVGGDGSDPLPHLCLQEDFLPELRRLVQSVPSQDEEPSPPSPCLPPPAASPRPSDSDEDQKVKEKKGGKGKRKMVISVVNRDVVDSDPGNGGSKSVQKVWRQHVRTIILIDLVVCCFLLGVWVSICRGFECITN >Ma02_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27596457:27597360:-1 gene:Ma02_g22180 transcript:Ma02_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQTHTSAPEQQHILRARNSVRSSHRDSPSEEERADEEASRTALSSFRMKEEQIERKKIEVREKVFAQLGRVEEESKRLAVIRKELEAMADPTSKQVSDLRKKIDAVNSELKPLGQNCLKKEKEYREALEAFDGKNREKAQLVNKLMELVSESEWQRMRKLEQLSKTIDSLR >Ma11_p18260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23358443:23364667:1 gene:Ma11_g18260 transcript:Ma11_t18260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIHGFVSLRKPNPNLNGIRASSSSFSSTIPLGTARRLVGSAHPPLSHRDLGARLQYLDRRTSKIPFVASREEPKHSDIELQKEMTDAELKAAVSHEAWQEALEHFKTEAMKVKPVSEEAYQVYTKKAMEILTDTSEKLKIQAEKAQHDLNLIAKEVSEQGKEYLSTATKNSPDSVREIFETYASTNELNMSSIRDFYLGIPYGSFLSIGGFIYFILTGSIPAIRFGVVLGSIIFALSVSSMRSWKNGKTTPLLLIGQTAISAIIFFRQCLLCSQRGSFPNLLMLLISGSMAGFYIYRMVIDGHKARPSVEQRSEN >Ma11_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23358443:23364667:1 gene:Ma11_g18260 transcript:Ma11_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIHGFVSLRKPNPNLNGIRASSSSFSSTIPLGTARRLVGSAHPPLSHRDLGARLQYLDRRTSKIPFVASREEPHSDIELQKEMTDAELKAAVSHEAWQEALEHFKTEAMKVKPVSEEAYQVYTKKAMEILTDTSEKLKIQAEKAQHDLNLIAKEVSEQGKEYLSTATKNSPDSVREIFETYASTNELNMSSIRDFYLGIPYGSFLSIGGFIYFILTGSIPAIRFGVVLGSIIFALSVSSMRSWKNGKTTPLLLIGQTAISAIIFFRQCLLCSQRGSFPNLLMLLISGSMAGFYIYRMVIDGHKARPSVEQRSEN >Ma05_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:122092:124727:-1 gene:Ma05_g00170 transcript:Ma05_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAEATASVVGKSVMVVGIDDSEHSFYALQWTLLHFFSPAAGSSSPFKLVVVSAKPTPTSVIGLAGPGAADVLPFVESDLRKISLRVIEKAKEICTSHSVADVEFEVVEGDARNVLCEAVEKHHAEILVVGSHGYGAIKRTVLGSVSDYCAHHAHCTVMIVKKPKPKH >Ma04_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7940076:7946855:-1 gene:Ma04_g11310 transcript:Ma04_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDPSDSSGTDDDLPPSHYNRGARGGRVYGNGRATFGAIPYSNAPNDMEVQIHWLEQDSYSSVLRAFKAQSDAITWVVIHIVFQIDIMLLLSIFQCLCAILLLRHEKVCLQEKESLITELRKELRVSDEEHGELLSNVNADGIIWRIRNNNTKSYCISILKEAEDISAIPFLTLGAPSPALQSQLAASMQPSLSASKRGAAAGTKGKKPKSPGASSAKSMHYPSAGLSGRGQIANENYSGAVVAGLHALVDDTDMYKETWEWINLKETSNILFRSLSVTVWLQICPENIRWEVEEDPDIVLQSGQVASRRGVKRTTAHGGGIPGAGRGRGSLKNQKKKDFLLSQNSIGKKSTGNIEILQTDTLIKEVEKLLGASHPDPLEIEKAQQMLEKHEQALIDAIANLDDAYDGERGNYCP >Ma04_p32370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32362546:32363118:1 gene:Ma04_g32370 transcript:Ma04_t32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSSTSMSLDCIPSHRATCHSAVLIKHAERSLPPTLKKKRDFASSADADSSPILAVRTAGVAEIDDTPSGLHPVKSQRCQLACHCGVSMIQASNIGLRRLGLVLQRFEFY >Ma03_p29690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32550597:32570040:1 gene:Ma03_g29690 transcript:Ma03_t29690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) UniProtKB/Swiss-Prot;Acc:Q9LSW2] MDYYKSVVPSQLINERGANLVVINPGSANVRIGFANQQVPFNIPHCIARHVKGSDNRLTGSVQDQVLNLQAIPSQQLEREKAYDTIASFLKIPFLDEEVESNSFPRKMGPVDGYASHQSRSETSYTWTNVMEKKTNRSLAVDTSQITLSERAEDKDAECEPSNSKADDDGESPPEEFMFKEFICGEEALKISSVEPYCLSHPIRRGHFNVSQHYPLQQVLEDLHDIWNWILTEKLHLPSGERNLYSAILVLPETFDNREIKEMLSVVLRDLKFSLAVVHQEGLAATFGNGLSTACVVNIGAQVTSVICIEDGVALPSTVLTLPYGGEDISRCLLWLQRYHQTWPPIQTNPLVKPIDMFMLNKIKESYCQIREGEYDAVAIVHSFDEVRPVGSHKTRLSALNVPPMGLFYPALLVPEEYPQPPRPWFHDYEDMLEDTWHMEFSRRPDMSDGLYLGVNSGLNMWDNYSMLPSRPKKDDKLGLAEAITSSILSTGRLDLKRKLFCSIQLIGGVSLTAGLVGAVEERVLHAIPSNEAIDTVEVLQSRTNPLFVSWKGGAILGILDFSRDAWIHREDWIRNGIHIGSGRKYKDSYFLQAQATCYINS >Ma03_p29690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32550597:32570040:1 gene:Ma03_g29690 transcript:Ma03_t29690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) UniProtKB/Swiss-Prot;Acc:Q9LSW2] MDYYKSVVPSQLINERGANLVVINPGSANVRIGFANQQVPFNIPHCIARHVKGSDNRLTGSVQDQVLNLQAIPSQQLEREKAYDTIASFLKIPFLDEEVESNSFPRKMGPVDGYASHQSRSETSYTWTNVMEKKTNRSLAVDTSQITLSERAEDKDAECEPSNSKADDDGESPPEEFMFKEFICGEEALKISSVEPYCLSHPIRRGHFNVSQHYPLQQVLEDLHDIWNWILTEKLHLPSGERNLYSAILVLPETFDNREIKEMLSVVLRDLKFSLAVVHQEGLAATFGNGLSTACVVNIGAQVTSVICIEDGVALPSTVLTLPYGGEDISRCLLWLQRYHQTWPPIQTNPLVKPIDMFMLNKIKESYCQIREGEYDAVAIVHSFDEVRPVGSHKTRLSALNVPPMGLFYPALLVPEEYPQPPRPWFHDYEDMLEDTWHMEFSRRPDMSDGLYLGVNSGLNMWDNYSMLPSRPKKDDKLGLAEAITSSILSTGRLDLKRKLFCSIQLIGGVSLTAGLVGAVEERVLHAIPSNEAIDTVEVLQSRTNPLFVSWKGGANTLKGKTTTVKRVVITVW >Ma03_p29690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32550597:32570040:1 gene:Ma03_g29690 transcript:Ma03_t29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) UniProtKB/Swiss-Prot;Acc:Q9LSW2] MDYYKSVVPSQLINERGANLVVINPGSANVRIGFANQQVPFNIPHCIARHVKGSDNRLTGSVQDQVLNLQAIPSQQLEREKAYDTIASFLKIPFLDEEVESNSFPRKMGPVDGYASHQSRSETSYTWTNVMEKKTNRSLAVDTSQITLSERAEDKDAECEPSNSKADDDGESPPEEFMFKEFICGEEALKISSVEPYCLSHPIRRGHFNVSQHYPLQQVLEDLHDIWNWILTEKLHLPSGERNLYSAILVLPETFDNREIKEMLSVVLRDLKFSLAVVHQEGLAATFGNGLSTACVVNIGAQVTSVICIEDGVALPSTVLTLPYGGEDISRCLLWLQRYHQTWPPIQTNPLVKPIDMFMLNKIKESYCQIREGEYDAVAIVHSFDEVRPVGSHKTRLSALNVPPMGLFYPALLVPEEYPQPPRPWFHDYEDMLEDTWHMEFSRRPDMSDGLYLGVNSGLNMWDNYSMLPSRPKKDDKLGLAEAITSSILSTGRLDLKRKLFCSIQLIGGVSLTAGLVGAVEERVLHAIPSNEAIDTVEVLQSRTNPLFVSWKGGAILGILDFSRDAWIHREDWIRNGIHIGSGRKYKDSYFLQAQATCYINS >Ma09_p25800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37162534:37163156:1 gene:Ma09_g25800 transcript:Ma09_t25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLKRLWFRRHSANKKKRGIYILYEDVKSCQCEDVQILWSILVESHSPSLRLKQKERERERERERERERKHRWYVLYIHRRKETTTTGCSRWLVSPFI >Ma08_p29190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40871369:40872809:-1 gene:Ma08_g29190 transcript:Ma08_t29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCIPFSFCSTAEHDTATPQPRPLRLAVSTASSSSSSDAPAAVVFDDAASIVTLPSLPSLQSSVPISGYLNTTPPSAFHFCLASLKPLRPTSSAAALAISAAASLLYSASDSEITVFDLVTVRQVETFDAVPSAGSVKSVALSSAGKLFTAHQDGRIRVWRRSERSGRHRLNATLPTAVDRLLRFPLPGNYVAVRRHKKLLWIEHADAVSAVAARGDLLYSVSWDKTLKVWRAAGDLRCLESVPAHEDAVNAVAVAVAGDGTVYTGSADGKIRVWARSPPEEGRGRRRRHTQHGLVATLERHRSAVNALALSGDGAVLYSGACDRSILVWEREESADHMAVAGALRGHGKAIMCLACVGDVLFSGSSDRTVRIWRKEGEGKGYSCLGVMEGHATGVRSLVAVAVPVPAPAELHPEPEEEEYRVCSGSLDGEVRVWRVRIPITQRSDSK >Ma10_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31289265:31293716:1 gene:Ma10_g21510 transcript:Ma10_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVGSITSEDVHKFKQGPDHLLVLVHGIGASSSDWTYSKAVLKKRLGSNFLIYASSCNSYIKTLDGIDRAGKRLADEVLSVIHKTGSLKKISFTAHSLGGLIARYTIAVLYSSDTLQKDLNDGYKTGNPENLECSSKLGSIGGLEPINFITLGTPHLGFIGKRQFPLLLGSPILEKLVPPVAARFVGRTGSQLFLTDDEPNSPPLLLRMTSDSEDLKFISSLAAFRIRILYANVSYDHLVGWRTSSIRREYELAKPSRESVDGYLHVVNVEYCSPVLSEGPPRFPSEAATAKAAAQTVSNTQKTAEYYGSMEEEMIRSLQRVGWRKVDVSFHAATWPFFAHNNLHVKREWLNGAGAGVIAHVADSLKQQELSRTLIAANL >Ma10_p21510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31288845:31293716:1 gene:Ma10_g21510 transcript:Ma10_t21510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVGSITSEDVHKFKQGPDHLLVLVHGIGASSSDWTYSKAVLKKRLGSNFLIYASSCNSYIKTLDGIDRAGKRLADEVLSVIHKTGSLKKISFTAHSLGGLIARYTIAVLYSSDTLQKDLNDGYKTGNPENLECSSKLGSIGGLEPINFITLGTPHLGFIGKRQFPLLLGSPILEKLVPPVAARFVGRTGSQLFLTDDEPNSPPLLLRMTSDSEDLKFISSLAAFRIRILYANVSYDHLVGWRTSSIRREYELAKPSRESVDGYLHVVNVEYCSPVLSEGPPRFPSEAATAKAAAQTVSNTQKTAEYYGSMEEEMIRSLQRVGWRKVDVSFHAATWPFFAHNNLHVKREWLNGAGAGVIAHVADSLKQQELSRTLIAANL >Ma10_p21510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31289528:31293716:1 gene:Ma10_g21510 transcript:Ma10_t21510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAVGSITSEDVHKFKQGPDHLLVLVHGIGASSSDWTYSKAVLKKRLGSNFLIYASSCNSYIKTLDGIDRAGKRLADEVLSVIHKTGSLKKISFTAHSLGGLIARYTIAVLYSSDTLQKDLNDGYKTGNPENLECSSKLGSIGGLEPINFITLGTPHLGFIGKRQFPLLLGSPILEKLVPPVAARFVGRTGSQLFLTDDEPNSPPLLLRMTSDSEDLKFISSLAAFRIRILYANVSYDHLVGWRTSSIRREYELAKPSRESVDGYLHVVNVEYCSPVLSEGPPRFPSEAATAKAAAQTVSNTQKTAEYYGSMEEEMIRSLQRVGWRKVDVSFHAATWPFFAHNNLHVKREWLNGAGAGVIAHVADSLKQQELSRTLIAANL >Ma10_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25491746:25494861:-1 gene:Ma10_g12330 transcript:Ma10_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELSPSEIEYVRYGGEHHLPLIMGLVDRELSEPYSIFTYRYFVYLWPNLTFLAFHGEKCVGTVVCKMGHHRNISRGYIGMLVVIEPYRGRGIATELVTRSIRVMMESGCQEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPKCNPMLLATSNKNGGDDQPVNRL >Ma05_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15356183:15356940:1 gene:Ma05_g16150 transcript:Ma05_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLVCYCMAVPQPVVQLLKTLDRIRSFILLVLVYLGVYQQDQHIASATGRPPGPATPSSIKARLPVVEVGSLVDGRPRGWCCAEEPMCVFCLDRLEPKDEVRELGNCRHAFHRGCIDRWVDKGEFSCPVCRSELLPSGADGIRGAILKFICGLVRRHGVEDDN >Ma02_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25833750:25835526:-1 gene:Ma02_g19610 transcript:Ma02_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL15 [Source:Projected from Arabidopsis thaliana (AT1G61590) UniProtKB/Swiss-Prot;Acc:Q9SY91] MPRPWRPIAAGKCCTADDHVVLGNLSRCRPTRADLSKKVAELRFFRRLSSSDLGSSPCSARLNANDEFSHSFGAGLYAFQLSELKAITHDFSSNFLLGEGGFGTVHKGYIDERMRPGLKAQAVAVKLLDVEGLQGHREWLAEVIFLGQFRHPHLVKLIGYCCEDEERLLVYEFMPRGSLENHLFRRISMALSWGTRLKIAIGAAKGLAFLHGAEKPVIYRDFKTSNILLDHDFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVMTGHLTTKSDVYSYGVVLLELLTGRRAMDKTKPKNEEKLVDWAKPYLTSSRRLRCIMDHRLAGSYSTKGSREVASLALRCISPNPKDRPQMSTVVETLEGLQHLKDMAVSSGAWPPIVGRHAISAKTKLDNMANARRRSISTKLA >Ma01_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4609588:4610091:1 gene:Ma01_g06430 transcript:Ma01_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGRRKRPSPATSPTPTSTTTEADEQQQEMEKFYSLVGSIRAMRDLIRTDESKRQKKAASPLWRPTFKLEDFKGWEKPGTVVDTASSALKEEERRDQKEMNKVGEEENSVDLSLSH >Ma02_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16320185:16320888:1 gene:Ma02_g04950 transcript:Ma02_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARRHAPDRLLGCTHEGHVAGADFPDLVEDEVFWSSDYGSAAGESSWRRSDFNRARPWAARREGGLSLAFEDGCMPRRSAASAASAPVEVPVWPKFLQAEPDAPVGLFDREVEEETRDGGWVPPHEYLAREKGRSVATSVLEGAGRTLKGRDMSRVRDAVWSRTGFFG >Ma10_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25271187:25273507:1 gene:Ma10_g11910 transcript:Ma10_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWKFASKAITGKLCASTKKSSSQNPDCSDDEVSSCTSKEDGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQSAVVKLPTVPIRLPLFISCPWCNILSFRLVYKGNLRFPHKNYFLLWMVERLNGDSQRSQSTICQNLWSSSGSQLLQNHGYDHHNQVSSHVLAEQSNSSQTGLGHLVGVYFNTERIHATFRKSLAFIIEQTAKIPLVIIFLLIVLYAIPASAAILVLYILITILFAVPSVLVLYFAYPSLDWLVREILT >Ma08_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21205116:21226868:-1 gene:Ma08_g16880 transcript:Ma08_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLETQDGVRMTWNMLPGTKQEALGCVIPVAAIYTPLKPIPDMPVLPYSPLRCRICRSILNPFSIVDYVAKIWVCPFCFQRNHFPQHYLSISEDNLPAELFPQYTTIEYASSIETGSKIPSVFLFVVDTCMIEEEIVFLKSALSQAIELLPENSLVGLITFGTYVQVHELGFGHMPKSYVFKGSKEYTKEQLLDQMCFFAGKARPNVGVIAGPRDGLSSESVGRFLLPASECEFVLNSVLEELQRDPWPVPADQRASRCTSTALSIAASLLGLCVPGTGARIMAFVGGPSTEGTGSIVSKNLSEPIRSHKDLDKGAAPLYNKAVKFYEALSKQLAHQGHVLDLFACALDQVGVAELKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSAEYDLGLAFNGVFEVNCSKDLKVQGIIGPCASLDKKGPLCAETIVGQGNTSAWKMCGLDKKTSLCLIFEIVRKDGPETNAQPTSNQFYFQFLTYYQHSDGQMRLRATTLSRRWVAGPGSTQDLVSGFDQEAAAAVMARLVSFKMETEADFDPIRWLDRSLIRLCSKFGDYQKDSPSSFSLSPRLSIFPQFMFHLRRSQFVQVFNNSPDETAYYRMILNRENVANAVVMIQPSLISYSFHSAPEPVLLDVTAIAADRILLLDSYFTVVVFHGISIAQWRNAGYQNQPEHETFAHLLQAPRDEADAITKERFPVPRLVVCDQHGSQARFLLVKLNPSVTYNSDNPPPPGGDIIFTDDVSFQVFLDHLQRLAVQ >Ma06_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9916014:9917324:-1 gene:Ma06_g14470 transcript:Ma06_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDEKLISYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTAAEDELIIKLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTTIKRKLLGRGLDPQTHRPIELITNPFATLKQQDVSMAQDCTTVAKVINFSSDDGSSSSTDLNLDLSISLPYHPSAEVSVATTSQYFVLQSRFNPS >Ma10_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31401521:31401961:1 gene:Ma10_g21750 transcript:Ma10_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLTVGLLILIISDLLFKVCLLTFHLCAPPLLSLDEEVVWLLGSGQGCRDRKAPCFRHPTRLASQVEEAEDFSWAADESLREEGSCHNEGGADQEGGSSVVGYVRPRRRGDGGRDRRGAQRKDRGSTQWIKPWRCMETDAGEHP >Ma07_p26680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33369248:33375728:-1 gene:Ma07_g26680 transcript:Ma07_t26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKQASVMEGSDGVTGHIISTTIGGKNGEPKQTISYMAERVVGAGSFGIVFQAKCLETGETVAIKKVLQDQRYKNRELQLMRSMDHPNVISLKHCFFTTSRDELFLNLVMEYVPETLFRVLRHFSSVNQRMPLIYVKLYTYQIFRGLAYMHTVPGVCHRDVKPQNVLVEPLTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGSTEYTTSIDIWSAGCVLAELLLGQPLFPGDSAVDQLVQIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKIFHKRMPPEAIDLTSRLLQYSPSFRCTALEACAHPFFDELRGSNARLPDGRPLPALFNFKQELAGASPELIGKLIPEHARRHSDLGFLQMPGT >Ma07_p26680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33369248:33375623:-1 gene:Ma07_g26680 transcript:Ma07_t26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGVLASVSNFISPVFLQASVMEGSDGVTGHIISTTIGGKNGEPKQTISYMAERVVGAGSFGIVFQAKCLETGETVAIKKVLQDQRYKNRELQLMRSMDHPNVISLKHCFFTTSRDELFLNLVMEYVPETLFRVLRHFSSVNQRMPLIYVKLYTYQIFRGLAYMHTVPGVCHRDVKPQNVLVEPLTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGSTEYTTSIDIWSAGCVLAELLLGQPLFPGDSAVDQLVQIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKIFHKRMPPEAIDLTSRLLQYSPSFRCTALEACAHPFFDELRGSNARLPDGRPLPALFNFKQELAGASPELIGKLIPEHARRHSDLGFLQMPGT >Ma01_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4150818:4163924:1 gene:Ma01_g05870 transcript:Ma01_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MAFAASTYGVDLINMHPHGIQLCEKHPLVHLSNSKIRRFERMIRAGSQMTNSRPVVNKPEIDFSDPDWKRHFQEDFDKRFNLPHLRDILAIKPRPTTFSLKSRIPLDDGDGAATGSWNGYVNDDDRALLKVIKFASSTSAGAECIDPDCSWVEQWVHRAGPRKQIYFEPREVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIPYGYRGFSDEGLSEVPLSHKVVQNINLAGGSFLGVSRGGPSTSDVVDSIQAKRIDMLFVLGGDGTHAGALAIHNECRMRKLKVSVVCVPKTIDNDILLMDKTFGFDTAVEEAQRVINSAYVEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDICLIPEVPFNLEGPNGVLQHLEHLIKTKGNAVVCVAEGAGQDYLHKSNTTDASGNVVLSDIGVHIQQQIKRHFKDIGVPADVKYIDPTYMIRACRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHYVYFPITQVIESTRHVDPNSRMWHRCLTSTGQPDFN >Ma07_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11029501:11030151:-1 gene:Ma07_g14660 transcript:Ma07_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMLTALKIFYVLDPNLQPIPDPTDDDTNEIKAEQKKRNEDEVMCRGHILNALSDRLYDLYTVEPSAKAIWNALEFKYHAEEEGTKKFLISKYFDYKFVDGKPILAQVHELQVIVNQLKAEKIELPEPFQVGAVIAKLPSSWKGYRKKILYDSKDITLEEIQKHLRIEEESRMRDKSENSLCNIKANVVNQPKNSNKSKQNKVNHFGPQKGSKKI >Ma07_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7745600:7748014:-1 gene:Ma07_g10410 transcript:Ma07_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGILTVILLAELAASSDGNFTFNGFSGANLTLNGFAAITSEGLLCLTNDTQQVIGHAFYPFRLRFGKPPSFSSTFVFAIKPTFPHTSGHGIAFALSPSKELRGSLPSQHLGLFADTGSARDHIVAVELDTVENVEFKDINNNHVGIDVNSLVSVNSTPAAYYDTKEGVVKGLQLISGEPMQVWVDFSGEDMRFDVAVAPLRESKPKAPLVSSRFNLSSVISDTMYVGFSASTGAATGSHYILGWSFSLDGDASPLDLSALPRLPGSPKKKSVVLVISLPVAAVLLLVVAMVIVVLYLRRRKKFAEVLEDWEHEFGPHRFHYKDLYRATKGFDDENLLGAGGFGRVYRGVLSKSKIEIAVKKISHESKQGMREFISEIVSVGRLRHRNLVQLLGYCRRHGELLLVYDYMANGSLDRFLFDGNQAPLNWSQRFHIIKGVAAGLLYLHEGWEQVVIHRDIKAGNILLDSELNGKLGDFGLARLYDHGTNSQTTHIVGTLGYLAPELSRTGKATTHTDVYAFGAFLLEVACGKRPLQLDAPGLVDFVLECWKMSTILEARDPKMGDDYAAKEMELVLQLGLLCSHPDPMFRPSMKQVVQILEGDAPLTVSPDGMISCLSARQYDESFDHLVLSYPSSSAATHVLHSSPLFSATR >Ma09_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:198513:203514:-1 gene:Ma09_g00210 transcript:Ma09_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVIDSDSEIFSEISDGEDIDGSESTYGGHAQNILSSLDESIGKIDDRSLRPVRSGYGCCYGCRTGESFWWEDAIGELIGGRDSDDFQHYLSCIGNVIGYKDKCVEVRWPSGHVSKVLIPASFSWNSECRFSMIVSCSPDYYMLQSQIWYSEEPANIGQAWGRPVLPDTAHINLSQLTCAIGILVTQVIQDINSLSITVFTFNGSSLILVSICDGFILLQCRDLMRKLSLQMLSKRHLISRNYQNVENNSNEDCTTTLEILRRETREFKQGDEPIILPEEDKPIKFKQFNVVNDFSDHHFINGIGDGIMLSQVKRGWFKKVQQEWSFLKRDLPDAIYVRVYEERRELMRATILGSPGTPYHDGLFFFDIFFPPDYPQEPVVHYKSGGLRLNPNLYESGKNGFLQSCKSMLYILRKLPKHFEALVEEHFAQRSHHILNVCKAYLEGAQVGHAYKHGRVACKTCSTGFKIMLGKLFPELISGFNQNGTDCSQFLN >Ma06_p32390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33317469:33319213:-1 gene:Ma06_g32390 transcript:Ma06_t32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTNTAFLFSTLLFLFLLLLLKKNSSGRRASARLPPGPSNLPIVGSLHHLLGALPHQCFAALSKKFGPVMLLKLGEVPTLVVSSPDAAAEIMKTHDISFASRPTNLTLQSFTYGDRGIGFASYGSRWRELRKMSILELLSVKRVQSFRFIREEEVLNLVQSILLLSNTGSAVNLSRKFVLLSNDIAARSIIGSKCKYQKEFLRIITEALEAAGGFSLADLFPAWPIINVLSGMSSKLQRLHREIDAILNSIIQEHKERKSVEQPEEDLVDVMLRVQAEGGLSFPLADEYVKAIILDLLGGGSETSATILEWAMSELMRNPRVMRRVQEEVRETVGGKGKVTEEDIDGMNYLRLVIKETLRLHPPVPLLLPRECREASEVLGYQIPEKTRVFVNIWALGRDPQYWDNATEFEPERFEGRNSMVDFKGTNFQFLPFGAGRRMCPGMSFGLASLETTLASLIYHFDWELPTGDEQKYIELDMSETFLLSCKRRSELCLRAIPRIPL >Ma03_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14400672:14412008:1 gene:Ma03_g14590 transcript:Ma03_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLAYLLEPASLTLIATAIFVTFASASRALDHGKEMARNLDFSESSITLDRSQALMIPLASSCSLLLMFYLFSSVSQFITAFTAVASASALYFCLSPYMAYIRSQFGLMDPLVSRCCPKPLTRSQGILLLLCTGTVAAWLVTGNWILNNILGICICIAFVSHVRLPNIKICALLLVCLFLYDIFWVFFSERFFGANVMVSVATQKASNPVHKVATSLSLPGLHLITKKLELPVKLVFPRNLIGGQVITGSGHGEYMMLGLGDMAIPGMLLALVLCFDHRKTRDMATPIEKSRSSRDKYLWCSLSGYAIGLVSALSAGILTQSPQPALLYLVPSTLGPVIFLSWRRNELRELWDGACPVSSEKAHSMEV >Ma03_p14590.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14400672:14412008:1 gene:Ma03_g14590 transcript:Ma03_t14590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLAYLLEPASLTLIATAIFVTFASASRALDHGKEMARNLDFSESSITLDRSQALMIPLASSCSLLLMFYLFSSVSQFITAFTAVASASALYFCLSPYMAYIRSQFGLMDPLVSRCCPKPLTRSQGILLLLCTGTVAAWLVTGNWILNNILGICICIAFVSHVRLPNIKICALLLVCLFLYDIFWVFFSERFFGANVMVSVATQKASNPVHKVATSLSLPGLHLITKKLELPVKLVFPRNLIGGQVITGSGHGEYMMLGLGDMAIPGMLLALVLCFDHRKTRDMATPIEKSRSSRDKYLWCSLSGYAIGLVSALSAGILTQSPQPALLYLVPSTLGPVIFLSWRRNELRELWDGACPVSSEKAHSMEV >Ma03_p14590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14400672:14412008:1 gene:Ma03_g14590 transcript:Ma03_t14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLAYLLEPASLTLIATAIFVTFASASRALDHGKEMARNLDFSESSITLDRSQALMIPLASSCSLLLMFYLFSSVSQFITAFTAVASASALYFCLSPYMAYIRSQFGLMDPLVSRCCPKPLTRSQGILLLLCTGTVAAWLVTGNWILNNILGICICIAFVSHVRLPNIKICALLLVCLFLYDIFWVFFSERFFGANVMVSVATQKASNPVHKVATSLSLPGLHLITKKLELPVKLVFPRNLIGGQVITGSGHGEYMMLGLGDMAIPGMLLALVLCFDHRKTRDMATPIEKSRSSRDKYLWCSLSGYAIGLVSALSAGILTQSPQPALLYLVPSTLGPVIFLSWRRNELRELWDGACPVSSEKAHSMEV >Ma03_p14590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14400672:14412008:1 gene:Ma03_g14590 transcript:Ma03_t14590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLAYLLEPASLTLIATAIFVTFASASRALDHGKEMARNLDFSESSITLDRSQALMIPLASSCSLLLMFYLFSSVSQFITAFTAVASASALYFCLSPYMAYIRSQFGLMDPLVSRCCPKPLTRSQGILLLLCTGTVAAWLVTGNWILNNILGICICIAFVSHVRLPNIKICALLLVCLFLYDIFWVFFSERFFGANVMVSVATQKASNPVHKVATSLSLPGLHLITKKLELPVKLVFPRNLIGGQVITGSGHGEYMMLGLGDMAIPGMLLALVLCFDHRKTRDMATPIEKSRSSRDKYLWCSLSGYAIGLVSALSAGILTQSPQPALLYLVPSTLGPVIFLSWRRNELRELWDGACPVSSEKAHSMEV >Ma00_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8125016:8125381:1 gene:Ma00_g01440 transcript:Ma00_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFQCPCCSCFCFMKTKKNSKPKEKEAKGD >Ma11_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23938560:23939520:1 gene:Ma11_g18920 transcript:Ma11_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNYGGFREKVKGVAVRKTIETPEEVKGLPSTGDIDPGEIPSLQDLRLNPTPTILQDGKPVAIATLVGGETEALERSKKFAAECCAQPYKENTRNHG >Ma00_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17815532:17816232:1 gene:Ma00_g02460 transcript:Ma00_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAGRGLAPGPLRPAFDVLDADRDGKISQDDLKAFFSSGVAAALSDEDIGSMISAADADRDGLVEFEEFERVLGRPRGGDGVMAEAFRVMDRDGDGKVGFGDLKAYLKMAGLPASDDDIWTMIRMGGSDGISFDALLKILAVDLDNGL >Ma04_p36870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35060474:35061298:-1 gene:Ma04_g36870 transcript:Ma04_t36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMIFVYLYCPQRDGSSLSSTVMLQADLQQSLFHFLPEANELVNLHCLLCKRSTTTRSHTMPTWKRSVELKLQA >Ma02_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26854047:26855347:1 gene:Ma02_g21130 transcript:Ma02_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKTELYFVFMNFDPVYEHLRADRSKEGMKELDTYLSSKHDQLLAKFLPPSTYKKKSSLAIVDGFSVETTKEQAAILRSAKEVRVVEKNQELA >Ma08_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36426910:36427613:-1 gene:Ma08_g22960 transcript:Ma08_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAQGE >Ma06_p31620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32693995:32696147:-1 gene:Ma06_g31620 transcript:Ma06_t31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQVEARNGEEEKRAAGAKRNAGRLVRKRGCSRRTRRRVQATSTAIQRLFLACKTVFKGPGTVPEPADVQMLQLLLDKMRPEDVGLSTDVLFFKAKSSSKGARITYSTIYKCRNFSMCIFFLPPSAVIPLHDHPGMTVFSKLLLGSMHIRSYDWLDSTPSAKLRPAKLVVDSDFTAPCDTSILYPTTGGNIHSFTAITPCAVLDVLGPPYSREDDRDITYYRDYPDENGVKDHCLGWLEEIDISEDLKMDGVEYLGPQVIDG >Ma03_p32490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34258517:34259373:-1 gene:Ma03_g32490 transcript:Ma03_t32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAAVSGVGLAGAQPRVVEAEVAGARPPGAGVHGTAYRFPYGDLKENARFSKEARAKPMPLAHNIIPRLLPFLNRAMDEYGKISFTWFGPVPQVTITDPELVREVLSNKFGHFGKPKQHPLGRFFARGLAVYEGEKWVKHRRILNPAFHAEKLKRMLPAFSACCSDLMDRWENMAGSEACYELDV >Ma03_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9728232:9733568:1 gene:Ma03_g12620 transcript:Ma03_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLICRALESLNAPKNLSQHIGGPWLMSIPFGGKKIALSLWASLFISRCGKDTWGWGDSDSSLVELGVNNAASSSVPSSLGIVDASLPLTTIQLRLADGIRKVLRFKTRHTVGGIRAIFMHADVVDKWLMALGFSGAIMSGIATPMVLFITSNMMNNLGTGPSLSPRFIDQVNKNSLYLVYLSLGVFVTSFLEGFCWTRTGDRQAMRMRTRYLKAILRQDVEYFDLNATSMSEVITSVSSDSLIIQDVIGEKVPNFINNMALFASSYLVGFLMMWRLALVAFPTFLLLVIPGIMYARMFMDLARKIRDEYEKSGDVEFRSVEFAYPSRPENIILRAFDLKVPAGKTVALVGGSGSGKSTVIALMERFYDPLGGEIFLDGVDIRSVKLKWLRSQIGLVSQEPALFATSIKENLLFGKEEATMEEVVAAATASNAHNFISQLPQGYDTQVGESGVQMSGGQKQRIAIARAVLKSPRILLLDEATSALDSESERVVQEALDLASLGRTTIVVAHRLSTIRNADVIAVVQAGRVAELGSHYDLIRDEDGLYSSLVRFQQTAGAAGSDAPSSSSSALVAFPQPGSSESHRLSSCSRSSSTSSSRHQEVQEESEADAPPPVPSLRRLLLLNLPEWRQAALGTLGAMAFGAVQPLYAFLMGSMLSVYFMNDHNEIRSNTRKYSIVFLAMSIFSFLVNILQHYNFGAMGEHLTRRVRQRMLTQILTFEVGWFDRDENSTGAICSRLANDANVVRMLVGDRMSLIIQAVSAATIACTLGLAIAWKLALILIAIQPLMIASFYYRMVIIRSMSKKAIESQSESSKVAAE >Ma06_p35540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35335009:35335632:-1 gene:Ma06_g35540 transcript:Ma06_t35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRSLEEFWAFYVNQHSKPATRRWHFAGTLSAFLCLLLALLLGRWPFLLAAPLLGYGLGWYSHFFVESNAPAAFVHPLWSFLCDLKMFVLMLTGQMDREIKRLGKRPVLQAF >Ma02_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12174033:12174905:-1 gene:Ma02_g01850 transcript:Ma02_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPTLEITLVSAKGLKDVNLFFKMAVYAVVSLSDNRLARQRTPPDREGGRNPSWNSTLHLTIPADGDLACHFLHILLRTKRVLGDRDVGEVRVPLPELLSGAGDGPTSVQFVSYQVHRVTSGKPKGILNFSYKLSERVAVSASEASTYQPSTTAFPTAAGTVPYPPPPLPPPISNADEPVMAYPAGTSSAAYAAHGVAAPPYGYGYPPVGYGYGYPPAGHGYGYGAALPPAVRPQRDNKMGMGMGMGMGMGAGFVGGVLGGLMVEGMMSDAAVACDAGYDNGFDPAGSF >Ma10_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28899660:28902344:1 gene:Ma10_g17550 transcript:Ma10_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHTRRSRFRAVFNAFCSLVLFLFFYNGEDVLRNPFLGPRSNFSLGHTSKSDLYPRRRMAELSFTNSTNGSVPIAQMDESFEPLANPTVACAGIRQHEGFASHCDFLKTHRQCNSGGIIDYITFFYCDCRKFRVLGYAVLVLWLAALFYTLGNTAADYFCCSLEKLSILLKLPPTVAGVTLLPLGNGAPDVFASIAAFIGTGAGEVGINSVLGGAVFVTCIVVGTVALFVAEKNVQIDRKCFIRDISFFLLTLASLSLILFVGRVTLWGAVLFVSIYLVYAFTVAANEILRKHARKLKLDMVTPLLPVRGSIFSLGSEEDVSVYSSLLEDDLDNEVSHLHGSLPQWMWASHVAIYSNQGSRANSFDSSRPLWGWNEQEAEASVFSFSKLFLLMEMPLTIPRRLTIPIVEEERWSKPYAVASAFFAPILLAFVWNTQETSKISIAAYIVGSLFGVGFAAVAFLYTSTDHPPRRYLFPWVLGGFFMSIAWFYIVANELVALLVTLGVILGINPSILGLTVLAWGNSMGDLMSNVALAVNGGDGVQIAMSGCYAGPMFNTLAGLGMSMLLGAWSTAPKSYVLPQDSTLVYTMIFLVSGLVWALIILPRNDMCPNKMLGFGLITLYLIFLIIRVSNAIGLLPLAGLQ >Ma11_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9921265:9921390:1 gene:Ma11_g10490 transcript:Ma11_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGELSVSFYLFFFFLIPITVYHISSIIFQRFCLMLTIQV >Ma01_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3620417:3621328:-1 gene:Ma01_g05130 transcript:Ma01_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEFIFVAVWPRELSRLRRDLRCARGDADRALVDRAIALYECFFRARSTAARSDPVRAYAAPWATALERAAHWVAGWRPNALIHLLYSESSLRFESQLPDLLLGVHSGDLGDLSSAQLGRIDELQRRTIRGELEISAEMAAVQEGLAEPFPRSDAELEGKVGELTRVMERADELRLRTLREVVDILEPVQAVDLLVAAADLEIGLREFGLSQDGSRVGPQGRAS >Ma00_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40837528:40845181:-1 gene:Ma00_g04740 transcript:Ma00_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKPANGEKDHWVPDEAVSKCTSCGSDFGAFNRRHHCRNCGDIFCDKCTKGRIALTAKENAQQVRVCDRCMAEVSQRLSNAKEAVSKPAGLQSHEDLARRLQEELNKNRSNSDESWKPTREVACPICTVHLQD >Ma04_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25555766:25556824:1 gene:Ma04_g23370 transcript:Ma04_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTARRSDGFYMTEEEKHEEASQHPSFIPLSSSSSSPSTSAAFGWHVGPSDTAGNGAAGDSGNESNFFTEKEHMFDKVVTPSDVGKLNRLVIPKQHAERHFPLDPTANEKGMLLSFDDRTGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDVVSFGRGVGESGRDHLYIDWKRRQENHDLPRAPRAPLTGVSMARPLGPWGVSRFFIPPAAIYDHHRPGFGYSPMSSGTSSGGQFLFVGSTSAGPPQFGVQPGSRSGQPMVLNSLPLVRSQAQASAKRVRLFGVNLDCPESKGNAQLPSGLSSASAPQLQSSSTLQFLPLPHGRTESSVAPSSTITDHRLSLDLDL >Ma02_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22395649:22406995:-1 gene:Ma02_g14290 transcript:Ma02_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNAVLAPNSHGNLDEQIAQLMQCKPLSEPEVRVLCEKAKEVLMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSIRSFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHDQKVVTIFSAPNYCYRCGNMASICEVDDCKDHTFIQFEPAPRRGEPDVSRRTPDYFL >Ma01_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5383148:5383939:-1 gene:Ma01_g07440 transcript:Ma01_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIQAAKRRAMRRYRRLQRIGTLLRCLEASAALFLLSWSSARIPAGFLRHLASVLLSSRFVFLLANAIVLLLLADSGRKFPASPNSSSGDFYEEFLETRGSRLSCPLPPPPVAVVFEDKAVCVEMRADQRSQSDRVDPRRGAPPELRGDKTQVTEEEIPADAEEFRRAVEAFIAKQTKFHLQERMAIVSAPAPLNCSVGSPEPTILQQ >Ma09_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33870107:33871216:-1 gene:Ma09_g21900 transcript:Ma09_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMQCQAKGTTSPRLKLFGFHVSDNDNPGDGRAVVGSPTSAASASSGASGAGGGDGRKYECQYCCREFANSQALGGHQNAHKKERQQMKRAQLHHHHHATSGHRSPHGTFYHQPIASAFAPSPHLFSPPRSPPPTTPGPQAVGLPGNAAASWAYYPRPAPPLHLPRGCIVPRSLPTCYSYGGDGSEGTRFYDDGRLIGGPGFSGVAPAEEAAEDAYGLDLHLSLAPAGS >Ma09_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5921697:5921945:-1 gene:Ma09_g08910 transcript:Ma09_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGYLVASKEGCWRSRCVDADAPERRLFFDCSPASGKESLHDSARTPFRCRQR >Ma04_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2379433:2381513:1 gene:Ma04_g02960 transcript:Ma04_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGLKGIWLPLLLVFLVMYSNFHDCEGRRGKHRRAKQPPSSSLARKKAKGNNGGDRRHGSGGGSGGQPRPTPETMAAMFDVLDFGAKGDGVTDDTKAFEAAWAAACKVEASTVIVPAEFEFLVRPISFSGPYCEPNIVFQLDGTIVAPTSAGAWGAGSLQWLEFTKLQEITIRGSGVIDGRGSVWWTNSDSDIDPINAELGSKMPQIKPTALRFYGSYNVTVTGIRIQNSPRCHLKFDNCEAVEVFNITVSSPGDSPNTDGIHLQNSRNVLIHRVDMGCGDDCVSIQTGCSNIYIHDVDCGPGHGISIGGLGRDNTKACVSNITVRDINLRNTMTGVRIKTWQGGSGSVQAIQFSNIKVWEVQIPMVIDQFYCDRSSCENQSSAVALSSIAYESIRGTFTVKPVHLACSDSSPCSGISLSEVELEPLQERYHMTDPFCWQAFGELYAPTIPPITCLQIGKPASNRISTDHDLC >Ma08_p31470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42355794:42356077:1 gene:Ma08_g31470 transcript:Ma08_t31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLCSAVAELLKTSCQATRYCSGCFFVMVRRAMSFIECLNVSHAYHFSTMVRYIL >Ma04_p27360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28551355:28556609:-1 gene:Ma04_g27360 transcript:Ma04_t27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVPQFKPPSSDSLARPSLPSLHPRAREFVCFRSRCVLSPTTAVIDFEQIPKLEAHSTSSSTCAIRPLTYSGSVGPPIKTSIEATLASETLLQCDEAVIAAAAAEAVALARAALELAKDAAQMIGKNLSAEVEKLEETPSEADIKWLERVSLTETEWAGFTNHPAATETIPFEYNVSLDQYASTDISSSTFDEPEFQGMQHIENIAVRSGRQIERRARRARAADKATAGVISLKSGSSGKKKRSAFQEIDYSDPLRYLRGTTSTSKLLTAAEEIELSEGIQSLLKLERLQQDLAERNGCQPTFAQWAAAAGIDQKTLRKRINHGTYCKDKMIKSNIRLVISIAKNYQGAGINLQDLVQEGCRGLVKGAEKFDASKGFKFSTYAHWWIKQAVRKSLSEQSRTIRLPFHMVEATYRVREAKKKLYSENGRHPDNEEVAEAAGLSMKRLAAVMLTPKAPRSLDQKIGINQSLKASEVIADPAAKTSEDILMKQFMREDLNKVLDTLNLREKQVVRWRFGLDDGRMKTLQEIGELMGVSRERIRQIESCAFRKLKSKKRTKNLRQYIIS >Ma06_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8808931:8813883:1 gene:Ma06_g12750 transcript:Ma06_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEEEGNRKRESYVESYRQLGRSLLDLQRAADRVFDTISRRAGEERDKLTEISRRIQLAKAQIDVLSRSEQALTIKSPSRYPSSSIQEEDFRPLFPYHGGDADEGSSVANLLVNGGLNREFGADGTLELFQFFSEQNIGYPLKETEAKGYPRQDMFLEKILEAPKDSDDSFLAFDIFTPVSKLDTKKDDLPPVPPSLLKNFTSPSDSAGVTLGSIDHPAKNI >Ma03_p33480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34817740:34819725:-1 gene:Ma03_g33480 transcript:Ma03_t33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGKWRINSLIGLGKQDKLDQEKAEEGCSESGSRRWKFWKKSSQPGASESHRSASEVVEEEEVAALYASTSVCSPHNDFKVVRQAFAAIRIQAAFRGCLARRALSALKGIVRLQALVRGRRVRKQAAAAATLECMQARAQAAQRRIDNCRSKVAVLKEAEDGWCDSPGTLEEIKAKLQMRRDGAMKRERAIAYSLCKKQWRPNDLNKCSKPPVLCFDDHETFHWRRSRHWMATTAKPWENRFMEQIQIEPFEIENYGGSDCTNHVDPRVVKAKRNDVATRPSANHASVLREKGRRVQIDSSLEFQCDESSSSSSSSVCTPTPASTFLLLTPQRTEQSNIKAKQKACNNGRTTIERRMSSGHVQYFGSSDSNKNAATDSSISTSWRSKSWKRSIDEENYYSNEK >Ma10_p28620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35447865:35448542:1 gene:Ma10_g28620 transcript:Ma10_t28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRQSESANRDPQNSSFGESSGNSEPCNFECNICFELARDPVVTRCGHLFCWPCLYRWLHGHSHSSECPVCKAIVEEKMLIPLYGRGDNSIDPRSKSVPDMNIPQRPAGQRPASAPQPDPNQFQYTNPWFMGGAPMASTRFGSLTFSAAIAGLFPLLSFHVHGFPDSTAYGPVAATPYYNGFHGGHVHGFPRQAHHGQQADVYLKALLFLVGALVIVSLVWF >Ma09_p23510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35336888:35343352:-1 gene:Ma09_g23510 transcript:Ma09_t23510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDILWEFDPKKLAVGDLLTGGDLFATVFENTLMQHHVAIPPGSMGKISYIAPAGQYNLKDTVLELEFQGVKKQITMLQTWPVRTPRPVAAKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGAPDRSGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERGAGPDGQKITYSVIKHRLGQLFYRLVSQKFEDPAEGEEALIAKFQKLYDDLTVEFRNLEDEAR >Ma09_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35336888:35344442:-1 gene:Ma09_g23510 transcript:Ma09_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDRLTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDILWEFDPKKLAVGDLLTGGDLFATVFENTLMQHHVAIPPGSMGKISYIAPAGQYNLKDTVLELEFQGVKKQITMLQTWPVRTPRPVAAKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGAPDRSGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERGAGPDGQKITYSVIKHRLGQLFYRLVSQKFEDPAEGEEALIAKFQKLYDDLTVEFRNLEDEAR >Ma07_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10422757:10424123:-1 gene:Ma07_g13930 transcript:Ma07_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVVLLMSSMAMACNVFNGSWLYDESYPLYDSWSCPFIHGDFDCLRFGRPDTSYLKFRWQPAGPCHLPRFDGVDLMKRLEGKKIMFVGDSLSVNQYDSLLCLLHAASPNSTFIRSQHDFLWGVVFEEYNVTVMYYMSHYLVDVVVEKIGRVLNLDTIRSGADWLIADVLIFNTWHWWPATGVHQQWDYVRDGNRTLKDMDRTAAFSKAVSTWANWVESNVNPSTTRVFFQGISPVHIGGEEWGENGSTCEGQTEPSNPSAYYAGPVPQEAIVKKLLSNMTKPVYLFDISYLSQLRKDAHPSKYNGVNSTNDCSHWCIAGLPDTWNQLLYAALIHRI >Ma07_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28377614:28378349:1 gene:Ma07_g20490 transcript:Ma07_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLCTRWLIQSKLLARLLEGRLQGSSLPLRLHISLPRTTGGVKKPHRFRPGTVALREIRKYQKRTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma02_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26320016:26320921:1 gene:Ma02_g20300 transcript:Ma02_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKCRICFRRFPSGRALGGHMRSHVSSATPPPKLHGHHCPAGHAVAEGDEEGKLTEQASCGLRVKGRKRSRLEDPESSSAFAAVGSTYAVVEDGESETDANHRCRSKRARHAYSRPEPASSASDVTTEEDVALCLMMLSRDSWISGDVEEGNVRRITSRSRPPRRRRSRFQCGKCKKVFRSYQALGGHRASRHNHKPKSCMPAVGQRTDGDDDFEADADAKVFECPFCLRVFASGQALGGHKRSHLTSSAATTMTTTSDHAKFNRALGVIDLNLPAPADDDVALSAASDTEFIVNHTAS >Ma03_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18553595:18553957:1 gene:Ma03_g16320 transcript:Ma03_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISPEVAQHWLNIDPGARPVRQRPRKFAPDRLKAIVLVKKSNGSWKMCLLTFMDAFSGYNQI >Ma11_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4877733:4878508:1 gene:Ma11_g06110 transcript:Ma11_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTAAIHFAAQKGHLEIIRILLSSGVSVRAANRKGLTPLHYAVQGSHPELFKYLIRKGASLTAKTKAGQTPMDLASTEEVRALLVECKQSLTKDDKSTTIMEVGDSVSKEDIEAKNGGSIPEESANVNEEGTDTKEKRRGEATADEDSSKPKKAKVSLDHLLAENDVLDEDEE >Ma05_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6376470:6377356:1 gene:Ma05_g08640 transcript:Ma05_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAHRQGDSDEIEVFEATRYFSGGIGTCEGLGLQGSTREERVSWGAGRRSLDTLRAILPGRSRKADDQCKEKKKNKQRSSPGGKLASFLISLFKQTISRKKSQFKDRRFEEMHTGRRRSSVSCSQTVRSNELDYSNSKPSGHQIKPAHFSSHREAWYERAKSMDGYPENKWVIDGVANGQDKEELSMPTEDDGGWSESSSDLFELNTFHLASDPFTDLPVYGSPNSDTLERAASIAGAAS >Ma04_p29730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30572491:30574201:1 gene:Ma04_g29730 transcript:Ma04_t29730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMAVAEEMGLEMKLCAMRTVGGFLKEASAIECGDGGRAARLEESIRSLEEEKRKIEAFKRELPLCMHLLGEVIEGLNKEIERFRCERFGRAFEEFVPVKSKVEEGGGIKEETEYKDKRNWMSSAKLWNDNCSQNNNDSKKHDKIKFKEKDGDSHRRQGKENFFSERKSRNGGGAFVPFRGLPPFADSCKREEEKPAAALPDLSLQSPAIRNHHVSGCASRAVGNAPEMTPATVGAHVSLQSLQQQPPRKARRCWSQELHRRFMRAIQQLGGVQVATPKQIRDRMKVDGLTNDEVKSHLQVYRLLVDLSFHGLLNVLALLFQKYRLHTRRMSNASAIPQDQHTCSSQQSVSQSNSPQSPLQLAGSALALSVTAGESLEDDGKSESYSWK >Ma04_p29730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30572491:30574366:1 gene:Ma04_g29730 transcript:Ma04_t29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMAVAEEMGLEMKLCAMRTVGGFLKEASAIECGDGGRAARLEESIRSLEEEKRKIEAFKRELPLCMHLLGEVIEGLNKEIERFRCERFGRAFEEFVPVKSKVEEGGGIKEETEYKDKRNWMSSAKLWNDNCSQNNNDSKKHDKIKFKEKDGDSHRRQGKENFFSERKSRNGGGAFVPFRGLPPFADSCKREEEKPAAALPDLSLQSPAIRNHHVSGCASRAVGNAPEMTPATVGAHVSLQSLQQQPPRKARRCWSQELHRRFMRAIQQLGGVQVATPKQIRDRMKVDGLTNDEVKSHLQKYRLHTRRMSNASAIPQDQHTCSSQQSVSQSNSPQSPLQLAGSALALSVTAGESLEDDGKSESYSWK >Ma01_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12553035:12554256:1 gene:Ma01_g17170 transcript:Ma01_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQEMRSITARGSLESLSFSRRHFHWIVGKLGGGEGKRFGEEEEEEEGILAFSSVSSARYAYGDEASVKLETAQPQAERKKVLSASAAGKAVSRLRSVLSFAIGSRHRQLGLGHRVTGTLYGRRGGHMHFAIQVDPRACPAMLIELATSTRALVREMASGLVRIALECERRAGTGNRRLLEEPLWRAYCNGKKHGHAARRECGPADWRVLRAVEPVTMGAGVLPADGGADGEVMYMRARFERVVGSQDSEAFYMMNPDSSGGPELTIYLLRV >Ma01_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2150456:2150834:-1 gene:Ma01_g03320 transcript:Ma01_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKNHYVCESLTTESVESWNRIACLHLTLRTAQNGRLTFYPC >Ma07_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9806261:9809293:-1 gene:Ma07_g13070 transcript:Ma07_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVTWFLSLVSSGLCMFMVLKLLDYLWWRPKRLEHHFASQGIRGPPYRFFVGCVKEMVGLMLEASSKPMVPQTSHNILPRVLSFYHHWKKIYGSTFLLWFGPTARITIVDPDLIREIFSTRAEFFDRYESHPLVRQLEGEGLVNLRGKKWAQHRKILSPAFHMENIKLLIPLIGKTVQGMVEKLPASGEVEIDVSEWFQEVTEYAITRTALGSSYDDGKAVFQLQTKQMVFAAEAFRKVFIPGYRFLPTKKNTMSWKLDKEIRRGLIALIRRRKESSADEKPGGRCEKDLLRLMINASASSPGGITAGDMVEECKTFFFAGKQTTSNLLTWATVLLAMHPEWQERARAEVLKVCGSRDIPTRDHLAELKTLGLILNETLRLYPPAVATIRRAKADVELGGYRIPRGTDLLIPIIAIHHDERLWGPDVARFDPGRFAEGVSRAALRPTAFIPFGLGARTCVGQNLALLVARLTLAIILQRSSFRLSPAYVHAPTVLMLLYPQYGAPILFRPLPLQPSLQSCHNIS >Ma03_p10760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8106263:8111154:-1 gene:Ma03_g10760 transcript:Ma03_t10760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVKHPTVVQKFAGQFHLRTSFSQDLHARNRSFYGPSLYGNSFTTGNYINGGFQKSTMQACNASCGIPTMSSVLPVFANAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGISDCFGRTIRDEGVASLWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYRKTMQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >Ma03_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8106263:8110989:-1 gene:Ma03_g10760 transcript:Ma03_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVKHPTVVQKFAGQFHLRTSFSQDLHARNRSFYGPSLYGNSFTTGNYINGGFQKSTMQACNASCGIPTMSSVLPVFANAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGISDCFGRTIRDEGVASLWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYRKTMQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >Ma03_p10760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8106263:8111146:-1 gene:Ma03_g10760 transcript:Ma03_t10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVKHPTVVQKFAGQFHLRTSFSQDLHARNRSFYGPSLYGNSFTTGNYINGGFQKSTMQACNASCGIPTMSSVLPVFANAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGISDCFGRTIRDEGVASLWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYRKTMQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >Ma09_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31320722:31322140:1 gene:Ma09_g20930 transcript:Ma09_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDNIQQLTLEEEEEAGARALQLVSSCVLPFTLKAAIELRLLDIIVEAGPDASLSPVEIAARMPTKNPQAATTVDRILRLLAANRVVSCSTVHTGTDGHPLRRYGAAPICKYLTKNEDGVSLADMALVHQDKVFVDAWYYLKDSVLEGVVPLNSAYGMPMFDYIGTDPRLNKVFNAGMRGHSSVIINNLLRVYGGFDDVEMLVDVGGNDGATLQMITSRHTHIKGINYDLPHVISGARPLTGVKHVHGNMFETVPSGDAVFLKVRTSHPFWLNIR >Ma02_p07960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18414530:18417921:-1 gene:Ma02_g07960 transcript:Ma02_t07960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSTITMTPSGLFLLFLFATTASPAPIALPLSTSSRWVVDGEGRRVKLACVNWAAHLEPAVAEGLSKQPLDAISKSVAAMGFNCVRLTWPLYLLTDSSFGSVTVRQSLERLGLAESAAGVRVNNPGLLDLTLVQAFQAVVSNLASNSLMVILDNQISKPGWCCSKYDDNGFFGDKYFDPDEWLRGLEMMATMFNSSTSVVGMSLRNELRGPKQNISLWYRYMQRGAEIVHSANPDILVILSGLDYDKDLSFLSEKQVNLSFTKKLVFEYHWYGFSDGGDWGSQNPNEVCAMVIGNITRKGGFLLEQGWPLFLSEFGIDQRGVNEADNHFLSCFLSFAAEKDIDWALWALQGSYYIREGQLGLDETYGALSWDWCKARNSSFIQRLSAIQSPFQGPGLSKSSPYNMIFHPSTGLCIVTNSQLKQLQLGQCAESTAWRYTRQKKLMLKGTKYCLQPEGVSKPAKLGFGCKVSSAKWHLISSSKMHISSNLTNNGNNVCLDIDDNGTIMTNQCKCLSLDGTCNPGSQWFKIIRSSREVNDQIFKRCRSQFAQVEQLYPDDWRKHRNSLA >Ma02_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18413905:18417921:-1 gene:Ma02_g07960 transcript:Ma02_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRSTITMTPSGLFLLFLFATTASPAPIALPLSTSSRWVVDGEGRRVKLACVNWAAHLEPAVAEGLSKQPLDAISKSVAAMGFNCVRLTWPLYLLTDSSFGSVTVRQSLERLGLAESAAGVRVNNPGLLDLTLVQAFQAVVSNLASNSLMVILDNQISKPGWCCSKYDDNGFFGDKYFDPDEWLRGLEMMATMFNSSTSVVGMSLRNELRGPKQNISLWYRYMQRGAEIVHSANPDILVILSGLDYDKDLSFLSEKQVNLSFTKKLVFEYHWYGFSDGGDWGSQNPNEVCAMVIGNITRKGGFLLEQGWPLFLSEFGIDQRGVNEADNHFLSCFLSFAAEKDIDWALWALQGSYYIREGQLGLDETYGALSWDWCKARNSSFIQRLSAIQSPFQGPGLSKSSPYNMIFHPSTGLCIVTNSQLKQLQLGQCAESTAWRYTRQKKLMLKGTKYCLQPEGVSKPAKLGFGCKVSSAKWHLISSSKMHISSNLTNNGNNVCLDIDDNGTIMTNQCKCLSLDGTCNPGSQWFKIIRSSREVNDQIFKRCRSQFAQVQTF >Ma07_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9622365:9632882:1 gene:Ma07_g12800 transcript:Ma07_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAGRSVPLGVLLMKEQTSEKIEDPDILYGQANQSKKGEDFTLLKAECQRVPGDGVTTFSVFALFDGHNGSAAAIYSKENLLNNILNAIPSNLNRDEWLAALPRALVAGFIKTDKDFQTKARSSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSIYCLSADHRLDVNEEEVERITASGGEVGRLNVVGGAEIGPLRVWPGGLCLSRSIGDMDVGEFIVPVPYVKQIKVSSTGGRLIISSDGVWDALTFEMVCNGCRGLSADAAASQIVKDAVHGKGLRDDTTCIVVDMLPPEKLTPTVPPPKKQGMGVFKNMFRRKSSESSLHSDRDCLPESDLVEEIFEEGSASLAQRLEADYPIRNMFKLFVCAVCQVEMKPGEGISVHADDSSEPGKLRPWDGPFLCQSCQEKKEAMEGKKTSTDSISRKSSGSE >Ma04_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3858665:3862968:-1 gene:Ma04_g05110 transcript:Ma04_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHSMLSLSQPKPCAAAAAAADAWRPPVPTRRLAFTVYSPSPYPFSRAEPASAVATLRRSKGKARAQMHESGSALAADALTSVKHVLLPITDRNPYLSEGTRQAAATTTALAKKYGADITVVVIDDKPKESIPEHDAQLSSIRWHLSEGGFKEFGLMERLGEGKMPTAIIGEIADDLNLDLVVLSMEAIHSKYVDGNLLAEFIPCPVLLLPL >Ma10_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29600838:29601574:1 gene:Ma10_g18800 transcript:Ma10_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSSSGRITDQQIDDLMSKLQSLLHEARLGSHDRASAAKVLQDTCSYIRSLHREVDDLSERLSELLDAAGVSSDQAAIIRSLFM >Ma10_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32415890:32417113:-1 gene:Ma10_g23530 transcript:Ma10_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVELHHAAPKRRWDEQGTLHPGAAPFHQRCGDVGAAAAPAQPPRRKLLSLSAMDDEAAASVIPPVTVVLEGRSICHRIYLDQHTSYESLAKALRRMFVDFDGGDGHGDGGDQELQLANAVPGYMVAYEDMEDDLLLVGDLNWKDFVRVAKRIRIIPAKAGRRKHCGGQ >Ma08_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35171225:35172799:-1 gene:Ma08_g21220 transcript:Ma08_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGDWDLGAVVRSCRSSGTTEAAAAARGNFFLQPPSAVPLDVEQQEAAAEGGEGGAFVGWPDLFRSRDGLQELEELYKPFFPKVQQQRPRGSPSCPSPAAVAGPHQPPPSRQSQRPPSQIPRSKRRKNQQKKVVCHVPTDGISSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERSPADPAMFIITYTAEHNHPVPTHRNTLAGSTRHKFSSPASVSASASASAREDGGNPTSSPPSSSTAAGLSPTTPLTASMEDRNDGEDEELDEDEGMLLVEDMEVMGEQDLLFMGGEEEAGPAAPASSAEVAEFFGGNSGPGDRSFSPAVSA >Ma06_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:271532:291837:1 gene:Ma06_g00360 transcript:Ma06_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA200 [Source:Projected from Arabidopsis thaliana (AT3G13330) UniProtKB/TrEMBL;Acc:A0A178VC52] MHLYNAWLPPVVAEETRREEESFAAVVRSVKDLWRRDDPDSVYSTLKWISVINIFVKAKSEVSPADVRELVEFGLDLFHSSQNKLHVQVRWGSVLVKLLMKHGKKLGLTIEWRPFYDCLTRTHFKRNTGPEGWRLRQRHFETVTSLTRSCRKFFPAGAAAEIWLEFRALMENPWHNSAFEGSGFVQLFLPVNSENQNYFTSCWIKECLDLWETLPNCQFWDIQWTSFLARCIKSCKAIDWEQFLPALFSRYLNMFEVPVSSGSGSYPFALEVPRNMKFLFSSKSGTPAKAIAKSIVYLLKPGSSAQEYFERLANLLEQYYHPSNGGRWTYSLERFLRYLVINFQKRLQHEQLNPDQNKEADIFLGKTERALFVKVLLKLIDRGQYSKNESLAETVAVATSVLSYVEPSLVLPFVASRFQLALETLTATHQLKSAVTSVAFAGRAIFLASTSAPQADDHNNSDALVDLIIISLSNALLGMDANDPPKTLATMQLIGSIFSNLAVVGDNDDGSSFLQSINFSEWLDEFFCRLFSLLQHLEQTSITNEVPQASISSGTFLVEDSPYYFCMLEILLGKLSKPLFDQSLRKISKFVNSNILPGATTEVGLLCCACVLSNPEEAAVHLIKPILTTILSSFEGTPISGFGGGRRFDASVSTKMQAALSPALETALEYHLKVLAIAISYGGSELLQFRDKLKEAIGSAFQAPSWKVNGAGNHVLRSLLGSLILYYPIDQFKSFCSEPGSSLMEEWLCSKINENEMNEKASLFPRWHVPTQNELSFASELLGVHFQSALDVLLSICQTEMHTEAGDEKEHLKVTLLRIYSSLQGVMSCLPDMRPSYKNKGTKDTDFNYSVIAGAVGSCIGSAEMRERAAQQIHVACKYLLKERSDDSILLILIIRVMDALGNFGSLEYEEWSSHIQAWKLESAAIIEPPCNFIISSHAKGKRRPRWALVDKAYMHNTWRSSQSSYHRFRTDSNISPPEHLVLLMEDLLDLSLHNYETVRSLAGRSLSKMLKRWPSLISKCVLTMSSNLQDPKAPEHVVLGSCAVLATQTILRHLTMDAVSFSAFIIGLLASSHHESLKVQKAITELFVKYNIHFSGISRSFFKSSGTNPEGPEFTELISQISSLGFDNTSLHWRYNLMANRVLLLLTLSSRSDSHLSSKILGQTAGHFLRNLKSQLPQSRILAISALNTLLQGTPHKISSQEQQQSEYPKENNNPSTEGILNEILMEDGFFSETLNSLSHVHIIADETSVTRGNQGESSFQSLADKAITFFYFDFLASWPRTPSWISFYGGDTFYSNFARIFKRLIQECGSPVLKALQNTLDEFSSAKERSKQCVAAEVMAGILHSDVGVLSEAWDEWMMNQLQKIMVASSVETIPDWAACIRYAVTGKGKYGTRIPLLRQRILDCLIVPLPQTMATNIVSKRYAFLSVALAEISPPRMPIAEVHYHHQLLEELLDNMSHSSAQVREAIGVTLSVLCSNLRLSAASVHSSPEKVEEEGGLMVGLLQKKDWAKLLTEGVSELAMNILSRNHSDSMEITGELTHENVSVNKEVKADIRRMETMFHFLISSLRSGRSSYLLDIIVGLLHPVISLQETSNKDLSTLAKTAFELLKWRALPRPFMESAVSVILSSVNDPNWRTRSACLAYLRVFMYRHTFTLSGVEKQEIWKCIEKLLVDNQVEVREHAAGVLAGLMKGGDEDLSRAFRDRSCTEAKLMLKKRKQRNSRSNQSIASIHGAVLALTASVLSVPYDMPSWLPDHVTLLAQFIGEPSPIKSTVTKAVAEFRRTHADTWNIHKDAFTEDQLEVLADTSSSSSYFA >Ma06_p00360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:271532:291837:1 gene:Ma06_g00360 transcript:Ma06_t00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA200 [Source:Projected from Arabidopsis thaliana (AT3G13330) UniProtKB/TrEMBL;Acc:A0A178VC52] MHLYNAWLPPVVAEETRREEESFAAVVRSVKDLWRRDDPDSVYSTLKWISVINIFVKAKSEVSPADVRELVEFGLDLFHSSQNKLHVQVRWGSVLVKLLMKHGKKLGLTIEWRPFYDCLTRTHFKRNTGPEGWRLRQRHFETVTSLTRSCRKFFPAGAAAEIWLEFRALMENPWHNSAFEGSGFVQLFLPVNSENQNYFTSCWIKECLDLWETLPNCQFWDIQWTSFLARCIKSCKAIDWEQFLPALFSRYLNMFEVPVSSGSGSYPFALEVPRNMKFLFSSKSGTPAKAIAKSIVYLLKPGSSAQEYFERLANLLEQYYHPSNGGRWTYSLERFLRYLVINFQKRLQHEQLNPDQNKEADIFLGKTERALFVKVLLKLIDRGQYSKNESLAETVAVATSVLSYVEPSLVLPFVASRFQLALETLTATHQLKSAVTSVAFAGRAIFLASTSAPQADDHNNSDALVDLIIISLSNALLGMDANDPPKTLATMQLIGSIFSNLAVVGDNDDGSSFLQSINFSEWLDEFFCRLFSLLQHLEQTSITNEVPQASISSGTFLVEDSPYYFCMLEILLGKLSKPLFDQSLRKISKFVNSNILPGATTEVGLLCCACVLSNPEEAAVHLIKPILTTILSSFEGTPISGFGGGRRFDASVSTKAALSPALETALEYHLKVLAIAISYGGSELLQFRDKLKEAIGSAFQAPSWKVNGAGNHVLRSLLGSLILYYPIDQFKSFCSEPGSSLMEEWLCSKINENEMNEKASLFPRWHVPTQNELSFASELLGVHFQSALDVLLSICQTEMHTEAGDEKEHLKVTLLRIYSSLQGVMSCLPDMRPSYKNKGTKDTDFNYSVIAGAVGSCIGSAEMRERAAQQIHVACKYLLKERSDDSILLILIIRVMDALGNFGSLEYEEWSSHIQAWKLESAAIIEPPCNFIISSHAKGKRRPRWALVDKAYMHNTWRSSQSSYHRFRTDSNISPPEHLVLLMEDLLDLSLHNYETVRSLAGRSLSKMLKRWPSLISKCVLTMSSNLQDPKAPEHVVLGSCAVLATQTILRHLTMDAVSFSAFIIGLLASSHHESLKVQKAITELFVKYNIHFSGISRSFFKSSGTNPEGPEFTELISQISSLGFDNTSLHWRYNLMANRVLLLLTLSSRSDSHLSSKILGQTAGHFLRNLKSQLPQSRILAISALNTLLQGTPHKISSQEQQQSEYPKENNNPSTEGILNEILMEDGFFSETLNSLSHVHIIADETSVTRGNQGESSFQSLADKAITFFYFDFLASWPRTPSWISFYGGDTFYSNFARIFKRLIQECGSPVLKALQNTLDEFSSAKERSKQCVAAEVMAGILHSDVGVLSEAWDEWMMNQLQKIMVASSVETIPDWAACIRYAVTGKGKYGTRIPLLRQRILDCLIVPLPQTMATNIVSKRYAFLSVALAEISPPRMPIAEVHYHHQLLEELLDNMSHSSAQVREAIGVTLSVLCSNLRLSAASVHSSPEKVEEEGGLMVGLLQKKDWAKLLTEGVSELAMNILSRNHSDSMEITGELTHENVSVNKEVKADIRRMETMFHFLISSLRSGRSSYLLDIIVGLLHPVISLQETSNKDLSTLAKTAFELLKWRALPRPFMESAVSVILSSVNDPNWRTRSACLAYLRVFMYRHTFTLSGVEKQEIWKCIEKLLVDNQVEVREHAAGVLAGLMKGGDEDLSRAFRDRSCTEAKLMLKKRKQRNSRSNQSIASIHGAVLALTASVLSVPYDMPSWLPDHVTLLAQFIGEPSPIKSTVTKAVAEFRRTHADTWNIHKDAFTEDQLEVLADTSSSSSYFA >Ma10_p29890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36315908:36325026:-1 gene:Ma10_g29890 transcript:Ma10_t29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G14790) UniProtKB/TrEMBL;Acc:A0A178WKG4] MTSKTIQISRFPSYATAKQVTVFLEKYTGEGSVIALKLRPSKNSTVYAIVQFPRREDVQDLFVMIQQGKLSYDGHYLTVRNMERDIIPKPRKPLFVLENVTLHFGCPLSQKRLSVLRSMEDVKVNFGFEMRKIYFLLSHGIHSYKLELAYESIWEIRLHRSSVQNSQFLVVQVVAAPKIYETPSRNTGSLYEDPRLNYFRDLPDDQWVRTTDFTSSRSIGQSCALCLQLPFECSLPNIREYFVHFIEVDGHYDLERGYSYSRNLDLVPIVEPHTGIQVSYKILFKINHMVQNGTLIGPTLDRKFYTLVCPCPSIPTEYIERALEMMSVLDNSCFAPAEWLLEQYKKFKKSNRHINSPGISLDSDSSLVYVHRVQVTPSKVYFYGPEINVSNRVLRQYRQEIDNFLRISFVDEDREKMHSTDISSRSASIGAEKHTALYWRILSTLKNGITVADKKFEFLAFSSSQLRENSAWMFASNSKLTADNIREWMGDFSKIKNVAKYAARLGQSFSSSTETLSVERNEINFIPDVEHAGYVFSDGIGKISSEFAKKVATKCGLKSSVPSAFQIRYAGYKGVVAVDPTSSKKLSLRKSMSKFESTNTKLDVLAYSKYQPCFLNRQLITLLSTLGVKDNVFERKLEEAVNDLDKILTDAEKAQEAIQLMSPGETTNVLRELLLCGYKPDAEPFLSMLLQTFRASRLLELRTKARIFVPKGRAMMGCLDETRSLEYGQVFVQVSCFGSSIFHGNGLLMNGVSELDHCTVVLKGKVIVAKNPCLHPGDVRILSAVDVPDLHHMIDCVVFPQKGKRPHPNECSGSDLDGDIYFVSWDPELIPQRVVLPMEYTPAPTKTLDHNVTIEEVMEYFTNYIVNDSLGIIANAHTVFADRAREKAESMECIELAKLFSIAVDFPKTGVPAEIPPRLYVKEYPDFMEKLDRVSYVSKGVIGKLYRAIKDHTPGFGHVKAFTKLVALRSYDPDMEVDGFKEYTSEAFFFKGEYDFKLGNLMDHYGIKTEAEILSGHIMKMSKTFTKNKDGEAIGRAVRSLRKEARSWFNEKSSDHDHDEDEEYAKASAWYHVTYHPDYWGCYNENLNRPHFLSFPWCVYDKLTLIKQKKMSQRKFAAELLLVRQTIERALRVS >Ma10_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26985415:26987227:1 gene:Ma10_g14610 transcript:Ma10_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGNGTAATCLRRPHVAMMPTPGIGHLMPLAELAKLLVDRYGFSITIITLAVSASKAQAALLSSLPPDIFSLALAPVPFHDLPPDAHIVTTMSIAIVRSLPDLRDALSRLRSSTNLVAFVTDLFGTDAFPVARELGVPPYIFFPSNLLTLSLFLHLPELDATATCEYRDLPVPLRLPGCVPIPGRDVLHPLQDRSNDAYRWTVHHGRRYREAEGILVNSFEAMEPEAAKILRQHEPGRPPVHLVAPLTQSGTAEADEGLECMRWLDQQPPGSVLFVSFGSRGTLSTAQMAELALGLELSGQRFLWVVRSPSDCGDSSEAYFTVQSKEDPFRFLPTGFVDRTREVGLLVPSWAPQVAVLNHAATGGFLSHCGWNSTLEGVQAGVAMVAWPLYAEQRQNAVLLADGARIALRLRAAEGGLVPREEVGRVVRELMEGDKGKVARRRVAELREAAARGLKEGGLAYKALDEVANKWKTTN >Ma07_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28584894:28589410:1 gene:Ma07_g20660 transcript:Ma07_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVISQPHVPGDEVVIRKSASYHPTVWGDYFILQAQSSPSTQECDARMQERAAELMEQVRSMFKDTTDILQTMDLVDSIQLLGLSYHFEKEISQALNRVHDADFNDHGLYDTALRFRLLRQQGYHVTPDAFNEFKDEGGSFMSTLGSDVKGLLCLYNAAYLGTQGEIILDEAISFTKNNLVSALAGLKQPLTKQVSLDLETPLCRRIRRLLAREYISIFQEDATRDDAILELAKLDFNLLQSLHREELKNITKWWNDLAPSKNFSFARDRSVECYFWILGVYFEPYYSRARVITTKVIALTSILDDIYDVYSTLEESQRLTEAIQRWDAKVVHQLPEYMKDYYLKLMHTFEEFEDLLASSEKYRITYLKEAMKDLSEAYFEESKWRDQHYVPTLEEHLHVSLISLGYPMLECASFVGMGEIATKEAFEWITSFPKIVQASAKIGRIMNDISSHELEQTREHVASTVQCYMKEYGTNVHVACKKLQGLVDDAWKEINEECLNPTAFSIALLERIINYSRVAENIYKYIDGYTNSSTKTKEYISWLLVHPIPL >Ma04_p18100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19536283:19658606:-1 gene:Ma04_g18100 transcript:Ma04_t18100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMADKIKIGVCAREKKVSSAPMGQILERLQAFGEFEIIIFGDKVILEDPVESWPICDCLIAFYSSGYPLQKAEMYAVLRKPFLVNELEPQHLLHDRRKVYERLEMFGVPIPSYALVNREYPYQELDYFVEQEDFVEVHGKRFWKPFVEKPIDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGAEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPGEKQMSRDVCLAFRQLVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSTTIPPNLPWKVNELTQSSEGLTHQGSGLIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRARAETKLKSAVQLQDLLDATRLLVPPTRSGRESDSETEDTEHSEKLRQVKAVLEEGGHFSGIYRKVQLKPSKWVKLSKSDGVGEDEHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASVEMDEAKARLHEIITSEGKAIDKNGGSEFPWMFDGAGLPDKASQLLRPLVNLTKKITTQVKLLAEDEDEKLVTSSYTVLPHYDQAKALGKTTIDVTRIAAGFPCGSESFLLMFARWKKLERDLYNERKNRYDVTQIPDVYDSCKYDLLHNAHLNLEGLAELFKVAQLLADGVIPNEYGINPSQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKGSQEPPTSTFAKTRKEETEYQVRSLNKNEDPRRSSTTSEKSLDQDDDDDRETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDETLQGEDSLVCTSALERVFKTKELDYMSYIVLRMFENTEVALEDPKRFRMEMTFSRGADLSPLESHDGDAALLHQEHTLPIMGPERLQEAGSYLTLDQFEKMIHPFAMPAEDFPPPATPQAFSGYFSKSAGVFERLVNLWPFHKGANNNVKQ >Ma04_p18100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19536283:19658603:-1 gene:Ma04_g18100 transcript:Ma04_t18100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSRSIFFMTGGRFMREYPYQELDYFVEQEDFVEVHGKRFWKPFVEKPIDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGAEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPGEKQMSRDVCLAFRQLVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSTTIPPNLPWKVNELTQSSEGLTHQGSGLIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRARAETKLKSAVQLQDLLDATRLLVPPTRSGRESDSETEDTEHSEKLRQVKAVLEEGGHFSGIYRKVQLKPSKWVKLSKSDGVGEDEHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASVEMDEAKARLHEIITSEGKAIDKNGGSEFPWMFDGAGLPDKASQLLRPLVNLTKKITTQVKLLAEDEDEKLVTSSYTVLPHYDQAKALGKTTIDVTRIAAGFPCGSESFLLMFARWKKLERDLYNERKNRYDVTQIPDVYDSCKYDLLHNAHLNLEGLAELFKVAQLLADGVIPNEYGINPSQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKGSQEPPTSTFAKTRKEETEYQVRSLNKNEDPRRSSTTSEKSLDQDDDDDRETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDETLQGEDSLVCTSALERVFKTKELDYMSYIVLRMFENTEVALEDPKRFRMEMTFSRGADLSPLESHDGDAALLHQEHTLPIMGPERLQEAGSYLTLDQFEKMIHPFAMPAEDFPPPATPQAFSGYFSKSAGVFERLVNLWPFHKGANNNVKQ >Ma04_p18100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19536283:19650627:-1 gene:Ma04_g18100 transcript:Ma04_t18100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGAEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPGEKQMSRDVCLAFRQLVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSTTIPPNLPWKVNELTQSSEGLTHQGSGLIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRARAETKLKSAVQLQDLLDATRLLVPPTRSGRESDSETEDTEHSEKLRQVKAVLEEGGHFSGIYRKVQLKPSKWVKLSKSDGVGEDEHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASVEMDEAKARLHEIITSEGKAIDKNGGSEFPWMFDGAGLPDKASQLLRPLVNLTKKITTQVKLLAEDEDEKLVTSSYTVLPHYDQAKALGKTTIDVTRIAAGFPCGSESFLLMFARWKKLERDLYNERKNRYDVTQIPDVYDSCKYDLLHNAHLNLEGLAELFKVAQLLADGVIPNEYGINPSQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKGSQEPPTSTFAKTRKEETEYQVRSLNKNEDPRRSSTTSEKSLDQDDDDDRETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDETLQGEDSLVCTSALERVFKTKELDYMSYIVLRMFENTEVALEDPKRFRMEMTFSRGADLSPLESHDGDAALLHQEHTLPIMGPERLQEAGSYLTLDQFEKMIHPFAMPAEDFPPPATPQAFSGYFSKSAGVFERLVNLWPFHKGANNNVKQ >Ma04_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19536283:19659403:-1 gene:Ma04_g18100 transcript:Ma04_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGKIKIGVCVMEKKVSSAPMGQILERLQAFGEFEIIIFGDKVILEDPVESWPICDCLIAFYSSGYPLQKAEMYAVLRKPFLVNELEPQHLLHDRRKVYERLEMFGVPIPSYALVNREYPYQELDYFVEQEDFVEVHGKRFWKPFVEKPIDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGAEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPGEKQMSRDVCLAFRQLVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSTTIPPNLPWKVNELTQSSEGLTHQGSGLIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRARAETKLKSAVQLQDLLDATRLLVPPTRSGRESDSETEDTEHSEKLRQVKAVLEEGGHFSGIYRKVQLKPSKWVKLSKSDGVGEDEHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASVEMDEAKARLHEIITSEGKAIDKNGGSEFPWMFDGAGLPDKASQLLRPLVNLTKKITTQVKLLAEDEDEKLVTSSYTVLPHYDQAKALGKTTIDVTRIAAGFPCGSESFLLMFARWKKLERDLYNERKNRYDVTQIPDVYDSCKYDLLHNAHLNLEGLAELFKVAQLLADGVIPNEYGINPSQKLKIGSKIARRLLGKILIDLRNTREEAISVAELKGSQEPPTSTFAKTRKEETEYQVRSLNKNEDPRRSSTTSEKSLDQDDDDDRETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDETLQGEDSLVCTSALERVFKTKELDYMSYIVLRMFENTEVALEDPKRFRMEMTFSRGADLSPLESHDGDAALLHQEHTLPIMGPERLQEAGSYLTLDQFEKMIHPFAMPAEDFPPPATPQAFSGYFSKSAGVFERLVNLWPFHKGANNNVKQ >Ma02_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17669568:17671047:1 gene:Ma02_g06700 transcript:Ma02_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTASRFVHPLHLHLPHLNPDPDESPPAQAAGDASSEEPRSQGLELAAPAGPGDVVVRRPRGRPPGSKNRPKPPVIITRESANTLRAHILEVGSGCDVFDCIATYACRRQRGVSVLSGSGAVTNVTLRQPPSAGTPIVSLHGHYEILSLSGSFLPPPAPPGATSLTIFLVGGQGQVIGGSVVGELIAAGPVIVIGATFTKVAYERLPLEEEEEPPQQQPQLEIHPPMSQSPTVGGATTAGIGASFRDPSSGLQFFNLPLSMPPWPVDGHGGWPGSAVPSRPPY >Ma08_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4905188:4908696:-1 gene:Ma08_g07150 transcript:Ma08_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPTAFDPFAEANADDSGAGAKEYVHVRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLSQTGIVKKEYIKIHGF >Ma08_p07150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4905188:4908542:-1 gene:Ma08_g07150 transcript:Ma08_t07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPTAFDPFAEANADDSGAGAKEYVHVRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLSQTGIVKKEYIKIHGF >Ma00_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9872790:9873932:-1 gene:Ma00_g01620 transcript:Ma00_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRATGPPALADLIRRHVDAGRPVSCVVNNPFLPWVLDVAADLGIPSGVLWVQSCAAQELPSFLLPSSPYKVLAKAILEQFANISKASWVLAKSFEELEHEAIDAISHRLPFIPAPLSVVYVSVGSVAAAEPGLVVAWSPQDRVLAHPAIACFVTHCGWNSTLEALTAGVPVVTYPQWGDQVPGSKFLVEVYGVGGGSSDRHIQAFVDEIRKRAPGGGHVDSDGTI >Ma05_p25780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37611514:37620678:1 gene:Ma05_g25780 transcript:Ma05_t25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPRASLASKKRKAVAAAAREEEGSSSGGSKSPEELQKESNKGAARGKRVKAAKPKPETEYLPEKRNMEDLWQAAFPVGTEWDNMDKIREINWDFSNLENAFEEGGELYGKTVYMFGSTEAQMLAVNGQEKVVLIPIVVAVVSPIPPSDQIGIKSVQREKEEILPMKTMKMAWIPYIPLENRQSQVDRLKTQIFTMGCTQRRSALRHLKVERVKQYDYCLPYLQSLKADEDEDDTVVNIMFPLEPPIVCDFDWEMDDLEEFTDSLISEETLPEDKKDEFKEYVKEQVRERRKVQRQAKEARKKAIEDMDPKTRAAFENMKFYKFYPVQTPDTPDISKVKVAYINRYYGKAHFVR >Ma05_p25780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37611527:37620677:1 gene:Ma05_g25780 transcript:Ma05_t25780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPRASLASKKRKAVAAAAREEEGSSSGGSKSPEELQKESNKGAARGKRVKAAKPKPETEYLPEKRNMEDLWQAAFPVGTEWDNMDKIREINWDFSNLENAFEEGGELYGKTVYMFGSTEAQMLAVNGQEKVVLIPIVVAVVSPIPPSDQIGIKSVQREKEEILPMKTMKMAWIPYIPLENRQSQVDRLKTQIFTMGCTQRRSALRHLKVERVKQYDYCLPYLQSLKADEDEDDTVVNIMFPLEPPIVCDFDWEMDDLEEFTDSLISEETLPEDKKDEFKEYVKEQVRERRKVQRQAKEARKKAIEDMDPKTRAAFENMKFYKFYPVQTPDTPDISKVKVAYINRYYGKAHFVR >Ma05_p25780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37611514:37620678:1 gene:Ma05_g25780 transcript:Ma05_t25780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPRASLASKKRKAVAAAAREEEGSSSGGSKSPEELQKESNKGAARGKRVKAAKPKPETEYLPEKRNMEDLWQAAFPVGTEWDNMDKIREINWDFSNLENAFEEGGELYGKTVYMFGSTEAQMLAVNGQEKVVSPIPPSDQIGIKSVQREKEEILPMKTMKMAWIPYIPLENRQSQVDRLKTQIFTMGCTQRRSALRHLKVERVKQYDYCLPYLQSLKADEDEDDTVVNIMFPLEPPIVCDFDWEMDDLEEFTDSLISEETLPEDKKDEFKEYVKEQVRERRKVQRQAKEARKKAIEDMDPKTRAAFENMKFYKFYPVQTPDTPDISKVKVAYINRYYGKAHFVR >Ma05_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8405598:8416470:1 gene:Ma05_g11590 transcript:Ma05_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFYCFVVVVVLLWESWVTADPQTTLLNSGCSQYNVSDTSAFVATLNETLADLRSSLSSKAADSSASRFATAQRPRTTEPIYALFQCRAYLSSTDCLACLSVAEVGIRRCGNANGARVIYDGCILRYESSIFFDQTTVIGNTGICNGSAASNSGFSEAAKALVRDLTIATPRISGFFAAVERDGVFAVAQCVETVNEEGCAQCLTVADANIGGCPPDTDGRAVDAGCFMRYSSKSFFLANQTVDLSQFLSSGKSNKKVAIIGGVVGGICGLLLLGIIALLWIKRSRKRQGGRTGDLLGATELRGPLNFHYKDLKAATNNFSEKNKLGEGGFGDVYKGTLKNGKTVAVKRLAIAQTSRAKADFKSEVKLISNVHHRNLVRLLGCSSKGQDLLLVYEYMANSSLNKFIFGDRQGFLNWKQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNILLDDDFQPRIADFGLARLLPEDKSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEIISGRKSNDAKLEPITQYLLEWAWKLYESGDSINLVDKSLDPREYTPEEMKRIIKIALLCTQSTVSARPTMSEVVVLLLSEGDQDMLRPTRPTFIDATSRVHGDASASTGSSSTSNATVSASQFSGR >Ma04_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22535455:22535706:1 gene:Ma04_g19880 transcript:Ma04_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGETHGRRISRARSQVERERETGGSTHNWPYCTCICYAVLLRSLAALVTVKGNFSLCYRAMRWIDADELMGFLPESYWIPF >Ma01_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21059548:21061050:1 gene:Ma01_g21390 transcript:Ma01_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSYSHYLFARHTGGLSALILMETFVLLLFLSPFSFFAAPATSSHLFPKEARPTRSGYLPIGPAAAANTSSAASLFFAFYEAQQPISPLPQTPLLIWLQGGPGCSSMLGNLFELGPYLVSPDSPTLRRNPDTWNHRFGLLFIDNPLGTGFSVAPSPVDVPRNQTAVAAHLISALRHFLAFDRSFHRRPLYITGESYAGKYVPSAGYYILRQNARLPPHRRINLRGVAIGNGLTHPVTQVGTHAVSAYFTGLINERQRARLVELQDEAIRLTLAANWSAASDARGKALEWLENATGLATLYDLTKKKPYDSGMLDVFLNKEEVKAALGVAKEVVWEVCSEVVGEALHADVMKSTKFMVEELVRRSRVLLYQGVYDLRDGVVSTEAWIKEMKWEGLGSFMKAKREVWKLDGELVGYVQRWGSLSHVVVYGAGHLVPADQGKSAQAMIEDWVMEKGLFGGAGA >Ma01_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7842768:7845441:-1 gene:Ma01_g10910 transcript:Ma01_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFDDVFDLDDEEVAVRASVGPFDDDDDGYVGYDPRLPSQRFDAVSSSFSPAAATDDVDAEDPVADDPSGGYGGFHDVPVLHVSGDGGSSPPLPEGYGFLADPHPSDFSSVPEPNGMAYGEVDHDGAFASDGPILPPPSEMQLEEGFILREWRRQNAILLEEKERKEKEHRNQITAEAEEYKLAFNEKRKLNRETNKIQNREREKLFVADQEKFYGNADQNYWKAISELIPREIPSIKKRGKKEEKKPSIVVVQGPKPGKPTDLSRMRQILAKLKHNTPTHLKPPPPPAPAKDGAAATGTKQEAATTETTTNSKPST >Ma02_p02270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13406664:13410691:1 gene:Ma02_g02270 transcript:Ma02_t02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVKKARNDARRDHVDSLAKAKQKEKKHKKERTDEEKSKDKRRKDKELSQDKHKEKNNQKEKHKDKKKDKGVSRTSEDGTEKPIQSHHEDRLGGRWKTIETNHCKLSDGLDRQIKMEEKVSANRVVDNFTSSVQRSSDVSGTKAAKVIERVASNKVIPASMDSLTSRINGMGRPADRVLNSIQSQAEVLRSANAIQRERNAANKLVSNLTHLEQTGDAGKGQPVNNSRVSIQQKTDGPYVAAVGKKENCKQNKALTDPSSTMQRSFNGLSRSAESTAILANEKVDGIGLTKTLEDRGETKKFVPNNILIEQREQDGISQAVEKDADKRIGRKEKNTEEEAYGRKVERHKDRDWDKDKSKLEVKGKDQEKSSQNCERKHKEYDEITDMGKKVHMDIPNAELIASAEDQKSSNTHENKKRKELEMNGLVHENNLLPNKFQRTTASDLLANGKIVNLSHNACSSMSSGALNNSKAGKHPVDKKEHITKDIKAAQPSSDGLRHPAVMHMKPPHPDSKSHLHSNPRTKLEADERPRVWAKAIRIGSENVVALPYVIPF >Ma02_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13406664:13410691:1 gene:Ma02_g02270 transcript:Ma02_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVKKARNDARRDHVDSLAKAKQKEKKHKKERTDEEKSKDKRRKDKELSQDKHKEKNNQKEKHKDKKKDKGVSRTSEDGTEKPIQSHHEDRLGGRWKTIETNHCKLSDGLDRQIKMEEKVSANRVVDNFTSSVQRSSDVSGTKAAKVIERVASNKVIPASMDSLTSRINGMGRPADRVLNSIQSQAEVLRSANAIQRERNAANKLVSNLTHLEQTGDAGKGQPVNNSRVSIQQKTDGPYVAAVGKKENCKQNKALTDPSSTMQRSFNGLSRSAESTAILANEKVDGIGLTKTLEDRGETKKFVPNNILIEQREQDGISQAVEKDADKRIGRKEKNTEEEAYGRKVERHKDRDWDKDKSKLEVKGKDQEKSSQNCERKHKEYDEITDMGKKVHMDIPNAELIASAEDQKSSNTHENKKRKELEMNGLVHENNLLPNKFQRTTASDLLANGKIVNLSHNACSSMSSGALNNSKAGKHPVDKKEHITKDIKAAQPSSDGLRHPAVMHMKPPHPDSKYLDEMYSVPKMDDYPEYDDQDWLFCRSHLHSNPRTKLEADERPRVWAKAIRIGSENVVALPYVIPF >Ma07_p21590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29655484:29659262:-1 gene:Ma07_g21590 transcript:Ma07_t21590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPIKTQMAVSVLTHTLNYEYHRSNQSEGKPSGRRRVFVQTETGCVLGIELDRADNAHTVKRRLQIALNVPTDESSLTFGDLVLKNDLSAVRNDSPLLLTRNSMHRSSSTPCLSPTGKDIHQQRDRSGPIEVLVCSSRCSRTRQLVKDVVKAIKNGVAPLPVHSGLGGAYYFRNTIGESVAIVKPTDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKITHSVFHVNEGVNSNTSGKAVDRKRSAVSKIASFQQFIPHDYDASDHGTSSFPVATIHRIGILDIRIFNTDRHAGNLLVRKLEGATGRFGAQTELIPIDHGLCLPESLDDPYFEWIHWPQASIPFCEEELKYIANLDPVKDSEMLRMELPMIREACLRVLILSTIFLKEAAAFGLCLAEIGEMMSREFRGMEEAPSELEVVCIETRRLIAEKVVISPVISPNYDDTIQFDIDYEEADPVMPKSPSFNFGSRGRISRNPLSRLEESLEEQEEDDENIEHNMEDTTYCSDAREWPPHVSRLSASLKTMTLAGNSQNYVAGVPRANCTTGRNSGGASGLQGRNSRSANEQLPASVSFVKLADMGEEEWSAFLEKFQELLQGAFRSRKCGATGQRLKQRLGSSCQF >Ma07_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29655467:29659245:-1 gene:Ma07_g21590 transcript:Ma07_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPIKTQMAVSVLTHTLNYEYHRSNQSEGKPSGRRRVFVQTETGCVLGIELDRADNAHTVKRRLQIALNVPTDESSLTFGDLVLKNDLSAVRNDSPLLLTRNSMHRSSSTPCLSPTGKDIHQQRDRSGPIEVLVCSSRCSRTRQLVKDVVKAIKNGVAPLPVHSGLGGAYYFRNTIGESVAIVKPTDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKITHSVFHVNEGVNSNTSGKAVDRKRSAVSKIASFQQFIPHDYDASDHGTSSFPVATIHRIGILDIRIFNTDRHAGNLLVRKLEGATGRFGAQTELIPIDHGLCLPESLDDPYFEWIHWPQASIPFCEEELKYIANLDPVKDSEMLRMELPMIREACLRVLILSTIFLKEAAAFGLCLAEIGEMMSREFRGMEEAPSELEVVCIETRRLIAEKVVISPVISPNYDDTIQFDIDYEEADPVMPKSPSFNFGSRGRISRNPLSRLEESLEEQEEDDENIEHNMEDTTYCSDAREWPPHVSRLSASLKTMTLAGNSQNYVAGVPRANCTTGRNSGGASGLQGRNSRSANEQLPASVSFVKLADMGEEEWSAFLEKFQELLQGAFRSRKCGATGQRLKQRLGSSCQF >Ma02_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22877987:22879295:-1 gene:Ma02_g15000 transcript:Ma02_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAHHLHPFPSQPVRTSEIIGSTSNQPSFYDMQMGFISPVPGANAACNSLAPVSAAASDSGLTFNDVVAAAAASRKRPCPISFLGDDVSSLLQRQSLDFDRVILQHAETVRAGLAERRRMFARQVVAAVEEDVSKRIKAKEEEIARVGKLNWALEERIKSLYAENQIWRNLAQSNEATANVLRTNLEQVLAAQVMVNEAPATADDAESCCCGDNAEDEEDIGLGREWRRGCWSCREREPSVLLLPCRHLCLCMTCGPTVDACPICNCIKNGIVHVNMS >Ma10_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13487423:13499611:-1 gene:Ma10_g03370 transcript:Ma10_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQALELERFHLERKDSDRCQEELRRIADDLRRCAHDERFAREILEMPEDEWEEYGDEFERPIEAARIVDEPTFRLYFKGMASRVLVKDAWVHLAAIGVAVCDHKDNPVLKIQKPVPVSAAGTCREVLEAKALVEGLNAVISLGIKRLRVFCDYRTLYNHVKGIWGIKKIKLANMINQVHILQRKFEKCQIFLLPRCRVRFAFRLARDVLDSQLSKNVDLVGLKALRETCNICLEVNDSSEMFAVDGCLHRFCFSCMKQHVEVKLHHGILPGCPQDGCEVKLDTEGARKFLPPRLLEIMGQRLKEASIPATERVYCPYPRCSALMSRSEAICPQLESSFKKVNVDASGLRKCSKCNGSFCIRCKVPWHERLSCSDFKRLNPHLHPEETKLQSLAKQKLWRQCVKCNHMIELTEGCFHMTCRCGYEFCYTCGAEWKNKTQTCKCPLFEYESDEDSELDDDEDGDEDYEEDEDYDDSDYDDDYYGNYRRLF >Ma03_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10537766:10538238:-1 gene:Ma03_g13410 transcript:Ma03_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWELVNRELPSLPPIRTSGIDANAREEDPSESTGNEEIGCCVTPKSEETVLKPALVCPPAPRKPRPPKRRYGSSPKGYFPVPDDLTLVFTPVSRLVIKKLRVD >Ma08_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2461282:2461605:-1 gene:Ma08_g03360 transcript:Ma08_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELLGKFPDNSAFDFDYSQSGIWSPLLPRGIDDARKKLLARSPVTLRRVKAKLTYKKRKKRPSAIRKSLDFSLVPSPKLVMLHPRSTKSWHLVIEIIEIIRNNRR >Ma06_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14094160:14099279:1 gene:Ma06_g20040 transcript:Ma06_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVAVEAEDCGSGFFLGLPDDVLALISAGLRHGDLCALALCCRGLHAAIAASERVWLAQCRRLGPPPHLLSRWRMGVRSYRALCRFLAAVAPLLGIWVHQNPELGNVVCVIWGFLSIVGVRVIPQELGPLGLDAGPLLWAPVFEILADANGAPSRFFLHGRDCGFDCLYPGFVRSIESSCNVLFLEADARHIVSTFPSKQHLFPARSFPAISESKGPSLGRQYCRSYATVIYRSLAAPRASSLPFSRLPFRDGRRLLELVAARVRLKVPRDLASAPLFERSSFCDDASLLADRRLELLEMLKLNGGWINRDVAELALGLMEHQSAENINAIDDRPCPAAHQGRAFSSITGYLKQFMGRSSIPSASCSISRNGSSSGSSKNKHAQLQEFLRSGDLIGLSLRATHMRLTTYRAWPNMHDSRIALYKLPLQGPMAGREYAGLWGGTFGWPPGQPSEGKSEKALFFLLLSYEEVEGHLLLIGTKILEGTHYVLHPNGSAMFIAKMDEIGSEPFPWETSAESLQVEVKNSYSGEGIVSGYGFQYPGSKPGSLFVLQNGLLAFVWKESKSVLTLQRVDLQELLKKGERVPVLPPIANFAYLTKSYSNVFAGFPTNSGFIPHI >Ma02_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28258156:28260888:-1 gene:Ma02_g23080 transcript:Ma02_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQVHVPVFSNQDDDSEEVLYKRRSQVAVKNRVPKVSAKNSEAYAHDGQAPVKEKGFSSDGTTRKIRKKRRKQGYGNYPEGDVIVPPFADWEMNPESAEKYTDVFQVIGDSRKSPGTPIKLSIESGTHKKDPNECKGCRCLSWIFKCKSCW >Ma05_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4665245:4676764:1 gene:Ma05_g06280 transcript:Ma05_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAFCSAGSPSNGEGVTLTPVVLNVYDLTPLNNYVQWLGIGIFHSGIEVHGLEYGFGAHDFPTSGVFEVEPKTCPGFLYRCSILLGQTTMPPAEFRTFIESIATEYHGDTYHLISKNCNHFTDDVSKRLTGKPIPQWVNRLAGLGAVCNCLLPESLRLPAVKQITEYQGLSEDGSESFSVVTTATHDPLESDDADQDRHLLSGGELSIVKEPSR >Ma03_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28203030:28204782:-1 gene:Ma03_g23690 transcript:Ma03_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRSPQCGLTTPRSTSVSSDPGVCLRDPSSISSSSGRSGNQLWQRVVVVGGGIGGSLIAKSLQFNADVILIDQKEYFEIPWATMRSMVDPAVADKAIINHTDYLINGKVITASAVDITDTDVITSDGRQVAYDYLVVATGHVASSPKCRKDRLEKFKEANIKMRTSSSVLVIGGGPTGVELASDIASVYPEKKVTLVHNGPRLLGFIGHKAGNKALDWLRSKNVDVLLEQSVDLDSVSEVDGIYITSAGEAIAADCHYVCVKRPLGTSWMRESIVKDSMDKYGQLMVDEHLRVKGRNNIFAIGDIIDVPERKQGVLAQRHAMVVAKNLKLLLKGGNKEIKLSKYRPTVSITMVSLGKKDAVAQLPFTTMTGFLPGLIKSRELFLRKTRKLLGLDHHSVFL >Ma11_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24501875:24505177:-1 gene:Ma11_g19780 transcript:Ma11_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSQTMDIPEGVTVKVNAKIVEVEGPRGKLTRDFKHLNLDFELIEGGKKLKVDAWFGSRKTTAAIRTSISHIQNLITGVTKGYRYKMRLVYAHFPINASITNSNSCIEIRNFLGEKKVRKVDMLNGVTILRSEKVKDELVLDGNDVELVSRSAALINQKCHVKNKDIRKFLDGIYVSERGTIAEEQ >Ma05_p06130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4572546:4576475:-1 gene:Ma05_g06130 transcript:Ma05_t06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MVALTIPSIGRRAENTSPPSAVAEVMAGCSRRLIDQSLTARYSDWIAEALDEIQGCFLITDPGVAGHPIVFASHGFLAMSGYSREEVLGRNGRMFQGPATNRRSVLEIREAIREERTLQISLLNYRKDGTPHWILFHLFPVFGVDDGRVAHFVAVQVPIPRRSRSLSEARCGGARGRLFGACRNEVRSDCDLGCNLAADLFVDVDNRGLEAEESREASEQEKERASDAANSILSALTQYSKLTGRVVSSQRSRLAGISPLSSSLILSLGRIKQSFVLTDPQLPDMPIVYASDEFLSLTGYSRHEILGHNYEFLNGPDTEVEVLHQIRQSIQVECACTVRLLNYRKDGSLFWNLLHVSPVRNASGKGLGGQPYPYLLDKHRLLIEGWLSTHCFGAE >Ma05_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4572867:4576475:-1 gene:Ma05_g06130 transcript:Ma05_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MVALTIPSIGRRAENTSPPSAVAEVMAGCSRRLIDQSLTARYSDWIAEALDEIQGCFLITDPGVAGHPIVFASHGFLAMSGYSREEVLGRNGRMFQGPATNRRSVLEIREAIREERTLQISLLNYRKDGTPHWILFHLFPVFGVDDGRVAHFVAVQVPIPRRSRSLSEARCGGARGRLFGACRNEVRSDCDLGCNLAADLFVDVDNRGLEAEESREASEQEKERASDAANSILSALTQYSKLTGRVVSSQRSRLAGISPLSSSLILSLGRIKQSFVLTDPQLPDMPIVYASDEFLSLTGYSRHEILGHNYEFLNGPDTEVEVLHQIRQSIQVECACTVRLLNYRKDGSLFWNLLHVSPVRNASGKVAFYVNVQIDENAKSDGLGLSPHMRQLGVVGAVKVAVRSLSLCAGPSRPSS >Ma03_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10523121:10527700:-1 gene:Ma03_g13370 transcript:Ma03_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKGRRTATGISFSRGGCIITLREQNYHDRSIRCCSRLGCSASLYAMKGTQVGKQDRASFHSGPCQSLSANGFRKPQRGQRSSCSREEANVAESRNTRRDSDKPKSGRRFTGIKDSDSNRSVENKKDLHSVPLSPLVGSAKLRCSSDSATGGVGSSRMKLRAITSKEAARQPRYRYIENSSASGCTSMSHGDASHDMASRSEVFGVENPRGTDISNVLAPECSSADSRSSRADNNLRMRSLKRGSSSFRGRGRIPSSNGSNPGTSDLSVSLPEHLISYQATRGSRNQSTNKVVVSVRTRQPPRGDTRIRPVGQVAESILAPMPLTVTQQSQCESGAIPQSSSRSSADFHHFYQNIHGDPGTSPQIAPRRQIHESAANRLHIFDALLQDRDGYPHLNMEGVAEVLLALERIEQDEGLTHEQLLALGNHLSLDSRSFHDQYRDMRMDIDNMSYEDLLALEEKMGTVSTALTEEALSRCLKRSPYMSASLISGISGRDEDEVKCSICQEEFVMEDEVGELVCKHTYHAACIRRWLQLKNWCPICKASLL >Ma08_p30130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41530757:41532013:1 gene:Ma08_g30130 transcript:Ma08_t30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGKREKAEGSDVDEHETRDKKKTKKGTTLPSMIKNKEKRSAVHAKLKREKKIEKRKQAKERDAAIKRALDLGEEPPEKKVPRTIENTREVDETVCRPDDEELFAGNDADEFSQVLKQEVTPKILITTNRFNSTRGPAFIQELLSVIPNAHYHKRGTYELKKIVEYAKNKDFTSVMVVHSNRREPDALLIINLPDGPTAHFKLSKLVLRKDIKVHSLALEKCMKNS >Ma04_p35770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34378480:34380491:-1 gene:Ma04_g35770 transcript:Ma04_t35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPPPLPSPTPFQDLNLFPIAKLEPKPEPVDGCPSQLEPLGPAEPLPPPDASPEEAALLLDYFRRSHLFAADDDRSRHCSIVPAPVAPACSSAIVAAKKRKARSAEMVRVSGIGPRDRLYFRGLVRRTRITYDSLRALLLLRDEDRGETFLREEAFVAAWGRRTRPDLRAAALMTDRDLWLNRDRRIIGSIPGISVGDVFFFRMELCVVGLHGQVQAGIDYVPASRSASGEPVATSIIVSGGYEDDDDKGVVLIYTGHGGRSQNMQRHCVNQKLEGGNLALERSMNYGIEIRVIRGIKFDGSPSGRVYVYDGLYKIVDCWMDVGKSGFTVYKYKFLRMEGQEEMGSEILKLADKLKANPLSVRPVGYLSLDISRGKENLPVSIFNDIDDDRDPLMFEYLTRPILPPEAFQGKVKADDWNGCDCTLNCSAGCYCAKKNGGDFAYNRDGILLRGKSLIYECGTLCRCPPTCPNRVSQKGVSHRLEVFRSMETGWGVRSLDLIRAGTFICEFSGIAITKAQAEVLSRNNECLVNPGQFPRRWTEWGDISDISPDYLLADSPSMPDLNFSIDVSRARNVACYLSHSSCPNVFVQFVLFDHNVSYPHVMIFAVENIPPLRELSVDYGIGDEIVERLTL >Ma06_p26050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26658987:26661358:1 gene:Ma06_g26050 transcript:Ma06_t26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDINIIQTYHGFHGHVDAFAPKLSQTLHVLGCLPLFLCVVGARQTPFQTVAGRRRQILSTNCLLYNTWKSRLRYTVDFMICGEPAAGEYHSLPGYLKDNESVLICHRSEWPLKQILLSIFTIPIETINVSMHLIGFFLCLALAIYSTTKGPKVVDLRSLQHLPDLLKKTDLQRIQSELVACLPSLRHLSDLQRLKDVLKTSLASMDVLPSLFCWHLLQLLSNCLPLQI >Ma07_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30648030:30678071:1 gene:Ma07_g22880 transcript:Ma07_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSVLAAAAPSDVEREEMLDRMLTRLALADDDKLEPLLAKILPYSISSLASPSPSIRKSVLEILSHVNKRVKNHPDIALPFLDLWRIYNENNASPMVRNFCIVYIQMSFERLDGEDKSARAPDLLVNIAKGPPQHQEIILRIVAQVIGENCSSQVNEKVGVMYRAIGNSEDGQVFLEFCLHTLLYQPPSPGNGCPAGLSISQSDRVTGKLPLKGDMLMLRKLGMLNVIEAMQLTTELVYPLYLVAASDSQEPVSKRGEELLKRKAAGVNMDDTAFIQRLFTLFNGNIGVESIAADCRVTPVNVSMRVRLMSVFCRSVTAANSFPSTLQCIFGCIYGSGTTSRLKQLGMEFSVWVFKHAVMDQLKLMGPVILSGILRSLDGPNAETEATKYVKTFAYQAIGLLASRMPHLFREKIDMALRLFTALKLEDQSLHLTIQDSVTSLAIAYKGAPTAVLKDIEELLLENSQVAQSDVRFCAMRWATSLFDLNHCPSRYICILGAADSKIDIREMALEGLNLMKDQEQTSGVNTDLKYPDLTTMLDYICNQQPKLLDSAEQREGILFFPSKAYIAMIKFLMKCFEADFTLSKFSFPVDTSSSPVVKLCSILEHAMACEGSTELHATASKALVEIGAHFPELVATRYAERLSWLKPLLGHIDSGTRESVSRLLGIACSALPTSAACALLSDVLSPIGGTHMLRFESRHGALCAIGYMTAECMKEPSKISEGHLKVVVNTLVQVVESENSELASVAMEALGHIGLRCSLSSFKQNTLPAGILTVLHQKLNKLLSGDDIKSIQKILISLGHISVKETSFEHINCALDLIFSLCRSKVEDILFASGEALSFIWGGVSVTADMILKSNYSSLSKVSGYLTSEISSSITGSRTSQIGIDIESRTRAQEVITKKLFDVLLYSSRKEERCAGTVWLVSLLMYCGHHPKIQQLLPEIQEAFSHLLGEQNDLTQELASQGMSIVYELGDSSMKESLVNALVSTLTGSGKRKRAVKLMDDSEVFQEGAIGETLSGGKISTYKELCSLANEMGQPDLIYKFMDLANYQSSLNSKRGAAFGFSKIAKQAGDALKPYMRSLIPRLVRYQYDPDKNVQDAMGHIWKSIVAEPKKTVDEYFDSIVDDLLAQSGSRLWRSREASCLALADIIQGRKFSQVSKHLKSIWTVAFRAMDDIKETVRNSGDSLCRAVSSLTTRLCDISLSSASDASETMNIVLPFLLVEGIVSKVSSIQKASITMVMKLAKNAGIAIRPHLPELVSCMLECLSSLEDQRLNYVELHAANVGIQTEKLESLRIAVSKDSPMWETLDLCINVVDKKSLDLLVPRLAQLIRSGVGLNTRVGVASFITLLVEKVASDIKTFTGTLLKLVYNAVLEEKSGSGKRAFAAACAVILKHATPSHAQKVIKDTAALHLGERNAQLSSAILLKAYSSLATDVLSGYHAVVVPVIFISRFEDDKHISTSFEDLWEENSSSERVTLQLYLAEIVVLLCDCLASSSWANKRKSAKAIRKLSEILGESLSPYHHNLLKCLLKELPGRFWEGKDVILYGIASLCSSCHEAISVEDPTMPFLVLGAITSACSKKIKSYHEAAFSCLEQVIRDFNHPDFFSHVFPMLYDVCTQSVATKTMNSNSIISAIETGKDNMEDASVPLNKVLDCVASCVYVAHLQDILKNSKKLIEVFSSSLSPGLNWPVKMSVFSSIRELCSKFQHVVEGNPTYSSEATPLIFELFHSLAPKIVECIRVVKISQVHISASECLLEMSKLYRETPTCMEDVELKDELVHLCEVEKSEQAKTLLRQCITILEDLKHKSSSTSGTV >Ma06_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22074949:22077323:-1 gene:Ma06_g23920 transcript:Ma06_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFNGWASVSPKWNQWVDKLRPIYGVLWKKVGIFDAIHASTYRIRRDSSSILAISASWCGDTSTFIFPWAEVTVTLEDVMILGGFPVAGEPIRGPLHGELKEIEVQMTAERKSFSRSPSKRPNHNQWMMRYMECEGDELEHIAFLALWLSRFVFPAHAFKTVTQSVLPVAIRLARGARIALAPAVLASLYRDLGKVKDYLADRGRQKVSSLVIWAPFNLLQLWIWEHFVALRPAGLNEVNDCEPRAARWHNVGKKLKLALLRSVLESPNEFQWRPYTVGLRNWHKPCFYKDKGLWIHSVETPGVELKSFAQFLRRSELVGLDCIEQYSPQRVAMQFGLDQDVPGSVVRTNLTWEAAWETYDISRKNIVFYIPPQLFESDVTLQYSVWWKQKIRPRATGTISSVEQPNSSLKSAKVIAGKVRGVKKMKSVQVLTFGKKRTMQECYDSDTTLSHWFACNDNQESRENKCIKTQKHSLTSSEKQMRPIREQKSNKMPKLSQKFPKDIKQNSSTQWQTKRKQTKEASSTDRLDEEKQLERRTAGEYACEQMLIKNSREALQPAQSDFSIELTEVTKQEENSTKGKQSKIHVKFKMLKHENTKGKEDGVLLTEVTETEDVRKINLKEENSQEKEVEGKNAGEQQVKNLNNENAEDEEDKTFVDKFDNENVGEMQIKMLKKQNSADKNDKEIIRNDYRDSGESFFDQILKMVPEKTLPLEQEEKLKQRKGKKFSPKAEESVHEMEIKELKKEIAAIEARVMALESLAEVHT >Ma04_p29360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30323690:30326524:-1 gene:Ma04_g29360 transcript:Ma04_t29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQLPSIVRVTRPTRPDKARRLGFKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYYEIILIDPAHGAIRNDPRINWICKGVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNQTLSLRRYR >Ma06_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2259532:2262250:-1 gene:Ma06_g03030 transcript:Ma06_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCVSAPLKHSSLLPPSSFSLSPKTLLSPRSRSLRSISPPPLSPIRALVSSASPASKPFSHGSLESQSYFSSPLSSPFDSFSPASSDPQTPAAAMRGAESDVMGLLLRERIVFLGSSIDDFVADAIISQLLLLDAQDHTKDIRLFINSAGGSLSATMAVYDVVQLVRADVSTIALGFAASTASIILGGGTKGKRLAMPNTRIMIHQPLGGASGQALDVEIQAKEIMHNKDNVTRIISGFTGRTFKQVEKDIDRDRYMSPIEGVEYGIIDGVIDRDSIISLMPVPDKVKPKLNYDEMYKDPKKYFTPEVPDDEIY >Ma04_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4487652:4488686:-1 gene:Ma04_g06060 transcript:Ma04_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDRMVISLRPGGGAGNCPHGSTPHPLAATPQLVPSPFSPSFAPPAPPSLLRLENQGLGVMNMSITQGINFCMFVSTSKFSFV >Ma01_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27428473:27435819:-1 gene:Ma01_g23220 transcript:Ma01_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAKWRKKHRGGGEDGIEDDSHPIDSQDQEEMVRSFEREHARQSRLWRRVFAGFLLGYTAFMVYSIFQQAWYPWELRFHAYFVEEMQSWMTISADWVAVLACSFAVRGLASSSKSSQQWLWYSCYVGLLHAVFWLIYMFRLPKFRWDVLWLPLGPLSGAGICLYVDHLLHESLEDVKQLRSHMYNFKAL >Ma01_p23220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27428473:27435804:-1 gene:Ma01_g23220 transcript:Ma01_t23220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAKWRKKHRGGGEDGIEDDSHPIDSQDQEEMVRSFEREHARQSRLWRRVFAGFLLGYTAFMVYSIFQQAWYPWELRFHAYFVEEMQSWMTISADWVAVLACSFAVRGLASSSKSSQQWLWYSCYVGLLHAVFWLIYMFRLPKFRWDVLWLPLGPLSLFSGAGICLYVDHLLHESLEDVKQLRSHMYNFKAL >Ma09_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11149503:11151366:1 gene:Ma09_g15810 transcript:Ma09_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGNSSGRAPSSAASFDSYKFDFGLAGSARGSAARPLKDQKPSPYVASSAPSSKPAAPAWSHQPSRPSWTPAAPAPSGASGISRSGNLSSTPASMVGDIFGKSWVSAAPSSAKSGLAIPKSDPNLFGDLVGSALGQGRGGSSNVPLKSAAHKNTFSMGNLSDSLPRSINPTTSAAAAANSTPMRSSSLGSSDDLGNFPFFNQPGPKIGTPMGSGGQPMKSTAGVPPTNAKRDPFGSLVDFGSKPTAKAPMSSANSSNMSGASPGADDFSFGAFQNANSTKKSDIGISGASFSSPPPPQQPTPPKADTDPLDMFFSSSAAAAPAAGVASEASGSQQFSEMNDWDLGSEFGGHDTGGSTMELEGLPPPPSGVTAPAAKTKGLDNYKQGQFADAIKWLSWAVVLLEKSGDNDSMAEVLSCRASCYKEVGEYKKAVADCSKVLEKDTANVLMLVQRALLYESMEKYKLGAEDLRMVLKIDPGNRLARSTIHRLNKFAD >Ma04_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22022260:22033293:1 gene:Ma04_g19430 transcript:Ma04_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGQDPSEALLQAVRDALSPLESAVLCAARDLESQWLNSRGECKNAEPPTSCHGLAKPKLRQAVAVSVVASEDRKKGPIKSFLGALLPNCSRGDGVRGRTGNSSKKGRGEDDKDKSRVNCSPFAATWSLVLNSFLQACPRPLKSVRKCFGNQCREDESLSEPRRGKTARRGSYKVAYWDKTDKSASVDGETLSLELIFCFALDSLVQNLQMLNLSHQRSSAKKYDQPRASDSSWPPQFDHLKTIEGLINGKKADFDGVLSNLGFARVGGAPASFVGATSSVNTEGASHANCDDKEEPMSRSPQKVASGLLNIPLSNVERLKSTLSTVSLAELVEFMPHLGRSSSDYPDKKKLFSVQDFFRYTEAEGRRFFEELDRDGDGQVNLEDFEIAMRKRKLPRRYAKDLLRRTRSNLFSKSIGWKQFLSLMEQKEPKILRAYTTLCLSKSGTLQKNQILTSLRSAGLPASEDNAIAMMRYLNVDSEGSISYSHFRNFMLLLPSERLEDDPRNIWFEAATVVSVPPPVEISTGSVLKSALAGGLASALSTSVLYPVDTMKTRVQASTLSFPELVAKLPEIGFRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLQELQVQSMASFCSTILGTAVRIPCEVLKQRLQAGIFDNVGEAIVGTLHQDGLRGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQNILDRDLTPWETVAVGALSGGLAAVVTTPFDVMKTRMMTAPQGLPVSMSTVAFSILGQEGPLGLFKGAVPRFFWIAPLGAMNFAGYELAKKAMVKTEPISG >Ma00_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22628652:22631136:-1 gene:Ma00_g02890 transcript:Ma00_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIHHYLLLFLFSLSLPFASAINFLFNSFSAVSNLTLVDDARVEDSVLRLTNDSNYYSIGRAFYPDRLRLASGPGQALSSFSTSFVFSILPEIDSSPGFGLAFVLSNSTSPPGALSGQYFGVFSNATRQFPAPLLVVEFDAGRNPEFNDQDGNHVGVDLNFVESAVTHTAGFYRANGSSTEPEFVPLDMRSGRNIRAWIDFHGPLFQINVTVAPAQEPRPRWPLISYTDPVIANYVSPEMYAGFSASKVKWVEAQRVLAWSLSDTGAAARELNTSNLPVFLPPSPPSSSSTSVGLIAGVASACLAIAFLCVLGLYYHCRRRGKARIRKEEEEEEWESKYWPRRFNYEDLWSATNGFCKERLLGFGGFGKVYRGVLPASISRGADSGGGSAAREAEMEEVAVKCVSHDSKQGLREFMAEISSMGRLQHRSLVHMKGWCRKGNELMLVYEYMPNGNLSQWLFSDAEHRPALAWPARRRVLVDVAEGLLYLHQGWEQVVLHRDVKSANILLDGDMRGRLGDFGLAKLYERGAAPCSTRVVGTLGYLAPEMAVASAPTAASDVYSFGVVVLEVACGRRPIERAERVEDDDWVLVDWVKEVYAEGQLAEAADKRMEFPVAEMELVLKLGLACCHPDPEQRPSMKEVVELLLAAESVGTPVAERNAQQSS >Ma10_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4712306:4717100:1 gene:Ma10_g01490 transcript:Ma10_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYSPISKDFFGVGELQVDVTMDGQGMEYATNDLYPKNSYIVSSLYVPAIAFAVYRPSPRSPPSLTLVAHGDADGNHPTPMAPSTEIDPENAPTSAAPSATKPAAVALAVLAWWRGKGLLERSAVVLRPLALVFSLFAFVVCASNRHGDWKNFERYEEYRYLLAISILAFLYSVFQVSKPAYRFSTGIDLVPKNYSGIVDFAGDQVTAYLLISALSAAIPVTNSMRGGADNIFTDASCASIILAFFAFVSIALSALISGFKLSKQI >Ma10_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28799994:28803354:1 gene:Ma10_g17360 transcript:Ma10_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNIGTVKHDNPEMSLLARTLERRSASFGSSVIRTASSRIRQVSQELRQLASATKRPAVGKFDRSRSAAAHALKGLKFITKTDGATGWPAVEKRFDELAVDGALHRSVFGQCIGMKEKEFAGELFDAMARRRNITGDKITKAELREFWEQIADQSFDSRLQTFFDMVDKNLDGRITEEEVKEIISLSASANKLSKIQEQAEEYARLIMEELDPNELGYIEIYNLEMLLLQAPSQSMRIGTTNSRNLSQLLSQNLSRTRSGGGTSAPSTSWRTTGSGCG >Ma08_p27220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39531702:39533226:1 gene:Ma08_g27220 transcript:Ma08_t27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSCNRRVSSTNAVCEYGDEAVLQGAGRDDDAGDALEKLKAILCLSTEASDDNRGWILNIIACPFAAAVEACGRNIKLNGSVECSKAEAHLFKMNMPTHQI >Ma09_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7447555:7450213:-1 gene:Ma09_g11000 transcript:Ma09_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAETGGDRPCDHTLDLPDDCLIVVFNFLGTGDRNRCSLVCRRWLVVEARSRRRLSLDARAPLLEAAPGLLARFDAVSSLALRCDRRSESIGDDALDLIGRCCSALARFKLRACRRVTDLGVFALAGHCPALRRFSCASCSFGPAGIEAILRGCPFLEDLSVKRLRGLANAEPEALGLPASSSALRSICLKELYNAQLFTPLIASSPNLRTLKIIRCSGEWDLLLVEIAGRVPQIAEVHLEKLQVSDRGLLALSSCLALEVLHLVKTPECTDAGVAAVAERCRLLRKIHIDGWRMNRIGDYGLMAIARGCPELQELVLIGISPTGASMDHIASSCRGLERLALCGCETIGDAEIACIAAKCTALKKLCIKGCPVSDRGLEALAEGSPSLIKVKLKRCRGVTLEGVEWLMAARGGPLAVSLDAVELQEPHVSMCETGIQESGIEELSALTDDIATLDLPSSSNDQPTLSKSRVRSFLASAVRKWSSGGGNSH >Ma09_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5662622:5668967:-1 gene:Ma09_g08540 transcript:Ma09_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMPSSSSAANSDLARQPPSMYPLAAAADPPPSSASGDDPAGNLGSTAMDDLLRSFYCEAEAPPPLPAREAAAGGKMAEQVLKEVAAAGRSVAGVDGSAAAGYGEMTLEDFLARAGAVREGDIRVPSSGSMQAGFGVDPVLDDRLVQQEQLLMVENPILGFGNGVEGGGSGGVGGGGRGWKRPMVDSVDKATLQRQKRMIKNRESAARSRERKQAYTVELESLVRRLEVENASLLREQEEHYKMRLKQLMENLIPVTEMKKPPRILRRTHSMQW >Ma05_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4810835:4812385:-1 gene:Ma05_g06460 transcript:Ma05_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWLCFFESHDFTSQSPRFSVIYIRFLLCLYLYLSGNLFVFVNVTPPQPKVATSSGDTPSYRFRSDVPAPPSNTALGGKASLLPKRTPVSDKEIEAILLGGCF >Ma05_p31830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41576426:41577001:1 gene:Ma05_g31830 transcript:Ma05_t31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPCASCKLLRRRCAKDCIFAPYFPPEDPHKFAIVHKVFGASNVNKMLQELPDYQRADAVSSLVYEANARKRDPVYGSVGIISYLQNQISQLQMQLAFAEAELLCIRMQQERSLANQHVIDIHEPSSLFCATASPAFLNP >Ma06_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15716297:15719363:-1 gene:Ma06_g21480 transcript:Ma06_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIKKFFVTSMIMWIAPVAILYGFNNHIFPGTGQLSSSSQTLVSGFLAVISVNLVIALYIIMAMKETGSSEHQPDAAFLAEANASIKQPSNMTTNDDTQAREKVE >Ma06_p21480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15716297:15719358:-1 gene:Ma06_g21480 transcript:Ma06_t21480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIKKFFVTSMIMWIAPVAILYGFNNHIFPGTGQLSSSSQTLVSGFLAVISVNLVIALYIIMAMKETGSSEHQPDAAFLAEANASIKQPSNMTTNDDTQAREKVE >Ma07_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1917260:1925108:1 gene:Ma07_g02400 transcript:Ma07_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGSTASALLRPAWPHAQPPRPSRLAVLLGRAAGRRGPSMLVRETAALQLEERRADWAYSRPVVALDIGWNLAFAAVSVAVLGATTRERPNTPLRVWVAGYALQCVVHVLLVWAEYRRRRRRSRNGRQDVDEGGQGVRERVPSDSDAVDSEEDGEEGGTGSAQRGIAKRCESLNTMVSFLWWIIGFYWVVSGGETLLQNAPRLYWLTVVFLTFDVFFAIFCVALACVIGIALCCCLPCIIAILYALAGQEGASDADISILPRYRYVESCENEQKTPEEGVMIPILNNGGISTSERVLLREDAECCICLTSYEDGVELHALPCNHHFHSSCIVKWLRIHANCPLCKYNILKGSDIV >Ma10_p06980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21340647:21349492:-1 gene:Ma10_g06980 transcript:Ma10_t06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDAATAAGVHMRGGARAGPSAPVTEAASSLPLRPTIDGETMWQMSLREIESMESGPYPERPGEPDCTYYLRTGLCRFGMTCRYNHPPNRQMAIAAARIKGGFPERVGQPECQYYLRTGTCKFGATCKFHHPRDKAGIAGRVQLNALGYPIRLNETECAYYMRNGVCKFGSTCKFHHPQPSNTMANVRGSTMYPGVHSPTSSQQSYHGGLTPLSRPSFIPSPRWQGLSSYAQVIVPQGLVQVPSWNTYSGQLGSVSSSESQLHLPRTTQFYGTSRQNETIAGVQGTIPSYRSSAIPLGQYVLARENVFPERPDQPECQFYMKTGDCKFGAACKFHHPRERLLPPPNCLLSPLGLPLRPGEPLCIFYSRYGICKFGPHCKFDHPMATPIGVYAYSLPTSSSADALVSRNLLVSSSSGPPSLEAATGKSR >Ma10_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21340645:21349458:-1 gene:Ma10_g06980 transcript:Ma10_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDAATAAGVHMRGGARAGPSAPVTEAASSLPLRPTIDGGQETMWQMSLREIESMESGPYPERPGEPDCTYYLRTGLCRFGMTCRYNHPPNRQMAIAAARIKGGFPERVGQPECQYYLRTGTCKFGATCKFHHPRDKAGIAGRVQLNALGYPIRLNETECAYYMRNGVCKFGSTCKFHHPQPSNTMANVRGSTMYPGVHSPTSSQQSYHGGLTPLSRPSFIPSPRWQGLSSYAQVIVPQGLVQVPSWNTYSGQLGSVSSSESQLHLPRTTQFYGTSRQNETIAGVQGTIPSYRSSAIPLGQYVLARENVFPERPDQPECQFYMKTGDCKFGAACKFHHPRERLLPPPNCLLSPLGLPLRPGEPLCIFYSRYGICKFGPHCKFDHPMATPIGVYAYSLPTSSSADALVSRNLLVSSSSGPPSLEAATGKSR >Ma10_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:12685931:12715198:1 gene:Ma10_g03240 transcript:Ma10_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDHSSIPRDLRPSAAPGRAQPPPPWVLPGGPLFSPAAAVAGGNLSPRKVKLLCSFGGRILPRPSDGALRYAGGHTRIISLRKDVSFPEFVGKMAEAVGASAVAVKYQLPGEDLDALISISCPEDLVNMMEEYDKLATASPDGSAKLRAFLFSPSDLASADPSLHLGAVDDVGQRYFEAVNGFAVSDGGGGPLRKRDSGASAASSTQNSDSTTIGDAAEDGASEGMSPSLPSPVVDWPHDAPRSVYPGVNNPIVSPDSPVGIPSGSVLAPGMGVPAQNAPFSRLEKLPMVVPYTPPAYVEPHQLQYITPQHLGLMAGLQPINVAPVTMASYVPGGVSSSMLSVTSQVDNLQAIQPRLVPGLENPYGGRISPVAADQNLKAFQPLSQLPPLPPTYLPPPLPPPLPPSILEDCLMCQKALPHAHSDTLVQEHGIETLDNFHEVGKMFYSQRSEDLAKHRVATVVTGAPASNMMEPSVESLNTLNQSAGSPLPHITELRHANERPNVKKADDCPGVVELPAEANTLIYAENPSTQDHAHQFTDHNVEPVKGKMVTLSSSPFGPSKTICQGRPAVALSDDPSDVKLDVPYLVVQNGHKVIPQSGDNALLIGNSTVNDRFIPEGNYAKPIEQPPSATSEFTQLQSLLKDYELNQGMKTIENYGPFPYSQGIGIEHSAPYENFHTNVSNTNPFTAFEIYSSQLPSRKKPNNLAVYPGGSIPFPLSSLSNPSENTESFSEIMASDRDFYDQDPFKVLGHANVPQPRPNRIVSKESVVLNDPCIAHHRTNKSDIIAMLEEGNFHHPADSMIKDLYLEPDRLTKASEEERIKQQLQAVAEDVAALALQPSVPTASVALGTQNLGSIEGSKELEKAILDEEIEESISTQLDEENSRVQLSEDIGHLQIINNSDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKKSEAERMRADFWNEACKLADLHHPNVVAFYGVVLDVPGGTIATVTEYMVNGSLRQALHKNDKAFDRRKRLLIAMDVAFGMEYLHAKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCKTLISGGMRGTLPWMAPELLYGNDSLVSEKVDVFSFGVMMWEILTGEEPYADLHYGAIIGGILSNTLRPPVPEFCGSEWRLLMEQCWSAEPQERPSFTEITNRLRSMAASIVHKGQPQARK >Ma10_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20579542:20603843:1 gene:Ma10_g06920 transcript:Ma10_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKKVGKAAQGTTKSLPKLTKKKLKLEEDPDSGNQTAGGASTEAENNLRILKGKKVWKAAKGTSKLLVKLRKKKLKLEEDPSFDDQTVEGASTEAKNNLRILKAGQTSENGSVEVKEQSETVGSSGVEEACIGKRIKTKKLKRVGKSKRSDHVSEKKVQLEKLAEVDSEKVDEISSVDEDCTRGMKKWLINYKESRQGLKILQQRIDEFITAYEAQQEQERREREAIAAEGGWTVVVHHKGRKKTTDSESGITVGSVAQAAVLDNMARKKNKEVALDFYRFKKQEAQRSEVMLLQSKFEQDKKRIQQLRAARKFRPY >Ma10_p06920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20579534:20603843:1 gene:Ma10_g06920 transcript:Ma10_t06920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKKVGKAAQGTTKSLPKLTKKKLKLEEDPDSGNQTAGGASTEAENNLRILKGKKVWKAAKGTSKLLVKLRKKKLKLEEDPSFDDQTVEGASTEAKNNLRILKEHKKNKAKPMEKKGKLSKRKKGGEDNKSFTSNGGQHLNKDDHVFDLINSGQTSENGSVEVKEQSETVGSSGVEEACIGKRIKTKKLKRVGKSKRSDHVSEKKVQLEKLAEVDSEKVDEISSVDEDCTRGMKKWLINYKESRQGLKILQQRIDEFITAYEAQQEQERREREAIAAEGGWTVVVHHKGRKKTTDSESGITVGSVAQAAVLDNMARKKNKEVALDFYRFKKQEAQRSEVMLLQSKFEQDKKRIQQLRAARKFRPY >Ma06_p38100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36975407:36978177:1 gene:Ma06_g38100 transcript:Ma06_t38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase 7 [Source:Projected from Arabidopsis thaliana (AT5G15720) UniProtKB/Swiss-Prot;Acc:Q8LFJ9] MDKMSGDRAGPSFLTVLAFMGFLCASECARAPAMFVFGDSLIDNGNNDYIPSIARADYYPYGIDFGMPTGRFCNGLVVTDYAAIRLGLPFPPPYLSLASQTTMILRGVNYASAAAGILDETGRHYGSRITFNRQIELFAQTVRLQLPLLIPDPVALTQYLASSLFVINIGSNDYINNYLLPDLYTSSRTYSGEEFAKLLIHNLAQQLTSMYNIGARKMLLVGIGPLGCIPSQLAMNNITIRCVERANELVKQFNQYLIPAMIKLNSTLPGSFFVYHNIYDTFSDMIQNPSKYGFSISNQACCGNGRHGGQLSCLPLQKPCTTRDQYIFWDSFHPTQAANAIIADKCYSGTARDCFPISGYQLAEM >Ma05_p31890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41603545:41610053:-1 gene:Ma05_g31890 transcript:Ma05_t31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRYLIRNEYGLADPELHRAADKDDPEAILEGVAMAGLVGVLRQLGDLAEFAAEIFRDLHEEIMGTAARSHGLTLRVQQLEAEFPSVEKSFLSQSSHSSFAYNDGIDWRCTIQMDQNLITQGDMPRFILDSYEECRGPPQLFTLDKFDTAGAGACLKRYSDPSFFKMESVSSGLLETYIPKEKKSRKTRKKGSRWRNCQSLESLLSPHANSNLHPTTSDQVSNKSATKFRRLRSRNSNGTSGSIGINLRKLLLELHSDKQKVVYDNSGSRLNINVNLVDSSELTCELHDTVMDVSANHPLARYASPIKTPTKEVPVLTTYELDCWKEEVEELSEAQYEPFGQVQSPQRIFNFMEKNEKLADSEKKSEGSACDYKLSDLEKTTSLHVVDYTLVEDELKLEGSLDGYRSEDIGSQILVF >Ma01_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7097169:7100823:-1 gene:Ma01_g09880 transcript:Ma01_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEASIAAAEVELVVADVDPETAFLQKQRRRLREAEVEDGSAVATGGFEWEVYKENVRPLKRGRNVKLLNHALRSQADRHLKASLLGTRRRMIEAIDEYQGEDPLQPWLECIKWVQESFPTGGECSGLVVMYEQCVRTFWHDERYREDLRYLKVWLEYADHCADAEVIFQFLDANQIGQNHSIFYTSYAMHLEAKNRLRKADDVLNLGLSRKATPREKLEAAYREFLIRSSRKKHGNEDDLLDNPLPMRSFGTVLTSAESRRQTAENLVFSKRMATLQRVDTNKHLSVYKDANSGTNYHLPNLKKNEMPWNTLGCRSDRNKENASIPTKWSSYKIPQKIGHSAGSATSSACIEVYVDEECSELPLVQVTKNPKSSILKLRQATSRNIKKETEMLKANPLRNFPPSSLR >Ma06_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7925909:7928051:1 gene:Ma06_g11310 transcript:Ma06_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLQDHKSSNHISSRLPTLISVSFLITFGFCFGLVSSLYVRVSFPSAQTKQILFRSSPPRPPPSPPTLSPPRVVQDRSFVGKQGIGQNGYVKPRNFMHDMEDEELLWRASMVPRIRKLPFKHAQKVAFLFLTRGNLPLAPLWEEFFKGNEGSYSIYVHTDPSFDWSVPKSSVFYGRRIPSQVVRWGTISMLDAERRLLANALLDFSNRRFVLLSESCIPLFNFPTVYSYLINSTEVFVEVYDDPGPNGRGRYKSTLKPGIEFKQWRKGSQWFAVDRGLAMEIVSDEKYFPLFQRYCRPSCLADEHYLPTLMNVRSFWGTANRSLTWADWSKGGPHPARIGRNEITAELLQRMRNGSACSYNGRTTRICYLFGRKFLPNSLNRLVRLAPKLMDFGGS >Ma02_p18580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25024495:25051659:-1 gene:Ma02_g18580 transcript:Ma02_t18580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYFLRVLLLLLLFALFVSPEIGSSRLAFALEDRGERFSILNYESLWHDYSPPAPPPSPPDPPSSSCEADLGGTGDFDTLCELHTSVELSSDFFAKANGSFVLYPDVVLSCPFAGCSIVVNLTGEVRIGRNAKIVAGGVRVGAGNMSLADGAAIDTTALAGDPPPRTSGSPAGINGDGGGHGGRGASCVVKEGQTQEDSWGGDAYSWSTLTEPISYGSKGGSTSREKDYGGGGGGRVYLLVKDALEVNGSITADGGEGGSLGGGGSGGSIFINAAKMRGTGKISASGGSGLAGGGGGRVSIEVFSWHDDPHVFVHGGKSFGCPENAGAAGTLYDAVPKSLIVSNHNLSTQTDTLLLEFPYQPLWTNVLIRNCAKVAVPLLWSRVQVQGQLSLLCGGILTFGLTHYPYSEFELMAEELLMSDSIIKVFGALRMSVKMLLMWNSKMFINGGRDTLVATSLLEASNLIVLKESSVIHSNANLGVHGQGLLNLSGPGDLIEAQRLILSLFYSIHVGPGSILRGPLINATTDDMAPRLDCEKKDCPLELVHPPEDCNVNSSLSFTLQVCRVEDIDVAGLVQGTVVHFHRARSVFVHPTGKISATGLGCKGGVGRGNILGNGLGGGGGHGGKGGDGCHDGVVVKGGIAYGNADLPCELGSGSGNDTVPTSTAGGGIIVMGSMERSLASLSVYGSVEADGENFREAATGSSDGGPGGGSGGTVLLFLHSLTLGGSSVLSSVGGHGSCGGGGGGGGRIHFHWSDIPTGDEYLPVANGKGKINTWGGMSRGSGLPGENGTLTGKTCPKGLYGLFCEECPLGTFKNVTGSDEALCYPCPSNELPHRAVYTNVRALEELIYTFGGPWLFGLLLSGLLVLLALVLSVARMKFVGMDELPGPAPTQPGSHIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFSEPWHLPHSPPKQIIEIVYEDAYNRFVDEINALAAYQWWEGAIYSILCLLAYPLAWSWQQWRRRKKLQLLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRFPICLIFGGDGSYAAPFLLHSDNVLTSLMSQAVPPTIWYRLVAGLNAQLRLVRRGHLKVTFMPVLSWLESHANPYLRQHGVCVHLAWFRATALGYCQFGLVVYAVEGETESTIDGGSRTLKMDQSSRVHSPQRDNKPGGTMNREAVLHKRISGGVLDSSSLRMLEEKKKDLFYPFSLIVHNTKPVGHQDLVGLVISILLLADFSLVLLTLLQLYSFSMVDIFLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNIASLINVIVAFICGFVHYKSSSRKHQNLQPWNLGVDESGWWLFPAGLVLCKCIQARLVDWHVANLEIQDRSLYSNDPTLFWQS >Ma02_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25024495:25051659:-1 gene:Ma02_g18580 transcript:Ma02_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYFLRVLLLLLLFALFVSPEIGSSRLAFALEDRGERFSILNYESLWHDYSPPAPPPSPPDPPSSSCEADLGGTGDFDTLCELHTSVELSSDFFAKANGSFVLYPDVVLSCPFAGCSIVVNLTGEVRIGRNAKIVAGGVRVGAGNMSLADGAAIDTTALAGDPPPRTSGSPAGINGDGGGHGGRGASCVVKEGQTQEDSWGGDAYSWSTLTEPISYGSKGGSTSREKDYGGGGGGRVYLLVKDALEVNGSITADGGEGGSLGGGGSGGSIFINAAKMRGTGKISASGGSGLAGGGGGRVSIEVFSWHDDPHVFVHGGKSFGCPENAGAAGTLYDAVPKSLIVSNHNLSTQTDTLLLEFPYQPLWTNVLIRNCAKVAVPLLWSRVQVQGQLSLLCGGILTFGLTHYPYSEFELMAEELLMSDSIIKVFGALRMSVKMLLMWNSKMFINGGRDTLVATSLLEASNLIVLKESSVIHSNANLGVHGQGLLNLSGPGDLIEAQRLILSLFYSIHVGPGSILRGPLINATTDDMAPRLDCEKKDCPLELVHPPEDCNVNSSLSFTLQVCRVEDIDVAGLVQGTVVHFHRARSVFVHPTGKISATGLGCKGGVGRGNILGNGLGGGGGHGGKGGDGCHDGVVVKGGIAYGNADLPCELGSGSGNDTVPTSTAGGGIIVMGSMERSLASLSVYGSVEADGENFREAATGSSDGGPGGGSGGTVLLFLHSLTLGGSSVLSSVGGHGSCGGGGGGGGRIHFHWSDIPTGDEYLPVANGKGKINTWGGMSRGSGLPGENGTLTGKTCPKGLYGLFCEECPLGTFKNVTGSDEALCYPCPSNELPHRAVYTNVRGGVAKTPCPYKCVSERYHMPHCYTALEELIYTFGGPWLFGLLLSGLLVLLALVLSVARMKFVGMDELPGPAPTQPGSHIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFSEPWHLPHSPPKQIIEIVYEDAYNRFVDEINALAAYQWWEGAIYSILCLLAYPLAWSWQQWRRRKKLQLLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRFPICLIFGGDGSYAAPFLLHSDNVLTSLMSQAVPPTIWYRLVAGLNAQLRLVRRGHLKVTFMPVLSWLESHANPYLRQHGVCVHLAWFRATALGYCQFGLVVYAVEGETESTIDGGSRTLKMDQSSRVHSPQRDNKPGGTMNREAVLHKRISGGVLDSSSLRMLEEKKKDLFYPFSLIVHNTKPVGHQDLVGLVISILLLADFSLVLLTLLQLYSFSMVDIFLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNIASLINVIVAFICGFVHYKSSSRKHQNLQPWNLGVDESGWWLFPAGLVLCKCIQARLVDWHVANLEIQDRSLYSNDPTLFWQS >Ma07_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9776651:9777814:-1 gene:Ma07_g13010 transcript:Ma07_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSKLSTDVLGLIHDKLSIPDCIRLKAACKSWNLAFKFEHHCTPKLQSPWLMLPDHNDTVAWFFSIADKKIYKVPCPEPMIRRRICIGSCHGWLITVDDNCNMHLLNPLTGVQIPLPSVTTLPFVIIVRDSQERINNFMIGEQQTNGDVVYLAYSVWRMRRFFFHKAVLSMAPDADGNFTVVMIYSVRKRLAFARAGDEAWTSIQTPHGFHDVIHCDDKFYTASHGGTVMAWEPNGLAIVSEIVSSDIDEAYIGCMMYLVESPDGNLMLIARHAGEDPIISHTSLFLVFALDEQDLRWKTVKSLHQQTLFLGSNQSMFLSAVDFPELKHNCIYFTNNILEFCAYFQYADSDIGTFNMESKKIEPIDHVGRHLNWPPSVWFFPSLS >Ma07_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4550834:4559171:1 gene:Ma07_g06310 transcript:Ma07_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMEGLHILEDRKERRSDVENSEDERRRLTIGALKKKALSASSRFTHSLKKRGKRKDVHRTSSVSIEDVRDVEEERAVYTFRKELISRELLPEKHDDYHILLRFLKARKFDREKAVQMWVDMLHWRKEFGTDTILEDFMFEELEEVLRHYPQGYHGVDKEGRPVYIERLGQVEPNKLMHITTVERYIKYHVQEFEKAFHEKFPACSVAAKRHIDSTTTILDVHGVFYMMQGLKNFSKTARDLLLKMQKIDGDYYPETLYQMFIVNAGHGFRLLWNTVKGFLDPKTTSKIHVLGTKFQGTLLEVIDSSQLPDFLGGSCTCAAEGGCLKSNKGPWNNPNIMKLVQNAEAASLRHTRRMSDGDEAFAGPYLLKGRSSGTWTLESGSDVDDLASRTVEHSRLAPVCEEVRARDSTAYHSCDDHIVVVDKAIDCGRRGVQSNKGILDFENQGRSSYGIATPNPQDSLSIGSRTITREDSGERFFQWLARVLIAFLIKILSYIHVIGFREGELSNIHPSDAQNTAAQCSLSMENIEDRLSPCLERLQRLEMMFDELTNKHAEIPFEKERVLLESWDRIKHVEFDLEKTKRVLHATVMKQLDIAESLDAMQDLKLRKRIFC >Ma07_p06310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4550834:4559171:1 gene:Ma07_g06310 transcript:Ma07_t06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGLHILEDRKERRSDVENSEDERRRLTIGALKKKALSASSRFTHSLKKRGKRKDVHRTSSVSIEDVRDVEEERAVYTFRKELISRELLPEKHDDYHILLRFLKARKFDREKAVQMWVDMLHWRKEFGTDTILEDFMFEELEEVLRHYPQGYHGVDKEGRPVYIERLGQVEPNKLMHITTVERYIKYHVQEFEKAFHEKFPACSVAAKRHIDSTTTILDVHGVFYMMQGLKNFSKTARDLLLKMQKIDGDYYPETLYQMFIVNAGHGFRLLWNTVKGFLDPKTTSKIHVLGTKFQGTLLEVIDSSQLPDFLGGSCTCAAEGGCLKSNKGPWNNPNIMKLVQNAEAASLRHTRRMSDGDEAFAGPYLLKGRSSGTWTLESGSDVDDLASRTVEHSRLAPVCEEVRARDSTAYHSCDDHIVVVDKAIDCGRRGVQSNKGILDFENQGRSSYGIATPNPQDSLSIGSRTITREDSGERFFQWLARVLIAFLIKILSYIHVIGFREGELSNIHPSDAQNTAAQCSLSMENIEDRLSPCLERLQRLEMMFDELTNKHAEIPFEKERVLLESWDRIKHVEFDLEKTKRVLHATVMKQLDIAESLDAMQDLKLRKRIFC >Ma07_p06310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4550834:4559171:1 gene:Ma07_g06310 transcript:Ma07_t06310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMEGLHILEDRKERRSDVENSEDERRRLTIGALKKKALSASSRFTHSLKKRGKRKDVHRTSSVSIEDVRDVEEERAVYTFRKELISRELLPEKHDDYHILLRFLKARKFDREKAVQMWVDMLHWRKEFGTDTILEDFMFEELEEVLRHYPQGYHGVDKEGRPVYIERLGQVEPNKLMHITTVERYIKYHVQEFEKAFHEKFPACSVAAKRHIDSTTTILDVHGVGLKNFSKTARDLLLKMQKIDGDYYPETLYQMFIVNAGHGFRLLWNTVKGFLDPKTTSKIHVLGTKFQGTLLEVIDSSQLPDFLGGSCTCAAEGGCLKSNKGPWNNPNIMKLVQNAEAASLRHTRRMSDGDEAFAGPYLLKGRSSGTWTLESGSDVDDLASRTVEHSRLAPVCEEVRARDSTAYHSCDDHIVVVDKAIDCGRRGVQSNKGILDFENQGRSSYGIATPNPQDSLSIGSRTITREDSGERFFQWLARVLIAFLIKILSYIHVIGFREGELSNIHPSDAQNTAAQCSLSMENIEDRLSPCLERLQRLEMMFDELTNKHAEIPFEKERVLLESWDRIKHVEFDLEKTKRVLHATVMKQLDIAESLDAMQDLKLRKRIFC >Ma07_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15233941:15235155:1 gene:Ma07_g16700 transcript:Ma07_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLKTDGGGAQDTHLHPDPHHPNPEPGLISSTVNARQLLISCAELVHCGDLPAAERAISILTAAAAPYGDSIDRLIRQFCRALSVRIGRVSPSAASLGSLQSSYLLFNQMTPFLRFSHLTANQAILEAVDGHRHIHILDFDTYYGLQWPPLLQAIADRSDPGDPPFIRISGTGSSLETLQRTGDRLRNFAHSLGLEFQFHPLLLPPSVHSTNTSYNFTPSCLQFHPSETLVVNCVLFLHKLQREDGNDDGSRKLQAFLRTIRVMNPSVVTVAERETVHSSRSFMQRFVEALDYYTAVFEALEATLPPTSEERMAVEQVWLGREIESIVGGEGDGRRERHERWDSLMRDAGFSSLAPSTFAVSQARLLLRLHYPSEGYQLQLVRDSFLLGWHSRHLFSVSSWH >Ma05_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32595033:32597666:1 gene:Ma05_g20880 transcript:Ma05_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKAYARAAPDEASGHGPEPEITFPTGAAERPDHDDALVISARVANAQMRRIMVDTGSSADILYLGAFQKLGLARENLSPLCSALTGFTGDSISPLGAITLPMTLGTPPKSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYYRTVKFPTREGVGEVAGSPQEFRRCYLTSVSLGKRARGEAPLEDPREAKKPSPHPEPRGSTVDVPLREARPDHTVRVGSELPEREREQLVGLLRENADIFAWSPSDMRGVDPEVAQHHLNIPPDARPVKQKPRRHAPDRQRAIQEEVDRLLAAGFIEEAKYPRWLSNVVLVKKHNGSWRMCVDYTSLNSACPKDCYPLPKIDQLVDAIAGHARLSFMDAYSGYNQIRMAPEDREHTAFLTDQGIYFYKVMPFGLKNAGATYQRTVNKMFAHQIGRNMEIYVDDMIVKRRKAGTHLADLAEAFATLRKFGMRLNPAKCAFSVTSGKFLGFIVHQRGIDANPEKVQAIIDMQSPRTVKDLQRLNGRLVALSRFLAQLGDRCLPFFKALKDPKSFQWTSECEEALRQMKQHLASLPRLASVSSDEKLGLYLAASPRAVSSVLVKESSGPQLPIYYVSHEHPVEVITDQPLRQILTKFDVAGRLLRWAVELGEHDISYVPRTAIKAQAVADFIAELAQMDGDPGQAPEVWTLHVDDSAKSRGAGAGMVLLAPDGRSFERSLRFEFKATNNEAEYEALLAGLMLALEMQLNGGYEARDATMAKYLARVRDLTARFPYFTLSNVPREENGRADALAKLASRQAPEAWPRIEELPARAVEVAATAPGDAPTTWVQELLRFKRDGTLPLD >Ma06_p37830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36778450:36781016:1 gene:Ma06_g37830 transcript:Ma06_t37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARRGGRRRPGLKLLLFLHASLFLNVFFFCYLVLSRHQPPPGWARSAARDAEAVAAIDCSGHGRAFLAGGGLPACECNACYSGDDCSRLLLDCPADADSEDPLFLEPYWQQHASTSSIVSPGWQCMSSQTIGGDFNSAELDRHVRLLHKAAENAITDGKFILFGSEVAELLHALVHALSADNASSSPACLVASAPHNPFGRRQHECIGIRSNYVKGSVSPATKIIEYVTSPNDPDGLLQQSVLGASAVIHDHAYFWPHYSSIPAPADEDIMLFTISKISGHAGIRLWWAVIKDQKTYQKAAEYMSLNTMVVSCDVQLRVLKLIRVVIAEMGKGGGIFEFGYKTLKTRWSKLHKLVSSSNRFSIQPLLPRYCNYFKRIRDPSPAYAWLKCETEEDKDCYSVLKTSGITSRSGTLFEANSHYTRLSLVKTEDDFDLLTMRMEALLSKELIASS >Ma01_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9696916:9698398:1 gene:Ma01_g13250 transcript:Ma01_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLNIRDGTARFRRATLCSSAVHLLMLASVLTTNLFALYAFTSAPSPSAAPAFFRHHPGVGGGRGNVSVISDHVSLIVREIEASERRLHMIERELAGYDILDTSKHSLPSELKLFLAHHPLPLGRDARSGITEMVASVAHSCARSPAAELLARYMSYRPAAPCPTDDYLLPQKLVAKACEPLPRRRCLSRPAASSAAIPRLSFAQSLWNPGAKNPGAGIYGIDKQMWVKPRSKNDFLIDDVLALGNGGIRIGFDIGGGAANFAARMAERNVTVVTSTLEIGGKPMNEFVAARGLFPLLLSPAQRFPFYDSVFDLVHAINALDEGGAPSLGQASRTEVLEFFMFDIDRVLRAGGLFWLDNYLCADDDRKRTVTRLLERLGYKKLKWVVDEKAGASSSGKTQIRLSAVLQKPARSRTASHSPENR >Ma03_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28249055:28252100:1 gene:Ma03_g23780 transcript:Ma03_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MANYSGYPAPNYAPSAPPMPDSYSAGRPPTEGYAHPPPPRSYSHPYPSPWASGAGGAGGSYFPPGTPPEVIRSFQAVDRDQSGFIEESELQAALSSAYHKFSIRTVRLLMFLFKNPSNPSKMGPVEFAALWGCLGQWQAIFYRFDRDRSGKIDSVELKDALISLGYAVPPSVIQVLISNYTDVYGRGALNFDNFVECGMIVKGLTEKFKERDTRYTGSATFSYDDFLLMIIPFIVP >Ma10_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29892047:29893483:-1 gene:Ma10_g19300 transcript:Ma10_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGRGGRAEAERWLAVAERLLGVRDLLGTKRFAERAMEVDPLLDGVDQILAVADVLLASQRRVNNHVDWYAVLQLPPPSPSPSSSSSTDAGDAAAVKRQYRRLALLLHPDRNRAPGADAAFRLVVDAFAVLSDPTKKSLFDAELHIANSAAAAAAASSSKPFPISSSSAAADPFWTACLSCCHVHQYAREYLNLTLRCPNCRRPFQATKLAAAPPVVPGTNMYYCSWGFFPLGFPGGPSFYAGNCSAPDLNSEWKPFFPMFPNSEKIDPSQNSKHGQEDVTPPREPQNTVNTGNVVEPQSTGPVTRSKKKMAMKKVVGGPKKSPLGGGNRRKRNGDQNPPTVDPEQWAGSEVMPTNTGGVEGRGININQEFKGGSESGVQGNDDYTMNFHIDVNATDEILDNLQNLPFLRDEEIPLRVP >Ma08_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33785381:33788687:1 gene:Ma08_g19900 transcript:Ma08_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVILISRQGKVRLTKWYSPYQQKERSTVIRELSGLIPTRGPKLCNFVEWKGYKVVYRRYASLYFCICIDPDDNELEILEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKTVARLIAAQDSPVETAKEEASSISNMMSQAM >Ma11_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:850696:852296:-1 gene:Ma11_g01180 transcript:Ma11_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNVHITSLGLLHFLVTSGRIVSAKPAKAHCKNPYFWRCYGVPHTCPPGCPKFCQVDCKICKPYCACDKPGAVCQDPRFIGGDGIMFYFHGRKDKDFCLVSDAGIHINGHFIGKNNRKGRDFTWVQSIGVLFGRHRLFVCARKVSRWHAFDDNIHIQLDGADVEIPSGEGAVWESRGAGLTIERVAAENDVVVEVTGLVEIRARVVPITAEESRVHGYDIAEDDDCFAHLELSFKLSSPSASLHGILGQTYAPDYRSRVKIGAAMPIMGGEKKFSSSHLFATDCAVSRFGTEGEEEGNELKTANVAKSQ >Ma04_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7919139:7919931:1 gene:Ma04_g11240 transcript:Ma04_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILLEIHLSRYMLNSTLGRSTHLVQSFSVVFLYWFYVFSELVLRSEHLRRPAQSATKK >Ma05_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2139164:2156037:1 gene:Ma05_g03040 transcript:Ma05_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPENIVEEEVAVETENSHETKQEHDDLTATEAGEKKWPGWPGESVFRILVPAHKVGGLIGRKGEFIKKLCEESRARIKILEGPPGVAERAVMISAKEEPDASIPPAMDALLRVHKWIIDGLDGESGRAPPGSDNTVSTRLLVSATQAGSLIGKQGATIKAIQEASSATARVLDNLPPVALPDDRVVEIQGEPNGTHKAVELIATHLRKYLVDRSVLPLFEKRLSLSNVHMEQNMPAAQTWSQPQGLPPNSGGLGYGGNPQFIPPRPHHNFYPPPERPLLEKQPHHGISLYGQNTSPMGIHSAANQHAPTMISQVTQHMQISLSYADAVIGEAGANISYIRRSSGATITIQESRGVPGEMTVEITGSATQVQTAQQLIQNFMAAAAAPQPSTVGSSDHGYSSYQAHGPMYGSPANAGPYGSSTYGANYGY >Ma05_p03040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2139196:2156037:1 gene:Ma05_g03040 transcript:Ma05_t03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPENIVEEEVAVETENSHETKQEHDDLTATEAGEKKWPGWPGESVFRILVPAHKVGGLIGRKGEFIKKLCEESRARIKILEGPPGVAERAVMISAKEEPDASIPPAMDALLRVHKWIIDGLDGESGRAPPGSDNTVSTRLLVSATQAGSLIGKQGATIKAIQEASSATARVLDNLPPVALPDDRVVEIQGEPNGTHKAVELIATHLRKYLVDRSVLPLFEKRNMPAAQTWSQPQGLPPNSGGLGYGGNPQFIPPRPHHNFYPPPERPLLEKQPHHGISLYGQNTSPMGIHSAANQHAPTMISQVTQHMQISLSYADAVIGEAGANISYIRRSSGATITIQESRGVPGEMTVEITGSATQVQTAQQLIQNFMAAAAAPQPSTVGSSDHGYSSYQAHGPMYGSPANAGPYGSSTYGANYGY >Ma04_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7784374:7786102:-1 gene:Ma04_g11050 transcript:Ma04_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGDSSEGDCTSSARIQRSLGRSMRTVRSNVFRSDSPPDAPPAAGSAAVSENLTDSVVDFQLQELAVGPPRSSDPKCAAASVESAAELLELSRDFSDCSSVNSDISGELERLASVPRTVAPTIPAAAGPDDLEALGLGFGSSEIVERTSVESVEPAVRACMEGLGSSSPEKKRAAAAGIRLLAKHRSEFRELIGASGAIPSLVPLLKSTDPAAQENAVTALLNLSLEEANKGPIMAAGAIKPLVYALRTGTAVAKQNAACALLSLSMVEENRSTIGACGAIPPLVALLVGGTSRGKKDALTTLYKLCSARRNKERAVSAGAVPPLVELVAERGGGTAEKALVVLGSLAAVPEGRAAVVEAGGIPVLVDAVEAGPTRGREFAVHALLQLCADSERNRGLVVGEGVIPPLVALSQTGSSRAKHKAETLLRFLREQRQDDGATPATAV >Ma03_p25860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29802841:29806270:1 gene:Ma03_g25860 transcript:Ma03_t25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSACPTPSTVTSNGSWDGDNPLHHALPLIILQICLVVVVTRALAFVLRPLRQPRVIAEIIGGILLGPSALGRSTRFTENVFPKHSMTVLDTVANIGLIFFLFLVGLELDIRAIRRTGKGALAIALAGISLPFVLGVGTSVVLRSTIVKGTRQGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGNGSPIVSLWVLLTGIAFVTCVAVFLRPVLAWMARRSPEGEPVKESYICATLTLVLAAGFTTDAIGIHALFGAFVVGIAVPKDGPFAGVLIEKVEDLVSGLFLPLYFVSSGLKTNVATIKGGRSWGLLVLVITNACLGKIVGTIIASVVVKVPIREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDETFAIMVLMALFTTFITTPIVMGIYKPARRAAPYKHRTVERSDMDSELRVLACFHGSRNIPTMVNVIESSRGTRRRRLTVYAMHLMELSERSSAISMVHKARRNGLPFWNKGGNADQMVVAFEAYRQLSAVTVRPMTAISDLDTIHEDIVTSAQQKRAALILLPFHKLQQIDGTFESIGHAYHLVNQRVLRHAPCSVAILVDRGLGGTTKITSNEVSYTVAVLFFGGPDDREVLAYGTRMAEHPGVSLMVLRFLPAATVDQDELAKDEACISNFRANLPSSSAPDGSVKYEETSSSDKAGIIAAIKNLGRCNIFIVGRSPPAVALVEKSDCPELGPVGSYLAASEFSTTASVVVIQRYDPKGDTSTLVEEVAVVHDVPDTPLAFATPESSLHDKGKGR >Ma04_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11408352:11412491:-1 gene:Ma04_g15070 transcript:Ma04_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESSGRAAASDSNSFDFGSDDVLCPYGDYAAQDPSIGKRSNLPGTDIQECRMGRSLVSAYEKEDCSKYSVTSSVEKCMKKYADTLLQSLEAISGRLSQMEIHFHKLEQSLCELRGDFIQDQSDKDLNFKSLEKHLQEVHRSVQIMRDKQELAETHKELAKLQMVQKESVEKKEEVVARSVSEPKKLDDKPDVANQQLALALPRPATSPPILPDGTSQSIQPYKELPMQHQPPISYNIQLDQIIMSQAARYYHHHQTLPHSQPVQARPQLQDGPVQAPPRQPLIANQNRPPSFSQYQQQLPSQPAQHLAQQVAQPQQPASQTQPSYPPYPPQPVPATFPDSSVPTQVPQPNVTAIRPEVLPFGDGRTGSLGSQPHLHNMQQPMLSPVSQSSFGSQLSKGSYMGGSTTHPPPPYNLQGYNAASSYPPNNNFPVARNQQIPPSNSAALPYDSRLTRNHSYGEMIEKAVGMGYDRNQIIGAVQRMVESGQPMDFHSLLDRLNGQAAAAPARAW >Ma04_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7362648:7366039:-1 gene:Ma04_g10340 transcript:Ma04_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSISVMMFGMWRWSGGTRRSWSNTLRIRKQSNKRWLPIADIYSRIKKIFVLMTFHVPYLCFITWGYSEEPSSNFKWRKHWK >Ma07_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9708646:9710305:-1 gene:Ma07_g12880 transcript:Ma07_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G45474) UniProtKB/Swiss-Prot;Acc:Q9C639] MAFAVSTTVAGIHAGEAPHAFASSSLNFPAGGRRRGPFHSTFSSRPPPRPVATRLLARAQLQRATWLPGLDPPPHLDGTLVGDFGFDPLGLGEDPQSLKWYVQAELVHCRFAMAGVAGILVTDLLRVTGFGNIPVWFEAGAVKFEFASTEALFVVQLLLMGFVETKRYMDFIKPGSQAQEGTFLGLEAALEGLQPGYPGGPLFNPLGLAKDIENASELKLKEIKNGRLAMVAMVGFFVQANVTHVGPIDNLTSHLADPFKNTIIHNVFDSAS >Ma04_p21670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24185322:24187369:1 gene:Ma04_g21670 transcript:Ma04_t21670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MELIIGQSHSGVLALASSRSLLGGRFALRCLSALRTTGFHKAAHPKNRAEAPDSSLSLSSLGFKPQIEPGRKKDRSFVDIGTLKPAATKVSPARDKPIKLRKAKLQIDESLFSAKSFAELGLSPRLIDRLNEAGLTVPTDVQSVAIPTIAQKHDVVVQSYTGSGKTLAYLLPILSEVGPLKRVSDDGMTPEQKPGIDAVIVAPSRELGMQIVREVERLLGPADKKLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRIAEISAAGKLHTHGCQFLVLDEVDQLLSFNYREDMHRILEHVGRRSGTKPSGIMGPLARRTDRQTILVSATIPFSVVRAARNWGRDPLLVRAKSVVPLDSLPAPGPGVLASDSETTSTTSSVTQGATESLPPSLKHYYCVSKIQHKVDTLRRCVHALDAQTVIVFMNNTKPLKDVVFKLEARGIKAEELHGDLSKLARATTLKKFKEGELRVLVTNELSARGLDVPECDLVVNLDLPTDSIHYAHRAGRTGRLGRKGNVVTICEEPEVFVVKKLRRHLGVGIQPCEFTEGKLVVSEEEE >Ma04_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24185322:24187470:1 gene:Ma04_g21670 transcript:Ma04_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MELIIGQSHSGVLALASSRSLLGGRFALRCLSALRTTGFHKAAHPKNRAEAPDSSLSLSSLGFKPQIEPGRKKDRSFVDIGTLKPAATKVSPARDKPIKLRKAKLQIDESLFSAKSFAELGLSPRLIDRLNEAGLTVPTDVQSVAIPTIAQKHDVVVQSYTGSGKTLAYLLPILSEVGPLKRVSDDGMTPEQKPGIDAVIVAPSRELGMQIVREVERLLGPADKKLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRIAEISAAGKLHTHGCQFLVLDEVDQLLSFNYREDMHRILEHVGRRSGTKPSGIMGPLARRTDRQTILVSATIPFSVVRAARNWGRDPLLVRAKSVVPLDSLPAPGPGVLASDSETTSTTSSVTQGATESLPPSLKHYYCVSKIQHKVDTLRRCVHALDAQTVIVFMNNTKPLKDVVFKLEARGIKAEELHGDLSKLARATTLKKFKEGELRVLVTNELSARGLDVPECDLVVNLDLPTDSIHYAHRAGRTGRLGRKGNVVTICEEPEVFVVKKLRRHLGVGIQPCEFTEGKLVVSEEEE >Ma10_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29825226:29828544:-1 gene:Ma10_g19160 transcript:Ma10_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKCSKAPKIQRLVTPLTLQRKRARIAEKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSAASKPSIAA >Ma04_p39560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36653698:36653985:-1 gene:Ma04_g39560 transcript:Ma04_t39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVHAGALHPPCNLHVGSDASSCARALLPQLKGNPVLFGCITLDSFTCIFVERWIPDQDLALLICV >Ma05_p28150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39236321:39238240:1 gene:Ma05_g28150 transcript:Ma05_t28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLLLVLLLKTMCPNKNVRFRWDAVLIGLSMFKQKT >Ma07_p03410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2630649:2637985:-1 gene:Ma07_g03410 transcript:Ma07_t03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRACISPLHGRCSVGVSAVHVGRPLLEGRPSSISGIFLRFDPKDVSSSRLRCSANQNSFGSYHDKDPFLHLHPEVSLLRGERKDAVSDARNDKTGASIVENLRDSAAQDNYNEAKIKVIGVGGGGSNAINRMIESSMKGVEFWIVNTDVQAMRMSPVFPENRLQIGRELTRGLGAGGNPDIGMNAANESKESIEMALASADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRKRTVQAQEGIAALRNNVDTLIVIPNDKLLTAVSPNTPVAEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLYEVNAAAEVIYDLVDPGANLIFGAVIDQSLCGQVSITLIATGFRRQDESGSRPLQGAQIGGDNLGKNRHTSSPLTEGSMIEIPEFLRKKGRSHYPRA >Ma07_p03410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2630649:2638005:-1 gene:Ma07_g03410 transcript:Ma07_t03410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRACISPLHGRCSVGVSAVHVGRPLLEGRPSSISGIFLRFDPKDVSSSRLRCSANQNSFGSYHDKDPFLHLHPEVSLLRGERKDAVSDARNDKTGASIVENLRDSAAQDNYNEAKIKVIGVGGGGSNAINRMIESSMKGVEFWIVNTDVQAMRMSPVFPENRLQIGRELTRGLGAGGNPDIGMNAANESKESIEMALASADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRKRTVQAQEGIAALRNNVDTLIVIPNDKLLTAVSPNTPVAEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLYEVNAAAEVIYDLVDPGANLIFGAVIDQSLCGQVSITLIATGFRRQDESGSRPLQGAQIGGDNLGKNRHTSSPLTEGSMIEIPEFLRKKGRSHYPRA >Ma07_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2630649:2638022:-1 gene:Ma07_g03410 transcript:Ma07_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRACISPLHGRCSVGVSAVHVGRPLLEGRPSSISGIFLRFDPKDVSSSRLRCSANQNSFGSYHDKDPFLHLHPEVSLLRGERKDAVSDARNDKTGASIVENLRDSAAQDNYNEAKIKVIGVGGGGSNAINRMIESSMKGVEFWIVNTDVQAMRMSPVFPENRLQIGRELTRGLGAGGNPDIGMNAANESKESIEMALASADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRKRTVQAQEGIAALRNNVDTLIVIPNDKLLTAVSPNTPVAEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLYEVNAAAEVIYDLVDPGANLIFGAVIDQSLCGQVSITLIATGFRRQDESGSRPLQGAQIGGDNLGKNRHTSSPLTEGSMIEIPEFLRKKGRSHYPRA >Ma07_p03410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2630649:2638022:-1 gene:Ma07_g03410 transcript:Ma07_t03410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRACISPLHGRCSVGVSAVHVGRPLLEGRPSSISGIFLRFDPKDVSSSRLRCSANQNSFGSYHDKDPFLHLHPEVSLLRGERKDAVSDARNDKTGASIVENLRDSAAQDNYNEAKIKVIGVGGGGSNAINRMIESSMKGVEFWIVNTDVQAMRMSPVFPENRLQIGRELTRGLGAGGNPDIGMNAANESKESIEMALASADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRKRTVQAQEGIAALRNNVDTLIVIPNDKLLTAVSPNTPVAEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLYEVNAAAEVIYDLVDPGANLIFGAVIDQSLCGQVSITLIATGFRRQDESGSRPLQGAQIGGDNLGKNRHTSSPLTEGSMIEIPEFLRKKGRSHYPRA >Ma05_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36733040:36734652:-1 gene:Ma05_g24500 transcript:Ma05_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRGKRGEKTQFSITYGLLRQYLKEKGSFGSIGLDIMAPRPLHHQPHERYQSPTTLSLLPGVDVSTEDHTNDGTHQTAPKSMELFPQHAAGFGSSVLPLKEESASSIKHTEKSSQLTIFYGGKVLVFDDFPADKAIDLLQMAGKESSAAPKRGLPVPSSTNAAESSTQNGMPKPTQASASDMPIARKNSLHRFLEKRKDRISTKAPYQAHGGSSAAAPPDEVKLEDGQPWLGLGRHAVKQEHSSGSSR >Ma07_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2836252:2840247:1 gene:Ma07_g03700 transcript:Ma07_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate deiminase [Source:Projected from Arabidopsis thaliana (AT4G20070) UniProtKB/Swiss-Prot;Acc:O49434] MAVVSGKSSLFYSVPAKNASVPSLSIALILLLVAGLEAESVDDGRNQLFAGILRDEAVERINQLAKISDADGYLERTFLSSASVKAAQLIIEWMRDAGLRTWVDQMGNVHGRSEALNTTAETLLIGSHADTVVDAGKFDGSLGIICAISALKVLKTNGKLHKLSRPVEVIAFSDEEGVRFQSTFLGSAAIAGQLPASALRTSDKNGATIQDVLKENSFEGSDASLLQVKYDPESVWGYIEVHIEQGPVLESLGSPLGIVKGIAGQTRLKVKVSGSQGHAGTVPMLMRQDSMVAAAELIVNLESLCKYPDRALTYKEQCGSYKKESFSGLVCTVGEISSWPGASNVIPGQVNFTVDIRAMDDDDRGAIVTEFSRQVYQICDNRMVNCTIEHKHTAEAVHCDSELSQQLKQAAHSTVKKMSRKIQDEVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGVSHSPDEYVSDNDVWAAGLVLLHFLDQHVSGDCMSS >Ma07_p03700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2836335:2840247:1 gene:Ma07_g03700 transcript:Ma07_t03700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate deiminase [Source:Projected from Arabidopsis thaliana (AT4G20070) UniProtKB/Swiss-Prot;Acc:O49434] MRDAGLRTWVDQMGNVHGRSEALNTTAETLLIGSHADTVVDAGKFDGSLGIICAISALKVLKTNGKLHKLSRPVEVIAFSDEEGVRFQSTFLGSAAIAGQLPASALRTSDKNGATIQDVLKENSFEGSDASLLQVKYDPESVWGYIEVHIEQGPVLESLGSPLGIVKGIAGQTRLKVKVSGSQGHAGTVPMLMRQDSMVAAAELIVNLESLCKYPDRALTYKEQCGSYKKESFSGLVCTVGEISSWPGASNVIPGQVNFTVDIRAMDDDDRGAIVTEFSRQVYQICDNRMVNCTIEHKHTAEAVHCDSELSQQLKQAAHSTVKKMSRKIQDEVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGVSHSPDEYVSDNDVWAAGLVLLHFLDQHVSGDCMSS >Ma07_p03700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2836252:2840247:1 gene:Ma07_g03700 transcript:Ma07_t03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate deiminase [Source:Projected from Arabidopsis thaliana (AT4G20070) UniProtKB/Swiss-Prot;Acc:O49434] MAVVSGKSSLFYSVPAKNASVPSLSIALILLLVAEGLEAESVDDGRNQLFAGILRDEAVERINQLAKISDADGYLERTFLSSASVKAAQLIIEWMRDAGLRTWVDQMGNVHGRSEALNTTAETLLIGSHADTVVDAGKFDGSLGIICAISALKVLKTNGKLHKLSRPVEVIAFSDEEGVRFQSTFLGSAAIAGQLPASALRTSDKNGATIQDVLKENSFEGSDASLLQVKYDPESVWGYIEVHIEQGPVLESLGSPLGIVKGIAGQTRLKVKVSGSQGHAGTVPMLMRQDSMVAAAELIVNLESLCKYPDRALTYKEQCGSYKKESFSGLVCTVGEISSWPGASNVIPGQVNFTVDIRAMDDDDRGAIVTEFSRQVYQICDNRMVNCTIEHKHTAEAVHCDSELSQQLKQAAHSTVKKMSRKIQDEVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGVSHSPDEYVSDNDVWAAGLVLLHFLDQHVSGDCMSS >Ma04_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24475646:24481597:1 gene:Ma04_g22090 transcript:Ma04_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAPSADPDYPAAAWYGNIQYLLNISAAGAASCLLLFILVKLQSDHHRFPGPSALAAKLLAVYHATPAQIALRCGADAAQFLLIERASFFVLLALVAALPLNLYAGSVLLADPFARTTISHLRPGSPLIWLHLLLVVLVVAVAHLGITRMVDDLRITRFRDGNGNPSDPNSSSISIFTIMVQGIPKALTAAKAPLEEYLQHRYPEKVYRVVMPFDICTLEYLVSNVFLLLDSLCFYSLTRDERGIYRLQINLNLEPKTFPSRVSRAWAVPLPALGGTTTQSSFH >Ma03_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4132551:4133602:-1 gene:Ma03_g05980 transcript:Ma03_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQVTDAAAVVIQHPGGRAERLYWPTSASEVMKNNPGYYVALITLYVPEEKLDGSGGGTLRLTRVRLLKATDTLLLGQVYRLITSQEVMKAIQARKIEKMKKRQAELMERQQQQRIGTDDEVVHVGKDGEDKEDSEITDQVAKQERDGHKTSKQSAARPRQWRPSLQIIAETGS >Ma06_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11438640:11440239:-1 gene:Ma06_g16860 transcript:Ma06_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLELKAKRTYRFIIFKIDEKQKQVIVEKLGEPNLTYDDFTATLPTNECRYAIYDFDFVNEENCQKSKIIFIAWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMGLDVIRGRAN >Ma04_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14731681:14733788:1 gene:Ma04_g16070 transcript:Ma04_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTEMTKTRKITGGAIRPSGGGGGGGGGGRRRRESTVEEDLSRLITLADRLKKVAADADSWRAECSQLTHRADLIAAVLRAVARRLSSLPHQPPYSAPVRRVAASADRSLDRAISFARRCRRRRRLLLPSPAALLRFLIPFAATGAADFRRALAHLDASLADLRWLLSLYPYDDGEGGDAASVGVGLSLPPIAATDPVLSYVWTFVAAIQMASRPSDRADAAQSLANLARDGHRNRWVIVDEGAVPPLLALLEDRDDEASQSAAAAALSNLCTDRELISTVADAFAIPVIVQTLSDSTSTRLQSQLASLISRMAALDALACEEFARENAILPLVALLSSDVPLGDDVNTAPPPSMTASLSTRSSRVSLAHGGGYVDESPAAKLELKTACAEALWMLCKGSIESSRKVTETVGLLCLAKLMETEEDQLQLNCLRTVMEIAAAAESDADLRGSAFKKNSSVAKSVVEQLLRLAQQGRSPSVQAAAIRALGSLARTFPAREARVLRPLVAQLGNQDSDVSAEAAMALGKFASPDNYLCVAHAAAIVELEGVRPLMRLLRPGEKSQLPGLVLLCCLASHVPRHEALERERVLLTLESVRRIAVARHPSLEELLPRAIRQLQLYGHEAQSYIGFER >Ma08_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6942686:6963350:-1 gene:Ma08_g09590 transcript:Ma08_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSWRPAQGESAAASDGGSGDWRTQLQPEARHRIVNKIMETLKRHLPISVPEGINELQKIAIRFEEKIYTAASNQPDYLRKISLKMLSMENKSQHSASINPSISNSAVLNQNSADPALLGVQSQANQGQPLSVSMVNQPSARQQILSQNIQNNTLAMAQNPANLSSALSSITGLSQSNISGVSQISNLQNMPGISQNSANNSLGQTAAPDLYSNTQRPMQGRQQQQQLISQQQHQTQNQLLYQHQFQQQYMKQKFQQSSLLQPHVQQQQSLMQTTQLQSSQQPLMQIGSNFQSGQSAMQQTQPGAIQSAVQPGLQQNQLSTVQKSAPSLLQQHPQSIARQQQQSQSAMLQQSTSMQQQPTSAPQQSNLPMQQHQQQQIIGQQTNISNVQQTQLIGQQTSATEMQQSQRVSIQQNNLLNMQQPHHLLNQHQQQQLGNQSNMSGLQQQQQQQQQQQILGSLSNVSNMQPHQRPMHIHQQPKTTIQQQQQNQQAPLAMLQPQGQQPQHQSSQQQLLSQFQSQPASLQQQLVQQHPSSMQREMQQRIQASGLLSQNAIEQQKQFVQSQIGLQEVSSSKALDSSAQTGHVGIIDWQEEIYQKIKSMKELYFADLTELYQKIVLKLQQHDVLMPSVKTSEQFDKMKSYKIMLERTLHILQLPRSNIQLGLKDKLPLYEKQITTFLATNKKKVVPSQPPGQQQFQHPGGHPQSMSQQQSSQVPQVQQHDNYANQQMNLQGSTTSVQPAAMPSMQHGSVPLSTHFGVPTPQQNMTNALQTGSAIDSAQGSSFSSLQQGGIASMQQGGLVSGQGSINVPPQTNANVMSNGSMNSLQNNINSKQPSSNAIQQQHFKQEQQQQQQQHMQNQQLKQQFQQRNMQQQIIQQQQKQQLLQVQQPLQQQLQQQQQKQHQTSQLPVHQLSQLNQSNEINELKFRQGPGVKPGLYQQHFLPNQRHSYYQQLKSGAAFPISSPQNFQASSPQISHHSSPQLDQHSLLSSQIKTGTPLQSANSPFDPSPSTPIAPSPIPGDEKQISGITSVPNAGRVGHQPTAVAPQAQSLAVTTPGISASPLLAEFTSPDGNQTNHTNSGAAKAITTERPFERLIKVIRSSTPKALSSAVSDIGSVVSMIDRIAGSAPAPGNGSRAAVGEDLVAMTKCRLQARSFMSQDGSATTKKMKRDTSAMPLNNVSSAGSVNDSFKHSYGLDTSELESTATSRVKRQKVEVNHALLEEIREINQRLIGTVVNISEEDTDSNSAAPQGEGTIVKCVFTTVALCPSLKSQFASEHMSPILPLRLLVPANYPKCSPVLLDKFPDEQRESDDLSVKARSTFIISLRGLSQPMSLGEMARTWDVSARKVITEYARQTGGGTFSSRYGAWENCVAA >Ma08_p09590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6942686:6963350:-1 gene:Ma08_g09590 transcript:Ma08_t09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSWRPAQGESAAASDGGSGDWRTQLQPEARHRIVNKIMETLKRHLPISVPEGINELQKIAIRFEEKIYTAASNQPDYLRKISLKMLSMENKSQHSASINPSISNSAVLNQNSADPALLGVQSQANQGQPLSVSMVNQPSARQQILSQNIQNNTLAMAQNPANLSSALSSITGLSQSNISGVSQISNLQNMPGISQNSANNSLGQTAAPDLYSNTQRPMQGRQQQQQLISQQQHQTQNQLLYQHQFQQQYMKQKFQQSSLLQPHVQQQQSLMQTTQLQSSQQPLMQIGSNFQSGQSAMQQTQPGAIQSAVQPGLQQNQLSTVQKSAPSLLQQHPQSIARQQQQSQSAMLQQSTSMQQQPTSAPQQSNLPMQQHQQQQIIGQQTNISNVQQTQLIGQQTSATEMQQSQRVSIQQNNLLNMQQPHHLLNQHQQQQLGNQSNMSGLQQQQQQQQQQQILGSLSNVSNMQPHQRPMHIHQQPKTTIQQQQQNQQAPLAMLQPQGQQPQHQSSQQQLLSQFQSQPASLQQQLVQQHPSSMQREMQQRIQASGLLSQNAIEQQKQFVQSQIGLQEVSSSKALDSSAQTGHVGIIDWQEEIYQKIKSMKELYFADLTELYQKIVLKLQQLQHDVLMPSVKTSEQFDKMKSYKIMLERTLHILQLPRSNIQLGLKDKLPLYEKQITTFLATNKKKVVPSQPPGQQQFQHPGGHPQSMSQQQSSQVPQVQQHDNYANQQMNLQGSTTSVQPAAMPSMQHGSVPLSTHFGVPTPQQNMTNALQTGSAIDSAQGSSFSSLQQGGIASMQQGGLVSGQGSINVPPQTNANVMSNGSMNSLQNNINSKQPSSNAIQQQHFKQEQQQQQQQHMQNQQLKQQFQQRNMQQQIIQQQQKQQLLQVQQPLQQQLQQQQQKQHQTSQLPVHQLSQLNQSNEINELKFRQGPGVKPGLYQQHFLPNQRHSYYQQLKSGAAFPISSPQNFQASSPQISHHSSPQLDQHSLLSSQIKTGTPLQSANSPFDPSPSTPIAPSPIPGDEKQISGITSVPNAGRVGHQPTAVAPQAQSLAVTTPGISASPLLAEFTSPDGNQTNHTNSGAAKAITTERPFERLIKVIRSSTPKALSSAVSDIGSVVSMIDRIAGSAPAPGNGSRAAVGEDLVAMTKCRLQARSFMSQDGSATTKKMKRDTSAMPLNNVSSAGSVNDSFKHSYGLDTSELESTATSRVKRQKVEVNHALLEEIREINQRLIGTVVNISEEDTDSNSAAPQGEGTIVKCVFTTVALCPSLKSQFASEHMSPILPLRLLVPANYPKCSPVLLDKFPDEQRESDDLSVKARSTFIISLRGLSQPMSLGEMARTWDVSARKVITEYARQTGGGTFSSRYGAWENCVAA >Ma05_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5401315:5403025:-1 gene:Ma05_g07430 transcript:Ma05_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLVARFWCHVCTQTVNPVTEAEIKCPRCDGGFLEEMDPPRGHADTPLDPASHRAFSLWTPFFLGLLGGGSLRRGGPHGEGEGDEDDVEHSNRDLDSQTAAQRPQGSSAILQLLQALGRSDSEGESERVILINPFITQAIILQANQPQTQTQPQTPGGISDGGVGALFEDYFLGTRSSLDLLLQHLAENDPNRYGTPPARKEAVDAMPTVKVEENTSCPVCLEDMEVGAEAREMPCKHKFHGECILPWLELHSSCPLCRFQLPADDPKVPSAGGGGSNAAEAGGEGSGDGGSRESARWLWIPVSWPFAGLFSLSLASQSHGNSSSTPPSSTSGATES >Ma02_p06690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17603933:17664360:-1 gene:Ma02_g06690 transcript:Ma02_t06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARVPRPSRGARGEGRSVYDNWERLVRATLQKEQLRTSGLGPGGRPAEGIAGAVPPSLVSTNIDHILQAANEIEDEDPNVARILCEQAYTMAQNLDPSSAGRGVLQFKTGLMSVIKQKLAKKDGTAIDRGHDIDLLWEYYVRFKRRHRVDDIQKEHERWRESGTFSTEMGVRAVQMKKVYATLKALLDVLAVLAGESASDGVGRLVMEEVRKIRKSDATLGGELMPYNIVPVDAPSLTNAISFFPEVRAAISAIGYPSEFPRFSVDSQVSQQKNQDMFDLLEFVFGFQKDNIRNQRENVILALANAQVRLGLPAESEPKVDEKAVTEVFRKVLDNYIKWCRYLGARIAWNSLEALNKNRKVILVSLYYLIWGEAANVRFLPECICYIFHHMAKDLDAILDSQNAVPPKSFICADGSVSYLKQVIFPIYETMAAEAASNNNGKAAHSAWRNYDDFNEYFWSPSCLELKWPLKDESSFLLKPKKWKRTGKTGFVEHRTFLHLYRSFHRLWIFLFLMFQALTIIAFYDGHINLNTFKVVLSVGPAFFILHFIESCLDVLLMFGAYSTTRGFAISRLIIRFIWFGCSSTILTYLYLKVLDERNNRNSDSTYFRIYTLILGVYVVIRLTFAALVKIPACHTLSDMSDRWPFFQFFKWIYEERYFVGRGLFEKTSSYARYVFYWMVILTCKFLFAYYLQIKPLVDPTKVIVELNGLQYSWHDLVSKGNRNALTVLSLWAPVFAIYLMDIHIWYTLLSALVGGLLGALGRLGEIRSLDMFHKRFESFPEAFVKNLVSSQMKRSIPNRPPAQDSKDMDRDFAAKFSPFWNEIIKSLREEDYINNREMDLLSIPSNSGTWRLVQWPLFLLTSKIPLAIDVALDCKDTQTDLWSRISKDKYMAYAVKEVYYSMERILVSVVDGEGRLWVEKLFQELNNSISVDSLVVTITLKKLQLVLTRFSALAGLLIRDETPELAIGASKAAHELYDVVTHDFLTSNLSEQLDSWQLLARARNEGRLFSKISWPRDKETREQVKRLYLLLTVKDSATNIPKNLEARRRLQFFANSLFMNMPSPNPVSEMMPFSVFTPYYSETVLYSYSDLRVENEDGISTLFYLQKIFPDEWENFLERIKSTADAVEDNDNLELRFWASYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSGADYMSTQGYELSPESRAQADLKFTYVVSCQIYGQQKQKGAQEAADIALLMQRNEALRVAFIHVEENALADGTISKDFYSKLVKADANGKDQEIYSIKLPGDPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKIRNLLEEFNGKHDLRAPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLAYPLKVRMHYGHPDVFDRTFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDSAISTKARMLGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLMKAVFSFVTMQLQLCAVFFTFSLGTRTHYFGRTILHGGAKYKATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTRNGVSSFILLTVSSWILVISWLFAPYIFNPSGFEWQKTVEDFDDWTAWLLYKGGVGIKGENSWESWWDEEQSHIQTLRGKILETILSLRFFIFQYGIVYKLHLTGADTSLAIYGFSWVVLLLIVMIFKVFTVSPKKTQIQLMMRLTQGIAAIGLIAGLVLVVALTNLTIPDLFACVLAIIPTGWAILCLAIAWKGLVRTLGLWDSVREIARMYDAGMGMVIFAPVAFLSWFPFVSTFQSRLLFNQAFSRGLEISLILAGNKANVQA >Ma02_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17603933:17664360:-1 gene:Ma02_g06690 transcript:Ma02_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARVPRPSRGARGEGRSVYDNWERLVRATLQKEQLRTSGLGPGGRPAEGIAGAVPPSLVSTNIDHILQAANEIEDEDPNVARILCEQAYTMAQNLDPSSAGRGVLQFKTGLMSVIKQKLAKKDGTAIDRGHDIDLLWEYYVRFKRRHRVDDIQKEHERWRESGTFSTEMGVRAVQMKKVYATLKALLDVLAVLAGESASDGVGRLVMEEVRKIRKSDATLGGELMPYNIVPVDAPSLTNAISFFPEVRAAISAIGYPSEFPRFSVDSQVSQQKNQDMFDLLEFVFGFQKDNIRNQRENVILALANAQVRLGLPAESEPKVDEKAVTEVFRKVLDNYIKWCRYLGARIAWNSLEALNKNRKVILVSLYYLIWGEAANVRFLPECICYIFHHMAKDLDAILDSQNAVPPKSFICADGSVSYLKQVIFPIYETMAAEAASNNNGKAAHSAWRNYDDFNEYFWSPSCLELKWPLKDESSFLLKPKKWKRTGKTGFVEHRTFLHLYRSFHRLWIFLFLMFQALTIIAFYDGHINLNTFKVVLSVGPAFFILHFIESCLDVLLMFGAYSTTRGFAISRLIIRFIWFGCSSTILTYLYLKVLDERNNRNSDSTYFRIYTLILGVYVVIRLTFAALVKIPACHTLSDMSDRWPFFQFFKWIYEERYFVGRGLFEKTSSYARYVFYWMVILTCKFLFAYYLQIKPLVDPTKVIVELNGLQYSWHDLVSKGNRNALTVLSLWAPVFAIYLMDIHIWYTLLSALVGGLLGALGRLGEIRSLDMFHKRFESFPEAFVKNLVSSQMKSIPNRPPAQDSKDMDRDFAAKFSPFWNEIIKSLREEDYINNREMDLLSIPSNSGTWRLVQWPLFLLTSKIPLAIDVALDCKDTQTDLWSRISKDKYMAYAVKEVYYSMERILVSVVDGEGRLWVEKLFQELNNSISVDSLVVTITLKKLQLVLTRFSALAGLLIRDETPELAIGASKAAHELYDVVTHDFLTSNLSEQLDSWQLLARARNEGRLFSKISWPRDKETREQVKRLYLLLTVKDSATNIPKNLEARRRLQFFANSLFMNMPSPNPVSEMMPFSVFTPYYSETVLYSYSDLRVENEDGISTLFYLQKIFPDEWENFLERIKSTADAVEDNDNLELRFWASYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSGADYMSTQGYELSPESRAQADLKFTYVVSCQIYGQQKQKGAQEAADIALLMQRNEALRVAFIHVEENALADGTISKDFYSKLVKADANGKDQEIYSIKLPGDPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKIRNLLEEFNGKHDLRAPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLAYPLKVRMHYGHPDVFDRTFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDSAISTKARMLGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLMKAVFSFVTMQLQLCAVFFTFSLGTRTHYFGRTILHGGAKYKATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTRNGVSSFILLTVSSWILVISWLFAPYIFNPSGFEWQKTVEDFDDWTAWLLYKGGVGIKGENSWESWWDEEQSHIQTLRGKILETILSLRFFIFQYGIVYKLHLTGADTSLAIYGFSWVVLLLIVMIFKVFTVSPKKTQIQLMMRLTQGIAAIGLIAGLVLVVALTNLTIPDLFACVLAIIPTGWAILCLAIAWKGLVRTLGLWDSVREIARMYDAGMGMVIFAPVAFLSWFPFVSTFQSRLLFNQAFSRGLEISLILAGNKANVQA >Ma10_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26852338:26854400:1 gene:Ma10_g14360 transcript:Ma10_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLLLRLLFLSLTFHFGISGAHLHRSKLTASEDEQISVATTAAAAGLPPFSPTTFFEVTKPVPLPRGDEPACSTLVLQHDFGFTYGKPPVTASYRPPCARLRRGRVPSRAVLEWSATCQGRQFDRIFGVWLGGVELLRSCTAEPRATGIVWTVRKDVTRYAALFARPQTLAVYLGNLVDQTYTGVYHVNVSLHFFFDSSRHHHHPRASAAGNRVPGFASPANLVLPISRSLPLNDGLWFLVQNSTDIQSTRLAIPTNTYRAVLEVYVSFHSADEFWYTNPPDAYISENNLTDLPGNGPFREVTARLDGEIVGAIWPFTVIYTGGVNPLLWRPISGIGSFDLPSYSIEITPFLGKILDGKPHEFGFGVTDALNVWFIDANLHLWLDAKSSYTLGSLIKYEAPDYAPSLDSHFKGLDGRFKTSASRYISSTGWVRSSYGKITTRFFQKLQYENLMVFSGNGSVQTVNQTIDFNYGTYAKHPSSVLYSEHVHRSFPLYLYTGTVDQGNDTYDQVANVSLGFNEKKLKGEKFGFTYSSLKNLQTGSGDMRVKGNLVQSGVASTQQVYKYESTDGCYYRNVRSSNYSILSDKSEEFCTKNSPSDVEYIFT >Ma01_p07280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5257602:5262904:1 gene:Ma01_g07280 transcript:Ma01_t07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSIECVSSIDGMDEEDTARTQSSKPHGGAAPPAGIAPSTSVHELLECPVCANSMYPPIHQCQNGHTLCSTCKTRVQNRCPTCRQELGDIRCLALEKVAESLELPCKYYSHGCPEVFPYYSKLKHESQCNYRPYNCPYAGSECSVVGDIPFLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFNCFGQSFCLHFEAFQLGMAPVYMAFLRFMGDENDARNFSYSLEVGANGRKLTWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGCERKELKLRVTGRIWKEQQNSDAAVCMPNLCS >Ma01_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5259107:5262904:1 gene:Ma01_g07280 transcript:Ma01_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHYSLSVVVDLLSLILQCQNGHTLCSTCKTRVQNRCPTCRQELGDIRCLALEKVAESLELPCKYYSHGCPEVFPYYSKLKHESQCNYRPYNCPYAGSECSVVGDIPFLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFNCFGQSFCLHFEAFQLGMAPVYMAFLRFMGDENDARNFSYSLEVGANGRKLTWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGCERKELKLRVTGRIWKEQQNSDAAVCMPNLCS >Ma07_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5303052:5307065:-1 gene:Ma07_g07250 transcript:Ma07_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKSGTWVCPLICLLYLLAFLQVEGDNVPMTLLKSAVAEGAVCLDGSPAAYNLAPGSGSGANNWLLFVEGGGWCSTVNDCVERKGNFRGSSNHMPPVSFSGMLGGVQERNPDFYNWNRVKIRYCDGASFTGDIEKVDPATGLYFRGARVWRAIMKDLLARGMNKAQNAILGGCSAGGLTTILHCDSFRSLLPANATVKCFSDAGYFIDAKDISGADSILSFYSDVINLHASANNLPSSCTSSLPANKCFFPQNVVATMKTPLFILNAAYDQWQIRNILVPNSADTNKTWGDCKLDIKKCSSDQLQTLQGFRTTFLNALPGAGSSSTGMFILSCHTHCQSGDADTWFAADSPRIDNTPIGKAVGDWYFGRSSAVRKIDCPYPCNSSCRKVDSNSMED >Ma04_p34590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33697436:33703386:-1 gene:Ma04_g34590 transcript:Ma04_t34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLLTLSRRPLLVSGRFASTAAVSALANPAADAPSLAKPPVMHYDRLAEAVRSKIKRLDDPDPRFLRYASPHPTLADHTPILAAPETRVTTLPNGLRISTESTLASRTATVGVWIDAGSRFETDETNGTAHFLEHMIFKGTESRTVRQLEEEIENMGGHLNAYTSREQTTYYAKVLDKDVPKALEILADILQNSCFDEKRIERERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNIKTITKEHLKNYISTHYTAPRMVISAAGAVKHEDIVDQVKKLFANLSNDPTTASQLVAKEPAIFTGSEVRIIDDDIPLAQFAVAFSGASWIDPDSIALMVMQSMLGSWNKNTGSGKHMGSELSQRIAINEIAESMMAFNTNYKDTGLFGVYAIAKPDCLDDLAYAIMSEISKLSYRVSEADVTRARNQLKSSLQLHIDGTSPVAEDIGRQILTYGRRIPVAELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIQGLPDYNWFRRHTYLLRY >Ma11_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16873054:16875178:-1 gene:Ma11_g12900 transcript:Ma11_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKTSATAPLPKQPSSDTLENLKELNRLLLKETMERREQVTALRSSLHQLSHDSSLSSDLERRVTGLVVASRLSEVAAEMAAAEAALVTARERLESVSEEKDALKKALDVAVLERDSAVADLDENKRQAEARVATVVEEADRTKSDLEQRKAYVRSLEDENTTLEEKIKSTEEYLRSASDQLQSIRDEKGEIEINLRQAIQDRDACKKDLDVLSVALQTAQEKVENSQAANIALSEEIAIMQRDFEEDKTKFVKEIAGLKERAHSIDCKKEELEQEKTILETEVAGLRGRVSELGAIVQQRIALEEKLSLAEEALRRTNERLDFVTAETDDIKKALKQAISERDLSQVKLAEEEKLKATARKETERLTKELGFLEKEKERLQLDNEAQKRDHVKELDGLRDTVKKIEEEKDEIHRLRTEQKVEIANLQMEVAKLLSSVSELQELCRTNTECNLQLQAEKESALRDLDLEKAGVDGLRLQIEELKKSKDDAHVEVSEIKASLDCLIAENKNMKLEFYSLDKEKASLEEKLDNTLHVVEEMEAKARTADENFIRVLFLLKDNSDVIDGLGEGEENGVGQEIGSERELDAIVMTLKSKAAKTEDMDREIKVLRGAIAEAEKKGGGVWTWLYPTVATCIAAISFAYATKSG >Ma11_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24288448:24289968:-1 gene:Ma11_g19420 transcript:Ma11_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19800) UniProtKB/Swiss-Prot;Acc:Q8L4R0] MEAISVLFLRPLPSSSSPLLSPKNPQIIPKVIPRRTLIFRRTVRLSLSHGITNNAPEPAPETAPAPAPAPLFSSWTPPQPLWRGLSALILAGQVARRALSGRIHRRNTLQQLERVGPRSTGVCLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALSRELSPVVTSVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAHPVDYLVTPRVIACCLALPVLTLLCFFVGLASSAFLADAVFGVSINIILESARRALRPWDIISAMIKSQVFGAIIAIVSCAWGVTTHGGAKGVGESTTSAVVISLVGIFIADFALSYCFFQGAGDSLKDAMG >Ma09_p27000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38101916:38106089:-1 gene:Ma09_g27000 transcript:Ma09_t27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTRAYLRMKTDYALSGGDDELIRSDLKELGLAARRLANHAFILGSGLGVGTTFLKFLASFAAIYLLILDRTNWRTNMLTSLLIPYIFLSLPSVLFSILRGEVGKWIAIIAVVLRLFFPRHFPDWLEMPAAFILLVVVAPGFFAHTVRNGLAGVFICLAIGCYLLQEHIRASGGFRNSFTKSHGISNSIGIILLLVYPIWRLVVHFL >Ma00_p03370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28667010:28671509:-1 gene:Ma00_g03370 transcript:Ma00_t03370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPASVDLEVWFNSLIVSGDGGKMERSAVAIAGWKDLPTELLLRIFSLLDDSTVFVASSVCKGWRIALCLGLTSFSLSWCKNNMNNLVQSVIPKFVKLQVLSLRQNYRLQLEDGAVETISNYCHDLKDLDLSKSRKLSDRSLYNLAHGCPCLTKLNISGCSAFSDSALAHLASCCRNLKYLNLCGCVKATSDKALQAISYHCNELQSLNLGWCDCVTDKGVTSLATGCPNLRALDLCGCVLITDESVIALANGCPHLRSLDLYYCQNITDRAMYSLANSCVNHNHGSRRISSIDKGGLMNLNISQCTALTPPAVQAVCNSFPELHTCPERHSLIISGCLSLTSVHCACAIQANRASRTALANHAY >Ma00_p03370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28667010:28671509:-1 gene:Ma00_g03370 transcript:Ma00_t03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPASVDLEVWFNSLIVSGDGGKMERSAVAIAGWKDLPTELLLRIFSLLDDSTVFVASSVCKGWRIALCLGLTSFSLSWCKNNMNNLVQSVIPKFVKLQVLSLRQNYRLQLEDGAVETISNYCHDLKDLDLSKSRKLSDRSLYNLAHGCPCLTKLNISGCSAFSDSALAHLASCCRNLKYLNLCGCVKATSDKALQAISYHCNELQSLNLGWCDCVTDKGVTSLATGCPNLRALDLCGCVLITDESVIALANGCPHLRSLDLYYCQNITDRAMYSLANSCVNHNHGSRRISSIDKGGLMNLNISQCTALTPPAVQAVCNSFPELHTCPERHSLIISGCLSLTSVHCACAIQANRASRTALANHAY >Ma00_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28667010:28671509:-1 gene:Ma00_g03370 transcript:Ma00_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPASVDLEVWFNSLIVSGDGGKMERSAVAIAGWKDLPTELLLRIFSLLDDSTVFVASSVCKGWRIALCLGLTSFSLSWCKNNMNNLVQSVIPKFVKLQVLSLRQNYRLQLEDGAVETISNYCHDLKDLDLSKSRKLSDRSLYNLAHGCPCLTKLNISGCSAFSDSALAHLASCCRNLKYLNLCGCVKATSDKALQAISYHCNELQSLNLGWCDCVTDKGVTSLATGCPNLRALDLCGCVLITDESVIALANGCPHLRSLDLYYCQNITDRAMYSLANSCVNHNHGSRRISSIDKGGLMNLNISQCTALTPPAVQAVCNSFPELHTCPERHSLIISGCLSLTSVHCACAIQANRASRTALANHAY >Ma00_p03370.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28667010:28671509:-1 gene:Ma00_g03370 transcript:Ma00_t03370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPASVDLEVWFNSLIVSGDGGKMERSAVAIAGWKDLPTELLLRIFSLLDDSTVFVASSVCKGWRIALCLGLTSFSLSWCKNNMNNLVQSVIPKFVKLQVLSLRQNYRLQLEDGAVETISNYCHDLKDLDLSKSRKLSDRSLYNLAHGCPCLTKLNISGCSAFSDSALAHLASCCRNLKYLNLCGCVKATSDKALQAISYHCNELQSLNLGWCDCVTDKGVTSLATGCPNLRALDLCGCVLITDESVIALANGCPHLRSLDLYYCQNITDRAMYSLANSCVNHNHGSRRISSIDKGGLMNLNISQCTALTPPAVQAVCNSFPELHTCPERHSLIISGCLSLTSVHCACAIQANRASRTALANHAY >Ma00_p03370.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28667010:28671509:-1 gene:Ma00_g03370 transcript:Ma00_t03370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPASVDLEVWFNSLIVSGDGGKMERSAVAIAGWKDLPTELLLRIFSLLDDSTVFVASSVCKGWRIALCLGLTSFSLSWCKNNMNNLVQSVIPKFVKLQVLSLRQNYRLQLEDGAVETISNYCHDLKDLDLSKSRKLSDRSLYNLAHGCPCLTKLNISGCSAFSDSALAHLASCCRNLKYLNLCGCVKATSDKALQAISYHCNELQSLNLGWCDCVTDKGVTSLATGCPNLRALDLCGCVLITDESVIALANGCPHLRSLDLYYCQNITDRAMYSLANSCVNHNHGSRRISSIDKGGLMNLNISQCTALTPPAVQAVCNSFPELHTCPERHSLIISGCLSLTSVHCACAIQANRASRTALANHAY >Ma10_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11564165:11566036:1 gene:Ma10_g03150 transcript:Ma10_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTHLLQQTLRSLCIHKSSQWVYAVFWRILPRNYPPPKWDLQGGVYDRTRGNRRNWILAWEDGFCNFAASTCEQPGGSSAHEECEIKGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWVFKEPQDHELNFLSTWNNPTDSQPRTWEAQFQSGIKTIALIAVREGVVQLGSVNKVMEDLSFVVLLRKKFSYLESIPGVLLPHPSSSAFPVTIDGCNVPPHWPVHGAPLVPPAELYDHFGPPQMRITPSMSSLEALLSKLPPVGPPPPGSSPGYFEMPVLMQPQKPSLGAAETERAAAKEEMQEEYGQESGIMELGGESSSSMPYYANVTKPDEGF >Ma11_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6773583:6775106:-1 gene:Ma11_g08540 transcript:Ma11_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAMSGDGGGGDGHEHDVVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYNYDPWELPALAAMGEKEWFFYVPRDRKYKNGDRPNRVTASGYWKATGADRLIRDEGNRSIGLKKTLVFYSGKAPKGIRTTWIMNEYRLPRSDTDQHKKAEISICRVYKRAGVEGRHRLPLASKPSWSSSRGTGTDRRHNSCWHQQRPDFQLGGDSSWSAAALSTANSLSSTTSTDEDGTSARMIDELNRLVGFNQNYVNYNPHLLPFTALPISVPAVSDKLWEWNSLHETGRESTTGFK >Ma07_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7689235:7690044:1 gene:Ma07_g10300 transcript:Ma07_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICREGDETFGSFGRRIDSSLRLHLLGSCCRVLLFFLRKKRRTNRDVEANYAGLAMAFPSLSCWKGQPDESYTVLEPQQVSISSNGAAYSDDEQRHLRSNSTKDMFAMPFGGEDTEDAELWCYAGPSRLLFTIIEETEEDLETEDGESTVGENTERSAERTLRNMLEMSQEDDSTLMPSSPPPTLKFLMDAEEKHYKKMLMDKALKVQRSCNLNESREKKEASVAPHPPVAASSLTGTRSQLKGQVISPSPSSPLNITSVNEKPRPISD >Ma01_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14006398:14007472:-1 gene:Ma01_g18720 transcript:Ma01_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLPTRVKRKDVEMVCHHPSDSSPFSKTKKTRSLDGELAVFVKEKTATVQEPEPMECEEVKGELMNAEHEREREGRPSGVGDRGFHQLQEQSKAPDPPPNTAASLLWSGFF >Ma09_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8922686:8923474:-1 gene:Ma09_g13220 transcript:Ma09_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGWAAPGAPLNSNLINVIGARPYNLSVLQISSAILPSGAGSAAPATTKPAAASPKASAAPPTTKPSDDIPEATATANTTTPAAPVAAPKAAPTTQAEAPKTSPAAPATPPKSSSTTTAALAPKSSSATAPAPTSGGATPTPSAAPKSSPAAPATTAKAPKASSTTPVGTPKASTPASSAAPKASAASPVEGPSASAAVDAPKGSAAGRVVAGAALGLLVGAAVLGAI >Ma05_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6784389:6785551:-1 gene:Ma05_g09370 transcript:Ma05_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDEQSNFGNTNSNSEQKNLMLILRLESAENLASSCFAPTGQEVEAPETNYTIEANETARDIGQQSDDHSKEIVQVLEVRLIEDCSNFEQRKPEFSSEKGEESCTSELVSAETEKKHANLANMEKNWYLEESDTQVPENSDEPMNSSSDVSSTTSSSSVGKSKHQSPHRRKLFSKLKKMLSGRHSHSKEKIYINKTPISCASSDTRESVSACSWDDARSNPSYSMLSCFMEEDALADGLSKTKSSDDRWKSNSAWCQSFFRYPIGIPQAKSCGLRDEDMVACCKSNQGEPLFHKRNGYRR >Ma04_p22730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24993527:24997583:-1 gene:Ma04_g22730 transcript:Ma04_t22730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMIRSCLQSALKLVNSVAGFVGVGLILYSLWMIRVWYRQAHAFPTADSWFIYTSLGLGVSFCLIAFSGHIAAETANGHCLSCYMVLVFLLVILEAAITADVILNRDWEEDFPEDTTGRFNGLKDFIRSNFELCKWIGLVIVVAQAMSLFVAMILRALGPYHGNCYDSDDEYLSARLPLLRNQVQHTQYVGDPSVQCRK >Ma04_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24993339:24997583:-1 gene:Ma04_g22730 transcript:Ma04_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMIRSCLQSALKLVNSVAGFVGVGLILYSLWMIRVWYRQAHAFPTADSWFIYTSLGLGVSFCLIAFSGHIAAETANGHCLSCYMVLVFLLVILEAAITADVILNRDWEEDFPEDTTGRFNGLKDFIRSNFELCKWIGLVIVVAQAMSLFVAMILRALGPYHGNCYDSDDEYLSARLPLLRNQVQHTQYVGDPSVQCRK >Ma07_p26790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33427977:33428375:1 gene:Ma07_g26790 transcript:Ma07_t26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGWEKSFEDENLDDTYGPAIDDAILMKLLDESASEEADGDRLESVIRSLEDDIGAAGMAPEAVHRGEDCEDCGLDDILSDLDGHDCSRSSTSVVEDSLGWTEIGGSDSGEWYVDECMHEAGGDAKCCYH >Ma07_p18690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26579024:26613785:-1 gene:Ma07_g18690 transcript:Ma07_t18690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MKSIQQRGLVPAFAPCYHDGKANKEIKCPFMGTCLSQTHTLRINNARTSGSNTRKDSLLAIVQKRFSPAMTECRRRVIPAVRCQSSSDCNMDQYISAERFTQKTEHPLGSIREHLFKIVGGPNNGLHPGTVKNELILLALPAIIGQAIDPLAQLMETAYIGRLGPVELASAGVSVSIFNIISKLFNVPLLSITTSFVAEDISKTASEQYISGNDLQEGIFMVKKVSDEKVGRLKLPSVSSAILLAAVIGTIEAFALFFGAGLFLNVMGVSVVSPMHKPSQLFLCLRALGAPAVVISLAVQGVFRGFKDTKTPLLCVGLGNISAVLLLPILVYSFHLGIVGAAIATIASQYITTFLLIWSLSKRAVLLPPKIEDLQFGGYIKSGGFLLGRTLSVLITMTLGTSMAARQGPLAMAAHQICLQVWLAVSLLSDALAVSAQALIASSSAKCDYDRVKQVTYYVLKAGLFTGIALTIVLGASFGNLAELFTKDAGVLQIVRTGALFVSVTQPVNALAFIFDGLHYGVSDFAYSAWSMMVVGAMSSAFLLFAPSVFGLAGVWSGLTLFMGLRMMAGFFRLNWKTGPWWFLHQEIPKFKIHYTPDSLIPVEDNPADYDYATTTE >Ma07_p18690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26579024:26613785:-1 gene:Ma07_g18690 transcript:Ma07_t18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MKSIQQRGLVPAFAPCYHDGKANKEIKCPFMGTCLSQTHTLRINNARTSGSNTRKDSLLAIVQKRFSPAMTECRRRVIPAVRCQSSSDCNMDQYISAERFTQKTEHPLGSIREHLFKIVGGPNNGLHPGTVKNELILLALPAIIGQAIDPLAQLMETAYIGRLGPVELASAGVSVSIFNIISKLFNVPLLSITTSFVAEDISKTASEQYISGNDLQEGIFMVKKVSDEKVGRLKLPSVSSAILLAAVIGTIEAFALFFGAGLFLNVMGVSVVSPMHKPSQLFLCLRALGAPAVVISLAVQGVFRGFKDTKTPLLCVGLGNISAVLLLPILVYSFHLGIVGAAIATIASQYITTFLLIWSLSKRAVLLPPKIEDLQFGGYIKSGGFLLGRTLSVLITMTLGTSMAARQGPLAMAAHQICLQVWLAVSLLSDALAVSAQALIASSSAKCDYDRVKQVTYYVLKAGLFTGIALTIVLGASFGNLAELFTKDAGVLQIVRTGALFVSVTQPVNALAFIFDGLHYGVSDFAYSAWSMMVVGAMSSAFLLFAPSVFGLAGVWSGLTLFMGLRMMAGFFRLNWKTGPWWFLHQEIPKFKIHYTPDSLIPVEDNPADYDYATTTE >Ma07_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26579024:26613779:-1 gene:Ma07_g18690 transcript:Ma07_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 45, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38380) UniProtKB/Swiss-Prot;Acc:Q9SVE7] MKSIQQRGLVPAFAPCYHDGKANKEIKCPFMGTCLSQTHTLRINNARTSGSNTRKDSLLAIVQKRFSPAMTECRRRVIPAVRCQSSSDCNMDQYISAERFTQKTEHPLGSIREHLFKIVGGPNNGLHPGTVKNELILLALPAIIGQAIDPLAQLMETAYIGRLGPVELASAGVSVSIFNIISKLFNVPLLSITTSFVAEDISKTASEQYISGNDLQEGIFMVKKVSDEKVGRLKLPSVSSAILLAAVIGTIEAFALFFGAGLFLNVMGVSVVSPMHKPSQLFLCLRALGAPAVVISLAVQGVFRGFKDTKTPLLCVGLGNISAVLLLPILVYSFHLGIVGAAIATIASQYITTFLLIWSLSKRAVLLPPKIEDLQFGGYIKSGGFLLGRTLSVLITMTLGTSMAARQGPLAMAAHQICLQVWLAVSLLSDALAVSAQALIASSSAKCDYDRVKQVTYYVLKAGLFTGIALTIVLGASFGNLAELFTKDAGVLQIVRTGALFVSVTQPVNALAFIFDGLHYGVSDFAYSAWSMMVVGAMSSAFLLFAPSVFGLAGVWSGLTLFMGLRMMAGFFRLNWKTGPWWFLHQEIPKFKIHYTPDSLIPVEDNPADYDYATTTE >Ma01_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3057761:3058342:1 gene:Ma01_g04540 transcript:Ma01_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFILRIKLQKYLDLSLLPIRRTTRLASQSCNSFLPYLFSLHECYLGKMMFSSLFSFRNSTGKYSACLMFTGVFRGPEEFVLTFPRAYHAGFNCGFNRAEAVNMAPLDWLPHGQNAVELYREQGRKISISLSTGAAREADLFSRQSDCDDKTTSHAHKDKILLVPQTNVLLANENDLLAGLHVSRNRLMMMTR >Ma08_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34771064:34772948:-1 gene:Ma08_g20650 transcript:Ma08_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGIPEQQQRKLCVHRCLDHSGEQESGREHNPYYFGRRSYQQWSRTEHGSLEVLERFARTSDQLLGVDNYRLAVLEAEPQTFIMPCHWDAEQVVYVMQGRGTITLLHEERRESHDIKRGDIMRVPAGVIVYAINKASNERLRVAMLLHPISTPGHIEEYHGAAGRNPQTFYTSFSNEVLEAAFNTPWDKLERVFRSQRKGGIIKITEDQIRALSESNTESGLSNEPYNLLENSPSHSNEHGQLHEATGNECEMLQDLNVDVSIANISERSMMAPNYDTRSTKLAMVVEGRGYIEMACPHRSAERRRTQVETGSQGEQRVRYRTVRSRVSRGSVFVIPAGHPAAVVAAANENLQVLCFGTRSENNRRYYLAGRNNVLNRLDRAAKAMAFGVPAEEVEEVLNAQPESVFMPGPERRREEEEKRRQLVFKYAGF >Ma03_p27830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31072790:31078783:-1 gene:Ma03_g27830 transcript:Ma03_t27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVTKKWIPELKHYAPGVPQILVGTKLDLRDDQQFFIDHPGAVPITTAQGEELRKLIDAPSYVECSSKTQQNIKAVFDAAIKAALQPSMQKKKKNKAHKGCSIL >Ma05_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31996023:31997270:-1 gene:Ma05_g20360 transcript:Ma05_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARRSMAEEMVLWATEDEEEGAGWRCRKHTFTSQPHYGVCSGCLRDRLLRLCPHCANERPCGCFLPSSSSSSTSSSSRSSISFADLTGSVGGSGGIGADGVVSRLIEREPAFRRSRSVGFQLMRETRPVASHVDSGGAPPRPKGGRRWASFWPFSRATAAAAAAGLSRSRSVGAAGLAGSGGEDERGKGGWRWHFPSPMNPFRHRRSTNVVQERPPL >Ma11_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19421899:19464810:-1 gene:Ma11_g14170 transcript:Ma11_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGKVELRRIEDRTSRQVRFSKRRSGLFKKAYELAVLCDAEVGLLVFSPAGKLYEFSSVSSIEKTVERYRKFSNAAGDVNKSDDNMQKHEEFGHPAADMKLQEIGKRLRKTIFSQLNADNLCKLEKDLSDALQWTRSRKTQLTTGSLNQLQEEAAGGRDKTGETEAEERNDGDDDDDEGGGGRAAPRCSSRGKAPASIGRCSRA >Ma10_p12600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25637229:25641803:-1 gene:Ma10_g12600 transcript:Ma10_t12600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDEAGSFFPAINHSPWDGVALADFVMPFFLFIVGVALALTYKRVTNQAVATWKALLRALKLFVVGLVVQGGYFHGLHNLTYGVDILSIRWMGVLQRIAMAYLLVAICEIWLKSDDVVDSGYSLVRRYRLQLLVGLILTIMYMVLLYGSYVPDWEYQIPGPGSTPKTLSVKCGVRGDTGPACNAVGMTDRQIFGIRHLHRRPVYERTKQCSINSPASGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHVIIHFKDHKDRIVQWLIPSFCLLALAFSLDFFGMRMNKALYTLSYTCATAGAAGMLFTGVYVLVDVGGYRRPTSAMEWLGMHALMIYILIGCNVFPVLIQGFYWREPQNNLLKVIGIS >Ma10_p12600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25637229:25643530:-1 gene:Ma10_g12600 transcript:Ma10_t12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYKLIKSDDGVAAAPSAEPNKVVVDDVLLSQHRDIEAGGKHSHGAPPEKEASASGKRGQRLVSLDVFRGLTVALMIFVDEAGSFFPAINHSPWDGVALADFVMPFFLFIVGVALALTYKRVTNQAVATWKALLRALKLFVVGLVVQGGYFHGLHNLTYGVDILSIRWMGVLQRIAMAYLLVAICEIWLKSDDVVDSGYSLVRRYRLQLLVGLILTIMYMVLLYGSYVPDWEYQIPGPGSTPKTLSVKCGVRGDTGPACNAVGMTDRQIFGIRHLHRRPVYERTKQCSINSPASGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHVIIHFKDHKDRIVQWLIPSFCLLALAFSLDFFGMRMNKALYTLSYTCATAGAAGMLFTGVYVLVDVGGYRRPTSAMEWLGMHALMIYILIGCNVFPVLIQGFYWREPQNNLLKVIGIS >Ma10_p12600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25637229:25641436:-1 gene:Ma10_g12600 transcript:Ma10_t12600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDEAGSFFPAINHSPWDGVALADFVMPFFLFIVGVALALTYKRVTNQAVATWKALLRALKLFVVGLVVQGGYFHGLHNLTYGVDILSIRWMGVLQRIAMAYLLVAICEIWLKSDDVVDSGYSLVRRYRLQLLVGLILTIMYMVLLYGSYVPDWEYQIPGPGSTPKTLSVKCGVRGDTGPACNAVGMTDRQIFGIRHLHRRPVYERTKQCSINSPASGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHVIIHFKDHKDRIVQWLIPSFCLLALAFSLDFFGMRMNKALYTLSYTCATAGAAGMLFTGVYVLVDVGGYRRPTSAMEWLGMHALMIYILIGCNVFPVLIQGFYWREPQNNLLKVIGIS >Ma10_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28065095:28067855:1 gene:Ma10_g16320 transcript:Ma10_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERATAAVLCCADEAALCWGCDEKVHAANKLAGMHQRVPLLLPANSSSSSSHIPSCDICQEKAGYFFCLEDRAMLCRQCDVTIHTASPYVSSHRRFLITGVRVGLQDKITSNSNNGSSCSNSSNSTLRSAVSIDSIADKMVVEEGVKSQWPWHELLDSLELDQRYRLSNPGSFS >Ma08_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37329267:37330187:-1 gene:Ma08_g24020 transcript:Ma08_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDAILGFLQADDGITDSHEFTAVDGVDHTELENVIKGLNGFEVVEANTLGSWIMLLCTMQSYALAKSLSCLTCVNGCIRFTQTSTKKKYYLFIIVPSDFFFSRKA >Ma09_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25958176:25963606:1 gene:Ma09_g19690 transcript:Ma09_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGMHPYHQQWAPPPAPAVAPPMPVEVPNRPPGDEIRTIFITGLPVDVKERELHNLLRWFPGFEASQINFKAEQPMGFALFSTAQHAVAAKDALQDLVFDAETKSSLHTEMAKKNLFVKRGVVSESSSLDHSKRLRTGGDYTHAGYAAPAFHQAPVWGTPGYVAPHPPAPYDPYGGYPLPQVAMPTPSPMPAPSRYSPVQKTKDNPPCNTLFIGNLGENVIEEEVRGLFSVQPGFKQMKILRQERNTVCFIEFEDLNSATNVHQNLQGAVLTSSSRGGIRIQFSKNPFGRRKDSISGASSEPNGVPTYQQ >Ma05_p02530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1644810:1655790:-1 gene:Ma05_g02530 transcript:Ma05_t02530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWLRSLVGIRKQENLQRPENGKNKSASANSLCSQKKNPVGLDCVMMEDEFAPAVAPYAGNANIQSNSNYASSPTISENRQLAYQTQQSMREEWAAIVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALESQLGCQQVQEQQSHEAHVREIEEGWCDSVGSVEEIQAKLLKRQQAAAKRERAMAYAVTHQWQAGSRKAAAAAAGFEPDKDSWGWNWLERWMAVRPWENRFLDISLKDGVKVNENGKAEEKGGISGIKTLYKSTGKRPISTLHSNTLIHKSLPSASDGSNSSSNWSASVLAPSFPSGKPKQKPSLEEVYAEATSRASGVGARSYSNPKERPFQLESQAKRLSLPNSGLGAGKHTANKVAVNRSTIQRPLKDPQKSETKHQKSPKDPASERPNVQI >Ma05_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1644810:1655609:-1 gene:Ma05_g02530 transcript:Ma05_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWLRSLVGIRKQENLQRPENGKNKSASANSLCSQKKNPVGLDCVMMEDEFAPAVAPYAGNANIQSNSNYASSPTISENRQLAYQTQQSMREEWAAIVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALESQLGCQQVQEQQSHEAHVREIEEGWCDSVGSVEEIQAKLLKRQQAAAKRERAMAYAVTHQWQAGSRKAAAAAAGFEPDKDSWGWNWLERWMAVRPWENRFLDISLKDGVKVNENGKAEEKGGISGIKTLYKSTGKRPISTLHSNTLIHKSLPSASDGSNSSSNWSASVLAPSFPSGKPKQKPSLEEVYAEATSRASGVGARSYSNPKERPFQLESQAKRLSLPNSGLGAGKHTANKVAVNRSTIQRPLKDPQKSETKHQKSPKDPASERPNVQI >Ma05_p02530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1644810:1655790:-1 gene:Ma05_g02530 transcript:Ma05_t02530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWLRSLVGIRKQENLQRPENGKNKSASANSLCSQKKNPVGLDCVMMEDEFAPAVAPYAGNANIQSNSNYASSPTISENRQLAYQTQQSMREEWAAIVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMALESQLGCQQVQEQQSHEAHVREIEEGWCDSVGSVEEIQAKLLKRQQAAAKRERAMAYAVTHQWQAGSRKAAAAAAGFEPDKDSWGWNWLERWMAVRPWENRFLDISLKDGVKVNENGKAEEKGGISGIKTLYKSTGKRPISTLHSNTLIHKSLPSASDGSNSSSNWSASVLAPSFPSGKPKQKPSLEEVYAEATSRASGVGARSYSNPKERPFQLESQAKRLSLPNSLGAGKHTANKVAVNRSTIQRPLKDPQKSETKHQKSPKDPASERPNVQI >Ma04_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25032568:25033665:-1 gene:Ma04_g22790 transcript:Ma04_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKACDKTVHFIDLLTADGVPYHKTCFKCSHCKGSLSMCNYSSMDGILYCKPHFEQLFKESGSFTKKFPTGAKSGERNELSKSPSKISYMFTGTQDKCVSCKKTAYPLEKLTVEGESYHKTCFKCSHGGCTLTPSSYAALEGIIYCKHHFAQLFKEKGSYNHLVCVASLV >Ma07_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11007846:11012940:1 gene:Ma07_g14590 transcript:Ma07_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLVICTCTYIKMQFPAILQKRTGFRGFFWKAARIGERLSPWVAVGCFAMGISIIIF >Ma07_p14590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11007846:11012936:1 gene:Ma07_g14590 transcript:Ma07_t14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLVICTCTYIKMQFPAILQKRTGFRGFFWKAARIGERLSPWVAVGCFAMGISIIIF >Ma07_p25740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32721584:32727284:-1 gene:Ma07_g25740 transcript:Ma07_t25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANSAVSQTKLLDFRSRRSIGSLYSSRHKGGTSVCYVKCSSHSSHNGPQGTDESLSLSVLSNPTSDVSKGNFAKSIHMPVKKHNLGRLNCSAEACTHDTNFLSISSSHAHERVGILLLNLGGPETLNDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISVVRAPKSKEGYAAIGGGSPLRRITDEQAQALKLALKDKDLHANVYVGMRYWHPFTEEALHQIKKDKITKLVVLPLYPQFSISTSGSSIRVLQSIIREDAYFASLPISIIESWYQREGYIKSMADLIENELLSFSKPEEVMIFFSAHGVPLSYVQDAGDPYKDQMEECISLIMAELKYRGIVNQHTLAYQSRVGPVQWLKPYTDEVIVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKHLALQSGIENWGRVPALGCTSSFISDLADAVIEALPSASVSNAKRTSSEPETETDLVQYAINLFFGSIFAFVLLLSPRVISAFRNFLI >Ma08_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36575797:36584615:-1 gene:Ma08_g23180 transcript:Ma08_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G02280) UniProtKB/TrEMBL;Acc:W8Q6L8] MTTKKLERIPSMRERVEDTLSAYRNDLVSLLSRFVSQGKGMLQPHHLVDALATLGDDGRTKLSEGPFSEVLRSAQEAIVLPPFVAIAIRPRPGVWEYVRVNVYELSVEQLSVSEYLQFKEELVDGRSDDRYTLELDFEPFNASFPRPNRSSSIGNGVLFLNRHLSSIMFRNKDCLEPLLDFLRAHKYKGHVMMLNDRVQSVSRLQSVLAKAEEYLSKLIPETPFSEFAYKLQEMGLEKGWGDTAQHVLEMIHLLLDILQAPDPSTLEMFLGRIPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIKKQGLDIDPKILIVTRLIPDAKGTTCNQRLERVSGTQHSHILRVPFRTEKGILKKWISRFDVWPYLETFTEDVASEIAAELHGTPDLVIGNYSDGNLVASLLAYKLGITQCNIAHALEKTKYPDSDIYWRKFEDKYHFSCQFTADLIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFTYSEKGKRLTSLHGSIEKLLYDPEQCDLHIGCLDDRSKPIIFSMARLDKVKNITGLVEWFGKSTKLRELVNLVVVAGYIDVKKSSDREEIQEIEKMHQLISSYNLSGQFRWISAQTNRARNGELYRYIADTGGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIENGLSGFHIDPYHPDQSAVVMVEFFERCKEDSGYWKKISDGGLRRIQERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRDLVKSVPRAVDDDH >Ma07_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6390404:6391030:1 gene:Ma07_g08580 transcript:Ma07_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDDNSTAKFFSIVEKKIYKIQCPEPMIGKRIYVGSCHGWLVTLDGRCNMHLLNPLTGAQIPLPSVLTLPFIRGIYNLEDQITNFKVEQDHNQYSFWLKFIHKVVLSKAPDADNDFTIMMIYSHWCKLAFARAGDKAWTPISSPYYYSDIIYRNAKFYTINFHQVVETWEPDELAFKHIIFISDLSSYCLLRGIYYLVESLDSNLML >Ma03_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5101359:5126085:-1 gene:Ma03_g07320 transcript:Ma03_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MDTAISTLLNFRLLRPLESKFLLQGQLSGSACRRLGCQFPLSSGFHEKSQPSLSKRRTGCLSLARKSMLFCSLNNNTTQAPSFEAKERFALMRSDDEAADLLGSKEKGVASNASFEEVRAKRFNNSFLNFTRLGSIIDDAMESFFKSEIRRRLFVTAVLIIVSRLGYFIPLPGFDRRLIPDTYLSFAAGSTDELGDFTSELKLSLFQLGLGPQISASILMQVLCHVVPSLVKLRKEGLDGHEKIKSYIWWTSLGFAVLGAIIVACSSMQYSIYAASDRAKHVAVTSFLLVIGAMFMTWICDTISESGFGHGSSLIICAGILTGYTETLQKMLAQLSGNMLSWWPYILSVLGIFMVVTMWAVLVTEGCRKIKLQYYGFKLASSTRHGGSPVTEVEPYIPFNINPTGMQPVLTTTYLLAFPSIMASIFGAPFWENLKDILNPGTSVGAKPWVYYTIYAFFVFLFNIFDIANLPKEIADYMTKMGARIPNVKPGKATIEYLAKIQTSTRFWGGLLLSVLATSSTLLDHYLRHINEGFAVGLTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYGV >Ma04_p37640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35447914:35449708:-1 gene:Ma04_g37640 transcript:Ma04_t37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTFQERLRQMEATRTQRLALLRAEKEIQIAKSHLLSEKIEELRRAERRCLLLERRNAEIAHRILTNRTQIDAVDARYQGAAREYRHALIFTPCLLIDSCTNCTFRWRGGFGEAEMEEFKERQRRFVSDTREEVRKLRDWVSELKSSLKRLQGNDGYLNTAKIAVAEARKSELLAEKEKLDKNLASSSHLRQLLQKQLHKMLISKDREKNSTTLLKDKAEK >Ma07_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4199983:4201888:1 gene:Ma07_g05780 transcript:Ma07_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCDKLGVKKGPWSAEEDNKLINFILANGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEERLVIDFHARLGNRWSKIAAMLPGRTDNEIKNLWNTHIKKKLLKMGIDPVTHRPLHRQASPEASPSTVTTDKSDDQLQSQGNEGQIPSSDNRSPAEASSIDGGTDPLMSCLWEDSAPVLLDELWQLSSDDDGNNYGSVIAGRMPWEEEGSSEWLLDIQDLENLDGERSISAQGYPRR >Ma04_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4096606:4098965:1 gene:Ma04_g05490 transcript:Ma04_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPRRWWRGEEANCGAAESRMGGGAVGAIQSSTKGVVFFFLVLLVLVAVISRRWMDDSTFSMISSWREQAPRLQHSHQPQPKPVTLTCPNHTTTPLHYCRRTITPSPSSSPSAPATSGTEPPPSCPDYFRWIHEDLRPWNSTGITRKMLESARQFAAFRLLVIGGRVYVDQYHRVFQTRDLFTLWGFVQLANRYPGRLPDLDLMFNCEDMPTVKADDYNTSHPPPPLFRYCKDDSTVDIVFPDWSFWGWPEINVKPWEVLREEMKEANDRMAWEKRKPYAFWKGNPTVSGHRKDLMRCNSSNGHDWNARVYAQDWVKEIENGFKDSNLAEQCIYRYKIFVEGRAWSVSSKYILACDSPALFVTTHFHDFMTRGLMPGRHYWPIREDNQCRSIKFAVDWGNKHQEKVQAMGKEGSSFILEEVKMEFVYQYMLHLLTEYAKLLRYEPTLPENATELCLESVACGEEGRVREFLMEAMVKWTCDSEPCTLPPPYSAGELEQLRSRKADAIKQVEEWKQEAWEEEH >Ma04_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3304961:3307187:1 gene:Ma04_g04360 transcript:Ma04_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTARLVERRLTSLLDRSLRSQNLLPQGYACCSCLAKGIRGVQTKTETPNIVNKDDKNSKSCQDDSKPVSNSIKTVSAIDEENNIKYRAFSSLKASTRHDLAMIFTCKVCETRSVKTVCRESYEKGVVVARCGGCNNLHLIADRLGWFGEPGSVEDFLAAQGEEVKKGSVDTLNLTLEDLAGTKSS >Ma04_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7587722:7589314:1 gene:Ma04_g10680 transcript:Ma04_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVDVRCLECRKWKQHYYWNHFEATKRRFFKVLIADFSRKLCLQSIPRKFVLHFKDLPEDAKLKGPSGNLWNVKLKRSGDDVAFTGGWSKFAEDHLLELGDFLVFRYIGDSCFKVLVFDKTACEKEHSSFIGEKTAAEVGDACPSRGSKHKSVEPPLEDDQKCSDDESANAAAEHASDRNPIMSADDVKHEHEKGNVEENMTKMRSSSSSINPTRRPATAEEKQRALSLARSMKTAHPSFIAELRQSNVSQSYVLVIPHGFAVQNMPGCDQSVLLRLPSGGTWQVNYIVHYKATKFGSGWRQFVVDNELEKDDVCLFEIIIAKNYDFVLEVNIFRAVTPAIVLPTELSTEGKGNTKGRDPIEVVARR >Ma02_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21577817:21585728:-1 gene:Ma02_g13010 transcript:Ma02_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGGKTAGALAYLHSAASISILHRDVKSSNILLDDHFTAKVSDFGASRFIPLDQTHIVTGIQGTFGYLDPEYYQTSQLTEKSDVYSFGVILLELLTGKKPIFSIEHENKQNLSMYFLQALQEKRYFDLVEDRVMKEGTKQELAEVIQLVATCLKFKGSERPTMKEVEYKLQSLRRIRKNGGRHIAEGNEETECLLSDSSYTFSDSVDQATEGTSRNYSLEKEFMWSHYNPR >Ma08_p26340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38920547:38923151:1 gene:Ma08_g26340 transcript:Ma08_t26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKRSGRRVEEEGEEEMATRPNGRRLSYIAVPSQIIHSLSSTSLHSLLISPPKKVSRTGHRLLARSTKFLLLLLFLFAGVGTLRVWHDLDPLLPCPHLIRGPLSTADSTEELALGVGGGVEARGEFWRQPDGMGFVPCLKFSKQYRAEGEAAARAGRRKKYLLVVVSGGLNQQRNQIVDAVVIARILGAALVVPVLQVNVIWGDESEFSDVFDLEHFKSVLAEDVKVVSSLPSTHIMTRPVQERETPLHVSPDWIRSRYMKRLNREGVLLLRGLDSRLSKDLPPDLQKLRCKVAFRALRFAAPIQELGNKLAMRMRSKGPYLALHLRLEKDVWVRTGCLPGLSPDHDEVIQEQRKLRPKLLTGRSNMTYQERRLAGLCPLTALEVTRLLKALEAPRDARIYWAGGQPFGGRQALLPLLYEFPHLYNKESLTLPGELEQFANRSSLLAAIDYIVCEQSDVFMASHGGNMGHLMRGHRAFAGHKKFITANKRQMIPYFLDTSLPESEFNRIVKELHQGSLGQPELRTDHKVGKDVTAYPVPECMCNGTSIRSIL >Ma05_p03730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2764911:2770748:1 gene:Ma05_g03730 transcript:Ma05_t03730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKQINKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGSVDFGTSQRIPPLILATVTAVKFLAEPETDEVFAKIRMVPLTADELECGQDDALGLVIDGSNTQEKLASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTVDPPVQTVLVKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCMGIRRAKRGGVGGGPEIPSGWILPNGNCMTSYGNFSVFSRGEECRLMRENGNGGSLRTGRGMRIRGRVKADSVIEAATLAARGQPFEVVYYPRVSTPEFCVKAASVKAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQIADPVQWPNSPWRLLQVTWDEPDFLQNVKCVNPWLVELVSNMPTIHLAPFSPPKKKICISQYPDFCLEGQPPLPMFLRDPLGHGTSPLCCFPDSAPAGIQGARHAHFGVSLSDIHLNKLQLGLFHAGVHRLDQTTPPSRTTTGLIIDNPAIRNNISCLLTIGNASHSTEKLCNDKPPQLVLFGKTILTEDQISLSNSGDMVFPGATGNSSADGNLEKTTNMSDVSGSAMNQNIVVDSSSCELFKWDRHRYRNISELGLETGHCKIFMESVDVGRTLDLSMFESYEELYTKLADMFGIGKSEIRSHMIYNDAAGAVKHTGDEPYSEFMKTARRLTISTDSGSDDIGSSVLPGSLSANLECCS >Ma05_p03730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2764911:2770748:1 gene:Ma05_g03730 transcript:Ma05_t03730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQINKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGSVDFGTSQRIPPLILATVTAVKFLAEPETDEVFAKIRMVPLTADELECGQDDALGLVIDGSNTQEKLASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTVDPPVQTVLVKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCMGIRRAKRGGVGGGPEIPSGWILPNGNCMTSYGNFSVFSRGEECRLMRENGNGGSLRTGRGMRIRGRVKADSVIEAATLAARGQPFEVVYYPRVSTPEFCVKAASVKAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQIADPVQWPNSPWRLLQVTWDEPDFLQNVKCVNPWLVELVSNMPTIHLAPFSPPKKKICISQYPDFCLEGQPPLPMFLRDPLGHGTSPLCCFPDSAPAGIQGARHAHFGVSLSDIHLNKLQLGLFHAGVHRLDQTTPPSRTTTGLIIDNPAIRNNISCLLTIGNASHSTEKLCNDKPPQLVLFGKTILTEDQISLSNSGDMVFPGATGNSSADGNLEKTTNMSDVSGSAMNQNIVVDSSSCELFKWDRHRYRNISELGLETGHCKIFMESVDVGRTLDLSMFESYEELYTKLADMFGIGKSEIRSHMIYNDAAGAVKHTGDEPYSEFMKTARRLTISTDSGSDDIGSSVLPGSLSANLECCS >Ma05_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2764911:2770748:1 gene:Ma05_g03730 transcript:Ma05_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQINKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGSVDFGTSQRIPPLILATVTAVKFLAEPETDEVFAKIRMVPLTADELECGQDDALGLVIDGSNTQEKLASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTVDPPVQTVLVKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCMGIRRAKRGGVGGGPEIPSGWILPNGNCMTSYGNFSVFSRGEECRLMRENGNGGSLRTGRGMRIRGRVKADSVIEAATLAARGQPFEVVYYPRVSTPEFCVKAASVKAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQIADPVQWPNSPWRLLQVTWDEPDFLQNVKCVNPWLVELVSNMPTIHLAPFSPPKKKICISQYPDFCLEGQPPLPMFLRDPLGHGTSPLCCFPDSAPAGIQGARHAHFGVSLSDIHLNKLQLGLFHAGVHRLDQTTPPSRTTTGLIIDNPAIRNNISCLLTIGNASHSTEKLCNDKPPQLVLFGKTILTEDQISLSNSGDMVFPGATGNSSADGNLEKTTNMSDVSGSAMNQNIVVDSSSCELFKWDRHRYRNISELGLETGHCKIFMESVDVGRTLDLSMFESYEELYTKLADMFGIGKSEIRSHMIYNDAAGAVKHTGDEPYSEFMKTARRLTISTDSGSDDIGSSVLPGSLSANLECCS >Ma05_p03730.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2764911:2770748:1 gene:Ma05_g03730 transcript:Ma05_t03730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKQINKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGSVDFGTSQRIPPLILATVTAVKFLAEPETDEVFAKIRMVPLTADELECGQDDALGLVIDGSNTQEKLASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTVDPPVQTVLVKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCMGIRRAKRGGVGGGPEIPSGWILPNGNCMTSYGNFSVFSRGEECRLMRENGNGGSLRTGRGMRIRGRVKADSVIEAATLAARGQPFEVVYYPRVSTPEFCVKAASVKAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQIADPVQWPNSPWRLLQVTWDEPDFLQNVKCVNPWLVELVSNMPTIHLAPFSPPKKKICISQYPDFCLEGQPPLPMFLRDPLGHGTSPLCCFPDSAPAGIQGARHAHFGVSLSDIHLNKLQLGLFHAGVHRLDQTTPPSRTTTGLIIDNPAIRNNISCLLTIGNASHSTEKLCNDKPPQLVLFGKTILTEDQISLSNSGDMVFPGATGNSSADGNLEKTTNMSDVSGSAMNQNIVVDSSSCELFKWDRHRYRNISELGLETGHCKIFMESVDVGRTLDLSMFESYEELYTKLADMFGIGKSEIRSHMIYNDAAGAVKHTGDEPYSEFMKTARRLTISTDSGSDDIGSSVLPGSLSANLECCS >Ma05_p03730.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2764911:2770748:1 gene:Ma05_g03730 transcript:Ma05_t03730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKQINKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGSVDFGTSQRIPPLILATVTAVKFLAEPETDEVFAKIRMVPLTADELECGQDDALGLVIDGSNTQEKLASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTVDPPVQTVLVKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCMGIRRAKRGGVGGGPEIPSGWILPNGNCMTSYGNFSVFSRGEECRLMRENGNGGSLRTGRGMRIRGRVKADSVIEAATLAARGQPFEVVYYPRVSTPEFCVKAASVKAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQIADPVQWPNSPWRLLQVTWDEPDFLQNVKCVNPWLVELVSNMPTIHLAPFSPPKKKICISQYPDFCLEGQPPLPMFLRDPLGHGTSPLCCFPDSAPAGIQGARHAHFGVSLSDIHLNKLQLGLFHAGVHRLDQTTPPSRTTTGLIIDNPAIRNNISCLLTIGNASHSTEKLCNDKPPQLVLFGKTILTEDQISLSNSGDMVFPGATGNSSADGNLEKTTNMSDVSGSAMNQNIVVDSSSCELFKWDRHRYRNISELGLETGHCKIFMESVDVGRTLDLSMFESYEELYTKLADMFGIGKSEIRSHMIYNDAAGAVKHTGDEPYSEFMKTARRLTISTDSGSDDIGSSVLPGSLSANLECCS >Ma05_p03730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2764911:2770748:1 gene:Ma05_g03730 transcript:Ma05_t03730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQINKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGSVDFGTSQRIPPLILATVTAVKFLAEPETDEVFAKIRMVPLTADELECGQDDALGLVIDGSNTQEKLASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTVDPPVQTVLVKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCMGIRRAKRGGVGGGPEIPSGWILPNGNCMTSYGNFSVFSRGEECRLMRENGNGGSLRTGRGMRIRGRVKADSVIEAATLAARGQPFEVVYYPRVSTPEFCVKAASVKAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQIADPVQWPNSPWRLLQVTWDEPDFLQNVKCVNPWLVELVSNMPTIHLAPFSPPKKKICISQYPDFCLEGQPPLPMFLRDPLGHGTSPLCCFPDSAPAGIQGARHAHFGVSLSDIHLNKLQLGLFHAGVHRLDQTTPPSRTTTGLIIDNPAIRNNISCLLTIGNASHSTEKLCNDKPPQLVLFGKTILTEDQISLSNSGDMVFPGATGNSSADGNLEKTTNMSDVSGSAMNQNIVVDSSSCELFKWDRHRYRNISELGLETGHCKIFMESVDVGRTLDLSMFESYEELYTKLADMFGIGKSEIRSHMIYNDAAGAVKHTGDEPYSEFMKTARRLTISTDSGSDDIGSSVLPGSLSANLECCS >Ma02_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24012918:24013500:1 gene:Ma02_g16820 transcript:Ma02_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFEVVFISSDRDQDSFEEFFSGMPWLALPFGDERRKSLSRTFRVRGIPSLVAIGPTGRTLTKDARNLLTIHGADAYPFSEERIKERRRRKTKMKNMMEGYACDGAVCREVFLRFWLVSVRTTEFYGV >Ma11_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6898113:6903489:-1 gene:Ma11_g08670 transcript:Ma11_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEFAAVTGNVGALARRILEKLPPDSDSRLCFSQDRYIFHVLRSDGITFLCMANETFGRRVPFQYLEDIHMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVSEIHTIMVDNIDKILDRGDRISLLVDKTATMQDSAFHFRKQSRRLRRALWMKNAKLLAVLTVAIALLLYVIIAACCGGITLPSCRSR >Ma04_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8193248:8193903:1 gene:Ma04_g11590 transcript:Ma04_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIIPSLFGRRTNKLSAQEPLSGDIWEPLGGFSFATTTLAVSPHETADMDWKETPDAHVFITDLPGVRKEEVNVEVEEEKVLKISGRRIKESEEKGHKWHRVERSAHKFCRTVRLPHDTNTDGMKAKLENGVLTVTLPKQQDRKAPVRFLQIEG >Ma04_p26950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28230473:28238578:1 gene:Ma04_g26950 transcript:Ma04_t26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANAVVTFAVTILAVMCGMASLSDNFNSPSPTADVKVLNINWFQKQPNGNDEVSLTLNISADLSSLFTWNAKQVFVFVAAEYETPQNALNQVSLWDGIIQSKEHAKFWIHTTNKYRFTDQGNNLRGKDFNLTLHWHIMPKTGKMFADKIVMTGYSLPEEYR >Ma10_p16310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28058308:28063096:1 gene:Ma10_g16310 transcript:Ma10_t16310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSVCTMEVSDSEPLNTEPLDTETAPSTTRRRRKKSMVWEHFTVEVVSDGCTRACCKLCKQTFAYSNGSKVAGTSHLKRHIALGSCPKIKNLENQQHALASATRIDGDTSDPPKKRYRTSGFVNVFDQEQSYMYLAKMIIVHEYPLHMVENPAFVSFVQSLQPRFKMIDVNAMEGEVLSVYHKEKQNLMQIFGTMPGRISLTIGLWTTSQTLGYICVSGQYIDSDWKLHRRMINFMLVSSPHSENALSEAISVSLSEWNMKTKLFTITLDNNCSSHDIYSANLRDHLSNKNTLMLKGQLFVVRCYANILNVVAQDVIASIHGIVYNIRESVKFVKASPAREEKFAEIALQIGIPGTKALSLDVTTLWNTTYLMMEAALEYKEAFTFLETCDDNYNEAPSADDWKKVEVVCTFLKLLYDSAHTIMTTIDQTANVFFQEAWKVQLELANGTLSEDTMVSSIAKEMHEKFDKYWKDCSLVLAISVVMDPRFKMKLVEFSFAKIYGEDSARFIKVVNDSIHELYLEYVSQPLQLMPAYVDEGEANHMNGNDNNPPATPNSTGDGLLDFDVYLSEMAVNQSTKSELDQYLEESLVPRIQGFDILNWWKLNNLKYPTLSKMARDVLAIPVSMVGTGCSIFGSGTGSRVLDDYRSSLRPETVEALFCAKDWLQYLPTMTEPPSTAIVKLEF >Ma10_p16310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28057862:28063096:1 gene:Ma10_g16310 transcript:Ma10_t16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEHFTVEVVSDGCTRACCKLCKQTFAYSNGSKVAGTSHLKRHIALGSCPKIKNLENQQHALASATRIDGDTSDPPKKRYRTSGFVNVFDQEQSYMYLAKMIIVHEYPLHMVENPAFVSFVQSLQPRFKMIDVNAMEGEVLSVYHKEKQNLMQIFGTMPGRISLTIGLWTTSQTLGYICVSGQYIDSDWKLHRRMINFMLVSSPHSENALSEAISVSLSEWNMKTKLFTITLDNNCSSHDIYSANLRDHLSNKNTLMLKGQLFVVRCYANILNVVAQDVIASIHGIVYNIRESVKFVKASPAREEKFAEIALQIGIPGTKALSLDVTTLWNTTYLMMEAALEYKEAFTFLETCDDNYNEAPSADDWKKVEVVCTFLKLLYDSAHTIMTTIDQTANVFFQEAWKVQLELANGTLSEDTMVSSIAKEMHEKFDKYWKDCSLVLAISVVMDPRFKMKLVEFSFAKIYGEDSARFIKVVNDSIHELYLEYVSQPLQLMPAYVDEGEANHMNGNDNNPPATPNSTGDGLLDFDVYLSEMAVNQSTKSELDQYLEESLVPRIQGFDILNWWKLNNLKYPTLSKMARDVLAIPVSMVGTGCSIFGSGTGSRVLDDYRSSLRPETVEALFCAKDWLQYLPTMTEPPSTAIVKLEF >Ma10_p16310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28058308:28063096:1 gene:Ma10_g16310 transcript:Ma10_t16310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDSEPLNTEPLDTETAPSTTRRRRKKSMVWEHFTVEVVSDGCTRACCKLCKQTFAYSNGSKVAGTSHLKRHIALGSCPKIKNLENQQHALASATRIDGDTSDPPKKRYRTSGFVNVFDQEQSYMYLAKMIIVHEYPLHMVENPAFVSFVQSLQPRFKMIDVNAMEGEVLSVYHKEKQNLMQIFGTMPGRISLTIGLWTTSQTLGYICVSGQYIDSDWKLHRRMINFMLVSSPHSENALSEAISVSLSEWNMKTKLFTITLDNNCSSHDIYSANLRDHLSNKNTLMLKGQLFVVRCYANILNVVAQDVIASIHGIVYNIRESVKFVKASPAREEKFAEIALQIGIPGTKALSLDVTTLWNTTYLMMEAALEYKEAFTFLETCDDNYNEAPSADDWKKVEVVCTFLKLLYDSAHTIMTTIDQTANVFFQEAWKVQLELANGTLSEDTMVSSIAKEMHEKFDKYWKDCSLVLAISVVMDPRFKMKLVEFSFAKIYGEDSARFIKVVNDSIHELYLEYVSQPLQLMPAYVDEGEANHMNGNDNNPPATPNSTGDGLLDFDVYLSEMAVNQSTKSELDQYLEESLVPRIQGFDILNWWKLNNLKYPTLSKMARDVLAIPVSMVGTGCSIFGSGTGSRVLDDYRSSLRPETVEALFCAKDWLQYLPTMTEPPSTAIVKLEF >Ma10_p16310.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28057862:28063096:1 gene:Ma10_g16310 transcript:Ma10_t16310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSVCTMEVSDSEPLNTEPLDTETAPSTTRRRRKKSMVWEHFTVEVVSDGCTRACCKLCKQTFAYSNGSKVAGTSHLKRHIALGSCPKIKNLENQQHALASATRIDGDTSDPPKKRYRTSGFVNVFDQEQSYMYLAKMIIVHEYPLHMVENPAFVSFVQSLQPRFKMIDVNAMEGEVLSVYHKEKQNLMQIFGTMPGRISLTIGLWTTSQTLGYICVSGQYIDSDWKLHRRMINFMLVSSPHSENALSEAISVSLSEWNMKTKLFTITLDNNCSSHDIYSANLRDHLSNKNTLMLKGQLFVVRCYANILNVVAQDVIASIHGIVYNIRESVKFVKASPAREEKFAEIALQIGIPGTKALSLDVTTLWNTTYLMMEAALEYKEAFTFLETCDDNYNEAPSADDWKKVEVVCTFLKLLYDSAHTIMTTIDQTANVFFQEAWKVQLELANGTLSEDTMVSSIAKEMHEKFDKYWKDCSLVLAISVVMDPRFKMKLVEFSFAKIYGEDSARFIKVVNDSIHELYLEYVSQPLQLMPAYVDEGEANHMNGNDNNPPATPNSTGDGLLDFDVYLSEMAVNQSTKSELDQYLEESLVPRIQGFDILNWWKLNNLKYPTLSKMARDVLAIPVSMVGTGCSIFGSGTGSRVLDDYRSSLRPETVEALFCAKDWLQYLPTMTEPPSTAIVKLEF >Ma10_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28059374:28063096:1 gene:Ma10_g16310 transcript:Ma10_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDSEPLNTEPLDTETAPSTTRRRRKKSMVWEHFTVEVVSDGCTRACCKLCKQTFAYSNGSKVAGTSHLKRHIALGSCPKIKNLENQQHALASATRIDGDTSDPPKKRYRTSGFVNVFDQEQSYMYLAKMIIVHEYPLHMVENPAFVSFVQSLQPRFKMIDVNAMEGEVLSVYHKEKQNLMQIFGTMPGRISLTIGLWTTSQTLGYICVSGQYIDSDWKLHRRMINFMLVSSPHSENALSEAISVSLSEWNMKTKLFTITLDNNCSSHDIYSANLRDHLSNKNTLMLKGQLFVVRCYANILNVVAQDVIASIHGIVYNIRESVKFVKASPAREEKFAEIALQIGIPGTKALSLDVTTLWNTTYLMMEAALEYKEAFTFLETCDDNYNEAPSADDWKKVEVVCTFLKLLYDSAHTIMTTIDQTANVFFQEAWKVQLELANGTLSEDTMVSSIAKEMHEKFDKYWKDCSLVLAISVVMDPRFKMKLVEFSFAKIYGEDSARFIKVVNDSIHELYLEYVSQPLQLMPAYVDEGEANHMNGNDNNPPATPNSTGDGLLDFDVYLSEMAVNQSTKSELDQYLEESLVPRIQGFDILNWWKLNNLKYPTLSKMARDVLAIPVSMVGTGCSIFGSGTGSRVLDDYRSSLRPETVEALFCAKDWLQYLPTMTEPPSTAIVKLEF >Ma10_p16310.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28057862:28063096:1 gene:Ma10_g16310 transcript:Ma10_t16310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDSEPLNTEPLDTETAPSTTRRRRKKSMVWEHFTVEVVSDGCTRACCKLCKQTFAYSNGSKVAGTSHLKRHIALGSCPKIKNLENQQHALASATRIDGDTSDPPKKRYRTSGFVNVFDQEQSYMYLAKMIIVHEYPLHMVENPAFVSFVQSLQPRFKMIDVNAMEGEVLSVYHKEKQNLMQIFGTMPGRISLTIGLWTTSQTLGYICVSGQYIDSDWKLHRRMINFMLVSSPHSENALSEAISVSLSEWNMKTKLFTITLDNNCSSHDIYSANLRDHLSNKNTLMLKGQLFVVRCYANILNVVAQDVIASIHGIVYNIRESVKFVKASPAREEKFAEIALQIGIPGTKALSLDVTTLWNTTYLMMEAALEYKEAFTFLETCDDNYNEAPSADDWKKVEVVCTFLKLLYDSAHTIMTTIDQTANVFFQEAWKVQLELANGTLSEDTMVSSIAKEMHEKFDKYWKDCSLVLAISVVMDPRFKMKLVEFSFAKIYGEDSARFIKVVNDSIHELYLEYVSQPLQLMPAYVDEGEANHMNGNDNNPPATPNSTGDGLLDFDVYLSEMAVNQSTKSELDQYLEESLVPRIQGFDILNWWKLNNLKYPTLSKMARDVLAIPVSMVGTGCSIFGSGTGSRVLDDYRSSLRPETVEALFCAKDWLQYLPTMTEPPSTAIVKLEF >Ma02_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26476930:26486800:-1 gene:Ma02_g20550 transcript:Ma02_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMGMPRDDLIKNRTANQRGRGRGRGQGQGRGHGRGDTFRGRGMGMLHRGSLSVNMRPSPYKIAKSFSRTKGMIWRHDLFDDSIVAAGISGIETGTKLYISNLDYGVSNEDIRELFSEVGDLKRYAVHYDRNGHPSGSAEVVYTRRSDAMAALKRYNNVQLDGKPMKIEVIGTNLGLPVTPRVNVVGGPNGRGKRTVVMTPQFGRGASSSFNRASGITRGGFQRGRGRGHLNAISSGRGSGRGHLNAISSGRGIGRGRASGRGRGRGRKQNLEKSADELDKELETYHSEAMNTS >Ma03_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7173738:7175215:1 gene:Ma03_g09620 transcript:Ma03_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAASYVTARPPHPLAHRSPAACRPHYTHMTFLWGKNSEILFMGWPGTRGSMYALALGVGLAYLVMLAVMSVMSFLLFDSTTSQKTPLQSAGGGIRGNLIFGQRPMPLRKEKLRPSNPYLLHRDSLR >Ma04_p35270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34122745:34126793:1 gene:Ma04_g35270 transcript:Ma04_t35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLIANLIIMGSGILGRAVLQAYRKALENANKNGVAHEAINNIRRASRAMTEQEARQILGISENSSWEEVMQKYDVLFENNTKTGSFYLQSKVHRAKECLEAVYKENNQGSS >Ma08_p32470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43163976:43166290:1 gene:Ma08_g32470 transcript:Ma08_t32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSPLSSSESLKPVKLRPSHQPLHPHPKWTKLPSNPTSLSPKNCIPAAPLPQKTRPTVSPTSVERLKQAVASKTHSEALDLYLQIKRQGIELGVVLESRLIDLFMKVERVDDAFLVFDEMPERNVVTWTSMISGCVRNGYGELGLHLFVDMLDSGVLPNDFAVNAALQACTDTAAAEPGEQLHSLIARSGLGDDRWTASCLIEFYSRCGLIDKAKSVFDGITDPDVVSYTSLISGYCRNNVLESAVGVFDQMVRQGIEPNEHTLTSILTACGPLLGEQIHAFMIKTMIINSVYSASALIDFYSRNFEFTRAKLVFQQLEPKNVVTWSSMISCCLRNEQPEEALRVFNDMVSAGIQPNEFTFSTIIGACGLPQELAKLGLQLHCLAIKCKLTSDIRVSNALLTMYARCGKIEELEKVFVKIEAPDTVSWTAAISGNFQNGCDDRSIELLRHMHREGHKPNEYGLSSAISSCANLALLDQGRQLHSLSLKLGCDHDVCMGNSLISMYAKCGCIKDSELVFDNMHTHDILSWNSLIHGYSYNGHGVEALEVIDKMLESSCSIPDDSTFLGILVGCNHAGCVDEAVRYFKMMNDHYGIIPSASHYACMIDMMGRAGRLEEAHHIIEQMPFEPDITMWKALLGSCTLHRNLELGKLAAEKIFELTPTDSASYVLLSNLHALHGEWQEAERVRRKMDENEVQKAAGWSWIQINNEVHAFVARDKSHPKFALIYERLEELFKIIKDERYSSQTIDANELEITNL >Ma04_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24215946:24228274:1 gene:Ma04_g21760 transcript:Ma04_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFVTLEDSPMFRKQIYSLEQTTDELKDRCHKFHKGCKRFMVSLGEAYYGDLSFADSLEAFGAGQDDPVSVAIGGPVMSKFTTAFRELGSYKELLRSQVEHMLSNRLMQFMNVDLQNVKDCRRRFDKATAGYDQAREKFMSLKKGTRVDIVAELEENLQNSKSAFERCRFNLVNALANIEAKKKFEFLESISAVMDANMRYFKQGYELLSQMEPFIHQVLTYTQQSKEMINVEQDKLEKRIQEFRTQSELANLRSSSNMQATTSGDGINVIGLNSYKNIEALMQSTANGEVQIIKQGYLLKRSSNLRGDWKRRFFVLDSHGTLYYYRDKWSKQPGGENIGFHTVDLRTSTIKIDAEQTDLRFCFRIISPAKTFTLQAENEVDRMDWVEKIRGVITSLLNSTLSNQLSSGGLDMDSHSFADANGVDAHLLEESTNTKGYDNISKILRSIPGNDACAECGAPDPDWASLNLGILVCIECSGVHRNLGVHISKVRSLTLDVKVWEPAVVDLFCALGNAYCNSVWEELLLFQDQRIDVPNVDGPSVKKPTPKDAISRKEKYIHSKYVGKSLIVRETSQSELPLITVRIWEAIKTNNVQIAYRLFVASDAFPNTIYDEVNSELYHISDTPVNRNNGSTERKQFDPALCQKIKDSGEPESCLDGCSLLHIACHVGDPVMLELLLQFGADINFQDFHGRTPLHHCICTKNNSLAKYLIRRGASTSAKDGGGFTALERAMELGAITDEELFILLAGR >Ma03_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24099627:24107142:-1 gene:Ma03_g18480 transcript:Ma03_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIIQDMRDELGSISRKGLEVKLGYGLRSTSRRTVHDALGPPLVDALSQSCWANMPPELLRDVLTRIEEAEGWWPQRKDVVACAGVCRSWRDTMKEIVKTPEVSGKLTFPISLKQPGPRDFPLQCFIRRNRSTQTYHLYLGLNEDDGKFLLAARKCRRPTCTDYLISLHADDMSRTSATYIGKLRSNFLGTKFTIYDAQPPHAGAMISRSHSTRGGGSEQISPKVLAGNYPVVHIAYELNVSGSRGPRRMHCVMDSIPASAIKPGGVAPTQIEFLHASLDSFPSVPFFRSKSSRIECLSGSFSGLNEEKLVLKNKNPRWHAQLQCWCLNFRGRVTVASVKNFQLVASDEIGSVSQEQEKVILQFGKVGKDLFTMDYSYPISAFQAFAICLSSFDTKIACE >Ma05_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15036994:15051059:1 gene:Ma05_g16000 transcript:Ma05_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGGCGSKVEIGAADRKRINDALDRHLERSSPSTSRGLNGKEKGRLSMPSVNSGKQPEHRTLSKSKLSDEESETDSEESDVSGSDGEDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSNGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMLEKFKNYDFGRCPRVYCCGQPCLPLGQSDIPRSSTVKIYCPKCEDVYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPSQRYVPRVFGFKIHKP >Ma08_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33942194:33942547:1 gene:Ma08_g20100 transcript:Ma08_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVAFTELLVLALVLAPATARNVPDLVTATTKTPTGGDASAGLEDKKNFVFGGVGGFAGVGGIAGGYGGAAGVAGLGGLGGVGGVGGLGGLGGVGGVGTGAGGGFGGGAGGITP >Ma03_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9256582:9258555:1 gene:Ma03_g11920 transcript:Ma03_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTEVLVHGLLLWTLLASRDSRAHGDTGFVRTNMTHFLVNRRPFSLNGFNAYWLMYVASFPEEGRSMVSSAFQEASTHGLTLARTWAFRDGGYKPLQRSPGVYDEDMFQGLDFVISEAKKYGIYLVLSLVNNFESFGGRTQYIQWARDEGGHLSSDDDFYRDEVVKSYYKNHVRAILTRVNTITGVAYKDEAAIFAWELVNEPRCETDLSGRTLQAWIEEMAAHVKSIDSNHLLEVGLEGFYGETIPERKKINLGYEMGTDFISNNQVQGVDFATIHAYPDMWMPGSTEQTQLAFLQSWIQSHVEDADAVLRKPLLISEFGKSSRLSNRTGDVKAALYTTVYDAIYASARGGGACRGGIFWNLLLGVQEMEGLRDGYEVIFSESPSLGQIISNQSHRISNLNGGSETEKKLRPALPSGNQWSISKRDRLLVSSSRILLFLFHFLLI >Ma03_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15191377:15192075:1 gene:Ma03_g15390 transcript:Ma03_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPSSSSSDGRATTGRRPLGLVANAIKRKHGFLHLFVMTGILMLSMRSLGQKYRIRDLSRDNDLLREEHDALCRRTAAVKDDLLHEASLDSSGLLADHLRRLFREDTDH >Ma01_p03260.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2108050:2124466:-1 gene:Ma01_g03260 transcript:Ma01_t03260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDCSGFGPASGDMNQILNSRGNSSGPSVGASSLVTDANSTLSGGAQLQRSTSFNNESYMRIPASPMSFSSNISGSSVMDGCSIVQQSPLQEHVRKQGLSTATSQLTQRETPNIMNAQKKMQLDIRQEDILQKQLVQQLMQRHDPMQLQGQQNPQLHAYLQQQRLMQHQQQQQQQQQQQIIQSFSQMQQAPINLQQQQQLWHHVQPQTLQPVTPVKRPFDNGICSRRLMQYLYHQRHRSPNNSILYWRKFVAEYFAVRAKKRWCLSLYDNMGNHALGVFPQLAVDAWQCDICGSKSGKGFEATFEALPRLFQIKFDRGVIDENLFLDMPHECRLSSGAMVLKYEKAVQESVYEHLRIVRHGQLRIIFTPELKILFWEFCARQHEEFLPRRLLAPQVNQLLQVAQKYQAAVSENNTAGVSHQDLQTSCNMFSTAGRQLARNLDLQSLNDLGFSKRYVRCLQISEVVSSMKDLIDFSQEQKIGPIESLKNYTRQASAKLQKQKLETEQLMSYNSLPGDQSAVSKVIGIHPGLNSYMNNNIAANQVVNGSQQSVHALNSYQNMLRNSLNLKHNLLQQDASSSLCASKHAQQFQGSSSIITNASANNLSGQQQQQPTLDGCLPQQNSVQTSQVNQHMQQHIIQQLLQEMMNNNKAAPQQSLIASNANANLAARDAIGGGISGSIRSGIEMQNMPSNFPNNGTGAVSSRSNCFKSAATAGNPIHNSGNSLSSRPDLPQNMDLPEMDHIAQEFSESGMFNGDSW >Ma01_p03260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2108050:2124466:-1 gene:Ma01_g03260 transcript:Ma01_t03260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDCSGFGPASGDMNQILNSRGNSSGPSVGASSLVTDANSTLSGGAQLQRSTSFNNESYMRIPASPMSFSSNISGSSVMDGCSIVQQSPLQEHVRKQGLSTATSQLTQRETPNIMNAQKKMQLDIRQEDILQKQLVQQLMQRHDPMQLQGQQNPQLHAYLQQQRLMQHQQQQQQQQQQQIIQSFSQMQQAPINLQQQQQLWHHVQPQTLQPVTPVKRPFDNGICSRRLMQYLYHQRHRSPNNSILYWRKFVAEYFAVRAKKRWCLSLYDNMGNHALGVFPQLAVDAWQCDICGSKSGKGFEATFEALPRLFQIKFDRGVIDENLFLDMPHECRLSSGAMVLKYEKAVQESVYEHLRIVRHGQLRIIFTPELKILFWEFCARQHEEFLPRRLLAPQVNQLLQVAQKYQAAVSENNTAGVSHQDLQTSCNMFSTAGRQLARNLDLQSLNDLGFSKRYVRCLQISEVVSSMKDLIDFSQEQKIGPIESLKNYTRQASAKLQKQKLETEQLMSYNSLPGDQSAVSKVIGIHPGLNSYMNNNIAANQVVNGSQQSVHALNSYQNMLRNSLNLKHNLLQQDASSSLCASKHAQQFQGSSSIITNASANNLSGQQQQQPTLDGCLPQQNSVQTSQVNQHMQQHIIQQLLQEMMNNNKAAPQQSLIASNANANLAARDAIGGGISGSIRSGIEMQNMPSNFPNNGTGAVSSRSNCFKSAATAGNPIHNSGNSLSSRPDLPQNMDLPEMDHIAQEFSESGMFNGDSW >Ma01_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2108050:2124466:-1 gene:Ma01_g03260 transcript:Ma01_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDCSGFGPASGDMNQILNSRGNSSGPSVGASSLVTDANSTLSGGAQLQRSTSFNNESYMRIPASPMSFSSNISGSSVMDGCSIVQQSPLQEHVRKQGLSTATSQLTQRETPNIMNAQKKMQLDIRQEDILQKQLVQQLMQRHDPMQLQGQQNPQLHAYLQQQRLMQHQQQQQQQQQQQIIQSFSQMQQAPINLQQQQQLWHHVQPQTLQPVTPVKRPFDNGICSRRLMQYLYHQRHRSPNNSILYWRKFVAEYFAVRAKKRWCLSLYDNMGNHALGVFPQLAVDAWQCDICGSKSGKGFEATFEALPRLFQIKFDRGVIDENLFLDMPHECRLSSGAMVLKYEKAVQESVYEHLRIVRHGQLRIIFTPELKILFWEFCARQHEEFLPRRLLAPQVNQLLQVAQKYQAAVSENNTAGVSHQDLQTSCNMFSTAGRQLARNLDLQSLNDLGFSKRYVRCLQISEVVSSMKDLIDFSQEQKIGPIESLKNYTRQASAKLQKQKLETEQLMSYNSLPGDQSAVSKVIGIHPGLNSYMNNNIAANQVVNGSQQSVHALNSYQNMLRNSLNLKHNLLQQDASSSLCASKHAQQFQGSSSIITNASANNLSGQQQQQPTLDGCLPQQNSVQTSQVNQHMQQHIIQQLLQEMMNNNKAAPQQSLIASNANANLAARDAIGGGISGSIRSGIEMQNMPSNFPNNGTGAVSSRSNCFKSAATAGNPIHNSGNSLSSRPDLPQNMDLPEMDHIAQEFSESGMFNGDSW >Ma01_p03260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2108050:2124466:-1 gene:Ma01_g03260 transcript:Ma01_t03260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDCSGFGPASGDMNQILNSRGNSSGPSVGASSLVTDANSTLSGGAQLQRSTSFNNESYMRIPASPMSFSSNISGSSVMDGCSIVQQSPLQEHVRKQGLSTATSQLTQRETPNIMNAQKKMQLDIRQEDILQKQLVQQLMQRHDPMQLQGQQNPQLHAYLQQQRLMQHQQQQQQQQQQQIIQSFSQMQQAPINLQQQQQLWHHVQPQTLQPVTPVKRPFDNGICSRRLMQYLYHQRHRSPNNSILYWRKFVAEYFAVRAKKRWCLSLYDNMGNHALGVFPQLAVDAWQCDICGSKSGKGFEATFEALPRLFQIKFDRGVIDENLFLDMPHECRLSSGAMVLKYEKAVQESVYEHLRIVRHGQLRIIFTPELKILFWEFCARQHEEFLPRRLLAPQVNQLLQVAQKYQAAVSENNTAGVSHQDLQTSCNMFSTAGRQLARNLDLQSLNDLGFSKRYVRCLQISEVVSSMKDLIDFSQEQKIGPIESLKNYTRQASAKLQKQKLETEQLMSYNSLPGDQSAVSKVIGIHPGLNSYMNNNIAANQVVNGSQQSVHALNSYQNMLRNSLNLKHNLLQQDASSSLCASKHAQQFQGSSSIITNASANNLSGQQQQQPTLDGCLPQQNSVQTSQVNQHMQQHIIQQLLQEMMNNNKAAPQQSLIASNANANLAARDAIGGGISGSIRSGIEMQNMPSNFPNNGTGAVSSRSNCFKSAATAGNPIHNSGNSLSSRPDLPQNMDLPEMDHIAQEFSESGMFNGDSW >Ma08_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9642882:9645020:1 gene:Ma08_g12640 transcript:Ma08_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSIPSQGTLFLRVPLKPSLRSLFSSSALTTSTSWKPLFRSSSSSVLSRNDRFLSASASFADDLRPDLGENPEGIISGEWPDNMSLINYDDLRAYLETQIISHKTKPSAKLGTVMSTEIRTARPEQTLEEINHKFEVVSGLPVVDDDLRCIGVVSKKDKARASNGLKSKVGEVMSSPAIMLSPEKTVLDAAALMLKKKIHRIPIVNEEQKVVGMVTRTDIFQVLET >Ma03_p32850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34472226:34473032:1 gene:Ma03_g32850 transcript:Ma03_t32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGEGSDRGPPTRSVYEDPTTATQLYTGPSSYLPPSLAGEARFAALQRFLPFNDDVSTATDSYTSDEFRMYVFKVRPCPRGRSHDWTECPFLHPGEKARRRDPRRFHYSCIPCPDFRQTEECRCGDACQLSHGIFETWLHPARYRTRICKDGTACRRRVCFFAHCPEQLRIILPLGASPATMAPASMSTPVSRVVEDEVAAKLANMQLSTAGLPMWTRTGPGLLASFWTPANVSEETADEASGSSEYQLTEEEEAAQFGWILELLKD >Ma01_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3450523:3477498:1 gene:Ma01_g04980 transcript:Ma01_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein recA homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10140) UniProtKB/Swiss-Prot;Acc:Q8RY99] MGGAFLSRAFSLLRAIRPALLPGQTSLRSRLPSLLQIGESNNGRFRIISCRLSSTVETLLEYEKDQPFDAEQVMDKESTLNLALHQLVGDFDRESNLSLSRFFCTRSAPVISTGSLKLDLALGIGGLPKGRMVEIFGKEASGKTTLALHIIKEAQKLGGCCAYLDPENAMNPSLAETMGVNTNNLLISRVDCAEKTLSILNTLVRSGFVDVIVVDSVAALVPGCELDGVTDIYPRKVQSRLMTQALRKIHYSLCRSQTIIIFVNQVRANLRSNHGFREQDEVTCGGNALKFYAAVRMRIRRNCLLHSEDKVTGVTISVEVIKNKLAPAMKKANLNIRFGRGLCHEEEILEMAAKHGIIRKEENGYWIKGDFFKDHLAAEQFLAANNGVAGDLVDVLRDQLLNKTS >Ma07_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10891963:10892655:-1 gene:Ma07_g14460 transcript:Ma07_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQELGDIRCLALEKVVESLDLPCRYFSLGCPERFPYYSKLKHEAQCDFRPHNCPDAGSECSVVGDIPFLVAHLREEHQVDMHVGSAFNHRNVKSNPREVENASWVLTVFHCRFMGDENEAMHCSYSLEVGSNGRKLT >Ma10_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26198730:26202890:-1 gene:Ma10_g13370 transcript:Ma10_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLESSALLKHPDGREEPAPEPKEKTPAAPPEAVPKPQQKAPPAAVAKGPAGWTADGLPVGHGSVVGEPVGRVQWNSSLFSCFGRNDDFCGSDLEVCLLGSFAPCVLYGSNAERLGAVPGSFADNCLPYTGLYVLGNALFGWNCLAPWLSHPTRTAIRRKFNLEGSFESFTRPYGCCDGIVEDEQRREQLEQVCDFATHYMCHTCSLCQEGRELRRRLPHPGFDARPVLIMIPPMEQTMGRGA >Ma06_p35990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35647873:35649139:-1 gene:Ma06_g35990 transcript:Ma06_t35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTTRHDRISKDVEVTGRHAIVEDLYAFGATIHTCTLNEAEGTVCDVSSPADREKLMKEINSTFEGKLDILLSSMADHSIGFTIACEMEEQSPKLFLMSTNFESALRLSQLAYPLVKASGRGGCVVNVSGWWTQ >Ma09_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7525515:7530704:1 gene:Ma09_g11130 transcript:Ma09_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLQRLRLLRYLSAVQIPAQPLPPIAVPPNSLSVAPTAAALKPISCPRLFSLYHLRRRRPSERVPFCSRSTQTNEGAAMAYLSVRIRCRKCDADILSESLLCFGASSTSMDELSDSHDLDEIWITCIFAVNQDVHTCISHAISSIGLNYGPNYEVSVGEECDWVKGVQETFHPVEVTAGLWIVPKWREPPDLQATNIFLDPGMAFGTGEHPTTKLCLMLLRKLIHGGEQFLDYGTGSGILGIAAVKMGAAASIGIDIDPQAVTSARQNIASNDMDSSKMLVYLVPSKTDSSCTDAETNMDPEETPLLNLELKSAKGKFDIIIANILLNPLLELAKDIVSYGKPGANIGLSGILSEQVQQIKETYLQYLDDISVSEMEGWACLHGIRKENLTL >Ma01_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10408548:10408691:1 gene:Ma01_g14260 transcript:Ma01_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTLTEYERRRLENIRRNDEMMASLMLGRKVSDVAATLKRTPSDT >Ma08_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27887490:27888665:1 gene:Ma08_g18010 transcript:Ma08_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTLCRVIDSATDPSELQTKGRPVLSLTQTKPAVETERGKETKRERVCSSALRSAGIRRGRCLSSIQVALRKRKKKERLLPFYEQRRQQPPVSHLVWTLVSVRQAGSTGNGIEEETSEVYISEIEYEGNGEKAATVVLLLVSSTKKTTVSFSYLRCFSVAFPLFNFLVSLSTPLYHPTMAALVPDSTNNSSSSNKLDVDGLSCSSAISGGASENTTYVRADPDNFRALVQRLTGASAATDNYSVRRLPSEARKVESKKRKLQDRRRVPTKLEININPSLYSTSATCYHRQRHYHRFSCTGSWSKGHEAGLLTSPISTMDSILLVSSASPTAATPAGAAVLLVKKEEEERAIAEKGFYLHPSPRSNSREPPKLLQLFPLHSPKNSSVSTSE >Ma10_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19835693:19840052:1 gene:Ma10_g06580 transcript:Ma10_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAKCDTWCELHNPVNHRVFERKLSYSREGAAARFARCSPLARTAKNG >Ma04_p25710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27399839:27401538:-1 gene:Ma04_g25710 transcript:Ma04_t25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSAPAAPPRHVSPVRNAFHRRRPRSPLAPLITRPRAATPFKKAVAAAGVHADGSAAASSSPPAAVISTRNHLSNLERLLQKKQAPPPPHLVEEEPEPRRHSPSSSIHQTRGRLLNVLNLSSAAFLNSSDKKTEEMSPRSLTRLHRLLSDSTRPSPRGTIGPRWRQYHGAANWDGLLEPLDVHLRRELVRYGELVQATYHAFHSNLIAAPPDRPRPVVLPDWSYRVTRNLFATASVELPSWVQTVAPWMMAAQRTSWIGYVAVCDNEREIQRMGRRDIVIALRGTSTCLEWAENLRTGLVPVDDDGEDVTDPQGDVPKVECGFRSLYKTAGPDMPSLSSSVVAEVRKLTEKYAGEEISITVVGHSLGAALAVLIADELAARAPPHVPTAVFSFGSPRVGNQAFAARVERRGVKVLRVVNARDLVTHVPMVLPSRTGGYAHVGRELLVDCRMSPYLRPDADPACCHDLEAYLHLVDGFMATNSPFRSDAKRGLARLLTQQSSNLKKLYVSKARAIQVQSTPGFPRRHCGHTLPSTLSIENLEVQ >Ma06_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4168891:4171300:1 gene:Ma06_g05600 transcript:Ma06_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKAKCRLHSHDVPYGSGSGQQSVTGSPDVDDSNSCWVISRANLMPSKSGPGIWYAKLTVVKTQFWATQCNLNHGSYSFYGGMLETICFGGDDGSDTGHFWRLEIEGSGKTWRTRE >Ma09_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7730363:7731046:-1 gene:Ma09_g11430 transcript:Ma09_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTF2-like [Source:Projected from Arabidopsis thaliana (AT1G11570) TAIR;Acc:AT1G11570] MQMLPLRTATSTTGGARMDKDQGKREMEEQLEMVTKAFVDHYYNLFDTNRAALACLYDQTSMLSFEGQKILGADEISRKLSQLPFEQCNHSISTVDCQPSPLVGGILVFVTGNLQLAGEEHQLRFSQMFQLIPTQQASFFVQNDIFRLNYC >Ma00_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29747952:29748861:-1 gene:Ma00_g03520 transcript:Ma00_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding WETTIEIIKGVEPLYIVLYKVDMDKRPQMSYLKYMLISAREKVRRAFKDDFKADQYVRIIDHNKKIILIKLCLVAYYLNPAIQYRYALRTQNDFLTILRNVIFRLLPNTTNATDDLMEGRLFRETVGSFSDVVAVSYRYTMDPVMATIWKGCTIFKPYLRKVTVRVLSQTTSSGCERNWSTFALIHTKVHNKLSYRRLEKLVYVYYNMRLRLRCAELDKEPEESYIDLIDLQFYNEDSEPMLD >Ma04_p35350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34164148:34165124:-1 gene:Ma04_g35350 transcript:Ma04_t35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCEKIGLNKGTWTEEEDMKLIAYIHKYGHQNWRALPKLAGLSRLRYIRLLPFLTVANMNISLTPEGLLRCGKSCRLRWTNYLRPDIKRGNFTKDEEDTIIKLHALLGNKWSKIASFLPGRTDNEIKNVWNTHLKKRAASGEQQPVTNGASTSTGDRMAGAIEIPVDPTVDMFVILDDALSTSMQSNEMEDSVFLETLGVRQDSLWTSPQKQSRGSVASVGTDGPSIPDVRQPEACEEADGTRRDGQREEWLDYLEKELGLRDEGEAWDRWDEMEGDLVATFLQDDIFSPYD >Ma02_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9177078:9177743:1 gene:Ma02_g01260 transcript:Ma02_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPRRKPDLAAATTAGPKATTPPCTECGKRFSSWKALFGHMRCHPERQWRGINPPPHLRRPLPLASPTPEEHFTDEEYEVAASLILLSRGPHRNISALCRAEPERADAMQPFSFPQPQQTSGGVLVGSEDACRSWKRAWEAGEATRCYHKCKCDVCSRGFAAGQALGGHKRHHWEREEDIVVGCSSSGNYDLDLNLPPPSLENNEANPSTVLDLRLGI >Ma03_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2557753:2563100:1 gene:Ma03_g03910 transcript:Ma03_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSSSSPATVDRTLTAGPRGGGSSGLSRFRSRSWRGRKKAMKEKQKRGGGGRRRAAVDGSGEAEEDELDRVPGRMFLNGTSEAACLYTQQGRKGTNQDAMIVWENFSLTKDTIFCGVFDGHGPYGHMVSKKVRDSLPLKLSTQWRASLNSHESPDPNGSISGSMNSEETASMSIDDECGESLDVDENEKLPEMYLPLKQSFLKSFKSMDKELKFHPTIDCFCSGTTAVTVVKQGQDLVIGNIGDSRAIMGTRDKENNLTAVQLTVDLKPNLPREAARIQQCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRHLTEKDEFIVLATDGVWDVLSNKEVVDVVGSAPTRSTAARAVVDCAVRAWRLKFPTSKIDDCAVVCLFLKPISSSDPVQKCDSNRSDAESTETAVLVLADKEVTVKQETHELITVDVPTSALEPSYPVHSANEIVPVSEGPDLETVTERSQSTRSLADCISTTEEEEWSALEGITRVNSLLNLPRFLSGDKRSSSWKKWL >Ma01_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10191165:10196735:1 gene:Ma01_g13950 transcript:Ma01_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGHTTRSAMRRSECGGGSAAGWRRLRRRRSLLAALLTSAAAAVFLAFSRPFGTSIGFADPYAVPSSRRTADLSPSRPPPSREASHATGFVSKPNSAATVVLSPLVAPSPSPNGDLDDTDSDYSSPDLKAAPLWTEVAANFTDTDVPPLFVEPLPSPLGNYDATQVQYVSPEPKMLPLQPLVTVPLTLTDQQLIYAKGEIDHAPFVYDDPELYAPLFQNVSVFKRSYELMESILKVYIYKDGSRPIFHRPELKGIYASEGWFMKLMHENKNFVVKDPNKAHLFYLPYSARRLEQALYVLNSHNIQPLMIFLRNYVNEISVKYPFWNRTRGADHFLVACHDWGPYTTKWHQELRQNTIKALCNADVSEGVFIRGKDVSLPETSIRNPRRPPRDIGGKPASQRTILAFFAGQMHGRVRPILLQYWSGRDENIKIYGPLPKGVAKKMSYAEHMKSSKFCICPMGYEVNSPRIVEAIYYECVPVVIADNFVLPFEEVLDWHAFSVVIAEKDIANLKDILLRIPQSEYVTMQMNVKKLQKHFLWHAKPVKYDIFHMILHSIWFNRLNQIQADQ >Ma02_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22441741:22450340:-1 gene:Ma02_g14330 transcript:Ma02_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRASAPSGQVAAGVPHANGGGAGQEAGVQLADKLKIFKTDNFDPDAYVQSKCQSMNEKEIKQLCNYLQDLKRASAEEMRKSVYANYAAFIRTSKEISDLEGELLSIKNLLGAQTGLIHGLAEGVNIDSLSAGSESIRENDISNVEDREPSDLEKWVEEFPDMLEVLLAERRVDEALDALDEAEHLVIDAKQKQTVGTAELSSLQNAISEHRQKLADQLAEAACQSSTHGVELRAAAAALKRLGDGPRAHSLLLSAHYQRLQYNMQVIHPTSTSYGGAYTAALSQQVFSAIGQALNDSQSVFGDEYASELVIWSTKRAEAFSHLVKRHALASSAAAGGLRAAAECVQIAIGHCSMLEARGRLSLSSVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPTGARTSNRISSTVVGIQPKLSSSAHRFYSMVQDFFEDVGPLLSMQLGGSTVDGLLKVFNSYIGLLINALPSSIEEEANLDGPVNKLVRIAETETQQLGLLANASLLAEELLPRAAMKLSPMYQAGGMDDSRRRGSDRNTRVPEQREWKKKLQRSVDKLRDSFCRQHALDLIFTEDGDNNLSAEMYISMDLNSDELEWAPSPIFQELYAKLNRMASVASDMFVGRERFATLLMMRLTETVILWLSEDQSFWEEIEEGDRPLGPLGLQQFYLDMQFIILFGKGRFLSRNVHQVVIDIIERAMAAFSATGMDPDSTLPNDDWFFEVAQETISRISGRTRVGNGERETNSPTASISAQSISSFRSHGSL >Ma09_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6214591:6215951:1 gene:Ma09_g09440 transcript:Ma09_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETHHLHLASSQILRNRDDINGVEDPSDIYDMQLGFVPTASGFNAGSFLPLCNSSAVMTATSDSGLTFHNHPVAMASRKRGRDADGALSFLGEDMSSHFQQQMLDVDRLIVHHAEKVRVELMERLKRFLRRIIASVEEGLSKRLKAKEEEIERMSKLNWALEERIKSLCVENQMWRDLARSNEAAAQVLRSNLEQALAAAQVKAEKDAAAIAVDDADSCCCGDNAEDENRGSAAAKGKKLQWSRLCRFCQEREPSVLLLPCRHLCVCAACGPAVVACPICNCSTNGTVIVNMS >Ma02_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10642115:10645117:-1 gene:Ma02_g01660 transcript:Ma02_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAADLYHVLVAVVPLYVAMILAYASVKWWCIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNYRFIAADTLQKLIVLVVLTVWTKVCSRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGPDSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIMDQFPDTAGSIISFRVDSDIISLDGKETLQTDAEIGDDGKLHVTVRKSTSSRSEICSRRSHGLNSGGISITPRPSNLSNAEIYSLQSSRNPTPRGSSFNHADFYSMVRNANGTASVSPRHSNSGTMVFDEESDLRASGIAAPCGYPAPPATGIFSPVMATKKARAAEGGKDLHMFVWSSSASPVSEGGMHVFRDTDFANENGGATHAADHHHREAHHDAYDVYARDGFSFRNRPFHGIETTQSDGPSLSKLGSSSTAELHPKAGAAGNPKPNSMPPASVMSRLILSMVWRKLIRNPNTYSSLLGVIWSLVSYRWDIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFVTGPAVMAAASIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVYPDILSTAVIFGMLIALPITLVYYILLGL >Ma03_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27072606:27079542:1 gene:Ma03_g22290 transcript:Ma03_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQYPVLNNKPIDQWKVTELKEELRKRKIPVRGLKEELIRKLDEAIRNEMAKEEESVNACNPDSVHDDGDQVQSEKLVDNHTYVVVDPTGKTGSDALAIDIDINQDISSQYAITATPGLDTKVSETKTNVVSLEDSGTETQTVITLSESSIQDASPKEMHDEEKHDEEKHDEEKQEEEKQGEEKHEDSTGSREDIKLNLSEPDNQVPVVSPDLGFQVKCESIPIDSVSIIEKNSLKDNLNADDFHLEQEVVKPEMVQPSSSSVIGGDLQPLDDDKGPVSDPVTLEETDVKSSINIDLSMKEENVEEGSPEKLNLDRCSSDELMEEDVSDIKHVDSNTKSEELEGKTEVNLEPAVGGETSIDGGLGGSMPEKKDTVVEDHRKPEVPAQKRKLEDREVVGSNGAPKRQRRWNAETVKVPEQQTYTLITSSPSKDAFHLTPRRTLTKSVPKPVENSQKERIVPPSPKPATTSLRIDRFLRPFTLKAVQELLAKTGTVCSFWMDHIKTHCYVTYSSVEEATATRNAVYNLQWPPNGGNLLVAEFVDPQDVKARVEPPPQSAVPISPNPTTAKVTNFQQPQAAQPPTRQHSFRQPLPPLPTPTLSDLPAARERLPPPPPLNPEPPALTLDDLFKKTRATPRIYYLPLSEEAVASKFAAQGKNHKGQTSQY >Ma06_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21618310:21620037:-1 gene:Ma06_g23570 transcript:Ma06_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVEAQKPILHWLVRGAGLRQQTIEIEPGTVISMWVPKEKVMANKKKATNKEVVPDLSSDGSRDEEEDKRKKKKKQRGKEKEKPAVVLVHGFAAEGIVTWQFQFGVLVNQYEVYIPDLFFFGESTTAAADRSPAFQAECLAAALDKLSVRRCTAVGFSYGGMVAFKMAELRPDLVRSLVVSGSVFAMTDSISRATLDQLGFASSSELLMPESIKGLKALLSVSMHKKLWFPDFLYKDYLEVMFSNRKERAELLEGLVISNKDAKVPSLDQRILLLWGENDNIFNLELAKDMKEQLGEKAIVQSIKKAGHLLHVERPCAYNRHLKKFLALVKDEGTEE >Ma11_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23034610:23037436:1 gene:Ma11_g17840 transcript:Ma11_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLIFLQIISFVCVCGTRSTYRKSLWDKERMKKLQLVIGRRL >Ma11_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22108027:22108404:1 gene:Ma11_g16640 transcript:Ma11_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRRSIPKKNRRLTPRLALTSSAKRSVRNVGATVSTHPGHVLSPQQDPSLRSPQGRLIDPHRTTYEASSFFLPERSDKNTFGTHNLRGGGTMSPAPAKTWELYRKDYIVMPAGDAQETPQLIC >Ma06_p37890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36823618:36832648:1 gene:Ma06_g37890 transcript:Ma06_t37890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAVEDTIEEHGTSIDEEKRRVRCKYCGKEVNGFNRLKHHLAAVGSDVTACIEVPAVVKTQMRDALLGKKKERLLKEVGRIEHPELPLKRNFSPASSKQRCCQLKLTPGINSVNSSGPTEINVGESSSVNNFCPKQSLVKGVNNLEPHSAETVNWCSPSPFITKNMYRIVKEEVKDESAWHAARCIGRFFFEAGIDTANVKLPSFQGMMDAVIGCGSGYKVPTYDELKGTILHEEVKEVLKHVEDVKQSWGQTGCSILLDGWTDPRGRSLISFLVNCPLGTIFLRYVDASDAVDDVDTLFLLICNVIEEVGVKYVVQVVAHDTSDCIEAAGKKIMEKYRSIFWTLSADYCINIIFEKIEAQDYVKKVLSDAKAITKFIYSNALALKLLRKHVRGGDLVRTSNLKSVTPFITLQNMVSERENLVYMFNSPTWTTSDLASKIKGKSISKLVKDSSFWVAAVDVLKITNPLLDILHQISGTDRSPMGFLYDSIDRAKEKIKRNLGHEEARYDPIWTLVDDIWDNHLHSPLHSAGYFLNPSLFYSSDFYIDAEVTNGVLYCIVKMTVDQQDQELIVLQLDEYREAKGVFSGDAAVDQRSKISPDMWWSMHGGQCPELQKFAVKILSQACSGASRYMLRKDLSEQLHAGGRNCMEKQRSRDLEFVHYNRRLWHSPSSLKQEIELGHDDLKPLEEWIVDRN >Ma06_p37890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36823618:36832648:1 gene:Ma06_g37890 transcript:Ma06_t37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAVEDTIEEHGTSIDEEKRRVRCKYCGKEVNGFNRLKHHLAAVGSDVTACIEVPAVVKTQMRDALLGKKKERLLKEVGRIEHPELPLKRNFSPASSKQRCCQLKLTPGINSVNSSGPTEINVGESSSVNNFCPKQSLVKGVNNLEPHSAETVNWCSPSPFITKNMYRIVKEEVKDESAWHAARCIGRFFFEAGIDTANVKLPSFQGMMDAVIGCGSGYKVPTYDELKGTILHEEVKEVLKHVEDVKQSWGQTGCSILLDGWTDPRGRSLISFLVNCPLGTIFLRYVDASDAVDDVDTLFLLICNVIEEVGVKYVVQVVAHDTSDCIEAAGKKIMEKYRSIFWTLSADYCINIIFEKIEAQDYVKKVLSDAKAITKFIYSNALALKLLRKHVRGGDLVRTSNLKSVTPFITLQNMVSERENLVYMFNSPTWTTSDLASKIKGKSISKLVKDSSFWVAAVDVLKITNPLLDILHQISGTDRSPMGFLYDSIDRAKEKIKRNLGHEEARYDPIWTLVDDIWDNHLHSPLHSAGYFLNPSLFYSSDFYIDAEVTNGVLYCIVKMTVDQQDQELIVLQLDEYREAKGVFSGDAAVDQRSKISPDMWWSMHGGQCPELQKFAVKILSQACSGASRYMLRKDLSEQLHAGGRNCMEKQRSRDLEFVHYNRRLWHSPSSLKQEIELGHDDLKPLEEWIVDRN >Ma06_p37890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36823618:36832648:1 gene:Ma06_g37890 transcript:Ma06_t37890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAVEDTIEEHGTSIDEEKRRVRCKYCGKEVNGFNRLKHHLAAVGSDVTACIEVPAVVKTQMRDALLGKKKERLLKEVGRIEHPELPLKRNFSPASSKQRCCQLKLTPGINSVNSSGPTEINVGESSSVNNFCPKQSLVKGVNNLEPHSAETVNWCSPSPFITKNMYRIVKEEVKDESAWHAARCIGRFFFEAGIDTANVKLPSFQGMMDAVIGCGSGYKVPTYDELKGTILHEEVKEVLKHVEDVKQSWGQTGCSILLDGWTDPRGRSLISFLVNCPLGTIFLRYVDASDAVDDVDTLFLLICNVIEEVGVKYVVQVVAHDTSDCIEAAGKKIMEKYRSIFWTLSADYCINIIFEKIEAQDYVKKVLSDAKAITKFIYSNALALKLLRKHVRGGDLVRTSNLKSVTPFITLQNMVSERENLVYMFNSPTWTTSDLASKIKGKSISKLVKDSSFWVAAVDVLKITNPLLDILHQISGTDRSPMGFLYDSIDRAKEKIKRNLGHEEARYDPIWTLVDDIWDNHLHSPLHSAGYFLNPSLFYSSDFYIDAEVTNGVLYCIVKMTVDQQDQELIVLQLDEYREAKGVFSGDAAVDQRSKISPDMWWSMHGGQCPELQKFAVKILSQACSGASRYMLRKDLSEQLHAGGRNCMEKQRSRDLEFVHYNRRLWHSPSSLKQEIELGHDDLKPLEEWIVDRN >Ma06_p37890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36823618:36832648:1 gene:Ma06_g37890 transcript:Ma06_t37890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAVEDTIEEHGTSIDEEKRRVRCKYCGKEVNGFNRLKHHLAAVGSDVTACIEVPAVVKTQMRDALLGKKKERLLKEVGRIEHPELPLKRNFSPASSKQRCCQLKLTPGINSVNSSGPTEINVGESSSVNNFCPKQSLVKGVNNLEPHSAETVNWCSPSPFITKNMYRIVKEEVKDESAWHAARCIGRFFFEAGIDTANVKLPSFQGMMDAVIGCGSGYKVPTYDELKGTILHEEVKEVLKHVEDVKQSWGQTGCSILLDGWTDPRGRSLISFLVNCPLGTIFLRYVDASDAVDDVDTLFLLICNVIEEVGVKYVVQVVAHDTSDCIEAAGKKIMEKYRSIFWTLSADYCINIIFEKIEAQDYVKKVLSDAKAITKFIYSNALALKLLRKHVRGGDLVRTSNLKSVTPFITLQNMVSERENLVYMFNSPTWTTSDLASKIKGKSISKLVKDSSFWVAAVDVLKITNPLLDILHQISGTDRSPMGFLYDSIDRAKEKIKRNLGHEEARYDPIWTLVDDIWDNHLHSPLHSAGYFLNPSLFYSSDFYIDAEVTNGVLYCIVKMTVDQQDQELIVLQLDEYREAKGVFSGDAAVDQRSKISPDMWWSMHGGQCPELQKFAVKILSQACSGASRYMLRKDLSEQLHAGGRNCMEKQRSRDLEFVHYNRRLWHSPSSLKQEIELGHDDLKPLEEWIVDRN >Ma10_p27810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34897200:34898947:-1 gene:Ma10_g27810 transcript:Ma10_t27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVESFLKLCFLFCLVSTSSGQLSPTFYLQTCPTLQTIVSLTMTTTGLLDPRMGASLLRLFFHDCFVNGCDASVLLDDTATFTGEKNAGPNANSLRGFGVIDTIKAAVELVCPGTVSCADILALAARDSVALLGGPSWTVALGRRDATTASQSAANSNLPGPGSSLSQLIAAFATKGLNARDMTALSGAHTIGQARCVNFRSHIYNDANVDAGFASQRQRNCPSSGGDNNLAPLDVQTANTFDNAYYRNLIAMRGLLHSDQELFNNGTQDALVQQYSTNAAAFAADFAAAMVKMGNISPLTGSSGEIRSNCRRVN >Ma07_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26763961:26764137:1 gene:Ma07_g18820 transcript:Ma07_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLASSSSPFSAFINQANGLLLQEGKTEMISLMCQGHDLVGHRLGYLQQTPGQGSGS >Ma10_p26430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34115566:34121456:-1 gene:Ma10_g26430 transcript:Ma10_t26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRILALRLLRAAGAIRPSRSFVGPAAALFRLECPSYVSSDPAIDDSVGYRSFSSRPVRPKAGVGSRGHRGGDFKSRGAAGQAKSLVEDEAELNDWVSGLRNDSFRLGLSSDNEDSVADRSMGDKGRGRDGYSNRFRSRSGKGDSFRSTKDKAPSRRQWDDDFDDFSRASNRGRSQSSRNAPAKKQFESDLEDDEEDDDEEVAFSSKNRRGHRSQQTMPSTFSRRGGRDSESKFKKTIGGRRSVILESEGEEVEEIEDDHDLSASDDDIFSDEDEKEVSAKDILTNFGSPKQEQGDDGQPLPKKSTEGDNSYLSQTRFDECSISPLTLRGVKAAGYERMTQVQEATLPLILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPVERDQRRPPINVLVVCPTRELADQAAAEANQLLKYHPSIGVQVVMGGTRLALEQKRMQTNPCQILVATPGRLRDHIENTPGFATRLMGVKVLVLDEADRLLDMGFRKDIEKIVAAVPKQRQTLLFSATVPDEVRQICYIAMKRDLEFVNTVGEGTEETHSQVKQMHLVAPLEKQFSILYNILTEHISGNVDYKVIVFCTTAMATKLVADLLSELKLNVREIHSRKPQSYRTRVSKEFKESKGLILVSSDVSARGVDYPNVTLVIQLGVPADREQYIHRLGRTGRKGQEGTGILMLAPWEDFFLSTIKDLPITKASLPTVDLDTRKKVERALGHVELKSKESAYQAWLGYYNSNKNIGRDKYQLVALANEFSRSMGLDNPPAVPKLVLRKMGLNNVPGLRAK >Ma05_p30580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40913290:40920816:-1 gene:Ma05_g30580 transcript:Ma05_t30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGWLVNPIHFSENLGSGFGPERGGALKRRGVFVGFDSLVRHGERRSQGLRWVARGYGRTSGMVVAASPPTDDAVVITEPLTKEDLVGYLASGCKPKEMWRIGTEHEKFGFEVGTLCPMKYEQIADLLNAIAERFDWDKIVEGDYVIGLKQGKQNISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWGLKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSESDMIRKFRAGLSLQPIATSIFANSPFTEGKPNGYLSMRSHIWTDTDNNRTGMLPFVFDDSFGFEQYVEYALDVPMYFVYRNKKYIDCTGMSFRDFMQGKLPCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQNVLDMTADWTMEERQMLRRKVPITGLKTPFRDGLLRHVAEEVLQLSKDGLERRGYKEAGFLKEVTDVVQTGVTPAEKLLELYHGKWGRNVDPVFEELLY >Ma02_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19685661:19690359:1 gene:Ma02_g09930 transcript:Ma02_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPTAATVADAVYQIQQALLNGAARSEHHLLVAAALLSRSDYEDVVVELSIADVCGYPLCRNPLPSDRQKRGRYRISLREHKVYDLEETYKYCCEACVVSSRAFSATLSSERSSDVSASKIEEILGLFRRQESSDGDLGMDGDLGISSLTIRERSDAEKGEVSLDEWIGPSNAIEGYVPNYDRNRGGVKQNQKPKKKVEDAAPGEVEFESAVILENEDDGLAYSSRGTVDASEAIAKKLEEVLLEEKKAKTTKSASKSSKSKASKHSKKNKTHKVEFMSTIIVGEQVPPGSSAAAQNTPKLDYTSTTFVGDKESLISELDSGIHMESTTGSQKVAYEFEKKVSMDKGSVLKSSLKTSRSKNAGRSVKWADERENMAQEERKDDLKSSTKPEESQVEDDSSLRFASAEACAAALTQAAEAVASGIAEAGDAASEAGIVILPQPKRVDEGDVEEDEDTFEFDRGYVKWPKKTVLLDTDMFDVEDSWHDTPPEGFDLKLSSFATMWMALFGWITCSSLAYIYGCDKSSQEDFLYVNGREYPHKIILKDGHSSEIRRTIDGCICRALSGLVMEISLPVPLSTLERTVGCLLDTMSFVDALPSFKLEQWQVVVLLFLDALSVHRLPSLASEVTNMDLLLHKVLNPAEVSSQEYDSMRDLFTPLGRSSDIPI >Ma09_p28630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39303152:39304131:1 gene:Ma09_g28630 transcript:Ma09_t28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDEVISVDVDVVVGENRRCPSQPFYLSVRRSCASQVSSTSSPLPSISSPNTLSELITAFSPSIKDVLMALYSFNRITLIKLGVLCINGEGRAKGGGRGVEAFRGVDNVILVDLMVEGSESTRKNVTVAPLNLIKSNGDKTARDIREVDGTEATMRVLVDGNNEMSIRGKNKAKTLLMVLKSERAREPAIGS >Ma09_p01500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1093443:1095629:-1 gene:Ma09_g01500 transcript:Ma09_t01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNNQPRGVGGPPPLGNPGMASPASANQPPHLRPPPPPGSSPFQGLFHSQAHPQAQPQVHSPFQIQMGSQSQVGLLGSNSPSFSTPGLSSGPKRLPQKPPARPPAPISAAASPIIKAADITAAARRKKRRLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKIDIQEALRSPPSMQRTLRIYVFNTFANQTRMIPEPMEPPSWSLKIVGRILEDGVDPDPAGALPKPNPMYPKFSSFFRRVTIALDPSLYPENPTIVWEQARSPVPQEGFEVKRRGDKEFTASIRLEMNYNPEKFRLSPPLMEVLGIEVDTRARIIAGIWQYVKAKKLQSTTDSSYFACDPPLKKIFGEDKMKFAMVSQKISHHLYPPQPIHLEHKIRLSGNGAVGNACYDVLVDVPFPLQKEMSAFLANTEKHRDIEACDEVICASIKKIHEHRRRRAFFLGFSQSPVEFINTLIASQSRDLKLVAGEASRNAERERRSDFYNQPWVEDAVIRYLNRKPAAGNDAPGST >Ma09_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1093443:1095623:-1 gene:Ma09_g01500 transcript:Ma09_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNNQPRGVGGPPPLGNPGMASPASANQPPHLRPPPPPGSSPFQGLFHSQAHPQAQPQVHSPFQIQMGSQSQVGLLGSNSPSFSTPGLSSGPKRLPQKPPARPPAPISAAASPIIKAADITAAARRKKRRLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKIDIQEALRSPPSMQRTLRIYVFNTFANQTRMIPEPMEPPSWSLKIVGRILEDGVDPDPAGALPKPNPMYPKFSSFFRRVTIALDPSLYPENPTIVWEQARSPVPQEGFEVKRRGDKEFTASIRLEMNYNPEKFRLSPPLMEVLGIEVDTRARIIAGIWQYVKAKKLQSTTDSSYFACDPPLKKIFGEDKMKFAMVSQKISHHLYPPQPIHLEHKIRLSGNGAVGNACYDVLVDVPFPLQKEMSAFLANTEKHRDIEACDEVICASIKKIHEHRRRRAFFLGFSQSPVEFINTLIASQSRDLKLVAGEASRNAERERRSDFYNQPWVEDAVIRYLNRKPAAGNDAPGST >Ma08_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6277879:6278961:1 gene:Ma08_g08820 transcript:Ma08_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRTCSSCISSFFFFFLLLLCFTSFVNSQSDSCSSKLGVGNLIPFNTSSLTCVSAWSSEGFILRYTKAGPSLWSFVLSAPDTGAYVAIGFSPDGKMVGSSAVVGWTPSDGAGTVKQYYLGGYSSSQCPPDQGSLPLVQRSSLLVSQNSRLYLAFQLNTAQPQQNLIYAVGPSNTLPPSGGSLATHRDKASGTLTAPATGGGGDDSGEEGDENEGGGKNPNDGHQEGGGGNSTQSVGGHSMVTTGSGWAINLARKHTSLTAIALLLQIFLR >Ma01_p04880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3358367:3367234:-1 gene:Ma01_g04880 transcript:Ma01_t04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEMQYINEHAAETVYDQFASPDSPGRYGIYDEPLILPRIGYEYQVEIPELATTDSQCILVESRLTSTSCMLSVGNHVGVGLGIPITWVHHVGNATKDQQKEISCSNISSIGGGSVEYTNTEKGHADAIYSKMTEFQEESSLYHTKLLNLACKDECTDLANCRNKPDGSTSKKSINLESKMDSGVPLLQLSKAKGYRALPGTPSSSWSHNETQCFLLGLYIFGKNLVQVEKFIECKKMGDILSYYYGKFYRSDAYNRWSRCRKVKSRRCILGHRIFTGWRQQELLARVLSKIAKEIQDTLLEATNIFNEGRASLEEFVCTLKRTVGMPVLVEAIGIGKEKHDLTGNILDPVRSNQSSSIRPEIPVGTACSSLTSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPRDISFVASKHSLVFLIPGIKKFSRKKLVKGNHYFDSVSDVLNKVASDPTLLELEAEGATGSSTTKDEDECAMDAKSDQNGLLDHQQHCFLRPRVPICNSEFMKFTIVDTSLVHGEEPFKVRELRTLPIDAISNYGPSIHTGEITSDGSEDSEDSSSDDQGDSDPDSSNNKKLKVSRKCIIGKAMQSAPSENVIAFSSTTLPTNGHILKDQCADQLNEKLPLKNMKCKFNRRAKSGQRSYLAPMAKRRRLTACEYQRTGRNAYSFSKGHQLMEEGAQLKLGAQEASGKTVAGPDPFCGKFYPDFPIYISPDKVDKCISKERCCSSTATTSKATSSDGMPQSRTLINLNLVPNVPLDYETGEQSDSEVADSQHDLNLEEAVKLSETKQQHDGSEAMENFTGTLGDQKPSVNSRRQSTRNRPPTTKALEALACGFLGTKRKGRDTRALLKGNIANRSSRRVHKTAEAPAPAPPANTNSSEFGLSSATSDDWYTGNTHNISMSSESCLQPDGKGTHDLLGVPVHEYFPVSNKNLDWQN >Ma01_p04880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3358367:3367234:-1 gene:Ma01_g04880 transcript:Ma01_t04880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEMQYINEHAAETVYDQFASPDSPGRYGIYDEPLILPRIGYEYQVEIPELATTDSQCILVESRLTSTSCMLSVGNHVGVGLGIPITWVHHVGNATKDQQKEISCSNISSIGGGSVEYTNTEKGHADAIYSKMTEFQEESSLYHTKLLNLACKDECTDLANCRNKPDGSTSKKSINLESKMDSGVPLLQLSKAKGYRALPGTPSSSWSHNETQCFLLGLYIFGKNLVQVEKFIECKKMGDILSYYYGKFYRSDAYNRWSRCRKVKSRRCILGHRIFTGWRQQELLARVLSKIAKEIQDTLLEATNIFNEGRASLEEFVCTLKRTVGMPVLVEAIGIGKEKHDLTGNILDPVRSNQSSSIRPEIPVGTACSSLTSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPRDISFVASKHSLVFLIPGIKKFSRKKLVKGNHYFDSVSDVLNKVASDPTLLELEAEGATGSSTTKDEDECAMDAKSDQNGLLDHQQHCFLRPRVPICNSEFMKFTIVDTSLVHGEEPFKVRELRTLPIDAISNYGPSIHTGEITSDGSEDSEDSSSDDQGDSDPDSSNNKKLKVSRKCIIGKAMQSAPSENVIAFSSTTLPTNGHILKDQCADQLNEKLPLKNMKCKFNRRAKSGQRSYLAPMAKRRRLTACEYQRTGRNAYSFSKGHQLMEEGAQLKLGAQEASGKTVAGPDPFCGKFYPDFPIYISPDKVDKCISKERCCSSTATTSKATSSDGMPQSRTLINLNLVPNVPLDYETGEQSDSEVADSQHDLNLEEAVKLSETKQQHDGSEAMENFTGTLGDQKPSVNSRRQSTRNRPPTTKALEALACGFLGTKRKGRDTRALLKGNIANRSSRRVHKTAEAPAPAPPANTNSSEFGLSSATSDDWYTGNTHNISMSSESCLQPDGKGTHDLLGVPVHEYFPVSNKNLDWQN >Ma01_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3358367:3367234:-1 gene:Ma01_g04880 transcript:Ma01_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAAEMQYINEHAAETVYDQFASPDSPGRYGIYDEPLILPRIGYEYQVEIPELATTDSQCILVESRLTSTSCMLSVGNHVGVGLGIPITWVHHVGNATKDQQKEISCSNISSIGGGSVEYTNTEKGHADAIYSKMTEFQEESSLYHTKLLNLACKDECTDLANCRNKPDGSTSKKSINLESKMDSGVPLLQLSKAKGYRALPGTPSSSWSHNETQCFLLGLYIFGKNLVQVEKFIECKKMGDILSYYYGKFYRSDAYNRWSRCRKVKSRRCILGHRIFTGWRQQELLARVLSKIAKEIQDTLLEATNIFNEGRASLEEFVCTLKRTVGMPVLVEAIGIGKEKHDLTGNILDPVRSNQSSSIRPEIPVGTACSSLTSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPRDISFVASKHSLVFLIPGIKKFSRKKLVKGNHYFDSVSDVLNKVASDPTLLELEAEGATGSSTTKDEDECAMDAKSDQNGLLDHQQHCFLRPRVPICNSEFMKFTIVDTSLVHGEEPFKVRELRTLPIDAISNYGPSIHTGEITSDGSEDSEDSSSDDQGDSDPDSSNNKKLKVSRKCIIGKAMQSAPSENVIAFSSTTLPTNGHILKDQCADQLNEKLPLKNMKCKFNRRAKSGQRSYLAPMAKRRRLTACEYQRTGRNAYSFSKGHQLMEEGAQLKLGAQEASGKTVAGPDPFCGKFYPDFPIYISPDKVDKCISKERCCSSTATTSKATSSDGMPQSRTLINLNLVPNVPLDYETGEQSDSEVADSQHDLNLEEAVKLSETKQQHDGSEAMENFTGTLGDQKPSVNSRRQSTRNRPPTTKALEALACGFLGTKRKGRDTRALLKGNIANRSSRRVHKTAEAPAPAPPANTNSSEFGLSSATSDDWYTGNTHNISMSSESCLQPDGKGTHDLLGVPVHEYFPVSNKNLDWQN >Ma00_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35570089:35570778:-1 gene:Ma00_g04170 transcript:Ma00_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVIASSPVDVGIGCWDLRSGSEQLRYRSCSSASRGLFSIVGRYLASSQLRDSPSFASCPIFFWSWDKPQVEVRSFPAEPIGPLVSNSEGTYIMGGGPSGIIYQWEIWSLSEGLLLRSITFPSIIDAIVICPGEHVFYAGGRDGKIYI >Ma01_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9879498:9880262:-1 gene:Ma01_g13540 transcript:Ma01_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVLSSSSSSSSAALLLSLNLLFFAMVSAGAPCPHSPSKKPPTPSPASGACPVDALKLGGCANVLDGLIHAKVGEPPKEPCCSLIDGLVDLEAAVCLCTAIKANVLGIHLNLPINLSLLLNYCGKQSPTGFKCA >Ma05_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8072495:8073811:-1 gene:Ma05_g11140 transcript:Ma05_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPRPRSMLLGLCAVLLMLRFSRAATQTETSVTVVGATECLDCERKSIKHENAVKGLRVAIKCRDGNEKYEIKATGDLDSNGSFNVKLPTELLQDNGELKHECLAQLHSASNAPCPDKNGLNPFSKLILKSREKGKHTFTAAAGKLSFSSATCASATFWPPYKDPWHKAFPKFHLPPFKFPPKPYYDHKHHHSIYKPPVPTYSPPTQKPPSPGGSYYNPPAQVHKPPSGGYYKPPAPVYKPPTPEYKPPSGGYYKPPPAPVYKPTPTPYYKHPYHPIYKKPMPPIPKLPPFFHSHPKISFPPIYKKPLPHFPSWPPLSHWHKYKKGHPSFPPAKVYLKP >Ma04_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3608408:3614744:-1 gene:Ma04_g04730 transcript:Ma04_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEKVEKIGEGTYGVVYKARDRQTNEMIAIKKIRIKEEGEGIPGFVIREVSLLKEMHHCNIVRLLDVGASERSVYLIFEYLDLDLKKHMDSCQTFSEDHRLMKKFLYQILSGIEYCHSHRVLHRDLKPRNLLIDLQTNTIKIADFGLGRAFDIPVQTLTDEVVTLAYRAPEILLGSRHYSTPVDLWSIGCIFVEMVNRCPLFCGDSEISQLFNIFRVLGTPNEETWSGVTSLPHFKCSFPKWDPMDLAALVPNLEPAGVDLLSKMLCLEPGRRITARKALEHEYFKDLEMVP >Ma10_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15336532:15338457:1 gene:Ma10_g04700 transcript:Ma10_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCVHKFLYTGGCKLFKHVYRHRTSRRTEESTVVGNSSGNQGLDSQQYDIDIIKAATNYFDHGNKLGEGGFGPVYKGRFADGQVIAVKRLSERSGQGTKEFKNEVEVISRLQHRNLVKLLGYCIHGKEKLLVYEFMANKSLDFFLFDATNSRTLDWRKRYSIIEGVARGLVYLHRDSRLRIIHRDLKTSNILLDEQFNPKISDFGMARIFGGDQIQETTKRVVGTIGYMSPEYAMGGKFSEKSDVFSFGVLVLEILSGKKNSYYLNDDDDDDESIGLLGYAWRLWEEHRILELVDPSLGDSCNSSQVMRCIKLGLLCVQEFPADRPTMSTVVSLLNSDADDLPAPKPVAFFGARSKLESSESCSVNEVTNTEIDCR >Ma08_p34540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44540233:44548139:-1 gene:Ma08_g34540 transcript:Ma08_t34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALVLGNLALLADAASPRVAKAAKSWQPELSMPRPLRREPAPAATLAAHVLAFHDHADAGGEPAAAFKVTRRWRRGASSKVGAAGSGGAGGDGDDGEGDWEEDLRSRLKELEEMKEIEKRAAELVAEGADGDEEETEEEKRERVRRELEKVAKEQAERRKTAKLMFELGQKAYGKGMYSRAIEFLEGALTIIPRPTLLGGEIQIWLAMAYEANNRHRDCIALYQQLEKQHPSVSIRRQAAELRYILQAPKLKISKDEMVTIPLIGSSYDWYAGTWSDKYKNRERGKTKATQVPPSRDYWGDFLTWRPPTELAKNQAFWLIVTMWLGLIGTAILLQR >Ma01_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3820073:3823748:-1 gene:Ma01_g05430 transcript:Ma01_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVLRGTMRGHSDMVTAIAAPVDNSDMIVSSSRDKSVLVWHLTKDAPAALADGSGAGSAYGVPRRRLTGHSHFVEDVVLSSDGQFALSGSWDGDLRLWDLSTGATTRRFSGHTKDVLSVSFSVDNRQIVSASRDRTIKLWNTLGECKYTIQDADAHTNWVSCVRFSPNAYQPTIVSGSWDRTIKVWNLTNCKLRSTLAGHSGYVNTVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGAIIHSLCFSPNRYWLCAATQESVKIWDLESKTIVQDLKPETTTTKNQMLYCTSLTWSADGSTLFTGYTDGTIRVWEIRY >Ma05_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2120196:2136439:1 gene:Ma05_g03030 transcript:Ma05_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTITEDHGMSLRYSATEGMLSEQRCLSGDSITEWRSREQFENGAPSTSPPYWDTDDDDDCGPKPSELYGKHTWKIENFSTINKRELRGDAFEIGGYKWFILVYPQGCDVCNHLSLFLSVANHEKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVVADTLVIKAQVQVIREKADRPFRCLDCQYRRELVRVYLSNVEQICRHFLEERIGKLSKFVEDKVRWPSFRAFWSETDPNARQHMSRDKTDAILKVAVKHFFIEKEVTSTLIMDSLYSGLKALEFQSKNMNGRDKSVDLEELPAPMVHVDKDLFVLADDVIVLIERVVSDSLSHQPLPSKDDKCLQNRIKDGSSGDEFNKASIERDERRLMELGRRTIEIFVLVHIFSSRIEVSYQEAVALKRQEELIREEEAAGQAENELKSKRGVTEKEKRAKKKQAKQKRNSRKGKERGKDERCNQVPERLQQETPSEERISDSFLQQEIPSVERISDSFPSERVELVTEKIGTLEDASDVSDTGDDVIEVLQPGSNDRDSSPSNWDIDTSETHLAAEASVSDVQNGQSEKRSQSAMDDSSSTCSTDSVPSVTMSGPYKGSTLQSNNNIQSRNRGKGRNEETHERMGLTNGGHIPRSETTLVDGHSHDVTGSKVSRPESEASVFSLKGEMQRLEKNLAEKEEVSLQKKLTPNDQVDSEKHSSSPSLGKKISNIPQQPKQLSVITTSVAVSTTATISVEPTSSKEQPSSSTAQTDKVPAIASRSPPIVSSIHSEALKQNTQAKINGPHQFNATSRPYSAPLIPAPRPTVPIPSAVQAVPLLSRSVSAAGRLGNDPSPTAPSFVPQSYRNAIIGKRGSCLNDDTTSCSQSVAYSHSPSMFLSSTSMLPPQTPTRMDHPSLRHGLTFGCLKPEVVHSQHLGRDDSYHESSSSSSQSFGSSLGDNMGKLNVYGKSLAQKYPAESASRVTPYHVQGTVGDEFPHLDIINDLLDDEQNVGRTARSPQYAFSRQFSFPGNLSSADTGSLGSSGQFEQLDQYYDEGFHRGYGASNNPPEGLRDGRMQQMDLLAYANRQFDGLMRNQQPYGITDLSMLGLRDADVNGYSYQLQDYFARGGNGYLYRP >Ma05_p03030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2120188:2136439:1 gene:Ma05_g03030 transcript:Ma05_t03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTITEDHGMSLRYSATEGMLSEQRCLSGDSITEWRSREQFENGAPSTSPPYWDTDDDDDCGPKPSELYGKHTWKIENFSTINKRELRGDAFEIGGYKWFILVYPQGCDVCNHLSLFLSVANHEKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFVVADTLVIKAQVQVIREKADRPFRCLDCQYRRELVRVYLSNVEQICRHFLEERIGKLSKFVEDKVRWPSFRAFWSETDPNARQHMSRDKTDAILKVAVKHFFIEKEVTSTLIMDSLYSGLKALEFQSKNMNGRDKSVDLEELPAPMVHVDKDLFVLADDVIVLIERVVSDSLSHQPLPSKDDKCLQNRIKDGSSGDEFNKASIERDERRLMELGRRTIEIFVLVHIFSSRIEVSYQEAVALKRQEELIREEEAAGQAENELKSKRGVTEKEKRAKKKQAKQKRNSRKGKERGKDERCNQVPERLQQETPSEERISDSFLQQEIPSVERISDSFPSERVELVTEKIGTLEDASDVSDTGDDVIEVLQPGSNDRDSSPSNWDIDTSETHLAAEASVSDVQNGQSEKRSQSAMDDSSSTCSTDSVPSVTMSGPYKGSTLQSNNNIQSRNRGKGRNEETHERMGLTNGGHIPRSETTLVDGHSHDVTGSKVSRPESEASVFSLKGEMQRLEKNLAEKEEVSLQKKLTPNDQVDSEKHSSSPSLGKKISNIPQQPKQLSVITTSVAVSTTATISVEPTSSKEQPSSSTAQTDKVPAIASRSPPIVSSIHSEALKQNTQAKINGPHQFNATSRPYSAPLIPAPRPTVPIPSAVQAVPLLSRSVSAAGRLGNDPSPTAPSFVPQSYRNAIIGKRGSCLNDDTTSCSQSVAYSHSPSMFLSSTSMLPPQTPTRMDHPSLRHGLTFGCLKPEVVHSQHLGRDDSYHESSSSSSQSFGSSLGDNMGKLNVYGKSLAQKYPAESASRVTPYHVQGTVGDEFPHLDIINDLLDDEQNVGRTARSPQYAFSRQFSFPGNLSSADTGSLGSSGQFEQLDQYYDEGFHRGYGASNNPPEGLRDGRMQQMDLLAYANRQFDGLMRNQQPYGITDLSMLGLRDADVNGYSYQLQDYFARGGNGYLYRP >Ma08_p28510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40392271:40393328:1 gene:Ma08_g28510 transcript:Ma08_t28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAFANRHRQSTMASRNLSSLLLVVLLVFAGYAGSHAGSIAVYWGQNGNEGGLADTCNTGIYSYVMLAFLTTFGNGQTPVLNLAGHCDPPSGTCTGLSSDIRACQSQGIKVLLSLGGDSSSYSLSSSDDAASVATYLWANYLGGSSSSRPLGDAVLDGIDFDIQHGGPDHYNELAKQLSDLGGQAGTKVYLSAAPQCPYPDQSLGNALQTGLFDYVWVQFYNNPSCDYSSGVSGLSSAWGTWTSSLSSSTVFLGLPASQDAAGSGYIPPDVLTSQVLPAIKTASNYGGIMLWSRYYDLNSGYSAAVRNSV >Ma11_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22274071:22277030:-1 gene:Ma11_g16870 transcript:Ma11_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGDLKEADVKGKRVFVRVDLNVPLDENLKVTDDTRIRATVPTIKYLMERGARIILASHLGRPKGVTPKYSLKPVVPRLSELLGVNVEMANDSIGEEVEKSIAALPNGGIVLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLMQKELDYLVGAVTNPKKPFAAIVGGSKVSSKIGVIESLLEKVDILILGGGMIFTFYKAQGYSVGSSLVEEDKLGLATSLLEKAKSKGVSLLLPTDVVIADKFAADADSKIVPASGIPDGWMGLDIGPDSIKTFSGALESTKTIIWNGPMGVFEFEKFAVGTEAIAKKLADLSGTGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKSLPGVLALDDA >Ma06_p36100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35692099:35699159:1 gene:Ma06_g36100 transcript:Ma06_t36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHAYNRLGSGGAGGGGGTPSPPASPRRSPRIHRRGGKTGGGRGATLAPPRTLAQRMAWMLLSLLLRRQAIFLFAPLLYVAAMIFYMGTLPLDSVPRIISRPAPGSVYRSPMLYERLRADMEADNSSDGLATVWKHSFKDDRWRPCINTSADVLPDSNGYIFIEANGGLNQQRTSICNAVAVARYLNATLIIPYFPYHSIWRDPSKFNDIYDKDHFISTLINEVRVVDKVPEFLMERFGNNMSNVFNFKIKAWSSIQYYKDAVLPKLAEEKLIRFSPFANRLSFNAPSAVQRLRCLANFEALRFSNPITTLAENLVSRMKERSVDNNGRYIAVHLRFEEDMVAFSCCIFDGGEEEKQDMIAARERGWRGKFTKPGRIIRPGAIRINGKCPLTPLEVGLMLRGMGFSNDTAIYLASGKIYKAEKTMAPLLEMFPLLQTKETLASAEELAPFENYSSRMAAIDYSVCLHSEVFVSTQGGNFPQILIGHRRYLYGGHSKTIKPDKRKLALLFDNPNIGWKSLKRQLLNMRAHSDAKGIEMKRPNDSIYSYPCPDCMCLSNKTEILRSSSVR >Ma03_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3291874:3292108:-1 gene:Ma03_g05010 transcript:Ma03_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFHQLFHMCYVSKNSKNKKLVSID >Ma11_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24752945:24756603:-1 gene:Ma11_g20190 transcript:Ma11_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MMSHALATPLAIPTPKPRGTHRSPLGRLGPSHRPSGRLAAVSTAAAPPAAELERRKLDLLQAVQETQRGLAATADQRSAVEEALVCVEEYEAGSPVNLSELDGTWRLNYTSASDVLVLFEAAARLPFLQVGQIFQKFECKDRSDGGMVRNVVRWSISPLLEELEGATLVVSAKFSVLSKRNIFLEFEEVAVENIRISEELQALIAPAILPRSYLSLQILQFIRTFRTQVPVSGPERRSPGGLYYLSYLDRDMLLGRAVGGGGVFVFTKAQPITLQ >Ma11_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27880796:27882717:-1 gene:Ma11_g25140 transcript:Ma11_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGETSGSVMARLPLKPNFSSEIWEWQGVEYCLPRHSQNEFSHLFWDEVSQNEDHLFCNLNEHTPIKKCSNLGHQITDVRDATTKGLEECREPLQLKRRRTLQFTSDSTEVMECSMVEDGVSKSLECSTLGFSDDGSAFNCGGLDQSSDEWLADCLNDGEIQCSLMK >Ma04_p38210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35838826:35846326:1 gene:Ma04_g38210 transcript:Ma04_t38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEEEFRGEMEERLVNEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRDEPAGKDYSVQKMILGTHTSDNEPNYLMLAQVQLPLEDAEYDARQYDDEHGEIGGFGCAGGKVQIVQQINHDGEVNRARYMPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLKLRGHNSEGYGLSWSQFKQGHLLSGSDDAQICLWDINSTPKNKALDALQTFKVHDGVVEDVAWHLRHEYYFGSVGDDHYLLLWDLRNTNNKPVMSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKLENALHTLDCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNSCEDWVIASVAEDNILQIWQMAENIYHDEDDLPGDEPPKAS >Ma05_p15790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12198684:12233743:1 gene:Ma05_g15790 transcript:Ma05_t15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKATRKHNGGGGINGLKAEEVRPRLVFHYGVPPESSSLAYDPIQHILAISTRNGVIKLLGKDNSQALLQSEAASPSKFMQFMENQGILLNVTSHNHIEVWNIDKKQVTHVHIFNEEITSFVVVQQSFYIYVGDCLGNVTVLKLDNTLQCLVKMPYKIPPSESCGTQTEADSDTAVIFSSPQPLAESKRVLIIFRNGLISLWGIQESKVLLVAGGNAQHSSQEPKLVVSASWACVFGSKVVVGYSSGDIFLWAIPVFLDHNSEALRNHKDSYASQNVPLLKLNLGYKMDKVPIVSLRWFAHDESSGHLYVNGFSDSGSSHSFQVIIINESTQTRTIKLVLPLTEPCLGMEIVSCFSNQSKNKQNTLVLLLRSGRLCLYDDSAIEQYLLHCQSKSPPTLPKQFMVTLPFGDSRITAAKLYIGPSSPVEESVAMQDHILLPNKYSSLFSINMKEKDGSRRSSAHFSGFSKAKNLLVTGHVDGAINFWDASCPLLFPLLSIEQQFNESCASSTAPVTSLHFDVSSHILVSGDQSGSIRIFIFKKLQETSESIFSFLQAKQGDNYTVHNVNLKGAIMSISMNMDSKLLAVGTDKGYVSVIQMEGTTILYQKQIPGQVYSGIMSLQFVNHGQNGSENSILLVGMEDSSVLALEEDSGHALSANPVKTKKTCKALLMHILDASPNGVCTSDCVDTSKQSYSKQLMQKQPLVLICSENAIRLYALSHVLQGIKKVYSKKKLDGSCYYASIIHGSSLDVGLALVFSCGKMEIRSLLDLAVLNEVSLRGLTYPTLKSPPNANSIFCVSSEGEILLVNGDQALLFISVLSHREIYRHLEYITNVYMEGLAPQEGTSCMKNPQKERKKGIFGMVVKDLKGNKTKHGKENDADDSSISEELSALFSTVNFLHSDETRCSSVVNNEDVELDIDDIDLEDTKEKHKGLNLAVLDKQKLGKKLHALKGTVGKLKLNIEEKMNSRKDKHEEEKDISAVDKIKQKYGYATNDESNTVKMAESKLRENVIKLKAIGLRTSEMQNTAQTFSSLAKELLQSTQGSKST >Ma05_p15790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12198684:12233743:1 gene:Ma05_g15790 transcript:Ma05_t15790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKATRKHNGGGGINGLKAEEVRPRLVFHYGVPPESSSLAYDPIQHILAISTRNGVIKLLGKDNSQALLQSEAASPSKFMQFMENQGILLNVTSHNHIEVWNIDKKQVTHVHIFNEEITSFVVVQQSFYIYVGDCLGNVTVLKLDNTLQCLVKMPYKIPPSESCGTQTEADSDTAVIFSSPQPLAESKRVLIIFRNGLISLWGIQESKVLLVAGGNAQHSSQEPKLVVSASWACVFGSKVVVGYSSGDIFLWAIPVFLDHNSEALRNHKDSYASQNVPLLKLNLGYKMDKVPIVSLRWFAHDESSGHLYVNGFSDSGSSHSFQVIIINESTQTRTIKLVLPLTEPCLGMEIVSCFSNQSKNKQNTLVLLLRSGRLCLYDDSAIEQYLLHCQSKSPPTLPKQFMVTLPFGDSRITAAKLYIGPSSPVEEDHILLPNKYSSLFSINMKEKDGSRRSSAHFSGFSKAKNLLVTGHVDGAINFWDASCPLLFPLLSIEQQFNESCASSTAPVTSLHFDVSSHILVSGDQSGSIRIFIFKKLQETSESIFSFLQAKQGDNYTVHNVNLKGAIMSISMNMDSKLLAVGTDKGYVSVIQMEGTTILYQKQIPGQVYSGIMSLQFVNHGQNGSENSILLVGMEDSSVLALEEDSGHALSANPVKTKKTCKALLMHILDASPNGVCTSDCVDTSKQSYSKQLMQKQPLVLICSENAIRLYALSHVLQGIKKVYSKKKLDGSCYYASIIHGSSLDVGLALVFSCGKMEIRSLLDLAVLNEVSLRGLTYPTLKSPPNANSIFCVSSEGEILLVNGDQALLFISVLSHREIYRHLEYITNVYMEGLAPQEGTSCMKNPQKERKKGIFGMVVKDLKGNKTKHGKENDADDSSISEELSALFSTVNFLHSDETRCSSVVNNEDVELDIDDIDLEDTKEKHKGLNLAVLDKQKLGKKLHALKGTVGKLKLNIEEKMNSRKDKHEEEKDISAVDKIKQKYGYATNDESNTVKMAESKLRENVIKLKAIGLRTSEMQNTAQTFSSLAKELLQSTQGSKST >Ma05_p15790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12198684:12233743:1 gene:Ma05_g15790 transcript:Ma05_t15790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKATRKHNGGGGINGLKAEEVRPRLVFHYGVPPESSSLAYDPIQHILAISTRNGVIKLLGKDNSQALLQSEAASPSKFMQFMENQGILLNVTSHNHIEVWNIDKKQVTHVHIFNEEITSFVVVQQSFYIYVGDCLGNVTVLKLDNTLQCLVKMPYKIPPSESCGTQTEADSDTAVIFSSPQPLAESKRVLIIFRNGLISLWGIQESKVLLVAGGNAQHSSQEPKLVVSASWACVFGSKVVVGYSSGDIFLWAIPVFLDHNSEALRNHKDSYASQNVPLLKLNLGYKMDKVPIVSLRWFAHDESSGHLYVNGFSDSGSSHSFQVIIINESTQTRTIKLVLPLTEPCLGMEIVSCFSNQSKNKQNTLVLLLRSGRLCLYDDSAIEQYLLHCQSKSPPTLPKQFMVTLPFGDSRITAAKLYIGPSSPVEESVAMQDHILLPNKYSSLFSINMKEKDGSRRSSAHFSGFSKAKNLLVTGHVDGAINFWDASCPLLFPLLSIEQQFNESCASSTAPVTSLHFDVSSHILVSGDQSGSIRIFIFKKLQETSESIFSFLQAKQGDNYTVHNVNLKGAIMSISMNMDSKLLAVGTDKGYVSVIQMEGTTILYQKQIPGQVYSGIMSLQFVNHGQNGSENSILLVGMEDSSVLALEEDSGHALSANPVKTKKTCKALLMHILDASPNGVCTSDCVDTSKQSYSKQLMQKQPLVLICSENAIRLYALSHVLQGIKKVYSKKKLDGSCYYASIIHGSSLDVGLALVFSCGKMEIRSLLDLAVLNEVSLRGLTYPTLKSPPNANSIFCVSSEGEILLVNGDQALLFISVLSHREIYRHLEYITNVYMEGLAPQEGTSCMKNPQKERKKGIFGMVVKDLKGNKTKHGKENDADDSSISEELSALFSTVNFLHSDETRCSSVVNNEDVELDIDDIDLEDTKEKHKGLNLAVLDKQKLGKKLHALKGKLKLNIEEKMNSRKDKHEEEKDISAVDKIKQKYGYATNDESNTVKMAESKLRENVIKLKAIGLRTSEMQNTAQTFSSLAKELLQSTQGSKST >Ma05_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12198684:12233743:1 gene:Ma05_g15790 transcript:Ma05_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKATRKHNGGGGINGLKAEEVRPRLVFHYGVPPESSSLAYDPIQHILAISTRNGVIKLLGKDNSQALLQSEAASPSKFMQFMENQGILLNVTSHNHIEVWNIDKKQVTHVHIFNEEITSFVVVQQSFYIYVGDCLGNVTVLKLDNTLQCLVKMPYKIPPSESCGTQTEADSDTAVIFSSPQPLAESKRNGLISLWGIQESKVLLVAGGNAQHSSQEPKLVVSASWACVFGSKVVVGYSSGDIFLWAIPVFLDHNSEALRNHKDSYASQNVPLLKLNLGYKMDKVPIVSLRWFAHDESSGHLYVNGFSDSGSSHSFQVIIINESTQTRTIKLVLPLTEPCLGMEIVSCFSNQSKNKQNTLVLLLRSGRLCLYDDSAIEQYLLHCQSKSPPTLPKQFMVTLPFGDSRITAAKLYIGPSSPVEESVAMQDHILLPNKYSSLFSINMKEKDGSRRSSAHFSGFSKAKNLLVTGHVDGAINFWDASCPLLFPLLSIEQQFNESCASSTAPVTSLHFDVSSHILVSGDQSGSIRIFIFKKLQETSESIFSFLQAKQGDNYTVHNVNLKGAIMSISMNMDSKLLAVGTDKGYVSVIQMEGTTILYQKQIPGQVYSGIMSLQFVNHGQNGSENSILLVGMEDSSVLALEEDSGHALSANPVKTKKTCKALLMHILDASPNGVCTSDCVDTSKQSYSKQLMQKQPLVLICSENAIRLYALSHVLQGIKKVYSKKKLDGSCYYASIIHGSSLDVGLALVFSCGKMEIRSLLDLAVLNEVSLRGLTYPTLKSPPNANSIFCVSSEGEILLVNGDQALLFISVLSHREIYRHLEYITNVYMEGLAPQEGTSCMKNPQKERKKGIFGMVVKDLKGNKTKHGKENDADDSSISEELSALFSTVNFLHSDETRCSSVVNNEDVELDIDDIDLEDTKEKHKGLNLAVLDKQKLGKKLHALKGTVGKLKLNIEEKMNSRKDKHEEEKDISAVDKIKQKYGYATNDESNTVKMAESKLRENVIKLKAIGLRTSEMQNTAQTFSSLAKELLQSTQGSKST >Ma11_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1453411:1454204:-1 gene:Ma11_g02030 transcript:Ma11_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEAFASSDTNNFGHLSTRVISRKHNWYKSRSALAALLLLDLGI >Ma01_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10184255:10188001:-1 gene:Ma01_g13940 transcript:Ma01_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSTVPLLLSFAAAAVTGAAAFLALRRFSREETVASLRQDVRDAVLLLREPPTVLVTGFRAHGKSSFINTACRALAAEAGPMLLRAETSPPVTHSATFDRCVIRAAVAGGGSEDEKEEGEATCAQVALVDAPALPEPGQLTRADVEEALSGVPPPECVALVLRCGGPAKDRHAAVKKLADVTTAIRQRGLQFVVVLTHKKRIKSMRKAEELRREIAFRARTDCVYLIENYTAGNMLNIRRPWATKNNLETHYTVLMIVRQCVEFAKLRRSHSIGQTENGDLIGIEYSWDVGIESGQGTSMGASGDGVEDYV >Ma06_p05570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4150253:4151878:1 gene:Ma06_g05570 transcript:Ma06_t05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMWWSLLGAAVPVIIAGHRIRVKGRHAEEQRLRSAQGREKNSDEIFVCERVCTSKRMLNKVGAFSKDPILDTCVTVCGVSELDACTDACARTVCVNQHQVPNWNDICLKRCQSECLRLSSLSTSSTKMP >Ma06_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4150255:4151878:1 gene:Ma06_g05570 transcript:Ma06_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMWWSLLGAAVPVIIAGHRIRVKGRHAEEQRLRSAQGREKNSDEIFVCERVCTSKRMLNKVGAFSKDPILDTCVTVCGVSELDACTDACARTVCVNQHQVPNWNDICLKRCQSECLRLSSLSTSSTKMP >Ma07_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21703248:21709107:-1 gene:Ma07_g17710 transcript:Ma07_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQQNSIDSPKAEIDTRAPFESVKAAVSLFGEVAFASDRSTARKPKPPLIERALPKETQLHLAKKEFNKYKEQLNNADITRIQALAELERVKRTVEELTNKLSTLNESKEQALKATEAADSQTKKLEDVSSVESTTRKDAGWEQEFDNAREQYAAAITEIDAAKQELRRIKKDFETSMEGKLTAIQQEAESKRLFDANTEKVAQLSKEISAAQESLMHVKLAADQARQEESKIHIDKDTAKLSYKQALEETEKKLASLKKEFDPEVHYMLEAKLAETTAEIGAVQKEMEDTRTSDLEFVTTVTCELDGAKEMLQKLAEEESSLRSLVESLKLELEGLKKEHEELKEKDAETESVVGNLHLKLQKCKAELEAAMVAESKATSASDDLVSTLQQLSSESQNALQEAEEMKKSAEELRADSEAAQTTLHEAEKKLQVALKDAEEAKAAEARVLDLIKELSEKANVARASTSESGANITISKEEYESLTRKVEESGKLAEMKVAAAIAQVDAVRASENEAVKKLDAARKEMEDIETATEEALKRAEMAEAAKKAVEGELRRWREKEQKMAAKTASRILAETQMLAEALPPKPMVHSAKGVEKTEENRKVVRPTVSKKALLPNLSGIFHRKKNQVDGGSPSHLPAEKPV >Ma07_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8599059:8619337:-1 gene:Ma07_g11580 transcript:Ma07_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWSFPDGNIANLVERRQIFDSHQDVNQRLQAHSSLMQRLALDKEMEGHQGCVNAIAWNSKGSLLISGSDDTRINIWSYSDRGLLNSIETGHSANIFCTKFVPETSDELIVSGAGDAEVRLFSLSHLSRKRSEEVAIEPVATYKCHSRRVKKLAVEAGNPNVIWSASEDGTLRQHDLREVSSCPSAESSNQECRSVLLDLRRGAKKSLADPPKYCLVLKSCDISPTRPHQLLVGGSDAFARLYDRRMLPPLSSAQMRMKSPPCVNYFCPVHLSEHRRSSLHLTHVTFSPNGEEVLLSYSGEHVYLMDVNCDDKSIMRYTAADVPKHFCLARISGESKLPLPPAVPSSYQLMHRLDVYRNLVQAAARILEEGSNIYYGIEACNEVIDGKGPEVGHSVRHECLCIRAALLLKRKWKNDVYMAMRDCNSARKINASSFKAHYYMSEALLQLGKLNEALEFAEVAGNLASSTCEEEMVATIKGHLVAAEAERVKKDNEDTVRSETRHGKLRSLSDVLFRAELNSPYSESRYEREDSDYEEEMELDFETSISGDEGRDTESSILRGSLNLRFHRRDDSARESSSIDGAEGSPSSSSQNYYHTLQPEVAIDMKRRYIGHCNVGTDIKQASFLGQQGAFVASGSDDGRWFIWEKRSGRLVKMLAGDESVVNCIQCHPFDCAVATSGIDNTIKMWTPHAQVPSMVAGGIAGPETADVWGAIEINQRKLCRNRELVLPYEFLERIRMHEFAEGTLHPFECAQS >Ma03_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3082882:3084219:1 gene:Ma03_g04680 transcript:Ma03_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEDELFPSTPGKVKIERTHAINRQLHQCFASTSTMFLWALFLIALTASYLSFQSFVDSSSRYFDASWGGMQWEKQIRASATVRRPGGFSVLVTGAAGFVGTHVSLALRKRGDGVVGLDNLNSYYDPSLKKARVALLDSRGVFVVEGDINDARLLAKLFDVVPFTHVMHLAAQAGVRYAIENPASYVHSNIAGLVALLEACKSADPQPAVVWASSSSVYGLNEKVPFSESDRTDRPASLYAATKKAGEEITHTYNHIYGLSTTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDRVDLARDFTYIDDIVKGCVASLDTAEKSTGTGGRKRGPAQYRIYNLGNTSPVTVPALVGILEHHLKMKAKRNVVEMPGNGDVPFTHANISLARAELGYKPTTNLETGLKRFVRWYLLYYGYNTRSGGLAGSAKSL >Ma05_p24830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36976194:36980912:-1 gene:Ma05_g24830 transcript:Ma05_t24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRIMKELKDLEKDPPASCSAGPVAEDIFHWQATIMGPTDSPFAGGIFLVNIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKTDRVKYEATARSWTQKYAMG >Ma05_p24830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36976194:36980949:-1 gene:Ma05_g24830 transcript:Ma05_t24830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRIMKELKDLEKDPPASCSAGPVAEDIFHWQATIMGPTDSPFAGGIFLVNIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKTDRVKYEATARSWTQKYAMG >Ma05_p24830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36976181:36981055:-1 gene:Ma05_g24830 transcript:Ma05_t24830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRIMKELKDLEKDPPASCSAGPVAEDIFHWQATIMGPTDSPFAGGIFLVNIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKTDRVKYEATARSWTQKYAMG >Ma07_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2848446:2854395:-1 gene:Ma07_g03720 transcript:Ma07_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWRWLTVSMVLTAVAFSCVSGRFVVEKNSVRVIRPEHIRGRHDAAIANFGVPHYGGTMVGVVKFPDKNRTTACNAFNGTPFKSRSRRPVILLVDRGDCYFALKAWNAQQAGAAGVLVADNTDEPLLTMDNPEESQDLEYVDKITIPSAFINHEFGETLKKALAKGTTDHVIVKLDWRESMPHPDERVEYEFWMNSNDECGPRCDEQMNFVKNFRGHAQLLEKGGFTQFTPHYITWYCPEAFILSKQCRAQCINRGRYCAPDPEQDFNEGYDGKDVVIENLRQLCVHRIANETGQPWVWWDFVTDYHVRCSMKDKKYSTDCAEDVVKSLGLPLAKITKCMGDPEADVENDVLKTEQELQVGHDSRGDVTILPTLVINNVQYRGKLERIAVLKAICAGFKESTEPPVCLNGDIETNECLRSNGGCWQDQKLNITACKDTFRGRLCECPAVHGVQYQGDGYTSCQAVGPGRCAMDNGGCWSETRDGQTFSACSGSLLTGCRCPNGFHGDGYTCEDTDECKEKLACNCPECSCKNKWGGYDCKCKGNLLYIKGEDTCIAKNMSRSRWILMLLVLSCVAGAIAGYIFYKYRLRSYMDSEVMAIMSQYMPLDNNDHRNEIQQLRQDSTA >Ma03_p01170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:896231:900330:-1 gene:Ma03_g01170 transcript:Ma03_t01170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDHLLTESTLEAAIGGQKHDQIATDSAPLEDPGKEFTRKRNIRDRSCVGKLVECRICQEEEEDYNMEIPCSCCGSLKYAHRECVQRWCNEKGDTVCEICLQQFKPGYTAPQKLFQYGSIPMNFRGNWEITRQDLNDSQILTLYPSERDVMHPHDDDYSALGMRTTVCCQSVAIIFMVLLVLRHTLPLAISGAEQYSFTIFSLLVLRTAGILVPVFVLIRTIRTFHQCQRQQGTREVSDRAEGTHELSSWQLVQSQPHRIQVH >Ma03_p01170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:896231:900330:-1 gene:Ma03_g01170 transcript:Ma03_t01170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDHLLTESTLEAAIGGQKHDQIATDSAPLEDPGKEFTRKRNIRDRSCVGKLVECRICQEEEEDYNMEIPCSCCGSLKYAHRECVQRWCNEKGDTVCEICLQQFKPGYTAPQKLFQYGSIPMNFRGNWEITRQDLNDSQILTLYPSERDVMHPHDDDYSALGMRTTVCCQSVAIIFMVLLVLRHTLPLAISGAEQYSFTIFSLLVLRTAGILVPVFVLIRTIRTFHQCQRQQSMQGTREVSDRAEGTHELSSWQLVQSQPHRIQVH >Ma03_p01170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:896231:900330:-1 gene:Ma03_g01170 transcript:Ma03_t01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDHLLTESTLEAAIGGQKHDQIATDSAPLEDPGKEFTRKRNIRDRSCVGKLVECRICQEEEEDYNMEIPCSCCGSLKYAHRECVQRWCNEKGDTVCEICLQQFKPGYTAPQKLFQYGSIPMNFRGNWEITRQDLNDSQILTLYPSERDVMHPHDDDYSALGMRTTVCCQSVAIIFMVLLVLRHTLPLAISGAEQYSFTIFSLLVLRTAGILVPVFVLIRTIRTFHQCQRQQSMQGTREVSDRAEGTHELSSWQLVQSQPHRIQVH >Ma03_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:896231:900330:-1 gene:Ma03_g01170 transcript:Ma03_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDHLLTESTLEAAIGGQKHDQIATDSAPLEDPGKEFTRKRNIRDRSCVGKLVECRICQEEEEDYNMEIPCSCCGSLKYAHRECVQRWCNEKGDTVCEICLQQFKPGYTAPQKLFQYGSIPMNFRGNWEITRQDLNDSQILTLYPSERDVMHPHDDDYSALGMRTTVCCQSVAIIFMVLLVLRHTLPLAISGAEQYSFTIFSLLVLRTAGILVPVFVLIRTIRTFHQCQRQQSMQGTREVSDRAEGTHELSSWQLVQSQPHRIQVH >Ma02_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15719505:15721922:-1 gene:Ma02_g04240 transcript:Ma02_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dephospho-CoA kinase [Source:Projected from Arabidopsis thaliana (AT2G27490) UniProtKB/Swiss-Prot;Acc:Q9ZQH0] MRLVGLTGGIASGKSTVSNLFISEGVPVVDADVVARDVVKKGTGGWKKVVKAFGNDILLENGEIDRARLGHIVFTDPAKRQLLNRLLAPYISSGIFWEVFKLWIKGSKVIILDIPLLFETKMDQQTSPVIVVWVDPETQIKRLMARDGIPEEQAKNKINAQMALDQKKTKANIVIDNSGTIEETRLQFQKVLNQISRPLTWKEYALSRDGIFLLLTSAVVGVLAVRKNLP >Ma04_p34700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33752345:33753728:1 gene:Ma04_g34700 transcript:Ma04_t34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEGAQAIAFSEEQEALVVNSWNVMKQHAADTALSFFLKIFEIAPSATQLFSFLRDADVSLDKNPKLKAHAMAVFTMACESAAQLRKTGKVAVRETTLKKLGATHMNSGVIDEHFEVVKFALLETIKDAVPEMWCPEMKDAWGQAYDHLAAAMKEEMKLLSASV >Ma10_p09660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23737336:23741070:-1 gene:Ma10_g09660 transcript:Ma10_t09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKGNTVEVLRRKEKQCSSWFPAIISYVHGYEYTVSYELFLTSDEKPVVETVHEEDVRPCPPPLNHKAHWVVGDIAEVLDVCSWTIGKVVKVLENNRVVIKLYDSIQLKEHCLSDLRVPQAWQSNKWIMTDKQILGKQFGYDYIQPNSDTARNLVCGSSQVIGKQGSTGWRSKQKDVGCSSPIKSAKRNLNARCDFSPVDLVRERGWKRKSSTDRSHKSTKRALSKKVEVVSFSKDNVTKYFLHESSKDRLAMCRQINADEGYIYNHALNSSSMPLAVSEDNNGCSVASCSGKEYPGHTSQNLKKHSEDTAFDSLGDAMSSCPLGGGKEYETEFGDELTANVHELELYAYQSTVKALHASGPLSWEQESLLTNLRLSLNISNEEHLLHLRHLLSA >Ma10_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23737336:23741059:-1 gene:Ma10_g09660 transcript:Ma10_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKGNTVEVLRRKEKQCSSWFPAIISYVHGYEYTVSYELFLTSDEKPVVETVHEEDVRPCPPPLNHKAHWVVGDIAEVLDVCSWTIGKVVKVLENNRVVIKLYDSIQLKEHCLSDLRVPQAWQSNKWIMTDKQILGKQFGYDYIQPNSDTARNLVCGSSQVIGKQGSTGWRSKQKDVGCSSPIKSAKRNLNARCDFSPVDLVRERGWKRKSSTDRSHKSTKRALSKKVEVVSFSKDNVTKYFLHESSKDRLAMCRQINADEGYIYNHALNSSSMPLAVSEDNNGCSVASCSGKEYPGHTSQNLKKHSEDTAFDSLGDAMSSCPLGGGKEYETEFGDELTANVHELELYAYQSTVKALHASGPLSWEQESLLTNLRLSLNISNEEHLLHLRHLLSA >Ma08_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1750458:1753331:1 gene:Ma08_g02150 transcript:Ma08_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL4 [Source:Projected from Arabidopsis thaliana (AT1G07320) UniProtKB/TrEMBL;Acc:A0A384KN11] MRISCATTPSLSSSFVASPLFLPNSHSFANVSLAKAPSAVRLRVRCELAAIPVLSFSGEKVGDVPLDLKSAPSDTARAVVHRGLVAEQQNQRRGTASTLTRGEVRGGGKKPYPQKKTGGARRGSQRTPLRPGGGVVFGPKPRDWSVKINRKEKRLALSTALASAASAADALVVEDFGQEFEAGPKTKEFVAAMRRWGLDPKRKAMFLLTEVSDNVLLSCRNIGTIKLLTPRTLNLFDILDSEKLVFTKSAVEYLNSMYGENAEEEGDGEEEEEEIVLAGDN >Ma09_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4516759:4520229:-1 gene:Ma09_g07020 transcript:Ma09_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPQTLDPSSHLLKPSSPFPHSRLRPSLPPLRFSFPSSSPILQRVRLASASASAMESVAEWGLTPLSAVDPEIHDLIEHEKHRQSHGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEHIDAIENLCRSRALAAFRLDPARWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVSSVTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRSIADKCGALLLCDMAHISGLVAAQEAANPFESCDVVTTTTHKSLRGPRSGMIFFRKGPKPPKKGQPEDAVYDFEDKINFAVFPALQGGPHNHQIAALAVALKQATSPGFKAYAKQVKANAVALGNYLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLIEKDFERIAEFLHQAVTLCLSIQKEHGKLLKDFNKGLVNNKDIEELKAAVDKFASSFHMPGFHMSAMKYKE >Ma05_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8659991:8663069:-1 gene:Ma05_g11850 transcript:Ma05_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGMMDSAYFVGRNEILAWINSTLQLNLSKVEEACSGAVHCQLMDAAHPGIVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVNKLVKGRPLDNLEFMQWMKRYCDSVNGGVLINYNALERRDACRGGKEANKRSSSSSQSSVRSSSAAIKTQASHATKKNDGHVANGSHRTIKPATSSVAHAYDEQITELKLFVDSLEKERDFYFGKLRDIEILCQNPEIEHLPIVCAIQKILYAADDSSSVVAEAQAMIAQQRIEPPPLSPILETSEEKPKQEVQKRKDISTLEFDAAAHSTLSPRQRLSDISDVHYCGSPLTNY >Ma03_p30480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33099497:33100416:1 gene:Ma03_g30480 transcript:Ma03_t30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQGGGGGREAHFRGVRKRPWGRFAAEIRDPWKKTRKWLGTFDTAEEAARAYDEAARSLRGPKAKTNFGCPGDLGVPPSAAQVSWGAVTRWRSAADLSMGHTPAAAGGGSGYAGYRLEVVEAAAREEQERKTVAAAEAGKEKPPFSFDLNLPAPFF >Ma07_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31214113:31217846:1 gene:Ma07_g23630 transcript:Ma07_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGIVVMQKYELGRLLGKGTFAKVYYARNIRNGQSVAIKMIDKEKVIKVGLMEQVKREISVMRLVRHPNVVRLFEVMASRTKIYFVMEYIKGGELFNKVTKGKVPEDEARKYFQQLIGAVDYCHSRGVYHRDLKPENLLLDENDNLKVSDFGLSALVDSKRQDTILHTTCGTPAYVAPEVISRIGYDGAKADIWSCGVILFVLMAGYYPFHDSNLMEMYKKVVKGEFKCPSWFSSGTKKFISKMLDPDPSNRISIAKIRENKWFKKGLDDKKVKIEEEPKENVPLDAAEVFNSSASSTAEERQDSTKITNLNAFDIISLSAGFDLSGLFADSSQKKETWFTSMKSASVIISKLEDMAKHLKLKVKKKDDGVLKLEALGEGKNGLLAIDAEIFEVSPLFYLVELKKLNGDNLEYQKLLNEGIRPTLVDIVWAWQGDQQYHQE >Ma07_p23630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31214103:31217846:1 gene:Ma07_g23630 transcript:Ma07_t23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGIVVMQKYELGRLLGKGTFAKVYYARNIRNGQSVAIKMIDKEKVIKVGLMEQVKREISVMRLVRHPNVVRLFEVMASRTKIYFVMEYIKGGELFNKVTKGKVPEDEARKYFQQLIGAVDYCHSRGVYHRDLKPENLLLDENDNLKVSDFGLSALVDSKRQDTILHTTCGTPAYVAPEVISRIGYDGAKADIWSCGVILFVLMAGYYPFHDSNLMEMYKKVVKGEFKCPSWFSSGTKKFISKMLDPDPSNRISIAKIRENKWFKKGLDDKKVKIEEEPKENVPLDAAEVFNSSASSTAEERQDSTKITNLNAFDIISLSAGFDLSGLFADSSQKKETWFTSMKSASVIISKLEDMAKHLKLKVKKKDDGVLKLEALGEGKNGLLAIDAEIFEVSPLFYLVELKKLNGDNLEYQKLLNEGIRPTLVDIVWAWQGDQQYHQE >Ma11_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25567214:25567363:-1 gene:Ma11_g21400 transcript:Ma11_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFVVIYYLFTCVFSVTSSVIGKKYYDSNFQSCSNICRFGVPLLWCFI >Ma02_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16232253:16233646:1 gene:Ma02_g04820 transcript:Ma02_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRNGGGGAWVSMALKVAIASAGVVTAAMAIRLAGPPVVWFLAAEVPRAYDSALAWLRPPYLYLVINGIIISIAASSRFQKQPSTSTADLAPLPHLATMEPVAVVQDPVEYDAKLAEDAPAAEVYGRAKAEAEDEEVEEEFVISRSSWSPKRRSRGPMEEIPTEYSVAAAEKPLVSTRFSHRKAVKPSPEGKALGVARRPRRNETLETTWRTITEGRAVPLARHLKKSDTWDTRGSGGEEEAAAKAAVMRKSETFNERGAVASPKSGGSSGGRLRREASLGQDELNRRVEAFIKKFNEEMRLQRQESMKHYMEMINRDRR >Ma07_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6659724:6660579:1 gene:Ma07_g08900 transcript:Ma07_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein F1 [Source:Projected from Arabidopsis thaliana (AT1G17700) UniProtKB/Swiss-Prot;Acc:Q9FZ63] MTTYGTIPTSTGPSSSSSATPLDYISRAKERGRSALAMRRPWRQLANVHAMGLPPSLGEAYVRIRTNAAYFAMNYAIIVLLVVFCSLLWHPISLIVFLACLAAWLFLYFLRDEPLVFFGRTIGDRVVLIVLSVVTLVLLLLTKVTSNVLISLLVGLLLVLIHAVLRKTEDLVMEEEAAGPGKWYAAVS >Ma07_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3612153:3617388:-1 gene:Ma07_g04940 transcript:Ma07_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRPLIGRRDLANGRQDPTQAVAAGLFQITTAVRNFERLVNTIGTPKDTPELREKLHNARLQIGQLVKDTSAKLKQASETDHRVEVSASKKVADAKLAKDFQNILKEFQKLQRLSAERETAYAPLVPQTVLPSSYAATEADSNSNKALEQRAVLAESRRQEVVLLDNEIVFNEAIIEEREQGIQEIQQQIGQVNEIFKDLAVLVHDQGAVIDDINSHIENSYAATAQGKTQLKNAAKTQKSNSSLMCLLLVIFGIILLIVIIIIAA >Ma07_p12920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9736275:9738066:1 gene:Ma07_g12920 transcript:Ma07_t12920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSAPRTCRLFWCYQCHRAVRIICSPAADVLCPRCYGRFLHEIDLPRPRLVVELTPVRPLRDHPLFPPPAWRRYAELDRVRQLDSDDGRRNPSGSWIVFRRTTDDRPVDPGPRRPLTPAPPRREEPSIPAAVRPADYYTGPNLNELINELTQNDRPGPPPAPAPAIEAMPTVSITEAHLTDGSQCPVCKEEFSLGEEAREMPCKHVYHSECIVPWLSMHNSCPVCRFQLPGAGNGGGQENRASGSSNTGSGRSRRQDRWNPFFQLWPFRESLHTGDYQYRGLEEHEDIFSSPGAFYSWWRSLFLL >Ma07_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9736275:9738234:1 gene:Ma07_g12920 transcript:Ma07_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSAPRTCRLFWCYQCHRAVRIICSPAADVLCPRCYGRFLHEIDLPRPRLVVELTPVRPLRDHPLFPPPAWRRYAELDRVRQLDSDDGRRNPSGSWIVFRRTTDDRPVDPGPRRPLTPAPPRREEPSIPAAVRPADYYTGPNLNELINELTQNDRPGPPPAPAPAIEAMPTVSITEAHLTDGSQCPVCKEEFSLGEEAREMPCKHVYHSECIVPWLSMHNSCPVCRFQLPGAGNGGGQENRASGSSNTGSGRSRRQDRWNPFFQLWPFRESLHTGDYQYRGLEEHEDIFSSPGAFYSWWRSLFLL >Ma02_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:1297091:1298062:-1 gene:Ma02_g00150 transcript:Ma02_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPTQVSIAMQPAELRSRNLIDGRISISFDNYKAASTSSRIRYNNADDETFSDEEEIRSHTIAVNIQLDDSEDEAEELRENLNSYFRDTHITGKEVEMSYPQKHQKELIAAGLEEELIMEYPQLAKLSQHVYSSSAVSNYRPPTDSTMGPINYPPAVNIESTSQRPEYEGHSRQPRFKAKNFSEAWNLPSAFQQQRAIFIIPSQLGMFDKVFMRWESITKNLVSLQGFTDPLAKMEFIENLLGEAEKLAWIQWRMAYPEEYQLLLANADGMGGTQNILSQLRTIFILEDPFQGSTTTQEEAYRDLERLTCFLLCFNMLSFVF >Ma06_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9049891:9052371:1 gene:Ma06_g13200 transcript:Ma06_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEHTLLSTEIVNRGIEASGPDAGSPTFSVRVRRRLPDFLQSVNLKYVKLGYHYLISHAVYLATIPVLVVVFSAEVGSLSREELWRKMWEETSYDLATVLAFFSVLAFTIAVYFMSKPRPIYLVDFACYRPSDDLKASNAEFIEMARKSGKFDEESLSFQSRILKSSGIGDETYVPKSVFSTGNCATMKEGRAEASMAMFSALDELFEKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGIIALDLARDMLQANPSSYAVVVSTEAVSFTWYTGRNRSMLIPNCFFRMGCSAVLLSNRRRDFRRAKYRLEHIVRTHKGADDRSFRCVYQEEDDQRIKGLSISRDLMEVGGHALKANITTLGPLVLPFSEQLLFFATLLHRHLFSKPADSANAAATKPYIPDYKLAFEHFCIHAASKAVLDALQNNLELEDRHMEASRAALHRFGNTSSSSIWYELAYLEAKGRVRGGDRVWQIAFGSGFKCNSAVWKAMRRVRRPNRNPWLDCVDRYPVGR >Ma09_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:452684:455155:1 gene:Ma09_g00660 transcript:Ma09_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNKTIVRNMSRIEARKLGLALLVGCCIVILTYFVSMSETTVDQQLSVAYRVGTVEVVDGKISLQKPERLRKAEGGHGNTAEEKVHRTNFSAISPITAKEEKRESEKPKDEEILPPKREAERSQTMKPQVVEPICDFSDPRTEFCEMKGDVRIHGKSSSVVFVSPHQRSNEWKIVPYVRKQMGNVEKVSVRTASSPGGVPECTINRSVPAIVFALGGFTGNYYHDFTDVLLPLFLTARQFDGEVQFLITNIQVWWLHKYDPIIKRLTRYEFVDLDDSNQVLCHPHVMVGLRFHNDLTIQPTRAPNGYSMLDFTAFLRSAYSLQKAHAISLREHPDRKPRLLLVARNGTRRFTNVPEIVQMAEGLNYEVVRADADFGDVAGFAGVVNSCDVIMGVHGAGLTNLVFLPTNAVLIQIVPCCELEGMATHTFGFPSMGAGLNYLEYNISVEESTLSEKYPREHPVFTDPQSLHKQGWFKMGKIYLVKQNVKLDVNRFRPFLVRAMDLLGR >Ma03_p25650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29622837:29631827:-1 gene:Ma03_g25650 transcript:Ma03_t25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNHQRNGLNCHTADVQGIDSDGFKENSEMRSGKTPETEYPNNADNVCQPRSPVGKINKTKANGKRNRQRSGCALTREKPDDQIPSLLRSEDRSSGLRDPSKSNLSSNSFEEKRNDGKFCHFFDGLPIGNNNGAFLAVASRNLRSLALYVLGAASEWLERQKPRLKVINSVIRKSHNYARVKVGHAYPIILTWTLYLGKLIFLLSMVWLDCSIRGLDSLLRLGTTSFLTTIWCSILSITAMIGFMKILVVMVISTAMIVFIGVGLTILVVAILAMGILWFYGSFWTTGLVSLFSGVTFMFGHERVALLISTVYSMYCARCYVGWLGLLFGLNVSFISSDILIHILKNNINDSKSNDSSEHTRQTRSRAGHFFGESSHSSLGDDAFRSSSETPADRCPGVPSTSGTEAELSSEDEVARLLNCADHYSALGLTRYENVDASYLKKEYRKKAMLVHPDKNMGNEKAADAFKKLQNAYEVLLDSLKRKTYDEELRREELLNYFRRFQNSPLKKGGQGIFRPGFSHSEAEYEGPYGEARRIACKKCSDFHVWICTDRSKSQARWCQDCKEFHQGKDGDGWVEQSFQPLLFGLLQKVDPPRVYVCAKSKVYEVTEWFICQGMKCPANTHKPSFHVNTSLTKQTSSKGSGSAPRGGGATSNNEEAITEEEFFEWLQNAMQSGMFEANNNSTTNESPSPGNGSGSKGSFKKKKKGKKQW >Ma04_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2556956:2558607:-1 gene:Ma04_g03300 transcript:Ma04_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKKHNSHDNQEHDAKDQEQKIKELRAAIGPLSGSSLTFCTDACLRRYLEARNWNVDKSKKMLEETLKWRTTYKPEEILWHEVATESETGKLYRANFHDREGRTVIVMRPAKQNTSSHDNQLRHLVYVLENALLSLPEDQEQMVCLIDFTGWSLSNSPIKTARETANILQCHYPERLAVGFLYNPPRIFETFWKVVKYFLDSKTFLKAKFIYPKNKESMELLRKHFDLDVLPVEFGGRNQVQYNHEEFSKMMIAEDTVKASLRSSEEKTPQPGLAVQAS >Ma11_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4098649:4099593:1 gene:Ma11_g05360 transcript:Ma11_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEYLRDAYGNPPLFVEENGYGIGRRESDDLNDTDRINYLNGFIGSMLDAIRNGANVKGYFVWSFIDVFEFLTGYQSRCGLYHVDFDDENRKRTPKLSAIWYSKLLERKDGMKLNKTAMDTEYYARW >Ma08_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6042971:6054949:1 gene:Ma08_g08550 transcript:Ma08_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKTIGRELAMGSQGGGWTGQSKEFLDLVKSIGEARSKAEEDRIILREIDSLRRRIAEPDVPRRRMKEYIIRLVYAEMLGHDASFGYIHAVKMTHDDSLSLKRTGYLAVTLFLSDDHDLIILIVNTIQKDLRSDNYLIVCTALTAACKLINEETIPAVLPQVVDLLAHPKEAVRKKAVMALHRFYQRSPASVSHLISNFRKRLCDNDPGVMGATLFPLFDLITADVNSYKDLVISFVSILKQVAERRLPKAYDYHQMPAPFIQIKLLKILALLGSGDKQASGHIYNVLGDIFRKCESSSNIGNAVLYECICCVSSIYPNAKVLDAAAESTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIDYMISINDNHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLLNVRVAHNLMRLIAEGFGEDDEGADSQLRSSAVNSYLRILGEPKLPSLFLQVICWVLGEYGTADGKYSASYIIGKLCDVTEAHLCNDTVKAYSISAIMKICAFEITAGRNVEMLPECQSLIDELSASHSTDLQQRAYELQALLCLDSQAVESVMPLDASCEDIEFDGNLSFLNSFVQQSIEKGARPYIPESERSGTFSVSNYNSQYQHEASSHTLRFEAYELPKPSPTPATPQISVSTRTTDLVPVPETTYYYTENNQASKLPPVSDASSADHGIKLHLDGVQKKWGRPTYSSSSSSTSSEKKTNGVTRIDGVSSPSRGTLYDSKGQQSEVSAEKQKLAASLFGASAGKTEKKLASTQRAPKATTATAERPGVTRAVSPEISKQKAASSPPPDLLDLGEPITTTTPTIDPFEQLEGLIGPTPGPSTLDNSVTTSEQKAPDLMTLYTDTPPSSNSSISSALGDIHSSDKNSQMAKNVPSIKKGPNPQDSLQKDATARHVGVTPTGNNPNLFRDLLG >Ma08_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37567976:37570504:-1 gene:Ma08_g24360 transcript:Ma08_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G02660) UniProtKB/TrEMBL;Acc:A0A178VAQ8] MAAAATAMAASRTSLLQLLRPKLLGPSRTFSSFPCCRRPCALPLLLRRSSSQPAPETLEAPIQGLDSSTRAPNVVDILQERGLIESITSENLRSACSTPAANPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHQAVALIGGATGRVGDPSGKSLERPELDVETLDKNSASIKDLISKILGRRGGNEIAIAGSENETFLDIGDDKGGKGAFFDSISEDNRSSDESFMILNNYDWWKGITLLEFLREVGRFARVGTMMAKESVKKRLVSEEGMSYTEFTYQLLQGYDFLYLFKNMGVNVQIGGSDQWGNITAGTELIRKILQIEGAFGLTFPLLLKSDGTKFGKSEGGAIWLSASMLSPYKFYQYFFAVPDVDVIRFLKILTFLSMEEIRELEEEMKKPGYVPNTVQRRLAEEVTRFVHGEDGLAEALKATEALRPGAETRLDAETIEGISEDVPSCSLAYYQVLNSSLIDLSVSTGLLSTKSAVRRLMKQGGLYLNNKRVDTEEKRVEEADIIDGKILLLSAGKKNKMVVRIS >Ma11_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17390130:17394614:-1 gene:Ma11_g13120 transcript:Ma11_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNLIATVELFVRLEASLYSSEIWRNVYLTAIPMFHVYGLSLFSMGLLSLGSTIVVMRKFDVEEAVRAIDAFKVTHFPTVPPILTTLIRAKGATGCRLQSLVQVSCRAAPIAPKTIHDFLKAFPHVDFIQGYGLTESAAVGTCGFNTTNCKRHISVGLLAPNMQAKIIDLETGSCLPPGTSGELLLHGSAIMKAETCIVVVTIARISPLYFLCHQDIAYFDGDGYLYILDRLKETIKYKGFQIAPADLEALLIAHPDIVDVAVTAKALQNEEAGEIPVAFVVTRSGSKRSSTDVIEFVAKQVTPYKKVREVVFVSSIPRSPAGKTLRRKLRDTLAASRM >Ma10_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3875566:3878833:-1 gene:Ma10_g00960 transcript:Ma10_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVLFAVLNHLLYLMRVGEKAVQRRIALALAHLCSLEDQRTIFIDDNGLDLLLELLVLTNLKQQQDASVALYKLAKKSLTLCSVDAASPSPSPQVYLGEQYVNSSTLSDVTFLVEGKCFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVEITTDIAQDLLQAADQYLLEGLKCLCEYAIAQEVCVDNVSSMYELSEAFHAMSLRHNCVIFILEQFEKINTRPGHSHLIQRITPEIRNYFVGAFLY >Ma04_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23206118:23207915:1 gene:Ma04_g20460 transcript:Ma04_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g33760 [Source:Projected from Arabidopsis thaliana (AT2G33760) UniProtKB/Swiss-Prot;Acc:P93011] MIRRATAHPCPHSPTYEALLRAGRRVRPLQQVHARIVVAGHHRTRSFLTKLLTLILCSSSSASCSTAAYARTLFLSIPEPDTFLFNSLITAAAKYGRPADAIVHYRRMSAACLEPSNYTFTSVVKACADLSASQTGRVVHSRVISNGFDSDVFVQTALVVFYGKTGDLHHARKLFDRIPNRTVVAWNAMIAGYEQNGLAEEAVAIFQLMQVAGKEPDSATLVSLLSACSQLGALSLGQWVNDYLVNKGLELNVVLGTALINMYARCGHLEKARKIFNGLQERNVVAWTAMISGYGMHGHGHQAIKLFQQMKSSGLSPNDVTFVAVLSACAHAGLVSEGQEIFASMRQDYGLVPRVEHQVCVVDMLGRAGLLDEAMLFIREETRGLPGPEVLTAMLGACKMHKNFSLGVEVAEHLLAIEPGNPAHYVLLSNIFALAGRMDRVEKIRNVMIHRHLKKQIGYSLIEINQAAHVFRMGDTSHQQTMEIYKYLEELINKIKEAGYVPETSAALHELEEEEREFALRFHSEKLAVAFGLMNTSKNSLIRIVKNLRMCNDCHLAFNFISLVSGREIIVRDKHRFHHFKQGLCSCQNYW >Ma02_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28426293:28427167:-1 gene:Ma02_g23400 transcript:Ma02_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFLRKYDKECMKMMMLKHEETFRQQVHELHRLYRVQKLLMRGINTEQSRRRRRRRCRVLNLELPADEYIERGEADATVEVEQEDDIELALTIGSGWRNWEETYDSGASFSSSSTGSGELKTSGHGWELRQVEDVSFSYGNGFELEALRASRLKQPPWHLQCSSLRMT >Ma05_p28340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39381333:39383552:-1 gene:Ma05_g28340 transcript:Ma05_t28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETETKAPEGPPAAEVVVAEAEEKKPEAEEAVPPADEAAATVPESTFLEEESNVVAEPVDPQKKALDELKQLVQAALSNNEFNPPPPPPAPAKEEPPVKEEEEEAKPAEAPAPASVEESKPPSEPVSAQEAKPAEAPAPASVEVSKPPSEPVPAPAERSLEPEVVEEHASPVKKEPLPPPSQPAEEKAATADDATAKTVKAIKEAVGSAAAEDVSPAAKEAPAEVPAPASTAPPEEVFIWRVPLVGDEKSDAVLLKFLRARDFKVKDALAMLKDAVIWRKQIGIEALLEEDLGLPELDKVVYMHGNDKEGHPVCYNVYGEFQNKELYEKAFGDADKRRKFLKWRIQYLEKGIREHLDFTPGGISSMVQVTDLRNSPRLGKHRQVTKQAVTLLQDNYPEFISKKVFINVPWWYLAVNRMMSPFFTQRTKSKFVFAGPSKSAETLFKYIAPEQVPVAFGGLSKEKDPDFSTADVVTDVSIKPSSKQTIEIPATETCLIVWELRVLGCEVSYGAEFTPSAEDGYTVIVQKNRKLAADDEPVIKGSFKNGEPGKVVLNVENLTSKKKLLLYRYKVKSSTGST >Ma04_p33180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32955266:32957503:1 gene:Ma04_g33180 transcript:Ma04_t33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFSRPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGKVWNVTKRAIGVEINKQVGNRIIKKRIHVRVEHVQPSRCQEDFRMRVKKNDQMKAEAKARGEVISTKRRPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Ma04_p33180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32955267:32957492:1 gene:Ma04_g33180 transcript:Ma04_t33180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFSRPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGKVWNVTKRAIGVEINKQVGNRIIKKRIHVRVEHVQPSRCQEDFRMRVKKNDQMKAEAKARGEVISTKRRPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Ma04_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15954924:15970147:-1 gene:Ma04_g16560 transcript:Ma04_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MVAAGLLGGPAPSLTPFLRSSSPRPPLPSPSASPSVAARSIPRPSVVPMAASHCSSGTSPRGADASSPSSSPNLIDPPSLLVFSGGTAFNGVVEELKKLTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALAVRMLLGHRLSLDASEAKSEWHQIVEGEHCLWDGVSRPYGETIRAFLAFFQYQILQRSNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPTESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTNGCMEPINKDCASTLRLPSGIKRVFYMSSEGCNLLHEVFPAANPTVLEQLKKVDCIVYAMGSLFTSVCPSLVLHGIGEIIASRSIPKVLLLNGSHDRETTGLSASGFVTAITDALNRTYGDPGKSLKNTASDYINALLVPRDGQILIDVQCLATQRIFHVVPVDSIHDPKVGAIFEPESLMKALADLIYQQSYKNFMMDASLRAY >Ma04_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18740445:18741028:-1 gene:Ma04_g17730 transcript:Ma04_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLFPSRLLPIPGHVRVAVYSNPTSLRVLLERRPKGDAKARRALFRVAAEGRNGLKQDDDGGGGVDLGRDRQRPAFNLRWRDLLSPDPENIAAVALTGLLTWASVQVLFQLFVISVAILLAAVKYSFVAALLLIILITLL >Ma05_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10937452:10939878:1 gene:Ma05_g14910 transcript:Ma05_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEAF RUST 10 DISEASE-RESISTANCE LOCUS RECEPTOR-LIKE PROTEIN KINASE-like 1.5 [Source:Projected from Arabidopsis thaliana (AT1G69910) UniProtKB/Swiss-Prot;Acc:F4I3V3] MPPPPPLPPPPPLLLCLIVAIFSFAAAAEAADGRPRPACSPPPPSSSGGEPCPPFGSPPYSFPFGSTPGCGHPSFRVNCSTPHSTLSIKSTTFVLLGISRPSSLLVSPLLHPSNSSVTACPSLPHAAIDLSGSPFRPSPDVCPHLAALRPCRPPTSAAGAACRRTSWQNRLLSEPNLLFHSCADGRTASSLSPFPAAACQRDEDVAAAITAFLRRGFHVEWDSSTDPYFAKCSFCNRTSGGACGFNDSASGKPFLCFPSSDHLLRNDSAASRRLLLLTTTLFATACVLLVFLSLWAAVVSLRRRRRGSDPGSDSMTAFLLRHHLHPPIYTYEQLRASTGGFDPRRKIGDGGFGSVYLAHLDDGRVAAVKRLHRHHPAAAATKSFCNEILILSSLRHPNLVRLHGYCCDPRGLLLVYDYVPNGTLADHLHGSRSSYSKPQVLPWAVRLNIAIQTAAALEYLHFGLKPPVVHRDITSSNIFVERDMRVKVGDFGLSRLLTLPDPSSSLGSSSEYVCCTGPQGTPGYLDPEYHRSFRLTEKSDVYSFGVVLLELVTGMKAVDVSRDRSEMALVDMVVSKIHVGALHQVVDPVLLHEGKEVMAMVEAVVELAFRCVAGDKDDRPDARELVQELKRIRSKIVDPPE >Ma04_p36420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34808849:34812741:1 gene:Ma04_g36420 transcript:Ma04_t36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAGEATMTSLLLLLLLLPTAATGGRVTKGWESAVERRRSVLDNGLGMTPPMGWNSWNHFHCDINEQLIRETADALVSTGLAEVGYQYVNIDDCWGENYRDSQGNLVAKQSTFPSGINSLADYVHGKGLKLGIYSDAGTQTCSKTMPGSLGYEDQDAQTFASWGVDYLKYDNCNNPGTNPRERYSKMSSALQNSGRDIFFSLCEWGVDDPATWASSIGHSWRTTGDIFDSWDSMTSRADENDKWASYAKPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRSISEDALEILSNSEVIAVNQDSLGVQGKKVVWGGGTEVWAGPLSGGTVAVVLWNRGSSPATITAEWSDIGLSSSTVVNARDLWAHSDTASVQGELTATVDSHACKMYVLTPQ >Ma04_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5632998:5633850:1 gene:Ma04_g07750 transcript:Ma04_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARKRRVHHRHEVGTPPNMPSSARLPFSDRLPAAAMDEPTLAARLRLEEKLRGLMGSRRPSPSSSPPSIRSNIEEISTLPAAAGNITTRVIATELPKRRSNSVALQRTGSRADLCAVCLDEVQAKQRVTRLPCSHKYHTECVLPWLAAHSHCPCCRSQVPSSDQLS >Ma01_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12920157:12920801:1 gene:Ma01_g17670 transcript:Ma01_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHGGDLTPNPRCYALSGKVMLASTVILFAAVLLFVFLYLYLRWRFRIIRRGHRRLVFGIDGVGGTLASASATCRGLHPSVLESLPVLVFAAAAPGCDEDVVECAVCLNELEEGEKMRALPRCGHCFHMECVDMWFHSHSTCPLCRTAVEAAPPPPPIQVVLPIPAPTDDSGALFLEDDASESSSASSDLTIEVADEGNDGDKTRIDVSCLR >Ma09_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13103588:13111318:-1 gene:Ma09_g17550 transcript:Ma09_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELGKRQKRDPDNEGAQQKKRLGNKDIISDELVVYRVLCPAGVIGSVIGKSGKVINSIRQQTNAKIKVVNPFPGVDKRVITIYCYVKDKSPVDVDEDVLQPLCPAQDALLKVHDAIVNALANSSEIEKKQEGAHILVPASQTANIIGKFGATIKKLRSKTGANIKITPKDPSDATHSCAMSFDNFLQITGDAEAVKNALTAVSAVMYKFSPKEEISLDTSVPDLPPIIIPSDIPIIPAGSLYPTADSLLPPGSVPPPIAATHLASEISGFTDTSNMWPLYPSSLPIVPGYGGPTWSEDLILRILCPSDKIGRVIGKGGSTIKSIRQSSGAKISVDDTKDDTDECVITVTSTESTNDVKSAAVESVLLLQEKINDQDSDCVNIRLLVPSKVIGCLIGKGGSIITDMRKKTKAIIYISKGEKPKQAAPDNELVEVSGEVGKLRDALVQIILRLREDVLKDKEGNQNAHKDTSQNVPATDPLHSGSLSVPPVLPTIPPLAPLSYDQRAETERGLGIFPGSNLFGYNSLQAGENGFGSLSSYPSRTYGGLPAYIEMVIPANALPKVMGKGGTNVDNIRKISGAHIEIVDSTASHFERIARISGTLEQKRSAENLIQAFIMST >Ma10_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24915908:24918263:1 gene:Ma10_g11370 transcript:Ma10_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVLKVGMSCEGCIGAVKRVLTKMEASSPSTLI >Ma08_p21680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35485140:35490316:1 gene:Ma08_g21680 transcript:Ma08_t21680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETANGEQHTITRPPPTPSPLRFSKFFQPNLRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLKKWIGHPRFELIRHDVTEKLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQSPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMMELAEAVKELIDPAVPVKIVENTPDDPRQRKPNITKAKELLGWEPKITLREGLPLMEDDFRQRLGVSKKHIN >Ma08_p21680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35485423:35490316:1 gene:Ma08_g21680 transcript:Ma08_t21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETANGEQHTITRPPPTPSPLRFSKFFQPNLRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLKKWIGHPRFELIRHDVTEKLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQSPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMMELAEAVKELIDPAVPVKIVENTPDDPRQRKPNITKAKELLGWEPKITLREGLPLMEDDFRQRLGVSKKHIN >Ma08_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35485541:35490316:1 gene:Ma08_g21680 transcript:Ma08_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETANGEQHTITRPPPTPSPLRFSKFFQPNLRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLKKWIGHPRFELIRHDVTEKLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQSPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMMELAEAVKELIDPAVPVKIVENTPDDPRQRKPNITKAKELLGWEPKITLREGLPLMEDDFRQRLGVSKKHIN >Ma09_p25190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36734602:36736415:-1 gene:Ma09_g25190 transcript:Ma09_t25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAGLRGLSQALLEGSLQLGGSSRLAVPRGNRVAVARPGLAVRAQQQAATEGDSSAAHSSRRAVLGIVATGLAGGSFVKAVLADAKSIKVGPPPPPSGGLPGTLNSDQPRDLQLPLNQRFYLQPLPPSEAAARAKESAKDILNVKQLIDKKEWPYVMNDLRLKAGYLRFDLNTIISAKPKEEKKTLKELTGKLFAAIDELDHAAKIKSTPQAEKYYATTKSLLDDVLAKIG >Ma09_p25190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36734188:36736415:-1 gene:Ma09_g25190 transcript:Ma09_t25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAGLRGLSQALLEGSLQLGGSSRLAVPRGNRVAVARPGLAVRAQQQAATEGDSSAAHSSRRAVLGIVATGLAGGSFVKAVLADAKSIKVGPPPPPSGGLPGTLNSDQPRDLQLPLNQRFYLQPLPPSEAAARAKESAKDILNVKQLIDKKEWPYVMNDLRLKAGYLRFDLNTIISAKPKEEKKTLKELTGKLFAAIDELDHAAKIKSTPQAEKYYATTKSLLDDVLAKIG >Ma06_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4842954:4844472:-1 gene:Ma06_g06740 transcript:Ma06_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPYAHADDSLRALAGQAEGFGRHAIGGLHGRLYHVTSLEDDGCGSLREGCRRKEPLWIVFEVSGTLHLSSFLMVSSYKTVDGRGQKVKVTGNGLQLRACEHVIICNLEFEGGRGDDVDAIQIKPKSRHIWIDRCSLRDYSDGLIDITCESTDITVSRCYFSKHNKTILIGGCSSNVADRCIRATIHHCFFDGTCQRHPRVRFGKVHLYNNYTRNWGIYAVCASVDSQILSQCNIYEAGERKMVFMYLTEKAADREEETCGCIRSEGDLFLNDANPCLLSGDGVDQAFKVHEHHAAWTVEPASDSLREVLQVCTGWQSIPRPQERLPVVGFRCDHNIFDHYLL >Ma10_p30660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36951388:36954338:-1 gene:Ma10_g30660 transcript:Ma10_t30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSPQSPDAPGHQVVRQCAVYHPDLWGDYFITHHPCSDETEAPWMRDRDEELREEEELTEALKRVYDADSANDGLYEVSLRFRLLREKGYSVTSDVFDKFKDEGGSFSRASTDDVKGLLSLYNAAYLGTHGETILDEAISFTRSHLTSMVHDLNPPMATLVSLALETPLRRSIKRLFARHYISIYQEEPTRNDKILELAKLDFHILQSLHRSKLMIIIDRWWKDLALTKTLSFARDRAVEAYYWILGVYYEPQFSRARVMTTKIVLITSILDDIYDDYSTLEESQLLTDAIQRWDFEAVDQLPEYLKDFFLKLLIIVQEFETELQRRRSLGYFTSRKQAYFVESRWRDEKYVPTLEEHLGVSKMSSACPLLASASLVGMGEVASKEAFEWVASFPKIVEALAAIGRIMNDITSYE >Ma07_p26800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33429258:33430879:-1 gene:Ma07_g26800 transcript:Ma07_t26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSVGATAQLPPKVPNTMSGNWSYFGHHAAPSAALGPHHFLPAAAPPAPGSQPSWVDEFLDFSAARRSQHRRIASDSVAFLEAPLVDEGGGFDRLDDDQLMSMFSDEVPAPPSSSSGVPASSSSPSDHTDVNEDKAGAADQVAAGGPEEAQSVCKTEPEAVTAAMTTKPAAESETFVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSILTMGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQLNLDKMAASNAEPAARTEKELRS >Ma08_p28490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40376447:40377565:1 gene:Ma08_g28490 transcript:Ma08_t28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNLSSLLLVVLLVFAFSAGSHAGSIAVYWGQNGNEGGLADTCNTGIYSYVMLAFLTTFGNGQTPVLNLAGHCDPPSGTCTSLSSDIRACQSQGIKVLLSLGGGSGSYSLSSSDDAASVATYLWDNYLGGSSSSRPLGDAVLDGIDFDIEQGGPDHYDELAKQLYDFGNQAGTKVYLSAAPQCPYPDQYVGNALQTGHFDYVWVQFYNNPSCDYSSGVSGLSSAWGTWTSSLSSSAVFLGLPASTDAAGSGYIPPDDLTNQVLPAINTASNYGGIMLWSRYYDLNSGYGAAVRNSV >Ma03_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26724484:26740289:-1 gene:Ma03_g21810 transcript:Ma03_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWRMSTVPYFHQQSSHYARYAYDDYSDDESDRDVEALPGSNKGASSLDNVDEWKWKLSMLLRSETEQEIVSRERKDRRDYEHLAALAERMGLCSRQYAKVVVFSKVPLPNYRPDLDDRRPQREVSIPVGLQREVDDILGEYIARKRTNRESFPSIGFSRSSSTDSFATDEGFFEQQDLQTSTSVVMEKILRRRSLQLRNQQQTWQDSPEGQNMLEFRRSLPAFKEREALLAAISQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGMKGRETRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVHTHFLENVLEITGHRLTPYNQIDDYGQEKMWKMQKQAMRRRKSQIASVVEDALEAADFREYCSRTRESLSCWNPDSIGFNLIESILCHICRKERPGAVLVFMTGWDDINSLKDQLQANPLLGDPTKVLLLPCHGSMASSEQRLIFDKPENGIRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASTRQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPEPLSVQNAIEYLKVIGALDDKEELTVLGRHLSMLPVEPKLGKMLIYGAIFNCLDPILTVVAGLTVRDPFLTPFDKKDLAESAKSQFSCRDYSDHLALVRAFDGWKDSEREHSGYEYCWKNFLSAQTLKAIDSLRKQFLFLLKDTGLVTDSFSTCNKWSQDENLTRAVICAGLYPGVCSVVNKEKSISLKTMEDGQVMLSSNSVNGKESKILYPWLVFNEKVKVNSVFLRDTTAVSDSVLLLFGGNICRGGLDGHLKMLGGYLEFFMNPDLQSTYLNLKRELEELIYFKLVNPRMDIPSSEDLLSAIRLLVAEDPCSGRFVFGRQELKPKKEKSLLAGSTGGGDNSKNQLQTLLTRAGHQNPKYKTKQLKNNQFRAMVEFNGMQFLGQPCTNKKLAEKDAAAEALEWLQGGTSSGSRDPDPMSMMVKKKSKKQHHRRT >Ma03_p21810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26724484:26739128:-1 gene:Ma03_g21810 transcript:Ma03_t21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVVLRGCRRLTMPVARSPHLRSVYPRSTCPNPRGSLNFLNPRLLPMKTGGGAGVYVPPIPRLRSMIASANGTLTTARSVDYDWRDGGGQGIAVSPPPPATTSSGGDGYPSYFHQQSSHYARYAYDDYSDDESDRDVEALPGSNKGASSLDNVDEWKWKLSMLLRSETEQEIVSRERKDRRDYEHLAALAERMGLCSRQYAKVVVFSKVPLPNYRPDLDDRRPQREVSIPVGLQREVDDILGEYIARKRTNRESFPSIGFSRSSSTDSFATDEGFFEQQDLQTSTSVVMEKILRRRSLQLRNQQQTWQDSPEGQNMLEFRRSLPAFKEREALLAAISQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGMKGRETRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVHTHFLENVLEITGHRLTPYNQIDDYGQEKMWKMQKQAMRRRKSQIASVVEDALEAADFREYCSRTRESLSCWNPDSIGFNLIESILCHICRKERPGAVLVFMTGWDDINSLKDQLQANPLLGDPTKVLLLPCHGSMASSEQRLIFDKPENGIRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASTRQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPEPLSVQNAIEYLKVIGALDDKEELTVLGRHLSMLPVEPKLGKMLIYGAIFNCLDPILTVVAGLTVRDPFLTPFDKKDLAESAKSQFSCRDYSDHLALVRAFDGWKDSEREHSGYEYCWKNFLSAQTLKAIDSLRKQFLFLLKDTGLVTDSFSTCNKWSQDENLTRAVICAGLYPGVCSVVNKEKSISLKTMEDGQVMLSSNSVNGKESKILYPWLVFNEKVKVNSVFLRDTTAVSDSVLLLFGGNICRGGLDGHLKMLGGYLEFFMNPDLQSTYLNLKRELEELIYFKLVNPRMDIPSSEDLLSAIRLLVAEDPCSGRFVFGRQELKPKKEKSLLAGSTGGGDNSKNQLQTLLTRAGHQNPKYKTKQLKNNQFRAMVEFNGMQFLGQPCTNKKLAEKDAAAEALEWLQGGTSSGSRDPDPMSMMVKKKSKKQHHRRT >Ma04_p31590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31842778:31846539:1 gene:Ma04_g31590 transcript:Ma04_t31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSSSCSSGQTQIKMLIENEISKETESKRKSLSVVAQLMGIDDPALAQQHVPISTKRNMQVDYPSTTLAGALKGCHQQEVILKRQQCDYDKMKVQDAYEVSQKPSRASGIKDLWGNCNENQNKNKKAFVRKIFMEAKHVTKDEKLLHSKEFQDALEILSSNRDVFLKILQDPGSLFLKNIRNVHMSPPLQKKHITVLKPSQKVEKKDENLVMEEKYPLVNKCERGTTKQICDEQCWSSSFTKTNADIFSLPSRIVVLKPSTRKPREKKSKVTPPTTLCKLLEQSGFFGELGDSETFGSTFTAKNCSQQGLESITGNWTDKSLCSPVSVNGCRDESLLNKPENVNTEEDGGSFSDSEILTSTSQYSWEYTNRTGSSCSALTFSQDSNSPKSSVVMEAKKQLLEIWASMASNENNQEQTRLPRCSFSLGEMLAIKVVKEGEYGDKFTVPNSKSCGQEAEPELSTSCKTNGKIKNVQRETSLINLSRSKSVPISSSVYDHIELNKESSDFGKNKSTETKEIAESKNEKSTFKEKFSNFLSSKIKKTSRENPVSPPLMGSDDKAQSGSAGLAANKNVESINPLYKNFPMTSSLAKYEERSGNAAYPTPVIVVTKKASFSIEKAKTCNNSSGEIKFRDYESLKSNQDQPSPTSVLHVPFVDETNDNVSRSSEANADPHALPRPSTIKSVVRSLSWENAYLDKPSRDLSKCNGASSKVDNHEQEHFVSTRKVLSSGLEKATMISAGCQPLDSQLDSVPLAKFLTWEKEEAEHREKRTKQHFLFGSVNSAVEIGSTGLTTLQSAYPWNRGFGRDLGNVFANSAVSVEVWNLVRDSFSVKTMWATGEGGIDNLVFDRALMKVKGGQRLAESMRLEVQEIAKEISRDVLKDLVGEALADINA >Ma06_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7356011:7388944:1 gene:Ma06_g10670 transcript:Ma06_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/TrEMBL;Acc:A0A178V4D0] MASELNNSNPDHDYSKEKLKHSSKEEMVSTMTNSMHRSSSRPQLDVSRAAIQEDSEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEEQSPDDKRRSMKKRFGLSNGNRRSYPILGGRLHFVKFETGKLNECLDFISSKQLHRGGIDSPSWRSGTQTDDNIVIKATGGGAYKFADVLKERLGVSLDKEDEMSCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDHNDMFPYLLVNVGSGVSIIKVDGDGKYQRVSGTNVGGGTFWGLGRLLTKCKNFDELLELSQQGDNSNVDMLVGDIYGGLDYSKIGLSASTIASSFGKTISETKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKKIFFGGFFIRGHAYTMDTISFAVHFWSKGQAQAMFLRHEGFLGALGAFMSYEKHGLDYLRAHQLVERFPMEAPCIGGKIHGPPLGNLNEKISWLEKFVQKGTQITAPVPMAPPGTTGMGGFERPSSRGNTLRSDASAALNIGVLHLMPTLEEFPLLADPKMYEPNTIDLLDHGELEYWVTVLSEHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKLGLAKLLELREECLREFQFMDAYITIKERENEASLAVLPDLLIELDSMNEEDRLLALIEGVLAANIFDWGSRACVDLYHKGTIIDIYRMSRKKMQRPWRVDDFDMFKEKMLSSGDKKSQPYKRALFFVDNSGADVVLGMLPLARELLRRGTEVVLVANSLPALNDVTALELPEIVAEAAKHCDIIRKAAEAGGLLMDAMVSIQEDGLKNHSTSVSLMVAENGSGGPCIDLRQVSSELAAVAKDADLIILEGMGRAIHTNLNAHFKCDSLKLAMVKNQRLAEKLFNGNIYDCICRFEPGKEQL >Ma06_p10670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7355911:7388944:1 gene:Ma06_g10670 transcript:Ma06_t10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/TrEMBL;Acc:A0A178V4D0] MASELNNSNPDHDYSKEKLKHSSKEEMVSTMTNSMHRSSSRPQLDVSRAAIQEDSEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEEQSPDDKRRSMKKRFGLSNGNRRSYPILGGRLHFVKFETGKLNECLDFISSKQLHRGGIDSPSWRSGTQTDDNIVIKATGGGAYKFADVLKERLGVSLDKEDEMSCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDHNDMFPYLLVNVGSGVSIIKVDGDGKYQRVSGTNVGGGTFWGLGRLLTKCKNFDELLELSQQGDNSNVDMLVGDIYGGLDYSKIGLSASTIASSFGKTISETKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKKIFFGGFFIRGHAYTMDTISFAVHFWSKGQAQAMFLRHEGFLGALGAFMSYEKHGLDYLRAHQLVERFPMEAPCIGGKIHGPPLGNLNEKISWLEKFVQKGTQITAPVPMAPPGTTGMGGFERPSSRGNTLRSDASAALNIGVLHLMPTLEEFPLLADPKMYEPNTIDLLDHGELEYWVTVLSEHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKLGLAKLLELREECLREFQFMDAYITIKERENEASLAVLPDLLIELDSMNEEDRLLALIEGVLAANIFDWGSRACVDLYHKGTIIDIYRMSRKKMQRPWRVDDFDMFKEKMLSSGDKKSQPYKRALFFVDNSGADVVLGMLPLARELLRRGTEVVLVANSLPALNDVTALELPEIVAEAAKHCDIIRKAAEAGGLLMDAMVSIQEDGLKNHSTSVSLMVAENGSGGPCIDLRQVSSELAAVAKDADLIILEGMGRAIHTNLNAHFKCDSLKLAMVKNQRLAEKLFNGNIYDCICRFEPGKEQL >Ma06_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10221861:10224136:-1 gene:Ma06_g15020 transcript:Ma06_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYQPTQGQHGEEKSGRSCLLQGIRLPLYYFSKAEKDSGCSLEKIEAQSTFLDRLLKEWEDRKVRGLFHHDVNAYETKILSGDYGFILQLIEGRDLKKRPTEFRIDRVLQPFDGKKFNFTKIAQEEMLIRFEESENDDRGFFENTPIADSESPNVVAINVSPIDYGHVLLIPRILDCLPQRLDEQSFSLAVYVAREAETPHFRVAYNSLGAFATINHLHFQAFYLVGAFPVEKAPTQRIMTLASGVEIFQLSHYPVRGLVFGGGSNLEDLSIAVSIACIFLQDNDIPYNVIISDAGKRIFLLLQCYAEKRTRGEVNQEFLEMQINPAAWELGGYMVVKRRKDYQETSEDTLWRFLDEVASVSAEQFKEIKECILSTLSDKIVERNMGREDYHKC >Ma10_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13404763:13407455:1 gene:Ma10_g03350 transcript:Ma10_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWAVFVLGHDCGHGSFSNSVWLNNMVGHFLHSSILVPYHGWRISHRTHHQNHGNVDKDESWHPLPEKTYRGMKPNGRKMRFSLPYPLFAFPLWRSPGKEGSHFLPSSSLFHPNEQGDIIVSTLCWSAMVTLLLGLSWVYGPIPVLKLYGLPSLVFVMWLDLVTYLHHHGYHEKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLVEATKAAKPVLGKYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDEGEVVYYQTDPQQYGDWQQKFK >Ma06_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1678306:1679796:1 gene:Ma06_g02080 transcript:Ma06_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATKWEAGCLRSSDKVYHNDGHLKLPKDWLTTTSIISILQAELKQARHRINELENERRSAKEKLNHFLRKLAVEKASWQNRESREHEKVLNIIEVIKDDLSRERKGRKRVEIMNSKLVHELAEAKLLAKQYLQDYEKEKKERELIEEVCNQFAKEIGHDKAEAESLKRESTKIQEELDEERRMLQMAEVWREERVQMKLVDAKLMLEEKYAELSELQADLDAFLRAHSDSNGNMSLLKEAEAFREAASLLKFQDIKFHYQPPPSSGDIFSIFEELQPREETIEREIDPCCGYSPASHASKIHTVSPETDIFLENPMKMRDEDHSDLESMSYAEGQGSCNSPEAHEESVASVSGTDCDMKRDNDSLNSEISEVCSRTTRQSRKVSSIGRFWRSTSREAKNHSLKAKLLEARMENWKIQLCDVMKHNS >Ma08_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2471775:2472504:-1 gene:Ma08_g03390 transcript:Ma08_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVERVITVKYLEPTMSRVLLDKFPDNSAFDFDYSQSGIWSPLLPRGGGIGRHGPASLLLDGARKKLLAGSPVAPRRVKAKLTYKKQKATRKSLDLSPVPSSRLGWKRVSRAAAKRFKVHGRSPLQMLLPAL >Ma04_p24330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26359773:26365732:-1 gene:Ma04_g24330 transcript:Ma04_t24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSGKSFAPRFRWFPHLLPCSSNRRLLKPARAKKENIGGDSQAGETTFSLRASKAVLARSAVVLFGLGFLDAGYSGDWSRIGVISKETEDLLKIAAYLVLTVSLRRSMLITDNSSVFNFLVKVFPCFV >Ma08_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36113776:36116167:1 gene:Ma08_g22570 transcript:Ma08_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEDIRFLKIQTCILRVNIHCDGCKKKVKKLLHKIDGVYTTSIDAEQGKVTVSGNVDPATLVKKLAKAGKHAELLAPKGGSNNSNPVQKPQPQGGKGQQKDSGKPLKGGNGGGGAGAGVGGKDQKGQHPQPTPQQQLLLQQQLQQLQQMKGSKDVQLPQLKNFNFLPPKDPKSVSFSLPPKGFDDYDDEDDFDDDEFDDDDDDEMDEFDCYDADFDDDFKNIKIKPAVATPNGNAMKDKKGGNGGGGSGKKGADVPVQKKVMCNTNEPKIGIGGSGKNGGGGGGGGNQNQGGIGGGSATKNNGVGGGKNGGNAGPQNGKNGANSNKGAPIGNGSINASGQAGNCNTNPVNGAKRMFVKNEVGGGGGGGGHFMMNPSMIGQGLPGLGVVHQMGTMQAPMGQMGNFPAAAAVQAHPAGGPPPGYYQGGMVAPPPEVIAAAHPYQQQQQYMAAMLQQQQMQQQQQRMMMMNAQDRAFQPMIGYSRPPLPMYYNMPPAPALAPATAPHQGDSYTTYFSDENTSSSCSIM >Ma07_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6032757:6034202:1 gene:Ma07_g08090 transcript:Ma07_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGLPLFASCVRASLRVMSGEEAHQKSMADSAWLEELQLPQVLISERVRSLHATIEQEWDYLRRSACQTAAGRALWNHVIRDPLAAVLAGESYLRSLYEKMRQDRLSNAREVSGVILAVRTLWFDSRIEAAINSFGDRGAHQVVLLGAGMDARAYRLSCLKESVVFEVDFPELLQIKVSLLKEMMASSKDQQIVMIAKSLVRVAADLREGDWIEELQKRGFVPTRNTVWVLEGILYYLSHLHAMQVLEVIAANCNLTHTVLLADFMNKSSVSLSNSTFHFYSDWPDHLLPTLGYCHVKLSQLGDPDAHFGLLHDPQNLFNKLRSLPRSMETHPEDGTPCRRLYLVEASGGPSQDS >Ma06_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23130413:23134272:-1 gene:Ma06_g24600 transcript:Ma06_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDGDGGDGDDYMGDLSLFLPAELDTDKTNKFGSKAKQNQPEPKPKWPKGLSWQEQRRLERERKQREEDERTRASLESEIPASNVGFRMLKMMGYTPGSALGKDGSGRAEPVGLQIRRSRAGIGVEEDAVRKERAAEERKRKREEEMVAEFGSRQKTHWRSRRIVGDYRKAEAALAQLEKREVVETPKDDDEEDEEEEEEVITEENLHDILTKLRDEHRYCLYCGYQYESAEELATNCPGPSDEDH >Ma06_p24600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23130413:23134259:-1 gene:Ma06_g24600 transcript:Ma06_t24600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDGDGGDGDDYMGDLSLFLPAELDTDKTNKAKQNQPEPKPKWPKGLSWQEQRRLERERKQREEDERTRASLESEIPASNVGFRMLKMMGYTPGSALGKDGSGRAEPVGLQIRRSRAGIGVEEDAVRKERAAEERKRKREEEMVAEFGSRQKTHWRSRRIVGDYRKAEAALAQLEKREVVETPKDDDEEDEEEEEEVITEENLHDILTKLRDEHRYCLYCGYQYESAEELATNCPGPSDEDH >Ma05_p08530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6279856:6296853:1 gene:Ma05_g08530 transcript:Ma05_t08530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR5 [Source:Projected from Arabidopsis thaliana (AT2G23740) UniProtKB/Swiss-Prot;Acc:O64827] MSVLSLSEIQYIGDQSLRSKPTEDMVEEPTFPHADRQQPRPVENLLLATCDRKQTALNIDFAQRGDDAEQIHTEKDGSFKNVTASLHSQTITLLDGMHADHLQEGRADVELTNHHKESTDCSAAKIHLAGVKLSNNNLLEEDSFCIEDCNNVCSTVELHSLSNAYCLGEVTYSKQEQRAKLTAGLNSTGRIPLKDVQRERIDGSGLDESCNLGFVETDISYTDGDNKDHACVISELTSLASSNDSEAHNVPTEGHGNNILSSTGSDQLMEKMELNVQNGDQEGELGTQVNNYYNYLEQDHAVALWVKWRGKWQTGIRCPRADCSLSALRAKPTHERKRYIPVFFPRTRTYCWADMLLVRSIDELPEPLVRGSHRRWRKLVKDLTLPHWHIMQKLAVAMLNIGDQLHTEAVIDNARKATAWKEFAMEASQCRDYADLGRMLLKLQTMILPHYVNRAWLVDSYGSWKSKCQNAQSAESIEILTEELMDSVLWMKVNELWSAPMQPELSLEWKTWKQEAMKYFFSSYPTATVGDMGPNNVTNSLVVDFETSRKRPKLEVLHAETYISQMEAPTCKEFSQVNMVEADLRHLNRQEVPESAPCQPCKVENLSMMARASESHTLAGPCNQFVDECKDTKVIQIPQVGTETRMERGKAVLLNDQSESNAPKKYRQCLAFVPSKGRQCGRWANDGDIYCCVHLNAHYAVKFSHEGQKKIPVEAQMCEGTTTHGRKCKHRARLGSTFCKKHQFLRSHETMYSDDHSSGNTVNRNRIENLVLESFSSSNIVHDGHVSPKEIQTTHENLVPVVVGVTLDERNCLMKKSELYNALPAILPRCIGNYLQNNGDQCLEYAKRHSLYCDKHLPKFLKRARNGKSRLVSKDIFLNLLKKCNSREEKLCLHQACELLYGFMRNGLSRQRPVSRGDMMSWILSEATKDQSLGECLLKLVSSEREKLSNIWGFNTEKDRQISPSETNIMLMPMVNNKDKYTEPGVKCKICAEVFASDHKLGMHWREVHKKEARWLFRGYACAVCMTSFTNRKVLETHVKERHGVQFIEHSIIFRCISCNSHFVSSEQLWQHILSSHAMDFRIPDLRPQSLDQSVQPKIEINNKLSSISEKQDDTQNVTCRLCGLRFDRLPDLGRHHQVAHMNPNSISQFSSKRGSYHLKHDRHYYPRLKKNLDAAYRFKNRVSFDISKHITSSHSVHSVKEVQTQASESLSLGRLLDIHCSGVAETLFSEIQKTKPRPSSLEILSIARSACCRTSFNAALEVKYGILQENLYLTALKLCSELNIEVGWHLEGFICPKGCSPSTKTCSLSPLHALKHGLAENPAHVMDSFSNAIWEMDESHYILNLEHLYFKSKPKGIILCEDVSFGRESVPVACVVDEHLKECFLVTSHEASDDQEHHIWMPWKAFNYVTKRLIGPSLSQEAKDQQLGCKCPSSVCNPENCDHVYLFDDDHVNAKDVNGNSMHSRFAYDEKGRIVLEEGHLVYECNSMCKCDATCPNRVLQKGIQVKLEIFRTEKKGWAVRAGEAISRGSFVCEYIGEVLNDDEANRWGERYDSHGCSYLYDIDAHIDCAQGLTEGTVPYVIDATKHGNVSRFINHSCSPNLINYLVLVDNMDCQLAHVGLYASRDIAIGEELAYDYRSKLVPGEGHPCHCGASNCRGRLY >Ma05_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6279856:6296853:1 gene:Ma05_g08530 transcript:Ma05_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR5 [Source:Projected from Arabidopsis thaliana (AT2G23740) UniProtKB/Swiss-Prot;Acc:O64827] MSVLSLSEIQYIGDQSLRSKPTEDMVEEPTFPHADRQQPRPVENLLLATCDRKQTALNIDFAQRGDDAEQIHTEKDGSFKNVTASLHSQTITLLDGMHADHLQEGRADVELTNHHKESTDCSAAKIHLAGVKLSNNNLLEEDSFCIEDCNNVCSTVELHSLSNAYCLGEVTYSKQEQRAKLTAGLNSTGRIPLKDVQRERIDGSGLDESCNLGFVETDISYTDGDNKDHACVISELTSLASSNDSEAHNVPTEGHGNNILSSTGSDQLMEKMELNVQNGDQEGELGTQVNNYYNYLEQDHAVALWVKWRGKWQTGIRCPRADCSLSALRAKPTHERKRYIPVFFPRTRTYCWADMLLVRSIDELPEPLVRGSHRRWRKLVKDLTLPHWHIMQKLAVAMLNIGDQLHTEAVIDNARKATAWKEFAMEASQCRDYADLGRMLLKLQTMILPHYVNRAWLVDSYGSWKSKCQNAQSAESIEILTEELMDSVLWMKVNELWSAPMQPELSLEWKTWKQEAMKYFFSSYPTATVGDMGPNNVTNSLVVDFETSRKRPKLEVLHAETYISQMEAPTCKEFSQVNMVEADLRHLNRQEVPESAPCQPCKVENLSMMARASESHTLAGPCNQFVDECKDTKVIQIPQVGTETRMERGKAVLLNDQSESNAPKKYRQCLAFVPSKGRQCGRWANDGDIYCCVHLNAHYAVKFSHEGQKKIPVEAQMCEGTTTHGRKCKHRARLGSTFCKKHQFLRSHETMYSDDHSSGNTVNRNRIENLVLESFSSSNIVHDGHVSPKEIQTTHENLVPVVVGVTLDERNCLMKKSELYNALPAILPRCIGNYLQNNGDQCLEYAKRHSLYCDKHLPKFLKRARNGKSRLVSKDIFLNLLKKCNSREEKLCLHQACELLYGFMRNGLSRQRPVSRGDMMSWILSEATKDQSLGECLLKLVSSEREKLSNIWGFNTEKDRQISPSETNIMLMPMVNNKDKYTEPGVKCKICAEVFASDHKLGMHWREVHKKEARWLFRGYACAVCMTSFTNRKVLETHVKERHGVQFIEHSIIFRCISCNSHFVSSEQLWQHILSSHAMDFRIPDLRPQSLDQSVQPKIEINNKLSSISEKQDDTQNVTCRLCGLRFDRLPDLGRHHQVAHMNPNSISQFSSKRGSYHLKHDRHYYPRLKKNLDAAYRFKNRVSFDISKHITSSHSVHSVKEVQTQASESLSLGRLLDIHCSGVAETLFSEIQKTKPRPSSLEILSIARSACCRTSFNAALEVKYGILQENLYLTALKLCSELNIEVGWHLEGFICPKGCSPSTKTCSLSPLHALKHGLAENPAHVMDSFSNAIWEMDESHYILNLEHLYFKSKPKGIILCEDVSFGRESVPVACVVDEHLKECFLVTSHEASDDQEHHIWMPWKAFNYVTKRLIGPSLSQEAKDQQLGCKCPSSVCNPENCDHVYLFDDDHVNAKDVNGNSMHSRFAYDEKGRIVLEEGHLVYECNSMCKCDATCPNRVLQKGIQVKLEIFRTEKKGWAVRAGEAISRGSFVCEYIGEVLNDDEANRWGERYDSHGCSYLYDIDAHIDCAQGLTEGTVPYVIDATKHGNVSRFINHSCSPNLINYLVLVDNMDCQLAHVGLYASRDIAIGEELAYDYRSKLVPGEGHPCHCGASNCRGRLY >Ma05_p08530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6284167:6296853:1 gene:Ma05_g08530 transcript:Ma05_t08530.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR5 [Source:Projected from Arabidopsis thaliana (AT2G23740) UniProtKB/Swiss-Prot;Acc:O64827] MREREPLVRGSHRRWRKLVKDLTLPHWHIMQKLAVAMLNIGDQLHTEAVIDNARKATAWKEFAMEASQCRDYADLGRMLLKLQTMILPHYVNRAWLVDSYGSWKSKCQNAQSAESIEILTEELMDSVLWMKVNELWSAPMQPELSLEWKTWKQEAMKYFFSSYPTATVGDMGPNNVTNSLVVDFETSRKRPKLEVLHAETYISQMEAPTCKEFSQVNMVEADLRHLNRQEVPESAPCQPCKVENLSMMARASESHTLAGPCNQFVDECKDTKVIQIPQVGTETRMERGKAVLLNDQSESNAPKKYRQCLAFVPSKGRQCGRWANDGDIYCCVHLNAHYAVKFSHEGQKKIPVEAQMCEGTTTHGRKCKHRARLGSTFCKKHQFLRSHETMYSDDHSSGNTVNRNRIENLVLESFSSSNIVHDGHVSPKEIQTTHENLVPVVVGVTLDERNCLMKKSELYNALPAILPRCIGNYLQNNGDQCLEYAKRHSLYCDKHLPKFLKRARNGKSRLVSKDIFLNLLKKCNSREEKLCLHQACELLYGFMRNGLSRQRPVSRGDMMSWILSEATKDQSLGECLLKLVSSEREKLSNIWGFNTEKDRQISPSETNIMLMPMVNNKDKYTEPGVKCKICAEVFASDHKLGMHWREVHKKEARWLFRGYACAVCMTSFTNRKVLETHVKERHGVQFIEHSIIFRCISCNSHFVSSEQLWQHILSSHAMDFRIPDLRPQSLDQSVQPKIEINNKLSSISEKQDDTQNVTCRLCGLRFDRLPDLGRHHQVAHMNPNSISQFSSKRGSYHLKHDRHYYPRLKKNLDAAYRFKNRVSFDISKHITSSHSVHSVKEVQTQASESLSLGRLLDIHCSGVAETLFSEIQKTKPRPSSLEILSIARSACCRTSFNAALEVKYGILQENLYLTALKLCSELNIEVGWHLEGFICPKGCSPSTKTCSLSPLHALKHGLAENPAHVMDSFSNAIWEMDESHYILNLEHLYFKSKPKGIILCEDVSFGRESVPVACVVDEHLKECFLVTSHEASDDQEHHIWMPWKAFNYVTKRLIGPSLSQEAKDQQLGCKCPSSVCNPENCDHVYLFDDDHVNAKDVNGNSMHSRFAYDEKGRIVLEEGHLVYECNSMCKCDATCPNRVLQKGIQVKLEIFRTEKKGWAVRAGEAISRGSFVCEYIGEVLNDDEANRWGERYDSHGCSYLYDIDAHIDCAQGLTEGTVPYVIDATKHGNVSRFINHSCSPNLINYLVLVDNMDCQLAHVGLYASRDIAIGEELAYDYRSKLVPGEGHPCHCGASNCRGRLY >Ma05_p08530.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6280578:6296853:1 gene:Ma05_g08530 transcript:Ma05_t08530.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR5 [Source:Projected from Arabidopsis thaliana (AT2G23740) UniProtKB/Swiss-Prot;Acc:O64827] MSVLSLSEIQYIGDQSLRSKPTEDMVEEPTFPHADRQQPRPVENLLLATCDRKQTALNIDFAQRGDDAEQIHTEKDGSFKNVTASLHSQTITLLDGMHADHLQEGRADVELTNHHKESTDCSAAKIHLAGVKLSNNNLLEEDSFCIEDCNNVCSTVELHSLSNAYCLGEVTYSKQEQRAKLTAGLNSTGRIPLKDVQRERIDGSGLDESCNLGFVETDISYTDGDNKDHACVISELTSLASSNDSEAHNVPTEGHGNNILSSTGSDQLMEKMELNVQNGDQEGELGTQVNNYYNYLEQDHAVALWVKWRGKWQTGIRCPRADCSLSALRAKPTHERKRYIPVFFPRTRTYCWADMLLVRSIDELPEPLVRGSHRRWRKLVKDLTLPHWHIMQKLAVAMLNIGDQLHTEAVIDNARKATAWKEFAMEASQCRDYADLGRMLLKLQTMILPHYVNRAWLVDSYGSWKSKCQNAQSAESIEILTEELMDSVLWMKVNELWSAPMQPELSLEWKTWKQEAMKYFFSSYPTATVGDMGPNNVTNSLVVDFETSRKRPKLEVLHAETYISQMEAPTCKEFSQVNMVEADLRHLNRQEVPESAPCQPCKVENLSMMARASESHTLAGPCNQFVDECKDTKVIQIPQVGTETRMERGKAVLLNDQSESNAPKKYRQCLAFVPSKGRQCGRWANDGDIYCCVHLNAHYAVKFSHEGQKKIPVEAQMCEGTTTHGRKCKHRARLGSTFCKKHQFLRSHETMYSDDHSSGNTVNRNRIENLVLESFSSSNIVHDGHVSPKEIQTTHENLVPVVVGVTLDERNCLMKKSELYNALPAILPRCIGNYLQNNGDQCLEYAKRHSLYCDKHLPKFLKRARNGKSRLVSKDIFLNLLKKCNSREEKLCLHQACELLYGFMRNGLSRQRPVSRGDMMSWILSEATKDQSLGECLLKLVSSEREKLSNIWGFNTEKDRQISPSETNIMLMPMVNNKDKYTEPGVKCKICAEVFASDHKLGMHWREVHKKEARWLFRGYACAVCMTSFTNRKVLETHVKERHGVQFIEHSIIFRCISCNSHFVSSEQLWQHILSSHAMDFRIPDLRPQSLDQSVQPKIEINNKLSSISEKQDDTQNVTCRLCGLRFDRLPDLGRHHQVAHMNPNSISQFSSKRGSYHLKHDRHYYPRLKKNLDAAYRFKNRVSFDISKHITSSHSVHSVKEVQTQASESLSLGRLLDIHCSGVAETLFSEIQKTKPRPSSLEILSIARSACCRTSFNAALEVKYGILQENLYLTALKLCSELNIEVGWHLEGFICPKGCSPSTKTCSLSPLHALKHGLAENPAHVMDSFSNAIWEMDESHYILNLEHLYFKSKPKGIILCEDVSFGRESVPVACVVDEHLKECFLVTSHEASDDQEHHIWMPWKAFNYVTKRLIGPSLSQEAKDQQLGCKCPSSVCNPENCDHVYLFDDDHVNAKDVNGNSMHSRFAYDEKGRIVLEEGHLVYECNSMCKCDATCPNRVLQKGIQVKLEIFRTEKKGWAVRAGEAISRGSFVCEYIGEVLNDDEANRWGERYDSHGCSYLYDIDAHIDCAQGLTEGTVPYVIDATKHGNVSRFINHSCSPNLINYLVLVDNMDCQLAHVGLYASRDIAIGEELAYDYRSKLVPGEGHPCHCGASNCRGRLY >Ma05_p08530.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6279856:6296853:1 gene:Ma05_g08530 transcript:Ma05_t08530.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR5 [Source:Projected from Arabidopsis thaliana (AT2G23740) UniProtKB/Swiss-Prot;Acc:O64827] MSVLSLSEIQYIGDQSLRSKPTEDMVEEPTFPHADRQQPRPVENLLLATCDRKQTALNIDFAQRGDDAEQIHTEKDGSFKNVTASLHSQTITLLDGMHADHLQEGRADVELTNHHKESTDCSAAKIHLAGVKLSNNNLLEEDSFCIEDCNNVCSTVELHSLSNAYCLGEVTYSKQEQRAKLTAGLNSTGRIPLKDVQRERIDGSGLDESCNLGFVETDISYTDGDNKDHACVISELTSLASSNDSEAHNVPTEGHGNNILSSTGSDQLMEKMELNVQNGDQEGELGTQVNNYYNYLEQDHAVALWVKWRGKWQTGIRCPRADCSLSALRAKPTHERKRYIPVFFPRTRTYCWADMLLVRSIDELPEPLVRGSHRRWRKLVKDLTLPHWHIMQKLAVAMLNIGDQLHTEAVIDNARKATAWKEFAMEASQCRDYADLGRMLLKLQTMILPHYVNRAWLVDSYGSWKSKCQNAQSAESIEILTEELMDSVLWMKVNELWSAPMQPELSLEWKTWKQEAMKYFFSSYPTATVGDMGPNNVTNSLVVDFETSRKRPKLEVLHAETYISQMEAPTCKEFSQVNMVEADLRHLNRQEVPESAPCQPCKVENLSMMARASESHTLAGPCNQFVDECKDTKVIQIPQVGTETRMERGKAVLLNDQSESNAPKKYRQCLAFVPSKGRQCGRWANDGDIYCCVHLNAHYAVKFSHEGQKKIPVEAQMCEGTTTHGRKCKHRARLGSTFCKKHQFLRSHETMYSDDHSSGNTVNRNRIENLVLESFSSSNIVHDGHVSPKEIQTTHENLVPVVVGVTLDERNCLMKKSELYNALPAILPRCIGNYLQNNGDQCLEYAKRHSLYCDKHLPKFLKRARNGKSRLVSKDIFLNLLKKCNSREEKLCLHQACELLYGFMRNGLSRQRPVSRGDMMSWILSEATKDQSLGECLLKLVSSEREKLSNIWGFNTEKDRQISPSETNIMLMPMVNNKDKYTEPGVKCKICAEVFASDHKLGMHWREVHKKEARWLFRGYACAVCMTSFTNRKVLETHVKERHGVQFIEHSIIFRCISCNSHFVSSEQLWQHILSSHAMDFRIPDLRPQSLDQSVQPKIEINNKLSSISEKQDDTQNVTCRLCGLRFDRLPDLGRHHQVAHMNPNSISQFSSKRGSYHLKHDRHYYPRLKKNLDAAYRFKNRVSFDISKHITSSHSVHSVKEVQTQASESLSLGRLLDIHCSGVAETLFSEIQKTKPRPSSLEILSIARSACCRTSFNAALEVKYGILQENLYLTALKLCSELNIEVGWHLEGFICPKGCSPSTKTCSLSPLHALKHGLAENPAHVMDSFSNAIWEMDESHYILNLEHLYFKSKPKGIILCEDVSFGRESVPVACVVDEHLKECFLVTSHEASDDQEHHIWMPWKAFNYVTKRLIGPSLSQEAKDQQLGCKCPSSVCNPENCDHVYLFDDDHVNAKDVNGNSMHSRFAYDEKGRIVLEEGHLVYECNSMCKCDATCPNRVLQKGIQVKLEIFRTEKKGWAVRAGEAISRGSFVCEYIGEVLNDDEANRWGERYDSHGCSYLYDIDAHIDCAQGLTEGTVPYVIDATKHGNVSRFINHSCSPNLINYLVLVDNMDCQLAHVGLYASRDIAIGEELAYDYRSKLVPGEGHPCHCGASNCRGRLY >Ma05_p08530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6279856:6296853:1 gene:Ma05_g08530 transcript:Ma05_t08530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR5 [Source:Projected from Arabidopsis thaliana (AT2G23740) UniProtKB/Swiss-Prot;Acc:O64827] MSVLSLSEIQYIGDQSLRSKPTEDMVEEPTFPHADRQQPRPVENLLLATCDRKQTALNIDFAQRGDDAEQIHTEKDGSFKNVTASLHSQTITLLDGMHADHLQEGRADVELTNHHKESTDCSAAKIHLAGVKLSNNNLLEEDSFCIEDCNNVCSTVELHSLSNAYCLGEVTYSKQEQRAKLTAGLNSTGRIPLKDVQRERIDGSGLDESCNLGFVETDISYTDGDNKDHACVISELTSLASSNDSEAHNVPTEGHGNNILSSTGSDQLMEKMELNVQNGDQEGELGTQVNNYYNYLEQDHAVALWVKWRGKWQTGIRCPRADCSLSALRAKPTHERKRYIPVFFPRTRTYCWADMLLVRSIDELPEPLVRGSHRRWRKLVKDLTLPHWHIMQKLAVAMLNIGDQLHTEAVIDNARKATAWKEFAMEASQCRDYADLGRMLLKLQTMILPHYVNRAWLVDSYGSWKSKCQNAQSAESIEILTEELMDSVLWMKVNELWSAPMQPELSLEWKTWKQEAMKYFFSSYPTATVGDMGPNNVTNSLVVDFETSRKRPKLEVLHAETYISQMEAPTCKEFSQVNMVEADLRHLNRQEVPESAPCQPCKVENLSMMARASESHTLAGPCNQFVDECKDTKVIQIPQVGTETRMERGKAVLLNDQSESNAPKKYRQCLAFVPSKGRQCGRWANDGDIYCCVHLNAHYAVKFSHEGQKKIPVEAQMCEGTTTHGRKCKHRARLGSTFCKKHQFLRSHETMYSDDHSSGNTVNRNRIENLVLESFSSSNIVHDGHVSPKEIQTTHENLVPVVVGVTLDERNCLMKKSELYNALPAILPRCIGNYLQNNGDQCLEYAKRHSLYCDKHLPKFLKRARNGKSRLVSKDIFLNLLKKCNSREEKLCLHQACELLYGFMRNGLSRQRPVSRGDMMSWILSEATKDQSLGECLLKLVSSEREKLSNIWGFNTEKDRQISPSETNIMLMPMVNNKDKYTEPGVKCKICAEVFASDHKLGMHWREVHKKEARWLFRGYACAVCMTSFTNRKVLETHVKERHGVQFIEHSIIFRCISCNSHFVSSEQLWQHILSSHAMDFRIPDLRPQSLDQSVQPKIEINNKLSSISEKQDDTQNVTCRLCGLRFDRLPDLGRHHQVAHMNPNSISQFSSKRGSYHLKHDRHYYPRLKKNLDAAYRFKNRVSFDISKHITSSHSVHSVKEVQTQASESLSLGRLLDIHCSGVAETLFSEIQKTKPRPSSLEILSIARSACCRTSFNAALEVKYGILQENLYLTALKLCSELNIEVGWHLEGFICPKGCSPSTKTCSLSPLHALKHGLAENPAHVMDSFSNAIWEMDESHYILNLEHLYFKSKPKGIILCEDVSFGRESVPVACVVDEHLKECFLVTSHEASDDQEHHIWMPWKAFNYVTKRLIGPSLSQEAKDQQLGCKCPSSVCNPENCDHVYLFDDDHVNAKDVNGNSMHSRFAYDEKGRIVLEEGHLVYECNSMCKCDATCPNRVLQKGIQVKLEIFRTEKKGWAVRAGEAISRGSFVCEYIGEVLNDDEANRWGERYDSHGCSYLYDIDAHIDCAQGLTEGTVPYVIDATKHGNVSRFINHSCSPNLINYLVLVDNMDCQLAHVGLYASRDIAIGEELAYDYRSKLVPGEGHPCHCGASNCRGRLY >Ma04_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25403452:25408985:-1 gene:Ma04_g23230 transcript:Ma04_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLATRTVSYVILDLDGTLLNTDGIVNEVLKVFLLQYGKKWDSKKAHRIVGMTPLEAVSLVVKDYELPCTIEEFISAITPMFLDQWCNIKALVGATRLIKHMRNHEVPMALASNSPKSNIKEKISFDQGWKESFSVIIGGDEVAMGKPSPEIFVEAAIRMNADPSNCLVLEDSLPGVMAGKAAGMVVMAVPSIPKQGGLYSSADEVINSLLDLHPEKWGLLPFDDWIEDTLPIEPCYFGGPVIRGFGLGLKILGIPTANLLAENFSAILSEHNSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFDENFYGEELRLAMVGYIRPEANFPSLESLIARIHEDRRIVEKALDLPIYVGYKESPFLRTPCN >Ma02_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26672809:26673248:-1 gene:Ma02_g20840 transcript:Ma02_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNDRFCFSVRELLSGEKEDLIQLPSDKALLNDPVFRPLVEKYAADEDAFFADYAEAHLRLSELGYAFERVNVQIQQAILHRF >Ma08_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11117293:11123017:-1 gene:Ma08_g13990 transcript:Ma08_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCSSLPPGNHHPEPAADDEQNHHHHNLRLNHRRRRRRQQRRSFSLGAGEGGEVPAFAEFSLEELKAATNGFAAESIVSESGDKAPNLVYKGRLQHRRWIAVKKFARTAWPDPKQFAEEARGVGKLRHRRLANLIGYCCDGDNRLLVAEYMPNDTLAKHLFHWESQTIEWPMRLKVAIYIAEALEYCSSEGWPLYHDLNAYRVLFDEAGDPCLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVMPESMIFSFGTILLDLLSGKHIPPSHALDMIRVRNSLALMDSHLEGNFSKEEATALVDLACQCLQYEPRDRPNRKQLVSTLAPLQSKSEMPSYVMLGIQKHEEAPATPQHPLSPMGEACSRIDLSAIHQILVTTHYRDDEGTNELSFQEWTPQMKDILEVRKKGDFTFRCKDFKAAIDCYSQFIDAGTIVSPTVYARRSLGHLMCDQPDAALRDAMQAQCIYPDWPIAFYMQAVALAKLNMQSDAMDMLHEATSLEEKMQKDGKAP >Ma05_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5324180:5326818:-1 gene:Ma05_g07330 transcript:Ma05_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEIGEQASMTDRIHEKIHEYKGSSSSSDSDNEKPSANVSTKKRLFGRKEPVHAVLGGGKSADIILWRNKQQSGSILAGVTVIWLLFEWIGYHLLTFICHSLILLLAVSYLWSNAALFVNRSPPKFPELFVPEDVFLSMALILRNKINEVFAIFCCVATGNDLRKFLKVIGGLWILSVVGGWFSFLTLFYIVVLILYTAPVLYEKYEDNVDTAAEKAMVQIDKQYAVLNAKVLQKIPGGRLSSIKQH >Ma05_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20902903:20903674:-1 gene:Ma05_g17610 transcript:Ma05_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPSSSGFFSICLLHAVAATTCGALMMFYQNEAMVVGHGRETAARLLQGSTPRDQLLIQTSDSFAGLLLFAIGLLLFMVAFVEDRDFQAFFAKGCALLHAAVALWRVCFERRVDCLARDWPRQLVGDLVLGLSWLLFLVYCWSDKYD >Ma04_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:859682:861019:1 gene:Ma04_g00950 transcript:Ma04_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVAMARHLDALIGPVVMLLYPLYASMRAIESPSPVDDQQWLTYWVLYSLITLFELSCWTMLQWFPLWPYMKLVFCVWLVLPIFNGAAYIYENHVRRYVNLGGTVSSSYSDRQRRVMQTLSLDARKSVERFVDVYGQEAFERVVKAAEREARGQPAKVMPRASSVSVDA >Ma06_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17029959:17032502:1 gene:Ma06_g22090 transcript:Ma06_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARADGQEKVIAAAKHIVSSLATSKNAAEDMIRILSGFDNRLSTINDLFPASSSSARGGDGEGDGVDVDRSEAELRLEVAQKVVLRWDASDSLLWESSPEEAEEYLAAVDDLIFLADPGTSPSAAAAAEDLVSRAEVALQMAMSRLEEEFRHLMVRNAVPLDSNGLCSSIRRLSLSFASDGGETMEDFESSIDDEHQLQLPPQQQEGSPEDRSGSSLIDDRSLDLIHPEVIADLKAIADKMIWAKYDRELHHVYCTVRRDILDECLSILGIDRMSIEEVQRTEWRMLDDKLKKWIQAMKIVVRALLWGERRLCDQILAASEELRDECFSETTKGCVMQLLNFGDAIAICQRSSEKLFRILDMYEALADVLPDLQALYAGDPKDLLCEEAEGILKRLGDAVKGTLTEFGNAIQKEPSRKPTQGGEIHPMTRYVMNYVKLLVVYNDTLNLLLDDGVCGSDQSHSEGCENRNTNGENLESMTPLGRRMLLIISHLETNLDEKSKVYEDGAMRYIFLMNNILYIVNKVKDSELGKLLGDDWIRKHRSQIRQYATSYLRTSWTKVLSCLKDDGYGSGSSSSVSKVALKEKFKNFNLAFEEIYRVQTTWKVPDPQLREELRISISEKVIPAYRSFMGRFGSQLEGGRHATKYIKYMPDDLEYHLSDLFEGLPGLTPRKKA >Ma05_p20710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32356405:32366424:-1 gene:Ma05_g20710 transcript:Ma05_t20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAEDSSSPPPPMASIDRTLHALGFQYTLISPGKLTGRLKVTETCCQPFDVLNGGVSALVAESVASLGAYVASGFRRVAGVQLCTNHVKAALLGEEVEAEAKPIQVGGAIQIRKIDPSPSGSKALLSTSKVTLLCYRQAPHSVEDYAETIKKYAKL >Ma05_p20710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32356394:32366424:-1 gene:Ma05_g20710 transcript:Ma05_t20710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAEDSSSPPPPMASIDRTLHALGFQYTLISPGKLTGRLKVTETCCQPFDVLNGGVSALVAESVASLGAYVASGFRRVAGVQLCTNHVKAALLGEEVEAEAKPIQVGGAIQKVWEVQIRKIDPSPSGSKALLSTSKVTLLCYRQAPHSVEDYAETIKKYAKL >Ma05_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32356408:32366424:-1 gene:Ma05_g20710 transcript:Ma05_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAEDSSSPPPPMASIDRTLHALGFQYTLISPGKLTGRLKVTETCCQPFDVLNGGVSALVAESVASLGAYVASGFRRVAGVQLCTNHVKAALLGEEVEAEAKPIQVGGAIQVWEVQIRKIDPSPSGSKALLSTSKVTLLCYRQAPHSVEDYAETIKKYAKL >Ma02_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4875815:4877185:-1 gene:Ma02_g00410 transcript:Ma02_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRPTLPTDSFLSATTKKEFHLSRCFFPLHSDLDRWLPRLKSISDGTPCPSLPPLFWFLVCVVPCLLSHPLIVDGGAPNSSAVRFSLISFSNWMIYQKPFKSCGLRY >Ma04_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1571893:1575773:-1 gene:Ma04_g01780 transcript:Ma04_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPYVSLLFKALAFIPRRRPADAAVFLSTHSYSAASGAPQSSPVAEYLVSSCGFEPDEAAKASKLLGRIESRHQPDSVLGLFKSYGFDNTQVKKVISANPRWLLLDVGKTLAPKFRALQDLGFSCSDITHLVISNNHAFSYKSQTILSKIQLWQGLLGSNDLLVKVCKKNRWFLGYSIEKKIQPNIEILRDFGITDQKLSMILRHRPLLIAQKAETLKALISRVEDLGVDRTSGMFPLTLTALHNVSEKKFKAHLEFFKGFGWSEDDFLAAFRKAPALSTCSLKSLQRKMEFLVNETRCAPYYLAHRPVILTMSLEKRLIPRYRILMGLKSRGVHIGNLRMDTYMSYTEKKFLEKFVFRYKEFPELIELYNVAPKTEMLFDTAGA >Ma06_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4952031:4953358:-1 gene:Ma06_g06930 transcript:Ma06_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWTCRSCQHLNFSRRDSCQRCGDPRPSSDRSEYASFGGRGGSSFSFTGSDVRPGDWYCSCGAHNFASRSSCFKCCALKEDSAVGGGGGFDGDIPRSRGYGFGGVGGGGGGRAGWKSGDWICNRSGCNEHNFASRMECFRCNAPRDSGTEV >Ma04_p32550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32467054:32468250:-1 gene:Ma04_g32550 transcript:Ma04_t32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHREHVIGIPVSSVAYAGGEELPGNPSPSHCKQKKDSVVDWMSKLGEKAGGFREHVILGPKISETMKGKLSMGARIVQAGGVERVFRQAFSIVPGEKLLKAFQCYLSTTAGPIPGMLFISTDKIAFRSDRSLKLTSPKGGLVRVPYKVLIPLGRIKEAIQSENTTKPNQKYIQILTEDDFEFWFMGFVNCERSFRYLQQAISVSNEQDE >Ma04_p28440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29510289:29511678:1 gene:Ma04_g28440 transcript:Ma04_t28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYTVFKDHITLKDYEIHDGMGLELYYN >Ma09_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9628236:9629880:-1 gene:Ma09_g14130 transcript:Ma09_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRHLFFVTDDLSTHLSAPASSWSSPSASRTPTAVPDSFVPVFSSGPSFRDPDSDSSSGHSDYSDDDHSILSLDLFRRRRLRHQKPSFVSGTAMDHHFPEPFGSPVFRVSEGPEEIGTPACLGVGLRPRFDREEDDDNAPEDADREVVVPDWATDDFFVGRRSSPSDSIEFSRARPMDSWDLRVAGFDSDSDSDEQIVAMGNEQIVAIGMNSDDGEERYRISDDLGLPFCWEGLQLGDDRRDDNEGFEWEEIDRQDEERDVLGIMVLGNEGRSDETRRYSDDQVEHEHEGLVRNVDWEVLLAVNNLGRIPLDPDDVEPYFEDQDGLVYTSDYESFEVLFTQFSEQDSNPKGSPPAAKSVVENLPSVVLMKEDTADVDTVCAVCKDGILNEERVKRLPCFHHYHEECILPWLGIRNTCPLCRFELPTDDPEYEKQKARREDASVILHNEARLRYDFEMLPEADNL >Ma05_p29260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39997174:39997542:-1 gene:Ma05_g29260 transcript:Ma05_t29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVLRPHNFLEAPIPALAPRRKPSPVSHRRPPPEGRKQRERWAKPTKRADSAGGNRRMGRKGGEMPKRGEAAAQVYAGTGFSNSPSPSALPLPRFSLKKDAPAVGEYLATVALRRMLRLE >Ma01_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14286124:14293947:1 gene:Ma01_g18920 transcript:Ma01_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSAKPSPLDLLSAILRGDGLPPGTGAAAAIAENQQLLAILTTSVAVLVGCAFMFFFRRSLGKKPPSLPKPLVVKVQPEAEVDDGKKKVTVFFGTQTGTAEGFAKALADEAKSRYHNTAFKVVDLDQYAADDDEYEEKMKKETLALFFMATYGDGEPTDNAARFFKWFTEGKERENWLENLQFSVFGLGNRQYEHFNKVAKVVDEMLANQGGKRIVPLGLGDDDQCIEDDFTAWRELLWPELDQLLRDENDVSGASTPYMAAVPEYRIVFVKPEETSYLEKSWNLANGHASHDIHHPCRVNVAVRLELHSPASDRSCIHLEFDIAGTALTYETGDHVGVFAENCIETVEEAERLLGYSPDTFFSISADKEDGTPLGGGSLSPPFPSPCTLRTALARYADLLNSPKKSALVALAAHASDPSEAERLRFLASPIGKDEYSQWVVASQRSLLEVMAEFPSAKPPLGVFFAAISPRLQPRYYSISSSPRMAPTRIHVTCALVHEKLPTGRIHKGVCSTWMKKSIPLEESQDCGWAPIFVRQSNFKLPADPSLPIIMIGPGTGLAPFRGFLQEREALKESGVELGHAIFFFGCRNRKMDFIYEDELHNFVDRGVLSELIVAFSREGPTKEYVQHKMTEKASSVWNIISQGGYVYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKTESLVKSLQMEGRYLRDVW >Ma09_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3600338:3601125:1 gene:Ma09_g05600 transcript:Ma09_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKGLAALLLVAGVTMALMDSAGAYVFYAGGRDGWVLHPSESYGDWAERNRFQVADTIVFKYKKGEDSVLVVSKQDYDACDVSKPIRKLDGGDSVFKFDRSGPVYFISGAPGNCQQGQKLVVVVMAVRNCPPISSPPSPPPTSNTPPASSPSPAPVSHGPAPRPFPKPHPPTPAPSAAPVPGSPPPKSSVGAVSGPGISPAPAPVEPASSSSSSSYASNWSLVTVLLLMVLGASSFA >Ma07_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10420620:10422694:1 gene:Ma07_g13920 transcript:Ma07_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAPPSMGLSTLFLLILSSSFCPSRSLHYDAIFSFGDSLSDTGNVRVAGLPYGMTFFRRPTGRCSNGRLVIDFIAEAVGLPLLPPSAAKGKNFRRGANFAYTAATALDFGFFNRRGLGSKLWVNSSLSSQVRSFEKMMPSLCSSTQACKAHLSRSLFIVGEFGGNDYNTAIFAGRSMAEVNSYVPKVMRAIKLGVERLIGHGAVDIVVPGMLPIGCFPLYLTLYGSSNKNDYTDIGCLRKYNDFAEHHNSFLQRVIYGLQRKYSWTRIRYADYYSPTLRFASNPTKYGFTGGALKACCGAGGSSKYNVNLDKVCAKPGSSVCKDPSTYVSWDGSHLTETAYSLIADGWLRGPYAKPSIMQWS >Ma01_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:877839:882595:-1 gene:Ma01_g01260 transcript:Ma01_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MIEDGVLSFTVDEALLSIEFGKFQTFVLCYAGMGWISEAMEMMLLSFVGPAVQLEWELSSQQESLITSVVFVGMLLGAYSWGIVSDIYGRRMGFLFTALITSVAGFLSSFAPNYWSLIFLRFMVGIGLGGGPVLASWFLEFIPSPHRGKWMVIFSAFWTIGTIFEASLAWAIMPRFGWRWLLASSSLPSFLLLLFYAATPESPRYLCMRGRITDAMQILEQMARANHKALPSGILVSESQLELDEKSNHSEAAHLVGNGWIKSSDEDMNMKASCVSTLRRLLSPKLIRSTLLLWMVFFGNAFSYYGIVLLTSELSNGKRACTVKSSQPSRSNDDSLYKDVFVTSFAEVPGLIISAAIVDRIGRKLSMSSMLFISCVFLIPLVFPRTGEVTTGLLFCARISISASFTIIYIYAPEIYPTSVRASGIGIASSVGRIGGITCPLVAVGLVHGCHQSAAVLLFELVIFLSGIAVCLFPLETSGRDLTDSV >Ma01_p01260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:877839:881742:-1 gene:Ma01_g01260 transcript:Ma01_t01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MAGGRMGFLFTALITSVAGFLSSFAPNYWSLIFLRFMVGIGLGGGPVLASWFLEFIPSPHRGKWMVIFSAFWTIGTIFEASLAWAIMPRFGWRWLLASSSLPSFLLLLFYAATPESPRYLCMRGRITDAMQILEQMARANHKALPSGILVSESQLELDEKSNHSEAAHLVGNGWIKSSDEDMNMKASCVSTLRRLLSPKLIRSTLLLWMVFFGNAFSYYGIVLLTSELSNGKRACTVKSSQPSRSNDDSLYKDVFVTSFAEVPGLIISAAIVDRIGRKLSMSSMLFISCVFLIPLVFPRTGEVTTGLLFCARISISASFTIIYIYAPEIYPTSVRASGIGIASSVGRIGGITCPLVAVGLVHGCHQSAAVLLFELVIFLSGIAVCLFPLETSGRDLTDSV >Ma09_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36262801:36266285:1 gene:Ma09_g24610 transcript:Ma09_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEESGAGNKPAADEGSPLPIATPTAPPPPEDAAPPARAPFTSLSQIDADLALARILQEQGTAYAMLMMDGDDNDYWSSDYDEEGGGDELDHVAEGGGSIEGSDDEVDAAYFENDEAYSRALQDAEERVLAVRLMALAGLNDWVPDDHGDHDSGFQDAWQDPDEFMYEELVALGELVGTESRGLSADTISALPSVSYKAESVQDDNAEQCIICRLEFEDGDSLVLLSCKHKYHPDCINKWLQINKACPMCNTEVSTSENKQD >Ma04_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8036234:8040330:1 gene:Ma04_g11380 transcript:Ma04_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRSPAPEAAAAAVETRFRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEDAARAYDAAAIALRGPKAKTNFPVSTTTTSPPPLILPTFNPSAAARLTIGHRQLPYPPPQLPTSSSHSSTVESSSGPRLPAAAGATQIHLRASHLGRQANPPPPRVLAGEGDFHSDCGSSSSVVDDDGDIASAYRLPLPFDLNFLPPPDDDFQPTVLRI >Ma01_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8076508:8079556:1 gene:Ma01_g11200 transcript:Ma01_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSVPLFGVREEEDEYGKQPPQPKQQPLPAQPAAPPPKKKRKLPGNPYPDAEVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARVPSGIDLYGNSGISSGLPQVNSQLCSLQTSAVDLLRLGGGGGGATQFDHLTASAFRPPPPPQPTPFYPGGGSSQELNGGSPFLQNMPTNTNASCSPSSAAAAAGNLFNLGFFSNSRSCMNTDEQAATLFAGNLMSNNIDMNMSSLLTSSLHSESAAPQMSATALLQKAAQMGATSSRGCFGITYSAAGGSKHASLRDDSKSSGLGESSRTQVENENHLQNLMHSLANGSSGIFGGGNDTETAALGGFNPGLCGMDEDKLHRNPSSGAMGGSDRLTRDFLGVGSMMRSLQGGGMAQPERQLGIPMATVDSETKSGSCRLPYGDGSLLSRRSNP >Ma06_p32720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33594589:33595696:-1 gene:Ma06_g32720 transcript:Ma06_t32720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLPPAAMAGKGGKLTGIRQIVRLREILQKWHSAALRPKEGRRKAAGVPPAVDKRLKSALLLCDSDEECCRSPEAPPDVPKGYCPVYVGPEQRRFVIPTTYLGLPVFRLLLQKAEEEFGFDHKGALTIPCEIETFKYILQCMERHAKGLIDDEGNPTGLKE >Ma06_p32720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33594589:33595592:-1 gene:Ma06_g32720 transcript:Ma06_t32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLPPAAMAGKGGKLTGIRQIVRLREILQKWHSAALRPKEGRRKAAGVPPAVDKRLKSALLLCDSDEECCRSPEAPPDVPKGYCPVYVGPEQRRFVIPTTYLGLPVFRLLLQKAEEEFGFDHKGALTIPCEIETFKYILQCMERHAKGLIDDEGNPTGLKE >Ma06_p32720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33594589:33596338:-1 gene:Ma06_g32720 transcript:Ma06_t32720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLPPAAMAGKGGKLTGIRQIVRLREILQKWHSAALRPKEGRRKAAGVPPAVDKRLKSALLLCDSDEECCRSPEAPPDVPKGYCPVYVGPEQRRFVIPTTYLGLPVFRLLLQKAEEEFGFDHKGALTIPCEIETFKYILQCMERHAKGLIDDEGNPTGLKE >Ma11_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27029365:27036156:-1 gene:Ma11_g23850 transcript:Ma11_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MIIVKHTCPRLLLRQVRLWHVSVWSMENVDYRIVAQRRMTKSNYHCTIVSHKILNNMMALFYFYIKKCFKVKSEARGDQKELKVCQGANFRWNCKELTRSGAFVSLPDEEGILPVSEESEGFGGILGSSSLQVGQEVNVWVLHIARGQVTLMMEKEEDIEGLNMKLNKGVVHIATNPFELAFRKNEEITAFVSRGEIFEIVKEREIEMQKEDLLTKPANIRSKIDLVKQRIATLGEHIKVKRFVGYNLGKGLEKESQDFAAEVAAQTVAKPSPAVPMDQPTETKEAVEKPETIAVSAVLVMQLREETGAGIMDCKKAIAESGGDIEKAQEYLGKKGLSSADKKSSRLTA >Ma05_p30400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40817723:40828073:1 gene:Ma05_g30400 transcript:Ma05_t30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPTDLPTWEILPTADGHFQWAAAAGDPGVQPEPPLRTLARGVDSHPDHRLPSMADLSTLARPKLPEGGDGGRGDGERLPMFRTGTGRAVSVSESSIRKARSVLGGAGDMDTSGSIRHGIETTSGGQDDRFPLFRTGSGKSVTIKESSLRKAAVVLEGNGINKGDKVTLDVGAKDELFPMFSTGSGKPVTVKESSIRKAAAIFIGENMEKESPNPLQCGRNNHDGHEKSVLLNPSTEKALSIIDGSNPKTEELHENFRWDMNQETAGTSLLDCVDVNSVQRSPENCINKFCSTGSYLTNGQLQKTLEHKLDSSDCGQSPVKFQTAGGRYVSISNDALKCARNLLGESDIEASENNITLDQPLSSVLRNKNNLDDTFWNKENISSPHSPHCGGMSKLVSRTPPSLTKRRQFSLTRKIKSDSYTLDQENARSFAMENIYSGNKTPVNQKPEKDDLLSAGSAVEIVRRIENGDGMSLGGPLIDISNIAGDCMNMNRLPNEKRRPGKTSYVSPFKRPRSSRFITPLKGSTSLLATESSNCGRISTRYPFQLKRKNLKDFFGGPPTCQDLSGSLPHEVSNMDADNAVTYRFYDAFHHDEIGLEAFQGMLLKSGASSSNATKEWVANHYRWIVWKLASFERCYSTQVGIKFLTVCNVLEELKYRYEREVNYGHRSALKKILDGDASPASMMVLCVSAIHSTSRPTMLKANDSNYPDEDIKKLYDSSSTVTKINCQTRIELTDGWYSLDALLDVWLSKQLAAGKLFVGQKLRICGAGLCGWVGPVSSLEASKTVHMLIHINGTYRAQWDEKLGFCKRISAPLAFSCIKASGGKIPRTLVGITRVYPVLYKERFPDGAYVVRSERLEKKALQIYNQRRCTIAEAIMSEQLDVFVDINDGDEGAKLCKILETAADPEVLMADMTSEQLFSFSTYQAKQKEIRQSHLQKMIEKALKDAGVASREVTPFMRVRVAGLTSKYSCRKGRFREGLITIWSPTEDQKVDLVEGKIYDVSGLMPLNFSMDVLYLQGGGYSTVWKNLPSTEADKYEPFFNPRKSVNLSNLGEIPLASEFDIAAMILHVEDVCMSGRQKKQWIFITDGCNCSSTSQYQEQHDCLLAVSFSSPMVDKDLFSHHHEGTVVGFYNLVKRARDQTNHLWVAEATENSTYSVSYNLPGDCHLKVAATSAHRWAKLSYLTIQKLKERISSILGHHEISR >Ma07_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5636995:5640386:1 gene:Ma07_g07580 transcript:Ma07_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSTIGAPPFVETGEEIIINTTDDSYITRAKE >Ma11_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1525342:1526471:-1 gene:Ma11_g02140 transcript:Ma11_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITQIAIGTTAEATHPTALKAALAEFICTFIFVFAGQGSGMAYNKLTSDGAATPEGLIAAALAHGFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSTVACLLLRFSTGGLETGTFGLTGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGPFDGASMNPAVSFGPALVSWSWTHQWVYWLGPLIGGALAGIVYEIFFISHSHEQLPTADY >Ma09_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8885588:8890673:-1 gene:Ma09_g13140 transcript:Ma09_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASSSSSSASASAASLFPHRAHRIPEDTVFYAVYPDLPAALPPSESLSVLRSLHLHLVSLLSPLCRDYIWQHEPFAISIPSSLDGPCPLCRSPPLPHLHGKLRFGDALDDEWLVAALLFAASSAVPSITARAWDSDGDFLLIEAAFALPRWLEPDTAANRVFIRGGQLHIVPKRVFPDTPSLEAALASVRSEEVDTRASDKVQVAILRKISGYPDKAMANMQRVRVRVPLPVAQVLKEEPFLISLAVEGFYDRDVDTMKHAARMERFLRGSDGDIEMVRVSVRMSRAMYAQLVQQKFQAPTGYLMPSREEGPAAFMEAELGMKIACGFEMMYQERRQAGEEGKGSTWEAFKQSLESSGCFTGLLPGSKEYQRIMDSALEYYKNSSLYSRTREVLNAPVQRIDEILSMSYSVDGFKGIELPPNDDDSWMYDGEEELNTAIMERQKEMEDYEAERKQRKQQKSTGKASSSLPDDFNLKDITETMQAFVQKLSSFEGAEVPENRNSKSVELDADQFMKAMESVLGEVSCEGVGSDEELEGHTSSSDMEFDDSEYEGDLAEELEDDNSEDGFMQLYSDTLNEELNATILKKSFIRAHQQSNNVTEEPSNATSSNAANDMNEELTPVDVDVNLVKSLLDSFSSQQGLPGPTSNLLGLMGVKVPPDTKKP >Ma05_p28800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39667076:39671141:-1 gene:Ma05_g28800 transcript:Ma05_t28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAMRAAAKAAALGGYRSVGPFAGHPAAWRAPKPASAAVSAAAGSSKSIGSSEKGLREVASVSVIHGPAGDIHDWEFSGWGREEQEVVLDSLHPAPRLVCGPVPSLEEAKEATSDLKDALEKVNFSTSTNESAIKVPFESTCYEASYVIPSMPRRVVQAFSLLQGSPEAQDVVASLASDKNVWDAVMRNEKVMEFFRTHQSIVLHPESNAATEVPLADGNPRSSTAEATTNSAFAHFVRNIKVILAEMVSNISSFLQELLGTSPGTSSSPSIKTSHNTDLSANFDMGASFVALAVATILVVLLKRG >Ma05_p28800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39667658:39671141:-1 gene:Ma05_g28800 transcript:Ma05_t28800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAMRAAAKAAALGGYRSVGPFAGHPAAWRAPKPASAAVSAAAGSSKSIGSSEKGLREVASVSVIHGPAGDIHDWEFSGWGREEQEVVLDSLHPAPRLVCGPVPSLEEAKEATSDLKDALEKVNFSTSTNESAIKVPFESTCYEASYVIPSMPRRVVQAFSLLQGSPEAQDVVASLASDKNVWDAVMRNEKVMEFFRTHQSILHPESNAATEVPLADGNPRSSTAEATTNSAFAHFVRNIKVILAEMVSNISSFLQELLGTSPGTSSSPSIKTSHNTDLSANFDMGASFVALAVATILVVLLKRG >Ma05_p28800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39667658:39671141:-1 gene:Ma05_g28800 transcript:Ma05_t28800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAMRAAAKAAALGGYRSVGPFAGHPAAWRAPKPASAAVSAAAGSSKSIGSSEKGLREVASVSVIHGPAGDIHDWEFSGWGREEQEVVLDSLHPAPRLVCGPVPSLEEAKEATSDLKDALEKVNFSTSTNESAIKVPFESTCYEASYVIPSMPRRVVQAFSLLQGSPEAQDVVASLASDKNVWDAVMRNEKVMEFFRTHQSIVLHPESNAATEVPLADGNPRSSTAEATTNSAFAHFVRNIKVILAEMVSNISSFLQELLGTSPGTSSSPSIKTSHNTDLSANFDMGASFVALAVATILVVLLKRG >Ma03_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4294859:4318471:-1 gene:Ma03_g06230 transcript:Ma03_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPKPSSSKKPKRGVDFKKIKHKIGRKLPPPRNATSTEIKSKAIVLPEQSVASERDGLAVNKKGLTLRELLQQTSHHNAKIRRAALTGVRDLVLKYPLELKLHKLAIIEKLRERISDNDKVVRETLYHLLKTVIFPSSKEEITAPIISLLMAYIFNAMSHLAVDVRLMAFKFFELVVLNYPSSFMLYAEKVLDNYVDILRNNQIYLVDKSKLKNVLGGLAHCLSLLSNKSLEDNRLNNLNQGIVQPKGLYAYKSEVHEDNAGISSVSGNLENLVPVLVNSFLESTSMRPTMDVIDAPTFDCMLCTLQCINSAVKIYEMGKPYTLLFNGRDMTQNNMMIYMRKLWETFPVGRLSQSPEKVDNKYIVLDIKIAEIFLHLTKWMNDTSFLNEKLLGFIESLLLAGTNTPLNRVLTEKHIGSLLPFTPRLLSQVTGCWKARLLEAFTYSFKECKVDSRLYIAYLCVVEELLSVTSNLGLPTCAATYEDLLRHQIAWMRELPRILLHLGYKHPSTTMVVLKILLRIGQSSMPNLAFGIEYDCLQFTLREFYGTKTDAGSVHYGPFMKLPRDCQELAICCLYYFSSLSLDFLESLTYCCLCNDMEPLILLRIVEVLQSAYKAGHVPISEYIGFLVTQVARFKVFPEKFLVEKNHGNVSNRKTFKSLTDAVFGCLTQMGDSCIMLKLLCKNILNEMSLKPPIDNFRGLLRMIIALDTRPTKLLDEDIINLSKLLSRYMVDAVSCISEDPNVAHRFNQMSIFDYYVKPCIILFFASDKLLLLVLKSLDYFLMEDCILLPSQSCAKYGFESSSRIHAVSCILTFMHNYARLHRSLSRSKAAIEHILLSMQNLLDSDKHSRSLEERSRLQSDLDQVTARIHDFWRIWVVNGLEGV >Ma11_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2718836:2725477:-1 gene:Ma11_g03650 transcript:Ma11_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLPSSSPLPASLPSNLLTRSRLLSPLSHALQLKRSFSLRSSSLRVGAFAADSSSAVGSELSTELDAVSRFSEIVPDTVVFDDFERFPPTAATVSSSLLLGICSLPDTKFRSAIETALADSECYEQDDPNDRLSCFCDKALVNVGADLARLVPGRVSTEVDARLAFDAHGIVRKVHRLLRLYYELEVPSERLLFKIPSTWQGIEAAKLLESEGIQTHLTFVYSFPQAAAAAQAGASVIQIFVGRIRDWSRNHTGDPELDAICRKGEDPGLELVAKAYNYIHKYGHKSKLMVAAIRNKQDIFSLLGVDYMIAPLKILQSLKESITYPDEKYSFVRKLSPSSAMPYNFSQEELVNWDQASFSSAMGPASKELLAAGLEGYANQTKRVEELFGKIWPPPNV >Ma04_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11490233:11499147:1 gene:Ma04_g15190 transcript:Ma04_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWKLAGFHLRKVLCVVLIIHLFTDECLSINLEGLALLQFRSRVESDPYGALANWNPGDNNPCNWTGVHCLDGKVVTLNLKEFSLRGILAPEIGKLSHLRTVVLYKNKFSGVIPKEIAGLTMLELLDLRNNMLNGIIPKEIGEMLSLKHLLLCHNKFQGNTHWIENPDLHFDLMHDQNLSCNKANDLGHVNRKVGNCFGETGWQKLKKINSFLVLLNGKIIQIFDTPNIRLLPSSIRSKGLSDGNEKRNNNLATGFGGPYVLSNVHEHTVRRRLAEETRNLHAAPGSDGPLNQVVSVPPTASGSFPAIRDKSKLKPSLSPISPSLPPVSPSIAHPESTPTSVVNDLTSSRRSAMWKYILVLLVAALFLALATCIFLVCHSKGVATIGPWKTGLSGQLQKAFVTGVPKLKCSELEAACEDFSNIICSHPNFIVYKGTLSSGVEVAVVSTSITSVHDWSKHSEMLFRKKVDTLSRINHKNFVNLLGYCEEDVPFMRMMVLEYPPNGTVYEHLHAEEFENLEWSARMRIIMGTAYCLQHIHELTPPISHPNLLSSTILISEDFAAKVADFNVWKEIIAKGKTHGAEDLDDSETVSADPASNVYSFGILLLEIVSGKVPHTGEQDSLFNLAAEYANGDGGIDSLLDPALKSHKDEELRIICEVIQECVNPDPCKRPTMKEVASKLSEVISITPEAATPRLCPLWWAELEILSVEAT >Ma04_p15190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11490231:11499147:1 gene:Ma04_g15190 transcript:Ma04_t15190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWKLAGFHLRKVLCVVLIIHLFTDECLSINLEGLALLQFRSRVESDPYGALANWNPGDNNPCNWTGVHCLDGKVVTLNLKEFSLRGILAPEIGKLSHLRTVVLYKNKFSGVIPKEIAGLTMLELLDLRNNMLNGIIPKEIGEMLSLKHLLLCHNKFQGNTHWIENPDLHFDLMHDQNLSCNKANDLGHVNRKVGNCFGETGWQKLKKINSFLVLLNGKIIQIFDTPNIRLLPSSIRSKGLSDGNEKRNNNLATGFGGPYVLSNVHEHTVRRRLAEETRNLHAAPGSDGPLNQVVSVPPTASGSFPAIRDKSKLKPSLSPISPSLPPVSPSIAHPESTPTSVVNDLTSSRRSAMWKYILVLLVAALFLALATCIFLVCHSKGVATIGPWKTGLSGQLQKAFVTGVPKLKCSELEAACEDFSNIICSHPNFIVYKGTLSSGVEVAVVSTSITSVHDWSKHSEMLFRKKVDTLSRINHKNFVNLLGYCEEDVPFMRMMVLEYPPNGTVYEHLHAEEFENLEWSARMRIIMGTAYCLQHIHELTPPISHPNLLSSTILISEDFAAKVADFNVWKEIIAKGKTHGAEDLDDSETVSADPASNVYSFGILLLEIVSGKVPHTGEQDSLFNLLKQQAAEYANGDGGIDSLLDPALKSHKDEELRIICEVIQECVNPDPCKRPTMKEVASKLSEVISITPEAATPRLCPLWWAELEILSVEAT >Ma04_p15190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11490194:11499147:1 gene:Ma04_g15190 transcript:Ma04_t15190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWKLAGFHLRKVLCVVLIIHLFTDECLSINLEGLALLQFRSRVESDPYGALANWNPGDNNPCNWTGVHCLDGKVVTLNLKEFSLRGILAPEIGKLSHLRTVVLYKNKFSGVIPKEIAGLTMLELLDLRNNMLNGIIPKEIGEMLSLKHLLLCHNKFQGNTHWIENPDLHFDLMHDQNLSCNKANDLGHVNRKVGNCFGETGWQKLKKINSFLVLLNGKIIQIFDTPNIRLLPSSIRSKGLSDGNEKRNNNLATGFGGPYVLSNVHEHTVRRRLAEETRNLHAAPGSDGPLNQVVSVPPTASGSFPAIRDKSKLKPSLSPISPSLPPVSPSIAHPESTPTSVVNDLTSSRRSAMWKYILVLLVAALFLALATCIFLVCHSKGVATIGPWKTGLSGQLQKAFVTGVPKLKCSELEAACEDFSNIICSHPNFIVYKGTLSSGVEVAVVSTSITSVHDWSKHSEMLFRKKVDTLSRINHKNFVNLLGYCEEDVPFMRMMVLEYPPNGTVYEHLHAEEFENLEWSARMRIIMGTAYCLQHIHELTPPISHPNLLSSTILISEDFAAKVADFNVWKEIIAKGKTHGAEDLDDSETVSADPASNVYSFGILLLEIVSGKVPHTGEQDSLFNLLKQQAAEYANGDGGIDSLLDPALKSHKDEELRIICEVIQECVNPDPCKRPTMKEVASKLSEVISITPEAATPRLCPLWWAELEILSVEAT >Ma04_p38830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36200377:36202806:-1 gene:Ma04_g38830 transcript:Ma04_t38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFIVTVVGVGAAIILFRGDVKQSATVFRRNLRHIRHWLEEESGSASKSVERKAPKELDSQVLKKDIPKDEKL >Ma02_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23565338:23566057:-1 gene:Ma02_g16070 transcript:Ma02_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACASVVSSGSSQVANSGSEQDVQALINQRKLKRMLSNRESARRSRMRKQKHMDDLKAQVSQLQKENSQILIALNITTQHYAGVEAENSLLRTQLTELSSRLESLSELAYFMGGSSCNSLQTPDGFTAAWGSMVVNQLPIVASADRFQDCCYANL >Ma03_p33530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34860322:34862659:-1 gene:Ma03_g33530 transcript:Ma03_t33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAKKPRVVIVGAGMAGITAAHRLHTASSGDHFDLCIVEASHRTGGRILTSEFAGDRIEMGATWIHGIRGSPIHALASDIGALAHDSCPWERMDGFPSDPITVAEGGTLIDPSLVVAPITSLYRRLMDSARAGDAPVDPKRPGVGQFLRHGLQEYRASRTGGGGDGGSRSTDWNLEELEEAVFTMHEFTERTCTSADDLEELNLAAEGEYRDYPGDHITIAQGYSRIVQYLASALPRGMIRFGRLLQRIEWRSGSDDDGGGDDGCRDGDPVRLYFEGEHSAMVADHVIVTVSLGVLKAGLGKRGEEGSGVAFSPALPVFKREAIERLGFGVVNKLFMEMDAAEGGGPFPFLQMAFAQEEEEEEDRRRRVAEIPRWIRKTASICPIYDSSRVLQAWFAGKEALELEALPDEEVIRSIHLTLHAFLPGVATRAAGSVDRRCNGVGAAPTYPGRISRVKRSGWGKDPLFLGSYSYVAVGSSGDDLDLMAEPLPRRGRGGEPDDRDVVSPPPALQILFAGEATHRTHYSTTHGAYLSGIREANRLLQHYRYTSAAL >Ma06_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2016287:2021875:1 gene:Ma06_g02620 transcript:Ma06_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDNHQDGFNLSDLRAGLALPQTLPAMSEEDRVGLVNALKDKLQSLAGKHTDVLETLSAAVRKRVEVLREIQNQHDELEAKFFEERAVLEAKYQKLYEPLYTKRYEIVNGVVEVDGIKNESSVETLAEDKASEEKGVPDFWLTALKTNEVLAEEIQERDEEALKYLKDIKWCRIDNPKGFKLEFFFNSNPYFKNSVLTKTYHMIDEHEPILEKAIGTDIEWFPGKCVTQKIVKKKPKKGSKNAKPITKTEDCESFFNFFNPPEVPDDDADIDEETAEQLQSQMELDYDIGSTIRDKIIPHAVSWFTGEAVQDDDAEIEDDEDGEDEEDEDEDEDEDDEDEDDEDEDEEENGKSKKKSATRQKKSGGEQVDRPAECKQQ >Ma01_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13894708:13901427:-1 gene:Ma01_g18600 transcript:Ma01_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNGTGTSQAAQKTNVLQGEGPNWVFVVGGALLSTLSIKLGCQLKRAFDNKRHDEANKENRKSTADRRSRACNLHNLYHFAQDEDNHYHFLSETSRVGVDAKRPKSPIVMEADLSLPLVKIPATETNKVVEDIMRASSLDRLELPWKPFHHSNCSDSCISESGSDVYSKRELIQKLRQQLKQRDEMIMEMQTQITDVQNSLHIQRAQTAHLQSQLDSANKDLFNSEREVRRLRKVITDHHVAEVTSPDKLVVAGNWHPESANGLANGYADSVNDLELHRVGVDKREIDVEKVGMLKREVSELKEVIEGKDFLLQSYKDQKVEFCSKIKELQLKLASQVPNIL >Ma06_p26100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27001236:27001955:1 gene:Ma06_g26100 transcript:Ma06_t26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTEEQITEFYETFCLFDKDGDGSIALEELSTVITSLGLKPFREELQGMISEVDVDGNGTIEFAEFLGLMAHKMKDIDSEEELKEAFKVFDKDQNGYISEAELRNVMMSLGEKLTDEEVAQMIREADLDGDGQVNFEDFVQMMSA >Ma09_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34467227:34468567:-1 gene:Ma09_g22550 transcript:Ma09_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-repair/toleration protein DRT102 [Source:Projected from Arabidopsis thaliana (AT3G04880) UniProtKB/Swiss-Prot;Acc:Q05212] MASDGNVIAAPTEENGTAAPPSGTPALKIYAGADFFGCNLKDALVAYLRSLPGVEVVDLGTDKYYSIAEQVGRLVSSSASGGSSPETRGLLSCGTGVGVSMFANKFPRVYAATCSSAGDAVNARSISSCNVLAVSGMNTAPDDAVKIVDAWLHTPFKAPCPASGGVDWPDDIHAFLDNATAEMAAIPNPSSAASTCAICCLRKGIKFEPVGIMPGGEMKIVRESPTSAIVKFKAGSVEPAHHHTFGHDLVVMKGKKKVWNLTKKESYDLEDGDFLFTPAWDVHRVKYFTDTEFFIRWDGDWDIFLDEDLEAAKEAIDKELASGKN >Ma06_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6869857:6871340:1 gene:Ma06_g09880 transcript:Ma06_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQHLSNSTFSPDFRSWAGNDVMEELHLVQDQRKMLGVYTGRPGGVNDGTVFSDPNSELSCNASGPRKRPREAELLVALQQPSQDYKLNLESRLRYPNLMNRLVPLSSIAANVTPSGCQHSRLAESGGTSTSGRPVSLLTQDLVSHLLTQEIEIDALVRHQSERLRGGLNEAWRSRCWTLLQRGEQHAAKRLREKDVELEKARRRNSELEERIRQVSAEIQMWSSVAKNHETVAANLQASLEQVLREAAAVPEPQEGYGDTDDDAHSGSFADAPAPLEVDARRRRKGTCQACGHGKACVLLLPCRHLCLCKVCESTVDACPVCHSAKNCFIRVFIS >Ma05_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31778646:31778738:1 gene:Ma05_g20200 transcript:Ma05_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVEVYFHRLIWFTIILKNYYQRCIRYN >Ma10_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15211619:15217484:1 gene:Ma10_g04560 transcript:Ma10_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) UniProtKB/Swiss-Prot;Acc:Q9FG38] MISPETSPPRSSSQSPRSPPAAPFLYISVTEPVKLGNGVQAYISYRVITRTNLPEFQGPEKIVIRRYSDFVWLHDRLAEKYKGIFIPPLPEKSAVEKFRFSTEFIEMRRQALDIFINRIASHPELKQSEDLRTFLQEDEETMERARSQETGIFKKPADLIQIFKDVQTKVSDVVLGKEKPVEETDPEYEKLKHYIFGLEDHLAETQKQAFRLVKRHRELGQSLSDFGKAMKLLGACEGNSLGKVFSELGAKSELLSIKLQKEAHNLLMNFEEPLKDYVRAVQSIKVTFADRANAFRQHCELAETTKLKEINLDKLNLMRSDKVREAEMEYRELKSESEQATRRFETIVRLMNEEIVRFQEQKTADMGLAFHQFAKGQAKLANDIADAWRSVLPKIEACSVSSQHG >Ma06_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6570089:6571661:1 gene:Ma06_g09360 transcript:Ma06_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIPSSYRYMERSYSDGGDSSGAFSDCNSDRSGEFPSYGSPSSSSYSSGGGGLQRLLVACSAEYSDEVVRGLISDLESPSAPVESQRRAAMELRLLAKHNPENRLRMAAAGAVGPLVALLYHSDPQLQEHGVTAILNISLCDENKTLIAAAGAIRPLVHALRTGTPAARENAACALLRLAQLDDLRAAIGRSGAIPPLVTLLETGGPRGKKDAATALFTLLASRDNKIRAVEAGIVRPLLDLMADPESGMVDKAAYVLHAVVEVPEGRAAAVEECGIPVLVEMVETGTARQKEIAVRSLLEICRESAAYRKMVVQEGAIPPLVALSQSATKKAKEKAEALIELLRQRRTAGNSHH >Ma06_p09360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6570086:6571661:1 gene:Ma06_g09360 transcript:Ma06_t09360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIPSSYRYMERSYSDGGDSSGAFSDCNSDRSGEFPSYGSPSSSSYSSGGGGLQRLLVACSAEYSDEVVRGLISDLESPSAPVESQRRAAMELRLLAKHNPENRLRMAAAGAVGPLVALLYHSDPQLQEHGVTAILNISLCDENKTLIAAAGAIRPLVHALRTGTPAARENAACALLRLAQLDDLRAAIGRSGAIPPLVTLLETGGPRGKKDAATALFTLLASRDNKIRAVEAGIVRPLLDLMADPESGMVDKAAYVLHAVVEVPEGRAAAVEECGIPVLVEMVETGTARQKEIAVRSLLEICRESAAYRKMVVQEGAIPPLVALSQSATKKAKEKQAEALIELLRQRRTAGNSHH >Ma07_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9040011:9050012:1 gene:Ma07_g12100 transcript:Ma07_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAMASLPIFLLLFLLPHCLALQLCTNSMAPVTLKAPLSFCAYNSSSCCNSTDDAAIQKQFQSMNISDSTCAAVMKSILCAKCDPFSADLFNTEPTLRTVPYLCNSTSSASSTPSKDSTKDFCKEVWDACKDISIKNSPFAGLPVSSSKLTDIWQSETDFCRAFGGSSSDNTLCFNGNSASFNESIDSTTPKGLCLERIGNGSYLNMAAHPDGSNRVFLSNQAGKIWLATVPEHGSGGTLELDESNPFLDLTDEVHYDTEFGLMGLAFHPNFATNGRFFVSYNCDKIESASCSGRCSCNSDVGCDPSKLGNDNGAQPCQYQTVVAEFTANGSSSTPSTATTASPSEVRRIFTMGLPYTSHHGGQILFGPTDGYLYFMMGDGGNKGDPFNFAQNKKSLLGKIMRLDINNIPSQSQITDLGLWGNYSIPKDNAYTVDSELQAEIWAYGLRNPWRCSFDLERPSYFFCADVGQETYEEVDLISKGGNYGWRVYEGPDLYHSPWAPGGNTSLDSINPIFPIMGYTHADVNTNVGSASITGGYVYRSTTDPCMYGRYIYTDLYAGALWAGTEIPENSGNYTGSRIPFSCSKNSPIPCDTIAGSPLPSLGYIYSFGEDNRKDVFLLTSKGVYRVVRPSLCNYTCPKENTTDTEGSTPGLSSAAGQLDNIRGRLVLVMITLLIWYRL >Ma05_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27957208:27961563:-1 gene:Ma05_g19500 transcript:Ma05_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRLRSIHSRPSPPADFYAFLLQTCVRTENVSAGRSIHAHVVKAGLHLGVYLVNNIINLYAKFGFFTDAHNVFDGMHLKNAFSWNTLLAMYAKGGMIGRANKVFVEMPQRDSVSWTTMIVGLNLMGEFERAVVVFLEMVRFGVPPSQFTFTNVLSSCAALEALDVGRKVHSFVAKLGLSGVVVVANSLINMYGKSGDVETAKAVFDMMRLRSVSSWNSMISMYSQSGRMDLAQDQFDEMTDRNIVTWNAIIAGYNQNNLNQEALEFFSRMLKEQSVVPDNFTLTSALSACAFLGLLRVGKQIHSRIVRTEMPCHGQVGNALISMYSKSGGVAIARRVLERTMASDLSVVSFTALLEGYVKLGDLQPAREIFDLMNYRDVVAWTAMIVGYVQNGFNSEAMDLFRLMVDNGPKPNHYTLAAILSVCSSLASLDHGKQIHCRAIRSTGLSVSVSNALITMYARSGSIAGAKTVFDQICQSKETVSWTSMIIALAQHGLGEEAIVLFENMISTGVRPDHITYVGVISACTHAGLVEKGRHYFQQMQTKYMIQPAQSHYSCMIDLFARAGLTQDAQEFIKTMPVEPDAIAWGSLLAACKVQKDADLAKVAAERLLAIDPVNSGAYSALANVYSACGKWDDAAKIWKLMKDKRVKKEQGFSWTHIKNKVHVFGVDDGLHPQRDAIYQMAAKLWKEIKKAGFVPDTQSVLHDIDEELKEQLLSHHSEKLAIAFGLISTPENTTLRIMKNLRVCKDCHSAIKFISKIVGREIIVRDATRFHHFRYGFCSCKDFW >Ma04_p32700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32644712:32646278:1 gene:Ma04_g32700 transcript:Ma04_t32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDAAYLRGSLAGVLSMLRHSACPESTVFDFLATCPGRFQSALAASFPSLSFAVYRFDPALVRGRIFSSVRSALDRPLNHARIYLVDILPRSVRRVIYFDLTSSSSTTSAACGPPTSLQTTSSRPPSTATPTSPLLHRAVLVRSGVPRRPHRPPTPALLLQHRGHGHGPQPVAHEGLHPEARSLDGGAEAKGADLRARLAAAVPAGVRGRGQEGGAPMEPARSGRRQRRGAVPGPPPGPGEPASLEWQGQAVAPPRRRSPLPHRRALGPLRPPPPRVPRRPLRQQL >Ma06_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8561698:8574439:-1 gene:Ma06_g12330 transcript:Ma06_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDNAFSELFSIVKSWIPRRSEPPNVSRNFWMPDHSCRVCYECDAQFTIFNRRHHCRHCGRIFCGKCTANFIPATSDNLRSTKEVGERERIRVCNFCFKQWDQRISSTDNAFQQYISPALSSTSIVSTKSSGTINSNFNVESYSSGPYHLIPYGPAPNPCQSVLLEPCSDKPDLHVSARSIDAVEIGSHRFGYVMNRSDDDDYEYGGLHDSETQHFQHYDDYGGQDEFNGVDQDCYSNKMIPAEVDINLENICSPAHNTQLHATVDADKMDEENEPDCSYECNASPSIYEIENADAEPVDFENNGLLWLPPDPEDEEDERESILIDDDEDEDSPTGEWNYDHSSNGFGSGDFRTRDRSIEEHKKAMKNIVDGHFKVLIAQLLQVENLSAGEDDRGSWLDIVTFLSWEAANFLKPDTSNDGGMDPGGYVKVKCLACGHRSESKVVRGVVCKKNVAHRRMKSKIEKPRFLILGGALEYQRVTNTLSSFDTLLQQEMDHLKMAVAKIAAHQPNVLLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERITRCTGAHIVPSIDHLSSPMLGHCDLFHVEKYHEDHTTAAQGGKKMLKNLMFFEGCPKPLGCTVLLKGASVDELKKVKPVVQYGVFAAYHLALETSFLADEGAFLPELPLKTPITVALPDKPSSIDRSISLVPGFPTAGEPHGSVSVQRPNTTYPVTTSIESRKMVLEASPCSFKGHNSRVEDFASMLSPISADHLLEASSGASLPSFSTLGNVSNYSPQSRTTKDKSKVFFGDCHEAISYIIDDQTVRHGSPLPDQAENCAAIPKDAQTESSCMLEKQISSSNPETSQQFADELHDYTLLKEEFALSPSDHLSILVSLSTRCVWKGTVCERSQLFRIKYYGNFDKPLGRFLRDHLFDENYRCRSCDMPSEAHVHCYTHRYGSLTISVRKFPEILLPGERDGKIWMWHRCLMCRRNNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASINVHSVYLPPPKVDFNYQHQEWISKEANEVAERAENLFREIHSDLCQFKETMIQNGSMEVPEFRHHIVELQDFLQTEKAEFEDVLHKVLKKEVRKGEPVIDILEVNKLRRQLIFHSYLWDQRLLFAAELDNCPKGICNSFLTREKEKHCTVEKIKDLNLALKPQKDSQCSNAAPVEFKTDELFEQGTHTANNPEGLFGHHDSDELGQKIRDVEMDSMQEKQNQTSLYTSISATESSILLESGLVGRRTLSDGQFPVLTNLSDTFDARWIGDSGTALADVMTQDLIVPMENSVAMPISEGSEERAGADITQSFTSILLSKLGDSAEDFSARIGIPFVNIYRTINKNWGNSPRFSDLNEYSSVFRIFLRELERQGGARFLLPVGLNDTVIPIYDDEPTSIISFALVSPEYHVQLSDERDRIRDGTDSSLSLPLYDAGNFLSQSFDETFSDPFKSFGSTEDNILSLSGSRGSLVLDKTMHVRVSFTDEGPFGKVKYTVTCYYAKCFDALRRACCPSELDFIRSLSRCKKWGAQGGKSNVFFAKSLDDRFIVKQVTKTELESFIKFAPEYFKYYSESIATGSPTSLAKILGIYQVTSKNLKGGKDIRMDVLVMENLLFRRNITRLYDLKGSSRSRYNPDSSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPEQWSPPTGIPNKPDAEISQENQQGATTEGN >Ma06_p12330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8561698:8574439:-1 gene:Ma06_g12330 transcript:Ma06_t12330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDNAFSELFSIVKSWIPRRSEPPNVSRNFWMPDHSCRVCYECDAQFTIFNRRHHCRHCGRIFCGKCTANFIPATSDNLRSTKEYISPALSSTSIVSTKSSGTINSNFNVESYSSGPYHLIPYGPAPNPCQSVLLEPCSDKPDLHVSARSIDAVEIGSHRFGYVMNRSDDDDYEYGGLHDSETQHFQHYDDYGGQDEFNGVDQDCYSNKMIPAEVDINLENICSPAHNTQLHATVDADKMDEENEPDCSYECNASPSIYEIENADAEPVDFENNGLLWLPPDPEDEEDERESILIDDDEDEDSPTGEWNYDHSSNGFGSGDFRTRDRSIEEHKKAMKNIVDGHFKVLIAQLLQVENLSAGEDDRGSWLDIVTFLSWEAANFLKPDTSNDGGMDPGGYVKVKCLACGHRSESKVVRGVVCKKNVAHRRMKSKIEKPRFLILGGALEYQRVTNTLSSFDTLLQQEMDHLKMAVAKIAAHQPNVLLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERITRCTGAHIVPSIDHLSSPMLGHCDLFHVEKYHEDHTTAAQGGKKMLKNLMFFEGCPKPLGCTVLLKGASVDELKKVKPVVQYGVFAAYHLALETSFLADEGAFLPELPLKTPITVALPDKPSSIDRSISLVPGFPTAGEPHGSVSVQRPNTTYPVTTSIESRKMVLEASPCSFKGHNSRVEDFASMLSPISADHLLEASSGASLPSFSTLGNVSNYSPQSRTTKDKSKVFFGDCHEAISYIIDDQTVRHGSPLPDQAENCAAIPKDAQTESSCMLEKQISSSNPETSQQFADELHDYTLLKEEFALSPSDHLSILVSLSTRCVWKGTVCERSQLFRIKYYGNFDKPLGRFLRDHLFDENYRCRSCDMPSEAHVHCYTHRYGSLTISVRKFPEILLPGERDGKIWMWHRCLMCRRNNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASINVHSVYLPPPKVDFNYQHQEWISKEANEVAERAENLFREIHSDLCQFKETMIQNGSMEVPEFRHHIVELQDFLQTEKAEFEDVLHKVLKKEVRKGEPVIDILEVNKLRRQLIFHSYLWDQRLLFAAELDNCPKGICNSFLTREKEKHCTVEKIKDLNLALKPQKDSQCSNAAPVEFKTDELFEQGTHTANNPEGLFGHHDSDELGQKIRDVEMDSMQEKQNQTSLYTSISATESSILLESGLVGRRTLSDGQFPVLTNLSDTFDARWIGDSGTALADVMTQDLIVPMENSVAMPISEGSEERAGADITQSFTSILLSKLGDSAEDFSARIGIPFVNIYRTINKNWGNSPRFSDLNEYSSVFRIFLRELERQGGARFLLPVGLNDTVIPIYDDEPTSIISFALVSPEYHVQLSDERDRIRDGTDSSLSLPLYDAGNFLSQSFDETFSDPFKSFGSTEDNILSLSGSRGSLVLDKTMHVRVSFTDEGPFGKVKYTVTCYYAKCFDALRRACCPSELDFIRSLSRCKKWGAQGGKSNVFFAKSLDDRFIVKQVTKTELESFIKFAPEYFKYYSESIATGSPTSLAKILGIYQVTSKNLKGGKDIRMDVLVMENLLFRRNITRLYDLKGSSRSRYNPDSSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPEQWSPPTGIPNKPDAEISQENQQGATTEGN >Ma10_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30286428:30287034:-1 gene:Ma10_g19900 transcript:Ma10_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSHFSRYASLNGPVDVRIPNSTMTSVIDADGGDIHDIHQNC >Ma05_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22166609:22167781:-1 gene:Ma05_g18000 transcript:Ma05_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKPSVATLLALSQSEDESLSQFVTRFAVEIRGFPDAHPSLIMQAFLRGLKPLRFFWSLIEKPPTTIPETFQRANQYVAAEALMAGRRTDGKKPRVERPRDATSATPEQPRRRPARLEPLSRPPPLPLNTSRTEIFLQIREKGLLRHPNPMKATRKDRSKYCRFHRDYGHDTEACHDLQNQIEDLIRRGHLGHYLKESKEVTPCPRGPVEKQIDVISGGLAAGGNSSMARKAYARSTVKKRPRPELEPEITFGAEEVERSHHDDALVISIRIANAQVKRVMIDTGSSTDVLYFDIFKKLGLTGEDLAPMSLTLTGFTGDSIFPLGTTTLPVTIEEEPRGKTTLTTFMVVDLSSAYNVILGLPMLNKLKAIVSTYHRAIKFPTAEGIGEL >Ma09_p17870.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13474290:13478117:1 gene:Ma09_g17870 transcript:Ma09_t17870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHAKDGCDSLENEDTLDYYTSGNSSGWHQSNPSISFISHNSSVSVGQGTQIGSMTDAFSTGLWNLPTESTSLGLCKNSLRESSNQISIGGVLLRAGSGILQPSSSHFPSDSAFIERAARFSCIDASNLGGMMNLFGADPSPNSHPNASRMNSGVVADASFSTDHGSKNDSAMEEQKEKDNFDGIAALSSESSLPDFTGAHQEHPTGNSSKMKMKKSNEDMRLEEAKVASQISGDNMGFEHIAENSSSGKHVKDGTESSNEDVIHVRARRGQATNSHSLAERVRREKINERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMRFAAINPCPNMDTEAVISRYSCNGPSATSGFSADIAHPQLHPSQQGLIQAGLSDIVNPPDLFRRSINTQMAAVDGSKMQVHNAWDEELHNVMHMAYSSNVNLDSHRVHQ >Ma09_p17870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13474290:13478117:1 gene:Ma09_g17870 transcript:Ma09_t17870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHAKDGCDSLENEDTLDYYTSGNSSGWHQSNPSISFISHNSSVSVGQGTQIGSMTDAFSTGLWNLPTESTSLGLCKNSLRESSNQISIGGVLLRAGSGILQPSSSHFPSDSAFIERAARFSCIDASNLGGMMNLFGADPSPNSHPNASRMNSGVVADASFSTDHGSKNDSAMEEQKEKDNFDGIAALSSESSLPDFTGAHQEHPTGNSSKMKMKKSNEDMRLEEAKVASQISGDNMGFEHIAENSSSGKHVKDGTESSNEDVIHVRARRGQATNSHSLAERVRREKINERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMRFAAINPCPNMDTEAVISRYLFQSCNGPSATSGFSADIAHPQLHPSQQGLIQAGLSDIVNPPDLFRRSINTQMAAVDGSKMQVHNAWDEELHNVMHMAYSSNVNLDSHRVHQ >Ma09_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13474290:13478117:1 gene:Ma09_g17870 transcript:Ma09_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHAKDGCDSLENEDTLDYYTSGNSSESTSLGLCKNSLRESSNQISIGGVLLRAGSGILQPSSSHFPSDSAFIERAARFSCIDASNLGGMMNLFGADPSPNSHPNASRMNSGVVADASFSTDHGSKNDSAMEEQKEKDNFDGIAALSSESSLPDFTGAHQEHPTGNSSKMKMKKSNEDMRLEEAKVASQISGDNMGFEHIAENSSSGKHVKDGTESSNEDVIHVRARRGQATNSHSLAERVRREKINERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMRFAAINPCPNMDTEAVISRYLFQSCNGPSATSGFSADIAHPQLHPSQQGLIQAGLSDIVNPPDLFRRSINTQMAAVDGSKMQVHNAWDEELHNVMHMAYSSNVNLDSHRVHQ >Ma09_p17870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13474290:13478117:1 gene:Ma09_g17870 transcript:Ma09_t17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHAKDGCDSLENEDTLDYYTSGNSSGWHQSNPSISFISHNSSVSVGQGTQIGSMTDAFSTGLWNLPTESTSLGLCKNSLRESSNQISIGGVLLRAGSGILQPSSSHFPSDSAFIERAARFSCIDASNLGGMMNLFGADPSPNSHPNASRMNSGVVADASFSTDHGSKNDSAMEEQKEKDNFDGIAALSSESSLPDFTGAHQEHPTGNSSKMKMKKSNEDMRLEEAKVASQISGDNMGFEHIAENSSSGKHVKDGTESSNEDVIHVRARRGQATNSHSLAERVRREKINERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMRFAAINPCPNMDTEAVISRYLFQSCNGPSATSGFSADIAHPQLHPSQQGLIQAGLSDIVNPPDLFRRSINTQMAAVDGSKMQVHNAWDEELHNVMHMAYSSNVNLDSHRVHQ >Ma04_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23103943:23105139:-1 gene:Ma04_g20410 transcript:Ma04_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTGSPCGACKFLRRKCASDCVFAPYFSSEQGAVRFAAIHKVFGASNAAKLLLHVPQADRCEAAVAIAYEAQARLKDPVYGCVGHIFALQQQVTTLQLQLMLVKAQIAQRLVTSQPSGSQWQGNDDGNPFLQPYSSWESMSSSQSSRNFINQDSTRLLPKHDAVFKEDLSVGGSSSKMWATHAEVGELQDLAFRMAGNL >Ma02_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18054123:18058407:-1 gene:Ma02_g07320 transcript:Ma02_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGGMAAVGEEEEEEAAAAKKRARPSEEVDGECQSDGVPEAPSAEHGKPADGVSSVIPGWFSEISPLWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGRVLVLDGVIQVTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRYSSVEQIDICEIDKMVVDVSKQFFPHLAVGYEDPRVTLHIGDGVAFIKGVPEGTYDAVIVDSSDPIGPAQELFEKPFFESIAKVLRPGGVLCTQAESIWLHMQLIEGILSACRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPPVDFQHPVNHIDEDEVSKKSKGPLKFYNSEIHSAAFCLPSFAKRIIGSEAH >Ma09_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2880397:2881599:-1 gene:Ma09_g04380 transcript:Ma09_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSHPPLDLNSDAAGVGCLSGVVRRLLCASLRSDVHGDCSADEGVGSSSLGIEWIAMQKKKKKPPATPCIVARLMGLDSMPVFPYTPPETVTRSRSTNSVESWPGFLCSERSSGAAQIRTPSSFREAPTYLRQENDDFLVMSFTPEDKARSIMVKAGDSRERKKGGKNNGAKKDTRRTEQRSRVAGKQIQKENVPQRKHSSGKGSVMSSPNKACRTTKSGGSNIKPAKQKETPLTDERGRRKNRAGCSSHPSTDGACSRSFNSPRSGNSITGSLFPSANAEEERKQTSKLEKLNSTSPSCHPETSSRDRGTDLSSEPSTKKSSKAERASLEWSEIWEKTCMLTEEDLKDSTWTSRETWRSEEAGEIGAVVALEMLDLVLMETVSQMSSNATRSKNVNGI >Ma04_p38280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35883481:35886979:1 gene:Ma04_g38280 transcript:Ma04_t38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTSPKDALRTSKREMAVATRGVEREIASLQLEEKKLVAEIKKTAQTGNEAATRILARQLVRLRQQITNLQGTRAQIRGIATHTQAMYANTSLSTGMKGASKAMAAMNKQMEPAKQAKVMKEFQKQSSQMDMTLEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIAVSSKKVDTASRTVAPKNPEVDDLEKRLASLRRI >Ma04_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4919989:4923105:-1 gene:Ma04_g06750 transcript:Ma04_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASSSSPSLIDTLFQRSLDDLIRVLRSSSSAALEAAAIARALDEIRREIRSPDLDTKVVALQKLTYLASLHHFDMSWAAFHALELLPSTSLPQPRAAYLAASLSFHPSSTDLLPLATHQLRKHLAPSPSNSPAVAAPALHLLALASSPDLARHLAPDLLPILSNQSSNPLRPKAVATALRVLAVCPDTAPVLFKPLVECLSLSSDPRAVSAAIGAFCELALAAPDPSPYLPLAPEFYRLLVDSRNNWVTIKVLKIFARLAPLEPRVAARIVDPVCQLLRRFTAKSLVFECIRTVFSSLLDHDAAVRLAVDKIKEFLASDDDPNLRYLGLQALNMLGPAHSWAVEDSREVVIQSLNDTDTNIRREALRLIMGMLCDSNVVDICNMLIKYAIKSDPEFANEILDEVLATCGRNVYELIVDFDWYVSLLGEMVRNPHFAKGDEIERQLVDVGLRVRDARPELIRVARNLLIDPALLGNPFLCKVLSAAAWVSGEYVEFSRNPLELVEALLQPRTNLLPPFVRAVYVQAVFKILAYSFISFIEQIQAGESLAIGNSLNQRGGKQEGSDLVAAKSSSDQNNAFEIGDGVATADKAILFDSIEKQETFKHESISCLLNLIETVVGPLSECGEVEVQERARNVLGLILIIRGTQFWNIEEGHELTRDNKITKMVELMESAFSEELGPVSTNAQKRVSVPEGLILNENLSELLDILGDDDINPCASVSFSLRNHHSTETNQDSATAIEPNSLLAEHRKRHGLYYLPMDKDKSESNDYPCANEPLLPVSHGEDTEDIVKLPAQSLIPEKAKPTKPRPVVIRLDEGDGVSSSNLSTAKESKDDMLSGAIRDVLLRNEKKPSSTHKTSSDRTSQRRENDGSENSELISQQKESNSFGDREHGKSSSRKSRHHHKERIESSGQNRDNEEKSHRHSAKSSHHHRRHKHRQRGDAPLDIVPQSPVIQDFLL >Ma01_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4495131:4502960:1 gene:Ma01_g06290 transcript:Ma01_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALTAAASAPPPPPIAAVNRPLFSAVLAFAVAQFLKLFTTWYKEKRWDSRRLLGSGGMPSSHSATVAALAMAIALEEGTGGSSFALAVILASIVMYDASGIRLHASRQAELLNQIVCELPPEHPVSSNHRPLRELLGHTPLQVCAGAVLGCLVSYLMRGST >Ma08_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5812912:5813483:-1 gene:Ma08_g08340 transcript:Ma08_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTQDLDNIFHTFDMGSLRTHLPIKRKGLSKYFSGQSKSFKCLADAKCVEDLKKTDVPERKRRKYLDRRAAASNNALLFSCSS >Ma11_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26287032:26291988:-1 gene:Ma11_g22540 transcript:Ma11_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRNGKHEEVSLGNYLLAELKKNILPVEVDGPCGWISASCRFQYYENISIYTPDKWVHLPKIMQPKTGRHAPTSGSSTDVACSQIALPFTNLHGWEGNEAIASTAH >Ma09_p17180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12681299:12693907:1 gene:Ma09_g17180 transcript:Ma09_t17180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGQPLLFSPYGADSFKQVPAQQPTSVSCSCLGPSDSFSFSTFDDHKNHSSDLVDEVEAISFEESGFSQRQIVDVSNSSLNKDQLLWSESEFVEQSELECARQDGRQLVSWGVMELQGFSSSLEMPSSSSRQEKLDKSQQIHHKSLCPEEPCSAEDNSRLIYINDPRRTNNKYEFTGNEIRTSKYTVITFLPKNLFIQFHRLAYIYFLVIAGLNQLPPLAVFGRTVSLFPLLFVLFVTAIKDGYEDWRRHRSDRKENNREALILQSGEFGMKKWKKLRVGEVVKICADESIPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVCMVQEGNFSGLIRCEQPNRNIYEFTANMEFNGHRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTVSPSKRSRLESYMNRETLWLSVFLCIMCAVVATGMGLWLERHVHQLDSLSYYRKKYFTNDRYNGKDFKYYGIPMEVFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDRHMYDSSSDTRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASVYGKDYGNFLHHSNRTSHETITEGELERQRQNLPSEISVDPDLLALLRRGIEGEERIAAHDFFLTLAACNTVIPMVKRNPCPNSSNKVVEAGEIDYQGESPDEQALVVAASSYGYTLLERTTGHVVVNVNGKKIRLDVLGLHEFDSVRKRMSVVIRFPNNAVKVLVKGADSSMLGILDEKNEKTAKIKQMTEHHLSDYSSQGLRTLVIAARDLHDAEFEEWQERYEEASTSLTERSTKLRQAAALVEHNLDLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTPNMHQIIINGTSEDECRCLLANAKAKCGIKSAEHRDGTLKLKKFDYDFVDNADDKRTSSVSIPETGKQNLRYTGGGDHESNHCGDKLAGSDDISLALIIDGNSLVYILEKDLEPELFDLATSCRVVLCCRVAPLQKAGIVDLIKSRTNDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFCFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYVLCAAFSTISAVTDWSSVFYSVIYTSVPTIVVGILDKDLSHKTLLCYPKLYGAGYRQESYNLHLFWITMLDTLWQSLVLFYVPLFTYRNSSIDIWSMGSLWTISVVVLVNVHLAMDIQRWVLITHVATWGSIFITYMCMVIIDSIPIFPNYWTIYHLATSRTYWLTILLTTILALLPRFFCKVIHQIFWPSDIQIAREAEISRKGSDQVGLKPDHDINGRV >Ma09_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12681299:12693907:1 gene:Ma09_g17180 transcript:Ma09_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGQPLLFSPYGADSFKQVPAQQPTSVSCSCLGPSDSFSFSTFDDHKNHSSDLVDEVEAISFEESGFSQRQIVDVSNSSLNKDQLLWSESEFVEQSELECARQDGRQLVSWGVMELQGFSSSLEMPSSSSRQEKLDKSQQIHHKSLCPEEPCSAEDNSRLIYINDPRRTNNKYEFTGNEIRTSKYTVITFLPKNLFIQFHRLAYIYFLVIAGLNQLPPLAVFGRTVSLFPLLFVLFVTAIKDGYEDWRRHRSDRKENNREALILQSGEFGMKKWKKLRVGEVVKICADESIPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVCMVQEGNFSGLIRCEQPNRNIYEFTANMEFNGHRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTVSPSKRSRLESYMNRETLWLSVFLCIMCAVVATGMGLWLERHVHQLDSLSYYRKKYFTNDRYNGKDFKYYGIPMEVFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDRHMYDSSSDTRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASVYGKDYGNFLHHSNRTSHETITEGELERQRQNLPSEISVDPDLLALLRRGIEGEERIAAHDFFLTLAACNTVIPMVKRNPCPNSSNKVVEAGEIDYQGESPDEQALVVAASSYGYTLLERTTGHVVVNVNGKKIRLDVLGLHEFDSVRKRMSVVIRFPNNAVKVLVKGADSSMLGILDEKNEKTAKIKQMTEHHLSDYSSQGLRTLVIAARDLHDAEFEEWQERYEEASTSLTERSTKLRQAAALVEHNLDLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTPNMHQIIINGTSEDECRCLLANAKAKCGIKSAEHRDGTLKLKKFDYDFVDNADDKRTSSVSIPETGKQNLRYTGGGDHESNHCGDKLAGSDDISLALIIDGNSLVYILEKDLEPELFDLATSCRVVLCCRVAPLQKAGIVDLIKSRTNDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFCFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYVLCAAFSTISAVTDWSSVFYSVIYTSVPTIVVGILDKDLSHKTLLCYPKLYGAGYRQESYNLHLFWITMLDTLWQSLVLFYVPLFTYRNSSIDIWSMGSLWTISVVVLVNVHLAMDIQRWVLITHVATWGSIFITYMCMVIIDSIPIFPNYWTIYHLATSRTYWLTILLTTILALLPRFFCKVIHQIFWPSDIQIAREAEISRKGSDQVGLKPDHDINGRV >Ma09_p17180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12681299:12693907:1 gene:Ma09_g17180 transcript:Ma09_t17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGQPLLFSPYGADSFKQVPAQQPTSVSCSCLGPSDSFSFSTFDDHKNHSSDLVDEVEAISFEESGFSQRQIVDVSNSSLNKDQLLWSESEFVEQSELECARQDGRQLVSWGVMELQGFSSSLEMPSSSSRQEKLDKSQQIHHKSLCPEEPCSAEDNSRLIYINDPRRTNNKYEFTGNEIRTSKYTVITFLPKNLFIQFHRLAYIYFLVIAGLNQLPPLAVFGRTVSLFPLLFVLFVTAIKDGYEDWRRHRSDRKENNREALILQSGEFGMKKWKKLRVGEVVKICADESIPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVCMVQEGNFSGLIRCEQPNRNIYEFTANMEFNGHRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTVSPSKRSRLESYMNRETLWLSVFLCIMCAVVATGMGLWLERHVHQLDSLSYYRKKYFTNDRYNGKDFKYYGIPMEVFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDRHMYDSSSDTRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASVYGKDYGNFLHHSNRTSHETITEGELERQRQNLPSEISVDPDLLALLRRGIEGEERIAAHDFFLTLAACNTVIPMVKRNPCPNSSNKVVEAGEIDYQGESPDEQALVVAASSYGYTLLERTTGHVVVNVNGKKIRLDVLGLHEFDSVRKRMSVVIRFPNNAVKVLVKGADSSMLGILDEKNEKTAKIKQMTEHHLSDYSSQGLRTLVIAARDLHDAEFEEWQERYEEASTSLTERSTKLRQAAALVEHNLDLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTPNMHQIIINGTSEDECRCLLANAKAKCGIKSAEHRDGTLKLKKFDYDFVDNADDKRTSSVSIPETGKQNLRYTGGGDHESNHCGDKLAGSDDISLALIIDGNSLVYILEKDLEPELFDLATSCRVVLCCRVAPLQKAGIVDLIKSRTNDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFCFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYVLCAAFSTISAVTDWSSVFYSVIYTSVPTIVVGILDKDLSHKTLLCYPKLYGAGYRQESYNLHLFWITMLDTLWQSLVLFYVPLFTYRNSSIDIWSMGSLWTISVVVLVNVHLAMDIQRWVLITHVATWGSIFITYMCMVIIDSIPIFPNYWTIYHLATSRTYWLTILLTTILALLPRFFCKVIHQIFWPSDIQIAREAEISRKGSDQVGLKPDHDINGRV >Ma09_p17180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12681299:12693907:1 gene:Ma09_g17180 transcript:Ma09_t17180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGQPLLFSPYGADSFKQVPAQQPTSVSCSCLGPSDSFSFSTFDDHKNHSSDLVDEVEAISFEESGFSQRQIVDVSNSSLNKDQLLWSESEFVEQSELECARQDGRQLVSWGVMELQGFSSSLEMPSSSSRQEKLDKSQQIHHKSLCPEEPCSAEDNSRLIYINDPRRTNNKYEFTGNEIRTSKYTVITFLPKNLFIQFHRLAYIYFLVIAGLNQLPPLAVFGRTVSLFPLLFVLFVTAIKDGYEDWRRHRSDRKENNREALILQSGEFGMKKWKKLRVGEVVKICADESIPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVCMVQEGNFSGLIRCEQPNRNIYEFTANMEFNGHRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTVSPSKRSRLESYMNRETLWLSVFLCIMCAVVATGMGLWLERHVHQLDSLSYYRKKYFTNDRYNGKDFKYYGIPMEVFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIEDRHMYDSSSDTRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASVYGKDYGNFLHHSNRTSHETITEGELERQRQNLPSEISVDPDLLALLRRGIEGEERIAAHDFFLTLAACNTVIPMVKRNPCPNSSNKVVEAGEIDYQGESPDEQALVVAASSYGYTLLERTTGHVVVNVNGKKIRLDVLGLHEFDSVRKRMSVVIRFPNNAVKVLVKGADSSMLGILDEKNEKTAKIKQMTEHHLSDYSSQGLRTLVIAARDLHDAEFEEWQERYEEASTSLTERSTKLRQAAALVEHNLDLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTPNMHQIIINGTSEDECRCLLANAKAKCGIKSAEHRDGTLKLKKFDYDFVDNADDKRTSSVSIPETGKQNLRYTGGGDHESNHCGDKLAGSDDISLALIIDGNSLVYILEKDLEPELFDLATSCRVVLCCRVAPLQKAGIVDLIKSRTNDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFCFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYVLCAAFSTISAVTDWSSVFYSVIYTSVPTIVVGILDKDLSHKTLLCYPKLYGAGYRQESYNLHLFWITMLDTLWQSLVLFYVPLFTYRNSSIDIWSMGSLWTISVVVLVNVHLAMDIQRWVLITHVATWGSIFITYMCMVIIDSIPIFPNYWTIYHLATSRTYWLTILLTTILALLPRFFCKVIHQIFWPSDIQIAREAEISRKGSDQVGLKPDHDINGRV >Ma10_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24248874:24249582:1 gene:Ma10_g10210 transcript:Ma10_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDRSSDDVDSKDGNSQDSKMDFSEDEEKLITRMYNLVGERWSLIAGRIPGRTAEEIERYWTSRYSTSE >Ma09_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:16344210:16346066:-1 gene:Ma09_g18170 transcript:Ma09_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPQSPLPPAMSYTSSTWLMASLALLAGCFCLSSWFPLQSKLGEKYPALYSCTALMFFLSFLQTAAMSLATVRGHSVWLLRKKLEIATVIFSGFAGSGLGFLAMSWCVEQRGPVFTAAFMPFVQIFGAGIDFTILHEEVYLGSVLGSVLVIAGLYSLLWGKNKEARSCAAKAAEGNGENQVQVQLQSV >Ma02_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22990116:22990876:-1 gene:Ma02_g15180 transcript:Ma02_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGLSEATVCMLMDRFAPS >Ma09_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30180459:30193781:-1 gene:Ma09_g20840 transcript:Ma09_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPIAKDGPSKKPALSTADSAPPCPGGGGGGGDEEEKGEKREAPMRFLTWNANSLLLRAKNNWPEFSRLVQSLDPHVICFQEVRMPAAGSKGAPKNPNELKDDTSSSREEKQVLLRALSNPPFGEYRVWWSLSDSKYAGTALLVKKQLRPKKISFSLDRAASKHEPDGRVILAEFDSCFLLNTYAPNNGWKEEENSFKRRRKWDKRILEFVLQIEKPLIWCGDLNVSHQEIDVSHPEFFSNAKLNGYIPPNREDCGQPGFTLSERRRFDNILTQGKLVDAYRFLHNEKDMECGFSWSGNPVGKYRGKRMRIDYFIVSEKLKDRIVACEMHGHGIELEGFCGSDHCPVSLVLSEEP >Ma02_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14367933:14368177:1 gene:Ma02_g02990 transcript:Ma02_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIDGEDERKSMFTGLLFKCPFVSILPNPARRNVSDF >Ma03_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5516004:5516865:1 gene:Ma03_g07790 transcript:Ma03_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLDFLSSLLGGGHRYNKRKQFQTVELRVRMDCEGCELKVRNALSTMKGVRSVDISRKQYKVTVTGYVEPHKVVKRVKSTGKKAEIWPYVPYNVVARPYAAQTYDKKAPAGYVRNVEAIRVSSQVVKPEDELAALFSDDNPNACSIM >Ma03_p19300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24625536:24629553:1 gene:Ma03_g19300 transcript:Ma03_t19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSVFSADPTSPRQKNSPPESPHHSPDGDERGSFGGSGETGGWSFGGFVKTFATKSESVIRTYRRDLEEFGSGIKKETAFLGDATARAVLDLPGSLDAGASVAQESLESVGRIVDDIGGSVWRGTAEIISRGKEAIMSMEAEDSRTDQYPFDHGPQNGSPSSRRYNRFEAQLLAIQFDVNTFSEEPEDAQDFKNWRLGFDLAEKEDEIERLCYENGSLEGFLEKLVPRLVDYETFWCRYYYRVHKLKQAEEARAKLVKRVISGEEEEDLSWEVDDEEEDEEVEKDDKKEEILTENKEVQEEGKKDEIAKVVQKEDMNFESVIMEKHAEVSRVENLETSTANIDEGVSTDPGKAENPEIICKEEMNSKSDDETDGKTVQKGKTDDVGLCKDSSSSIVSSQHSVQEEDDLEWDEIEDLDEHDEKKSGDKSVNPLREDLRKRLSVVEDDEDLSWDIEDDDVSTKS >Ma03_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24625536:24629553:1 gene:Ma03_g19300 transcript:Ma03_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSVFSADPTSPRQKNSPPESPHHSPDGDERGSFGGSGETGGWSFGGFVKTFATKSESVIRTYRRDLEEFGSGIKKETAFLGDATARAVLDLPGSLDAGASVAQESLESVGRIVDDIGGSVWRGTAEIISRGKEAIMSMEAEDSRTDQYPFDHGPQNGSPSSRRYNRFEAQLLAIQFDVNTFSEEPEDAQDFKNWRLGFDLAEKEDEIERLCYENGSLEGFLEKLVPRLVDYETFWCRYYYRVHKLKQAEEARAKLVKRVISGEEEEDLSWEVDDEEEDEEVEKDDKKEEILTENKEVQEEGKKDEIAKVVQKEDMNFESVIMEKHAEVSRVENLETSTANIDEGVSTDPGKAENPEIICKEEMNSKSDDETDGKTVQKGKTDDVGLCKDSSSSIVSSQHSVQEEDDLEWDEIEDLDEHDEKKSGDKSVNPLREDLRKRLSVVEDDEDLSWDIEDDDVSTKS >Ma07_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6275275:6279361:1 gene:Ma07_g08450 transcript:Ma07_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLFFLKMWILGILMSFSITSSYSHPPYRAEQVVAAAVEDPFEPHDHTRFPEVKKQCRSFLSSGHNLQLDVNRANSLKQELSFARGDWRQASGEAPLMPFDTSDAAQNVSNLPDPLRLVTFALNHVDLNRNFHSSLNVSGALGLGISRNGTAPEAVRYQFPEFQFWPGSSQLRILFEGVYTESEENGGERVLCLLGSALLPSREADSANPWEWVKDSGLNKYQHPLLQDDQILLVLRYPKAFTLTSRAVRGEMKSLNRQSSPRYFDKIQLSSQLGPYSNYEFGSEKLISKACTPYPYRDDIIGSQFEVYRGSGFCGILDQFASGEILNIVPDWNCNSTDEYCSTLGPFASEKEINATDGGFANVGLMMQDIRCEPRIGTHNLSYARVSAVFRAIPPWENQYMVAQRTGLNGLTLTAEGIWNSSAGQLCMVGCLGLGNGGCHSRICLYVPTSFSISQRNIIYGRITSVNNTKGVLHFPLSFEKPVHPLELWNKMRSNPFTMYKYSKIKLAGAFLEKSEPFDFSTIIKKSLLSYPRKGDDGDDMVNLSNLADDLTLHVPAVPEPIPKVRIERPFLRMEVLSLGSLFGHYWAFSNVSFARSQNLQPSKSISTEQQLLLNVSAELTVSGNLYTNVSVLYLEGIYNPIDGRMYLIGCRDVRASWKILFESMDLEGGLDCLVEVKVEYPPTTARWLMNPTAKFSINSQRNDDDPLHFSPIKLQTLPILYRGQREDILSRRGVEGILRILTLSMAIFSILSQLFYIRDNGGVVPYISLVMLGVQALGYSIPLITGAEALFARFTSEFYENPSYTLEKNQWFQIIDYMVKILVLSAFLLTLRLGQKVVKSRIRLLTRAPLEPGRVPSDKRVLLISFGIHAIGFLGVLIVHFVNASRRPVYQEEYLDLRGNSHKVHEWGNQLEEYIGLIQDFFLLPQIIGNFLWQIDCKPLKKTYYIGMTVVRLLPHVYDFIRAPVFNPYFSEQYEFVNPSLDFYSKFGDIAIPVTAAVFVVVVYVQQRWNYDKLSQTLRSGQKRLLPLSSRVYERLPSVSFEAELVSGVNETETQGNLDKEET >Ma06_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1236579:1237901:-1 gene:Ma06_g01510 transcript:Ma06_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTLSVPNYLATSLKTRPCGPRQGMSLLAPQGPPLAAPVLHSRPVRTPEAIGGLASVWRKIHGADDWSGLVDPLSPLLREAIVRYGELVAACYKAFDVDPTSPRHLNCKYGKRRMLREVGLGSSGYEITKYVYATPGVGVPMQAGVRCGRWIGYVAVSSDDDARRLGRRDVLVSFRGTVTATEWIANLMSTLTPANLDPHDPRRDVKVESGFLSLYTSADATSRFGPGSCREQLLHEVSRLIDKHKGEEMSITVAGHSMGSALALLFGYDLAELGLNRLRLLQREIPIAVYSFGGPRVGNTGFKKRIEELGVKVLRVVNVRDPVTKLPGLLLNENWKAFGGSFELPWSCSCYAHVGVELELDFFEMQNPACVHDLETYIGLLKRPKVVQVHKEGMDLLAKARMLLRKKTNKKLPGWTWPDAARQVGNLAQSLRLI >Ma10_p13490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26267432:26271189:1 gene:Ma10_g13490 transcript:Ma10_t13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCAGEPKTVEKDQPHLPTDKSKSNLSLDVKKESLADEKETSSNGSGHIAAQTFTFRELAAVTKNFRADCLLGEGGFGRVYKGKLESSNQIVAIKQLDRNSLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLSPDKKRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLDEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTIKSDIYSFGVVLLEIITGRRAIDNSRAAGEHNLVAWARPLFKDRRKFSQVVDPVLQGQYPPRGLYQALAVAAMCVQEQPALRPLIADVVTALSYLASQNFNPESQPNQNTSRSTTPGTPPRTRRDTEKRSGY >Ma10_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26267432:26271189:1 gene:Ma10_g13490 transcript:Ma10_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCAGEPKTVEKDQPHLPTGIRDKSKSNLSLDVKKESLADEKETSSNGSGHIAAQTFTFRELAAVTKNFRADCLLGEGGFGRVYKGKLESSNQIVAIKQLDRNSLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLSPDKKRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLDEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTIKSDIYSFGVVLLEIITGRRAIDNSRAAGEHNLVAWARPLFKDRRKFSQVVDPVLQGQYPPRGLYQALAVAAMCVQEQPALRPLIADVVTALSYLASQNFNPESQPNQNTSRSTTPGTPPRTRRDTEKRSGY >Ma03_p27480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30855091:30856994:1 gene:Ma03_g27480 transcript:Ma03_t27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLPRTSFMFSSVVVVFLVGGLLSIVGQHKPGPAVSLLQEIHSLKLNSTVCVDPEAVDRASTDFGLITRAVPAAVFRPSSDSDIAALVGFSYASARPFGIAPRGRGHSVRGQALARDGVVVDMGALRGDGQGRIRVCGEGCRPSYVDAGGEQLWIDVLRETMKHGLAPRSWTDYLYLTVGGTLSNAGISGQTFLYGPQISNVYELDVITGKGETVTCSEQHEADLFFAVLGGLAQFGIITRARIAIEPAPTMVRWVRLIYKDFAAFTSDQERLISLEKKKGFNYVEGSVIMADSLTDNWRSSFYVEEDITRISRLAAQYGAVYCLEGAKYYDHATASSIDQELRSVVDDLRYLPGFEFRNDVSYMHFLNRVREGETKLQSRGLWNVPHPWLNMLIPRSRILEFDRGVFKSILKHNNSVGPILICAMNRNKWDQRTSAVVPDEEVFYAIGLLRSGMDGWKNLEEQNEEILRFCDDAGISYRQYLPHYTSLADWRKHFGAKWDVFVERKMRYDPRALLSPGQRIFTSSFAQHQSM >Ma10_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27514245:27515869:-1 gene:Ma10_g15420 transcript:Ma10_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGWRRGRVIGRGSSATVLLATDLDSGEVFAVKSVELSRSAVLQREQRILSSLGSPYVVSCFGFDVSSHRLAGGLYYNLFMEYAPRGSLSDEIVRHGGRLDEAAIRSYTYQILRGLAYIHSEGVVHCDVKGRNVLIGSDGRAKIADLGCASLIDEDDRGGGECRGLRGTPMFMAPEVARGEEQGPPADVWALGCTVIEMATGCPPWPDVPNAIGVVHRIAFSRVVPDFPSWLSAEGKDFLSKCLKRDPSERWTAEQLLRHEFVASSRTNPSSKPDSDRFWASPKSTLDQAFWESFSEQSAEHPSHDEPSARIQHLISSSSSPNWTWDENWVAVRSDGEDCHGFPSCPADATKDGEITVCGDGSKLSSIHHMDTVDSFHSSHEFGVINSNSGHCDTSFVQVAEGEERQFVSCKTEVYSSTNLRFPIGLVRS >Ma08_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35230521:35237154:1 gene:Ma08_g21300 transcript:Ma08_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIGGKYKLGRKIGSGSFGEIYLATHFDTFEIVAVKIENRRAKHPQLFYEAKLYNILQGGSGIPSIKWCGIDGEDNALVLDLLGPSLEGLFVYCSCKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRCVIHFSSKTYTVFMSLFVTAYCMIRIKVKNKNLTGTASYASCNTRLGIEQSCRDDLESLGGYVLLYFLRGSLQWQGLKAATKKQKSMIRYAGRSFQHPLKFYVNRIRWNLDLTSITAILWHLSNDLIMDF >Ma08_p27940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40028399:40031161:-1 gene:Ma08_g27940 transcript:Ma08_t27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDEAASFKLFGTVILKGERQVKEEEEAAQVASGPGGAAEAAARVAAALPCPRCKSRETKFCYFNNYNVNQPRHFCRACHRYWTAGGALRNVPVGAGRRRGRPAHRGVGVSSGGGVLERPPRERLGGEAAEQWLLRPQAPARVDPGFDSGGLR >Ma11_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5910744:5911877:1 gene:Ma11_g07420 transcript:Ma11_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIIPLISQPTPPRATQPLQQREPPARTQASLPERPTSPRNQIAQLGDREAEGTSSRPELEVPSADSTNALAFPTTLRGPARAWYSGLKIGTISSFDQLARDFELNFLAYARPKPSVALLLELNQREDEPLSHFVNRFTMQIQGLSDAHPSLLIHAFIIGLRPSRFFWSLVERPPMTVPDMLQRASQFVAAETWMAGRCEEHKRINPEPPQQQQPAASRRRLDRSDPPASKPPLPALNSSRTEIFLHIREKGLLKEPYPMRSPRALADQSKYCRFHRQHGHDTEQCRELKR >Ma08_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37648562:37650539:1 gene:Ma08_g24510 transcript:Ma08_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRGSSPMSERRRDEKRQTQTKRLMMAGATTSVMLILEVVGVAAVQCKYNQSAESSLSGSGSASTAQFRTTSAIQVICTQTDYKSTCESTLKKYVNESTAPMDLVRAAVLAVVDGVGEAFNVSDSIQSDDLKEKGADRGLQGNSPICPLPNKVHELKNWLGGTMADQQTCIDGFPEGDLKSKMQAAMDSAMDITSNALAISGKISSFLNLIQATGFSRRLLEAEPAEPGRYVDGNPSWVSHGDRKLLQTPATLQFTPNVTVAKDGSGNFTTLSEAVVQVPRKSDERREYTANMFGDGPDMIIVTGSKNYVDGVRTFKSLTFATVTLTATTPSAAPSTSSSAPPPLSSGFLTMRRPQAHHGTVVFAQSRVRVEESTGFISQNCSFVAVPALTDATPKVRCILGRPWNAFSTTIIMESNISGFIDPKGYIPMNGDIYLNTSSYFEFNNKGLGADTSTGMKWPGVKTISWEQTKAYTVEEFFHGREWIPS >Ma06_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23106358:23107984:1 gene:Ma06_g24570 transcript:Ma06_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRIHLIWSTHHSTIRTEFCLQITSLYSLFWALKQVRYHHASLLSLSSIPSFVLCSILCWFSGFSLSESFLLLSSSLILFLPFVMQGLTDSSSDRLLPLFCFSGIGSEQMGFPWSTEMRLCLCKLF >Ma10_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25331320:25331631:1 gene:Ma10_g12020 transcript:Ma10_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKNAQMLFRNNRKEEVSHPMNQTRSKHLYEDGSSQDVSCSFSLHQQMLSPLFLCQTAPPKDFFYQPEIAGSTDSESFLDQNTCCLLLLIMHHTKGNPALFF >Ma02_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16514053:16517482:1 gene:Ma02_g05200 transcript:Ma02_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKGNNRDPLYNCYKVPGLSDPILEAPHISYLEDRYALREELGWGQFGVIRACSDMLTGESAACKSIAKDRLVTPEDIRSIKLEIEVMAWLSGHPNVVDLKAVYEDNDYVHIVMELCAGGELFDRLEKHGCFPECEAAVLFRHLMEVVVFCHDKGVVHRDLKPENILMATKSSSSPIKLADFGLATYIKPGESLSGTVGSPFYIAPEVLTGGYNEAADVWSAGVILYVLLSGMPPFWGKTKSKIFESVRSAELRFPSDPWRSVSDSAKELIRGMLCRDPAKRLTAKQVIDHSWIKEHMQQHEVPCGQCCEISFTLGDLGSCSFSTPLVSASRDVSFSTGSLVSCQTLADHSSPAFTCRSSFSSVIFDTPCPSTISFSFQSSCESDNHRFSSPIPVLPSFAFFTPEQQKTFSFTSDESKADTSKTDTSCKKRIMSPDSAACFGRDFSELEHKWLEARRVPVTGSRGIGIHSRRNHTIGLGELEQLDVVVSESVIRWASCTHLSDSPSLRSSLVC >Ma09_p26640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37821502:37821762:1 gene:Ma09_g26640 transcript:Ma09_t26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWKLNEQAHWLDCIWIPLSNSDERILVGRSKSTVMLKPKSPMLHHQLQSR >Ma07_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:798868:799967:-1 gene:Ma07_g01030 transcript:Ma07_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPAAHVASRSSARACQDFKVLLHDLAPLLRVRERKGRATIERHLTPPSQSHISTQHMDALTSTAWMGSSSSLPTLFKGLLLRIQSHSQPNMKSAIVLIHKSWASHQPMRNFWNREESAKPRNHAISHINWLWIKDLESDSAQT >Ma04_p31580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31834223:31835054:1 gene:Ma04_g31580 transcript:Ma04_t31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLASAASSFVLTSNISTTTTTTTSSRISLLTFSKPSRKLVVRAGEASAPPPAPPAAGEGPAKATKPPPPQPIGPKRGAKVKILRRESYWYNGVGSVVTVDQDPKTRYPVVVRFNKVNYAGVSTNNYGLDEIQEV >Ma07_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31108921:31112496:1 gene:Ma07_g23480 transcript:Ma07_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MASLPPPTATSLGKNHPFPWLPSSKYTSLSQLSPPPKPSRQVAGRCNAMIGGVVLPDDFVVNGLHLDVSSPLFPYLQYGFVQFQRVVAGLPEIERSEILAFVGLCWVYLTVRPGVLVGAVDAYVLAPLQLGIDSLLGKRNLKMSDFVVGDKLGEGSFGIVYYGAIVEKNGSDVEDGVARRGRRAKLDQKYKEKVILKKVKVGIKGAEECGDFEEWFNYRVARAAPDSCAEFLGSFIADRTSSQFTKGGKWLVWKFEGDRNLAEYIKDRNFPFNLESIMFGRVLQGVDSVKRSALIIKQIMRQIITSLKRIHDTGIVHRDIKPSNLVVTRKGQIKLIDFGAATDLRIGKNYTPDRGLLDPDYCPPELYVLPEETPRPPPEPIAAILSPILWQLNSPDLFDMYSAGITLMQMAVPSLRSGAGLKNFNSELKSAGYDLNKWRESIPARLSPDLRLLDLDSGRGWDLATKLISERGFLRRGRLSSAAALRHPYFLLSGDQAAAALSKL >Ma08_p34280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44349518:44357474:1 gene:Ma08_g34280 transcript:Ma08_t34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERGEEGAAMEAEEYRVWKKNTPFLYDLVISHALEWPSLTVQWLPSSSSSSGGGGASHRLLLGTHTSDEAPNFLMVVDVRFPLPPPPPSDAPIPKVEISQTIPHQGEVNRARFMPQGPSIVATKTCGAEVNVFDCSRRPTRPTEGEETEPDVVLRGHATEGYGVSWSPLKEGYLLSGSYDSKICLWDVGMPPRDKVLDAKHVFEAHAAAVEDVAWHPKNENLFGSVGDDHLLMIWDLRSSASKKPQQMVTAHQDEVNSLSFNPFNEWILATASADTTIKLFDLRKLTTSLHTFSSHTGAVLQVEWSPRQETVLASSAADKRLMIWDLCRIGDEQTVEDADDGPPELLFVHGGHTSKISEFSWNPAMPWVIASVAEDNILQVWQMAESIYRDDCDTQNDNDY >Ma10_p25760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33735500:33737317:-1 gene:Ma10_g25760 transcript:Ma10_t25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPTTKWAQRSDKVYIIIELPDAKDVKFTLQPDGRFYFSATSGAENIPYELDFELFDKVNVDESKAAVGLRTICYLVKKAEKKWWSRLLKTAGKPPVYLKVDWDKWIDEDEDDEKEKKFGGMDFDDMDFSKLDMNGADDEPDDADEDDADMEGAEAKAEDGGGKVENAHVASTSEP >Ma06_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11985304:12004743:1 gene:Ma06_g17690 transcript:Ma06_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSRRKGSGRAAAAVSQQQQWKVGDLVLAKMRGFPAWPAMISEPEKWGFSAVRKKLFVYFYGTKQIAFCNYADIEAFTEEKKKSLLLKRQGKGADFVRAVDEIIDIYETLKKQDLYESNTSNDDVEPCAENLKLVGTRSNSFSFRNRLELGSLVDSDRKLETVCDMEETNDTVGSEEVSATSTADDSQKDLVIDEPLQMVTVLDQLRQNSLGASITSKKKRFRDDSQDSSFLQKSVPSRRGFKSSLVADSSITQESTSVYDSDLSGDLIPDVILSVNAESKTKQHASHTSNFHVTDVPCTASMPSNGCREETGTEIVAKDYEACQLNISVVESSCLHEASTNGCLGDKDKLNRNIELPTKSSVHKKIKSNRKQVNDAAECAVLNKDDDLQVVSGYSPPNSFNAMEETNQKADGDEHLPLVKRARVRMGKPVAEEAQHGELICGDEKLETLTVVTSCDEQHTSPTFTNTSLLEGTLLTGKEDLNSSVLNNCSPPSGKVLMFWKAKNYQLKNSMLDVEAALPPSKRLHRALEAMSANATEATESCTESTRAIEANIFMASDAKFGSPVRLRSICSSDCDTHAISQSGSVTQNLDIPSLSSSEVKTHDILADIEIVRSPQNKDCNKILQNVAECNGFSVSKAVVGITQKESPQPCSSKFTEEVNVTPSDNLADKARLSLDKTNKDMMENKDGNHHVCMDHINRDESVELVVHKQDAFLNADGGIHFVPPDEAVLTVSAADMVSIASSTSGATMSSFQSDEDSQTVTMQGAVKETQHRQTPKGRCISPDLMPMKELIAAAQAKRILSRSTSFSHSYLDGKIVPDAIVSPSLVHKGDFSGQGFPPNSLVNNTSTGDDMSNSSQNGGKISHISLQLKCTNRSNHVEANAAWKTFEALLCTLTRTKESIGRATRLAIDCAKYGLAAEVIELLLQNLEKEQSLHKRIDLFFLVDSITQCSRSQKGGVGDVYLSLVQSVLSRLLSAAAPHGNAASENRRQCIKVLRLWLERKTLPESIVRHHMRELGFTNELSYSSSSSRRPSRTERALNDPLREMEGMLVDEYGSNSNFQLPFLVNTNVLEDEEGNASDGKSFEAVTPERCAEMDGEKETIQTSIEKNPHVLEDVDVELEMEDVSPPVKMNSSGSYTIHSHHQTDQQHLLPFAPPLPEDRPPSPPPLPSSPPPLPPPPPLGSPCSTTHSVVPQWQSGVHALADAIELHLPRTDPNMQNQQSHYFSQQPSNQDAHLMSSKPAPQYGPSYGCLPGEMPPPASVPYGGVTTSHPCNRYRNDFQPLASTSLMDTGYRLRPPPPTVSNQFSYVQAEPQQRAHPWGNCPFPERFQYAHESHRGSLHGDQVATGQLYQDVVARNRLSPVLQPGSSLGDKVGVSPASLPPYGTSEPPSVTCNNGWSHPPRISNYSIPTSRPSIEGATSKVAGACGYWRPR >Ma10_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24608990:24609276:1 gene:Ma10_g10910 transcript:Ma10_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYALTSFISGYLSGGLYARNWYGKNWMKTNDLESIIVCIYVLWNRLHA >Ma01_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9658923:9662347:-1 gene:Ma01_g13210 transcript:Ma01_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLGYRLFQLLMLPLVGATASAAAAPPGCRRRCGEVDVPYPFGIDPNCSREGFSLDCNKMDGHEKLFLSNVEITNISLPLGQARMLSEISWQCYNVSNNSRYGYVWSLDFTNRPYRFSDVHNKFTVIGCDTLAYIGGWKRNDTYWSGCGSVCHNEVSLVNSSCSDIGCCQTAIPNDLTYYEVLFDSNFNSSSTWNFSDCSYAVLLEANQFEFRTSYITTNQFLSNSSMAPMVVDWAIRNETCEVAQRDTTSYACISEHSECLNSSNGPGYLCNCSRGYQGNPYVAQGCQDINECDDKDRYPCLGICQNTVGSYDCSCPPGTHGNPLNANCTADQKLSSPVKVIIGSGSGLIFLLISGMCIYVIFQRRKFIKIKERYFREHGGWILLEEIKAKERYFREQGLAFKIFAKEELEKATEKYDQNRVLGRGGHGTVYKGDLEDSRVVAIKKPKIIDESQKNEFGKELLILSQINHKNIVKLLGCCLEVEVPMLVYEFISNGTLFQLIHDNNRASPFSLATRLRIAHESAEALAYLHSSASPPIIHGDVKSSNILLDENYTAKVSDFGASKLVPKDEDQFATLVQGTCGYLDPEYLQTCQLTEKSDVYSFGVVLLELMTRKKPIYFEASEDERSLASCFILATKENRLMEILDDQVRNEGDTELIQEMSELVKQCLKFMGEERPTMKQVAEELDRLRKFKQHPWVPQNTEEIESLLSQPSVDHETYYHGIETTTSYNPEKRLGLDIEYGR >Ma05_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6621887:6624314:1 gene:Ma05_g09000 transcript:Ma05_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHRGKSAARKPLRDVSNAANALPSRKKSRAKDGADGALDRLLLLRSDLSSLVSQIDELIAQAMENRTISKKASQDIDSFRSILSDMHFSLKPWFSRLRQSFDTSLTATENKLKSLDTNPVPGAVRDRDAAASSRNEPELNLIVSSSPLVSWRAGTCTIDCGRQLFLLTPLPKGKPSVSKCPGSSKHMAGVFTEKEQSGRHKLPPFPVNVGNSCRDLIERVEEKHEPTGLSNAHSFGQMSGALDSGSASPLSLSNQKNRENEITSRLPKSSGMLDPISEPSQQDGVPEDDNDAEVSDSLSMTCQELFGMQAAPVFMSRRKKVDGTLNWFLSPPRTCILLEPSDEKPFPTPANSQLSLATPICKNLDSTHEDKLPGETTLKRELWTRFEAASSNHLHLDVSVFQDTRRKGFLDMLEEVSGKTSEFRF >Ma04_p25330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27138672:27139079:-1 gene:Ma04_g25330 transcript:Ma04_t25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWTKILSFICILPYDKVSLVSYDQNKLITVTPDTKVLRAMQLMTDDRIRHIPVVDDKGMIGMVSIGDVVRAVLNEHREELDRLNACTYIYKGVTRKVVLEESNTTR >Ma09_p25280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36804176:36816806:-1 gene:Ma09_g25280 transcript:Ma09_t25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDASNGQADNQTKKKTTNQLQSLEKFYSEEKYPEQTKMEEYASLLNLTYNQIRIWFVERRRKERRDNETMTSNVESFLNGSSSQASKFTDGHGRVAGITSRCAIERMYQLVKQKARHKVLQRLMKSHSVGRINHTDKDQVLLQILLSKDYILKKIFRKDGPTLGIEFDAPPGNAICYHTELQEPEPCHGKLQTPKRRKALVSHILATRSLPESDLCTRKHGMGKGLMTVWRATCPSSQELPTGVNYTDRSASWKPLRSTASRRAPSSHASKQLQQRESRMRQSSQRKSQERRKPSTRIGKVSSGKDMNQKEPCLKDCKLFLDKFSEQSSELIDLVDDEELELKELQVGSNPLRCSAHLASNGRHGCPLCKDLLARFPPQTIKMKQLFSTRPWDSSPELVKKLFKVVQFILTHSVTIEAGPFTLDEFVQAFHDKDSLLLGKVHVALLKLLMLDTEKEITAGFIPRASNACRFLVFLNFVREQDIDVDHWRRSLNPLTWVEILRHVLIAAGFGSKQNTAQRGNYNRERNRMEKYGLRPRTLKGELFSLLSKQGSGGLKVSELAGAPQIVELGFPNTTEELEKLIYSTLSSDITLFEKIGPSAYRLRVDPQIKGKGDSHSDTEDSGSVDDDSEDDNASGSSDDCEEMESTIHDRRIIKYNSLHKKTSKRITEYTEIDESYSGEAWMQGLMEGEYSTLSIEEKMDAIVALVDLVGGGSSLRMEEPVRAILVNPNERHRGSGAKIKKSLTNNQVLPVPLLEGNGCGGTYSLLNVSRSDPSELYMGFKNAKASSNISGCQSSASGISNSKVRESCQDMHPPQCILLGSDRRYNNYWLFLGPCTAHDPGHRRVYFESSEDGHWEVIDTAQALHSLLSVLDSRGTREACLLASLEKRKLYLCEAMNEYMTAVIGSRQTKSSRPSDLDSSSGDGSSPISDVDNYLISVELDSLSGGSCAIDIETGRNSEEKKQKWDRLQAFDKWVWNMFYSSLNAVKYSKRSYMESLARCESCHDLFWRDEKHCKTCHTTFEIDFDLEERYAIHVATCREPEDVGDFPKHRILSSQLQALKASIHAIEASMPEAALAGTWTTSAHWLWVKRLRRTSSLPELLQVLTDLVGALNEEWLYDCTTLGSDIVADDVILQFQTMPQTTSAVALWMVKLDSLIAPHLARVQSERIPICLPQSKRRRT >Ma07_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11013013:11014930:-1 gene:Ma07_g14600 transcript:Ma07_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADAIRTAVGILGNAIALGLFLSPVPTFVKIWKKGSVQEFSPIPYVATLLNCMMWVVYGLPMVHPHSMLVITINGSGLVIELSYVLLFIVYSSGGKRLKVLVMLLAETAFVGVVALLVLTLAHTHERRSMIVGVLCVFFGTMMYAAPLSVMKMVIRSKSVEYMPLSLSLASFFNGVCWTAYALIRFDPYITIPNGLGVMFAVAQLVLYAMYYKSTQRQVEAKKRKAELGLTEVVVVKGDANKIVSVPINGGGSNAENRDM >Ma05_p27610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38827425:38828216:-1 gene:Ma05_g27610 transcript:Ma05_t27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGRNNGKKLMAVCIVKHTMEIIHLLIDANPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Ma05_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10997498:11000429:1 gene:Ma05_g14950 transcript:Ma05_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTPEEPQNGEAAKPVINYRGWKAMPYVIGNEAFEKLGTFGTSANLLVYLTTVFHMKSVASATLVTVFNGTTNLASLLGAFLADTYLGRYATLGAASIASLLGMLILTLTAAVSKLHPPPCSSHGDACHGANPTQLAVLFASYVFMVIGAGGIRPCNLAFGADQFDPTTEAGKRGIASFFNWYYMTFTFAMMASSTLVIYVQSNVSWSLGLAIPTAFMFISCVLFFVGTKIYVKVRPEGSPITSVAQVLVAAFRKRALKLPDDLKGSLCDPPHLSSLVSKLPHTDQFSFLDKAAIITPMDDIKPDGSASDGWRLCSLQQVEQMKCLVRIIPVWSSCIIFEVTFVLTWTYVVFQALQSDRHLGHSNFEIPAATFPVFTMAAMTVWLFVYDRVVVPLLQRVTGKEGGITLLQRMGTGIALSVVMMTVAGLVEERRRSYALHKPTLGTTSSGGAISSMSSLWLIPQLVIAGLSDAFNVIGQVEFYYKQFPENMRSMAGGLLFLGFACSNYLGSLIITIVHRITGGHQKSNWLAEDLNQGRLDLLYFSIASISAVNFVFFIVCAKWYRYKTSDKDHEIALQTMEIRSSV >Ma06_p34070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34465369:34490742:-1 gene:Ma06_g34070 transcript:Ma06_t34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKYFFFVAALAALSFKFLQLTGAQLTDPSEVNALKAIRSRLIDPLGKLNNWEVGDPCTSNWTGVICYNLTLSDGYLHVIELQLFRRNLSGTLAPELGRLSRMTILDFMWNNINGSIPKEIGNITSLKLLLLNGNRLSGSLPEEIGYLPNLDRIQIDQNHISGEIPKSFANLNKTKHFHMNNNSISGKIPAELARLPKLVHFLLDNNNLTGHLPPEFSSLPELLILQLDNNNFNGTSIPDSYVNMSKLLKLSLRNCSLQGPVPDFSQIPQLGYLDLSGNNLTGNIPSNRLSNNITNIDLSNNHLSGTIPSNFSGLPNLQKLLLENNNLSGSVPSNIWQNMNFTGNKSLILDFRFNNLTNLSDTLNPPANVTILLTGNPVCENANQLQIDRFCQVQSVQMNETATNTGNICTCPMGYEYNPLSPLPCFCAYTLNVEYRLKSPGFSYFQPYYYDFEHYLTNGLRLFLSQLNIISFVWEEGPRLKMELRLFPTDSTIFNSSEVLRIRNMFTGWEIPDSDIFGPYELLDFELGHYGNNELLNPSSSKLSTGAVVGIILGAVAVAAILSAVVTILIMRRHSKSQMVSKKRLSSKIPIKIDGVKDFTYEEMELATHKFSSSTQVGQGGYGKVYRGILADGTIVAVKRAQQDSLQGSKEFFTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGAARGILYLHTEADPPIFHRDIKASNILLDSRFTAKVADFGLSRLAPVPESEGTAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLEILTGMQPISHGKNIVREVTMACQSSMVFSIIDNRMGSYPSECIEKFVSLALRCCLDETDARPSMTEVVRELETIWRMTPEADTTASESTATDSGMKATPPSSSSDSRNPYVSSDVSGSNLLSGAMPTIIPR >Ma11_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9781091:9785077:-1 gene:Ma11_g10390 transcript:Ma11_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVRDLGAGNFGVARLLRHKDTKELVAMKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTRTHLGIVMEYAAGGELFDRISNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTIGRIVAAQYKIPEYVHTSQDCRQILSRIFVANPERRITIREIRNHPWFLKNLPRELTEAAQAQYYKRDNDAPTYSLQSIEEIMRIVGEARTPPPSSTPVAGFGWLAGEDEGKQEEQDNNVEEEDEYEKRVKEVRASGAYPLVD >Ma06_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11038068:11038924:-1 gene:Ma06_g16310 transcript:Ma06_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCQVEGWEGKASAKLPSTKADEAWSLLSSFCSIHLWFPSIDCRMIAGAEGQPGCVRYCTSPPGDDGSDAAFWAAEELLAFDPVGRSYSYKVTENNMGFGRYVATLRAVPLPGDERGCELQWTFDCDPLPAWTKEGLVAYLQVNLDGIAKRVEDAVRTAASAALSNTQASE >Ma06_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22233936:22240830:1 gene:Ma06_g23970 transcript:Ma06_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVTIRGGEMYSSSIPLRSGLLIAWSSPDSSVRLGLRPNLKTQSIRLLSKRQRCPRASLSSDPLLVQAARGNAISRPPAWMMRQAGRYMAVYRKLAEKYPSFRVRSETVDLIVEISLQPWRAFRPDGVIIFSDILTPLPAFGVPFEIEDVKGPIIQSPICDEEGLKCLHPIDLEKLQFVGESLMILRGEVGKKAAVLGFVGAPWTIATYIVEGGTTRTYTTIKRMCHTAPHVLRVLLSHLTQAISDYIIFQVKSGAQCIQIFDSWGGQLPPHVWEHWSKPYIKQIVSSVRKECPQTPLVLYINGNGGLIERMTGTGVDVVGLDWTVDMADGRRRLGNNVNVQGNVDPAYLFSPLPVLTDEIHRVVRCAGRRGHILNLGHGVLVNTPEEAVAHFFDVVRGLSYDSLFEDDVAVDELEPLTRL >Ma06_p23970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22233930:22240830:1 gene:Ma06_g23970 transcript:Ma06_t23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPSRKPWRAFRPDGVIIFSDILTPLPAFGVPFEIEDVKGPIIQSPICDEEGLKCLHPIDLEKLQFVGESLMILRGEVGKKAAVLGFVGAPWTIATYIVEGGTTRTYTTIKRMCHTAPHVLRVLLSHLTQAISDYIIFQVKSGAQCIQIFDSWGGQLPPHVWEHWSKPYIKQIVSSVRKECPQTPLVLYINGNGGLIERMTGTGVDVVGLDWTVDMADGRRRLGNNVNVQGNVDPAYLFSPLPVLTDEIHRVVRCAGRRGHILNLGHGVLVNTPEEAVAHFFDVVRGLSYDSLFEDDVAVDELEPLTRL >Ma10_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15246326:15254682:-1 gene:Ma10_g04600 transcript:Ma10_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMSHHATARSAGEALPRGIPRYVLSRKARDSVVPHFWGGSRAKGVFESLHRDLMVAFSNWEFDPMNTSNPFPNNEGSVHKWQGYKDKLVQVELQRYLAQKLAWIQYHESPEGGHFFMCVDHWGDAILKALLHSDDTST >Ma05_p24920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37038300:37046521:1 gene:Ma05_g24920 transcript:Ma05_t24920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQATRSQDHGECEHGDDGAKPLLSGRNRVSRRPVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGNLHVAKTYAGNGSIQLRGVQVIDELKYLLNLLTLCWHFSKKPFPLFLEATGYSQDDVILQEPKAGILKPAFAILIDKSTKCILLLIRGTHSIRDTLTAATGAVVPFHHTVMNEGGVSDLILGYAHCGMVAAARWIAKLAGPCLMKVLHEYPEYQLKIVGHSLGGGTAALLTYILREQQDFATTTCVTFAPAACMTWELAESGRHFITSVVNGADLVPTFSAASVDDLRSEVTASAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIATARARVAGAGAILRPISNKTQVVVKTARSVAQAAWAQPPLRLSSWSCIGPRHRSKSTVPNSRLEESISGSSTSAKENSEALASSTETTTLENIEIITSQGVGWTTEYECSQISEISHATEVDDNEDDSDSEDLMGHGRIEDSMTEVELWQQLENELYRTRQNKDGDLANENEDADLENEIREEQNTTAAEESRGTTEGMLTETKEVHRFYPPGKIMHVVPILPDGTTNQEAPNDGHDDGSLPVEPKFGIFLTPRSLYGKLRLSQTMINDHYMPIYRRNIEQVISELEKDISSDISGDETIL >Ma05_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37038300:37046521:1 gene:Ma05_g24920 transcript:Ma05_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQATRSQDHGECEHGDDGAKPLLSGRNRVSRRPVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGNLHVAKTYAGNGSIQLRGVQVIDELKYLLNLLTLCWHFSKKPFPLFLEATGYSQDDVILQEPKAGILKPAFAILIDKSTKCILLLIRGTHSIRDTLTAATGAVVPFHHTVMNEGGVSDLILGYAHCGMVAAARWIAKLAGPCLMKVLHEYPEYQLKIVGHSLGGGTAALLTYILREQQDFATTTCVTFAPAACMTWELAESGRHFITSVVNGADLVPTFSAASVDDLRSEVTASAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIATARARVAGAGAILRPISNKTQVVVKTARSVAQAAWAQPPLRLSSWSCIGPRHRSKSTVPNSRLEESISGSSTSAKENSEALASSTETTTLENIEIITSQGVGWTTEYECSQISEISHATEVDDNEDDSDSEDLMGHGRIEDSMTEVELWQQLENELYRTRQNKDGDLANENEDADLENEIREEQNTTAAEESRGTTEGMLTETKEVHRFYPPGKIMHVVPILPDGTTNQEAPNDGHDDGSLPVEPKFGIFLTPRSLYGKLRLSQTMINDHYMPIYRRNIEQVISELEKDISSDISGDETIL >Ma05_p24920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37038300:37046521:1 gene:Ma05_g24920 transcript:Ma05_t24920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLQATRSQDHGECEHGDDGAKPLLSGRNRVSRRPVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGNLHVAKTYAGNGSIQLRGVQVIDELKYLLNLLTLCWHFSKKPFPLFLEATGYSQDDVILQEPKAGILKPAFAILIDKSTKCILLLIRGTHSIRDTLTAATGAVVPFHHTVMNEGGVSDLILGYAHCGMVAAARWIAKLAGPCLMKVLHEYPEYQLKIVGHSLGGGTAALLTYILREQQDFATTTCVTFAPAACMTWELAESGRHFITSVVNGADLVPTFSAASVDDLRSEVTASAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIATARARVAGAGAILRPISNKTQVVVKTARSVAQAAWAQPPLRLSSWSCIGPRHRSKSTVPNSRLEESISGSSTSAKENSEALASSTETTTLENIEIITSQGVGWTTEYECSQISEISHATEVDDNEDDSDSEDLMGHGRIEDSMTEVELWQQLENELYRTRQNKDGDLANENEDADLENEIREEQNTTAAEESRGTTEGMLTETKEVHRFYPPGKIMHVVPILPDGTTNQEAPNDGHDDGSLPVEPKFGIFLTPRSLYGKLRLSQTMINDHYMPIYRRNIEQVISELEKDISSDISGDETIL >Ma03_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28395374:28400131:1 gene:Ma03_g24000 transcript:Ma03_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGLEVQPGKTVKVDPGENKLLHLSQASLGEVKDKGNEGVPVFVKFDNKKLVIGTLSADKCAQIQYDLVFEKEFELSHGLKNTSIYFLGYKTVIQEEGELSDEMDTESESDEEDIPLAQNINGKSEVKEEKPKPSAGKPNALKADASVAKSKPKMEVVKDEKRKADENDDDDDDDESEEDDSDGDEDMLDEDDDSDDEDADESSDEEEEATPKKVETGNKRPAGSALKTPAPEKKAKVISPAGNKKTGADGKKGSYVATPYPAKQGKTPANSDKSKQQTPKSAGSISCNSCSRTFNSDNALQAHTKAKHSGGK >Ma10_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14680027:14687555:-1 gene:Ma10_g04050 transcript:Ma10_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGCTGGVIPGADRTDECNPPKVDEDAMILEKIPVKEEESVVVNKAPEFDGEFMDPEDTPDEEAKRNQTKADHDEKGVHCTNSMSLDIPAQGSKHVLGKAKDNSHVKKRRNCHTEVKDEQKGAGKQLKRKRVATDGNTNSDDKEFLTAECRREIKELFEYYKEFSGLGLQHDDSECHSNNLMIAYLLEERSLSFSKLVEEIYDKLKGREGITLASVRSTVLFVGQRVMYGISSADADVLEDESESCLWCWETRDIKLFSAALRAIVNIRRIARKKIHERISALCATLSVLTSSEYKDGQRTDLMKPSMILGKILNKQGISSLVEKLTQKKCVDNAAKEARLQEKELMKEAEKNKRSAEKEKKKMDLELQKEKLRIEKERKRMQEEAERQEKRREKEEAELKKQNKKRQEEAAREQRRREKEEAEQKKQLAMQKQASMMECFLRSKKSSNSSDNSDRLSPMKSQSVDTASKNEGITNAVTSSMDCAFSQQYSVSMEDLCRLHIAGWHKLAHCNRSCHWGQRRNPKMELIKELKLQRPYLLGESPDKMATPMKDASSYEVNNSSESSYYKFDDELESSISNISHQNDPIVASSSARSWIKKLLQFDKSFKPAYYGTWHRKSGVVGPRHPFRKDPELDYDVDSDEEWEEEDPGESLSDCEKDVEEILDAENLKDEDDIESEDSFVVPDGYLSENEGVQIETSEFPDDEAKVSECCKLEVDNEEFRTLLQQHKILCTFTERALRKSQPLVISNLSHEKIKLLSAEDLNGKAKVDQVCLQALCMRAIPGGAIVDIFTNPSTSYEDQQVPLAPEESAAQAATAPVVSDKDLPEYVRLIQSCPHGINKLVDVLLQKFPSIPKSQLRNKIREISDFVDNRWQVKKDVLQRLGLSASEPSPDKGGKQKSIAMYFSKRCLPPEGQSINISESSPQSSAKSKAQNFGSDGQFRESGLQFRDL >Ma05_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6984812:6985591:-1 gene:Ma05_g09680 transcript:Ma05_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETIPTRNAGSATVAVTGSKEAALTMGGGRKASHFRGVRKRPWGRFAAEIRDPWKKTRKWLGTFDTAEEAARAYDEAARSLRGPKAKTNFGNSVPVVEILPSAAASAVPAPQISWSLAPPWRSGFLALAPAPNGQDMFLGWQSNQSKYSWYRFEAVKMVVSEQEEAKKKKKKSFDFDLNLPPPFI >Ma08_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4916777:4917862:1 gene:Ma08_g07180 transcript:Ma08_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSAAAKKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGEVTIASGGVMPNIHNLLLPKKAGSGSSKAAPGDDDN >Ma04_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11330563:11335345:-1 gene:Ma04_g14950 transcript:Ma04_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQTQQQQQPPPGDGFTSQFAGMSKAQLYDIMSQMKALIEQNQQQARQILIDNPLLTRSLFQAQIMLGMVQPPKVMPTIQQPLSQPQPAHVGQPPNVQNSQTPPVQVGPQGEPSSSQTLPPARQQNPAPPAISVPPASVAPSTFQLPTMPLALSAPQTKSFPVVQIPTVPPPQSSQIQNISLPAPAAPHYSTLPSHMPMVPVQPHQTLQNPGVFNQALQPPLPMQPRPVAIQPFAHQLHPQMAHSLGFQPSSAPQQLLSQPLFHSGITPPTSFIQGQPPLPSQPPPQHMYQVGSHLGPDHGSQAGTPPMQADRGALWAPGSHLPGLPMISGQMATGTSGQPLRPPPLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQEMLR >Ma04_p14950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11330563:11335339:-1 gene:Ma04_g14950 transcript:Ma04_t14950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQTQQQQQPPPGDGFTSQFAGMSKAQLYDIMSQMKALIEQNQQQARQILIDNPLLTRSLFQAQIMLGMVQPPKVMPTIQQPLSQPQPAHVGQPPNVQNSQTPPVQVGPQGEPSSSQTLPPARQQNPAPPAISVPPASVAPSTFQLPTMPLALSAPQTKSFPVVQIPTVPPPQSSQIQNISLPAPAAPHYSTLPSHMPMVPVQPHQTLQNPGVFNQALQPPLPMQPRPVAIQPFAHQLHPQMAHSLGFQPSSAPQQLLSQPLFHSGITPPTSFIQGQPPLPSQPPPQHMYQVGSHLGPDHGSQAGTPPMQADRGALWAPGSHLPGLPMISGQMATGTSGQPLRPPPLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQEMLR >Ma08_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26050796:26070153:-1 gene:Ma08_g17550 transcript:Ma08_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKCSKAPKIQRLVTPLTLQRKRSRIAEKKKRITKAKSEAAEYQKLLATRLKEQRERRSESVAKRRSKLSAASKPSVAA >Ma01_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2266900:2274936:1 gene:Ma01_g03430 transcript:Ma01_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTRCETFLLGLGFDVLQPLYNLSYSSPPCIPSLAPVSSRCVSGYLKGAAFGLYKMFFEGFGYHRDTFEQTYRCYPTSFIDKPQLETGDKIIMPPSALDRLAALHIDYPMLFELHNVATERVSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDIVHVKNATLPKGTYAKLQPHTQDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDILETKPSSAVSIIETDCEVDFAPPLDYKEPKRQRTSVFTSKAPAQVQDAQDEAEDEHRFTPFTGIRRRLDGKPLKDDAPTVTSSVKDRKSEAANSRKQSTPSTSQSGSSHQNMGKLVFGSHSSHASREAQKDAPKEMKEEAARNEEPKFQAFTGKKYSLRD >Ma11_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2673526:2678439:1 gene:Ma11_g03580 transcript:Ma11_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPAAARRALFSFAVMLLLQMSLSASRPSLTRAAARWDPIIRLPSSRLVPEPGEGGRQDEEEETGTKWALLVAGSSGYGNYRHQADVCHAYQLLRRGGLKEENIVVMMHDDIAHNPLNPRQGVIINHPQGQDVYAGVPKDYTKEQVTAKNLYAVLLGDRSAIEGGSGKVIDSKPDDRIFIYYSDHGGPGVLGMPNMPFLYAADFIEVLKMKHASNGYKEMVIYVEACESGSIFEGLMPENLDIYVTTASNAVESSWGTYCPGMDPPPPREFTTCLGDLYSVAWMEDSETHNLKEETVGKQFEEVKMRTSNHDTYNTGSHVMEYGDKSVKSDVLSLYQGFEPAIANVTENALRLRMPMGVINQRDADLLFMWKMYEQSDERSSKKKEILEEITKTLMHRVHLDSSIDYIGNQIFGSEIGPSILRAVRPSGQALVDDWECLKSMVRAFESHCGSLTQYGMKHMRAFANICNEGISKDVMEAACSRSCKSYDGAAAMWSPSHRGFSA >Ma03_p27040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30525200:30525758:1 gene:Ma03_g27040 transcript:Ma03_t27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRAAMISSVQILSLAVSQQCCRHPWPLFMQQRKQNIGDLFFSSKIPQKGTPNL >Ma02_p02810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14226585:14235994:-1 gene:Ma02_g02810 transcript:Ma02_t02810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAYLPATTDSIAQALEAKDHAESISLLYRVLENPSSSSEAMHIKEQAILNLTDLLTQEKKAEDLRGLLTQLRPFFSLIPKAKTAKIVRGIMDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAGLLMENEEYSEALMLLSGLIKDVRRLDDKLLLVDIVILESKLHFSLRNLPKAKAALTAARTAANSIYVPPAQQGMIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAIFSLKYMLLCKIMVNQADDVASIIASKAGLQYLGPDLDAMKAVADAHSKRSLKSFETALRDYRVQLEEDPIVHRHLSSLYNTLLEQNLCRLIEPYSRVEIVHIAEMIELPMDHVEKKLSQMVLDRKFAGILDQGPGCLIIFDDPKADAIFPATLETVTNVGKVVDSLYVRSSRIMA >Ma02_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14226585:14235998:-1 gene:Ma02_g02810 transcript:Ma02_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAYLPATTDSIAQALEAKDHAESISLLYRVLENPSSSSEAMHIKEQAILNLTDLLTQEKKAEDLRGLLTQLRPFFSLIPKAKTAKIVRGIMDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAGLLMENEEYSEALMLLSGLIKDVRRLDDKLLLVDIVILESKLHFSLRNLPKAKAALTAARTAANSIYVPPAQQGMIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAIFSLKYMLLCKIMVNQADDVASIIASKAGLQYLGPDLDAMKAVADAHSKRSLKSFETALRDYRVQLEEDPIVHRHLSSLYNTLLEQNLCRLIEPYSRVEIVHIAEMIELPMDHVEKKLSQMVLDRKFAGILDQGPGCLIIFDDPKADAIFPATLETVTNVGKVVDSLYVRSSRIMA >Ma02_p02810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14226585:14235998:-1 gene:Ma02_g02810 transcript:Ma02_t02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAYLPATTDSIAQALEAKDHAESISLLYRVLENPSSSSEAMHIKEQAILNLTDLLTQEKKAEDLRGLLTQLRPFFSLIPKAKTAKIVRGIMDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAGLLMENEEYSEALMLLSGLIKDVRRLDDKLLLVDIVILESKLHFSLRNLPKAKAALTAARTAANSIYVPPAQQGMIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAIFSLKYMLLCKIMVNQADDVASIIASKAGLQYLGPDLDAMKAVADAHSKRSLKSFETALRDYRVQLEEDPIVHRHLSSLYNTLLEQNLCRLIEPYSRVEIVHIAEMIELPMDHVEKKLSQMVLDRKFAGILDQGPGCLIIFDDPKADAIFPATLETVTNVGKVVDSLYVRSSRIMA >Ma06_p29860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31225349:31227058:-1 gene:Ma06_g29860 transcript:Ma06_t29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYRLAHLYYGVHLFLPYLHRVCLCAMAFPQVLPPIASIFISSLLLQLAAASAPCAFPAIFNFGDSNSDTGGLSAAFGQAPPPNGETFFRKPAGRYCDGRVIIDFIANSLGLPRLNAYLDSIGTNFSHGANFATAGSTIRPQNTTLFQSGFSPFSLDVQTWQFSQFKSRSQSFLDQGLFKDQLPKKEYFSRALYTFDIGQNDLTAGYFSNMTSEEVKASIPDIVDKFSMAVKSIYWGGGRFFWVHNTGPFGCLAYVLDRLLLRAPEVDRFGCGSPFNEVAQLFNTKLEEAVAQLREDLPLAVFTFVDVYSVKYELISHATKHGFELPLVACCGHGGKYNYNANNGCGSTITVNGTEVVIGKSCKDPSKRIIWDGVHYTEAANRWVFDQIAEGKFSVPHTPLRMACKERAL >Ma05_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25910239:25911458:1 gene:Ma05_g19030 transcript:Ma05_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEHDNHHKKNSRADHKSSSSNIVSSSSSSKSSPSSNPSVLIPPTIRRRTVEEVWNDISLTTLHHERLLIPLDHQSYHHHHPASSPSFKGMILQDFLAGPLNRPRTISPHAVEELPLLPPPLSPTLPQTALSLNSGMEFEYLRPHADSHSNSSSNGQNACFIPFAISGAIDGPPSPTALFSFCSKNRLPENSVVGTDRYRRRMIKNRESAARSRARKQAYTNELELEVSRLKEENAKLKKQYEELRSAMVAQQHKSNTLQRSSTAPF >Ma04_p39530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36639850:36647377:1 gene:Ma04_g39530 transcript:Ma04_t39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPERRGGGGEGERGEEAAAPVARAAAGLGRRRSGDPSSSRQRSADEVWPEHFVEAVAAQVAVDATRSAGRLAAAPAVVAVFQVCSTWRDVSRSELLWQDLCRRVWSRRRSTLPSWRDEFVRLHRTAANFRFRRCAYSQLLPASDDALSCRRLALSDHHLAAGFHDGSVLLFDLPAGQLLATYRADPHRDRLGRFSQAISGIILLAEPDESLTFSSQDGDIHVASLDVSGSARRAHVGNLMEDGTLVDFTGDARWWVGLFAGVPGRSWHIWDADTEQLVYVGGTLTDSNAILGWHMLTDLSRPVLARTRIAEPGIVVGCTASSMEAVDLNDSGTILNQLELPHGAVVDSVDACEGRVMAVDSRGLAKVREVPTLQELCRFGTGRRVEGQQQQGTVRVKACMNWCYAIVCSGRGVRVWDATTGAYLYSFRERIGEAAVAAASDRYVSAWADDSGLHLWDFGDL >Ma08_p23470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36854556:36859269:1 gene:Ma08_g23470 transcript:Ma08_t23470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPKTRDLVKELVLRLVSPVDSPSSAAEEVPRAIGFAHRLLSSRMAPSLAPDELAIAESIKRHLAASGRSSDALAFADLHTELSARSSGPGAIRNRWALLYLLKSLSDARRREPLLDSTGTAGLPAIPLDPQPSHQLPLAAKKILPPSGGVLLVSKDPENIREIALQKYADLVMDETEVSESALVRDILFVCQGINGRYVRFDKASDCYDLPESLKLPRSMRTMVRKLCELGWLFQKVRCYITESMSCFPAEEVGTVGQAFCSALQDELSDYYKLLAVLESHSSNPIPTPGSISGVPGNYLTLRRLAVWLAEPTVKMRLMAVLVDGCRGLRGGAMAGAIHGRAQHGDPLVQEFMGRLLRRVCSPLFEMVRSWVSEGELEDTFAEFFIQSQTVKAESLWQEGYQIQSAMLPSFISPALAQRILRTGKSINFLRVCCEDNGWADAAAKAAADVGTTTRRGGIVYGETHALEGLVIEAAKRIDRHLMDVIHRRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANTISSFQLAGLLETAIRASNSQYDDRDILDRLKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKKYLKIFNFLWKLKRVEHALIGVWKMMKPNSIISCIFTKEGVAVKTQFVSVLRRCQVLWNEMNHFVTNFQYYIMFEVLEVSWSQFSEEMNAAKDLDDLLAAHEKYLNSIVEKSLLGERSQGLIRILFVLFDLILRLRSHAERSFEHIFELQVRGRSKSRIKMMGAGPWFVGDRKAMMQLAGEFLGRMGEDLDKIAKEYSASLDDFISQLPIQQHVDLKFLLFRLDFTEYYSRLVPSK >Ma08_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36854556:36859576:1 gene:Ma08_g23470 transcript:Ma08_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPKTRDLVKELVLRLVSPVDSPSSAAEEVPRAIGFAHRLLSSRMAPSLAPDELAIAESIKRHLAASGRSSDALAFADLHTELSARSSGPGAIRNRWALLYLLKSLSDARRREPLLDSTGTAGLPAIPLDPQPSHQLPLAAKKILPPSGGVLLVSKDPENIREIALQKYADLVMDETEVSESALVRDILFVCQGINGRYVRFDKASDCYDLPESLKLPRSMRTMVRKLCELGWLFQKVRCYITESMSCFPAEEVGTVGQAFCSALQDELSDYYKLLAVLESHSSNPIPTPGSISGVPGNYLTLRRLAVWLAEPTVKMRLMAVLVDGCRGLRGGAMAGAIHGRAQHGDPLVQEFMGRLLRRVCSPLFEMVRSWVSEGELEDTFAEFFIQSQTVKAESLWQEGYQIQSAMLPSFISPALAQRILRTGKSINFLRVCCEDNGWADAAAKAAADVGTTTRRGGIVYGETHALEGLVIEAAKRIDRHLMDVIHRRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANTISSFQLAGLLETAIRASNSQYDDRDILDRLKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKKYLKIFNFLWKLKRVEHALIGVWKMMKPNSIISCIFTKEGVAVKTQFVSVLRRCQVLWNEMNHFVTNFQYYIMFEVLEVSWSQFSEEMNAAKDLDDLLAAHEKYLNSIVEKSLLGERSQGLIRILFVLFDLILRLRSHAERSFEHIFELQVRGRSKSRIKMMGAGPWFVGDRKAMMQLAGEFLGRMGEDLDKIAKEYSASLDDFISQLPIQQHVDLKFLLFRLDFTEYYSRLVPSK >Ma03_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8045986:8048679:-1 gene:Ma03_g10670 transcript:Ma03_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLVTTSFLLTVASSLRDVPRSSCSSKDKVDELHHLNSSGIHFTLHHPRSPCSPAPFPSLSFSAILSHDDARVRSLAARLRNTTLAPSRSSLILHPDAASIPLSSGTSVGVGNYVTRVGLGTPVKSYVMVVDTGSSLSWLQCSPCRVSCHSQVGAVFDPAASATYRSVSCSAPECHGLLSATLNPSSCSVANVCIYQASYGDTSFSVGYLGKDSLSLGSTRALADFVYGCGQDNEGLFGKSAGLIGLARNELSLLSQLGPRLGHSFSYCLPTMVSTGYLSIGSYNPAQFSYTPMVSSSLDDTLYFVRLTGVTVGGKNLPVRASAYTGTPTIIDSGTVITRLPPDVYTALSNAIVAALKRYKRAPAYSILDTCFRGTVKASAVPAVNMVFEGGATLKLAPRNVMINVDDATTTCLAFAPSGRVAIIGNRQQQTFNVVYDVAKSRIGFAAGGCS >Ma03_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3077950:3080486:1 gene:Ma03_g04670 transcript:Ma03_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDEKNQCNIGDRVRLDSSRPLSKRKHWVVAEILRKARIYVRPSLAAQTPGEPAAASTPLGSLPSSRG >Ma02_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21371527:21374869:1 gene:Ma02_g12760 transcript:Ma02_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAEVLLILFLTLGPMGSTGEENTSTDITFPLPANCPKRCGNISFEYPFGIGSGCYRAGFNLTCISHSTDPPTRSLFLGDGTVEVIDFDMDNGIVYVKTPIVTMDVDEEYINHTLIDLGNFPFSFNLEANFTDSFTISLAYNEILVFGCSANADLVDLATNKTINTCSTTCFANSSSPYEYWYSFDTGYCSFDMYNLNAENLTSLGIRLTRLNQTEHHLFNASIIKAFLYSERNFTNENIEGIVNGTKTQVAVTTLAYYIIDHPTCKEANQHRTTYACLSYNSDCYDIFPEIIHINYTIGYICRCSLSYQGNPYMPNGCQDAIFTQSLPNNCLTKCGDINISFPFGLEEGCYRDQSFFLSCNWTTKPPTLLFQDYYIVMNMSLEEGTLEVKRIYDYEYFSFAYENQPFIGFEELIILNWIITDQSCKDAKLNTTTFACIDQNSSCNDEKISRHGQKISGYRCQCNNGYEGNPYLLNGCRDIDECRNPQKYVCYGTCTNTNGSYSCTCPPGSSGDPTQKACIPGKKHTVVLGVIIGVSNGVGLLLLSASLIILRTKWKKRKQKRIREKHFRQNHGLLLQQLISSREDVAERTKIFPLEEIEKATNNFDETRVLGRGGHGTVYKGILSDQRVVAIKKSKIVKKSEIDQFVNEVAILSQINHRNVVKLFGCCLETEVPLLIYEFISNGALSDHLHTSDGSSALSWEARLRIATETAGALAYLHSAASISILHRDVKSSNILLDDHFTAKVSDFGASRFIPLDQTHIVTGIQGTFGYLDPEYYQTSQLTEKSDVYSFGVILLELLTGKKPIFSVEHENKQNLSIYFLQALQEKRYFDLVEDRVMKEGTKQELMEVIQLVATCLKFKGSERPTMKEVEYKLQNLIRIRKNGGRHIAEGNEETEYLLSDSSYTFSDSVDQATEGTSRNYSLEKEFMWSHYNPR >Ma08_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18546707:18557685:1 gene:Ma08_g16470 transcript:Ma08_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASPPPSTAFRSPPPRAARGDSLTRGGFPCRQKHNNKSKTEGEGEEGREEKGINGRVAAAASVALSAVPMGWEGVDERLARMVAEANLDQAPERRRVRDAFRDIQLGIDHCLFKAQYSGIKIEESYEVNSRGLEIFTKSWLPENCKIKGLVCFCHGYGDTCTFFFEGISKKLASVGYGVFAMDYPGFGLSEGLHGYIPSFDSLVDDVIECFSKIKENPDYEGLPSFLFGQSMGGAVALKVHFKQPHSWDGAILVAPMCKMADDVVPPWPVQQILICIAKILPREKLVPQKDLAEMAFKDIKKREQCSYNVIAYKDKPRLRTAVEMLRTTQEIEHRLEEISLPLIILHGEADIVTDPSVSKALYEKATSLDKKLRLYKDAYHSILEGESDEMIFKVLDDIISWLEEHCCRREN >Ma08_p16470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18546707:18557685:1 gene:Ma08_g16470 transcript:Ma08_t16470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEGVDERLARMVAEANLDQAPERRRVRDAFRDIQLGIDHCLFKAQYSGIKIEESYEVNSRGLEIFTKSWLPENCKIKGLVCFCHGYGDTCTFFFEGISKKLASVGYGVFAMDYPGFGLSEGLHGYIPSFDSLVDDVIECFSKIKENPDYEGLPSFLFGQSMGGAVALKVHFKQPHSWDGAILVAPMCKMADDVVPPWPVQQILICIAKILPREKLVPQKDLAEMAFKDIKKREQCSYNVIAYKDKPRLRTAVEMLRTTQEIEHRLEEISLPLIILHGEADIVTDPSVSKALYEKATSLDKKLRLYKDAYHSILEGESDEMIFKVLDDIISWLEEHCCRREN >Ma08_p16470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18546707:18557685:1 gene:Ma08_g16470 transcript:Ma08_t16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEGVDERLARMVAEANLDQAPERRRVRDAFRDIQLGIDHCLFKAQYSGIKIEESYEVNSRGLEIFTKSWLPENCKIKGLVCFCHGYGDTCTFFFEGISKKLASVGYGVFAMDYPGFGLSEGLHGYIPSFDSLVDDVIECFSKIKENPDYEGLPSFLFGQSMGGAVALKVHFKQPHSWDGAILVAPMCKMADDVVPPWPVQQILICIAKILPREKLVPQKDLAEMAFKDIKKREQCSYNVIAYKDKPRLRTAVEMLRTTQEIEHRLEEISLPLIILHGEADIVTDPSVSKALYEKATSLDKKLRLYKDAYHSILEGESDEMIFKVLDDIISWLEEHCCRREN >Ma05_p26530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38111325:38114234:-1 gene:Ma05_g26530 transcript:Ma05_t26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTSDKKALKRSTCGLDLVNIKLDFDWEDVKCSICLEFPHNGVLLWCSSHDKGCRPFMCDTGQNHSNCLERFKSAYGVPAVLEVPSGPNGVSVTFIQGTPSSSGGLPTCPLCRGDVTGWLIIDDARFYLNMKKRCCEEKHCTYVGNFYKLQKHAQRKHPHSRPSEIDPAQKLKWEKFQQSSEMIDVLSIINSEVPHAVVLGDYVIEYEDSEIGDEYEDFRRRRGKWWTSLLCCKLFLSFRGLRNRRRSRRRVRSNHWSNYDGFYVGEDSSRSVDIRGYRFPATDVQFERTAVGGATRSVDTRDYRFAETNDELARTAIGAATGSVDTRDYRFTETNDELARAAAIRPVELRDYRFAETNDELVRTAVGAATSLAIPNQYRWV >Ma04_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11462547:11464263:-1 gene:Ma04_g15150 transcript:Ma04_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 13 [Source:Projected from Arabidopsis thaliana (AT1G78220) UniProtKB/TrEMBL;Acc:F4IA59] MASHEERERLLFMAKLAEQVHRYDEMADSMRKLAHLDVELTTEEKRLLSAAYKEVATARRDSWRNLASIDDEDAKAKGRFFGVIREYRRKVEAELASICNDVLAMVDDHLIPSSSDAESSVFYHKMKADYYRYLAESKTGNEKKEIADKSLKVYQAATKIAEKELSPTNPIRLGLALNLSVFYYEIMGSPVRAFQVAHQAFDEAICGIDLMDPEEPCKDSTLILKLLKDNLAFWISDVNTFVD >Ma05_p29270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39999302:40000635:1 gene:Ma05_g29270 transcript:Ma05_t29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPEVRVEAVLLVVFFVVFVPASAILHPVDYLVLQSIRKSLADLPGSAFFATWDFTADPCAFAGVVCSGDRVVALSLGDPRAGSPGLSGSLPSSLARLSALEELSLVPGLVAGSLPAAFPPGLRFLALASNRISGPLPHSLAALRGLRTLDLSNNLLSGNIPTALLRLPELRTVILAHNRLAGPVPASVAAPLLRLDLRSNALTGSVPSLPPSLIYLSLASNRLSGHVDRVLPRLNRLSFLDLSANRLSGPIPGILFTFPISWLQVQRNQFSGPLRLGGPLPVSGATVDLSYNRFTGKVPAELAPAGRLYLDFNRFEGDVPAAIVDRVVAGGMRVLYLHHNYLTGFRIGTAASVPAGTSLCLQYNCLVPPVDAPCPRNAGPRTARPPQQCAGGGKKG >Ma01_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2684537:2685070:-1 gene:Ma01_g04150 transcript:Ma01_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSQVPSPSSAPDAEEPSLMLIVLRILLIHLATGSGFLLLVAVVFLLMALCHCFETRRWRSMAASFLNKIPHGIYVVPSSPSRLPSTSDDVPEHRLDHCVICMEEYAGGERLWVMPACKHAFHEACIQQWLLKPALTCPICRDHVIHAQATTSGNDGDDDDDDNIETPLLVGTQ >Ma07_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4444371:4445103:-1 gene:Ma07_g06170 transcript:Ma07_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSCIGFLSFFTFVPLLMVAVESGLEFHVGGSRGWIVPAGDEAESYNRWAMKNRFHVGDSVYFKYKNDSVLVVDREAYGECNTTDPLLEFDDGNTTFRFDRYGFFFFISGTPGHCEAGQRLIVRVMVHPEFAAASPGPAPGLQPGGGSGSSSGSGSDFGSSSGSDTGPKRSDAAHAHAGVWAIMPGLGVLMSAVLSV >Ma02_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13692515:13708932:1 gene:Ma02_g02450 transcript:Ma02_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEAVVAHIQALSGSHEEVADLHSLLKQSEGALRSRAARLGPFLHQLDPSAHTLGYLFLLEAYSSRPISRELDEKILPFIVGFINSCSAEQIRLAPEKFISVCKSLKDQVMQLQVPIRGITPLRTAIRKLQTSSEQLTTLHSDYLLLCLLAKFYKAGLSILDDDIFEVDQPRDHFLYCYYGGMINIGLKRFRKALGCLHNVVTAPMDALIVIAVEAYKKYILVSLILNGQVPPFPKYTSSTAQRNLKRHTQPYIDLADCYATGTFSELEACIQTNLEKFQSDSNVGLVKQVLSSLYKCNIQRLTQTYLTLSLQDIANASQLKTPNDAEMHVLQMIQDAEISASINQKDGMVSFHEDPEQYKICGMIEPIDSLIQRLMELSKKLSSLDEQISCDLAHLTRIGKERPRLD >Ma05_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1263960:1265064:-1 gene:Ma05_g02000 transcript:Ma05_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGLMLTLKYFFERKVTINYPFEKGPLSPCFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEGEDGSRRTTRYDIDMAKCIYCGFCQESCPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRLENSATTSVA >Ma08_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36986328:37005344:-1 gene:Ma08_g23650 transcript:Ma08_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERTRARWRRALAAVMAPRPAEAEEDVAADEELEEPMGSSRGSLIALLASDLRLGIACRPSGEKFLDRFVILPDNWWYQLWTQFILLWAVYSSFFTPMEFGFFLGLPKNLFLLDVAGQVAFLVDIFVQFLLAYRDPHTYRMVRNPTAIALRYMKSNFVFDLLGCLPWDYIYKASGNKEEIRYLLWIRLTRVRKVTAFFQKMEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMESYTWIGSLKLGDYNYNHFRKIDLWRRYITSLYFAIVTMATVGYGDIHAVNPREMVFIMIYVSFDMILGAYLIGNMTALIVKGSKTERFRDKMKDLIRYMNRNRLGKDIRDQIKGHVRLQYESSYTEASVLQDIPASIRAKISQTLYRPCIENVPLLKGCSSEFINQIVIRLQEEFFLPGELILEQGNAVDQLYFVCHGVLEGIAVGEDGSEETVTQLEPSSSFGEIAILCNIPQPYTVRVCELCRLLRLDKQLFTNILEVYFVDGRTILSNLLEGNESTLRIKQLESDITSHIGKQEAELALKVNSAAYYGDLRHLKGLIRAGADPKKTDYDGRSPLHLAASGGFEDITIFLIREGVDINLSDNFGNTPLLEAVKHGHDRVASLLHSKGAKLHLKDAGSQLCAAVARGDSDFIRRILNYGVDPNSSDYDHRTPLHIAAADGLYSIAKILLEAGASVFAIDRWGSTPLDEAVKCGSKSLMMMLEDAKLEELSKFPDRGTQTQDKTQPRRRCTVFPFHPWDPKEKRKEGVVLWVPLTMEELIESSQELLNCSGSRILSEEGGRISDVAMICDNQKLYLVAD >Ma09_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2275166:2277565:1 gene:Ma09_g03370 transcript:Ma09_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRTFLLAACILFSSAQLSAGVTRHYKFDITLRNATRLCTTKSIVTVNGQFPGPRIVVREGDRLVVKVVNHVRSDITIHWHGIRQVQSGWADGPEYITQCPIRTGQSYVYNFTTAGQRGTLWWHAHASWLRATVYGSLVILPKRGVPYPFARPYKEVPIILGEWFNADPEAVIAQALRTGGGPNVSDAYTINGLPGPLYNCSGKDTFKLKVKPGKTYLLRLINAALNDELFFGIANHTVTVVETDASYVKPFDAEALVITPGQTANVLLHAKPTFPNAAFLFAARPYATGQGTFDNTTTAGVLEYHNPNRASTKLPLLRPALPSLNDTANVTNFASKLRSLADDRYPSDVPRTVDRRFYFTIGLGTDPCPTNRTCQGPNGTKFAASVNNASFVFPSTALLQAHYYSQSNGVYTTDFPDIPPFPFNYTGTPPNNTFVSKGTKVVVLPFNTSVELVLQDTSIQGIENHPMHFHGHNFHVVGQGFGNYDPVTDPCNFNLVDPVEKNTAGVPVGGWLAIRFRADNPGAWLMHCHIDLHLSWGLKMVWVVNDGPLPNQKLPPPPSDLPRC >Ma06_p14580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9979539:9987751:-1 gene:Ma06_g14580 transcript:Ma06_t14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVGFGDVEKDFKDLISNSPSDSSIGSILDNSSPDINFSITTSPKVTSENFVHLERSDVANMLVKGASSDIIRVSVSSAPSTSSHGSAQDDCDALGDVYVWGEVICEFSSRTGTERGTDFSSGRADVILPKPLESNLVLDVRHVACGVRHAALVTKHGEVFTWGEESGGRLGHAVGADVVHPRLLESLANTDLVACGQFHTCAVTLAGELYTWGDGTHNVGLLGHGSDVSHWVPKRVSGPLEGLQVAYVTCGTWHTALITSTGKLFTFGDGTFGVLGHGNKESVAYPREVESLMGLKTIAVACGVWHTAAVVEVIVAQSNASVSSGKLFTWGDGDKYRLGHGDKEPRLKPTCVASLIDHDFHKLACGHSLTVGLTTSGQVFTMGSTVYGQLGNPQSDGKLPCLVEDRLIGESVGEVACGSYHVAVLTTRGEVFTWGKGANGRLGHGDIEDRKTPNLVEALKDRAVKYIACGATFTAAICQHKWVSGAEQSQCLACRQAFGFTRKRHNCYHCGLVHCHSCSSRKALRAALSPNPAKPYRVCDSCYVKLNNVLEFGVNNKRNGLPRLSGESKDRFEKAETKSTKSVIPSNFDLIKDLDTKAARHGKRTDSLTFIRASQVSSLIQLKDLALVGGIDLQQAGPRALHTSLVRSVNPSRAVSPFSRKSSPPRSASPVPTSSGVYFSKSATDSLKKTNELLTQEVQKLRAQVENLRQHCELQEIELQKLGKKAQEAMALAAEESAKSKAAKEVIKSLTAQLKDMVEVLHQGVYKNDAVRPVDSPNGVGSHSGPYSILEVDHQSRFNVNSALTMLSFSTSEPILVDGNTSQNHTLMNARESNKLNLSLQDSHVNSNGMEEDFTARQRDSNAEKSSSGSKTDIDNKEIENPPDGEMVYKSPSPISSNIQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRKFGENQAETWWSDNREKVYERYNVRGSDRFSSAVSSQSALKPEEDCMHSSRV >Ma06_p14580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9979539:9987768:-1 gene:Ma06_g14580 transcript:Ma06_t14580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFKDLISNSPSDSSIGSILDNSSPDINFSITTSPKVTSENFVHLERSDVANMLVKGASSDIIRVSVSSAPSTSSHGSAQDDCDALGDVYVWGEVICEFSSRTGTERGTDFSSGRADVILPKPLESNLVLDVRHVACGVRHAALVTKHGEVFTWGEESGGRLGHAVGADVVHPRLLESLANTDLVACGQFHTCAVTLAGELYTWGDGTHNVGLLGHGSDVSHWVPKRVSGPLEGLQVAYVTCGTWHTALITSTGKLFTFGDGTFGVLGHGNKESVAYPREVESLMGLKTIAVACGVWHTAAVVEVIVAQSNASVSSGKLFTWGDGDKYRLGHGDKEPRLKPTCVASLIDHDFHKLACGHSLTVGLTTSGQVFTMGSTVYGQLGNPQSDGKLPCLVEDRLIGESVGEVACGSYHVAVLTTRGEVFTWGKGANGRLGHGDIEDRKTPNLVEALKDRAVKYIACGATFTAAICQHKWVSGAEQSQCLACRQAFGFTRKRHNCYHCGLVHCHSCSSRKALRAALSPNPAKPYRVCDSCYVKLNNVLEFGVNNKRNGLPRLSGESKDRFEKAETKSTKSVIPSNFDLIKDLDTKAARHGKRTDSLTFIRASQVSSLIQLKDLALVGGIDLQQAGPRALHTSLVRSVNPSRAVSPFSRKSSPPRSASPVPTSSGVYFSKSATDSLKKTNELLTQEVQKLRAQVENLRQHCELQEIELQKLGKKAQEAMALAAEESAKSKAAKEVIKSLTAQLKDMVEVLHQGVYKNDAVRPVDSPNGVGSHSGPYSILEVDHQSRFNVNSALTMLSFSTSEPILVDGNTSQNHTLMNARESNKLNLSLQDSHVNSNGMEEDFTARQRDSNAEKSSSGSKTDIDNKEIENPPDGEMVYKSPSPISSNIQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRKFGENQAETWWSDNREKVYERYNVRGSDRFSSAVSSQSALKPEEDCMHSSRV >Ma06_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9979539:9987768:-1 gene:Ma06_g14580 transcript:Ma06_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVGFGDVEKICKDRFETEVWIAGLKALVYSGQGVRSKTDVWSNSGPYFDDFKDLISNSPSDSSIGSILDNSSPDINFSITTSPKVTSENFVHLERSDVANMLVKGASSDIIRVSVSSAPSTSSHGSAQDDCDALGDVYVWGEVICEFSSRTGTERGTDFSSGRADVILPKPLESNLVLDVRHVACGVRHAALVTKHGEVFTWGEESGGRLGHAVGADVVHPRLLESLANTDLVACGQFHTCAVTLAGELYTWGDGTHNVGLLGHGSDVSHWVPKRVSGPLEGLQVAYVTCGTWHTALITSTGKLFTFGDGTFGVLGHGNKESVAYPREVESLMGLKTIAVACGVWHTAAVVEVIVAQSNASVSSGKLFTWGDGDKYRLGHGDKEPRLKPTCVASLIDHDFHKLACGHSLTVGLTTSGQVFTMGSTVYGQLGNPQSDGKLPCLVEDRLIGESVGEVACGSYHVAVLTTRGEVFTWGKGANGRLGHGDIEDRKTPNLVEALKDRAVKYIACGATFTAAICQHKWVSGAEQSQCLACRQAFGFTRKRHNCYHCGLVHCHSCSSRKALRAALSPNPAKPYRVCDSCYVKLNNVLEFGVNNKRNGLPRLSGESKDRFEKAETKSTKSVIPSNFDLIKDLDTKAARHGKRTDSLTFIRASQVSSLIQLKDLALVGGIDLQQAGPRALHTSLVRSVNPSRAVSPFSRKSSPPRSASPVPTSSGVYFSKSATDSLKKTNELLTQEVQKLRAQVENLRQHCELQEIELQKLGKKAQEAMALAAEESAKSKAAKEVIKSLTAQLKDMVEVLHQGVYKNDAVRPVDSPNGVGSHSGPYSILEVDHQSRFNVNSALTMLSFSTSEPILVDGNTSQNHTLMNARESNKLNLSLQDSHVNSNGMEEDFTARQRDSNAEKSSSGSKTDIDNKEIENPPDGEMVYKSPSPISSNIQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRKFGENQAETWWSDNREKVYERYNVRGSDRFSSAVSSQSALKPEEDCMHSSRV >Ma10_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24500567:24503910:-1 gene:Ma10_g10690 transcript:Ma10_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVCFRSLVFPHQSRPNLLLHRAPPRCGFYRRPTRSTVRALSGIPDQADPRSRRWKKVLTTAASLYPLYVTIGGTVACVNPSAFSWFVKRGPASYSLSLGFIMLATGLTLELKDLFALFRERPFSILLGFVAQYTIMPAFGVILSKAVGLPPSLSVGLILLACCPAGTASNVVTLIARGDVPLAIVMTVCTTLAAVLLTPLLTKILAGTFVSVDVIRLSISTLQVVVAPVLLGSYLQSAFPAIVKAIIPFAPLLAVLASSLLSSSVFSENVVRFRTSTLNGSGGSDIISGDMGVVILAVFLLHFAGFLLGYLSAAMCGMGEKQRRAISIEVGMQNCSLGVVLATSHFSSPLVALPPALSAIIMNIMGSSLGAVWRYWLPSDADTED >Ma01_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:46841:50505:1 gene:Ma01_g00050 transcript:Ma01_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPLRPEEYSLKETSPHLGGGGVSGDKLTTTYDLVEQMQYLYVRVVKAKDLPPKDVTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKDRIQASVLEVIVKDKDFIKDDFIGRVLFDLTEVPKRVPPDSPLAPQWYRLEDRKGDKGKGELMLAVWMGTQADEAFPDSWHSDAATIPSDGLANIRSKVYLTPKLWYLRVNVIEAQDLIPYEKNRFPEVFVKVMLGNQALRTRISQSRTLNPMWNEDLMFVAAEPFEEHLVLSVEDRVAPNKDEVLGKTVIPLQKIDRRLDYKPVNSRWYNLEKHVIVEGDQKKKEVKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGILSAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVYDPCTVITIGVFDNCHLQGGEKAAGTRDTRIGKVRIRLSTLETDRVYTHSYPLIVLLPSGVKKMGEVQLAVRFTCSSLLNILHMYSQPLLPKMHYLHPLSVMQLDNLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLVAVGKWFDQICHWKNPLTTILIHVLFVILILYPELILPTIFLYLFLIGVWYFRWRPRQPPYMDTRLSHADTAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCLVAAVVLYVTPFRVVAFLTGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >Ma01_p00050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:46841:50505:1 gene:Ma01_g00050 transcript:Ma01_t00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPLRPEEYSLKETSPHLGGGGVSGDKLTTTYDLVEQMQYLYVRVVKAKDLPPKDVTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKDRIQASVLEVIVKDKDFIKDDFIGRVLFDLTEVPKRVPPDSPLAPQWYRLEDRKGDKGKGELMLAVWMGTQADEAFPDSWHSDAATIPSDGLANIRSKVYLTPKLWYLRVNVIEAQDLIPYEKNRFPEVFVKVMLGNQALRTRISQSRTLNPMWNEDLMFVAAEPFEEHLVLSVEDRVAPNKDEVLGKTVIPLQKIDRRLDYKPVNSRWYNLEKHVIVEGDQKKKEVKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGILSAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVYDPCTVITIGVFDNCHLQGGEKAAGTRDTRIGKVRIRLSTLETDRVYTHSYPLIVLLPSGVKKMGEVQLAVRFTCSSLLNILHMYSQPLLPKMHYLHPLSVMQLDNLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLVAVGKWFDQICHWKNPLTTILIHVLFVILILYPELILPTIFLYLFLIGVWYFRWRPRQPPYMDTRLSHADTAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCLVAAVVLYVTPFRVVAFLTGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >Ma10_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25153172:25155342:-1 gene:Ma10_g11750 transcript:Ma10_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQGFFSALKEEVVRGLSPARLRERSSVRGQSPVAEAAAILLPRRRKAHGRHSSLTLAPDAAVLVARSVSFRETLAPLMEGPDDGGDGDVGGGQRKEGWGRWVRDQLSRAPSVTYLPSAAASASTSFRRSDLRLLLGVMGAPLAPVHVNSADLLPHLTIKDAPIEVSSAQYILQQYTAASGGLKLQRSIHNAYAMGKLRMAASEFETATKIVKNRGSSSHSPESGGFVLWQMAPGMWYVELAVGGSKVHAGSNGKVVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFANARCIGEKKVNGEDCFILKLCADPQTLKARSEGPAEIIRHVLFGCFSQRTGLLVHMEDSHLTRIQANAGGDAVYWETTINSFLSDYRPVEGIMVAHSGRSDVTLFRFDETAMSHTKTRMEEEWKIEEVVFNVPGLSMDCFIPPADISCGSVSETCELPRGDRGKTGIAHQRKVAAVGKPQDASDKIIWRVKV >Ma04_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9876778:9886173:-1 gene:Ma04_g13050 transcript:Ma04_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDLVDWFVPLVKRLAAGEWFTARVSSCGLFHVAYPSSPDQLKAELRSIYAQMCQDDTPMVRRAAASNLGKFAATIEQHHLKTDIMSMFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCIASILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEATRADLMPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSTDSSQHVRSALASVIMGMAPILGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIREAAATNLKRLAEEFGPEWAMQHIVPEVLEKINNPHYLYRMTVLRAISLLAPVMGADITCQKLLPVVIASSKDRVPNIKFNVAKVLQSLISVLDQSVVDKTIRPCLVELSEDPDVDVRYFAGQALQACDQVMMSS >Ma07_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29227868:29230590:1 gene:Ma07_g21140 transcript:Ma07_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLSGGGGGRTYGFDLDIVKPSSSSSGRSLHSSSPSSTLSESSNSPRAISIKKARTPRKRPNQTYNEAAALLSAIYPNIFSSKSLKKLSKDTKPFDSFPETSDLLPPFPVLSDAAFLIHNPQPEMPAATRLELKYKMPIEKECTSPVSSVSFEPNSPDPFDDNFDAESILDGEVEESIDSIMGNLTMNVPSEDNNNEGLNTCNASVNPMLHSLIGYRTCGRFELGLGLRFGRNLQRALRNRDEGDWWRSPAVPVQDLVPNLKSATAAPAPAPAPEKKKKKKAAKEETGGMKNTTNATSTPSKEAGLGLKLNHEEVLKAWSGRGSMFSDGPDTPSSSADALAKLADIDLFPDATGAGTVREASVLRYREKRRSRLFSKKIRYQVRKANADRRPRMKASGRFVRSPSLLQQAIEEESQ >Ma07_p21140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29227867:29230560:1 gene:Ma07_g21140 transcript:Ma07_t21140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLSGGGGGRTYGFDLDIVKPSSSSSGRSLHSSSPSSTLSESSNSPRAISIKKARTPRKRPNQTYNEAAALLSAIYPNIFSSKSLKKLSKDTKPFDSFPETSDLLPPFPVLSDAAFLIHNPQPEMPAATRLELKYKMPIEKECTSPVSSVSFEPNSPDPFDDNFDAESILDGEVEESIDSIMGNLTMNVPSEDNNNEGLNTCNASVNPMLHSLIGYRTCGRFELGLGLRFGRNLQRALRNRDEGDWWRSPAVPVQDLVPNLKSATAAPAPAPAPEKKKKKKAAKEETGGMKNTTNATSTPSKEAGLGLKLNHEEVLKAWSGRGSMFSDGPDTPSSSADALAKLADIDLFPDATGAGTVREASVLRYREKRRSRLFSKKIRYQVRKANADRRPRMKGRFVRSPSLLQQAIEEESQ >Ma08_p31880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42667304:42667760:-1 gene:Ma08_g31880 transcript:Ma08_t31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSSIDCPTSSTQSIFMAQSKGGRWVSEAQSTAHLYPYNAATLQLSQYLWPNQKKKRKKKRNQIVSLICLFHPLSEFCGCYEPARMIENQ >Ma02_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18404037:18405518:-1 gene:Ma02_g07920 transcript:Ma02_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEGANSALASDLDSPAQPGLHLAQFAAGCFWGLELAFQRLEGVVKTEVGYSQGHLPDPTYRAVCGGDTGHAEVVRVHFDPAACPYPALLALFWSRHDPTTLDRQGNDIGTQYRSGIYFYSEAQAILARESMELKQNEIQDKIVTEILPVKRFYRAEEYHQQYLEKGGGRGLKQSARKGCDDPIRCYG >Ma08_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5557242:5559675:1 gene:Ma08_g08030 transcript:Ma08_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLELGLPQGASKCFDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIGQLGWVAGPAVMILFSFVTYYTSALLADCYRSGDPVAGKRNYTYMDAVRANLNGFKVELCGYLQYLNIVGVAIGYTIAASISMVAIKRSNCFHENGDDSPCQVNSNPYIIMFGVAEIVFSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVQVIKNGGMRGSLTGISIGTVSQMEKVWRSLQAFGDIAFAYSYSIILIEIQDTIRAPPPSEAKVMKKATLISVAVTTTFYMLCGCMGYAAFGDLAPGNLLTGFGFYNPYWLLDIANVAIVIHLVGAYQVYCQPLFAFVEKWALRTWPESQFISKDIQVPLPASRSYKFNLFRLTWRTAFVVVTTVVSMLLPFFNDVVGFLGAVGFWPLTVYFPVEMYIMQKKVAKWSTRWMCLQLLSLACLIITIASAAGSIAGVVSDLKVYHPFKAR >Ma08_p08030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5557246:5559675:1 gene:Ma08_g08030 transcript:Ma08_t08030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAFPSMEVSLELGLPQGASKCFDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIGQLGWVAGPAVMILFSFVTYYTSALLADCYRSGDPVAGKRNYTYMDAVRANLNGFKVELCGYLQYLNIVGVAIGYTIAASISMVAIKRSNCFHENGDDSPCQVNSNPYIIMFGVAEIVFSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVQVIKNGGMRGSLTGISIGTVSQMEKVWRSLQAFGDIAFAYSYSIILIEIQDTIRAPPPSEAKVMKKATLISVAVTTTFYMLCGCMGYAAFGDLAPGNLLTGFGFYNPYWLLDIANVAIVIHLVGAYQVYCQPLFAFVEKWALRTWPESQFISKDIQVPLPASRSYKFNLFRLTWRTAFVVVTTVVSMLLPFFNDVVGFLGAVGFWPLTVYFPVEMYIMQKKVAKWSTRWMCLQLLSLACLIITIASAAGSIAGVVSDLKVYHPFKAR >Ma08_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9379203:9380683:1 gene:Ma08_g12380 transcript:Ma08_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESKDAMLTMATQAPVTLERRIRTDLEEHLPKPYLSRGLAAPDVYHPEGTKEHKHHHLSVLQQHVAFFDLDDNGIVYPWETYAGSRAIGFNPILSLIMAILINGALSYPSLPGWIPSPFFPIYIHNIHRCKHGSDSGTFDAEGRFVPVNFENIFSKYARTDPNRLTFAEMWRMTEGQRVTYDIFGGITAKLEWLILYLLARDEEGFLPREAIRRCFDGSLFEYCASQREAYEKTY >Ma07_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5120710:5126531:1 gene:Ma07_g07060 transcript:Ma07_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAISTITGGATRSVLGIHVFHCPDVVGIVAKLSECIASRGGNIRSVDVFVPENRQDFYSRSEFVFDPTHWPRDVVDNDFIKLSKLFSAEKSIVRVPDLDPKYKIVILASKQEHCLVDLLYKWQEGRLPVDISCVISNHQRAPNTHVIRFLERHGIPYHYLPTSVGNKREAEILELVEDTDFLVLARYMQILSGRFLESYGKDIINIHHGLLPSFKGGSPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMVERVSHRDTLQSFVQKSENLEKQCLLQSIKSYCELRVLPYQENKTLVF >Ma07_p07060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5120710:5126531:1 gene:Ma07_g07060 transcript:Ma07_t07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAISTITGGATRSVLGIHVFHCPDVVGIVAKLSECIASRGGNIRSVDVFVPENSEFVFDPTHWPRDVVDNDFIKLSKLFSAEKSIVRVPDLDPKYKIVILASKQEHCLVDLLYKWQEGRLPVDISCVISNHQRAPNTHVIRFLERHGIPYHYLPTSVGNKREAEILELVEDTDFLVLARYMQILSGRFLESYGKDIINIHHGLLPSFKGGSPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMVERVSHRDTLQSFVQKSENLEKQCLLQSIKSYCELRVLPYQENKTLVF >Ma04_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5651845:5653543:1 gene:Ma04_g07790 transcript:Ma04_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAENSTTPLSPPAKTPSRPQTPAREDRPVASPLRSLVSSPAKTPSRPQTPAREDGPVAYPLRSPLRRAELPTPPRTPPDEPAPPPAVTIANRLTRDGVSPAEKEISEGGGGVRAGEGGSVRGALGLRVAAFVLSMIAFSVMAADKTDGWAGDSFDRYKEFRYLVAVNVLAFAYSAFQVCEKIYRKICTRHIMSRRMGCYFDLSMDQASRSLILAYLLMSASSAAASRNDVWVSRFGSDGFTHKANASIAMSFLAFVALALSSLVSAYKLFGRNITFLFSFQICSVATYISVCLLDISIRNVWGIAPFCCLQVCLSMNRVS >Ma04_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2053997:2054931:1 gene:Ma04_g02370 transcript:Ma04_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTVLRIPLLAATVVVVACAMLHGGVRAQAPAPTPSGLDCTQSYLNLSSCLSYVMTGSNDTVPDKDCCPELAGLFDSQPICLCELLSGGAESFGISIDNNRALKLPSICHIDSLPVSLCAAIGYPVTGSPMGSPMSPSSAPSGIGPRLPGTPAAPPPQNRAAGAGAVGRLALAGLSCAIAVVGFF >Ma06_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9679432:9683613:-1 gene:Ma06_g14110 transcript:Ma06_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETMSRALDRAKMLVGMESDEESLAQEAQSSSFFDEFDRNCTLSTKQRLYGFAICLSAGLACTILSMLVFFNPIKFGITFTFGNLLALGSTAFLIGPKRQIDMMLDPVRIYATSIFIASMIIALFCAFYVHNKLLTLLAIILEFGALIWYSLSYIPFARSAVSKVMVACFDTEF >Ma10_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1614065:1615123:-1 gene:Ma10_g00400 transcript:Ma10_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLEYLHKGCVPPIIHRDVKTNNILLTHDFEAKVADFGLSKPFLTDAQTHVSTDVVAGTPGYIDPEYSATFQLTEKSDVYSFGVVLLELVTGLSAVLDQPKRCHLVQWVLSRLAEADITKVADPKLEGRYNNCSVLKVIDLAMRCVDISAHQRPTMTEVVMRLKENLQPENNDGSDPNA >Ma07_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10097032:10100644:-1 gene:Ma07_g13410 transcript:Ma07_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRPQLVEENMSNLTSASGEASVISSNHQSLFASSPNQINPTKKRRNLPGNPDPDAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKEVRKKVYICPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAIAANPLAPSHHPLLFPQPTAASYQSSPLQQTLVRSQYTHLMSANEANASIIGGNGIQQELSSNRERQHQQFPPWLACQGPASLNHLDLLPSPAYSSRSEQECPRENLGVPHPPVPPPFFQPSASSPHMSATALLQKAAMMGATMSRPSHLGLTAPHTTSSAVVASSTSSFGLDLSSHEDMGAGGLEQRSAPPPLMQDMVIKTSLSSTPGFGGLFGDTIGGMLGTKREPKNTMGSFARSHGKSEEGGGGNDGMTRDFLGLKAFPHREDILNLTGFDPRLCSSSSYEQQQQQSKKLWHG >Ma07_p13410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10097032:10100644:-1 gene:Ma07_g13410 transcript:Ma07_t13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRPQLVEENMSNLTSASGEASVISSNHQSLFASSPNQINPTKKRRNLPGNPDPDAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKEVRKKVYICPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAIAANPLAPSHHPLLFPQPTAASYQSSPLQQTLVRSQYTHLMSANEANASIIGGNGIQQELSSNRERQHQQFPPWLACQGPASLNHLDLLPSPAYSSRSEQECPRENLGVPHPPVPPPFFQPSASSPHMSATALLQKAAMMGATMSRPSHLGLTAPHTTSSAVVASSTSSFGLDLSSHEDMGAGGLEQRSAPPPLMQDMVIKTSLSSTPGFGGLFGDTIGGMLGTKREPKNTMGSFARSHGKSEEGGGGNDGMTRDFLGLKAFPHREDILNLTGFDPRLCSSSSYEQQQQQSKKLWHG >Ma09_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3462553:3464079:-1 gene:Ma09_g05360 transcript:Ma09_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTCTSSSPCRSGLKHRFARMLLGSSCSSTATATDVIKLSSSTLRQQLVPEESSICRSGDGQRKLRNPLSFPSNDGGHQHCVAPVVQGSVHRGARRSGHEIKKERRVTEIGERSKCPPAPPDSPMRNRYYRSHDEDKKKKACGRRGSSRRKATRKLLSSNGYGFSSSSSLDRNGELGLFSSGEEEEESGTLFSSKSFSSDSSEFYCNNNGRRKKNKKKSGSKSMKSNQPLRGTATGKLWPLASLSSAEKKHKAEAEMEVGFPVVKKSSDPYMDFRSSMVEMIVERQMSSASDMERLLHSYLSLNSHLHHPVILEAFLDTWEAILGE >Ma10_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25465530:25468091:-1 gene:Ma10_g12310 transcript:Ma10_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVDTAGSNGDDGAGGGGGGGARPPRLPRWTRQEILVLIQGKRVVESRGRGRGAGRGGGGSGEMGAGALVAAASPVEPKWASVSSYCRRHGVDRGPVQCRKRWSNLAGDFKKIKEWEAKGKESFWTMRNDLRRERRLPGFFDREVYDILDGVAVAEVEAEPAAEEEEEGKKGLGRTAAAGGEEEEAVFDSGRTAAEDGLFSDFEEEKEAEDEDEDTAPPPQPVAAVPISEKKCESSQHWRSDEATAKDKPQAENPGKSSPSQGGQKRRRTSPDEAEDSDLQSRLIEVLERNSRMLTAQLEAQNMNCQLDRDQRKDQANGLLAVLGKLADALGRIADKL >Ma03_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3559880:3569669:-1 gene:Ma03_g05440 transcript:Ma03_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAFAMASSEAETAAQAPPPPTPADCNASETAAGSLSKKQAKKLAKKEAKEDRKQQSATAAAAASQVDSAEADPLAANYGDVLVEDIQSKAISGREWTEIGALGVDLACRAVLIRGVAQTIRPVSKKMAFVVLRQFMSTVQCVLTVDKEFVSPHMVKFATGLSKESIVDVEGMISIPKDPIKGTTQQVEVQVRKLYCINRSVPNLPINIEDAARSETEFEKAELTGEQLVRVGQDTRLNYRVLDLRTPANQAIFRIQCHVEDVFRRFLRSEGFIGIHSPKLISGSSEGGAAVFKLDYKGQPACLAQSPQLYKQMVICGGFGRVFEVGSVFRAEDSYTHRHLCEFVGLDVEMEIKEHYFEVCDIVDRLFVAMFDDLNENCKKELDAINRQYPFEPLKYLRKTLRLNFQEGIKMLQEAGVEVDPLGDLNTEAEKKLGRLVHEKYDTDFYILCRYPLAVRPFYTMPCYDDPAYSNSFDVFIRGEEIISGAQRVHLPELLTTRAEACGIDVKTIASYIDSFRYGAPPHGGFGIGLERVVMLFCALNNIRKTSLFPRDPQRLVP >Ma00_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:18351542:18353366:-1 gene:Ma00_g02510 transcript:Ma00_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFSKSLLFFYLSLSRQLASLLSLHRKDHLKLEKNNVSLRHHLHSKTLVLDVGGGLLRSSPTFPYFMLVALEAGCVLRGLLLLLLYPLLCCLSQEVALQVMVLVSFLGIKEEEFRAGRAVLPKYLLEDVGLEAFEILRKARKKVCVSNMPRVMVEVFLKEYLEVEVVVGRELKVFGGYYTGLMEDESNVESLEKIFGEEELDGGVLGFGSCINSPQHQLFSYCKAIHVATEAEKRNWHALPRERYPKPLVFHDGRMAFRPTPMATLAMFLWLPFGISLSIFRSIVFVFLPFEISLPIGAATGMTNRLLSPPPTTTDDGGKYKLFVCNHRTLLDPVYISGALNKHVGAVTYSISPISETLSPIRTARLTRSKEEDRRRMERLLRQGDLVVCPEGTTCREPYLLRFSPLFMELTDEVVPVAVATRVGMFHGTTASGLKVLDSFYFLMNPWPEYDVEFLRSIPTGSCSSNSRYEVANLVQREIASALRFQCTTLTRKDKYMMLAGNEGIVNSKTKHTS >Ma04_p30390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31102322:31103805:1 gene:Ma04_g30390 transcript:Ma04_t30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEFPCGRRALRQEAAATTAAQPRERAAAKDWEGKRRRRASPWRPSLVAISEDRALVVRDGAAEGRGGPRKATAKSPSRVIPSPFKNDYGHYGVPTTAVPAFAPTAFIF >Ma00_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17048899:17053266:1 gene:Ma00_g02300 transcript:Ma00_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase [Source:Projected from Arabidopsis thaliana (AT2G17265) UniProtKB/Swiss-Prot;Acc:Q8L7R2] MATAAFSATSPTQSRPAHRHRPLPSLTRLRAIRCSSVSKVPAVTAAATADPSPVFRSVAAFAPATVANLGPGFDFLGCAVGGGLGDTVTVSVDSAVAPGTLSIADVSGCAAAAKLSRNPLWNCAGIAGIAAMRMLGVRSVGLSLSLHKGLPLGSGLGSSAASAAAAALAVSELFGGRLSPDELVLAGLESEKKVSGYHADNVGPSILGGFVLIRSYDPFEIIRLEFPADRELYFVLVGPEFEAPTKKMREALPADIPMKDHVRNSSQAAALVAAVLQGNVRVLGSAMSADWIVEPRRAPLIPGMVSVKKAAIDSGAFGCTISGAGPTAVAVTDDEEKGKEIGSRMVEAFLRDGNLKASVTVAKLDRVGARVTGSSAVQKAM >Ma00_p02300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17048899:17053266:1 gene:Ma00_g02300 transcript:Ma00_t02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase [Source:Projected from Arabidopsis thaliana (AT2G17265) UniProtKB/Swiss-Prot;Acc:Q8L7R2] MATAAFSATSPTQSRPAHRHRPLPSLTRLRAIRCSSVSKVPAVTAAATADPSPVFRSVAAFAPATVANLGPGFDFLGCAVGGGLGDTVTVSVDSAVAPGTLSIADVSGCAAAAKLSRNPLWNCAGIAGIAAMRMLGVRSVGLSLSLHKGLPLGSGLGSSAASAAAAALAVSELFGGRLSPDELVLAGLESEKKVSGYHADNVGPSILGGFVLIRSYDPFEIIRLEFPADRELYFVLVGPEFEAPTKKMREALPADIPMKDHVRNSSQAAALVAAVLQGNVRVLGSAMSADWIVEPRRAPLIPGMVSVKKAAIDSGAFGCTISGAGPTAVAVTDDEEKGKEIGSRMVEAFLRDGNLKASVTVAKLDRVGARVTGSSAVQKGFTHFCPSEQSSVDINKDLNLEHDAEMILKKTWRKEGILDESSRQGEAGKASLP >Ma00_p02300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17048899:17051615:1 gene:Ma00_g02300 transcript:Ma00_t02300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase [Source:Projected from Arabidopsis thaliana (AT2G17265) UniProtKB/Swiss-Prot;Acc:Q8L7R2] MATAAFSATSPTQSRPAHRHRPLPSLTRLRAIRCSSVSKVPAVTAAATADPSPVFRSVAAFAPATVANLGPGFDFLGCAVGGGLGDTVTVSVDSAVAPGTLSIADVSGCAAAAKLSRNPLWNCAGIAGIAAMRMLGVRSVGLSLSLHKGLPLGSGLGSSAASAAAAALAVSELFGGRLSPDELVLAGLESEKKVSGYHADNVGPSILGGFVLIRSYDPFEIIRLEFPADRELYFVLVGPEFEAPTKKMREALPADIPMKDHVRNSSQAAALVAAVLQGNVRVLGSAMSADWIVEPRRAPLIPGMVSVKKAAIDSGAFGCTISGAGPTAVAVTDDEEKGKEIGSRMVEAFLRDGNLKASVTVAKLDRVGARVTGSSAVQKNNQALISIRI >Ma07_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2429852:2433389:1 gene:Ma07_g03140 transcript:Ma07_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRGVRSASAGRRAPGLRRVWCCSFVAAPDSPDHRRSSSTHRELRPSKTPPKLSHPGSFHSSPSPSSKLGLGIIDPRRILSPGRVSPIDSDTPLGPLPGILDSASISTTAVESEPECPDPAPKERSLVPDKPKTDTRVSLRERSLDLRLCLRGKDGRCLVLELDSAVLCESSAFFAARVMDSTRKVSDADCQKIEVAGVEDVDVFKETIELMYEKDASRWLMKAGVSRAIGVLEVCLKIMFDRGMRSCLKYIEAVPWTETEEEKLKRLFAMSTIDKAICEDVLARLDPQDCNHSEDLAVQLIQSVTNATNGNARREMRSLVNGLLSKSSVYQKEPVGLNKDSLYNVCHSCLNSLVNLFEEALNSIPMDQMTAGKRTKPLIERVSKQVENLNWLLEILIDKEMAEDFVGLWANQKELIRMHESASPMLRYELSRISANVFIALGLRKLQCPGGMRFSILQSWFGPMLMDFGWLQRCSKGLDMRMLEESLGQVILTLRLKQQQILFEEWFRCFAGHGTECPNLCKAFQVWWRRSFVRTCEARR >Ma03_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26961143:26962252:1 gene:Ma03_g22160 transcript:Ma03_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGELPFNGGSLEAVFEAIKKTELDFTCGVWESISELARDLLSRMLTRDVSKRITTDEILNHSWILFYTKCPSEVMRRKSVRKNIKPIIDVERIAAAISSTLSTESSSSKSEEQDGCCFVDALAAAMSRVSISETKRTRLCGPVSPIQQQCSSNMESNLCTAF >Ma02_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22262435:22272253:1 gene:Ma02_g14130 transcript:Ma02_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSARKSAARKMPSRAKKPSPQSATTLPPAVEESPSEVAAVKEEPAEEEVLAAPHAVEAPEEGNGLALENRGEVLVEVKTEEVEVEAMAMRETESEEKVEGAVIVEKIGEAITTQEVSIGDAIAMREVEDEGMAMQEMKSEEKAEGAAIVEKIGEAITTQEVSIGDAIAMREVEDEAMAMQEMKSEEKAEGAAIVEKIGEEFAAEVNGGGETASEKGGDSTVLPSESVEAPKDADDSANETDVDEGTEQEVKNEAEVSDGNDDEGVEESNDGDGNRTDDNADNEENDEDAAALYMQAQMEVRKKHKKFGVFVGGLDKSAVEKDLIEVFGVFGEIKSVRIVRNPVTQKSKGYAFIHYANIDHAKKALTELKDGTEVRGKQVGISASQDNDTLYLGNICKTWTKEQVIETLKGYGIEQLEDIILPDDPKNEGKTKGFAFLEFNSHSDAMAAFQRLRKPDALFGRDRSAKVSFAENSMHPSEEVTLQVKTVYIESIPDFWDGKKIKEICQQYGEVEKVQLFKKSTTKKKNFAFVEFTSRESAVACMEGVNSAQNGEGEVKIKVNLARPPSKGRLAKRGARGGFKINKDGEVTKQVAQSKKKKRDKSKEVFVQGNAKSKLKKDESSSKSRGKCDMRKKYHKSEKSDKAQKRGGDRGIVINERLSKKARRDYYYGNSRGRPSTVFDHQMDAYSENPRDSYVLQTVSHTPRYALPATNYQGYAYAGASGSKIHHPDLEPHAGYLPASQRRQYPYGYEQRAAPYDVQPNRGSEFTGGLSATQTSFPVYSSSTGYQGGYAYPSIGAYPGRINHAPRGYY >Ma08_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19066994:19069631:1 gene:Ma08_g16530 transcript:Ma08_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALRNLNRAKPQSCGVGLLAVLILVSLSYVTMSRTNKMRISIATSQLPPLLKVNSTSMASDKSDGHDDSSQDGDKAGRIEKSSSVDHVEVPVTYSRGELGGMKPEKKPICDLSNRKSEVCEADGDVRIIGKDTRMVYVAPQFGDSGGGESWTIKPYARKWDAGSGARVREVTMKLVNGYGDDTRCSVNHTVPALVFAIGGWTGNFFHDFADVLVPLFQTAYPFGGEVQFLVANMKPEWVKKYQLYFRKLSRYEIIEYDNDDTVRCFKHVTLGLRCSSIEDFQMEPSKSPHGYSMVDFAKFTRSAFSLQRDHSWRSDEQHNKKPRLMIIRRARTRKFMNVEEIVQMAKEVGYDVVVAEADDDISTFSRVVNSCDVLMGVHGAALTNMVFLPTNAVVIQVVPWGNLDWIAGHYFRDPSKQMKVNYLEYSISEEETTLSELYPRDHAVFKDPMSLHHQGWDTFSRIFLQEQNVRLDVNRFRPFLERALDILSQQPRE >Ma02_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2048477:2060521:1 gene:Ma02_g00170 transcript:Ma02_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNTHPPSSIPSSESQEVDIKTEPPTATADLPLASLSLSMSLLPSHLSPFSSSHSPSPKHSLSAFHSQLKFNIPSQISSLSLSLFSPHSKPSSRLCTPSKISNFLLLSPSSFLRRRHSDPAAGATARRCSLVWFRADLRLHDHEALSAANADSLSLLPVFLFDPRDFGRSPTGFDRTGPYRARFIIDSVAELRRGLRRRGSDLVVRIGRPEVVLPELARAAGADAVYAHREVSHDEARVEERIAKAMEAEGVEVKYFWGSTLYHIDDLPFELENMPTNYGGFREKVKGVTVRKAIDTPEEVKGLPLRGDIEPGEIPSLQDLGLNPPPTMSQDGKPVMSASLVGGENEALERLKKFAAECCAQPNKGNRDNTRNSIYGANFSCKISPWLAMGCLSPRFMLARLKKTATRTISAAVSQTNGVDSTDGGMNWLMFELLWRDFFRFITKKYSSTKKAEAVPASACTGALV >Ma02_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24901773:24903403:1 gene:Ma02_g18410 transcript:Ma02_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEHMRASSKSSNTSPENEKEHTHAAIIVDDHDVTNSEIVPKIVEGSLSTSPSSSTLSDEDFFQIDTGKVPIGTTFSPYASGDSLGSDNFGMVGAKQSPSIQLMGRADVPDPNRIPSSVFDRTKSNAPMEWSVASNESLFSIHTGKSGDLTSLYGNHSDGFPPPLSGSSPARSVGVGSSFRQPVESEVTSAQPKKDVLKAITEENAEKDRPSTLGNIPHSDSTPRYSDCGSVNSYRSFAFPILTVEGRNGSFKGESVQPFEKEQTQQPQPQSEEHPEADTAKTKPEQMGEGQCSECCPGDAAVI >Ma07_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10272829:10273230:1 gene:Ma07_g13660 transcript:Ma07_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSGTLLHDNIEGSTVAANSICVVAVELVYTEASLVDEGCKSCVPNAEDKGEAHTRCSFHRGRVYSSMMNRRGGEGLLILQSVVGLRCAVRYVLT >Ma06_p27790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29677503:29688438:1 gene:Ma06_g27790 transcript:Ma06_t27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSTGRFTLGKQSSLAPDRDDGELQALRSGDLDGLQVPEEVDANIRLMYLANEGDLAGIEETLASGVDVNFRDIDDRTALHVAACQGFADVVQLLLDSGAQVDPEDRWGSTPLADAVHYKNHEVIKLFEKHGAKLRVTPMRVENAREVPEYEIDPSELDFTNSVNITKGTFIIAKWRGIQVAVKKFSDDVMTDEDKLRAFRDELALLQQIRHPNVVQFLGAVTQSSPMMIVTEYLRKGDLRAYLNRKRTLRPSSAVLFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVAKTVKEERPLTCLDTACRYVAPEVFLNEEYDTKVDVFSFALILQEMIEGCPPFSYKQDNEVPKAYVSKQRPPFRAPPKQYGHGLKELIEHCWSENPADRPTFREIIDRLSKIQNHIAQKRRWKVTPLKCFQNFEAIWKKDGGSTRSSRSSTTNL >Ma09_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10426944:10429036:-1 gene:Ma09_g15100 transcript:Ma09_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLRLGEAPAGRPFWSAEKAVTTKGGRGLGLMLGMGLRVGGGEEEQDEDGRVEEVAAAEEEGKDEGEERGSSEPPLQLNLLPLLPVPPQTSPQLRFPWATEIKTSDASMRGFDVNRAPSTEEAEEGTAAAAASSPNSTVSSFQMGFSALRCSVEMEESGGGAAALEKASSRVSDEEENGLARKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCQTLTEENRRLQKEVAELRALKTSHPFYMHLPATTLSMCPSCERVATTTNSNSAAATDTAPAAADHRPNSFAALFSKPRSFSPGSQAPPAAPRQPSPVS >Ma09_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33986932:33988945:1 gene:Ma09_g22010 transcript:Ma09_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLQESGGRCASTSFCCFFYPIYIPCCIDGREGHLLKLCLVMNPQNQITDFCALCCIFPASNWTSWLLKSVGDVDIFTANFRPLKATLSGLPDWVFMKLIVCANTNKVLGVHMCGEDSPKIIQGIAIAVKAGLTKADFDVTVGIHPTSAEELVAMRSPT >Ma04_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7311865:7316488:1 gene:Ma04_g10230 transcript:Ma04_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSTSLRLRIQSWLRDYDRLQSVAVILIYIQIGCALIGSLGALYNGVLLINLVVALFALVAIESSSQSLGRTYAVLLFFAIVLDIAWFILFSRTIWNVTPDQKYGQLFVFSLRLALLMEIVGFSVRFLSTFLWIQIYRLGVSTVDNPINHADYSVRNSFVNPSTHDVARQNSNSDEILGGAIYDPTYYSSLFEDAQDKRCMPEGDKQIVHDGLSSPVIEAPKLKSCIGRSFHGIDIDSALRKPLVQ >Ma05_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36159204:36166944:1 gene:Ma05_g23970 transcript:Ma05_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAIMVSVPLSAFALLTPTSLPPPKRSSLPRNSCSIAPHLISSSSCSRKLSSYSASTSYLSSGQIGSVRCRATGDGSQSTFDDRMIYQGVYGPWTVDPSDIREVILYRLGLITAAASFVISSSAAFIPEGNILGDIIKQDVDFLYIIGAGGLGLSLLLIHIYVTPIKRFLQSLWVLGVIGSVGTYIMLAKPLNQSLIEYVISNPVGVWFIGPLFAALTGVVFKEGLCYGKLEAGILTFIIPGLLLGHLTGFIDDGAKLSLLGTWMALFMVFAARKFQQPIKDDIGDKSVFMFNALPEKEKKALLQNLDGQLE >Ma03_p30350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33014036:33015282:-1 gene:Ma03_g30350 transcript:Ma03_t30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLAGPAGGHARSVKVILPCGRVQRIDGPANAAELMLDAPGHFLVDSRSMHVGRRFAPLVADEDLEMGHVYAMFPMKRVNAVVAAADMAALLMAARKEVRRELGGGARVLPDATHVSTEVAEDYPPESEQNAARTSLEEAAAAEMREFRYRLSMCRSRRPTLETINTENIAV >Ma00_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:37253661:37253786:1 gene:Ma00_g04390 transcript:Ma00_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLIGIGGTESFVRGLEQATMRQKIFFRKDKQQTKREGK >Ma05_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8358150:8361540:-1 gene:Ma05_g11510 transcript:Ma05_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPFHGDLDRPPAPPPCAVETPRGEPSPTSQIWSSSLAMKASPAVSVFGAKASDSSTRRWISPLLFLMVIALSFTLLYRTTTPAVLFPPASLRVELGPPVAVQRPVDSAVASDPLPSSSPRPVQNGPESQDVRLERVLRAAATRDNTVILTSLNAFWSTPGSVLDLFLESFRVGNGTSELLNHLVIVAVDDKAYERCLAVHDHCFDLKTEGVDFSGEKVFNTPEYLDMMWARLDFLRVVLEKGYNFIFSDVDIMWFRNPLPFFYPDGDFQISCDNFLGDPTDLKNWPNNGFNYVKSNNRSIEFYKYWYSSRARYPGVHEQNVLNIIKYHQHVREIGVRIRFLNTKHFGGFCEPSRDLNKVCTMHANCCIGLQRKISDLRAMLDDWKKFMSLPPDIKILSHYSWSVPQSCRLPPGLGRDKEKNHHL >Ma04_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26820969:26856203:-1 gene:Ma04_g24970 transcript:Ma04_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MASASSRIFEYFVVCGIGPEIRTLEGNKGFQGMEVMYMPSLLDQYPPSNHSLYPPPPPQLPTCVLPAGVEFYSSGFNSDDVTTYPRSYPIVLTEGDGSKIYVACIAFRDPVCEDIIEAYQIPANSFADKCICVVSRSPSFQVLKDALEELFILCFSPAGSSKPLWDVIAYMVSNVPLPTPGKDRVLFAIENCLLSVEAPPKEGLPHADISFQPLVQCLDVDNLIRFFTAVLLERRILLRANKYSLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSLLTMDGVVVVDLEYNRITTSEEIPSIPEPELNFLRGEILKLLHPNVIWIDHLKINFGSMSEQYVRCGNKPWGDEHDFQLRLIFLRFLALLLSGYRNFIEPTTNVFNSQAFLKKRSRATGEPTESMLMITQFLESQGFMDYLERCIMSEESSNNLLDKLQDATGRGQNPTTIFPSQSVEPEIITVAEPDVGDAEPGSRHCYKQFPSTVRTEEQEEKRRSILALASGAHSGRQNPSSPMLANGDSKAESLSSMERAAERERMVLDIKVKLQRLWSRLLTLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIILGWQCRLTDEQFIAVKELLKTAINRASSRNDIATIRDALEVSAEMYRKDSNNVPDYVQRHLLSLSIWDELRFWDAYFEYLMEQSANKSANYVTLVTAQLIVIATHMDGLGLPDTDAWNMIEKIAEKNNLGYKQLIKLRALLSHIQQLRIGYWGLPSGKVQSASPYGLPSPHLQDGSDGSHEPTEASVVGRSWVHSMFSRDRSIRANSFSRVLSSDSTKTGATSGKADLLPSGQKKLHSSMRILKGHSGAITALHCVTRREVWDLVGDREDAGFFISGSTDCLVKIWDPSLRGSELRATLKGHTRPIRAINSDRSKVVSGSDDHSIIVWDKQTSQLLEELKGHEAPVSCVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSNAVLCMEYDDSTGILAAAGRDVVANIWDIRSGRQMQKLLGHTKWIRSLRMVSDTILTGSDDWTARMWSVSRGTCEAVLPCHAGPILCVEYSPSDKGVITGSSDGLIKFWENEEGGMKCVKNLTIHSASVLSINAGEHWLGIGAADNSMSLFHRPQERLGGFASTGAKSAGWQLYRTPQKTVAMVRCVSSDLDRKRLCSGGRNGLLRLWEATINI >Ma09_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1686108:1689136:1 gene:Ma09_g02390 transcript:Ma09_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDQHNSGSFQLNEEMIETLFGGNTSMAPKEMTGGLLYPSSNQENCILDPKKSQNIAIMLKALHVSEEEVCEALLEGNADSLGNEVLEALKKMVPSKEEERNLKEHKDDSSYILGPAESFLKAVLLIPFAFKRVDAMLYIAIFDSEVNYLRNLFGTLQAACEELRSSRMFHKLLEAVLKTGNRMNVGTRHGEADAFKLDALLKLVDVRGTDGKTTLLHFVVQAISRAEGSHLFALNPSSIKTPSNAASDLECCRLGIHVVSRLGIELSNVKKAAAMDCNMVSSCVMRLGGEIGKIHEVLQLNDSFSKEDGHNFHDAIIRFLRKAEGAILDIQARESFVLSMVKETTEFFHGDSAKEEDHPFRIFVVVRDFLAVLDQVCREVEKINEHDIIIMTRHLPVRQ >Ma11_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23039675:23039896:1 gene:Ma11_g17870 transcript:Ma11_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSCMVDLFARACLVKKAEEFRNKAPLLPTAAMWAALVGACQVYENTEIGERAAKKLQEMGTDNSGHYVDC >Ma04_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:990137:993274:1 gene:Ma04_g01140 transcript:Ma04_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSPEAAVNGGGGGEGTKSEAAELAAHPYDFHVSGPRNLSSPNWRDLIRSSWRDPNYKRMVIACFVQAVYLLELDRQEERTEENGLAPKWWKPSKYKLTQTLTDKRDGSIYGAVLEWDRSAALSELILMRPAGAPRAVLALRGTLLKSPTIRRDLEDDLRFLAWESLKGSVRFHGALEALKVMVDKFGSNNVCIGGHSLGAGFALQVGKALAKEGILVECHLFNPPSVSLAMSFRNIGEKAGFLWKKIKDSLPSKVQVAVDGEENAPVNGGKMFCNEIKKWVPHLYVNNSDYICCYYTDPSGAVVATDVSSDKAKMINSPGEVVAKLFVMNKGPQKFLEAHGLEQWWSDDMELQQALHHSKLIDRQLRSLYTAPPPSGKS >Ma11_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6923924:6931652:1 gene:Ma11_g08700 transcript:Ma11_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAPQRFDIFEVFARYCDIVSNNELSSSKELLAMLLRSMEYRGQTRETIFSDIYNLMTCLDLSADSLKFSCFYDFVFFICRENSQKNITVNRAITAWRLVLKGRFRMLDRWCNFVEKHQRHNISEDTWQQLLAFSRCVNEDLQGYDPRGAWPVLIDDFVEHMYSINQSNDCSSQDICYCGNLEAQPSISNTFSGLNLHPGSKRKNSADFEENVDEVIKSQEVLKSSDFLAQSKRLKQTSFIANTVHPNSDLCMSMVDGTSDYQDGMNKIGPPSAAETGLIILNIIHAIVFKNGFVPWKMSCFTHVYSRSLLQGMPLKFVL >Ma04_p26210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27745952:27749279:-1 gene:Ma04_g26210 transcript:Ma04_t26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPSLIRKRRVVSDSGFSFGSHLRVGEGNDADVDEDAGYYLEEDDRAEEEELRGDTVEHEIDQDNIGITPAARPVVERLPIVVLSDEDAAKRNTFCAVCKDGIASAEVARRLPCSHIYHAGCILPWLGIRNTCPVCRHELPTHDDDLISR >Ma04_p28050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29207042:29210651:-1 gene:Ma04_g28050 transcript:Ma04_t28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGIGGGGATLSEIYQSTRRLLLRTRDGLERLERLESSASSSSYASSSSPSVADPAELSLAVKRDITQIRSLCAEMDRLWRSIPVRGQRDLWKRKVEQVAEEVDSLKESLDKHSFRQQKRVQEAKERAELLERANGESAHILRIFDEEAQAMQSARNSSMMLEEAYATGVAVLSKYAEQRDRLKRAQRKALDILNTVGLSNTVLKLIERRHRVDKWIAYTGMVITVLVVCAFVWWMH >Ma07_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8702458:8706862:-1 gene:Ma07_g11700 transcript:Ma07_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSLQWGPAHQGDRSYIAVKPRRNHRRQHQRSPQRSRCNPSTAAAGVSPADPPVSKDGGAVAGVADSRDSNNQAGLEEPPSKPLSVAPRPCNLDRFLESTTPSFPAQYLSKTTIKGWRTCDVKFKPYFALSDLWESFKEWSAYGVGVPLLLHGNDSVIQYYVPFLSGIQLYGQSRAPSASSRQMSQESDGDCRDSSSDGSDEYESEKGLNYSSEWISTGGTSLRMNKLYVSEKQAHRQDGSSSDDDNFGNSQCHLLFEFLEQDPPFIREPLADKISDLARHFPALKTLRSCDLLPSSWLSVAWYPIYRIPTGPTLKDLDACFLTFYSLSTSAKDDGIACPSIKHSQEVDGVHMISLPLFGLASYKFKSTVWTPNGGSELQLANSLLQDADNWLRLHHVEHPDYKFFASHGAYRR >Ma04_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5325770:5330097:-1 gene:Ma04_g07350 transcript:Ma04_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVAGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma04_p07350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5325770:5330033:-1 gene:Ma04_g07350 transcript:Ma04_t07350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVAGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma01_p11720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8511855:8520256:1 gene:Ma01_g11720 transcript:Ma01_t11720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALFLTATKVAGALVLATVAANALSYFRYRRRYLRPFRSPIDESSDVLADFNVLPSGEVDGFFFGLATAPAHVEDRLRDAWLQFAEEQPCADVGLAQKHPVDALLASATGDGGSQQGSLATDESKKTGILETKKPLKIAMEAMIRGFVKYSDDEGLNSDTECHRTVASWHNVPHPQERLRFWSDPDTELKLAKDTGVSVFRMGIDWSRIMPREPIQGLKDAVNFAALERYRWIIKRVHFYGMKVMLTLFHHSLPPWAGEYGGWKLEKTVDYFMDFTRLVVDRVADLVDYWVTFNEPHVFVLLTYCAGAWPGGNPDMIEVATSALPTGVFNQAMHWMAVAHTKAYDYIHGERSVMKPSVGIAHHVSFTRPYGLFDVAAVTLANSLLIFPYVDSICDKLDFIGINYYGQVSTIIILILRKEMHEQSITGSFSIIQEVISAPGLKLVENDEYSESGRAVYPDGLYRTLLQFHERYKRLSLPFIITENGVSDETDLIRRPYLLEHLLAVYAAILMGVRVLGYLFWTISDNWEWADGYGPKFGLVAVDRANDLARNPRPSYYLFSKVVKTGQITKLDRMHAWKELQHAAKEKKTRPFYRKVDKHGLMYAGGLDEPIQRPYVRRDWRFGHYELDGLQDPLSRLWNFVTVPFSPKKKVQLEDPLVAPLPAGL >Ma01_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8511855:8520256:1 gene:Ma01_g11720 transcript:Ma01_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALFLTATKVAGALVLATVAANALSYFRYRRRYLRPFRSPIDESSDVLADFNVLPSGEVDGFFFGLATAPAHVEDRLRDAWLQFAEEQPCADVGLAQKHPVDALLASATGDGGSQQGSLATDESKKTGILETKKPLKIAMEAMIRGFVKYSDDEGLNSDTECHRTVASWHNVPHPQERLRFWSDPDTELKLAKDTGVSVFRMGIDWSRIMPREPIQGLKDAVNFAALERYRWIIKRVHFYGMKVMLTLFHHSLPPWAGEYGGWKLEKTVDYFMDFTRLVVDRVADLVDYWVTFNEPHVFVLLTYCAGAWPGGNPDMIEVATSALPTGVFNQAMHWMAVAHTKAYDYIHGERSVMKPSVGIAHHVSFTRPYGLFDVAAVTLANSLLIFPYVDSICDKLDFIGINYYGQEVISAPGLKLVENDEYSESGRAVYPDGLYRTLLQFHERYKRLSLPFIITENGVSDETDLIRRPYLLEHLLAVYAAILMGVRVLGYLFWTISDNWEWADGYGPKFGLVAVDRANDLARNPRPSYYLFSKVVKTGQITKLDRMHAWKELQHAAKEKKTRPFYRKVDKHGLMYAGGLDEPIQRPYVRRDWRFGHYELDGLQDPLSRLWNFVTVPFSPKKKVQLEDPLVAPLPAGL >Ma01_p11720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8511833:8520256:1 gene:Ma01_g11720 transcript:Ma01_t11720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALFLTATKVAGALVLATVAANALSYFRYRRRYLRPFRSPIDESSDVLADFNVLPSGAEVDGFFFGLATAPAHVEDRLRDAWLQFAEEQPCADVGLAQKHPVDALLASATGDGGSQQGSLATDESKKTGILETKKPLKIAMEAMIRGFVKYSDDEGLNSDTECHRTVASWHNVPHPQERLRFWSDPDTELKLAKDTGVSVFRMGIDWSRIMPREPIQGLKDAVNFAALERYRWIIKRVHFYGMKVMLTLFHHSLPPWAGEYGGWKLEKTVDYFMDFTRLVVDRVADLVDYWVTFNEPHVFVLLTYCAGAWPGGNPDMIEVATSALPTGVFNQAMHWMAVAHTKAYDYIHGERSVMKPSVGIAHHVSFTRPYGLFDVAAVTLANSLLIFPYVDSICDKLDFIGINYYGQEVISAPGLKLVENDEYSESGRAVYPDGLYRTLLQFHERYKRLSLPFIITENGVSDETDLIRRPYLLEHLLAVYAAILMGVRVLGYLFWTISDNWEWADGYGPKFGLVAVDRANDLARNPRPSYYLFSKVVKTGQITKLDRMHAWKELQHAAKEKKTRPFYRKVDKHGLMYAGGLDEPIQRPYVRRDWRFGHYELDGLQDPLSRLWNFVTVPFSPKKKVQLEDPLVAPLPAGL >Ma03_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25525421:25534159:1 gene:Ma03_g20370 transcript:Ma03_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGSGGCPAMAFRYNGTLCACEPGRYLVNGSCALFETGGGWVVSSGVSSAPPTFLTTVLPVDSIKRFTQSQAVLLEATLLALLVWLTFCLALRFTRVDGGRCLWFRLRWWISRSDMFYDTNHWLDDNKAVIKRKTELGGTFSVASSILFVGLLSALLYQIITKRSIEVHRVRPANAPDLLSFVNDLEFSITTISSMSCSHLRGPDSLVIGTPGSIDYRVFPLSTYVEYNCHNTSSGPIISLRCNSCQIPRRNHYISWQFVDLRNDPAAAVGFRFNLSAKDHANNRHVSFVSGTVKSNTYTDDKPKTFRGSDVNVLKIHLFPQAYNNLNLIQPLFHDFIPGTFFSKSSDLQASLQVSKDGLVNTTLYISYLSDYIVEIDKENMIGIVGFLADVGGLFTFSLAIFLWFLIQCEARIKKLRYEDTAMRNILRQRRAQKNWDKLRKFVMYTWGPSNLVEDNNKSRRHGTLMIESIHGIQAFQSKKQPSRHNSTHLDTAKGNSPHSKSRQVFE >Ma03_p20370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25525421:25535573:1 gene:Ma03_g20370 transcript:Ma03_t20370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGSGGCPAMAFRYNGTLCACEPGRYLVNGSCALFETGGGWVVSSGVSSAPPTFLTTVLPVDSIKRFTQSQAVLLEATLLALLVWLTFCLALRFTRVDGGRCLWFRLRWWISRSDMFYDTNHWLDDNKAVIKRKTELGGTFSVASSILFVGLLSALLYQIITKRSIEVHRVRPANAPDLLSFVNDLEFSITTISSMSCSHLRGPDSLVIGTPGSIDYRVFPLSTYVEYNCHNTSSGPIISLRCNSCQIPRRNHYISWQFVDLRNDPAAAVGFRFNLSAKDHANNRHVSFVSGTVKSNTYTDDKPKTFRGSDVNVLKIHLFPQAYNNLNLIQPLFHDFIPGTFFSKSSDLQASLQVSKDGLVNTTLYISYLSDYIVEIDKENMIGIVGFLADVGGLFTFSLAIFLWFLIQCEARIKKLRYEDTAMRNILRQRRAQKNWDKLRKFVMYTWGPSNLVEDNNKSRRHGTLMIESIHGIQAFQSKKQPSRHNSTHLDTAKGNSPHSKSRQEKHDIEEEETRQRNISEISQ >Ma03_p20370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25525421:25535573:1 gene:Ma03_g20370 transcript:Ma03_t20370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGSGGCPAMAFRYNGTLCACEPGRYLVNGSCALFETGGGWVVSSGVSSAPPTFLTTVLPVDSIKRFTQSQAVLLEATLLALLVWLTFCLALRFTRVDGGRCLWFRLRWWISRSDMFYDTNHWLDDNKAVIKRKTELGGTFSVASSILFVGLLSALLYQIITKRSIEVHRVRPANAPDLLSFVNDLEFSITTISSMSCSHLRGPDSLVIGTPGSIDYRVFPLSTYVEYNCHNTSSGPIISLRCNSCQIPRRNHYISWQFVDLRNDPAAAVGFRFNLSAKDHANNRHVSFVSGTVKSNTYTDDKPKTFRGSDVNVLKIHLFPQAYNNLNLIQPLFHDFIPGTFFSKSSDLQASLQVSKDGLVNTTLYISYLSDYIVEIDKENMIGIVGFLADVGGLFTFSLAIFLWFLIQCEARIKKLRYEDTAMRNILRQRRAQKNWDKLRKFVMYTWGPSNLVEDNNKSRRHGTLMIESIHGIQAFQSKKQPSRHNSTHLDTAKGNSPHSKSRQVQLSQCLRMTIVKSLFGT >Ma05_p28040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39122059:39137709:-1 gene:Ma05_g28040 transcript:Ma05_t28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDATTPARLSVGFLFPSWWEIEVTVATALLVVGVYSLLERISFGDAGGDDDRPLGGDEAPLAARVLPARQSDAKEKMNQIKVDPQGASAYVVKLELLAAKNLIGANLNGTSDPYAIITCGEQKRFSSMVPGSRNPIWGEEFNFFADVLPVQINVTIYDWDIIWKSTVLGSVTVTVEKEGQTGAIWHTLDSTSGQVCLHIKTTRLPASSSRALNGYAGADARRRLSLDKQGPTLVHQKPGPLQTIFDLPPDEVADHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVISFGDIAEIRRSQHAVINPAITIILRMGAGGHGVPPLGSPDGRVKYMFASFWNRNHTLRALQRAAKNFHAAIEAEKKEWAQSALRAHSSSVRGSRRQIKLPNESVVETAKFQDFIKEEVLVGIVNENFPCTAEEFFSVLLNDDSMFIAEYRSARKDTNLNLGQWHVADEYDGQVREITFRSLCHSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSTFEVHCRWSLNTISDSSSMLDIRVGAHFKKWCIMQSKIKTGAVDEYKKEVAQMLEIARSYLVKVKGSSQDKREASETPTSAVS >Ma03_p28950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31988795:31991138:1 gene:Ma03_g28950 transcript:Ma03_t28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDAQDLKDTGMKIVEKCGGLPLAIKTIGGVLRDRGLNRSAWEEVLRSAAWSRTGLPEGVHGALYLSYQDLPSHLKQCFLYCALFREDFDFESPEIVRLWIAEGFVEARGDVSLEETGEQYYIELLHRSLLQLQPYDLDYGEYSRMHDLLRSLGHFLSKDESLFISDVQNEWRSAAAPMKLRRLSIVATETTDIQHIVSLIKQHESVRALLVHGYAKDIDDYLKNFVRLRVLHLMGRNIQSLPHYMGNLIHLRYLTVSQSHITELPESICNLTNLQFLILFGCRRLTQIPQGIVRLVNLRALDCKGTRLESFPYGIKRLKHLNELQGFVVNTGIGMCPLEALCGLQELRYLSIHRLERAWLEAEPGRDTSVLKGKQKLKNLYLGCSFTYDGHTEEEIERIEKVLDVALHPPSSVVMLSLENLFGRRYPSWMASASISSLLPNIRHLELIDCYDWPLLPPLGKLPSLEFLVIRGARAVTTIGPEFFGCEAAAATGNDRERNSKRPSSSPPPSLFPKLRQLELRKMTNMEVWDWVAEGFAMRRLDKLDLVNCPTLKSLPEGLIRQATCLTTLNLRDVCALKSIRGFPSVKELSISGESDLEIVTDLPALEVLELGEFLLPNNHLPEWLAACPACFTSLQRLDVLGTTQLLRRCLQNGADWPMIKHFPNFSIKDDRGNYINYIKHSGTFETNLVDDAAAFAAAAAEEEEEGEDINEL >Ma06_p00770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:600718:632777:1 gene:Ma06_g00770 transcript:Ma06_t00770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSSPSAQIKDLHVAKREEDIGFYAGFVGASFMVGRALTSLLWGMVADRYGRKPVIVLSIISVIIFNTLFGLSTSYWMTITTRLLLGLLNGLSGPIKAYSIEVCREEYQALGATLVSTAWGIGLIVGPAIGGYFAQPAEKYPEIFSQDSFFARFPYFLPCICISLFAVGVLIASVWLPETLHKHNSDQVKGVSIEDLETTQYKPDFKGHIGVHEESGLPRKENLFKNWPLMSSIIVYCIFSLHDMAYSEIFSLWAVSGKRYGGLSFSTKDVGEILAISGLGLLLFQTLLYPPLEKLVGPINSLRLAAILSIPLLVAYPFMAKMSGIPLMLIVNLASVLKNTFSVTIVTGLFILQNIAVAQDQRGAANGIAVTTMSIFKAIAPAAGGAIFSWAQKRQHASFLPGDQMVFFILNVVEFIGLLLSFKPFLVQRCNN >Ma06_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:600660:632777:1 gene:Ma06_g00770 transcript:Ma06_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNEPLLKKKVYFDNCPGCKQDRKNEASLGIPYKEFFYVWIVTLCTALPISSLFPFLYFMIKDLHVAKREEDIGFYAGFVGASFMVGRALTSLLWGMVADRYGRKPVIVLSIISVIIFNTLFGLSTSYWMTITTRLLLGLLNGLSGPIKAYSIEVCREEYQALGATLVSTAWGIGLIVGPAIGGYFAQPAEKYPEIFSQDSFFARFPYFLPCICISLFAVGVLIASVWLPIFSLWAVSGKRYGGLSFSTKDVGEILAISGLGLLLFQTLLYPPLEKLVGPINSLRLAAILSIPLLVAYPFMAKMSGIPLMLIVNLASVLKNTFSVTIVTGLFILQNIAVAQDQRGAANGIAVTTMSIFKAIAPAAGGAIFSWAQKRQHASFLPGDQMVFFILNVVEFIGLLLSFKPFLVQRCNN >Ma06_p00770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:600661:632777:1 gene:Ma06_g00770 transcript:Ma06_t00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNEPLLKKKVYFDNCPGCKQDRKNEASLGIPYKEFFYVWIVTLCTALPISSLFPFLYFMIKDLHVAKREEDIGFYAGFVGASFMVGRALTSLLWGMVADRYGRKPVIVLSIISVIIFNTLFGLSTSYWMTITTRLLLGLLNGLSGPIKAYSIEVCREEYQALGATLVSTAWGIGLIVGPAIGGYFAQPAEKYPEIFSQDSFFARFPYFLPCICISLFAVGVLIASVWLPETLHKHNSDQVKGVSIEDLETTQYKPDFKGHIGVHEESGLPRKENLFKNWPLMSSIIVYCIFSLHDMAYSEIFSLWAVSGKRYGGLSFSTKDVGEILAISGLGLLLFQTLLYPPLEKLVGPINSLRLAAILSIPLLVAYPFMAKMSGIPLMLIVNLASVLKNTFSVTIVTGLFILQNIAVAQDQRGAANGIAVTTMSIFKAIAPAAGGAIFSWAQKRQHASFLPGDQMVFFILNVVEFIGLLLSFKPFLVQRCNN >Ma03_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:434807:441792:-1 gene:Ma03_g00510 transcript:Ma03_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRFPYSPANVAKVGAVQFGILSPEEIRHMSVVQIVHGATTERGKPKLGGLSDPRLGTVNRRMSCETCKGNMAECPGHFGHLELAKPMFHIGFLKTVLLITRCVCFNCSKILVDEDDPKFKEALKIRNPKNRLQRIYVACKSKKKCSGGDDIGLRRQQDSRGPTEKSRVGCGARQPIITIDGLKMVAEYKDSKRKSDEQEDRLEPSERKQVLSAETVLSVLERISDEDCLLLGLDPKYARPDWMILQVLPVPPPPVRPSVMMDSSLRSEDDLTHQLAMIIRHNENLRQQERSGAPAHFISELAQVLQFHVATYFDNELPGQPTATHRSGRPIKSICSRLKGKGGRIRGNLMGKRVNFSARTVITPDPTINIDELGVPWSIASNLTYPETVTAYNIERLRELVENGPHPPPGTPGANYIIRDDGQRLDLRYVKKSSDRHLELGYKVERHLRDGDYVLFNRQPSLHKMSIMGHRIKLMPHSTFRLNLCVTTPYNADFDGDEMNMHVPQSLETRAEVIELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITARDTFIEKDVFMNILMWWEDFDGKIPAPAVLKPRPLWTGKQVFNLIIPKQINLMKFSGWHAETETGFITPGDTVVRIEKGELLSGTLCRKTLGTSPGSLIHVIWEEVGPDAARKFLGHAQWLVNHWLLQNGFSMGIGDTIADAATMEKINETISKAKNDVKELIKLAQEKQFEAEPGRTMMESFENRVNQVLNRARDDAGSSGQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFVDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLVDTAVKTSETGYIQRRLVKAMEDIVVTYDGTVRNSLGDVIQFLYGEDGIDAVWIESQKLDSLRMRKTEFESVFRYQLDDVHWNPSYLQEEHVEDLKTIPECRDVFDAEVQKLEADRYQLGTEIATTGDATMHMPVNLKRLIWNAQKTFKIDPRRPSDMHPMEIVDAVDKLQERLKVVPGDDFLSMEAQRNATLLFGILLRSTLASKRVMKEYRLTREAFEWVIGEIESRFVQSLVSPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKQIKTPSLCVYLKPEVRHEKEKAKQVQCALEYTTLRSVTHATEIWYDPDPRSTVIEEDVEFVRSYYEMPDEDTASENISAWLLRIELNREMMVDKKLAMADIAEKIDDEFGDDLSCIFNDDNADKLMLRIRITNDDAPKGEVQDESDEDDVFMKKIESNMLTEMALRGIPEIKKVFIKSAKNSRFNDEEGFEQAVEWMLDTEGVNLLAVMCHEDVDATRTTSNHLVEVIEVLGIEAVRRALLDELRAVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVEILLDAAVYSEEDHLRGVTENIMLGQLTPVGTGACALYLNDRMLQQAIELPLPSYMMDGGGFDFGTTPSASPNYAWASYHEGMMSPGYLLSPQIRASPLTTAAQFSPYVAGMAFSPSPSLDYSPSSPGYSPASPAYSPTSPAYSPTSPSYSPTSPRYSPASPSYSPTSPRYSPPSPSYSPSSPVYTPTSPSYSPPSPSYSPSSPAYTPTSPSYNPPSPSYSPSSPVYTPTSPSYSPTSPSYTPSSPSYSPTSPRYSPISPSYSPTSPKYGPSVACPRLSPSSQHGQSSPNYRSTSPSYSPASPSYSPSSPVFSPDSPSNSGTGPEYSPSSPQYSPSAVYSPSSPAYSTSSSDEDEESTG >Ma04_p36830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35042543:35043314:1 gene:Ma04_g36830 transcript:Ma04_t36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase beta [Source:Projected from Arabidopsis thaliana (AT4G22550) UniProtKB/Swiss-Prot;Acc:Q9SUW4] MAADAPTSAAASVIASRPSILRRLVALDTALSLRVHSFCRPVPRPLLKALEISGDGRFWFPIPVALLPLSSASGVAYSLFLGLLLGSLLDLLLVGLIKHLVRRPRPVYNKGMSLTFAVDHWSFPSGHSSRVFFIAAFLRLSSASLRRVLLFDGPIAPARRWIEDYYDGELAELLVWIVSTWSVATSTSRVLLGRHFVLDVIAGAFLGVLEALLVLYFLHL >Ma08_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33146471:33147246:1 gene:Ma08_g19360 transcript:Ma08_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESRKARPERSASFHGRTTTLTASPLDRSQIRRPKTQPELLPRGRSGAGEERRLPAKVLVNVSVQRSLGPVQVMASAEWSVGDLVAATVRLYVKEGRRPPIPTAEPSAFGLHYSQFSLEGLDPEEKLMGLGSRNFFLCLNPVPVSEAASAAATATAASATGSRFKQAEKSSEIGISCFSFMDFLL >Ma06_p03280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2423697:2424505:1 gene:Ma06_g03280 transcript:Ma06_t03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISAAEVEESICKFSKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLQPGIPEDLYFLIKKAVAIRKHLERNKKDKDSKFRLILVESRIHRLTRYYKRTKQVPATYK >Ma06_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2423697:2427572:1 gene:Ma06_g03280 transcript:Ma06_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISAAEVEESICKFSKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLQPGIPEDLYFLIKKAVAIRKHLERNKKDKDSKFRLILVESRIHRLTRYYKRTKQVPATYKYVSGSAAETMVA >Ma03_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15175112:15184961:-1 gene:Ma03_g15380 transcript:Ma03_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVGSSIAVRESSGRPSGRWLGRFPCLDDRATRSALCLKLILVLLHVIFGGALFILDTELRRRTREHPWYTAIYLVLYLATLVQYFFTSYSSPGYVIDVMMAGSGTHATFANLSSFDQRQITTRNKNPSPSTQIVSSVWLRQVMDLYPPGFSSGTWTCSYCHIIQPPRSRHCHDCDKCVLQFDHHCAWLGTCIGKRNHCRFWWYIFEETMLCIWTGTLYIDLLVSKAMKAWWKDLIAIILIVILVFCFIFLILLLLFHSYLALTNQTTHEIMRRRRILYLRGFPSKVHPFSKGIYRNLIAFCCSCDDKHALEAVPPVEDVEARAQPYTCIDVISCRCC >Ma03_p13420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10541105:10543403:-1 gene:Ma03_g13420 transcript:Ma03_t13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEAKTSERAESESDGVKKEQELDLSGMSLDSLPNPSINLGIITKLDLSNNNLQSIPESLTARLLNLVVLDVHSNQLRALPNSIGCLSKLKALNVSGNLMESLPKTIEDCRALQELIANFNQLTKLPDTMGFELTNLQMLAVNTNKLAFLPYSTSHMTSLRVLDARLNCLRALPDGLENLIRLQVLNVGQNFQYLQSLPYAIGLLVSLVELDISYNSITVLPNSMGCLTKLRKFQVEGNPLVCPPTDVVEQGIDVTREYLSARMNGSETGPSSSKQSWIKNLVKCGTFSGRMMSSNISVRDEKDGLLMSDYRSIDGLASPRYVGIFSPRRLFSPRRASPRK >Ma03_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10541105:10543407:-1 gene:Ma03_g13420 transcript:Ma03_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEAKTSERAESESDGVKKEQELDLSGMSLDSLPNPSINLGIITKLDLSNNNLQSIPESLTARLLNLVVLDVHSNQLRALPNSIGCLSKLKALNVSGNLMESLPKTIEDCRALQELIANFNQLTKLPDTMGFELTNLQMLAVNTNKLAFLPYSTSHMTSLRVLDARLNCLRALPDGLENLIRLQVLNVGQNFQYLQSLPYAIGLLVSLVELDISYNSITVLPNSMGCLTKLRKFQVEGNPLVCPPTDVVEQGIDVTREYLSARMNGSETGPSSSKQSWIKNLVKCGTFSGRMMSSNISVRDEKDGLLMSDYRSIDGLASPRYVGIFSPRRLFSPRRASPRK >Ma05_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32842266:32843996:1 gene:Ma05_g21150 transcript:Ma05_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDAPSTPGKWKMEKPHHRHHPRLLLPRWHHSYPVSKLVFWSFFALALFLAIFLLSPRSSAPARTPDSFASSSTSSGVRRAALQMAPWGGPAWEKRVRSSARVRRNPGGASVLVTGAAGFVGMHVAAALKRRGDGVLGLDNFNGYYDPSLKRARQALLDRAGVFVVEGDINDGALLRKLFDVVPFTHVVHLAAQAGVRHALVDPASYVHSNVAGLVSVLEAAKAADPQPAIVWASSSSVYGLNSRVPFSEAHRTDRPASLYAATKKAGEEIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRDILLGKPVSIFEGPDHATVARDFTYIDDIVKGCLAALDHAGKSTGSGGKKRGPAPLRIYNLGNTSPVPVTKLVSILERLLKVKAVKKLVKMPRNGDVQFTHANITFAQKELGYRPTTGLHTGLKKFVRWYLEYYSISLSKNDSKGRRISS >Ma02_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27222854:27228057:1 gene:Ma02_g21660 transcript:Ma02_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPDFHHRASGGGGGGRTNLASCLLATAFLLLLLVAATVALFILFRPRDPEIRVSAFQLPGFAAANGTLRFTFNQYAAVRNPNRAAFSHYDSTLQLVYAGNQVGFMFIPAGQIAGGRTQHMAASFPVDALPLAAVAALPPGAAGAVEVDSRMSVKGRVRVLRFFTHHVQATAGCRVGISAADGSVLGFRC >Ma02_p21660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27222854:27228057:1 gene:Ma02_g21660 transcript:Ma02_t21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPDFHHRASGGGGGGRTNLASCLLATAFLLLLLVAATVALFILFRPRDPEIRVSAFQLPGFAAANGTLRFTFNQYAAVRNPNRAAFSHYDSTLQLVYAGNQVGFMFIPAGQIAGGRTQHMAASFPVDALPLAAVAALPPGAAGAVEVDSRMSVKGRVRVLRFFTHHVQATAGCRVGISAADGSVLGFRC >Ma05_p28280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39340660:39341642:1 gene:Ma05_g28280 transcript:Ma05_t28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQQDLPEFRTWNEETNGGHGRFCDSDGHGVDQWMVSSEWKKKATFPTDPADHCHGDDDDDKNASLGSEEHVHDVEDAPVAAYELSLRDLVKLPGIVTAMQENQTDGVEFSQQESRSWEERRKTDQATKGAWRKSRSVDNGAVLLKMFFPVSLGGRRRSGITGSASRTMPKPSSTKGDDGEHCKNSSSGNTSRRKGGCSFFFQSSRSKSRKNGGCNC >Ma08_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1778380:1782744:-1 gene:Ma08_g02220 transcript:Ma08_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKPSSSSIADELLDIRKSAGTPADSSFSSSSSSALSPGYFSSVFPTGSTEMSKDSAESDLFWTSSERRTDDLIGNAQAAAADGKSQGSPSRRQTTWSKDGKLVDPNQSEESTYLCSSVHYGGRDFYVSSPSNQVSGAPKSDKKSDEGDDSGDANIANRGEWWQDVIIEVMNRGGNMRLKVGV >Ma11_p21420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25571321:25576535:1 gene:Ma11_g21420 transcript:Ma11_t21420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCCHCGVTSTPLWRNGPSDKPVLCNACGSRWRTKGSLMNYVPQHAREGFAMDGLKSSKIKNLSFKPKEQKLQMEKQRDGMLGSDCEMQYCDQNFHKIVKGIISNGSGSESAISGSDSCVQFGSADASDVTGSVQSHVWDSLVPSKKRTFVTRPKPSPVEKLTKDLCSILHEDQYSNLSIISEDDLLYDSGTPLGSSEIGYGGVLINHPHSKSVEEESEASSLPVDKSYTTNEGYSGSPVNTESKRTSFLNSAATTQMSQEIVKRDKSSHENLNILQDRDSPLSSADLNVVINFENFMEYLTDEEQQHLMKYLPSIDTAKPPETLKSMFAGPQFLKSLPYFQQLLQEGVFDLSLSEANAEERRTLKRLVLLNCINLQWLEYYQQIKDASSKKIKGGNETSNRQNLPGLSNLASMKRHQDKQNKTYPDLKSTMRSPKRVCRSEGMNPPSRCSTQLEPWDRGDSVDHDGACFSPRRIFASPPRRSSILPPPPSIADDSEGDLLLDVTSGASFAEAELLYHPWKQKADHDK >Ma11_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25571321:25576535:1 gene:Ma11_g21420 transcript:Ma11_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCCHCGVTSTPLWRNGPSDKPVLCNACGSRWRTKGSLMNYVPQHAREGFAMDGLKSSKIKNLSFKPKEQKLQMEKQRDGMLGSDCEMQYCDQNFHKIVKGIISNGSGSESAISGSDSCVQFGSADASDVTVCFSGSVQSHVWDSLVPSKKRTFVTRPKPSPVEKLTKDLCSILHEDQYSNLSIISEDDLLYDSGTPLGSSEIGYGGVLINHPHSKSVEEESEASSLPVDKSYTTNEGYSGSPVNTESKRTSFLNSAATTQMSQEIVKRDKSSHENLNILQDRDSPLSSADLNVVINFENFMEYLTDEEQQHLMKYLPSIDTAKPPETLKSMFAGPQFLKSLPYFQQLLQEGVFDLSLSEANAEERRTLKRLVLLNCINLQWLEYYQQIKDASSKKIKGGNETSNRQNLPGLSNLASMKRHQDKQNKTYPDLKSTMRSPKRVCRSEGMNPPSRCSTQLEPWDRGDSVDHDGACFSPRRIFASPPRRSSILPPPPSIADDSEGDLLLDVTSGASFAEAELLYHPWKQKADHDK >Ma03_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2125939:2130384:1 gene:Ma03_g03140 transcript:Ma03_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFLRHLKAHQSLGRCFISSNSHDLAIAELNKELESIFGEPPSSSALSSNASQVSHFTSPSSEDKPTNLTHVDGSGHAKMVDVSSKVESKRAAIASCRVLLGQKVYNLVASNQIAKGDVLTVAKISGINGAKQTGNLIPLCHNIGLTHVRVDLTLNEKDYSVEIEGEAVATGKTGVEMEAMTAVTIAGLTVYDMCKAASKDICITDVRLEHKTGGKSGHWSRKE >Ma08_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7873593:7874407:1 gene:Ma08_g10740 transcript:Ma08_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLAVDDFIHHHLLVGDFDPLLLLVDRSPPPIPPPYHDAPLYPTTTDAGPPSGPDIGQPPEGGRQPLPSSDDLSVGRRYRGVRQRPWGKFTAEIRDPCRRGARIWLGTFDSAVEAARAYDQAAFRMRGRRAILNFPNDVGRSQHRFPLVADDAISRGGGGGGGGDGAGRHP >Ma10_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27129243:27143953:1 gene:Ma10_g14810 transcript:Ma10_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRPSAPPPRLQRPAVAMPPAYMPRQTSAALAAAAQNQLTVLAANNVRLSAVAERLELYFRGGISLSPSDLFRLVFALARGIDYALSSNDIPGIAKRLPSLIKQVYQRRNDPSLQSAVMVLMISAKNACKNGWFSSPDENELLSMGNELCSSFCISMSDTSDTFVGNAKDIISKIIPRFYPQLKFSRLVISFEAKPGYDILMADFHISRNIPPDQKICLLVVQTDNLDTSSCIISPQHVSFLVNGKGIERRTNVSMDSGPQFPTDITKMLKYGTNIIQAIGYFSGSYIIAIAFIGRITTPAAPTLEDYVHPVIEKTVSDSDIIEGPSRITLNCPISFKRIKIPVKGHLCKHHQCFDYDNFMEMNFRKPSWRCPCCNTPTSCIDLRIDQNIVKVLQEVGEDIADIVIFADGSWKAFVEHNKSINQVHKVRSGQQETSNENGSTLTGVVDLTMEEDYASDIAKCSEEVTPSHGYAYRAENIICELEDRKPFRDIEGLPVSLDASGAPVTSTPMNILAAVYNTGDGILPWNLPSVSSSTSGRTGGGNANALGTLESLVPNVVLNPIQTDAVSPALNRVLTGLELSQSTPTFQQASQGMPLAENLQLQPLHLAGSIITNEAGRPPIPRHVSRTPIAVQALPAQTQPPSSSRRVHIGSSNSNSMINSITSISHQAFSPTTMASGLSSVSSQMQIEQHSRTSNMASVPSQLHSITPEPHQHSNSALQRVVGVPAPSLVGTSAPQGQLRVADPYRATVHSPSDYQNPQQLLYQRGHHSANLSSISWPSRNPSSQVLQTSRSPVFPTAAGPSLSIRSSAELAAQAATAQTGAARIPVMTRVPSFPTVVDMDGTLPSSGSHGASELPYERNWQPTGRMRGSLTGSAYDAALSQYLVAPTQPAQSRPPPSSVDGSTDQLLVSPVNSLNVQAPIIQHVSTRRADSNDQSTGSYGL >Ma11_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6082826:6083912:1 gene:Ma11_g07620 transcript:Ma11_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGHANGAAETNGKPPGLAAFRIFVGYDSREDAAFQVCRHSLLRRSSVPLEVVPLKQAELRAAGLYTRGRGATESTEFSFTRFLTPFLAGYQGWAMFVDCDFLFTADVAELSAMADDRYAVMCVHHDYAPKEGVKMDGVPQTVYPRKNWSSMVLYNCAHPKNRALTPELVSAESGAFLHRFMWLDDDDVGELPVGWNFLVGHNRVDPTDPKTQPKAIHYTSGGPWFEAYRDCEFGDLWLKELEELNDEKQQQPVEL >Ma10_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32111452:32112169:1 gene:Ma10_g23000 transcript:Ma10_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVKEFLNCIIKTKVQICT >Ma03_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6821449:6822039:-1 gene:Ma03_g09260 transcript:Ma03_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDCSVLDPLMYHPESRWISEAFIGDNEGLARVLRISPSDTSSSGAFHDIHSSSYPLPVQHQLDPPSLADAVCRRVNPLGPQPAARVSKRLRRSRPSKRSPTTYIKADPANFREMVQWVTGVRLGDEEPGEPPMLPAVAARNPSQQLFLPTLDTSAVFLGLGEPVPVSAGSLVPPTSDFDSLFPGFPTLESWGVM >Ma08_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2989341:2993966:-1 gene:Ma08_g04300 transcript:Ma08_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVRWTAFSHSSLAFFPCPSATARLSLPRRTFSRFTRCLSLATSPPAYPESGDPTSSKVLLKGMEFTELEKWVKSQGFRSGQALMLWKCLYGNNNWAQSCEELAGLNKEFRKMLSENSSLMALSVKDVLTASDGTRKILFTLDDGSVIETVVIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLRKHLSTAEIVEQAVFARRLFSSEFGSITNVVFMGMGEPLHNIDNVIKAAAIMVDEQGLQFSPRKVTVSTSGLVPPLKRFLRESTCALAVSLNATTDEVRNWIMPINRKYNLSLLLETLREELLSKHKYKVLFEYVMLAGVNDSMEDAKRLIELVRGIPCKINLISFNPHCGTQFKPTPEEKMIEFRNVLAEAGVVVFLRLSRGNDQMAACGQLGKPGYIQPPLLRVPERFQTAV >Ma03_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9768074:9770903:-1 gene:Ma03_g12690 transcript:Ma03_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFRNLFFHRLLLLCLPSLAAASARVPALIVFGDSTVDAGNNNQIQTVLKSNFSPYGRDIAGGRPTGRFCNGRLATDFISEALGLPPLVPAYLDPDYGIKDFAQGVCFASAGTGLDNATSDVLSVIPLWQEVEYFKEYRRRLGRYVGKARAMHIVREAVYIVSIGTNDFLENYYSYVTGRFREFKVEEFEDFLIDRAADFLTAIYTVGARKISFTSLGAMGCLPLERTTNVLHGGDCIEEYNKVARDFNVKLQALIHRLCSSLPGLKLRYAPVYDGLLRIIQNPSAYGIENVAEGCCATGKFEMGYLCDQWNPLTCKDADEYIFWDAFHPTEKINRMMAQATLRTSLAEFI >Ma05_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34515695:34517310:1 gene:Ma05_g22740 transcript:Ma05_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGRGEMLLALMAALYLSAAITEAGVLNVGFYSYSCPQAEAIVKEALNDALEEDSGIGADLLRMHFHDCFVRGCDGSVLIDSTNGNTAEKDAEINQTIEDEAFQVIDKAKKKLEAVCKGVVSCADILAFVARDSVAHYGGIFYQVPAGRRDGRISRSVDTADLPTADLNLARLTNSFARKGLSQNDMIILSGAHTIGVAHCPSFSNRLYNFSRSSSSDPTLDASYAAELKEECPPGSNNEVNMDPPSPLVFDTSYYQGILKHRGLFSSDQTLVSTASSAAKVTLLATNSVVFKSEFAAAMVKMGGIGVLTGRAGEIRGNCRVVN >Ma08_p26960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39368246:39369566:-1 gene:Ma08_g26960 transcript:Ma08_t26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPPVEVKFMLSLLIMFSSSSSMPSNSSENALPEDGVFEVDDYLTFADDGHEEASLSHPSVHRYPLVQAADCAANKTKITDCNKSKDAGKRSSKRSSSFKVAFKTKSELEILDDGYKWRKYGKKAVKSSPNPRNYYRCSSEGCQVKKKVERHRDDSSFVITTYEGTHNHHAPYPAVTQHRAVETPNSHDLSC >Ma04_p33250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32982568:32983104:1 gene:Ma04_g33250 transcript:Ma04_t33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGLGFQCTPKHVERSHPTPAYLSSFSSSRCNKNIKKINANGRTVLAKVTDECDSVNGCDAENSFEPPCRNDVVTASAAVRKALAIPEAQIGYYDVAWSDA >Ma07_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6426178:6428533:-1 gene:Ma07_g08640 transcript:Ma07_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSSITEKLHLLFLFLISFAAARAAAFSSHGLTDAEAGFIRRRQLLYYLDEYGDRGERVSVDPSFRFPNPRLRDAYVALQAWKKAILSDPHNFTGSWVGPNVCSYYGVFCAPLPCNRSLTVVAGIDLNHADIAGYLPEELGLLTDLALFHINSNRFCGTVPQKLRQLTRLFEIDLSNNRFAGKFPRVLLELPSLKFLDIRFNEFEGGVPRELFDKPLDAIFINHNRLAFDIPDNIGNSPVSVIVLANNRFRGCLPASLGNMSNTLNEIILMDNGLRSCLPPEIGLLRKLTVFDISFNQLLGPLPEEIGRMVSLEQLDVAHNLLSGRIPESICQLPHLQNFTFSYNFFTGEPPSCLKVQSFDDRRNCLPERPLQRSGKQCESFLSHPVDCSWFRCKPFVLAFPPPPLPPSPPPPSPPPPPPPSPPPPSPSPPPPSPPPPPSPSPPPPSPPPPSPPPPSPPPPPPPVYSSPPPPNSPPPPIHYYSPPPPYPSPPPPNSPPPPPVYHYLSPPPPPPPCIEPPESSPPPLTPYYGGPLPPVVGVSYASPPPPPLH >Ma05_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6217439:6218520:-1 gene:Ma05_g08440 transcript:Ma05_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEAMELFSSVSGGSESFAGMTEAAVSDEWEHVERPHLAEEGNAVFPPNLHEGLDLHPHAHLGVEMSASAVENEEKIEEEEEEERAVMQRCALSGSGRRSLETGLEMVCSRIPLWRGKGSGWSGGEGWWLAAVAGFAGLMMYLSRRHRREKELLLLLNKDKDQRISQLLNQIALMNEMVAARRRVPVMRRL >Ma01_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19514047:19516288:-1 gene:Ma01_g20770 transcript:Ma01_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTRDVGAWSESLSAYDGRLALLRKPDLLPLDAFYRAELPVLLRRREPRPFLTKPELRRLMQWKLSRGKWRPRLLDYVSSLDEASVDSASRRAFAALPDLSKAVSELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMMAAMGNVKEYTLKQYLAFAEKLQTKAKDLSAEGIIFTPSDVERALWSSAVGSKLLKSPPKDDLEAGARKSIKRKRKF >Ma03_p14950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14751892:14755994:-1 gene:Ma03_g14950 transcript:Ma03_t14950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVISSHPQNLPLRVFDSSIKSRLSKIQCLGYSGTELPPGGTPSAKHQQLISIHGRTRCSRLVIVRAVSDEAESNSDEAESNSSDDDEKDPETKKEEVNGGLSRDYLERIIGIDDSTFSGTDLATLIRKKYGRSYDVQLIKKEFMGRNLLAMNVMWKYMEQRSFPLTEEEYILRLDDIANTLKCWGAVSHIRNSLAKSKERPRIGKAVSIFIDMDETGGRSSEWIYK >Ma03_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14751892:14755999:-1 gene:Ma03_g14950 transcript:Ma03_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVISSHPQNLPLRVFDSSIKSRLSKIQCLGYSGTELPPGGTPSAKHQQLISIHGRTRCSRLVIVRAVSDEAESNSDEAESNSSDDDEKDPETKKEEVNGGLSRDYLERIIGIDDSTFSGTDLATLIRKKYGRSYDVQLIKKEFMGRNLLAMNVMWKYMEQRSFPLTEEEYILRLDDIANTLKCWGAVSHIRNSLAKSKERPRIGKAVSIFIDMDETGGRSSEWIYK >Ma10_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23051325:23055639:1 gene:Ma10_g08740 transcript:Ma10_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFWLTAFFLVVILIALVIHQLMCLADLEFDYINPYDVASRINKVIYPEFALQGVLGLLFLLSGNWLMFTFCVPIIYYNVRLYQRQQHLVDVTEIFNHLNREKKRRLFKLINLVIVLFLCLIWMIYSILEEAE >Ma05_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7013938:7015991:-1 gene:Ma05_g09720 transcript:Ma05_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACIKKRIEPRDLRLDSQNGVDPAVARRTTPSSSFSSVVREVMVGTSMQKYLCSALEPLLRRVVREEVDRRLTHHLGQLPRSPQLQTPSLQLIFTSPLSLPIFTRGKIKDKDDNPLQIQLVRCQSGPSSIISIEPPPRVEIVVLDGDFPFNDDDNWTPKEFSSHVLRERKGKRPLLVGECRVTLRQGMASIQKLEFTDNSSWIRSRNFRLGARVSPGSYEGVRIKEAITERFTVLDHRGELNKKHFPPSRHDKVWRLKNIAKNGKFDARLAFAGITTVQDFLKLSVANQQRLRQILGQGMSEHMWNETITHASTCNIGDELYLYHGEFGTVVFNPICQVWGVMVNGLTYALEQLTPQHAIDLQMLIQNAYQNWNQLEEIDGASLIRATEAQPSSSVMIPDELHGIEASPSTVPMEMGL >Ma04_p33990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33367765:33370368:1 gene:Ma04_g33990 transcript:Ma04_t33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFWHRMENDSGELADIVRAGGRSGPSNTEFEPVAAEWRLPSHPPVFFPTRAEIPTNAFGDPFVNLRDPLLDQFTGVEFFDGAEAMVAPASTAAPAGCCSGHVAPKLLLTSEQEMKGPCNVFSRAVHQISPGGSSMSSKPSLLPSRLVRPSPASSGSIAGPADHGGGVQQISSPRPPVIKRRKNQAKKVVCIPAPPPAAAGNRLSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSDHNHPWPTQRNALAGSTRSQPSKNGPRNNLKEEPNKETTSSSGHMVKEEEIGEMEKTIEQAGDTLGFDPMIHPSYKSDQPDDLFAGLAELEGDPMSLIFSRGFMESKPDEEKGVGASDAFDMFDWAGGSSRSQEEEVYYKTLEK >Ma06_p30670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31878926:31881806:1 gene:Ma06_g30670 transcript:Ma06_t30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSLATEAFGVSTISLVFLTAALGILCIFHSLYFQFCIRSRHYPHLSYFNGPWISRIILILISIWWGFGEIVRLSFVRSRLFSDQVWHKSVCKFYILSNLGFAEPSMFLMLSFLLHAALQKRDSGTLSPWWNRKTLGRMLLFCFPILLMQIAVILIGPRFINEENSDKRTRIAKLFRCISSLTNGNSVCVYPLPSTIILGGFYAILISYIAYVGMRLFSSVINKGLQRRIYVLTTSILFLPLRAVLLGISVLPPPGNLLYEAIVFLAFLMLLFCTAVGICMLVFFPVADSLALRDNGHSEIEGMPYDDYYYDGASLIASQNHQEESRNSPESAKHDSMSFCSMNLDGSASEDINKPIFSRDPISSSLSEPRPPPRYAHDTP >Ma03_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2568307:2569602:-1 gene:Ma03_g03930 transcript:Ma03_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAFLSSVEAGRQLLGGRPLRSAPSRSLGSSRKASFAVRAASTPPVKQGADRQLWFASKQSLTYLDGSLPGDYGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRYAMLGAVGAIAPEIFGKLGWIPPETALPWFKTGVFPPAGTYNYWADPYTLFVLEMALMGFAEHRRFQDWANPGSMGKQYFLGLEKWLGGSGNPIYPGGPLFNPLGFGKDEKSMNDLKLKEVKNGRLAMLAILGYFAQAALTGVGPFQNLLDHLADPVHNNILTSFKFH >Ma10_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33144618:33146644:-1 gene:Ma10_g24650 transcript:Ma10_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVPRWTPSPSPGRPRAIADDGFNSGGDDVIFPFGDIPTPPPLETEALWATGISGGQKRRVSICIELLTRPQLLYLDELTSGLDSAASYHVMSRIARLARREIMTVVAAVHQPSSEVFDLFHGLCLLAYGETVFFGPASTAKEFFAVHAFPCPPLTNPSDHYLRTINKDFDTDIEQDPESKTRTSTAEAIEILVKSYSSSDTLQRVTREIAGIHDLGGASVKRRNQASFLVQTLVLTRRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYFDVGHGFGSIQARGSMLMFTAAFLTFMAIGGFPSFVEDMKIFGRERLTGHYGVTAFTVANTLSATPYLALISVIPGAMAYYLVGLQRGADHFIYFALVLFMCMMLVEGLMMMVASVVPDFLMGIITGAGIQGVMMLNGGFFRLPRDLPGPVWRYPMYYVAFHKYANQGFYKNEFLGLTFPNEQARGPPTVTGEEILRDIWQVEMGYSKWIDLAILLGMVVLYRLTFLVTLKILETVKSMA >Ma04_p30870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31383698:31387032:-1 gene:Ma04_g30870 transcript:Ma04_t30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSDSFSHQNSRRLSLAALCGCLVIVTAILTCLMDSTSNTIASLSLQLSSYRNVTLHMVEEPNTEGQDVAAPKVPLALKENQGRKESSADAVSTSPSSTMEEMKLDESTTGNQTVPLQQQYEEKQGGGDDSSYESLVKESRVVCDFTAPRSDMCWMDGDVRVLGKSSLVMLASPPTDRAPTHNTTWKIRPYPRKWESTMELIKELTVTVAAEPDQAPRCMVNHSVPAVFFSTGGFVGNYFHDFTDVIIPLFMTSRRFNGEVRLVVTDFNHQFMDKYQQILKQLSHYPAINLDADDRVHCFPHAHLGLFSHRALGIDSSKSPDGISMSDFRSFLRKSFSLRRAHSKEIDLRSRRKPRLLLILRKGSRSFVNEREVMRMVKGLGFKLITAGPEETKNISRFAQVVNSVDVLMGIHGAGLANMVFLPSNATVVQIIPCCGLADGSRYIFGEPAPDMGVRYVEYEIRVEESSLAEQYPRDHEVFRNPISIQKQQGFNEFWNIFLNKQKVKLDVPRFRSTLSQVLQSIKHHK >Ma03_p31210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33501081:33503902:-1 gene:Ma03_g31210 transcript:Ma03_t31210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGFSRMSSDTPPELAVDAAVGNATGPAAATSAAGVDPGSIQYTAELSSYEAACRLDPELQTFDATLQQRTSRAISTLALGVEVHALSFDSLREVIGCLLEMNQEVVKVILDSKKDVWKNPELFELVEDYFDNSLQTIDFCTALENCLKKARNSQLIIHIALQRFADDEQEVDVDGRKKYVRTLDELRHFKVAGDPFTQEFFQLFQTVYRQQLSMLEKLQLRKGKLDKKLKRLKTWRKVSSIMFAAAFTAVIICSVVAAVVAAPPVAAALAAAASIPIPAGKWIDSLLKGYQDALERQKGILNSMRVGTDLTLKDLDIIQVLVDKLEIQIHSLLDASDFSLRDEDAVRFGIEEIRKKLEEFMKSVDSLDQQADRCNRDIRRARTVVLQKIIRHPN >Ma03_p31210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33501081:33509116:-1 gene:Ma03_g31210 transcript:Ma03_t31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTYLTALTTLLGYVVFFVFGFLRDLFRELFDWSKSDDLRGYAPICPPFEDFYTRRIYHRIQDCFARPIASAPDAWIDVVERYSNDNNKTLHRSSTASRCLNLGSYNYLGFAAGDEYCTPRVIESLKKYAPTACSARADAGTTRLHVELEELIARFVGKPAAITFGMGYVTNSSIIPVLVGEGGLIISDSFNHNSIVSGARASGATVHVFRHNSPSHLEEVLREQIVKGRQPGTHRPWRKILVIVEGIYSMEGEFCKLPEIVAICKKYKAYIYLDEAHSIGAVGKSGRGVCELLGVDPADIDIMMGTFTKSFGSCGGYIAASEEIIRYLKHACPAHLYATSMSPAAVQQVISAIKVVLGEDGSNRGAKKLAQIREGSNYFRSELKKMGFVVLGDNDSPVMAIMLYNLAKLPAFSRACLRQNVAVVTVGYPATPVLLARARICISASHTREDLNKGLKVISEVGGLVGAKCLPAEPEKTAAVDKLKRLKTWRKVSSIMFAAAFTAVIICSVVAAVVAAPPVAAALAAAASIPIPAGKWIDSLLKGYQDALERQKGILNSMRVGTDLTLKDLDIIQVLVDKLEIQIHSLLDASDFSLRDEDAVRFGIEEIRKKLEEFMKSVDSLDQQADRCNRDIRRARTVVLQKIIRHPN >Ma03_p31210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33501081:33503902:-1 gene:Ma03_g31210 transcript:Ma03_t31210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGFSRMSSDTPPELAVDAAVGNATGPAAATSAAGVDPGSIQYTAELSSYEAACRLDPELQTFDATLQQRTSRAISTLALGVEVHALSFDSLREVIGCLLEMNQEVVKVILDSKKDVWKNPELFELVEDYFDNSLQTIDFCTALENCLKKARNSQLIIHIALQRFADDEQEVDVDGRKKYVRTLDELRHFKVAGDPFTQEFFQLFQTVYRQQLSMLEKLQLRKGKLDKKLKRLKTWRKVSSIMFAAAFTAVIICSVVAAVVAAPPVAAALAAAASIPIPAGKWIDSLLKGYQDALERQKGILNSMRVGTDLTLKDLDIIQVLVDKLEIQIHSLLDASDFSLRDEDAVRFGIEEIRKKLEEFMKSVDSLDQQADRCNRDIRRARTVVLQKIIRHPN >Ma08_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36004363:36011409:1 gene:Ma08_g22420 transcript:Ma08_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRALTSVFWGVVADRYGRKPVILISIISVIIFNTLFGLSTSYSMAIISRSFMGCFCGLLGPIKAYASEVCRKEYQALGLSLVSTSRGIGLVVGPAIGGFLAQPAEKYPNIFSKESLFGRFPYFLPCLCISLVAIAATVACLWLPETLHIHDKWEIEDLEGSLIRCETRESSEETEASKSLIKNWPLMSAIIVYCVFSLQDMAYSEIFSLWAVSNQSYGGLSFSSQVVGEVLAITGTGLLVYQIFLYPPFEKYLGPITSSRVAAIFSIPLLAGYPFMSKLSGLELQLIVNCASFLKNTFSITIINGFNILQNNAVPRHQRGAANGISITAMSLFKAVAPAGGGALFSWAQKRQQASFLPGDHAVFFLLNVVTLIGLLLTFKPFLTQPSNKRSYVLLATEVHA >Ma11_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20429544:20443230:1 gene:Ma11_g14740 transcript:Ma11_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKFLSLVVLLVVSAPASYSLPFIVLHGIGDQCANKGVAQFTQLLSDWSRSKGYCIEIGDGVWDSWVMPLQEQADVACQKVKEMEELSMGYNIVGLSQGNLIGRAVVESCEGGPPVKNFISLGGPHAGTASVPLCGSGIICILVDNLIKSQIYSDYVQAHLAPSGYLKIPTDIPEYLEGCRFLPKLNNELPSERNSTYKERFSSLENLILIMFEHDTVLIPRETSWFGYYPDGAFNPILPPQQTTLYTEDWIGLKTLDDAGRVKFISVKGNHLRISQSDMKKHIVPYLEEKPEKLIKKNVPCQNGFHQLGISR >Ma05_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3067217:3070741:-1 gene:Ma05_g04070 transcript:Ma05_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHDAAAAADAAEADAGRGEEKKRRRRKRKGRGRRKKATAEEILASGFVRRWAFPDASVNDHDGDGDDGKPTGAAGRVVFEFHSHSTCSDGFLSPTALVERAHSKGVDVLALTDHDTMAGVAEAVEAANKFGIRIIPGVEISAVYSPREESETEEPVHILAYYGSCGPSQFEDLENLLANIRYGRYLRAEEMLVKLSKLKMPLKWEHVAKIAGDGVAPGRVHVARAMVESGFVENLKQAFSRYLYDGGPAYAKGSEPFAEDVVRLICQTGGVATLAHPWALKNPVVVIRSLKASGLHAMEVYRSDGKLSGFGDLADRYELVKIGGSDYHGRSGQDESDLGSVALPVLAVYEFLKLAQPIWHIAMRDMLSMFADEPSDINLQKIIRFGKFNLTKEYSGVSCGKDVLDLCLSSWLNNEERENSELEAIKVQLSDIVLCDRKKCL >Ma03_p12910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9938117:9943508:1 gene:Ma03_g12910 transcript:Ma03_t12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKGFGASSKLLNAKIILNGGQNVTFVRADGYFTFHNVPAGTHLIEVAALGYFFSPVRVDISARFPGKIQAALTENRKALHELILEPLREEQYYEIREPFSIMSFLKSPMGLMMGFMLLVIFVMPKLVENMDPEEIRRAQEEMRTNFLPRSS >Ma03_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9937987:9943508:1 gene:Ma03_g12910 transcript:Ma03_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALLLFVVLVSNFLPSSLAAPSSSGDGYAITGRVKVEGMTSKGFGASSKLLNAKIILNGGQNVTFVRADGYFTFHNVPAGTHLIEVAALGYFFSPVRVDISARFPGKIQAALTENRKALHELILEPLREEQYYEIREPFSIMSFLKSPMGLMMGFMLLVIFVMPKLVENMDPEEIRRAQEEMRTNFLPRSS >Ma01_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6575527:6583201:1 gene:Ma01_g09140 transcript:Ma01_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MAAESPSGELNLGEIELQDPSPPPSLRLKAALDACSKSFESGDLGKSDEAVAAVVSFLDSIVDPGNAAIDDAVAQNALEEIHHYLSSASSNQTVVEALSLELPKVVVKFVALSDRCREIAESIIDHLVATCSPRDLLSILCEASDTQIRVSKSPSYFIPLLGGISKVFLCIQRRHLEQVKAALPAILEVLYACSSESDDEEKDNYQDLFSTAVGIGTSIQAICGKMVGRRKEELHAILGLYVLQNIALVSRSKHANIISSYCSLVLRFSELLPFCGFSFYGLIMGSDVSSAIDEVSKEDDNGLLACFSLAVNGAALAVIWGYINNEVAKAAGDQLTAVLDKIRSNRSERWQVIGMLKPILSSIDYSWEIKYHCIDLLASIMDGTNTEEHNDDNDIDFSSVMPSLFTTLQAIQRIMISASDASIRKKAFATLRKIISDLPSSHRFDMLKVLITNSNSPSMIAILIDLVREEIVAERNQGTSSENCLDIHVEKRKGPFWSSYALDLVGLVLKPPKGGPPSLPEDSDPVLSALNLFRFILIMESTGKTNHTGVLTKSTLQMAYTEWLLPLRTLVAGVSAENEKDESELADRIFCALNPVQLVLYRCIELVEDNLKHSK >Ma04_p32640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32574391:32577000:-1 gene:Ma04_g32640 transcript:Ma04_t32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSACSPCILPPPSVTGALHIGHGLTTAIQDTIVRWRRMSGYNVLWVPGMDHAGIATQVVVEKKLIRENNVTRHDIGRERFVSESVDILCFLPCV >Ma06_p34330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34612398:34613686:1 gene:Ma06_g34330 transcript:Ma06_t34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDSCLKIALSMNPNLLDPRWLEPHSLFCLLLGQVAYMLTRPKAASARRLVQTSFYRLMNLSRRRPKMHQRREISWTLVMRQSQKLPWWRLLIAACMPRFLCRFFMVSRSHQKTRWLHSMKEHPLAL >Ma01_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9322635:9324845:1 gene:Ma01_g12710 transcript:Ma01_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMCSHVRGEQGSYHKISIHSLLPSKVCSSFKESANFNFTRLKVTHRHGPCSPLRSHPTPLPEQILDEDRSRVDSLRRRIAAAATSAKLDRQRGSQVGSKIPVRPGSSVSTGNYVVTVGFGTPKRDQTVIFDTGSDITWIQCQPCVTYCYEQQDPIFNPSASSTYANISCSSTYCSDLDISGCSSSACLYGVQYGDNSFSIGFFAQDTLSLSPTDVIPKFPFGCGERNRGLFGKAAGLMGLGHGKLSLVTQTYQKYGGVFAYCLPPTSSSTGYLTFGTGYPSSKVKFTPMVTDASTPTFYYLNLMAISVAGQRLPISAKVFNDAGTIIDSGTVITRLPPTAYSALRSAFRQAMSSYKTASALSILDTCYDFTGHSTVSIPTVALQFGGGVTMELDLSGTLYVGSQSQVCLAFAANGDDSDVGILGNVQQKTFNVVYDVSKKKIGFGPGAC >Ma06_p35050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35072351:35075572:-1 gene:Ma06_g35050 transcript:Ma06_t35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNITAIKTSSNGVWQGDNPLHFAFPLLIVQTTIVLLVSRSLSFLLKPLRQPKVIAEIIGGVLLGPSALGRNKTYLHNVFPAWSEPILETVASIGLLFFLFLVGLELDLHSIRSSGRRAFSIAAAGISLPFACGVGVAFVIRHVVSGADEAGYGAFLVFMGVALSITAFPVLARILAELRLLNTQLGETAMAAAAFNDLAAWVLLALAVAISGSSSSGSHRSPMVSIWVLLTGLVFVSIQMVVVRPAMAWAARRTESGGGESEVWVALTLAGVLVSGFFTDFIGIHSIFGAFIFGLTVPKEGDFARRLTERIEDFVSVLLLPLYFASSGLKTNVASIKDATSWGILALVICTACMGKIVGTFVAAMACRMEAREAITLGVLMNTKGLVELIVLNIGRERKVLNDEVFAIMVLMALFTTFITTPSVMAIYKPARAGRYTHEHRKLHRSASSSVPDPKELRVLACVHSPRDHPSLTSLLDTIRGADTNRCPLKLYVLNLVELTDRPSSIVMARRSGLPFRLPREARDQVALAFDAYGRLGRVHVRSTTTVSSMAAMHEDVRDVAEQKRVTLLIVPFHKHQHRRDGAVENAGPGRRAVNQRVMREAPCSVAVLVDRGFSGGRQVGSAEAAREICVVFFGGPDDREALELAGRMAQHPGVRVTAVRFVPEKKGDVERPSVTLRPSPLKNADESYTFSTAVMDRQREKEMDEAAVAAFQKKTEGGTARYEKRPAGNVIEAVLKIGKSGEFELVVVGKGRFPTSMVAEIAEQPAEHPELGPVGDMLASSSHGIASSVLVIQQHDMVHSEETPVSVVVDAESAVVDIVDTYDTPG >Ma10_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24677984:24679217:-1 gene:Ma10_g11050 transcript:Ma10_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSALPCLRCQGLSSTPLTEDASTFCTSLTEDLKGLELSLAKDSISLRWFVEAMSVLKRMQVRLLALLKKSELPISCEAEDWFDQYMQESASLLDFCNSMKSALSGINRSRMALKLAVHKLSEDNEFGLERLQKAHEEILDFSIEKERLGLAKGGILLGGNGRDDKNMAIVMFAAKTTVTVLSWFMISAMISPVPVNMEDKELTSSIPELQQCMEMLTRISSLGIGREVALVEHEMVNEAVEELQAAEKNSHSFLSGLEKLRTRSFELKEGIERLGTVVDEVFEEAIRGRNEMLDIFRNATL >Ma03_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7480609:7482727:-1 gene:Ma03_g10020 transcript:Ma03_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVRNGDAGDAKPEVVWATCVCCGLMEECTSAYVARVRERYGGSWVCGLCGEAVKDEIRRSGHRISTEEALSRHISFSESFRSASPPIDTAEHLITAMRQLLRRSLESPRAMRSTPNSPQREAEGEDGAVSSDAARRSLARSGSCFSTLSR >Ma03_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2155050:2156176:-1 gene:Ma03_g03190 transcript:Ma03_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHAVVVVVAASLLLMAAFDGALVHADFYNDVDFVWGYQNAGIWNDGNSLSLMLDNVSGCGMVTKNQYLFGSIEVQIKLVKGNSAGTVTAYYLSSTGDKHDEIDFEFLGNETGQPYIIHTNIFTQGVGNREEQFYPWFDPSDDFHNYTIHWNPSQVVWFVDGIPIRVFRNYESSGVPFPNRQAMRAYSSIWEADEWATRGGLVKIDWNSAPFVAYYQYLQLRACPWYGPSSSGECSAASPANWWTSPEYSTLTYQEQGQMKWARDNFMIYDYCKDTERFDGAMPPECSLPKY >Ma05_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31975488:31976981:1 gene:Ma05_g20320 transcript:Ma05_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDEMVVKKGPWTPEEDKKLVEYIQRHGHGSWRNLPKNAGLNRCGKSCRLRWTNYLRPDVKRGKFSEDEEQIIIHLHSILGNKWSTISRRLPGRTDNEIKNYWNTHLKKKLLLMGVDPVTHAPRTDLDLLALLPVLLAAATGLGNLGSSSSDAPGLQADPVHLVRLRVLQTLIQATTAGPSIDAMNPLSAASLGCAQPNQQLVGLAPDLSAVANPGGSSFQTGTKTESCSGIAVPVVFSSCAVPTARSIHPPISIPQESAPMDSPASIPFEDWHGLNPGESNADDMICWKDIIDQICWTGQS >Ma05_p26290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37949171:37958877:1 gene:Ma05_g26290 transcript:Ma05_t26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIEAFRSMSWEQESYPAYEDFLALPFFALFFPTIRFFLDRFVFEKLAMRLIPQTVPKDFGAENERRRKINKFKESAWKCVYFLSGELLALSVTYNEPWFTSTRYFWVGPGEQVWPDQKIKLKLKAVYMYVAGFYTYSIFALIFWETRRSDFGVSMSHHVATAILIALSYIFRFARVGSIVLAIHDASDVFLEVGKMSKYSGSEWLANASFLLFVASWVLLRLTYYPFWILRSTSYEVLLTLDKAKHKFEGPIYYYVFNTLLFSLLVLHIYWWVLIYRMLVKQIQSRGHVGDDVRSDSEGEEEHED >Ma04_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9255506:9256027:-1 gene:Ma04_g12270 transcript:Ma04_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYSTCFSLSSSASRRLLPPAAAPAPHSVTLSCDEYAVSKILAMVLCFLVIATIFYLAFAGPDSEEEDEDEEEQREELRGVKRAKPRGLDPAVLASLPVAPYAEVAGEGTVGPECAVCLTEFGGGDALRTMTGCGHGFHADCIDPWLAGHATCPVCRSDLAAACNVIVVGG >Ma07_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17510717:17525758:1 gene:Ma07_g17410 transcript:Ma07_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKIMKRANRKGPKAEVAAEPPPASAPASSSVTVNHASRTAVPSPGGAANLLPTGAAAPQIESLPLFRDVPVPERQALFLRKLQICAVVFDFSDTLRSAREKEVKRQTLSELVDFVQSGSGRLAEPVQEQLIRTVAINIFRCLPPASHENTGSEAADPEEEDPYLDPAWPHLQLVYELLLRFVISSDTDTKVAKRYIDHTFVLRILDLFDSEDPREREYLKTILHRIYGKFMIHRPFIRKAINNIFYRFIFETQRHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKLVGMYHQQLSYCIVQFVEKDYKLADTVVRGLLKYWPVINCQKEVLFLGELEEVLEVTQPVEFQRCMVPLFKQIARCLSSSHFQVAERALFLWNNDHIVSLIAQNRSVIFPIIFEALEKNMQAHWNQAIHGLTANVRKMFLDMDSELFEECQRQYEEKEAKAKSVEEQRELAWRRLEAVVEAKAGGEDMVLAN >Ma08_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8091726:8095279:1 gene:Ma08_g10960 transcript:Ma08_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16520) TAIR;Acc:AT4G16520] MSRRQSSYKQQHDFEKRKAESQRIKEKYPGRVPVIVEKAERSDVPNIDKKKYLVPGEITLGQFVYVIRKRINLSAEKAIFMFVDNVLPSTGAMMSQLYDDKKDEDGFLYFLYSGENTFGSQNMQ >Ma06_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1769204:1770344:1 gene:Ma06_g02270 transcript:Ma06_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWGGFINVVALAVLVQVAAAATYTVGGSEGGWDLSTDLQTWASAQTFVPGDSLSFAYAPSHDVVEVTKAEYDACTASRPIQSYTGGSTVIKLSAPGKRHFICGIAGHCTAGMKLEVDVVSAAAAAAATPPPVPTPTNPEAPAASHGPRSPGSSPLGSATSTGSSSSEPPAVDLVLAPVSPPPSQSAADGVGHPKLAIGLTMGMLMTVVL >Ma04_p35860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34438960:34439943:1 gene:Ma04_g35860 transcript:Ma04_t35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSRLGPCGGGGGGQRDMDISAGNRILKVQLRHGHAIDAIKIMYRRNGGDVWTDQWGGGGGQMSEFNLDDDETLRSIRGHYGRFDGVSILRSLTFVSNKRTYGPFGREEGVAFTLEAPGSRIVGFTGRSGLYLDALGIYVA >Ma11_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22265108:22265325:1 gene:Ma11_g16840 transcript:Ma11_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLELEDGRTLADYNIQKESTLHLVQHLDQKPTSF >Ma02_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24930915:24931286:1 gene:Ma02_g18460 transcript:Ma02_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNEIGVVHPLEEIDRICHEKAVVFHADAAQALGKIPIDVEKMGIGLMSLSGHRIYGPRGVGALYIRRRPRVRGEPRMSDGGQERGIRCGTVPTPLSVGMGAACEITKKEMQYDSRRISSL >Ma01_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8063467:8069836:-1 gene:Ma01_g11190 transcript:Ma01_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPTAAEQQQLVLSFLEIAVGQTAATATQFLQATRWRLDEAVQLFYVGNEDGGVASSSLPPPINERPSGQVNFFGTTSSVPRPPEDEVRPPLPVKRETLYGDMPLFRPVDAFRNFDEGSKRSAVWESDESAPSTSNGSRDNLASLYSPPFALMYQGPFEQAKVEASVQGKWLLMNIQSNEEFSSHMLNRDTWSNEAMAQTIHSNFIFFQVLHDTSEGKKVCTYYNLTTLPAVLVIDPITGQKMRGWSNMVHPERLLEELLPFLDKGPNEHHAILPQKRPRVTHNSALNNILDKEVEDDEVLMRAIAASLEDGKGASWPPVIDDEPKPEKDSETSLNGEMIYPPLPEEPKSSRELCKIAIRLPDGRRIRRNFLPTDSTKLLWSLCSSQLADGQKRPFHFAEAIPGTLKSLEYANNLTFEEAGLSNKMITLILD >Ma11_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1975330:1977447:1 gene:Ma11_g02690 transcript:Ma11_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFPPTRDLGFGFEAEPGNRPEDAGLLKRSLTEMEERRRQQLQQMPFLRSVKQRTHLASPVGRLASPARLPTPLKSTSSLTTVSSELAPQRRADFGPDKGSDAMRNRLQELERRLLLDDEEDETCASGSALTGAEWRGQEVQQIIFPPPPPPPGLAAAKKLLPSPTNSASSTVSSSASSSPPPPSFTPPPPSSSSSSSRHVLLDAAASIADGNLEAAEANLAVLKRSANPRGDAEQRLTAMMFATLLARLNPPRTGRSKAIAELCSGEHLAATQMLYDLSPCFKLSLIAANFAILEAVKDQPKIHIVDLDVGQGRQYDALIHALADRHRCRPSSARPPAVKITAVADPTTLLYGNYDASSLSEVGGRIAKLAERAGVGLRFTIVSRRASELDAASLGYEPGEALAVNLAFVLSRVADESVSPANPRDELLRRVRALRPAVVTLVEQEINTNTAAFSGRFAEACGHLGALLESLDATVPRESGERERVEAGLARRAVNSVAREGADRVERCEVLGKWRARMSMAGFQPVPVGPGVSEPVKARLASFRSNPGFTIKDEAGGVALGFGWMGRVLTVSSAWR >Ma09_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4755706:4758885:1 gene:Ma09_g07240 transcript:Ma09_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGGGYRVDPDEQGLPQGRPQDAGGRRGQLPQRVAKKGTRSLRVDQREYRGGLLRSGARGRSWRREESQHKNVFDWSQVPRERVEASKMHANTMKLVDGLLLESCREVAKTYPGIKSIMRSLLITAVCN >Ma07_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8266692:8268489:-1 gene:Ma07_g11120 transcript:Ma07_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSSLCSVCLAFFFFFFFFTLLLHGSRAQLSSTFYDSSCSNVSAVVRNVVQQAQSSDVRIVASLLRLHFHDCFVNGCDGSILLDNSDSIQSEKDAAPNKNSVRGFDVVDDIKTAVENVCPGVVSCADILALAAEASVDLAGGPTWGVLLGRRDGTTANPTAANNMPSPFDDLDTLKQKFSDVGLDDTDLVALSGAHTFGRAQCRFFSSRLYNFSGTGSPDPSLDSTYLATLQQNCPQGGDDTTLNNLDLTTPNTFDNKYFTNLQSNEGLLQSDQELFSTSGASTISIVNSFAGDESTFFQSFASSMINMGNINPLTGSNGEIRSDCKKVN >Ma06_p27020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29056953:29060469:-1 gene:Ma06_g27020 transcript:Ma06_t27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG15 [Source:Projected from Arabidopsis thaliana (AT5G09790) UniProtKB/TrEMBL;Acc:A0A178UEL1] MSPPSGPVADSPSPANCSVRKRTAAPPPPPPKKYRSMAEIMRVAKPSVPVTGEYYSDLRCEECRSGDRDEEMILCDRCDRGYHLYCLRPIAVRVPSGPWFCPSCGGERQFRRFPMMQTKIIDFFRIQGTEEKSGHSQDGRKRKRSSLVMYKKRRRILPFTPTENSARRLEQMSSLATALIAMHMKFSNELTYMPRMAPKSANQANLEKDGMQVLPKEDKETLELCRSMYKRGECPPLLVVFDPLEGFTVQADGPIKDMTLVTEYTGDVDYLKNREHDDCDSMMTLLLAKDPSDSLVVCPDKRGNIARFINGINNHSRDGRKKENLKCVRYDVNGECRVLLVACRDICSGERLYYDYNGYEQEYPTHNFV >Ma08_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4978767:4978998:1 gene:Ma08_g07270 transcript:Ma08_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKLTYGMARIFGGNQDEANTNRVVGTYGYMSPEYAMEGLF >Ma05_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22330888:22342194:-1 gene:Ma05_g18050 transcript:Ma05_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) UniProtKB/Swiss-Prot;Acc:Q9LSD6] MDSRKVVVCDNGTGYVKCGFAEDNFPTSVFPCVVGRPMLRYEESLVEQELTDIVVGAACAEFRHQLDISYPVNNGIVQNWDDMGHVWDHAFYSELKIDPSECKILLTDPPLNPSKNREKMIETMFEKYNFSGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRSADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILERYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDTPEFWITRQEYQEEGVACLRKCGQV >Ma02_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25918843:25925190:-1 gene:Ma02_g19730 transcript:Ma02_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMEKVSAFGERLKIGGAEVSRKMKDGVSSMSFKMKELFQGQNQAEKIVEEATSENLEGPDWSANLEICDMINSEKYNSIEFIRGIKKRIMLKDPRVQYLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALYMIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVSETEEAYDNVAQQTYDDTPVHSFTAEQIKEAFDVARNSIELLSTVLSSSPHQEALQDDLTKTLFQQCQQSQYTVQRIVETAGDDEAVLFEALNVNDELQKVLSKYEELKKPPVVQSEPVPAMIPVAVEPDESPRASREDALIRKPAGSRTKSGGDDDILNDLDEMIFGKKGGSTSEDQDPKKKQQEKKDDLITF >Ma06_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12317403:12320400:1 gene:Ma06_g18180 transcript:Ma06_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSVTQLRVIYVPRVAHVEAQDIIPSHSFPRNKSSSLAAEPPKCSIEAVCCGGDMLCSSTLAFSPPSSPAGPPAFRKVSRITAMVRAQPLQVQPGVPPSAPTSLCPSPSRVLGVAIPLAASVCLLLWSNPVDAGILSGFSGFESVPGPQLPRINFLEEWNEKNQKKYAEFDSRFKSSSVLKELLEKSKLNKERNQRELQDKYCLRGAEWGVGDCSTQGMTQEERDEFIATLKKRAGGD >Ma05_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:13009975:13013229:-1 gene:Ma05_g15870 transcript:Ma05_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAPDLPAKFKSMLVPGKIQHILCTGNLCIKEVHDYLKSLSPDLHITRGEYDEDVRYPENKTLMIGQFKLGLCHGHQIVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTQSAH >Ma05_p15870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:13009975:13013185:-1 gene:Ma05_g15870 transcript:Ma05_t15870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAPDLPAKFKSMLVPGKIQHILCTGNLCIKEVHDYLKSLSPDLHITRGEYDEDVRYPENKTLMIGQFKLGLCHGHQIVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTQSAH >Ma06_p25540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25903058:25903150:1 gene:Ma06_g25540 transcript:Ma06_t25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGARLVDEDATVCRDSFQDLFVYLQRPF >Ma07_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9485080:9504121:-1 gene:Ma07_g12590 transcript:Ma07_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFEVLGKFNRARAARLTLPHFICQTPLFMPVGTQGTIKGLTNNQLEEIGCQIILGNTYHLALRPGAELIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEQGVTFQSPVDGKPMLLTPEESIQIQNKIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPDAQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLRLKNQAMANDERPIDSTCTCMVCRNYTRAYLHCLVTKDAMGSQLVSYHNLSYMMRLSKDLHTSLVEGQFPEFVRGFLRAQFPKGNVPEWVCNAMEVAGVDISTCCAPITS >Ma03_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24267491:24269192:-1 gene:Ma03_g18730 transcript:Ma03_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCDVVTQAERLANPCSPRPRLDRRRRMTRRFKILAGAEALDLTEEMDGKRRRLGCSRSSPGVEGAEEPRVEVVTQRASDPEPTHLSPPKYGMMAVCGRRREMEDAVSIRPNFVKRACGAAARNHSFFGVYDGHGCSHVAALCRNRMHELVAEEMSRLGSDPLPPEAWTRLMERSFSLMDSEASAAAQSGSDRLIPCCRCELQTPRCDNVGSTAVVGVVGPTHIVVANCGDSRAVLCRGGVPVPLSFDHKPDRPDELSRIEAAGGRVIYWEGARVLGVLAMSRAIGDSYLKPYVTSEPEVTVTERSEEDECLILGSDGLWDVVTNDMACHVARMCLRASSPARVPGERRDGACSDAATLLTKLALARGSEDNVSVVIVDLRRQSR >Ma09_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11631168:11633680:-1 gene:Ma09_g16280 transcript:Ma09_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFCMTYLIMLRDLVAGGAPDRLDLDKAAEMHREMELLYQEGNLAGISVVEDEIRWLSETGSRLRGEAMKAVERGMDESNRNDIWCGLQVFYNLGELRSSVDTLVSKYKGAAVNNVGTALDMKAISTSSGGFGPGGVQRSGTPQVGGGKRAAEALWERTGRCMDELHKVVTAVWHLQTVLSKKRVPFTQVLFLHEVWQEGDPLLTDQIWEALVKAFASQMKSAFTASSFVKVAFTHGYPKLFSMIENLLE >Ma03_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1224368:1224678:1 gene:Ma03_g01760 transcript:Ma03_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRMAAVPVLERLHLEERLLRTSADNWCIVNDGTIHLAIVMAYGSLWIVFGAIKRRLTISMKL >Ma10_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29257153:29259822:-1 gene:Ma10_g18250 transcript:Ma10_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWVLLSTTLLSSLLSLVLFSAVAAVISGRRGRRRAVWFFHPYTNDGGGGERVLWCAVRSVEEENPDLDCAVFTVDDASPQSLAARAIDRFGVKLLRPPQVVRLYRRKWIEEHTYPHFTMIGQSLGSVYLSWEALCKFTPQFYFDTSGYAFTYPLSWIFGCKIICYTHYLTISSDMVSRVLQHSSLYNNDSLIASSILLSRCKVVYYTIFSRLYGLVGSYAHLAMVSSSWTRSHIDCMWKIPQRTKRLYPPCDTSSLQMLPLEKPVRSPVIISVAQFRPEKAHSLLLEALHMLLEY >Ma05_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30664478:30676280:1 gene:Ma05_g19950 transcript:Ma05_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERGNGVVVTGVLLACILAGDPGGVGGITDETDASSLNILFTSLNSPSQLTGWVPSGGDPCGDSWLGVTCTGSAVTAIKLSGLELAGTPGYNLASMSSLAELDMSNNNLGGGDPIPYNLPPNLQSLNFGGNQFGANIPYSIFQMVTLKYLNLAHNQLQGNLSDMFGSLSNLTTMDLSFNQLTGELPQSFNNLSSLTTLYLENNQFTGQIDVLANLPLQDLNVANNLFTGWIPDRLKKINNLRTDGNSWSSSPAPPPSPYRSPPPGQKSNPGQQSDGSNQSSGGGGGNNFNIGAGTTAGIIISILVIGGIIAFFFMRKKLRKSSRENVLKKDQPFAPHASDDAKGMKTIQTSSTDTVTFSPLAPITLKPPPIERHKSLGEDDFSNKPVVKKDNTTAIAVTVYSVADLQIATDSFNIDNLVGEGLFGRVYKAQFSDGKVMAVKKINSSALPHQSSDDFIELVSNISNLHHPNLSELVGYCSEYRQHLLVYEYYKNGPLHDLLHLSDEYSKPLSWTARIKIALGTARALEYLHEVCSPSLVHKNFKSSNILLDVDLNPHLSDCGLESLVPDAEFQASDQNMASGYDPPEVSMSGQYTLKSDVYNFGIVMLELLTGRKPFDSSRPRPEQSLVQWATPQLHDIDALDRMVDPVLKGLYPAKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRMVGGEMQETSRADEHVTRPDVSCGHITFLLH >Ma11_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25584871:25585557:-1 gene:Ma11_g21450 transcript:Ma11_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFSILYSSSSDLEAGWGPPYAHPTPPSPNGSAGGVARPRTPTYRFFCVDVDGPPHHFLDSCFLCRKPLAGNRDIFMYRGDTPFCSEECRLVQIEMDEGTEQSRKHSLKASSSTDSNKAGAATSPSKSHEAHARTGTVVAAG >Ma02_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23166836:23167446:1 gene:Ma02_g15470 transcript:Ma02_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFGLMGDGQPIGHYHDMWAGWCTKVICDHLGLGVETGLPYIWHSKASNPFVNLKKENNGIFWQEELIPFFQTAVLPKECTTVQKCYIEQSKQVRDKLSKTDPYFTKLADTMVTWIEAWDDLNPPNASSKLPDGQAKAK >Ma02_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24396595:24398167:-1 gene:Ma02_g17540 transcript:Ma02_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPVSAKTSGCKPGIQPASPPQQAESSGPSSQTRVFNWLPTIAFLFLTYNSAESAYRSRHDIPTLAFIVFAYVDLVMLLFCLKQFEKLSPESTPAKREQLKAAVWVLTTALNLAFAWRVAEIMPWLLSVLVWLMSVSVAIGGFYGLFIHQGAKDSVADGHGYSLVKNAELAPGEKV >Ma03_p31880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33928791:33932257:-1 gene:Ma03_g31880 transcript:Ma03_t31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNNSNWLGFSLSTRMNMEVSSEPHHHHHHNHHESHHHQTQTTTAGVSSGVPCSLFLSPQLSSTEICYGGEGENGGLYSQLPVMPLKSDGSLCIMEALSRSQQQGIVPSPPPKLEDFLGGGPNMGADHHCGNYDREAMALSLDSMYYYQNSETQGNGAHSLDALHGQQHHQLFLQPLQGGMCSELASHDMYQAPMEEGTMVEDGIPSLKNWVARNYNACDSGLGEEGGIGPSSIGAVGFGELQSLSLSMSPGSQSSCVTAPAQVSSTTEPMAFDTTRKMRPAKGCQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDTEEKAARAYDLAALKYWGPSTHINFPLESYGDELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVEKIMASSTLLPGELARKHKAIEAGKDAPSGKNPSRDPTEENNTAGSGWKMVLYQSAQHKPPSTGSHAHEPMIMGGEYRSPMTFPAALHGLIGVEAGSSVQGVDDSEKMSNAHLSNQSSLVTSLGSSREGSPDRTGLSMMYVNASTKFNPTPMSSSAPPAQPRPTIAMSQMPVFAAWNDV >Ma11_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21538055:21539623:-1 gene:Ma11_g15920 transcript:Ma11_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPAAPSQCDHHDDRHGLLTVPFLVQKPPLKSPAEVVALPPVLTLRPLGSASLAFVEAKSILSLALPIAFTGLLLYCRSLISMLFLGRLGDFAIAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGARRSHLLGPALHRTVLLLLSASVPIALLWYYIRSLLLLVCQDMALVAAASSYLRACLPDLILQSFLHPLRIYLRAQSITLPLTMSAGLAVVLHLPINYLLVSVLRLGIGGVALASVWFHFNVVLFLLGYIYFSDLRRTTGGLSFSSECFKGWRPLLNVAVPSCISVCLEWWWYEIMIILCGLLVNPAATVASMGILIQTTSLIYIFPTSLSFAVSTRVGNELGENRPDRARRATTVGLSCSVALGLAAFGFAVSVRHVWARMFARDSAIFELTASALPVLGLCELGNCPQTTGCGVLRGSARPKLGANINLASFYVVGMPVAVGLAFWTPLDFKGLWLGLLSAQATCVVLMLVAIHRTDWDTQAERAQQLTGGQEANKNGSPKIEQPVPHYEV >Ma08_p32300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43003228:43006040:-1 gene:Ma08_g32300 transcript:Ma08_t32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYTPSPPAALLTSSPIIPLITIALVIMASAQRAAAGRNQLLRVPPPIQRFKYSKRVVLKSILDREDKGLAVAGQRVVVGGWVKSRKERAEVDDAPIPTPPAEADDVLFGEVHVQYVPLLRPISGTPVGGGGGGAQTLAAKDKHIVIYLLINDGSCSSNLQLVMDSSMSIPGQVVTVGNSILVEGVLRRSWRGRRRVVELIVEKLLYVGAVDLKTYPLAKPQPSSLFLRDHPHLRPRFITIGTVARIRSNLTCACHAFFDDLGIIHVHMPIITSIATGTQSQRFQVTTLLNAADQGHVDLEVFKAAVQEKRSRIDKLRRGGNNKEALVAAEEDLEKSKELVRVLEQRQKTATVYVGEVKLSEDFFGRAVYLSTSAGLHLESYACALSGVYTIGPVFQADESRSTKKLAEMWMVEVELAFVELEDVMNCAEDLLHSLCYSLLATAGNDLKFASKQIDSDCIKRLQSITSRPFDRITYSKALDILNQVKDRSFLTEAVWGTKLSEEHERYLADDFFENPVIVYEFPKEIKPFYVRGNANLITASAFEIIAPKVGVLVRGSQKEERLDVISKRIGEVGLPHEQYDWYMDLCRYGSVQRSGFSLDLEKMVVMATGITDAEDAIPFPRARGNAKL >Ma11_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7429570:7430723:1 gene:Ma11_g09250 transcript:Ma11_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPPAGRPWLLRLASQARMEPQIQSPPPQPALPPRGPPIRQASLALGRSPLLASDPPQPPTQPRALPQTALPAQPTSPQPVAPPTPQRPPSPTPVATAAARSPTPPQSPKVIQTPPPPPSSPSRMTSTRPPSSTPVPHPVPEPKRTVEQENAKKSGANGSSNGVVEAQKNSRSSNHSDSSKHSAQPTDTKAFPSPSPLEKKDIRKMKAITIAGHNVGAFMDLGSSYSYQSRRQQVHYAKSESQVEDVKNTEDKTYAEEKVTKAATKQQPMLSLVNSNVQSVNNSLLFNTSCAHGSPGVHINLASNGHKNPSSPH >Ma06_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4131833:4134370:1 gene:Ma06_g05530 transcript:Ma06_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLMDDSAAPPLVSPIGFEGYEKRLEITFSDASVFLDPRGRGLRALSRAQLDSILDLALCTIVSQLSNKDFDSYVLSESSLFVYPYKIILKTCGTTKLLLSIPRILELAAELSLSVHSAKYSRGTFIFPGAQPSPHRSFSEEVSVLNGFFGSLKSGGNAFVIGDAAMPSRKWHVYHATEKPEAPMITLEMCMTGLDPERASIFFKNSVDGHVSSAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNGMHGPAFSTVHVTPEDGFSYASYEAMGFNPDSLSYHDLIERVLSCFGPSEFSVATTVLGGGSTWANKVDIYGYSCDNMVEQELPGGGLLVYQTFAASSVTPMSPRSILNNWQGKDDNGFSSEGEVVMDD >Ma09_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8445724:8446748:1 gene:Ma09_g12540 transcript:Ma09_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVMVGRTTEYRKGTDTELLALHSSSAELLLLCGERFDAVQAFRTGRLSLHMIRAKGNAVCMVSCTVGDHQWMLAKDSLVLRVDPRRYVFAMPGFCYGLALLGSGSDHECRTLEEILARFCAYQDIAAEEGADIWAHAYDEMTKLTTNAAAAAIDTTTGGARRPSTATGIASSESEKWKKIQRAVRTSAMVKLLSRSLLTGALDPRKHLDVTAGNNLPSMRVIGDLADVIETGRRPPAVDGRNSFWYVNGEGIRLLLAILSACGAAERRKRPRLETLREESEWASEGNAGDEGGGVSSSGSRCLSKEVRLEREKDDQ >Ma06_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10121352:10122857:-1 gene:Ma06_g14830 transcript:Ma06_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLRFPFVLLVLVAAALSCRSVARRGLTVGPFIDVDASPRPIEAEGPNETLYLLSAAEPGAVELRHDVEDLLGGSLDSAEAAGGRYRLISIWRRGVKPGNRSGFTARLRFPALPEHPKHDRVFPEFRRLLGDWYHDRRAFRPEVLSELVRRIKRPVDQHYGYPDTGRPYATCAVVGNSGILLKSEHGDLIDGHDLVIRLNNARVEGYQRHVGSKTSLSFINSNVLHSCALRVGCHCHPYGDLVPIVIYICQPAHFLEYMVCNSTHKPPLLVTDGAFDTLCARIVKYYSLKTFVEGTGKHPAQWGKFHDEKMFHYSSGMQAIVLALGICERVSVFGFGKSTDAKHHYHTDQMAELGLHDYAAEYEFYGDLVERPQAIPFLRDAGIKVPPVAFYH >Ma05_p29580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40187049:40194048:1 gene:Ma05_g29580 transcript:Ma05_t29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLRWRIGGLQFPVRVGERVSFCPRSVPLQNVEHDTLSLQECLRSEELSLSRSLEILNQNLSLSASSALNSLEQAEAMSLRPTTRAEARKKSYKVAVDAEEARWRRENVLVEIRKSKREENLNKKRCEAGPHHLSPPPTHACGMKIDNLSEMAEGAYYEDPAVPSSVITQFRRLLSDGAPIEEVVKAGIVPRVVEFILRHETPLPQIKTALPVLQRLIHLNDEEVLVDACWAISHLSHGSKDRIQAVIEAGVCPKLVELLSHPSHKVLVPALRTLGNIVTGNDVQTQIMIKNLALPRLLQILTSSYDNYIKQEVCGVISNITAGNSNQIQAVIDANIVSPLVQLLQHAEFSVKKVAFWAISNAIHGGSNCQIQFLVSQGCIEPLCDLLAYPAPSINAICMECLDKILEVGEANKHLGITAGRNLYAQMICDCDGFNKIESLVAHDNIVIYKMAVNILKRFWVDDEEDMQDFD >Ma10_p31280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37470668:37477057:1 gene:Ma10_g31280 transcript:Ma10_t31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYTVETTNDGLTEFNVEFHGPKQSPYEGGVWKVRVELPDAYPYKSPSIGFLNKIFHPNVDELSGSICLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPADPLNGDAASLLLKDQQQYEQKVKEYCERYAKREKLEDVSDGSDEDISDDEVTGSDNVDIAGDADP >Ma10_p31280.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37470668:37477057:1 gene:Ma10_g31280 transcript:Ma10_t31280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDYTVETTNDGLTEFNVEFHGPKQSPYEGGVWKVRVELPDAYPYKSPSIGFLNKIFHPNVDELSGSICLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPADPLNGDAASLLLKDQQQYEQKVKEYCERYAKREKLEDVSDGSDEDISDDEVTGSDNVDIAGDADP >Ma10_p31280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37470668:37477057:1 gene:Ma10_g31280 transcript:Ma10_t31280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYTVETTNDGLTEFNVEFHGPKQSPYEGGVWKVRVELPDAYPYKSPSIGFLNKIFHPNVDELSGSICLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPADPLNGDAASLLLKDQQQYEQKVKEYCERYAKREKLEDVSDGSDEDISDDEVTGSDNVDIAGDADP >Ma10_p31280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37470668:37477057:1 gene:Ma10_g31280 transcript:Ma10_t31280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYTVETTNDGLTEFNVEFHGPKQSPYEGGVWKVRVELPDAYPYKSPSIGFLNKIFHPNVDELSGSICLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPADPLNGDAASLLLKDQQQYEQKVKEYCERYAKREKLEDVSDGSDEDISDDEVTGSDNVDIAGDADP >Ma10_p31280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37470668:37477057:1 gene:Ma10_g31280 transcript:Ma10_t31280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYTVETTNDGLTEFNVEFHGPKQSPYEGGVWKVRVELPDAYPYKSPSIGFLNKIFHPNVDELSGSICLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPADPLNGDAASLLLKDQQQYEQKVKEYCERYAKREKLEDVSDGSDEDISDDEVTGSDNVDIAGDADP >Ma08_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9004423:9007803:-1 gene:Ma08_g12010 transcript:Ma08_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKATQLLAAIVFAGWLMIWIVRPTKTYQNQWSPQLLSETDSTYFGRQGTNILIYTFPILFISVLGCIYLHLVKRTDNSQSGSSTHSLAAWKRPVVVNWPLGIVSGIELTFCVLFLVLLIWFYTMYLVVNFSNSHQSHSQDTGEMLKLWQTRLDGAGIRLALLGDLCCAFLFFPVIRGSSLLPLVGLTSESSIRYHVWLGHITLAVFSAHSVCYIVYWASTNKIDEMLKWDNTGIANVPGEIAILAGLTMWATTFPRIRRKMFELFFYTHQLYIAFLFFYMLHVGVSHFCVILPGVYLFMVDRYLRFLQSRTKVRLVSARLLPSESIELNFAKSPGLAFEPLSVVFINVPGVSSLQWHPFTVSSSSNLEPERLSVIIKKEGKWTQKLYRTLSSPVPQDRLDVSVEGPYGPVSKNFLRYDSLILVSGGSGITPFISIIRELIHQRTTLNRPTPAVLLICAFKTSADLTMLDLLLPVSGCISDLSGLDLRIEAFVTREKSATDDAQNNIQTIWFKPLPSDVPISPVLGPNGWLWLAAIVSSSFVAFLLLISILQRYYIYPIDHNTNSVFSYSSRSVLNVLFVCICIMAAASAAVLWNKRENSKQEKQIQNIDAPTPTTSPGSRFYNADRELESVPQDSLVKATKIHFDGRPPLKKMLLEFDGSNVGVMASGPRGMRHEVATICSSGLADNLHFESISFSW >Ma07_p23360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31034725:31043475:1 gene:Ma07_g23360 transcript:Ma07_t23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWKLADHPKLPKGKTVAVVVLDGWGEADPDQYNCIHVAQTPTMDSLKKGAPERWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIFEGEGFKYIKECFDKSTLHLIGLLSDGGVHSRLDQLQLLLKGCSENGAKRIRVHILTDGRDVLDGSSVGFVEILENDLAKLREKGIDAQIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPHKFRSAVEAVKKLREAPKANDQYLPPFVIVDESGKAVGPIVDGDAVVTFNFRADRMVMIAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSRYLVSPPEIDRTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFNSSMEEYVEIPSDSGITFNVQPKMKALEIAEKVRDAILSRKFDQVRVNLPNGDMVGHTGDIEATVVGCKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRNKTGQPLLDKAGKIQILTSHTLQPVPIAIGGPGLASGVRFRKDVPDGGLANVAATVMNLHGFEAPTDYEPTLIEVVDN >Ma07_p23360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31034710:31043473:1 gene:Ma07_g23360 transcript:Ma07_t23360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWKLADHPKLPKGKTVAVVVLDGWGEADPDQYNCIHVAQTPTMDSLKKGAPERWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIFEGEGFKYIKECFDKSTLHLIGLLSDGGVHSRLDQLQLLLKGCSENGAKRIRVHILTDGRDVLDGSSVGFVEILENDLAKLREKGIDAQIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPHKFRSAVEAVKKLREAPKANDQYLPPFVIVDESGKAVGPIVDGDAVVTFNFRADRMVMIAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSRYLVSPPEIDRTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFNSSMEEYVEIPSDSGITFNVQPKMKALEIAEKVRDAILSRKFDQVRVNLPNGDMVGHTGDIEATVVGCKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRNKTGQPLLDKAGKIQILTSHTLQPVPIAIGGPGLASGVRFRKDVPDGGLANVAATVMNLHGFEAPTDYEPTLIEVVDN >Ma07_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31034695:31043473:1 gene:Ma07_g23360 transcript:Ma07_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWKLADHPKLPKGKTVAVVVLDGWGEADPDQYNCIHVAQTPTMDSLKKGAPERWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIFEGEGFKYIKECFDKSTLHLIGLLSDGGVHSRLDQLQLLLKGCSENGAKRIRVHILTDGRDVLDGSSVGFVEILENDLAKLREKGIDAQIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPHKFRSAVEAVKKLREAPKANDQYLPPFVIVDESGKAVGPIVDGDAVVTFNFRADRMVMIAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSRYLVSPPEIDRTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFNSSMEEYVEIPSDSGITFNVQPKMKALEIAEKVRDAILSRKFDQVRVNLPNGDMVGHTGDIEATVVGCKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRNKTGQPLLDKAGKIQILTSHTLQPVPIAIGGPGLASGVRFRKDVPDGGLANVAATVMNLHGFEAPTDYEPTLIEVVDN >Ma02_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13981615:13982148:-1 gene:Ma02_g02680 transcript:Ma02_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFGRLEIGVVCLISQVFLELMFDVYRLKLPKGEGTVGAAGPPPLPSSSWLVYNTTEPFESARIALSRFIWTFLMRISWNTSQNYLTLWSFPQATGLYILCGTIVGGQLWWPKAAEKMQIDNIDVFGISTETCRTAIASHPNFSTETCRMWAGKYNRSRLKEVEISTLLRTIIHNE >Ma09_p28170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38999014:38999883:-1 gene:Ma09_g28170 transcript:Ma09_t28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASTTTTPDAADPTATPMSEPHQRAVATDAENPQNPMLNHPHQLSPLVSLVPPSSRHHRRRRPARVLRLFRSLCRTLPIFTPRCKFSQPCGRSSSTAVAPFVICTPSASPLSSDIRRRSRHLVTGTLFGYRNGRVSFSLQENSRCLPSLVVELSMQTQALLREMSTGMVRIALECERKPPEHGKDGHSSSPSLLDESLWTMFCNGKKCGYGVRRDASEGDLMVMETLRAVSMGAGVLPSKTDAEGEMAYVRAGFEHVIGSRDSETLYMTGPDDGDGPDLTIFFVRL >Ma07_p01020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:792811:798143:-1 gene:Ma07_g01020 transcript:Ma07_t01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKRILLLFLLAIPSSSQLPSPDILALLAFKKGITHDPTGYIMGSWNEESIDFNGCPASWNGIVCNGANVAGIVLDNHGISGHADLSVFANLTVLLKLSMTNNNLSGNLPDNVAVFKSLEYLDISNNAFSGELPSGIGKLRSLQNLTLAGNNFIGPLPDSIGGLASIKSLDLSRNLLSGPLPVALKGLRNLVYLNLSYNAFSRSIPTGLALLSTLESVDLSWNQLDGSVDWNFLMQTTSVYVDFSGNLLTSSSKELRALSDISETILYLNLSNNRLTGSLIEGVGIANFGNLKVLDLSCNQLYGELPGFNYVYDLEVLRLRNNSFTGFLPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLRILNLSSNALSGELPVVQGSCQVLDLSDNQFKGNLSLIAKWGNDLEYIDLSQNLLMGPIPDVTSQFLLLSYLNFSHNALVETIPEVLVQFPKLTILDLSSNQLSGPILNDLLASSTLQELHLQNNILFGDIVLSPSFSNNSNLRVLDISGNRFNGSFPENLESLTGLQILDISANNFAGTLPPAVTKLIYLSSLDISLNHFTGSLPSTLPDTLVYFNASFNDLSGTVPDNLRKFPDSSFHPGNSRLEFPSGLPGTANSQPESPSHRPFRTFLKAAIIAACVVVMVILILLAIILHYKRASSRSGSENLSDKKVYTRSLPETTGSKSRDSGGSVVISADDLIAPHKGSSSEMISPEEKITAVGGCSPSKNSRFSWSPDSGDIYAQENLGRLDVRSPDRLAGDLHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQKKEFAKEAKKFANIRHPNVVGLRGYYWGPTQHEKLLLSDYVSPGSLASFLYDRAGKKGPPLTWAQRLKVAVDVARGLNYLHFDRATPHGNLKATNILLDGLDLNARVADYCLHRLMTQSGTVEQILDAGVLGYRAPELAASKKPSPSFKSDIYAFGVVLLELLTGRCAGDVISGEEGVVDLTDWVRFRVAEGRGSDCVDPAMAADVDNPVASKGMKEMLGIALRCIRPLSERPGIKSVYEDLSSI >Ma07_p01020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:792811:797187:-1 gene:Ma07_g01020 transcript:Ma07_t01020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSRAWSISISPTMLSPVSYHQESTTSVYVDFSGNLLTSSSKELRALSDISETILYLNLSNNRLTGSLIEGVGIANFGNLKVLDLSCNQLYGELPGFNYVYDLEVLRLRNNSFTGFLPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLRILNLSSNALSGELPVVQGSCQVLDLSDNQFKGNLSLIAKWGNDLEYIDLSQNLLMGPIPDVTSQFLLLSYLNFSHNALVETIPEVLVQFPKLTILDLSSNQLSGPILNDLLASSTLQELHLQNNILFGDIVLSPSFSNNSNLRVLDISGNRFNGSFPENLESLTGLQILDISANNFAGTLPPAVTKLIYLSSLDISLNHFTGSLPSTLPDTLVYFNASFNDLSGTVPDNLRKFPDSSFHPGNSRLEFPSGLPGTANSQPESPSHRPFRTFLKAAIIAACVVVMVILILLAIILHYKRASSRSGSENLSDKKVYTRSLPETTGSKSRDSGGSVVISADDLIAPHKGSSSEMISPEEKITAVGGCSPSKNSRFSWSPDSGDIYAQENLGRLDVRSPDRLAGDLHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQKKEFAKEAKKFANIRHPNVVGLRGYYWGPTQHEKLLLSDYVSPGSLASFLYDRAGKKGPPLTWAQRLKVAVDVARGLNYLHFDRATPHGNLKATNILLDGLDLNARVADYCLHRLMTQSGTVEQILDAGVLGYRAPELAASKKPSPSFKSDIYAFGVVLLELLTGRCAGDVISGEEGVVDLTDWVRFRVAEGRGSDCVDPAMAADVDNPVASKGMKEMLGIALRCIRPLSERPGIKSVYEDLSSI >Ma07_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:792811:798023:-1 gene:Ma07_g01020 transcript:Ma07_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKRILLLFLLAIPSSSQLPSPDILALLAFKKGITHDPTGYIMGSWNEESIDFNGCPASWNGIVCNGANVAGIVLDNHGISGHADLSVFANLTVLLKLSMTNNNLSGNLPDNVAVFKSLEYLDISNNAFSGELPSGIGKLRSLQNLTLAGNNFIGPLPDSIGGLASIKSLDLSRNLLSGPLPVALKGLRNLVYLNLSYNAFSRSIPTGLALLSTLESVDLSWNQLDGSVDWNFLMQTTSVYVDFSGNLLTSSSKELRALSDISETILYLNLSNNRLTGSLIEGVGIANFGNLKVLDLSCNQLYGELPGFNYVYDLEVLRLRNNSFTGFLPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLRILNLSSNALSGELPVVQGSCQVLDLSDNQFKGNLSLIAKWGNDLEYIDLSQNLLMGPIPDVTSQFLLLSYLNFSHNALVETIPEVLVQFPKLTILDLSSNQLSGPILNDLLASSTLQELHLQNNILFGDIVLSPSFSNNSNLRVLDISGNRFNGSFPENLESLTGLQILDISANNFAGTLPPAVTKLIYLSSLDISLNHFTGSLPSTLPDTLVYFNASFNDLSGTVPDNLRKFPDSSFHPGNSRLEFPSGLPGTANSQPESPSHRPFRTFLKAAIIAACVVVMVILILLAIILHYKRASSRSGSENLSDKKVYTRSLPETTGSKSRDSGGSVVISADDLIAPHKGSSSEMISPEEKITAVGGCSPSKNSRFSWSPDSGDIYAQENLGRLDVRSPDRLAGDLHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQKKEFAKEAKKFANIRHPNVVGLRGYYWGPTQHEKLLLSDYVSPGSLASFLYDRAGKKGPPLTWAQRLKVAVDVARGLNYLHFDRATPHGNLKATNILLDGLDLNARVADYCLHRLMTQSGTVEQILDAGVLGYRAPELAASKKPSPSFKSDIYAFGVVLLELLTGRCAGDVISGEEGVVDLTDWVRFRVAEGRGSDCVDPAMAADVDNPVASKGMKEMLGIALRCIRPLSERPGIKSVYEDLSSI >Ma05_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:244651:245046:-1 gene:Ma05_g00370 transcript:Ma05_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHGSKSHCDYSPSGCHTYVADFISCTDRALSDADEVRNLKKLCCLLTM >Ma06_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14519556:14520861:1 gene:Ma06_g20400 transcript:Ma06_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNGGGWRMVMVLYVAVSMVGSGWCSKFDELVQPSWATDHVIYEGELLKLKLDNSSGAGFVSKSKYLYGKVTAELKLVEGDSAGTVTAFYMSSDWVNHNEFDFEFLGNRTGEPYLVQTNLYINGVGDREQRMDLWFDPTADFHAYSILWNPRQVVFLVDDTPIRVFSNHENRGVAFPRDQPMGVYSSIWNADDWATEGGRVKTDWSHAPFVATFRGLRIDGCEWAPEAGAPAELRRCGGSGWGKEGRYWWKEKAMEALSVHQSHQLIWARANHLVYDYCNDPGRFATHPPECGK >Ma02_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26466580:26468083:-1 gene:Ma02_g20500 transcript:Ma02_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MPMSTRIASVLLLLLLSSMFAGVLPHKSKDIIDGPLITSKIKTNRTILVGPSEEFKTVQAAVDAVPMGNSDWIIVHCRSGIYREKVVIPKEKQYIFLRGNGKGRTAIVWDDSSTNNTLSATFTVWADNFVAFGISFKNDALIAVADAPHNQSVATMVAGDKVAFYHCAFYSPHNTLFDYKGRHYYESSYIQGNIDFIFGRGQSIFQSCEIFVLHDKRIKILGSITAQNRPSSDDSSGFVFLKGKVYGVGDAYLGRAKGPHSRVIFAKSYLSRTVTAAGWTNWSYDGSTDNLLYGEYDCRGPGSNTTLRVPWSKQLNEEEASPFITIDFIDGKEWLPVYY >Ma01_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:647002:648840:1 gene:Ma01_g00930 transcript:Ma01_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGEFNTSGGASAAKSPSPASSGPPHSSWQSPVPYLFCALAVMLGLIGFAFLILACSYWKLASYLESGNDRGARDREVDGPASGGDAAKPPPLCEESVVVIMAGDCKPTFLATPVASRAATSSDEGDDTREAATEWKNKDSTQRQGVGNSSEEQGVNQQ >Ma06_p36120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35700924:35704005:-1 gene:Ma06_g36120 transcript:Ma06_t36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKAKGSAPPPTISPRKTRSATAGKRADPPAEKPSKKAKVSLNGNAKKSDGAKVKADDAKAKKKASDADGAPDASPPANTDVSAKTVIIEACKQCNSFKTRAIRVKEGLESAVPGTVVTINPEKPRRGCFEIREESGQIFVSLLNMPRPFTPMKKLDMDEVIQDIVKKIA >Ma04_p25410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27185307:27185873:-1 gene:Ma04_g25410 transcript:Ma04_t25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIPNPDKPSSSDSCVAGPNSFPAATAPSPSRYESQKRRDWNTFCQYLKNHQPPLSLSRCSAAHVLEFLRYLDQFGKTKVHTTACPFFGFPNPPAPCPCPLRQAWGSLDALTGRLRAAFEENGGSHEANPFGARAVRLYLREVRELQAKARGISYDKKRKKPPRTTPSPPQHHHNPHSPPSGTTAAA >Ma08_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6665625:6669296:1 gene:Ma08_g09200 transcript:Ma08_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVRRWLVNVSQWNPSEAQFASVISLFPVDEQTAITRYIKFEDKKRALVSRLLQYSLVHEVLGIPFDKIIIHRTAEGKPYLKNSIDFPFSNFNFNVSHHGDYVGIASEPLCLVGLDIVSITTPKQETELEFINNFSPYLTVLEWKNIAHAGSSEEMLAQFYRYWCLKEAFVKAIGAGLGYGLRRLEFHHTNWTGISVYIDGVESKKWRFSLSKLDEKHWVSIASGLPNKADELCCSNLSLPELGFTFRTVEELISNVN >Ma08_p09200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6665625:6669296:1 gene:Ma08_g09200 transcript:Ma08_t09200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDGWSTSRSGILLRLSLHPLFLSFRWMSRQPSPDRYIKFEDKKRALVSRLLQYSLVHEVLGIPFDKIIIHRTAEGKPYLKNSIDFPFSNFNFNVSHHGDYVGIASEPLCLVGLDIVSITTPKQETELEFINNFSPYLTVLEWKNIAHAGSSEEMLAQFYRYWCLKEAFVKAIGAGLGYGLRRLEFHHTNWTGISVYIDGVESKKWRFSLSKLDEKHWVSIASGLPNKADELCCSNLSLPELGFTFRTVEELISNVN >Ma04_p39780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36786098:36806334:-1 gene:Ma04_g39780 transcript:Ma04_t39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSATPISDSSLEPQEEGDSRAAAGDDDGYEFLGEDQGEDDREDEEEEVEEAEDEDEEEGDMAEGEVEVEVEEEEEGDDGELEEVEVVEEEAAMAGADLSNEDDDDISKKHEDHCKELKSVSSSPARSYINSSNSHVKDVRGGNLDNNPLSESYITKASEISDGGASQETPQRRPRSLSPSTELEGVNKKPAVICNFFARGWCIKGNSCRFLHKKEGAGCTSQVAKEDRTTSGDPVDCKGSPEKFEISSKSASIDSIGLSIVENSRKSDVSPSALVRTYGGEIHGSSQMRDDNNLLASNTYPKECFQGNVPLTDRTSHLAVDGLRQKTLFQEGDQRTYGLKHNLDSIGDGRSSSGEFLSRDYSGEGKIYKEVPGGESLSDGSFSRASSFTKNPLTSRYDYVCGRSFTTTDVYRGCNNTYSYEKIPDSFAIRYQQSHFPPYDSYNSCLTSSVSSSFKNSLHLFCGSPSIESVHPYAQARTRLAHGSPPLDAKQQDICRTLDYGRGVGATTSGQQSIAGDILTFSDQKSELQENTWEPSIPFRSSFCSARAFKSSSEIQYDPLVDSIEPPKVGLLASASPRGFTTSMTSQHIVGYPILGDIAPEYNVKKSFNTSTPAYESMLDNKKSQHEICGYTNVMAPAPVAVDGGDNITPKGESQVHHLTDVAYVDKTDLVCNMSKVDEGKEIKESKAVKNFRTALVDFLKELLKPVWKEGHLSKDAHKMIVKKASEKVLSALQPHQIPSDTESINHYLSISKPKLLKLVEGYVNKYSKS >Ma04_p39780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36786098:36806334:-1 gene:Ma04_g39780 transcript:Ma04_t39780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSATPISDSSLEPQEEGDSRAAAGDDDGYEFLGEDQGEDDREDEEEEVEEAEDEDEEEGDMAEGEVEVEVEEEEEGDDGELEEVEVVEEEAAMAGADLSNEDDDDISKKHEDHCKELKSVSSSPARSYINSSNSHVKDVRGGNLDNNPLSESYITKASEISDGGASQETPQRRPRSLSPSTELEGVNKKPAVICNFFARGWCIKGNSCRFLHKKEGAGCTSQVAKEDRTTSGDPVDCKGSPEKFEISSKSASIDSIGLSIVENSRKSDVSPSALVRTYGGEIHGSSQMRDDNNLLASNTYPKECFQGNVPLTDRTSHLAVDGLRQKTLFQEGDQRTYGLKHNLDSIGDGRSSSGEFLSRDYSGEGKIYKEVPGGESLSDGSFSRASSFTKNPLTSRYDYVCGRSFTTTDVYRGCNNTYSYEKIPDSFAIRYQQSHFPPYDSYNSCLTSSVSSSFKNSLHLFCGSPSIESVHPYAQARTRLAHGSPPLDAKQQDICRTLDYGRGVGATTSGQQSIAGDILTFSDQKSELQENTWEPSIPFRSSFCSARAFKSSSEIQYDPLVDSIEPPKVGLLASASPRGFTTSMTSQHIVGYPILGDIAPEYNVKKSFNTSTPAYESMLDNKKSQHEICGYTNVMAPAPVAVDGGDNITPKGESQVHHLTDVAYVDKTDLVCNMSKVDEGKEIKESKAVKNFRTALVDFLKELLKPVWKEGHLSKDAHKMIVKKASEKVLSALQPHQIPSDTESINHYLSISKPKLLKLVEGYVNKYSKS >Ma04_p39780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36786098:36806334:-1 gene:Ma04_g39780 transcript:Ma04_t39780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSATPISDSSLEPQEEGDSRAAAGDDDGYEFLGEDQGEDDREDEEEEVEEAEDEDEEEGDMAEGEVEVEVEEEEEGDDGELEEVEVVEEEAAMAGADLSNEDDDDISKKHEDHCKELKSVSSSPARSYINSSNSHVKDVRGGNLDNNPLSESYITKASEISDGGASQETPQRRPRSLSPSTELEGVNKKPAVICNFFARGWCIKGNSCRFLHKKEGAGCTSQVAKEDRTTSGDPVDCKGSPEKFEISSKSASIDSIGLSIVENSRKSDVSPSALVRTYGGEIHGSSQMRDDNNLLASNTYPKECFQGNVPLTDRTSHLAVDGLRQKTLFQEGDQRTYGLKHNLDSIGDGRSSSGEFLSRDYSGEGKIYKEVPGGESLSDGSFSRASSFTKNPLTSRYDYVCGRSFTTTDVYRGCNNTYSYEKIPDSFAIRYQQSHFPPYDSYNSCLTSSVSSSFKNSLHLFCGSPSIESVHPYAQARTRLAHGSPPLDAKQQDICRTLDYGRGVGATTSGQQSIAGDILTFSDQKSELQENTWEPSIPFRSSFCSARAFKSSSEIQYDPLVDSIEPPKVGLLASASPRGFTTSMTSQHIVGYPILGDIAPEYNVKKSFNTSTPAYESMLDNKKSQHEICGYTNVMAPAPVAVDGGDNITPKGESQVHHLTDVAYVDKTDLVCNMSKVDEGKEIKESKAVKNFRTALVDFLKELLKPVWKEGHLSKDAHKMIVKKASEKVLSALQPHQIPSDTESINHYLSISKPKLLKLVEGYVNKYSKS >Ma09_p29850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40206028:40206927:1 gene:Ma09_g29850 transcript:Ma09_t29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPFGTNPRGFPFGHGHQDLPPRSRTATEKHNVVRIPITGHDEEEAGKMKQQRQKKPATARPSNLLRAAAAVMIQRLWRGFLARKSVRVLSRISAEVGEVERTIRAREGAIQRDPKERQRADEMLMAVLLRLDNVRWARDYRKKVIRRVISLQDLVDSIAARTLEEPEMVHAFDLLDTTEMMEKMIAENERLERLVVALRESSTQHCELMTGLVDRVEHLEQQMQRMEMEESHANSAPSEMPEERCTFVLSSCSSHLLQPHFNHSLASILLSSLSMLAYDYQQFPFSQLHIKKIHACN >Ma04_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25182570:25194849:-1 gene:Ma04_g23000 transcript:Ma04_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGEVRVLEQSRIFPTMGSAAPPPSLPLTFFDVLWSTSGPVRRLFFYDFPHPAAVFADSVLPKLKSSLSLALARFYPLAGNLRCSVSHDDVSEVGWTGGESLSFVLAECDSGFHELSGDYARDVYKLQRLAPRPIWSGAAKPLSAVQVTVFPDQGFTVGVWVHHVACDGSSFMRFVKSWASACRAGEIVEPAAPLFDRTAIPNPLQLRSVNFIPGYESSGTREASTLASNLVTATVPPRGPVERHIDVITSCPTSDGISMSGRKAYARSTRDDAPRRGPDPEVAFPPEGAEQSEHDDALVIMARIANAQVRRIMIDKGSSADVLYLDAFQKLGLAKEALEPICSTLTGFTSDSISPLGTVTLPLTLGAPPRTKTVMSTFLVVDLPTAYNAILGRLTLNKIRAIVSTYHQTVKFPTREGTGEVWGSPRESRQCYLMAVSLHKRTRTDRPLEDPRERKQPTPHPEPMAPTCDIPLMKDRPDRTIKVGSELPEQEREQLVGFLQENAYVFAWSPSDMTGVDPKTAQHHLNISPDARPVKQKP >Ma03_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4960814:4969771:-1 gene:Ma03_g07200 transcript:Ma03_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRSYFGPPVGLSRPRVFSSFLSGRNYRLGGASKWSPPARLTPPTWRPLRCAPSALPLDVSPPPIDGDPELKATEGAALEHCTAETFGDDNAAIDAAFNGVAIMDLSHFGRLRVTGEDRFHFLHNQSTANFECLTEGEGCDTVFVTATARTIDIAYVWVMKNAILLLVSPSTSSSIAEMLNKYIFYADKVEVHDITQQTCFFALIGPKSNQVMEALNLGHIVEQPYGTHRHYNVNGAPLTIGIGSILSRDGFSFMLSPASSRSVWRSLLSHGAIPMGANAWERLRVLKGRPAPGKELTKDYNVLEAGLSMAVHLDKGCYKGQETISRLITYNGVKQRLWGIKLSGQAAPGTSIMLDGKKVGVLTSYALGRRDGEHLGLGYAKRHVTPADEVFIGDVKGTLVDVSFLSYTKL >Ma03_p07200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4960814:4969771:-1 gene:Ma03_g07200 transcript:Ma03_t07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRSYFGPPVGLSRPRVFSSFLSGRNYRLGGASKWSPPARLTPPTWRPLRCAPSALPLDVSPPPIDGDPELKATEGAALEHCTAETFGDDNAAIDAAFNGVAIMDLSHFGRLRVTGEDRFHFLHNQSTANFECLTEGEGCDTVFVTATARTIDIAYVWVMNAILLLVSPSTSSSIAEMLNKYIFYADKVEVHDITQQTCFFALIGPKSNQVMEALNLGHIVEQPYGTHRHYNVNGAPLTIGIGSILSRDGFSFMLSPASSRSVWRSLLSHGAIPMGANAWERLRVLKGRPAPGKELTKDYNVLEAGLSMAVHLDKGCYKGQETISRLITYNGVKQRLWGIKLSGQAAPGTSIMLDGKKVGVLTSYALGRRDGEHLGLGYAKRHVTPADEVFIGDVKGTLVDVSFLSYTKL >Ma05_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4305604:4308373:1 gene:Ma05_g05660 transcript:Ma05_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGIHGRDSGNRQDAALFGGSAVQWPFSNVSTMQPFVFYKAAQEEKARNYFFDKHSSSSRFHPLPSMAVFEPSQKASHAVASQKSFGLGRQSINQHPMHGYQPQSTGSSLDATTCHMIPVNMGGPFFKVQAAHSGPNIAVTSLEQLPTGGGTAVNSPIGGPAGGAFAPRNMPKPSHMTAQLTIFYGGCVNVYDDVPLDKARAIMLLASKGSNVSSNAINPRSEAPLPAAAPVPAKVLGSNGISTKQTLIPTPIYVVAPCSGLSSPISVASHARAAAGGGSSNTDDAPRPKAVVAPLVPTGLHDTSKAPTTALGSRTATNNTPRAVPQARKASLARFLEKRKERMTNALPYPSSCSNMTQDKGGRGFESCNSPSKSSSAEISLSTYREDSWFLAHPKSSIGSMESLGTKLTI >Ma08_p33100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43561871:43568486:-1 gene:Ma08_g33100 transcript:Ma08_t33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDTIPLHPSSQSDIDEIENLINADVHSVTVLPARPPSPPRVSIPISHSPSPLPPYQKVPPSASPPPVVPAVPAAAAAPAGSSRIGISSDAFGSPPDTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGRALRDWDLWGPFFFIVFLGLTLSWSASAKKSEVFAVAFAILAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLAIGALICMLEGNVIIKIVVVSITLAWSCWAAYPFMSAAVNPRRKALALYPVFLMYISVAFFIIAID >Ma11_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26981302:26981668:-1 gene:Ma11_g23730 transcript:Ma11_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHASAIGKKLGNLPATVANVLSDGNTMQFEAIMQFHRLLFRSMNLQHIAVNSCYYSFLFESWPF >Ma06_p34410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34650188:34655136:1 gene:Ma06_g34410 transcript:Ma06_t34410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDPYAWTENGAQRTPFPAQVRVYTKTPCQPLSEKQFKSVIEDNYYAQKHFWFELDHAQAKGLMLLFKPASVPVSIKQAPFPSNKSIYCAPLCGAERKAMNSQENQDIVVAEGESKYSTERRNVNKFESLDGGDEDKLGSSSNTSSSVHDEETKEQVMEWGDYNDNIQGNHSMLNPQLNRENIKLLERHSTVKESEADMKEVLHKLKELSVERTASSSSKDCRNDNFTPCISQDVRKEDTFISPEAENRTISELQENSKLVQVIKALTERTEALEKKQAESDKELQQLRDVVEKSGRTVQGLRDQVKELESKLNSSMSLGETCIDQYGEPGKVIYLLGGYDGTSWLSAFDAFSPSEDKLMPLKPMSSPRSYAGVAALDDNIYVFGGGDGNSWYTSVECYNQRENKWALCPNLNHPKGSLAGATLNSKIYAIGGGDGVKCLSDVEMYDPILGKWINSQLMFDKRFATAAVEHDGVLYAVGGYNGDGYLMSAERYDPREAYWTRLPSMNARRGCHSLAVLNGKIYAMGGYDGEEMVASVEIFDPRLGSWMIGEPMNFARGYAAASVLGDTLFVIGGLKSGEHIWDTVECYREGSGWSISSSKTIGKRCFLSAVAL >Ma06_p34410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34649537:34655136:1 gene:Ma06_g34410 transcript:Ma06_t34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKTQAILVPEPSPARNLLKKDLGGTIFGCKDATMKECLKKQLFGLPSTHFSYVRNIEPGLPLFLFSYTNRTMYGIFEAACHGQMNIDPYAWTENGAQRTPFPAQVRVYTKTPCQPLSEKQFKSVIEDNYYAQKHFWFELDHAQAKGLMLLFKPASVPVSIKQAPFPSNKSIYCAPLCGAERKAMNSQENQDIVVAEGESKYSTERRNVNKFESLDGGDEDKLGSSSNTSSSVHDEETKEQVMEWGDYNDNIQGNHSMLNPQLNRENIKLLERHSTVKESEADMKEVLHKLKELSVERTASSSSKDCRNDNFTPCISQDVRKEDTFISPEAENRTISELQENSKLVQVIKALTERTEALEKKQAESDKELQQLRDVVEKSGRTVQGLRDQVKELESKLNSSMSLGETCIDQYGEPGKVIYLLGGYDGTSWLSAFDAFSPSEDKLMPLKPMSSPRSYAGVAALDDNIYVFGGGDGNSWYTSVECYNQRENKWALCPNLNHPKGSLAGATLNSKIYAIGGGDGVKCLSDVEMYDPILGKWINSQLMFDKRFATAAVEHDGVLYAVGGYNGDGYLMSAERYDPREAYWTRLPSMNARRGCHSLAVLNGKIYAMGGYDGEEMVASVEIFDPRLGSWMIGEPMNFARGYAAASVLGDTLFVIGGLKSGEHIWDTVECYREGSGWSISSSKTIGKRCFLSAVAL >Ma06_p34410.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34649773:34655136:1 gene:Ma06_g34410 transcript:Ma06_t34410.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAGRKTQAILVPEPSPARNLLKKDLGGTIFGCKDATMKECLKKQLFGLPSTHFSYVRNIEPGLPLFLFSYTNRTMYGIFEAACHGQMNIDPYAWTENGAQRTPFPAQVRVYTKTPCQPLSEKQFKSVIEDNYYAQKHFWFELDHAQAKGLMLLFKPASVPVSIKQAPFPSNKSIYCAPLCGAERKAMNSQENQDIVVAEGESKYSTERRNVNKFESLDGGDEDKLGSSSNTSSSVHDEETKEQVMEWGDYNDNIQGNHSMLNPQLNRENIKLLERHSTVKESEADMKEVLHKLKELSVERTASSSSKDCRNDNFTPCISQDVRKEDTFISPEAENRTISELQENSKLVQVIKALTERTEALEKKQAESDKELQQLRDVVEKSGRTVQGLRDQVKELESKLNSSMSLGETCIDQYGEPGKVIYLLGGYDGTSWLSAFDAFSPSEDKLMPLKPMSSPRSYAGVAALDDNIYVFGGGDGNSWYTSVECYNQRENKWALCPNLNHPKGSLAGATLNSKIYAIGGGDGVKCLSDVEMYDPILGKWINSQLMFDKRFATAAVEHDGVLYAVGGYNGDGYLMSAERYDPREAYWTRLPSMNARRGCHSLAVLNGKIYAMGGYDGEEMVASVEIFDPRLGSWMIGEPMNFARGYAAASVLGDTLFVIGGLKSGEHIWDTVECYREGSGWSISSSKTIGKRCFLSAVAL >Ma06_p34410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34649537:34654575:1 gene:Ma06_g34410 transcript:Ma06_t34410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAGRKTQAILVPEPSPARNLLKKDLGGTIFGCKDATMKECLKKQLFGLPSTHFSYVRNIEPGLPLFLFSYTNRTMYGIFEAACHGQMNIDPYAWTENGAQRTPFPAQVRVYTKTPCQPLSEKQFKSVIEDNYYAQKHFWFELDHAQAKGLMLLFKPASVPVSIKQAPFPSNKSIYCAPLCGAERKAMNSQENQDIVVAEGESKYSTERRNVNKFESLDGGDEDKLGSSSNTSSSVHDEETKEQVMEWGDYNDNIQGNHSMLNPQLNRENIKLLERHSTVKESEADMKEVLHKLKELSVERTASSSSKDCRNDNFTPCISQDVRKEDTFISPEAENRTISELQENSKLVQVIKALTERTEALEKKQAESDKELQQLRDVVEKSGRTVQGLRDQVKELESKLNSSMSLGETCIDQYGEPGKVIYLLGGYDGTSWLSAFDAFSPSEDKLMPLKPMSSPRSYAGVAALDDNIYVFGGGDGNSWYTSVECYNQRENKWALCPNLNHPKGSLAGATLNSKIYAIGGGDGVKCLSDVEMYDPILGKWINSQLMFDKLNMMESCMLWVDIMAMVT >Ma06_p34410.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34649537:34654544:1 gene:Ma06_g34410 transcript:Ma06_t34410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAGRKTQAILVPEPSPARNLLKKDLGGTIFGCKDATMKECLKKQLFGLPSTHFSYVRNIEPGLPLFLFSYTNRTMYGIFEAACHGQMNIDPYAWTENGAQRTPFPAQVRVYTKTPCQPLSEKQFKSVIEDNYYAQKHFWFELDHAQAKGLMLLFKPASVPVSIKQAPFPSNKSIYCAPLCGAERKAMNSQENQDIVVAEGESKYSTERRNVNKFESLDGGDEDKLGSSSNTSSSVHDEETKEQVMEWGDYNDNIQGNHSMLNPQLNRENIKLLERHSTVKESEADMKEVLHKLKELSVERTASSSSKDCRNDNFTPCISQDVRKEDTFISPEAENRTISELQENSKLVQVIKALTERTEALEKKQAESDKELQQLRDVVEKSGRTVQGLRDQVKELESKLNSSMSLGETCIDQYGEPGKVIYLLGGYDGTSWLSAFDAFSPSEDKLMPLKPMSSPRSYAGVAALDDNIYVFGGGDGNSWYTSVECYNQRENKWALCPNLNHPKGSLAGATLNSKIYAIGGGDGVKCLSDVEMYDPILGKWINSQLMFDKLNMMESCMLWVDIMAMVT >Ma06_p34410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34649537:34654544:1 gene:Ma06_g34410 transcript:Ma06_t34410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAGRKTQAILVPEPSPARNLLKKDLGGTIFGCKDATMKECLKKQLFGLPSTHFSYVRNIEPGLPLFLFSYTNRTMYGIFEAACHGQMNIDPYAWTENGAQRTPFPAQVRVYTKTPCQPLSEKQFKSVIEDNYYAQKHFWFELDHAQAKGLMLLFKPASVPVSIKQAPFPSNKSIYCAPLCGAERKAMNSQENQDIVVAEGESKYSTERRNVNKFESLDGGDEDKLGSSSNTSSSVHDEETKEQVMEWGDYNDNIQGNHSMLNPQLNRENIKLLERHSTVKESEADMKEVLHKLKELSVERTASSSSKDCRNDNFTPCISQDVRKEDTFISPEAENRTISELQENSKLVQVIKALTERTEALEKKQAESDKELQQLRDVVEKSGRTVQGLRDQVKELESKLNSSMSLGETCIDQYGEPGKVIYLLGGYDGTSWLSAFDAFSPSEDKLMPLKPMSSPRSYAGVAALDDNIYVFGGGDGNSWYTSVECYNQRENKWALCPNLNHPKGSLAGATLNSKIYAIGGGDGVKCLSDVEMYDPILGKWINSQLMFDKRFATAAVEHDGVLYAVGGYNGDGYLM >Ma06_p34410.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34649785:34655136:1 gene:Ma06_g34410 transcript:Ma06_t34410.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAGRKTQAILVPEPSPARNLLKKDLGGTIFGCKDATMKECLKKQLFGLPSTHFSYVRNIEPGLPLFLFSYTNRTMYGIFEAACHGQMNIDPYAWTENGAQRTPFPAQVRVYTKTPCQPLSEKQFKSVIEDNYYAQKHFWFELDHAQAKGLMLLFKPASVPVSIKQAPFPSNKSIYCAPLCGAERKAMNSQENQDIVVAEGESKYSTERRNVNKFESLDGGDEDKLGSSSNTSSSVHDEETKEQVMEWGDYNDNIQGNHSMLNPQLNRENIKLLERHSTVKESEADMKEVLHKLKELSVERTASSSSKDCRNDNFTPCISQDVRKEDTFISPEAENRTISELQENSKLVQVIKALTERTEALEKKQAESDKELQQLRDVVEKSGRTVQGLRDQVKELESKLNSSMSLGETCIDQYGEPGKVIYLLGGYDGTSWLSAFDAFSPSEDKLMPLKPMSSPRSYAGVAALDDNIYVFGGGDGNSWYTSVECYNQRENKWALCPNLNHPKGSLAGATLNSKIYAIGGGDGVKCLSDVEMYDPILGKWINSQLMFDKRFATAAVEHDGVLYAVGGYNGDGYLMSAERYDPREAYWTRLPSMNARRGCHSLAVLNGKIYAMGGYDGEEMVASVEIFDPRLGSWMIGEPMNFARGYAAASVLGDTLFVIGGLKSGEHIWDTVECYREGSGWSISSSKTIGKRCFLSAVAL >Ma06_p34410.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34649537:34655136:1 gene:Ma06_g34410 transcript:Ma06_t34410.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKTQAILVPEPSPARNLLKKDLGGTIFGCKDATMKECLKKQLFGLPSTHFSYVRNIEPGLPLFLFSYTNRTMYGIFEAACHGQMNIDPYAWTENGAQRTPFPAQVRVYTKTPCQPLSEKQFKSVIEDNYYAQKHFWFELDHAQAKGLMLLFKPASVPVSIKQAPFPSNKSIYCAPLCGAERKAMNSQENQDIVVAEGESKYSTERRNVNKFESLDGGDEDKLGSSSNTSSSVHDEETKEQVMEWGDYNDNIQGNHSMLNPQLNRENIKLLERHSTVKESEADMKEVLHKLKELSVERTASSSSKDCRNDNFTPCISQDVRKEDTFISPEAENRTISELQENSKLVQVIKALTERTEALEKKQAESDKELQQLRDVVEKSGRTVQGLRDQVKELESKLNSSMSLGETCIDQYGEPGKVIYLLGGYDGTSWLSAFDAFSPSEDKLMPLKPMSSPRSYAGVAALDDNIYVFGGGDGNSWYTSVECYNQRENKWALCPNLNHPKGSLAGATLNSKIYAIGGGDGVKCLSDVEMYDPILGKWINSQLMFDKRFATAAVEHDGVLYAVGGYNGDGYLMSAERYDPREAYWTRLPSMNARRGCHSLAVLNGKIYAMGGYDGEEMVASVEIFDPRLGSWMIGEPMNFARGYAAASVLGDTLFVIGGLKSGEHIWDTVECYREGSGWSISSSKTIGKRCFLSAVAL >Ma06_p34410.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34649537:34655136:1 gene:Ma06_g34410 transcript:Ma06_t34410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKTQAILVPEPSPARNLLKKDLGGTIFGCKDATMKECLKKQLFGLPSTHFSYVRNIEPGLPLFLFSYTNRTMYGIFEAACHGQMNIDPYAWTENGAQRTPFPAQVRVYTKTPCQPLSEKQFKSVIEDNYYAQKHFWFELDHAQAKGLMLLFKPASVPVSIKQAPFPSNKSIYCAPLCGAERKAMNSQENQDIVVAEGESKYSTERRNVNKFESLDGGDEDKLGSSSNTSSSVHDEETKEQVMEWGDYNDNIQGNHSMLNPQLNRENIKLLERHSTVKESEADMKEVLHKLKELSVERTASSSSKDCRNDNFTPCISQDVRKEDTFISPEAENRTISELQENSKLVQVIKALTERTEALEKKQAESDKELQQLRDVVEKSGRTVQGLRDQVKELESKLNSSMSLGETCIDQYGEPGKVIYLLGGYDGTSWLSAFDAFSPSEDKLMPLKPMSSPRSYAGVAALDDNIYVFGGGDGNSWYTSVECYNQRENKWALCPNLNHPKGSLAGATLNSKIYAIGGGDGVKCLSDVEMYDPILGKWINSQLMFDKRFATAAVEHDGVLYAVGGYNGDGYLMSAERYDPREAYWTRLPSMNARRGCHSLAVLNGKIYAMGGYDGEEMVASVEIFDPRLGSWMIGEPMNFARGYAAASVLGDTLFVIGGLKSGEHIWDTVECYREGSGWSISSSKTIGKRCFLSAVAL >Ma04_p33710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33205778:33221622:1 gene:Ma04_g33710 transcript:Ma04_t33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEHKKDDISDLPNEVDAGSNSPVEAKGSEIELTLDAKGREIGPPGEAKEGEIEPTLDVKEGVIEMEGDEKEPSPCVEADASNGSGIRKFTMRELLDELKEEEKEAAGGKDDRSWSMDPTKDDGSDAQRNSFAGRSSFSQNDISMDLINKVTGVDEEGRSRQRILLYAAKKYVSAIESNPEDHDALYNWALVLQESADNVGPDSVSSKDALLEEACKKYEEATRHCPTLYDAYYNWAIAISDRAKMRGRTKEAEELWQQATKKYEKAVQLNWNSPQALNNWGLALQELGAIVPVREKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTFRSGRPINAKEVSPDELYSQSAIYIAAAHALKPNYSVYHSALRLVRSMLPLPYFKVGYLTAQPAHIPIAPHKDWQRSQFVLNHEGLQQVSRVDKHLTQSPPGRSRGSPPIDKSLVKVDIRDIVSVSACADLTLPGGAGLCIDTINGPIYLIADTWESLYGWLDAIRLVYTIFARGKSDILAGIITG >Ma10_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24642160:24645654:-1 gene:Ma10_g11000 transcript:Ma10_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQIFGRLSDNQIPGRIYPSLGQPFYSAASPSEPIRATLFPGDGIGPEIAEAVKQVFGAAEVPIEWEEHYVSDKVDPRTESFLTWESLESVQRNGVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCQSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRQRVSAIHKANIMRKTDGLFLKCCREVAEKYPEITYEEVIIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVMMLRHLKLNNKADQIQNAILSTIAEGKYRTVDLGGSSSTTDFTKAVCDHL >Ma10_p11000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24642159:24645264:-1 gene:Ma10_g11000 transcript:Ma10_t11000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQIFGRLSDNQIPGRIYPSLGQPFYSAASPSEPIRATLFPGDGIGPEIAEAVKQVFGAAEVPIEWEEHYVSDKVDPRTESFLTWESLESVQRNGVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCQSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRQRVSAIHKANIMRKTDGLFLKCCREVAEKYPEITYEEVIIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVMMLRHLKLNNKADQIQNAILSTIAEGKYRTVDLGGSSSTTDFTKAVCDHL >Ma10_p11000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24642159:24645619:-1 gene:Ma10_g11000 transcript:Ma10_t11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQIFGRLSDNQIPGRIYPSLGQPFYSAASPSEPIRATLFPGDGIGPEIAEAVKQVFGAAEVPIEWEEHYVSDKVDPRTESFLTWESLESVQRNGVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCQSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRQRVSAIHKANIMRKTDGLFLKCCREVAEKYPEITYEEVIIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVMMLRHLKLNNKADQIQNAILSTIAEGKYRTVDLGGSSSTTDFTKAVCDHL >Ma06_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3542135:3542923:1 gene:Ma06_g04760 transcript:Ma06_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLWLLPVVAALCLALAGVALAAPKFVIQGRVYCDTCRAGFETVVSQYIPGAKVKLQCRHYETDSIEHTAVGVTDGTGTYNIEVEDNHEEEICEVSLVQSPVPGCSEVSGTRNRARVLVTGRNGLASDVRYANSLGFLKDEPLKECGLLLQQYALGVDD >Ma05_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10821561:10823330:1 gene:Ma05_g14780 transcript:Ma05_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Ma08_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11069854:11075607:1 gene:Ma08_g13950 transcript:Ma08_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAGPTSSFAMRVMAGFLSSVHSNFSPSAWATIVAGFFVLVALSFSAFLVFEHLSAYKNPEEQKFLIGVILMVPCYSIESYVSLVNPSLSVDCGILRDCYEAFAMYCFGRYFVACLGGEDRTIEFMERDGCSSAATPLLDHASERGVIHHHFPMNYLLKPWRVGRWFYQLIKFGIFQYMIIKTITAILSVIFEAFGVYCEGEFKLGCGYPYMAAVLNFSQYWALYCLVQFYTAIKDELAHTKPLAKFLMFKSIVFLTWWQGVTIALLYTFGLLKSPIAQGLQFKSSIQDFFICIEMAVAAVAHLYVFPAKPYELLADQPPGNVSVLGDYASADCPIDPDEVRDSTRPTKLRLPQPDVSAKSVTDIRESVRDIVVGGGEHIVNDFKFTVTQAVEPVEKSFTRLNEKLHRISENIKKHDKDRRKARDDSCITSPMRQAVRGIDDPLLNGTTSDGGASRGRQRSRKSGYTSESGGESSKQGRGEYEIHGCRWVIRD >Ma10_p27270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34630873:34635872:-1 gene:Ma10_g27270 transcript:Ma10_t27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGVKKVAEVAAKATKSIDWDGMAKALVSDEARKEFANLRRAFDEVNSQLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYESIEIPKYVDTVTPEYKPKFDALLVELKEAEKQSLKESARLEKEIAEVQEMKKKISTMTADEYFENHPELKQKFDDEIRNDNWGY >Ma00_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13465068:13468410:1 gene:Ma00_g01910 transcript:Ma00_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPISPGRAAESNPNPGILLLRLGGGIPALLRLLQGEMAEAAMAYTASRQLPQFIFAVAFFHSSEYALAVFYHGRSNVTFSSLLISKQYVVAMVCALLEYAIEILLFPRLKEYWWVSNIGLVMILIGELIRKAAVITAGQSFTHLIRKYHDDHHELITHGIYRFVRHPGYTGFFIWATGTQIMLCNPVCIIAFIVVVWRFFSTRIPYEEFFLRQFFGFQYVEYAKRVPSGLPFIR >Ma00_p01910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13465068:13468416:1 gene:Ma00_g01910 transcript:Ma00_t01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPISPGRAAESNPNPGILLLRLGGGIPALLRLLQGEMAEAAMAYTASRQLPQFIFAVAFFHSSEYALAVFYHGRSNVTFSSLLISKQYVVAMVCALLEYAIEILLFPRLKEYWWVSNIGLVMILIGELIRKAAVITAGQSFTHLIRKYHDDHHELITHGIYRFVRHPGYTGFFIWATGTQIMLCNPVCIIAFIVVVWRFFSTRIPYEEFFLRQFFGFQYVEYAKRVPSGLPFIR >Ma06_p22240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17479492:17490839:-1 gene:Ma06_g22240 transcript:Ma06_t22240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGKRKRDDGEEAGMEAIVWQTPANPPEPRDYIFRNGRRYVRPYYFEFISHAKKRWAGKTIVDLFAEEFKGRSYDYYVSAVRCGRIRVDDKMVHTSYVVQSSQKISHFLHRHEPPVLAGDVLVLQNEADILTVYKPASVPVHPCGQYRKNTVVGILQAEHGLAPLYPVHRLDRLVSGLLIFAKSADKADFLRQQVEAGLLQKEYIAKVIGVFPEKEVVIDANICYNAREGRSFVEINDSCIDKAFKGKTACTKFTRINTNGKYSLVLCKPVTGRTHQIRVHLQCAGHPIANDMLYCSEYVPSRSANGVGADRAASATCKPPMSNSHKDYSFAEDSASEEFSIDPMCTNCPNLPPKGYDEDEEGIWLHCVRYSGPGWSYECPYPDWAHLC >Ma06_p22240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17480499:17490839:-1 gene:Ma06_g22240 transcript:Ma06_t22240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGKRKRDDGEEAGMEAIVWQTPANPPEPRDYIFRNGRRYVRPYYFEFISHAKKRWAGKTIVDLFAEEFKGRSYDYYVSAVRCGRIRVDDKMVHTSYVVQSSQKISHFLHRHEPPVLAGDVLVLQNEADILTVYKPASVPVHPCGQYRKNTVVGILQAEHAVHRLDRLVSGLLIFAKSADKADFLRQQVEAGLLQKEYIAKVIGVFPEKEVVIDANICYNAREGRSFVEINDSCIDKAFKGKTACTKFTRINTNGKYSLVLCKPVTGRTHQIRVHLQCAGHPIANDMLYCSEYVPSRSANGVGADRAASATCKPPMSNSHKDYSFAEDSASEEFSIDPMCTNCPNLPPKGYGFSHYIGHCNIFVPRICCSLIYMTPTRMYGYLV >Ma06_p22240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17480499:17490839:-1 gene:Ma06_g22240 transcript:Ma06_t22240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGKRKRDDGEEAGMEAIVWQTPANPPEPRDYIFRNGRRYVRPYYFEFISHAKKRWAGKTIVDLFAEEFKGRSYDYYVSAVRCGRIRVDDKMVHTSYVVQSSQKISHFLHRHEPPVLAGDVLVLQNEADILTVYKPASVPVHPCGQYRKNTVVGILQAEHGLAPLYPVHRLDRLVSGLLIFAKSADKADFLRQQVEAGLLQKEYIAKVIGVFPEKEVVIDANICYNAREGRSFVEINDSCIDKAFKGKTACTKFTRINTNGKYSLVLCKPVTGRTHQIRVHLQCAGHPIANDMLYCSEYVPSRSANGVGADRAASATCKPPMSNSHKDYSFAEDSASEEFSIDPMCTNCPNLPPKGYGFSHYIGHCNIFVPRICCSLIYMTPTRMYGYLV >Ma06_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17479894:17490839:-1 gene:Ma06_g22240 transcript:Ma06_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGKRKRDDGEEAGMEAIVWQTPANPPEPRDYIFRNGRRYVRPYYFEFISHAKKRWAGKTIVDLFAEEFKGRSYDYYVSAVRCGRIRVDDKMVHTSYVVQSSQKISHFLHRHEPPVLAGDVLVLQNEADILTVYKPASVPVHPCGQYRKNTVVGILQAEHGLAPLYPVHRLDRLVSGLLIFAKSADKADFLRQQVEAGLLQKEYIAKVIGVFPEKEVVIDANICYNAREGRSFVEINDSCIDKAFKGKTACTKFTRINTNGKYSLVLCKPVTGRTHQIRVHLQCAGHPIANDMLYCSEYVPSRSANGVGADRAASATCKPPMSNSHKDYSFAEDSASEEFSIDPMCTNCPNLPPKGYDEDEEGIWLHCVRYSGPGWSYECPYPDWAHLC >Ma02_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27386515:27401093:1 gene:Ma02_g21890 transcript:Ma02_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MAFPFMVSVPASAAQPLLLIPLPPQRLSATCPSTRPSSSLLHRTKISLPPPLLPPLMPLRRRRVVRSSAAAESSDSSNEGKDKDDQRVGSDLKTLLKRFWKVAAPYWSSEDKVQARLRLAAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLGGFAGGIPFFVIRDYARETLSLRWRSWMTSYYMKRYFKNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALSFSLILFNAAVDLVSFSNILFGIYPPLFGVLLVYSLGGTAISVFLGKGLVTLNFMQEKKEADFRYGLVRVRENAESIAFYGGEGNEMQLLLQRFRRAFENLTELLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDILDDNESYITEHESNDEVNILFIDNKDPSLLVSNGYVPQVERHQLLKIQNLTLQTPRRKNILITDLSLEINEKDHLLVMGPSGSGKTSFLRALAGLWNTGKGNVIFYVEDAGKHARSVSADTDSSKSEDVLKTEEEQRPKNRRPSSVFFLPQKPYMVLGTLRQQLLYPTWSEKIHPDLNNSPGSLPFLSQAPSLADEFDKPEKPTIDDMIQVLERVRLGDILSRFNGLDSIYEWSSVLSLGEQQRLAFARLLLSKPKLILLDESTSALDGANEAHLYRQIEAAGITYISVGHRMTLRNYHKNILYISKYDARGSERNWHFEPISQTATEANSTC >Ma06_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2217712:2218325:-1 gene:Ma06_g02970 transcript:Ma06_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNRSGESPPPPRRTCCFGCYGARESTRKGKSNEVHGAASLDEARWGSNQGILTDFSTFSVEEQRRRLKRALEEEERASKEAEKVVRWVKQASARIDTSTVND >Ma03_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23874923:23881562:1 gene:Ma03_g18320 transcript:Ma03_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGRARPTSPSVSPSRSSSPSRSFSGSDSPSRSRSRSRSGSLSSSSSPSRSVSSRSRSPPPQRKSPPLTGRRAHSPTPPPKKASPERKPSPVSESVVLHIDHLSRNVNEAHLKEIFGNFGDVINVELAMDRLVNLPRGYGYVEFKKRVDAEKALVYMDGGQIDGCVVRVRFTLTQRQKPSSPPKAIPVAAKREAPLRDKVGTSAEKDVPLRPREPSPRRKPLSPPPRRSPPPNRRVSSPRRRPDSSPRRRVESPVRRRVDSSPHRHGETPPRRRAASPPRRRSPSPARRHRSPARISPRRGRGSPVRKRSPIPPRRRSPPRRPRSPPRRSPPPRRRSRSPIRRPLHSRSRSVSPRSRGRGPPPRRASSGSSYSGSPSPRKGARKISRNRSPRRPVRGRSTSNSRSSGSPPKGN >Ma03_p18320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23874923:23881562:1 gene:Ma03_g18320 transcript:Ma03_t18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGRARPTSPSVSPSRSSSPSRSFSGSDSPSRSRSRSRSGSLSSSSSPSRSVSSRSRSPPPQRKSPPLTGRRAHSPTPPPKKASPERKPSPVSESVVLHIDHLSRNVNEAHLKEIFGNFGDVINVELAMDRLVNLPRGYGYVEFKKRVDAEKALVYMDGGQIDGCVVRVRFTLTQRQKPSSPPKAIPVAAKREAPLRDKVGTSAEKDVPLRPREPSPRRKPLSPPPRRSPPPNRRVSSPRRRPDSSPRRRVESPVRRRVDSSPHRHGETPPRRRAASPPRRRSPSPARRHRSPARISPRRGRGSPVRKRSPIPPRRRSPPRRPRSPPRRSPPPRRRSRSPIRRPLHSRSRSVSPRRGRGPPPRRASSGSSYSGSPSPRKGARKISRNRSPRRPVRGRSTSNSRSSGSPPKGN >Ma09_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21522622:21537414:1 gene:Ma09_g19230 transcript:Ma09_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein WDS homolog [Source:Projected from Arabidopsis thaliana (AT5G43920) UniProtKB/Swiss-Prot;Acc:Q9FND4] MEANENPDPRSLTDAKSSEVPRRVLGERRTEFVRIIVQCLYSLGYRRAAATLESESGVSHDSPEYTALFLDVMAGRWDDCIATIDSIEDMDCGARAAAAFLVWKEHFLELLGLKDGFLMARDVLSERIAPLDMDRQRVHGLARLLISSEGIVNVEDRVRRRLGLLLDLVEVLPPWVRVPSARLEHLVEMTVLKQIASCFYHNSPGEVTLYEDHKCSQEQIPSKCSQILYDHKNEVWFVQFSHNGDYLASSSRDCTAIIWAVNKDDSISLRHVLEGHMKPISFLAWSPNDRMLLTCGNGEALKLWDVCDGMCKFTFTGGANRIISSCAWFPESEKIVCGSWEPDNRIFTCDLEGNELEVWEGERMPKVTDLAVTPDGRCLISICSNKEILIRDFHRGNEWKIHEEHSITSLSLSSDGQFLIVNLNSEEIHLWNINVSSSLPDKFRGHKQGKYVIRSCFGGSNSLFIASGSEDSQIYIWQRHRETPIKILTGHSMTVNCVSWNPAKPRMLASASDDRTVRIWMANVNNNTKFHM >Ma07_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8040027:8042417:-1 gene:Ma07_g10770 transcript:Ma07_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSGGGGGAALHHNHHRRAMREKAKNQVDDLQAMFVGLQSARREGRAADAAVLEEQLHQLLREWKTELSEPSPAASACSSFLGHSRGPSDLSSYIRRMLQLNEEEEDDDATSKLTVLPPKDEPLEVLGPYPVGLQSGAAAAFLREEYLMAQEMPGYGFVAAQQYKCGSTGAQHAALQCLEGADDLQIQHYNLHQQLPQNVLFGFTAAGFDAGDLAPPFCEFVAATCPPPSAFLRPKCALWDCPRPARGSEWFQDYCSSFHATLALNEGPPGTSPVLRPGGIDLKDGPLFAALVAKSQGKNVGIPECEGAATNRSPWNAPELFDLLVLNGESLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKEFGGLKRSYYMDPQPLSHFEWHLYEYEINDCDACALYRLELKLVDPKKSAKAKVTIDSMVDLQQQMGRLNAENKAMESKRYAKGRPRFHYKDSAEAMYSASDFIGRMEDGQSLHSSLEQEAVADKLHGYFGT >Ma10_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25855116:25859238:-1 gene:Ma10_g12850 transcript:Ma10_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSIVFSSPFQRSLLLGLLPLGVVCNRQPNLGAALKLCSLGSGFLMPFFFLMSFFPVIVLLSKSLPLQPLPPWAGEVRLLSILFWRELSAALLLFFDKFRPVSARKLAAPSSPTMSPRKRCAHRVDNVEESGEMSVLDLPELALECILGKLSPAGLSNMAAVCSSLRERCRSDHLWEKHMEEKWGRVIGHAARREWKLLLASIKNSSASNSCRKWIGALSCVWPISWLKSRIDGGCQNRSPLPDDSIMSWYRSLESGGFWFPAQVYNREHGHVGFMLSCYDAEVRYDRQTNSFHARYPPHGRRTIVVEEGVQWDRLRAPPVNTPPHDLHISDCLSDLRPGNHIEIQWRRNKEFPYGWWYGVIGHLESCNGNEHYCSCHLSDTVVLEFNQYTLGSRWRRASISRKHHREEGNETDGFYGGIRKLHGKDEISKWRRLWPTDALE >Ma07_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3681446:3686494:1 gene:Ma07_g05050 transcript:Ma07_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCSSAVTPWRIEAPVVQPKCSSLVKASTFRFWRGNCPEKLASLALSGPPRSPQSRGGRWRVLAHGASGIGGEDSGALTNGFSVLIDGDPASIQNDIIQNGGYEDCMSGNMEMVTPVTAGSVTTASKAGLFRTPISGGVQSALAVNNLPHPALAVHNLMQQAKYGQLCTIVSRMHNRREGYPFGSLVDFAPDPMGHPIFSLSPLAIHTRNLLVNSKCSLVVQIPGWTSLSNARATIFGDVFPLAADQQEWACQQFAAKHQQWASKQLGNLYYYRMQNISGIYFIGGFGTVAWVDVKEYEASKPDKIAADSGEQNLKELNAIFSKSLKDSLSTEAEIDDAAFISIDSKGTDIRVRQGAQFNVQRISFEVEHDVQTLDDAKAALEKIINRYRR >Ma04_p38460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35991703:35992200:-1 gene:Ma04_g38460 transcript:Ma04_t38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSIVFSLHLLTTLASVAGNLNDGNSPSESFLHCFLNRTGSSETSTQLRRPSPAVGLTASGSGSGAAATTTKACPTSPPAALSPSSTCPTSGLSPSTPTEARRGWGLARPSARSTTASLLRTGPPGSTGQPQITSSTL >Ma03_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4869495:4871475:-1 gene:Ma03_g07090 transcript:Ma03_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g05750, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05750) UniProtKB/Swiss-Prot;Acc:Q9MA50] MAAQTLTQLPPVPSPPRRRPQPHTRPLPRRHLSSTTLPNLSSSVENCSARDVVSWTAAIARQARRGRLSDAACAFGDMLSAGVNPNNVTLVALLSACADFPSYPSALPLGCAIHAQSLKRRRPDAAPEEVVVFSTALVDMYAKCGRADLAGEVFDRMPVKNTVSFNTMIAGYMRAGDVDHALSWFNRMPRKDKVSWTVVIDGCVKNGLVEEALDCFRAMQLSRIDADYVTILAVIAACTSLGALNHGLWVHRYVKNHGLSNNVRLANSLIDMYSRCGRVDFAHQLFERMCTRTLVSWNSIVVGFAVNGCCHEAIEHFKMMRSEGFGPDGVSFTGVLTACSHAGLVHEGLKFYDLMREHYKLPPRVEHFGCLVDLLSRAGRLEEAVSTIESMPFRPNEVVLSSLLAACRVHGDIQLAERVTAYLLHLEPECDSNYVLLSNIYAADGQWDGVGALRSKMKAIGVTKTPGCSSIEIGCEIHEFVAGDGSHPQSDDIYEMLDLLRCELKLWGHDPKIIVGATDD >Ma10_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14826068:14836548:1 gene:Ma10_g04130 transcript:Ma10_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLSESDCVKVDKRSYRECAGEKVPSISSECVGLRETYFNCKRGQVDMRARIRGNKGY >Ma03_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2996108:3002706:-1 gene:Ma03_g04570 transcript:Ma03_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLKAETISLMDMRASMETEMNAIIESLCGPGGPGISGNLVDSEGFPRVDIDIPAVRSQRRRLSELRNDHKVITEKIDKNLQVLHSLRLDKVTPLPLDGSDISASVSETISQDSPMREEPITRIPFAIIDEIADDSPAAEDGLQLSDEIVKFGNVEMGDNLQSRLMAEAQSNQGNPVPLVIVRQGSVMNLTVTPRPWHGRGILGCHFRIL >Ma01_p08060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5771730:5786539:-1 gene:Ma01_g08060 transcript:Ma01_t08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAPPPFQASNSCTAMSSPSRFKVPSRNHCHRGFRDPPPPPADATGAAMEGGAAPSSVSGGSHFRAVRDANYDWTRSQKGGAAWYPRGAPHQSFGRWRPPSFGPLPPPRPSYGLPPPYYGPPPPPAYAPALPPYGHPPPSHDSPPSPFLPPRSSYSPSTFRPQAFRPSPPPRPADYRTWSFCLSQPPPQCERFVMLSYNILADYLARDHRSKLYFHIPQYILDWEWRKRKLLLEFRLWAPDIMCLQEVDRFYDLEKELATQGYAGIWKMRTGDAVDGCAIFWRTNRFQLKYVETIEFKKLGLRDNVAQICVLESTIQSSVKNESASLLKSSDQLRQANQVVVCNIHVLYNPKRGEIKLGQVRTLLHRAYAVSKIWNDAPVIVCGDFNSTPKSPLYNFIAEQKLTLSGLARDQISGQYSACISSSRPYYGPGTSRTQPHMSGGTEVNCKPQNKEENQYVTKEAPVREATSNGLLDIPQIFSESRLLDKTCTVPDVATNKIGGESFCSESCSADDSNLQVSNNTCRSCIQPITDQGTALEISSIDQCSAGVHSDMSRSTIEFSGSKQNMFDESNDDYESPSFQTIKASDIVADSAAQASETSAGTACQDVLVDNVVQKENCSYIGAPSSKEYSGSSSTTCLDISYYHNESKQSVNDVASLSAEFDSTVNLFNNCLENHNSVGEVENICKDISCSEENSDPDFFRELLGTDDVRHFGDDPVTSGQNHSFDSSHSSELDPLSVGVREESLRGAFSLDDGFERRSYDPYLWTPMEIEVASGSAECNFLEHSLKLRSVYTDVEDYVGTKDSSREPLVTSYNRRFMGTVDYIWSSEGLQTARVLETFPKHVLQQTSGFPTRRWGSDHVALACELAFTNGSSTK >Ma01_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5771823:5786539:-1 gene:Ma01_g08060 transcript:Ma01_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAPPPFQASNSCTAMSSPSRFKVPSRNHCHRGFRDPPPPPADATGAAMEGGAAPSSVSGGSHFRAVRDANYDWTRSQKGGAAWYPRGAPHQSFGRWRPPSFGPLPPPRPSYGLPPPYYGPPPPPAYAPALPPYGHPPPSHDSPPSPFLPPRSSYSPSTFRPQAFRPSPPPRPADYRTWSFCLSQPPPQCERFVMLSYNILADYLARDHRSKLYFHIPQYILDWEWRKRKLLLEFRLWAPDIMCLQEVDRFYDLEKELATQGYAGIWKMRTGDAVDGCAIFWRTNRFQLKYVETIEFKKLGLRDNVAQICVLESTIQSSVKNESASLLKSSDQLRQANQVVVCNIHVLYNPKRGEIKLGQVRTLLHRAYAVSKIWNDAPVIVCGDFNSTPKSPLYNFIAEQKLTLSGLARDQISGQYSACISSSRPYYGPGTSRTQPHMSGGTEVNCKPQNKEENQYVTKEAPVREATSNGLLDIPQIFSESRLLDKTCTVPDVATNKIGGESFCSESCSADDSNLQVSNNTCRSCIQPITDQGTALEISSIDQCSAGVHSDMSRSTIEFSGSKQNMFDESNDDYESPSFQTIKASDIVADSAAQASETSAGTACQDVLVDNVVQKENCSYIGAPSSKEYSGSSSTTCLDISYYHNESKQSVNDVASLSAEFDSTVNLFNNCLENHNSVGEVENICKDISCSEENSDPDFFRELLGTDDVRHFGDDPVTSGQNHSFDSSHSSELDPLSVGVREESLRGAFSLDDGFERRSYDPYLWTPMEIEVASGSAECNFLEHSLKLRSVYTDVEDYVGTKDSSREPLVTSYNRRFMGTVDYIWSSEGLQTARVLETFPKHVLQQTSGFPTRRWGSDHVALACELAFTNGSSTK >Ma05_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7802934:7803620:-1 gene:Ma05_g10690 transcript:Ma05_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRGEASSLLLVTLLVTLLAFFATDSSAARVTPRPHSLARAVLSALEARANGPCCRCICPLIYPLTWCSCAGVWQGSCPSACTNCQCVLNECTCIDHVDPKACEADSCRWLDGVPKVEPSQQWAIEETGGKLATMV >Ma01_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14295812:14302041:1 gene:Ma01_g18930 transcript:Ma01_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIALPEETIFRSKLPDIEITNDIPLHTYCFERLAEFAGRPCVIDGATGTVLTYAEVDAAARGFAAGLFSVGIGRGDVFMILLRNSPEFVIAFLAASYRGAVATTANPFYTTGEIHKQAAGSGARLIITESCYVDKIREFAGERDITIVTVGDGPAPDGCRLFADLMGTDAGALSAAEFDPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGDNPNLYLHQDDVLLCVLPLFHIYSLNSVLLCGLRVGAAILIMRRFEVGPLLELVQRHRVTIAPFVPPIVLEFVKSPLVDGYDLSSIRMVMSGAAPMGKELEDKFMTKLPNAQLGQGYGMTEAGPVLSMCLAFAKEPFEVKSGACGTVVRNAEMKIVDPATGASLGSNQRGEICIRGAQIMKGYINDPEATRNTIDKDGWLHTGDIGYVDDDDEVFIVDRLKEIIKYKGFQVAPAELEALLITHPNIADAAVVPMKDEAAGEVPVAFVVRSNGSKISEDEIKQYISKQVVFYKRINKVFFTETIPKAPSGKILRKDLRAKLAAQFPIGPFP >Ma10_p14400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26863459:26868971:-1 gene:Ma10_g14400 transcript:Ma10_t14400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTENAIGSDDQFIRCQSPAPTPAEVETEYQRDVRKLVNFLSKLNPSAKEFFPSLHTARDGRKYDGRLSADAPIFVASTDCYGNGVMGNGGNKDSSSDGSSNSQPNRRRGSGYDQGWRKTKDRVRKAQTEESIRRTVYVSDIDQHVTEEKLAEIFATCGQVVDCRVCGDPNSVLRFAFIEFSDEDGARAALNLGGTMLGYYPVRVLPSKTAILPVNPKFLPRISMQSDNEKEMVVRTVYCTNIDRKVTQTELKAIFEQCCGEVSRLRLLGDNMHSTRIAFVEFVRAESAIVALNCTGMVLGALPIRVSPSKTPVRPRAPRAASN >Ma10_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26863459:26868971:-1 gene:Ma10_g14400 transcript:Ma10_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTENAIGSDDQFIRCQSPAPTPAEVETEYQRDVRKLVNFLSKLNPSAKEFFPSLHTARDGRKYDGRLSADAPIFVASTDCYGNGVMGNGGNKDSSSDGSSNSQPNRRRGSGYDQGWRKTKDRVRKAQTEESIRRTVYVSDIDQHVTEEKLAEIFATCGQVVDCRVCGDPNSVLRFAFIEFSDEDGARAALNLGGTMLGYYPVRVLPSKTAILPVNPKFLPRSDNEKEMVVRTVYCTNIDRKVTQTELKAIFEQCCGEVSRLRLLGDNMHSTRIAFVEFVRAESAIVALNCTGMVLGALPIRVSPSKTPVRPRAPRAASN >Ma10_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29053956:29056296:-1 gene:Ma10_g17850 transcript:Ma10_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKEKQGDVMWLKSVANKLLRKPVGTDALLEGATFDEFINPNEPQKDQIDTRKYKLFVSTWNVGGVLPSDDVNLEDWLDVNNKYYDIYVLGFQEIVPLNVKNVLCPERRRILASWNSLIGRTLNRSLSNLKGRKEAKAGERQKVHPVKEGSSDEGSAPDFRCIISKRMVGVQVSVWVRHDLQHHIRHPGVSRVGCGIMGCLGNKGSVSVRFWLHETSFCFVCCHLASGGKEGDELKRNSDAMEILSRTSFPGGPPLHLPQKILDHDRVILLGDLNYRISLPETTTRSLVEQKRWSMLLEKDQLKAEVTDGRVFEDWQEGAIAFCPTYKYYPDSDKYYGCMPGRKGEKRRAPAWCDRILWHGEGMKQKRYDRCESRLSDHRPVRAVFAVDVDVRRSWNSLGSFFSSERFHLPTEQSQLLMDDEHTSEGRTSIHI >Ma04_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9226625:9234618:1 gene:Ma04_g12250 transcript:Ma04_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKTTNVQSPDEESLPADKPDLPNGDAGEQDQVPAFKEYGLAELRAATKGFSPEMIVSESGEKAPNVVYRGNLDGGRLVAVKRFSKQSWPDAQQFVTEAAGVGKLRHTRLVNLIGCCAEGDERLLVAEFMPNDTLSKHLFHWDKHPLPWQMRVRVAFYIAQALDHCNTENRRIYHDLNAYRVLFDEDGDPRLSSFGLIKNSRDGKSYSTNLAYTPPEFMRTGRVIPESVIYSYGTILLDLLSGKHIPPSHALDLIRGKNMLLIMDSSLEGQYANEDATKLVELASKCLQFEARDRPNSKFLLSSVEPLQTQKEVPSHVLMGITKAPQVLPTMLSPLGKACAKMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKSAIEYYSKLVAMMSVPSATVFARRGLSYLMSGQPELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGAAFEAKRQNGWHG >Ma07_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13206494:13211924:1 gene:Ma07_g16360 transcript:Ma07_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAARPSGACLKCLLVVFAVASALCVSGPALYWRFKKGFASTQSLSSSSHPGCAPCVCDCPPPLSLDAIAPGLLNLSVTDCGKNDPELSKEMEKHFVDLLTEELKLQEAVAQEHAHHMNATLVDAKRLASQYQKEAEKCIAATEICEEARERAEAALRKEKKITAMWERRARQLGWQEA >Ma06_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9256756:9257794:1 gene:Ma06_g13520 transcript:Ma06_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVFWEQRITSRMVDGQLQSGILPPGKCYKHQTSNTTNCCSGTCMNFELSPRIEEGELAVLNYIL >Ma06_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6730378:6738404:-1 gene:Ma06_g09670 transcript:Ma06_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVQSAILPTVAVNRIQSHLRGSGKVKRGSKMMCQPRKHSLRLQGFAGLRRSDNVEFSSRNQRDFHSVVSGYISYSRGKASRGVAKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMVGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETILILRGLRERYEIHHKLRYTDEALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELDKELRQITKEKNEAVRSQDFEKAGELRDREMELKAQISALIDKGKEMSQAESEAGDSGPTVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKVKDIELQVTERFKDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEIKEGDSAIVDVDSDGNVTVLNGGSGVPEPIPPAVSV >Ma10_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10310576:10311181:1 gene:Ma10_g02990 transcript:Ma10_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRVTLRMEMDTRRGCSSLSLSRLLTITFTFMAASGLPDNSDDLIAATCNRTPYLDVCMSTLTSRRGSRSADLHGLAAISLDACIAHAKATLSYARGLSRHDGIANDTYASGCLADCLEEYGEAVDDLHESAGALRRGSYDTVNVLLAGAMTNSDTCESGFGEKPGLRSPLTERNQYFGKLCSNSIAITGLLG >Ma01_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18755895:18766257:-1 gene:Ma01_g20470 transcript:Ma01_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAGEEARPTRIMIGVNESSIRGYPHPSISSRTAFDWTLQKIVRSNAAGFKLLFLHVQIPDEDGFDDMDSIYASPDDFQSMHQKEKIRGIHLLQYFVEHSHQLGIQCEAWIRKGDPKEVICQEVKKVQPDILVVGSRGLGPFQRVFVGTVSEYLVKHVDCPVVTIKRKADEAPHDPIDD >Ma11_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14764008:14772356:1 gene:Ma11_g11680 transcript:Ma11_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNINPFKSMNLCFFYEMRWRTPILSASSVRSLLREVAATKSLPQIAQLHQHLTAAGLTGDPFLSTKLLELYADAGDLPSALSLFAVLPSPSVFAWTPILALLSRSGHHPRCLAAYRSMRFAAIAPDGYVFPPVLRSAAGHHHPTATASLHADAVKFAAATALPVANALINAYSKSGDLAAARHAFDFEDGSDLLSWNSIIAAYAIAGCIEPALGLLDSMRSDGYDPDVVTWNTIMDGYCRAGRCSEAREILDALPQPNAVSWTTVISGYSRSGNHEAALEIFSRMMHAGTVPPDPDTLSCVASSLRHVEALGAALSVHAYGLKTTAVDAFYRVAGAALVALYASRGRISTAKVVFDMMSPADVVTWNAVILGFGRAGLTRVALEYFSAMLSRGIRSNCTTIATVLPLCDMKLGKEVHAHVIRQSDAYASSTVQNTLIDMYSRAGCVDRAHQVFAKIEVDDVVTWNTMIGAYGAHGLGTQALQLAHRMVRRGLKPDAVTLTSTLVACARCGLVGEGLEFLETVVRDLGLVPSKEQYACVVDLLARAGRFEEAAGLAGTMPTRGGAEAWGALLSACRTHQNVEVGRLAFEQLLRLEPGNPGNYVTMSNIYVRAARWEDAKKVRLMMQRKELSKPSGHSWIAAGSRTR >Ma06_p08400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5942346:5952630:-1 gene:Ma06_g08400 transcript:Ma06_t08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETGRCVRVLCPKKSLLSSKNPGLRWLIGSPLFLPPFTVVSSFRCLHALPDDDPYSPDFAKEADEIRMLLLRGFNIIGALFVGDGNWEKCAWKAVEASSKMRKFLFGDGDCDLIGAVTDLITDDIHFFVSHSTNVESMEAVSTVVFEDNPETYVWEKGCLLRCELELKLPVFVPLDKTSEIVSSLVDEAAAKLRDPYVAYLVEGSNVDTDELSRSIVLHGMELDSKPDHSDSMLLKASMKESGAKDLACSHFHLKDKNISSSTIRENADAIQIAVLSNQSRNNCKSAVPVAEYFPATEYARNLNVNFKLDVLCYASEDFTIAAALSKLVIPGLIDQLNSIKKAVIPELLSQQPQLCPYHFLPPELLHPVTAIYDLRYGETEMKQGEMRRSLHSRLGLPLDRPLLRIANALSFGMKNTKDTHSVKYGSSLLKNVHTEVPTSGVSGGIISLIDGSYEYYHYLLYGFDDNGWGCAYRSLQTIISWYRLQQYASIGVPSHREIQQALVDMGDKEPSFVGSREWIGAIELSYVLDKLLGVSCKIINVRSGEELPEKCRELSIHFQTQGTPVMIGGGVLAYTLLGVDYNQASGDCAFLILDPHYTGNDDLKKIVNGGWCGWKKAIDSKGRNFFLKDKFYNLLLPQRPNMV >Ma06_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5942346:5952638:-1 gene:Ma06_g08400 transcript:Ma06_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETGRCVRVLCPKKSLLSSKNPGLRWLIGSPLFLPPFTVVSSFRCLHALPDDDPYSPDFAKEADEIRMLLLRGFNIIGALFVGDGNWEKCAWKAVEASSKMRKFLFGDGDCDLIGAVTDLITDDIHFFVSHSTNVESMEAVSTVVFEDNPETYVWEKGCLLRCELELKLPVFVPLDKTSDVAEIVSSLVDEAAAKLRDPYVAYLVEGSNVDTDELSRSIVLHGMELDSKPDHSDSMLLKASMKESGAKDLACSHFHLKDKNISSSTIRENADAIQIAVLSNQSRNNCKSAVPVAEYFPATEYARNLNVNFKLDVLCYASEDFTIAAALSKLVIPGLIDQLNSIKKAVIPELLSQQPQLCPYHFLPPELLHPVTAIYDLRYGETEMKQGEMRRSLHSRLGLPLDRPLLRIANALSFGMKNTKDTHSVKYGSSLLKNVHTEVPTSGVSGGIISLIDGSYEYYHYLLYGFDDNGWGCAYRSLQTIISWYRLQQYASIGVPSHREIQQALVDMGDKEPSFVGSREWIGAIELSYVLDKLLGVSCKIINVRSGEELPEKCRELSIHFQTQGTPVMIGGGVLAYTLLGVDYNQASGDCAFLILDPHYTGNDDLKKIVNGGWCGWKKAIDSKGRNFFLKDKFYNLLLPQRPNMV >Ma05_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3415298:3417196:1 gene:Ma05_g04470 transcript:Ma05_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSAVDPLREFAKDSVRLVKRCHKPDRKEFTKVAIRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Ma05_p04470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3415298:3417499:1 gene:Ma05_g04470 transcript:Ma05_t04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSAVDPLREFAKDSVRLVKRCHKPDRKEFTKVAIRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Ma09_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5686241:5687143:1 gene:Ma09_g08570 transcript:Ma09_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAFFPLCIALVVTLSPLCGATSPYYTITPPTPVAKPPSVEPPPYHGPPTTHPKPPSHGGQPPSHHHPTPIYGAPPPQHHHHHQHHHQPAPPTHAEHPPYYHMPSPPPHGQHPSPPSHDYPVPPAHKPRTPPPVYKSPPPTHRPYPPSTPPHHPTHPPSQPTPSYKAPPPYKTIPEHSPPPRHYHSPSSPPPPPPYK >Ma06_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6082116:6085207:-1 gene:Ma06_g08620 transcript:Ma06_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVHNRILCLIHVLRRRLDRNQLTGAVPSNLNNLTKLAELQLSNNQLSGPLPNLTGMDALAYVDLSNNSFDVSDVPPWFSTLPSLTTLMLEFLKVSGQIPASLFGFPQMQKARLRSNLINGTLDLGSQYSKQLTLVDVQDNRVQELYYGGYSNELQLEGNPYCDQQGSVSKYCDVAQQSNPAATYSTPMQNCGASVCTSDQEMSPNCICSYPYEGTIVFRFLTFSNTENYTYYQTLERYLSGSFQDNQVPVGSLSLQNPKINLYNYFEVDMWIFPSSKVHFDEPEVVLLANLFSNTTFKAPPGFGPYYFIAKPYPSFAAESGSKSKNAGLIAGITVGGLLLASVLAGLIIFAIRRRRRKVKKATEQSLPSGSWYPSQGGSGVPQLKGSRLFSFEELTKCTNNFSEENVLGTGSYGKVYRGALDDGQLIAVKRAQQGSLQGEHEFKTEIEMLSRVHHRNLVGLVGFCFDHNEQILVYEYIPNGSLRDSLSGKSGVHLDWKKRLRIALDAARGLTYLHELANPRIIHRDVKSNNILLDHHLNAKVSDFGLCKPLNDDMKGYITTQVKGTMGYLDPEYYMTQHLTEKSDVYSFGVLLLELVTARKPIERGRFIVREVRNAINKSPDLHDLRELLDPAVCINSTLPGLDRFVELAMKCVEDSSADRPWMSEVAKEIENIMVLAGMNPYIASESNSASFGGERRSHPYQSDVSFDYSGGPYSPKVEPK >Ma08_p25850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38561512:38566365:-1 gene:Ma08_g25850 transcript:Ma08_t25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFS [Source:Projected from Arabidopsis thaliana (AT1G08490) UniProtKB/TrEMBL;Acc:A0A178WGK3] MATRVAHQPLNPSHRIDYRTTEQTLLPSYRNQRAGSASWQPCFAASLLRPLRVSSPHSLGPPNPDRFSSPSHPSCTPLLALIAPPTPSPPPPPPPPLLRSLSHPMHANPPPRSGRSPDPIYPSSIRYPWSMNSTWFTWTMLRHLRSLRLFLNEYYESYNSNVHRGVHYLRSVQRQHMRMRKARTKVTNFVNAMDCKEIVFTRNATETINLVAYSWGLSILRPGDEVFLTVAEHHSAIVPWQIVVKKTGADLKYVGLTKEEVRDLYQFKGLLSKNTKLVVAHHISNILGSVLPIDEIMVWSRNVGAKVLVDACQSAPHMVVDVQKLDVDFLVASSHKMCGPTGVGFLYGKIKLLSSMPPFLGGGEMISDVFQDYSTYAEPPSRFEAGTQAIGEAVCLGAAIDYLSSIGMQRIHYYEHFLLLYLLRKLIGTNSFSCKNLLVVKECCSFHRCI >Ma06_p31230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32411224:32414201:1 gene:Ma06_g31230 transcript:Ma06_t31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSRAQYTLASGLGALAHAGDHCGLLSLFFHSSRAQPVRPDRPIYLALLKAAAAVSSRSTALSLHAHIAKSGFQRDVVVATALVHAFSRCSDSATARRLFDEMLERDAAAWNAMLSGCARNGDAQQALSMACEMASCGVRPNTVTLSVLLQVCGGVEDKRLGQSVHAYAVRHLQLVDTFLGNSLVVYYNRAGDSHISERIFERMLRRDIVSWNAMITGRAQCGFRWRALELFNLMREEHHPDLFSLETVLQVCAQIGEDAIDDGQATHGLLVKLGFQMEVYEQNSLLLFYCKCGMMESAQSIFDKMAARNIVSWNILINGYVQMRYLDKVLNLVRCMSFSELGVSSDLLVSSLQAVSLLGGGRKHIMCIHCIVMVMGFHSDTYVSSSLISAYGDNGEIDLAHKSFEHLVSKTRNDTVCWNALLSVYVRNMCFLEALEHLRSMHVNACSLDAVTIVNMLSLCTGTLNLRSGKVIHGFMLRNKHDHNVFAITALLEHYAKCGAVTEACYLFLEIPVRNRVTWNTMVHCCVHNGFPRTSVKLFYLMQEQDGFMPDATSVVGVIKAIAQRGYEEEKNYIHKYVTERGFTDDEFVANSLISMHARFHDFDKAISVFERTSKLSTVTWNTMISGYSNYGLANKAMPVYHLMKLQNVAPDLVTFLCLLRACTTLCSLNCLMQIHTVICKAGYESDMFVGTSLVYGYAKCGDLSMARLIFDGLECKSTVSWNSMIQGYGVHGNAEAVHELFSEMQQSGKVPTVVTFLNIISACSHVGDVEKGKHYYDLMTRVHSVIPNRELLSSLADLLGRSGRLKDAHEVLEKGPLDPGLDAWGALLGACRIQGNLEIGMIAANNVLELDPIHHGYNLLLSNMHAEAGRWIVASKIRKRVDKMGLNKASGWSMVEGFL >Ma11_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23906353:23906849:1 gene:Ma11_g18860 transcript:Ma11_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKPTVRHVAELGTLPTLRIALAALLVTAISIGSEPLDLQALGHIRYDALRRDTVPCSRRGASYYNFRPVAEANPYSDGFSAITRCHGG >Ma04_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11197861:11199501:1 gene:Ma04_g14750 transcript:Ma04_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSPQSFLLQHPLHPSPKTPSFLPHKQPLRPKPSTVSCTAVRLPPVSISVANDRIFNFAAGPATLPESVILKAQAELYNYRGSGMSIMEMSHRGKEFDAVIKKAESDLRRLLAIPDDDYAVLFLQGGATTQFAAVPLNLCAPGDAVDYVVTGSWGDKAFKEAQKFCKANLIWSGKSDKYTKIPSFEGLEQNPNAKYLHICANETIHGVEFKNYPTPSNKDAVLVADMSSNFCSKPVDVSKFGVIYAGAQKNVGPSGVTIVIVRKDLIGNAQPITPVMLDYKIHADSASLYNTPPCFAIYICGLVFEDLLEQGGLVEVEKNNTKKAGILYDAIDGSDGFYVCPVEKSVRSLMNVPFTLQKSDLEKKFIEEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVGKLVAFMKDFQARHP >Ma02_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18589969:18591496:1 gene:Ma02_g08150 transcript:Ma02_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSMTPQKRAQPDSQSQPPALPENERILYELIKSRKNMGIWTADMKKETGLQNIVVTKALKSLQNKNLIKDVVNVHNKARKIFMAVEFEPSKEVSGGSWYSEGSLDTEFINILRKMCLKHIEELKVATIEDIWKSISASGVLKVACTMQQVLEIVRALALDKEIEELKSTGVGEFSTVQTGKVCYRSLRGREAPRVGHLSSIPCGVCPRISECTPDGVISPKTCVYYNKWLKLEF >Ma02_p08150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18589977:18591496:1 gene:Ma02_g08150 transcript:Ma02_t08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSMTPQKRAQPDSQSQPPALPENERILYELIKSRKNMGIWTADMKKETGLQNIVVTKALKSLQNKNLIKDVVNVHNKARKIFMAVEFEPSKEVSGGSWYSEGSLDTEFINILRKMCLKHIEELKVATIEDIWKSISASGVLKVACTMQQVLEIVRALALDKEIEELKSTGVGEFSTVQTGKVCYRSLRGREAPRVGHLSSIPCGVCPRISECTPDGVISPKTCVYYNKWLKLEF >Ma07_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2726905:2728842:-1 gene:Ma07_g03530 transcript:Ma07_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVARFLGCRPSFLDEEEQPTTKDEASKRSRRKQKYAYIPDNYTSIEQVTDALRDAGLESSNLILGIDFTGSNEWTGKRSFHDLSLHNISNHRLNPYQQAISIIGKVLASFDEDDLIPCFGFGDSTTKDQGVFSFRSDHSPCHGFDEVLRCYREIVPHLKLSGPTSFAPIVETAVDIAESSGGQYHVLVIIADGQVSRDPNKEPETIESIVRASAYPLSIVLVGVGDGPWDDMRKFDDRIPARDFDNFQFVNFTDIMGSNATAAEKEAAFALAALMEIPIQYKATVELGIVGRETGKPKRRVPLPPPLPPS >Ma02_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18700914:18701377:-1 gene:Ma02_g08320 transcript:Ma02_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSILFLFLTALLLRRAPTAVSVTCNPSELSSCAGAILTSAPPTAACCAKLKEQRPCLCEYRKNPNLKGYINSDNSKKVSKSCGVPIPSC >Ma07_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1114554:1119554:1 gene:Ma07_g01420 transcript:Ma07_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSRKKEKGPEVKDLGDKPKLKGFRSFGSNDMFFRADKIDLKSLDIELEKQINKAWSKENGGAKGPKEEWEIDLSKLEIRYVIAQGTYGIVYRGTYDGQDIAVKVLDWGEDGAATDAEIASLRASFKQEVAVWHKLDHPNVTKFVGASMGTTDLKIPQKNSTSSGQTSLPTQACCVVVEYLPRGTLKQYLIKNRRKKLAYKVVVQLALDLSRGLSYLHSQKIVHRDVKTENMLLDANSNLKIADFGVARVEAQNPRDMTGATGTLGYMAPEVLNGKPYNRKCDVYSFGICLWEIYCCDMPYANLSFAEVSSSVVQKNLRPEIPRCCPSAMASIMRKCWDANPDKRPDMDEVVRHLEALNTSKGGGMIPEDKAHGCFCFTTKRGP >Ma04_p24490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26481228:26485097:-1 gene:Ma04_g24490 transcript:Ma04_t24490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLSRFWFMLFPASEYKIVVVGLDNAGKTTTLYKLHLGEVVNTSPTIGSNVEEVVYKNIRFEVWDLGGQERLRTSWATYYRGSHALIAVIDSTDRARINIMKDELFRLLQHGDLEHTVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQACCAITGEGLYDGLGWIAERVAGKPTT >Ma04_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26481228:26485091:-1 gene:Ma04_g24490 transcript:Ma04_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLSRFWFMLFPASEYKIVVVGLDNAGKTTTLYKLHLGEVVNTSPTIGSNVEEVVYKNIRFEVWDLGGQERLRTSWATYYRGSHALIAVIDSTDRARINIMKDELFRLLQHGDLEHTVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQACCAITGEGLYDGLGWIAERVAGKPTT >Ma04_p24490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26481228:26485096:-1 gene:Ma04_g24490 transcript:Ma04_t24490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLSRFWFMLFPASEYKIVVVGLDNAGKTTTLYKLHLGEVVNTSPTIGSNVEEVVYKNIRFEVWDLGGQERLRTSWATYYRGSHALIAVIDSTDRARINIMKDELFRLLQHGDLEHTVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQACCAITGEGLYDGLGWIAERVAGKPTT >Ma08_p32680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43312617:43314957:1 gene:Ma08_g32680 transcript:Ma08_t32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFPVAFVVASILFFLSASLSISVASEEATVYIAYLECPEGVKPEAFSIRTLAVVLGSEERAKDAVIHHYTHAARGFAAKLTAQQVEELRKQPGVLLVLPDIIYHLHRTDVHV >Ma02_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21914691:21915457:1 gene:Ma02_g13560 transcript:Ma02_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAAAAAHATQVLPK >Ma09_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11323262:11325379:-1 gene:Ma09_g15970 transcript:Ma09_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTRILLLLVFISSTFCCTPAYGRARLHMKRLRYSIALPPMAEPPSPSFIRRLPALAPEPAIRGNSGLSSTNSTSGQPPRPPPPEPIDGNHGWNSTNSTAVLDVRALGAIGDGVSDDTEALKSAWEAACQGGPGLILLPQGYTFKIQSTIFAGPCRSELTFQVDGTITPPDGPDEWPQSISRRQWLVFYRVNGMKLQGGGLIDGKGEKWWNLPCKPHKGPNGTTLPGTCDSPVALRFFMSSNLTVRELRIQNSPQFHFRFDNCRNVTIDTICISSPALSPNTDGIHVENTELVGIYNSVISNGDDCVSIGAGSVGISIVNVTCGPSHGISIGSLGKQNTRACVANITVRNAVIKHSDNGVRIKTWQGGSGSVSSISFENIRMDTVRNPIIIDQFYCLSKSCLNQTSAVYVSDVSYTGIRGTYDVRSPPIHLGCSDSVPCTNITLSDVELLPAQGDFISDPFCWNAYGATETLTIPPVSCLSEGLPQSIIDIDSDKCYRGS >Ma06_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2509327:2511240:-1 gene:Ma06_g03420 transcript:Ma06_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVNKLAPELVAAAEPTPSGHLPLSCMDRTAVVRILVDLMLVFKQGVQPAKAFRAALSRALVSYYPVAGRIVEPIPGEPEVACTGDGVWFVDASVDCSLKDVNNLERPLLLPKKELIPFAPPDVNEEDLIFMMQVTEFTCGGFAVGIRFSHTVFDGLGAAQFLQAVAEIARGHARLVVDPVWCRDAIPSPPKLSRGHAPSLASFRFETSVFDVSSDHISAVKNQFWRETGQKCSTFDVVTAMIWQSRTRAIGLDPHADVHLGFAANTRHLLRQVLPQEGFYGNCVYPMGIQTTAGTIAGSSPVEVIELIRDAKERMSAKFLDWMMGDAEDDPYKVPLEYGTLVVSDWSRVGFSEVNYGWGEPIHVAPLNDDSNFIASCIYLQPSMPKQGVRLLTRCVQKEHLPAFTEETMKFVHN >Ma06_p03420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2500502:2511240:-1 gene:Ma06_g03420 transcript:Ma06_t03420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVNKLAPELVAAAEPTPSGHLPLSCMDRTAVVRILVDLMLVFKQGVQPAKAFRAALSRALVSYYPVAGRIVEPIPGEPEVACTGDGVWFVDASVDCSLKDVNNLERPLLLPKKELIPFAPPDVNEEDLIFMMQVTEFTCGGFAMDIRFNHTVFDGLGAAQFLKAVAEIARGHARPLVEPVWCREAIPRPPKLSQRHAPAPAVVYFESSVFDIPSDHIDAVKNQFCREAGQECSTFDVVTAMIWQCRTRAISLDSHADVYLSFTANARHLLRQLLPQEGFYGNCVYPMGIKATAGTIAGSSPVEVIELIRDAKERMSTKFLDWMMGDAEADPDKVPLEYGTLVVSDWSRVGFSDVNYGWGEPTHVAPLNDDHNFVASCIYLQPSKPKQGVRLLTRCVQKEHLPAFTEETMNFVHN >Ma07_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15673151:15692904:1 gene:Ma07_g16890 transcript:Ma07_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.6 [Source:Projected from Arabidopsis thaliana (AT4G16570) UniProtKB/Swiss-Prot;Acc:Q944R7] MSATTACPKTRAFQLRVNPLTGDSEWIVVEEGGDREELEEKTSENLLATTSYLDMLNDSYRNWAFRAAIEKSIKKPCHVVDIGAGTGLLSMMAASTMAKFEEASEAKVSACESYLPMGKLMRKVLWENQMEKKVKVFHKRSDELLVGVDLQSPAEVLVSEILDSELLGEGLIPTLQQAHDMLLAKNPQTVPYRATTYGQLVESPFLWKLHDLFSNEINLSDGIHLTPAGLERVIGIKKQQYAMHCTAMSREIRLLSEPFKIFEFDFWKKPESHGEIEMSIKASGDGNVIAVISWWVLQLDEEGSIFYSTAPRWTNCPDNGMVENCIPGNENWCDHWKQCVWFISGAGLPVSKDKLVLFKAAYDEIKISYHLKCDDQTCCNNFNFGNCLLELSPERVAVYGDKDWRSGFINAMRNTLNVRSAPLCIVADDSVFLTILISSLSKNANTISSFPGIQEKGAQYLRAVADSNGFSMDRVKVIGKRASYLFTDNLIQQKVDFLVGEPFYYGNEGALPWQNLRFWKERTLLDSILSEDAVIMPCKGILKVCAMSLPDLWRSRCSLKKVEGFDHSVVNKTLGACGDLPPMLEGPCLPYYIWQCGEVEELSEILPLVEFNFLEPIHACSGKIKIEFSKLGICHGFALWIDWVLDNKKTLVVSTGPISRYWKQGVKLLSKPLAVNAADSFAGIEAFFDHSSGEISISSFSPS >Ma05_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4550018:4553305:-1 gene:Ma05_g06090 transcript:Ma05_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLAISVMLLHLMFLSSVGLSAASQMETPTAESTNADRLSLRSFKTLLSDPSGALASWDNVSLHFCWWRGVKCRNHGGEPRVTALKLESLQLQGKLSPSLANLTFLRNLSLGNNSLEGSIPQELGSLSHLWTLDLNNNNLGGMIPTSLFQNCSRLQIFNLSHNNMSGTIPRNLSNCLELRLIGLDNNKLEGEIPGDIGSLTKLKAIVIWANRLTGSIPPEIGNLRFLATLILGHNHLNGTIPATLGNLSSLGYLDLSNNRLAGAIPSAIWNLTSLDKLVLVGDSLTGVIPSDIGNLVRLTYLTLFENLLVGTIPPTLGSLNKLEILFLSDNKFEARNAAGWRFLDALTNCSHLRILDISVNQLSGVLPKSVANLSKSLEMLHIGGNQIAGNIPTEIGNFMNLTEIDASSNLLHGIIPTTLGGLPRLQRLNLSRNQFAREIPATIGNLSSLVRLDLSGNNFVGEIPATLGELRRLNLLQLDDNQLHGSIPPSLGNCPLETLNLAANKLTGTTPKEIFYMPSLTKLFNISYNSLTGSLPLEFGNLKNVPTIDVSNNRLSGEIPSTIAECQVLQYLYMQGNLFRGSIPSFGQLKGLRVLDISRNNLSGHIPDFLRSFNMTYLNLSFNDLDGEVPKDGIFGNASAFSVVGNSKLCGGIPELRLPSCTSQKKSSSSVKLIAITSVAGGILFVTFLISLLVARHLIRKSSRLSSVTSYIREQHRKVSYAELLRATNEFSPANLIGMGSFGSVYKGIVDWEDHKDVAVKVLNLQQRGASRSFLAECEALRNVRHRNLVKVLTSCSGVDFRGNDFKALVFEFLPNGSLDKWLHPPERDEQGSIRMLSLLQRLNISIDVASALAYLHHHVPSPIVHCDLKPSNVLLDHDMVARVGDFGLARILNRIMSKSSQRSTNSATLKGSIGYAAPEYGMGNKVSIQGDVYSYGILLLEMFTGRRPTDDGFEAGLNLHQYVEMALPDQVADIMDPNLFLGTGEGKVHPANPSANRPNIRAVECVTSVLRVGIFCSKESPKERMDMEDVIRELHDIRDAYLGLPLQE >Ma11_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18938185:18940315:-1 gene:Ma11_g13780 transcript:Ma11_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRTDPSGGDDADVQILTAGKRRIPVRSKVLAAASPVLESMLDRPQKRGGEGRVIPILGVPHDAVCAFVRLLYSARSCVTPAVEGEEATEVGEHGVHLLVLSHVYQVGWLKRACERALASRLTAEGVVDVLVLARRCDAPWLHLRCLRLIARDYAAVESTEAWRFLQDNDPWLELDILQSLQDAHLRQKRRRRKREEEKVYTELSEAMECLQHICAEGCTEVGPSGRGPPPRDPTHCPNPATCRGLQQLIHHLAACDRKKKPPQQQQQQHGCPRCKRLWQLLRLHSAICVHPDPCKVPLCSQFKQKMEQTKRKAEEEEDEKWKLLVKKVASARVMSHLAKRKRQEGIQNQEAWLKQKLID >Ma05_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6945591:6947164:1 gene:Ma05_g09590 transcript:Ma05_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRAKVFAASAVVSLLVLLVVLWICLGPSTTSYIVSGLVFAAILAILAWVLTLYTALDRMQMSAARWSALEREELRVEYSFLRKVAGLPIKFRLDDLVVATDNFQALVGRGSSASVFRGILDDGTPVAVKRIEAAERGDREFRSEVSAIASIQHVNLVRLLGYCIVPAGPKFLVYEFVANGSLDNWIFPSARKDHDGRRRCLPWAMRYRAAVDVAKALSYLHHDCRARVLHLDVKPENILLDEGFRAVVADFGLSKLMGKDESRVVTTIRGTRGYLAPEWIIGSGVSDKSDIYSYGMVLLEMVGGRRSVQLVDGDVASRRKWSYFPQIVSEKVRQGRMMEVVDERLKSVEGPPDEEEVRTLVHVALWCIQEKAETRPSMARVVDMLEGRIAVDDDPPKPDMIISSLLSPEPDVFDEAGAKDTHAAAAGMLELDTQSATTYSLDVSSILSAR >Ma07_p27060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33588485:33592546:-1 gene:Ma07_g27060 transcript:Ma07_t27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVAMASLPLGRALTPWSADRRLRFRSSGIAFASLEQKTYAQGIKISEGNGGLPKVSLLSPHGSEAEIYLFGGCITSWKVSNGKDLLFVRPDAVFNGKKPISGGIPHCFPQFGPGPIQQHGFARNMSWSIADSDNVEGDPIITLELRDDPYSRSMWDFSFQTLYKVKLHSNSLSTVLTVTNTDKKPFSFTTALHTYFRASIAGVSVKGLKGCKTLNKDPDPSNPLEGKEGREVITFPGFVDCIYLDAPKEIFLDNGIGDVINIQNSNWSDAVLWNPYMQMESCFKDFVCVENAKIGQVHLEPAQSWTAEQKIAIS >Ma08_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37172964:37176185:1 gene:Ma08_g23810 transcript:Ma08_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGADFYHVMTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYEMNMRFIAADTLQKLMVLAMLAAWNRLSRRGSLEWTITIFSLSTLPNTLVMGIPLLKGMYGSYSGSLMVQIVVLQCIIWYTLMLFLFEYRAARILITEQFPDTAGAIASITVDSDVTSLDGHGDMLETEAEVKEDGKLHVTVRRSNASRSDLYSRRSMGFSATTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADVYGTKGATTPRPSNYEEEHGVANATATATAGNAVAKSKISYQLPATAGTPHYPAPNPSVFTPAAPTAPKGTAAEVATGSKKANGQAPVFKAEDGGTKDLHMFVWSSSASPVSDVFVGSNNHHHEYGFPSVVPSALKEVRVAVSPGRMEGRKENPADCLERDDFSFGNKGDGCGHDAVDDKVQGPAKAGLTRVTTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGVVWSLISFKWQVNMPDIVSGSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGVLLRIAIVQASLPQGIVPFVFAKEYNLHPDILSTAVIFGMLIALPITLVYYILLGL >Ma05_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32172172:32172746:-1 gene:Ma05_g20500 transcript:Ma05_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCDVSCGLLLILFLALSSLSSATETMEKACNLTIDYHFCMKSLKADPRSRSADLRGLGAIAIDLSIAHANATTSKLETLHANASNPYTKNKLEACLILYRNALPPLQLAAEFLASKHFGVAKAMMEAPVFAPGSCEGLLGHVLATENDSVFNLMLMARRFAEALA >Ma04_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20887851:20889334:1 gene:Ma04_g18740 transcript:Ma04_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKRGSWTPEEDQILVAYIRQHGHGNWRALPKQAGLLRCGKSCRLRWVNYLRPDIKRGNFTKEEEETIIRLHEDYGNRWSAIATKLPGRTDNEIKNVWHTHLKKLVSPSMPSTPVKKKTKKKSSEPITTMPPDTGSENTGCRFMSVSPEQSPCDFSSCATDSSTESGEISDGGKEGSFCSEKFSEIDESFWLEEWSMDDRAASVSFTGLAIPPSPSIGEQLDLLGASDSGDKDFWLRVFMGAGDLQELSQI >Ma02_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28997251:28998355:-1 gene:Ma02_g24320 transcript:Ma02_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIRDPEYIFDQSLNEQLEFNPPHLLLPNSSCFPSSTPTIFCPDAFRDLFLASSNIGIANAAPTSTRPSLPLGDSATSVERQEGDDSCSRKRALSKCRHSKIVTANGPRDRRMRLSIDVARSFFRLQDTLGFDKASKTVQWLLTVSKAAIEELGTLSSAEHSGCSNRSPKSESSALVCQDSSAISSSKNKSSTVTAAAREVNKSKAGKGGVKPTRKVEYHSALARESRAKARARAKERTREKQRMTSLDIIKEETSLNNMNSLMEFANIEEDESCAPNWCLNVASDTAAAYEVPMIGGPVLIPVFENSQYTTAINHAGGIFEEQWDVDALSLYSTSLVASDSYPNL >Ma04_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10171809:10172989:-1 gene:Ma04_g13420 transcript:Ma04_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRSPSGLDLTIGVPGSSSSSSSSSPVWSAGGGGGSMRDLDINQPAYGGEEDYPMGSVDEEEEEGGTPRPKKLRLSKEQSRLLEESFTQHHTLNPKQKEALAMKLELRPRQVEVWFQNRRARTKLKHTEMECEFLKRCFGSLTQENRRLQREVEELRALRVAPPTVLSPHTRQPLPASSLTMCPRCERVTAAPHLAPSSAGRHPFRRPATLPFAGIADG >Ma02_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26282253:26283038:-1 gene:Ma02_g20230 transcript:Ma02_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVNLAWIANDSTRRATFKKRKKGLMKKVSELATLCNVKACMIIYGPQEQQPEAWPSAQEAARLLERFKSMPEMEKCRKMMDQEGFLRQRAAKLQEQLRRQERDNRELEVSLLMHQAVAGRSLYDVNIEDATSLAWMVDAKLKSVQDRINQQTTQLALRSAAESSATSQVTAKDPIEMAMDSLQRQNWLLDAMHPSENVIFGGGGGEEIMPISCVEHNSSWLDLYFPFN >Ma11_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26464305:26467543:1 gene:Ma11_g22880 transcript:Ma11_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAIEASLIFFMIAAIRCVHCTFTPADNYLIDCGSLTNTTIGSRVFVADVSLSSTLTTSSNNLANASLSSIPSSYRAALFQTARVFTAPSSYSFQIKAHGRHFIRLYFFPFVHRSYNLAAATFSVSTQDVALLDDFQPKANATAVKEFSLNITSDTLILTFAPTGSSPLAFVNAIEVVSVPDDLIGDAAKTVQPQGTYRGLSGQPLETMYRINMGGPQILPNNDTLWRTWETDGKFLLASGLSQQVIFSGRINHVLGGATEETAPDAVYASAAELSDAAQNTSNSLFNVTWQFDVDAKSSYLIRFHFCDIVSKAAGDLLFNVYINTWLAANDLDLATITFHSLATAVFMDFVVEADVGSDKLSVGISPSTLNGDVQNAILNGLEIMKINGSAGSAVVVTPPGSKKHFGVILGSILGAIAAVAIAAIVVCWVLRRRKLAKKYSKTWAPFSINGFTSHSAVSGTSNGTVFTIGQNGSLGYRFSFAVLHEATNNFDEDWVIGVGGFGKVYRGALRDETRVAVKRGNPTSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDEKNEMILVYEYMEKGTLKSHLYGSNLPPLSWKQRLEICIGSARGLHYLHTGQVKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELEQIVDARVAGSIKPESLRKYGETIEKCLADSGVDRPSMGDVLWNLEYVLHLQEADADASQVDSINGIAELSPRLQNMDALESTPAREAGTSPLNDLTEVSMSKVFSQLIKSEGR >Ma11_p22880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26464305:26467543:1 gene:Ma11_g22880 transcript:Ma11_t22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAIEASLIFFMIAAIRCVHCTFTPADNYLIDCGSLTNTTIGSRVFVADVSLSSTLTTSSNNLANASLSSIPSSYRAALFQTARVFTAPSSYSFQIKAHGRHFIRLYFFPFVHRSYNLAAATFSVSTQDVALLDDFQPKANATAVKEFSLNITSDTLILTFAPTGSSPLAFVNAIEVVSVPDDLIGDAAKTVQPQGTYRGLSGQPLETMYRINMGGPQILPNNDTLWRTWETDGKFLLASGLSQQVIFSGRINHVLGGATEETAPDAVYASAAELSDAAQNTSNSLFNVTWQFDVDAKSSYLIRFHFCDIVSKAAGDLLFNVYINTWLAANDLDLATITFHSLATAVFMDFVVEADVGSDKLSVGISPSTLNGDVQNAILNGLEIMKINGSAGSAVVVTPPGSKKHFGVILGSILGAIAAVAIAAIVVCWVLRRRKLAKKYSKTWAPFSINGFTSHSAVSGTSNGTVFTIGQNGSLGYRFSFAVLHEATNNFDEDWVIGVGGFGKVYRGALRDETRVAVKRGNPTSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDEKNEMILVYEYMEKGTLKSHLYGSNLPPLSWKQRLEICIGSARGLHYLHTGQVKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELEQIVDARVAGSIKPESLRKYGETIEKCLADSGVDRPSMGDVLWNLEYVLHLQEADADASQVDSINGIAELSPRLQNMDALESTPAREAGTSPLNDLTEVSMSKVFSQLIKSEGR >Ma03_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8409213:8410492:1 gene:Ma03_g11120 transcript:Ma03_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRLDVDDFFGIGDSFAGLSVLGRPGSLRSGFFGRRSTFDDAFFSRSFGDGPSFVPGGSRFAHQVSPFRERSDPGFLDQPPPASKSTRPIIEELSSDGEDQGQNADKVKGKSVRRPSRSSKQKQPYVQDPDEAAEGNKSRHNHLRNDFSRSKTMLPQGGSYAFRSLSVTYGGPNGAYYASSTVRRTGGDGVIAEESKEADATTGKAIHRISRGIGHKGYTVTRKLSLDGRVDMLQTFHNLDEDELPGFEEAWQESAQHNLPRWNSGHGRLNASMYMLMDYF >Ma05_p27480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38770197:38771662:1 gene:Ma05_g27480 transcript:Ma05_t27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRDVLKVIEAMTPLYVALGLGYGSVRWWHVFTREQCEAINRLVVYFAIPFFTFDFTSHINPFTMNYRVIAADAISKLLTVAVLAAWTWCSSSDKSSYSWAITIFSLSQLSNILVVGAPLLDAMYGRWAQDIIVQLSVVQLIAWMALLLFALEMRKARGAASFAPATVVAGAGGQMVAPEPQQAMDVERNADVAARPTLGSLMKTVWLKLVINPNIYASVLGVIWALIANRWHFEMPRIIEGSVLVMSKTGTGMSMFSMGLFMALQDKIVACGPKLSAFGMVLKFIAGPAATAISAVSVGLRGDLLRVAIIQAALPQSISSFIFAREYGLHPGVLSTAVIFGTLVSLPVLIAYYEVLGLLS >Ma06_p27870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29744721:29747811:1 gene:Ma06_g27870 transcript:Ma06_t27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIYIVYLGEHKGLKSPQEILEDHHSLLFSVKNSEEEASGAILYSYKHSINGFAALLTEEEATKLSQMDEVVSAFPSEGRSSPHTTRSWKFITQEEGSKGSEKNLIASKAKCGKNVIVGMLDSGIWPESQSFSDRGIRHFPKRWKGICQEGDAFNSSHCNNKIIGARYYVKSYEYYYGPLNRTYAYRSPRDNDGHGTHTASTVAGRVVRNVSALGGFAWGTATGGAPLARLAIYKVCWPIPGPNPNIENTCFDADMLAAFDDAIADGVHVISMSIGATGEPPEYSQDSMAIGALHAAKRDIVVVCSAGNDGPGPATVTNLAPWTITVGASSIDRAFDSLVLLGNGVTIKGQTVTPYVLNSSDFYPLVYARDAVLPGTPSNISGQCLPNSLDGDKVRGKVVLCLRGSGSRVAKGLEVKRAGGAAIILGNAVANGNEIPVDAHVLPGTAVSSDDAIAILKYIDATRRPRAKVGSARTVLSVTPAPAMAAFSSRGPNRVEPNILKPDITAPGLNILAAWSESSSPTKLEDDHRRVKYDLSSGTSMSCPHVSAVAALIKSLHPDWSSAAIRSAMMTTATVENARGEALTDASGRVAGPMDYGSGHIRPTHASDPGLVYDAGYEDYLLFACSSIGAQMDPSFPCPETPPPTSDLNYPSIAVSNLNGSVTVRRTVTNVGRGSARYRVTATEPTGVSVHISPQKLRFKRSGERRRFSVTLKVRGRRDKPSGDYVAGSYTWSDGTHVVRSPIVVSLA >Ma11_p05560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4261522:4262494:1 gene:Ma11_g05560 transcript:Ma11_t05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVEDDADSFAKRAEMYYKKRPQLVSMIEDFRRAHRSLAVRCDQLRSGGAACRVTAALGASRLDRSWARSISSDKGEVESRSSASDSDDDDDDSEESEVDDPEQGGERRGRETPDADLVKLMGEVERLKEENAALKSEIAGKDEEKRDVIRQLALSLQILKEEHAGLRMCIKDGKTKGPISEFKKLTRKLFGRQ >Ma11_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4261073:4262494:1 gene:Ma11_g05560 transcript:Ma11_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQLAQSWWFGSLKNSRQSPWLISTLAELDEKTKQMLRLVEDDADSFAKRAEMYYKKRPQLVSMIEDFRRAHRSLAVRCDQLRSGGAACRVTAALGASRLDRSWARSISSDKGEVESRSSASDSDDDDDDSEESEVDDPEQGGERRGRETPDADLVKLMGEVERLKEENAALKSEIAGKDEEKRDVIRQLALSLQILKEEHAGLRMCIKDGKTKGPISEFKKLTRKLFGRQ >Ma04_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10536495:10539663:1 gene:Ma04_g13890 transcript:Ma04_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKVVVLAIQSTCAYFVEDWSLFHAGRSMCGGPERIKPSSSSSPAPTSRGEHQQHGPVLGMNHLTVEIADSSSLLELAANNDAEAFGRSLDRDPLAVDDVGLWYGRRKGSNRMVLEHRTPLMVAATYGSLDVLKFILSLPSVEVNRASAPDNTTALHCAASGGSFDAVDAVKALLAAGADPTLVDVNGDRPADVIVVPPKLPDVKSALEQLLGRRSNASGGGADHHHLPLGVMTDSTSSNSPPRSLSPDEDGTQSSNSTSSPPTAKDPDLPPVRVSEKKEYPVDPSLPDIKNSIYTTDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGSCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCSRRVCFFAHTNEELRPLYVSTGSAVPSPRATSAAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSANGIGHSSLGWPQPNMPTLNLPASNLQASRLRSSLSVRDIPPDDLSAISEFDTQQLLNDLCYSRLSSSAGNCTIRTNTLNPSNLDDLFSAEVAISPRYNSDQGAVFSPSHKAAILNQFQQQQSLLSPINTSVFSPKAVDSQQLPAHSSLLQASLNTSSPGVMSPRSMEPVSPVSSRLAVLSQRERQQQTLRSLSSRDLGPISSPVVGSPVNSSWSKWASPSGTPDWTVNGEELGRLRRSSSFELRGNGDEPDLSWVHSLVRESPPEKVISAAMASAGPSSLSAVGGENLNSNGQMNGHDQPALLGAWLEHQEILDGKHSVIFNSNI >Ma11_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5559337:5561958:1 gene:Ma11_g06950 transcript:Ma11_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFMKSVTSQVVDAMRQTVTNVIGTLPHQFFAMTVTTGSENLAQLMYSVMMTGYMFGNAQYRLELQQSLEHIALPDPEEKMYVPDFALGTQKKVAGEVIRWNKITGPEKMDAVKYIEYLEAEIEELNHQVARKSLNGHNELLEYLKTLEP >Ma02_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27544827:27550229:1 gene:Ma02_g22110 transcript:Ma02_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCDDDFSLLGDEARSQPQPQPGPFSAAQRYLPSKPAPISLQPRPHHHLAAAVAAIDNPGKNGVVVDGGSDDHDGYGEAFGQTNTSKCPTAPGFHDQHCFADDDNPFAPQNPAADDEGGDDDGDPDNYPERKGAIVTASQHQQPHHRLPKRKDRDDLSDSESPYCYNSSGAANKKSRPMSSSGDYRKDREEWSDTAISSLLDAYTEKYVQLNRGNLRGRDWEDVATIVSERCNKQKVGKSVEQCKNKIDNLKKRYKVECQRLSSGGLPASHWPWFKKMEQLVGSSSSSSKAGPDDDKSITLGGSAAVMRQIKRYPLAASGPVIVNTNSKMKALSNPRWKRVILKISGVALAGAGPQNVDHKVVMLIAKEIAIANRAGVEVAIVVGGQNFFCGDTWIAATGIDRATTYQIGMMASLMNAIMLQALLENLGVEARIQSTLLTQEIAEPYIRRRAIRHLEKGRVVIFGGAGGGTGNQLFSSDIAAALRASEIHADAVLKGTTADGFYGCHSSNSNSNAFEHISFRELVSRGFTAINMAALKLCEENNTPVVLFNLLEPGIVSRALCGEPVGTLIDQSGRIS >Ma06_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5117780:5121668:-1 gene:Ma06_g07180 transcript:Ma06_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILLLAPLLHPLDG >Ma09_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12675496:12676167:1 gene:Ma09_g17170 transcript:Ma09_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLLLLVTSWASAAPSQNGGASGVPVCLSKLMPCVSFLHSSEQPTVVCCIPLKFAMANDVDCLCDIFYSQELLQTFNVTRQAVRDLPPRCGLRPIDLDKCTNSSAAPSSPLPPLNVTSPPKGKQFLDSSLC >Ma01_p14040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10277957:10294357:-1 gene:Ma01_g14040 transcript:Ma01_t14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MTDTTDDIAEEICFQAFEDDCRLLDNLLHDVLHREVGPRFMENIERKRVLAQSAVNMRSAGMEGMAELLEKQLATDISNMTLEDALSLARAFSHYLNLMGIAETHHRVRKARTVAHLSKSCDDTFTKLIQSGISPEELYDTFCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEFNDRPDLSLEDKELLIEDLVREITSLWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSTALKKHTGRPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAFDLYIREVDNLRFELSMIRCSDKLARLAHEILLKESESENQHSESWNPSPNRNHAKHHNPHISALPAQLPAGAYLPACTECNDGGSEYPVVEFPGNINRQNGKSSPVRSFLSSSQDSLTKFGETRISTDGSLPSPTSQSSLAGSSKIPRSSSSQLLAQRKLFAESQIGRSSFRKLLEPSLHQRPGITPYRVVLGNVKDKLMKTRRRLELQLEDLPCEHDPAEYYETSDQLLEPLILCYESLQSCGSGILADGRLADLIRRVATFGVVLMKLDLRQESNRHSEALDAVTRFLDLGLYSEWDEEKKLEFLTRELKGKRPLVPPTIEVATDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLSVSGELGRPCPGGTLRVVPLFETVNDLRRAGSVIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEGVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGSLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATMHPPLPPREEKWRHVMEEISKTSCNHYRSTVYDNPEFLGYFQEATPQAELGFLNIGSRPTRRKASTGIGHLRAIPWVFAWTQTRFVLPAWLGVGTGLKNACDKGYQDDLKAMYNEWPFFQSTIDLIEMVIAKADIPITKHYEETLVSESRRALGSELRLELLTTEKCVLVVSGHKKLSSNNRILRRLIEGRLPYLNPLNLLQVEILQRLRCDVENHKLRDALLITINGIAAGMRNTG >Ma01_p14040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10277957:10293984:-1 gene:Ma01_g14040 transcript:Ma01_t14040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MTDTTDDIAEEICFQAFEDDCRLLDNLLHDVLHREVGPRFMENIERKRVLAQSAVNMRSAGMEGMAELLEKQLATDISNMTLEDALSLARAFSHYLNLMGIAETHHRVRKARTVAHLSKSCDDTFTKLIQSGISPEELYDTFCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEFNDRPDLSLEDKELLIEDLVREITSLWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSTALKKHTGRPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAFDLYIREVDNLRFELSMIRCSDKLARLAHEILLKESESENQHSESWNPSPNRNHAKHHNPHISALPAQLPAGAYLPACTECNDGGSEYPVVEFPGNINRQNGKSSPVRSFLSSSQDSLTKFGETRISTDGSLPSPTSQSSLAGSSKIPRSSSSQLLAQRKLFAESQIGRSSFRKLLEPSLHQRPGITPYRVVLGNVKDKLMKTRRRLELQLEDLPCEHDPAEYYETSDQLLEPLILCYESLQSCGSGILADGRLADLIRRVATFGVVLMKLDLRQESNRHSEALDAVTRFLDLGLYSEWDEEKKLEFLTRELKGKRPLVPPTIEVATDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLSVSGELGRPCPGGTLRVVPLFETVNDLRRAGSVIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEGVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGSLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATMHPPLPPREEKWRHVMEEISKTSCNHYRSTVYDNPEFLGYFQEATPQAELGFLNIGSRPTRRKASTGIGHLRAIPWVFAWTQTRFVLPAWLGVGTGLKNACDKGYQDDLKAMYNEWPFFQSTIDLIEMVIAKADIPITKHYEETLVSESRRALGSELRLELLTTEKCVLVVSGHKKLSSNNRILRRLIEGRLPYLNPLNLLQVEILQRLRCDVENHKLRDALLITINGIAAGMRNTG >Ma01_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10277957:10294309:-1 gene:Ma01_g14040 transcript:Ma01_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MTDTTDDIAEEICFQAFEDDCRLLDNLLHDVLHREVGPRFMENIERKRVLAQSAVNMRSAGMEGMAELLEKQLATDISNMTLEDALSLARAFSHYLNLMGIAETHHRVRKARTVAHLSKSCDDTFTKLIQSGISPEELYDTFCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEFNDRPDLSLEDKELLIEDLVREITSLWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSTALKKHTGRPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAFDLYIREVDNLRFELSMIRCSDKLARLAHEILLKESESENQHSESWNPSPNRNHAKHHNPHISALPAQLPAGAYLPACTECNDGGSEYPVVEFPGNINRQNGKSSPVRSFLSSSQDSLTKFGETRISTDGSLPSPTSQSSLAGSSKIPRSSSSQLLAQRKLFAESQIGRSSFRKLLEPSLHQRPGITPYRVVLGNVKDKLMKTRRRLELQLEDLPCEHDPAEYYETSDQLLEPLILCYESLQSCGSGILADGRLADLIRRVATFGVVLMKLDLRQESNRHSEALDAVTRFLDLGLYSEWDEEKKLEFLTRELKGKRPLVPPTIEVATDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLSVSGELGRPCPGGTLRVVPLFETVNDLRRAGSVIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEGVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGSLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATMHPPLPPREEKWRHVMEEISKTSCNHYRSTVYDNPEFLGYFQEATPQAELGFLNIGSRPTRRKASTGIGHLRAIPWVFAWTQTRFVLPAWLGVGTGLKNACDKGYQDDLKAMYNEWPFFQSTIDLIEMVIAKADIPITKHYEETLVSESRRALGSELRLELLTTEKCVLVVSGHKKLSSNNRILRRLIEGRLPYLNPLNLLQVEILQRLRCDVENHKLRDALLITINGIAAGMRNTG >Ma03_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9436899:9437917:1 gene:Ma03_g12200 transcript:Ma03_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFDWMRRKLHPSVKYSQVSRKKDAFGGDDEEKQEVVFEGLMEKEPLLLHDVLDGILTIGTLGHQGNFLSRSYSIQEDHLLQEDEADTEVEEREEGTEVAPAIIESIKVKLPVEAEVKEVTVVVKDVEESPLLEEDKKKRERGRTTLADLFAAENLNEGANANRERKKTAKTKKQEKRTSPCTANAKAKANTTTNTKLQKLMTKMLKKKIHPEIAVMPKSSETQTQETRSHW >Ma05_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3287550:3290302:-1 gene:Ma05_g04310 transcript:Ma05_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEINVRRSTMVRPAEPTPRRQLWNSNLDLVVPRFHTPSVYFYRPDGSADFFDAAAMRDALARALVLFYPMAGRLGRDEDGRIEIDCNGQGVLFVEADTGASVDDFGDFAPTMELKQLIPKVDYTDDISAFPLLVLQVTYFKCGGVSLGVGMQHHVADGFSGLHFINSWSDVARGVGIAVRPFIDRTLLRARDPPTPSYPHVEYQPAPPMKNAPPATTSPPINSVKAAGAAATAVNIFKLTRAQLNLLKSKAAPGGAFSTYALLAAHVWRCVCVARDLPPDQPTKMYIATDGRQRLRPKLPEGYFGNVIFTATPVALAGEVAAAAAGGGPALAAGRIQESLARMDNEYLWSALDYLEMQPDLGALVRGAHTFRCPNIGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSPTGDGSLSVAISLQPDHMQKFQKLIYDI >Ma05_p32030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41712214:41716663:-1 gene:Ma05_g32030 transcript:Ma05_t32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRRMRNLAFCLASLLLFCPLLAKSGSPGLNDDVLGLIVFKADILDPLSKLASWNEDEDDPCGWTGVKCNAKTNRVTELSLVGFSLSGKIGRGLLQLQSIQKLYLSKNNFSGSLNPKLSQLESLRVVDLSENNLSGVIPDEFFGQCRSLRSLSLANNAFTGHIPPSLGSCLTLAALNLSSNRLSGSLPKGLWSLYGLRSLDLSDNSLVGEIPGGISRLYNLRSISLRQNHLSGRLPDDMGSCLLLKYLDFSVNFLTGSLPDSMHRLSMCSHLRLASNLFSGKVPTWIGEMKNLEFLDLSRNWFSGRVPESIGKLQLLKSLDLSRNRLTGGLPESIGACRSLTDLDLSDNSLTGNLPSWVFELKLQRISVFGNKMSGCISVGVSATRSLSYLDLSGNAFSGAIPLVLGNLLSLRQLNLSWNLLSGSIPASILALETVEVLDLSRNLINGSIPLEIGKAVSLKELRLEKNSLTGEIPVQIGNCSSLTTMMLSQNNLTGPLPQTIANLTNLHKVDLSFNRLSGNLPKQLSNLPHLLSFNISHNLFSGDLPAGNFFNAIPPSSLSDNPGLCGSVVNRSCPTVLPKPIVLNPNSSTSSANTSLSSKNTRHKKIIFSISALIAIGAAVVIALGVITITILNMRVRASTDPHSAAALALSDGYYSNSPSTDANSGKLVMFSGSDPNFSTRAHTILNKDCELGRGGFGTVYKTNLRDGRPVVIKKLTVSSLVKSQEDFEREVKKLGKLRHSNLVALEGYYWTQSLQLLIYEFVSGGSLYKHLHECSTSNPFSWQERFDIILGIARSLAYLHRLNIIHYNLKSSNILIDGSGEAKVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACRMVKITEKCDVYGFGVLLLEIITGRRPVEYMEDDVVVLCDVVRVALEEGRVDELVDGRLGGKFPAEEGVPVVKLGLICTSQVPSNRPGMSEVVSILEMIRCPQEHLENEST >Ma10_p03730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14320016:14325484:1 gene:Ma10_g03730 transcript:Ma10_t03730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSM7 [Source:Projected from Arabidopsis thaliana (AT2G03870) UniProtKB/TrEMBL;Acc:A0A178VPR3] MSSRKETVLDLAKFIDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEATEFLRDPDDPLKTTDQTRHLGLIICRGTAVMLVSPTDGTDEIANPFVQPDGA >Ma10_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14320016:14325484:1 gene:Ma10_g03730 transcript:Ma10_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSM7 [Source:Projected from Arabidopsis thaliana (AT2G03870) UniProtKB/TrEMBL;Acc:A0A178VPR3] MSSRKETVLDLAKFIDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEATEFLRDPDDPLKTTDQTRHLGLIICRGTAVMLVSPTDGTDEIANPFVQPDGA >Ma10_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24045021:24052508:-1 gene:Ma10_g09930 transcript:Ma10_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGKSTNIFWQECSVGKLDRQKLLKQKGCVVWITGLSGSGKSTLACTLDQELYSRSKLAYVLDGDNLRHGLNKDLGFSTEDRTENIRRVGEVAKLFADAGLICIASLISPYRKDRDSCRGILPESSFIEVFMNMPLELCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIKQENGVCPSPNAMAGQVVGYLEEKGFLHE >Ma02_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20688425:20690290:1 gene:Ma02_g11590 transcript:Ma02_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPRSMPTAFIFLLCITTAAVGFDVPSLTFSEGFSHLFGNDNLIRSADGRSARLSLNRYSGSGFISSDLYDHGFFSASIKLPSDYTAGVVVAFYTSNGDIHPWTHDELDFEFLGNVREKDWRIQTNVFGNGSNTRGREERYLVPFDPTQEAHRYSILWTPDTIIFYIDDIPIREVVRTDDMGGEFPAKPMSVYATIWDGSAWATSYGRIKIDYKYEPYVAHFSDLALVGCRVDPIQQVDSADRCAEAVEELMSADYAILTPMKRAAMRRFRERYMIYSFCYDQHRYGNVTFPDCDYVSSERTRFGEWGDNKIPPKEVRGSKRRSLKTSAVEDVRPPE >Ma01_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28603114:28603852:-1 gene:Ma01_g23610 transcript:Ma01_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSGEAPDIMALEENMGAYCNILHGFLLFCHGSKVGAGPTLHASISASAKQVVDCSIALLRKAVCYHESHDHVKRLSIPQLAGTVWEACDALKKTATTNCTAVRGAMTQVAVSVKDIL >Ma04_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7970950:7984993:-1 gene:Ma04_g11330 transcript:Ma04_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPINGVNNSSNPSLASRQRLRWTNELHERFEDAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYVPESSADGTRSEKKDDDNQISGPESSSGTQITEALKLQMEVQKRLQEQLEVQRQLQLRIEAQGKYLKKIIDEQQRLSGVLAELPGAGTTAPTPVGHCLDSEKTDPSTPAPASESPIQDKAIGRDNGDTDAIFKSISCDDSLSSHREPLTPDSSCHVRSSSSSPRHESSTKRLRVGAIPGHGKTELVLAHILESSSGSDFQH >Ma04_p11330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7970950:7984836:-1 gene:Ma04_g11330 transcript:Ma04_t11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPINGVNNSSNPSLASRQRLRWTNELHERFEDAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYVPESSADGTRSEKKDDDNQISGPESSSGTQITEALKLQMEVQKRLQEQLEVQRQLQLRIEAQGKYLKKIIDEQQRLSGVLAELPGAGTTAPTPVGHCLDSEKTDPSTPAPASESPIQDKAIGRDNGDTDAIFKSISCDDSLSSHREPLTPDSSCHVRSSSSSPRHESSTKRLRVGAIPGHGKTELVLAHILESSSGSDFQH >Ma04_p11330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7970950:7984993:-1 gene:Ma04_g11330 transcript:Ma04_t11330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPINGVNNSSNPSLASRQRLRWTNELHERFEDAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYVPESSADGTRSEKKDDDNQISGPESSSGTQITEALKLQMEVQKRLQEQLEVQRQLQLRIEAQGKYLKKIIDEQQRLSGVLAELPGAGTTAPTPVGHCLDSEKTDPSTPAPASESPIQDKAIGRDNGDTDAIFKSISCDDSLSSHREPLTPDSSCHVRSSSSSPRHESSTKRLRVGAIPGHGKTELVLAHILESSSGSDFQH >Ma05_p30640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40960796:40961757:1 gene:Ma05_g30640 transcript:Ma05_t30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHDQLHMSAGTRSSPSPTSTATAAAQLAAHVLSGSINNRTRLRLLYLFNGTVSPKPFRHRPPMSLRSDYEQPQTLAAFSPRSVTYCCGSCGYALNLSSSDRDTANIGSKYRKSAKKGIVSFVAVDESRFSQVEELRCWPYFESRHSWGLLRRKTKLSCRKCKNFVGVGHYDGASTQAGSDSSVSGSGNGEAPKKYFIKISALQPLACDD >Ma05_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33471618:33474874:-1 gene:Ma05_g21720 transcript:Ma05_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFALSVMFSVIVMKLNLTTGIIPSLNAAAGLLGFFLVKLWTKALEHVGLLRTPFTRQENAVIQTCVIAAYGLVFSGGFGNYLFGMSSKIASQAAEANDSDNIKDPSLGWMIGYMFIIGFLGLFSLVPLRKILIIDYKLIYPSGTAAAYLINGFHTPQGEKQAKKQVWTLGKSLICSFLWGFFQWFYTAGDACGFKAFPTFGLKAYENTFFFDFSATYVGVGMICPHIVNASFLLGAILSWGIMWPLVSNQRGDWYPADIPSTSLQSLQGYKVFIAVAMILGDGLYNLLKVLRRTTSSFFAAVWRSPESVLPIVDDDDRPAESPPVSPEDQRRAEVFLKEEIPGWIAYGGYVALAVLSIVTLPHVFPPLKWYYILVAYVVAPVLAFCNAYGCGLTDWNMASTYGKLAIFIFGAWAGASHSGVLAGLASCGVVMCTVCTASDLMQDFRTGYLTMSSPRSIFVSQVIGIAMGCVIAPCVFWLFYNAFTDIGVPGSQYPAPFALMYRNMAILGVDGFSSLPKHCLALCYSFFALAIAINLAKDLSPKKVARLIPIPMAMAIPFYIGSSVAVDMCVGSVILYVWAKIDQEAADASGPAVASGLICGDGMWGLPQAVLALAQVRPPMCMQFVHGE >Ma10_p16290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28043493:28044594:-1 gene:Ma10_g16290 transcript:Ma10_t16290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKPVACRQTGALLLDGSTLNYFGKNIPINLVVAVIAEIVLVGGAEYFRIINGLDLEDKLHPGGPFDPLGLANDPDQAALLKVKEIKNGRVAMFAMLGFFLQAYVTGEGPVENLTRHLSDPFGNNLLTVISGAAERAPTL >Ma10_p16290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28043493:28044801:-1 gene:Ma10_g16290 transcript:Ma10_t16290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKPVACRQTGALLLDGSTLNYFGKNIPINLVVAVIAEIVLVGGAEYFRIINGLDLEDKLHPGGPFDPLGLANDPDQAALLKVKEIKNGRVAMFAMLGFFLQAYVTGEGPVENLTRHLSDPFGNNLLTVISGAAERAPTL >Ma10_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28043493:28044594:-1 gene:Ma10_g16290 transcript:Ma10_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAGFIIPEAFNKFGANCGPEAVWSKTGALLLDGSTLNYFGKNIPINLVVAVIAEIVLVGGAEYFRIINGLDLEDKLHPGGPFDPLGLANDPDQAALLKVKEIKNGRVAMFAMLGFFLQAYVTGEGPVENLTRHLSDPFGNNLLTVISGAAERAPTL >Ma02_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27935602:27936367:1 gene:Ma02_g22650 transcript:Ma02_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLYLLFFFFSPFLSCSLASSPSPSPSSLIQSTCSLTSNYDFCVATLQSDPHSLKANDVKSLSTIAVTMASATAKSTSRYATAQAKNATEAAAARSGFRTCAEKYRYAGEALRSALDSLAGENYDYAYVHVSAAQEYASACGRLYQRSSGVAYPDAMAEREEDLKRLCGTAMDIISQLG >Ma04_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4310080:4315003:1 gene:Ma04_g05770 transcript:Ma04_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQESKTTFPPFRVPSLSACDRAARVMDWNANASLLWDWDNHAPFGGNYKLSAAAAAAGGGGASSGSELVNGSSSKSTISASFDSSPKAGKRPGWDADPKNHGKNRTLLEAGSSPAVAQTHGLEESQIGLKLGKRTYFEDVSAESTAKNPLPSLDSAAAPSALVKKARVSYQSAHSTCCQVEGCNIDLSGAKEYHRKHRVCETHSKFPKVIVAGQERRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPRPNIISFNSTTFSPSVYNDKYQMNMLWNKSPFGHMKPVATTTSEASQKFKLAQMRGSWVKSSKESSMYGQLHMPDTQLPNGFTALYHDVDKPLPLKGTAAEVLNQGSEASAGASNLDRAPDLRRALSLLSTSSWGSPDPRGNGQTPFIIMDAKHASSAQPTVPVANPSNHWIYGEPVSQQAQPLLFSMHRNGNQTQEFQLPKAPYRDTFFDPTQIY >Ma05_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3490929:3492781:-1 gene:Ma05_g04590 transcript:Ma05_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPKPTNSGAAGSPADGKKPYDWRQEVIDSGSLRRVDLHTGSNGWASPPGDLFALRGRQYFSHRQKAPSGDWLLNPAGVDWLRSPSRLDNVLGRSDNRVAAALRRARALGRAQKTFLFAVNLQVPGGRECHSAVFYFAAEDTIPPGSLFYRFVHGDDEFRNARFKIVNRIVKGPWIVKTAVGNYAACLLGKALTCNYHRGENYLEIDVDIESSSIAKAILRLALGYVTAVTIDMGFLVEAQAEEELPERLLGAVRVVQMEMGSATYVDTRTKAAESTRGSGFRGLAKVNHHHHQSTHSGCGGNARSREDEGLVDGKQSRRVCPV >Ma07_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8766109:8769715:-1 gene:Ma07_g11800 transcript:Ma07_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSLEEEGNPVGKKRIRGGGCGENVCGSASTKKDKKRRDEGEAVRERDEEGDMRVEAEEEEEEEEEEEEEKGGVEVDEGVIGEERGQSSGDGNVCVRMDPDILDCSICYEPLRPPIFQCQSGHVACSSCCSKLLNKCHLCSQSIGYNRCLILEKVIESIKITCSYAKYGCRKAMSYADKDAHEETCIYAPCSCPVPTCSFCGSREMLSAHFINTHTFFCERFSYNQIFKVEFHKTDPFRALYGKDGHLFLLLNNSVANVGNALSMACFRPRSLKHEFSYELTTDEHNSSSLQLKSSITEWKAVYPMKVFLLVPPHLCTPVGKIVLNVCIRKIKRASIK >Ma09_p27010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38109317:38112236:-1 gene:Ma09_g27010 transcript:Ma09_t27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKSSSRGQAWFCTTGLPTDIVIEVGEMSFHLHKFPLMSKSRKLHQLITEIEQKNGADGEEEEIEEVEEDFHHIPLPDFPGGAEIFEAAAKFCYGVKIEITAWNAAALRCAAEYLEMTEEFAEENLVSRTERFLAQSVLRSPRESVKALKSCEALLPMAEDLGLVQRCVESIATRPASADMSSLFGWPIKEGSSGRAAAAADAKLQSAAAALWNGIDTGIRRKNGLRSTAASAAAAVAVDSWFEDLAALSLPFYKRVISAMRAKDLNADVIEGSLISYAKRSIPGLSRSNRHHNASTAAAASSGPLPSEAEQRELLETVITNLPQSKKKSSSSSSGLVTTRFLFGLLRTANILRASEASRTALERRIASQLEHATLDDLLMPSYSYLVETLYDVDCVERIVGFFLEGLEERPATAAAAEEDADDDGDGEEEAGSSLCTDKNKLLVVGKLVDTYLSEIASDANLKPDKFCNLALSLPDHARMFDDGLYRSVDVYLKAHPRITEEEKERVCGVMDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRRAIAGTLMAAEHEEDEEEEEDEAAEGERREGGGWRGAAAIRENQVLRLDMDSMRSRVQGLERECSRMRKAIERMDRGAVAAAAGGGRGKLARRFGCKFGTQVCVSHHRTVVAPRKS >Ma05_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8070540:8071841:1 gene:Ma05_g11130 transcript:Ma05_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCSKLALFITLLVDLAAPSAATSASLVGVNYGRVADNLPPPESVPRLLASIGVGRVRLYDAEPAVLHAFANTGVELVVGLPDRCLPAVATDQAEALEWTGAHVLAFLPAAKIVAVTVGNEVLTGVNAASLARCLVPAMENLHAALAALGLDRDVAVTSAHSLAILATPSFPPSGATFRPDLLPYVRDILNFHARTGSPLFVNAYPYFAYAEDPSRIALDYALLDPGAAGFTDPGTGLRYTNLLYAQVDAMYHAIASASKGGGVEVRVSETGWPSAGDANETGATSENAAQYNGNLMRLVAQQKGTPLVPGTPLRAYVFALFNENQKAGPSSERNFGLFKPDGTPTYQLSGVPVHQDGNSTAASGAGQGTTAGPSGESGYFSISGASAVSSPDHPFFLMLTNYLEPELQLTRLPPELAAVIALEIVLLRIL >Ma03_p27970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31187371:31192103:-1 gene:Ma03_g27970 transcript:Ma03_t27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGKRKRSCSSGILNLCQREVGFLSPRTFAHRAGASEDLVLRLGIDRKLNKHEGCVNTVSLNADGNILVSGSDDRTVILWDWAVGTVRISFNSGHKNNVFQARFMPYSSDRTIVTSAADGEVRLAQLREGGQVAVKLLAEHDGAVHKVAIEPGSPHVFFSCGEDGLVRHFDLRSKSSTKLFICRSFTSSIAYMSLVNLNAIAIDPRNPNFFAVAGADDYARVYDIRKYKWDGSTNYGYPYDCFCPPHLIDHTEGITGLAYSDTSELLASYINEFIYLFPKDQGLGSNPVAAFLELDSDSDDKSDVDATSLSPIDTNVRPGLRVYKGHRNRNTVKGVSFFGPNCEYVVSGSDCGRIFIWRKKDGELLRAMEGDKYVVNCIESHPYTTMIASSGMENDIKIWVPNTKEPAQTINLDEFLMTNRLDSEFDYDDEYDCDNDDDDDDNDDDHDSDDDDDDVSFGDGDDDIDIDDNDMDYYTHFNNWP >Ma06_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:203823:205258:1 gene:Ma06_g00280 transcript:Ma06_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPASSLFSKTLYFIYRPRPADALLSSSSSDSVAATGSGALQPSFMSEYLVTSCGFSPEKATKASNLLGGIASRRQPDSVLGFLKSHGFDDTHVKELLSGNPRWLLLDVEKTLAAKFRALQELGFSQSDITQLVLTNPSAINYHIRTVVHRIRFWQGLIGSNDLLIKLCNHHQWLLGYSVEKRIQPNIDMLRSCGFTDQKLRTILGYHPTLVTQRAETLRTLISRVEGFGVARTSPRFHLTLWMLSNVSVERFNAQKKLFGAFGWSEADFLDAFKKTPGFLTSSLKNLKMKMEFLVNEAGYAPSYIALRPVLLTYSLEKRVIPRYQLMAALKSRGLCAGHPKLFTYISCSEKKFLERYVIIYKKQFPELIELYSARK >Ma08_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9715075:9715878:1 gene:Ma08_g12720 transcript:Ma08_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQPNISRHLRRRPDLRSVIITGSMHAFLCHCYVVCSCTTPVGASSCAHSTTFNFKNNCPDPVWPASLNDSDKGALSQTGFQLDSGASFSLDAPPAWGGRLWARHKCSTDSSGRFSFLSGDCGTGHVACNGAGGASPTTLVEFTLQGDGGNDFYDVSCVNGFNVPVSVVPSGGSNCDSTSCRTNINARCPTELQMLAPDGSVVGCKSACLAFDTDEYCCRGQYGSPDTCKPTSYSKMFKDACPQAYSYKSSTFTCVGANYDITYCP >Ma06_p25730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26207811:26209826:-1 gene:Ma06_g25730 transcript:Ma06_t25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSDDSGQRGTRINDLPEDILCSIVSQLPLQDRVTTSLVCRAWRRLWLSSSNWRLELQDFRGVSNKKQKFEELVDRVIFFPDRPHIHHLKLVHTDYNRSSQKASLWIESLLNQQILHLDLNIMDPIPLPLSLFRCDTLVELRLCLHCDIISLPPNAVLLPRLKVLSLQKARFIGDSLQSLINGCTILVTLKLRECVVVGPEFLDITIPQTTLQEVILDKCHFLLDTKLHMSTVNLTTFRYKGLNLGDSMHYLNVQTSVEMVQLEPVEFHNVYSYSRRLGFSGTYGQNMSRTLASFCNTKHMILSDWCIEYLTNVPNAMRFPPFMRLESLRLSMWPNGGHVYVTTYLVLFSPLLRFLSLRISKAHREGMADDDRLDYPHPPDLLSTEMIHNNLKKVEIKKYGVLNAELDLIKFLLRNAVSLEKLSIKWCARIGASRRKSLRNTIRSYPLASQNLELYIY >Ma01_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3264709:3267900:1 gene:Ma01_g04760 transcript:Ma01_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERRRRRRRIGERDCSCACTTMSSSYLCPLAFSLLFLLLSCCDEMIGASASNYTTLRLARIQRHLERINKPAAKTIQSPDGDIIDCVPRHKQPALDHPLLSDHKIQRVAPQRPKFKVERAPRNYSASDAPRRAWQAWHHAGHCPRGTVPIRRSSVDDVLRAKSLFHFGKKQMRVPLARKVDAPDVVSGNGHEHAIAYTANNQEVYGAKATMNVWDPSVQAENEFSLSQIWILSGSFDGADLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYRATGCYNLLCAGFIQTNNRIAIGAAISPVSSPGSSQYDITILVWKDPKLGNWWMSFGESMLVGYWPAELFTHLSDRATMVEWGGEVVNMRPNGEHTSTQMGSGRFAGSGFGKASYFRNLEVVDADNSLVSAQAITTLAENTKCYDIRSFSNADWGTYFYFGGPGNNPQCP >Ma02_p04080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15540355:15544835:-1 gene:Ma02_g04080 transcript:Ma02_t04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGGSSSARFHGVDRFYSPPAVRRQIEQQQKQKLQQQQQQQQSPTHRPARPKPRPAQPAAQTLVESRDAADNRAESDDSSSKQSVSSSPSSPSPVPPPPAGNLDRFLEFTTPVVPARYLPKTSVRGWRNCDAATVQSQPYFCLGDLWESFKEWSAYGAGVPLVLNGSDSVVQYYVPYLSAIQLYVDTSSATLRLRDRFPDTSSDSSCGSEVDQVQERITSLGTINHNVQGDFVGDNGDACTPATRPVFEYLERDPPYGREPLADKISVLASKFPDLKTYRSCDLLSLSWMSVAWYPIYRIPMGPTLRDLDACFLTFHFLSSPKNGAGPQSEVHGTHSVRDVKRSVKLTLPVFGLASYKFRGSIWTSNGLHERQISSSLLQAADNWLRLLRVDHPDYSFFVSHYSAFRR >Ma02_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15540355:15544835:-1 gene:Ma02_g04080 transcript:Ma02_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGGSSSARFHGVDRFYSPPAVRRQIEQQQKQKLQQQQQQQQSPTHRPARPKPRPAQPAAQTLVESRDAADNRAESDDSSSKQSVSSSPSSPSPVPPPPAGNLDRFLEFTTPVVPARYLPKTSVRGWRNCDAATVQSQPYFCLGDLWESFKEWSAYGAGVPLVLNGSDSVVQYYVPYLSAIQLYVDTSSATLRLSDRDRFPDTSSDSSCGSEVDQVQERITSLGTINHNVQGDFVGDNGDACTPATRPVFEYLERDPPYGREPLADKISVLASKFPDLKTYRSCDLLSLSWMSVAWYPIYRIPMGPTLRDLDACFLTFHFLSSPKNGAGPQSEVHGTHSVRDVKRSVKLTLPVFGLASYKFRGSIWTSNGLHERQISSSLLQAADNWLRLLRVDHPDYSFFVSHYSAFRR >Ma11_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25935430:25937699:-1 gene:Ma11_g22010 transcript:Ma11_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTVFASAALVLLLLLGGTSAQLSTSFYSSSCPKLFSTVKPVVQSAISKEKRLGASVLRLFFHDCFVLGCDGSVLLDDTPTFTGEKTAKPNNNSIRGFEVIDQIKTAVEKACPGVVSCADILAVAARDSVVILGGPNWDVKLGRRDSRRASISKANKQIPPPTSSLSNLISKFSAKGLSTKDMVALSGSHTIGLARCTSFRGHIYNDTNIDASFAKKRQANCPSASGSGDNNLAPLDLQTPTAFDNNYYKNLVRFKGLLHSDQQLYNVSDSTDSLVKAYISSTGTFFSDFVSGMIKMGDIKPLTGSNGEIRKNCRKIN >Ma03_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10445141:10450557:-1 gene:Ma03_g13320 transcript:Ma03_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCESTVCSPNSAPISEPFPYPSHLRSSFLIPTTSSPTPNSLPLHRYIIASFAAPFPFPRDREEKPEKGAKELQLEFFSRLFSVLCSFFSFLALCCLLIYLRSVAVGMGSIAPPEDIIFRSKLPDIEIINDIPLHTYCFERIAQFAHRPCVIDGATGAVLTYAEVDAAARRFAAGLHGVGIRRGDVFMILLRNSPEFVIAFLAASYGGAVATTANPFYTPGEIHKQAVGSGARLIITESCYVDKIREFARERGVTIVTVGDGPAPEGCRSFADLMRTDAGALPAVEFDPNDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGDNPNLYLHEDDVLLCVLPLFHIYSLNSVLLCGLRVGATILIMRRFDVGPLLELVQRYQVTIAPFVPPIVLEFVKSPLVDGYDLSSIRMVMSGAAPMGKELEDKFMAKLPNAQLGQGYGMTEAGPVLSMCLAFAKEPFEVKSGACGSVVRNAEMKIVDPETGASLGPNQRGEICIRGAQIMKGYINDPEATKNTIDKDGWLHTGDIGYVDDDDEVFIVDRLKEIIKYKGFQVAPAELEALLIAHPNIADAAVVPMKDEAAGEVPVAFVVRSNGSKISEDEIKQYISKEVVFYKRINKVFFTEAIPKSPSGKILRKDLRAKLAARFPIGPFP >Ma05_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34324073:34335008:-1 gene:Ma05_g22560 transcript:Ma05_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPYQYPHPYGYQNPPPSALANPSPYEAPHQSPHPPYLYTYNSFPSPPPPNPSLQFTRSGPIQYPPPPSYHPPAAPFVAPTNYSYDPYSFPPRNPHPYIYPYTIPYEPAKYDVISAQLPVSSSSSSSIYPINNHLANGRLADQTLSPDSSHHRSAIHDYQRQSFSGSLSSVTPFATPPATPSRESQHGAIVPFAGSPSSRGRASLKVLLLHGSLDIWAYEAKNLPNMDLFHKTLGDMFGPRITGTISGKVEHVTSITSDPYVTINVCDAAIGRTYVVSNSENPVWMQHFNVPVAHHAAEVEFLVKDSDVLGAQLIGSVSIPTMQIYSGEKVEGTYPILCPNGKQCKPGAVLRLSIQYIPMERLSIYHHGVGAGPDHCGVPGTYFPLRKGGKVTLYQDAHVPDGYLPDLMLGNGMYYEHGKCWHDICDSIINARRLIYIIGWSVFHTVRLVRDSGNSSSPILGDLLKSKSQEGVRVLLLVWDDPTSRNILGYRTDGVMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSFVKQQETGTIYTHHQKQVVVDADAGNNTRKIIAFVGGLDLCGGRYDNPKHPLFRTLQTLHKDDYHNPNFVNYDDSGPREPWHDLHSRIDGPAAYDVLKNFEERWLKASKHHGIKKLKKSSDDALLHIERIPDIIGVNNSLYMNDNDPETWHVQIFRSIDSNSVKGFPKDPRDATNKNLICGKNVLIDMSIHRAYVHAIRAAQHFIYIENQYFLGSSFNWDSNKNLGANNLIPIEIALKIANKIKANERFSAYIVIPMWPEGNPTGAPTQRILFWQNKTMQMMYETIYTALKEVGLENIYEPQDYLNFFCLGNREASDLNSASQNAEICPQALAKKNRRFMIYVHSKGMIVDDEFVIMGSANINQRSLEGTRDTEIAMGAYQPQHTWARKLSGPRGQIFGYRMSLWAEHIGTVEECFTSPHSLECMRRVRDLGLLNWKQFVADDITEMRGHLLKYPVDVDKKGMVKPLPGFETFPDIGGNICGSFFGIQENLTI >Ma04_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21458761:21472562:-1 gene:Ma04_g18970 transcript:Ma04_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPLGFGEKYYSLSSDGQCVRQSSFFGGKPVLNQGVGYGVILGFGAFFAVFTSLLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPRAHTVCEIVRARWGTAAHVVFLVFCFMTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHVVLVIFVYLVYTASSHLGSPKVVYNHLMKVASKSRVCDYPISHTGQSCGPVSGNYKGTYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTATEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYINPDATGKQILKVSRGVVLGFGCFMGVLAVILNKVGVSLGWMYLAMGVIIGSAVMPIALMLLWRKANALGAILGTVIGCILGIITWLAVTSIEYGRVNLETTGRNAPMLAGNLVAILTGGFIHVVCSILWPQKYDWGTTKEITLVEQVQSDLPDEEFKEEKLLRAKGWIVKWGIVFTAIIVIIWPMLSLPAGKFSLGYFTFWAVVAIAWGTIASVVIIILPLTESWGTIVRVLNGMLTNDAMMQKVDEMNSRLRAIMVTMPEAERYYLLEKEKTKKLDG >Ma03_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2147145:2153769:-1 gene:Ma03_g03180 transcript:Ma03_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVEAYWRTRMVDGVTSDEDKVAPVYKLEEVCELLRSSPAGIVKEVSDYVLKRLDHKSPVVKQKALRLIKYAVGKSGNEFKREMQRHSVAMRQLFHYKGQLDPLKGDALNQAVRDTAHEAIAAIFVSEDNKGVTPVQGLNKRIEGFGNTNFEVPAGEKRSFLSEVVDLGSASIKQGLSTIAAAHSSRKNDTGSYKSPNLRRSLTTEVDGRNEYGGNEHQRESWEVSEISKRNSGTWSPGSRVSKTTSVANEDTSSSNAEVKTREGRLLETIVTSGGVRLQPTRDALQAFLAEAARLDGVAISRALEIKLQSHLWQVRMKAMCVLESILRKKDDEHFFKIVSYFTENKDSVVKCSELPQASLREKAIKVLSLLDGEQTPGLRGEPSNGKVKPAPVVQMPDLIDTGELDDYGSQDSMEKQLEQCAAKLKPSDSLVDDLFESDSIADLSTTDNQNQDDPFADVSFHVTGDKEPNDLFSGLTVDDKKSDIALNFPEIKNPDLLDVFGANSEQLVEEAGKGRSNVQNLMAGLTLSGMIQENEQPGFLPNSSQPGQMPTNGAMNVTLDLNAMYPQVPMQYGISPNIMFNQGFASQSMNYGTMGAFIAQQQLLLQNLGSLNSGFGHTTGNAVEGSNSFSFPDIFQLSNNPVQSHASVVKSPKAETKAFDFISDHVAAARGSKRVT >Ma09_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1480518:1480801:-1 gene:Ma09_g02010 transcript:Ma09_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRICSSLSQEGRYTSLCRFPDTAAREFNTKKLRRPPLAEASLLGIETNHMTREVPSYYHRKDH >Ma04_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2498374:2498496:-1 gene:Ma04_g03200 transcript:Ma04_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYCTIWSQIIRINIMYEICKYIYIYMMNLVGIITNMYHT >Ma05_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22276700:22278262:-1 gene:Ma05_g18030 transcript:Ma05_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSHLDPHRVAEESRKKAGLGPWSGDDVKAGRKADDGEERPGSPSFRFYFEGPWIDKDSAKVADAGEDHGKTDDKKERILHPTDKTIKTLATSKVRNPRANHQRTKEGNRKF >Ma07_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10248440:10249613:-1 gene:Ma07_g13640 transcript:Ma07_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPKLQETISSSSSNYGCANGTTPPAAAQPSSSPRAPPSLTPKSIPKTCEPNPYPTTFVQADTSSFKQVVQMLTGSAETAAAAAAAAAATNSSAPKGLVAPAAKATGPKRPAFKLYERRNSLRNLKILSPLIPTFLSSNSGSPVGAAGFSPRKQPEILSPSMLDFPSLVLSPVTPLIPDPFNRPQHPNSESAKRAEDRAIAEKGFYLHPSPRAPADAEPPRLLPLFPVTSPNVSSDLSLATPQPST >Ma07_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28938140:28940226:1 gene:Ma07_g20940 transcript:Ma07_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSNNLIGVLNFITFLLSVPILGGGIWLATRGGGTDCEKFLQTPMIVLGAFLMAVSLAGLVGACCRNSLLLWIYLFVMFILILLLFFFTIFAFVVTNKGAGQVVSGSGYKEYRLGDYSHWLQKRVEKTKNWAKIRTCLSDAKVCRSLQEASLSLDQFIKDNLSPIQSGCCKPPSECRFDYENATVWNKPAAGFASNNTDCETWNNDQSTLCYDCSSCKAGVIANIKDKWKKIAILNIIFLVFLVIVYSIGCCAFRNNRRDNAWKGGYP >Ma04_p34360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33597118:33600737:-1 gene:Ma04_g34360 transcript:Ma04_t34360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPVVGAWVLEFLLRRRDVGDALAGELLLALPLPSPLPPRLSATLLLRRLAADLSRRSVSPRTLHSLDLLHRILPFPSLAPAFTAVAVECTVAPLRLPPLSSSECDAEFFDAVNRIWNCLVADLERSEAAGLVSCALREARMEMEAAVVDPALRAQLARRETKEAALVAVRVCLEEMEKEMGPTFLEAAADAIVSCDCETHRSLVVLSDKLRAFRSIGLESVERGVEIGHLPSKIDRARSTVEGGEGIKLNDRNIEKDQMRTDQEQGYSVDKNSMSCELQEVSDHKSCDNNYDDVPQSGQMDPVPPDPKDVRKKHHNISTDTFLHPSEKRIRYSNLDANTATNLDAATMQKPSLMDRNATAHTFEWDSIPTHYGKSPNMKKEMDYFSSMNSKSSSSLNETKEYVLRRRKRKWNSLEEETLRKAVARYGAGNWKLIKGCHPEIFERRTEVDLKDKWRNMTRHM >Ma04_p34360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33597118:33600737:-1 gene:Ma04_g34360 transcript:Ma04_t34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPVVGAWVLEFLLRRRDVGDALAGELLLALPLPSPLPPRLSATLLLRRLAADLSRRSVSPRTLHSLDLLHRILPFPSLAPAFTAVAVECTVAPLRLPPLSSSECDAEFFDAVNRIWNCLVADLERSEAAGLVSCALREARMEMEAAVVDPALRAQLARRETKEAALVAVRVCLEEMEKEMGPTFLEAAADAIVSCDCETHRSLVVLSDKLRAFRSIGLESVERGVEIGHLPSKIDRARSTVEGGEGIKLNDRNIEKDQMRTDQEQGYSVDKNSMSCELQEVSDHKSCDNNYDDVPQSGQMDPVPPDPKDKPSLMDRNATAHTFEWDSIPTHYGKSPNMKKEMDYFSSMNSKSSSSLNETKEYVLRRRKRKWNSLEEETLRKAVARYGAGNWKLIKGCHPEIFERRTEVDLKDKWRNMTRHM >Ma09_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5268045:5270049:-1 gene:Ma09_g08030 transcript:Ma09_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILFEPPSRRQEGGGAHDQDKATEAAHGFRNPLELSLRISSGVMACNVGGGDSGECRGLLATRDNLVVHPRDQGAKEWLPAEIHSGFIHPWSLAARQQKAVFEQASSAPRDAHPSSSTPPPPPLLVGWPPVRTSRKHLSLLKPGMDGENDAKRRKLTEREATTVLTTESRSRPSMFVKVNMEGYVVGRKIDLGAHESYRSLYRALSKLLGNFLSCTGARMRSANYSNNSGEQDDEVVDDDFVLLYEDSEGDQMLVGDIPWELFITSVKKLYIAHGRKKAAAGGEAQKTHHKQQLNH >Ma04_p39620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36662357:36665052:-1 gene:Ma04_g39620 transcript:Ma04_t39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVLPRGSLLLARPTSRRRGSHVTGTAPPVVGRGQRTGCRPSGLTVPRQGRVSALALQSDGLLLSERTSPEEVKREIQRCYGLVHKLGRGVVYLGSSRVQVGHPHYEQAKQLAREVALLLDCTTWTGAGPGLMDAAFKGALEAKKPVGGFKIARESGEWTSSNFHPYLPPETYLTCRFFSARKHGLVDAAVRNNPSDMTAVVALPGGIGTLDEVFEILALIQLERIGSKFPVPFLLMNYDSFYSKLLDFLDDSGKWGTVARGEVEALWKVCGGNSEASDYLAEFYGLPQTKMNNNKISVEGSGFME >Ma11_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21421186:21429721:-1 gene:Ma11_g15770 transcript:Ma11_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MDAEQLRECGHRMVDFIADYYKSIESFPVLSQVKPGYLAELLPESAPNDPECLQDVFDDILQKIIPGITHWQSPDYFAYFPSNSSTAGFLGEMLSAGFNIVGFSWVTSPAATELEVIVLDWLAKMLKLPNQFLSTGKGGGVIQGTASEAILVVLLAARDKILRKVGKKSLEKLVVYASDQTHSALQKACQIAGIFPENLRVLKTDLTSNYALSLEVVSEAISSDLTAGLIPFFICATVGTTSSAAVDPISELGKIAKAFSMWFHIDAAYAGSACICPEFRHYIDGVEEADSFGMNAHKWFLTNFDCSVLWVQDRSDLIQSLSTNPEYLKNKASLFFPSV >Ma07_p25820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32808343:32809125:1 gene:Ma07_g25820 transcript:Ma07_t25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGSKRLCHIRGKMHKKVWIAAGDIILLGLRDYQDDKADVIFKYMPDEARLLKAYGELPENIRLNEGIGGLDVEDEGAADDYIEFEDEDIDKI >Ma10_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9911398:9913273:1 gene:Ma10_g02870 transcript:Ma10_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPLHPRLCAASPPPIFLPRASASRRSWVPPLRRGPPNRKMIHGRSLNDRFLGNKDYAALVKAVIVNEEPDIAFQLVNELKSKGFKPGCDVLSGLMLCYAKNGFFMQAQALWGEIINSSFEPRIEVIWDLMKAYAQMGQFDEITRIVHETTLRNFGFGPEVYTMAVSCFGKAGQLRLMEEAVKEMVSRGFKVNSVSGNAYVKYYSIYGSMEDMEAAYERLKKSRILIEKGAIRAMASAYINQRQFYKFGEFLRDVGLGRRNVGNLLWNLLLLSYAANFKMKSLQREFLGMLDAGFSPDISTFNIRALAYSRMSMFWDLHLSIQHMRYKRVIPDLVTYGCIVDAFLERRLARNISFELRKLDVEATPIMLTDPLVFEVFGKGDFHSSSEALLKSTRQRDWTYSKLLAIYLKKQYRRNQIFWNY >Ma05_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9143689:9146027:1 gene:Ma05_g12660 transcript:Ma05_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHARGPGTLGAPRKRKERDASGPARPQLASSAEPAKDDNRLLAGYLAHEFLTRGTLLGKRLGPDRADPGKRPPESGPAEPVGTYKEASYLLMRGGAHVPGVVNPTELARWLQM >Ma05_p12660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9144980:9146027:1 gene:Ma05_g12660 transcript:Ma05_t12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHARGPGTLGAPRKRKERDASGPARPQLASSAEPAKDDNRLLAGYLAHEFLTRGTLLGKRLGPDRADPGKRPPESGPAEPVGTYKEASYLLMRGGAHVPGVVNPTELARWLQM >Ma04_p21830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24280650:24286872:1 gene:Ma04_g21830 transcript:Ma04_t21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIHKPLSPRSGGFSPKKLRAMLLGVEKRRKEEEELESKTSLRSEPSEFDDGRASAADSCKDVECSTSSEMASGHRSRDHAMGFPRVKSQEEDSFEAENVSSGFEFQKAERTPPHRLTAAAAFVPPFSKPAPSKWDDAQKWIASPTSNRGGSKAGGGQARRGGLAGYVSRQAAAKVVLNVVEEADTKRVDGSQAKKEISGIKSVNWVGEPHPRLDLGVKPTVVVENPVVDSAVNLSWHDSSTSIQSATAFMTPAPTVRSVSMRDMGTEMTPIASQEPSRTGTPAGATSPLYSPTSSRPATPQRRAPVSTQTDSADCHDDLNDKEMSEKELQRKTRREIMVLGQKLGKTNIAAWASKEEDTDASISTMTVSKDQPDKSVIEVRAAAWEEAEKTKYLARFKREEIKIQAWENHQKATIEAEMRKIEVEVERMRACAHEKLMNQLAAVRHKAEDKRAAAEVTRNQQASKTAQQAEYIRRTGRIPSSFSCWSWCS >Ma04_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24280748:24286872:1 gene:Ma04_g21830 transcript:Ma04_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSGGFSPKKLRAMLLGVEKRRKEEEELESKTSLRSEPSEFDDGRASAADSCKDVECSTSSEMASGHRSRDHAMGFPRVKSQEEDSFEAENVSSGFEFQKAERTPPHRLTAAAAFVPPFSKPAPSKWDDAQKWIASPTSNRGGSKAGGGQARRGGLAGYVSRQAAAKVVLNVVEEADTKRVDGSQAKKEISGIKSVNWVGEPHPRLDLGVKPTVVVENPVVDSAVNLSWHDSSTSIQSATAFMTPAPTVRSVSMRDMGTEMTPIASQEPSRTGTPAGATSPLYSPTSSRPATPQRRAPVSTQTDSADCHDDLNDKEMSEKELQRKTRREIMVLGQKLGKTNIAAWASKEEDTDASISTMTVSKDQPDKSVIEVRAAAWEEAEKTKYLARFKREEIKIQAWENHQKATIEAEMRKIEVEVERMRACAHEKLMNQLAAVRHKAEDKRAAAEVTRNQQASKTAQQAEYIRRTGRIPSSFSCWSWCS >Ma04_p39950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36919122:36920601:1 gene:Ma04_g39950 transcript:Ma04_t39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCEYDEDFEEEEVWAVMPEMKEARPKDSSCASSSSSSSSARRLLTGARMIPRSSANSEPSGRSLRRSAPVRIPEWPKVYKHGQRQSFGAHGYEDEFHRRGGSHENVDEEEEEDDDRRAPPHEWLAKKMARSQISSSSVFEGAGRTLKGRDLSKVRNAVLIKTGFLE >Ma01_p07780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5637782:5639556:1 gene:Ma01_g07780 transcript:Ma01_t07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPLWWVGILLLVELLLLPRGLAKNDDEACLSDLRRSLTDPSGSLRNWTRANFAAPCNGFTSYLHGVTCNNGRIYKLSLAGLALGGAISPYVSNCTNLQSLDLSSNQLAGPIPPELSALLNLAVLNLSSNRLSGPIPPQLALCAYLNVIDLHSNLLSGPIPDQLGLLVRLSTFDVSYNRLEGPIPVLLANRSGISPGLPRFNASSFIGNRDLYGYPLPPIKGRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEQAAMMAADEGKISHLMPEY >Ma01_p07780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5637748:5639556:1 gene:Ma01_g07780 transcript:Ma01_t07780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPLWWVGILLLVELLLLPRGLAKNDDEACLSDLRRSLTDPSGSLRNWTRANFAAPCNGFTSYLHGVTCNNGRIYKLSLAGLALGGAISPYVSNCTNLQSLDLSSNQLAGPIPPELSALLNLAVLNLSSNRLSGPIPPQLALCAYLNVIDLHSNLLSGPIPDQLGLLVRLSTFDVSYNRLEGPIPVLLANRSGISPGLPRFNASSFIGNRDLYGYPLPPIKGRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEQAAMMAADEGKISHLMPEY >Ma01_p07780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5637827:5639556:1 gene:Ma01_g07780 transcript:Ma01_t07780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPLWWVGILLLVELLLLPRGLAKNDDEACLSDLRRSLTDPSGSLRNWTRANFAAPCNGFTSYLHGVTCNNGRIYKLSLAGLALGGAISPYVSNCTNLQSLDLSSNQLAGPIPPELSALLNLAVLNLSSNRLSGPIPPQLALCAYLNVIDLHSNLLSGPIPDQLGLLVRLSTFDVSYNRLEGPIPVLLANRSGISPGLPRFNASSFIGNRDLYGYPLPPIKGRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEQAAMMAADEGKISHLMPEY >Ma01_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5637781:5639556:1 gene:Ma01_g07780 transcript:Ma01_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPLWWVGILLLVELLLLPRGLAKNDDEACLSDLRRSLTDPSGSLRNWTRANFAAPCNGFTSYLHGVTCNNGRIYKLSLAGLALGGAISPYVSNCTNLQSLDLSSNQLAGPIPPELSALLNLAVLNLSSNRLSGPIPPQLALCAYLNVIDLHSNLLSGPIPDQLGLLVRLSTFDVSYNRLEGPIPVLLANRSGISPGLPRFNASSFIGNRDLYGYPLPPIKGRGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEQAAMMAADEGKISHLMPEY >Ma07_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31140416:31147527:1 gene:Ma07_g23520 transcript:Ma07_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLVWLRVVWVSVLLWGSCWGKFVVEKNSLKVTSPDSLKGIYECAIGNFGVPQYGGTMVGIVAYPKANRKGCQGFDNFDISYKSKPGGFPTFLLVDRGDCYFTAKAWNAQNAGAAAVLVADNKAEPLITMDTPEEDDNKADYLQNITIPSALISKSFGDSLKKAIENGDMVSVNLDWRESLPHPDDRVEYEFWTNSNDECGPKCDSQIQFVKNFKGAAQILERKGYTQFTPHYITWYCPEAFLLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCLFKVANESGKPWLWWDYVTDFAIRCPMSDKKYTKECAEEVIKSLGIDLKKINQCMGDPDADEENAVLKAEQDAQIGKDSRGDVTILPTLVINNRQYRGKLDKAAVLKAICAGFQETTEPAVCLSEDIETNECRENNGGCWQDKAANITACKDTFRGRICECPVVEGVKFVGDGYTHCEASGSGRCEINNGGCWKKSHEGKTYSACVDEGCKCPLGFKGDGVDKCEDVDECKEKTACQCSGCKCKNTWGSYECSCGDDLLYIKEHDTCISKKPSTEVGWSFLWVIFFGLVIAGVGAYAIYKYRIRSYMDSEIRAIMAQYMPLDNQEAQNHIHHGEI >Ma04_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4587585:4588638:1 gene:Ma04_g06230 transcript:Ma04_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLSCDDGQRKQQQRTTRNLYLQKLPLLVNSSRMRGRNIL >Ma07_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29498443:29502240:-1 gene:Ma07_g21380 transcript:Ma07_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSSSSSSFSPPSMPPSTATLARSSRQRATRSSSMAAARACTLRFPTPTPPPAAATRSSALRRSLSHGLRNLFENSKDGDSVLVQAIIFEVEDRETIGGSAYGGQRAVCCTPDLAKLGACTQGAVIYRPSAQNPKWPQVLAATFNGKDLVATLPSQSIPITRTGMYNLYFIYCDPALNGLVIDGKTVWKNPTGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYVRFWREVLPLQNCITLVIALGMLEMALWYFEYAEFNETGLRPMGITFWAVTFGSVKRTVSWVIILVVSMGYGVVRPTLGGLTSKVIMLGATFFLASEILELVENAGAVSDFAGKARLFLVLPVALLDTFFIIWIFTSLSKTLDKLQARRLIAKLDIYRKFTNALAITVLVSVGWICYELYFKSNDVYNGHWQNAWIIPAFWQVLSFSLLCVIAALWAPSQNSMRYAYSDDGSEDFDREDSLSLIKPGPVSSKDARGSAGLMDARAAVSNDTTTSHNGDIEEDKRE >Ma02_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7205364:7206976:1 gene:Ma02_g00900 transcript:Ma02_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISNSLVGVLNFHTLLISFPVIDIALWLRIKAPTECERFLQLPLLVVGVFLFVVSVLGVVGSCFRDSIFLCIYVFLLFLLILAMAAFTVFALVVTNKSIGQAISGKGYKEYRLGDYSHWLQKRVGDRKNWRVIHGCMKEAKVCGRLEDDIGTKASEFYRKNLSPMQSGCCKPPTYCGFTYANATYWIIPRSGLSSSNPDCKAWSNDQDKLCYGCNACKEGVLANPRERVEEGRHLKCCSSCLCHRHLLGWMLRIQEQQFS >Ma06_p23120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20279572:20284965:-1 gene:Ma06_g23120 transcript:Ma06_t23120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEVEGNLFIAGDAKVHGGMFRVLHTFVGKVLEIFPFIEAARPRSKSGIQALCSLHVALDKAKSLLQHCSECSKLYLAITGDSILIKFGKAKCALQESLRRVEEIVPEHISCQIIEIVGVMEDIVFEMDQLEKQAGDEVISLLQKDSKFNNNLSDSDELEVFHQAALRLGITSSRAALTERRALKKLMERARAQDDKRKESIVSYLYHLMRKYSKLFRSEYTDDTDSQGSTPRSSSILGFEEVSSPHRNSHTFERQLSKLRSLNFKQNGRKSGNMPIPPEEFICPISLQLMFDPVIISSGQTYERFCIEKWFNDGHSTCPKTQQQLSHLCLTPNYCVKGLIANWCEQNGFPIPTGPPESLDANYWRLAFSQCEAMDSSSFGCTNSCKLKCVKVAPLEENHNHEELNETDVETLSNYYNEHVVDEFERYRSLLSALHEVKSAHKQCRVVEQIRYLLKEDEEARIFLGANGLVEALIQFLRMALFSGDGKAQKAGAMALFNLAVNNNRNKEMLIAAGLLPLLEQMISISEMFECVAALYLNLSCLNEAKPLIGLSKAVPFLIQVLQAVNNEGSSCKYDALYTLYNLSTHPPNIPFLVSSGIINSLHPLLGSPSATEGIMWTEKALAVLINLASSQAGRKEIVLTPGIFCGLAGVLDFGEPAEQDQAVSCLLILCSSDERCSQMVLQEGVIPSLVSISVNGTTKGKEKAERLLRLFREQRQREPSPLKQQPQQVESDGGHQVTMESKTIHKSKSKKFGRTLSLIWKNKSFSIYQC >Ma06_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20279572:20283761:-1 gene:Ma06_g23120 transcript:Ma06_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVFEMDQLEKQAGDEVISLLQKDSKFNNNLSDSDELEVFHQAALRLGITSSRAALTERRALKKLMERARAQDDKRKESIVSYLYHLMRKYSKLFRSEYTDDTDSQGSTPRSSSILGFEEVSSPHRNSHTFERQLSKLRSLNFKQNGRKSGNMPIPPEEFICPISLQLMFDPVIISSGQTYERFCIEKWFNDGHSTCPKTQQQLSHLCLTPNYCVKGLIANWCEQNGFPIPTGPPESLDANYWRLAFSQCEAMDSSSFGCTNSCKLKCVKVAPLEENHNHEELNETDVETLSNYYNEHVVDEFERYRSLLSALHEVKSAHKQCRVVEQIRYLLKEDEEARIFLGANGLVEALIQFLRMALFSGDGKAQKAGAMALFNLAVNNNRNKEMLIAAGLLPLLEQMISISEMFECVAALYLNLSCLNEAKPLIGLSKAVPFLIQVLQAVNNEGSSCKYDALYTLYNLSTHPPNIPFLVSSGIINSLHPLLGSPSATEGIMWTEKALAVLINLASSQAGRKEIVLTPGIFCGLAGVLDFGEPAEQDQAVSCLLILCSSDERCSQMVLQEGVIPSLVSISVNGTTKGKEKAERLLRLFREQRQREPSPLKQQPQQVESDGGHQVTMESKTIHKSKSKKFGRTLSLIWKNKSFSIYQC >Ma07_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9739440:9741466:1 gene:Ma07_g12930 transcript:Ma07_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEVMMTVKAYVLMVLLQFGYAGMFVISVASIKRGMNHFVLVVYRNAIAALFIAPFALWFERKTRPEMTVIIFLKIAALALLEPVLDQNLYYMGANLTSAGFASALYNMIPAITFIMAIVLRIEKLRIKSRHSQAKIVGSLVTVVGALLMILYKGPVVEFVWSKGRSHRDTATSQSSGNWLTGIFLLLGSCLCWSSFFILQSNTLETYSAELSLTTLICLMGAAMSAVVTLAVEGFSAKPWTIGWDMRLVTAIYSGVVCSGVAYYVQGIVMKERGPVYVTAFNPLCMIITAVLGSIILAEEITLGSVIGAVIIVVGLYSLIWGKSKDQAKQSSERTAAERTLQLPIAATDAGKFGSSGQVSLIEINAPKNP >Ma06_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13957652:13961428:1 gene:Ma06_g19860 transcript:Ma06_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKEEGEEMDVNDCGGGGLEVVRVLVVDDSPVDRKIVEMLLRRSEGIFDVVSVDSGKKAMEVLGLNEIEAKPPNHDDQKIDIVLTDYCMPEMTGLDLLKAVKANSSSRSIPVVMMSSENDSERISSCRAIGAEDFILKPLQVKDVQRLRTYTVPIGPMSKRGSKRKLPMALIAESNGAEARPLLAKVAAFGSAVFLTLNFWRSSVMSSSCN >Ma05_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27884687:27899429:1 gene:Ma05_g19430 transcript:Ma05_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERGENKEYLPVEGLAAFNKVTADLLFGVDNRVIQEGRVATVQGLSGTGSLHLAAAFIQTYFPDAKSLISSPTWGVITRTSSMMLGYHGGFASGSLDADAYSISLFVDRGLELLVAQSYSKKLGLYAERIGAINVVCLSSDAAARVKIQLKRLARPMYSNPPVHGARIVANIARDPNLFDERKQEMELMAGRIKNVRRRLHENLSQKDKRRSDWSYILKQIGTSSYTGLNEAQMVCKLKVESMRMNYLERASHMYPYICVILNLISRTLFTYLS >Ma10_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5003942:5005539:1 gene:Ma10_g01660 transcript:Ma10_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEESYCLSWRVAVEANNARGWRTVPSECVCHVESYMLGGQYESDVDAVVENVTAYLDGVVAADDDKDVWLLDVDDTCLSNLPYYRGKHFGGDAFDSTAFRNWILRGDCPAIPAVLRLYEKLMGRGFQVFLVTGRDEEVMGSSTAENLAAQGFVGHQRLIMRGPRFRGQGAVAFKSAIRRQLVAEGYRIRGNVGDQWSDLLGDCVGDRTFKIPNPMYFVP >Ma04_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23674678:23682387:1 gene:Ma04_g21020 transcript:Ma04_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLLSIPHPRHSSPLLPTKGIRPRVATARRLNGIGFTAAAGKMSVSSHSHTSPETRRSLSHLAPLEAILFDIDGTLCDSDPIHYCAFREMLQQIGFNDGVPITEDFYVDNISGNHNDDIARSLFPGWDEEATTKLLDDKEAMYRRMAPEKLQAVDGLHKLCKWIEGRGLKRAAVTNAPRANAQLMISLLGLTDFFQLIVVGSECDRPKPYPDPYLKALKDLGASPNHTFVFEDSASGIEAAVAAAMPVLGLTTRNPEQLLMDAGATFLIKNFEDPKLWENLEKLV >Ma04_p21020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23674678:23682387:1 gene:Ma04_g21020 transcript:Ma04_t21020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLLSIPHPRHSSPLLPTKGIRPRVATARRLNGIGFTAAAGKMSVSSHSHTSPETRSLSHLAPLEAILFDIDGTLCDSDPIHYCAFREMLQQIGFNDGVPITEDFYVDNISGNHNDDIARSLFPGWDEEATTKLLDDKEAMYRRMAPEKLQAVDGLHKLCKWIEGRGLKRAAVTNAPRANAQLMISLLGLTDFFQLIVVGSECDRPKPYPDPYLKALKDLGASPNHTFVFEDSASGIEAAVAAAMPVLGLTTRNPEQLLMDAGATFLIKNFEDPKLWENLEKLV >Ma03_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15164034:15170392:-1 gene:Ma03_g15370 transcript:Ma03_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKMEEEGAVRLCRERSQLLADAIRYRYALADTHDAYARSLRSVGDALQGLLHGATALPPPGSPVLPLPAQRKGDPLPPTAAVAAAVSVPSASGGHSHSHSGSHIQFHPTDSDSDEDSPLHSDGASPIHHLHADDAPVGPTYVNLNYARSRPAEPSVAYQQQPPNSESIRVGSVDEPAPVSYPYYGYPYYPQSSNFDPYPSYPYASYGGGSGGFFGSSSPPPNIPPPAVAAESSTSREPPPPPSPKASAWDFLNPFEAYDNYYAPYTPSRSSKELREEEGIPDLEDEDQEVVKEAYGDPKFMASTSAAANGEYAGKVATGSKEGVTGSAGEDPNRKSRSVEAGSSLEHEVHVVEKSVVTEPAERRSAVGYTVSRSYLDISEVVQEIRTQFDRASESANQVSKMLEVGKLLYHQKNSVYKVSVRMICGLPPLSSSKNEDLLVFEEDKAMDCGNLSSTLQKLYNWEKKLLEEVMAEEKMRVLYERKHEHLRHLSERGAEAEKIEAVEIFIRKLSTKIRIAIQVVGTISSKISQLRDEELWPQVNELIHGFMGMWRVMSECHHIQCQAISEAKNLDSILSGVKLSDAYMDAVKQLEFKLVDWISNFSAWVAAQRSYVKCLNGWLMKSIHYVPEITDDGVVPFSPGRLGAPPVFVICNYWSHSMDLISERDVVDALQAFAENVFNIWQKQNFEQQQRLLANRNMDSKLKLMERDEQLMLKQRKKLMLVSSENRISISEPVEHQGSTVNSLQLSLKQIFEAMENFSANFMKSYEVLHTRSEEEKQRRLREKAGVS >Ma00_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1718512:1720282:-1 gene:Ma00_g00530 transcript:Ma00_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCTLPPLVFSRPPIYAYPPCWFRTSRLSPDHEEEDECYAHLLQRCAQTSDLRLGTAIHSQLLKRPFLHSSLFLQNHLLNMYFKCCREPSLPVHLFDQMPLRNVVTWSAAIAGLVQCGRPLQALSLFYDMHREGVRPNEFALVSALNAASLSDGCSQARQIYSQVIRLGFDSNVFLINAFLMSLIRNGRMEEAAEVFDKYGAKDVVSWNSMLAGYLQCSYSDLWRFWCRMSRAGLRPDEFSFSSVLTGLAKASCLRSGVQVHGQVVRHGHGEDVCVGNSLVEMYLKNKDLVSSSKAFAEMPWRDVVSWTQMAAGALDCGQPAEALRIVDQMKLAGVRPNKFTLATMFNAYSSLTSLEEGRKAHGYRIKLGDEVDECVDNALVDMYAKCGSTGSALQVFRSMKQRSVISWTAMIMGLAQNGRGREAAEAFDEMIAEKVRPNYITLICVLYACGQGRLVEKGRRYFDSMERDHGIVPGEDHYACMVDLLGKAGCIAEAEELIRSMISKPGVLVWQTLLGACQLHGDVETGKRAAEQALAMEEKDPSTYVLLSNMFADAKNWAVAGRIRELMEEREVRKMPGSSWTGA >Ma01_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12559224:12566179:1 gene:Ma01_g17180 transcript:Ma01_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVVWFPIVPLIRLTGAAAATFVLIWAVHFRGGLALISDNKDLIFNVHPVLMVIGFILLNGEAILAYKTMPGTKSFKKAVHLSIQFLALCLGLIGTWAALKFHNDKGIDNFYSLHSWLGLACILLFSIQWGMGFATFWYPGGSRSSRAFLLPWHVFFGAYIYALAVVTAITGLLEKATFLQASKTILRYSNEALLINSLGILLVLLGGFVILALVTPGTARSDLQRGNSE >Ma10_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24535921:24542981:1 gene:Ma10_g10760 transcript:Ma10_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGSQHRCVFVGNIPYDATEEQLIQICEEVGPVVSFRLVFDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNADRNREQGRGGPGLASSADVQKQFSGAPILGDSTLHQPLGLPLAATAASVMAGVLGGAQTSNAQNVLQSQPGVGNDPLTHYLSRLSKHQMHEIMSEMKALTAKNKALAHQLLQASPQLSKALFQAQIMLRMVTPQMMQMAGSGQSSISTSQISSHLGQASSQTLGGKLSKPPETLVPITSQSPVILKQASLPIQQVHVQPQYQLPPLPQGQVLQGTLPSSWPQSIDGVPLQPSPLSSSICPISQSQTPLSQQPVPTVASLTHHPQLAHPNTALQQANLSRPSISQTGLSNVQPPSVGLETLPRQISTSSADSVRTSRINTQSLSGGPADRTSMAAHILEPISYPPAKLRRLEDGSGATQTLIINPAVNNAALRTLGSGTVAGSQTIVTDSVGHSEKQMPQIPPEVESALLQQVLSLTPEQLSSLPLEQQQQVLQLQQMLSASK >Ma09_p31140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40986283:40987254:-1 gene:Ma09_g31140 transcript:Ma09_t31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARITLIAALLALASSHALAFDPSPLQDFCVADYDSNVFVNGFACKKAKDVTADDFYFTGLDKPASTANELGANITLVNVERLPGLNSLGVAMSRIDYAPFGLNPPHSHPRSSEILHVAEGTLYAGFVTSNTENGNLLFAKKLQKGDAFVFPRGLIHFQFNIGDTDAVAFATFGSQSPGLVTTANALFGSKPPIADYILAQAVQLSKTTVGWLQQQQWLDISQEYRQRLVQAN >Ma07_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20824050:20825371:1 gene:Ma07_g17610 transcript:Ma07_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEETTRNNYAPFTGYDQADDFDDELSTVTTPAVYLTGHANEARCHNASQRMSGLPLESEGEDADVEPSSGDEESKGAATGGGVLPDGTDKDEEEESSGMGVASMPGTPARGLVGRPEWLKEYASETEARGRGRRRRHHHRRYRQTRERWLERTWQLKKSHAAAEDRSDAGAAAECRVMVRSRCGSGRMCMDMEEMRACRDLGLDLPSDWTVEIQGTFSDLTADTSSGGNSPVNWRISSPGDDPKDVKARIKVWAQAVALTSASRLGG >Ma04_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10398606:10399559:-1 gene:Ma04_g13730 transcript:Ma04_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAKPPPSSLPLPIPLLFLVLLFSIAAVSLASDYVCQELSTSCGSVTDITYPFWLANDTAELVTPCGYPDFKVICRDNTPILSLATDNYTVIHIDHDRRIISLADADILGSEDTCPRVRHNLTFPPDSSLAYAPSDANLTFYFNCSDGLTEYISPCLGKKSFVLTDEMIENNSFVPHNCEAVIVAPVLQEYLKSYQYELANGFREVLHEGFELNWSASTNTTCSHCEQSGGWCGLDETSRSTSVFACFCSDGRRALYNCSDLHLLSIHYHLGLSPVIKRSVGVPEKLEETQ >Ma09_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32259237:32261931:1 gene:Ma09_g21330 transcript:Ma09_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETDRDGAGKRPRGGRAYGHGPAEAAERAWTPWLVPVLLVAFVAVFVVEMYVNNCPDHPQPFGRCVARFLHRFSFQPIRQNPLLGPSSSTLEKMGALQWNNVVYQNQGWRLVTCIWLHAGLIHLLANLFSLLFIGVRLEQQFGFVRIGVIYLLSGFGGSVLSALLLMNNISVGASGALFGLLGAMFSELIINWTIYSNRVAALLTLLVIIIINLGIGLFPHVDNIAHIGGFVSGFLLGFVLLIQPRVRWMERDDLPPSAQVTSKYKAYQCVLWVIALLLLIAGFAISLVMLFRGVNGNDHCHWCRYLNCVPTSRWSCED >Ma04_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24771442:24772802:-1 gene:Ma04_g22440 transcript:Ma04_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAFCAGLLVLLVSSFFMSADVEGSASPGWTAASATFYGGSDASGTMGGACGYGNLYSTGYGTDTAALSTALFDDGDACGQCYRIMCDYQTDPRWCLQGTSVTISATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVLYQRVPCVKQGGVRFTINGRDYFELVLVTNVGGGGSIQSMSIKGSNTGWMAMSRNWGANWQSNAYLNSQSLSFRVTTGDGQILVFPDAAPPNWAFGQTFTSSLQFS >Ma02_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21242029:21242637:1 gene:Ma02_g12550 transcript:Ma02_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGATGGAAASNLYFCSQCDHTFTFDPADAGDHGVVCCVHCGGSVAQASNFPNLENPNSDLGSLDAQQPSPSHLSDHDADSVPFDELIVPPFSSSVTDFYFNAMFIEQQLERWSRRSLNLAPSRRGTQPAWMAAVEALPDINIKKAAPGTDPIECTICMDSFDASAVVTQLPCKHMFHKKCILRWLDSHNSCPLCRSELP >Ma01_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16437728:16440934:-1 gene:Ma01_g20010 transcript:Ma01_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWDLNSTASLAVEEDDDSPAASAAGDGGEEDSRNVAAASSAEAENNASSPSALIIEASDEGEADGSAGKVFGFSISRHREDSPCGEREPAIVTHEFFPLNGKADARGGRGAGCGALPPRPPPSSHWAGVSFCHSSERVVVGKPAEVPQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQIYLGGFDTAHAAARAYDRAAIKFRGVDADINFDLDDYEEELKQMSDLTKEEFVHALRRQSTSFPRGSSKYRGVTLHKCGRWEARIGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCSGKEAITNFDPSIYLNELDIQTGTIEHNLDLSLGSSGSNRNNLDQRDKGSSGMDQGVRIGPEPEWSRNTRLKFHEKLKLPEDKANGSYKSQNISFLHSPTLFEANEMCNHQQLRSNGETLPIVQIIPQQFNPPLFHQYASNREGARTSVALSSLTAGERHQSHLGQVKGSMTWLLPAMPSSQLFSATTSAASSGFPPQVTALPSNLQQKNECQSSVRHS >Ma01_p20010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16438193:16440939:-1 gene:Ma01_g20010 transcript:Ma01_t20010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWDLNSTASLAVEEDDDSPAASAAGDGGEEDSRNVAAASSAEAENNASSPSALIIEASDEGEADGSAGKVFGFSISRHREDSPCGEREPAIVTHEFFPLNGKADARGGRGAGCGALPPRPPPSSHWAGVSFCHSSERVVVGKPAEVPQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQIYLGGFDTAHAAARAYDRAAIKFRGVDADINFDLDDYEEELKQMSDLTKEEFVHALRRQSTSFPRGSSKYRGVTLHKCGRWEARIGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCSGKEAITNFDPSIYLNELDIQTGTIEHNLDLSLGSSGSNRNNLDQRDKGSSGMDQGVRIGPEPEWSRNTRLKFHEKLKLPEDKANGSYKSQNISFLHSPTLFEANEMCNHQQLRSNVCKQQGRSKDFCRAFFIDCW >Ma11_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25911719:25916976:1 gene:Ma11_g21970 transcript:Ma11_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSLIPPPTTPNPNLPCPNAPVVPPSMCGGGGDLDPAPHFGGPHHRRAHSELAFRISEDLHLGPASEDDVFRTYMDVEKIGSGMEDGEAPASEAAEHSGPRDRSAVDCLSGNEGNAAARPKHRHSNSVDASSSRGEGVFGDVMEAKKAMPPEKLAELAVIDPKRAKRILANRQSAARSKQRKANYIVELERKVQTLQTEATTLSAQLTLFQKDISELATENAGLKLWLQSMEQQAQLNDGVNDGLKQEVERLKIATGEKVERSEAYNLGLMNLPFLPFVMPSQEQPRNHQRGFEFQAQIQQSQLDNNSVPSNMMQQGHLMVLPGVDIRKGSEILNPDNSITASEGSCNS >Ma05_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9825864:9828457:1 gene:Ma05_g13550 transcript:Ma05_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVCNRAFVTHTDLGSHSMPHRTLISSSSSSSFSSSAVASLFFSPSPPRPSRLAPVPTSACSHCAQVEKETGMEALRATSRPELLYTGQQKQNQGVLSEEAGWFLERGNLVGEGFSVDDLLNLGEFAENEMETEEDEAGREFGTDAEATRNETERSSSPSSSSSGLTFELPPPLPLSDICLPAHDAEELEWVSLIIDDSIPEFPPPCSGVASLSPPPSDAQSENRQARGAVPQGQGPSSGPTVCALSTEAAVPVKAKRSKRFRSAAAAWSMSGPLPFSDSSSSSTTTSASSCSSTSSSSTFLIYDPSVIAVDQSFLLCDQPTPPKKQNPKKRGRKPKAPPPSSSTASGERRCSHCGVQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFVSHMHSNSHRKVLEMRRKKEAELVAPPVASF >Ma07_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1989364:1996122:-1 gene:Ma07_g02500 transcript:Ma07_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYSTNLSSEDVGNDSYFCNTGFKESCMEHDYSTPSIMEKKILKGIPLEELDISAINEKDDLMIEDLGRSLSGILHVRDSYQMVCVSNPTINQVVSCSLDEMDKTNLGEYISEDINKEGTVQWIEQTTPKLVSAMKGGRAQEGKPLKSKLSVKWSPEVYDPPATSESHTVKGHIRRLKTLKKDHHKQKHGKSKSYKGSGADRKHVSRKSTSTMIDSRILRLEALRARAALNSPCQSKVEVMDFSGVTGELKCGNSYCNKSLAALHLPVARAIEEKLMNGATSSRLCEKPISGVLC >Ma07_p02500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1989364:1996122:-1 gene:Ma07_g02500 transcript:Ma07_t02500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYSTNLSSEDVGNDSYFCNTGFKESCMEHDYSTPSIMEKKILKGIPLEELDISAINEKDDLMIEDLGRSLSGILHVRDSYQMVCVSNPTINQVVSCSLDEMDKTNLGEYISEDINKEGTVQWIEQVQSPSSPLDVGLLSTTHVECLIKPTTPKLVSAMKGGRAQEGKPLKSKLSVKWSPEVYDPPATSESHTVKGHIRRLKTLKKDHHKQKHGKSKSYKGSGADRKHVSRKSTSTMIDSRILRLEALRARAALNSPCQSKVEVMDFSGVTGELKCGNSYCNKSLAALHLPVARAIEEKLMNGATSSRLCEKPISGVLC >Ma09_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5551764:5555729:1 gene:Ma09_g08380 transcript:Ma09_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVMARFHLHSQPLFSSSPALSARSAPPLSISNPRPSTLALGLDIKNRFVTRCSVDGAVKVEAEVPVEKSFPPFPTVMDIDQIRNILPHRFPFLLVDRVIEYTPGVTAVGIKNVTINDNFFPGHFPERPIMPGVLMIEAMAQVGGLLMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLIMRMTLTKLQKRFGIAKMEGKAYVGGNLVCEGEFLMATGSASE >Ma11_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25411481:25412732:-1 gene:Ma11_g21160 transcript:Ma11_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLRRGAWSAEEDRILVEYIQRHGHGNWRAMPKKAGLLRCGKSCRLRWTNYLRPDIRRGNFTAEEEDTIISLHEKLGNKWSAIAASLPGRTDNEIKNLWHTHLKKRMDPKEATQAPKKRRRKKKRDPKNESEPERVNPQADADSGNPRCDVIEVSVEESYSGFSSAATADSSAVSGDVTSSNMEAREEESYDSKEVAVIDESFWLDEAFSMESSTESMTLTSLQVPSSAAGAAVAESLSSFSSTTSDDMDFWLKVFMEAEHLEDLPRINPADVQLI >Ma01_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13830920:13832708:1 gene:Ma01_g18540 transcript:Ma01_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:Projected from Arabidopsis thaliana (AT1G32060) UniProtKB/TrEMBL;Acc:A0A178WLP9] MSVCSLYRVTMPCSCSESHLGSCRKQLMFCVSRGKARRRAWTRVSCSAETRTVVIGLAADSGCGKSTFMRRLTSVLGGVAEPPRGCNAYSNTLVGDATTVICLDDYHALDREGRKEKCVTALDPKANNFDLMYEQVRALKSGVAVEKPVYNHVTGRLDPPELIRPPNILFIEGLHPLFDPRIRSLLDFSIYLDISNEVKFAWKIQRDMAERGESLESIKASIKARKSDFDMYIDPQKQFADVVIEVLPTRLIPDKSATEVLRVRLVMREGVKHFTPVYLFDEGSTISWTPCGGKLSCSYPGIRFFYGPDTYFSDEASVLEMDGQFDRLDELIYVESHLSNLSTKYYGEVTQQMLKHADFPGSNDGTGLFQTIIGLKIRQLYEQIIAGDSSHGLKREQLRRCLRLSPV >Ma11_p07710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6141663:6150547:1 gene:Ma11_g07710 transcript:Ma11_t07710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPQAWQLGNGNTHIIPGPSSRPTARSPRWIIILICLVCVSLIGVYAYPPRRFSACYFFSSSVCSPHNDWLPPIARELTDDEIASRVVIKDILSMPLIQPENPKIAFMFLTRGSLPFEKLWETFFLGHEGRYSIYVHASQEKPVHVSPLFVGRGIRSTKVIWGKISMVEAEKRLLANALHNPDNQQFILLSDSCVPLHNFDYVYNYLLGTNVSFIDSFWDPGPHGNARYTEHMSPEIEEKDFRKGAQWFTMKRKHALIVMADNLYFTKFRLYCKPGFDGRNCYADEHYLPTLFNMIDPTGIANWSVTHVDWSEGKWHPKAYQAKEVTYELLKNITSIDENYHVTSDDKKVKTVSPCLWNGMKRPCYLFARKFLPETLDNLMQLFSIYRVI >Ma11_p07710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6141663:6150547:1 gene:Ma11_g07710 transcript:Ma11_t07710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPQAWQLGNGNTHIIPGPSSRPTARSPRWIIILICLVCVSLIGVYAYPPRRFSACYFFSSSVCSPHNDWLPPIARELTDDEIASRVVIKDILSMPLIQPENPKIAFMFLTRGSLPFEKLWETFFLGHEGRYSIYVHASQEKPVHVSPLFVGRGIRSTKVIWGKISMVEAEKRLLANALHNPDNQQFILLSDSCVPLHNFDYVYNYLLGTNVSFIDSFWDPGPHGNARYTEHMSPEIEEKDFRKGAQWFTMKRKHALIVMADNLYFTKFRLYCKPGFDGRNCYADEHYLPTLFNMIDPTGIANWSVTHVDWSEGKWHPKAYQAKEVTYELLKNITSIDENYHVTSDDKKVKTVSPCLWNGMKRPCYLFARKFLPETLDNLMQLFSIYRVI >Ma11_p07710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6141663:6150547:1 gene:Ma11_g07710 transcript:Ma11_t07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPQAWQLGNGNTHIIPGPSSRPTARSPRWIIILICLVCVSLIGVYAYPPRRFSACYFFSSSVCSPHNDWLPPIARELTDDEIASRVVIKDILSMPLIQPENPKIAFMFLTRGSLPFEKLWETFFLGHEGRYSIYVHASQEKPVHVSPLFVGRGIRSTKVIWGKISMVEAEKRLLANALHNPDNQQFILLSDSCVPLHNFDYVYNYLLGTNVSFIDSFWDPGPHGNARYTEHMSPEIEEKDFRKGAQWFTMKRKHALIVMADNLYFTKFRLYCKPGFDGRNCYADEHYLPTLFNMIDPTGIANWSVTHVDWSEGKWHPKAYQAKEVTYELLKNITSIDENYHVTSDDKKVKTVSPCLWNGMKRPCYLFARKFLPETLDNLMQLFSIYRVI >Ma11_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6141663:6150547:1 gene:Ma11_g07710 transcript:Ma11_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPQAWQLGNGNTHIIPGPSSRPTARSPRWIIILICLVCVSLIGVYAYPPRRFSACYFFSSSVCSPHNDWLPPIARELTDDEIASRVVIKDILSMPLIQPENPKIAFMFLTRGSLPFEKLWETFFLGHEGRYSIYVHASQEKPVHVSPLFVGRGIRSTKVIWGKISMVEAEKRLLANALHNPDNQQFILLSDSCVPLHNFDYVYNYLLGTNVSFIDSFWDPGPHGNARYTEHMSPEIEEKDFRKGAQWFTMKRKHALIVMADNLYFTKFRLYCKPGFDGRNCYADEHYLPTLFNMIDPTGIANWSVTHVDWSEGKWHPKAYQAKEVTYELLKNITSIDENYHVTSDDKKVKTVSPCLWNGMKRPCYLFARKFLPETLDNLMQLFSIYRVI >Ma04_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26382208:26383480:1 gene:Ma04_g24380 transcript:Ma04_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSRFDLRRMQSSVCSSDDVAVLVRVLAVLLLLVVAVSVVSTSTEQKLWAWSVSSEEEISYRPPTSLMHNMSDEQLFEHALRVSKLSKYRSRGTPKVAFMFLAEERISLTPLWDRYFRGYQGLYSTYIHSSQGDYAVNSVFYKRQIPSTASDSKSFSTIDAARRLLANALLDLSNERFVLLSPACIPLFNFSFTYKYLMSSKYSFVEAFDMPGPGARGRYTPKLAPEVGLSQWRKGTQWLEVSRRVALVVVNDTTVYPKFDRLRSEMIVQHEHYFPTVLTVKAAHIIANRSITWELWRSGQFEPVTFGMEDTTEQLLRKINEEHECSHNDKPTAVCFLFARRFAPSALWPLLKLAPTSLGFGSRETY >Ma06_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1553479:1556896:-1 gene:Ma06_g01900 transcript:Ma06_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAATSFSLLPTSSRRRSTTAAALLSGAVALRSPLRRLGFAGAAADPLLAAHVAAKIRSVAGRSGKGSRGVASMAKKSVGDLTAADLKGKKVFVRADLNVPLDENRNITDDTRIRAAVPTIKHLISNGAKVILSSHLGRPKGVTPKFSLNPIVPRLSELLGIKVEKADDCIGPEVEKLVNALPEGGVLLLENVRFYKEEEKNDPEFAKKLGALADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSTPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGFSVGSSLVEDDKLELASSLLAKAKAKGVSLLLPTDVIIADKFAADAESKVVPASEIPDGWMGLDIGPDSVKTFSDALDTTKTIIWNGPMGVFEFDKFAVGTESIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVVALDEAVAVAV >Ma03_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11183648:11186906:-1 gene:Ma03_g14000 transcript:Ma03_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSFATPSLTGGIAQSKKSGLLNEKLKSLSVYHSHLAVPKKSKLQDNRPNLFVRAEYSDGSRGGGGDFVAGFLLGGAIFGTLAYVFAPQIRRSLLNENESGFQKAKRPIYYDDGLEKTRQTLNSKISQLNNAIDNISSRLRGRNNVVTEFVDADSEVESAM >Ma11_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24998586:25001763:-1 gene:Ma11_g20560 transcript:Ma11_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYSSISQLLRPISTDLNTRSLCPSTRFAIRSDFHRGASLASAAAASRGNEARQHSRNRDAQAAPRAPRRLITISTSGGRWQGQWSCEYMFTLRELQLADIAEEGHDDADVFVRLTIQKHASFGFSIVGRIMTSFNRKCSCCLTSYCREIDTTFDVWVLPSSKNGKFELPEIGGNDPSVIYVKPGSEADLDSIIQDTIRLTASAKDTCSESCAKTTIIWQSTDEKKEYDRRWHRLLEIRDAM >Ma11_p20560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24999327:25001763:-1 gene:Ma11_g20560 transcript:Ma11_t20560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYSSISQLLRPISTDLNTRSLCPSTRFAIRSDFHRGASLASAAAASRGNEARQQHSRNRDAQAAPRAPRRLITISTSGGRWQGQWSCEYMFTLRELQLADIAEEGHDDADVFVRLTIQKHASFGFSIVGRIMTSFNRKCSCCLTSYCREIDTTFDVWVLPSSKNGKFELPEIGGNDPSVIYVKPGSEADLDSIIQDTIRLTASAKDTCSESCAKTTIIWQSTDEKKEYDRRWHRLLEIRDAM >Ma11_p20560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24998586:25001763:-1 gene:Ma11_g20560 transcript:Ma11_t20560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYSSISQLLRPISTDLNTRSLCPSTRFAIRSDFHRGASLASAAAASRGNEARQHSRNRDAQAAPRAPRRLITISTSGGRWQGQWSCEYMFTLRELQLADIAEEGHDDADVFVRLTIQKHASFGFSIVGRIMTSFNRKCSCCLTSYCREIDTTFDVWVLPSSKNGKFELPEIGGNDPSVIYVKPGSEADLDSIIQDTIRLTASAKDTCSESCAKTTIIWQSTDEKKEYDRRWHRLLEIRDAIFI >Ma11_p20560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24998586:25001763:-1 gene:Ma11_g20560 transcript:Ma11_t20560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYSSISQLLRPISTDLNTRSLCPSTRFAIRSDFHRGASLASAAAASRGNEARQQHSRNRDAQAAPRAPRRLITISTSGGRWQGQWSCEYMFTLRELQLADIAEEGHDDADVFVRLTIQKHASFGFSIVGRIMTSFNRKCSCCLTSYCREIDTTFDVWVLPSSKNGKFELPEIGGNDPSVIYVKPGSEADLDSIIQDTIRLTASAKDTCSESCAKTTIIWQSTDEKKEYDRRWHRLLEIRDAIFI >Ma10_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3832224:3833275:1 gene:Ma10_g00900 transcript:Ma10_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVEDDVFFADLSKQIAMLIMDDEEEFPVQCPPLPVQGLPCMPRTMMLPSSYGYEEMAIGRERRGTGVFIPLSTAPRRKKRPRRSTWVDNRNDFHGQKLGMSATVASPITITITKPHRPKSLQELECAKEPQIVISNDLSSY >Ma06_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12243508:12248123:1 gene:Ma06_g18060 transcript:Ma06_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANNNSNNNANSIAQQQQQQQHHQQHQQQLLLQQHQQQLFLMQQQQLQLQQQQQLQRQQQQQQQQQQQQSQPSAHHQSPYNLLQQQQAMSRFPSNIDAHLRAPGLRSLQFQAPTPPPAQPSSSQPPTSSNLHHHASQSQPARPAATGVGVGVGRPGNPLEIEMAQKDALMVCNPDFKRPFASVEDAVLRLLPYHVVSDYEAEEDDRILDSDTTGHIFSRIQQWDHNVLTKIAEFTTTFEKQVLAFNIMSRKRAQGEFRSEERLMMEQFLMQEEKQALLGIRAEIESREKAGREAAEAKMRMAMVQAEHARADAQAHAEMYVRAPVRAGAAGSLGDEVSGHDMGQEQAGNMDEIHGWGNAQREDEEPSEDFLNDENEPENGDTGEQGEWREAGELDLNTR >Ma07_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29335535:29336306:1 gene:Ma07_g21250 transcript:Ma07_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLNVCSSSSCDSEAEERGVVEKSRWNDASGIVTCLSVNTRRLLSDQFSMRETKIEVKWEKRRQKILLRRRIIVGSDGDGGGAKEKEQEREGEEMRPSARTTSLTDEDLDELRGSIDLGFGFNEEEGGHDLCDTLPALNLYFAVNREFSDPPKLQPLPSPPDSTPVATSSWSTLCSIPGPPSPNEPSQPGSTDSWKICHPGDSPQHVKTRLRHWAQAVACSLRQSC >Ma07_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9976674:9981318:-1 gene:Ma07_g13240 transcript:Ma07_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAVRGVPTFLHSSSSSSSATRRSRVSFSSLPSHSRACLRRLHPFQQGTLPSRFISYGNRNHCMALQSDASSVVEAPLKGNELFLRTMISGMEKVYLSRNPTAKAILELVRKDDGEHICYDHFAFRTFGVDGHGIDSMAKIFLYFGYKPREELRFPAKKLRALWFAPPSTGYGENGTGVDGALPRIFISELLVDQLSYESQEVIRKYIKTSDSGYEHAALASTMGCLTWEKPLYSDYQLLSRESEYAAWTLVNGYALNHVTISTHRLKSHIRKIDRFNQFIHANGFKLNSEGGILKVSPDGLLLQSSTVADTTSFKFADGVTEYVACSYIEFAERLLLPEYENIPDEEAQEFHRRDGFEVGNADKIFESTSRDQVTRKAA >Ma05_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:745912:749836:1 gene:Ma05_g01270 transcript:Ma05_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLACLPKKDLRGGIGSRSRRGSNSRSQRKTAASEDELLHHQALAMAIHQHQLSRRFDGSMSRRIGSTSSRRRDLPDSVTNGKLPPAFLENLETRKIILVHGEGFGAWCWYKTMSLLEESGLLPVALDLTGSGIDQTDINSTTSLEDYARPLITCLQSLPDDEKVILVGHSCGGAIVSYALESYPRKVSKAVYVCATMVLNGQKPFDVFSEELASADLFLQESQFLVYGNGRDKPPTSIMFDKQQIRALYFNQSPPKDVALATVSMRPIPLAPIMEKLSLTTENYGTVRRYFIQTLDDHILSLDVQERLIPLENALNDPS >Ma05_p01270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:745567:749834:1 gene:Ma05_g01270 transcript:Ma05_t01270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLEESGLLPVALDLTGSGIDQTDINSTTSLEDYARPLITCLQSLPDDEKVILVGHSCGGAIVSYALESYPRKVSKAVYVCATMVLNGQKPFDVFSEELASADLFLQESQFLVYGNGRDKPPTSIMFDKQQIRALYFNQSPPKDVALATVSMRPIPLAPIMEKLSLTTENYGTVRRYFIQTLDDHILSLDVQERLVRENPPHGMYKIKGSDHCPFFSKPQTLNKILIEIVQIPLENALNDPS >Ma05_p01270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:745914:749834:1 gene:Ma05_g01270 transcript:Ma05_t01270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLACLPKKDLRGGIGSRSRRGSNSRSQRKTAASEDELLHHQALAMAIHQHQLSRRFDGSMSRRIGSTSSRRRDLPDSVTNGKLPPAFLENLETRKIILVHGEGFGAWCWYKTMSLLEESGLLPVALDLTGSGIDQTDINSTTSLEDYARPLITCLQSLPDDEKVILVGHSCGGAIVSYALESYPRKVSKAVYVCATMVLNGQKPFDVFSEELASADLFLQESQFLVYGNGRDKPPTSIMFDKQQIRALYFNQSPPKDVALATVSMRPIPLAPIMEKLSLTTENYGTVRRYFIQTLDDHILSLDVQERLVRENPPHGMYKIKGSDHCPFFSKPQTLNKILIEIVQIPLENALNDPS >Ma11_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6767590:6770819:1 gene:Ma11_g08530 transcript:Ma11_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAMELVKCLSESDCVKVDKRSYRECAGEKVPSISSECVGLRETYFNCKRGQVDMRARIRGNKGY >Ma01_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22654471:22658392:1 gene:Ma01_g22020 transcript:Ma01_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGIWFFSIVICTYLLLQQTNGQTLEQSHQIGKIEGNTGDVLEDGPVGSLKVFVYELPSKYNEDLVEKDPRCLTHMFAAEIFMHRFLLSSPVRTLDPEKADWFYVPVYSTCDLTKSGLPLPFRSPQMVRSAIQQIALNWPYWNRTEGADHFFVVPHDFGACFHYQEEIAIKHGIMPLLQRATLIQTFGQKNHVCLKEGSIVITPYASPQRMQAHLLPPYIPRTIFVYFRGLLHDGINDPEGCHYARGARASLWLNFKNNPLFDISTYHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEDIAVFVAENDIPKLDRILTSMPMEVILRKQNLLANPLMKQAVTFSEPAQPGDAFHQILNGLARKLPHDGSIYLKPGEKILNWTAGPVGDLNPW >Ma04_p33370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33027998:33034755:-1 gene:Ma04_g33370 transcript:Ma04_t33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGGASSSDPKGKKDYSTAILEKKKAPNRLIVDEATNDDNSVVSMNPETMEKLQLFRGDTILLKGKKRRDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCQNVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVSPDTEIFCEGEPVKREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRSHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDESIDAEILNSMAVTNEHFKTALGSSNPSALRETVVEVPNVSWDDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRHQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERKKSENPEAMEEDEADEVAEIKATHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSERSEAAGGATGSDPFATSAAAADDDDLYS >Ma03_p29010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32045161:32045307:1 gene:Ma03_g29010 transcript:Ma03_t29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELSNKLQSLNEILYCLKAKSDNFINPWNLMRMNQPIMVSAENMFQY >Ma09_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:390666:391133:-1 gene:Ma09_g00510 transcript:Ma09_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRNNIFDPFYLDVWDPFRGFPLDAFRSLSETRPGFVSETSASANTRIDWNETPEAHVFKADLPGVKKEEVKVEVEEGRVLQISGERSKEEEEKSDKWHRVERSSGKFLRRFRLPENAKVDQVKASMENGVLTVTVPKEEAKKPEMKSIEISG >Ma08_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7563347:7569063:-1 gene:Ma08_g10350 transcript:Ma08_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGILRGGDTPAVGSASSCQGSSDASEVTGSSGGVSDLTDLIKAQIANHPRYPTLLSAYIECRKVGAPPEVATLLEEIGKESYSSVGGGEIGADPELDEFMESYCHVLVRYKEELLKPFDEAASFLNHIEMQLTDLCKGPSTAPTASAATGNSPSEEVIGSSDEELSCGDLETLEGQECGSRMADHELKQMLLTKYSGYLSNLRKEFLKKRKKGKLPKDARLTLLDWWNSHCRWPYPTEEEKAKLAEKTGLNQKQINNWFINQRKRHWKPSEDMRFALMEEVSGGSSRTMLYFDSGTIGP >Ma08_p10350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7563347:7569185:-1 gene:Ma08_g10350 transcript:Ma08_t10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGILRGGDTPAVGSASSCQGSSDASEVTGSSGGVSDLTDLIKAQIANHPRYPTLLSAYIECRKVGAPPEVATLLEEIGKESYSSVGGGEIGADPELDEFMESYCHVLVRYKEELLKPFDEAASFLNHIEMQLTDLCKGPSTAPTASAATGNSPSEEVIGSSDEELSCGDLETLEGQECGSRMADHELKQMLLTKYSGYLSNLRKEFLKKRKKGKLPKDARLTLLDWWNSHCRWPYPTEEEKAKLAEKTGLNQKQINNWFINQRKRHWKPSEDMRFALMEEVSGGSSRTMLYFDSGTIGP >Ma03_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26224434:26226385:-1 gene:Ma03_g21210 transcript:Ma03_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLRRRSIAALSNGRGVMAVLFLLALLPLTPRCAAQSSAPSSHDSGPTNPTTLSPSTATIIAVLISAFFFLAFFFVYIRQCANDDDPSNQHVGYEAVARSGRRRGAVGMSPEVLEMFPMMSYSDAKALKVGRGTLECAVCLSEFEDDETLRLLPGCCHVFHPECIGAWLASHVTCPVCRSDLSTVSLEQPPASSAAAAAPDHVVVVDQSPTEEETIELTRIGSERREARSRRGRRSTKLPRSHSTGHSLAQPQEGEDVDRYTLRLPEHIRREIFAARKFHRSTSCVAFPVAGEGSSRPGYRGGAAAGGEGSSRGGRTVRAGVSDRWPSFLIRTLSFTIPAWKRGDNEGSVKKGEAEGSSRGRFGGVRTPFDCLGGGGRGDAPGDERGPTQ >Ma07_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8449816:8451463:1 gene:Ma07_g11380 transcript:Ma07_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFFHHGFMLQMQTPHEEEHQLLTPSPCTNLQDLRGTASMVGKRSVSFSGMDTCREMSAEEDLSDDGSQAGEKKRRLTVEQVRTLEKNFELGNKLEPERKMQLARTLGMQPRQVAIWFQNRRARWKTKQLEKDYDVLKRHFEAIKSENEALKAHNNQLQAEIMTLRGREPSDLINLNKDTEGSCSNRSENSSEINLDISRQSVDKSPLHPHQSSTFFQPVRPGDMDQIFQSSSRPETQCPKLENGGHEGTLSNLLCSMEDQSAFWSWSDHHSFH >Ma04_p32890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32771097:32771991:-1 gene:Ma04_g32890 transcript:Ma04_t32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPALALAISFALLATCIAQSPASSPTATPPPASSPPPAAAPPPVATPPPATPPPVATPPPTTPPPAATPPPATPPPVATPPPAVVPTASPPPAPVAPTVAPTPSTPTTPSPAPATKSPSSSPSPSPTTPSAPAPAPTTSTPADGGSGAYVHGVSMGVVALLGGVALLM >Ma10_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30247784:30254220:-1 gene:Ma10_g19840 transcript:Ma10_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIDSSSLDGAVTTADPSPNHGWQKVTYAKRQRRPQPPADPDRNLPDGLPHPNDRSHVFDSLEEKARERRRAIESAAAAAAAAEAGGDRSRLAPAASDDEDDDSGPEDVRGAQENGGEAPKKEKQKKPKKPKVTVAEAAAKIDAADLDAFLAEISALYESQQDIQLMRFADFFARSFASVSASQFPWTKMFKESPVAKIADIPLCHISESVCKTSVGWIALKSPEALGNFVLWCLDGILAELGSQQSAAKGSKKSVQHTPSNSQVAIFVVLAMTLRRKPDTLVNLLPKLRDNPRYQGQEKLPVLVWVIAQASQGDPVVGMYSWAHYLFPVVCGKLQANPQSRDLVLQLVERILAGPKARAILLNGAVRKGERLVPPTALDLLMQMTFPAPAALVKATERFKAVYPTLKEVALAGSPGTKTTKQASQQLLPAAVVAIQQNIPELTKEAADIFIWCLTQNAECYRQWVKNVDASTVVLHKLSSEWKSCASKISPEALRVTLKNLRAKNEEALSQDMNASKLTSIKDADKCCKAILGKLAHHSGYMKGGVFVLVLAVGIYFALFPSLESFDWEKLRVVFSSLQSSVKNFIQPHIGN >Ma05_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32175480:32180457:-1 gene:Ma05_g20520 transcript:Ma05_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRANWPHDIDVSVAEKVFLRQCKRKKATKTAIADDESPVAQLDSGSADDLKSIKETPEEKQTSFHGSMSGVFAWSNGLSRSITLAGRDAGWCLLIGTVALPGVVVLLHRRLLSGVPDNGPSRSKPSQEAQLAEDERKNRWA >Ma04_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5863946:5866386:-1 gene:Ma04_g08140 transcript:Ma04_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCASAPSFCCRLIGAHQRTAPSKPSPRRCLLLPTRCNAQIPSPRRSANYQPSSWSDEYIQSLRNDTKVQEDNARRMGKLTKDVKQLIYMKKGIEDQLQLIDHLQQLGVSYHFKEDIKDALWKIYRSMEEVNMLLKDNLHATALMFRLLREHGFAVSEGVFNRFIVEKGNLKASLRHQTEGLVSLYEASHLAQEGEHMLEEAINFTTKQLKSLTEGSLEPHLREHVAHALELPLNWRMPRLQTRWFIEASQREAKMNPVLLELAKLDFNRVQSIHQRELREVSRYFELALLESCHTKANCLITTIDDVYDVYGTMDELELFTDAVDRWDVNATGKLPEYMKICFIALFNTTNDTAYNVMKEKGLYIIPHLKKALTYRSHPPSFHQGYTPILEEYLENALLSVSGPLILTLAYCTSDDVSQDTLEDFHSCPEIARRSSMILRLCDDLGTSKDELERGDVSKSIQCCMHESSLSEYAAREYIGRLIRKNWRAINGDQSFTSRFEENLKMMFINIPRMAQCMYQYGDGHGKSDRVIEDRIRSLLIEPILL >Ma04_p39440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36598912:36600142:-1 gene:Ma04_g39440 transcript:Ma04_t39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALGLGACSPAAAELGDASMAERHVEWMARHGRAYKDAAEKEQRLRIFKSNVEYIESFNAGKRKYQLAANQFADLTHEEFKAMHTGFKPSGTGAKKAGNGFRHGSLSSVPDSVDWRSKGAVTPIKDQGLCGSCWAFTVVAAVEGITKIATGKLISLSEQQLVDCDVHGKDQGCQGGDMDAAFEFIVNNGGITSEANYPYEEVQRLCNAHNASFVVATIESHEDVPTNDEKALRKAVANQPVSVGIDAGSSLDFQFYSGGVFSGECGTDLDHAVTVVGYGTTSDGTKYWLAKNSWGETWGENGYIRMERDVAAKEGLCGIAMQASYPTAGTPRTQSSSATAAESILHAWFMLLCVIVVCVVVT >Ma05_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7448637:7455181:-1 gene:Ma05_g10340 transcript:Ma05_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRRSHTSSSSPSSSSPKSSWIHLRSLLIVASSPLPDRGSLRSPWSRRKRKHALTRQQWNNLFMPDGKLRDGGVKFLKKVRRAGIDPSIRAEVWPFLLGVYDLKSSKAERNAIRVQKRKEYEKLRRRCWRLLNHGNDDEIEFNEIIETSYSDSPSLIQRCDSTCSEEVFSARDSFSDEQRSPCNGTMEQVYEETPASSLVIMEGDDKSGITRVDASVDDTDSSDSESTDEEPASILMLSSTGCSEADPKLSEIDSVMGETNRSDPKAEDFATWQRIIRLDAVRANAEWIYYSSVQAGVSEDEAFKFANAVGLKDFDHLEPCQVYHASRLVAVLEAYALYDPEIGYCQGMSDLLSPILAVMEDDHEAFWCFVGFMKKARHNFRLDEVGIKRQLNIVSKIIKSKDSHLYRHLEKLQAEDCFFMYRMVVVMFRRELTFEQTLCLWEVMWADQAAIRARIGNSVWARIRLRAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWKLLDDAHHLVVTLHDKIE >Ma02_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22779433:22787914:1 gene:Ma02_g14870 transcript:Ma02_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPDEEAALKAGVLKHGPGKWRTILKDQEFSGVLCMRSNVDLKDKWRNMSVTAYGWGSRERARIALKKSRQISKHDGTPMSISTVVKDIDNEIVDVKPLAMSSEPLQITGQKRTISRFDDLILEAISNLKEPTGSNKTAIALYIEDHYWPPTDSKQLLSAKLKALTACGRLIKVKRKYRIAPTSAFSEEKSSKFLLLEGRQKEPRVDIKSLSKSQVDSELTRMRNMTAQEAAAFAAQAVAEAEAAMAEAEQAAREAEIAEADAEAAQAFAEAAMLTLKNRNATKRMIRV >Ma08_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8625342:8627677:-1 gene:Ma08_g11620 transcript:Ma08_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVKYLIGSAGASGFGSRSTGEEVTAAAPDLRSITAIITGATSGIGAETARVLAMRGARLVLPARSLKAAEETRARIAAAFPGADVIVLPLDLSSLSSVRCFVARFLALHLPLNLLINNAGKFSHEHAVSEDGVEMTFATNYLGHFLLTKLLLPKMAETASETGIQGRIVNVSSSIHGWFSGDCLRYLDLMTRKKIRYDATQAYALSKLANVHHTKELTGRLERMDADVTANCVHPGIVRTRLTRDREGLVTDLAFFLASKLLKTIPQAAATTCYVATHPRLAGASGKYFADCNEASPSSLASSPHEAGRLWRASESMTAPRFGPEPAFPSDSPDEEM >Ma07_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11171506:11174956:-1 gene:Ma07_g14880 transcript:Ma07_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNNSPPPSSSSYRSFTSLADESFGRKDADPSARSSSSYQGYFSTVIPPASAVIAKDLSHSDLCWTLNKQRVEGRIASARCTNAAGRSQGSPGKKPITQNKDGKPVYPNESTEAPYFGSSVNYGARDFYTSSSSNQTTESSTNYINNAGEHLGNPHAADRGEWWQGSLYY >Ma08_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3158569:3160866:1 gene:Ma08_g04540 transcript:Ma08_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAEKIGQMSQIERATATAEVIEKYFIGSVLSGGGSVPAPQASAETWMNMITEMQKSALSTRLGIPIIYGIDAVHGHNNVYGATIFPHNIGLGATRDPSLVRQIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVEQMTEIIDGLQGTIPANSRKGVPFLAGRKNVAACAKHYVGDGGTYKGINENNTVIDLHGLLSIHMPPYYHAIIRGVSTVMVSYSSWNGVKMHANRRLVTDFLKNTLRFRGFVISDWQGIDKITTPPHANYSYSVTAGISAGIDMIMIPNNYTEFIDDLTDQVESKIIPMSRIDDAVRRILRVKFTMGLFENPFPDPSLVDQVGKQEHRELARDAVRRSLVLLKNGKSADAPVLPLPKKTGSILVTGSHADNLGYQCGGWTITWQGLGGNNLTIGTTIFEAIKATVDPTTQIVFSEDPDAGFIERNHFSYAVVVVGEQPYAETFGDNLNLTIPEPGPSLIQKVCGSIKCVVVVVSGRPLVIEPYVGVMDAVVAAWLPGSEGQGVADVLFGDYGFSGKLPRTWFRSVEQLPMNVGDRHYDPLFPYGFGLTTKPARAQQ >Ma04_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10549427:10555410:-1 gene:Ma04_g13930 transcript:Ma04_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRQMEVHYINTGFPYTVTESLMDLFEGLTYAQADAVLAEAIHDQGNTYWSTMHPYKYGFSGSSNNSYYDFGHTFDIYDYTQRLDGGRRAWDNPAALNNLGLPQQTQQSNEFAHANRTPRTEECIQVQQNVSGSQVIWQDNVDPDNMTYEELLELGETVGTQSRGLTQECIASLPVTKYKCSFFSRKKSQRERCVICQKEYKRGDRQIILPCKHVYHSTCVTRWLGIKKVCPICLAEVRCEEPKLQ >Ma02_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18837311:18840357:-1 gene:Ma02_g08530 transcript:Ma02_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGGRLPTWKERENNKRRERRRRAIAAKIFSGLRALGNYKLPKHCDNNEVLKALCREAGWIVEDDGTTYRKGCKPPPPPPEVATGGPSTNISPCSSSHLLSPLSSSFPSPVHSYHASPSSSSFPSPSRLDNSNNPSVNPSCLLPFLRNLSTLPPLRISNSAPVTPPLSSPTASRPPKIRKPDWDYSAFPQSLFAASAPASPTRGRYHGLPAAIPECDESDASTVGSGRWINFQMTAPASPTYNLVNPGAVPSTNIASSPGGEVLERGRGGMEFEFESGRVKPWEGERIHDVAVDDLDLTLGVGSTASK >Ma03_p04590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3018126:3025632:-1 gene:Ma03_g04590 transcript:Ma03_t04590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCIIEPPGPAPQQKTAQAEDHRRRPLVPSEKNNAFPASGKPRTRDATSRYKSGISSTPASAPSKPRRSASPVTGRTSQAQETSLPKRAQSAERRRPSTPAKVSEPFSTSSRPSTPSSPSSRPTTPVRDTVTEARNGTRRLLSSRAPDGLWPSMRSLSSSFQSESTSVSASKREKVVLAGSTDQLTKTSANKETERKKTPLRGRNTIEQSENSRSLENLNARIINQHRWPGILGGRVSANGLSRSVDLTSDKATRSASSPVSSRGASPKRMPTSDGSARGLQQPVSEVARQLAIDGSKRVELDVKSGINSTSQASGRSSSVTRPSRTQSLPSPVSQRPASPNRALSTTSSNSRGISPFSQRPASPNRALLTPSSNSRGVSQVPQRPSSPNRVLSTPSSNSRGMLSPSRTRPSTPISSSGSTMIRAGATSSALNYIADARKGKKIPNHVEDGHQLRLIYNAASQWHFVNAQAEEQLSIQKMRAEKILYNMWHTILKLRDSVIIKRIAMQHLRQESKMSTILNEQIAYLNHWVALEREHCSSLSGAAEVLKASTLRLPVTGGARADVLSMKNAVSSAVDVMQAMGSSICHLLSKVDGMMSLISELSFVVARERALLDECRELLATTAAMQVHESSLRTHLIQQRQDALTLE >Ma03_p04590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3018126:3026379:-1 gene:Ma03_g04590 transcript:Ma03_t04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCIIEPPGPAPQQKTAQAEDHRRRPLVPSEKNNAFPASGKPRTRDATSRYKSGISSTPASAPSKPRRSASPVTGRTSQAQETSLPKRAQSAERRRPSTPAKVSEPFSTSSRPSTPSSPSSRPTTPVRDTVTEARNGTRRLLSSRAPDGLWPSMRSLSSSFQSESTSVSASKREKVVLAGSTDQLTKTSANKETERKKTPLRGRNTIEQSENSRSLENLNARIINQHRWPGILGGRVSANGLSRSVDLTSDKATRSASSPVSSRGASPKRMPTSDGSARGLQQPVSEVARQLAIDGSKRVELDVKSGINSTSQASGRSSSVTRPSRTQSLPSPVSQRPASPNRALSTTSSNSRGISPFSQRPASPNRALLTPSSNSRGVSQVPQRPSSPNRVLSTPSSNSRGMLSPSRTRPSTPISSSGSTMIRAGATSSALNYIADARKGKKIPNHVEDGHQLRLIYNAASQWHFVNAQAEEQLSIQKMRAEKILYNMWHTILKLRDSVIIKRIAMQHLRQESKMSTILNEQIAYLNHWVALEREHCSSLSGAAEVLKASTLRLPVTGGARADVLSMKNAVSSAVDVMQAMGSSICHLLSKVDGMMSLISELSFVVARERALLDECRELLATTAAMQVHESSLRTHLIQQRQDALTLE >Ma03_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3018126:3025632:-1 gene:Ma03_g04590 transcript:Ma03_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCIIEPPGPAPQQKTAQAEDHRRRPLVPSEKNNAFPASGKPRTRDATSRYKSGISSTPASAPSKPRRSASPVTGRTSQAQETSLPKRAQSAERRRPSTPAKVSEPFSTSSRPSTPSSPSSRPTTPVRDTVTEARNGTRRLLSSRAPDGLWPSMRSLSSSFQSESTSVSASKREKVVLAGSTDQLTKTSANKETERKKTPLRGRNTIEQSENSRSLENLNARIINQHRWPGILGGRVSANGLSRSVDLTSDKATRSASSPVSSRGASPKRMPTSDGSARGLQQPVSEVARQLAIDGSKRVELDVKSGINSTSQASGRSSSVTRPSRTQSLPSPVSQRPASPNRALSTTSSNSRGISPFSQRPASPNRALLTPSSNSRGVSQVPQRPSSPNRVLSTPSSNSRGMLSPSRTRPSTPISSSGSTMIRAGATSSALNYIADARKGKKIPNHVEDGHQLRLIYNAASQWHFVNAQAEEQLSIQKMRAEKILYNMWHTILKLRDSVIIKRIAMQHLRQESKMSTILNEQIAYLNHWVALEREHCSSLSGAAEVLKASTLRLPVTGGARADVLSMKNAVSSAVDVMQAMGSSICHLLSKVDGMMSLISELSFVVARERALLDECRELLATTAAMQVHESSLRTHLIQQRQDALTLE >Ma10_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25218960:25223094:-1 gene:Ma10_g11840 transcript:Ma10_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKARPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLTQLKEGFGEGKDLVVTVMSAMGEEQICALKDIGPK >Ma10_p11840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25218960:25223064:-1 gene:Ma10_g11840 transcript:Ma10_t11840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKARPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLTQLKEGFGEGKDLVVTVMSAMGEEQICALKDIGPK >Ma10_p11840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25218960:25223123:-1 gene:Ma10_g11840 transcript:Ma10_t11840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKARPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLTQLKEGFGEGKDLVVTVMSAMGEEQICALKDIGPK >Ma10_p11840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25218960:25223120:-1 gene:Ma10_g11840 transcript:Ma10_t11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKARPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLTQLKEGFGEGKDLVVTVMSAMGEEQICALKDIGPK >Ma02_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26438797:26441609:1 gene:Ma02_g20460 transcript:Ma02_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSSPIPSESAPLNNSRLELSSNIISYSVAAHVPSSSLYSSALSKSKGVLGKFDDAYVSGWLDAMKSSSPPCKQLNMDVISEPSIDETNEAYCTWMMRHPSALTSFEQILSSIRCKKIALFLDYDGTLSPIVDNPDFAIMSNEMRAVVKSASKYFPTAIISGRSCEKVYKFVKLTELYYAGSHGMDIMGPTRKNNFGDGHANYTVKNDELGNGVHLFQAPSEFLPIINEVCSSLVDITEDILGAKVEYNKYCVSVHYRLVDEKLWPEVARRVLSLVDGYPRLRVTHGRKVLEIRPVIDWNKGKAVEFLLKSLGLSNRDDVLAIHMGDDKTDEDAFKVLRDSNLGFGILVSSVPKETNAFYSLRDPSEVMEFLKSLVKWKEDSATAAP >Ma07_p28930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34812498:34812695:-1 gene:Ma07_g28930 transcript:Ma07_t28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLFATAFLAAPLTLYVPLMRTLNPFVEALEVFFREAAMFSRRAYRWIRLGVRRILAAASSRS >Ma05_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36750479:36753582:-1 gene:Ma05_g24530 transcript:Ma05_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYHHHRLSAPSPIPIPARVYPPSSSSPFPSTPSSASTPSKRRRPQYHSYSSSSSAAATSLLILLSLRSLYSLLPFVRSSPASTSLFPFSFLVSLLSFALSLLVPPFPESLKSLLRLPSSPFPLRSLAAKSALLTLTLLLRFHSLRYCPAGAAILADFAGALLAKSLSDHRPRAPASRRRIFGLAALATAVALLSYSWDRIGCFPISSSPPPIEGCARIAPMLLPLLSGFLGFYERASSNWGSLKQLGRRRVRLLSLGFTTAMLFVPACISFLFVDSGDGSAESIGALGWPLINTVVFGVVLGENYGDDKLLFSAKDFRRDFLLTFFCTLVLELFYFPRLSLPGFLFCGILLWIGVRELGSSSLSYVELGSSDHSESLSSMITKPIRHILSERKSRKIALFLLINTGYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANSQFNYGRGRFEVLSGYVNAVFLVLVGALIVLESLERILEPQEISTSSLLVVSIGGLLVNVVGLIFFHEEHHHAHGGSGTCSHSHSHAHSHDHHHHHHHHHDHHGHKHVNESVGHDSDVQHAVVVSHESTGGHSHSGHDHHVCNHDGHHHQNELSKNESFKCHGHGHDDHHSHGHRHDDHHSHGHGHDDHIHGHEHEHQVHTDQDHRTLGHGHQRADVFDRSSHTEGHSHGDKESHSSKELPSLSHSSANIHNYYLQSGESSDKEKSLSDSHKHQHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWTVADPACSIFISVMIVSSVLPLLRNSAEILLQRVPRAREHDFRLAVDKIVRIKGVQGIQNMHVWNFTNTDVIGTMHLHVLAETDKISAANSSSKLLHEAGIRDLTIQVECVKG >Ma01_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6930693:6936115:1 gene:Ma01_g09660 transcript:Ma01_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTRKGRARPIRAVVGWVRRRSPKVKASLSVIAGMAALVFLRFIVHDHDKLFVVAEAAHALGISVLIYKLVKERTCAGLSLKTQYLTALFLAVRLYCSFVMEYDIHTVLDCATLATTLWVIYMIRFRLKSSYMKEKDNFTIYFVVLPCAILAFAVHPSTTHNVIDRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVSRFLTCAHWVLQVLDTRGRLLTALGRGLWPPMVLLAEIVQTFILADFCYYYVKSVVGGQLVLRLPSGVV >Ma03_p33420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34768005:34771427:-1 gene:Ma03_g33420 transcript:Ma03_t33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTDGRVVSYETGKAFADEIGIPFLETSAKDSTNVEKAFMTMAADIKDRMASQPGGSASKPTTVHMRGQPVGQKSSCCS >Ma06_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6701578:6703575:-1 gene:Ma06_g09610 transcript:Ma06_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVWFVIITKFCEAYKSFHVLHNTCIWTGDILYSQKKAWKDPNNVLQSWDPTLVNPCTWFHITCNNENSVIRVDLGNAGLSGGLIPELGRLTQLQYLELYANNISGPIPAQLGKLTKLVSLDLYHNQLSGPIPSSLGNIKSLKYLRLNGNGLSGIIPKKVLDLVLTGNLTEMNVSDNELFGTIRTSKKRVTTITQDAKISS >Ma08_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35861072:35866566:1 gene:Ma08_g22190 transcript:Ma08_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMISNHTRKDSEDTTIEFGKATNSINIGISPVKIAHVERQSSTESEESSESSDEVRAITERSRPIIRSATPRRSASPMRRVQIGRSGSRRSTALTIKSLNYFPTRERIASNRDEDENSSRDEMTEQPTKKPENTVRRMSVQEAINLFESKQKDQNLDVQKRRALGEVSSSTNKAVLRRWSAGMSESLTRSQENASESTSQDTSANLVLGVGDNKLTDVKVESDIPSGNFSATESDIVQIVKSPHLEKDSSPGLVISGAQETDDRAAASAEWSRRKEEELNQMLMNMMERRPGNYRGTNGGCGGSLSVSNEHRGDFYSQYKEKRDEKLRAENVNKHPVMETQLKVFQETLKQSKADMVSKSGVSTRKLDWSGNSQQPRRNSSPPVLHKKEDSKATATRKSLPNTSSLPTTHASWSSGTSLKASGVQQAKTSPRVTSANANLSRRKSQPTASPTPPSSKTERILHQPKGKLEAKTDVKPTLVVQGEKKQKTTPRTHKTLKANAPLPPEDDSGTATAKPSFYNKVTKKSSVVPLEAKPFLKKGTRTRPGVGPMITKTKVAQSDASSKISDTINQAEVKEPILKITESTAKVVEVDLSQQANDVDADLDTLQHNDLSVGKAETLDQSLAEVDNGLKNSVEPPVAEIQPDESIGISSAAWVEVECEEVSTGNGTILSEASVSTMFAPLPLSSPRVRHSLSQMLQADSNEPEVIEWGNAENPPAMIYHKDAPKGLKRLLKFGRKSKGEANVTGWASPSVFSEGDDDTEYSKNLDTMRKTALQPKSYDQQKTMPDESLCDGNSSKRAVEYHGVHDVLSGSDKFQECHVSSAATSTKATRSFFSLSTFRSNKSGETKSR >Ma05_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8161828:8163948:-1 gene:Ma05_g11300 transcript:Ma05_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYLDLQGFIVRARVLKLYRQALRTARRAPTHARDELRQTMRQEIEKNRYCDDKQKIRFLISEGLQRLKGLDEMLDMTGHS >Ma01_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2196776:2212628:-1 gene:Ma01_g03380 transcript:Ma01_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREAMEGSDSAPMTYEEHEELLSCIRDHRARLGVEAPKVEVRFEELSVETEASVRKRVLPTLPNAVINTAQELMGWLRLYQANRKPVKVLNGLRGIVKPSRMTLVLGSPGSGKSTFLRALSGKLDPSLNVTGKVAYNGQKMNHYISQRMCAYVSQYDLHHSEMTVKETMEFSRKMLKAGNEIEMHKAVSTAKIEASIQEERNISAMENDSSKDEGNFITNYILKILGLHECADIIIGDEMRRGISGGQKKRVTIGEMLVGLAQCFFMDDISTGLDSSTTFQIIKFLQQMTHVLDLTMVISLLQPTPEVFELFDDIILLCEGQIAYQGPREDVLSFFESMGLGCPDRKNVADFLQEVMSKMDQAQYWMGNKSTYQYLSVQKFSDSFESSQFGRLLQEQLQKPSSIEESEQMVKLKEIYNVPKWEIFKACFSREKLLMKRNSPVHIFKTIQIVLLAFVIMTIFLRTKMKHQTVADGYLYMGAIFAGVVIVKFNGMTELSIMVQRLPIYYKQREVLFLPGWALLLSITVLSLPMSFIEAGLWTSLTYYVVGFAPSAVRFLQQFLALFCVHQMSMSLFRFIAVVGRTQLMANTLGTATLVSIYILGGFVISKDDIQPWLVWGYWLSPMTYGQNAVAINEFLDQRWNMKTENGESTGDTVGKTILRSRGMLTEWHWFWYSVMILLLFALVFNILSIFALEYLRAPQKSRSNKNMWPKDFKRIAVSDDQATTGTSQSRMSLPFQPLKMAFSNINYYVDMPKQLKKNGMKEDRLQLLQDVSGVFRPGVLTALMGVTGAGKTTLLDVLAGRKTAGHIEGSIKISGYPKKQETFARISGYCEQSDNHSPCLTVFESLWYSAWLRLPSNVDANTRNIFINEVMELVELKSLKNAMVGLPGVSGLAAEERKRLTIAVELVSSPSIIFMDEPTTGLDARAAAIVMRTVRKAADTGRTIVCTIHQPSIDIFEAFDELLLMKKGGQLIYGGPLGKLSKTMIQYFEGISGVPKIRDGQNPATWMLDVTSPNMEYKLGVDFGNIFRNSSAYKRNMKMVDEMSKRQSNAEDIHFTSKYAKGFWSQCVSCLWKQHRSYWKNPEHNVVRFIITITVSALFGIVFLDIGSKIRMEQDVFNILGAMYGSALFIGFANASVVQPIVERERTVFYRERAAGMYSSMPYAIAQVAIEIPYILIQAILFSVIVYPMIGFPFVAAKFFWFMFFLLLSFIYFVLFGMMTVALTPNQQIAALFSFFLFIIWNMFSGFFVPRKMIPIWWRWYYWADPAAWTVYGLMVSQLGDKEDPLIAAGTSGETVKDFLKGYLGLQESYLPLIVSLHIAVIVLFLFVFGFSIKYLNFQRR >Ma02_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27692027:27692654:1 gene:Ma02_g22320 transcript:Ma02_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQALQHIQENTDEVGPAGWRPREKSMKPDPRQGVPGRGTV >Ma07_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7535573:7535821:-1 gene:Ma07_g10080 transcript:Ma07_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLSPKATKGREEEKHGGVSGKRWLGFCIKKFQTRALFHLKLLPWLVQMEEETILIIGILHPCKIVFLLIHHLIWLHHII >Ma05_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10448138:10450550:-1 gene:Ma05_g14330 transcript:Ma05_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGGSRQGGGEEGIPPESLFLYGSGSGGGGGSRTEEITTYTRGFELWQQHQHQHLYTSTTAGLLSFSDEPLHAGGTVGPTLSMRGSGGGGGVSCQDCGNQAKKDCVHMRCRTCCKSRGFQCPTHVKSTWVPAAKRRERQQQLSATAALQHQSQRLRIGGSTTAALTRGGGGDDSGGEPSKRAREIVNRRPTAITSTSSGGDGTEAVSFPAEVSSPAVFRCVRVSPMDDAEDEFAYQTAVSIGGHVFRGILYDQGPDAQYPFHGDQPSSSTAAAAITTAAAFATNLTAAPPTSSTAGLLDPSALYPTPLSSFMAGTQFFPHHPRP >Ma05_p14330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10448138:10450550:-1 gene:Ma05_g14330 transcript:Ma05_t14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGGSRQGGGEEGIPPESLFLYGSGSGGGGGSRTEEITTYTRGFELWQQHQHQHLYTSTTAGLLSFSDEPLHAGGTVGPTLSMRGSGGGGGVSCQDCGNQAKKDCVHMRCRTCCKSRGFQCPTHVKSTWVPAAKRRERQQQLSATAALQHQSQRLRIGGSTTAALTRGGGGDDSGGEPSKRAREIVNRRPTAITSTSSGGDGTEAVSFPAEVSSPAVFRCVRVSPMDDAEDEFAYQTAVSIGGHVFRGILYDQGPDAQYPFHGDQPSSSTAAAAITTAAAFATNLTAAPPTSSTAGLLDPSALYPTPLSSFMAGTQFFPHHPRP >Ma02_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6318237:6318701:-1 gene:Ma02_g00750 transcript:Ma02_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYATSDALMYRVFPITLRGPACAWYSGLRTGTVTSFDQLARDFELNFLASARPKPSVTLLLGLHQREDESLSHFVNHFATQIWGLSDAHPSLLMQAFMAGLRPSRFFWSLVERPPTALPEMLQRANQFVAAEAWMAGKQEERTRVRPELARG >Ma06_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20182275:20182780:-1 gene:Ma06_g23040 transcript:Ma06_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRVRLSPARELS >Ma09_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4377713:4379969:1 gene:Ma09_g06870 transcript:Ma09_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRLLPLFHFCHPKSFSSSSSSSSSSSYPEIPTLYSFLQPSIFAIRTTKGPQNPPPSPSPTSLSSPGKTLTPEDTAALESDLLSALRSSRTDDAWKSFKSLASVPRLPRPDAADALVAHLASLRDRHNLKRAFAAAVFLLERRPGTLSIASLEALLRAMDSANTPAPALALVRSMLKNRVFPPFAAWGSFLIRITRRNGCFDAFLRVFEESCRLVLDENVEPMKPDMASCNEVLDGCCRELGSVAAAERVVEIMSTMGMSPDLQSFGSLAFLYASKGLESRIVELDKLMDALGFSDKMIVLKNLISGYVKSGSLESVSSEILRALKERHDKSSYCLDEDTYGEVVKGFTDGGRIKDLASLIIQAQELESSQDSVGIDRSIGFGIVNACVGLNLLDKAHSILDEMNAQGAPVGLGVYSSILKAYCKEQRTAEAAQLVTEISAAGLQLDANSYDALIDASMSAQDFQSAFSLFRDMREARIPDLKTSYLTIMTGLTENNRPELMASFLDTVVDDPRIEIATHDWNSIIHAFCKVGRLEDAQRTYRRMIFLRFEPNNQTYLSLINGYVSGEKCFSVLILWTDIRKKEFVQFDHDLVDAFLYAMVKGGFFDAAMQVVEKAQELKIFIDKWRHKQAFMENHKKLRISKIRRRNFRKLEALIAFKNWAGLNA >Ma00_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:10239466:10241342:1 gene:Ma00_g01680 transcript:Ma00_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRRSGSHPPPSLFHRPTSPSNRSRRSLLLDSLFVMSATVISGGEAVAAAAAVEVEFAACDCCGLTEECTPAYIAGVREQYGGRWICGLCAEAVQDEIRRSELLISPEEAMVRHASFCRSFRSADAAAVDPAEQLIAAVRQLLRRTLDSPRAARSTPSSPRTTVTRTRSGFPALLG >Ma09_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3908883:3910228:1 gene:Ma09_g06070 transcript:Ma09_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETCAASFTYFFFFSFAISAAQQTDAVYWSPPNVAVSFRPSVALVIGIFALMFFLTFLLIYGKVCHSAAAAHATDLLVADAAGHGRFILPQDRFSGIDRTVIESLPFFRFSSLRGVRDGLECAVCLSKFDDAEVLRLLPKCKHAFHVRCVDRWLEAHSSCPLCRCKVNADDDGLFKYSTSSRFLFASDRLEASGRDLELFVEREPNDAGNLRGSSGFSISSSFPNTDKWRELPTLEEGASGGRILHQFKHKIIVSDVVFKSRWSDVNSSDLISLDLEMLSGKDVVWNVESTRSSASEGKASADDKLLRIKEEMEKKRLLEIKASQINKNNSMSVPSISSSGTNTRALISSGNRSMSDITNVSRFMQLKDPGNSATHGDEDEKARKLWLPIARRTVQWFAGRERRSQMQCSRRESTGV >Ma06_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10489424:10495860:-1 gene:Ma06_g15460 transcript:Ma06_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MDAFGGFFVDEKAIRVENIFLEFLKRFKHDPNAVEPFYEAEIEAMRHKESTTMYVDFSHVMRFNDVLQKAVSEEYLRFEPYLRNACMRFVMEQKSSENRQPIIADDNPNRDINVAFYNIPLLKRLRDLTTSEIGKLTSVMGVVTRTSEVRPELLQGTFKCLECGGVIKNVEQQYKYTEPIICMNATCANRNKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTLVAVPDIMALTSPGERAECRREAPQRQNVSGGHGGVSGLKALGVRDLSYRLAFIANSVQIADGRGDGDIRDRKIDVDDTDKQEFTQEEEDEVMRMRNTPDFFNKLVDSICPTVFGHQEIKRAVLLMLLGGVHKLTHEGINLRGDINVCIVGDPSCAKSQFLKYTSNLVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREDALAPAFTTAELKRYIAYAKSLKPQLSSEAKNVLVESYVALRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLENVVLPAHVRVAVKLLKTSIISVESSEIDLSDFQDHEDGVAVNVPDQDAAELASDGISPAAENGGNEQGSESHQKKKLVITEEHFQRVTQALVMRLRQHEEAVAQDGSGLAGMKQGDLIIWYVEQQNAVGAYSNTDEVREEVKCIKAIIERLIQREGHLIVIDDGTSSAASDGQARRSSENRILAVAPNYIVE >Ma01_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7505987:7514696:1 gene:Ma01_g10420 transcript:Ma01_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKIENEESVVRCKNRKLFMRDAVASRNAFAAAQAAYAVALKNTGAALSEFGQSELHDHGQSHASAAAASSSSSSSSVAVGMKPSLPPPPPPPPSDSSTSPAAPIQRSASMPADFAISKSKKKSLGKIPADASIREEDEAEHADDDHSAARSSSPAPPPQPQPQPQPQPAPPAPPPSSETWAYFFGSNDHMIPPNLSQPEETTWRETIETEQEKRAPPSPVRTDGAPGDETQATPTKVVDEPSPPPPSKQVKKVKHGGSADHQHAASAGASETKRSKTPAPSVNLIQILNELDDHFLKASESAHEVSKMLEATRMHYHSNFADNRGHIDHSAILMRVITWNRSIKGLSQDVVKDDFDNEEWETHAAILDKMLAWEKKLYDEVKAGELMKIDYQKKLALLNKQKKHGANSMALEKIKSVVSHLHTRYIVDMQSMDSTVSEINRLRDDQLYPRLKELVNGMAKMWETMRFHHGSQLKIIKEIRGLDIPLAPRETSKQHHNRTVQLWKMVGEWDSQFDKLMSNQKDYIKALNRWLNLNVVPIERSSRKDASSPPEQADPLIKILLHAWHDQLENLPVDRAKSSLSTFSGAVQAIMLCQVEELNLKLKWDDAHRDCERKKHEFDVWHHKYTERITATSSDEVNPETVEGATQQDLVSEKKAVVDNAESKLRVSEESYRKQCKMVRDKSLTSLKTHLPELFRAMLDFASSCAEMYKRLSSIRESQSLVND >Ma06_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8234094:8237118:-1 gene:Ma06_g11810 transcript:Ma06_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTREENVYMAKLAEQAERYEEMVEFMEKVTGAAMEGEELTVEERNLLSVAYKNVVGARRASWRIVSSIEQKEESRGNEGHVAAIRDYRAKIETELTSICGGILRLLDERLVPSASAADSKVFYLKMKGDYHRYLAEFKTGSDRKDAAESTLAAYKSAQDIASAELSSTHPIRLGLALNFSVFYYEILNSPDRACDLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGVDEIKEAPKGEDQ >Ma04_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:70861:92895:1 gene:Ma04_g00050 transcript:Ma04_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAKLDDSPMFRKQIQSLEESAESLRERCLKFYKGCRKYTEGLGEGYDGDIAFASSLEMFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEQMLNERLLQFVDTDLHDVKDARKRFDRASLLYDQAREKYLALKKGTKADITTVLEDELHSARSSFEQARFDLVTALSNIEAKKRYELLEAVSGTMDAHLRYFKQGYELLHQMEPYIHQVLAYAQQSRERSSYEQAALIERMQEFKRQIDRESRWSANGSNDSPNGDGIQAIGRSSHKMIEAVMQTAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQWSRSSGGHHPNQRGHNSSEHGPGLLSRWFSSHYHGGVHDEKCVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPEQRLLTSPMSGGHHRAASESSSFGSSCDLDHLASEESSLEKCSIGGHFDCSIRSSQQYRFNSKHEKPIDVLRKVCGNDICADCGAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTVANTIWEESFPSTSNGKCENVSSFCIVDKTQGYYCINKPKHSDPITVKEKFIQAKYVEKLFVHKTAADQLSVAQKMWESVRANDMKAVYHHIVASNADVNIIYGQASVNSSVTLAKAMLLQDQPTAVLDYSSSCLLGDSLQKSSTMSSFSSVGTSDNINEVDDCFEGFTLLHLACLTSDMAMVELLLQYGANVNSIDLKGRTPLHHCILRGKHLFAKLLLTRGADPHATDEDGKTALQCAIEAGNIKDEEIIVLLGDPNR >Ma05_p26450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38047306:38051837:-1 gene:Ma05_g26450 transcript:Ma05_t26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLSAPHSLGTTIIGVTYDGGVVLGADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADSQVISDYVRYFLHQHTIQLGQPSTVKVAANLVRLLSYQNKNMLQMGIIVGGWDKYEGGQIYSVPLGGTILKQPFAIGGSGSSYLYGFFDQAWKEGMSKDEAEKLVVKAVSLAIARDGASGGVVRTVTINADGVTKNFYSGDSLPLWHEELEPHNSLLDILSSSSPEPMST >Ma05_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32405856:32407834:1 gene:Ma05_g20740 transcript:Ma05_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHSCCYKQKLRKGLWSPEEDEKLIKHIAKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNRWSKIAAHLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLAEVEGGDEKVPSNGEKNSSSNDLRFPASPANDKLAAKELTPSTSAVDKPLDESTTPKGSSTPTKELLLDQLLATHESTLSCRSSISMGCFPLPQLSRAPDFCGNPTTLAALPISSNPLLWLNHTATQYDVNPELNCNIAISTDAPSLSTTILSTSMVLPPSCAGDDATNWYAGNCDSSRRSMVNDATSMALQSSCSFDSGISAWSDLTPDKDVQVHLGEDNQDLKWSEYLHGAFPATAATQAQCQPLYLDVKRGGQFAMNGLSTWHQSPQLQQQSHASDIYAKDFHS >Ma05_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16316361:16317580:-1 gene:Ma05_g16390 transcript:Ma05_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKAMDMDAVSVNFDTNGGMKKHSTRGMVTTGSSLASTSKEKPLPHHYLRASTNSCHDFCKYGRKHVSEAETKPPSFLPNSNNKLKKHPLLPSTGKEKPLPHYIRSSYTNSCHDFCKYGRKHAAEAETKPSFHPRSNKILTSREKPYQVNNLDVEEIGNRPAVKIKLSSHTKAEFSDKPDVSKQKATSTIIEHQHPESSGPRRLQFRRGRMVGGSINGGDLERNKFQRIQMGEAITDSSPEAQTVVLKHQEKQEKKNAQYVCNNMIKESMKKKKKRRKKEAEEMVEEGLGVKEEEQKEKIHTYKRLKEQEEG >Ma04_p36090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34584986:34585938:1 gene:Ma04_g36090 transcript:Ma04_t36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVFGSPTSAEVARVLTCLFEKEIEFQLIRVDNYKGQRRLPEYLKLQPFGQALTFEDGKLTLVDSREICRHLADKYADQGNRDLLGSGTLERASIEQWLQTEAQNFDPPSSALVFNLAFAPLLRLDQDWEVVEQSMKKLGKVLDIYERRLDETDFLAGNKFTLADLSHLPNAHRLVADDKCRSLFKSRKKVYRWWEAISSRPSWKRVVRMQQEPPHIV >Ma10_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15589542:15593320:1 gene:Ma10_g04950 transcript:Ma10_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSRRRPKTKIVCTLGPASRSVEMIERLLRAGMNVARFNFSHGSHAYHQETLDNLRTAMENTGILCAVMLDTKGPEIRTGFLKDGKPVHLKKGQEITITTDYSINGNEDMISMSYKKIAEDLKPGSVILCADGTITFTVLSCDKESGLVRCRCENSSILGERKNVNLPGVIVDLPTLTEKDEEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIKGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDLAVQTMAKICLEAESYLDYGAVFKGIIAAAPVPMSPIESLASSAVRTANSAKASLILVLTRGGSTAKLVAKYRPAMPIISVVVPELKTDSFDWFCSDEAPARHSLIFRGLIPVLSCATAKSSDTEATDEAIDFALQHVKATGLCKSGESVVVLHRVGAASVIKILTVQ >Ma04_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2571009:2571788:-1 gene:Ma04_g03330 transcript:Ma04_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSGSFTSGMSRSRRWNDSGELDVFEAALYFSGEVTDVDLGLQRAWRTERRGSDTPTEATLFHQPRKVESRFKDKKHKQPCSPGGKLANLVSSFFHQAASKKKSKAVSPSQSFKEEYGERLLRRRRNSINHPEIMTGRDYSNSIFSSDRRSGTGVSSPYPIVPLSSERENWYDKRVAGAFLLAERSKLITDGFAGNTWVEKGSYRMLHNREAHQWAEAFIEKEDKCRRREEEEEEEDDGGSDSSSDLFELKSYDLVF >Ma04_p37910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35614305:35618577:-1 gene:Ma04_g37910 transcript:Ma04_t37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQVIPPEHISYVHCNFCNFCNTILAVSVPGNSLFNIVTVRCGHCSNLLSVDMRALIQTNPFQGFPICNIESQGNGMECGLPSKSTMTSLLYTMPKDQEQELPIRAPGKRQRVPSAYNKFIKEEIQRIKANNPHISHKQAFSTAAKNWAHFPHIHFGQTFDGNKLAKLDEPVAAPGCQKGQSFF >Ma04_p28580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29627520:29627816:-1 gene:Ma04_g28580 transcript:Ma04_t28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNFQEEKPLINRQINCKVLGRFIIRGDNSSLEINVGRCRASTPFTVWKFSRGSISKIQRLTYVVPLVIRSYFL >Ma10_p30950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37168354:37183874:-1 gene:Ma10_g30950 transcript:Ma10_t30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKRSDLLAAGRKKLRQFRQKKDQKGGGKDGQSSSNGIKLEKDVDPGERTNKISEPDPGTLTSAVDSDVSAMDLLDPSSAVHHVDLRGVDSAQVVDQGSQDEGGDAEQALIELPVVGEGSSSAFEIVHEEVRPPGLKQHDDESSDVGISVIDGETHEAGGNVLMVALDTDREKQGATTADPGSGLEAAQDVVGLPYLPGERNKVQGDPVYSAENSGIVVLGIESGKEDHEMEKIELQTDVIASKNLEVERAPEAYGFPILAEESQVLGTNFYHEHDEMAPQVSSDRIISLEDSSEMSLPCQDTSIKNTSAEGLTPRHHVVPNWSTSPGEKSEVPYLVTNDKDISAKQNLEMASSNIGTSDESIQIEEYSENPSSSQLTSDNTVSLRENVEIPYLLETDSAQEKEEISAMVDGESLRTTVEEVVQGQCRSSGELPEEISSSPQEMAEELKEEDYYPMSGSTVPVSSSVECYMLNRVVHDGVADELEKFTRYLYLTSVARDFLQFQLDEQTELNHEICKRSSVEHSKLQGFLQETYRNNIVVNEELAQCRYELGAMHAVKEELEIRLLSKNEELEVLNNNFLELQSNLKVSQQELVQLSSELAACMCSLETAQKENVNLITSLTLETDLRKKIEEEKEFLASQNANLASDLLEQKERLCTALDKQNQLECIIKETGSYFEQLAEDNMYLSSSLSLHIAKLKEVEAEHFGSALLFKEAEFQENDYHVERVAPHDVAEDLQSTKGTSGVSCHGQSPLLHKVDNEQSDSFSILGVLKGHVQHAKDILQNLENSIEGLHLYSVLSSRSDGRAGASGVSKLIKAFESKENTEIASEEVHVSRGGLSDDSYALTKEQTSSLRGTIEQIELEIGKAEVHMGKEWNRRTISKSFQMDSQSLKQKSDSIQAKIDELVGNMSKNSCRIEDLQNQFDEIQQDVHDQSMKIYSQVELLQNEMNEIFFISNQERDSIMDAILRAIEKLNKYTASQISDNCDVGSHVMASVDAATKSFVNLHEKLDAANLKYNTLHDSYNEQNKLLSTVLERNEFSASQMHKMYVSLWEHLYESHKSIGTIDAGMKADEILQLLPERYEMLIMHLRKLLDERLLFISKNNELESVLLNKNEEIQDLNKYSDALAKKLEDLQHAKNGLEAILMKKDEEFEESNKKCLDLASKLDCCGSKFDLCAPKLAESAKVTQMSDSMNNDSFSSLMQLEALVGSHIQEHEGTIEQLKLSKKCLLEVNIFPEISYDNLSLPLPMLLKVDFIPKVMELQAQLDSLCVSDIKHEIDLQFFKEYIGMMKEALEASRSELHLKARELEQSEQRLSYVREKLSIAVAKGKGLIVQRDSLKHSLAEKSSELEKCLHELQSKEAMLQEAEAKLKSYSEVDRIEALESELSYIRNSATALRDSFLLKDSVLQRIEEVLEDLDLPEYFHSKDVVEKIELLSKMVAGNSSFRMNDWDKKSSIGGSHSDAGFVVMDSWRDDSQAISNPEFDELKSKYEQLERKFYGLAEHNDMLEQSLVERNSLVQKWEEMLDKIDVPPQLSILDPEDKIEWLGKTLSETQDERDALQMKIKNLEASSDMLVVDLEESYKKLSEVSAEVVAIESEKDFLSESLSKLNFEYLGLSEKVVQHDIDSDNFQREIDFLQKKLAEKIQGCDMEKEIWGLINLVRNAFYESDMSVALSDGNAIKCLEELVSKLVDEYTNLTSEKVLPKDAEELASNRSTLAIGDSVTGDVLHDKEQELINMRVELDKASSHVDLVKNERDEAIDRYHSLMLEIEAISRQQKLLQEEMTAEMEKNKSLLLQLDMMHKEKNALQEQLTQEEEKSASTREKLNIAVRKGKGLVQQRDGLKQEIEEMNTMIAHLKSENNQRVEAFESEKKILVNQLAEAEQNLKISNQTLSRLLRALDGIDVGTEINNTDPLQKLEEIRKINIELHSSLISAEQEAKKSKRAAELLLAELNEVQERADILQEELGRAEAALFEASRQKDDAESARAVALRHLEENDLLHSEERKQQIDNLVELNSGIGQIKKVCFEFSDLLINTFTRHADLLSYIGTFVESIEKQLNCEIVTDLPSDCLFHEENLNSHHPVSELQLYELSEEQSVAENIGFSIQYVLECVSECNDLKRKIHKHFFSFDQQASHLLKIMEAVERKFSSQKEESDSLKRAISELELSIRGKENEICVINRNLSLLYQACSDLITDIENGNSQIAENNLALVGQSVTRSRQSPSEIGRQDIGNHQILTDDCIRSLVDKLFLIVKGTRNDETTELKAMISELQRELGERDVHTSRIAEELVSQIRNAEAVAKRSMTELDSAKTTICSLEKQVESMENDNRLLESRVAELKDLEASINGLHERIHSLNDSLTVKDQEIEALMEALDEEEAQVEDLEKRNKELKNMVEEKSLTLENLEASHEKTLAKLSTTVTKFDELYNLSESLVAEVENLQSQLQSQESEVSFLRQEVTRCTNELLASQEINKKHSSEVHELLKWFDMMISRFGSSNLHMNGEDSQIRLYTDILDKSIASFMAELDDLRMKVSQQTCRTLPGPSEVEQMKNESASAGIVTHMRSGRKFNNDQIAIAIDTEKDDHVIDDEDDDKAHGFKSLTMSRIIPRVSRPITDKIDGMWVSGERLLMRQPTLRLGVLMYWVALHALLASLI >Ma02_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26716214:26719326:1 gene:Ma02_g20920 transcript:Ma02_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFDPWPVFFKREFNRNWPFLVGFAITGCIIVKMTAGLTEEDAKNSKFVQEHKRK >Ma11_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27492700:27494099:1 gene:Ma11_g24580 transcript:Ma11_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRHQRSPGSDRFLGLFSPPPPDQPAGVELHESDVLWTSLDPAPSLPETAKPSPSSFLSRSSRGAPRGLRDRSFGILAALPEDDGGCVPAAAPPLLQRKPSISSSSNSPSSSTTTAARMIPAIPRAKPEYSLSVPVGKVHRPQSLPINVPVVPRRTTGLGVEGADGADDGGGDEHEMMMPPHEIVARTHGRGSRMTTFSVLEGAGRTLKGRDLRRVRDAVLRQTGFVD >Ma06_p26420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28262757:28275151:-1 gene:Ma06_g26420 transcript:Ma06_t26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLSQLESRYCNACQKQEVLPNSSILSCLSKVKHQKVHFEQCSLEILIDNLTFSDIPPLIDTLSGISSSDVDAVDVSQKSSDVSIDGESLLLLLRAVNHKLRAVNFINSSFWKDVLRDIFQRGTTCQVLNLRFSPIRKLNMTGKFMELHTLNLDFSVHLNSFHINCFSCMPKLMRLSMCETRVANLWMTSAALSKLRSLVELRFQTCLCCFDTGPCPTSGGVIRLGNEKIFSDKQQFSSYLGSRCVDNDDMLEQTMEHSTDDNLGRLCSDNLSFLNHDSLKSTTQVLSDESDSEFSSDTKEVDNLRKISDTVIEFNNQANSNVIVSPSKHSTPSSIISSVPTLIPTFEEVMSSSRRLNDSNSFIGHANADEFCCQHNYIDNFTITEEFSKDEGSLTNSLKVKNVTMTSLKNHISSHPSPICFETYYKEYMITSLPNLLVLDNLPIGDVEREQAKLVFKRYFECAPYNRQGKDSVVSIVQRREISSGVFFQRSSKVKQRYLRESNHSFLRSLSAAKVNSSPQPHSHSISNFRSGPSEETKSFRPRQFEYHPTDPRLMVFGTLDGELVVINHESEKLVGYLPSVGTTLNSILGLCWLKKHPSKLIAGSDNGSLQMYDVCRMLSKVTDRYCNMDASMHTFDDFEQLTSVHINSNDEYILASGFSNHVALYDMCSGRRLQIFKDLHKEHINVVKFAYHSPTLFATASFDKDVKMWDLRQGMSQPCYTASSSRGNVMVCFSPDDYYLLTSAIDNEVKQLLAVDGRLLTSFDITSTGHAQNYTRSYYMNGRDYMITGSCEEHVVRICCAQTGRRLKDVHLEGRGSKNSIFIQSLRSDPFRAFHMSILAAHWHPFTKSEIIKVNLLQTEECIEESTSG >Ma06_p26420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28262757:28275121:-1 gene:Ma06_g26420 transcript:Ma06_t26420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSRRFYLILQSYRVYQNDIFQRGTTCQVLNLRFSPIRKLNMTGKFMELHTLNLDFSVHLNSFHINCFSCMPKLMRLSMCETRVANLWMTSAALSKLRSLVELRFQTCLCCFDTGPCPTSGGVIRLGNEKIFSDKQQFSSYLGSRCVDNDDMLEQTMEHSTDDNLGRLCSDNLSFLNHDSLKSTTQVLSDESDSEFSSDTKEVDNLRKISDTVIEFNNQANSNVIVSPSKHSTPSSIISSVPTLIPTFEEVMSSSRRLNDSNSFIGHANADEFCCQHNYIDNFTITEEFSKDEGSLTNSLKVKNVTMTSLKNHISSHPSPICFETYYKEYMITSLPNLLVLDNLPIGDVEREQAKLVFKRYFECAPYNRQGKDSVVSIVQRREISSGVFFQRSSKVKQRYLRESNHSFLRSLSAAKVNSSPQPHSHSISNFRSGPSEETKSFRPRQFEYHPTDPRLMVFGTLDGELVVINHESEKLVGYLPSVGTTLNSILGLCWLKKHPSKLIAGSDNGSLQMYDVCRMLSKVTDRYCNMDASMHTFDDFEQLTSVHINSNDEYILASGFSNHVALYDMCSGRRLQIFKDLHKEHINVVKFAYHSPTLFATASFDKDVKMWDLRQGMSQPCYTASSSRGNVMVCFSPDDYYLLTSAIDNEVKQLLAVDGRLLTSFDITSTGHAQNYTRSYYMNGRDYMITGSCEEHVVRICCAQTGRRLKDVHLEGRGSKNSIFIQSLRSDPFRAFHMSILAAHWHPFTKSEIIKVNLLQTEECIEESTSG >Ma03_p32740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34405244:34406375:-1 gene:Ma03_g32740 transcript:Ma03_t32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCSRCLLNHALRVANLVVNLCGMAMIIYSLWLLKIWSRGVADLDATASSFPTPWFIYTLLGVGIVVCFAAVVGHAVSNSVNPAALSLYIVFVCFILLFQIVVIVEVLFKIDWPTVISGHHDGDTKFVAFFTFHVNICRLVGVVISAAQVNVLVMAIVLRALRPQSSNHCEGPTVPALRYSFLVMNGSDSPPGLPAPATPPPGDHNCEPALKIFRASEVRWMSA >Ma08_p29630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41154029:41159357:1 gene:Ma08_g29630 transcript:Ma08_t29630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTGGNDNGPVDTETPLPTTRRRRKKSSVWEHFTIEEVSGGCTRACCKLCKQTFAYSSGSKIAGTSHLKRHIALGSCPKIKYQNKLALASVAKSDGDTPDPPKRRYRTSGFSSVFDQDQSYVNLARMIIVHEYPLDMVEHPAFVAFVQSLQPQFKMVDVSTIEGEVLSLYQKEKQNLMQAFVTIPGRISLTIGLWTTSQTLGYICLTGQFIDSDWKLHRRMLSFMMVSSPHSENALSEAIGVSLSDWNMKSKLFTITLDNNCSSHDIYSANLRDHLSNKNMLMLKGQLFVVRCYANILNVIAEDVIASIHGIIYNIRESIKFVKASPAREEKFAEIALQLEILSTKALSLDVTTQWNTTYLMLVAALEYKRAFNFLETCDDNYNEAPSADDWMKVEVVCTYLKLLYDSANVVMATADPTANIFFHEAWKLLVELNSATLSEDTMVSGIANEMHEKFDKYWKDCSLILALAVVMDPRFKIKLVEFSFSKVYGADSARYVKLVDDSIHELYLEYVAQPLPLTPAYVDQGEANHINGNDSNVPTVDGLQDFDMYLSELSVNQPSKPEIDQYLEESLVPRIQDFDILNWWKLNYLKYPTLSKMARDILAIPVSMVSTGCSIFSSGTGSRVLDEYRSSLRPETVEALFCAKDWLQYLPTMAEPPSTAIVKMEI >Ma08_p29630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41154029:41159357:1 gene:Ma08_g29630 transcript:Ma08_t29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTGGNDNGPVDTETPLPTTRRRRKKSSVWEHFTIEEVSGGCTRACCKLCKQTFAYSSGSKIAGTSHLKRHIALGSCPKIKYQNKLALASVAKSDGDTPDPPKRRYRTSGFSSVFDQDQSYVNLARMIIVHEYPLDMVEHPAFVAFVQSLQPQFKMVDVSTIEGEVLSLYQKEKQNLMQAFVTIPGRISLTIGLWTTSQTLGYICLTGQFIDSDWKLHRRMLSFMMVSSPHSENALSEAIGVSLSDWNMKSKLFTITLDNNCSSHDIYSANLRDHLSNKNMLMLKGQLFVVRCYANILNVIAEDVIASIHGIIYNIRESIKFVKASPAREEKFAEIALQLEILSTKALSLDVTTQWNTTYLMLVAALEYKRAFNFLETCDDNYNEAPSADDWMKVEVVCTYLKLLYDSANVVMATADPTANIFFHEAWKLLVELNSATLSEDTMVSGIANEMHEKFDKYWKDCSLILALAVVMDPRFKIKLVEFSFSKVYGADSARYVKLVDDSIHELYLEYVAQPLPLTPAYVDQGEANHINGNDSNVPTVDGLQDFDMYLSELSVNQPSKPEIDQYLEESLVPRIQDFDILNWWKLNYLKYPTLSKMARDILAIPVSMVSTGCSIFSSGTGSRVLDEYRSSLRPETVEALFCAKDWLQYLPTMAEPPSTAIVKMEI >Ma08_p09100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6575605:6580017:1 gene:Ma08_g09100 transcript:Ma08_t09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFEATQVVFSRIQSLDPENAAKVMGLLLIQDHGEELIRLAFGPDALLQSVVLKARKELGLLPPATSPSAAASAAPYASLLGRQGFSARFFGGGAGPLAESSSPSWAPPSVFSRTNSNAGGAGDELQSSDELENASNPAPTQFYDGSGDGADLIDEFQLQDQLSFLNDGAATAAHGLQIGPKRDLFYQELDGNFRSPSSHGENALFPYSGGWASAAGNHRRSCSATDNYLGSEAAGLGWKPCLYFARGYCKNGNSCRFLHGQPDDSNAAMAGGGTVDAALEQQCQELLLRSKSPRQLAASAFPYSPTGSVPASLSGTNKSMNFLVQQQSESQRAMAAATAAALMLGGNGSHKFMGRPWMMEKSDFATGMANPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCNARVLVKPYKEKGKVPDKYRKQQQPQAERGDFPGCTTPTGLDTRDPFDFPQLGSRMMFNNSINQEALLKRKLEEQKQVAELQQAIELQNRRFMGLQVLDLKNRNHFNSIATTTTNNITSPIIATTQSNGGSSTSQEEPTCQNKNSNPVAAAAAVSVAAEPLQKAAQQSAVKSQKPDSVDKGESCDGEPTCKVADIGFQQSCSSVEHNLPDSPFASPTRASSSSSSSSTFMGPAFSAALPAEENLVEAASLFGTGNNRLISSTLFPSTTTTLDMPSLNACFFHLPRLSSDQGAVGM >Ma08_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6575605:6580017:1 gene:Ma08_g09100 transcript:Ma08_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFEATQVVFSRIQSLDPENAAKVMGLLLIQDHGEELIRLAFGPDALLQSVVLKARKELGLLPPATSPSAAASAAPYASLLGRQGFSARFFGGGAGPLAESSSPSWAPPSVFSRTNSNAGGAGDELQSSDELENASNPAPTQFYDGSGDGADLIDEFQLQDQLSFLNDGAATAAHGLQIGPKRDLFYQELDGNFRSPSSHGENALFPYSGGWASAAGNHRRSCSATDNYLGSEAAGLGWKPCLYFARGYCKNGNSCRFLHGQPDDSNAAMAGGGTVDAALEQQCQELLLRSKSPRQLAASAFPYSPTGSVPASLSGTNKSMNFLVQQQSESQRAMAAATAAALMLGGNGSHKFMGRPWMMEKSDFATGMANPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCNARVLVKPYKEKGKVPDKKQQQPQAERGDFPGCTTPTGLDTRDPFDFPQLGSRMMFNNSINQEALLKRKLEEQKQVAELQQAIELQNRRFMGLQVLDLKNRNHFNSIATTTTNNITSPIIATTQSNGGSSTSQEEPTCQNKNSNPVAAAAAVSVAAEPLQKAAQQSAVKSQKPDSVDKGESCDGEPTCKVADIGFQQSCSSVEHNLPDSPFASPTRASSSSSSSSTFMGPAFSAALPAEENLVEAASLFGTGNNRLISSTLFPSTTTTLDMPSLNACFFHLPRLSSDQGAVGM >Ma08_p09100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6575605:6580017:1 gene:Ma08_g09100 transcript:Ma08_t09100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFEATQVVFSRIQSLDPENAAKVMGLLLIQDHGEELIRLAFGPDALLQSVVLKARKELGLLPPATSPSAAASAAPYASLLGRQGFSARFFGGGAGPLAESSSPSWAPPSVFSRTNSNAGGAGDELQSSDELENASNPAPTQFYDGSGDGADLIDEFQLQDQLSFLNDGAATAAHGLQIGPKRDLFYQELDGNFRSPSSHGENALFPYSGGWASAAGNHRRSCSATDNYLGSEAAGLGWKPCLYFARGYCKNGNSCRFLHGQPDDSNAAMAGGGTVDAALEQQCQELLLRSKSPRQLAASAFPYSPTGSVPASLSGTNKSMNFLVQQQSESQRAMAAATAAALMLGGNGSHKFMGRPWMMEKSDFATGMANPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCNARVLVKPYKEKGKVPDKYRKQQQPQAERGDFPGCTTPTGLDTRDPFDFPQLGSRMMFNNSINQEALLKRKLEEQKQVAELQQAIELQNRRFMGLQVLDLKNRNHFNSIATTTTNNITSPIIATTQSNGGSSTSQEEPTCQNKNSNPVAAAAAVSVAAEPLQKAAQQSAVKSQKPDSVDKGESCDGEPTCKVADIGFQQSCSSVEHNLPDSPFASPTRASSSSSSSSTFMGPAFSAALPAEENLVEAASLFGTGNNRLISSTLFPSTTTTLDMPSLNACFFHLPRLSSDQGAVGM >Ma11_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21634731:21637078:-1 gene:Ma11_g16030 transcript:Ma11_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWFFVVTAWLTLLPMLSSTVDGARVPAMFVFGDSLLDPGNNNHLVTLAKANYAPNGVDFVGGVTGRFCNGGTVADHLGTLLGLPLIPPFNDPNTTGSHILRGVNFASAASGILNHTGRLYGNLFSMDDQIANFNITLQQLNSQLGDDTESFLAKSLFFLNMGSNDYVNNYLLPFSDKPNKYTPEAFSDLLIRNYNKQLMNLYDLGGRRFLVASLGPLGCIPNQIGNSGGSIDSCVGQTNQLAAQFNTKLRTMLDELTGSLQGSYFLYWDTYSSATEIIDNYADYGFKHQHSACCGGGRSKGQIICLPLLPLECHNRSQYVFWDPYHPTDAFNAIVAKGAFQGTLQAAHPMNVQQLVET >Ma06_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11260997:11262076:1 gene:Ma06_g16630 transcript:Ma06_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASSTMMALALLLLQCLFLFPSALAGVICEELPQELCAFAISSTSKRCLLDSTQNQGGRTEYQCRTSEAVVERMSDWIETDECVRACGVSRDSVGISSDSLMESDFAQKLCSQPCYRNCPNIVDLYFNLAAGEGVFLPDLCEAQRVNPRRSMAQILSSGAAPGPVAPPPVSP >Ma09_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7366080:7366891:-1 gene:Ma09_g10830 transcript:Ma09_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFSSLSALLLLLFPLVLFASFGAADEEKMTHLHFYLHEINSGANATGMTVAVPPGKEFYYETFGAISVIDDILREGPERDSKLIGRAQGLLAQASLEKPALLSAVNFVFTAGKYNGSTFSILGRAVLTELFERAIVGGAGMFRMARGYTLGKVISAEEGYLIMELDAYVVHH >Ma05_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28167490:28176772:-1 gene:Ma05_g19660 transcript:Ma05_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGFSGGGRGSGGACRDPFDLSYQAKDFAENGHDHEAGSPAGTNRSRQSLIFALLKLGLVAITVLALFGSLYWAISISTSARGNVYHGYRQLQEQVVADLAEIGELSLGIARPNELEFCPPEYENFVPCYYNVSESFDLVDSVVPTKHERKCIRDSEKGCLILPPTNYRIPLRWPSGRDFIWKANVKITGQEFSSGSLTKRMMVEEDHISFRSDSFMVDGVEDYTHQIAEMIGLPNESNFIEAGVRTVLDIGCGFGSFGAHLFSKQLLTMCIANYEASGSQVQLTLERGIPAMIGSFSSRQLPYPYLSFDMLHCARCGIEWEKNDGIFLLEVDRLLRPGGYFVWTSQMNTHRSLRDKENQKKWSMIHDFAENLCWDILSQQDETIVWKKTSKKKCYTSRKAGPAVCDRSHDVESPYYQPLNPCISGMGSQRWISIESRTPWPSRVALNSTELGIYGVHPEDFAEDAASWKSVVHNYWSLLSPLIFSDHPKRPGDEDPSPPFNMLRNVLDMNAHFGGFNAALLDAGKSVWVMNVVPTNSPKYLPMIFDRGFVGVRHDWCEAFPTYPRTYDMVHAEGLLSLGTHQKQRCSMLDIFLEIDRILRPEGWVIIRDTALLIETARSMTTQLRWDARLIELDRSSNEKLLVCQKPYFRKQQ >Ma06_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10067869:10070240:1 gene:Ma06_g14730 transcript:Ma06_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGCPTDVRHVTHVTFDRFHGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQCSYDCRGNSVPTILLLMQRRLYEQGGLRAEGIFRINAENSQEEHLREQLNDGVVPEGIDVHCLAGSIKAWFRELPTGVLDWLSSEQVMQCQTEEDCGRLVRLLPPTEAALLDWAINLMADVVQEEEQNKMNARNVATVFAPNMTQMADPLTALMHAVQVMNFLRMLILRTLKDRRRESAVVDAAVCGADPSDDDGHQSPQMHHAACSEEATERAHVAEEAVLDSLPRIATEEEEEAARSSHDDAAFFSENAAGSSTGHEFVASAPKSVHTKTGKSNSQNHKKGRKTKRESTDRASLPADKLNGISNVSRINSKIERVEAWR >Ma11_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16839637:16841404:1 gene:Ma11_g12860 transcript:Ma11_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRGETKKKAVEDRAGGGETKGGRPREVFPELCSPAPVRLTVWCKSLLFNSHGYTVYDDADGRMVFRVDNYAHNWKQETVLMDRAGHVLLTIRRCRKVRPHFSLSLSLSLSLSLSHSWEAYKGDKDVQRIVREQRPLFKATKDLGSPSCTISMFPEDGVKPLGYRMSWSREKEWSKIYQAAANTPVAEIGRKYGSMPMKLLDKDVLALRVQPGMDQALAMAMIMITNSMR >Ma07_p13700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10287748:10291302:-1 gene:Ma07_g13700 transcript:Ma07_t13700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRVTPPPKLGTLARAFHKIRRLRRSATSSASGADVALGEDGYSIHNLKLSQSFSDYSSILSEGGTDFCKVEYEKQLQQKLSSRDKETMESLLANLFASISAIKAAYAQLQMSQSPYDPDSIQSSDLAIVAELKRASELKHSYFWNQSFLPYPAPMPQPALAAQIEEQRNLIKTYQITTNKLEADLKLKDSEIFSLHGELIESQRSNQALESKLHPGLSLCALDDLQLDSLSPANFLAFLRFTFKAVTSFVKLTVKEMESAGWDVDAAATAIQPDVLLLRQKPAVRTFAFQSYVCHKMFSGFHHQHYDLAALGDRSMWGRRQFFDEFTELNRLGSTRKLSRQHQGIAKFLRAKYQSLVHPKMESSFFGSLDRGAAVQDSAFFAGFAEMARRVWLLHCLFFSFEDRSVFQVRRGSRFSEVYMQSVGEEEEEEDDEGVEEVAAARFRPATVGFTVVPGFGVGGTLIPCKVCLASAASADDRRS >Ma07_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10287988:10291296:-1 gene:Ma07_g13700 transcript:Ma07_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRVTPPPKLGTLARAFHKIRRLRRSATSSASGADVALGEDGYSIHNLKLSQSFSDYSSILSEGGTDFCKVEYEKQLQQKLSSRDKETMESLLANLFASISAIKAAYAQLQMSQSPYDPDSIQSSDLAIVAELKRASELKHSYFWNQSFLPYPAPMPQPALAAQIEEQRNLIKTYQITTNKLEADLKLKDSEIFSLHGELIESQRSNQALESKLHPGLSLCALDDLQLDSLSPANFLAFLRFTFKAVTSFVKLTVKEMESAGWDVDAAATAIQPDVLLLRQKPAVRTFAFQSYVCHKMFSGFHHQHYDLAALGDRSMWGRRQFFDEFTELNRLGSTRKLSRQHQGIAKFLRAKYQSLVHPKMESSFFGSLDRGAAVQDSAFFAGFAEMARRVWLLHCLFFSFEDRSVFQVRRGSRFSEVYMQSVGEEEEEEDDEGVEEVAAARFRPATVGFTVVPGFGVGGTLIPCKVCLASAASADDRRS >Ma10_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23542176:23542863:-1 gene:Ma10_g09340 transcript:Ma10_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEATFAFISFLLFASFLAHAGGRPVPVDPKETSLQGVEKEMAERVEECVGVGEDECLMRRTLVAHTDYIYTQEHH >Ma04_p17070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17263243:17276246:-1 gene:Ma04_g17070 transcript:Ma04_t17070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQFLYTGQLPGATPAIPGMFPNMFSLAAGQIPTIPIMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMFAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAAALGPSQPNPNLNLAAVGLTPGSTGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGAVQPRPEHESVLLQAQQQVALQKLVYQAGSLPTKVVCLTQVVSPDELNDDEEYEDIMEDMRAEGGKYGKLVNVVIPRPVPNGEPAPGVGKVFLEYADIDGSTKARQGLNGRKFGGNQVAAVFYPENKFALGEYDG >Ma04_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17263243:17268262:-1 gene:Ma04_g17070 transcript:Ma04_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQFLYTGQLPGATPAIPGMFPNMFSLAAGQIPTIPIMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMFAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAAALGPSQPNPNLNLAAVGLTPGSTGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGAVQPRPEHESVLLQAQQQVALQKLVYQAGSLPTKVVCLTQVVSPDELNDDEEYEDIMEDMRAEGGKYGKLVNVVIPRPVPNGEPAPGVGKVFLEYADIDGSTKARQGLNGRKFGGNQVAAVFYPENKFALGEYDG >Ma04_p17070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17263243:17276248:-1 gene:Ma04_g17070 transcript:Ma04_t17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYGERYEGNGEEYNEYGAAAPPAKGSGFDDFGDSRSQYDSHGRERGSSKSRDKERERGREKERERDKDRERDRDRVKDRDRERDRDGHHRDHKDRSERRERDRDRSDDHDRHHGREYDRHRDHDRDRDRDKDRDGHRSHRSRSHRSRSRSRSKGRSSRRSTSRSRSRSKSKRISGFDMAPPASALLPGATATGQLPGATPAIPGMFPNMFSLAAGQIPTIPIMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMFAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAAALGPSQPNPNLNLAAVGLTPGSTGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGAVQPRPEHESVLLQAQQQVALQKLVYQAGSLPTKVVCLTQVVSPDELNDDEEYEDIMEDMRAEGGKYGKLVNVVIPRPVPNGEPAPGVGKVFLEYADIDGSTKARQGLNGRKFGGNQVAAVFYPENKFALGEYDG >Ma01_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6452989:6455521:1 gene:Ma01_g08990 transcript:Ma01_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLFLLLAPFQDAAADAARDADVLLKFKETLASGGGDVALHNWVAGSSPCRANVTQWAGLLCNLDGSVRGLQLENMSLSGALTTDVLVDLPALRTLSFKNNSFEGSIPDMSKLRALRSLYLSGNKFSGAIPDAMFLGMQWLKKVHLSRNAFTGRIPGSLVGAARLLELRLDDNQFEGHIPDFRQSGLWLVNVSYNNLEGPIPHRLAKMSPNWFEGNEDLCGAPLSDACGSPSTKLSAALIIAIISITISILLVVAALILIARRREKEEEAQTTQLPSSKSIKIEHLPADKPDHRPAHHGGGKKVPKEEQGKLIFVKEGAVKFDIQDLLRASAEVLGSGNFGSSYKAILLEGPAVVVKRFKEMNGVGREDFHEHMRRLGRLSHPNLLPLVAYYYRKEEKLLVTDYVFNGSLAHMLHGGHGSNLPPLDWPARLKIIKGVARGLAYLYEELPMLTVPHGHLKSSNVLLDSAFEPILSDYALVPVMNRTHASQIMVAFKSPECVQSGKPCKKSDVWSFGILMLEILTGKFPANYLRQGRVGTDLASWVNSVVREEWTGEVFDSNMKGTQGGEGEMLKLLHIALACSDTDADKRCELGEALAKIEDLKEYDDGHSLVVG >Ma09_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10652246:10688331:-1 gene:Ma09_g15370 transcript:Ma09_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPDPRGRRSAQVPSKPTNSPSSSTTSSSRQLPEASVDGQSSPASSSVRDKPQYFCTGSEAFDAEGSKENVTVTVRFRPLSPREIRQGEETAWYADGDTIVRSEHNPSLAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRVSYMEIYNEVVNDLLNPAGQNLRIREDSQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSNNFNLLSSRSHTIFTLTIESSSCGDCSEGGAVNFSQLNLIDLAGSESSRAETTGVRRREGSFINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGQGRVSLICTVTPSSSNAEETHNTLKFAHRAKCIEIQASQNKIIDEKSMIKKYQNEIRCLKQELEQLKRGIVTVTPQKDTGEDDIFLLKQKLEDGHVKLQSRLEQEEEAKAALLGRIQRLTKLILVSTKTNQSPIFPPHAAPRRRHSFGEEELAYLPYRRRDMILDNENGVFYAPLEGFGETNDDALKEEKKNKKHGLLNWFKLRKRDSGLTTLTSSDGDKSSVTKSYTTPSTPQAESVNFPSEPGISNSIIPESIPPDDLLDVVHDRELHADDLSFQETPLITIKTIDHVELLREQLKILAGEVALHSSVLNRLSDEAAKNPKNERIQMEMSKASEEIKAKNQQIASLEKQMANSISVTQNRMSKLGLSPSYAELLEQLNEKSLELEVKTADNSIIQDHLQQKICECEELQETIASLKQQLVQTVEMKDFSSVSVKSQYFCEQTNFMESAPSIDVSAKFLHQAHLVSEVQELKQKVSELSDAKTQLEARNQKLAEESAYAKGLASAAGVELKALSEEVTKLMNHNERLAAELASMRNSGQRRVSNGPKNTKRDSHVKRHEPIIKREQIVSRERELEAALKEKEKREAELQKKVEESKQRETFLENELANMWVLMAKLKNAQGNEPGDLESM >Ma05_p28600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39548316:39553385:1 gene:Ma05_g28600 transcript:Ma05_t28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSLVASAALPPAILHPEHRGLCKAPKEFQNLLPLHGRKKDALRSGSVQFNAQHLEDLRRPMHLLLENNQSARISPMIESHVLINMQDSHPDSVLFSSGIAEKCTRNEKILEFLWSGSNIAEGEGLDVSLLSEVMGFHDMTIDMLPSPHLTVDGKSSVYEAEMDDSQHPLHIQRQIYAPEHKLDFVGNSSDTKYFTVHPNGTLLFACSATQMEYLIPTVPEFDLPKRTIIGSKQSLLVPYFTRRGHGRSQSHRQVASPTVAPLKSPEMKLKMLPKKKKNKRIGRERDLYQRNYFHACESLLSVILDKGGSTRVLSLKKSGAEISQLLTQFSAGIAGTGLAVIFSVVYKLACGRVPLCATRLLNTGFGFGLFWLSSAIIGLRDTIIYISKHSGKLNLKEEDITSKLRRSMNEILFRAATLVAVTVLRFA >Ma07_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2261:39103:1 gene:Ma07_g00010 transcript:Ma07_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASALLFSGVRVDRKRFALEIARFQQPKKELEDVECMADSAPEALPDVKANKKGKKRKRKDKDSCDAVEGFSVFKNSESVSSILERNALEATSAEMKKDVEKEIEKASVLRKKHGIHISGHGVPPPLESFAELSSRYNCKPYILRNFSELGFREPTPIQRQAIPVLLSKRDCFACAPTGSGKTLAFLCPMLMKIKPGLKNGVQAVVLCPTRELAAQTARECKKLATGRKFYIKLMTKELSRCGDFEKMPCDIIISTPLRLDLAICKRKLDLSWVKYLVLDEADKLFELGFVEQIDSVVKACSKTTVVRTLFSATLPETVEKLASTVMVDAVRIIIGRKNSASEMIKQKLVFAGSEKGKLLAIRQSFSESLNPPVLVFVQSKERAKELYKELTFDDIKVDVIHADLSQQQREDAVDNFRSGKTWVLIATDVVSRGMDFKGINCVINYDFPESAAAYIHRIGRSGRAGRPGEAVTFFTEEDKPFLRNIANVMAASGCEVPSWILALPKLRKRKHRPQRDSISTIPDDSA >Ma05_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10668827:10669342:1 gene:Ma05_g14590 transcript:Ma05_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSLPPSSLALSLVSVVVLLSTADLAFSLIPPSSSPSPSPVPGGPLRSSPAPSPDSPPSPSPSPSPENLHSPLVPALPPEVPSPAPTPSATPSPAPVPSHEEIQSGEVADQAGRDGEGGGMSGEKKAGIAVGVVLGVAVLAGGAVLYKKRQDNIRRSRYGYATRMEML >Ma02_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17078393:17079742:1 gene:Ma02_g05980 transcript:Ma02_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRFSERQPIGTAAQNQEKDYKEPPPAPLFEPAEFFSWSFYRAGIAEFMATFLFLYITILTVMGVVKSTTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLNRALFYMVMQCLGAICGAGVVKGFQKGLYQSNGGGANVVAAGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSFGAAVIYNKDHAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKNRT >Ma06_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12096424:12097213:-1 gene:Ma06_g17820 transcript:Ma06_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDLTVKVSNVSPKTSRADLIFFFSYCGTVDEIQLQRDGDQSQTALVTFRQPYAFETALLLSGAPILDRSVRILPAGNWKDIPVD >Ma04_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2237684:2238664:-1 gene:Ma04_g02680 transcript:Ma04_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGERALRHPMGHAPPWPVTEHPMAEMQQNDTLPVSDVVGLSAMQHFLLGSSADLDLAADEYACDEFRMYEFKVRRCPRGRVHDWTECPYAHSGEKARRRDPRRFQYSGSPCPDFRRGGGCRLGDACDLAHGVFETWLHPARYRTQPCKDGTACSRRVCFFAHTPEQLRIVLPPSPTSSGKIGVNMFSSPTSTLALLSSPSDGSPPLSPVDDVLAAMRNLQLGKVRSALPFGTRSGFASPASLESDPATRGRRAAAAVADQSAPRLLEDWGRQEEAAEPAPDLAWVSELVKD >Ma02_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7842028:7852167:-1 gene:Ma02_g01080 transcript:Ma02_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAPRWEDPAAGMDLLSLPAGGSVAAATTTSSALALLPPNPVLVATKLAVSFSNKLAARRAVVVRTAISVRASFPSQAEATASGSLWSSTPRTRWMVVMERPPGGGSSKPEVIDYYVETLASVLGSEKEAQMCIYDASWRSQYGFCCDIDEESSRELARMPGVLSVRPDTNEGYGKKNYSYLDQLPADQMKTDGHTRIFPSSIGKNEHWLVRIEKPGVEVVTKAQMVDYYAQTLTKVLGNEKDAQVCIYHISWEKDFGFCCHIDEEYARELADVPGVLSVQPDMNFESENKNYNGNDPDLSETNEVQKIRTKRLFVTGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFIEYTTEEAASAALKEMNGKIINGWMIVVDVARTNPPKYSRGHPRPSSSSVSSN >Ma02_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17779708:17782215:-1 gene:Ma02_g06920 transcript:Ma02_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLEEAEEVNVKKKTRKPLGRILLKGDNITLMMNTGK >Ma06_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21577349:21577618:1 gene:Ma06_g23530 transcript:Ma06_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSVTSSWTTKQNKMFEKALAVFDEGTPDRWHKIARAVGGKTSDEVRRHYELLVEDLRRIEKGHFSYADCPSSGNSSNLFDSTYCIS >Ma01_p01660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1141802:1144876:1 gene:Ma01_g01660 transcript:Ma01_t01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPKMVEDETKDNENITSLKEEQRQLLKEDAPIVDVEDEKDGEDDDDEDDDDEVGEQGLGANENSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFVISKPDVFKSPNSETYVIFGEAKIEDLSSQLQSQAAQQFRMPDLSNAMAKPDESAAAVVDEDEEDVDETGVEPRDIELVMTQAGVSKGKAVKALKAHDGDIVGAIMDLTA >Ma01_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1141802:1144882:1 gene:Ma01_g01660 transcript:Ma01_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPKMVEDETKDNENITSLKEEQRQLLKEDAPIVDVEDEKDGEDDDDEDDDDEVGGEQGLGANENSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFVISKPDVFKSPNSETYVIFGEAKIEDLSSQLQSQAAQQFRMPDLSNAMAKPDESAAAVVDEDEEDVDETGVEPRDIELVMTQAGVSKGKAVKALKAHDGDIVGAIMDLTA >Ma01_p01660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1141802:1144876:1 gene:Ma01_g01660 transcript:Ma01_t01660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPKMVEDETKDNENITSLKEEQRQLLKEDAPIVDVEDEKDGEDDDDEDDDDEVGGLGANENSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFVISKPDVFKSPNSETYVIFGEAKIEDLSSQLQSQAAQQFRMPDLSNAMAKPDESAAAVVDEDEEDVDETGVEPRDIELVMTQAGVSKGKAVKALKAHDGDIVGAIMDLTA >Ma06_p26450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28293324:28295579:-1 gene:Ma06_g26450 transcript:Ma06_t26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASGLLYSWRSRAGRSQSDPDPGTDPLSSSSSASTGDAHPRHPRRYRNRRRRFIGRDPSGGLIFGPQVEPRRPSHIHHQTEHEPVWVGNVNDGESASTGSSNAGSASSTLDRLRLTRNEQLPGVVLQARARLQERLRGVSLLESSTNEIAVGDELRLIDIGELDTENRREWLTAETDEDVRYFNKPPGLSSEAFCSLQLEVFQDREDVNDVARAFLECSICLEKFLEGDEMIRLSCGHRFHPACLEPWVKTCGDCPYCRTRI >Ma04_p27230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28434171:28440541:1 gene:Ma04_g27230 transcript:Ma04_t27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNISLEAIKNETVDLERVPIEEVFEQLKCTKDGLTTGEGDKRLQIFGPNKLEEKKESKVLKFLGFMWNPLSWVMEMAAIMAIALANGGGQPPDWQDFVGIIVLLLINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGHWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSSLTGESIPVTKFPGEEVFSGSTCKQGEIEAVVIATGVHTFLGKAAHLVESTNEVGHFQKVLTAIGNFCICSIAVGIVIEVVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFVRDVDKEHVVLLAARASRIENQDAIDAAMIRTLGDPKEARAGITEVHFLPFNPVDKRTALTYIDLDGNWHRVSKGAPEQILNLCNCNEDIRNKVHYVIDKFAERGLRSLAVARQEVPEKSKDRAGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPASYLLGQKKDESIAELPVDELIEKADGFAGVFPEHKYEIVKKLKERKHICGMTGDGVNDAPALKKADIGIAVSDATDAARSASDIVLTQPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVVGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLREIFATGIVFGGYLALMTVIFFWAMRETDFFPDKFKVRSLRHSNDKMMSALYLQVSIISQALIFVTRSRSWCFVERPGLLLVFAFVGAQLVATLIAVYPKWGFARIEGIGWGWAGVIWLYSFVTFAPLDLFKFCIRYILSGKAWDNLLEKKIAFTTKKDYGREEREAQWAMAQRTLHGLQTPENANLFSDKSSYRELSEIAEQAKRRAEVARLREVHTLKGHVESVFKLKGIDVDNIQQHYTV >Ma05_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7900749:7904438:-1 gene:Ma05_g10840 transcript:Ma05_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILQFFDIGQACSSCKSLMLSSRRNNGLEAPRNTLEFPMKMYQSFQVVHEEILHGTDTERLIAEEIPQITKDQCNRPSVVARLMGMDALPSDIKPLLCAEQLNDEKGPRKEPSTTSNQQASLALKSMRYSNTELFPCNIKQDFDQHTRKLEMNKPQTCEHPQEELLQKFKREFEAWQASKVWEKSVTLELGNDREKKKYTSTLPQEGVEKEKVSRHVDHKRSSFVTKTTETRWHVPTARPNMNQEQDDLLKYEAFASEHNENALTEENSPRDNRRSSFFAPQYDHRMNMSASPRRIVILKPCYEMYHKTEESLGSRVMVDKEKNMHDFLEQVKERLIVELQWKPRFETATDWTRPEAFVTKGFADSKYLVPKCLKQNRGDSEDNKATLMRPKSTRTYRNEIQPSEKAYPEIIDQEMITPLSERVKNVLKDETDIRCPFNSSSTLTMSLSSKEAEKFNLIQQFGKKVTSWDDTKALIGSKSFKQDQGHAFDDGEESSRNLVRSFSAPVSGIAFGKLLLEDKHSMTSVLTCRKHEVSQNDLMEARKNKNGAFDMKSRVTCLRQNFTLKEKLFRKRIQLMDELAEDEFPSMKTTENTPSVLMNLGFAQDNSTEVPPSPASVCCSSHDDNCRPYYPSPVSPLEALLHEDYPSLTASGEPNLPESSLSEEFENDRSGDVTDEIKPTKDELLELESNAKAYVREILFRSGLFNRNHFDQVLWESDTLMKPISMSVFREVRGNISKK >Ma09_p17470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13055247:13055967:1 gene:Ma09_g17470 transcript:Ma09_t17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTESIFHLGEEEGGEAPESAAAITELDCSKYEGLRILIHRSGRPSNYVVIKSLLKPFTFTPMASPPRFGFLRACCFCKRELSLHKDVYMYRGEQGFCSEECRRRQILQDERSELGASTRERSKAPLPHHASTGVDDSGRRRRISAVA >Ma09_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13055245:13055954:1 gene:Ma09_g17470 transcript:Ma09_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTESIFHLGEEEGGEAPESAAAITELDCSKYEGLRILIHRSGRPSNYVVIKSLLKPFTFTPMASPPRFGFLRACCFCKRELSLHKDVYMYRAGESRGSAARNAVDAKSCKMRGVNSERRRGRGRRLLFLIMLPPELMILADAGGSQQ >Ma04_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26798727:26802919:-1 gene:Ma04_g24930 transcript:Ma04_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCDHQRIMLSPLYISPQVSFHSAEIVKSRTPDETNDIATRMEFNRKFMKQVSSGNLFGTGSVAECSPLRPHSPSVNISGGKIMVDVDDMDDNIDHSGILASSKRDTHMTDPGKSTTLNSQQLQKIPSARINSSVQFPKSNKTPSQQTVVACIKSKNSNRIHSGKFPKETSTIEEPKETSTIGEPKEAKEKFSSHTTGERSNSSKDSLARDDTIGLHLTEKSMNGDTVLCHGKGDQSGTQRLNDIAVINDGEAMKTRSQLCSKALPGKGHRATDISGNCHKEDSAKKNGLLEFRDLERKDDASEPLMVDTVPGLVISPDDIVGVIGSKHFWKARRAIINQQRDFAIQVFELHRVIKVQKLISASPHLLLEGNPYLSKCSVKPPNKTLPQCNTNPQPEEFRPKDGLQNPKQNKEQPADNIAGVSALPACEDGSKGGPHGQVPKAGSNSGIPSPVPMAPDDKSRPLCFPPLGNQWLVPVMSPSEGLVYKPYTGPCPPTGGFMAPLYGRGTPLGASQVAGDFINSAFGFSASHRPPNVGILPGPSAIAPLYYPTPYGLQAWNPIISTSAVKQVSNLAGSQPSGQTGQHSRRSCNMSPIPRKEAFPGHAGKFQVSKKSEFQGSTVNIPSEKTQLEERDQFLLFPTAPGASSLNCPLQSNGTDSQTRVIKVVPHNSRSATESAARIFRSIQEGRQQHDS >Ma02_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15579169:15593388:1 gene:Ma02_g04110 transcript:Ma02_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] MANYLAQFQAIKSSSDRLVVSVEDVSDLWPSVKEGFEARLPLKKACLNNKTRNPVYVENLPAEFILTTDARLRSRFPQEQYLFWFREPYATVVLVSCEDLDEFKTILKPRLKLIVQNEEREWFIIYVSKAHPSNDQATKMAKKVYARLEVDFSSKKRERCCKLDLNGADASFWEDFDSKIVESIRNTLDRRVQFYEEEIRKMSEQRLMPVWNFCNFFILKESLAFMFEMAHLHEDSLREYDELELCYTETVNTPGKQRDFGGLEQGDDQAALLKPEFKPLSQIVQDDSFREFEFRQYLFACQLKLLFKLGRPVEVVARGYSFIISFSKTLALHEKFLPFCLREVWVITACLAIISSISSIYDGELAAPDIEKEFYRFQGDLYSLCRVKFMRLAYMIGYGVEIEKSSVNSASLSMLPWPKPAVWPSVPADASAEVLAKEKMILQANPRMKHFSIQRKPLPLEPSSLLREANRRRASLSVGNASELLDVRPTDGSGLDGYQRFSPPSKIYASPMPRTYSGPVNFESSVSLDRPMRLSEIHVAAEHALKRTITDPDLLKSLSSLEEFEKKYMELTKGAADNYHHSWWKRHGVVLDGEIAALCFKNGNYDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDEAGYLSSCVRLLSLENGLFLTKERQVFQSEVVHLAHSEMKHPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVSVWNGFPDEIILESLSLTLMATFNADEGVKTINSSDAHILKPGRNVITLDVPPQKPGSYVLGVLTGQIGNLGFRSHSFSKGGPLDSDDFMSYEKPTRPVLKVLKPRPLVDIAAAVSSALLMNEIQWLGLIVKPIKYSLKGALLSIDTGPGLMIDESHMIEIDDHVKPMEDKVHADELDITRENAISTVEFKQFVLENGKIALPDWASDITTVLWFPVRAIDDRMAVGASADCPQRQSVVDGMRTIALKLEFGAFRNQIFERTIAVHFTDPFHVTTRVSDKCNDGTLLLQVMIHSQVKATLYLHDAWLDLQPGFVHVGKANGRPVSGFFPLVISPSSTAGILFSVCIESMPFGDQTELLQTQSILNIMYGISGDRTNGAHAPAPLKSESNEKLHFKVAIALQRPVLDPCVAVGFIPFSSDCLQVGQLVSMKWRVERLKDMEASPSSSCNDEVLYEVDANPEIWMIAGRKRGHISLSNARGSRIEITVTCMPLISGHVRPPHLGLPGLGDENISCNPAGPHLVCVLPPTLSSSYCTPA >Ma08_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32690142:32711206:1 gene:Ma08_g18930 transcript:Ma08_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAFPSSPHLVLAVVLLFLAFSPHLFVVAEDEITHEDDDAPRSPNCNNKFQLVKIENWVNGTQGTSIVGLSARFGTSVPRLASDAQKNSALLTNPLNCCANLTSKLTNSVALAKRGDCTFTAKAKVAQLGGAAGLLVINDNEDLYKMVCTENDTSLNLTIPVVMIPKSAGDYLKDTLISGQRVEVLLYSPNRPVVDLSATFLWLMAVGTIVCASLWAEIIAGEQIDDRYNQLTRKDQLNAGAENREESEKEILEINAKGAIIFVIAASVFLLLLFYFMSSWFIWLLIVLFCIGGTEGMHVCSVTLISRFCKDCGQKTVNLPIIGEVLILSVVVLPFCAAFAILWAANQHASYSWIGQDILGICLMITVLQMVRLPNIKVASALLSCAFVYDVFWVFISPLIFHESVMIAVARGDNSGGEAIPMLLRIPRFFDPWGGYDMIGFGDILFPGLLVAFSYRYERSKKKGILNGYFLWLTIGYAFGLFLTYLALYLMDGHGQPALLYLVPCTLGLTIILGWLRGDLNDLWNYGKHQTSSIPAEEC >Ma04_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4231261:4231893:1 gene:Ma04_g05670 transcript:Ma04_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRTALVFVYGTLKRGFPNHSLLDDLIRDGDATFVAAGRTASPFPLVIGPLSIPFLIRLPGSGRRVSGEIYTLSPRGLARVDDLEGTHRGHYERLALAVVPVTSGRDGAEADNEEAAAAGAVEAEAYFAHRSYADDMWRRNGERGLGSYSEEDARGFVRPKDRLPDTTFLGEVRRFLASPN >Ma02_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21529360:21532247:1 gene:Ma02_g12960 transcript:Ma02_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREDGGRRTMEGSIRCSANYVPLSPLSFLERAAVVYADRTSVIYGSTTYTWKQTRDRCLRLASALSALGISRHDVVAVLAANVPAMYELHFAVPMASAVLCTLNTRHDSAMVSVLLKHSEAKIFFVDSHLLEIGQGALKLLSESNINPPTMIIISDFNDSIPIVRGVHMSLNADYETLLQTAPPNFEIKWPNDECDPISINYTSGTTSKPKGVIYSHRGGYLNAIATILSNDITTMPVYLWTVPMFHCNGWCLAWGMAAQGGINICLRNVTAKAIFDMIALHKVTNLGGAPTVLNMIINAPITERKPLPGKVGVMTGAAPPPSQVLSKMEELGFTVTHAYGLTETYGPATVCTWKPEWDALPLEERAKLKARQGLHHIGMEEIDIKDPVTMKSVPADGHTIGEVMFRGNTVMNGYYKDLNATMDAMSGGWYRSGDLGVRQADGYIQIKDRSKDIIISGGENISSIEVESVLFSHPTVLEAAVVARPDDYWGETPCAFVKLKDGVNISAEDIMKFCRARLPHYMAPRTVVFEDLPKTSTGKTQKFILREKAKAMGSIFRKGGSKL >Ma03_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:206568:206900:-1 gene:Ma03_g00140 transcript:Ma03_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKNSRTWSFSASHLTCIRWRKQSDHCPQRLMGFSFSILFFKILVSYNCMISFLHLSNLYSCKLYKFLNQIKKKNSFIRVSDIRLKVIFFIAQNNLSSSVQWYACLYLQ >Ma08_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8660455:8667171:-1 gene:Ma08_g11670 transcript:Ma08_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDEATFAAATGYEAMYANGDAGDDPRLPPTPRSGKAAVGSGGDLMDVEAYAALYTGRTKVARLLFIAERCGNEGMQLEAFRLAHDEIKKGEDSHLYREAMAKIGDRLGPRYAIDQVWADAVDRRAEVRKEKLENELNIYKTNLIKESIRMGYNDLADFFYAHGQLGDAFKNYVRTRDYCTTSKHIIHMCLNVILVSIELSQFMHVSNYVSKAEQTPEQLDPVTHSKLRCAAGLAHLETKKYKLAARKFLETGHELGNNYTEVIAPQDVAIYGGLCALASFDRTELKNKVIDNVTFRNFLELVPEVRELINDFYASRYASCLEYLENLKPNLLLDIHLHDHVETLYTEIRHKAIIQYTIPFISVDLHTMAGAFKTNVVGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLQTGVEFERDVRAMLVRANLVKHECITRAARKP >Ma10_p30100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36479371:36479815:1 gene:Ma10_g30100 transcript:Ma10_t30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVKKTLQFISHISNFSNKF >Ma03_p32800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34431569:34433392:-1 gene:Ma03_g32800 transcript:Ma03_t32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMAHVSPAFIFFALALVVVGAQPDSCSSRLPAALSNFTGLSCRPIWNNFVLRYSQDQQNVLSVVLSTTYTVGWIGMGFSMDGRMVSSSAMVGWMGKTGIPHIKQYYLRGQSASDVVVDQGQLLSTAVAPRVVVHRAKIYLAFQLKFTAPLAQQHLLFAIGTSIPVHNHLKKHADKTSISFDFSTGGSSSSSTSSSYLYELKRTHGVLAIFGWGVLAPIGAIVARYCKQWDPLWYYLHAIIQFIGFMIGLAAVVAGKLLYDELHATVHSHRGIGIFVLVLAILQVIAFFVRPDRDSKIRRYWNWYHHWVGRLALFFAAVNIVVGIRVADAGTSWKVGYGFNLAILLIAIIVLELLRWTGRSREAVTAPPLQMQ >Ma00_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:24506741:24508890:1 gene:Ma00_g03020 transcript:Ma00_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSTMAAVMLSSAPRHVLSPIFPPPDNHVPPPTALPKRGPAFRRLPSAVLRSAIRSIYVPESTSAAPFPGALGSSRSDWQTNCAILASKAASNSSSSSSSGGDNAGAASNEPRSSNDGNHYHLTSPTPVNGLKQNSATLDLVPVANLPRPLSISDLSPSPKHGSSVRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEQLTRVISHPQALSQCELTLTGMGLNVAREAFDDTAGAAEYVANNGLRDTAAIASARAAELYKLQVLADGIQDDSGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPLRLVDDANVGTAKHFEYMFYVDFEASMAETRAQKALAEVQEYTSFLRVLGSYPMDMTPWDASSSPSPPTPPSSS >Ma07_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11557027:11557878:-1 gene:Ma07_g15400 transcript:Ma07_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSPGREKLPVPGLARILAGIGSRSRGRPAARSVPMFSSRNKSVGRSSSVATQVAVAAAAVGEEGEPCSPKVTCIGQVRIRNKKSASQPRTRQPKDMAPCQCFHKALLCSLLPARKKPKGGGDGRSLWRRWASLGGRSGRYQRREPDSPKAPPLEFIVIKADGEDDEEEHDEDARLFATARPPKNALLLMRCRSAPHNSEPSLATTARYAVSPLPEPKPGEVRKQKKKKKTNERLSSPAAAELAEDEDEEEEEGTGSESQRPLVLTRSRSEPGRRRRRAAA >Ma09_p27360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38358564:38360304:-1 gene:Ma09_g27360 transcript:Ma09_t27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGKESESDQQSSLKKSLPSSATDEFGRAVVKIAVAQICETVGFQGSHYSAVDALTEVTVRYICDLGKSASFYAHLAGRTSCNVFDIIQSLEDLSSQAGFSGASDVHHCLVGSGVVREITHFVSTKEEVPFAQPIPRFPIPRVPKATPSFAQVNKEPPAKHIPDWLPRLPDPHTYVHTPVWNKRTTDAKTDKVEQARQRRKAERSLLSLQKRLASSEAAGFQPTGDGDVGKGKQVVGSNPFLAPPLPYKEKAVSENARLHEADAGKRLSVLETFAPVFEAAKVGSLDYSASDKKVVLRSRPTVHFKIGVDKKSIAASLSSNALDARKDSWPLRDDEKDDKKRRAEMILREAMEKPHDLAQL >Ma02_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9790403:9791053:1 gene:Ma02_g01380 transcript:Ma02_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVPGRQRNSLRSTCTYLIARVTSPPAASIISPAIVFPLMKRSLPSSSINSATSADHRLSTPLLGASTNSR >Ma05_p30910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41086123:41086854:1 gene:Ma05_g30910 transcript:Ma05_t30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCGDGEEGDQMESVNVANVLVLLSRGSSGGEEIIDISSRQSPVRVFACKTCNRQFPSFQALGGHRASHKKPRLAADRQGQVHTELAKPRVHECSVCGLEFAIGQALGGHMRRHRAAAPQALTGKKPEDKRTLWLDLNMPPSEGDGDYRKKIFGFELADTTPSMVLDCFH >Ma05_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32227770:32229063:1 gene:Ma05_g20570 transcript:Ma05_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRKRHVTFELLPFSITGAISYRATAFIVVAPLLVLVVIYACLWPPGVPTAFFRLQNGANTTEITPKDELESALEGVAMENRTLIIAILNKAYVEQNAMLDLFLQSLGEGEDTEFLIDHLLFVAVDQRAFNRCRTLELHCYNLVTEGVDFSKEVFYMSDAFNNMMWRRTLFLGDVLRRGYNFIFTDMDVMWLRNPFSQLYRDGEYLQMSSDFYYGKPFDNSFFNTGFYFVTANNKTIALFDEWYAWRNNSKGMKDQDVLQKMKREGAFTRLGLRVRALETTYFSGFCQMSQDLRKVITVHANCCASMKAKLIDLRSVLEAWKVNNSNGTSNATTTAWPPVKGICLHDTATKHTATKH >Ma08_p27450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39676010:39680558:1 gene:Ma08_g27450 transcript:Ma08_t27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRDQISIYRVSSNAKSESPKDQSPVVKEEAKEDSKLPSNPEEVEDLRRDTATNPLVAFTFSELKKITGNFRQDNVLGVGGFGRVYKGFITEDLREGLQVLQVAVKVHDGDNSHQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEHRVLVYEFMARGSVESNLFSRVLLPLPWSIRMRIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDQEYNTKLSDFGLAKDGPVGDKSHVTTRIMGTHGYAAPEYIMTGHLTAMSDVYSFGIVLLELLTGRKSLDKSRPVREQMLADWAAPLLTQKRKVMGMIDPRLGGDYPDKAVQKIAMLAHHCLNRNPKARPLMRDIVCSLEPLQVAVDVPVMEAINP >Ma08_p27410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39650512:39653795:-1 gene:Ma08_g27410 transcript:Ma08_t27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRFGLYARSGVISESMVREEPVATPGAAVMFGTCASSWYRNLKRKLDETDFEARSPSSVAGFARVDIGNEAAALREALVSHQQSVQKLLTELEEERSAAASAATEAMSMILRLQREKAEAQMEARQFKRLAEEKMAHDQQEIAALEDLLFKKDQAVQAVTCEVQAYRHRLLSYGIGMEDGDAPPSEPQTPDTATSAFAVPQFDPFPRDYPPLRCTSDTAVDLDKYPSEETPRERFQTLEQRIFQLERLPSSRLCNVMDKSVVVGQSPRWRRRNLRSFSFCSYGSSLEFNKGEEFPSAMDGASDCGGRDDMSDRVYTVDAVHGASEDYVSTPRELQSRRNVVGGVQEAEMRKLCMRLQALEADRESMRQTLISMGTDKAQMVLLKEIAQQMCKEASTEKKIVKTSPSNKRISIMSMIKGVISLVFWRRRSSRVRYTFGLSASNVGLLLLLDKSPRTRHRRFLTRTHG >Ma03_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25451953:25459025:-1 gene:Ma03_g20290 transcript:Ma03_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVGAIPILVAALVLSLCLHGCRSVQELSGVAGTRTHLQDDTKKHEVHCSRERSRIAWKIIEEYLMPFVEQEQYEISSKCRLHSDNDMFREQEQHKIHVETNEWRCGFCKKSFRAEKFLDQHFDNRHYNLLNYSQGQCLADLCGALHCDRMVESKKSKTKCNPAAAARNHHLCESLADNCFPTNQGHSASRLHEFFLRQFCDAHTCTGGKKPFSRGGKKQSSVLYLAVCILIVMLLPLFYLMVYLHQREMKKHIQDLKRIRKTGQKTKPS >Ma06_p37840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36785743:36791907:-1 gene:Ma06_g37840 transcript:Ma06_t37840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVELRRRSFLRLACFLSLLVAIRSSDAGDLDLLMSFKTAVANPQLLPSWDALRGPCSFSGVTCGAGGRVVAIALQSVPLGAEFRAVSSSILALGGLVSLSLRAVNLTGDVAGVRCGGRLAELDLSGNSLQGSIADVASLAAACSGLKSLNLSGNSVGVPPMAAGDGPAAVGFQLETLDLSFNKISGEFDLRWLLSNLGSLRRLDLVGSRLSGGILAITNCSYLQHLDLSYSGLSGVIGDGVFGHCRSLAYLNLSSNHFTGTLPSDLSSCTSLRTLSLSNNNFSGELPVETLTSMPYLAILEFAFNDVNGSLGDSITRMPMLEVLDLSSNRLSGSIPSELCPNPGFALNTLDLQNNQLTGGIPESLRNCTKLVTLDLSLNYLTGAIPSGLGSLPSLRDLIMWQNLLEAEIPPELVNLRSLENLILDNNGLNGSIPAGLVNCTNLNWLSLSSNHLSGTIPPWIGQLHNLAILKLGNNSFSGPIPPELGDCKSLVWLDLNNNQLSGSIPPTLAKQSGKIAVGLVTGEPYVYLKNDGTSGCRGTGNLLEFAGIRPEDLDRLPSRRFCNFTRVYKGLTQYTFNNNGSMLFLDLSFNQLTGQIPKELGNMYYLLILNLGHNFLSGLIPTDLGSLRYVAVLDLSHNALEGPIPSSFSGLAMLAEIDLSNNELNGSIPELGQLATFPRYRYENNSGLCGFPLPSCEDIAGANSSTQHQKSNRRQASLAGSVAMGLLFSLFCIFGLIIIAVESKKRQKKKDSSNCSRDIYFDSRSHSGTANSNWKLTATKDALVINLATFEMPLRKLCFADLVEATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGRIKHRNLVPLLGYCKVGEERLLVYEYMKFGSLEDVLHDGNKVGIKLNWAARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAVDTHLSVSALAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGRRPTDSMDFGDNNLVGWVKQHSKLRISDVFDPELLKEDPSLELELLEHLKIACSCLDDRPLRRPTMLRVMTMFKEIQAGLSMNATSSAPAASMDGGFYEGDMSLKESKEEKD >Ma06_p37840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36785796:36791907:-1 gene:Ma06_g37840 transcript:Ma06_t37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVELRRRSFLRLACFLSLLVAIRSSDAGDLDLLMSFKTAVANPQLLPSWDALRGPCSFSGVTCGAGGRVVAIALQSVPLGAEFRAVSSSILALGGLVSLSLRAVNLTGDVAGVRCGGRLAELDLSGNSLQGSIADVASLAAACSGLKSLNLSGNSVGVPPMAAGDGPAAVGFQLETLDLSFNKISGEFDLRWLLSNLGSLRRLDLVGSRLSGGILAITNCSYLQHLDLSYSGLSGVIGDGVFGHCRSLAYLNLSSNHFTGTLPSDLSSCTSLRTLSLSNNNFSGELPVETLTSMPYLAILEFAFNDVNGSLGDSITRMPMLEVLDLSSNRLSGSIPSELCPNPGFALNTLDLQNNQLTGGIPESLRNCTKLVTLDLSLNYLTGAIPSGLGSLPSLRDLIMWQNLLEAEIPPELVNLRSLENLILDNNGLNGSIPAGLVNCTNLNWLSLSSNHLSGTIPPWIGQLHNLAILKLGNNSFSGPIPPELGDCKSLVWLDLNNNQLSGSIPPTLAKQSGKIAVGLVTGEPYVYLKNDGTSGCRGTGNLLEFAGIRPEDLDRLPSRRFCNFTRVYKGLTQYTFNNNGSMLFLDLSFNQLTGQIPKELGNMYYLLILNLGHNFLSGLIPTDLGSLRYVAVLDLSHNALEGPIPSSFSGLAMLAEIDLSNNELNGSIPELGQLATFPRYRYENNSGLCGFPLPSCEDIAGANSSTQHQKSNRRQASLAGSVAMGLLFSLFCIFGLIIIAVESKKRQKKKDSSNCSRDIYFDSRSHSGTANSNWKLTATKDALVINLATFEMPLRKLCFADLVEATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGRIKHRNLVPLLGYCKVGEERLLVYEYMKFGSLEDVLHDGNKVGIKLNWAARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAVDTHLSVSALAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGRRPTDSMDFGDNNLVGWVKQHSKLRISDVFDPELLKEDPSLELELLEHLKIACSCLDDRPLRRPTMLRVMTMFKEIQAGLSMNATSSAPAASMDGGFYEGDMSLKESKEEKD >Ma06_p37840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36785743:36791907:-1 gene:Ma06_g37840 transcript:Ma06_t37840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVELRRRSFLRLACFLSLLVAIRSSDAGDLDLLMSFKTAVANPQLLPSWDALRGPCSFSGVTCGAGGRVVAIALQSVPLGAEFRAVSSSILALGGLVSLSLRAVNLTGDVAGVRCGGRLAELDLSGNSLQGSIADVASLAAACSGLKSLNLSGNSVGVPPMAAGDGPAAVGFQLETLDLSFNKISGEFDLRWLLSNLGSLRRLDLVGSRLSGGILAITNCSYLQHLDLSYSGLSGVIGDGVFGHCRSLAYLNLSSNHFTGTLPSDLSSCTSLRTLSLSNNNFSGELPVETLTSMPYLAILEFAFNDVNGSLGDSITRMPMLEVLDLSSNRLSGSIPSELCPNPGFALNTLDLQNNQLTGGIPESLRNCTKLVTLDLSLNYLTGAIPSGLGSLPSLRDLIMWQNLLEAEIPPELVNLRSLENLILDNNGLNGSIPAGLVNCTNLNWLSLSSNHLSGTIPPWIGQLHNLAILKLGNNSFSGPIPPELGDCKSLVWLDLNNNQLSGSIPPTLAKQSGKIAVGLVTGEPYVYLKNDGTSGCRGTGNLLEFAGIRPEDLDRLPSRRFCNFTRVYKGLTQYTFNNNGSMLFLDLSFNQLTGQIPKELGNMYYLLILNLGHNFLSGLIPTDLGSLRYVAVLDLSHNALEGPIPSSFSGLAMLAEIDLSNNELNGSIPELGQLATFPRYRYENNSGLCGFPLPSCEDIAGANSSTQHQKSNRRQASLAGSVAMGLLFSLFCIFGLIIIAVESKKRQKKKDSSNCSRDIYFDSRSHSGTANSNWKLTATKDALVINLATFEMPLRKLCFADLVEATNGFHNDSLIGSGGFGDVYKAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGRIKHRNLVPLLGYCKVGEERLLVYEYMKFGSLEDVLHDGNKVGIKLNWAARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAVDTHLSVSALAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGRRPTDSMDFGDNNLVGWVKQHSKLRISDVFDPELLKEDPSLELELLEHLKIACSCLDDRPLRRPTMLRVMTMFKEIQAGLSMNATSSAPAASMDGGFYEGDMSLKESKEEKD >Ma08_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35720942:35721740:1 gene:Ma08_g21940 transcript:Ma08_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYVTSASDDSLCFMQALNASNQRFLAACVHQLSNAH >Ma04_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5654387:5658229:1 gene:Ma04_g07800 transcript:Ma04_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent alkenal/one oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23740) UniProtKB/Swiss-Prot;Acc:Q9ZUC1] MHASPRCHLQVRRARSVRLVAMETLLAAFIPSKTLSLPFPIQTPYLRPLASIHSVSIRERTSTTRSRAGRVHRIPLVRASASSSSTETVTAVSEIPAKMKAWVYDDYGAADLLRLDEGVSVPEVKEDQVLIKVVAAALNPVDYKRRQGKFKATDSPLPTVPGYDVAGVVVKVGSQVKNLKVGDEVYGDINEKALENPKQFGSLAEYTSVEEKLLALKPKNIDFVQAAGLPLAIETANEGLERSGFSAGKSILVLGGAGGVGSLVIQLAKHVFGASRVAATASTGKLDLLKSLGADLAIDYTKENFEELPEKFDVVYDTVGQCEKAVKAVKEAGSVVVLTGAVTPPGFRFVVTSDGAVLSKLNTFIEDGKIKPVVDPKGAFPFSKLVEAFTYLETGRATGKVVIHPIP >Ma04_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4120753:4124976:1 gene:Ma04_g05530 transcript:Ma04_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFFALLLFFGVSGAALMVEEEVGLPEGANFPAPQAERLIRGLNLVPKEICADAGSAAGAGAGAGRLVEKRVRFPGLSGGGPSVEELGHHAGYYRLPHSHAARMFYLFFESRHNKKDPVVIWLTGGPGCSGELALFYENGPFSVTEHMSLTWNDFGWDKASNLLYVDQPTGTGFSYTTDDGDIRHDENGVSNDLYDFLQEFFKEHPEYATNDFYITGESYAGHYIPALASRVHQGNKAGEGIYINLKGCAIGNGLTDPAIQYKAYTDYALDTGLIQKHDYERINKIYPACELAIKLCGTSGNTSCLVSYMVCNSIFNSIMKIIGKTNYYDIRKQCEGKLCYDFSNMEKFLNQQSVRDALGVGDIDFVSCSPTVSEAMMKDWMRNLEVGIPALLEDGIKLLVYAGEYDLICNWLGNSRWVHSMEWSGQQDFVSSPEVPFLVDGAESGVLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLRKWTHGELAASSKPVLHGAL >Ma09_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4765980:4766159:1 gene:Ma09_g07260 transcript:Ma09_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTHALTIERKTVTAVHKANTMKLADGLLLESCREVAKTYPGIKSIMRSLLITAVCN >Ma10_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24178429:24180465:-1 gene:Ma10_g10060 transcript:Ma10_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTLSIFATLLIILADASAILEVDSLMDQGNMAYNMNGKSNPCSSCLEASRHAQKSLDDLILFSDIRSLSSEACHILPSQLKNQCLDKSQAYIDQAQFFLQHLFHEGSLCNNTGLCLDESFYPDDNILTSLINESTETGETTCYECSRAIRNIIEGTQSSKVRKKMRQLLVEQCEEHHRQSEKQCKVTVAKYGSRMMSKLAEMEANDLCHMMSLC >Ma10_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15870181:15871100:-1 gene:Ma10_g05150 transcript:Ma10_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRFRLSDMMPGSWFYKLKDIGRSTRRQSIHNTMKRSHPHTSSPPRELAQLPRRSSYYVSTRERTEGFPRSPVNHKASDTHFPALSPRKTRANIQRKATESESPSYRDYVDRCHENSSTDDNTMGTMSGDLEVLSELKLPPILTKPIKDVHDDDAPDKTCVLREHRKRIGRRPEVRAYRTPRLAGLQAYRNRKSSVQQSKALLGSLVVVKSSSDPQRDFRESMVEMIIGNNIRSPKEMEELLACYLSLNSWKYHSLIVKEFQQIWLEFSST >Ma01_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11007892:11008122:-1 gene:Ma01_g15190 transcript:Ma01_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIVVLHGSKAVKAFSSNMHISLGAGLSFAAGPVGRVFEADLRAGDKGSGMCYTYSCSKGNFFLFYIDACIQLND >Ma11_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4809295:4811282:1 gene:Ma11_g06030 transcript:Ma11_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRLAPPPAIASRGSTITSPISFSPACLSDQLKINSKVFRRQLLSKLPPLESERLDYSNDIAVLTGHITFIRDDMGLTLEKVGKEVLGSAVKVVIGKDSTLVVTDGSTQRAVEKQVAQWKRSLEEYSEEKFKKKILNGWHKAG >Ma03_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24540869:24541700:1 gene:Ma03_g19150 transcript:Ma03_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1B [Source:Projected from Arabidopsis thaliana (AT5G14670) UniProtKB/TrEMBL;Acc:Q9LYJ3] DELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >Ma08_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1363253:1381520:1 gene:Ma08_g01610 transcript:Ma08_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRCMNVACGLTDPGGEWRRGWELRSGGFATLCVKCGMAYEQSAFCDIFHQKESGWRECCSCGKRLHCGCIASKSFFHLLDTGGVQCTGCMKNLEVPSMPSEVIQSFLSQHHQRVNAPSPKSSKENDADTAVTGRTCEMSATTSDSKIDVSKFVKGKGMQNADLEQSESEIRSFGHIKWEQHSPEVGITSLSNRYPGPVGSSQISLRDEKDFMTDKSICESLAQSCLSMSLVHSNQGSNTETCNTAGKPFLALHMACSIAEGKDERNTISALQQMQRPQCILAKPLKTCTRSFSEASKNGLPYMRVARPPTEGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPRISQPEGVPLTIQDTKGKDWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIDPEGKLVMGYRKATNTVPLQDSQISAIANGTFGNETLFTGISESVPAVSGYSGLLQSLKGAMDPYLSSQSEHLNLSDGEISWHKGGTSNEGMQLQALQKRTRNIGAKSRRLLMNTDDALELKLTWEDAQELLRPPPSAKPSIVTIEDYEVEEYDEPPIFGKKTIFTARPSGEQDQWVQCDDCYKWRRLPVDVLLLSKWSCADNTWDPKRSSCSAPDELSQQEMQLLLRQYEDLIRQRTSACFKQDSSEIAASSLDALAAAAALGDVGNQTTTPYATTTKHPRHRPGCTCIVCIQPPSGKGPKHDPTCTCNVCMTVKRRFKTLMMRKRKRQSEREESEVHKKVPWGSKEEVEGTSSSSKGAHHLDPHQENEFSPVSSRTIMENVEVSQGQIDLNCHPMSHDSQALNPRNSMMSLLQDAYRPLETYLKQNGLTSLASQQSKQGSPSSLTVPQAPGESEGKVPDESHFASASKEQEGGDDEGDVGTDMATSDAS >Ma04_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11775979:11777467:1 gene:Ma04_g15520 transcript:Ma04_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAKFLPSHLLLLTLLPLLFSSLACVSAFSNTKGNEPRANDPVLASITMDPVERDTLFCVMKNMSSDRDWRSSNPDPCKPDSSWPGIECKPGLDNLLHVTRLDFGVAPNPTCKESATFPSEIFELPYLASIFFLDCFKGVETSLSLPPPTRKASPPAYASLQQLSLISNPSFVGAIPSHIFSITSLRVLTLSQNRLHGTIPDSISELNSLVHLDLSYNFLTGSIPSQIGRLKDLVGLDLSYNSLAGSIPPSIGQLRLLQKLDLSSNSIAGIVPDSLQNLRFLDFLAISNNNLSGEFPKGIAKLQNLQYFIMDDNPMFVKLPWQLGRLAKLQELRLSNSGYSGVIPGSFAWLRNLTTLSLENNRLTGGIPAGLSDLEKLYHLNLSSNLLSGVVPFDAGFFKRLGSNMDLRENSGLCINGSQSLDGINIGVDVCRDNGSNSSLPKSVDKSGGAPFQVCNFYFQLMGTVIIWSW >Ma01_p06050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4282368:4296439:-1 gene:Ma01_g06050 transcript:Ma01_t06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRWPLKLVVKGTSSPVRLAVGIAVAGLAWNASPRPAVAADSSFSSSREKISSAVDGVIRSSRAIYTIAFVVVDYKYSLRSLPPGSSDYRMKVSEVHLRSAKRLLKLCEANKGFYVKAGQFVSSLRQVPKEYTSILSSLQDQAIPCHFKDIEDVIASNLGKDLSEIFLAFDEQPIAAASIAQVHHGLLKNNQEVAVKYPGLEQKMKIDITTMAILSKSVSRIFPDYRFDRIIEEFERAMALELDFIQEAKNSERAARYFRNNKIVRIPGVFWDLTTSQVLTMQFCSGHKVDDVEFMRDSNINPREVAKSLMDIFAQMIFVHGFVHGDPHPGNILVSREGRTGFSIVLLDHGIYRELDERFRSDYCELWKALILLDSKKIQLLGKKFGVGKYSKYFPVIFTGRTMESKSALGTQLSNEERRLLKQELRSLKMDDISAFMECLPSDFFPILRTDGLLRSILGKLGAPRHVRLLAYAKYALYGLETHENLDHGLTASSVLCAFRANISYFWLRILLEFLTLVSQIEDARRSLANKLKRILHKVVRFFYQSSFFLV >Ma01_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4282368:4296439:-1 gene:Ma01_g06050 transcript:Ma01_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRWPLKLVVKGTSSPVRLAVGIAVAGLAWNASPRPAVAADSSFSSSREKISSAVDGVIRSSRAIYTIAFVVVDYKYSLRSLPPGSSDYRMKVSEVHLRSAKRLLKLCEANKGFYVKAGQFVSSLRQVPKEYTSILSSLQDQAIPCHFKDIEDVIASNLGKDLSEIFLAFDEQPIAAASIAQVHHGLLKNNQEVAVKVQYPGLEQKMKIDITTMAILSKSVSRIFPDYRFDRIIEEFERAMALELDFIQEAKNSERAARYFRNNKIVRIPGVFWDLTTSQVLTMQFCSGHKVDDVEFMRDSNINPREVAKSLMDIFAQMIFVHGFVHGDPHPGNILVSREGRTGFSIVLLDHGIYRELDERFRSDYCELWKALILLDSKKIQLLGKKFGVGKYSKYFPVIFTGRTMESKSALGTQLSNEERRLLKQELRSLKMDDISAFMECLPSDFFPILRTDGLLRSILGKLGAPRHVRLLAYAKYALYGLETHENLDHGLTASSVLCAFRANISYFWLRILLEFLTLVSQIEDARRSLANKLKRILHKVVRFFYQSSFFLV >Ma07_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5333243:5340362:-1 gene:Ma07_g07290 transcript:Ma07_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MAGVSFLSTLTSLRLRPHSPRPSLAFAAPEISSGVVCCYRRAEDAGEADERCVYHRRDVLRCVGAVVGMELISSSGSLTGVSNAADLIQRRQRSEFQSSIKGTLSTMIKGQPDLVPSILTLALNDAMTYDKATKSGGPNGSIRLSAELGRPENSGLSAALDLIMEAKKGIDTYSKGGPISFADLIQYAAQASIKKTFLDSAIQKCGGKEDKGKLLYTAYGSNGQWGLFDKQFGRKDAEAPDPEGRIPQWNKASVQEMKAKFTAIGLGPRQLAVMSAFLGPDQLATENLLSSDPEVRPWVEKYQRSRETISQTDYEVDLITSLTKLSSLGQKIDYEAYTYPVKKIELSKLKL >Ma09_p29880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40219748:40222950:1 gene:Ma09_g29880 transcript:Ma09_t29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVDHYYRTFDGNRVALGGLYQDASMLTFEGVKIQGAAAIVAKLTSLPFQQCVHAISTVDCQPSGPAGGVLVFVSGSLQLAGESHTLKFSQMFHLMPTPQGSFYVLNDVFRLNYA >Ma09_p29030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39624943:39627213:-1 gene:Ma09_g29030 transcript:Ma09_t29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEIVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Ma09_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1698334:1698785:1 gene:Ma09_g02410 transcript:Ma09_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRARSRLINQDKNKYNISKYYFIVRFNIVVQIISSIIIAGDLVLASAYAHELPRYGHGSKPYQLCSSLLHWTSFGSSCFEESNT >Ma09_p22970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34856426:34858694:1 gene:Ma09_g22970 transcript:Ma09_t22970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKLSGLQRQVLGLYREFLRAARLKEPEERLRIESIVSAEFRQNAMNVDRKNFLYIEYLLRRGKKQLEQLKSPDTLRLSTLEVGKTPQ >Ma09_p22970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34856426:34858694:1 gene:Ma09_g22970 transcript:Ma09_t22970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKLSGLQRQVLGLYREFLRAARLKEPEERLRIESIVSAEFRQNAMNVDRKNFLYIEYLLRRGKKQLEQLKSPDTLRLSTLEVGKTPQ >Ma09_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34856426:34858694:1 gene:Ma09_g22970 transcript:Ma09_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKLSGLQRQVLGLYREFLRAARLKEPEERLRIESIVSAEFRQNAMNVDRKNFLYIEYLLRRGKKQLEQLKSPDTLRLSTLEVGKTPQ >Ma08_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37450195:37457673:1 gene:Ma08_g24170 transcript:Ma08_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRNMKVVYLLCVLISLQSRVESDRQGDALYDMKIKLNATSNQLIDWNQNQVNPCTWNSVICDSNNNVVQVTLSSMGFNGILSPRIGELQYLTVLSLPGNNITGGIPEQFGNLSSLTNLDLEINRLSGEIPASLGKLAKLQILILSQNNLTGKIPDSLTNLTALNDIRLAFNGLSGQIPDELFQVARYNFTGNDLNCGTNQQHLCASSVSVQGKSPNSKIGIVLGIVGGVILLLVIGVVFLICKSRRKGYRREEFVDVAGEDDRRIAFGQLKRFAYRELQIATDGFSEKNVLGQGGFGKVYKGVLPDSTKIAVKRLTDYESPGGEAAFLREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRDFKPGEPVLNWPTRKRVALGTARGLEYLHEHCSPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQKEKRLGDIVDRNLNNEYDGQEVEMMIQVALLCTQASPEDRPTMSEVVRMLEGEGLAERWEEWQQVEVRQEYERLQRRFDWGEDSLYNQDAIELSGAR >Ma04_p23680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25799660:25802940:1 gene:Ma04_g23680 transcript:Ma04_t23680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERDAEDAIRGLDRLEFGRHGRRLRVEWTKQERNSRRSGGSRKPSANTRPSKTLFVINFDPIDTRTRDLERHFEPYGKILNVRIRRNFGFVQFDLQEDATKALEATHMSKLMDRVISVEYAVRDDDERRNGYSPDRRGRERSRSRDRGRSLSPYGRRVERASPDYGRGPSPYTKADERVSPNYERARSPAHDRDYSRSP >Ma04_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25798097:25802940:1 gene:Ma04_g23680 transcript:Ma04_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIFCGNLDYDARHSELERLFSRYGKVDRVDMKSGFAFIYMDDERDAEDAIRGLDRLEFGRHGRRLRVEWTKQERNSRRSGGSRKPSANTRPSKTLFVINFDPIDTRTRDLERHFEPYGKILNVRIRRNFGFVQFDLQEDATKALEATHMSKLMDRVISVEYAVRDDDERRNGYSPDRRGRERSRSRDRGRSLSPYGRRVERASPDYGRGPSPYTKADERVSPNYERARSPAHDRDYSRSP >Ma06_p32110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33085468:33085673:1 gene:Ma06_g32110 transcript:Ma06_t32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLLPYWTLLRWGSDKRSCNACMSGILQAEEICSRRN >Ma03_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22384850:22385959:-1 gene:Ma03_g17120 transcript:Ma03_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSRGCHDYQEPVAPFVAKTYQMVSDQRLDSLIRWGNQNNSFLVVDPNDFSQLLLPSFFKHRNFSTFIRQLNTYGFRKVDPDRWEFAHESFLRGQAHLLPSITRRRRKTQGVLHGSSSRGRGGVDGEEERLLLQELEKLQQQQRSLEEEVKAMSKRVQATERRPRQLMSFLVKVAEDPKLLLQRLVRSKQQQQLSTEKKRRVFASPATTLLPFDEVSAMLKPSRTEPKPLEVGGFDLSARQTSSQPEFGLSGTSSGTASSTVAFPFTLLDQGFF >Ma02_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7930381:7947018:-1 gene:Ma02_g01110 transcript:Ma02_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPLDSINLFLDHLNLGESIIKGNLEAFSCKQTGTDRKLSFSLEQEILDYLEQSFDSDSHLPVEYLISRSSRKTLIYLVLTLSHMYPDYDFSAVRSHLYFREEEWDNFKQIYDMYLFEAAREWATANGGSSFIDILTNAIDEVEISLI >Ma05_p09140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6671922:6677393:-1 gene:Ma05_g09140 transcript:Ma05_t09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSPSRFLLQILQDRLLSPDKGVDLDCHSVEFDDVRYHIQFSMRNPHVVVLSVSLPIPPPEAIFFDGLPFGAIEAIKAAYGAVAQILDPPKDGYNLTMKLNLSKLPSDEEQRQALLVKIASVREVVLGAPLRFVLKNLATKTVAPEMDQLIALVHRPKESFFLLPQQAEKVTVVYPMRFQDSTDIVLATSFLQELIESRRTAGLNNAPSCMWSPSPPLELKGAPAQALTANAGFVTFVIFPRHVEGKKIDRTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKPESEKSKKLVSGKSFKRLSLNEARSNSNS >Ma05_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6671922:6677393:-1 gene:Ma05_g09140 transcript:Ma05_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSPSRFLLQILQDRLLSPDKGVDLDCHSVEFDDVRYHIQFSMRNPHVVVLSVSLPIPPPEAIFFDGLPFGAIEAIKAAYGAVAQILDPPKDGYNLTMKLNLSKLPSDEEQRQALLVKIASVREVVLGAPLRFVLKNLATKTVAPEMDQLIALVHRPKESFFLLPQAEKVTVVYPMRFQDSTDIVLATSFLQELIESRRTAGLNNAPSCMWSPSPPLELKGAPAQALTANAGFVTFVIFPRHVEGKKIDRTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKPESEKSKKLVSGKSFKRLSLNEARSNSNS >Ma05_p26560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38123669:38134485:-1 gene:Ma05_g26560 transcript:Ma05_t26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRALLSFLSSGDRIMESVLSISSCRPGWHAGFKVGGSVRRFGSSMAPDVEADHHKCSLDMIKFEDEIEKIQHEYEAAKRSFLNIPVALKEMPKMNPEGIYVNRNVRLDDIQVYGFDYDYTLAHYSEHLQSLIYDLAKKHLVNELRYPESCLQFEYDPTFPIRGLCYDRLRGCLLKLDFFGSIEPDGCFFGRRKLSLEKIKDMYGTRHIGRAQARVLVGLMDFFCFSEVCLIADIIQHFVDAKLEFDASNVYEDISQAIQHVHRSGLLHKGILSDPTKYLLKNSQVLGLLKKLKAKGKKLFLLTNSPYYFVDGGMRFMLEDNSGERNSWRELFDVVIAQANKPHFYTSEHPFRCYDIEKDTLAFTAVGKFLPSQVYYHGCLKSFLQITKWKGPEVIYFGDHLFSDLRGPSQAGWRTAAIIHELESEIKILNDESYRFQQ >Ma11_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7285269:7288937:-1 gene:Ma11_g09130 transcript:Ma11_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRIQFENSCEVGVFSKLTNAYCLVAIGGSENFYSIFEAELADVIPVVKTSIGGTRIIGRLCAGNKKGLLLPHTTTDQELQHLRNSLPDQVVVQRIDERLSALGNCISCNDHVALTHPDLDKDTEELIADVLGVEVFRQTVAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSSIVDEMRKSLIDSYV >Ma03_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:375367:376996:-1 gene:Ma03_g00420 transcript:Ma03_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVDLVGRGKMDDLVALQEATAAGVRSLEHLVFRLSHLPSSPSDCSEIADTTISKFKQVISVLDRTGHARFRRGPAPATDGRLSLLPEPPKPRPLTAPRAITLDFTRQGGDLPGTSLKLGFGALATTSSTNSSFLSSLTGDGSVTNGRVWPSVGKPPLSSSFHKKRCPGSGVAARKNAGADGLCHCSSKKKRSRAKRTIRLAAISSRDADIPPDEHSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAADDPSVLIVTYEGEHRHTPNPAPPASTEAIVVGRPRA >Ma05_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21798224:21801631:-1 gene:Ma05_g17870 transcript:Ma05_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLLGFLKATSGIRVRLFSTVTHLSPSVSPVSSQFASLLKECKTASTARQIHQQIVSLGLLSSPSRPTISSSLSSSSSLGTGIIAAYLACGALTDAICLLERLSPSPVLWWNLLIRQYVKEGHLDCALILCRRMQRVGTRPDHFTFPFALKACGELPSYRRGTVLHAVICSNGFELNVFVCNALVAMYARCGAVEEATYVFEEIISRGIDDVTSWNSVVAAHVKSGNPEIALDLFSEMTQKASNMASQRRSDIISLVNILPACASLCSFPRAREIHGHAVRNGLFWDIFVGNAMIDVYSKCGAMGDAFKVFNGMEVKDVVSWNAMVTGYSQNGDFDHALELFEKMHAEHIALDVVTWSAVISGYAQRGHGHEALRVFRQMQVSGLEPNAVTIISLLSACASIGAISQGMETHAYAVKKCLLMLDDDDGDGEDLMVQNALVDMYSKCRNFKLAQSLFSSIPLKGRNVVTWTVMIGGYAQHGDANDALALFSKMLVKARSIVPNAFTISCALMACARLAALRFGKQIHAYVIRNRYKGTKLYVANCLIDMYSKCGDVDAAQNVFNMMPDKNSVSWTSLMTGYGMHGYGKDALRVFEEMQKVGFVLDGITFLVVLYACSHSGMVDEGLDYFHNMGKDYGVDAGAEHYACVIDLLGRAGRLDKAWEMTKNMPMKPTSVVWVALLSACRTHANVELGEYALGQLLELEPGNDGSYTLLSNIYANAGRWRDVARIRSLMKKSGIKKRPGCSWIQEKKGTVTFFVGDRSHPQSKQIYALLGTLIERIKALGYVPQMHFALHDVEDEEKSCLLSEHSEKLALAYGILTSSPGTPIRITKNLRVCGDCHSAITFISIIVDHEIILRDSSRFHHFKNGSCSCGGYW >Ma05_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8764585:8772677:1 gene:Ma05_g12070 transcript:Ma05_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKGFLMSCLGGGGREGFASRSLSPRPHYPSMPKYPRRKSTVEVVGGGDLEATPEAAEEEEKRVALFSVVGMACAACAGSVEKAIKRLPGIHDAAVDVLNDRAQVIFYPAFVSEDTIRETIEDVGFKAELIQEEMKEKSVLICRLRIKGMTCTSCSSTIESALQDVPGVHKALVALATEEAEVRYDPRVVSANQLMDAVEDTGFEAILVTTGEDINRIELKVDGPFSTRYISMVNNSLQALPGVDDINIDPVLHKVTISYKPDQTGPRNFIEIIESTGSGQLKASIYPEVRGKGFHRHEEINQYYQCFLWSLVFTIPVFLTSMVFMYIPGIKEVLDKKIVNMLKVGELLRWILSTPVQFIIGRRFYVGAYKALRHGSANMDVLIALGTNAAYFYSIYTVLRAATSPNFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMNLAPETAILISYDNEGNVISEREIDSRLIQKNDIIKVMPGGKVASDGFVIRGQSHVNESMITGESRPVAKRKGDIVIGGTVNENGVLHIRATHVGSEGALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVILLSLVTWLIWFLAGKFSSYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTTGNPVVVNTRLLKNMVLRDFYEYVAAAEVNSEHPLAKAIVQYAKKFSTDEENPVWPELQGFIAITGHGVKATVGNKEVLVGNKSLMVEVGIHIPVEASEILTDTERMAQTGIVVSIDREVTGIIAISDPLKPGAREVISLLKCMKVKSIMVTGDNWGTANAIAQEVGIDTVIAEAKPDQKSEKVKELQMSGLTVAMVGDGINDSPALVSADVGIAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRISMNYVWALGYNIICIPVAAGVLFPFTRFRLPPWIAGAAMAASSVSVVCCSLLLKNYRRPKKLDMLRMSDVVVN >Ma06_p37090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36262574:36270728:-1 gene:Ma06_g37090 transcript:Ma06_t37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFPGFPASLFLLPLMLVLCLPFSVSHAYSEIQKTPLPGGGLRDGYVLPRRYAAEVPPTGNLTVDNSSFILAADRTHRKDPLNGFKRYTGGWNISEQHYWASVGFTAVPLFAIAFVWFLVFGLVLLFSCCYYFCCRRRSYSYSRTAYALSLVLLILFTCAAIIGCIVLYTSQGKFHTSTSKTVDYVVGQANFTVDNLRNFSESLAEAKKITVDQVFLPADVQSEIDSLKTKVNSSATELANRTLDNSRKIRRVLDRVRLDLIIIAAVMLLLAFVGFLLSILGLQFLVYVLVVVGWILVTGTFILCGVFLLLHNVVADTCVAMNEWVDHPHAHTALDDILPCVDVATANESLYRSREVTFQLVSVVNQVIVNVSNGNFPPALVPLYYNQSGPLMPTLCNPYTPDLNNRTCTPGEVDFNNASQVWKGYVCRSAVVSGSEICTTIGRVTPSIYSQMTAAVTVSRGLYYYVPFLTQLEDCSFVRETFTAIHGNNCPGLEQNSKRVYIGLVMVSGAVMLSLIFWVIYARERRHRTYNKQFLVETGRPHLPLQEKVP >Ma05_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7044223:7048091:1 gene:Ma05_g09770 transcript:Ma05_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPNDPLPQVETTCESLLNELQVIWDELGESDAEKDKMLLELEQECLNVYRRKVDQANLYRAQLQQQIADSEAEIAAICSSMGERPVHIRQSPGFLKEQLKATALKLEEMHKRKSERLNQFLEVLDQIQKISTEICPTEYDPSKFAIDGSDLSVRILEDLQTQLQSLQKEKSERLRQVMDHLNTLKKLCLVVGIDFKETIREVQPSLDQDEGSKNISNSTIESLASAIENMRKVKIKRMQKLQDLATAMLELWNLMDTPNEEQQQFQKVTCNIAASEQEFTEPNALSMDFINYVEAEVLRLEQLKASKLKELVLRKKTELEEIRRRTHLVAEADYEPEFSIDAVEAGATDASLVLEHIEAQISTAKEEAFSRKDILERVEKWLAACEEEAWLEEYNRDENRYSAGRGAHLTLKRAEKARALVSKIPAMVDTLENKVTAWEKERGTEFIYDGAPLLSMLEQYITVSQEKEQERKRQRDQKKLQGQLTAEKEVLYGSKPSPAKLQSFKKTHKTLTLSPSQRISLGAAATNSPKKAYEVGTVSSGTRGIDNAGLSEKSSSNATATATFETSSPSEDVKETTKAMPVSTPKSPRKVAADGTAEGDATEYSFEERRLVFCLSRKRDEGAL >Ma05_p09770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7043798:7048091:1 gene:Ma05_g09770 transcript:Ma05_t09770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPNDPLPQVETTCESLLNELQVIWDELGESDAEKDKMLLELEQECLNVYRRKVDQANLYRAQLQQQIADSEAEIAAICSSMGERPVHIRQSPGFLKEQLKATALKLEEMHKRKSERLNQFLEVLDQIQKISTEICPTEYDPSKFAIDGSDLSVRILEDLQTQLQSLQKEKSERLRQVMDHLNTLKKLCLVVGIDFKETIREVQPSLDQDEGSKNISNSTIESLASAIENMRKVKIKRMQKLQDLATAMLELWNLMDTPNEEQQQFQKVTCNIAASEQEFTEPNALSMDFINYVEAEVLRLEQLKASKLKELVLRKKTELEEIRRRTHLVAEADYEPEFSIDAVEAGATDASLVLEHIEAQISTAKEEAFSRKDILERVEKWLAACEEEAWLEEYNRDENRYSAGRGAHLTLKRAEKARALVSKIPAMVDTLENKVTAWEKERGTEFIYDGAPLLSMLEQYITVSQEKEQERKRQRDQKKLQGQLTAEKEVLYGSKPSPAKLQSFKKTHKTLTLSPSQRISLGAAATNSPKKAYEVGTVSSGTRGIDNAGLSEKSSSNATATATFETSSPSEDVKETTKAMPVSTPKSPRKVAADGTAEGDATEYSFEERRLVFCLSRKRDEGAL >Ma05_p09770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7043743:7048091:1 gene:Ma05_g09770 transcript:Ma05_t09770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPNDPLPQVETTCESLLNELQVIWDELGESDAEKDKMLLELEQECLNVYRRKVDQANLYRAQLQQQIADSEAEIAAICSSMGERPVHIRQSPGFLKEQLKATALKLEEMHKRKSERLNQFLEVLDQIQKISTEICPTEYDPSKFAIDGSDLSVRILEDLQTQLQSLQKEKSERLRQVMDHLNTLKKLCLVVGIDFKETIREVQPSLDQDEGSKNISNSTIESLASAIENMRKVKIKRMQKLQDLATAMLELWNLMDTPNEEQQQFQKVTCNIAASEQEFTEPNALSMDFINYVEAEVLRLEQLKASKLKELVLRKKTELEEIRRRTHLVAEADYEPEFSIDAVEAGATDASLVLEHIEAQISTAKEEAFSRKDILERVEKWLAACEEEAWLEEYNRDENRYSAGRGAHLTLKRAEKARALVSKIPAMVDTLENKVTAWEKERGTEFIYDGAPLLSMLEQYITVSQEKEQERKRQRDQKKLQGQLTAEKEVLYGSKPSPAKLQSFKKTHKTLTLSPSQRISLGAAATNSPKKAYEVGTVSSGTRGIDNAGLSEKSSSNATATATFETSSPSEDVKETTKAMPVSTPKSPRKVAADGTAEGDATEYSFEERRLVFCLSRKRDEGAL >Ma04_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7933398:7937094:-1 gene:Ma04_g11290 transcript:Ma04_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDRRPFSIHVVFFLELLLLLAAAASVLSASAANNTMRPRNELRKYKRIRAYLKSLSRPSLKTIQSPDGDVIDCVPFHLQPAFDHPRLKGLKPMVPETSSNISLSTPHRPYPDPPERPRGHEASVLADGEDSIQLWRTSGGTCPEGTVPIRRTKEEDILRASSVRRFGRKPAARTRRVSEGSGHEHAVGYAVGYQYYGAKASLSVWSPRVASSSEFSLSQIWVISGSFSSDLNTIEAGWQVSPQLYGDSSPRFFTYWTTDAYQATGCYNLLCSGFIQTSNKIAIGAAISPTSTYNGRQFDISLLIWKDPSHGHWWLEFGSGVLVGYWPSSLFSHLAGHATMVQYGGEIFNTRPSGFHTATQMGSGHHAGEGFGRAAYFRNLQVVDWDNSLIPVTNLRVLADHPNCYDVQARINRAWGSYFYFGGPGRSVRCP >Ma10_p29000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35702615:35706809:-1 gene:Ma10_g29000 transcript:Ma10_t29000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILMWKIGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRGAKLGTVTPMDQVLWRTHLVEASLIGYTLFLALVIDRLHHYLRKLINLRRTANSSQEEVENLKKEQQRFKEKEETSLNEIKKLHEEVASLKERLQKLKSESAEHEKRAETAEAHVTALQKQSEELLLEYDRLLEDNQILQTQALAFRG >Ma10_p29000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35702615:35706809:-1 gene:Ma10_g29000 transcript:Ma10_t29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILMWKIGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRGAKLGTVTPMDQVLWRTHLVEASLIGYTLFLALVIDRLHHYLRKLINLRRTANSSQEEVENLKKEQQRFKEKEETSLNEIKKLHEEVASLKERLQKLKSESAEHEKRAETAEAHVTALQKQSEELLLEYDRLLEDNQILQTQALAFRG >Ma10_p29000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35702615:35706809:-1 gene:Ma10_g29000 transcript:Ma10_t29000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILMWKIGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRGAKLGTVTPMDQVLWRTHLVEASLIGYTLFLALVIDRLHHYLRKLINLRRTANSSQEEVENLKKEQQRFKEKEETSLNEIKKLHEEVASLKERLQKLKSESAEHEKRAETAEAHVTALQKQSEELLLEYDRLLEDNQILQTQALAFRG >Ma10_p29000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35702615:35706809:-1 gene:Ma10_g29000 transcript:Ma10_t29000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILMWKIGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRGAKLGTVTPMDQVLWRTHLVEASLIGYTLFLALVIDRLHHYLRKLINLRRTANSSQEEVENLKKEQQRFKEKEETSLNEIKKLHEEVASLKERLQKLKSESAEHEKRAETAEAHVTALQKQSEELLLEYDRLLEDNQILQTQALAFRG >Ma07_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24979823:24984733:1 gene:Ma07_g18320 transcript:Ma07_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIHSAAAAGDSSARCKGNERAALLKLKQGLIDPSGRLSSWAGDDCCRWRGVRCSNRTGHVIQLRLRNPSPSPDDDDDNFLSGEISPSLPELKHLSYLDLSRNRFDGASIPRFIGSLTSLRYLNLSDATFGGTIPHQLGNLSRLQYLDLHCSNYLGPLLPSWLFNISNLKSIDLSFNQFQGPISGFGNLAFPFSADISNFDKQLSGCVRNSLQVLNLANNALGGAVPDWIGELRNLKSLHLEDNLLCCLIPPSLGTLSSLSFLYLGNNMLQGPIPESFGQLSELIVLDVGFNQLSGIVSDVHFSNVTKLEALLLSSNSLVLRFSSSWLPHFQLKNIHLGSCVLGPQFPAWLRTQRMFSTMDMSDADISAAVPDWFWNSSSRVYYFKLSHNHIRGVIPDTFTFKNAAIIDLQSNQFHGSLPLIGNGVSYLYLSDNSFSGDLRPILNNMTDLWSVSLSRNHISGTIPSSICEMQMLEVLDLSSNLLSGEIPRCSKVTTGLGFFSVLNLANNNLSGTIPQWIGTESFLGSLHLNNNSLHGGIPSLEKCTYLYILDLGENSLKGSIPPWIGESLTHLKMLRLRSNMLGGIIPDQLGLLSELQVLDLAGNNFAGTVPHWIANPSAMVSTDKRITEFFVTPDSGNTVDYVGIELTAIYQESLLVTIKGRDLEYSNTLSLVMSMDLSANDLHGQIPDSITDLVGLQSLNLSGNRLKGSIPRKIGNLQQLESLDLSKNLLDGEIPSSISALNYLSYLNLSYNKLSGRIPVGNQMQTLTDPTIYAGNPDLCGTPLTKKCTGDEVPGSDEPVLDTRNSDESETLWCFIGMMIGFVVGFWTFWGILSLKKAWRISYVGEDEGDQASSSLAVIHTARATKTLLKSLSKSLHLLFKEENGRGE >Ma06_p35750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35498799:35504347:1 gene:Ma06_g35750 transcript:Ma06_t35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPADSLLRTNHLRSPAVTPSPPTHLLSFHYPPASSPSLSFSSSSFPRELRIALRRGRRKIGAYAEMSKKMVIEEVKEDEEEEETPPMILEDQEGNSKPRRIALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTTHEGVPQEFYGAKVIGSWSFPCPWYQKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLCVPIVMSYHTHVPIYIPRYTFSWLVKPMWLIIRFLHRAADLTLVPSAAISKDLIDAHVAAANKIRLWNKGVDSENFHPRYRSHEMRMRLSNGEPEKPLLIHVGRLGVEKSLDFLKSVMDRLPGVRIAFVGDGPYRPELEKMFSGMPVVFTGMLQGVELSQAYASGDVFMMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEEQEGKTSFLFAPGDLDDCMSKIERLLSCREFREAMGKAAREEMEKYDWRAATRKIRNEQYNAAIWFWRKKRAQLLGPLQRLVRRFFKSPQINYG >Ma02_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18727758:18729579:-1 gene:Ma02_g08330 transcript:Ma02_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSFDEVVGPEAAKPYTALDSSDTMIKRIKDKNLECIKETLLKKKGTTKEEATKEVQQRRKGVAVLVKQIIQIARRPQSGLPYSSVSILCAWLFEHFLDPYPNDSEKLMLAKQTGLTRISNWFINALVRLWKPMIEDIQRGDW >Ma02_p04440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15877497:15880451:1 gene:Ma02_g04440 transcript:Ma02_t04440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIKKFFIASMVMWIAPVAILYGFNNHIFPGTSKLSSSSQTLVSGFLAVISVNLVIVLYIIMAIKEPGSNMEHQPDPAFLAEAKASINQPAAVTTDDNAQTREKAE >Ma02_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15877497:15880451:1 gene:Ma02_g04440 transcript:Ma02_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIKKFFIASMVMWIAPVAILYGFNNHIFPGTSKLSSSSQTLVSGFLAVISVNLVIVLYIIMAIKEPGSNMEHQPDPAFLAEAKASINQPAAVTTDDNAQTREKAE >Ma02_p04440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15877497:15880451:1 gene:Ma02_g04440 transcript:Ma02_t04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIKKFFIASMVMWIAPVAILYGFNNHIFPGTSKLSSSSQTLVSGFLAVISVNLVIVLYIIMAIKEPGSNMEHQPDPAFLAEAKASINQPAAVTTDDNAQTREKAE >Ma09_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10369220:10391811:-1 gene:Ma09_g15070 transcript:Ma09_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKPVGSRQTAVVHRFDLGDGIQLQANVNRDSNSSITTIELLNCSRPLILHWGGICSGKNHWVLPNHYPPGSKIYKGEALQTPFAKKGATYSITIELHDPKFDAIEYVLKDEKHHKWFKSSQGNFRINIPKFEACASRAMIPKDIIEHKAYLIWERKGRPTNSPQEKEEDYETALRELNDQLLRGMSMDEIRSSLKNQNVQTVSGFKELQMPGNTIIAAVYHKQYDVSQWLSKQSLGHMKGTPLCGSSLVNLVEKTLGTDNVKMRQIYNIGNNELVVLLKNVSSESHIILAVNLKGDTILHWGVSRTSAGEWLVPPPEILPERSKMLNGACQTIFKEISDGQKQFQYVDINLRGRHLLGVQFVLWSGGSWLKNNGSNFYIGLKSLEQAGEKQGEGNKIEICKWLLDEIAQREKDAERSLMHRFNIATELMERCRHERELGLIGMLVWLRFMACRELCWNKNYNVKPREISAAQDKFTDLLQRTYQDQKDDRENLRLIMATVGRGGQGDVGQRIRDEILILQRNNDCKGGMMEEWHQKLHNNSSPDDVIICQALLDYVKSNFDISIYWRTLNSNGLTKAILASYDRPIVSEPHFRADKKQGLIHDLSEYLKTLKAVHSGDDLESAIATCLAHLNENYGFMNTGNGHSDGYFSLKLKESLNFIQSHAGDKNIVPFMEKLLEARIELRGLLFGTTRRLKYFIYLDLALELALKTCMEKSFSELKKAPIQGIMSMVSLMLENLCLSTVNNEELVFITKDWYRVCNLFKPNDQQWSLQTKAVLDRMQLALADKAQYYLKMIQPTAEYLGKLLRVETCAVASFTEELIRTGCGGTLAILVNHLSPILRNIANLGSWQIISPVEVCGFVDCVNKLIEVQSKVFNRPTILISNRVTGEEEIPYGVVGVLTPDMPDVLSHVAIRARNNKICFASCFDQDIIQDLKSKKGKEISITLKTSGLIYSEFKSSSSSNKLSSFCPRVTLRKKNFSGKFAISAEEFSCEMVGAKANNIEYIRGKLPSWIKLPRSVALPFGVFETSISSDINKDLAKKISLFKGLVNGGDIAKLQMIRNAILEMKAPFQLMNELKHKMKKSFLYWPGDESEERWNQAWQAIKKVWASKWNERAYLSCRKAKLDHDDLCMAVLIQEVISADYAFVLHTRNPLSGNPHEIYAEIVKGLGETLVGAYPGRSMSFVTNKSALNSASIIGYPSKQIGLFVKKSLIFRSDSNGEDLHGYAGAGLYDSVTMDEAEKVLLDYSSDRLISDKSFQQSIFKKVAEAGKIIEGVYGSAQDIEGVVKDGEIYIVQTRPQI >Ma09_p15070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10369220:10391831:-1 gene:Ma09_g15070 transcript:Ma09_t15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKPVGSRQTAVVHRFDLGDGIQLQANVNRDSNSSITTIELLNCSRPLILHWGGICSGKNHWVLPNHYPPGSKIYKGEALQTPFAKKGATYSITIELHDPKFDAIEYVLKDEKHHKWFKSSQGNFRINIPKFEACASRAMIPKDIIEHKAYLIWERKGRPTNSPQEKEEDYETALRELNDQLLRGMSMDEIRSSLKNQNVQTVSGFKELQMPGNTIIAAVYHKQYDVSQWLSKQSLGHMKGTPLCGSSLVNLVEKTLGTDNVKMRQIYNIGNNELVVLLKNVSSESHIILAVNLKGDTILHWGVSRTSAGEWLVPPPEILPERSKMLNGACQTIFKEISDGQKQFQYVDINLRGRHLLGVQFVLWSGGSWLKNNGSNFYIGLKSLEQAGEKGEGNKIEICKWLLDEIAQREKDAERSLMHRFNIATELMERCRHERELGLIGMLVWLRFMACRELCWNKNYNVKPREISAAQDKFTDLLQRTYQDQKDDRENLRLIMATVGRGGQGDVGQRIRDEILILQRNNDCKGGMMEEWHQKLHNNSSPDDVIICQALLDYVKSNFDISIYWRTLNSNGLTKAILASYDRPIVSEPHFRADKKQGLIHDLSEYLKTLKAVHSGDDLESAIATCLAHLNENYGFMNTGNGHSDGYFSLKLKESLNFIQSHAGDKNIVPFMEKLLEARIELRGLLFGTTRRLKYFIYLDLALELALKTCMEKSFSELKKAPIQGIMSMVSLMLENLCLSTVNNEELVFITKDWYRVCNLFKPNDQQWSLQTKAVLDRMQLALADKAQYYLKMIQPTAEYLGKLLRVETCAVASFTEELIRTGCGGTLAILVNHLSPILRNIANLGSWQIISPVEVCGFVDCVNKLIEVQSKVFNRPTILISNRVTGEEEIPYGVVGVLTPDMPDVLSHVAIRARNNKICFASCFDQDIIQDLKSKKGKEISITLKTSGLIYSEFKSSSSSNKLSSFCPRVTLRKKNFSGKFAISAEEFSCEMVGAKANNIEYIRGKLPSWIKLPRSVALPFGVFETSISSDINKDLAKKISLFKGLVNGGDIAKLQMIRNAILEMKAPFQLMNELKHKMKKSFLYWPGDESEERWNQAWQAIKKVWASKWNERAYLSCRKAKLDHDDLCMAVLIQEVISADYAFVLHTRNPLSGNPHEIYAEIVKGLGETLVGAYPGRSMSFVTNKSALNSASIIGYPSKQIGLFVKKSLIFRSDSNGEDLHGYAGAGLYDSVTMDEAEKVLLDYSSDRLISDKSFQQSIFKKVAEAGKIIEGVYGSAQDIEGVVKDGEIYIVQTRPQI >Ma06_p31310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32471949:32474654:-1 gene:Ma06_g31310 transcript:Ma06_t31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWCLYAAAASLSRCSPLPSPTRPPSVCRCICILLPRPTLPRGSRVRRNAVVCMAPEEEKMTHRSPLDFPIGSFPYMWPEKLAREWWLLVEEERYKGLEWERPKPGRRPDIFSQFSPMKTPLPTSLPEDPPEEDEEEEEEVDPDKEDPEKPEMSRMQRGG >Ma02_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15858379:15868799:1 gene:Ma02_g04410 transcript:Ma02_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVSFITGPTLASSSSSFLEARTLRTLFSGGRGTSIVQKNIVIVSRKLSGLEEAMRIRRSRELQSSGKATKRPPLRRGKVSGPLPVPEHILRPPYVGTKLLPEISSEHQIHDEEGIDRMKAAGELAARVLDFAGTLVRPSVTTDEIDKAVHKMIVDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFLCGNVDEPTKRLVKVTEECLHRAISICKDGVSFKKIGKKISELAERHGFSVVERFVGHGVGKVFHSEPIILHHRNDNPGYMVEGETFTIEPILTMGSIECITWGDNWTTVTADGSLAAQFEHTILITQKGAEILTKY >Ma02_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13610002:13613408:1 gene:Ma02_g02380 transcript:Ma02_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMAFKPISTAESLLPSLPTPLFSSKPALPLLPSLRTSKLPRFPRLSRSHLLLSFRRKLPLLPFVAQTSDWARQEEEEEEGNEVEDGGFDLEAPAPEEGAGSGLEEWEGDEEQAAEGEIAAEADGGFVGGEEEEPYSEPPEEAKLFVGNLPYDMDSEKLAQLFDKAGVVEVAEVIYNRETDQSRGFGFVTMSTVEEAEKAVEMFHRYDVSGRLLTVNKAAPRGSRVERTREFGPSLRVYVGNLPWQVDDGRLEQVFSEHGKVLEARVIYDRETGRSRGFGFVKMASQAETDDAIAALDGQSLDGRALRVNIAEERPRRAAF >Ma11_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2146793:2147278:-1 gene:Ma11_g02910 transcript:Ma11_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MGH3 [Source:Projected from Arabidopsis thaliana (AT1G19890) UniProtKB/TrEMBL;Acc:A0A178WKL2] MARTKQTARKSTGGKAPRKQLATKAARKTMPLAGGVKKPHRFRPGTVALREIRKYQKSTDLLIRKLPFQRLVREVAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDVNLCAIHAKRVTIMPKDVQLARRIRGERV >Ma01_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2321082:2326309:1 gene:Ma01_g03510 transcript:Ma01_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MGAECCYEVSQIAYIKLVLHALKHRSAAVNGLLLGRLRQDGGATATVEIADTVPLSHSQIGLLPALELALIQIEEHFEAQGLSVVGYYHANERHDDVELGNAAKKIGDHIFRYFPRAAVLLLDNKKLERLQSSKGRDPVVQLYTRDSSKSWRQTGSDGSNQLTLKEPAANVVLLDYISSEKWQEIVDFDDHLDDISKDWLNPGLFN >Ma09_p23140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35055129:35066384:-1 gene:Ma09_g23140 transcript:Ma09_t23140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDTRHNAVSSSSNALPHKLEEAMWQLKIEDGREAADGQLNPYPDRPGEPDCLHYLRTGKCGFGSKCKYNHPALGVQNTQFSGELPQRDGQPDCQFFLKTGSCKYGITCKYHHPRDKHEIHMVQLNVLGLPIRKDEKPCAYYMKTGSCKYGVACKFNHPQPASRGSSFPVTGSSVYDYSGYMAPTSGPHVSGGISQWHLSRIPYMSNPTTQGLPAYVPLVLPPSQGTIPVPQGWISYMGSTNHISSTDMPAPGLTAKHQEQPGPGVPLSLPERPDQPECQFYMKTGNCKYGSSCKYHHPKERNQPAMATIGPLGLPLRPVNLILTLLSIYYFLSFAI >Ma09_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35055129:35066384:-1 gene:Ma09_g23140 transcript:Ma09_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDTRHNAVSSSSNALPHKLEEAMWQLKIEDGREAADGQLNPYPDRPGEPDCLHYLRTGKCGFGSKCKYNHPALGVQNTQFSGELPQRDGQPDCQFFLKTGSCKYGITCKYHHPRDKHEIHMVQLNVLGLPIRKDEKPCAYYMKTGSCKYGVACKFNHPQPASRGSSFPVTGSSVYDYSGYMAPTSGPHVSGGISQWHLSRIPYMSNPTTQGLPAYVPLVLPPSQGTIPVPQGWISYMGSTNHISSTDMPAPGLTAKHQEQPGPGVPLSLPERPDQPECQFYMKTGNCKYGSSCKYHHPKERNQPAMATIGPLGLPLRPGQPVCTFYAAYGSCNYGTACKFDHPLVGYYGYSLPPFTYPGQPALFPNQRSLQVIWTSAGNSSSKSSNLPDQLAISEKGGAQQNPQTHEHGNPTKNTLNNESLSESP >Ma09_p27160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38217532:38219342:1 gene:Ma09_g27160 transcript:Ma09_t27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILCVFDSCFRFAVSREERRAMSSSSPCAACKLLRRKCTPECIFAPHFPPDQPAKFANVHRVFGASNVAKLLKQLRPEQREDAVASLSYEAEARLRDPVHGCVGYIYLLQHKLKEVQHDLSNAKRELSTYIGPVAFGPNLPHQYHHQLQGVSPSPFGIRGMGIGTGLALSAPATWPQGQMLIMSEQHQHQRPQMPEMHQAAVETPVAAREQEMFTSYPQIQGYDRMAGGAAVVATVSAQLFNSPSAAEQRHPQPQQYAERLWAKARGEGRSGANPSA >Ma00_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9676765:9678040:1 gene:Ma00_g01550 transcript:Ma00_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLFDPLCCQEESLELEEERTGPLSPVHLLEDWAAVAEEEWVEALCSLATREGETRLPDGRGEHTYLLSARMEAVEWVARASERHLFSALTALLAVDYLDRCFLTSSVAGGLRLQQDKPWMGRLAAVACLSLAAKVEETRVPLLLDLQLSLAAATATEPEDNKFVFEAKTIRLMERLVLSSLGWRMNPVTPLSFIHHLLPRLCPCSKDKNAISTTTAAARVRDLVNGCEAILLCVIADRRWIRYPASIWAAAALSAASLESHETHHLISFLNVPKVGFFSHVWFPINCSVVIICSPMEVIHLQDKVDECYQLIMERGIHGGKRKHSSASSYYAYSSPASPSESSSSGGDCWSRWPTSGSPSPEIRPLKRPNCSSGGKTFGDNELCSCSNVNRL >Ma04_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22007352:22015671:1 gene:Ma04_g19410 transcript:Ma04_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRVEDVIAFLTARGFSAAASALRDDLLSRCAPDGATDLDLDVGSGLPPLRLSPQSRGSGGAGEGAPPSATSSSSDAFVSLASSPSELLNPYGVWSPARARSDDESTDQHSEFGTAREYNNYWYDDQYGGCHNDPFLVMSDPGRSHSQDKFILSTEGKEQFNKRATFGFASSDHDDEGCEGCAEIYSCPFPICDCCRGSKMHDNGEVADMIRSSSSAIYGRYQILDDHTEMLDECGGDEFQSIRVNERPETVLEHDFFHNGNPVEDKECSESGLPDKELQMFDNNAADDSNRLNYDPTHNKDLRGDSCNRNLIFVNKMDDEELQKESYSMPPSEEEASKQDVNYPYGFGDYGTVGRDLEDSRAVGLEGEDGMDDELQLYNSCEDEMEVFDLRIVHRKNRTGFEENKDLSIVLNSVIAGRYYIMEYLGSAAFSDVVQARDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKFVNKHDPSDERHLLRLYDYFYHQEHLFIVTELLRANLFEFQKYNHESGGQVYYTLPRIQAIARQCLEALEYLHNLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFETDNLCLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELQTGDVLFPNDSVTMILARIIGILGPIDEEMLALGRETSKYFTENCDLYHRNKETDQEEYLIPERSSLSHQLQDCDAKFIDFLSYLLQINPRGRPTAREALEHEWISFSYK >Ma10_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23414730:23423748:-1 gene:Ma10_g09230 transcript:Ma10_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGILSDVRVVNLPTKSAIYIWGYNHSGQTARKGKDCHLRIPKSLSHKLFKCAGGDNLRWLDIACGREHTAAVASDGSLFTWGANDFGQLGDGTEESRKHPKKVKSLQTEYVKSVSCGAHCTAAVAEPRENDGTISTSRLWVWGQNQGSNHPRLYWGAFNPNTVISQVSCGSVHVVALSEDGLLQAWGYNEYGQLGRGFTSEGLQGARILNAYARFLDEPPELVKIRQVACGEYHTAAISEDGDVYTWGLGSMGQLGHCSPQSGDKELLPRRVVALDGITVKDVACGGVHTCALTAQGALYTWGGGQAGQLGLGPQNGFFSCVPNASDMLLRNLPVLVIPKGVQLVTCGHSHTLISMRDGRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVKRLAAGGGHSAVLTDAHTLKELCEFRLAESINLSNCFTIADVASRTGADSLARLCERYREHLLEQDNRDNEEEEANRED >Ma03_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7587817:7590045:1 gene:Ma03_g10160 transcript:Ma03_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFSITSQSSQFDAFLKDSLPWPSSQPPPPPASPSPGSRISPAVLFIIVILAVVFFISGLLHLLVRFLIKKHPFSTTSSHPERPQAGELAGSDALQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIVGSKEPFDCAVCLCEFAQEDQLRLLPVCGHAFHINCIDTWLLSNSTCPLCRGALFVPGFTIENPVFDFDDPREEDGFPMEQEAEGDEMVTEKRVFSVRLGKYKNLCTRGAGNDGGSGIADGAVRMEEGETSSNNLDARRCFSMGSYQYVLADSSLQVTLPSGRIKNGDAKGGRGRRINEQSASTEVMEGKKLGIGSRGESFSVSKIWQWSNKKGKFPVSSGITSPDGDLSWIRRNVGDTRM >Ma09_p30060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40377038:40383374:1 gene:Ma09_g30060 transcript:Ma09_t30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAAAPAREMPTVEPQVWKACAGTAARIPAVGSRVYYFPQGHAEQAGSRPDFSTLPSLFNAVLCRISAVRLHASPDTDEVFACISLDPRVPSADVPLPGYMTPSSCPSLPEVADGGGGGFVSFAKILTPSDANNGGGFSVPRFCADSIFPRLDFNAYPPAQFILVYDVHGNHWKFRHIYRGTPRRHLLTTGWSKFVNSKKLIAGDSVVFMRNSSGQILVGIRRTSRFCGPVDYSPCGPSAAALVNRGSLLAGTEGFSSNARGRVPATSVVAAVRLAGMDLPFKVLYYARAGSSDFVVAAESVEVAMSVRWTTGMRVRMSVETEDSARMTWYEGRVSSVRMNSVDLWPRSPWRMLEVTWDEPEILQNVGNVSPWQVVLVSASPQIESQFSVIKRIKMLESSEFCGDREGDMLFPVTELKSTIVGSLPSSVFSYSVFPAGMQGARHYSIGTPNLCDSIMNTNRRVLNDLQGVNALRKDDVFVELKIGGTSQSGGSSPPSQGGIQVLDRKIPEPGSDPVKKTSTGSIQLFGQVIHIDQPTNVDANRESEDTEGGDGSHGSSFHYPHKQLVIQCPRVSAIGACQ >Ma09_p30060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40377035:40381443:1 gene:Ma09_g30060 transcript:Ma09_t30060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAAAPAREMPTVEPQVWKACAGTAARIPAVGSRVYYFPQGHAEQAGSRPDFSTLPSLFNAVLCRISAVRLHASPDTDEVFACISLDPRVPSADVPLPGYMTPSSCPSLPEVADGGGGGFVSFAKILTPSDANNGGGFSVPRFCADSIFPRLDFNAYPPAQFILVYDVHGNHWKFRHIYRGTPRRHLLTTGWSKFVNSKKLIAGDSVVFMRNSSGQILVGIRRTSRFCGPVDYSPCGPSAAALVNRGSLLAGTEGFSSNARGRVPATSVVAAVRLAGMDLPFKVLYYARAGSSDFVVAAESVEVAMSVRWTTGMRVRMSVETEDSARMTWYEGRVSSVRMNSVDLWPRSPWRMLEVGATTALSMTGSHYDVNINIW >Ma02_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26992527:26998613:-1 gene:Ma02_g21340 transcript:Ma02_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQSVRVLYCGVCGLPAEYCEFGPDLEKCKPWLRCHAPDLYPDLLKEENRTDADEATQKLQSVAVSGSGDGRGDASAAASGSASAPKQEEVKRLPGGKIKKKEKQEVVIEKIVRNKRKCVTVVKGLDLFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVEFITDTWPDVPATAIFFIEEGRKVAAA >Ma04_p34860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33843437:33853552:-1 gene:Ma04_g34860 transcript:Ma04_t34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSNADSRRGLTVEAPPNQNPKDIQGSDNPIPLSPQWLISKAVDSKELGPHQDNRLDGVKTSGAGDDLTNTGKKKYVFRPILHDSDSGRRDNWHDEERETNSAIRRDRWREGDKEPGEARRMERWSENTSKNFGDARRAPSERWNDSGNKESDQRRESKWNTRWGPGDKESDSWREKWSDSSKGGNGTSEKGAPVPYLISHGKDINNHGKETEGDDHSSRSWRSNYLLGRGRGDSSHQLQMPVKQPNTFGYSRVRTENGISSLPTSRGRFNPIMSSTNSDAPRLQHLGLSYDKPDGASGDLSTLRYTRMKLLDIYRTTDVQSLRLSIGDFIEVPSLTQVEPLEPLAFFAPTPDESVIIKGIDKGEIVSSGAPQLSKESSVGKINPDTVLSRQSKLGSRHDLLTSGDGCKDDNVDNTKSENCGPLESPSYEKRYYQLGQFSKVDANLNSSLFQPNEVNEKELESAAKMITKEATCLESSSRYVVPRRSQSAGDHIHSSAHDRKDFSLGVGPVELDMRSFHLQKDVESKNNVTVAPLFYRDGSQWQNTEGIGFHLDTKGDLYTKRQSTESVKEGDPFSSKDMLIARNLQPPSPEDLSLYYKDPQGQIQGPFSGGDLIGWFEAGYFGIDLQVRHASSPADAPFLPLGDVMPHLRMKAGPPPGFGVVKHSVSFDESHKGKIVSSNSIYAGLGETNIFKTGQRNMHDAATEAQNRFLESLMSGNMNGSPSDNFSVSAGIQESGGSSSSCLPSVVGENGNDMNYLLAQAKLLERQRVSLNPLSYWSGGDASSMASKTNMISDSSVAHAKLLPSAGDLSPQILQSPQHVDLLSLLHAGADKPPSQSANSAVSSHSNFANAPTVNNPIRGGVEYPSDVVSMHYNQYMPNQIRLGVQRQVLQSANQPPLPQLFTTHGDLSSCLVPPDKMLSSEINQDPRLSSLLQQQYLLSQLQLHSQTPAAQLPLLEKFMLLQQQQKQEQQQHILSKVLSGHQSHQQFGDPSYGQAPAVMPAHNSAMDHLVLQRAHEPLKINQQMPLAYERTGQLSYQPNLNLQGTLDVSSVSSGPLHLPHQIIDQTAEASDAQFSLENDDSVDPATATKPVMADSSTLSEAMERSVSLTFSEAMEKPEEVIFDTQKISQSLGDVGAVHKPPLISQDQVLAQFGSDAPNDLQPVEDSRTSHDCVSSISDQLHDTNISSVDFTDGCHTELTSNKEEVAEAQEVKKASEKKSKKQKKSKTKISSDPGKIVSGQKSSTGIGIVGPVADVSKSEVQTHADESLYGPSFGTGGEVSFASSTEPSESQGSHISSSVNLLTCESLSGGEAESGAVGTLTSNPKATLSQWAWKSTPGLKPKSLLEIQQEEQLRAQRETSHSEIVATATSARILSVPWAGLVTNLESKSSHDTIQAATSTLLVNSENTLKSKNSKSQLHDLLAEEVLAKSNKEDIEPLANNAQDSLLQSPSITEAHVDTSTINDDDFVEAKDTRKGRKKASKSKASVVKIPQSVVSSELPAAPSPIEKAKSTRQAQQDKDYLPAPPTGPSLGDFVLWKGDQATSVPPPAWSGDSGKPQRPMPLRDIQMEQEKRSGTLQQQVPIPTPVKQQPSHISRGSGTSRQLFGSSPSNTASSIQLISQVPAPKSRDEDDLFWGPLDQTKPNPKSSGSWGIKGTTSKGASGAGSGRKPSGSRPVDQSLSSFSSPSPSVSKEAMEFRDWCVNEWIGLTGTNDTSFLEFCIKQSTSEAEMLLRENLGSMDPNHEFIDKFLNYKEFLPSDVLEIAFQLQKPHNPSTGSASHRNSNTTAATEADGAEEGLDGPSKGRVKKKGKKGQKVSASILGFNVVSNRIMMGEIQSIQD >Ma05_p12380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8953543:8959942:1 gene:Ma05_g12380 transcript:Ma05_t12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MQNSLSISFHAPHTLLLLVLLPWVRGAVGQEGFCSVPPSVVAESSSKPLYWKVTNPTLSPANLQDLPGFTRSVYRWDHALITPESHVFSPLPDWVNTLGAYLITPAMGAHFSMYLAKMQENSRSGLPPKDVERFVFVVDGGVTFSNGSIIDHKLPVDSYAYLPPNVEHLLKCDTTATILIFERRYMSLENHCPEQIIGSTEKQPLLETPGEVFELRKLLPTSAPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDVIWMAPFVPQWYAALGKTPSRYLLYKDVNRNPL >Ma05_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8953549:8959942:1 gene:Ma05_g12380 transcript:Ma05_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MQNSLSISFHAPHTLLLLVLLPWVRGAVGQEGFCSVPPSVVAESSSKPLYWKVTNPTLSPANLQDLPGFTRSVYRWDHALITPESHVFSPLPDWVNTLGAYLITPAMGAHFSMYLAKMQENSRSGLPPKDVERFVFVVDGGVTFSNGSIIDHKLPVDSYAYLPPNVEHLLKCDTTATILIFERRYMSLENHCPEQIIGSTEKQPLLETPGEVFELRKLLPTSAPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDVIWMAPFVPQWYAALGKTPSRYLLYKDVNRNPL >Ma05_p12380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8953547:8959942:1 gene:Ma05_g12380 transcript:Ma05_t12380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MQNSLSISFHAPHTLLLLVLLPWVRGAVGQEGFCSVPPSVVAESSSKPLYWKVTNPTLSPANLQDLPGFTRSVYRWDHALITPESHVFSPLPDWVNTLGAYLITPAMGAHFSMYLAKMQENSRSGLPPKDVERFVFVVDGGVTFSNGSIIDHKLPVDSYAYLPPNVEHLLKCDTTATILIFERRYMSLENHCPEQIIGSTEKQPLLETPGEVFELRKLLPTSAPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDVIWMAPFVPQWYAALGKTPSRYLLYKDVNRNPL >Ma06_p35340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35227408:35228772:-1 gene:Ma06_g35340 transcript:Ma06_t35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVMSFFLTLSNTCLIQTLCEGCLENTFNLGRVSSRITFSGKHNIICRWFQILHLVITRVAPDVGCGVASFGAVLLSHNVSTMSIAPKYVHENQIQFALEHGVSALVAGFATHWLPYPSQAFDLIHRSRCRTNSTRDGMQFCNSWKQLLSSSYLSCLKIIVTGKVSKYWCPVLTPSTSIFLP >Ma02_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26913674:26914680:-1 gene:Ma02_g21210 transcript:Ma02_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLILGHVVGDVLDPFARSVSLGVMYKNRLVINGSEFKPSAVVDKPLVQVGGDDLRIFYTLVMVDPDAPNPSNPTLREYLHWLVTDIPATTNASFGREIVCYECPRPAAGIHRVVFVLLRQMGRETVFTPELRHNFSTRRFAMEHYLVPVAATYYNCQREAGTGGRRFIRDDRC >Ma03_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4555460:4556906:1 gene:Ma03_g06620 transcript:Ma03_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAGFVVLPLTLLLLSARCAHAQPSAPPSDNYNPYFGAPSFNPTTAIVIVFLVSAFFLVGFISIYIRHCAGADPRGPVAGAAARSRMLPWQQQQQQRGLSPKVIETFPTLVYSEVKGLKLGKGALQCAVCLSEFEDDDALRLLPRCSHAFHPDCIDTWLTSHVTCPVCRSNLAEAADDEPPPVVFPALEAPVPSPQGREVEALPTPPSPAGQATIVVDQSAGEDKKAELAQIERSRREVGSRSWRRPPKLPRSHSTGHSVALPGDYVDRYTLRLPEHVRKEIFAARELHRSTSWDALPTADEGSSRRGYRGTGIGGGGTGEGSSCGGRSVRLGRSDRWPSFFIRTLSMKVPAWATARRADGGDESVKKGVGECSSSGKFAAVRTPFNCLGGRGDDDESSAAALAGRV >Ma08_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35929219:35932113:1 gene:Ma08_g22300 transcript:Ma08_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSTFSPGDVVISAVSLLVFALLDLLDFILCYFYRFLDVILEGNPVPCYCQRRGREERSDGEGREEVSETLHGRRNLFREMGFPFWKAASDGEEERGELRFPRWSDCSCISCLSWQERGQDKLHLAVMEPSSQGKMDVLALLNANLYKTGRKKECDTDSTESIVFLHGFLSSSSLWVETVFHNLSEVTKQSFRMVAVDLLGFGRSPKPTNCTYTVREHLDMIEASVVRPLELNSYHVVAHSMGCIIALAMAAKHPESVKSLTLVAPPCFDSTEEEASHTALNKLAERRVWPPLLFGSAVMSWYEHIGRTVCFIFCRNHSTWEWMMKLVTRRRALSFLFMDLSKHTHHSAWHTMHNVLCGGAKLVDKHLDAVRKAKMPVTVIHGDKDQLVPLECSYNLKSKLPHADLKVMNGRDHSSVILGREKMFTGELEQIWSSSTNCTHIPHS >Ma01_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13071468:13071599:-1 gene:Ma01_g17780 transcript:Ma01_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTTLWKLFNWARPNARLLCNVSLLCNVCLKVNPALSQAMR >Ma01_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1161104:1163524:-1 gene:Ma01_g01700 transcript:Ma01_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSISLRSSCFVLVALLILGPSYAFYLPGSYMHTYYSLPYCQPQDGIKKSAENLGELLMGDQIDNSPYQFHVNRTRDLYQVNMILDNLPVRRFTEQNGATFQWTGFPVGYTPSGSSEDYIINHLKFKVLVHEYEGSRIVGFEVVPCSVKRDPEAMSKLNVYSKVGPVDCPLELEKSQAIREQEKISFTYEVVFVKSDVRWPSRWDAYLKMEGARVHWFSIMNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFREPTNSKLLCVMVGDGVQITGMAVVTIVTIKGGSEGWRPVSWSIACFFPGIAFVILCILNFMLIWLGRFYYVFGFLLIVLLLLVTVCAEVSVILTYMHLCVEDWQWWWKAFFASGSVAIYVFLYSINYLVFQLRSLSGPVSAMLYLGYSLIMAVAIMLSTGTIGFLTSFYFVHYLFSSVKID >Ma03_p26720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30345089:30346127:-1 gene:Ma03_g26720 transcript:Ma03_t26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPYQAQDAGIRYGDVFPVGGDLADRPVAPRDAAMMQSAESMVFGHTPKGGAAAVMESAAARNEQRRAVGHSQSSDIPRDQGVTVTQTDIPGQPGYRLVTEYVAGQVLVHTNRLIVTSGAGNAAMWGDKVTIGEALEATAKTAGDKPVEPSDAAAIQAAESAATGVNTVLTNGVAAAAQAAVVTNTWADREDDETKLGDVRADAATRMPADKEATRQDAERVVGAEIRSSPNLEMRPGGVAATVAAAARLNEPNR >Ma07_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30015408:30016098:1 gene:Ma07_g21920 transcript:Ma07_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPVLDEAIGGVYKSLHPAKMVVADLGCSSGCNTFVVMSEVLDVVGDLRRSLQERQEPPEIQFFLNDLPGNDFNHVFRCLGEYKRKVEQEKGNLLVPYYVAGVPGSFYGRLFPRRSVHFFHCSGSLNWLSQVPQGLDTERGASLNNTNIYITETSPPEVVKAYQRQFQRDLSEFLRCRYAELSYEGRLVISFGDRKSNCPT >Ma05_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17488263:17488544:1 gene:Ma05_g16570 transcript:Ma05_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLGACCFLPHLRPPLPPPSTKRASTKSTAAPTLSQTGSATRLHYLLFLWRMAIAATDRAVKSLRSLYYDGRDGIFGVFLEFLIDSKQRKFR >Ma05_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5017475:5018847:-1 gene:Ma05_g06830 transcript:Ma05_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAASDADYDRISAVAGDGGDDGSVLEWEFGLPSGDDLVPLSQSLIPPELASAFRINPEPARTLLDVHRASQHTISNLRRITPSPAAAAALRSFHPFPFPAGDDDDPMAFEADEPSHKTRRVDSAAAAAEEAESSAPAPENSNDDHSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDHLFASTPVPQSLREQQLPVPMPYAVPTMIPMPAYGMPHPHNGHGSPMGMVPVNNHQAGGAFYGFEAHHYPYGAFGERHKDW >Ma10_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32949624:32950791:1 gene:Ma10_g24260 transcript:Ma10_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSRMFVRILEALHNVSQERFEAKVELMRSFGWSESEFSSAVRKAPTFLCISLDMLRRKVEFFINVVGYTPSFIASHPNLLLLSLQKTVIPRFRVFEMLNTKGLWTRRGKFLYYVKLSNTKFMEKIVLPYKEKVPELLDILRAGAESSVSAPFSLTTSCLTSTTSLGY >Ma01_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26562658:26570776:-1 gene:Ma01_g23100 transcript:Ma01_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLVRSAVAVVLSLGIAARAYLHRSLDRSGAISGFLVTAIHIAAGYRFAALLLVFFFTSSKLTKMGEEKKRSIDEDFKEGGQRNWIQVLANSWLATILVVVFVSVTGGQDTCLDTKKSKFLTGLIGGIIGHYACCNGDTWSSEIGILSNAQPRLITTFKTVRKGTNGAVSVQGLVAATAAGLLIGLTCVIVGLLTAECATDAVLNQLLAIPIAAAAGLGGSLIDSLLGATLQFSGYCTVRKKVVGKRAPTVIKISGTDVLDNNAVNAVSVLLTTLLTAVACMYIF >Ma10_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14698021:14710375:1 gene:Ma10_g04070 transcript:Ma10_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDGAAITPLRTSDRLRQRPKYFGRPYLYYKPVIRKKMKSKKRTAASQIAKKLLRPRNRSVQMPPSDSVATNLRRSTRKRKMSINLEDYETDTSGTEDDDLMTPRYRSSRNKAENNVSHDEVLGPRNKKITNTNSLPRREGLRPRRLLSGGRAQLYQESEEDQESSEEQAAQDETENGNDAEEDIGNEEDGDGGDEVAEDGDDEDGEEEQEGRRRYDLRNRAEVRRLSSEKDGQQRPRSPRRVLHHGMGSKSNRYLRRGGSRVHRRHRLSLPDDSDDSLLVDEMDQGPSIPWTRNGSRSGTPWLLGGLDMHGATAWGLNVAASGWGFQGDNIASLTTGVQTAGPSSKGGADIQPLQVDESVSFEDIGGLSEYIDALKEMVFFPLLYPDFFAKYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFVFPLPGYEARAEILNIHTRKWKEPPSKELKMELAANCVGYCGADLKSLCTEAAIRAFREKYPQVYTSDDKFVIDVDSIRVEKHHFLEAMSTITPAAHRGSIVHSRPLSSVVAPCLQRHLQKIMEHISDIFPCLSAVDVSRLSVLSYSSALPLVYRPRLLIYGDENVGLDHVGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHIWWETAHEQLKAVLMSLLEELPSNLPILLVGTSSVSLSKMDEDSTSIFALRNVYQVDKPTADDRSQFLGKLVEAVLSMQVDESTNKLEEMTSLPELPKAPKEVSGPKASELKAKAEAEQHALRRLRMCLRDVCNRLLYDKRFSVFHYPVLDEDAPDYRSIIHNPMDVATLLQHVDCGQYLTLAAFLRDIDLIVANAKAYNGDDYNGARIVSRAYELRDVVQGMLSQMDPALVSFCDKIAVQGGPLHLADDMEELNIPAAPVVQLASVTRTSARLRNVQPDVNLAQSYEALRRPKKNADHDPGSGRDERAPVEPDSTTVSSYPGLQDPPDTNGPSGGPEDHSNTGLPESLEVASSPPANENIVDAVMTDTDISKQKDSVKQHFMERTGGYGVPQLERLYARVIKGVMAIGSERREEDRRLLVLGHLLKFVEDDEIF >Ma06_p33330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34009412:34019172:-1 gene:Ma06_g33330 transcript:Ma06_t33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSCVSRALRFPSNKASIGGASIRSSQSASRNLSTGSDGRNSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMDKSGACQGVIALNMEDGTLHRFRAAKTILATGGYGRTYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVCINGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEICKPGQKQKPLEEDAGERTIAWLDKLRNSNGSLPTSKIRLNMQRVMQTNAAVFRTQETLEEGCQLIDKAWESFHEVKIRDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEKWLKHTLGYWEKEKVRLAYRPVHMNTLDDEIESFPPKARVY >Ma10_p26470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34148492:34151866:-1 gene:Ma10_g26470 transcript:Ma10_t26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQSCKGRHKVHSHFKRSLEGGRRLWGYNRFYRRTALESSEYLNDDCLIMHCTVGVVRNCTESPNQFSVNVPSSDLGQCLKELLKSGIGSDIIFEVGDETFQAHKQILAACSPVFNAQFFGLIGNPNVDRVVVEDVEPPFLTLLQAMLIFIYSDKLPDVHELTSSFSMYTFTTMIQHLFLAADRYGLE >Ma01_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10868357:10869112:1 gene:Ma01_g14920 transcript:Ma01_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTCVRVFLPFPFPFRANSATTTFSFLFYPLCDSPSPLMVERVPPCTPPSPEPQPAPSALLDTVPVPADPNPGPDPDPNPSRRLGTYVVRVPKDQVYRVPPPENAKLAERYRNQNRSRRRGSPCLSCLKWILGVAFLVLLLIVAVTVIFFVVGRPGAPTFTVQRLFVKSPRSTTGAHPKPEYDLTMSVRNPSRGMGFSYEAGGRAVITHGTVEIAAGTTPVFVHSAGSSWLQCLAAERDRQEHEGLEERR >Ma10_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27505373:27507756:1 gene:Ma10_g15390 transcript:Ma10_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGGTGVLTLDTSAPLFLASSLSYGGGALKRKQPMDSSGHPTVSTGIEFPICLNPRRDVRTMLLAKDEVSNDDRVAVGEVDFFSGEKKNSRSLVETELDLKVPSLSSIKKEDLTIQTRLHLQTPNTASDRSTVDDGSSKNEDDKEGMNELAAMQAEVARMKEENQKLRAVLGQVTTSYNSLHMHLTTLMQQRNQRETATPQAHEAMVEEKTDAKDRDHGGVLVPRQFMDLGPAADDEQTSDSSTASPDRSASPPGNAEVGSAEQSWNPYKAQKLNPTKPADHAQEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCADDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMSSTDGLMNSNFLARTILPCSSNMATISASAPFPTVTLDLTQNPTNPLQYQRPPAGPFHVPYPGAAPAFSAPSQPPSLPQVFGQTPHNQSTFSGLQMSLEMAAAQFPHPKAQPVMPPSMAETVNAATAAITADPNFTAALTAAIKSIIGGNHQTVNGSNLIINNNNIIPDKSCS >Ma03_p09190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6767150:6781209:-1 gene:Ma03_g09190 transcript:Ma03_t09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVCMSLISFKIAHSALSNEMSEKHALGYVMGSKSKIFIVLEYVTGGELFDKISNHGRMREDEARRYFQQLVNAVDYCHSRGVYHRDLKLENLLLDEYGNLKVSDFGLSALSQQIRGDGLLHTTCGTPNYVAPEVIEDRGYNGATADLWSCGVILFVLLSGYLPFDDDNLMTLYKKISAAEFTYPSWLSFDAMRLIARILDPNPETRLTVPEILEDAWFRKGYKPPRFEEKHHICLDDINVAFRDSKDHHGAERTEEQPQHMNAFELISMSKGLNLGNLFDKDLELKKETRFTSKCPAKEIIKKIEEAAKPLGFDIKKKNYKMRLENVKAGRKGYLNVATEIFQVAPSLHMVEVRKAKGDTLEFQKFYRSLSTQIKDVVWKSEEDMNDDIPK >Ma03_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6767150:6781209:-1 gene:Ma03_g09190 transcript:Ma03_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAKTSIPQKVKRYVGRYELGRTIGEGTFAKVRFARNSETGEPVAIKILDKEKVLKHKLVEQIKREISTMKLINHPNVVRLHEVMGSKSKIFIVLEYVTGGELFDKISNHGRMREDEARRYFQQLVNAVDYCHSRGVYHRDLKLENLLLDEYGNLKVSDFGLSALSQQIRGDGLLHTTCGTPNYVAPEVIEDRGYNGATADLWSCGVILFVLLSGYLPFDDDNLMTLYKKISAAEFTYPSWLSFDAMRLIARILDPNPETRLTVPEILEDAWFRKGYKPPRFEEKHHICLDDINVAFRDSKDHHGAERTEEQPQHMNAFELISMSKGLNLGNLFDKDLELKKETRFTSKCPAKEIIKKIEEAAKPLGFDIKKKNYKMRLENVKAGRKGYLNVATEIFQVAPSLHMVEVRKAKGDTLEFQKFYRSLSTQIKDVVWKSEEDMNDDIPK >Ma03_p09190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6767150:6777599:-1 gene:Ma03_g09190 transcript:Ma03_t09190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKLMVLMDLFLFHSLISFKIAHSALSNEMSEKHALGYVMGSKSKIFIVLEYVTGGELFDKISNHGRMREDEARRYFQQLVNAVDYCHSRGVYHRDLKLENLLLDEYGNLKVSDFGLSALSQQIRGDGLLHTTCGTPNYVAPEVIEDRGYNGATADLWSCGVILFVLLSGYLPFDDDNLMTLYKKISAAEFTYPSWLSFDAMRLIARILDPNPETRLTVPEILEDAWFRKGYKPPRFEEKHHICLDDINVAFRDSKDHHGAERTEEQPQHMNAFELISMSKGLNLGNLFDKDLELKKETRFTSKCPAKEIIKKIEEAAKPLGFDIKKKNYKMRLENVKAGRKGYLNVATEIFQVAPSLHMVEVRKAKGDTLEFQKFYRSLSTQIKDVVWKSEEDMNDDIPK >Ma03_p09190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6767150:6781209:-1 gene:Ma03_g09190 transcript:Ma03_t09190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAKTSIPQKVKRYVGRYELGRTIGEGTFAKVRFARNSETGEPVAIKILDKEKVLKHKLVEQIKREISTMKLINHPNVVRLHEVMGSKSKIFIVLEYVTGGELFDKISNHGRMREDEARRYFQQLVNAVDYCHSRGVYHRDLKLENLLLDEYGNLKVSDFGLSALSQQIRGDGLLHTTCGTPNYVAPEVIEDRGYNGATADLWSCGVILFVLLSGYLPFDDDNLMTLYKKISAAEFTYPSWLSFDAMRLIARILDPNPETRLTVPEILEDAWFRKGYKPPRFEEKHHICLDDINVAFRDSKDHHGAERTEEQPQHMNAFELISMSKGLNLGNLFDKDLELKKETRFTSKCPAKEIIKKIEEAAKPLGFDIKKKNYKMRLENVKAGRKGYLNVATEIFQVAPSLHMVEVRKAKGDTLEFQKFYRSLSTQIKDVVWKSEEDMNDDIPK >Ma04_p25370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27167597:27168046:-1 gene:Ma04_g25370 transcript:Ma04_t25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCAESPLPPTQRSGARLCLYGFAASTLLCKSDLGTSHFFVTSEPPVPRLPPSSSSTIASCSTIRSDYSIDGPHYGRPIAFSCAHVSSVVVAQWTLLCSCNSKSCLHEKVDDNSEV >Ma00_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46447710:46448639:-1 gene:Ma00_g05430 transcript:Ma00_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIRTLLAKVLTFLHEWGGGGGGGDELML >Ma08_p27130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39488973:39489371:-1 gene:Ma08_g27130 transcript:Ma08_t27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIAKSCLNPKPNRRPPASYVLKALENPVKVVREDDNSGSARLRATSSRGSWNAAFTGSWRRSSSGIMSVPGQLREDQKLKRSGTVGSQGSGGERSFSRKRPSKEIFPEPSGFHDMEDREEGSTYGGRLAI >Ma07_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28319491:28322188:1 gene:Ma07_g20430 transcript:Ma07_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCDCASSILLCAEDNDSILGFDDGEEEGGHRPGWVSEPKRCDFYGDILAGFPLQSDELLSLLVEREQEHLPREDYRQRLCSGALDSSIRRDAIDWIWKVHAHYNFGPLSAYLSVNYLDRFLSSYDLPQGKAWMTQLLSVACLSLAAKMEETEVPLSLDLQVGEAKYIFEGRTIQRMELLVMSTLKWRMQAVTPFSFIDFFLHKFNGCGAPSKVSLSRSAELILSTIRGIDFLAFRPSVISAAIALLVLGEAQIVDVDEALSCCCHVAKEGVLGCYEVIQDKVLMRKQSAKDLVSSVSCVPQSPVGVLHAACLSYKSDDATVVSHATCLGLSPASKRRKTTR >Ma04_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23301273:23313988:1 gene:Ma04_g20510 transcript:Ma04_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MAKAATPLAARASALSRSSNPVPAHPPPSFHILPLALPTPPLLHLPLHPSVVRYRCKSRLKPKNYGIRAQASSVGAGNYGGEREENSHSNLMDGSTSENSSKYQKSLSGVNYQLSIAGVLFVSAIAFALIVSLKGGPSALIATLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYDKAMVLFGSMAALSLMTVLSVVIGRLFNSVPAQFQTTLPLGEYAAVALLTFFGLKSIKNAWEIPSDADTNSKEKSELGELVEAEELVKEKVAKKLTNPFEVLWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVAGGAIAGHLVATSIAIVGGSFLANYISEKLVGYLGGVLFLIFAVATLLGVF >Ma06_p36670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35970737:35975308:-1 gene:Ma06_g36670 transcript:Ma06_t36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGERSLDEAVAKPSADFEKKGSDLEASAFTRTGIGARKNGGSKDTGHSILYSVNKSTSQIKKNLHRKSASPLNWFPRKKTDSFLKRKIKHLQEIEGMNLSLDETLGNANPHYTRIAREKIAAQEAARKAMEARRAAMIEASWCRILGAARIRSKEAEARLEKAEKCAADAFEAARAMGVMMYDRPDRQRRQWEVETSLAIGGRSTHKVTTSFETAFEVDKEVAAAVKRAFMRLANCPSSSNKEEFKDLLSKISQNPDTNGSMEDLPEKFSECETDRGAEPEQDSHISRDTVKKHATRIKQRKQKNSLLPTVGGSSSSTSPTVLIDSMLDRLTGLHEEELASLAVIVATRGLNAVLRETESSKENDVEPISSCICTLQKEALAEVPSLDKFLVKHVSRLEREVQEAKRSNAEIDKQRTADTRVVESKISNKYETQADSSLDLGSDLVKHVSELGKGILESRRDNSQVKDGSMTAKSNAIAPSSDNIPSLEELLCKQSSSSNRLTEDVAHLDLMVMPEEVQRRPSDGRGGGGKENIDLVPVDEMHKAGKRMSRVERAKVEVLKAFSGQETNRGGDTVEAMGLDKILVKPIHRLEKEKMRAVEQRRDEIVPRDHKKQGTDVNAPESLDMVLVKHVSRLEKEKLTVAGTRSVKRNNQQQPRECAESLDEILVKHQSKLEKAKLAVTRQPADYIKHGEARREARERELQEAWGGLSLGNSLRPHISRIERDKAAWRKAEEEEHTRETES >Ma07_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22226115:22230266:-1 gene:Ma07_g17830 transcript:Ma07_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEMEEILLDTGSGTRPVANHQYLNHQSHHFRDGSSTASTSGTDDINPPPQFPSSIDWVEAIGAKQKIGDVSFGERLVGVKQYTVYILRVWSAKDQWEVERRYRNFFALYQQLKTLFSDHDLSLPSQWSFVERESMKIFGNASPIVVSNRSALIQECLRSVLNSRYPFGFPSPLLCFLSPGKVAINSNLLKALVPQSFIKFGEGWNSKFSTYKESPENISELGKTIPLIVSIKPQKSMQKMLELQHYTCAGCHKHLDAGKTLLRDLVQTLGWKRPRFCEYSGQLFCATCHTNDTSVLPAKILHLWDFSLYPVSQLAKAYLDSIYDQPMLCVSAINPFLFSKVPALLHVMGIRKNIGAMFPYIRCPFRISIQRGFRSRCHLLESNDFFALRDLVDLSKGAFAALPVMLETVSNKILEHITQQCLVCYDTGVPCAARQICDEPLSLIFPFQEAEAARCNSCGSIFHQPCFVKMISCTCCKSTNAAESLDIRGHVESEKPLAVLAQPSKSSPTLSLFSNILLKASPDLIRRPKDRSPAIFMGSLSLSNTSLY >Ma09_p30970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40842457:40847149:-1 gene:Ma09_g30970 transcript:Ma09_t30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVSVEDPNDVDDNGSCGTPRPPVPGSVWDFWDPFVPPASSSSSSPVPQREQGAVSQVAVDEEDWAETKSEFEEEEEEEEEEEKVIQRKEDFAVTVDRAREKCPLKELADDNSSVVSWLTKDTDMGMVVWRSKKTLAGIIREVDDYFLKAAAGGKDVAVLLESNRSYRYPWDPETRKGKSSKSAKVVNALTWSWSFRSSHSNKDAQDAINASRHGNHCTTLEKLFAEEQRLYKQVKDQENAKSQHKKIILLLHKLEAGDYDFTRTEKTRSDIEELQCQMISLKESINGTCLSISKLRDEELLPQLIEFSVGLLKMWQTMYECHQVQNHVSQQANLLDNHLGTDPTTDSHQHAISQLETEVAFWYNSFCNLFCCQREYAHILNQWVQLTDCLPENDTLMGSTSSIRGFCEELQRVLDRLPDKVAAEAIKSFLLVIRSIILQHNKEHSLKKISDRLQSRLEKELISLRTLEKHDEHALQDPQANTLPSSTRHAKLEAFRKRVEEEKAKYLDSVRRSRAMTLNNLQTCLPNVFQALTGFSSVCAQALGGIVGSTEVAPSFSESVSPVHS >Ma06_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7149680:7156624:1 gene:Ma06_g10360 transcript:Ma06_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MQRLRATRRAAILCWDHWSKQRAFFSTSLLFDDTQKQFKESVHQFAQENIAPHAAKIDATNHFPQDVNLWKLMGDFDLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGTSAQKQKYLLKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYVINGNKMWCTNGPVAQTLVVYAKTDISAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFEYCFVPEENVLGQEGKGVYVMMSGLDLERLVLAAGPLGLMQACLDEAIPYVRQREQFGHPIGEFQFIQGKLADMYTSLQSSRAFVYSVARDCDNGKVDRKDCAGVILLAAEKATQVALQAIQCLGGNGYVNEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKQD >Ma03_p22880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27592193:27598156:1 gene:Ma03_g22880 transcript:Ma03_t22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KTI12 homolog [Source:Projected from Arabidopsis thaliana (AT1G13870) UniProtKB/Swiss-Prot;Acc:Q9LMH0] MALVVICGQPCSGKSTAAACLADALQAVDPKPTVRIIDESSLHLGRNQSYADMPMEKNLRGVLRSEVDRSLSKSSIIIVDSLNNIKGYRYELWCLARASGIRYCVVFCDTDEDSCREWNRKRGEMGESSYDTKIFEDLVRRFEKPDRRNRWDSPLFELFPSKDEIRESSPVIADAVSYLTKKVDSKSRDVRVLQPTIATQTAHTTDANSLYEMDRATQEVINMIVEAQSQGLAGAVNRISLGQHLPTINIQRPIGLPELRSLRRTFIKLTGQSSLSGPPPPLDADSAKRMFVDYLNREIGTS >Ma03_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27592184:27598156:1 gene:Ma03_g22880 transcript:Ma03_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KTI12 homolog [Source:Projected from Arabidopsis thaliana (AT1G13870) UniProtKB/Swiss-Prot;Acc:Q9LMH0] MALVVICGQPCSGKSTAAACLADALQAVDPKPTVRIIDESSLHLGRNQSYADMPMEKNLRGVLRSEVDRSLSKSSIIIVDSLNNIKGYRYELWCLARASGIRYCVVFCDTDEDSCREWNRKRGEMGESSYDTKIFEDLVRRFEKPDRRNRWDSPLFELFPSKDEIRESSPVIADAVSYLTKKVDSKSRDVRVLQPTIATQTAHTTDANSLYEMDRATQEVINMIVEAQSQGLAGAVNRISLGQHLPTINIQRPIGLPELRSLRRTFIKLTGQSSLSGPPPPLDADSAKRMFVDYLNREIGTS >Ma04_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24229889:24233076:-1 gene:Ma04_g21780 transcript:Ma04_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALFCTKVNILILVLALCSPCKFIQSPMDFGSSDFLHTRNTAASLDFGRLVFNSPSAVLKPRSPKDISLLLTFLSASSFGEVTVAARGAGHSIHGQAQALDGIVIEMDSIPSDIYIHKKGDDEAGFSHADISGGALWIELLEESLKVGLAPRSWTDYLYLSIGGTLSHGGVSGQTFKYGPQISNVLQLDVVTGKGELMTCSPTKNSELFYAVLGGLGQFGIITRARILLQDAPQKVRWARAFYDDFDTFTRDQELLLSMPDLVDYLEGFIVPNQLSLLGTSIAFPAHLGFVPEFHKVYYCIEFAVHDFQAKGTNAEQVVEKITKQMSHIPSLMYSVEVSYFDFLNRVRIEEMSLRSRGLWEVPHPWLNMFVPRSGIKDFKDLLLENISPEEFEGPILVYPLLRDKWDANTSAVLPDAPAEQVVYIVGVLRSATPASCPARCLDDILRRNRRIVEAASAPRIGAKQYLPRHPSPLHWPDHFGRRWNRFTARKSLFDPLSLLAPGQGIFTRTHASTV >Ma06_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1589238:1593618:-1 gene:Ma06_g01960 transcript:Ma06_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRTCGGEIHGLAQFRNDDNLLASKNSSKEHFQQSHFPLDDSYSSGLTSSVSFSFDNSLHSFWSTPRMELLHLFSEAGIMLTYVSPPFGAEHGDTHGTSNVEYLGAFCTFSSPVIKSSSESQYDPLVDSIGPPKVGILTSVSPRVIMNTMSSQHVLGDHILGYIASEGIIVDKGEDVKESKAVKIFHTALVDFVKELLKTIWKEGHSSRDAHKMIVKKAAEKVLNALQPHHVPIDRVDKGSSFGIQTKSLKGYGGASLSLDSISSVSDGEISSWFLLQLSPEHELLSAAAAAAANSNSGLNPATIRAFCFGASSISKFHAYYYSLPCNFCCSICNFHFFSHLFCSR >Ma06_p38910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37541678:37543612:-1 gene:Ma06_g38910 transcript:Ma06_t38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGSAMATAPRHVSPVRNPLRHRPSSPLNPLAARLHSAAHIHATTATAITTVVSTRTHLSNLDRLLQKKQPVAPPPPPQPAPDPVVEDDRDPRDSPFSFSVLHRTGSCLLNALNLPSALFLPSSSTQQAEEISPRSLTHLRRLLSNSNRQSPRGIIAPRWHQYHGAADWDGLLDPLDHDLRRELIRYGEFAQATYHAFHSNPTAAPPDRPRAVVLPDRSYRVTRNLFATASVELPPWVDTVAPWMMTATPTSWVGYVAVCDNEREIQRMGRRDIVIALRGTSTCLEWAENFRTWLVPIDEEEQEAEEEESSEGEQTEKPRGTVPKVECGFRSLFKTAGPDAPSLSSMVAEEVRRLMEQYAGEELSITVVGHSLGAALAVLVADELAASVSPHVPTAVFSFGGPRVGNQAFADRVERRAVKVLRVVNAHDLVTRVPCVLPARADGYAHVGRELRVDNRMSPYLRPDADAACCHDLEAYLHLVDGFMATNCPFRSDAKRSLARLLSQQGTNVKELYVSKARDLRLRPAGSFARCNSGQLPSPSSTY >Ma05_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2811136:2816721:1 gene:Ma05_g03790 transcript:Ma05_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGIRSNLFLRELCLFSPFLRRSGCAPPAVLPSTTAHIAIGGIRCAAAQSSDGGSQGKTSARLSLMKQVLRDAEERALSAGSEPTPKISLEHVTISYARSGGPGGQNVNKVNTKVDMRFNVKKAYWLSERVRDRILQMEKNRINKDGELVISSTKTRTQKGNIDDALEKLQGIIDAASYVPPPPSEEQKKRIEKLASIGEQKRLLSKKALSQKKAIRRNRSSWD >Ma07_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1280041:1282540:-1 gene:Ma07_g01640 transcript:Ma07_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLLLPLFVMCGLTSTVGTTVEPAEMLLQPAGEGQLSLDPSEVAAAAVDFGGAARAEPLAVMRPGCAGDVARLVRAAYRSARWFPVSARGHGHSTNGQALSPGGVVVQMSRGRIAPRPVPAYSPSTGEYYVDVWGGDLWVDVLNWTLANGGLAPKSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGELITCSEEQNSELFHGVLGGLGQFGIITRARIALETAPHRVRWIRVLYSDFAAFTRDQELLVSLHGAHRSERFDYVEGFVIVDEGLINNWRSSFFSPKNPVKISSVHANAGLLYCLEMTKNYDSSAADSIDEVVEALLGQLGYIPASVFTTDLPYVDFLDRVHKAEMRLRAKGLWAVPHPWLNLFVPASRIADFNRGVFRGILGNKSSGPILIYPMNKHKWDDRSSVVTPHEDTFYLVAFLRSALPDSGDPTQSLEYLSRQNKKILEFCDDAGIEIKQYLPDHRSRAKWARHFGPKWGRFLRRKDRFDPKCMLATGQGILPPSSSGFPW >Ma07_p15920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12094922:12099202:-1 gene:Ma07_g15920 transcript:Ma07_t15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRVGKRAAGEDSRSGIVFRDQNYNDRNIQYRNRLGLGAGHDTERGSLNSTQGKYKYARAPFRSVNCKAVAGRSSRSSSTSSGCGRSFQEQQNQTLLRESTTPESSSKQDEIEDLINTDVQVSVENLNSDAERGIEGKEGIPTTMECFEPLDSQNISRDTTESNTSVSISKPHKQINGQFGSGYKYASTSSFVRHSGITHRNSSHVGKPTSQRLGSGAERYGLKSLSCKSISDVFPADCPSSDFTHHRSVYAVRKRPSDGESSAARRKDTTAASCGGYAVSMHSGACSSSSSRNQVMHQAVSRKTGNRPTLRDDSVSVRIQRDPIGESQMRLSVQGNDKSLRLYEPIVIPQHQQAQFSMPEVLAESSSRSSHALNNSYRQPCSSIRTSRRRVVDHPPSNAMQTFFGLLEDRDGYRHLNMEGVAEVLLALEQIEQNEALTTEQLLMLETSLLFGELSFHDQHRDLRMDIDNMSYEELLALEEKMGTVSTALSEEQLCESLKRSIYRTAHLTVGIAVCGAEEMKCSICQEEYVGEDEVGRLPCEHLYHATCIEQWLRQKNWCPVCKSSALPSHKTG >Ma07_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12094922:12099202:-1 gene:Ma07_g15920 transcript:Ma07_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRVGKRAAGEDSRSGIVFRDQNYNDRNIQYRNRLGLGAGHDTERGSLNSTQGKYKYARAPFRSVNCKAVAGRSSRSSSTSSGCGRSFQEQQNQTLLRESTTPESSSKQDEIEDLINTDVQVSVENLNSDAERGIEGKEGIPTTMECFEPLDSQNISRDTTESNTSVSISKPHKQINGQFGSGYKYASTSSFVRHSGITHRNSSHVGKPTSQRLGSGAERYGLKSLSCKSISDVFPADCPSSDFTHHRSVYAVRKRPSDGESSAARRKDTTAASCGGYAVSMHSGACSSSSSRNQVMHQAVSRKTGNRPTLRDDSVSVRIQRDPIGESQMRLSVQGNDKSLRLYEPIVIPQHQQAQFSMPEVLAESSSRSSHALNNSYRQPCSSIRTSRRRVVDHPPSNAMQTFFGLLEDRDGYRHLNMEGVAEVLLALEQIEQNEALTTEQLLMLETSLLFGELSFHDQHRDLRMDIDNMSYEELLALEEKMGTVSTALSEEQLCESLKRSIYRTAHLTVGIAVCGAEEMKCSICQEEYVGEDEVGRLPCEHLYHATCIEQWLRQKNWCPVCKSSALPSHKTG >Ma06_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19574709:19581304:-1 gene:Ma06_g22840 transcript:Ma06_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSEHEGIEGNTFVVTGGLGFVGAALCLELVRRGAAEVRSLDPRPASSWSIQLRKARVECIQGDVSRKKDVEKALRGADCVFHLASYGMSGKEMLQAGRTDEVNINGTCNMLDVCHEFGIKRLVYVSTYNVVFGGKEIVNGNESLPYFPIDEHVDPYGRSKSIAEQLVLKSNGRPSKKKDGCLYTCAVRPAAIYGPGEERHFPRIISLAKLGLALFKVGSPSVKTDWVYVENLVLALILASMGLLDDIPGREGHPVAAGQPYFISDGSPINTFEFIMKPLFQSLDYGWPRLTLGVHPALLMARIFWVIYTLLYPWLNRRWLPQPLLLPAEVYKIGVTHYFSIVKARQELGYVPIVSPQEGLNATISYWMERKRKELDGPTIFTWLFSILGMTILFCAAYVPPVGPFAWTRAIGLFFFRSVWTLRLVFNVSAALHVSESIYAWYLSRRIDPANSKGWFWQTLALGIFSLRFLLKRG >Ma02_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17296132:17298311:-1 gene:Ma02_g06250 transcript:Ma02_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSRGGFWEELFIVSGNFGAQVMYGVYMVFLTGVFAAGVNPLFLVVFGNLVTAVVVLPFAVVLEKKKWPRKLSATLLSHFFLLSLGGVTIFQALTLVGIKKASPDIASAMPNLAPGLIFIIAACLRFEKFDACCWYSRAKIMGTLVCLTGAMAMCFLQTPSESPSLTSNLSAILSKPYTLDKAIYSDWMLGCFYLLTGVVFLSCTTVLQAATMVEFQAPLSLVVVTSVMGSLLTALWQIISEGNISVGPSTLSIARIVGVVLLGGVLVGVCVAFQTWCISKKGPVLVAIFSPIQTVSTVVLSAVLLRQMITAGSLAGIVLMFAGLYVVLWAKNNEDFTTVDVKDDPQPHVEDVEKPLLS >Ma06_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12874703:12886324:1 gene:Ma06_g18840 transcript:Ma06_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDPLLTPHKMGKFHLSHRIVLAPLTRSRSYGNVPQPHAVLYYSQRTTTGGLLISEAACVSDTGRGYPHTPGIWTKEHVEAWKPIVDAVHAKGGIFFCQLWHTGRVSNTGYQPNGQAPISCTDKPVNSGLLLEDEPDEKFTVPRRLRTDEIPRVVDDFRNAARNAIEAGFDGVEIHGAHGYLIDQFLKDSVNDRTDEYGGSLEKRCRFALEVVEAVVDEIGAERVGLRLSPFADYHGCWDSDPEVSGLYLVHQINKHDLLYLHMVEPRMSIVEGRRQIPHRLLPMRRAFKGTFIAAGGYDREEGNKVVAEGYTDLVAYGRLFISNPDLPRRFELDAPLNGYNRLTFYTSDPVEGYTDYPFLEPSA >Ma08_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6875609:6883869:1 gene:Ma08_g09520 transcript:Ma08_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGRTVKKIFPGFGTFSGVVKSYDPSAGYFKVLYEDGDSEELDYGEIASMLMEMGEEASPMGQNQNNNRSRWSKKRTSGELDLPEDVDLGSGKVVGVSLIGEDGELSEQNGLFQGSGGKGEVSELNGGGYEGILKENGIVRVPAEITGNDGYPKEEISGNASSEGLVETPQAEKLEAESDLGDADESSIGVGKLSERNMRNAYQKGSEQIKVKEAKSEDCVLDGVYLENQRCDTTCFVEKDEGGPQKRRRLSGKIYSLQDMPLRRSARRASAAALCPSDPLHSHILKSESEFQNCLLDEKDRHVVPEDSKPELPPSSSDLDLNGLPILDFFSVYTLLRSFSRVLFLSPFSLPMFLSALRCEFPNSLIDHIHFSILQTLKQHLELLSEEGYQPATDSLRTLNWELLDLVTWPVYLAGYSLIHGSTMKSLVKLTHPNIMAAEYYMQPASVKLEMLRCLCDDVMEVDGMRSELNIRLTDCEINVDAYNNVYKNRIGLAITGLEASLVQEMPEETADGNSDDCCLCGMDGSLICCDGCPAAFHSRCVGVAKDLLPEGDWYCPECLMDKRDGLTKLSKTSRGAEVLGIDPHGRLYFSSCGYLLVSDSCESVSSSHFYNKDDLEILIRVLKSSHASYTAIVNTISAQWGISLDSHSSISQSCHEIINRNEALDSQLNLLSSDPNVVNDDIVKNSKDNCTNSEHSDPISANASDLSQTNLVSLDHASGMSLLFVSSEPAEQLAHAVNYLQSTQQTTDSCSIATDNPVDEVISVTPVVISTDNSKHFAITDLGGTSFISEQVQKKAETCKLQSDPCGYINYYIFGRVASSVAEDLMIKSSESNNKEPKKSDEDMVVAQLKAIFKRCPKLSSYSFLQQSLDIQKEKCGWCHSCKTSSSSDCAFVVNDKHIEDMKSDAVGLDSEKKKKSHIVSVMHDILSIEDHLNGLLSGPWDNPHYSSLWRKAVMKASDVASLKHMLLLLESNLRRVAMLSDWMKPVDFAHTVGSASHILIGSMDAFSNCGGSRKQGKRTTSGSEFNISQAAAASYVCWWRGGRLSRRVFHWKMLPRSLTSKGGRQAGCKKISNVFYPDVPEFARRNKFITWRAAVEMSETVAQLAFLTKEFDSNIRWLELCKTPPFPQLIKESKGLARLFKKVIIRRKSIEGAIVRYLLDFGKRENIPSTVIRYGVIHEDPSSERKKFWLGENYVPLYLIKAFEAKKLARSIKKTGSKLLSHDVVDFGSKKPERSIGLSYLISKAEKMEAKLCGQCNKNVPIREAVNCQLCNDIALNKAPWARKVP >Ma06_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12989101:12989932:-1 gene:Ma06_g18970 transcript:Ma06_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDFQLLRRLYAFFRRIRNDGASLYRSFLFAYVEHIWVTRDNTEVDRIGQSLTLCQWTNDIRGNICANFEELREMFLQLLYEHIREESTPRSHKEFLSRSLRQWDSASSGTLCSPLNFLCLLFVQCSLVCLLGQSFHS >Ma05_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4829029:4829130:-1 gene:Ma05_g06500 transcript:Ma05_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPEMFHHFSSAINVCFRELKQLSRLEVSTP >Ma02_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22053394:22053753:1 gene:Ma02_g13840 transcript:Ma02_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYEPVRSRYVKLTKDQDAPLEEIRPGELNQPVRVPQLGVRRCPECGQPLPESYEPPADES >Ma07_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2568512:2568751:1 gene:Ma07_g03320 transcript:Ma07_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERAVRILHSVVRHSATPRLLQEMMQMGVVSKLCLVLQVDCKAKTREKAKEILSMHSRVWRSSPCLSPPFQVSYPSS >Ma11_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23443172:23444458:-1 gene:Ma11_g18350 transcript:Ma11_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MSSSATAFHLTAPLTSATGGRRSRRAAVGGVRCGLADLIGGDLVRPDLGRWLQDVEKHKALAVYSPHEGGYEGRYLNRLRYQGYRFLDLTARGLGDPESTLTKIHPVCPPHLGKQPIARWYFPPEVDYRLSLLPPDAKGLVLWVIEAKVLSKAELQFLALLPTLRPKVKVIAECGNWRKFVWRPLREIAGLPSAEGSTASVQPE >Ma01_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2661151:2661741:1 gene:Ma01_g04090 transcript:Ma01_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLDASSPLAAPGVAWLTFAIQLHLFLLVVLFVCCYLMVAIALYIAIGAALGWCKRRAKRSAAMAFAATIPRAVYVVPSSSSSSSPAPPSSTSVSAVVPQTVMESCIICMEEFVSGEELWVLPRCKHWFHGECIRRWLLVPSMTCPICRMLMIGVEVRPGRSLFSNTINTPFLVPMCLILSVITSLIVIIAVHT >Ma04_p33620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33151719:33158488:-1 gene:Ma04_g33620 transcript:Ma04_t33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSESDRTEQIITLFLHKAQHAVLASRIPHLHLSVPRPASARDRWFHLALGDLPAQIGHHGAVMDPLVVDILLTPRGDADDPTGSPEAVVERWTAQCVPPSPWSAAAPHHPHDGSWHRKTYKKSIILLRSLYALLRLLPAHRIFRLLCSSSQPYNYDLSYRISSFVAPLSRAEEAELKQYSFAPVETLFGQLVVSVQYRPSLANFNLEVSSMPPMIITDYVGSPAADPMRPFPSSLPERVSRPIMHQYPPTGIRTSAAPSFDRPHSWNSTPLAHHPLSSASGLPVSEIGFLLPENYGHLVPNQRPLGERKGSSGYNELKLSPPLSTSASPSPPTRGGDSPQSRFHLETAPVSIPTGKSQHRSPNLSDPFKSLLPPPSPRSTRADPYCQESPSRSISLRKSEGSILGDVYSNFHIYAAHKGLKDGRDDSGRFSALSSGGSPRYGFSRSSSRLSIQDDLDDGDFSYPFAVDDVDTSDSQARSFDGKEASEDTLSHKSPEFGSSHKSREAEVGILVHMLKTAAPLRQGQGSLLSSESNAEVSMSSSVMSRKTCDVLEELQSYKELKNLLLSKSKSGSLDSVKQRKE >Ma10_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27455892:27458085:-1 gene:Ma10_g15300 transcript:Ma10_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNPRYLAGKAPLEDGYGFDSGAGAYYPYGGAPVAGHEHRDFPAPLNNIEFQPSETCPKNFVIFDQTRHRSRVMFHPSLAHKFGSPGFDAYGTHAHDAGQSAHRDHDEETEDIDALLSSEEGEDDDVVSTGRTPGSWAGHSPDTACSSHSKSASSRKQVSGTAGSSSGKREKMKKMVKTLKGIIPGGDRMDTPAVLDEAVKYLKSLKVEVKKLGMKHLDN >Ma05_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25904859:25907194:-1 gene:Ma05_g19010 transcript:Ma05_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFTGSDFQVEENDTDIVDEGGFNVSVWPDEIEERFIYIMEAEVNKGNRTSTTFSKPAWRAIEETLNTQTKRNYTYTQLRNKFNQLRTRQKDFANLMKETGVRWNPVTDSVSATDEVWERLYKVYKSAKRFRKKGCPLFNKLCVIYGDTTASDFCQHISNNNPLDTDDREEIDGMSLEASFNEQSGGTGVLSAVPIRSQSFNPSSSRRGKRNSFSTLQTSSLTSPGENSKKKSDTTERKMTVTPSPSKSTSETGSTLKKLIVESMQALNALEGIDGVAYSKAVERFHEDYMWMELFLQMTEDRKKDWVINIK >Ma09_p25590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36999254:37000706:-1 gene:Ma09_g25590 transcript:Ma09_t25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVRRGLWSPEEDEKLIRYITSHGHSCWSTVAKEAGLQRCGKSCRLRWINYLRPDLKRGSFSAEDERIVIDVHRILGNKWAQIAKHLPGRTDNEVKNFWNSCIKKKLLAQGLDPKTHNLIPAAARSNGANSAEPPRFRYAQSSTCTPFTISSSIKSFDDMNNSMDLNPPASFHETAAPVSNFQYQDNHVLMSFKDQNGFSSSSSSLDYTNIASSSFHQPGFIDDCMWDSSVEPLQALRQIEVGHEQGVDQLQVQPSMCKMFFNEANKKGPVMEISDSNGGGGATFNLEMLDNAWLPCVELSSGSFMDQLQWDYWV >Ma07_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31178023:31184775:1 gene:Ma07_g23590 transcript:Ma07_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQSWPPLDNDDAAGGHHSPGAQLQEPNPHPSMIRAENWRRAEEATREVLRCIRPTVVSEQRRKAVVDYVQQLLRTRIPSDVFPFGSVPLKTYLPDGDIDLTALGFPNTEDALANEVCSVLGEEERNKDAEFEVKDVQLIRAEVKLVKCIVQNIVVDISFNQIGGLCTLCFLEKVDRKIGKNHLFKRSIILIKAWCYYESRILGAHHGLISTYALEILVLYVFHLFHNYLDGPLAVLYMFLDYYSKFNWEKYCVSLDGPVSVSSLPELVVEPPESNGSCLLLNEEFIKECTEMFSVPSRTYGNNYQVFTQKHLNIVDPLKRNNNLGRSVSKGNFYRIRSAFTYGARKLGQILLLPSESIANEVNLFFKSTLDRHGTGERPDVQDAASSRPDSGTIDDNRVESILSNLNIEDNNKESHFLCSVASMSNGTLSEKINNIKILDSEQENTSKKQSDRHSSSQNYNSNWLHNCFKIGSVVPVEDVSGKHLVDNARDLVSARSYDSRSPDESLKASTSGKVHHAPHLFFHVENISEDGTIDNLNSGDNGTERVSSSRSSAPNEEMKHEIKTSSSTSCFRKPTFSVAAGSIHESSSSSWNSYLSEDSSLGDCSTNGNLSHASPKSSKLSDLIGDYRLYFSNLQHAQEFQECIVSSYLVPVYRTSPSQFQSKHTWNMRNMYSHLGSNGLGPAPPFSPSYYLIPPVISNDYGTKDITKTRGTGTYLPNTNSRSYRDRQPSGRGKNQMLTDHSPRYHRHGHMDTPDYGGSSEERNQWSSPRSQAPAFVVNGHEKPIPSDVPQSTRPAFVVVSRGNPPEGKLEFGSLGPVTVGVPSPGQGSNFESVNPVGRGLGSVIPESTVERPYKSLNHARLSQPYQLKDEGDFPPLAG >Ma03_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11321272:11323011:1 gene:Ma03_g14160 transcript:Ma03_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRGFPPPLGHQPPPPSPPSSDASFPILAISILGILTTSILLLSYYVFAVRCCLNWRRSDVVGRLSRSRRRIDDRLMAYSTVTESHGLGESEIRAIPTLRYRRGGDGAGKTSFHECAVCLNEFQEEERIRLLPNCFHVFHIDCIDTWLQTNANCPLCRSSITTAAAPVPLDQFTASAPHQDPRRSGDIVIDIRDDDSDPQAQAVTAIDANTNPSRWKSEQRVGHKRGRKVHRHGSMGDECIDTRAKDGQLQVQPIRRSFSMDSSSDRQLYMAVQKIMRQNPHFLEATGGESSSTSGRIRRSLFSFHRHSRSAVLPVQIEQ >Ma08_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4061712:4062465:1 gene:Ma08_g05990 transcript:Ma08_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFPFPINIAEHWSATVAHGRSEKETKQSMLFVFLMISALRRNRGEWAACGRGERADTRIGWRDHPPVFLHHLLGIPPQASAAFKEGCPNHGFPLPCSMGNIEALRTGTKAGSHVPCSTKYSQSGLPICSVFIS >Ma06_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6675731:6676640:1 gene:Ma06_g09550 transcript:Ma06_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFPVSLLSQAFISKQSQTSCSSPSFFLIKHLRTMDPLKMEKLQAMRSYRRNHFFLVFLQYILRVVLVGLFLSCPNWLPSICSFVKFFFLVCLPNIGATVSGPKFVFVVSNIIIIFLVGESRLSKSPAQHPGIYEDYVSRSQSLQRMASVEVKEKEAVMVEPSFEETKEKDEEAVEEEEEEVKGDAGEEELVTEREELLEEEYEELPAEELNRRVEDFIAKVNMQRKLEARMLI >Ma09_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4126303:4127818:1 gene:Ma09_g06440 transcript:Ma09_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQASAIRWTKEITNAQVLRLIRAESDVRKALLVFDSATAEYPSGFRHDAATFAHMSARLAAAGLLPTAFSVLARVPAELGHPPPEPVFAALIRAHGRARRPLDALRLFHDAPRLLLLRPSNRSYTALLAVLVAHNRLDLASRLFAGMRAAGVPPSVASYNVLLKAHCTDAGSGADVDAALRVFRRLPDRGCPPDSCSYNTVIDGLCKHGRIGEAKQLLEEMSDKDCSPTVVTYTTLIHGMCRSGSLDEAIEMFDEMTKRGIKPNVFTYSSLVDGLCKGGRSLKAVTLLDRMVSERCQPNAITYSALINGLCKEGRLGEALEILDRMRLQGKKPDVGLFGKLIDGLCESGRAQEAANYLDEMVLSGITPNRVTWNLHVRINNTVVVGLCAVGDSSRALRVHQSMKSRGISTEPDTFHHLVDCYSKKGDVHKAARVVSEMLLEGCVPTRETWAVVIGGYWERRKVREAAEVFLDGLVVGVAL >Ma01_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9740015:9740344:1 gene:Ma01_g13310 transcript:Ma01_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRSGLMSEVELLTAPLGWPSGTSPRDRPKAEARVRGGSGPLPAAPLAGSEISLPTTRCSIWCTRSTHWTRAAPRRWGRRAAPSRRSSSSCRPVISSNRFARLLYSK >Ma09_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12451557:12454139:1 gene:Ma09_g16950 transcript:Ma09_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEESKGEKGLQVNDYVVGSLRTVIYIPNFITESEQSQLLRHINEVPASRWKSLKNRRLQNWGGVVHEKGLLPQALPSWLTKITQRIGQQTGLFPSPINHVLINEYLPDQGIMPHQDGPAYFPVVAILSLESPITIDFTPHPRSKEFEMPVENEDSTVYEAVSGQIDSPSTESTGSPKDEHHQSSVLLMPCSLLIFKDQAYTDYLHGIEDSESLSLDKVVNISEVIKLKELEYPRGSLHAVTSDVTQDIGQNNIFQRTSTRVSLTCRLVLKVHKNLFKF >Ma05_p31720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41532303:41533461:1 gene:Ma05_g31720 transcript:Ma05_t31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRSLPRFDSSPPSPAPGAQKPRVVVLGTGWAGCRLMKGIDTRLYDVVCISPNEYEMGVNRVLHEKETENRIKARRMVESMEKKSKQNTSFIVVILLHEQLSSCVGDPFVYRHLGSMATVGRYKALVDLRQNKRCTGDFSCRFCQLTHLAICITNSGD >Ma01_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6193487:6200610:-1 gene:Ma01_g08640 transcript:Ma01_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGELVSRSQIPDGGVARDPPINGASAAASERKKVRESERRRRRRKQKKNDSKSSVSLADDGEKRGVEGGEIGAAEDERNDYPEVNMSVEVEYIPEKADVDESIFEEFKNVIEKFNFRDHAGVEDNSTKDETMGNAAAKKGDSDTEEDEQETQQKEKGLSNKKKKLQKRMKIAELKQICSRPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFHLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYYEGKEFEFKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEYGRPLYGDVFGVLQQEQLNYEEEPVDRSKHWGDLEEEEEEEEEEEEEEEMEEEELEAGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPEKPLYQVLEEKEERIVPGTLLGTTHTYVLGAQDKTAAKRVDLLRGQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKVRNQKEDFSDMVAEQAANKRKRKEKEGKSKKKDFKF >Ma04_p38880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36223709:36225441:1 gene:Ma04_g38880 transcript:Ma04_t38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLAFSTPSPLQFRSHRRRRTASIRASVSESPVTATPSSSSSASPSSKLPLRKIPGDYGLPLIGPLQDRLAYFYWEGRDEFFKTRVRRYGSTVFRANMPPGPFLASDPRVIVLLDAASFPVLFDTSLVEKRDVFTGTFMPSTELTGGFRVLSYLDPSEPNHAPLKRLLFFLLSHRRQAVIPEFRRTFGTLFETMEAEIAAKGKADFGDANDRASFDFLARAFFERDPKDTELGLDGPGLITKWVLFQLGPLLTLGLPSYLEDLFLHSFRLPPALIRGDYDRLVAFFRESAGPVLDEAERMGISREEAVHNILFATCFNTFGGMKILFPGLVKLVGRAGAQLHGRLAQEIRAAVRDVGGGEVTMRAIEAMPLTASAAYEALRIEPPVPMQYGRAKRDMVVTSHDAAFEVRAGEMLFGYQPFATKDARVFDRPQEFVADRFVGAEGASLLRYVVWSNGPETESPSTENKQCAGKDFVVLMARLLLVELFLRYDSFEVEVGASALGSSVKLTSLKKATF >Ma08_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8850764:8855135:1 gene:Ma08_g11860 transcript:Ma08_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSAFSLFLLLLLLFPLLISATPPPPQPSPPPAPHLNRTSEILAEIDALTAFRRALRDPLGALAGWVASSPSAPCSWRGVACDPDVSRVVELTLPRLRLSGPISPRLADLHLLQRLSLRTNQLSGPVPPSFASLGRLRTLYLQSNTLSGPLPPAFLSNLSNLQVLSLAGNLFTGPVPTALPPDIRYIDLSSNAFSGSIPANLSVTAPRLQLLDLSFNRLNGTIPGNLGGLPALAFLWLDGNLLEGTLPAVLANCSSLVHLSLQGNSLRGIVPAAIAEMPNLQVLALARNRLSGAVPASVFYNASTTGVSSLRIVQLGQNEFTELAPPPQGRRYSAALQVLDLKQNRLAGAFPAWLVNASGLTVLDLFGNAFTGSLPPGIGRLALLQELRLGRNSMTLPVPVEIGQCSALQVLDLEENRFSAEIPAALSRLSQLRDLYLGGNLFSGSIPGSLVKLSKLETLSLYGNKISGVIPEELMLLSNLTTLVLAGNGISGEIPSTIGNLTGLQTLNLSMNSLSGVIPASIGRLLNLKSLDLSGQKNLSGDLPAELFGLPSLQVISLADNSFSGQVLEGFSSLWSLQILNLTANSFSGSIPATYGYLQSLKVLSLAYNNITGEVPAELANCSNLTILQLRSNHLSGPIPEDISRLSDLTELDLGRNNLSGIIPPDISNCSTLVTLMLDGNHLSGDIPDSLSSLSKLQALDLSDNELSGSIPSSLALISRLAHLNVSDNSLRGEIPGVVSSRFGDPSAFAGNPDLCGHPLETACKKRKRTYLILVIGLTAAAACILVVCCCCFVFSLLRWRRRFLDSRAGVKKRSPGRGSGSSAGSGGSGENNGGPKLVMFSNRNTYADTVEATRQFDEENVLSRGRHGLVFKACYNDGTVLSILRLPSTSADGAIVIEEAAFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGVARGLTFLHASGVVHGDVKPQNILFDADFEPHLSDFGLEPIVVTAGAAAAAAAASTSATTVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGAFAGDDEEDIVKWVKRQLQRGQVAELLEPGLLELDPESSEWEEFLLGMKVGLLCTAPDPLDRPSMADVVFMLEGCRVGPDLPSSADPTSQPSPA >Ma05_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35484832:35486141:-1 gene:Ma05_g23440 transcript:Ma05_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEPRPDPNGPSVVTAYRRSSSFGRFVADGWSDGLPFRLDDSDDMVIYGALSDAFHHGWLPSGAKPEPAAEEGELTPRLPPPAVQVSQQQQQVAAAASLRAVPAKGKHYRGVRQRPWGKFAAEIRDPARNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRIGSEEAAPAVASPSKRASSEPSSSSSSSSISSSSYGTSSSSSSSERASPKRRKRGEAEAAAPASSATGPVLGPLPVPVQAQTGLGFGNRPEIFPAGPVAQLPHVGQLLVS >Ma09_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35528502:35528790:-1 gene:Ma09_g23800 transcript:Ma09_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELGFLLRFSCVCSKMILKDILSLRSLLKIKRILNRNISWRRLLISKEQIFLYMDNGLSMVIPR >Ma05_p26280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37941902:37942886:-1 gene:Ma05_g26280 transcript:Ma05_t26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFQPVTGGIFGGGVEHRRVVGYPAEVARQQQQQQAVKCPRCNSANTKFCYYNNYNHSQPRHFCKSCRRYWTMGGVLRNVPIGGGCRKSKRPPSSSSSSSKPSSRPSSDATDEDHHHRRRRRPSSASRCSSDSPSLRAVTTATTSTLLTSHMPISNNNPPFEPLPVDPPPCPAPDVFQDPAAVSFTDAASILAFNFPDQSHPQEEAAAAAAAAEVIRPGLIDQTVPLDPGPGGGDLPALDWPEPADSALFDLTSAVDPVAYWNQSHWLDADPSLYLP >Ma10_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29568129:29573347:1 gene:Ma10_g18770 transcript:Ma10_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSNSGEGRTRSSLSIIIVVGLCCFFYILGAWQKSGFGKGDSIALQVTKQTECTNSPNLNFETHHGGSGSLKDIGDSEAKTFKPCGDTYTDYTPCQDQGRAMTFPRENMIYRERHCPPDEEKLYCLIPAPKGYVAPFPWPKSRDYVPYANVPYKSLTVEKAVQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIDNGTVRTALDTGCGVASWGAYLLKKNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGSIKLPYSSRSFDMAHCSRCLIPWGANDGIYMMEVDRVLRPGGYWVLSGPPINWKNNYQAWQRTKEDLKEEQRKIEEIAELLCWEKVSEKAEIAIWRKRINAELCPRRQDETRINICESANPDDVWYKKMEPCINQYPEVNSPEESAGGELKPFPERLNAIPPRITSGSVPDISVNSYQEDIKQWQKHVKAYKKINKLLDTGRYRNIMDMNAGLGSFAAAIESPKLWVMNVVPTIADISTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYQNKCKMEDILLEMDRILRPEGAVIFRDDVDVLLRVKKTVSGMRWDTKLVDHEDGPLIPEKILVAVKQYWVGGSTSKKEKE >Ma08_p25200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38144458:38151235:-1 gene:Ma08_g25200 transcript:Ma08_t25200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGNGSPLPRGKAAAPLKQGSLKGNNSGAMDESTHIEIDSSDSELEGFVEEKVPTSLNANEKSSAEQKSGKKKVSFGSLKSSGKTSFDTPTAKGDLGKGGKGFSVGKAGGKGSIPEATPIKPLVTEVELKLELELLKGARLLMDCEAAEVLQEIQGHMTVLSDDPKIKMPESFSKALQYAKINAHYTNVQSVRQVLETLKQNGVTDGEICMIGNVDPETLDEVYALIPSLKTNKHKNEGAIADVLASLANFRTSK >Ma08_p25200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38144494:38151224:-1 gene:Ma08_g25200 transcript:Ma08_t25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGNGSPLPRGKAAAPLKQGSLKGNNSGAMDESTHIEIDSSDSELEGFVEEKVPTSLNANEKSSAEQKSGKKKVSFGSLKSSGKTSFDTPTAKGDLGKGGKGFSVGKAGGKGSIPEATPIKPLVTEVELKLELELLKGARLLMDCEAAEVLQEIQGHMTVLSDDPKIKMPESFSKALQYAKINAHYTNVQSVRQVLDSRTLKQNGVTDGEICMIGNVDPETLDEVYALIPSLKTNKHKNEGAIADVLASLANFRTSK >Ma08_p25200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38144494:38151224:-1 gene:Ma08_g25200 transcript:Ma08_t25200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGNGSPLPRGKAAAPLKQGSLKGNNSGAMDESTHIEIDSSDSELEGFVEEKVPTSLNANEKSSAEQKSGKKKVSFGSLKSSGKTSFDTPTAKGDLGKGGKGFSVGKAGGKGSIPEATPIKPLVTEVELKLELELLKGARLLMDCEAAEVLQEIQGHMTVLSDDPKIKMPESFSKALQYAKINAHYTNVQSVRQVLEYPLVELALVSYVVYFVTCPTEQTACLAINLSSRTLKQNGVTDGEICMIGNVDPETLDEVYALIPSLKTNKHKNEGAIADVLASLANFRTSK >Ma08_p25200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38144494:38151224:-1 gene:Ma08_g25200 transcript:Ma08_t25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGNGSPLPRGKAAAPLKQGSLKGNNSGAMDESTHIEIDSSDSELEGFVEEKVPTSLNANEKSSAEQKSGKKKVSFGSLKSSGKTSFDTPTAKGDLGKGGKGFSVGKAGGKGSIPEATPIKPLVTEVELKLELELLKGARLLMDCEAAEVLQEIQGHMTVLSDDPKIKMPESFSKALQYAKINAHYTNVQSVRQVLEYPLVELALNGVTDGEICMIGNVDPETLDEVYALIPSLKTNKHKNEGAIADVLASLANFRTSK >Ma08_p24260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37505950:37509527:1 gene:Ma08_g24260 transcript:Ma08_t24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKEMRVLVMLILVLILLGGCSSASASSPAKIVGVAVSNAASNAASSLLKRLWSLKSTTKTAVSGHRPLMKFESGYTVETVFDGSKLGIEPHSVEVTQSGELLLLDSVNSNLYRISLPLSRYSRPKLIAGSPEGYVGHVDGRPREARMNHPKGFTVDGRGNIYVADTMNMAIRKISDTGATTTIAGGKGSRGGHADGPSEDAKFSIDFEVVYIASSCSLLVVDRGNSAIREIHLNFDDCAHQYETGLPLGIAVLLAAGFFGYMLALLQRRVGVMVSTKTESVTPIKASMPPHQIPVKPSIRPPLIPARDEAENTDEGLFSSIGKLLSGTWSSTAAIFGAVFPVFRKKPKAVQYQQQQRVNAWPVPESFVIPDDEIPPPLETRAPTPHKTYAFMSKEPEKIHHIRHAPPYFSGWGTEAQQQQQQQVHQRQHLRQHRQYSSGPQTYYEQSCETTNEIVFGAVQESDSKRRSVEIKALNYGDPIYEQYDMRTRSSYSGYGNY >Ma08_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37505950:37509527:1 gene:Ma08_g24260 transcript:Ma08_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKEMRVLVMLILVLILLGGCSSASASSPAIEIVGVAVSNAASNAASSLLKRLWSLKSTTKTAVSGHRPLMKFESGYTVETVFDGSKLGIEPHSVEVTQSGELLLLDSVNSNLYRISLPLSRYSRPKLIAGSPEGYVGHVDGRPREARMNHPKGFTVDGRGNIYVADTMNMAIRKISDTGATTTIAGGKGSRGGHADGPSEDAKFSIDFEVVYIASSCSLLVVDRGNSAIREIHLNFDDCAHQYETGLPLGIAVLLAAGFFGYMLALLQRRVGVMVSTKTESVTPIKASMPPHQIPVKPSIRPPLIPARDEAENTDEGLFSSIGKLLSGTWSSTAAIFGAVFPVFRKKPKAVQYQQQQRVNAWPVPESFVIPDDEIPPPLETRAPTPHKTYAFMSKEPEKIHHIRHAPPYFSGWGTEAQQQQQQQVHQRQHLRQHRQYSSGPQTYYEQSCETTNEIVFGAVQESDSKRRSVEIKALNYGDPIYEQYDMRTRSSYSGYGNY >Ma03_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24316514:24317329:1 gene:Ma03_g18800 transcript:Ma03_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSKVKKGAAGRRGGGPKKKPVSRSVKAGLQFPVGRIGRFLKKGRYAQRVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTDKGGKEPKSPSKATKSPKKD >Ma02_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20320890:20321738:-1 gene:Ma02_g10880 transcript:Ma02_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSLSSAWTPKQNKVFEMALVEYDKDTPDRWHKVARAVGGKSEQEVKRHYQLLVEDLLRIETGQMPRANYHSAGNRG >Ma04_p01330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1213092:1219656:1 gene:Ma04_g01330 transcript:Ma04_t01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCDPATMDQPSSPGRKIILHPLYVPKSPPWFDLRVFYVRVSNCQIDESTPEHLTVHHIPLTPDTILEVNGRRSSIYSDYVSSSLRRDRVDKRAEEATFVSTDSIRMTGSIRFEVYDRDCLVLAGILELCNSNGFSGDTKKHNKKWSMNCQSVILTGMSFLKNKQIMSVEINLPMIEVYVAGLFSGAPIVLTKTLQLGFLKKHQMKVMLDSIPEDDTMELKKEMASEDGFELSEYGGYNQETDLDMDYNEMYTKAEYLEGEDGELLWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQAATRNFKRRLG >Ma04_p01330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1213345:1219656:1 gene:Ma04_g01330 transcript:Ma04_t01330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESCDPATMDQPSSPGRKIILHPLYVPKSPPWFDLRVFYVRVSNCQIDESTPEHLTVHHIPLTPDTILEVNGRRSSIYSDYVSSSLRRDRVDKRAEEATFVSTDSIRMTGSIRFEVYDRDCLVLAGILELCNSNGFSGDTKKHNKKWSMNCQSVILTGMSFLKNKQIMSVEINLPMIEVYVAGLFSGAPIVLTKTLQLGFLKKHQMKVMLDSIPEDDTMELKKEMASEDGFELSEYGGYNQETDLDMDYNEMYTKAEYLEGEDGELLWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQAATRNFKRRLG >Ma04_p01330.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1213645:1219656:1 gene:Ma04_g01330 transcript:Ma04_t01330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESCDPATMDQPSSPGRKIILHPLYVPKSPPWFDLRVFYVRVSNCQIDESTPEHLTVHHIPLTPDTILEVNGRRSSIYSDYVSSSLRRDRVDKRAEEATFVSTDSIRMTGSIRFEVYDRDCLVLAGILELCNSNGFSGDTKKHNKKWSMNCQSVILTGMSFLKNKQIMSVEINLPMIEVYVAGLFSGAPIVLTKTLQLGFLKKHQMKVMLDSIPEDDTMELKKEMASEDGFELSEYGGYNQETDLDMDYNEMYTKAEYLEGEDGELLWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQAATRNFKRRLG >Ma04_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1213092:1219656:1 gene:Ma04_g01330 transcript:Ma04_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCDPATMDQPSSPGRKIILHPLYVPKSPPWFDLRVFYVRVSNCQIDESTPEHLTVHHIPLTPDTILEVNGRRSSIYSDYVSSSLRRDRVDKRAEEATFVSTDSIRMTGSIRFEVYDRDCLVLAGILELCNSNGFSGDTKKHNKKWSMNCQSVILTGMSFLKNKQIMSVEINLPMIEVYVAGLFSGAPIVLTKTLQLGFLKKHQMKVMLDSIPEDDTMELKKEMASEDGFELSEYGGYNQETDLDMDYNEMYTKAEYLEGEDGELLWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQAATRNFKRRLG >Ma11_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9088542:9091125:-1 gene:Ma11_g09810 transcript:Ma11_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPESPPNSNPKSSSLCVECGSNPWKYRCPGCSILTCSLPCVKSHKERTSCTGKRNRTEFVPLSQFDDKLLFSDYDLLEETKRVTESAHRLITGFGSNYRFHLPTRLRMLRNAANRRRTRLLSLPLGMSKRDKNQSRYNQKNNCIYWTVEWRFHSTDVVLFDHGVDEYGSISSVIEKHLAPSPWNNQLRQFCDISLDDLSFFIRKNAKGTKSPYRKLNIRAPIGQQLQNTVIVEYPVIYVFLPSHKYDFEVEKDAKSISRSEEAPVSASGIPSPKGILFREEKFEEGEMPLDTQVMDLKHCRTSQSSRHSQINKDDIRSAEEGYHQDRSMQVVATKTLEPFPTEKHQAASAETKDHPTDVIPENLSQDDKFDFQQEIKDAYLNLMGEIDPDDFLCLDGVYSGGNEIVTDNPPYFGDNFLRDEELEEGEIPGY >Ma08_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35888591:35890219:-1 gene:Ma08_g22230 transcript:Ma08_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MGEIVFFDVETTAPAGEGRRLQLLEFGAMLVCPRKLTEVESYCTLIRPADLSAVATKRCSGITREAVAAAPTFEEVADRIFGILNGTVWAGHNIQRFDCHRIKEAFADIGRPPPEPKGLIDSLAILTHGFGRRAGDLKMATLASYFGLGQQKHRSLDDVRMNLEVFKNCATVLLLESSLPQVFPNKSLGNLGMVTRSRANGRSCGVEACRKSPQPASPVIHRASPYKKDNIRKVMERAKEALSSARGAAPLNTLLRHSRSLLR >Ma05_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32023017:32024940:1 gene:Ma05_g20380 transcript:Ma05_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDNQQSWVPYVPTRDCSMGFCSIYCPQWCYVVFPPPPPVEFTSDNSGLTFSPLVIVIIGILAGAFLLVCYYAIISKYCGTFDSLRRWLDPPGTDNREVDDGNVGQSRRHEAWHASPTNGLDEILINKIAVYQYRRGDGMVQGTDCAVCLSEFREDDSLRLLPKCSHAFHLRCIDTWLKSHSNCPLCRASIVTVNPALPPQSPSAPEPQNNPEVEEAAQADETVLVIEDLVVNAEEETDPGSSRDAAKDPCSQIYRDSRGVEETDAIVEIRDDDIQPMRRSSSMDASCCDRVSIADVLQMSMEDELLAAKENGLWVATSSSRRAAGDHSKVRRSQESRGLHGVMSAVPMKRSFSSGRFCLTKQGRRRNGLQVQEAAE >Ma09_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35477974:35479028:1 gene:Ma09_g23680 transcript:Ma09_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAESAALLLLLLACGLRAGADGSNHRCKEGDRVPFYANKVGPFHNPSETYRLYDLPFCTPEHVTDKKEALGEILNGDRLVDAPYELNFREDKQSKSLCKKHMSKDVTKLRNAVSKNYYFQMFYDDLPLWGFLGRIDKNKVDSSENKYLLFKHIQFDILYV >Ma07_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10082075:10088814:-1 gene:Ma07_g13370 transcript:Ma07_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEGNPKGVCGEYEIEEEVEEEVEEEIEEEVEEEEEEEEEEMGGGGGVHGQGGGGEGDVEGDDDGDGSGEPVGKRMDNSGGEEMKQADIGSSSAGKIFVGGVAWDTTEETFNEHFSKYGEITDSVIMKDKNTHMPRGFGFVTFADPSVIDKVLEDEHVIDGRMVEVKRTVPREDMPSKAGNRTRKIFVGGLPTSLTEDELKEHFSLYGEVVENQIMLDHSTGRSRGFGFVTFKTEEAVDKIISEGRMHDLAGKQVEIKRAEPKRSGGHSAVNGRASHGASGGSAHGYRGSSYGYGGSHRYGGNYYEGGTGYGYGRGYNYGGVPGYGAGYGSNYGGPMYGGGGYGGGNLYGGPGGYSNWYGGYGSGGRGYGNRYHPYGK >Ma07_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10567479:10568622:-1 gene:Ma07_g14140 transcript:Ma07_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSISTDLRKRSISRGCDGSQITNSLSVGSSHILRVKSVYISSAILAANSPFFYKFFFFSNGMKESDWWLATLRINASEEAAFMDLLGFIISRSFSTTSPALLLDVLMGCG >Ma00_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30285617:30286063:1 gene:Ma00_g03660 transcript:Ma00_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPRELADRSKYCRFHRQHGHDTEQCYELKRQIEELILRGHLGQYLRPSKEQSPRPEGPVERHIDVIAGGPASGGSSMSGRKAYARAAPDEASGREPEPEITFPTGVAERPHHDDALVISARVANAQVKRIMVDTGSSADILYFGAF >Ma07_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6685837:6692500:1 gene:Ma07_g08940 transcript:Ma07_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANGGEVAAALILSCLLILPSASAFYLPGVAPRDFQKGDELQVKVNKLSSTKTQLPYDYYFLDYCKPSNIMNSAENLGEVLRGDRIENSVYSFKMRRDESCKVVCRTKLTSEAAKNFKEKIDDEYRVNMILDNLPVAVPRQRRGGSQAPSYEHGFRVGYKSKDDKYYISNHLSFKVMYHKDPESEDARIVGFEVIPSSVKHEYSNWDDKNPKVTTCSADIKIAPGSTTPQEVVADTYVVFSYDVTFQPSEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMLRTLYRDIANYNQLETQEEAQEETGWKLVHGDAFRPPVNSGLLCVYVGTGVQFFGMILVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGSEWKRITLKTAFMFPGIVFAIFFVLNALIWGEKSSGAVPFGTMFALVLLWFGISVPLVFVGSYIGYKRPALEDPVKTNKIPRQIPEQAWYMQPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYSAFYFFTKLEITKVISGILYFGYMLIVSYAFFVLTGTIGFYACFWFVHKIYSSVKID >Ma08_p26670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39174250:39176974:1 gene:Ma08_g26670 transcript:Ma08_t26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSTLSWLMSLLLLLICSGNSEEYAVRLSLVGFLQVLSGNDTGIIEKLGWSAATDPCTDGWNGVTCNNRTSSVYKIKLEELGLRGTIDAGRLCQAPSLAAVSLLHNAIRGEIPPEISDCGRLTHLYLGGNSLAGSLPPSLTLLGNLKVLDVSDNDFSGELPDLAKISGLVGFLAQNNRLHGTIPSFRFGNFDRRRFNVSNNLFAGPIPPGGDRLDQTSFIGNPGLCGKPLPVACPPSPSKEDHKSLKLSREKAIMFSGYIALGLVLFLFVTYKLIHRKKRTKHRKIADEKIASDGSSGKSSIPWSSTEASATATSSLIVLKRPGTMTDLKFDDLLKAPAESLGRGRNGSLYKVTMADGTSLAVKRIRDCGTSAAEFRRRMERMDMARHPNVLPAVAFHCSTQEKLVVYEYQRNGSLLSLLQGRQLDCVAFDWSYRLRVAAGVAEGLAAMHRELLADGIGHGNLKSSNILLTNVMDPCISEYGLVADSRSVEKAGGGSAVAAADVHAFGIILLELLTGKPVRNEPSELARWVNSVVREEWTVEVFDEALVSGGASEGGMVQLLQVALRCVHPSPAARPSMDHVAAMVNTVREEEDERSEVSEP >Ma09_p25510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36957197:36958861:1 gene:Ma09_g25510 transcript:Ma09_t25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLGLLRVRVVRGVSLAYRDATGSDPYVVVRMGGQQKLKTSVKKHNVNPVWNEDLTLTVSDPTQPVKIRVYDKDTFSRDDKMGDAEIDIVPFVEAVKMNLSDIPNDTIIKTMNPNRHNCLAEDSAIVWKEGKVVQDIIVRLRNVESGELELQLLWVDIPDSFMAYGQG >Ma10_p30170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36527045:36527527:-1 gene:Ma10_g30170 transcript:Ma10_t30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERMRLLMLLWLRRLSTRVALVGGNHTAARFCSR >Ma11_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16573417:16575351:1 gene:Ma11_g12670 transcript:Ma11_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEESSNWFARWEEQLPSPEELMPLSQTLITPDLAIAFDIPVAAAAAPAGANQNPGLSLHHPPASSRPPPHSDPESSDLNSAAAGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGSGGGVGGGPISAADAATDQLFASTPVPHHFLSRGSVAGPEPFLPYMPVAALQHHQQITAAMQQQQYYHQRHLGHYGSPTGGGGFDHAFPNRAVPHSGMHQMVGPAAPPGMGFPPTTLVDDLEPAKRGGNGERKVLTLFPTGEE >Ma10_p29560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36109401:36117782:1 gene:Ma10_g29560 transcript:Ma10_t29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSAAQNSRVLEVFRDIKGRRAGILKALTTDFEEFYQQCDPAKENLCLYGFPTEQWEVDLPSDEVPPEIPEPVLGINFARDGMEKKSWLSLVALHSDAWLLALASYFGGRFQFDKKQRKSLLDMVTDLPTLCEIVSGKNQEKSSGSKNISNKSKSNSKLKASEYQAKNTKAKHAKEEDEDLIEEDDEDNYLCAACGESSVPDNFWIFCDTCEKWFHGKCVKVTPAKAEHIKNYKCPWCSNKRMRTT >Ma09_p28620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39301884:39303111:1 gene:Ma09_g28620 transcript:Ma09_t28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLPTPSESVLTLVLVNTALTVSIVKELLRSALHVLGLRAAPPSTTRTTPEGPPEPSFTDRFRSRTSPVRFASAMSCRRPGAADCRVCLARFEPESVVNRLPCGHLFHKACLETWLDHRHATCPLCRTHVLQGVDPAVSAASSLPWF >Ma09_p28620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39301977:39303111:1 gene:Ma09_g28620 transcript:Ma09_t28620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLPTPSESVLTLVLVNTALTVSIVKELLRSALHVLGLRAAPPSTTRTTPEGPPEPSFTDRFRSRTSPVRFASAMSCRRPGAADCRVCLARFEPESVVNRLPCGHLFHKACLETWLDHRHATCPLCRTHVLQGVDPAVSAASSLPWF >Ma09_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17917457:17919007:1 gene:Ma09_g18430 transcript:Ma09_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MALPSNASSVPATVSDADEFTLPPLALRALPHLSDYLPNPPALTNPIDRNPFYRPSDGFYIAPSDFILRHILFDLSSPSAVGDLLVAYHRAGPRRTIRFDPADVRAAIVTCGGLCPGMNTVIRELVVGLWELYGVRHIFGVPSGYRGFYSAEQLPLDPMIVHGWHKMGGTALATSRGGFDLEKIVDAIEARRFNQVYCIGGDGTMKGVVKIYKEIQRRKLNVSVTGIPKTVDNDIGIIDKSFGFQTAVEIAQQAISAAHVEAESAVNGVGLVKLMGRSTGHIALHATLSSRDVDCCLIPENDFFLEGKGGLFEFLDQRLKQNGHAVVVIAEGAGQGLIPRTDAEEEKDESGNPVFLDVGTWLKSELNKWWEVEHAGQLFTVKYIDPMYMIRAVPANATDNLYCTILAHSAIHGVMAGYTGFVAGPINGNYGYIPAEEAAVVRNVVDTRDHKWAWVRTVTNQPDFVKS >Ma06_p06940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4955361:4965527:-1 gene:Ma06_g06940 transcript:Ma06_t06940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVERRGACFCPSPSPYPAPMPSRQMTSALKGEKHIVSKKPLHNSVNQQKLDNGCQPQEAKSMGRRRGRARGKAAGRQVKLEAEAGLEKGAPPVSSKGIVFCRRPGFGQEGTRCIVKANHFLAELPDKDLNQYDVTIIPEVSCRSMNRAIIAELVRLYRETELGTRLPAYDGRKSLYTAGSLPFNSKEFIIRLVEDDDGMGVTREKEYRVGIKFVARADLHHLRQFIAGQQTDAPREALQVLDIVLRELSNQRYISVGRCFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDPSSTAFIDPLPVIEFVAQVLGKDVLSRPLSDADRIKIKKALRGVKVEVTHRGNVRRKYRVSGLTLQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQYAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDQEIDILQTVRQNAYGHDPYAKEFGINISEKLTSVEARVLPAPWLKYNDTGKEKECLPQVGQWNMMNKKVINGCTVNYWACINFSRSVQENTARSFCQELAQMCQVSGMEFDHEPVIPIYSARPDQVEKALRHVYNAATNKLKGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDTKICHPAEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMDPEVSENPSRSMHQTNGSSVKPLPALKDKVKRVMFYC >Ma06_p06940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4955361:4965527:-1 gene:Ma06_g06940 transcript:Ma06_t06940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVERRGACFCPSPSPYPAPMPSRQMTSALKGEKHIVSKKPLHNSVNQQKLDNGCQPQEAKSMGRRRGRARGKAAGRQVKLEAEAGLEKGAPPVSSKGIVFCRRPGFGQEGTRCIVKANHFLAELPDKDLNQYDVTIIPEVSCRSMNRAIIAELVRLYRETELGTRLPAYDGRKSLYTAGSLPFNSKEFIIRLVEDDDGMGVTREKEYRVGIKFVARADLHHLRQFIAGQQTDAPREALQVLDIVLRELSNQRYISVGRCFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDPSSTAFIDPLPVIEFVAQVLGKDVLSRPLSDADRIKIKKALRGVKVEVTHRGNVRRKYRVSGLTLQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQYAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDQEIDILQTVRQNAYGHDPYAKEFGINISEKLTSVEARVLPAPWLKYNDTGKEKECLPQVGQWNMMNKKVINGCTVNYWACINFSRSVQENTARSFCQELAQMCQVSGMEFDHEPVIPIYSARPDQVEKALRHVYNAATNKLKGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDTKICHPAEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMDPEVSENPSRSMHQTNGSSVKPLPALKDKVKRVMFYC >Ma06_p06940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4955361:4964052:-1 gene:Ma06_g06940 transcript:Ma06_t06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVERRGACFCPSPSPYPAPMPSRQMTSALKGEKHIVSKKPLHNSVNQQKLDNGCQPQEAKSMGRRRGRARGKAAGRQVKLEAEAGLEKGAPPVSSKGIVFCRRPGFGQEGTRCIVKANHFLAELPDKDLNQYDVTIIPEVSCRSMNRAIIAELVRLYRETELGTRLPAYDGRKSLYTAGSLPFNSKEFIIRLVEDDDGMGVTREKEYRVGIKFVARADLHHLRQFIAGQQTDAPREALQVLDIVLRELSNQRYISVGRCFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDPSSTAFIDPLPVIEFVAQVLGKDVLSRPLSDADRIKIKKALRGVKVEVTHRGNVRRKYRVSGLTLQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQYAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDQEIDILQTVRQNAYGHDPYAKEFGINISEKLTSVEARVLPAPWLKYNDTGKEKECLPQVGQWNMMNKKVINGCTVNYWACINFSRSVQENTARSFCQELAQMCQVSGMEFDHEPVIPIYSARPDQVEKALRHVYNAATNKLKGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDTKICHPAEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMDPEVSENPSRSMHQTNGSSVKPLPALKDKVKRVMFYC >Ma06_p06940.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4955361:4963090:-1 gene:Ma06_g06940 transcript:Ma06_t06940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVERRGACFCPSPSPYPAPMPSRQMTSALKGEKHIVSKKPLHNSVNQQKLDNGCQPQEAKSMGRRRGRARGKAAGRQVKLEAEAGLEKGAPPVSSKGIVFCRRPGFGQEGTRCIVKANHFLAELPDKDLNQYDVTIIPEVSCRSMNRAIIAELVRLYRETELGTRLPAYDGRKSLYTAGSLPFNSKEFIIRLVEDDDGMGVTREKEYRVGIKFVARADLHHLRQFIAGQQTDAPREALQVLDIVLRELSNQRYISVGRCFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDPSSTAFIDPLPVIEFVAQVLGKDVLSRPLSDADRIKIKKALRGVKVEVTHRGNVRRKYRVSGLTLQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQYAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDQEIDILQTVRQNAYGHDPYAKEFGINISEKLTSVEARVLPAPWLKYNDTGKEKECLPQVGQWNMMNKKVINGCTVNYWACINFSRSVQENTARSFCQELAQMCQVSGMEFDHEPVIPIYSARPDQVEKALRHVYNAATNKLKGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDTKICHPAEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMDPEVSENPSRSMHQTNGSSVKPLPALKDKVKRVMFYC >Ma06_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4955361:4963382:-1 gene:Ma06_g06940 transcript:Ma06_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVERRGACFCPSPSPYPAPMPSRQMTSALKGEKHIVSKKPLHNSVNQQKLDNGCQPQEAKSMGRRRGRARGKAAGRQVKLEAEAGLEKGAPPVSSKGIVFCRRPGFGQEGTRCIVKANHFLAELPDKDLNQYDVTIIPEVSCRSMNRAIIAELVRLYRETELGTRLPAYDGRKSLYTAGSLPFNSKEFIIRLVEDDDGMGVTREKEYRVGIKFVARADLHHLRQFIAGQQTDAPREALQVLDIVLRELSNQRYISVGRCFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDPSSTAFIDPLPVIEFVAQVLGKDVLSRPLSDADRIKIKKALRGVKVEVTHRGNVRRKYRVSGLTLQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQYAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPRDQEIDILQTVRQNAYGHDPYAKEFGINISEKLTSVEARVLPAPWLKYNDTGKEKECLPQVGQWNMMNKKVINGCTVNYWACINFSRSVQENTARSFCQELAQMCQVSGMEFDHEPVIPIYSARPDQVEKALRHVYNAATNKLKGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDTKICHPAEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMDPEVSENPSRSMHQTNGSSVKPLPALKDKVKRVMFYC >Ma03_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2726200:2728335:-1 gene:Ma03_g04170 transcript:Ma03_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGMGVETRTLVLVNLAGIMERADEALLPAVYKEVGAALDATPTALGSLTLFRSIVQTCCYPLAAYMSMRYDRTHVVALGAFLWSAATFLVAVSTTFLQVAVARALNGVGLALVIPSIQALVADSTNDSTRGSAFGWLYLTSGFGSIIGNFLGLLLASTSFMGIAGWRVAFHLITILSVVVGILVRLFAVDPNFPKNLTGASDKATSRKLIWAELKALIEEAKTVMRIPSFQIIVAQGISGSFPWSALSFMPMWLELVGFSHGETGLLMTLFSIATSLGALFGGKMGDFLAKHFPNAGRIVLSQISSGSCIPLAGVLLLVLPGDPSTGLAHGLVFFIMGLSISWNAAATNNPIFAEIVPERSRTSIYALDRSFESILASFAPPVVGILAEHLYGYRPTPSGSGEGAVLESDRANAASLAKALYTAIAIPMSLCCSIYSFLYCTYPRDRERARMDSLIASEMQQLELENSSEVRDEQKLSTKAIDITDGIDDESDEMRLLSGPAIVSDDED >Ma03_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:959512:961045:1 gene:Ma03_g01290 transcript:Ma03_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAETPSRRPDPVAGSAAAATTEATPARGETQDSLLHNLSFPILKTWGSHRVLRCMSVNGKREIVAGTGRRSEASESPTRAQESDCGGDPGIEEVREKLLVHLREAADRMRLVVPLPTKGRGGDAEPPPAPASEVSIDSDANSSKAPAVRPWNLRTRRRAARVPMGIERHLSGPPPGAAEKRTVRLRSEAPERREWPRFSISLTREEIEEDIYAVTGCRARRRPRKRARVVQKQLDSSFPGLWLSEITVDSYRVPDQR >Ma01_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10000486:10008547:-1 gene:Ma01_g13680 transcript:Ma01_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIANRDLRNSTGKNIRGWWTPLLLTAGVASARYFLWHISRRNTITQARQNISRHYDLSNDFFSLFLDETMTYSSAIFKTEHEDLKIAQLRKISLLIEKAQINDKHEVLEIGYGWGSLAIEVVKQTGCRYTGITLSEEQLKYAKRSAKEAGLEDHINFMLIDYRQLPNYNKYDRIISCEMIEGVGHEYMEQFFGCCESLLAEDGIFVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLGRITTAMVASSRFCIEHLENIGIHYNQTLRCWRNNIFANKEKILALGFDEKFFRTWEYYFMYCAAGFKSCTLANYQIVFSRPGNLRAFGGPFNSIPATCC >Ma05_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23451461:23452928:-1 gene:Ma05_g18360 transcript:Ma05_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNHRNQYLHPNSASARRTEPRPLQCLFLVSLICCNLLLASRFFFGFSSYINFYAAPQVETDTVLNLNSYSHGPCSSLQSNAICCDRTDSHSDICFVRGDVRTHSSSSSIFLHLPGACNNDSVALQEESISPYTRKWDAAIKSRIPELHLRATSGADASLPCQVRHDVPALVFFAGGYTGNVFHDFNDGIVPLYITSHHLRRRVALVVLQYRDWWSSKYKEILAQLSDYPPVDFSNDTRTHCFPGAIVGLRYQGMLSIDASRSSENSSIRDLLHMLRDAYKPKTEVGGSPPTPNSPKLVVVSRSGTRTMENEAEVVKVGEQIGFQVEVLRPAETMPLRRMYNTLSSCDVMMGVHGAALTHFLFMRPGALFIQIVPLGAEKVARICFGEPAVAMGLRYVEYKVLPTESSLYYKYGEGDPVVKDPESFLKGRGWEVTKNVYLEGQNVSLNVDRLHEVLLRAFNYAVSERGKR >Ma08_p11610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8619576:8624306:1 gene:Ma08_g11610 transcript:Ma08_t11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGFMLQEEEEDLFFEAREDISSVFDSCPSTPTKDDILPEDQFISRAPAGPLFDVWITSPDGVKERRDKFLRWMGMDPMSGWLRSSTYRVGQIQVEDEIQPDIDRIMSDIGSVPRGYDTEYNLSVSSRSREDASTSCEEVSEENSICRIKNSDDYVRSLHVVGSNRIYDQFKRSLGPLSFIQRLMHRQGIASRKSDISVQKKRLGWLCRLGSGVCITDRQGVECNSSSSDKDKNGIGESGRVKVRPLRKWSKVLSAVYRGQDIKAHDGAILTMKFSTDGQYLASGGADAIVRVWRVMECERTNEISIPDYDPSCIYITVNDSGKLTLVHADRLNKSKSRGMRTTADSACVVIPPAVFRLSEKPVHEFHGHVADVLDLSWSSNKHLLSSSEDKTVRLWQVGSDSCLKVFPHTNYVTCVQYNPIDENFFVSGSIDGKVRIWDISGGQVVNWVHVGEIVTAICYRPNGKGLVVGTLTGNCCFYDASGDYLQIESQISLQRKKKSPGKRITGFQFCPRDPQTLMVTSADSCIRVFDGIDLVSKYKGFHNGRSQISASFTADGQHIISASEDSNVYVWSSHDAPVSNRVKSRRSCEHFFSSNCSIALSWHGFKSGNRISMTSEVFHSQQVNSEQAGGTKDESKGRLEDSNGNDTLFLSPSHGFALGHEFSKFMPKCSATWPEEKLPLNSAAPNLSKSHHNFLKTSCRNTSHAWGQVILTGGRDGWIRSYQNFGLPVHL >Ma08_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8619576:8624306:1 gene:Ma08_g11610 transcript:Ma08_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEEEDLFFEAREDISSVFDSCPSTPTKDDILPEDQFISRAPAGPLFDVWITSPDGVKERRDKFLRWMGMDPMSGWLRSSTYRVGQIQVEDEIQPDIDRIMSDIGSVPRGYDTEYNLSVSSRSREDASTSCEEVSEENSICRIKNSDDYVRSLHVVGSNRIYDQFKRSLGPLSFIQRLMHRQGIASRKSDISVQKKRLGWLCRLGSGVCITDRQGVECNSSSSDKDKNGIGESGRVKVRPLRKWSKVLSAVYRGQDIKAHDGAILTMKFSTDGQYLASGGADAIVRVWRVMECERTNEISIPDYDPSCIYITVNDSGKLTLVHADRLNKSKSRGMRTTADSACVVIPPAVFRLSEKPVHEFHGHVADVLDLSWSSNKHLLSSSEDKTVRLWQVGSDSCLKVFPHTNYVTCVQYNPIDENFFVSGSIDGKVRIWDISGGQVVNWVHVGEIVTAICYRPNGKGLVVGTLTGNCCFYDASGDYLQIESQISLQRKKKSPGKRITGFQFCPRDPQTLMVTSADSCIRVFDGIDLVSKYKGFHNGRSQISASFTADGQHIISASEDSNVYVWSSHDAPVSNRVKSRRSCEHFFSSNCSIALSWHGFKSGNRISMTSEVFHSQQVNSEQAGGTKDESKGRLEDSNGNDTLFLSPSHGFALGHEFSKFMPKCSATWPEEKLPLNSAAPNLSKSHHNFLKTSCRNTSHAWGQVILTGGRDGWIRSYQNFGLPVHL >Ma04_p28430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29507444:29508946:1 gene:Ma04_g28430 transcript:Ma04_t28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREETLSFFPPDSYSDLDSSFTSSTTSASTFSARSSLSLASFSSSTSSSSSFAPKPLPHNCSDPHWSALRAAANLSPDGLLHLHHLRLLRPLGSGHLARVFHCRLHGFDDDHSSSEFALKVIDLDALSRSSGNYSKPDDDEGKMWHVRAEARALAEMDHPFLPTLYARLDASHYACFLIDYCPGGDLHLLLRRCRGHRLPPVAARFYAAEVLLALEYLHALGFVYRDLKPENILLRTDGHIMLSDFDLSFRSHVTPVLRRRRHRRPRRRNRLFCCLLGCSVSSEDEEPEFVAEPSSAFSRACVGTHEYLAPEVVNGRGHGNGVDWWAFGVFLYELFYGRTPFKGATKEATLRNILTREVKFPETNGGNGSETKARDLISRLLVKDPARRMGSARGAAEIKRHPFFESVQWQLIRCTRPPVVTGPAGSARERAAKVKRAQWWSGWRKSAGISSNCRSNNNKTKGLKPGFGLGLLRSKKGTAERNDSGR >Ma01_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11065920:11067054:1 gene:Ma01_g15280 transcript:Ma01_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVKNGGDAAAVEVEFAACDCCGLMEECTPAYIAGVRERHGGRWICGLCAEAVQDEIRRSGPLISLEEAMGRHASFRRSFRSAEAAAVDPAEQLIAAVRQLLRRNLDSPRAVRSTPGSPRTMVGPRTRRGFPTFICLADEDKNRIA >Ma04_p40060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36981349:36983773:-1 gene:Ma04_g40060 transcript:Ma04_t40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTEPLLSSPATHTTRLPCKALLLTLSLATVVCLTAFMTIQLMTTTTTMNPPLQPSDLCAKSPNPTSCHAIVSQVMLTSAENHHPTPVQIFRSLLHNSLVQLDAAAASAADIHLRLLKEPKQQAALADCMQLLQLSRDRIVSSGDAAGTDARTWMSAVLTNHATCLDGLHGAAKSAMQAHLESLKASASASLAVLHAVPSSEHDNDDDIVKPVATFPSWLSHRDRKLLQMTSPNAVQANAVVAKDGSGTFKTVQAAVDSVPNGNKNRYVIYVKKGVYKENVSLGKKTTNVMIVGDGMDATVITGSLNVVDGSTTFDSATLAAVGDGLILQDLKIENTAGPQKHQAVALRVGADRSVINRCRLDGYQDTLYAHTLRQFYRDSFISGTVDFVFGNAAVVFQNCNLTARRPMDNQQNLVTAQGREDPNQNTGTSIQNCNVVPADDLIPVKGSIPSYLGRPWKAYSRTVVMQSYIDSHINSKGWLEWDGEFALKTLFYGEYQNRGPGAGTGGRVKWPGYHVITDANTAKAFTVASLIQGGSWLKETGVAFAEGL >Ma04_p25490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27242626:27242832:-1 gene:Ma04_g25490 transcript:Ma04_t25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKILLSLMTPCNLNRGSLGLWWGADSLGKLPPPRDALKASVQTMVFLHMPFNSGHGRRLFPLPVPL >Ma10_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18610215:18611454:1 gene:Ma10_g06320 transcript:Ma10_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSFVLLQLLIGAATLCVASARNATTEGAVVKSSRVSPAAAVAEFVAAHNAARREVGVPPLTWDAKLVRFAKAYANQRRKDCELVHSPGYAYGENIFWGQGRRWAIPDAVGKWVEEKQWYHHDTNSCSGPECTHYTQIVWRTTQRLGCAKIICNSGDTFIVCEYDPPGNYVGARPY >Ma10_p06320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18610215:18611454:1 gene:Ma10_g06320 transcript:Ma10_t06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSFVLLQLLIGAATLCVASARNATTEGAVVKSSRVSPAAAVAEFVAAHNAARREVGVPPLTWDAKLVRFAKAYANQRRKDCELVHSPGYAYGENIFWGQGRRWAIPDAVGKWVEEKQWYHHDTNSCSGPECTHYTQIVWRTTQRLGCAKIICNSGDTFIVCEYDPPGNYVGARPY >Ma03_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8099282:8099781:-1 gene:Ma03_g10740 transcript:Ma03_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAMLQLLSAMRRRLRWPKKRRSARVFDEVALARTGTPEDAGAARRPWGGLTMLLAIARAPLAAVSCLVCQAEDMDAGGRLHAAEMGDLMVGEAMRFAIYL >Ma11_p06390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5145937:5155213:1 gene:Ma11_g06390 transcript:Ma11_t06390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSESRFLQELVLYAASAALSCLVLFAGLRQLDPNRAASKKALENKKEIAKRLGRPLVQTNQYEDVIACDVINPDHIDVEFESIGGLEHVKQALFELVILPLRRPELFSHGKLLSPQKGVLLYGPPGTGKTMLAKALAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMSLWDGFTTDLNARVMVLAATNRPSELDEAILRRFTQTFEIGMPDRSERAKILKVILKGENVEENIDYDYIATLCEGFSGSDILELCKQAAYFPLRELLNDERNGKASSGPRPLRQPDLERALVTSRKVKKATGMSRLGSQSPPWSMQAEPDDEEVHNAILEISKLMSRIVGNQSESQDS >Ma11_p06390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5145937:5155213:1 gene:Ma11_g06390 transcript:Ma11_t06390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSESRFLQELVLYAASAALSCLVLFAGLRQLDPNRAASKKALENKKEIAKRLGRPLVQTNQYEDVIACDVINPDHIDVEFESIGGLEHVKQALFELVILPLRRPELFSHGKLLSPQKGVLLYGPPGTGKTMLAKALAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMSLWDGFTTDLNARVMVLAATNRPSELDEAILRRFTQTFEIGMPDRSERAKILKVILKGENVEENIDYDYIATLCEGFSGSDILELCKQAAYFPLRELLNDERNGKASSGPRPLRQPDLERALVTSRKVKKATGMSRLGSQSPPWSMQAEPDDEEVHNAILEISKLMSRIVGNQSESQDS >Ma11_p06390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5145937:5155213:1 gene:Ma11_g06390 transcript:Ma11_t06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSESRFLQELVLYAASAALSCLVLFAGLRQLDPNRAASKKALENKKEIAKRLGRPLVQTNQYEDVIACDVINPDHIDVEFESIGGLEHVKQALFELVILPLRRPELFSHGKLLSPQKGVLLYGPPGTGKTMLAKALAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMSLWDGFTTDLNARVMVLAATNRPSELDEAILRRFTQTFEIGMPDRSERAKILKVILKGENVEENIDYDYIATLCEGFSGSDILELCKQAAYFPLRELLNDERNGKASSGPRPLRQPDLERALVTSRKVKKATGMSRLGSQSPPWSMQAEPDDEEVHNAILEISKLMSRIVGNQSESQDS >Ma11_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5145937:5155213:1 gene:Ma11_g06390 transcript:Ma11_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSESRFLQELVLYAASAALSCLVLFAGLRQLDPNRAASKKALENKKEIAKRLGRPLVQTNQYEDVIACDVINPDHIDVEFESIGGLEHVKQALFELVILPLRRPELFSHGKLLSPQKGVLLYGPPGTGKTMLAKALAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMSLWDGFTTDLNARVMVLAATNRPSELDEAILRRFTQTFEIGMPDRSERAKILKVILKGENVEENIDYDYIATLCEGFSGSDILELCKQAAYFPLRELLNDERNGKASSGPRPLRQPDLERALVTSRKVKKATGMSRLGSQSPPWSMQAEPDDEEVHNAILEISKLMSRIVGNQSESQDS >Ma01_p13040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9555042:9557869:-1 gene:Ma01_g13040 transcript:Ma01_t13040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYGAMKPTKLGLEEPQEQLHRIRITLSSKNVKNLEKVCADLVRGAKDKRLTVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLVSSSEVVKQITSITIEPGVEVEVTIAEP >Ma01_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9555042:9557869:-1 gene:Ma01_g13040 transcript:Ma01_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYGAMKPTKLGLEEPQEQLHRIRITLSSKNVKNLEKVCADLVRGAKDKRLTVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLVSSSEVVKQITSITIEPGVEVEVTIAEP >Ma04_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6497482:6504054:1 gene:Ma04_g09110 transcript:Ma04_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEEALRAGVDKHGAGKWRTIQKDPEFSRCLATRSNIDLKDKWRNMSISASGQGSREKIRTPKAKVLPVIPPSGSQSLIVSAPHKDGVSATADPTKSSQEAKLPPRYTVMIIEALAAMKEPNGSEIGAICSFIEQRHEVPQNFRRLLSSKLRRLVAQNKIERVQKGYRLKESSFSIKTPTPKQKDPANHARGHSGSAYSIDSIEEAAITAAYKIADSEAKSFLASEAVKEAEKITKMAEETESLLLLAKEIFERCAQGEAVTVA >Ma10_p06070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17479480:17481251:-1 gene:Ma10_g06070 transcript:Ma10_t06070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPAYMDPPNWNQQQPLQLPAAAGGGSEAPQLLPGLAAAQRPEGGTVAAGLARPISMAERARLAKIPQPEQPLKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKSSGSSSKSTTADRQAGNSSSSSTATGRGGALPPNIPQPGQLPFLASMHTFADYGASNPSLNFTGIPTIDADEYQMGSISGVGIEQWKLPQIQPFPFLGILEPPQQPPAVQSLSELYHFTGEGGGDSTMNRVLPKLPASSLITQLASVKMEDNSQGLNLPRQYSGLTANDQYWSSAGEAGSTSGGGWTTDFFNSSSSGNIL >Ma10_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17479480:17481251:-1 gene:Ma10_g06070 transcript:Ma10_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPAYMDPPNWNQPLQLPAAAGGGSEAPQLLPGLAAAQRPEGGTVAAGLARPISMAERARLAKIPQPEQPLKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKSSGSSSKSTTADRQAGNSSSSSTATGRGGALPPNIPQPGQLPFLASMHTFADYGASNPSLNFTGIPTIDADEYQMGSISGVGIEQWKLPQIQPFPFLGILEPPQQPPAVQSLSELYHFTGEGGGDSTMNRVLPKLPASSLITQLASVKMEDNSQGLNLPRQYSGLTANDQYWSSAGEAGSTSGGGWTTDFFNSSSSGNIL >Ma10_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28803435:28805699:1 gene:Ma10_g17370 transcript:Ma10_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCVCVAKGGAETLKFNMALILLPVCRNTVTWLRTKTKLGKIVPFDDNLNFHKVIAVGIAVGVGLHAISHLTCDFPRLLHATDAEYEPMKHFFGYTRPNNYWWFVKGTEGWTGVVMVVLMAVAFTLATPWFRRGRVSLPRPFNRLTGFNAFWYSHHLFVIVYILFIVHGYFLYLTKKWYKRTTWMYLAVPMILYASERLIRAFRSSIRPVKIRKVAVYPGNVLALHVSKPQGFKYRSGQYVFVNCAAVSPFQWHPFSITSAPQDDYISVHIRTLGDWTRQLKVVFSEVCQPPTGGKSGLLRADYGDSSNNRANLSFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPFISIVKDIVNNIKQLDNGDHKRHASTSVSTSSFRTRRAYFYWVTREQDSFEWFRGVMNEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKSHFARPNWRNVYKRIALNHRDKRIGVFYCGAPTLTKELRQLAQDFSHKTTTKFDFHKENF >Ma02_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19300352:19301026:1 gene:Ma02_g09170 transcript:Ma02_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDTGNNAAGKQQQQHLSTSKRYRGVRMRKWGSWVAEVRFPNSRQRLWLGSYRTPEQAARAFDAAVYCLRGTGARCNFPGQLPDITPTHKLSPEEIRAAAMRFARDVPTRPGEAQEGGMETSTETAVPNKGNSATVTGLLSADKPIPAEKRIGAVQLANEGEKTAEEVDQGEGSSGTMVKPPEASKELPWPWNAEDEDTWPAFSYDDDIYESSPLWNFRSAD >Ma08_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10662725:10663536:1 gene:Ma08_g13520 transcript:Ma08_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGNGDGCCAGGSDGGQRHVLAVDDSSVDRAVIAGILRSSKLRVTAVDSGKRALELLGLEKKDVSMIITDYWMPEMTGFELLKRVKESSELKEIPVVIMSSENVPNRITRCLEEGAEEFLLKPIRPSDVSRVCSRVLK >Ma08_p05610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3828023:3834101:-1 gene:Ma08_g05610 transcript:Ma08_t05610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSSSSEHGFPERMAVGPINDRAYDVSTPHDSSRSLPSTSSSALPTSDPRPRPATDYKMGFRERALSAAGAAVISAVLVNPLDVAKTRLQAQAAGVPYHHFGRQMASLGPNMMFLDFRCSPSCARAGIIRAELVCPPDCFEYKGTLDVFHKVVRQEGFARLWRGTNAGLALAVPTVGIYLPCYDIFRNWIEEFTSTNAPNLTPYAPLVAGSAARSLACIACSPIELARTRMQAYKEFIKGAKPPGMWKTLVGVLTSGSTTSNQSLQGYRVLWTGLGAQLARDVPFSAICWSTLEPIRRRLLSIIDEDGNSASVLGANFAAGFVAGSLAAAATCPLDVAKTRRQIEKDPARALNMTTRQTLMEIWRSGGVKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYALHRQHHADS >Ma08_p05610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3828023:3834078:-1 gene:Ma08_g05610 transcript:Ma08_t05610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSSSSEHGFPERMAVGPINDRAYDVSTPHDSSRSLPSTSSSALPTSDPRPRPATDYKMGFRERALSAAGAAVISAVLVNPLDVAKTRLQAQAAGVPYHHFGRQMASLGPNMMFLDFRCSPSCARAGIIRAELVCPPDCFEYKGTLDVFHKVVRQEGFARLWRGTNAGLALAVPTVGIYLPCYDIFRNWIEEFTSTNAPNLTPYAPLVAGSAARSLACIACSPIELARTRMQAYKEFIKGAKPPGMWKTLVGVLTSGSTTSNQSCLGAQLARDVPFSAICWSTLEPIRRRLLSIIDEDGNSASVLGANFAAGFVAGSLAAAATCPLDVAKTRRQIEKDPARALNMTTRQTLMEIWRSGGVKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYALHRQHHADS >Ma08_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3828023:3834078:-1 gene:Ma08_g05610 transcript:Ma08_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSSSSEHGFPERMAVGPINDRAYDVSTPHDSSRSLPSTSSSALPTSDPRPRPATDYKMGFRERALSAAGAAVISAVLVNPLDVAKTRLQAQAAGVPYHHFGRQMASLGPNMMFLDFRCSPSCARAGIIRAELVCPPDCFEYKGTLDVFHKVVRQEGFARLWRGTNAGLALAVPTVGIYLPCYDIFRNWIEEFTSTNAPNLTPYAPLVAGSAARSLACIACSPIELARTRMQAYKEFIKGAKPPGMWKTLVGVLTSGSTTSNQSLQGYRVLWTGLGAQLARDVPFSAICWSTLEPIRRRLLSIIDEDGNSASVLGANFAAGFVAGSLAAAATCPLDVAKTRRQIEKDPARALNMTTRQTLMEIWRSGGVKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYALHRQHHADS >Ma08_p05610.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3828023:3834078:-1 gene:Ma08_g05610 transcript:Ma08_t05610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSSSSEHGFPERMAVGPINDRAYDVSTPHDSSRSLPSTSSSALPTSDPRPRPATDYKMGFRERALSAAGAAVISAVLVNPLDVAKTRLQAQAAGVPYHHFGRQMASLGPNMMFLDFRCSPSCARAGIIRAELVCPPDCFEYKGTLDVFHKVVRQEGFARLWRGTNAGLALAVPTVGIYLPCYDIFRNWIEEFTSTNAPNLTPYAPLVAGSAARSLACIACSPIELARTRMQAYKEFIKGAKPPGMWKTLVGVLTSGSTTSNQSLQGYRVLWTGLGAQLARDVPFSAICWSTLEPIRRRLLSIIDEDGNSASVLGANFAAGFVAGSLAAAATCPLDVAKTRRQIEKDPARALNMTTRQTLMEIWRSGGVKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYALHRQHHADS >Ma10_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33428110:33429134:-1 gene:Ma10_g25140 transcript:Ma10_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSSSKVLQASADSNNNSTPSKLGSNGKRHYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAAVLCLKGSSASLNFPASPPFHVPDAIMSPKSIQRVAAAAAADATMSFSGLDAMSPPSPPPASLQSASLPSGVTDGDTLMTSQTEEAPFGDDCLLDTIDVEAFFQSPKFMDYMLHPSSFFGPSQVDDCGEEGDISLWSFC >Ma08_p30040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41444996:41445684:-1 gene:Ma08_g30040 transcript:Ma08_t30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFNKQAPPPGYPTEKSSPPKKKCWPQSKKKGERGFIEGCLAALCCCWLCEVCCY >Ma08_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6733517:6739470:1 gene:Ma08_g09310 transcript:Ma08_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASALARAKRTCRLSAAATMAIRRAFASVSVGSDIVSAAPNVALQKARTWDEGVSSNFSTTPVKEIFQGKKVVIFGLPGAYTGVCSAQHVPSYKNNIEKFKAKGIDSVICVSVNDPYVLNGWAEKLQAKEAIEFYGDFDGSFHKRLELDLDLSAALLGHRSQRWSAYVDDGKIKAFNVEKVPSEFKVSGGEVILGQI >Ma06_p29600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30932608:30941358:-1 gene:Ma06_g29600 transcript:Ma06_t29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMARSKYVLAFVFFVTFGMIVGSLFQLAFIWQLEESSGTSHWENDKETILVHHGSVRPEILSWSPRIILFHNFLSMQECDYLKAIGRPRLAVSMVIDDETGKGIKSKVRTSSGMFLTSEEQNLPIIQAIENRIAVFSQIPAENGEQMQVLRYKQSQFYSVHSDYFTNITHIKKGGQRVATMLMYLNDDVEGGETYFPMAAAGECSCGGTMVRGLCVKPSQGDALLFWSLGLNGRLDPHSLHAGCPVLSGEKWSATKWMRESTLR >Ma06_p33610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34177974:34181074:-1 gene:Ma06_g33610 transcript:Ma06_t33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVWEAKAGMEVVPVFITVDPERDNVEQVCECVKEFHPDLIGLTGTADETRPVAHAFRVYYMKTEQEGSDYLVDHSIVMYILLIEPSQDLKGISLLCI >Ma04_p28810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29794496:29801234:-1 gene:Ma04_g28810 transcript:Ma04_t28810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHRGGVLCKAAYFLMTHCHHHHPTHRAFLPSIAVPVRCAAGSATPPSAVVMSDTAALQQKRALRSRIRKALKEFSPAQRAQEDVEIQNFVLNSSWFKSCKGLCAYISCKSLREVDTSRIIADVLKNSGAEHGLQVMKKLYVPRVEDKNSHMRMLRISTIEDLVANSMNILEPSPVDSDQSEHEDVLLASQPVDLLLLPGLAFDRHGRRLGRGGGYYDVFLRKYEELANQQNWKQPLRVALAYTVQIVEDELIPMTETDVPVDALVSSNGVFPISPAALERM >Ma04_p28810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29794496:29801234:-1 gene:Ma04_g28810 transcript:Ma04_t28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHRGGVLCKAAYFLMTHCHHHHPTHRAFLPSIAVPVRCAAGSATPPSAVVMSDTAALQQKRALRSRIRKALKEFSPAQRAQEDVEIQNFVLNSSWFKSCKGLCAYISCKSLREVDTSRIIADVLKNSEHGLQVMKKLYVPRVEDKNSHMRMLRISTIEDLVANSMNILEPSPVDSDQSEHEDVLLASQPVDLLLLPGLAFDRHGRRLGRGGGYYDVFLRKYEELANQQNWKQPLRVALAYTVQIVEDELIPMTETDVPVDALVSSNGVFPISPAALERM >Ma07_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26446983:26447162:1 gene:Ma07_g18660 transcript:Ma07_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIYVSPGKLKPVLSMENRNLCLTVVQSNLQVAGICYKIILAICNFHSFQSCQLKKGS >Ma10_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32816952:32819213:1 gene:Ma10_g24090 transcript:Ma10_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNGLLPLFETCRLRDLFFLSSSVDPAAAVGVTISPDPNFMVEYLVNSCGFSPSEAAKFSKPLARLRSTEKPDAVVHFMRSQGFDGAGIRKVISRNPTHLCVKVEKNLAPKFQFLRDLGLSESDIADAILKNDFILRLDVHRSLVPKLEMWESLLGSRELVLKHLKKTRRFFFSSVEKTLHPNLKFLRDECGIPEERVSVVLRSHPQLISQKPESLQALVARADELGMPRQSRMFVRTLDALHNVSQERFEAKVELMRSFGWSESEFSSAVRKAPTFLCMSLDMLRRKVEFFINVVGYTRSFIADKSYLLLFCLQKRVIPRFRVTEMLKSKGLLTGQAKFPYILQLSDTKFLEKFVLPHEENVPELLDILRVEGVCKGK >Ma08_p30990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42053512:42055310:1 gene:Ma08_g30990 transcript:Ma08_t30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHSCSKHTVNRSVEVEKPNHKEEPHLSGAYIRSLVKQLSSSRSRASTDPSSAKEEVVGGVFQDLGSHGEHLHGAQQQQQLPRSRPPKKQVRRRLHTTRPYQERLFNMAEARREIVAALRLHRAAIKQANEHQQQQQNSAPASNFNLSAPPMKETSNELMGSVRNTTNHLPNYNFTNYLHGAPFSPITFPSSFSWACPSITPLSISDNLNFPLPDQPLGLNLNLQSFKDIDISLRHNHRNQQPIESSQASSSSCSYSPPSAMLGIELPAVSNNSKQASQVRLDPASMPLHLAMDDEEMAEIHSIGEQHDMEWNDKVNLATTAWWSKFLKSMEGGLEEREGGVGADRLHVFDEFLDIPSWLNDGVEGNTKETSSCQQDLDDNFQVEDYLQDTSLASLDIGEIGYW >Ma05_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33317098:33320551:-1 gene:Ma05_g21620 transcript:Ma05_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVEDTRLLEVVPFLRNGWHNWMNVSDALKHEIIQKMSETEDPSIDLHG >Ma02_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24863098:24867397:-1 gene:Ma02_g18350 transcript:Ma02_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHISSSNLIDAKLEEHRMCGASQCPSCGHRLDRKPDWVGLPAGVKFDPTDQELIEHLEAKVEAAGLKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTKDGLSKHFFHRPSKAYTTGTRKRRKIQTECDLQRGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGELEEEKEGELVVSKIFYQTQPRQCHWSDKNAAAAATVEGMDQRRDSGSGSCSSKEVIGQRDEISSGPVISGYGGMEMRHHHHLKPDNFSFSPFRKSFEEASLGEAAAKRGEHEHHQIIQEQQQQQSHGDRSMALHITRPTNLMSTFVSPPPIQQTSIVLDDPYHVSVLQADKYQQQQQQQKLDHRSTSGLEELIMGCTSSGTKGEALIPQTQETEWQYSYWSPDNRDHHG >Ma08_p27810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39944229:39948826:1 gene:Ma08_g27810 transcript:Ma08_t27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRSDDDYDYLVKVVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIRVEDKVVRAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHTTFEAVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVTVDDAKAFAERENTFFMETSALESMNVDNAFTEVLTQIYRVTRRKALDAGDDPETLPKGQTIDIGTNDDVSAVKKAGCCSA >Ma01_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7709022:7709391:1 gene:Ma01_g10730 transcript:Ma01_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMIRVTKMDGRIRHFWPRVRIVIVRFLIVLVPLTELGGVDPISSACLPLLEVEGNLARRLHRMIYRSEDL >Ma11_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21297771:21305480:1 gene:Ma11_g15640 transcript:Ma11_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGPGHGYDYAFKILLIGDSGVGKSSLLVSFISNHLADDLSPTIGVDFKIKHLAVGDEKLKLTIWDTAGQERFRTLTSSYYRGSQGIILVYDVTKRETFTNLADVWVKEVELYSTNRNCVKVLVGNKVDKEADRMVTREEGIAFANEYGCLFIECSAKTRANVEKCFEELALKIMEVPKLVEEGSVPVKRNILKQKQEQHAHQGGCCS >Ma09_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1164669:1175505:1 gene:Ma09_g01570 transcript:Ma09_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPQHSAFAPACSIRHSLLAVAVLALICFALLSLRSLRSPPQFSSPKMAVAEVSRDLASPGLSSSSIYHSPEVFFKSYAEMEGKLKVFIYPDGDPNTYFQTPRKLTGKYASEGYFFQNIRESRFRTEDPDQADLFFVPISCHKMRGKGTSYENMTMIVQNYVESLIGKYPYWNRTLGADHFFVTCHDVGVRAFEGLQMVIKNSIRVVCSPSYDVGYIPHKDVALPQVLQPFALPSGGNDIENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKRFYRTKFCICPGGSQVNSARIADSIHYGCIPVILSNYYDLPFNDILDWQKFSVILKESDIYQLKSILKSIPHEKFIELHKHLVEVQKHFEWHSPPVPYDAFHMVMYELWLRHYVIKY >Ma05_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:589529:589985:1 gene:Ma05_g00980 transcript:Ma05_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLMQIGIVRGLTQPEMEAAQQLLQLSSGEEDDDSEGVERSQKNKKRGTGEAEASMEGEHSEENRPRKRQRFRSLVAIYEVTKPIRMGCNGDEKKGVTRQEE >Ma04_p34310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33579391:33579673:1 gene:Ma04_g34310 transcript:Ma04_t34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMICFLSCNLHSCNSLLVSARMDRSFISIIKVNLNCMLLK >Ma09_p25460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36903292:36911187:-1 gene:Ma09_g25460 transcript:Ma09_t25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPAGGMVQPPPMVPPPQMDQQQQPQQWMMMPPPPPPQQQYYQAAPAPPMWNQQPSQVPPPVPQAVPQPQPQYQAPAQVPPPQMQYQAAPAPAPAQGMVPQPASADEIRTLWVGDLQYWMDENYLYSCFVHTGEVLSVKIIRNKLNGQSEGYGFIEFVSRAAADRVLQTFNGQVMPNTEQAFRLNWASCGAGEKRGDGADYTIFVGDLAADVTDYLLQETFKNHYPSVKGAKVVTDRLTGRSKGYGFVKFGDLNEQTRAMTEMNGLYCSTRPMRIGAAANKKTLGAQQQYPANASYQTTQGAESENDPNNTTIFVGGLDPNVTDDHLRQVFGPYGEIVYVKIPVGKRCGFVQFANRANAEEALRALNGTSLGGQNIRLSWGRSPTNKQPQQDPNQWNGGYYGYTQSYDAYGYAPPQDPNMYAYAAYPGYGNYQQQQPQQPPQ >Ma06_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10525270:10529708:-1 gene:Ma06_g15510 transcript:Ma06_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMKEKFSKLLLGEDMSGGGKGVSSALALSNAITNLAASVFSEQRRLEPMPAERKARWRKEIDWLLSVTDHIVEFVPSQQTSKDGSNMEIMITKQRKDLLMNIPALGKLDAMLIGYLDNFKGQNEFWYVSKDADESEKSNAKRNEDKWWLPIVKVPSNGLSEVSRKWLQFQKESINQVLKAAMAINAQVLMEMEIPEAYIESLPKNGRASLGDSIYRSITDDGFDPEEFLESMDLSTEHKVLDLKNRIEASIIIWIRKMHNKDTKSSWGSAVSMEKREQFEERAEIILHLLKLRFPGIPQSALDTSKIQYSKDVGQSILESYSRILESLAFTVMSQIEDVLYADSLTQDPSLKDSSRRQLLTDSDLGAVKKLNPEEEMEKLKEAPSSMTLSDFMGWHFDPESETEMKNSGSLEEDTFSSQDMKKMKKPPDVVTTKKLSYIEKLENLRCLRSPTARH >Ma10_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30940078:30944791:-1 gene:Ma10_g20900 transcript:Ma10_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MSTFSSSSTLFSPKAPPLARISDLTRVHFLSKNTSFRRNFVVRAGPKRISFDKDCRRALVAGIDKLADAVSVTLGPRGRNVVLDESEVPKVINDGVTIARAIELSDGIENAGAMLIQEVACKTNDSAGDGTTTAIVLAREIIKLGMLAVVSGANPVSLKKGIDKTVHELIQVLRSKCIAINGREDIKAVAAISAGNDDFIGDIMATAIGKIGPDGVILIESSTSFDTTIEVQEGMKIDKGYMSPHFITNQDKSIVEFENAKVLVTDQKVTNVRDIVPLLEKTTQLSVPLLIIAEDISSEVLATLVLNKLQGILNVAAIKCPGFGEGKKAPLQDIALMTGADFLASDLGLMLRDVTSDQLGIARKVTITSNSTTIVADPSMKAEIQARISQIKKDLTEVDSSYLRKKLSERIAKLSSGFAVIKVGGLTEAELEDRKLRMEDAKNATFAAMDEGIAPGGGATYVQLSKHISTISDLFEDPEEKIGAEIVGKALLVPAYLIAQNAGVDGSIVVEKLLDSDWRNGYNAMTNEFEDLLKSGVVDPCRVSRCALQNAASVAGVVLLSQAAMVDKRRKPKPAVPLVPGISP >Ma10_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32376386:32379009:1 gene:Ma10_g23450 transcript:Ma10_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSQLSFLTGRPLPPVPKHNKKPHLIPLKPCRSSMDKGSSSERSEMKLAQLAMVAVAAGVLTLGSVEPAVAAKSGGRIGGQAFRSAAPRVSGPRINNSRTNIYINPPVAPPLVGGYGYGVPFYGGWGWSPFTFFAPGPSVAVGIGGGFDVFVTFLVLGAVATVIRRLFGRRDVDEDDY >Ma09_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15353004:15353901:1 gene:Ma09_g18050 transcript:Ma09_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSASCLLFLLSSILFLLTPPSIANDNNIMLTGDVLGPDDELSYLDTTLTMQIDCNLVLYHYKGTPAFDSSTYDRGVVNCSVALNEHGQFVISDPNGNTIWVSGRPGRKGTYAAVLQPDKQVGIYGPVVWSTSNLGSTKYAMVDDEDEAIPTVKNTLFSSEIIADGAELTTRDYRFIMDESCSLELRKSDLYIWVSGTVGRGQHCFLRLNRRGQLTIKDDMYKTIWSTDPSPDGVGDYVLILQFNGQAAVYGPLIWSTGDDGQIIYPAVSPAPTY >Ma08_p27080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39465163:39466949:-1 gene:Ma08_g27080 transcript:Ma08_t27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFFAVLLPLLAFPVMLYCIYCPSKQSKAKPKSGTGTSLSELLRNSHRILDWTTELLAASPIHTVTTFMGIVTANPSNVEHILKTRFDNYPKGLRSTTILADFLGRGIFNSDGDHWRIQRKTASLEFNTKTIRTFILSNVRLAVAGRLLRRLSRAAAAREVLDLQELLDRLAFDNVCKVAFDVDPASLIGDEDDEVREFAHAFEDAINLVVERYSHPFLLSWKLKRLLGIGSEGILKKNIAAVHRFAMRVVRSRKEAGAAALGDDLLSRFIAEADYSDEFLRDIVISFVLAGRDTTSATLTWFFWLISTRPAVQERIMGEIRRVRSGRPNPEEGGAFTLDELREMDYLQAALSETLRLYPPVPLQVRECAADDEWPDGTRVAKGRTVMYSSYAMGRMEAIWGADWGEFRPERWLHKDVEFRPMNPFRFPVFHAGPRMCLGKDMAYIQMKAVAASVMEKFEIEVVDKERERQLEYIMILRLKGGLPVRVRERNG >Ma05_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7050134:7051696:1 gene:Ma05_g09790 transcript:Ma05_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLSFVTILVLLFLLLSSAATDPHPATVPASPEWSSAACPLDIPDDLLHDIATACSPVDSGSDSHSHSATLSRCCPSLAASLHAAHAAAALAVLSPASNNGIAVPDPPDDSEGCASAAEVALRARGVLLPRPNETCDLTYCYCGVRLRRLHCPGPFVASAAGGRWVPANEDTATLIQTECRLPELDGCTRCLHSLYQLRSEEAEGVTSDVTAMDRQRECQLMGLTWLLDENRAHYLHVAALVLRAFMASPTGVDPTSCSVPGGTGDDIPLLVDSGWVGAGSSSTFHGFFLCALSLFLLTFNH >Ma09_p27050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38146413:38148238:-1 gene:Ma09_g27050 transcript:Ma09_t27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDREDGTASPPRNSNLFDLLQTDTTFQSEDEQPRGRRSGRGLGSSSASLGYDSDCNSASARSSPYDLSPWNSAAASPFAKSPWAYLPVLSDDAADPDATGLVGSLVREEGHVYSLATAGDLLYTGSDSRNIRVWKGQHELSGFKSCSGLVKAIVVAGDTIFTGHQDGKIRVWKTFAKNPVVHKRVGTLPKLKDFLKSSVNPSNYVQVRRHRKTVWLRHFDAISCLSLDEEAGILYSGSWDRTVKVWRVSDSKCLESIKAHDDAVNAVATGFGGFLFTGSAEGTVKVWHREAAGKVGATKHVLVQRLLQQESAVTSVAVAEVAGVVYCGSSDGTINYWWWESGQWQLAHGGVLRGHRMAVLCLAATGRLVVSGSADKTLCVWRREEGDGSVGGPGHTKLAVLAGHEGPIKCLVVEKEDGEAGGACGCPRYVVYSGSLDNSVKVWRVPEQGATPSPPRARRVEAQPPQSESEGAKLMELGSHVGQDFSVPAAA >Ma08_p26120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38729276:38736195:-1 gene:Ma08_g26120 transcript:Ma08_t26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLSKPLSEQQHKVPGSQVVEKASLQPSPLQLPDPSKLESDTPTRLPKSMQQSTQKPKTEQVLEGQNLDHQQKEAANLSTNETTQKVARSLEHISLDTSSNTMKQSVQQGDVNELLQLNGTVSLETNRYEEKKNSGQGSEKYSSASAKVSDGTSSLTKTSGSAKISDRIDSGKSSMCRGSTSSDVSDDSTCSSISSSINKPHKSNDSRWEAIKTIRARDGILGLNHFRLLKKLGCGDIGSVYLSELSGTKCYFAMKVMDKQSLASRKKLHRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKIYIAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVNPTLVKSSNPNSESFRRNNPVYCVQPACIEPSCVQPSCVVPTTCFSPRLFSSKSKKQQKQKCDTGNQVSALPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFSEFPVVSFAARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGINWALIRCASPPEIPKPFEIARPLGTAASASQKASLTSEKGSDNYLEFDFF >Ma10_p27710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34861066:34861920:1 gene:Ma10_g27710 transcript:Ma10_t27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCDPVIAWSNQGLKLFKQDWLSVWKYFVPHRDPSLLPRQWRIATGIQKSYRKSEAIKEKTRLYEAKRRRLKASMADGHTLSEKEVDNEEDNSGEEMDNENEAYVHEAFLADSETGSSNNLSYEISLSGIGRTNVQFTNMIIYHGTNTTEKFASISGCFQVQSDRASFTVITCPTRKCKGARVVKLAPGLPPINLPPSVRVISQSALQNHPTRSAHSYTS >Ma03_p30780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33262109:33263980:-1 gene:Ma03_g30780 transcript:Ma03_t30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAPLSEEPISEEESRSTARRIHSFHNWIKSHLPMLSNKRNDLKILLSVLGCPLSPLSVSPKQPRDVASSAQYIIQQFRATTGCSKRERTAKSMYASGRVRMEMAQEHGVSSSGSASKGHHKGCFVVWQMVPDMWLVEFAVSGHQIAAGSDGKVAWRRTPWLGAHAARGGVRPLRRALQGLDPETIAAVFSPAQHIGEKHIGDEECFVLELVVDDSVLSSWSDSTAEIIKHRMLGFFSQRSGLLVRLEDSQLTRIQSPGAEAMYWETTMVSCMEDYRRVDGLMIAHSGRSVANLLRFGLGVREHRVSTQMEERWTIDDVLFNVPGLAADCFIPPEEVRRSCFYDIAIRDH >mito8_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000019.1:98542:100248:1 gene:mito8_g00020 transcript:mito8_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFPRNPLGRSGIGYFIPTQPIIFHYTKACLLVLASSGLLSYSLDALSVFRFLACCFVWVNNTAYPSEAGPEASKAKALPLYCHLILFTILSIRFGYSYLW >Ma11_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23225184:23228751:-1 gene:Ma11_g18090 transcript:Ma11_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLAGLAVAAAALAGRYSIQAWHAYKARPVVPRMRKFYEGGFQGIMTRREASLILGVRESAPPDKVKEAHRKVMVANHPDAGGSHYLASKINEAKDVLLGKTKGGGSAF >Ma03_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4855412:4855823:-1 gene:Ma03_g07070 transcript:Ma03_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYFGPSMFLLLFLVVPNLAQSQILFQGFNWESWRQQGGWYNFLKDKVSDIANAGVTHVWLPPPSHSVGVQGRTVIRICHRDHYHMLTESTLV >Ma03_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2065222:2065953:-1 gene:Ma03_g03060 transcript:Ma03_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASSRLFSLLLRLLAAAATLCATVIMATSHDSTTLFGLTLDAKFQYTPSFKFFVVANAIGCGYSLVVLFVPPTSSLSRLVIVLDVMVAMLLTAAIAAAGALAQLGKRGNSHAGWLPTCGQIPSFCHHVMGALICALVGVVAYLLLLLHTIHTVLTPLFP >Ma04_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10787473:10791796:1 gene:Ma04_g14240 transcript:Ma04_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEAAKPHGDEVPVKGGNEAEPSAAVEAPVPPPSSGLPPVSLNIWPPSQRTRDAVIQRLIDTLSSSSVLTKRYGVVPVDEASATARLIEQEAFDFADSDGVRASASIDDGLEVLQIYSKEISKRMIESVKSRASPVSSTPAVEEAATAPAESASMTSGAGEETSAVTPESPSS >mito1_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000012.1:216014:222314:-1 gene:mito1_g00040 transcript:mito1_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1B [Source:Projected from Arabidopsis thaliana (ATMG01120) TAIR;Acc:ATMG01120] MVIRRRDNNNKWGVLRGRARTVHSFLILFSLWHCWELPLYSKYAFLGALRSAAQMVPYEVSIGLILIMRLECPRLVARLLFIVMAQKQIWSGIPLFPVLVMFLIPRLAETNRAPFDLPEAEAESVAGYNVEYARDAILSSSLLVEANVQGTHSDFNKGWVFTNFQIPDFGIPKEWGNSSF >Ma02_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15142743:15149256:-1 gene:Ma02_g03730 transcript:Ma02_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLLKDEATEEKGDRARMASFIGAMAIADLVKTTLGPKGMDKILQSTGRGRNVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNQKIHPMTIIAGYRMAAECARNALLLKAKDNKQDPDKFKSDLMKIAMTTLSSKILSQDKEHFAKLAVDAVLRLKGSTNLESIQIIKKAGGSLKDSFLDEGFILDKKIGIGQPKHIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEGAEKEKMKQKVQKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTVVLRGASSHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDELARKTPGKKSHAIEAFSRSLQAIPTIIADNAGLDSAELISQLRAEHHKDTTNAGIDIISGGVGDMDKLGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >Ma01_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10380362:10384229:-1 gene:Ma01_g14210 transcript:Ma01_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPQTLIDGSTLAALSLARAGVQHMFGVVGIPVTAVATRAVAAGVRFLAFHNEQSAGYAATAYGYLTGRPGVFLTVSGPGCVHGLAGLSNAAVNAWPAVMISGSCDQADAGKGDFQELDQIEAVKPFVKFSAKATHIAQIPRLVYQVLSQAVAGRPGGCYLDLPSDVLHQTISDSEADKILSEVENPRIEEIRDKEVVDIEKAVALLRSAERPLIVFGKGAAFARAEEPLKKLIETTGIPFLPTPMGKGLVPDTHELAATAARSLAIGQCDVALIVGARLNWLLHFGEPPRWSKDVKFILVDISTEEIELRKPHLGLVGDAKRVLELINKEIKDQPFCLGRSHPWVEAISKKVKDNVAKMEVQLSKVVVPFNFFTPMRIIRDAILSVGSPAPILVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVASPERLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNGGVYGGDRRSPNEITGPHKDDPAPTSFVPGSAYHTLIEAFGGKGYLVGTPEELKSALSESFSARKPAVINVIIDPYAGAESGRMQHKN >Ma10_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33263461:33264224:1 gene:Ma10_g24850 transcript:Ma10_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNKDFQAIPREARHSEWGESRYYVILVWNAVVWQSFFLGTVGVIFCVNTLLAAILISVFIPVTEVLGVVFFKENFSSEKGVALALSLWGLASYCCGEYRQEKDKKDKAAPSNQVA >Ma11_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:526600:531291:-1 gene:Ma11_g00740 transcript:Ma11_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MASSLLPGLHRPPAKSSFPFPFQPRKYPSPIPRTTSSPRSPIFASLSSSSSSSWEREEQRWLREEQRWLREEQRWLREESRWGAEREALLREIAALRLQIEALERDRPELEAMILAAPQNEGRSGAAPPRPALVEEAQVKEMVLEEVRVSEAVVERREEVVVEERQQQQIRRKTLRKGSEGEDVRTLQEALQSLGFYSGEEDMEFSSFSSGTERAVKTWQASLGALEDGIMTTELLETLFREQGKGGTALKDDANGAMSTSITEMTEIQRKVVRENGDAQFDVSQHRVFLLGENRWEEPSRLVGRDKPLDGRETASLTKCVSCRGEGKLMCTECDGTGEPNIEPQFLEWVDEGTKCPYCDGLGYTICDVCEGRTTVEA >Ma11_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16689859:16695220:1 gene:Ma11_g12800 transcript:Ma11_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMAPSSELKNNDGTSICVDSLPDELNDMKIRDDKEVEATVIDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRILDHPNVVCLKHCFFSTTEKEELYLNLVLEYVPETVHRVIKHYNKMNQRMPLLYVKLYMYQICRALAYIHGCIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRSTALEALIHPFFDELRDPNARLPNGRYLPPLFNFKPHELKGVPMEIVLKLIPEHARQQCAFLGL >Ma07_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21816536:21817878:1 gene:Ma07_g17760 transcript:Ma07_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFTWEVMTHEKAARADDIAPLKYWGIGTKLNFQVCNYEKELEDIKNMTREECVTYVRRRSSCFSRGASVYRGVTRQKDGRWQAQIGRVAGNKDFYLGTFRTEEEAAEAYDIAAIKLCGMNAVTNFHISNYCEKGLGQLEDEGRDPGGISPSQLKL >Ma07_p17760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21816536:21817878:1 gene:Ma07_g17760 transcript:Ma07_t17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFTWEVMTHEKAARADDIAPLKYWGIGTKLNFQVCNYEKELEDIKNMTREECVTYVRRRSSCFSRGASVYRGVTRRQKDGRWQAQIGRVAGNKDFYLGTFRTEEEAAEAYDIAAIKLCGMNAVTNFHISNYCEKGLGQLEDEGRDPGGISPSQLKL >Ma06_p29720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31126899:31129601:1 gene:Ma06_g29720 transcript:Ma06_t29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFNGSRLVASPSSPKLLSFRNPNNPSYTQGGSAASMKETSGLLRSFSALKSFSDKVGDAFAKLSSSIKHRKDHQNLKRRLLEAMKQRGYSNQNTFRSINSIIMWFPHFKEGLQNIKNIFEKYDEDSNGTMHHEELKKCLRMLQIQLSEKDIDRLYHYCGMDENKGIQYHEFIVLLCFAYLLAGPDSATNNMSNSASRHVEATFDKLAEAFAFLDKNGDGKLDKKDIILALNEAPSKEKSPTHITSRRFKEMDRNKDGTVSFKEFLFSLIKWIGIDTDHEKEPLRTIEVW >Ma06_p29720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31126899:31128937:1 gene:Ma06_g29720 transcript:Ma06_t29720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFNGSRLVASPSSPKLLSFRNPNNPSYTQGGSAASMKETSGLLRSFSALKSFSDKVGDAFAKLSSSIKHRKDHQNLKRRLLEAMKQRGYSNQNTFRSINSIIMWFPHFKEGLQNIKNIFEKYDEDSNGTMHHEELKKCLRMLQIQLSEKDIDRLYHYCGMDENKGIQYHEFIVLLCFAYLLAGPDSATNNMSNSASRHVEATFDKLAEAFAFLDKNGDGKLDKKDIILALNEAPSKEKSPTHITSRRFKEMDRNKDGTVSFKEFLFSLIKWIGIDTDHEKEPLRTIEVW >Ma04_p27190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28417176:28423466:1 gene:Ma04_g27190 transcript:Ma04_t27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRYERQGTSAMPGEKRGMDTGDGDELQPEPKRPKVPALASVIVEALKVDSLQKICSSLEPILRRVVSEEVERALARLGPARIGGRSSPKRIEGPDGRNLQLHFRTRLSLPLFTGGRVEGEQGAAIHVVLFDANSGHVVSSGPEASAKLDVLVLEGDFNNEDDENWTEEEFESHVVKEREGKRPLLTGDLQISLKDGVGTLGELTFTDNSSWIRSRKFRLGLKIASGYCEGVRIREAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKCGIFTVEEFLRLVVRDPQKLRNILGSGMSNKMWESLVEHAKTCVLSGKYYIYYSDETRNVGAIFNNIYEFCGLIASGQFYSAEGLNDSQKVFADALVKKAYDNWMQVIEYDGRALLNFTKNKKAPAAQKESLLASSNYPSSYDQQVSQMHSQASASSTELSAEMGNTTGGGTISAGYSGTQSARYPNLTQHVDSDAHISTESTSSTPQNQLVGSTHQTQFTRTDGTSLAPAPPQQASMELQSLGHTVQQPDLSTYDDWSRQRDSRGIDDFFSEDEIRLRSHELLEHDDMQHLLRVFTMGGAPTGLHDDGYAFPSYMPSPCPAFNFDDDRSRTSGKAVVGWLKIKAAMRWGIFVRKKAAERRAAQLVELED >Ma04_p27190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28416985:28423466:1 gene:Ma04_g27190 transcript:Ma04_t27190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRYERQGTSAMPGEKRGMDTGDGDELQPEPKRPKVPALASVIVEALKVDSLQKICSSLEPILRRVVSEEVERALARLGPARIGGRSSPKRIEGPDGRNLQLHFRTRLSLPLFTGGRVEGEQGAAIHVVLFDANSGHVVSSGPEASAKLDVLVLEGDFNNEDDENWTEEEFESHVVKEREGKRPLLTGDLQISLKDGVGTLGELTFTDNSSWIRSRKFRLGLKIASGYCEGVRIREAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKCGIFTVEEFLRLVVRDPQKLRNILGSGMSNKMWESLVEHAKTCVLSGKYYIYYSDETRNVGAIFNNIYEFCGLIASGQFYSAEGLNDSQKVFADALVKKAYDNWMQVIEYDGRALLNFTKNKKAPAAQKESLLASSNYPSSYDQQVSQMHSQASASSTELSAEMGNTTGGGTISAGYSGTQSARYPNLTQHVDSDAHISTESTSSTPQNQLVGSTHQTQFTRTDGTSLAPAPPQQASMELQSLGHTVQQPDLSTYDDWSRQRDSRGIDDFFSEDEIRLRSHELLEHDDMQHLLRVFTMGGAPTGLHDDGYAFPSYMPSPCPAFNFDDDRSRTSGKAVVGWLKIKAAMRWGIFVRKKAAERRAAQLVELED >mito10_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000021.1:635724:636542:-1 gene:mito10_g00070 transcript:mito10_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase [Source:Projected from Arabidopsis thaliana (ATMG00570) TAIR;Acc:ATMG00570] MPYLESHFPLNYSLEWNIASDTILGEVRIRSVRILIGLGFTWFTCYWFSEEFISPLAKPFLTLPLDSYFVRTQSTEASPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRTKYNRFLHLSGSRFSLFLFLTPTRVVPNVWHFPYNVGATSTNLLMIKLQPKIYDHIMLTVRILFIPSVCSQVPVIFICLPRGLSVETSTRNRRFLMVFPLITAALSTPPDIWCQIVARFPISSIIELAISVALIVQVREEGWTSRMRESSSIDSKYKE >Ma08_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35441500:35446896:1 gene:Ma08_g21620 transcript:Ma08_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWDMVLQKRVDCGSSGYQAPVMPHFPRSAKGKRSVRKKVEDNQMCAFDLLATVAGNLLSERENSLTPCNDTGTSNTVAVKDTVNQDQLDKEKPCKLEAFDWLRCSEDLSGPENDLKMQIKHDIRENTSKATSSDPASLCVKSDISDEDPISGESKFFGASGKIGHAVDTVAERYAMGRPYSSPAGSLECKEDGSKTPQQAERLVAGNAVDGNAPHTYSLNDAMDLDGKTPALVSSDSSFEVPLYRKNTPCNSSFPKQDECMDLPIDKDGDENSSGCTHPTIVTNKASRLQRIGDRRIRKLLASKFWKVSPTMLQDDVDQKPALRGKRMCYTRQRTQRSSFKRRKLFYNCSVSASDGGIYSEGVSNICEKGRIKLETNDLHATWFGANSAPSSTTGQKPCCELPDYHVKLSIKSFKVPELLIEIPETATVGSLKRTVSEAVTAILGGGLRIGVLLQGKKVRHDNKTLRQAGISQGDKLDNLGFTLEPNPGQAPAPLTGSEDPHFLGLGCAPEPLPLARIPPTAPATTDQRVSDASPQPMTTCPESDHDSVYSPADASSPEKTTANSLALVAVPPTNVEALAMVPLRSKPKRPEAAQRRVRRPFSVAEVEALVQAVEKLGTGRWRDVKLCAFENANHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQHQAKLQLKPPPSAEICQLL >Ma05_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32338226:32338384:1 gene:Ma05_g20680 transcript:Ma05_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKGHSCKRADRWAAPQKIHGGQLSRLPRLHIPNEQDRWKEFINSLKITAP >Ma08_p34370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44399738:44404298:1 gene:Ma08_g34370 transcript:Ma08_t34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGHHLAHESLAGYTTMKVNNVPHAIDSEQNASCSDAESRSDVGKPVKTGELTDTQATDVFVYRQDVVKCSKCKGLHGIVLETAGDSDPEGSISGYDSSEEDEDNNDEGNGDDNGDVNSNIIDGGSNDFLQDGQVRLLWCDGSETVENTNDVTVVDRSFLRGDIVASATDPTGQLGVIINVNMTVDLLSTDGVVIENVSSRALKHIREFSVGDFVVLGPWLGKVDEVFDNVTVLFDDGYICEVVKPDPLQLRPLIMPVVSDTDCPYYPGQRVRAISSSVFEGSSRKAKLLKGTVIKVQTDSVVVYWIASAVHGVGDNSATFPSKEQNPKNLTLLSCSSHINWQLADWCLLLSYPHTNDLPKNCSCSTSYSVSKKPANGSWPSYRRKPWKFFFRGDKKIWRRDGNFERALLIVKAFNKVDVAWQDGTTEFGLQSTSLIPFDTPNDHEFFPGEFVVEKAQNEGGSSSETNRLGVLRSANSQEQTVCVRWLKPASRPGDLKEFNGEEVVSAYELERHADYDYFYGDVVVRLPPVSDDIPNSEVPTETQGNQLDTQKTADDSSKEYVEISEENRALDNEACEDFTSLSWVGNIVGLQDGDIEVSWADGMVSKVPPQEVYIVYRHNGNGDNEDEDEDEDEDEGEGEGEDEDEDEGEGEGEDEDEDEGEGEGEGEDECEGEFEDGDEGEGEDEDEYDDNDEEEDEDNDDDDDDIGVSDDDASWETASENEMDVLEGTEKEVDPQNPSDSNLQGKHSATILEKESSGGQSRPLTLPLAAIDYAVKHTTGLFSRAKKQLESSGLDKQKANDANHKADLDFSGSKLDGEDENKGFDVSDGLIAERIHENIETDNHMEATEKAEVKIEDNLEKPASMGLHDGSEGSHIMDDPCKFKHFDTAENPLDHHFLAVAEMGTGGRKWGKKVQREWSILEKNLPDDIYVRVFEDRMDLIRAVIVGAYGTPYQDGLFFFDFHLSSEYPQVPPSVYYHSGGLRMNPNLYVDGKICLSLLNTWTGKGSEVWDPSFSSVLQVLVSLQGLVLNDKPYFNEAGYEKHIGTVEGEKNASPYNENAYLTNLKSMLYLLRRPPTHFEDFVKDHFRRRGHYILKACEAYYTRGCLIGSLTKDGCLTEESQENSCSVGFNLTLAKILPHLIPALNEVGADCRQFEYLLTSGTLNSPRS >Ma03_p01950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1327410:1334890:1 gene:Ma03_g01950 transcript:Ma03_t01950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSPAVVQETVQFPVVGGGGGEAPRQWYVDERDGFISWLRGEFAAANAIIDLLINHLRVAGEPGEYDHVAGCIHQRRFHWTPILHLQQYFPVADVNYALQQVEWRQRQQTPQRHSYRRKEKDGRKSGFGHTYVHRSDGVQESHGSPASGMTVSDVANVENQGCNMDTYKDANQKSDAQMSQAKDSLAQVENDGICTVPSSKANCSLKDGDNSAETKETKCMNLEPAFVSDSQALGCRDGADLTSTKDGNRKVISIPKEFLAKEISDGMMVNVVEGLKLYEDLLDSSEISRLVSLANEMRAAGHRGELPGQTLVLLKRPMKGHGREMIQYGIPITDGPAEDTNTSPTSGERKVGAIPSFLQDVLDRVVQLQVLPIKPDFCIIDFFSEGDHSQPHTWPPWYGRPVCNLLLTECDIVYGRTVGSDHRGDYNGSLKLSVNAGCLLVMQGKSADLAKRAIPSLRKQRILVTFGKSRPKKTLPSEASFFPSSAVYPASGPSSARPLNSSRHPSGRRNYGVIPTTGVLQAPSIHPHSMSPNGVQPLFVAAAPVVASSAMPYPAPTMTPPATAGWTVTAPPRHPSPRLPVPGTGVFLPPGSVHLPPSQQLQMVPVPAESSYAPQQTFALPESNGVEKPNCNSYASPKNVKDSTVPSLKTKSTGNAGANKEQQSAVAEKPVNNLTEIVAK >Ma03_p01950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1327391:1334890:1 gene:Ma03_g01950 transcript:Ma03_t01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSPAVVQETVQFPVVGGGGGEAPRQWYVDERDGFISWLRGEFAAANAIIDLLINHLRVAGEPGEYDHVAGCIHQRRFHWTPILHLQQYFPVADVNYALQQVEWRQRQQTPQRHSYRRKEKDGRKSGFGHTYVHRSDGVQESHGSPASGMTVSDVANVENQGCNMDTYKDANQKSDAQMSQAKDSLAQVENDGICTVPSSKANCSLKDGDNSAETKETKCMNLEPAFVSDSQALGCRDGADLTSTKDGNRKVISIPKEFLAKEISDGMMVNVVEGLKLYEDLLDSSEISRLVSLANEMRAAGHRGELPGQTLVLLKRPMKGHGREMIQYGIPITDGPAEDTNTSPTSGERKVGAIPSFLQDVLDRVVQLQVLPIKPDFCIIDFFSEGDHSQPHTWPPWYGRPVCNLLLTECDIVYGRTVGSDHRGDYNGSLKLSVNAGCLLVMQGKSADLAKRAIPSLRKQRILVTFGKSRPKKTLPSEASFFPSSAVYPASGPSSARPLNSSRHPSGRRNYGVIPTTGVLQAPSIHPHSMSPNGVQPLFVAAAPVVASSAMPYPAPTMTPPATAGWTVTAPPRHPSPRLPVPGTGVFLPPGSVHLPPSQQLQMVPVPAESSYAPQQTFALPESNGVEKPNCNSYASPKNVKDSTVPSLKTKSTGNAGANKEQQSAVAEKPVNNLTEIVAK >Ma03_p01950.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1327410:1334890:1 gene:Ma03_g01950 transcript:Ma03_t01950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSPAVVQETVQFPVVGGGGGEAPRQWYVDERDGFISWLRGEFAAANAIIDLLINHLRVAGEPGEYDHVAGCIHQRRFHWTPILHLQQYFPVADVNYALQQVEWRQRQQTPQRHSYRRKEKDGRKSGFGHTYVHRSDGVQESHGSPASGMTVSDVANVENQGCNMDTYKDANQKSDAQMSQAKDSLAQVENDGICTVPSSKANCSLKDGDNSAETKETKYLTSTKDGNRKVISIPKEFLAKEISDGMMVNVVEGLKLYEDLLDSSEISRLVSLANEMRAAGHRGELPGQTLVLLKRPMKGHGREMIQYGIPITDGPAEDTNTSPTSGERKVGAIPSFLQDVLDRVVQLQVLPIKPDFCIIDFFSEGDHSQPHTWPPWYGRPVCNLLLTECDIVYGRTVGSDHRGDYNGSLKLSVNAGCLLVMQGKSADLAKRAIPSLRKQRILVTFGKSRPKKTLPSEASFFPSSAVYPASGPSSARPLNSSRHPSGRRNYGVIPTTGVLQAPSIHPHSMSPNGVQPLFVAAAPVVASSAMPYPAPTMTPPATAGWTVTAPPRHPSPRLPVPGTGVFLPPGSVHLPPSQQLQMVPVPAESSYAPQQTFALPESNGVEKPNCNSYASPKNVKDSTVPSLKTKSTGNAGANKEQQSAVAEKPVNNLTEIVAK >Ma03_p01950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1327410:1334890:1 gene:Ma03_g01950 transcript:Ma03_t01950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSPAVVQETVQFPVVGGGGGEAPRQWVAGEPGEYDHVAGCIHQRRFHWTPILHLQQYFPVADVNYALQQVEWRQRQQTPQRHSYRRKEKDGRKSGFGHTYVHRSDGVQESHGSPASGMTVSDVANVENQGCNMDTYKDANQKSDAQMSQAKDSLAQVENDGICTVPSSKANCSLKDGDNSAETKETKCMNLEPAFVSDSQALGCRDGADLTSTKDGNRKVISIPKEFLAKEISDGMMVNVVEGLKLYEDLLDSSEISRLVSLANEMRAAGHRGELPGQTLVLLKRPMKGHGREMIQYGIPITDGPAEDTNTSPTSGERKVGAIPSFLQDVLDRVVQLQVLPIKPDFCIIDFFSEGDHSQPHTWPPWYGRPVCNLLLTECDIVYGRTVGSDHRGDYNGSLKLSVNAGCLLVMQGKSADLAKRAIPSLRKQRILVTFGKSRPKKTLPSEASFFPSSAVYPASGPSSARPLNSSRHPSGRRNYGVIPTTGVLQAPSIHPHSMSPNGVQPLFVAAAPVVASSAMPYPAPTMTPPATAGWTVTAPPRHPSPRLPVPGTGVFLPPGSVHLPPSQQLQMVPVPAESSYAPQQTFALPESNGVEKPNCNSYASPKNVKDSTVPSLKTKSTGNAGANKEQQSAVAEKPVNNLTEIVAK >Ma03_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1327410:1334890:1 gene:Ma03_g01950 transcript:Ma03_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGSPAVVQETVQFPVVGGGGGEAPRQWYVDERDGFISWLRGEFAAANAIIDLLINHLRVAGEPGEYDHVAGCIHQRRFHWTPILHLQQYFPVADVNYALQQVEWRQRQQTPQRHSYRRKEKDGRKSGFGHTYVHRSDGVQESHGSPASGMTVSDVANVENQGCNMDTYKDANQKSDAQMSQAKDSLAQVENDGICTVPSSKANCSLKDGDNSAETKETKCMNLEPAFVSDSQALGCRDLTSTKDGNRKVISIPKEFLAKEISDGMMVNVVEGLKLYEDLLDSSEISRLVSLANEMRAAGHRGELPGQTLVLLKRPMKGHGREMIQYGIPITDGPAEDTNTSPTSGERKVGAIPSFLQDVLDRVVQLQVLPIKPDFCIIDFFSEGDHSQPHTWPPWYGRPVCNLLLTECDIVYGRTVGSDHRGDYNGSLKLSVNAGCLLVMQGKSADLAKRAIPSLRKQRILVTFGKSRPKKTLPSEASFFPSSAVYPASGPSSARPLNSSRHPSGRRNYGVIPTTGVLQAPSIHPHSMSPNGVQPLFVAAAPVVASSAMPYPAPTMTPPATAGWTVTAPPRHPSPRLPVPGTGVFLPPGSVHLPPSQQLQMVPVPAESSYAPQQTFALPESNGVEKPNCNSYASPKNVKDSTVPSLKTKSTGNAGANKEQQSAVAEKPVNNLTEIVAK >Ma01_p09030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6487119:6489894:-1 gene:Ma01_g09030 transcript:Ma01_t09030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSCIPPPLHLQRPLIGPGLVQPDPFGPGILLPPGVFPFDKLPPPEIMAQKLAAQHVEMQRLAIENKRCAATHYSLRKELSAAQQELQRLQTDMSAIRADQEQQICSRLVQKLRAW >Ma01_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6487119:6489894:-1 gene:Ma01_g09030 transcript:Ma01_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSCIPPPLHLQRPLIGPGLVQPDPFGPGILLPPGVFPFDKLPPPEIMAQKLAAQHVEMQRLAIENKRCAATHYSLRKELSAAQQELQRLQTDMSAIRADQEQQICSRLVQKLRAW >Ma06_p34530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34727768:34728298:1 gene:Ma06_g34530 transcript:Ma06_t34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVLHLAVAELDGDSFVAGFAYYEEDGERAILVGRRRKTHGACRFLRGCLRCKRFLTAWRPVNGSRSSLVKKTLIQLVMYRTCLGNNDSTSIRSVALGMAPKSSISQTRNADWGQLTP >Ma06_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8761590:8769391:-1 gene:Ma06_g12680 transcript:Ma06_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase [Source:Projected from Arabidopsis thaliana (AT5G05920) UniProtKB/Swiss-Prot;Acc:Q9FI94] MEGGAAGGQRDRETLDAVRSVVFKPSVSLEEKRFPRVQGYDFNRGCDLIGLLDSMSTTGFQASNLGDAIDVINQMIDWRLSHDAPTEDCSEEERNLAYRQSVTCKIFLGFTSNLVSSGIREIIRFLVQHRMVEVLVTTAGGIEEDLIKCLAPTYKGDFSLPGSYLRSKGLNRIGNLLVPNDNYCKFEDWIMPILDQMLLEQTTENVVWTPSKVIARLGKEINDESSYLYWAYKNNVSVYCPALTDGSLGDMLYCHSVRNPGLLIDIVQDIRAMNGEAIHVGLRKTGVIILGGGLPKHHICNANMFRNGADYAVYVNTAQEFDGSDSGAQPDEAISWGKIKGSAKTIKVHCDATIAFPLLVAATFARKFQERNNKLA >Ma05_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32307137:32308623:1 gene:Ma05_g20600 transcript:Ma05_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFASVELECREVSASQEDRLLCSRFLNDHRVDLYSDSSSAPPHCASPSGVGLSDMPYADSPVVFLSLPYSPSQSPAAPGDAASSGGILVQSSPLEGRTSTGADLLHAPTGEVPHAGRDGSWSPGGGNKEVRVSKKRLRSTQVSSTESTTESPPEGIGILGFGFREEPSKFDQQLNLPSNNPRGATSDLTGRCESRESPELLDRAIGDQDAASIISPPPEEGTSCASRAREKSPENPSAMVPAVGDQNAASRATPEPRKLPASIISPPSEEGTSCASHAREKSSVNLSAMVSAVADDRLRMYLLDAIKMFAGKPNTRFADTDILEIAKMKGIDFPPAWWSQLGGYDPAEFRRWSQIGVYDLEDDKMPK >Ma01_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1368613:1372052:1 gene:Ma01_g02040 transcript:Ma01_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIHSSVETVNAAAAAIVSAESRVQQVAVPRKRWTAWLSVYWCFGSHGNGRRISHAVLVPEPAFSVSDASARSNPSHPPEVRLPFIAPPSSPASFLPSGIPSATQSPAGPVSLSALSRSSYSPSGPASIFAIGPYANETQLVSPPVFSTFTTEPSTAPLTPPPEPFHLTTPSSPEVPFAKLLTSSIDANCKKSEAYEFQSYQFYPGSPIGCLISPSSACSGTSSPFPDPEFYSSAAGSFQSFLIGEPPKILSAEGIAARKLIPRHARNDGSLLDGQISAAASVVDPAIVPKINEHTMDHRVSFELTAEEFARRLEKKVAMSGEGKLEILTARNDKANPSLGTDNSSRISIDDTYHDLPEKAQPLVTPAKEFKFDNSDGVASEPNVGSDWWANEKVAGTATEHRKSWAFFPMIQPGVS >Ma06_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25354595:25361229:-1 gene:Ma06_g25090 transcript:Ma06_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MASISAAWILPNPWSSPIPRAPDRLTHHFKGCGKSRIGGGFIGEADGIPDAEVSSSLVPALRGKDYGKTKMRYPDYNETQSGLQYKDLRVGDGPTPKTGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFKFKVGSRQVIPAFEEAIVGMAEGGIRRIIVPPDLGYPDSDFNKLGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIVRN >Ma06_p25090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25354595:25361265:-1 gene:Ma06_g25090 transcript:Ma06_t25090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MASISAAWILPNPWSSPIPRAPDRLTHHFKGCGKSRIGGGFIGEADGIPDAEVSSSLEANRSIVTKGTFERRKLLLVSSIGFTTGAFQNALDNGVAVAAEFADMPALRGKDYGKTKMRYPDYNETQSGLQYKDLRVGDGPTPKTGDTVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFKFKVGSRQVIPAFEEAIVGMAEGGIRRIIVPPDLGYPDSDFNKLGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIVRN >Ma11_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24549017:24554454:1 gene:Ma11_g19850 transcript:Ma11_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSASPAAASSPAFAFSSPPPVENPRSPFSKPRPPSSISRPSYLVETPSRPLAHSSSSSRTIYSDRFIPSRAGSNFALFDLSLPPSASSSSSSDAGREDGSGAYAALLRAVLFGPDQGVAPPATPDRSSSAVAGRSPSSSSSTSSSVAFWTPSRNIFRYKAEVRRYSLAEQFEDELPGFSHIHPRAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGVDDSVCSVGWAQRGNHLAVGTNQGKVQIWDASRCRRIRTMESHRLRVGALAWSSSLLSSGSRDKIILQRDIRAQEDFVSKLTGHKSEVCGLKWSHDNRELASGGNDNRLFVWNQHSTQPVLKYSEHTAAVKAIAWSPHVHGLLASGGGTADRCIRFWNTVTNSHLSCIDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTGSDIGARSLGRSHIR >Ma09_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35428833:35431568:1 gene:Ma09_g23600 transcript:Ma09_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCPSSPPQSFIRRRRCRGYSRSHILWEDWKMSTCLMTLVTLHNATRNGHISVEDAHHSWMALAFLFFSGIEDGCGFVVQDSGSMLLSYPLHLMYVMTMMMMCVIFE >Ma03_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2053488:2056038:-1 gene:Ma03_g03030 transcript:Ma03_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAQQHGHEGSKPAMRKPVFTKVDQLKPGTSGHTLVVKVVSSNTVLQKGHAASAHLRHTRIAECLIGDDTASIVFTARNEQVDLLRSGATVILRNAKIDMFRGCMRLAVDKWGRVEVTEPADFAVNEENNLSTVEYELVNVSQE >Ma03_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26708040:26711021:1 gene:Ma03_g21790 transcript:Ma03_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEMILIKSLKRMEARKLGLALLVGFCVGVVACFISMTVSTAKQQLSNLPYVVNASPVAESSNYSQRSGRGHGAEGSNPSSDGATRTNQTSSSTRDDALSGGQTKGSNLESEGDKKTEARQPSKNQKEEIIESKQPEGDKKTEASQPNKNQKEEVNESKQPDGPRISKSTKSRTKAACDLSNPRTDVCDMEGDVRIHGKSASVVLVTDRRPRNSGRTKSWRIKPYARKFDKAAMAHVHEVSVRVSNGLGGIPACSTNHSDPAIVFALGGYTGNYYHDFTDVLIPLFITSRRFDGEVQFVIETTNLWWISKYEQILRSLSRHEIIFFNNDDRVHCYRRVVVGLHSHKALSIDPTRAPNGYSMVDFTKLMRVAYSLERDSPIRPGGAGAKKPRLLLISRQGSRRFTNLGEIVRTAEELDLEVVVTEAKMGSNVADIARVVNSCDVMMGVHGAGLTNFVFLPTNAVVIQIVPFGKLEDISRACFGYPSQDAGLHYLEYSVSEEESSLTELYPRDHAVFRDPKSIHRLGWIKMGEVYLDKQNVKLDVDRFRPLLLKARQLLHQ >Ma06_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4200838:4204394:-1 gene:Ma06_g05650 transcript:Ma06_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSGYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKAAQKKK >Ma05_p29470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40140531:40145251:1 gene:Ma05_g29470 transcript:Ma05_t29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLSRASRGGIARLFVSAYSRREPPVGGFHSLRESAAGSVIKYVPFQRSQLLRQSILTNTTLQRFGYSSSASPQPNQSVDHDNDSENTSEVGNRTDADEFSDEKPELSFDELVKLVAEKEELLRLKHKEIEKMQDKVLRSYAEMENVMDRTKREAENAKKFAIQNFAKSLLDVADNLGRASSVVKESFSKIDASKDTVGAVPLLKTLLEGVEMTEKQLSEVFRKFGVEKFDPINEQFDPHRHLAVFQIPDASKPPATVAAVLKSGYTLHDRVIRPAEVGVTQSLTNEPAEGSNGQA >Ma02_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18489944:18494627:1 gene:Ma02_g08000 transcript:Ma02_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGVVRRQPPPLGGFSLQQLRWGRPGMTRVPPLGKKGVEGKSEWWAVDGEMHEIGEHVPHRERFLIPRDNLPNKRRKQMREQFMRRTRLVLKDSEHEPWCKKYMELYQELRENWERLYWDEGYSKKIAQDHANYESSEDDDLDFSPYRQRQSHAELNKEQGLQGNETRQRVSQVRDKFEYDRERRMRDRAFAPMNTDNNFNFQDRSSRVRPFNAKKFFP >Ma04_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8534662:8535607:-1 gene:Ma04_g11970 transcript:Ma04_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSPEALRAPSCFLIRQCNSKAFEWKKVTSIYASQLLLAIEASRNLKFVVQKWIRTRQDQCKDIGVILGGEKYATASFTFLPLSSSLLYAAIKLPTSFCILLRPAAIIRVIRGTGEEETAARNEVGCVWAAEGEFQRDRIDLCLLNSFERSCDSSGISHLLLNVPPSLLGLESSSSRLEWRFLDMIFTEFFPKQENVHDPIERQIDLNDM >Ma04_p36230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34661551:34662891:-1 gene:Ma04_g36230 transcript:Ma04_t36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIDKCYTSLLLFPSKSPLKPSPSPSSSRHFEETLALRLSSLLPIPGHSLQVPLPWVAGAVHLLALTLASAAALVSDHSLRGPDPDDLVALLDAGVTLLDACNAASAEVARLERWLLPLRFVLRLLSDEESLTKERIRRAQKAIVEWESAPSCEIEGSAGKTIRIMAPDEPPRGKASVVRRATYAVEAVSRLVTAATMGAIGKGEAALPHDIRVSSDWPWAEAYNEVAAKVSARIGTVPPSELEAVEASVRKLKAVICWEEHGDRMERLGMAVAALESATEEMTDGLDRLTGSVNGAFHAAMGTRNAALSRLRSAASRHRKQLLHAKRKHY >Ma05_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9537189:9538359:1 gene:Ma05_g13160 transcript:Ma05_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVSPCGACKFLRRKCARDCVFAPYFSSEQGAARFAAIHKVFGASNASKLLLHVPQAARCEAVVAIAYEAQARLRDPVYGCVGRILALQHQVSSLQLQLLQMKAQLAQCMLSPQSTGNQWQGNDGSSLFRPCSPAWESMSSRGSFTFTDQDRNCLLPLQDVFSKESGKSRATQAETGELQDLAFRMTGEL >Ma08_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3799736:3801646:-1 gene:Ma08_g05580 transcript:Ma08_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSCRLQQETIYRELEDVSSKEWEFIDMSEQEEDLIHRMYRLVGDRWGLIAGRVTGRKAEEIERFWIMSYGEYFAEKRLKREAGCSGRATS >Ma08_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8467746:8474031:-1 gene:Ma08_g11480 transcript:Ma08_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKQASKLREQVARQQQAVFKQFGGGGYGSPDSLFADAAEYKQNQKIEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLSEDSRKYGVGNTCTSGNTLSNAALSYARARSQMENEHGNLLKALGTQVAEPLRAMVVGAPLEDARHLAQRYDRMHQEAEAQATEVSKRHIKVRETAGTGDNISKLEAAEAKLQELKSNMAATGKEAVAAMAAVEAQQQRLTLQRLIAMVESERTYHQKILQILGQLEAEMLLERQRIEASPNPVSENFTPPPPSYEEASGFFANSAVDGATETVEYFLAEVINSYQAETDVELNLSVGDYVVVRKVSDNGWAEGECKGKAGWFPCAYIEKRERVLASKIASML >Ma08_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32328260:32335919:1 gene:Ma08_g18750 transcript:Ma08_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMAENGNQLKHLGHQMHNQNSPSTQSTGQPHQEVSETSDCNNHEQHISSQSGVNNTPKKPVECHMKAVLSLGASEATYAPPKLDCSQPFACISYPYADIYYGGIPAVYGPHAIIHPQMAGVASYARVPLPTEPAAEEPIYVNAKQYSAILRRRQFRARLEAQNKLMKSRKPYLHESRHLHAMKRARGSGGRFLNTKQQQQQQEDAPPSAIMVCQDAPTSKYLSGGHPTGSSATLTTSNMMMASTSGSRTVQQHQFGFSSSKYRPHATVSVQGGCSKMQNGSEHRVPPMR >Ma08_p18750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32328247:32335919:1 gene:Ma08_g18750 transcript:Ma08_t18750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMAENGNQLKHLGHQMHNQNSPSTQSTGQPHQEVSETSDCNNHEQHISSQSGVNNTPKKPVECHMKAVLSLGASEATYAPPKLDCSQPFACISYPYADIYYGGIPAVYGPHAIIHPQMAGVASYARVPLPTEPAAEEPIYVNAKQYSAILRRRQFRARLEAQNKLMKSRKPYLHESRHLHAMKRARGSGGRFLNTKQQQQQQEDAPPSAIMVCQDAPTSKYLSGGHPTGSSATLTTSNMMMASTSGSRTVQQHQFGFSSSKYRPHATVSVQGGCSKMQNGSEHRVPPMR >Ma06_p31860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32875079:32881309:1 gene:Ma06_g31860 transcript:Ma06_t31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKLSAGRMNGFEGGLFDDSDVEDEFQSCYTEDDEWQDSEESLAEGSKDDLDEFSLRMFFKGVSASEGHGKGSGVSGIGVVMERSPGVPLIQVQKKLDFHVDELVAEHLALMDGLLAALQNGSRRLYAFTDSEDLYYQIAETEILDDQLLIALGHRILELADKLEDFDLQLVSSFELERPLCLAKEAIGDWSVDNCPICGKEKPSSKMIKMNCLHKVCSDCMVIYVEHKLRTSQVPIRCPQVMCKNIISTSECKSFLPVATYVLLERFSMEADTDNGDRIFCPFRNCSGLLNPVYYLSSRASSSAQSDINRIECPECHRDICIGCQVPWHSLMTCVEYQNLPAAERDAEDNRLHHLEQNTRRRRCQQCSRMIVLTDGGYHMNCWCGQEFCCSCGAEYRNGIQTCHCAFWGENNLEPSATPSDQESERWTWESFESLPAVMDEYSEQERAQLAMIQRFLAGGFGPSDHHLPPSRSPPCSDSYMDTMKDLHQLPWLERFVSVISDSYHEDFLQ >Ma10_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4240738:4241264:-1 gene:Ma10_g01190 transcript:Ma10_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCRSSLSCVDVRESTRASYKNLYKWPESDAEFVKSMAAGRRRGERGDPSGLNSGTNLEERRRPSAGPSVVDSYSCRQLYLRSYVFTKEETVSEKMKWYCFRKEKKTAAVLPIFASGSTVSSGGADVHTKRNGKHKKTKKDCAALMKLRDFLHRLLCTAGVDVVDRD >Ma04_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13295868:13313507:1 gene:Ma04_g15780 transcript:Ma04_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGWAASGVVAGWAAAATVVATGVSSSKRTGDCFAAARGLQPMRCPVSVTKGQSKGEWQRSFSPEPRGSGGWEASGGHLTVAGSGGGLARRRQHSRCGYDRRGAAATEEALFLQLLQRGATATGGCGCDPRGGLSSRCSMTSSSIGSIIR >Ma01_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6365981:6368269:-1 gene:Ma01_g08870 transcript:Ma01_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFLLPLLLSFPLASSADAGADTVALLDRQESQLQRLETLVESLSETVSALQSSLSACLSARSDRSSDLPMDLETIIPVLSTPQAASAAVAEGAARSSAVSVTKHKTSWSERFLFAAAVRLEASAAVATVLPYEELDGLGKYFAVGDTHGRVYVFSSTGDVVIELPSLSDSPVTSMLSYLSSRRNESLLFSGHADGSITAHRLHESAASGDDWLTLSVGSSKPFIRGSRELDSPPVSRLEVHQVGRVRYVLASDGGGRIRVFTENGTLYGTAIASGPPLAFMKQRLLFLTETGAGSLDLKSMAVRETECEGLNGSVAKAYSFDLSERLKAYGLTAGGDLIHVVLLGDVANLKCRVRSVRKSEIDGPAAIRTIKGYLLVASYDKFFVYNISSQFPGRVGAPRPLFSAAIHEMQSQFLNSDASADGPLGAKPMLAADRGKLVVLGLGNGYIGIYRSNFPAFGLHANTVVWSGPALLLLLFLIGILWQFYVKKKDSLGWTTEESFNAEDASSSSSSLLGSGAADRAYVDGVRAGDLRESRGAALRGPPRRYVSPSRHPGGSGMPFRSGCADPGFRGAGELKFRGQSMEPAGFAKRREALFPNSQVADDQID >Ma11_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:949902:952587:-1 gene:Ma11_g01340 transcript:Ma11_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSLPPGFRFHPTDEELVAYYLKRKINGREIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATRAGYWKATGKDRRVSSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDENECENASAGLQDAYALCRVFKKTAPGIKHSGLPADRSPTVDVSSEGRVDDLESCGVPFPNDVWSSEMVHGQGAFLGASTPVDGRWMQYLTEEEEAYSPGSFSYMPSKVDVALQCARLQHRLSLPPLEVEDFSQINLTESKILHSGNYGDTGNQVDIIQEILSVASASQELINNSGCHDMWVGANPHGEEEFASFFELDKGSDGIQFPQTSFMSSLRSIAKSSNVGELPTKLFEISDLEEEFKEEEKRVEHLRDSFGS >Ma03_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:962409:965029:-1 gene:Ma03_g01300 transcript:Ma03_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSADDRDRKLPSATDIKPVFITKAERQRLALQRREEAVAEQRRAVLDLLQQNHHHPPKPPSSEDPQPPSDNNDRRDRDRDRDRDRDRGRDRDRDRDRERERERERERERERDRDRDRRARDREREREDEARSRERARAEKQAERERSKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNALYQNPHEARLLFGRGFVAGVDRREQKKQAARHEKETREEIRRKEGLEERPEEAAALRKKEAAADLYDSFDMRVDRHWSEKKLEEMTERDWRIFREDYNISFKGSRIPRPMRSWAESKLRTELLKAIEKAGYKTPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYITRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFKLRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKTTDLITQRVIMMKESEKLPTLQKLLDDLGDKTAIVFCNTKKSADARAKDLDRFGYRVTTLHGGKSQEQREISLEGFRNKRFNVLVATDVAGRGIDIPDVAHVINYEMPNQIDMYTHRIGRTGRAGKTGTATTFLTLHDSDVFYDLKQMLIQSNSPVPPELARHEASKFKPGTIPDRPPRRNETLFAH >Ma08_p31300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42256732:42259231:1 gene:Ma08_g31300 transcript:Ma08_t31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGGRRAFFSTQTPSTPASELGDLLLAAALSKSFSRSPPAAPALDGPLHEAAALHVLRRPSLPAASKLSFFRWATSSGGYSPSPAAFSALLRSLTRSRPPLLDPLRPLLRQALSSSPAAVDPPTFRSVLDAFIRSGRFDSAIAALDDAEELAGSRAAAGLLTPRTYTSIVLALLQKSQLPLALVLLRKLLRASALPDTLACNQLLAALRKADRRDEFRSLFDELSQKGFLFDTWTYNICIHAFGSWGQLGVALSLFKEMKSKGPRVVPDLCTYNSVVGALCVAGKIADALIVYKEIKESGLEPDKFMYHTLIYGCCKAFRVDEAVRVFNEMEYNNVRADTVVYNTLLDGLMKVRKLDKACQLFERMVSDGIRASCCSYNILIDGLFKNGRQAAGFVLFTELKKKGQFVDAITYSIVVQHLCKEGRVVEALELVKETEERGLAVDLVTITSVLIGLHKSGRWDSSEQLLKYVRDTAMLPNVLRWKANMDSLMKAPQDKGKDYTAMFPSAGSLSDIMSWINPTPSTDTADANSEAESKDEWSLTPYLDKLADKCDSFGTNSRPLTDFRGLRVQEKRGGSFDIDMVNTYLSIFLAKGKLSLACKLFEIFTTLGREPMSYTYNSLLSSFVKKGYLADAWGILEDMGDKNCPADIATYNLIVQGLGKMGKAELACAVLDQLSSKGGYLDIVMYNTLIHALGRAGRLDESNKLFEQMVGSGINPDVVTFNTLIEVHAKAGKVKEAYMFLRKMLAAGCSPNHVTDTTLDFLEMEIERLRYQKASIKRDREEVDSE >Ma04_p28180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29274392:29279915:1 gene:Ma04_g28180 transcript:Ma04_t28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVGAAASAMDVEREMEEDELSPIEQVRLTVMNSDDPSLPVWTFRMWSLGLLSCCLLSFLNQFFGYRSQPLIITQITVQVASLPLGHFLARVLPTTRFRLPGLGAVSLNPGPFNMKEHVLISIFANAGAAFGNGSAYAIGIVNIIKAFYGRSISFIASWLLIITTQVLGYGWAGLMRRYVVEPAHMWWPSTLVQVSLFRALHEKEDRRMSRAKFFVIALICSFTWYTVPGYFFPTITNISWVCWVFSKSVTAQQIGSGLQGLGVGAFTLDWAAVASFLFSPLICPFFAIVNVLIGYALLMYVAIPLAYWGFNLYNAKTFPIFSSDLFTAAGQPYNITAIVNNQFEINMAAYEQQGRINLSMFFALSYGFGFSAITATLTHVCFFYGREIYERFRASYKGKPDIHTRLMKKYENIPEWWFYVLLAVTFTVSLILCIVLNDQVHLPWWGLIFACGMAFVFTLPISIITATTNQTPGLNIITEYIMGLIQPGKPISNVCFKVYGYMSMAQAVSFLADFKLGHYMKIPPKSMFLVQFIGTIIAGTINLGVAWWLLGSIENICHPGLLPPNSPWTCPSDRVFFDASVIWGLVGPRRIFGPKGNYGSLNWFFLVGALGPIIVWLFHKAFPRQSWIPLINLPVLLGATGMMPPATPLNFSAWIAVGTAFNFFVFRYRKKWWERYNYILSAALDAGVAFMGVLLYFALSMENKSITWWGAEGEHCPLASCPTAKGVQVDGCPQF >Ma10_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25383326:25383469:1 gene:Ma10_g12130 transcript:Ma10_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLIIIKLLLNLVVCTALQALLVARSCGHVHHRSHHTLPDDFHIS >Ma02_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4408749:4410949:-1 gene:Ma02_g00320 transcript:Ma02_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCSRPSFVILLVVLLLSVDDSRSQAPAATPTPAPVPAPSPDAICNGIYLSYVLEKREKIHPFTSDPADQPYSFGATATVLNHGASDLLSWTLLIPFRHRELIVSVGGGVLTNGSTFPYNTTLDANATAFSGYPNTDLKTAIETANDLSQIEAKITLVGTFFGSPPPSVPLPEFLVLDDPSYSCPRPTVYNDSYAVDTCCLPNPNYVPKEINVTGFLPRLSGDLIISYDVLQSYGSSYLALVTIENHNPLGRLDRWQLSWEWARNEFIYSMKGAYPSVVDVSDCVFGKQGQYYQDLDFSKVLSCKRNPTIVDLTPWQYNNTDLGRIPHCCRNGTILPPEMDPEQAVSAFQVQVYKMPPDLNRSVLFPPVNWNISGTLNPDYQCGQPNRVSPTAFPDSSGLDSDSLALASWQVVCNISRPKGASPKCCVSFSAFYNDSVVPCKTCACGCRAGTSGPTCNATAPALLLPPEALLVPFDNRTAKAVAWAEMKHYNVPSPLPCGDYCGVSINWHVLTNYNKGWSARVTLFNWREDQFADWFLAVRMDKAYAGYEQMYSFNGTAMGDNTIFMQGRPGLDYLNGEANGNNPDNDPRVPGKQQSVMSFTKTKTPGIDITAGDGYPSKVYFNGEECSMPEMIPTNWAPRSGGGIGLVTLLLLVLAVVALVILEP >Ma09_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5902867:5907184:1 gene:Ma09_g08870 transcript:Ma09_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARIEEAIASIPEKKESLRRALEALRTHLSSSSPSPFSVPEWKDLDAHISSVEASIRLRFQALLAKEAAQPSSSPSDSKEKPSNEWQPHANPEPEAVPRPQLTSLCVNMDGKDLVSFIARNRKDLGAIRSELNPALRSAPDPAKLVLDAMDGFFSSPPPPPKGDKKGDKDADALATRRTCINLLERIPVIGPEIGPSVRDQAKKLATEWKGKVTDGGRENGLEAMGLLQLLVSYGLASEFKVDELLDLLILVSRRKQAVDLCKSLGLTENVPELIERLNNKGRQLDAVKFVYAFNLVEKYPPVPLLKAYIKEIKKAAQDVRNKGNNSSESENVATSKELGALRSVIKAVEEYKLESQYPREFLEKQVAKLEKRKEEKKRNVAAAAAASNFKIQKQQHPNKRPRPTATTSNGITGQSLPPGITKQPQFGLADPSPHMGLAGAYGFASSGSLYNNASISGFRSPPRSYLYSSESLAGTSGFYDRPVNYTGYPGSRLPPPYNSSLYP >Ma10_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32450762:32451376:-1 gene:Ma10_g23630 transcript:Ma10_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAGFNTTAVVVPSAAAAAAAGGGGGHSEWHSPVPYLFGGLAAMLGLIAFALLLLACSYWKLSRRLGGRHGGDAEPDPKTWVGVAMPAACYEEKVVVIMAGDEKPTYLATPISSPASSSFGDHCNKGDHYNDEEEGKKIEDCTGPGNETQNKEQIRSQSENQNLGA >Ma01_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10628793:10629121:-1 gene:Ma01_g14550 transcript:Ma01_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAETEGQERGSRTSQRPFEYMINGQRKTGGASRLTHNGRR >Ma10_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30426180:30428434:1 gene:Ma10_g20140 transcript:Ma10_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSQSSEKVKLLVAVLALQFSYAGFHIVSRTALNMGISKLVFPVYRNVIALVLLAPFAYFLEKKDRPPLTLSLLCQFFLLALCGITANQGFYLLGLYYLSPTYASAIQNSVPAITFAMAAALRLEQINVNSRYGVAKVVGTVASIGGATIITLYKGPPLLNHQQHSKLFLGASSNTILNWTLGCVYILGNCLAWSGWMVLQVPLLKKYPARLSITTITCFFGLIQFLIIAAFAEKDIERWKVHSGGELFTILYAGLVASGVSFSLQIWCIDRGGPLFVAVFQPVQTVVVAIMAAVILGDQLYSGGIIGSILIVLGLYFVLWGKSEEKKPTKEALENNDLRRLLLDQENLHKENAAVTDIP >Ma06_p37380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36433567:36434907:1 gene:Ma06_g37380 transcript:Ma06_t37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELLASNNERSWCRCTVLLMVKYVASPLNCRLSVKFSVSDRY >Ma07_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7617553:7632765:-1 gene:Ma07_g10170 transcript:Ma07_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFDFSIPFLERDSGGGGGGGASSENKARKDARLRAVVRAMELGYAGVAYDRPFRGVLSDADRCKIAPFPLSSLLKAAPALAASAAFHRDLLGAPLASPFRQYTRLTISAHCAASAVALNGNALLRTYDLVALRPLNQDAFDKACESSEVDIIAMDFSQKLPFRLKLPFIKLAIQRGLYFEITYSHLIADVHVRRQILSDAKLLADWTRGKNLIFSSAASTVNDVRGPYDVANLAVFLLGLSLERAKAAISGNCRSLVANALRKKHFYKETIRIERILPDEQSNSKRFWFDDWNEWDPLSSGNGDLPSLDNIPKMFSSTSKPPFSSNSIDFTSIFSESPFLFGENAALTSSIKTFGSPTAPNEIPAAVADDATDQVAIDESSLLNKISMTPTTLSQQKPACEDVLVSPDDMATVLADSKESCQSFELSERLKISDECMVSHTGTLPSATAVSKASNYTYNDDLLLSNADQTSSAVTEKKPSNMLVEVQKSSDQFYNHAIETSNDAAEFVECIPDVAGDQMSVDDEGHFFADVVVKKDIPKIVEQEQKRHGDHCMTLQKCLIQPVSSNIGASLISSGEDILSKGALKETKEQKEGVPFFKIDALVEKNTTVVKDEIQKSETKSGRGNHRERLSYPAYPLPFKSLFKPLLFQKKLCKPKRKRKHKPVPFLRLLKPKCEASAMFSSFSSSGDPSLHHPEFCSATSPQGLFPDANSAFPSSSPPCTSFSSESYLHGGGGTHSLPFHHHYIPDSLNQPLYSSSPSSSSCDYLDFNAGPVRRVLSTGNLQGGNGLHENSSQEGGVAGKVGRYSAEERKERIERYRSKRNQRNFHKKITYECRKTLADSRPRVRGRFARNGETETEAAVEMETGTDTGAAAVNCFDNYEQNQLGGNGSDCWRQLQAALAMDDEDEYSYDEEFLASFSDFYSMNTL >Ma10_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25847744:25854432:1 gene:Ma10_g12840 transcript:Ma10_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCHGKPIQSPELQEESSPVRDISEPTPVPSTPQQPKFPFCSPSPLPGSYKNSPANSSVNSTPLRFLKRPFPPPSPAKHIKALLARRHGSVKPNEASIPEGSEVEVGLDKNFGFSKQLFSKFELGEEVGRGHFGYTCTAKVKKGDMKGQEVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHKNLVQFFDAYEDEDNVYIMMELCKGGELLERILSRGGKYSEEDAKTVIVQILSVVSFCHLQGVVHRDLKPENFLFTSEDEKCTLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDESPWPSLSSQAKDFVKKLLNKDYRKRMTAAQALCHPWLRNPEEVKIPLDIIVYKLVKAYICSSSLRKSALRALAKTLTVNQLYYLREQFSLLGPNKSGLISLQNLKTALLKNSTDTMKDSKVLEFVNMVSSLQYRKLDFEEFAAAAISVHQMEALDTWEQHARQGYEFFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSLLGFVKLLHGVSSRTIPKP >Ma07_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:264045:271048:-1 gene:Ma07_g00300 transcript:Ma07_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLPPPTPPPDHQLPPSDESPPADRPPPPSSLPTPAVQHEQEQGREEDGGDGGEGDAGKEPPEVPQEQDDSDGRNAGGQSSDEEDEDDDEAVKLEFVPVKLADIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEDKFRNKKIQAYIAETFRRQSEALGRRRSTSKAATPFGRRSQGSYRNHLRGRGRNIGRDMAVAGSDDDEEEEANGNDGTKDSSSADEPSPDRRPKRCKRWGAPRSSPARTVGSVDVGSEENDDFEVNKEPLGTSPLRAGNRDMLAWGKNGTRSQTRHGNTSGANGRLVKGGRMAKLVDYLRSLDDADDEFDVHLTLVPLDDGSMPNLEQPYLSCRPTLSIGHLCQFIALQTSVQAEELEIYARKPRSGTLGGGSSSSMDDAPTVPCIGLQILEGHESLATLIASFTSERGELVLVYRRKAQYSAREVLNIT >Ma07_p00300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:264045:271030:-1 gene:Ma07_g00300 transcript:Ma07_t00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLPPPTPPPDHQLPPSDESPPADRPPPPSSLPTPAVQHEQEQGREEDGGDGGEGDAGKEPPEVPQEQDADSDGRNAGGQSSDEEDEDDDEAVKLEFVPVKLADIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEDKFRNKKIQAYIAETFRRQSEALGRRRSTSKAATPFGRRSQGSYRNHLRGRGRNIGRDMAVAGSDDDEEEEANGNDGTKDSSSADEPSPDRRPKRCKRWGAPRSSPARTVGSVDVGSEENDDFEVNKEPLGTSPLRAGNRDMLAWGKNGTRSQTRHGNTSGANGRLVKGGRMAKLVDYLRSLDDADDEFDVHLTLVPLDDGSMPNLEQPYLSCRPTLSIGHLCQFIALQTSVQAEELEIYARKPRSGTLGGGSSSSMDDAPTVPCIGLQILEGHESLATLIASFTSERGELVLVYRRKAQYSAREVLNIT >Ma01_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2448530:2453866:-1 gene:Ma01_g03690 transcript:Ma01_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRRKAERVEHLLGLLKVRVLRGVNLAYRDARGSDPYVVLRMGSQKVKTGVKKKNVNPEWNEELTLSVSDHIQPIKLEVYDKDTFSRDDQMGEAELDILPLLEAAKMDLSGVPDSSIITSVKPGRQNCLVGESHIKWMGGKLVQDIILRLRNVECGEVELQLTWVKNSGGSSYGNKSFMHQ >Ma06_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1293631:1297881:1 gene:Ma06_g01590 transcript:Ma06_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43640) UniProtKB/Swiss-Prot;Acc:O04421] MVLLQPDPFLNELTSMFERSTEKGSVWVTLKRSSMKCKATRKKMETAGETIEYRCLVRATDGKKTISTSLSARDYQKFQASYATVLKAHMNALKKRERKDKKKTAEPEKKQASSKKKSEPFKKPAAPASKASQ >Ma06_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11830839:11834569:1 gene:Ma06_g17400 transcript:Ma06_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVSIGQVLLSVRCKDSNSNNAQEALRRAKFKFPGRQKIIISGKWGFTKFSRADYLKWKSENRIIPDGVNAKLLGCHGPVANRRPGRAFLPAPMAESS >Ma04_p32990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32840769:32843745:1 gene:Ma04_g32990 transcript:Ma04_t32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLRPLARLPSPPPPTSTGRALSSSFLPAFSIPSSSSTYSSLPFLRFRRPLPAVRAMAPPPKPSGKTKKVVGIVKLALEAGKATPAPPVGPALGSKGVNIMAFCKEYNAKTADKAGYVIPVEITVYEDKSFTFILKTPPASVLLLKAAGVEKGSKEPKQEKVGKVTIEQLRAIATEKLPDLNCTTIESAMRIIAGTAANMGIDIDPPVLEPKKKAVV >Ma02_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23926778:23929833:1 gene:Ma02_g16650 transcript:Ma02_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSDVSAAASAAGGFIPDLPDDIAVRCIARVPRSHHPALALVSRSWRSLLRSPLLSAVRSHLAVTDPPVLALNLRSPTDQAPWFLLDPLLRRSGKKSPPPLRLPPPPLPAIGSACAALGPALFLLGGSVAGVPSPAVQVLDARLRRWSLVPRMSAAREFAAAAVLGGRIYAIGGCLPPADAWAESLDPAIGPTGGGWAVVPSPPLIREKWMHGCAVLGGRILAVADRGGVVYDPVAPAGEARWGPVPPVLDNGWRGRAAAVGGILYSYDFLGKIRGYDLESNEWKQVEGMEKELPKFLCGATLANLGGLLCLVWEGKDLASGNKEMVIEWAGIEVSKTTDGKLQGSLLWQEPVVLDAPKGSSIAHCIALEL >Ma08_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34770011:34770097:-1 gene:Ma08_g20640 transcript:Ma08_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGFLYTDVAEWVNGQVVQVNDGFI >Ma09_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3625505:3637508:-1 gene:Ma09_g05670 transcript:Ma09_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFGFGASSAADSMTVVNTPTQDLALTNFAYCSPADMNKFATPGSKHVLIFVGDSVVLTLRAHQNIPSDHIALNAIQRRHTRVSSGDQISVSRFVPPDNFKLALLTLELDFVKGKTNRTEQLDAVLLAQQLQKKFIDQIMTTGQKVLFEYCGTNYIFTISQALLEGQDDSKGVDRGMISMETYFVFEASPNSGIKIINQREAASSKIFRHKEFNLLKLGIGGLSVEFTEIFRRAFASRVFPSHVVSKLGIKHVKGMLLYGPPGTGKTLMARQIGKLLNGREPKIVNGPEVLSKYVGETEKNVRDLFADAENDQRTQGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVHVEINLPDENGRLQILQIHTSKMKENSFLAADVSLQELAARTKNYSGAELEGVVKSAVSFALNRQISMDDLTKPLDEESIKVTMDDFLHALHEIVPAFGASTDDLERCRLNGIVDCGERHMHIHERAMLLVEQVKVSQGSPLVTCLLEGLSGSGKTAMAATIGIESDFPFVKIISAETMIGLSEGSKCAQIVKVFEDAYKSQLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKGKNMLVIGTSSEVGFLESLGICDAFSVTYHVPRLNREDAKKVLQSLSVFSEDDLDLAVEELNDISIKKLYMLVEMAAQGSDGKSAEAIRSGKEKIDLNHFYDILQDIL >Ma05_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20241138:20241407:-1 gene:Ma05_g17420 transcript:Ma05_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVFSLRCTPTSAFANTRVEWKETPEAHLLKADLPGVKKEEVEEGERVLQISGERHKEEKRDKWHRMERRGGKFPRRFRLSEKQGWIR >Ma07_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9580361:9583777:-1 gene:Ma07_g12740 transcript:Ma07_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTADRGRFAEIVVIRHGETCWNASRIVQGHLDSELNEIGRQQAVVVAGRLSKEPKFQAIYSSDLKRAAETANIIAKVCNLPEVILDPGMRERHLGDIQGLTLRDAAKLKPEAYKIFLSGKRDGEIPGGGESLDQLNERCVSCLEKIASKHQGERVIVLTHGGVLRELHKHAVSGRSSDGRIHNTSVNVFLISESGNWTIKTWGDISHLHEIGVLNNAFGGDRTSG >Ma03_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7917077:7918243:1 gene:Ma03_g10510 transcript:Ma03_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPASNNNMEKTSSELPPDLFMEILSWIPASALLKLRCVRKHWYSMTTDPVFIRFHLQRQQLLPQVTSVLTFHKRLNDGAALSLLDVVDAPWAAKHVAVWDNHPLLNISSPCHGLICLYHIYMEPDVCLYNPATRKSFSLPQNFTSEDILLSAFCLGYHPISRQYKVIHVFYTRSNGLGMEVLTVGGSTWRKVDVSCARTTFTSIKMGRPSATGTMYWLALRQETLEDIILSVDLDDERLIEVPLPQTERHHEGGHKSLTELEGTIHLVSHWFAKANWMDIWMLRESGAHRLWIHRFHLRLCALPRGVRPMERELRPPMPLLINQGKILMRDCRRLVYFDLAIEGLQHEVVIRAYDDFIAFVTVESLVSF >Ma08_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33315602:33323842:1 gene:Ma08_g19550 transcript:Ma08_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSERVYGQLAKMDSTESRWVARDEEEDSDEEGESLSQRMGLESEEEDDNVEQRLIRTAPRIDSFDVEALEVPGAPRNDFEDDSLGRHIVLALQTLGVVFGDVGTSPLYTFDVLFNKYPLAQKEDVLGALSLVLYTLILIPLVKYILVVLWANDNGEGGTFALYSLICRNAKASLLPNQLPSDARISSFRLKVPSPELERSLKIKEYLENSLLLKKLLLILVLFGTSMVIADGVVTPAMSVMSAFSGLKLGISSVEQDEVVMISIASLIVLFSVQRFGTSKVGLAVGPALFIWFCSLGFVGIYNLFKYGTDVLRAFSPVYIYYFFQRNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFLFLVLPCLILGYLGQAAFLMENFTENQQVFFSSIPSEAFWPVFLIATIAALIASRAMTTATFSCIKQSIALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVFCVAFVATFGNIYEIGNAYGIAELGVMIMTTILVTIIMLLIWQINIVFVLCFLTFFLGLELLFFSSVLGSVVDGSWVMLVFAAVLFMIMYIWNYGSKLKYETEVKQKLSMDLMMELGSNLGTIRAPGIGLVYNELVKGIPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQTERFLFRRVCPKSFHVFRCIARYGYKDVRKEHHLIFEQLLIESLEKFIRREAQERSLESDEDRDTDSETEVSCSNILVAPNGSVYSLGVPLMAGYSGIDRTISEASTSFDEPRDETVTDARQSLERELSFIHKAKESGVVYLLGHGDIRARKESWFIKKLVINYFYAFLRRNCRRGIASLSVPHTNLMQVGMTYMV >Ma06_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22513160:22515556:1 gene:Ma06_g24140 transcript:Ma06_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFCLPSALSAPYKGPGHFLLHRPTRNHALLGRIRFPRSRPRPHTVSFSHVPPPPPRPEEDRGRAGRARPRKGVAVGAAVVAAVACALGAVYMSRGAPVAGGPGSAMAEVTAGGDGKSVTMTAANTGCSPWGVADHKCGDVGTGEQRTPNMVREILFGTSCKKPWVSLIDHAFTEVLPPSKRLQLMKLIYQLDQSTQSKKGQKLSEIFSSNWLSGDEKHEVGITLAQVYIDLKNYHMAKEVCELIYGDLPRDSRPPLLMAVIHMMLAVETLLSMNTVFDDPEVQKLIDSTKNYWKEYKDLESKGLGSEPPETEKENASISNPRQDGMHYENTEVISRNRTVMKSEV >Ma08_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2509557:2509971:1 gene:Ma08_g03460 transcript:Ma08_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWENVSDKNTFSRGDKMGDAEIHIHPFVEAVKMNLSDIPNDTIITTVKLNRKNCSADESAMVRKEGKVVQDIILRLRNVETGELELQLLWIVPCPVLQAYRPTYVFLQKLQ >Ma10_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32241957:32245417:-1 gene:Ma10_g23190 transcript:Ma10_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRAADKQSSYTYWVREATEDAAPRPVPRKLTADDLSKQPRSAVLGSVWNQAGTWEERNLNSWASNRIKELLKSLGSLEFSSGKAYIEEVTKCSGDAFLVTVRNKKRVGYTYEVTIKFKGDWLIQKENKKIKGHLDIPEFSFGELEDLQIQIRLSEDKDLSTDDKMKICNDLRLFLAPIRKKLEVFEQELRDR >Ma09_p25550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36975500:36980842:1 gene:Ma09_g25550 transcript:Ma09_t25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLWEKSATWRWLVQRTRDSKPFFFTFATVCGIVPGVIGYCVMQLTSSRNEQLEAHLRQTARPESTMMGQVNRERLAEFLGELQRKEDTNDRYVAALRGETLTRKPYVRIQPVPKENSEESNKETSKEK >Ma02_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25021259:25022978:-1 gene:Ma02_g18570 transcript:Ma02_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFNSVGELVTLALFFLHFVGGDAQSSAALVPALITFGDSTVDVGNNDYLKTVFRADFPPYGRDFKNHEPTGRFCNGKLATDLTADTLGFTTYPPAYLSPQASGKNLLIGANFASAGSGLYDETSYLSHAIPLTQQLEYYKEYQSKLAHVAGSSQAKAIISDALYIVSTGASDFIQNYYINPFLFKTQSPDQFSSFLVDIFSNFIKNLYGLGARRIGVTSLPPLGCLPVTITLFGHGSNRCVTRLNSDAQNYNRKLNAATNSLAKHLPNLKIAVFDIYKPLDDLVTKPSDFGFFEARRGCCGTGTVETTSLLCNQHSIGTCPNATGYVFWDSVHPSESANQVLADSLIVEGINLIL >Ma09_p27730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38652298:38658695:-1 gene:Ma09_g27730 transcript:Ma09_t27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKSLGVGREDGQAQPPPPPTLLSRQGSIYSLTFDEFQSTRGGLGKDFGSMNMDEFLKNIWTAEESYAMVAALGDGSGGFGAGAGLQRQASLTLPRTLSQKTVDQVWRGLVEPSSSGQGVAASCGGTDFPRQPTLGEITLEEFLVRAGVVREDMAPSPRPPTPIGNKSNNTNIYYGDLPVVNTSAGLELKFNQAPGRSNGNMANVPIAHGSAANLGVTSTVARPFAPPVPLGDSMGLVSPQGMRGGELGGFGHVGMNNRLMTGMVGLSTAGVMGASGSPKNHLSSDEIVKGNGDLSSLSPVPYVFNGGPRERKRNRSLDKVVERRQRRMIKNRESAARSRARKQAYTVELEAEVAKLKELNQELQKKQVEMMEMKKNQVLQVIKRQHGQKKQRLRRTRTGPW >Ma03_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24454275:24454997:1 gene:Ma03_g18960 transcript:Ma03_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRYRIAILVASLAVVLVAVRCRETSTTGLYDADYRGPETHASLPPPELPRTDPKNSPSRRRDGGRTRIPVHG >Ma11_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21730717:21733017:1 gene:Ma11_g16190 transcript:Ma11_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPADIASPSPTTDRKKKKKKKSKDENILPSPSAGGPGEDQDKDYFIKPQSLTPAVDTSSWPILLKNYDRLNVRTGHYTPLPAGHSPLKRPLPEYLRYGILNLDKPSNPSSHEVVAWIKRILRAEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVAKVARALETLTGAVFQRPPLISAVKRELRIRTIYESKLLEYDPDRHLVVFWISCQAGTYVRTLCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVLDAQWMHDNFKDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGSVARIKRVVMDRDTYPRKWGLGPRALAKKKMIAGGLLDKHGKPNEKTPAEWLRNLVLPTGGDSMVASLAAAPESVAPMDEVAAEEVKVDKKKKKKHKDKDGGCDDEDGGEGRKRKLEDAREVPAAKKVKIEKPQDVILDAESKKLEDVEEVEQAQDEEKSEKKKKKKKKVKEGEEAELADGEKVVEKEKKKKKSSKNKSEAGSSDEEQLTEEKKKKKKRSKEDNNGEETELPRSLTGDTEEVKTSKKKEKKKKKNRDAEGTTA >Ma08_p26680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39179315:39181835:-1 gene:Ma08_g26680 transcript:Ma08_t26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRLIFDSASSSSSRGPLLLWGGGNPVLRAVSPVVVGVAEKGGASKRRPFFTSPDEVYEEYYEEQLPEKKRRLTSEQVHLLERSFKEENKLEPERKSELAQMLGLQPRQVAVWFQNRRARWKNKQLERDFDQLKSSYDALLFDHDALLKDNHRLRSQLSTLAEKLEEANEQGASGVTVLTVDDQAASTADLTVLNIQQKKVEDMLSPGSGGSAVVEAEGGHHLVESSRESYLPENYHCVELVDVVGIHSEDDMSDEGCSYYPDGMFTEHHQQEEVEAQLDWCIWN >Ma07_p06580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4714075:4716965:-1 gene:Ma07_g06580 transcript:Ma07_t06580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYGAMKPTKLGLEEPHEQLHRIRITLSSKNVKNLEKVCADLVRGAKDKRLTVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLVSSSEVVKQITSITIEPGVEVEVTIAES >Ma07_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4714075:4716870:-1 gene:Ma07_g06580 transcript:Ma07_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYGAMKPTKLGLEEPHEQLHRIRITLSSKNVKNLEKVCADLVRGAKDKRLTVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLVSSSEVVKQITSITIEPGVEVEVTIAES >Ma00_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15328034:15328985:-1 gene:Ma00_g02110 transcript:Ma00_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKVSQRLSNAKKAVSKPAGLQSHEDLVRRLQEELNKNRKSGSNSDESWKPMREVACPICTVHLQVQVPASGSQTIECGVCQHPFLVSAD >Ma07_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7062892:7065034:1 gene:Ma07_g09440 transcript:Ma07_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVRSRGVNPIIIKLGVPIGLTFAGFIVAQLSSRARPRVPSPAASTHRYSSGALSQATGRSSVGLKELRLLKGKAALATIVNGTSTTTALRLAPTKTSSGDDECDHTVKDLEASRPKNPAIEEDSATEQEIASLRRLVCSLQENERSLELQLLEYYGMQEQAAAVRDLERQLQMSSTEARLSSLRIESLQSDNQRLEAQLADLPRVMNELESARATIRLLKKKSRSDAEQAAERTASLHQRISQLQRQNNEETEGKLKRLAELEDEAAQLKLVNSSLTEQNSDLTRKLESAQASASSALEAQELQADALEKANFLKVANEKLVKDIEQLKTEKAADVEELVYLRWVNACLRYELKNYKQKSAAKDLSKSSSPESEEKPKLHMMEYADSGIDEKTSSFVDFDSEHSFSSQTSTEESEDAAIEVISSTRHGNSKKMKFIGKLKKLVLGKQTHSSRSFA >Ma03_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6343216:6348520:-1 gene:Ma03_g08620 transcript:Ma03_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRILPSSPLQKLATFKDRPAPTTAASPRPPPAPDASSSPLDAFASDPVFSAFLSPDFDSARFSSQALSSGSAAALAESLQDAVHLLQGHLRSEVLARHPALLSQLASLRSAESSLAAVRSGVDALQSSLRRARQDLAEPRRVVRTHTLQLSNLHAAADLLASAARLLRLSRRLRDLVAVGPPDRLDLAKAAEMHREIELLYQERGLSGISVVEEEIRWLSETGNRLRAEAMKAVERGMDESNQNDIWCGLQVFYNLGELRSAVDTLVSKYKGVGVKSVGVALDMKTISTSSGGFGPGGVQRSGTPQIGAGKRAAEALWERMGRCMDELHRVVTAVWQLQTVLSKKRVPFTQVLFLHEVWQEGDPLLTDRVWEALVKAFASQMKSAFTASSFVKEAFTHGYPKLFSMIENLLERISRDTDVKGVLPALNPEGKEQLVATINIFQTSFLALCLSRLSDSVNSIFPVSSRGSIPSKDQISRIVLRIQEEIEVVRMHGHLMLLVLHEIGKVLLLLAERAEYQISTGPEARQVMGPATAAQIKNFSLCQHLQEIHARITSTTSSLPTIASDVLSPSLGVIYGVACDSVTSLFQAMLDRLESCILQIHDQDYGAEGMDVSMDNNASNYMDELQKCTLHFRNEFLSKLLPSSAARSETICTMLVRRMASRVLIFFIRHASLVRPLSESGKLRLARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVIFLETAQLGGSSLLKDLPPSVVLHHLYSRGPDELHSPLQRNKLTPLQYSLWLDSQGEDQIWKGIKATLDDYEIKARARGDKEFSPVYLLMLQIGSSLTENT >Ma04_p32530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32455827:32458876:1 gene:Ma04_g32530 transcript:Ma04_t32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKYLFFLLIASSLCCIARSTTVSHDGRALVIDGQRRILFTGAIHYPRSTPEMWPDLVQKSKEGGLDAIETYVFWNGHEPRRREYYFGGNYDLIRFLKEVHNAGLYAILRIGPYVCAEWNYGGLPVWLRQIPDIELRTDNQPWKDEMQNFTTLIVDMVKQAGLFATQGGPIILAQIENEFGNVETSYGDAGPRYVNWCSQMADSLSIDVPWIMCQQADAPQPMINTCNGFSGCDAFTPNNENSPKIWTENWTGWFKNWGSPDPHRPAEELAFQVARFFQTKGTLQNYYMYHGGTNFGRTSGGPYIVTSYDYDAPLDEYGYTRQPKWGHLKELHASIKLMEKALTYGEVEEVNLDNELTITKYSGDGVNPACFLSNQNSNLNATIDYEGSTYFLPAWSVSILPDCKSEVYNTAKVKTQTSLMVKKRNTAMEASEVLYWSWRPERLGISAKGFGSTFTVNNLLEQKSVTLDESDYLWYTTSVDVGEKEEFTLSVNTTGHILHAFVNDRLVGSQYGLAGQLNFTFERKVWFNPGRNVISLLSATVGLQNYGAYYDLAPTGIVGGPVKLIGENTTLDLSNYTWSYKSRID >Ma07_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:552991:554994:-1 gene:Ma07_g00650 transcript:Ma07_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELKLCAMRMVGGFVKEASAIGSGDGGRAARLEESIKSLEEEKRKIEAFKRELPLCMLLLSEVIEGLNKEIQRCRGDRFGCVFEEFIPMKSKVEEDGRVKAETDCKDKKNWMSSAQLWSDNHSDNDDDDKAHANIISEEDGGYHRNFFSECKNRNGGGAFVPFKRLSSFAASSKEEEKPPPALPDLSLQSPAIENTPGLVTCAAEDHRVGGCDSKAVYEASESSPATANTHLNVQPPRKARRCWSQELHRRFVLAIQQLGGAQVATPKQIREQMKVDGLTNDEVKSHLQKYRLHARRVHNASATADRPVVGEGLWIPQKQYAGSSRRSASRSGSPQSPLHLAASAHALSITAGDSSEEDGKSESCSWK >Ma04_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11391179:11396967:-1 gene:Ma04_g15040 transcript:Ma04_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNCKSGSHRLFKAKAKNRVDDLQGMFNDLQSARKESRIADIAVLEEQVHQMLREWRAELNEVSPACSLQGNVKESSDLFSETLRLLQLSEEEDDATSKPAELALRSTPKPEELDVSKPGVGYIQGRENAAFQDDYYVNQEISGHEFPQVYQYESNLPIGPHDVVLNCLEGTTYLDYQLYNLQQEMADHLYDNISVEHDGADVFPHMSDLLPTICPPPSAFLRPKCALWDCPRPAQGSEWCQDYCSSFHANLAVSEGPPGMTPVLRPGGIDLKDGPLFAALNARTEGKNVGIPVCEGAATAKSPWNAPELFDLSVLEGESLREWLFFDKPRRAFESGNRKQRSLPDYCGRGWHESRKQILKEYGGFKRSYYMDPQPLNNFEWHMYEYEINNCDAFALYRLEFKLVDPKKGAKAKLTSNPLADFQQQMVKLNSNKSMDNKKITKNKTKVNQDTVGNSYSTSVVANQQETTASVFHASEQVDSSNKNSACGPSHQYVYSIGSFNDDYIT >Ma05_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1742395:1743325:-1 gene:Ma05_g02660 transcript:Ma05_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQTMFVVLSFAAAALQSAMAADIVVGDEKGWLPDFNYTSWVQGKQFKVGDNLVFKYKAGAHNVMQVWGVEFEACSASAAAIVFATGNDMVALDAPGKRWYICGVGDHCSRGQKLAVDVLPAAMSPASPPSSQPPPPPLAPSSPPPSSSRSPNLIAARTYHALMAAAAAAMVAAA >Ma10_p27100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34491607:34493225:1 gene:Ma10_g27100 transcript:Ma10_t27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKPEASKQYYATSSLVVGYALCSSLLAVINKFAITMFNYPGLLTALQYLTSTLGVWVLGRLGFLYHDPFNLETAKKFLPAAFVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFSSLVIILGGAVGYVMTDSAFTLTAYSWAFAYLVTITTEMVYIKHMVTNLGLNTWGFVFYNNLLSLMMAPVFWVVTGEYADVFTAYGSSSGNWFDFVAFVAVALSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHASSLGLVCLLLTLIGGVLYQQSVTGKRSQRDGSTDSKQANSAVGNVDSEDEKQGKGLSGKDSAV >Ma06_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7910444:7911615:-1 gene:Ma06_g11280 transcript:Ma06_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASQDEEDGPAGSPGAEQRAIPPLPFAPLPRRSRLSGSGLLASPFPVGNCTSFRTIRSSNLPLAGGPDGVGTMAPAGDRHGRAASERPPSPQEESFPQRKRSTRIKNLKDREGGVDRPFSSSAPAEAVRPWNLRTRRPADNAPAENGPYRFPSLASVSPSPFAAEKSCPGKEMVGAGSDASEKGKGGRFTISLSREEIAEDFWKIKGMKPPRRPKKRPRNVQRQIEDIFPSSWMLVVAPERYKVNKKIVSSTTKGYVTRSSVYDFEI >Ma07_p25900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32850613:32874876:1 gene:Ma07_g25900 transcript:Ma07_t25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIQKERRGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGQEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLKAVGEPKTKPTQHSVRGLRGLGLTPNILACRSEKPLDVNVKEKLSQFCHVPVANIITLHDVTNIWHIPLLLREQKVHEALLKLMNLQGCAKEHMLEEWMSRAKLCDTLHDPVRIAMVGKYTGFSDSYLSVLKALLHASVACRKKLVVDWVSSSDLEETTAKEVPESYKAAWKLLKGADGILVPGGFGDRGVKGKILAAKYARENKVPYLGICLGMQIAVIEFARSVMNLREANSIEFDPDTTTSVVIFMPEGSKTHMGGTMRVGVRRTYFVSGDSKSAKLYGNVRFVDERHRHRYEVNPSVVPEFERAGLAFVGKDETGKRMEIIELPSHPYFVGVQFHPEFKSRPGKPSAVFLGLIAASCGQLDAWLQASVHASSNGIVTPKKIYHNGSLKKPSKSLLTNGKLHANGTGMHA >Ma07_p25900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32868394:32874876:1 gene:Ma07_g25900 transcript:Ma07_t25900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIQKERRGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGQEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLKAVGEPKTKPTQHSVRGLRGLGLTPNILACRSEKPLDVNVKEKLSQFCHVPVANIITLHDVTNIWHIPLLLREQKVHEALLKLMNLQGCAKEHMLEEWMSRAKLCDTLHDPVRIAMVGKYTGFSDSYLSVLKALLHASVACRKKLVVDWVSSSDLEETTAKEVPESYKAAWKLLKGADGILVPGGFGDRGVKGKILAAKYARENKVPYLGICLGMQIAVIEFARSVMNLREANSIEFDPDTTTSVVIFMPEGSKTHMGGTMRVGVRRTYFVSGDSKSAKLYGNVRFVDERHRHRYEVNPSVVPEFERAGLAFVGKDETGKRMEIIELPSHPYFVGVQFHPEFKSRPGKPSAVFLGLIAASCGQLDAWLQASVHASSNGIVTPKKIYHNGSLKKPSKSLLTNGKLHANGTGMHA >Ma05_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2027641:2033270:1 gene:Ma05_g02940 transcript:Ma05_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRRSVGLAEVFLILGLLATVPCRLSALSVTVNDVECVYEFVLYEGDTVSGNFVVVDHDIFWNSDHPGIDLVVTSPAGNTVHSLKGTSGDKFEFKAPRGGMYKFCFHNPNRTPETVSFYIHVGHIPNEHDLAKDEHLNPINVKIAELREALESVTAEQRYLKAREARHRHTNESTRRRLTVYTLTEYLVLACASGLQVVYIRRLFNKSVAYNRV >Ma06_p31940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32919398:32928321:-1 gene:Ma06_g31940 transcript:Ma06_t31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G25265) UniProtKB/Swiss-Prot;Acc:Q8W4E6] MGSGRRFLYYLLLTLAVALITYNAIISSTAILLNPGFPGRQGAPPSRSSSDPVVRMPFDRRRDEGRRPRPFHTAVTASDSVYNSWQCRVMYYWFKKVRDATPESEMGGFTRILHSGRPDKLVDEIPTFVADPLPAGTDQGYIVLNRPWAFVQWLQKADIQEEYILMAEPDHIIVKPVPNLAKAGLGAAFPFFYIEPKKFESVLRKYYPEDRGPITDIDPIGNSPVIIEKASLLKIAPTWMNLSLAMKKNPEADKAFGWVLEMYAYAVASALNGVGNILHKDFMIQPPWDLEVGDKYIIHYTYGCDYNMKGELTYGKIGEWRFDKRSFGQKPPPRNLPLPPDGVPQSVVTLVKMVNEASANIPNWDIF >Ma03_p30930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33361094:33361669:1 gene:Ma03_g30930 transcript:Ma03_t30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAMMMASSLVGVGAADRPSTSCHRRSLVVAKAATQAQAAGTPDHEKVGGRRAVVFAAAAVALCAVDRGMASADEEPKRGTAEAKRKYAPICVTMPTAKICHK >Ma05_p31700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41520152:41526002:-1 gene:Ma05_g31700 transcript:Ma05_t31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVARKDVKTHFPGARHDHGGPGARGKNHDHDDDHHQQQNGGGGGHSKRMTVLSSDAKSEGAVEERYVLDRELGRGEFGVTYLCMDRDTRELFACKSISKRKLRTAVDVEDVRREVAIMRHLPRSPSIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYSERAAAVVMKTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGIIDFKREPWPSVSENAKNLVRQMLEPDLKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSRMNRFKRKALRVIADHLSNEEVEDIKEMFRMMDTDNDGIVSHDELKSGLAKFGSHLMESEVQMLIEAVDTNGKGTLDYGEFVAVSLHLQRMANDEHIRRAFSFFDKDGNGFIEPEELRVALAEDGSPDSMDVANDILQEVDTDKDGRISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLMKDGSLNLGNE >Ma04_p33390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33041890:33042666:-1 gene:Ma04_g33390 transcript:Ma04_t33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASASVGLFLVLNLLFLALTSGCGTCPAPTPKRPKPTPKPSYGKCPVDTLKMAACANVLNGLITVGDGEFPKQPCQCCSLIEGLLDFEAAVCICTALKANILGVNLNVPINLSLLVNYCGKKVPAEFQCP >Ma03_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8507770:8513640:1 gene:Ma03_g11260 transcript:Ma03_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQHLLFSMLLLLILSSFIQMAALGHTPSSTKLLYIVYMGERQHEDPDLVIASHHHMLSSVLGSKEEAVSSIVYSYKHGFSGFAAMLTESQADKIAEMPEVISVNPSRSVPLLTTRSWDYLDLGFEQPQPTGLLARGNFGDGIIIGVVDTGIWPESRSFDDHGYGPVPSRWKGTCEVGQNFTVNHCNRKIIGARWYAGGVDPSLIEGDYQSPRDSEGHGTHTASTAAGSLVSDASFHGLGAGTARGGAPRARLAIYKVCWAEAGCRDAAVLKAIDDAIHDGVDILSLSLGRLLHPIFPSIHAVAKGITVIFSGGNDGPVTQTIANDLPWAITVAASTIDRSFPTLLTLGDNRTVVGQSILYESTDGGFEELADGGSCSRDDLNSSDVVGKIVLCYQLAIASSSPPKRHFPRAAYNVQEAGGKGIIFAQYSANILDFIDVICNGTVCVFVDYEIGKQITDYVTNTRSPLVKVSLTQDMVGSGVMSPRVAAFSSRGPSILFPDLVKPDITAPGVLILAAVKDSYKFESGTSMSCPHVSGVAALLKAAHPQWSPAAIKSALVTTAHTANAYGFPIEAEGVPRKHADPFDFGGGHIDPNKAVDPGLIYDVDPEDYLKFFNCTYGPSTTCDLVDSRLYHLNLPSISIPDLKKTPLTVRRTVTNVGDTDSIYRAMGESPPGVNMVVEPSLLQFNASTTTHTFAVTFTPLQMVQGDFNFGSLTWIDDGKHAVRIPIAVRVIIHDSFSDTS >Ma03_p11260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8510179:8513632:1 gene:Ma03_g11260 transcript:Ma03_t11260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTESQADKIAEMPEVISVNPSRSVPLLTTRSWDYLDLGFEQPQPTGLLARGNFGDGIIIGVVDTGIWPESRSFDDHGYGPVPSRWKGTCEVGQNFTVNHCNRKIIGARWYAGGVDPSLIEGDYQSPRDSEGHGTHTASTAAGSLVSDASFHGLGAGTARGGAPRARLAIYKVCWAEAGCRDAAVLKAIDDAIHDGVDILSLSLGRLLHPIFPSIHAVAKGITVIFSGGNDGPVTQTIANDLPWAITVAASTIDRSFPTLLTLGDNRTVVQGQSILYESTDGGFEELADGGSCSRDDLNSSDVVGKIVLCYQLAIASSSPPKRHFPRAAYNVQEAGGKGIIFAQYSANILDFIDVICNGTVCVFVDYEIGKQITDYVTNTRSPLVKVSLTQDMVGSGVMSPRVAAFSSRGPSILFPDLVKPDITAPGVLILAAVKDSYKFESGTSMSCPHVSGVAALLKAAHPQWSPAAIKSALVTTAHTANAYGFPIEAEGVPRKHADPFDFGGGHIDPNKAVDPGLIYDVDPEDYLKFFNCTYGPSTTCDLVDSRLYHLNLPSISIPDLKKTPLTVRRTVTNVGDTDSIYRAMGESPPGVNMVVEPSLLQFNASTTTHTFAVTFTPLQMVQGDFNFGSLTWIDDGKHAVRIPIAVRVIIHDSFSDTS >Ma07_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2677961:2681814:1 gene:Ma07_g03480 transcript:Ma07_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAVKQSALALGAADLVRPKSLLAAPRISILPSICAAKNSNFQLSTRKPLSLPSLEGLGFPSVVKPRGLGFKREAFEADRSENIEISHQEARSAAGQKLRIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLATGSLMMLVSWATGIAEAPETDFEFWKALAPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRLLLGETFPLPVYLSLVPIIGGCALAAVTELNFNMTGFVGAMISNLAFVFRNIFSKKGMKGKSVGGMNYYACLSILSLLILTPFAIAIEGPQMWTAGWQKALSQIGPHFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >Ma11_p03260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2413100:2421957:-1 gene:Ma11_g03260 transcript:Ma11_t03260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MENNKGDRRRGRRRSSRAADDITAVLRKCWYRLRLSVRDPSRVPTWDAVVLTAASPEQAALYEWQLRRAKRFGRIAPSTVTLAVPDPDAARIGSGAATLHAIYALARHLLRIGHASLEVSDDKEDSLLSSSNGWSNDDTSFSSIVNYMATRHILLLHAGGDSKRVPWANPMGKVFLPLPYLAADNPDGPVPLLFDHILAISSSARQAFKNKGGILIMTGDVLPCFDASTMILPDDSGCIITVPITLDIAANHGVVVASSDGITNDDYSICLVENLLQKPTLTELTEGHAILHDGRTLLDTGIIAARGKAWAELVKLACSSSQAMISELVDSRKEMSLYEDLVSAWVPAKHEWLRSRPLGEELINALGNQKMFSFCACGSNSALVGRRHLCSMPETTSCDIAASAVILSSKIAPGVSVGEDCLVYNSSLSGRIQIGSQSIVVGVSIASLNKYEQIDNSSRFVLPDRHCLWEVPLAESLGRIIVYCGLHDNPKISVENGGTFCGKPWKKILHYLQIQESDLWSSLAGQEKCLWTAKLFPVVSSSEMLKLSMWLTGSTIYNCQEMLFLWRNSHRISLEDLHRSIDFPQLCMESNKHQADLAAGIAKACLTCGLLGRNLSQLCEEILQKGTMGVEICKDFLTLCPILQNQNHGVLPQSRAYQVQVDLLRACEDESNARILEQKVWTAVASETASAVKYGIEGDSFDSKCGTNTTSKLTKDLEDISFCPKRASVQLPVRVDFVGGWSDTPPWSLERQGCVLNMAINLEGSLPIGAVMETTKSSGVLIVDDAENHVYIEDPASISTPFDKDDPFRLVKSALLVTGIFRHKVLVNSGLQIETWAKVPRGSGLGTSSILAAAVVKGLLRLMEEDESNESVARTVLVLEQVMGTGGGWQDQIGGLYPGIKCTYSFPGQPLLLQVIPLVASPQLVSELEQRLLVVFTGQVRLANQVLQKVVTRYLRRDNLLIESIKRLAALAKHGREALMNGDIDELGYIMLEAWRLHQELDPFCSNEFVDKLFAFAEAYCCGYKLVGAGGGGFALLLAKDASRAQQLKQALGESSELDVKVYNWNICLS >Ma11_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2413429:2421957:-1 gene:Ma11_g03260 transcript:Ma11_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MENNKGDRRRGRRRSSRAADDITAVLRKCWYRLRLSVRDPSRVPTWDAVVLTAASPEQAALYEWQLRRAKRFGRIAPSTVTLAVPDPDAARIGSGAATLHAIYALARHLLRIGHASLEVSDDKEDSLLSSSNGWSNDDTSFSSIVNYMATRHILLLHAGGDSKRVPWANPMGKVFLPLPYLAADNPDGPVPLLFDHILAISSSARQAFKNKGGILIMTGDVLPCFDASTMILPDDSGCIITVPITLDIAANHGVVVASSDGITNDDYSICLVENLLQKPTLTELTEGHAILHDGRTLLDTGIIAARGKAWAELVKLACSSSQAMISELVDSRKEMSLYEDLVSAWVPAKHEWLRSRPLGEELINALGNQKMFSFCAFGLSFLHFGTSIEVLDHLGGSNSALVGRRHLCSMPETTSCDIAASAVILSSKIAPGVSVGEDCLVYNSSLSGRIQIGSQSIVVGVSIASLNKYEQIDNSSRFVLPDRHCLWEVPLAESLGRIIVYCGLHDNPKISVENGGTFCGKPWKKILHYLQIQESDLWSSLAGQEKCLWTAKLFPVVSSSEMLKLSMWLTGSTIYNCQEMLFLWRNSHRISLEDLHRSIDFPQLCMESNKHQADLAAGIAKACLTCGLLGRNLSQLCEEILQKGTMGVEICKDFLTLCPILQNQNHGVLPQSRAYQVQVDLLRACEDESNARILEQKVWTAVASETASAVKYGIEGDSFDSKCGTNTTSKLTKDLEDISFCPKRASVQLPVRVDFVGGWSDTPPWSLERQGCVLNMAINLEGSLPIGAVMETTKSSGVLIVDDAENHVYIEDPASISTPFDKDDPFRLVKSALLVTGIFRHKVLVNSGLQIETWAKVPRGSGLGTSSILAAAVVKGLLRLMEEDESNESVARTVLVLEQVMGTGGGWQDQIGGLYPGIKCTYSFPGQPLLLQVIPLVASPQLVSELEQRLLVVFTGQSYRR >Ma11_p03260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2413100:2421957:-1 gene:Ma11_g03260 transcript:Ma11_t03260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MENNKGDRRRGRRRSSRAADDITAVLRKCWYRLRLSVRDPSRVPTWDAVVLTAASPEQAALYEWQLRRAKRFGRIAPSTVTLAVPDPDAARIGSGAATLHAIYALARHLLRIGHASLEVSDDKEDSLLSSSNGWSNDDTSFSSIVNYMATRHILLLHAGGDSKRVPWANPMGKVFLPLPYLAADNPDGPVPLLFDHILAISSSARQAFKNKGGILIMTGDVLPCFDASTMILPDDSGCIITVPITLDIAANHGVVVASSDGITNDDYSICLVENLLQKPTLTELTEGHAILHDGRTLLDTGIIAARGKAWAELVKLACSSSQAMISELVDSRKEMSLYEDLVSAWVPAKHEWLRSRPLGEELINALGNQKMFSFCAFGLSFLHFGTSIEVLDHLGGSNSALVGRRHLCSMPETTSCDIAASAVILSSKIAPGVSVGEDCLVYNSSLSGRIQIGSQSIVVGVSIASLNKYEQIDNSSRFVLPDRHCLWEVPLAESLGRIIVYCGLHDNPKISVENGGTFCGKPWKKILHYLQIQESDLWSSLAGQEKCLWTAKLFPVVSSSEMLKLSMWLTGSTIYNCQEMLFLWRNSHRISLEDLHRSIDFPQLCMESNKHQADLAAGIAKACLTCGLLGRNLSQLCEEILQKGTMGVEICKDFLTLCPILQNQNHGVLPQSRAYQVQVDLLRACEDESNARILEQKVWTAVASETASAVKYGIEGDSFDSKCGTNTTSKLTKDLEDISFCPKRASVQLPVRVDFVGGWSDTPPWSLERQGCVLNMAINLEGSLPIGAVMETTKSSGVLIVDDAENHVYIEDPASISTPFDKDDPFRLVKSALLVTGIFRHKVLVNSGLQIETWAKVPRGSGLGTSSILAAAVVKGLLRLMEEDESNESVARTVLVLEQVMGTGGGWQDQIGGLYPGIKCTYSFPGQPLLLQVIPLVASPQLVSELEQRLLVVFTGQVRLANQVLQKVVTRYLRRDNLLIESIKRLAALAKHGREALMNGDIDELGYIMLEAWRLHQELDPFCSNEFVDKLFAFAEAYCCGYKLVGAGGGGFALLLAKDASRAQQLKQALGESSELDVKVYNWNICLS >Ma03_p25400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29450517:29451591:1 gene:Ma03_g25400 transcript:Ma03_t25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELDSRAQLAREICSISSMFTACTHRSRSPRRPPFVDWYLVLRVDEEAGIDVIRRQYRRLALQLHPDKNRHPKAEAAFKVVSEAYECLSDEVARRAFNSERQDKFCRECHSNSERQKAGVRTKLRRAVAALREAKKRFQEECRVIERCLEANKATQAGSPLFDPSVYLLYDGYPHYRDRVVVNTREQEQQFQCGDGDNHRRKGRCESPLYEIRTERRAGRTMKSSFRF >Ma04_p35850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34430945:34434046:-1 gene:Ma04_g35850 transcript:Ma04_t35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTSSPIPLLYLIWIGFLFSSGDVAASGRCIEKERKALLSIRTGISDAHEWLSSWKGKDCCSWAGVECNAATGHVIKLDLHNPGGPSSNKSKVNPSLLELKHLSYLDLRWNYFDGAPVPGFIGSLTELESLNLSSAGFGGTIPHQLGNLSNLLSLELSENTISGGIPESLGSLSELVSLGLALNSINGEIPATLGDLRSLQIMDLSSNNISGEMPGTIGKLRNLELLDLSSNKIQGVIPESIGNLSKLWALRLPNNKIGGAMPASLGNLSALQTLDLSNNQISEQIPDSLGNLFRLESLSMNGNNIGGAIPSSMGNLCNLSSLDAYGNRIRGQLSGFIEGMSRCRTSGIRYLDLQNNEISGPIPNEIGKLQSLTRLSLGSNSLSGPIPASLGNLFALSDLNLSSNSLVGALSEANFANLTYLDSLDLSHNSLTVNDTQDWLPPFQATQIRMRSCNLGPKFPPWLQNQTKLSVLDLSSNGISDTFPDWFWNLCLSDLNLNVSYNYMKGGLSSSIECFWTVIVDLSHNNLEGFIPRMDPMLTFLDLSDNLFSGPIPPAMAAGTDYLAFMLLSNNRLNGTIPSSFCEANHLEVLNLANNGFSGALPDCWNDSLALKIIDVSGNKVSGGIPKTLGLLPQLKSLHLNDNGLSGRIPSSLQHCKDLVTINLGQNRLSGAIPRWIGEKLSSLKVLRLRSNMFTGAIPPQLSLVASLQVLDLARNNLSGALPPAFGNFSSMISIQNETKPVLGEEGTYYTENIVVDAKGLKLYFTTVLSLVTSVDLSGNNLSGEIPEELTKLHGLHFLNLSDNRFSGDMPQNIGAIGQLESLDLSKNKLSGRIPSSISALNFLSHLNLSYNNFSGRIPSGSQLRTFTDPSIYAGNPQLCGPPLSDKCPDDAPSEIPTEASQEEDVDEDENGIIWVFIGFAPAFVLGFWGFIGTVMIDRRRRIRYIQFLDMICNWFTHR >Ma08_p29170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40858790:40860144:1 gene:Ma08_g29170 transcript:Ma08_t29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQAATSGAASLTLQRSLLSSSTGSTPDMPPPPSTAFFLSYPLWMSATFSFFIGFTIGILLFFLWWSVVRLSRFVVHRRTLSRILAEEQAPANAATVFSPMLRHPDTIPFFQHLQHEGLPFLAQIIGRGGCGEVYKAEIFVENRTIPVAIKKIDHLAATGAASVCQEESELLNIHTRQVRSEILTVGRMRHPSLLPLLAHVPKPDCHYLLYEYMPNGSLHDVLKQRSLQWPVRYKIALGIAAGLEYLHMVHRPQVIHRDLKPANILLDCNLNARIGDFGLAKVVHEMMSGTVASNNVAGTLGYIAPEYYQTMTCTAKCDIYSFGVILAVLVTGRFPSDQFFQATDEMCIVGWLRNVLRSADPAAAIDGRLMGKGFEEQMLLVLKVAYFCTYDDPAERPNSRDVKLMLSQIKPY >Ma07_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31870325:31875429:1 gene:Ma07_g24530 transcript:Ma07_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKVYSLFFKLLLKHKLQHRSQMEDAESPFGVTSRPEESTAPANPSFGPDGVATKDIHIDPLTALSIRIFLPDPSLHRGGARPRWSPDLDRRNSYGGSPATPSHDHGRRSSYDGAGSAHENGNGADAAAGAAAGNYRGYLPSVVDARRRTDRSKKLPIIIQFHGGGFVAGSNTSAANDFFCRRMAKLCDAMVIAVGYRLAPESRYPAAFDDGLKVLNWLGKQAKLVECKISMGTARGGGIGEVRRSQIVDTFGASTVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVDAGKLIEPVKVVAQVLMYPFFVGSVPTHSEIKLANSYFYDKSLCLLAWKLFLPEDEFSLDHPAANPLVPGRGPPLRCMPPTLTVVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISIRGHEFSY >Ma06_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7624218:7626281:1 gene:Ma06_g10920 transcript:Ma06_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSRLLPLLLSLLLLQVSLLAAQPALPEPDAASIQLIPSTSSAAAGGASSSSPPATIPAFPEQSDVSTAACPLDPPSDLLPSVSAACVASDDSLPSRSRCCPTLNAWLLAAYSASALAAHPPPSSGYDLPALPDDSEACIGGVERALRDHGVDLPRVNGTCDAAYCYCGVRLRRLACAGAFVADAAEGRWVPAGDAGRRLERDCSRFGFAGCTRCLRSLNQLKSEEKRGIGNATKWDKKAGPTQDRECQLMGVTWLLSKNRTHFLPAATSVLRVLMAADGVGGPDPTSCTLSLDDMPLAVGSDQIDSRGGSSTVRSLPLFQLFQMATFVLFALFHV >Ma09_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8576599:8578391:-1 gene:Ma09_g12760 transcript:Ma09_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFPRRRLPPSSHYQEEESRDGRPPLVHRRSPRIRRVLPSRLGPRILLPPPPSLRPPPPPPLPQALPEPPHRGAAGAAMMDTVASSYANALAEVAKSNGTLEATVADMEKVDRLFADPAVQSFFANPTVASEKKREILAEISGSSELQPHTINFLNILVDMSRIDIIAEIVKEFDACYNHITGTELAVVTSVVDMGEDDVAQIAQTVKRLTGAKKVRIKAVLDPSLIAGFTIRYGSSGSKFIDMSVKKQLDEIASQLDFSSITLA >Ma03_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:606658:607424:-1 gene:Ma03_g00740 transcript:Ma03_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKDEKAEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMQAIGKSMGSIVKSLDSALASGNLQKMSETMDQFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAASHAIPAKEKEKVDEDDLSRRLAELKARG >Ma11_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24179031:24179663:1 gene:Ma11_g19240 transcript:Ma11_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYVINYSKLRIWEFMEYQKMVYLDADIQVHENIDHLFDLPDGQFYAVMDCFCEKTWSHTPQSKIGYYQQCPERVAWPTDELGQHRHLRVPPRHPQEHPR >Ma11_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5075479:5081330:-1 gene:Ma11_g06280 transcript:Ma11_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKKVVVVLASAFLFLVQSLFSTTCALRRDQFPPSFLFGTSTSAYQIEGAYQEGNKSLSNWDVFTHSQGGKIKDGSNGDVAADHYHIYMEDIELMHSLGINSYRFSISWSRVLPRGRYGKINPTGIAFYNNLIDSLLLRGIEPFVTLSHYDIPQELEDRYGAWLSPKIQKDFGYFAEVCFSKFGDRVKYWSTFNEPRMMVRYGYGTGEYPPGHRNKEFIAGHNAILSHATAVEIYRKRYQVKQQGMIGIVIFMCWFEPLRNNSLDFLVARQVMSFQAAWFLDPIIHGDYPPEMRQALGSKLPTFSIKERRKLQYKLDFIGINHYTSLYVRDCTFSPCKSSRNIGESFIYTERNGIPIGKPTAMPNYYVVPYGIEEVVLYTMRRYNNTPMFITENGYAQHSNSSMTELLNDSDRVDAMRQYLTYLNNAMRKGADVRGYFVWSLMDNFEWLYGYTMRFGLHHVDYNTQKRTPKLSARWYKQFLHGVEVQHEHEQVDTI >Ma08_p30320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41636748:41637154:-1 gene:Ma08_g30320 transcript:Ma08_t30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSAKMVLVLALFLASFAVGLEARNLDAEPKAYQPQNIPGAFRGFLGRPNLGGAPRFGLGRPFRGIPGFGTVPGVPAASGGDRGAPPP >Ma04_p23490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25647466:25655947:1 gene:Ma04_g23490 transcript:Ma04_t23490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGNPPLDGGSGIGIGAAAGGIFSVHHRQQQSRNLGVAVPGLVGYPAVMSSEDAAKKVRKPYTVTKSRESWTEQEHDKFIEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTGAHVPPPRPKRKAAHPYPQKASKNVHPSTLQASFLLEQGYGHGADSSTKSRNSFADNKRSRGAIVVDNCHSNSTKSPRGSQPNYEDNDKANQISSHNVMPDFVQIYNFLGSVFDPSTSCHLQKLKEMDPLDVQTVLLLMRNLSINLTNPDFEDHVNLFSMTSFSVFRKLDLFISVHTSVTAMHIWSISFLLGNPA >Ma04_p23490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25647466:25658553:1 gene:Ma04_g23490 transcript:Ma04_t23490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGNPPLDGGSGIGIGAAAGGIFSVHHRQQQSRNLGVAVPGLVGYPAVMSSEDAAKKVRKPYTVTKSRESWTEQEHDKFIEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTGAHVPPPRPKRKAAHPYPQKASKNVPLLVHPSTLQASFLLEQGYGHGADSSTKSRNSFADNKRSRGAIVVDNCHSNSTKSPRGSQPNYEDNDKANQISSHNVMPDFVQIYNFLGSVFDPSTSCHLQKLKEMDPLDVQTVLLLMRNLSINLTNPDFEDHIRLLVYDSDLVEFKAASADKLLITK >Ma04_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25647418:25655947:1 gene:Ma04_g23490 transcript:Ma04_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGNPPLDGGSGIGIGAAAGGIFSVHHRQQQSRNLGVAVPGLVGYPAVMSSEDAAKKVRKPYTVTKSRESWTEQEHDKFIEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTGAHVPPPRPKRKAAHPYPQKASKNVPLLVHPSTLQASFLLEQGYGHGADSSTKSRNSFADNKRSRGAIVVDNCHSNSTKSPRGSQPNYEDNDKANQISSHNVMPDFVQIYNFLGSVFDPSTSCHLQKLKEMDPLDVQTVLLLMRNLSINLTNPDFEDHVNLFSMTSFSVFRKLDLFISVHTSVTAMHIWSISFLLGNPA >Ma01_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:823524:831837:-1 gene:Ma01_g01200 transcript:Ma01_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDRLTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGYDKLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDALWEFEPSKLGVGDLLTGGDLYATVFENTLMKHLVALPPGSMGKISYIAPAGQYNLNDTVLELEFQGVKKQFSMLQTWPVRTPRPVAAKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPDRTGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDSDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAAGSDGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFKKLNDDLTVGFRNLEDDAR >Ma04_p37100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35154871:35157029:-1 gene:Ma04_g37100 transcript:Ma04_t37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKSKAELQAQLKDLKNELSLLRVAKVTGGAPNKLSKIKVVRVSIARVLTVISQKQKEALREAYKNKKFIPLDLRPKKTRAIRHRLTKHQDSLKTEREKKKEMYFPMRKYAIKA >Ma09_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3219345:3227647:-1 gene:Ma09_g05030 transcript:Ma09_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTSQEVEALQRGGNQRARETFLRDWDMERMRLPDSSNLDKLREFIKAVYVDKKYASGKSSDKPPRDMQSHKNHEDHRRASSYHSFSQSPPYEDQYEERYTGKKPGFLSRKPGSDRGLYEGKISSFLYSPGHQGKQSYEDRSANETPNSRNSDYSVASGGDSSKFDSQSPNFQDTGYSSPPLRQVRDILIEDTQPPVLTTYPDANFKKNLNGLPRPQRTASSSSFGSFDSNSASLTPSSSSNIIDLVLEPENSSPAKHPEISAAPFLLQTSSSTLARSQDSFSLPIMQQPIPYSSSFTDVFAVNHQSSSTIPSEEKLTIPLSDSVGWATFDLPYQANNASELSKDPASMVSQANKQPVLQNATDDLFLSFADQHNEIASLSDPKDSSQAWDTFGFSDGNSQPASYEKLSQFSEAQVLVHNYPASRVLYANLQDQEVPVEDGSQNLSTDEFSALSAPFDDLSGSSFSSVLHLKGGNGNTLERKSTNPFDLPFDSDLDANDLFPDMSSLQSALPNPLLPANLLGGLPQTWFSPNSVAAFVPPVSQGSLAYNAGQVPSSQLRDVTSQGPVASIGGNPFA >Ma09_p05030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3219345:3227647:-1 gene:Ma09_g05030 transcript:Ma09_t05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEDERNEKVIRGLLKLPPNRKCINCNSLGPQYVCINFWTFICVTCSGIHREFTHRVKSISMAKFTSQEVEALQRGGNQRARETFLRDWDMERMRLPDSSNLDKLREFIKAVYVDKKYASGKSSDKPPRDMQSHKNHEDHRRASSYHSFSQSPPYEDQYEERYTGKKPGFLSRKPGSDRGLYEGKISSFLYSPGHQGKQSYEDRSANETPNSRNSDYSVASGGDSSKFDSQSPNFQDTGYSSPPLRQVRDILIEDTQPPVLTTYPDANFKKNLNGLPRPQRTASSSSFGSFDSNSASLTPSSSSNIIDLVLEPENSSPAKHPEISAAPFLLQTSSSTLARSQDSFSLPIMQQPIPYSSSFTDVFAVNHQSSSTIPSEEKLTIPLSDSVGWATFDLPYQANNASELSKDPASMVSQANKQPVLQNATDDLFLSFADQHNEIASLSDPKDSSQAWDTFGFSDGNSQPASYEKLSQFSEAQVLVHNYPASRVLYANLQDQEVPVEDGSQNLSTDEFSALSAPFDDLSGSSFSSVLHLKGGNGNTLERKSTNPFDLPFDSDLDANDLFPDMSSLQSALPNPLLPANLLGGLPQTWFSPNSVAAFVPPVSQGSLAYNAGQVPSSQLRDVTSQGPVASIGGNPFA >Ma06_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24208568:24209307:1 gene:Ma06_g24840 transcript:Ma06_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFTKKFDTIVPIIPLVRSSSKAKFCTSSRHPISKPIWTDLSDCDIINRFGRICRNLSHYHSGSSKKQSLYRMKYILRLSCARTLARKHKSTVRSFLQRLSSGLLEEFFTEEEQVLSLIFPKITSFYLHGSYRERIWYLDIIRINDLVNNL >Ma05_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36050187:36052261:-1 gene:Ma05_g23880 transcript:Ma05_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKRYEEYIQGRREKELPAVGLKKLKKTLKRCRREFQSRHLHQDGGEGDGSKHNGNCAVCDGTFFPSLLEEMSAVVGCFNQRAQKLLELHLASGFHKYIMWFRGKSSQDHGAMIQQGKDLVSYALINSIAMRKILKKYDKIHYSNRGQAFRSKALSMHIEILQSPWLCELMAFYVNMRQGKTKNKAIVRLFGDCSLTFDDDGKPALSCGLFDSMKVEIDLTCSICLDTVFDPVALTCGHLFCYMCCCSAASVTIVDGLKAASPKAKCPLCRREGVHAGAVHLDELNILLSHSCPDYWEKRLRTERGERVLQAKEHWQSLSRAFMGM >Ma07_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27798123:27799369:-1 gene:Ma07_g19890 transcript:Ma07_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSCCSREKLKKGAWSAVEDKVLRDYIRVHGEGKWGKVPKKAGLNRCPRSCRLRWLNYLRPDIKRGNISDEEEDLIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTVLKKKLQAQPVASTATTVLHSDRDGAATLKNEKEAEASTQCSQDRAASRHQQSGRSVQNVHCNRFNSSAPAVGDLHSDGSSMAAEECDLFDILKGLDTEELCSRYLLELDFFQLLGSTVPQDTRDWCGGGGGGDSYVFFDDRLLLEGAISDTWIGGEHI >Ma00_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35938910:35940220:1 gene:Ma00_g04250 transcript:Ma00_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSTIAPAEVLYHSRRDDIHHRVYVHRSEEAMLVTNNQEDRSFIMEESYERLQRSRMQYVHLGILQVRLQTLHRQEEGTLALLVFRDNRWMDDRSIIATMEVDLTRGSQLVYVIPDIMMTIGDFYRNIQLSILTRGYDTWRNGEANLLVTRGMVGRLSNTPNVAFVYEVSGVVDYLTSHGVRALPGRRYSTTEIHGRDWVIRPTQVSIPIQPSEVRSRNLIDGRISISFDNYKAASTSSRINYNTADDETFSDEEEIRSHTIAVNIQLSDDSEGETEELCDNLNYWFQDNHISEGGGERELPYPQKFKEEVVAASLEEDLAMEYPQLARLSQQIYSSSAVSNYRPPADTTMGPANYPPAVNVEPTSQRPTYEGYTRQPRFKAKDFSEAWNLPSAFQQQGAMFIIPSQLGMFDEVFMRWESITKNLVSLQGFTDP >Ma06_p25590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25966265:25966498:-1 gene:Ma06_g25590 transcript:Ma06_t25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDVISWSLFLLLGIFILTTSHFILSCTPIHCTYDMVVQLFLTSASNLSYLCLSAFVCRYGLRYFLFLDKLIRERE >Ma00_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36351747:36351914:-1 gene:Ma00_g04330 transcript:Ma00_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTLFFLLYIIGYHHHICHLLLTMRLKVIFPSMQKQSSAFRLQRRSKCYQCEV >Ma09_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2223814:2225130:-1 gene:Ma09_g03280 transcript:Ma09_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTTSSSSFYFALSFPSPTHTWESRKSQQFEMAAPPPQRLHSAVAPLFLLFLLLVILALALAVNITAVLSPYPDLSAFNRLLSSTSVPCDLSGRSSLTILAIPNAYLLRSSAARAAADIADVLRYHVLLEYLSSPDLRRIPAGGKLVATLYQTTGRADGNLGTVNFTRDRIGAVTARFPSPFHGSKATILGLVGTLPYNVSVLAIDALLLPYGFDLAATDIRPSVGLNITPVLVDGGSFNVAASMLEASGVVAELEADEHGAGITVFVPTDETFADFPATERLQSLPADRKALVLRFHVLRSYYPLGSLESIVNPVQPTVATEDTAAGCFTLNITRVNGSVAIDTGLVVAFITRTVFDQNPVAVFAVSKVLLPREIFAGEADASNLAPQCTEGVDTPPARLSPPQGFKEEVTSGTGGKGVALFYTVSLYLPLLFA >Ma03_p27380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30806680:30807225:-1 gene:Ma03_g27380 transcript:Ma03_t27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGMLAYAVPMSCTSSSRPRASSPASPGGGGGGWMGVECSSRPQKKATAHHMKTRPKKTQPWDVKRKGPTAYPPLPVLPPDWTLVPEQEQAAAKEVEEAPATAAE >Ma10_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22172327:22192016:-1 gene:Ma10_g07880 transcript:Ma10_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFGPPLDSFGNYDAGVSGTKFQMTLAVLLEKSGVTPTAIYGDLDALITGVQDDSREVCQGDLFISCVGSKTDGHLYLTEACNRGAVALVVGEESVKDQILRCGCKAVVVVNATDSALPVIAATFYGHPSRSLFAVGVTGTNGKTTTTNLIRSIFETMGYGTGLFGTVCFFINGDEMLEASRTTPDAVPAQRLMAKMVRSGTKALVMETSSEGLEQVRCNELDFNVAVFTNLTRDHLDFHGTMEAYKKSKGKLFANMVDPNRHRKVVNIDDPNAPYFIDQGNADVRLVTFGMQNKNADVYPLKSELSLFKTRVWVSTPKGALEINSGMLGRYNIYNILAAVAVGIAVGLKLEDIVRGIEAVKGVAGRFELIDEGQPFAVIVDYAHTPDAVCSLLDAVRELEPRRVITGIGCGGERDRRKRPLMTKITADKSDVVILTSDNPRNEDPMKILDDMLAGVGYTMEEFCSLHGGSNSHQKLPNGCTLSVNGDRRLALRAAIAMGKEGDAIVVAGKGHETYQIEGDTKKLFDDRVECREVLRAEASRERDR >Ma08_p01340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1227538:1230915:1 gene:Ma08_g01340 transcript:Ma08_t01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEIKPEETVKVDPGEDKYLHLSQASLGETKKDKGNENILIYVKFNNQKLVLGTLSADKCAQIQYDLVFEKEFEISHNSKNASVYLCGYRTVALGGDEFPDFDDADEDIQLEHIVNGKTNVKDEQPKSTAGKPNVASAKVKPKVAELKKADKQKANKEDDEDDEESEEDESDDDEDTAKADAEDDSEDEDESSDEDEATVKKAEPSNKRPAGSALKTPVSEKKAKLRVSQGSGGSQKKGGAGKNDGQPATPNPAKRSGKTPATNDKSKQQTPKSAGSINCKSCGKKFNSDNGLQAHTKAKHGGAK >Ma08_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1227538:1230915:1 gene:Ma08_g01340 transcript:Ma08_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEIKPEETVKVDPGEDKYLHLSQASLGETKKDKGNENILIYVKFNNQKLVLGTLSADKCAQIQYDLVFEKEFEISHNSKNASVYLCGYRTVALGGDEFPDFDESDSDADEDIQLEHIVNGKTNVKDEQPKSTAGKPNVASAKVKPKVAELKKADKQKANKEDDEDDEESEEDESDDDEDTAKADAEDDSEDEDESSDEDEATVKKAEPSNKRPAGSALKTPVSEKKAKLRVSQGSGGSQKKGGAGKNDGQPATPNPAKRSGKTPATNDKSKQQTPKSAGSINCKSCGKKFNSDNGLQAHTKAKHGGAK >Ma01_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2495004:2497150:-1 gene:Ma01_g03730 transcript:Ma01_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MTAGFKHCQRLLFSTSSTASSSLRSLPPSLSIPTLKSQQQQQQINDLISSLCKQKRYREALRTFHSLPPPSPHLHLYPSTYGHLFLACSHLRSLPDARLLHRHLAASPAPPDVVLHNHILNAYGKCGSPDDARRLFDAMPDRNLVSWTSMISGCSQNGRDLEAVELYLGVLRSGLHPDQFALGSVVRAGSGLGDVELGKQLHCHALKSESGADRIVQNALVTMYARLDRIDDAAIVFERIAEKDVISWGSMIAGFSKQGHELEALHLFKEMISSGVHCPNEFHFGSGFSACGDIGIIEYGEQMHGLCAKFGLQSNEFAGCSLSDMYARCGMLDCAKKAFSEIEMPDLVSWNSILCGFSSLGLSNEVTLLFSEMRDSGVQPDDITIRCLLCACTGCDSFQKGQLVHSYSVKMGWKTNIAVSNALLMMYTKCSDLSVALDFFEDMRDRDIVSWNTVLSACLQHHQPEEVFTFIKLMQNLDYRFDQITLNAILSACGDLAYLEMGNQVHAYAMKVGLEVDIMVRNGVIDTYAKCGNLGDARKLFDLMDDKYDVFPWSSLILGYAQLGYAKESLELFMCMQSSGIKPNHVTYVGVLTACGHVGLVDKGLYYYQMMEAEHGVVPTREHCSCVIDLLARAGRLNEAENFIDQMPFEPDIVMWKSILAACRMHNNVEIGRRAAENILDIDPQNSAAYTKKDDEK >Ma04_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9672368:9675490:1 gene:Ma04_g12810 transcript:Ma04_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSVVSPRRSIREAVLHGVLAYYGGGGGGGGGHHHPRRCSFYAGVPEGDEAAATAWGKEDEEEEGAVELVQLGADRPKNVLVLMSDTGGGHRASAEAIRDAFSLEFGDEYRVFVKDLGKEHAGWPLNNMERTYKFLVKHVQLWKVAFHGTSPRWVHCLYLAALASFYAKEVEAGLMKYKPDIIISVHPLMQHIPLWVLKWQKLQKRVVFVTVITDLNTCHPTWFHDHVTRCYCPSEEVSKRAMLKGLEPSQIRVFGLPIRPSFCCAVLNKGDLREELEMDLQLPAVLLMGGGEGMGPVKETAIALEEALFDASVGKPIGQIVIICGRNHFLHSTLQSIEWKVPVKIQGFVTQMEKWMGACDCIITKAGPGTIAEALIRALPIILNDFIPGQEVGNIPYVVDNGAGVFSDNPKETASLVARWFSSDREELKELSQNALKLAQPNAVFDIVRDIHELVQQQGPMARITYSLNSSVSYPI >Ma07_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29936847:29938372:1 gene:Ma07_g21830 transcript:Ma07_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVEQALHMVGGAGETSYATNSRLQEKALYRTKPILETAIAELYQTLLPERMVVVDLGCSSGPNTFLVVSEVLGIVGDLRRRLEQKPPEIQFFLNDLPGNDFNNVFRSLERYEKKMEEEKGDLLVPHYVVGMPGSFYGRLFPRNTVHFFHSNYCLMWLSQVPQGLESVQGVPLNKGNIYIAENSPPQVVKAYQEQHRRDFSTFLKSRYMELSIGGGMVLTFLGRRNKEPANGGLSYMWGLLAEALNAMVSQGIVSEDKLDAFNLPIYTPSMQEVKAVIHDEGLFDLEQAQIFECNWDPFDDTDDDDIVFDNVLNGKNVAKCVRAVSESLIAHHFGDAILDELFSRYADKVAKHLLKEKTKHTVMVIALKKKA >Ma06_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4274851:4282579:1 gene:Ma06_g05780 transcript:Ma06_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MNHSVGCHCAVFVGGPSMAAPRPSVRLSSNPDCSRPSNGLRSHVGRLVNCSRRYAGTLAIFMGPQLGHFSGTLSGRTSGDKFIGHSSGGETAITSYAWSDKQRPRICILGGGFGGLYTALRLESLVWTDDKKPQVVLVDQSDRFVFKPMLYELLSGEVDAWEVAPFFTDLLKNTNIEFIKDRVKLLDPSDHLTKEPGSTCSGGTVHLESGIIIEYDWLVLALGAEAKLDVVPGSAEYALPFSTLEDACRVDKKLKVLERERFGKDSSPIRVAIVGCGYSGVELAATISERLQNNGIVQAINVETTICPNAPSGNREAALKVLQSRNVQLFLGYFVSCIKKISDSEDLAKVIGIEEEMGTDTATCGKKFILELQPAQRGLQSQVLEADLVLWTVGSKPLIPQVDPSDYYNMIPLNGRGQAETDETLRVRGHPRIFAIGDSSALRDSSGRILPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGLSDAAITPNFIDGLTLEGPVGHAARKIAYLFRLPTDEHRLKVGMSWLAKSAVDSVALFQTTITKVITGS >Ma05_p30370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40798976:40799911:-1 gene:Ma05_g30370 transcript:Ma05_t30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRVVHLSGHVEEYSRRVSAGEILAANPNHVLTRPCSRGVGRRILIVPPESELKRGRIYFLMPAPTLPEQKRRRHTMVRTRGGDEYTTEIASGKKPIHRRRPSGRVGVHRSQLESICEDS >Ma08_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7349833:7352975:1 gene:Ma08_g10080 transcript:Ma08_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDIVAGGRSKKARRTAPRSDDVYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKINRPPISLKRLITFMNGKDNKIAVIVGTVTDDKRVYEVPALKVTALRFTETARARILKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGKAPGVPHSNTKPYVRSKGRKFERARGRRNSRGFRV >Ma01_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5497242:5502434:1 gene:Ma01_g07580 transcript:Ma01_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQFSSSCACAGLRGPLLPPPRRGGRRSLCSAVPSGNSYLDMWKKAMERKRRAQQSRGTRDGGEGGKSPSLEAKTECFNQLLQVPTEERDRVQRLQVIDRAAAAFAAARAILNESPVARSTPDLSERPEMSRAKQGLQHEDSFLYQSVTLQNGPPGPDFWSWSPPSDTKREPIEASTGLRSAPKLLSQTYPSNLVIEKEKTIEFLAIPMESTLFGCKHNPPHPPLQSLVEVDKVGKKTTLNASIAAEEKMCGQLFSGQAAEAVEALSKSNGASEYGMDLGGSQWWRETGIEERPDGVVCKWTLTRGVSADETVEWEDKFWEAADQYDYKELGSEKSGRDASGNVWREYWKESMWQDMRRGIIHMENTADKWGKNGKGDEWQEKWWEHYDSSGYAEKWAHKWCSIEPKTPLEAGHAHVWHERWGEKYDGNGGSMKYTDKWAKRSEGDGWSKWGDKWDEHFDSNGHGVKQGETWWEGRHGEQWNRTWGEWHNGSGWVHKYGKSSNGEHWDTHVQQETWYERFPHYGFEHCFENSVQLRAV >Ma09_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31749344:31753938:-1 gene:Ma09_g21050 transcript:Ma09_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDHGGSTTYKGFTIHHPKRWHVVTGKGLCAIMWFWILYRAKQDGPVVLGWRHPWEGHGDHSHGHGHEHEESH >Ma02_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19545969:19547909:-1 gene:Ma02_g09650 transcript:Ma02_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMAARRVEEEEEAAAAEIHLPAEVDWEMLDKWRFFVMGAALFSGVSAALYPAVVLKTRLQVAQPPSPCLRAAAAIFRHEGPRGFYRGFATSLAGTVPARALYMGALEATKSAVGSATLRLGVPEPTASAAASAAAGLSSAVAAQVVWTPIDVVSQRLMVQGSAAAAARYRGGVDAFKKILCSDGLRGLYRGFGMSILTYAPSNVVWWTSYFLSQRLIWGGVRYHMDGGGGGELRPGQGTVVAVQGASAAVSGGAAAVVTMPLDTIKTRMQVLDDGGERMTIGRTVRSLLREGGWRACYRGLGPRWASTSLSATTMITTYEFLKRLSAKDGSV >Ma00_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:18756319:18756534:1 gene:Ma00_g02550 transcript:Ma00_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQQAMLWLAWLVRNNKAGSIHVKLL >Ma04_p37660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35456522:35460761:1 gene:Ma04_g37660 transcript:Ma04_t37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSDFRYRPPVMHRSDAAAQPDRESELVQNDIGKPALKVCICIIFLLFIGISRRSSIDSHVASGLGPEAVSLAVLNYGDNPVKARDFVKGYSIRHEMGFSSKKNCGRGTGYVH >Ma05_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5960201:5963438:-1 gene:Ma05_g08020 transcript:Ma05_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSEMDSLVAPEFSPDMVLGFISQILMEEDMDDKFDVFHEDLALLAAEKPFYEILGETFPPLPDQNSGPSAESPDGSSISNFHVNSNGSSSDGILANDSWPYDPLEYRQLQTNPASVDYYSQSSCSPANYNAGNVNRVLVESLSNPLSDNDLVIQSTPAWQFQKGLEEARRFLPSSENLMINLEANGSLFSQEPKEDWKLAEINADEKHESPIREPRGRKTHHDDDLDLQEGRSNKQSALSTEEPLRPDILDDVLLCGWEKCTAGNDEQQSESVNQDGRSSHSSHAKGSGGGKSRGKKQSMKDVVDLRTLLVHCAESVAIGDRRSAGELLKQIRQHSSPFGDANERLAHCFADGLEARLAGTGSQIYHSIVAKRISTSDILKGYKCYMRACPFKKIAYLYSNRTILNVANKAPRLHIIDFGIFYGFQWPCFMQRLASQPGGPPRLRITGIDKPSPGFRPTERIDETGQRLADYARRFGIPFEFHAIATKWDTIRTEDLNIDKDEVLVVNSLFQFKSLIDETVVEYSPRNMVLNTIRNLNPAVFIFGDVNGSYSSPFFVTRFREALFHFSALFDMIETNVPREDESRPLIERHISGRDALNVIACEGSERVERPETYKQWQVRNIRAGFKQLPLNSDIIKMAKEKLKAYHKDFVLDVDGQWLLQGWKGRILQAFSAWRSDDYS >Ma01_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10397099:10397767:-1 gene:Ma01_g14250 transcript:Ma01_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIVSPPSRHPSSFPARLPSRQAGPRLPNRPSSIKSAASRRRAYGWEYSGGGLVDQSMIVLRKRIHEMKMAETNYEAPSEWMDWEKRYYTSYHADVCEILCLLQTLLMDTRPSLAIGMIAVVVLSVPTSAIFIAFHLMAAANSILAGTHLG >Ma02_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20374547:20378629:1 gene:Ma02_g10990 transcript:Ma02_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSRELFLIQSFLCIDQAAHLIKDISQTATFTTLYILDLSRVPLPLNIRSQRHHSATMLCSCMNCLQHFGNDSFQLESGGYSMHNDLLPSLGATINHTIKLRKHIVSPYDPRYRLWEKFLIVLVLYSAWICPFEFAFRRYLPSTIFLVDNIINSFFAIDIVLTFFVAFVDHKSYLLVDEPKRIAVRYLSTWFIFDACSTFPFQTISFLFNGHSKSLGFKLLSVLRLWRLHRVNSLFARLEKDIRFNYFWTRCTKLFSVTLFAVHCSGCFNYMIADRYPDPERTWIGAVIPNFMEHNLWVRYVTAIYWSITTLTTTGYGDLHAENTREMVFGICYMLFNLGLTSYLIGNMTNLVVHGTSRTKNFRDTIQAASEFASRNKLPKHMEEQMLSHICLRFKTEGLKQQETLDGLPKAIRSSIAEYLFFPIVQKVYLFQGFSFNLIFQLVTEMQAEYYPPKEDVILQNEAPAYLYIIVSGAVVSNFSFLPVFLIHPHSCFQQFHIHNYYQNLQVHGRLTAGEIFGEIGVLCNMSQPFTIRTTELTQILRLNRTTLFNIIRQSRQDATIVMNNLSQVYN >Ma06_p32680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33575922:33576421:-1 gene:Ma06_g32680 transcript:Ma06_t32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKKVRRGLAGRCAALVKEQRARIYILRRCATLLLCWYIHGDD >Ma06_p27810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29692462:29692659:-1 gene:Ma06_g27810 transcript:Ma06_t27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVPKLLSLSSFTSITGAHILRPRKCSWTLDSECQALALTAFRRSNSSLDCFPRRIDDGWLAS >Ma02_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13710163:13720683:1 gene:Ma02_g02460 transcript:Ma02_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETKAPLVADDEGDSGGEKNLDVALNRLERFLALLGFPDSPSRARLAASCAAFLLLGVAVPSAAICLSRCSQSRGDEYEVQQFELCVLVSEASLAAVSLAAVSRNLLKYGVRRFLFVDQHHGQVDRLQQEYVCKIQEFFRLLLWWILPCFIVKTAREIVRFIYIFHESRWRSIVVFLASTMSWVYLTIILLSACMLFNLVCNLQVIHFEDYGKLLERDVDALICLEEHVRLRYYLSKISHRFRIFLLLLFLFVTASQFVTLFQTTGYNRKINFANAGDIAVSSVVQVIAVVLCLNAASKISHRAQGVASLASRWHAYVACCPADPQMQSTNSSWNLEAIPASSSLMDYSESDSESLENMALHNNAQLSSFVSSYHKRQALVMYLQSNPGGITIFGWTVDRALLNTLFSFELTLVLFVLGETIVFPSG >Ma04_p35240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34114137:34117080:-1 gene:Ma04_g35240 transcript:Ma04_t35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLVSLACQASQPLCTACLIPVHDEIKETLTACFQLRRNRSSLTEALSDLRATAQKVKDKVEEEEAHQRICNPDVRRWQKKVEEILRECDADQEHEEPKRCACLCGCDMDLLHRHRVARKVVQNLQDVNKLKSDGDAFTPPFTHEPPPEPVEELPFETQTIGMESALSQLLSRFDDAEKSIIGVHGLGGMGKTTLLKTLNNELKENTRDYHVVIMIEVANSETLNVVDMQKIIANRLGLPWNESETERERSTFLRRALRRKKFVVLLDDVWKKFQLADVGIPTPSSDNGWKLILASRSNQVCVEMGDKEPMEMPCLGDNESLRLFRSNLMAEVSAAIDHDSDMRRSAMDIIQSCGGLPLALNVVGCALACSTDAEEWKQAARAMRRYSWRINGVQEMLNVLKFSYDKLDATQQKCFLYCTLFPEYGSINKELLVNYWVAEELVSGKSYDGYPTVTKLVSACLLQRSDTEPGEVKMHRITRQMGHREATEERFMIKAGRALKQAPDVQRWTEASRISLMCNDIRELSIAPKCKGLLTLLLQNNPNLCHLGPKFFSYMSSLKVLDLSRTAIDKLPNCSALLLYLNMSNTYITQLPNNLWKLKKLTHLNLSETSALKVIPHGTISKLFNLRFLDLCRSHYGISELPDLNLEMLKDLELLGITIYSQAVLGKLKKSDPLARSTQRLSLAHCQGMESIQLLEFKKMRHLKELYIDSCNQLQDLIVDHVETEDTSIPPTKKRVSLGPAPHRFQHLRDLTIKSCPKLRNVSWVLRLESLERLVISQCDELEEVGVEACEQRRDGFPKLRSVVLSDLRKLRSISQTEDLPCLQNIKVERCPNLRRLPVGRMPKLEQIIGENEWWEGMPPSTKADLCNYFVPIEDKSDNSRNLLTQ >Ma07_p03870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2934994:2943670:-1 gene:Ma07_g03870 transcript:Ma07_t03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAADAPTRGGFSFDLCQRNEMLLKKGNQLPTFRKTGTTIVGLVFEDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVITALTLLKSHLFSYQGHVSAALVLGGVDITGPHLHTVYPHGSTDTLPFATMGSGSLAAMAVFESKFREGLTRDEGIKLVSEAICSGIFNDLGSGSNVDVCVITRGQTEYLRNHQLPNPRTYVSSRGYNFLKGHTEVLSTKITPLKSKVEAAAEVDAMEE >Ma07_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2934994:2943632:-1 gene:Ma07_g03870 transcript:Ma07_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAADAPTRGGFSFDLCQRNEMLLKKGNQLPTFRKTGTTIVGLVFEDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVITALTLLKSHLFSYQGHVSAALVLGGVDITGPHLHTVYPHGSTDTLPFATMGSGSLAAMAVFESKFREGLTRDEGIKLVSEAICSGIFNDLGSGSNVDVCVITRGQTEYLRNHQLPNPRTYVSSRGYNFLKGHTEVLSTKITPLKSKVEAAAEVDAMEE >Ma10_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32469456:32472971:1 gene:Ma10_g23660 transcript:Ma10_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVRGIQLNRVPSSAASIYGRRVVGDLLRDPGPGVPSCLFGQGFGRGFPSVAVADGAFWEDASGLCRTCLTSSRRRPMGGGLQSLGLGALDNSFLACGSGIPVVNNFAHVIHGKVVSVKIFGNGAAVDRISPREGGSNTLLMSPAQTGVSHASHPREMGPSLCSLWMEDRFAFKEYDVNGKECIPGLTFHVDVIFDENLILGRTNTNLIAVPSWTKMGGRRVVLRHNGTYNCSSYIVEAYSFNKDLSLKYSPLGSSMAGLNSRFQTVQVSWVPRSAVAPSWMKHHVR >Ma05_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32173376:32174745:-1 gene:Ma05_g20510 transcript:Ma05_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLRPLKNLPPTAEASGGATNSAAISLSPSLFIISAIVAFVFVASASIHLLLRFLSSRRRSSSVAAAPPLPPLFQLRHSDFSSPSSSAAAAAVASDFGLSDQDKKALIDSLPLFSLASSLAVLPKSSPDCAVCLYPFRPHDELRLLPACRHAFHSRCVDPWLRSTPSCPLCRSSIALPAPPLPPPPPASLLTIATYGNPSRSGSFRVEMGSVSRRTPTEAEPAVNPPQHLRTYSIGSSFEYVVDEEVEAIVARIRRRAEKEEKREAAAEPASSAIVAGPAPAAAEATGVGGRGWLRAYVDRLASSASTSFSSFRFSGQWSHRYDGGDGGGVARYSSDLEGSARREAEEGGYYVFYRWLIGA >Ma07_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24652663:24655625:-1 gene:Ma07_g18160 transcript:Ma07_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYTVLAAVVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPFTMNLRFIAADTLQKLLVLAALAAWSNLLPHRHPLPGGAAPLDWSITVFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARLLIAEQFPDTAASIVSCRIDPDVVSLDAGAAEAAAEVGSDGKIHVTVRKSTSSRRSAMMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHAEFFAMVGGGAPAPAFRPSSFGAADIYSLQSSRGATPRPSNFDDDQHHRYLHHQPPEISTAEKKPYPQLNYHRHHHNRPGAATATADAKELHMFVWSSSASPVSEVSGIHAFGGQDLASLEPGGRADHGAKEIRMLVPTELARNGPTTKGDACRAEEFSFGGEMRESEQQTGVRATRVLQKLGSSSTAELDPKGGGTPDGKRAGGAAHHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVAFRWHVTMPKIVEQSISILSDAGLGMAMFSLGLFMALQPRVIACGNKVATFAMAVRFLAGPAVMAAASVAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYGVHPAILSTAVIFGMLIALPITLVYYILLGL >Ma04_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24045690:24049293:1 gene:Ma04_g21490 transcript:Ma04_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLESFAVTRPPHGVAPASAPRAAAVAYVPSPLGRCGLGLLPRFTGLRCASLSPSPRVKPAAAAPRSRGAVVCEAQKTAVQLPEVTKSTWQSLVLDSSVPVLIDFWAPWCGPCRMIEPTVVKLAKAYEGKLKCYKLNTDESPDIATQYGIRSIPTMMIFKNGEKKDAVIGAVPESTLVASIEKFV >Ma04_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7037754:7038444:1 gene:Ma04_g09890 transcript:Ma04_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQCCSTSTSEDTRKSPPPAVGQRAAASGGGGSRERPYRGVRMRKWGRWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVYYLRGRSARLNFPDEILAEDVDGEGFGVSGVASMSAASIRKKATEVGARVDALQTGLTSRPSPHLRQQRGHHQHQLEQQQLPSRRAMNPDLNQEPSPEDSDKD >Ma05_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29144849:29153240:-1 gene:Ma05_g19780 transcript:Ma05_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRSAMDLAAVVAVATLLLCFGVVGVRSDASNHRYKTGDHVPLYVNKVGPFHNPSETYRYFDLPFCTPEHVTEKKEALGEVLNGDRLVDAPYKLDFRVDRESEVLCKKKLKKEDVAKFRSAVTKDYYFQMYYDDLPLWGFIGKVDKEGKDLGEYKYYLYKRFHFDVLYNNDRVIEIIVHTDPNTLLDVTEDRDTEVEFLYSVKWKETSTPFEKRMEKYSQTSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRFPKNKSLLAACLGSGTQLFTLTVFIFILALVGVFYPYNRGALFTALVIIYALTSGIAGYAATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKIEFQAPCRTTKYPREIPELPWYRQAIPQMIMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILIIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFVYGYCWYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGMVGFRAALLFVRHIYRSIKCE >Ma07_p23660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31226454:31234954:-1 gene:Ma07_g23660 transcript:Ma07_t23660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVRLKTSVHRKRKVSTERGKEAGIANSSKRRNQMNRENTKADGIKCNSKPYRRTKIREVSSPSLSGGKQQQLYREGKFESDDVKGSFGSEPRDRKRKNADATRKTPVRENSSIAMKRKLSEAAVFRRRGSHVKREIIDEDDSYADEGSNDRASVLSGRSVKNNTGRGKKGDIEGGGASDDASRVKLRSKLINSLETSADNLRLKGKEIPRVMYADRHVVGSGDEVSEKHAGKKDKADPIAEQKHRRYQTRVLDKYGRKTRINRKALADGTEELGRPLKKKKRVIKIDPYDISNKRLDDSVSNNENVSEEKAEMSKNAQFRAIQPSPAILSFVEDNLLGRRRLIELQNAGYNVKLSAPLDNVPFSTSTERERIEENVFRNKLEFFAAAKVSTSIPPPMIPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLQQVCLLIDTKWGMKPRDHELIDLMERSQTPYQIVLTKTDVVFPIDVARHAMQIQENFKTNKSVINPVMMVSSKSGAGIRNLRTVLAKLARYVKP >Ma07_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31226703:31234954:-1 gene:Ma07_g23660 transcript:Ma07_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVRLKTSVHRKRKVSTERGKEAGIANSSKRRNQMNRENTKADGIKCNSKPYRRTKIREVSSPSLSGGKQQQLYREGKFESDDVKGSFGSEPRDRKRKNADATRKTPVRENSSIAMKRKLSEAAVFRRRGSHVKREIIDEDDSYADEGSNDRASVLSGRSVKNNTGRGKKGDIEGGGASDDASRVKLRSKLINSLETSADNLRLKGKEIPRVMYADRHVVGSGDEVSEKHAGKKDKADPIAEQKHRRYQTRVLDKYGRKTRINRKALADGTEELGRPLKKKKRVIKIDPYDISNKRLDDSVSNNENVSEEKAEMSKNAQFRAIQPSPAILSFVEDNLLGRRRLIELQNAGYNVKLSAPLDNVPFSTSTERERIEENVFRNKLEFFAAAKVSTSIPPPMIPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLQQVCLLIDTKWGMKPRDHELIDLMERSQTPYQIVLTKTDVVFPIDVARHAMQIQENFKTNKSVINPVMMVSSKSGAGIRNLRTVLAKLARYVKP >Ma07_p23660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31226454:31234954:-1 gene:Ma07_g23660 transcript:Ma07_t23660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVRLKTSVHRKRKVSTERGKEAGIANSSKRRNQMNRENTKADGIKCNSKPYRRTKIREVSSPSLSGGKQQQLYREGKFESDDVKGSFGSEPRDRKRKNADATRKTPVRENSSIAMKRKLSEAAVFRRRGSHVKREIIDEDDSYADEGSNDRASVLSGRSVKNNTGRGKKGDIEGGGASDDASRVKLRSKLINSLETSADNLRLKGKEIPRVMYADRHVVGSGDEVSEKHAGKKDKADPIAEQKHRRYQTRVLDKYGRKTRINRKALADGTEELGRPLKKKKRVIKIDPYDISNKRLDDSVSNNENVSEEKAEMSKNAQFRAIQPSPAILSFVEDNLLGRRRLIELQNAGYNVKLSAPLDNVPFSTSTERERIEENVFRNKLEFFAAAKVSTSIPPPMIPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLQQVCLLIDTKWGMKPRDHELIDLMERSQTPYQIVLTKTDVVFPIDVARHAMQIQENFKTNKSVINPVMMVSSKSGAGIRNLRTVLAKLARYVKP >Ma07_p23660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31226454:31234954:-1 gene:Ma07_g23660 transcript:Ma07_t23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVRLKTSVHRKRKVSTERGKEAGIANSSKRRNQMNRENTKADGIKCNSKPYRRTKIREVSSPSLSGGKQQQLYREGKFESDDVKGSFGSEPRDRKRKNADATRKTPVRENSSIAMKRKLSEAAVFRRRGSHVKREIIDEDDSYADEGSNDRASVLSGRSVKNNTGRGKKGDIEGGGASDDASRVKLRSKLINSLETSADNLRLKGKEIPRVMYADRHVVGSGDEVSEKHAGKKDKADPIAEQKHRRYQTRVLDKYGRKTRINRKALADGTEELGRPLKKKKRVIKIDPYDISNKRLDDSVSNNENVSEEKAEMSKNAQFRAIQPSPAILSFVEDNLLGRRRLIELQNAGYNVKLSAPLDNVPFSTSTERERIEENVFRNKLEFFAAAKVSTSIPPPMIPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLQQVCLLIDTKWGMKPRDHELIDLMERSQTPYQIVLTKTDVVFPIDVARHAMQIQENFKTNKSVINPVMMVSSKSGAGIRNLRTVLAKLARYVKP >Ma07_p23660.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31226454:31234954:-1 gene:Ma07_g23660 transcript:Ma07_t23660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVRLKTSVHRKRKVSTERGKEAGIANSSKRRNQMNRENTKADGIKCNSKPYRRTKIREVSSPSLSGGKQQQLYREGKFESDDVKGSFGSEPRDRKRKNADATRKTPVRENSSIAMKRKLSEAAVFRRRGSHVKREIIDEDDSYADEGSNDRASVLSGRSVKNNTGRGKKGDIEGGGASDDASRVKLRSKLINSLETSADNLRLKGKEIPRVMYADRHVVGSGDEVSEKHAGKKDKADPIAEQKHRRYQTRVLDKYGRKTRINRKALADGTEELGRPLKKKKRVIKIDPYDISNKRLDDSVSNNENVSEEKAEMSKNAQFRAIQPSPAILSFVEDNLLGRRRLIELQNAGYNVKLSAPLDNVPFSTSTERERIEENVFRNKLEFFAAAKVSTSIPPPMIPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLQQVCLLIDTKWGMKPRDHELIDLMERSQTPYQIVLTKTDVVFPIDVARHAMQIQENFKTNKSVINPVMMVSSKSGAGIRNLRTVLAKLARYVKP >Ma03_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14515881:14517299:-1 gene:Ma03_g14710 transcript:Ma03_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G25680) UniProtKB/Swiss-Prot;Acc:Q9SL95] MATNAVNPCDGDTVEDKPDRGIPATIVAAARANLLFRSRWAEVNGALGDLGTYIPIIMALALAKDLDLGTTLVFTGVYNIITGFIYGVPMPVQPMKSIAAVAISTASFGVPEIMAAGICTSAVVFLLGATRLMELAYKFIPLPVVRGIQLAQGLSFAMTAVKYVRYDQDLAKGKASGDRRWMGLDGLVLAIAATVFVVIVNGAGEAAEQGREEQVIHEQGGGRRRAKSWRKVIFSVPSAVVVFVLGIILDIIREPGVVKELKAGPSRIHVVKISKHAWKEGFIKGAVPQLPLSVLNSVIAVCMLTTDLFPDKVASATSVSITVGLMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGACVALLGAAKLAVGLVLGGSLLRLLVEFPVGLLGVLLLFAGVELAMAARDMSSKAESFVMLICAAVSLVGSSAALGFVCGIVVHVLVVVRRLLTTDRNM >Ma04_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20356816:20374489:-1 gene:Ma04_g18440 transcript:Ma04_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLWLLLSLGVSVLSLLLLSRAAKRSSKSGGHQLPPGPTPLPVVGNLFELGDKPHRSLARLAKLYSPVMTLRLGRVTTVFVSSPEMAGEILQKNDAVLSSRWIPEAVRVLDQSEASMVWLPPCQRWRKLRRICKTQLFTAERLDSYQSLRREKVQELMQYISDSTSKGLLVHVGHVAFSTTLNLISRTVFSVDLVDLYAESAQEFKQVVEGITEEAGRANLSDYFPLLAKLDPQAKRKVHPTPIRLTDHQRPITSRTPKRTNSLFGFNPTTPRGVRGEGARGRGPAPHSRARRAPQGPSPKLTWGRCRGPRVGTGKAPDSGHHEAEEEAAEGGGTEEGMSRYGMITLMERSRVSG >Ma06_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1462693:1468051:-1 gene:Ma06_g01790 transcript:Ma06_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSNRASCSRSSSARSKHSARVVAQTTLDAKLHADFEDPDHPFDYSSSIGAANRSSGADSSAVPSSAVSTYLQTMQRGKLIQPFGCLLAVEDETLAIIAYSENAPEMLDLAPHAVPTMEQREALTIGTDIRTLFRSPSSVALQKAAGFSDVNLLNPILVHCRSSGKPFYAIMHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVREVSDLTGYDRVMAYKFHEDEHGEVIAECRRPELESYLGLHYPATDIPQASRFLFMKNKVRMICDCSAPPVKVIQDKRLTQPLSLCGSTLRAPHGCHSQYMANMGSTASLVMSVTISEDEDEAGGDQQHKGRKLWGLLVCHHTSPRFIPFPLRYACEFLMQVFGVQLNKEVELGAQLKEKHILRTQTLLCDMLLRDAPIGIFTRSPNVMDLVKCDGAALYYRNQVWLLETTPTEAQIRDIVAWLVECHDGSTGLSTDSMTEAGYPGAAELGDAVCGMAAIKISSRDFLFWFRSHTAKEIIWGGAKHEPVDKDDEDRRMHPRTSFKAFLEVVKRRSLPWEDVEMDAIHSLQLILRGSLQGETVDVDSKIIVSASPDDANKIQWVDELRTVTNEMVRLIETASVPIWAIDASGNINGWNSKAADLTGLPVQEAIGMPLIDIVKDDSVDVAKNVLHLALQGKEEKNIEIKLKSFSHQESNSSVILVVNSCCSRDVKDNIVGVCFVAQDVTGQKLMMDKYTRIQGDYVAIVQNPNELIPPIFIVNEYGCCFEWNSAMEKVSGIKRKDAIDKMLVGELFCLHGFGCRVKDHDTLTKLRIVLNGVMAGEDADKFIFGFFDLNGKYVEALLSANKRIDSEGKNTGALCFMRVASPELQHALQVQKLSEQAAINSLKELAYLRQEIRNSLNGITFTQNLMEATDLTEEQKQLLRRKALCQEQLAKILDDMDLDSIEQCYMELNTVEFNLGEALDAVINQGMALSREREVALLQDWPAEVSSMYLYGDNLRLQQVLADFLSSALQFAPVADGSIALQVIPRKERIGTGVQVVHLKFRIIHPAPGIPETLVQEMFHHSQGMSREGLGLFISQKLVKIMNGTVQYLREAERSSFIILVEFPLVQHHTGSRTHGSSTSKKKLHLA >Ma04_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11465007:11465456:-1 gene:Ma04_g15160 transcript:Ma04_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDEAASIKLFGAVILKEDRQAKEKKEAQDEAAAAREAAAAVALPCPRCKSKETKFCYFNNYNVNQPRHFCKACHRYWTAGGTLRNVPVGAGRRKVRRAPHGGVSATAGPATCVLEHPSPPYLAARWLLRPEAPPRADYGTFNGGLC >Ma09_p22490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34412621:34428528:1 gene:Ma09_g22490 transcript:Ma09_t22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEANDSNTIAVHNPRARKLRSLVWNDFTKERKADGSYVAICNHCKKQLTASSRSGTTHLKNHLVICTSTKRIKRKKLVVRRLVLKSTDAKSEDAVSSEHSHFDQESSRQDLARMVILHGYPFNIVHHVGFRTFIRNLQPMFKLVSADVVKADCMKIYENERLRLLEVLDKLHSRISLTIDVWRSIEDAKYVCLTCHYVDNDWQLQKKILNFFHVGSLEMGQEISKTILEKLLEWNIDGKLCTIVLDNCGTSDLVASELLGYLRVKGFLISNGEMFYARSGGQLLNIVVQTALDSACEIIGRIRACVQYVKSSHERLARFQKDAEQMGIPQKQLVLDSPASWPSTHLMLKTACQYQEAFKHLAECDIESIDFPSPKDWDDVRAIIDCLEVFYDAMEKFSATRIPTASLYFNEMCGIHFLLKTRYKSPQPFIASMAKEMLEKFEQYWEFNRMLMAIASVLDPRYKMKSVEYFFTKVFDDSSEAKTRIDNVHDSFINLYNEYIGQSANSLKSQAFYSGTSGGYSSAEFGNDGECKTSHITLSDTQRGLDQYLKETSSGHPARSDLDMYLEEAVHPSNSPDDNFDILAWWKYNAAKYPALSMMARDILGIPISVDLVDSDARTLNQYLSSTDPVTIECLICAQDWIGNETEVSPVDALALVSLEGNGDEVMVPAGGD >Ma09_p22490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34412621:34428238:1 gene:Ma09_g22490 transcript:Ma09_t22490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEANDSNTIAVHNPRARKLRSLVWNDFTKERKADGSYVAICNHCKKQLTASSRSGTTHLKNHLVICTSTKRIKRKKLVVRRLVLKSTDAKSEDAVSSEHSHFDQESSRQDLARMVILHGYPFNIVHHVGFRTFIRNLQPMFKLVSADVVKADCMKIYENERLRLLEVLDKLHSRISLTIDVWRSIEDAKYVCLTCHYVDNDWQLQKKILNFFHVGSLEMGQEISKTILEKLLEWNIDGKLCTIVLDNCGTSDLVASELLGYLRVKGFLISNGEMFYARSGGQLLNIVVQTALDSACEIIGRIRACVQYVKSSHERLARFQKDAEQMGIPQKQLVLDSPASWPSTHLMLKTACQYQEAFKHLAECDIESIDFPSPKDWDDVRAIIDCLEVFYDAMEKFSATRIPTASLYFNEMCGIHFLLKTRYKSPQPFIASMAKEMLEKFEQYWEFNRMLMAIASVLDPRYKMKSVEYFFTKVFDDSSEAKTRIDNVHDSFINLYNEYIGQSANSLKSQAFYSGTSGGYSSAEFGNDGECKTSHITLSDTQRGLDQYLKETSSGHPARSDLDMYLEEAVHPSNSPDDNFDILAWWKYNAAKYPALSMMARDILGIPISVDLVDSDARTLNQYLSSTDPVTIECLICAQDWIGNETEVSPVDALALVSLEGNGDEVMVPAGGD >Ma09_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34412621:34428148:1 gene:Ma09_g22490 transcript:Ma09_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEANDSNTIAVHNPRARKLRSLVWNDFTKERKADGSYVAICNHCKKQLTASSRSGTTHLKNHLVICTSTKRIKRKKLVVRRLVLKSTDAKSEDAVSSEHSHFDQESSRQDLARMVILHGYPFNIVHHVGFRTFIRNLQPMFKLVSADVVKADCMKIYENERLRLLEVLDKLHSRISLTIDVWRSIEDAKYVCLTCHYVDNDWQLQKKILNFFHVGSLEMGQEISKTILEKLLEWNIDGKLCTIVLDNCGTSDLVASELLGYLRVKGFLISNGEMFYARSGGQLLNIVVQTALDSACEIIGRIRACVQYVKSSHERLARFQKDAEQMGIPQKQLVLDSPASWPSTHLMLKTACQYQEAFKHLAECDIESIDFPSPKDWDDVRAIIDCLEVFYDAMEKFSATRIPTASLYFNEMCGIHFLLKTRYKSPQPFIASMAKEMLEKFEQYWEFNRMLMAIASVLDPRYKMKSVEYFFTKVFDDSSEAKTRIDNVHDSFINLYNEYIGQSANSLKSQAFYSGTSGGYSSAEFGNDGECKTSHITLSDTQRGLDQYLKETSSGHPARSDLDMYLEEAVHPSNSPDDNFDILAWWKYNAAKYPALSMMARDILGIPISVDLVDSDARTLNQYLSSTDPVTIECLICAQDWIGNETEVSPVDALALVSLEGNGDEVMVPAGGD >Ma04_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7054269:7063577:-1 gene:Ma04_g09920 transcript:Ma04_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVHNGNWDNVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARTIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGALAPSPVNNSLLGSMPKAGGFPPLGAHGPFQPAPAPVPMPLAGWMSNPSAVTHPAVSGGAIGLNAPTNPVAILKHPRTPPTANPGIEYASADSDHVSKRTRPIGISDEVNLPVNILPVSYPQSHNQATYTLEDLPKTVARTLSQGSNPMSMDFHPVQQTILLVGTNVGDIALWDVGTRERLILKNFKVWELGSCSMSLQASLVKDPAVSVNRIIWSPDGSLFGVAYSRHIVQIYSYHGGDDIRQHLEIEAHVGGVNDIAFAYPSKQLSVITCGDDKTIKVWDATSGTKQYTFEGHEAPVYSVCPHHKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGETFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTRNRFLAAGDEFLIKFWDMDNTNILTTVDADGGLPASPRIRFNKEGTLLAISTHDNGIKILANTDGLRLLRTLENRSFDASRTVSETVTKPVISPLSAAASAATSSGIITPPMAIAGMNGDSRNLVDAKPRITDESMDKSKIWKLTEVNEPTQCRSLRLVDNLRTSKISRLIYTNSGIAILALASNAIHLLWKWPRNERNSSGKATASVAPQLWQPPSGILMTNEITDTNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCLWGTDGWEKHRSRFLQIPPGRTPAAISDTRVQFHQDQIHFLAVHETQIALYETTKLECVKQWAPREGSAPISHATFSCDSQLIYASFLDATICVFNATNFRLRCRILPAAYLPASVSTTLYPLVIAAHPSEPNQFALGLTDGGVHVLEPLESEGKWGVNPPTDNGSASSISAPLPAGASNSDQPQR >Ma10_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25502459:25503539:1 gene:Ma10_g12370 transcript:Ma10_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPAGLSSTVAPSRTSIPPPLLSSPPSHAGLRGPSDRYALRSAFFSPSLRLLLSAPSRGSTAAPRFSMRVVSKQAYICRDCGYIYNDRTPFEKLADKYFCPVCGAPKRRFRAYEPAVAKNANDIDVRKARKAQIKKDEAIGRALPVAIALGVAGLAGLYFYLNNVY >Ma02_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13980324:13981087:1 gene:Ma02_g02670 transcript:Ma02_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERHQSQGVESPSELACDRDVSWKDSGSSSMSAITFGLAATAVLVSMFLVMAIFEHLIIPRVSFFRLRSNARGSSETGQLPVQTHLQEKIQDSVAVGAQHTSDLSVLMPGQDYPTFIAQPAPLPCQREGMHWPSHGPHQMALRLLCKKCFHELKFNGSEKAFRSQ >Ma07_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2783462:2791621:-1 gene:Ma07_g03640 transcript:Ma07_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHGWQLPAHTFQVVAITVFFLLVVAFYAFFAPFLGKHIFEYVAIAIYTPVAVAVFILYVRCTRINPADPGIMSKFDNNSKIQQSDQTGLPGKQSSSCCSFGGFMCALFIKEDCRKLEETDQQAGGEDALFCTLCNAEVRRYSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYVTFMALMATSLIWLALECGVGIAVFVLCFVDKNRMENDVEEKLGNGFSRAPFATVVAVCTAVSVVACVPLGELFFFHMILIKKGITTYEYVVAMRAMSEAPPASVDEEAPNPVYSPTNSSTTGLSAGSSLGFQYKGVWCTPPRIFVDQQDEIIPHLEPGMVPSTVDPDAAGYVEKAAKSKKAVKRNPWKLAKLDPSEAMKAAAKARASSSVLRPMDAHRVLVPDLSSSVNASSRSSLSIEYNATKGSRGELKLSPLGNSYPQSIASKDDYETGTQSTSSLSSPAHTHELIAPSSLLVQHSLPDRPPPYIPAGPPPATQLANTMFQSATAMARENRRASVVWDQEAGRYVSVPATARTENSAEAPVKAFWIPLPISSAETGAYGRKASQPNVSTSVTPPLQQQESLTYTGQSIFFGGPLLNAPLKDTKRSDGSTVTRPPESESTPSTSRDTRERAQAVDSFPVFAPGTFQRNLPSNPR >Ma07_p03640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2783462:2791621:-1 gene:Ma07_g03640 transcript:Ma07_t03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHGWQLPAHTFQVVAITVFFLLVVAFYAFFAPFLGKHIFEYVAIAIYTPVAVAVFILYVRCTRINPADPGIMSKFDNNSKIQQSDQTGLPGGPLSCEVDNNATEAHSSPASASRSSLDGSTNRKSSAVGDVNTNISISVGKQSSSCCSFGGFMCALFIKEDCRKLEETDQQAGGEDALFCTLCNAEVRRYSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYVTFMALMATSLIWLALECGVGIAVFVLCFVDKNRMENDVEEKLGNGFSRAPFATVVAVCTAVSVVACVPLGELFFFHMILIKKGITTYEYVVAMRAMSEAPPASVDEEAPNPVYSPTNSSTTGLSAGSSLGFQYKGVWCTPPRIFVDQQDEIIPHLEPGMVPSTVDPDAAGYVEKAAKSKKAVKRNPWKLAKLDPSEAMKAAAKARASSSVLRPMDAHRVLVPDLSSSVNASSRSSLSIEYNATKGSRGELKLSPLGNSYPQSIASKDDYETGTQSTSSLSSPAHTHELIAPSSLLVQHSLPDRPPPYIPAGPPPATQLANTMFQSATAMARENRRASVVWDQEAGRYVSVPATARTENSAEAPVKAFWIPLPISSAETGAYGRKASQPNVSTSVTPPLQQQESLTYTGQSIFFGGPLLNAPLKDTKRSDGSTVTRPPESESTPSTSRDTRERAQAVDSFPVFAPGTFQRNLPSNPR >Ma04_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16927882:16929587:1 gene:Ma04_g16950 transcript:Ma04_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIAGRRDLHFVLIPWLGTSHTIPMIDIGRLLAERGGVTVTIVMTPANAAQLKPTIDHIATSGLPIRFVFLPFPSVEVGLPEGCESMDSLPAFDMMPNLYDGSKLLRQPLEELLREQALAPSCIICGAYYPWTPAVARELGIPCFVFHGFGSFALFCMHNLYRYRPHERASSPTEPFLLPGLPFQFEIARQQLPVHFQLLPHFMEMCNEVREGELAMDGVLVNSFDDLEPGYAERLAAASGKKVCTIGPVSLCYRSGRLDMADRGKKLSVDASRCLDWLDSMKPRSVIYVSFGSLGSLASEQLMELGYGLLASNRPFIWAINGVEAVEEWMQEKLEKGGVDPKFLLILGWAPQVMILSHPAVGGFLTHCGWNSTLESASAGVPMATWPLFAEQFLNQKLIVDAVGIGVAVGVKTSMRRPEQAAEEGSAVKREVIAEVVERLMDGREEGEERRRRAKEFAAKASKTVATGGSSYDNMTRLIQLVATQRSRR >Ma07_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5657870:5667276:1 gene:Ma07_g07610 transcript:Ma07_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSAVARLGCIPPDSLVLRRNHADSHHFLVSNFSPPRGILKRILCRSLIFTQKHNNQKVLCYQKQEFPVLSERSIEKVYDSLAEHLLSSFAKVQDINSKYIVGLAGPPGAGKTTLSSEVVRRLNNLWSQKATGKNSVSPLEFAIVLPMDGFHLYCSQLDAMENPEEAHARRGAPWTFNPELLLKCLHSLRNEGSTYAPSFDHGVGDPVEDDVFVSSEHKVVIVEGNYLFLEEGIWQDICSIFDEKWFLDIDINVAMERVLKRHISTGKEPDVAKWRIEYNDRPNAELIMGSKKNADLVIKSVDF >Ma06_p32590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33476588:33483403:1 gene:Ma06_g32590 transcript:Ma06_t32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPKKRKRRVTRQRQRRRRRDHRVTAHSRPEERGVDFFGPSVAKYWRQRYSLFSRFDDGILMDEEGWYSVTPEAIAAAHAARATSLAGRGCPLVLDGFAGVGGNAIQFASRGCHVVAVDIDPRKIGFAVHNAKIYGVEDRIDFVTGDFFRLAPRLKGDILFLSPPWGGPSYRDFRSYTLDLLMPRDGYSIFQLAQQITPNIIMFLPRNVNLNQVEELSWLSSPPLKFEIEANHVQTKVKAITAYFGDIAAGSSGLSKICSGVTS >Ma09_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8136479:8139737:1 gene:Ma09_g12020 transcript:Ma09_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGHPEGEVWGTWEELLLAFAVNRHGTRSWDSVAMEIQSRSPFSHLLTPQSCRRRYRDLKLRFAGSGGEEGRHEDDEGEEAGSSVEVPWVEELRKLRVAELRRDVERYDASIGYLQLKVKRLEEERERSLRETESVEEKPDQEKEAGNSASHTPEAAVGDAISGKDSGRSCGESNSTLPKEGEKKLDGDDGKAEEAVGTGGESADPVERLPAGESGESMVAESKGEEEEEGEKEGSDVQCSRSPSRRRRGRRRRLIPGGCNSREEADADADAGAAEESIIMGKRVAAESEPLMMFLDTIRSDQQVSILERRLGCQETVRYHSLIRRHVDLEMVRAKVDGLGQEGGSYTTAEFLRDLLLLCTNVIVFHPKDSPESIAAARLRDKVTKEISVCVGPPTPSSRPPIEPTPSPTPDLDLTASLPDKPTTAAPLIACRTRSSTSNVSEEVEEEKEETPKTEPEESVNEEKAALEKKVNKETNVLSRKTRGLRTNKLRSGHAGEGPAAKRPSVAVVPNVKTRPVQNAAVVDAAATSHRKSDGSVASTAALEKQKRQDNSPNQIKRSSKGTVKETLKSPSSRGGGVGGKAAEIQRKRGRSIGGKDQRTRQGTGAIRVESATKKVADTSGPAKRSVGRPPKRAASPSTLRPGKRAKGHTEARAPPGSRKRGRK >Ma06_p26900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28920299:28921352:-1 gene:Ma06_g26900 transcript:Ma06_t26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSNLLVSTSTDLSHCYHHLEIKVINEAHELTRQLRAVLLPSLPAGSLADLAREILDKIIKSCSLAAFMLQSGGHGHQTSKQHGEGERPMLDGHKKRKSQNASSIVTSVPYEDGHQWTKYGQKRINGAKYPRSYHRCIYHKDQDCPATKTVQRVDRDADPPEFIVVYNMQHRCRSSDRHIPFVMESAEASLVKNQSRTDTPSDGDPQMTHLLLSDEISNISLTPEQWNLDNDLDPVMSLFEFADADPFYSSVLMNLR >Ma06_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5779356:5779730:-1 gene:Ma06_g08150 transcript:Ma06_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHELSLLILLPSLLFLVASSSPHLIHGVEFQPTVVAGCNGTIAECHAATTELLMDSEIHRRFLQTKNTITYPALNADKVSCSGVGKPYTTNCIKPRSPNRPSRGCTPIYGCRRPQSSPVSTLH >Ma05_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30932281:30934130:-1 gene:Ma05_g20000 transcript:Ma05_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKYMHRNLQPNTKIGWNLEIPTWIKNVEARTYAEENGLFFLETSAKTAINVNDIFYEIARRLPRAQPAQQPAGIDLANRPAERSQASSCCS >Ma05_p27740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38909562:38910266:-1 gene:Ma05_g27740 transcript:Ma05_t27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDEQRRSQKSIIRSLDKRSKGLCKKATELSTLCNADVCLVCYRPGATAPVVWPEDPTRVGRTIGRYLAASPSKKLAKNQVSFKNPNPGQAQEAVTNNDDDDDEEEEECKEAKARKGKKKVVDTEDSLRLPWPDDDCALGSLVEALDSRLKKVRDRIEQLVAEDIASTSTAPAPQDKYSTATGGASTSCSAYREPPAPAFATVCPCMYCPLHGYWAMRRLHGQDQNRREDRS >Ma01_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9709549:9711316:-1 gene:Ma01_g13270 transcript:Ma01_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSPPPKPGGGERKIAPPPKPGVSERKKAVICGVTDRNTPNELMGCINDAKCMKYLLINRYSFPKSNVIMLTDER >Ma02_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23509445:23515547:-1 gene:Ma02_g16030 transcript:Ma02_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRSAAFSAARPPLPMLRAGSNLPDGSHCSVPTVSSSSSSSFSTDLPSFLPRIRPLSCRPTRFFLTPRLANQKSSLGLTTVVKAAEDVAAAAAGGTENHGEAAVSSYGSSSTSGMVKKLQLGFLFGLWYLFNIYFNIYDKQVLKVYPFPITITTIHFAIGTVLIWLMWVTNLYKRPKISSKQLAAIVPLAIVHTLANLFTNMSLGKVAVSFTHTIKALEPFFTVVLSAMFLGELPTLWVLISLVPIVGGVAMASLTEASFNWAGFWSAMASNLTNQSRNVLSKKIMDKDEETMDHITLFSIITVMSFFLLAPVSLLVEGIKFTPSYMRFAGLNLKEVYVRSFLAGVCFHAYQQASYMILAEVSPITHSVGNCVKRVVVIISSVLFFRTPVSPLNSIGTGVALIGVFLYSRVKRTKSKSA >Ma07_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22148317:22182645:1 gene:Ma07_g17810 transcript:Ma07_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGWERMPTVRLGGAGAASAGVASRRREAAELRQVSSQTVRLGRVQPQAPSHRTIYCNDREANNIARFKGNSISTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVSPVTNVVPLSLVLLVSLVKEAFEDWKRFQNDTAINSTPVDVLQGQRWESISWRKLQVGDIVRVKQDGFFPADLLFLASTNADGICYIETANLDGETNLKIRKALERTWDYSLPEKAAEFKGEIQCEQPNNSLYTFTGNLVIESQTLPLSPNQILLRGCSLRNTEYVVGAVIFTGHETKVMMNSMSVPSKRSTLERKLDKLILTLFGGLFLMCLIGAIGSGIFINRKYYYLGLFGDVEDQFNPNNRFVVAILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINKDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEAYGTGITEIEKGQAQRTGKKMNEVNRSESSDTAVHEKGFNFDDARIMCGAWRNERDPEICKEFFRCLALCHTVLPEGDESPEKITYQAASPDEAALVTAAKNFGFFFCRRTPTTVMVRESHVERMGNIQDVSYEILNVLEFNSTRKRQSVVCRYPNGRLVLYCKGADTVIYERLADANQDIKRLTREHLEQFGSAGLRTLCLAYRELTNDLYEKWNEKFIQAKSSLRDREKKLDEVAELIEMGLILIGCTAIEDKLQDGVPACIETLSQAGIKIWVLTGDKMETAINIAYACNLINNDMKQFIITSETDAIREAEDKGDPVEIAHIIKDSVNHDLKRCLEEAQQYLHISGQKLALIIDGKCLMYALDPNLRVNLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYTRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPELYKEGIRNMFFKWRVVAVWAFFALYHSLIFYYFTTAASQNGHNSSGKIFGLWDVSTMAFTCVVVTVNLRLLMACNSITRWHHLSISGSILAWFVFIFIYSGVMTPYDRQENIFFVIYVLMSTFFFYLTLLLVPIVALLGDFLYLGVQRWFFPYNYQIVQEIHRNELEGTSRTELLEIGNHLTPDEARSYAISRLPREKSKHTGFAFDSPGYESFFASQQGVFAPQKPWDVARRASTRSKRTSQR >Ma06_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18557450:18562099:-1 gene:Ma06_g22540 transcript:Ma06_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERSPSPMASREKDRELLIPMADGTADADHPDAKASSSSASARTHSSGREAFYKVIRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAGLGINIFGLGFVTSITFIFLVGVLMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAVSPDQNKQAFKEVAIIRHPRVGEYAFGFITSTVVLQSYNGEEELCCVYVPTNHLYIGDVFLVNSNDVIRPNLSVREGIEIVVSGGMSMPQIITTLHQC >Ma09_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7093089:7094004:1 gene:Ma09_g10410 transcript:Ma09_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAIKVGTWGGNGGSAFDMGPAYRIISVKVFSGDVVDGVDVTFTYYGKTETRHFGGSGGTPHEIVLQEGEYLVGMAGEVANYHGAVVLGKLGFSTNNKAYGPFGNTGGTPFSLPIAAGKISGFFGRGGKFLDAIGVYLEP >Ma03_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26978706:26979227:1 gene:Ma03_g22200 transcript:Ma03_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKTLSHIHPSAKQRGAAASTEKIQMANTKAVAVALLCVMVLSVAAVDAVSYTTVDNSCFCFCMIERCMVTPGATKEACAPPCDEGCVKAGLHGRIDEHDFCGYR >Ma08_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33992137:34001018:-1 gene:Ma08_g20170 transcript:Ma08_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFSFVSPSSFLISLPAPRLPPPFHPKVDSLSSFDPSSARIRIGRRSRKGIFLKAREEEQEDVFAMGPRFMDVEAEIYEFMRKSDKPMDFPTRRELVAAGRADLAEAVAAQGGWLAMGWDLDGDEVIDVGTESRSGVGQEDGRICQERVSCGSLMSDVVGTLAAEDNTAAPSSSGRSLETENVEDDGIDGILSRLEKERGLSFVMGSREKAVYDKNSWRDAVHDPGDTTLVSHKLALCSNDRNSRSESVESENIFLHHSGGVYSQNGASKDVNITKSSTPDMWRSWSLKRAGFSIKEFEAAEIVPTDDRKTLEADPLDAEYRSVHKQTRNSFNSDNGINEPAADKSQIQLRLQHLEADLSSALQLARSRAGAVSQKHQKNSTDELHSLCDAWEFQETEIMNARNKLRSIRAKLAVLEGKISFEIMEARKRTEEKQNRIDSAQNALLLLHTTNIVWPNSASEVLLAGSFDGWTGQRRMERSSSGVFSLQLKLYPGRYEIKFIVDGVWKVDPLRPIVRNSGHENNLLIVK >Ma08_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1466320:1467246:1 gene:Ma08_g01760 transcript:Ma08_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVEESDKGEQRVKGSWSPEEDAALTRLVERHGARNWSLISAGIPGRSGKSCRLRWCNQLSPSVHHRPFTPAEDATILAAHDRYGNKWATIARLLPGRTDNAIKNHWNSTLRRRRRRATPVAPSPSSSPLPLSSSSPIATEPDYSDSGSARKRQRSSEDANGGGCSSLAADPTTSLSLSLPGDGGGAERRSDGPLGDVERLRDMCLVSIMRQMIAEEVRSYIDKLRSPGAVDDTVSVVVKAEPPSDRQN >Ma05_p31920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41620007:41622024:1 gene:Ma05_g31920 transcript:Ma05_t31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCSPMASVYRPPRNTICASCYQGARSMIAFLNEHDSYREHITICSAVSHGSKSSATKGISHAFEKMKEMEEREKDMKEKLRFLDGLIALRERIHTDILVKPGSGPPIPAHRALLAAKSEIFRTMLMSDECKAPAEDTISFPELSHDELKCLVEFLYSGSLPEWSTEQHSYSMLIAADKYDIPFLRKYCERRILAALRPSNALEVLQVAEVCSDAELKEQAMNLITKHAEDVVFSARYDELARNNAHLCVEITRALLTEMKDKRDAATTSPNETWS >Ma08_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8206251:8214150:1 gene:Ma08_g11130 transcript:Ma08_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVLLGHRSGRILSGSCLWVWPSRSLCSNQCGKDDGFPKDSSKKVDPGHLGISPERVRRDKEAFPSKDAEERLDFADNKFDFGGNNEEELECGHLPEETLLGFSIGGTDTADDDDQSDRCGNINPRRRFIEGNKAEADRVFEILQQDGPAFNVRSALDELRLHVSNALVREVLLRILMSINGANRLRCAKLAYKFFVWSGQQMDYRHNTNSYNLTLKVFAEAKELKAMWRLMDEMIEKALPITARTFIILICTCGEAGMARKVVERFIKSKNFIYRPFKHSFNAILHSLLTVNQYWLVEWVHQKMLLEGYSPDVLTYNVVMRAKYMLGKLDQFHRLLDEMGKNGFAPDLHTYNLMLHVLGKSNKPLSALKLLNYMNDVGCHPSVLHFTTLIDGLSRAENVDACKYFYDEMVKKGCEPDVVCYTVMITGYVIAGEFDKAQEIFQDMLISGQLPNVFTYNAIIRGFCITGKFDEACSMLKDMDTRGCTPNFSVYSSLVARLRNAGKVSQANDIINYMVEKGHYLHLVSRFKGYRRR >Ma06_p27210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29243106:29244308:-1 gene:Ma06_g27210 transcript:Ma06_t27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQKLIAYIKAHGEGCWRSLPSAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDALIIKLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHRPTTGAATLTAPQRQGVFIPITVPRDTAVSDSADDGRMSSDASHDEDRDRCLDLDLSMSLLPHRSPKQSPPSESPTTSTAAATPSTSSYTGGICLCCHLGFQSCGACSGQPIPNPCVFRYFRPLEEGQGID >Ma08_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6076060:6079513:1 gene:Ma08_g08590 transcript:Ma08_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGHHTRRFLVLFILFVVVAVAASGDGSAYEVLRSHGLPIGLLPKGVREFYVDGEGRFEARLDAPCTAKFESEVRYNASVAGTISPGQIDGLSGIAAQDLFLWFPVRAIRLDDQASGIIHFDVGVVDKRFPLSLFEYPPDCTPVASSSRPLREGSRLASLVAESQSGELRYQLDARDAAI >Ma11_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23158222:23164010:-1 gene:Ma11_g18000 transcript:Ma11_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSGSAVVLLLLFLLSVVGSAVSGVVGRHDLAGVQPLSRVAIHKARLALEDKASAKASPLLLGIKGEDTEWVTVEIGSPKPTADDWIGVFSPAKFNASTCASENDKDEVPLICSAPIKYQFANYSNPDYGRTGKGFLRFQLINQRADFSFALFSGGLDNPRLIAVSNAISFANPKAPVYPRLAQGKSWNEMTITWTSGYDINEALPFVEWGSKWGPATRSPAGTLTFNRGSMCGSPARTFGWRDPGFIHTSYLKELWPNAEYTYKLGHQLFNGSYIWSKSYSFHASPYPGQNSLQQVIIFGDMGKAERDGSNEYNNYQPGSLNTTDMIVKDLDNIDIVFHIGDISYANGYISQWDQFTAQVEPITSRVPYMLASGNHERDWPNTGSFYETTDSGGECGVLAETMFYIPAENSAKYWYSTDFGMFHFCIADTEHDWREGSEQYKFIEHCLASADRKKQPWLIFAAHRVLGYSSGMSYAAEGSFEEPMGRESLQKLWQKYRVDIALFGHVHNYERTCPIYQNQCVNNEKLHYSGTMNGTIHVVVGGGGSHLSNFSGTVPKWSIFRDLAFGFVKLTAFNHSSLLFEYKRSSDGKVYDSFTISRDYRDVLACVHDSCPPTTLAT >Ma10_p24890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33280842:33283821:-1 gene:Ma10_g24890 transcript:Ma10_t24890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLYGAGPNRSREGLISRPAASSDEIQLRIDPMHADLDEEIDGLHRKIRQLKGVAQEIETEAKFQNDFITQLQMTLIKAQAGVKNNMRRMNKSIIQKGSNHLVHVILFTLFCFFVVYFWSKISRR >Ma10_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33280842:33283821:-1 gene:Ma10_g24890 transcript:Ma10_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPIDRGLISRPAASSDEIQLRIDPMHADLDEEIDGLHRKIRQLKGVAQEIETEAKFQNDFITQLQMTLIKAQAGVKNNMRRMNKSIIQKGSNHLVHVILFTLFCFFVVYFWSKISRR >Ma08_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35339729:35346277:-1 gene:Ma08_g21480 transcript:Ma08_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSSYHSRPRVQGHTAPSFIERRSRFMRWLRKLFKGTDAGVSSGDHSSVTGGENSLHKPVKPVDDQSKGENEDLDHAIALSLAEDAKKPNGYKGQGNDDDELAKAIQESLSMPSSQTYQPVQFLPRGYRICGVCHNEIGCGHYLSCMGIFWHPQCFRCYACGQLIHEAEFSLSGSNPYHKLCYKELHHPKCDVCHEFIPTNRGGLIEYRAHPFWGQKYCPSHEHDRTPRCCSCERMESRSTRHISLGEGRSLCMECLDSAIMDAGDCQALYHSIRDYYEGLYMRIDQQIPMLLVERQALNEAIEGEKDGHHHKPEIRGLCLTEERTISSIHKRPRIWGNRILDMRTCPQKLTRKCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLSAEVEEGICQALSHMWLESEVMPGSTTMPSSSNYASSSSSSLSSSWMPLSKKAGKSDIEKKLGQFFMYQIAHDTSTAYGEGFRAANAAVNKYGLPRTLDHIRLTQSFPT >Ma04_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1579588:1582175:-1 gene:Ma04_g01790 transcript:Ma04_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLTLTVLHNVSEKNFKAHLEFFKGFGWSEDDFLAAFRKVPTLVACSLKNLQRKMEFLVNETRCATYYLAHRPMILTMSLEKRLIPRYRIMMGLKSRGVHIRNLRMDTYMSYTEKKFLEKFIFRYKEFPELIELYNVAPKTEMLFDTAGFDASLALEK >Ma10_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17361424:17363040:1 gene:Ma10_g05950 transcript:Ma10_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLFFPLMESSGPCLLCDEEPFLVSPTPSPRRSSSPPPNADEDRDNSLRDLLEREHQYAPRRGYLAYLRQSSNLSAARTRAVRYIAQVCSRLNLATGTAFSAVNYLDRFISMNCVMRWEEWMVDLLSVACLSIASKMDEVSIPSWYDLQMEDLSHSFGASTIQEMELMVLERLDWRLACITPLSYVEVLTWGSEHTRTPCIARTIELLLCALSESEFLRFDPSSVAVSALKTIAGSEAGFFFSMLPFLIPLQHTGEVNGCQKMMDELSIRTHSVVYLRTAQCVPHVAEADPN >Ma10_p05950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17361441:17363040:1 gene:Ma10_g05950 transcript:Ma10_t05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENLFFPLMESSGPCLLCDEEPFLVSPTPSPRRSSSPPPNADEDRDNSLRDLLEREHQYAPRRGYLAYLRQSSNLSAARTRAVRYIAQVCSRLNLATGTAFSAVNYLDRFISMNCVMRWEEWMVDLLSVACLSIASKMDEVSIPSWYDLQMEDLSHSFGASTIQEMELMVLERLDWRLACITPLSYVEVLTWGSEHTRTPCIARTIELLLCALSESEFLRFDPSSVAVSALKTIAGSEAGFFFSMLPFLIPLQHTGEVNGCQKMMDELSIRTHSVVYLRTAQCVPHVAEADPN >Ma03_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23467692:23471987:1 gene:Ma03_g17830 transcript:Ma03_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSTFCSKGASLPASSMDSHSLRRRRKNSSGHDSPPGSSSSSSCSRQSSISSGLRGLRRQSRCWRSRIFASGVKLGFFEQTRVPSGKYFSLALALDQEQLGSGHVEGLPMFDGEEDENEHEKKTVLSEKERVDVRALASSLKDAKTADDVEDLFKNMDDLPLPVYSSMIRGFGADKRLDPAFAVVEWLKRKRKETHSSLSPNLFIYNSLLSAVKLTRRFDKVDEVIKDMKEQGIVPNIVTYNTLMSVYLEQGRHKEALSVLADIENNGLSPSPVTYSTVLLAYKKMNDAYGALGYFAKLREKYQKGEVGKDSSEEWESEFVKLKKFTIHICCLVMRQWLVNEENPAPNVLKLLTVMDEAQVKPGRADYERLVWACTRESHYTVARELYNRIRDMGSDISLSVCNHVIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIVSHFNILLTAARRKGIWRWGVRLLNKMQDKGLRPGSREWNAVLVACSKAAETSAAVQIFTRMVEQGEKPTILSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILASVYIGKGSPEMVDSILREMRSMGIEPNVVTFNAIITGCAKNSMGGAAFEWFHRMKVQNIKPNEITYEMLIEALARDGKPRLAYDMYLRACNEGLQLSSKAYDAVLESCESYGINMDLNALGPRPSEKQKSTMIRRNLSDFCNFASLPRRGRPFDEKEIYTSQGHE >Ma10_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7738669:7740260:-1 gene:Ma10_g02360 transcript:Ma10_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAMSKHFRCLKNMISDQLRRSNNGVGDEGIGIGREDDSSFGLLNSNGCLGRTINSNGTFAQPHVWYPTDMDKQNLAKQTGITRNQVSNWFINARVRLWKPMVEEVHSLEMHQKHQPSAGREQPRPHSSSKVSMFGGASNHERVSLTLGLEECGDAYFGKDVGSRLLQDFVG >Ma08_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32140727:32147283:1 gene:Ma08_g18610 transcript:Ma08_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1A [Source:Projected from Arabidopsis thaliana (AT1G53750) UniProtKB/TrEMBL;Acc:A0A178W3N8] MAPEPEDGMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKAEKEIKELAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEDTKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMFAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Ma11_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2563645:2565061:-1 gene:Ma11_g03440 transcript:Ma11_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAAEVYCCADEAALCGRCDRHVHRANRLAGKHHRFSLLHPSSSAQSPPLCDVCKEKRGLLFCQEDRAILCRDCDVPIHSATALTMKHNRFLLTGLSVSAAPLPPSLSAEAEAVDCPRGSGVRAKSSSSSSSATTAVTTNSNSSSISEYLIKMLPGWHVEDFLTDDAAVHALCKTEEEEEEVEPLCGSNAIGGALPVPRVPHWFSGGEGIGLEQVELKASSRNKRPRISVRYL >Ma04_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7774752:7776823:-1 gene:Ma04_g11030 transcript:Ma04_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAQMIGNDAGIDWDQAVHVYMNVIACESEDLQIKATIQLARLSKDAPEAILASTIPVLVDLLSSTSRMQEAAVYALSCIAHKHDGRLCPMICQLEAISLLLRLLPTSKEGLRRTLLKCLRTLVSFDGPSRLILAANGGLDITLDLLSRCTDDTRRYLLEILTALALLREVRRVLTGFDVLRFLVEALSNGKMISRARAAQTIGVLAISRRVRQKLVDLGVIPALIGFLKEADSSYRLVAGNALGIISSHVDYLRPVAQAGAIPLFVELLKGPEPLGKEIAEDAFCVLAVEEENGVMITEEMVRVLEGDADDAKGAALDIVWDLAGYRHSISVVRESGAIPVLVDLLRNMDGNLREKASGAIAQLSYDAANREAIVEAGAIPVLIDLLRGDPEEVREYAAECLLNFAEDPLHRERVSEAYAVPSFLAIQDRLIRIRASDEHIFRSMSTPNVERFISH >Ma06_p31270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32439100:32461975:-1 gene:Ma06_g31270 transcript:Ma06_t31270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREESSSRDNMIDRNWLLKRKRKRITSGLGLSNGKESTSRSSESLLNNAAKRKKGDIHVSRLARKIKGQDGHYFECVVCDLGGNLLCCDSCPRTYHLECLTPPLKRIPSGKWHCRNCSEQKANIKTSDNSEPYLRRARMKSMFGKPTILYKQPVHDKATLPERNSVPRTNNGKATFSRRTPNQKSDSSRYDKSVSPKSSHLCEGGPQDGILAATDNKTKKSDSSFRRKTSSHEKSRKKKQKPSMTDKKKKPIAKKGKDASTAVSNEPSMETCLSTGGSLQNCKSLDQQNSASKEEASSSAGEEQYEISTEKASRSSQELDERSMQAHKITKHHGNHWDGVQQVDRILGCRLQASTKMSSQTIRSPTSSELADSENNPGSPASRQPPYGLNGPRNNDKLLTECQNQCEVEIKDTKRVLTEAYNDKSCESKGSLNNIPTSECLQDEHITKENFVVLKDSPLDKANIALEVCMENSKDSDLISAHKQSNSYTETGPSQLAVSCVSNGDDGSVLDTQPSNNDKSRITVEMVQDSGNENNDDIIYEFLVKWVGQSNTHNTWVPESQLKILAKRKLENYKAKYGTAIINICEEQWKIPQRVISLRTCKDGINEALVKWCGLPYDECTWERLDEPVMKESAHRVDELKRLESQTFDKDINDDSQQRKGDCQDLLPLVEQPNVLKGGLLFPHQLEALNWLRKCWFKNKNVILADEMGLGKTISACAFISSLYFEFKAKLPSLILVPLSTMPNWLAEFALWAPRLNVVEYHGCAKARSIIRQYEWHANNPKKSHKLSKSYKFNVLLTTYEMVLADFSYLRGVPWEVLIVDEGHRLKNSSSKLFGLLNTFSFRHRVLLTGTPLQNNIGELYNLLNFLQPVAFPSLAAFEEKFDDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERIIPVELTSIQAEYYRAMLTKNYQILRNIGKGGAQQSLLNIVMQLRKVCNHPYLIPGTEPESGSMEFLHEMRIKASAKLTLLHSMLKILHKEGHRVLIFSQMSKLLDILEDYLTIEFGPKTYERVDGSVPVADRQAAIARFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDILRWGAGELFSDSDAVNGQDAKEAPTSKLDAVPDNEHKHRRRTGVLGDVYKDKCTEGCTKIVWDEGAILKLLDRSDLQSVSESTDVDLENNMLGSVKSVDWNDDTNEEPDGSQLLPGVAVDGCEKISEAKEDTAVGGSEENEWDRLLRVRWEKYQLEEEAVLGRGKRLRKAVSYKESFASIPSETISESGNEEEEPEHEYTHAGRALKEKFARLRARQKERIAQRQTADFSHSTDRTELLTQSMVQSVHEAEGLEKKIQDDNNEQVVTIDQEDDTSTQPLDDKRTESPARLGKFLKHGYKRFHSDHLDLSVRPPGSLSADFFLPRNQLQSTNNAVSLPSNNLLPVLGLCAPNASQAGSSSRNFRSPLRLSTSSNGQRRISSRNVECPLPAASCSRPPNDMNIELKEKSASTSILPEASGDSLHHKLKNMIPDGYFPFYPPASTSGRPPLDIFETSSSSFTSFQEKLGLPNLTFDVNMAPKFSIPPKNLMKPHSDLLPSLSLTMEYINSSFQELPNMPVLPNFRQQLSDSLKQKQQMTELKSRLDIGPMPGTRSSLPENHQKVLDNIMMRTQSATNKLFKKRLKADAWSEDELDALWIGVRRHGRGNWDAMLRDPKLRFSKYRTIEDLFLRWTEEQQKIIDTPAFSAPKSSKPLSFPEISDGMMTRALLGGQLPGLGSAWPKSFSDLTDIQLGCGDFKSSFSCTDPFSHNSRIDENCPQVAAWKNDRPRSGFHGGFYPGVSLPFDMPCSDNLVTSLSMNHPSSSALQQNEDENCAMKNFPLPGVSEKLQNLLHDSISKVHSNESNVGMTLDPHKQQTFLDSSSNNSIAFGSSNTNKLPHWLREAVNIPPSRPPEPELCSTLPPTVSAIAQSVRLLYGEEKTFPPFAIPDLPPIQPQDPRKSLKRKRKLDRLQQLTPDIDGFIEKFDHSSPGTIPPVSQIMESAPDLGRSDLNEDFTSQNLNLNSPTLSSFATQEKSSGSALAACPEVLEQVKSCMSCGPCGLSVTEMPGPSCQRTEMSKSKDLEIFKHDRKGLNEDLEDGHGKHKTARNSLLGCWDKMLSTEQTSQADNRDSSKTQSDTSRPNQMNLKEMSSEETVSDNNKSEHEQ >Ma06_p31270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32439100:32461975:-1 gene:Ma06_g31270 transcript:Ma06_t31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESSSRDNMIDRNWLLKRKRKRITSGLGLSNGKESTSRSSESLLNNAAKRKKGDIHVSRLARKIKGQDGHYFECVVCDLGGNLLCCDSCPRTYHLECLTPPLKRIPSGKWHCRNCSEQKANIKTSDNSEPYLRRARMKSMFGKPTILYKQPVHDKATLPERNSVPRTNNGKATFSRRTPNQKSDSSRYDKSVSPKSSHLCEGGPQDGILAATDNKTKKSDSSFRRKTSSHEKSRKKKQKPSMTDKKKKPIAKKGKDASTAVSNEPSMETCLSTGGSLQNCKSLDQQNSASKEEASSSAGEEQYEISTEKASRSSQELDERSMQAHKITKHHGNHWDGVQQVDRILGCRLQASTKMSSQTIRSPTSSELADSENNPGSPASRQPPYGLNGPRNNDKLLTECQNQCEVEIKDTKRVLTEAYNDKSCESKGSLNNIPTSECLQDEHITKENFVVLKDSPLDKANIALEVCMENSKDSDLISAHKQSNSYTETGPSQLAVSCVSNGDDGSVLDTQPSNNDKSRITVEMVQDSGNENNDDIIYEFLVKWVGQSNTHNTWVPESQLKILAKRKLENYKAKYGTAIINICEEQWKIPQRVISLRTCKDGINEALVKWCGLPYDECTWERLDEPVMKESAHRVDELKRLESQTFDKDINDDSQQRKGDCQDLLPLVEQPNVLKGGLLFPHQLEALNWLRKCWFKNKNVILADEMGLGKTISACAFISSLYFEFKAKLPSLILVPLSTMPNWLAEFALWAPRLNVVEYHGCAKARSIIRQYEWHANNPKKSHKLSKSYKFNVLLTTYEMVLADFSYLRGVPWEVLIVDEGHRLKNSSSKLFGLLNTFSFRHRVLLTGTPLQNNIGELYNLLNFLQPVAFPSLAAFEEKFDDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERIIPVELTSIQAEYYRAMLTKNYQILRNIGKGGAQQSLLNIVMQLRKVCNHPYLIPGTEPESGSMEFLHEMRIKASAKLTLLHSMLKILHKEGHRVLIFSQMSKLLDILEDYLTIEFGPKTYERVDGSVPVADRQAAIARFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDILRWGAGELFSDSDAVNGQDAKEAPTSKLDAVPDNEHKHRRRTGVLGDVYKDKCTEGCTKIVWDEGAILKLLDRSDLQSVSESTDVDLENNMLGSVKSVDWNDDTNEEPDGSQLLPGVAVDGCEKISEAKEDTAVGGSEENEWDRLLRVRWEKYQLEEEAVLGRGKRLRKAVSYKESFASIPSETISESGNEEEEPEHEYTHAGRALKEKFARLRARQKERIAQRQTADFSHSTDRTELLTQSMVQSVHEAEGLEKKIQDDNNEQVVTIDQEDDTSTQPLDDKRTESPARLGKFLKHGYKRFHSDHLDLSVRPPGSLSADFFLPRNQLQSTNNAVSLPSNNLLPVLGLCAPNASQAGSSSRNFRSPLRLSTSSNGQRRISSRNVECPLPAASCSRPPNDMNIELKEKSASTSILPEASGDSLHHKLKNMIPDGYFPFYPPASTSGRPPLDIFETSSSSFTSFQEKLGLPNLTFDVNMAPKFSIPPKNLMKPHSDLLPSLSLTMEYINSSFQELPNMPVLPNFRQQLSDSLKQKQQMTELKSRLDIGPMPGTRSSLPENHQKVLDNIMMRTQSATNKLFKKRLKADAWSEDELDALWIGVRRHGRGNWDAMLRDPKLRFSKYRTIEDLFLRWTEEQQKIIDTPAFSAPKSSKPLSFPEISDGMMTRALLGGQLPGLGSAWPKSFSDLTDIQLGCGDFKSSFSCTDPFSHNSRIDENCPQVAAWKNDRPRSGFHGGFYPGVSLPFDMPCSDNLVTSLSMNHPSSSALQQNEDENCAMKNFPLPGVSEKLQNLLHDSISKVHSNESNVGMTLDPHKQQTFLDSSSNNSIAFGSSNTNKLPHWLREAVNIPPSRPPEPELCSTLPPTVSAIAQSVRLLYGEEKTFPPFAIPDLPPIQPQDPRKSLKRKRKLDRLQQLTPDIDGFIEKFDHSSPGTIPPVSQIMESAPDLGRSDLNEDFTSQNLNLNSPTLSSFATQEKSSGSALAACPEVLEQVKSCMSCGPCGLSVTEMPGPSCQRTEMSKSKDLEIFKHDRKGLNEDLEDGHGKHKTARNSLLGCWDKMLSTEQTSQADNRDSSKTQSDTSRPNQMNLKEMSSEETVSDNNKSEHEQ >Ma06_p31270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32439100:32461975:-1 gene:Ma06_g31270 transcript:Ma06_t31270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREESSSRDNMIDRNWLLKRKRKRITSGLGLSNGKESTSRSSESLLNNAAKRKKGDIHVSRLARKIKGQDGHYFECVVCDLGGNLLCCDSCPRTYHLECLTPPLKRIPSGKWHCRNCSEQKANIKTSDNSEPYLRRARMKSMFGKPTILYKQPVHDKATLPERNSVPRTNNGKATFSRRTPNQKSDSSRYDKSVSPKSSHLCEGGPQDGILAATDNKTKKSDSSFRRKTSSHEKSRKKKQKPSMTDKKKKPIAKKGKDASTAVSNEPSMETCLSTGGSLQNCKSLDQQNSASKEEASSSAGEEQYEISTEKASRSSQELDERSMQAHKITKHHGNHWDGVQQVDRILGCRLQASTKMSSQTIRSPTSSELADSENNPGSPASRQPPYGLNGPRNNDKLLTECQNQCEVEIKDTKRVLTEAYNDKSCESKGSLNNIPTSECLQDEHITKENFVVLKDSPLDKANIALEVCMENSKDSDLISAHKQSNSYTETGPSQLAVSCVSNGDDGSVLDTQPSNNDKSRITVEMVQDSGNENNDDIIYEFLVKWVGQSNTHNTWVPESQLKILAKRKLENYKAKYGTAIINICEEQWKIPQRVISLRTCKDGINEALVKWCGLPYDECTWERLDEPVMKESAHRVDELKRLESQTFDKDINDDSQQRKGDCQDLLPLVEQPNVLKGGLLFPHQLEALNWLRKCWFKNKNVILADEMGLGKTISACAFISSLYFEFKAKLPSLILVPLSTMPNWLAEFALWAPRLNVVEYHGCAKARSIIRQYEWHANNPKKSHKLSKSYKFNVLLTTYEMVLADFSYLRGVPWEVLIVDEGHRLKNSSSKLFGLLNTFSFRHRVLLTGTPLQNNIGELYNLLNFLQPVAFPSLAAFEEKFDDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERIIPVELTSIQAEYYRAMLTKNYQILRNIGKGGAQQSLLNIVMQLRKVCNHPYLIPGTEPESGSMEFLHEMRIKASAKLTLLHSMLKILHKEGHRVLIFSQMSKLLDILEDYLTIEFGPKTYERVDGSVPVADRQAAIARFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDILRWGAGELFSDSDAVNGQDAKEAPTSKLDAVPDNEHKHRRRTGVLGDVYKDKCTEGCTKIVWDEGAILKLLDRSDLQSVSESTDVDLENNMLGSVKSVDWNDDTNEEPDGSQLLPGVAVDGCEKISEAKEDTAVGGSEENEWDRLLRVRWEKYQLEEEAVLGRGKRLRKAVSYKESFASIPSETISESGNEEEEPEHEYTHAGRALKEKFARLRARQKERIAQRQTADFSHSTDRTELLTQSMVQSVHEAEGLEKKIQDDNNEQVVTIDQEDDTSTQPLDDKRTESPARLGKFLKHGYKRFHSDHLDLSVRPPGSLSADFFLPRNQLQSTNNAVSLPSNNLLPVLGLCAPNASQAGSSSRNFRSPLRLSTSSNGQRRISSRNVECPLPAASCSRPPNDMNIELKEKSASTSILPEASGDSLHHKLKNMIPDGYFPFYPPASTSGRPPLDIFETSSSSFTSFQEKLGLPNLTFDVNMAPKFSIPPKNLMKPHSDLLPSLSLTMEYINSSFQELPNMPVLPNFRQQLSDSLKQKQQMTELKSRLDIGPMPGTRSSLPENHQKVLDNIMMRTQSATNKLFKKRLKADAWSEDELDALWIGVRRHGRGNWDAMLRDPKLRFSKYRTIEDLFLRWTEEQQKIIDTPAFSAPKSSKPLSFPEISDGMMTRALLGGQLPGLGSAWPKSFSDLTDIQLGCGDFKSSFSCTDPFSHNSRIDENCPQVAAWKNDRPRSGFHGGFYPGVSLPFDMPCSDNLVTSLSMNHPSSSALQQNEDENCAMKNFPLPGVSEKLQNLLHDSISKVHSNESNVGMTLDPHKQQTFLDSSSNNSIAFGSSNTNKLPHWLREAVNIPPSRPPEPELCSTLPPTVSAIAQSVRLLYGEEKTFPPFAIPDLPPIQPQDPRKSLKRKRKLDRLQQLTPDIDGFIEKFDHSSPGTIPPVSQIMESAPDLGRSDLNEDFTSQNLNLNSPTLSSFATQEKSSGSALAACPEVLEQVKSCMSCGPCGLSVTEMPGPSCQRTEMSKSKDLEIFKHDRKGLNEDLEDGHGKHKTARNSLLGCWDKMLSTEQTSQADNRDSSKTQSDTSRPNQMNLKEMSSEETVSDNNKSEHEQ >Ma06_p31270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32439100:32461975:-1 gene:Ma06_g31270 transcript:Ma06_t31270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESSSRDNMIDRNWLLKRKRKRITSGLGLSNGKESTSRSSESLLNNAAKRKKGDIHVSRLARKIKGQDGHYFECVVCDLGGNLLCCDSCPRTYHLECLTPPLKRIPSGKWHCRNCSEQKANIKTSDNSEPYLRRARMKSMFGKPTILYKQPVHDKATLPERNSVPRTNNGKATFSRRTPNQKSDSSRYDKSVSPKSSHLCEGGPQDGILAATDNKTKKSDSSFRRKTSSHEKSRKKKQKPSMTDKKKKPIAKKGKDASTAVSNEPSMETCLSTGGSLQNCKSLDQQNSASKEEASSSAGEEQYEISTEKASRSSQELDERSMQAHKITKHHGNHWDGVQQVDRILGCRLQASTKMSSQTIRSPTSSELADSENNPGSPASRQPPYGLNGPRNNDKLLTECQNQCEVEIKDTKRVLTEAYNDKSCESKGSLNNIPTSECLQDEHITKENFVVLKDSPLDKANIALEVCMENSKDSDLISAHKQSNSYTETGPSQLAVSCVSNGDDGSVLDTQPSNNDKSRITVEMVQDSGNENNDDIIYEFLVKWVGQSNTHNTWVPESQLKILAKRKLENYKAKYGTAIINICEEQWKIPQRVISLRTCKDGINEALVKWCGLPYDECTWERLDEPVMKESAHRVDELKRLESQTFDKDINDDSQQRKGDCQDLLPLVEQPNVLKGGLLFPHQLEALNWLRKCWFKNKNVILADEMGLGKTISACAFISSLYFEFKAKLPSLILVPLSTMPNWLAEFALWAPRLNVVEYHGCAKARSIIRQYEWHANNPKKSHKLSKSYKFNVLLTTYEMVLADFSYLRGVPWEVLIVDEGHRLKNSSSKLFGLLNTFSFRHRVLLTGTPLQNNIGELYNLLNFLQPVAFPSLAAFEEKFDDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERIIPVELTSIQAEYYRAMLTKNYQILRNIGKGGAQQSLLNIVMQLRKVCNHPYLIPGTEPESGSMEFLHEMRIKASAKLTLLHSMLKILHKEGHRVLIFSQMSKLLDILEDYLTIEFGPKTYERVDGSVPVADRQAAIARFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDILRWGAGELFSDSDAVNGQDAKEAPTSKLDAVPDNEHKHRRRTGVLGDVYKDKCTEGCTKIVWDEGAILKLLDRSDLQSVSESTDVDLENNMLGSVKSVDWNDDTNEEPDGSQLLPGVAVDGCEKISEAKEDTAVGGSEENEWDRLLRVRWEKYQLEEEAVLGRGKRLRKAVSYKESFASIPSETISESGNEEEEPEHEYTHAGRALKEKFARLRARQKERIAQRQTADFSHSTDRTELLTQSMVQSVHEAEGLEKKIQDDNNEQVVTIDQEDDTSTQPLDDKRTESPARLGKFLKHGYKRFHSDHLDLSVRPPGSLSADFFLPRNQLQSTNNAVSLPSNNLLPVLGLCAPNASQAGSSSRNFRSPLRLSTSSNGQRRISSRNVECPLPAASCSRPPNDMNIELKEKSASTSILPEASGDSLHHKLKNMIPDGYFPFYPPASTSGRPPLDIFETSSSSFTSFQEKLGLPNLTFDVNMAPKFSIPPKNLMKPHSDLLPSLSLTMEYINSSFQELPNMPVLPNFRQQLSDSLKQKQQMTELKSRLDIGPMPGTRSSLPENHQKVLDNIMMRTQSATNKLFKKRLKADAWSEDELDALWIGVRRHGRGNWDAMLRDPKLRFSKYRTIEDLFLRWTEEQQKIIDTPAFSAPKSSKPLSFPEISDGMMTRALLGGQLPGLGSAWPKSFSDLTDIQLGCGDFKSSFSCTDPFSHNSRIDENCPQVAAWKNDRPRSGFHGGFYPGVSLPFDMPCSDNLVTSLSMNHPSSSALQQNEDENCAMKNFPLPGVSEKLQNLLHDSISKVHSNESNVGMTLDPHKQQTFLDSSSNNSIAFGSSNTNKLPHWLREAVNIPPSRPPEPELCSTLPPTVSAIAQSVRLLYGEEKTFPPFAIPDLPPIQPQDPRKSLKRKRKLDRLQQLTPDIDGFIEKFDHSSPGTIPPVSQIMESAPDLGRSDLNEDFTSQNLNLNSPTLSSFATQEKSSGSALAACPEVLEQVKSCMSCGPCGLSVTEMPGPSCQRTEMSKSKDLEIFKHDRKGLNEDLEDGHGKHKTARNSLLGCWDKMLSTEQTSQADNRDSSKTQSDTSRPNQMNLKEMSSEETVSDNNKSEHEQ >Ma06_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13595491:13596847:1 gene:Ma06_g19670 transcript:Ma06_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGYYGSRSKQNLTEAEKEQRRLRRVIANRESARQTIRRRQALREELTRKVADLSLENEKMKMEKDIATREYLSLKETNEQLKDQIAKTVRPEADQNINTATTEMESPKTGRRFIGYGRSPIVQFMYPSWPSMWGNTLAFFGQANPSNISGSVPPQNMPSCAWYYPFRRGEHGLGQSYGEADDTERAAVHGTDDKEKHSLLATVGTEKANAPEAEARGGVPGSINRDEQILKTPAQETITKGSSHDDKCELQHDKGLPEKLQGACTQPPDKLSYATSATAAAEARRRRMELRRLKQCHGGQEDMRC >Ma10_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3856484:3861715:-1 gene:Ma10_g00920 transcript:Ma10_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYITKVKQLLEATVVAAYATLSHLALCSTIEVFTRATKLWMGTSVLRSASVIIIRATKTLNVLGTDLQFIFNFLENGVELYVEKVNNRELCAIAQAVFPVQASHGELIVRHFVCVSVMCFVMESGAKGCKVSFFYHFIQRTCQINGNPIDEYIGSVVRHVLLRIVGFCNHGMIKSSDLGVLDIKVKIMLDWDPKGKQGLMTPLLDHVTIHAP >Ma04_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11112615:11115321:-1 gene:Ma04_g14650 transcript:Ma04_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTYHPSHRRTIWSFRIRNKNTFINLYPRSALFSHRSFFRSETNGGKGNGDVAGAGAGAGEPVSAKSGTASPRFLSPSMSSALGTPMKRVLVNLRGYLEEVGHLTKLNPQDAWLPITESRHGNAHYAAFHNLNAGIGFQALLLPVAFAFLGWSWGIIALTVAYFWQLYTLWILVKLHEALPGRRYNRYVELAQAAFGERFGVWLALFPTIYLSAGTAAALILIGGETLKLFFQIVCGSLCSSNPLSTVEWYLVFTFLCIVLSQLPNLNSIAGLSLIGALTAITYTCMAWLLSVGQERPPSVSYQPLSSPSLGAAAYSVSNALGIIAYAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYLLIAMCFFPIAIGGFWAYGNLMPAGGILNALYAFHSRDISRGLLATTFLLVVFHCLTSFQIYSMPVFDSFEAGYTSRTNRPCSIWVRSGFRVFYGLISFFVGVALPFLSSLAGLLGGLSLPVTFAYPCFMWIRMKQPPRFSFDWYLNWSLGIVGMAFSLAFSVGGVWSMINSGIQLKFFKPN >Ma01_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13651766:13653435:-1 gene:Ma01_g18400 transcript:Ma01_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNHRVLFLGVSLFSCFFTIIHSEVNTTTAGGIITSTSILTSCLRSSGVRNFTVSSSPPSAAYSLLLNSSIRNLRFAGPDTPKPSAIILPCTKEDLRNAVLCLRKASLAIRVRSGGHSYEGLSYTSDPYVPFAVVDLMNLNKVRVDPDSLTAWAESGATVGEIYYAVASSNRSLAFSAGSCTTVGSGGQISGGGFGLLSRKYGLAADNVLDAVLIDSSGRVLNRESMGEDVFWAIRGGGGGSWGAIYAWKLRLVPVPERVTACTPTRSGPTLSVAELVHKWQYVAPSLPDELYLSVYIAGSGGGNVSASFTGFFLVPRGAAISILSQRFPELGLAESDCDEVSWIESAVRFAGLDSVSDLTSRRSRGRAFFKAKSDYVRAPIGKNGLITALDWLSKKEEAYVILDPYGGEMGRVRSDRIAFPHRGGNLYGIQYMVDWSAEETGEGYVAWLRGFYEYMGRYVSKKPRAAYVNYVDLDLGTVDWSGGGPRNAVGEARVWGERYFLGNYDRLVKAKTQIDPHNVFNNAQSIPPLPTTRG >Ma05_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6951869:6955240:-1 gene:Ma05_g09610 transcript:Ma05_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQRRSWSQSFDRRSRASPFPSSSSSHNQPESAESAKDIKEWEEVRCPVCMEHPHNAVLLLCSSHDKGCRPFMCDTSYRHSNCLDQYRKAFSGSKPPQDNGDTQQPTKLSCPLCRGSVTGWTVVEPARRYMNAKTRSCSTESCAFSGIYGELRKHARKEHPSVRPSEADPERQQDWRRMERQRDLGDLFSMFRSAVTREEDEVNINEDDEETNGSMFIFPSVTMFLVVHVHREGGNDTGRSSLPRSFISSSLRGSSRGRRRSGVVPWGESLSNSTSTGTLDGANDDEVNNDGGSDVVDTTSQQNQGRQRRQVWMSDDDGDDDDDDDDVS >Ma03_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3971627:3983672:1 gene:Ma03_g05770 transcript:Ma03_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPSSGGGSSRKSGHRRIADILADDEDSSDESVFNGYGYGYGGGGGGMLPIFLNDQSDLVEVMLELDEESMVVRSVTPTSAAAAAAASAGRDSSGSLSQSSSTASRIRRKFAWLRSPTSRRTLADMLATDDSSSGPLPAPTAAVSSRDARRIRARLERTRSGAQRALKGLRFISRTTTGAADAAELWRRVEDRFALLAKDGLLSREDFGECIGMVDSKEFAVGIFDALSRRRRQNLERITKEELYEFWLQISDQSFDARLQIFFDMADTNVDGRITREEVQELIGLSASANKLSMLKEQADEYAALIMEELDPENLGYIELWQLEALLLQRDTYMNYSRPLSTASAAGWSQTIAGGPKPSRRRWFSPRRAAARLRLAAQENWQRAWVVSLWLAAMAGLFAWKFTQYRERDAFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTRARLFVPFDDNITFHKMIATAIVIGILLHAGNHLTCDFPRLINSSPARYELVARYFGQEKPTYGSLVAGVEGVTGIAMVVLMTISFTLATHRFRKNGARLPFPLNRLSGFNAFWYSHHLLAVVYVLLLVHGYYMFLVQEWYQRTTWMYISVPLLLYVGERNLRAFRSKAYSVKILKVALLPGGVLTVTMSKPHGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDEYLSVHIRTSGDWTQELKRIFIESYFSPHSMGRASLNESGSSEQTRQPRLFVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSEVSSHSFSFSTSSSSIKKRTYRTSSAHFYWVTREAGSFEWFKGVMNDVAEMDKKGIIEMHNYLTSVYEERDARTTLLTMVQALSHAKHGVDIVSGTRVRTHFARPNWKEVFTKLASEHPGATVGVFYCGTPTLAKELRKLSLETSHKTSTRFHFHKEYF >Ma07_p25720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32697519:32704172:-1 gene:Ma07_g25720 transcript:Ma07_t25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQDGILSSNNVSAGGLVWVRRPNGSWWPGRVVGRDELPAKCVLPPRSGTPIKLLGREDGSMDWYNLAKSTRVKAFRCGEFDECIQKAMAFAIRSKKSSTSTGKYIRREDAILHALEIEKAYFLTGKQNGSGVKDPFRTMGYDFPMKSRKVYGLDKQLGHVARKLDVLEENSAQEVSQSLVSYEQTNDLISPDIKQSEKKRRKTPNDSEDNEGIKRMRDLQEIGLGVVSNRKPNVHASTGWSTELGLPDNASLSKSDIYDGFSSLSSIKSSKDSFSSLKRKRSHVAQSHENVRRKDRRLALSKVCEGTKVIVPSYCHWDGAFGGQPSLQGASPNKLNELLSNSRRTDISCDITVSPHCSGTSSEALLNACENTREIDDANFDSEVKDSELASMLEFIDNDCSDGLIDIPLIMADNIREDFSIMFEHFPTRDLHPDVAEKQHNGCHQDELESHFTEGLGESSFTGSEGRFKNIKGETEKRSLERHLNHKKNLNNSRFSKNTSSENFMNGAVPDSSLKGKIQEERYFAGCKIDGSCFGESVTSNSHGGQLVKDESVSEVHDVPPNQSSDLHLSDEHGHSLSELVKIQPAHARDQDRSSKRHVPISALLIQRLFPHGQVSLSTSSKYQVSKQLKFTGVGSCLYDVEVTVQSSYRGPHVPLISLMSKSNSKEIVGHPVPIEVVEDGLVDTLLTTRHIDQSLKDGGNSIGKSLPKRKSAQMYLNVVGINCEVTNVTSLKRKYSKNRKPRLSPRKIRRLSSINVDQKEKGEERKPVVEKMVGPAVACVPLRLVFSRITEALSSSTRLTSNS >Ma06_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11577690:11590531:1 gene:Ma06_g17090 transcript:Ma06_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPGATDLASKSYSRTEFNHMKPKEVDSYKSEMKIRCPCGKSSNSESMIQCEDSGCRVWQHIVCVIIPEKSMEGASPEVPSHFYCEICRINRADPFWVTMGHLLHPVKFLSAGITADGTITVHNVERTFQLSRSDKELLTRPEYDLQAWCLLLNDKVPFRMQWPQHTELKVNGVSVTVVARPGSQLLGINGRDDGPMITTYSKEGMNKIVLSRCDSRIFCFGIRLAKRRTVPQVLSLVPKEEEGECFQDALARVCRCIGGGAATENADSDSDIEVVADSVTVNLRCPMSGSRIRIAGRFKPCVHMGCFDLETFVELNRRSRKWQCPICLKNYSLENIIVDPYFNRITSMLQNCGEDVTEIDVKPDGSWRVKNELESTDHSRSHLPDGTLYAITDAEVKPYMGNLRYMKQKSPSERHFSLNSGMKSNPKQRPKDKRLPSFESPSLGKLENLCEGIINMSSSATGSYRDGEDPSVNQEVGGPFYLSLNNGHESDSLSLNLDPTYSIENITPAPFEDPNVIFLSDSDDTLISPETAYGIHPSGNNTIPFPNHPGVSEKYSENNGPDTSGTSFLGLYNNTDVFETWPLHACPQSGPGFQLFGTEVPDVLADSHSSLGCAPISGYGLTTNHGVEVTAQIQDLFKCHSGTGMLGSLGDNPLAVANDDPSLQIFLPSQPVGVALQDDLINGTDIGNGINSDDWTSLRLAAGGTHGDSTPSNGLTSRQQVTPKETQMDLLNDDASLLLSMNSNKANKANFKTQKSDSSPGQPRSIRPRLYL >Ma06_p17090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11573417:11590531:1 gene:Ma06_g17090 transcript:Ma06_t17090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVASCRDKLANFRIKELKDVLTQLGLAKQGKKQDLMDRILALVLDEQVPEPQVWGRRNFVGKGGVAKIIDDTYRKMQIPGATDLASKSYSRTEFNHMKPKEVDSYKSEMKIRCPCGKSSNSESMIQCEDSGCRVWQHIVCVIIPEKSMEGASPEVPSHFYCEICRINRADPFWVTMGHLLHPVKFLSAGITADGTITVHNVERTFQLSRSDKELLTRPEYDLQAWCLLLNDKVPFRMQWPQHTELKVNGVSVTVVARPGSQLLGINGRDDGPMITTYSKEGMNKIVLSRCDSRIFCFGIRLAKRRTVPQVLSLVPKEEEGECFQDALARVCRCIGGGAATENADSDSDIEVVADSVTVNLRCPMSGSRIRIAGRFKPCVHMGCFDLETFVELNRRSRKWQCPICLKNYSLENIIVDPYFNRITSMLQNCGEDVTEIDVKPDGSWRVKNELESTDHSRSHLPDGTLYAITDAEVKPYMGNLRYMKQKSPSERHFSLNSGMKSNPKQRPKDKRLPSFESPSLGKLENLCEGIINMSSSATGSYRDGEDPSVNQEVGGPFYLSLNNGHESDSLSLNLDPTYSIENITPAPFEDPNVIFLSDSDDTLISPETAYGIHPSGNNTIPFPNHPGVSEKYSENNGPDTSGTSFLGLYNNTDVFETWPLHACPQSGPGFQLFGTEVPDVLADSHSSLGCAPISGYGLTTNHGVEVTAQIQDLFKCHSGTGMLGSLGDNPLAVANDDPSLQIFLPSQPVGVALQDDLINGTDIGNGINSDDWTSLRLAAGGTHGDSTPSNGLTSRQQVTPKETQMDLLNDDASLLLSMNSNKANKANFKTQKSDSSPGQPRSIRPRLYL >Ma11_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27649654:27650666:1 gene:Ma11_g24820 transcript:Ma11_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTAPGGSSSSSSSSPSVSPRNRLKFLCSYGGKILPHPSENHLRYVGGDTRVLVVTRSISFSELKERIQEMFHCCVAIKYELVAKDVEALVSVTCDEDLAYMLDEYDRLDARQPRFRLFLFPSPSSNLAAVTADDQRQVGGVPGSPRRPISAASSSATSTIDGQASILALRLNALTANDGGGGTHRVRSAPNLRGGGLLSQIANGAVGQYHHNHPEQHVLRRQKSSGASSYGSHAAGGSRALRACGAKLREVCRCGAGCRVTWVPQPAAVGGLHYSDRRGMCFGHAEESSSRRLSRCSWPPPKPVR >Ma10_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15829069:15833743:-1 gene:Ma10_g05090 transcript:Ma10_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKSDRKAPLDAAAWMFNILTSVGVIMVNKALMATHQFSFATTLTGLHFVATTLMTIVFKWLGYIQTSHLPLSELIKYVFFANLSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVFDKIRYSRATKLSIVVVLVGVAICTVTDVSVNSRGLIAATIAVWSTSLQQYYVHSLQKKYSLGSFNLIGHTAPAQAMSLLMLGPFVDYWLTSKRVDAFDYNVTVVFFIFLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLVLGFFLFGREGLNLQVIFGMILAVIGMLWYGNASSKPGGKERWSYSLPIDKSQKHGLLSESGEHDGKV >Ma01_p02680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1783206:1789733:1 gene:Ma01_g02680 transcript:Ma01_t02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEAQRLLSLRASYRTERGNRNAGTKADETDVSANGKGIKDPLKMVWRRGFIRLVLVSAIVWMLLILFALLFHLWSCHSSISFLSALCKKDSKVLGILDTMGLTTKQQHRCSIPLMDDPDAIVIPKRTPDTIPKFLKYVLEDDQGNANEKSQPLFGGHQSWKQRENSFKLNTTMKVHCGCMKNGGAEMEAVDVKYAKKCRFVVASGIFDGYDTPHQPYNISLRSRKLFCFLMVVDEVSLDFIKQNVTVREASDGGKWVGIWRLVTLHHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIACHKHHRSIYEEADAIKRRKRYARPLIDLHMKIYHYEGMELWSPKKRTISDVPEGAVIIREHTTMTNLFSCLWFNEVNLFTPRDQLSFGYVVYRLEEFKFFMFPNCEYNSLFILHRHTREHSSVVEWVKSLDEFKGNKTGLKESRGGLGLWTPYPGDLNSVQLPSVKRTSPAG >Ma01_p02680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1783207:1789733:1 gene:Ma01_g02680 transcript:Ma01_t02680.4 gene_biotype:protein_coding transcript_biotype:protein_coding METEAQRLLSLRASYRTERGNRNAGTKDAADETDVSANGKGIKDPLKMVWRRGFIRLVLVSAIVWMLLILFALLFHLWSCHSSISFLSALCKKDSKVLGILDTMGLTTKQQHRCSIPLMDDPDAIVIPKRTPDTIPKFLKYVLEDDQGNANEKSQPLFGGHQSWKQRENSFKLNTTMKVHCGCMKNGGAEMEAVDVKYAKKCRFVVASGIFDGYDTPHQPYNISLRSRKLFCFLMVVDEVSLDFIKQNVTVREASDGGKWVGIWRLVTLHHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIACHKHHRSIYEEADAIKRRKRYARPLIDLHMKIYHYEGMELWSPKKRTISDVPEGAVIIREHTTMTNLFSCLWFNEVNLFTPRDQLSFGYVVYRLEEFKFFMFPNCEYNSLFILHRHTREHSSVVEWVKSLDEFKGNKTGLKESRGGLGLWTPYPGDLNSVQLPSVKRTSPAG >Ma01_p02680.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1783207:1789733:1 gene:Ma01_g02680 transcript:Ma01_t02680.5 gene_biotype:protein_coding transcript_biotype:protein_coding METEAQRLLSLRASYRTERGNRNAGTKDAADETDVSANGKGIKDPLKMVWRRGFIRLVLVSAIVWMLLILFALLFHLWSCHSSISFLSALCKKDSKVLGILDTMGLTTKQQHRCSIPLMDDPDAIVIPKRTPDTIPKFLKYVLEDDQGNANEKSQPLFGGHQSWKQRENSFKLNTTMKVHCGCMKNGGAEMEAVDVKYAKKCRFVVASGIFDGYDTPHQPYNISLRSRKLFCFLMVVDEVSLDFIKQNVTVREASDGGKWVGIWRLVTLHHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIACHKHHRSIYEEADAIKRRKRYARPLIDLHMKIYHYEGMELWSPKKRTISDVPEGAVIIREHTTMTNLFSCLWFNEVNLFTPRDQLSFGYVVYRLEEFKFFMFPNCEYNSLFILHRHTREHSSVVEWVKSLDEFKGNKTGLKESRGGLGLWTPYPGDLNSVQLPSVKRTSPAG >Ma01_p02680.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1784054:1789733:1 gene:Ma01_g02680 transcript:Ma01_t02680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALCKKDSKVLGILDTMGLTTKQQHRCSIPLMDDPDAIVIPKRTPDTIPKFLKYVLEDDQGNANEKSQPLFGGHQSWKQRENSFKLNTTMKVHCGCMKNGGAEMEAVDVKYAKKCRFVVASGIFDGYDTPHQPYNISLRSRKLFCFLMVVDEVSLDFIKQNVTVREASDGGKWVGIWRLVTLHHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIACHKHHRSIYEEADAIKRRKRYARPLIDLHMKIYHYEGMELWSPKKRTISDVPEGAVIIREHTTMTNLFSCLWFNEVNLFTPRDQLSFGYVVYRLEEFKFFMFPNCEYNSLFILHRHTREHSSVVEWVKSLDEFKGNKTGLKESRGGLGLWTPYPGDLNSVQLPSVKRTSPAG >Ma01_p02680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1783207:1789733:1 gene:Ma01_g02680 transcript:Ma01_t02680.3 gene_biotype:protein_coding transcript_biotype:protein_coding METEAQRLLSLRASYRTERGNRNAGTKDAADETDVSANGKGIKDPLKMVWRRGFIRLVLVSAIVWMLLILFALLFHLWSCHSSISFLSALCKKDSKVLGILDTMGLTTKQQHRCSIPLMDDPDAIVIPKRTPDTIPKFLKYVLEDDQGNANEKSQPLFGGHQSWKQRENSFKLNTTMKVHCGCMKNGGAEMEAVDVKYAKKCRFVVASGIFDGYDTPHQPYNISLRSRKLFCFLMVVDEVSLDFIKQNVTVREASDGGKWVGIWRLVTLHHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIACHKHHRSIYEEADAIKRRKRYARPLIDLHMKIYHYEGMELWSPKKRTISDVPEGAVIIREHTTMTNLFSCLWFNEVNLFTPRDQLSFGYVVYRLEEFKFFMFPNCEYNSLFILHRHTREHSSVVEWVKSLDEFKGNKTGLKESRGGLGLWTPYPGDLNSVQLPSVKRTSPAG >Ma01_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1783207:1789733:1 gene:Ma01_g02680 transcript:Ma01_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAQRLLSLRASYRTERGNRNAGTKDAADETDVSANGKGIKDPLKMVWRRGFIRLVLVSAIVWMLLILFALLFHLWSCHSSISFLSALCKKDSKVLGILDTMGLTTKQQHRCSIPLMDDPDAIVIPKRTPDTIPKFLKYVLEDDQGNANEKSQPLFGGHQSWKQRENSFKLNTTMKVHCGCMKNGGAEMEAVDVKYAKKCRFVVASGIFDGYDTPHQPYNISLRSRKLFCFLMVVDEVSLDFIKQNVTVREASDGGKWVGIWRLVTLHHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTFAIACHKHHRSIYEEADAIKRRKRYARPLIDLHMKIYHYEGMELWSPKKRTISDVPEGAVIIREHTTMTNLFSCLWFNEVNLFTPRDQLSFGYVVYRLEEFKFFMFPNCEYNSLFILHRHTREHSSVVEWVKSLDEFKGNKTGLKESRGGLGLWTPYPGDLNSVQLPSVKRTSPAG >Ma05_p19860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29485799:29495142:-1 gene:Ma05_g19860 transcript:Ma05_t19860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRAAAAASTSLISSSSRSNLFSTALLTSLLPHLSPQTPSPTSPLPRPFRIPLPPKCPLFRRIQTLPQIPPPPHPTPTLQSAATSAEVIAVIEAMDSSLDEHDERLGAACFEAAERLDSIGHQDLDRALAFAFRALQFFERKDGGWSVSVAKVLRLMGSISCKMMRFNDSLESLNTAAHILDALRRENSRDDDALKASVAVQLQLSSTKTAMGRRREALINLRKSLDLKRSILEHTSREMGAAYKDLAEAYVVVLDFDEALPLALRALEIYGEQFGEYSAEVVQIRRLLGVIYTGLGENDEALEQNELSRRVLESLSLDEELLQVGIDAANILIELGRLDQAISYLKWVIQKTDKECETRASVLISMAQALCNQEKFGDSRRCLEIASGILDKKELVSPTKVSEAYAEISLIYETMNDFEIALSLMKKALAILQELPQVQHLEGSISMRIGWLLLLTKRVPQSIPYLESAAEKLKDCFGPKHFGLGFVYKHLGQAYLDMDHPQSAAKVLVLAKDIIDESFGLQHEDAIDTCQCLANAYGAMGSYALAMEVQQCVIDAWENHGPSAKDETREAHRLLEQLKKKARGSPAAVFPANSLPLLPQN >Ma05_p19860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29484684:29495142:-1 gene:Ma05_g19860 transcript:Ma05_t19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRAAAAASTSLISSSSRSNLFSTALLTSLLPHLSPQTPSPTSPLPRPFRIPLPPKCPLFRRIQTLPQIPPPPHPTPTLQSAATSAEVIAVIEAMDSSLDEHDERLGAACFEAAERLDSIGHQDLDRALAFAFRALQFFERKDGGWSVSVAKVLRLMGSISCKMMRFNDSLESLNTAAHILDALRRENSRDDDALKASVAVQLQLSSTKTAMGRRREALINLRKSLDLKRSILEHTSREMGAAYKDLAEAYVVVLDFDEALPLALRALEIYGEQFGEYSAEVVQIRRLLGVIYTGLGENDEALEQNELSRRVLESLSLDEELLQVGIDAANILIELGRLDQAISYLKWVIQKTDKECETRASVLISMAQALCNQEKFGDSRRCLEIASGILDKKELVSPTKVSEAYAEISLIYETMNDFEIALSLMKKALAILQELPQVQHLEGSISMRIGWLLLLTKRVPQSIPYLESAAEKLKDCFGPKHFGLGFVYKHLGQAYLDMDHPQSAAKVLVLAKDIIDESFGLQHEDAIDTCQCLANAYGAMGSYALAMEVQQCVIDAWENHGPSAKDETREAHRLLEQLKKKARGSPAAVFPANSLPLLPQN >Ma05_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29484684:29495142:-1 gene:Ma05_g19860 transcript:Ma05_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRAAAAASTSLISSSSRSNLFSTALLTSLLPHLSPQTPSPTSPLPRPFRIPLPPKCPLFRRIQTLPQIPPPPHPTPTLQSAATSAEVIAVIEAMDSSLDEHDERLGAACFEAAERLDSIGHQDLDRALAFAFRALQFFERKDGGWSVSVAKVLRLMGSISCKMMRFNDSLESLNTAAHILDALRRENSRDDDALKASVAVQLQLSSTKTAMGRRREALINLRKSLDLKRSILEHTSREMGAAYKDLAEAYVVVLDFDEALPLALRALEIYGEQFGEYSAEVVQIRRLLGVIYTGLGENDEALEQNELSRRVLESLSLDEELLQVGIDAANILIELGRLDQAISYLKWVIQKTDKECETRASVLISMAQALCNQEKFGDSRRCLEIASGILDKKELVSPTKVSEAYAEISLIYETMNDFEIALSLMKKALAILQELPQVQHLEGSISMRIGWLLLLTKRVPQSIPYLESAAEKLKDCFGPKHFGLGFVYKHLGQAYLDMDHPQSAAKVLVLAKDIIDESFGLQHEDAIDTCQCLANAYGAMGSYALAMEVQQCVIDAWENHGPSAKDETREAHRLLEQLKKKARGSPAAVFPANSLPLLPQN >Ma03_p31780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33855376:33868110:-1 gene:Ma03_g31780 transcript:Ma03_t31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDFKVGELLKEIILDDSAIETLDRAVSSVADAIESIPEQLVSADAAPKFVADLGVPTDKKLSFTFKSPESIQVGGSHSIRSVAKPDINVDLLVRMPKECFHEKDYLNHRYHAKRLLYLRVIEKSLTTCPVVRKIGWSSFQNEARKPVLIVFPVVKSAELSDFFIRIIPTATSLFSISKLSLTRNNVRAFTQECGQTQATPKYNSSILEDMFLEENSEFVRKAFHEWKSLKEALLLLKVWARNRSSIYTHNCLNGYLIAVILSYLTVESGGNLITKSMNRMQIFRVTLKFIATSNLMGKGLCLQPRGQCNKSKEDMNQFLQSFDIIFLDSSCSFNLFFRLTRTAFEELRDEASWMLSSIDKCRGGGFEEVFLTKVDFTAKFDSCLRINLKGNSKICSSNYCMDDECWRISEKDVHSLLQQGLTDRARFVRVIWRSTPSDWNVEDGFSNFGNEPMLVGVLVSSQEKSFRVVDIGPNPENKEEVMKFRKFWGEKAELRRFRDGTIAESTVWECEPWARHLIIKRICEYLFAKHFLLTKDDVVHIVDQLDFCLKLSGKDPESSSGALLEAFELLSKRLRLLEEIPLRISSVQPLDPALRHTSVFPPQPHPLAYEKGVNKKPSKLATTSIQSLDVMIQLEGSGNWPVDRVAIEKTKSAFLLKIGESLQEHSGALCIATKDEVNVLMSGYSFCLRIMHEKGLNMLRNQGGSDKIKVTSSVDKELLIRSQHSSMINGLHGRYPTYGPVVRLAKRWVSAHLFSSFLAEEAIELVVAYLFLKPFPFHAPCSRVTGFLRFLRMLSNYDWAFSPLIVDINEDFTPKDEKEIDENFMLSRKSCEENAQNVEPAMFLAAPYDKASEAWTRSSPNRSVLKRISSYAQSSADLLTNLILHGADGPYTWECLFRTPLNTYDAVVLLHHNKLSNPQHLLFPAEMNCGKQVIRGKASKDFQPYMILGGGVQSLEDARNKVMVNFDPTRCFLEDLKREFPNTFKVWHDSLGGDAIGLTWEKKDSRKRSRDGEDASRTETTDALKRVGEVGKGLVKSVHLFKVPRR >Ma03_p31780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33855376:33868110:-1 gene:Ma03_g31780 transcript:Ma03_t31780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDFKVGELLKEIILDDSAIETLDRAVSSVADAIESIPEQLVSADAAPKFVADLGVPTDKKLSFTFKSPESIQVGGSHSIRSVAKPDINVDLLVRMPKECFHEKDYLNHRYHAKRLLYLRVIEKSLTTCPVVRKIGWSSFQNEARKPVLIVFPVVKSAELSDFFIRIIPTATSLFSISKLSLTRNNVRAFTQECGQTQATPKYNSSILEDMFLEENSEFVRKAFHEWKSLKEALLLLKVWARNRSSIYTHNCLNGYLIAVILSYLTVESGGNLITKSMNRMQIFRVTLKFIATSNLMGKGLCLQPRGQCNKSKEDMNQFLQSFDIIFLDSSCSFNLFFRLTRTAFEELRDEASWMLSSIDKCRGGGFEEVFLTKVDFTAKFDSCLRINLKGNSKICSSNYCMDDECWRISEKDVHSLLQQGLTDRARFVRVIWRSTPSDWNVEDGFSNFGNEPMLVGVLVSSQEKSFRVVDIGPNPENKEEVMKFRKFWGEKAELRRFRDGTIAESTVWECEPWARHLIIKRICEYLFAKHFLLTKDDVVHIVDQLDFCLKLSDPESSSGALLEAFELLSKRLRLLEEIPLRISSVQPLDPALRHTSVFPPQPHPLAYEKGVNKKPSKLATTSIQSLDVMIQLEGSGNWPVDRVAIEKTKSAFLLKIGESLQEHSGALCIATKDEVNVLMSGYSFCLRIMHEKGLNMLRNQGGSDKIKVTSSVDKELLIRSQHSSMINGLHGRYPTYGPVVRLAKRWVSAHLFSSFLAEEAIELVVAYLFLKPFPFHAPCSRVTGFLRFLRMLSNYDWAFSPLIVDINEDFTPKDEKEIDENFMLSRKSCEENAQNVEPAMFLAAPYDKASEAWTRSSPNRSVLKRISSYAQSSADLLTNLILHGADGPYTWECLFRTPLNTYDAVVLLHHNKLSNPQHLLFPAEMNCGKQVIRGKASKDFQPYMILGGGVQSLEDARNKVMVNFDPTRCFLEDLKREFPNTFKVWHDSLGGDAIGLTWEKKDSRKRSRDGEDASRTETTDALKRVGEVGKGLVKSVHLFKVPRR >Ma05_p04370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3321692:3328171:1 gene:Ma05_g04370 transcript:Ma05_t04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLAAGPDICESKQRNPFRNSNLCAVDLLGVISSISCSSIRFTAIRKEFAGDSFVVAGRFGLCAKPGVRFKNYKVYGLDGIDRSVSTVDSVKKTEAAQIGDSVTSEANMTLNNPVPKVLQLQGKNAGRKSGRNIWSRLQRMQKASQHKVSKIVSGRNCSSFEDKISDGDLEAVISGIHPGSSVDRCNWVLKVLEKRSEEKTVEFFEWMNCHGKLKENTDAYCLALRALARKEDWSRAMMLLQEMTSDECELNAQAFNSLIYVCAKRGLVGWGTKWFHMMLEQGIRPNVATIGMLMGLFQKKCSLSQAEFAFGRMRSLKLKCTTAYSAMIVIYTRLGLYNKSEEVISVMDKDEVLPDLENWLVRLNAYSQQGKIEEAETVLKSMLKAGISPNIVAYNTLITGYGKVANMKAAKHLFQALESVGLDPDETTYRSMIEGFGRTDNYKEALWYYDKLKNSGFQPNSSNFYTLINLQARHGDEKGAVQTLEDMRRAGCQYSSIVSSLIQAYERIGMVEKVPHILEASFYENILLDPTSCSILVMAYVKCSLLDDALRVLQDKSWEDCDFEENLYHLLICSCKEAGHFENAVKVYMQMPNSEIHQNLHITCSMIDIYSAMGRFTDAENLYLKLKGSGVTFDMVAYSIVVRMYIRAGSLENACVVLEMMEKEKDIVPDIYLFRDMLRTYQKCGMTQKLANVYYWILKSGIAWDEAMYNCVINCCGHALPVDELSRLYEEMMQNVHAANTITFNVMLDVYGKSGLLKKARKVFWMARKQGLADVISYNTMIAAHGKSKDIKSMKSVIQKMQSAGFPVSLEAYNSLLDAYGKDNRLEEFNDVLQKMKELKCVSDHYTYNIMINIYGRKGWIEEVSRVFAELKEHGLEPDLYSYNTLIKAYGIAGMVEEAVNVVQEMRRKGIKPDRITYTNLITTLQRNENFLEAVKWSLWMRQMERQVEFCPSLT >Ma05_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3321680:3328171:1 gene:Ma05_g04370 transcript:Ma05_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLAAGPDICESKQRNPFRNSNLCAVDLLGVISSISCSSIRFTAIRKEFAGDSFVVAGRFGLCAKPGVRFKNYKVYGLDGIDRSVSTVDSVKKTEAAQIGDSVTSEANMTLNNPVPKVLQLQGKNAGRKSGRNIWSRLQRMQKASQHKVSKIVSGRNCSSFEDKISDGDLEAVISGIHPGSSVDRCNWVLKVLEKRSEEKTVEFFEWMNCHGKLKENTDAYCLALRALARKEDWSRAMMLLQEMTSDECELNAQAFNSLIYVCAKRGLVGWGTKWFHMMLEQGIRPNVATIGMLMGLFQKKCSLSQAEFAFGRMRSLKLKCTTAYSAMIVIYTRLGLYNKSEEVISVMDKDEVLPDLENWLVRLNAYSQQGKIEEAETVLKSMLKAGISPNIVAYNTLITGYGKVANMKAAKHLFQALESVGLDPDETTYRSMIEGFGRTDNYKEALWYYDKLKNSGFQPNSSNFYTLINLQARHGDEKGAVQTLEDMRRAGCQYSSIVSSLIQAYERIGMVEKVPHILEASFYENILLDPTSCSILVMAYVKCSLLDDALRVLQDKSWEDCDFEENLYHLLICSCKEAGHFENAVKVYMQMPNSEIHQNLHITCSMIDIYSAMGRFTDAENLYLKLKGSGVTFDMVAYSIVVRMYIRAGSLENACVVLEMMEKEKDIVPDIYLFRDMLRTYQKCGMTQKLANVYYWILKSGIAWDEAMYNCVINCCGHALPVDELSRLYEEMMQNVHAANTITFNVMLDVYGKSGLLKKARKVFWMARKQGLADVISYNTMIAAHGKSKDIKSMKSVIQKMQSAGFPVSLEAYNSLLDAYGKDNRLEEFNDVLQKMKELKCVSDHYTYNIMINIYGRKGWIEEVSRVFAELKEHGLEPDLYSYNTLIKAYGIAGMVEEAVNVVQEMRRKGIKPDRITYTNLITTLQRNENFLEAVKWSLWMRQMERQVEFCPSLT >Ma08_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36726698:36755498:1 gene:Ma08_g23350 transcript:Ma08_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MRASSSLVSPPRIGLHCRNSPLLRPVLFVRPFCTELAEGCLGSGSKRRARGPVMAAKKASEGAKQEGKYKHTVDLPKTTFGLRANAVVREPEIQKLWEDNQVFKRVADRNTGGSFVLHDGPPYANGSLHMGHALNKILKDIINRYKLLLNYKVNFVPGWDCHGLPIELKVLQSLDEDTRRELKPLKLRQKAAKFAKDTVNIQMKSFKRFGVWADWDRPYLTLSPEYEAAQIEVFGQMALRGYIYRGRKPVHWSPSSCTALAEAELEYPEGHISRSIYATFKIISAPPPSCHLLEEFFPELCLAIWTTTPWTIPANAAVAVNAKLEYVVAEVHHALEDATISEKKQTKAGRLLKISERKPSVIVASELVPMLESKWGVKLVVKKAFLGSTLENCRYVHPLNGKECPVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIFSPVDDEGRFTEEAGEFNGLEVLGAGNAAVVKRLDEQLSLVLEEPYKHKYPYDWRTKKPTIFRATEQWFASVDGFRQLAMDAINKVTWIPAQAENRIIAMTSSRSDWCISRQRTWGVPIPVFYHVHSKEPLISEETIAHVKAVVSKKGSDAWWYMSTVELLPHKYQNNASEYYKGTDTMDVWFDSGSSWAAVLGKRDGLHFPADLYLEGSDQHRGWFQSSLLTSIATTGNAPYSCVITHGFVLDEKGMKMSKSLGNVVDPDIVINGGKNSKDQPGYGADVLRLWVSSVDYTSDVLIGPQILRQMSDMYRKLRGTLRFLLSNLHDWKTENSVPYDDLPKIDKFALYQLENVVKTIQDGYELYHFYKIYQIIQRFAIVDLSNFYLDVAKDRLYVGGTASFTRRSCQTVLEAHLLTIVRLIAPILPHLAEDVWQNLPFEYITGDGSIVKFVFELKWPVPNENWLRMPADDVDFWRTILELRSEVNKVLENARAGKLIGSSLEAKVYLRASDGVVTSRLQELCMAGNEADALHRIFITSQVKVLSSPNTELVTSIPYAGNYTDQRSGEIWIGVSRAEGMKCERCWNYEPQVGSFAEHPTLCARCYDVINVQPLPAAAGVS >Ma08_p31190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42176998:42194455:-1 gene:Ma08_g31190 transcript:Ma08_t31190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MREEAVETLRGVVRDCVSKHLYSSAIFFADKVVAATGDPADIYMQAQALFLGRQYRRALHLLNSSQIVLRDLRFRYLAAKCLEELKEWHQCLAMLGDAKVDEHGNVLSQNDGTAMYLDKDTEDHEINITSAICFLRGKAYEALENRAQARQWYKAAVKADALCYEALECLVDNYMLTCEEESSLLSSLQFGKDDKWLSSFYSCLVKKHVKEHVVEAKFRDLERETSGVASSNSSFSQTLKNNTDLLARRAEYYYQSGEYQRCFELTSMVLERDPFHLKCTLVHLVASMELGRSNDLYLMACNLVKDHPQKALSWFSVGCYYFCIKKYDQSRRYFCKATTIDGSFPPAWVGIGNAYAAQSEGDQAMAAFRSGARLFPGCHLPALYIGMEYMQTHNYKLAEQFFLQAKKICPSDPLVYNELGVVAYHMKEYQKGVQWFEMTLDCVSSSLSEMWEPTLVNLAHALRKLKMFQKAISCYEKALAVSTQNFSAFAGLAYTYHLQDNFDAAITYYHKALWLDPNDQFCTEMLTLALEDDCRGVDRRK >Ma08_p31190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42176996:42194455:-1 gene:Ma08_g31190 transcript:Ma08_t31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MREEAVETLRGVVRDCVSKHLYSSAIFFADKVVAATGDPADIYMQAQALFLGRQYRRALHLLNSSQIVLRDLRFRYLAAKCLEELKEWHQCLAMLGDAKVDEHGNVLSQNDGTAMYLDKDTEDHEINITSAICFLRGKAYEALENRAQARQWYKAAVKADALCYEALECLVDNYMLTCEEESSLLSSLQFGKDDKWLSSFYSCLVKKHVKEHVVEAKFRDLERETSGVASSNSSFSQTLKNNTDLLARRAEYYYQSGEYQRCFELTSMVLERDPFHLKCTLVHLVASMELGRSNDLYLMACNLVKDHPQNKATTIDGSFPPAWVGIGNAYAAQSEGDQAMAAFRSGARLFPGCHLPALYIGMEYMQTHNYKLAEQFFLQAKKICPSDPLVYNELGVVAYHMKEYQKGVQWFEMTLDCVSSSLSEMWEPTLVNLAHALRKLKMFQKAISCYEKALAVSTQNFSAFAGLAYTYHLQDNFDAAITYYHKALWLDPNDQFCTEMLTLALEDDCRGVDRRK >Ma11_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27170225:27173544:1 gene:Ma11_g24030 transcript:Ma11_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDGTGKYNGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPIGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLANPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYEEEDEEAE >Ma05_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:819443:819679:1 gene:Ma05_g01410 transcript:Ma05_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPHALVIPFPAQGHVIPFLALSHSLVEQGFQITFVNTEFNHDRVVAVLSDKSGDARGIHMVSIPDGLAPGEDRNK >Ma10_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29634262:29639251:-1 gene:Ma10_g18860 transcript:Ma10_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMDFDMTDPSKGKQHFMSLLVTEAGDFLRSGTSSILGHTTLCLNHSSYETSRTGGTVCSQNNHELSAVDDGCRLVLGLGPSPTSYTSGCCIADGTSKTREPATSTNQSWSLETDNGMLELGLSRGNVESMVNAGGIVTSSSKSKQTSMEKHHMIPIVDESSKSGKRNSGGYMPALLLAPRLTSSGGMGDVPGTFNRSDIGADASTDNDRLQHKLHQSTTGHSSAADSSAGVPQRTHHHHRRPKKCMFKGCSKGARGASGLCIGHGGGQRCQRPGCNKSAESRTAFCKAHGGGRRCQMLGCTKSVEGKTDFCIAHGGGCRCTHPGCTKAARGKSNLCIGHGGGKRCTVEGCIRNAEGQPGLCISHGGGRRCQYPDCRKGAQGSTKYCKAHGGGRRCMFQGCTKGAEGSTPLCKGHGGGKRCHFKGGGVCPKSVHGGTRFCVAHGGGKRCSFAGCTKSARGRTDCCVLHGGGKRCHFQGCNKSAQGRTNFCKAHGGGKRCAWASGCDKFARGRSGLCAAHGTMMAAQQERQAGETGGLIGAGLFQGLVSSYVTVRSSSVSESVESHANSGGQQQLHIPPQVLVPLSMKSPASSSVSIGFGGGGGGDGGGGDIQEKKSCGFVVPEGRVHGGSLLSLLGGSFKNALDAGLV >Ma00_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44880085:44881650:1 gene:Ma00_g05170 transcript:Ma00_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMLMAIYSIAIGLSLGHTAKGVMATLCLFRFWLGFGVGGDYLLSTTIMSEYAYKKTRGAFIAVVFTTQGVGILTGGIVSIIISAAFKERFDYPAYRDECTGSTVPEADYIWCTTLMLGALPVALTYYWRMKMPETARYTALVAKNAERATPECRGIIRQTQACSPLTSGSIGLMRSQLVASLPRPLGLMRSQHAASLPRPLSLVRSQHAASLPRPLGLMRSQHAASPPRPLGHMRSQHAARCLGHSATCAANTLPQPARLGSSAPRAQLGPTRSSVPSARPARVPRPLGPLRSKQCAASASASCAASTLPCCLAASATWPRAQPASSLAALATRPHAQPARCLGLSASCAFNTLPPRPLGLMRSKQHAASAPRPHAQPTHYYLSPSASCAVRNTLPRPLGLVRSQHAASLPRPLDLIRSRQAASLPRPLGLMRSQHAASPTRPRAQPARSLAASATRPHAQLASSIAASATRPHAQPTRCLGHSASCAANTLPRCLGHSASCAANTLPRPLGLMRSLVAS >Ma10_p31310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37494970:37496925:1 gene:Ma10_g31310 transcript:Ma10_t31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYENVVGGKLKLKGKALDVKAGGVKKKKTKRHNKEDSQIGSNELPAGGSSGLSADDPTESLTETDKLGTEGHAPSCDDQLTPAERRYIDQRERINVKKLAKKANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >Ma02_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15999321:16002637:1 gene:Ma02_g04660 transcript:Ma02_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRAILVGFAVALFLGVAVYFRLWAIDRQDDSTATADDRETLRRQFERANMEAMDESAEWRMKYDGEVERNKQVQDELQKAKASLSSATKRFDILQKETMNLQKQIESLKQQIEERRQHCNCSQSSSQL >Ma01_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1358472:1360263:1 gene:Ma01_g02000 transcript:Ma01_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRSRVRFHPDQLGTESTPSLAISLTMSYMK >Ma04_p34020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33378959:33383842:1 gene:Ma04_g34020 transcript:Ma04_t34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDTFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIINDPFMLNSVILIFANKQDMRGAMTPMEVCEGLGLYGVRNRVWHIQGTCALRGDGLYEGLDWLASTLNELQTSRRSTSVGSSSF >Ma08_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11193276:11198494:-1 gene:Ma08_g14070 transcript:Ma08_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRRSLKPHHGGSHKYTSSRNELEEQSILLHNNGAEHGGEVVVKIDGKNGGLFDQPAPLPDLNGTNNSKVWRESSYEFWKEADGDGRRGGGGGDGGGGFSFKKQQRKNTEAEIADDPPSRLISTFLHKQRASGAELALDMDVEMEELKKHCSSPVSASGSKELRVFFQASSAESHLRRSSDDDDDDDDDERGLRRRKPSPSTSGGPDGGGGDVVRCTSNGSLHRNSTLLRAKTRSRLMDPAPPPPPPSVSPVAARNDEVKKSGRIPKSGQIRSGQLKSQQLDEDDEDPFMDDDIPDQFKRTDFRWLTVLQWLSLFLILAAIACSLALSPLEPLTLLDLHLWKWFVLLFVLICGRLVSGWVVRLVVFGVERNFLLRKRVLYFVYGIRKAVQNCLWLGLVLISWHLLFDEKVKRETRSNFLPYVTKILSCLIVATLLRLVKTLLVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEIQNGMEEEERVMAEVRKLQNAGARITNELRAAALPSKSGRVIGSGPMQKSSQIGKNAKISDAKQQDEGITIDELHKLNPKNISAWRMKKLMRIVRNGTLTTLDEQVLQESGEDDSVMQIRSEYEAKAAARKIFGNVAKPGAKYIYLVDLMRFMKEDEALKTMSMFEGANESNRVCRKSLKNWVVNAFRERRALSLTLNDTKTAVNKLHQLANVVMGIIVFALWLLILGIATTHFFVLLGSQLLLAAFVFGNTLKMIFEAIIFLFVMHPFDVGDRCEVEGVQMVVEEMNILTTIFLRYDNQKITYPNSVLATKPIGNFYRSPDMGESIDFCVHVATPVEKLAIMRERIIGFMENKKEHWYPNPSVVLRDVDDMNRLRISIWMRHRINFQDMGEKWARREHVVQEMIKVLRELDIEHRMLPIDVNLRNMPVVDSTRLPSTWTTFNC >Ma03_p32070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34045863:34046968:1 gene:Ma03_g32070 transcript:Ma03_t32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPSAARGQRAYDNFVPSHEVVREKDAEIFLIQLPGFKKEHIKIQINDYGQLKISGERPVADNRWSRFLKELKVPDYCNVSEIKAKFEDGLLYIIKPILATKPGADGMAPETTTLDGKATREKKADDDSKNGVSQKTKTKPKRQESDGGVNKQSNEKKESLDDAGKDVQKVSEKERGGRIEGPKYSGKVAAKHEGGRNGARMTESRKEEAVTPELTPAERGNMRRNLTIVRGFYTRKQLMLNAALAAAIVILAGVVLFYVTR >Ma09_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:719042:723678:-1 gene:Ma09_g01010 transcript:Ma09_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSQSPLEAFDDDDDDREEEEEEEGVRSRNPKSSKEKVLEQEPEVLPCRTAASPLSPQPSAAGTPRMLGPSVKVWDPCNVLLQLPPSPLALFARGAPSAATDRATEVFLIAHGECACSLRPDLVGGRWSTAAGLTANGERQARALAVFLKSQGVRFDEVYSSPLDRARTTAAFICRELGFAEEQIQSSDALVEISQGQWEGCLRSEVYTQEIVNLISKTQPDFCAPSGESLRQVAFRMIEFLNMTVLRLPEKLAAADTPIHQTEAKELSCNGDGPHWDLMYRLNRPSLQRKKSGKSRLQFVTTGDDDQKDEFSPREVTQGNLLPDGGRNPTFSMGIFTHATPIKCFLTGLLDCSPVMSHRISIDDSSVTVLHHSLITGWQIKRLNDTAHLRLL >Ma09_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2108635:2109009:1 gene:Ma09_g03050 transcript:Ma09_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSFSFLLGTGCGIYSAQSYNVPNTKKLSHA >Ma08_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10871834:10872947:1 gene:Ma08_g13770 transcript:Ma08_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGYLDIATETCWHDLVLKLGVSIAIDMNVYCCMPGQEVMHSQVF >Ma07_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3784702:3789892:-1 gene:Ma07_g05200 transcript:Ma07_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELSTIQQTLTPEAASVLTRSIAEAARRSHGQTTPLHVAATLLATPSGLLRQACIRSHPQSSHPLQCRALELCFSVALDRLPASNPSPSGDSGALAEPPISNALMAALKRAQANQRRGCPELQQQPLLAVKVELEQLLISILDDPSVSRVMREASFSSIAVKAAVEQSISSSTSTHTAAAAVNTPSIASLVTATPPPAASRFLGLTNHAAPRHNLYINPRLHQHHANGGSGIPAIAAGAAGDQPRTEEVKRVLDILLRPKKRNPILVGDCNLDAVIKEVLQRIQSSDAQPPLRNTHVLPFAKEIATAAPDHSQITIKIRELSSSIEFMIGGESGVILDLGDLKWLVESPSVSTGSGAIQPPVVSEAGRVAVHEVGRLLKRFEEGCRVWLVGTATCATYLRCQVYHPTMENDWDLQAVPIAQRSSSLFHMFPRLGGNGVLTSSVDKPAPLKGLTGMGATALPLRHQPRTDLCAVCMENYERESSRLVADEFDKHSTKPEASQALPQWLQLAKLGSGGGAKSPSSPLQSKEEELLWKQSTDELLKKWCETCSRLHPHFHQSHGGFGSSLSPSASKPSSVVRPHPPCEPKQTPSRGLSPPRSESNQDAVAPPGSPVKTDLVLGSSKFSDSSSDSSHKDRLKDFTGCTPDAFSGQQQRAKVAGISDIDTFKRLFHGLAEKVSWQQEAASAIATVVMRCKSGNGKRRNVGSKSDAWLLLLGPDRVGKRKMANALSELVFGTGPTTVSFGRGSDGESNVSCRGRTSMDRIVEAVQRNPFSVVVLEDIDQADMLLQGKVKQAMERGRLPDSYGREVSLGSVIFVLTADWLPEELKSSYSSLLQYEEKILDSAYCGWELELSTADKPGKRRPSWACDDDQPTKLRKDSSTGTGLSLDLNLAVGTDAAEAGEGSRNSSDLTTEHEYDKGRLSINCSTFSLALDLVELVDEAVTFKPVDLGLLRRSILESASVKFAAVMGKGWAIRIDDDALDRIVGGLWLGGASIDDWAEMALVPSLKQVRDNLRADRGAVVLRLSTVKGNRAQQQQRRSDGGWLPTTVAIAIDGSHDKEFL >Ma07_p05200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3784702:3789892:-1 gene:Ma07_g05200 transcript:Ma07_t05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELSTIQQTLTPEAASVLTRSIAEAARRSHGQTTPLHVAATLLATPSGLLRQACIRSHPQSSHPLQCRALELCFSVALDRLPASNPSPSGDSGALAEPPISNALMAALKRAQANQRRGCPELQQQPLLAVKVELEQLLISILDDPSVSRVMREASFSSIAVKAAVEQSISSSTSTHTAAAAVNTPSIASLVTATPPPAASRFLGLTNHAAPRHNLYINPRLHQHHANGGSGIPAIAAGAAGDQPRTEEVKRVLDILLRPKKRNPILVGDCNLDAVIKEVLQRIQSSDAQPPLRNTHVLPFAKEIATAAPDHSQITIKIRELSSSIEFMIGGESGVILDLGDLKWLVESPSVSTGSGAIQPPVVSEAGRVAVHEVGRLLKRFEEGCRVWLVGTATCATYLRCQVYHPTMENDWDLQAVPIAQRSSSLFHMFPRLGGNGVLTSSVDKPAPLKGLTGMGATALPLRHQPRTDLCAVCMENYERESSRLVADEFDKHSTKPEASQALPQWLQLAKLGSGGGAKSPSSPLQVSKEEELLWKQSTDELLKKWCETCSRLHPHFHQSHGGFGSSLSPSASKPSSVVRPHPPCEPKQTPSRGLSPPRSESNQDAVAPPGSPVKTDLVLGSSKFSDSSSDSSHKDRLKDFTGCTPDAFSGQQQRAKVAGISDIDTFKRLFHGLAEKVSWQQEAASAIATVVMRCKSGNGKRRNVGSKSDAWLLLLGPDRVGKRKMANALSELVFGTGPTTVSFGRGSDGESNVSCRGRTSMDRIVEAVQRNPFSVVVLEDIDQADMLLQGKVKQAMERGRLPDSYGREVSLGSVIFVLTADWLPEELKSSYSSLLQYEEKILDSAYCGWELELSTADKPGKRRPSWACDDDQPTKLRKDSSTGTGLSLDLNLAVGTDAAEAGEGSRNSSDLTTEHEYDKGRLSINCSTFSLALDLVELVDEAVTFKPVDLGLLRRSILESASVKFAAVMGKGWAIRIDDDALDRIVGGLWLGGASIDDWAEMALVPSLKQVRDNLRADRGAVVLRLSTVKGNRAQQQQRRSDGGWLPTTVAIAIDGSHDKEFL >Ma02_p19760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25946634:25959274:-1 gene:Ma02_g19760 transcript:Ma02_t19760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQGRSCLSGEVKNGLEILKRRRLQQKKSGIVPEGIDAVNTMSRSGGDALRISSSCGTRIHGNVNAFSHDSGSGKDAFSKHQVKKFDMSDLQWIEKIPECPVFCPSKEDFENPLDYLQQIAPLASRYGICKIVSPISASVPAGVVLTKEQAGFKFTTRVQPLRLAEWAADDKVTFFMSGRKYTFREFEKMANKVFSQRYSSSGCLPAKFVEEQFWHEIAFGKSEFVEYACDVDGSAFSLSPKDELGQSNWNLKRFSRLPKSVLRHLVNAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSISYHHCGASKTWYGIPGHAAPDFERVVRSHVYDSDILQGEGENAAFDVLLGKTTMFPPNILLKHDVPIYKAVQKPGEFIVTFPQAYHAGFSHGFNCGEAVNFAIGNWFPLGTVASQRYALLNRIPLLPHEELLCKEAVSLSKRLSNSESKSPASSTEDFVSQHCIKFSFVNLMRFQHRARWSLMKLGTRAWINTETVLCSICRRDCYVSHVKCNCHKEPTCLRHDKELRSCHCGSDRVIFMRGDILKLEAISREFEQDNDVLDEVQKQVRQGNDFHLWSSSFDSAEDDGYVPYCEIKFETSYEVKDYNEYGTLESVSSVPVSSSVGSDGVQLHVCAKPNIGTLSCTNPPSSCQSVVLVPDRCAAIYQGGSPDTSVMQEDESDSEIFRVKRRSGIKLEKRSASDVVGCSLREQQGLKRLKKVRPEGRHLHVAPSESNLSMADHYAPVGHFVENLEPVFSRSSKGMVPKSFKIRREVFVDEVTKLKVNRNKGDGLQSNSLDIIRNSPSIELGTKRLKVRGPSFPSGSVARDDPGSRFPGGKYTSRHAS >Ma02_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25946634:25959274:-1 gene:Ma02_g19760 transcript:Ma02_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRSCLSGEVKNGLEILKRRRLQQKKSGIVPEGIDAVNTMSRSGGDALRISSSCGTRIHGNVNAFSHDSGSGKDAFSKHQVKKFDMSDLQWIEKIPECPVFCPSKEDFENPLDYLQQIAPLASRYGICKIVSPISASVPAGVVLTKEQAGFKFTTRVQPLRLAEWAADDKVTFFMSGRKYTFREFEKMANKVFSQRYSSSGCLPAKFVEEQFWHEIAFGKSEFVEYACDVDGSAFSLSPKDELGQSNWNLKRFSRLPKSVLRHLVNAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSISYHHCGASKTWYGIPGHAAPDFERVVRSHVYDSDILQGEGENAAFDVLLGKTTMFPPNILLKHDVPIYKAVQKPGEFIVTFPQAYHAGFSHGFNCGEAVNFAIGNWFPLGTVASQRYALLNRIPLLPHEELLCKEAVSLSKRLSNSESKSPASSTEDFVSQHCIKFSFVNLMRFQHRARWSLMKLGTRAWINTETVLCSICRRDCYVSHVKCNCHKEPTCLRHDKELRSCHCGSDRVIFMRGDILKLEAISREFEQDNDVLDEVQKQVRQGNDFHLWSSSFDSAEDDGYVPYCEIKFETSYEVKDYNEYGTLESVSSVPVSSSVGSDGVQLHVCAKPNIGTLSCTNPPSSCQSVVLVPDRCAAIYQGGSPDTSVMQEDESDSEIFRVKRRSGIKLEKRSASDVVGCSLREQQGLKRLKKVRPEGRHLHVAPSESNLSMADHYAPVGHFVENLEPVFSRSSKGMVPKSFKIRREVFVDEVTKLKVNRNKGDGLQSNSLDIIRNSPSIELGTKRLKVRGPSFPSGSVARDDPGSRFPGGKYTSRHAS >Ma04_p27630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28820466:28822897:-1 gene:Ma04_g27630 transcript:Ma04_t27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVMEDYSLGLEETELRLGLPGGGAGGGGGEGDATKNSGKRAFEETVDLKLKLQTTVDVEEVAAEKMKRSPSHKNVAASATDPERPRAPKAQVVGWPPVRSYRKNILAVQSEKASKEEGEKPGNTAAFVKVCMDGAPYLRKVDLKMYRSYQELSMALEKMFSSFTSANCGSQGMNGRDFTNEGKLMDLINGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRS >Ma04_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1783918:1785546:1 gene:Ma04_g02030 transcript:Ma04_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVRLPPFILVSTFTLLLLPFLSPPVASQLSSGFYSSSCPDVELLVKNTVRSAYEIDSTIPAKLLRLLFHDCIVQGCDGSVLVEGNGTERSDPANKSLGGFYVVESAKRLLEFWCPGTVSCADILVLAARDAVELTGGPSVVVPLGRRDGAVSSAASVRPNMVDTTFTVDQLAQRFSSKGLSMDDLVILSGAHTIGSAHCTAFSDRFNQLSDGTMVPADASLERNYAMQLARKCPAGASDSVTTSNDPVTPSLFDNQYYGNLLAKKGLLQSDSVLVADARTKSTVEALSRSQDAFFASWAKSFVKLSTIGVKTGNEGAIRFLCASATG >Ma08_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36785465:36787974:1 gene:Ma08_g23380 transcript:Ma08_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) UniProtKB/Swiss-Prot;Acc:Q9FUJ1] MPTTMIAYVEQQRRLVSDGDAEPSDGGDAGQENIGALDVAAAAAGDIALAARDFGGMVFIPPATLLRPSTTDDVVRAVCVARRSSRLTVAARGNGHSVGGQAMARGGVVLDMRDLGPPMELVRCGGVAADVPAGALWEEVLEWGVRNHGMAPTSWTDYLGLTVGGTLSNGGIGGQAFRHGPQVANVAELEVVTGDGERRVCSPSLCSDLFFAALGGLGQFGVITRARIPLVPAPKMVRWIRVVYKGFEEYAGDAEWLVTRADSEAFDYVEGFAFVNDVSDPVNGWGSVPILPGSVFDPAKIPAESEPILYCLELALHHNHSGAAGVDERAKEMMWPLRYMRGLVFAADVSYVDFLSRVGRSEEEARANGTWATPHPWLNILVSSSDIADFDRTVFKRILRRGVGGPMLVYPLLRSKWDPRMSVAVPESEVFYLVALLRFIAPREGDAALEEAVAQNREIIGCCRSKGYDFKVYIPRYESEADWARHFGRDWARFVERKRRYDPIAILAPGQTIFARAEPPAAAP >Ma07_p25180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32267975:32273303:1 gene:Ma07_g25180 transcript:Ma07_t25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MACVMRLLGLLFVLVCSLSLPLPSFHSVVATGQREFDYFVLALLWPGTICQATHHCCSSNACCRSNPLPEFTIHGLWTDYNDGSWPACCSHSDFDIKKITSLLPTLEKYWPSLYCSSSSLCFGGKGLFWAHEWEKHGTCSYPIIQDEYSYFSTALGLYFKNNMTEVLNNAGILATNGEKYPLGDVVATIKRAFGATPLLVCKHGSLEELRLCFYKDYKPRDCVTGSDILNGMPHSRNSCPRYITLPTYAPLGLADSSKMALEAFDALTVA >Ma06_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15607090:15607672:1 gene:Ma06_g21390 transcript:Ma06_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYPQQQQLAPPQAYPPPPSSHPSTGQAYPPAGYPSRDGAVNPQQVPIETKSRGDGFWRGCCAALCCCCVLDMCF >Ma06_p21390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15591304:15607672:1 gene:Ma06_g21390 transcript:Ma06_t21390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYPQQQQAPPQAYPPPPSSHPSTGQAYPPAGYPSRDGAVNPQQVPIETKSRGDGFWRGCCAALCCCCVLDMCF >Ma06_p16390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11105514:11108297:1 gene:Ma06_g16390 transcript:Ma06_t16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRRLWSGKKENKEAKDCSGYGGGEDRREKKRWSFTKPARDTGDVLLGQNATTAAAVEAALFRSFYSESEKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGAISGGSLERRAAVKIQTAFRGYLAKKALRALKALVKLQALVRGYLVRKQATATLHSMQALIRAQATVRAQRARNLVRNEKRFQPEIRHRRSLERFDESCRSENLSSFHGRRLSASLDSASNGFDRSPKIVEIDTCRPKSSRSSRRANPSVLDPADDFHSSSISSPLPCQIPARISIPDCRNFQDYDWCLAGDKCRLSATAQSTPRYMNSISNLPVTPAKSMCGADSALLRFTNVPNCPNYMSSTRSFEAKSRSQSAPKQRPEPAGTRKRLPLTEVMLESRASLSGVGMQRSCSRVQEAFNFKSAVVGRLDRCSEPRKEAEREFYWHRNS >Ma06_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11106057:11108297:1 gene:Ma06_g16390 transcript:Ma06_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRRLWSGKKENKEAKDCSGYGGGEDRREKKRWSFTKPARDTGDVLLGQNATTAAAVEAALFRSFYSESEKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGAISGGSLERRAAVKIQTAFRGYLAKKALRALKALVKLQALVRGYLVRKQATATLHSMQALIRAQATVRAQRARNLVRNEKRFQPEIRHRRSLERFDESCRSENLSSFHGRRLSASLDSASNGFDRSPKIVEIDTCRPKSSRSSRRANPSVLDPADDFHSSSISSPLPCQIPARISIPDCRNFQDYDWCLAGDKCRLSATAQSTPRYMNSISNLPVTPAKSMCGADSALLRFTNVPNCPNYMSSTRSFEAKSRSQSAPKQRPEPAGTRKRLPLTEVMLESRASLSGVGMQRSCSRVQEAFNFKSAVVGRLDRCSEPRKEAEREFYWHRNS >Ma06_p16390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11105602:11108297:1 gene:Ma06_g16390 transcript:Ma06_t16390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRRLWSGKKENKEAKDCSGYGGGEDRREKKRWSFTKPARDTGDVLLGQNATTAAAVEAALFRSFYSESEKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGAISGGSLERRAAVKIQTAFRGYLAKKALRALKALVKLQALVRGYLVRKQATATLHSMQALIRAQATVRAQRARNLVRNEKRFQPEIRHRRSLERFDESCRSENLSSFHGRRLSASLDSASNGFDRSPKIVEIDTCRPKSSRSSRRANPSVLDPADDFHSSSISSPLPCQIPARISIPDCRNFQDYDWCLAGDKCRLSATAQSTPRYMNSISNLPVTPAKSMCGADSALLRFTNVPNCPNYMSSTRSFEAKSRSQSAPKQRPEPAGTRKRLPLTEVMLESRASLSGVGMQRSCSRVQEAFNFKSAVVGRLDRCSEPRKEAEREFYWHRNS >Ma06_p16390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11104858:11108297:1 gene:Ma06_g16390 transcript:Ma06_t16390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRRLWSGKKENKEAKDCSGYGGGEDRREKKRWSFTKPARDTGDVLLGQNATTAAAVEAALFRSFYSESEKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGAISGGSLERRAAVKIQTAFRGYLAKKALRALKALVKLQALVRGYLVRKQATATLHSMQALIRAQATVRAQRARNLVRNEKRFQPEIRHRRSLERFDESCRSENLSSFHGRRLSASLDSASNGFDRSPKIVEIDTCRPKSSRSSRRANPSVLDPADDFHSSSISSPLPCQIPARISIPDCRNFQDYDWCLAGDKCRLSATAQSTPRYMNSISNLPVTPAKSMCGADSALLRFTNVPNCPNYMSSTRSFEAKSRSQSAPKQRPEPAGTRKRLPLTEVMLESRASLSGVGMQRSCSRVQEAFNFKSAVVGRLDRCSEPRKEAEREFYWHRNS >Ma06_p16390.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11104858:11108297:1 gene:Ma06_g16390 transcript:Ma06_t16390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRRLWSGKKENKEAKDCSGYGGGEDRREKKRWSFTKPARDTGDVLLGQNATTAAAVEAALFRSFYSESEKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGAISGGSLERRAAVKIQTAFRGYLAKKALRALKALVKLQALVRGYLVRKQATATLHSMQALIRAQATVRAQRARNLVRNEKRFQPEIRHRRSLERFDESCRSENLSSFHGRRLSASLDSASNGFDRSPKIVEIDTCRPKSSRSSRRANPSVLDPADDFHSSSISSPLPCQIPARISIPDCRNFQDYDWCLAGDKCRLSATAQSTPRYMNSISNLPVTPAKSMCGADSALLRFTNVPNCPNYMSSTRSFEAKSRSQSAPKQRPEPAGTRKRLPLTEVMLESRASLSGVGMQRSCSRVQEAFNFKSAVVGRLDRCSEPRKEAEREFYWHRNS >Ma02_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17583438:17585931:-1 gene:Ma02_g06650 transcript:Ma02_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR22 [Source:Projected from Arabidopsis thaliana (AT1G11290) UniProtKB/TrEMBL;Acc:A0A178WFR8] MLSTLSPFAAAAAPTLPNPSHSPPHFSPRLLSHPSYLLLDLCADRREVRLFLPQVVKRGLHLEHVFQTKLVALFSRFGDLRDATLVFGSVEDKTDELYHSLLRGHAKHSSLDDAVAFFRAMRRAGVRPAVHSFTYLLKACGDRSDLGRGREIHSQLIASGFGSNVFAMTAVVNMYAKCRRIEEARRMFDRMPERDLVAWNAIVSGYAQNGMAERALEMVIRLQKDGHKPDSITLVSALPACANVGSLKIGKTVHAFAMKAGFDSLVNVSTALVDMYSKRGAIETARLVFDSMRLKNVVSWNSMIDGYGQNGDAEEALRLFKKMMADGIQATDVTIMGALYACGELGDLEEGRHVHELLTRTGFESDVSVLNALITMYSKCKRIDLAEDVFENMPVKSLVSWNAMILGYAQNDRVEDALRLFNKMQWENVKPDSFTMVSVIPALADISVLKQAKWIHGFAIRLCTDKNIFIMTALVDLYAKCGSVRIARRLFDAMEERHVTTWNAMIDGYGTHGFGKSAIDLFEQMKRSSVKPNDITLLSVLSACGHSGLVQEGKKYFASMKEDYGFEPNMDHYGCMVDLLGRAGRLDEAWDFIQKMPIRPGISVYGAMLGACKIHKNVKLGEEAAQRLFELEPDEGGYHVLLANIYAAASMWEDVARVRTMMEKKGLQKTPGYSSIDLKNEIHTFHSGSTDHPQSQKIYARLARLIDEIKAVGYMPDSDSLHDVEEDVKEQLLGTHSEKLAIAFGLINTTPGTTIQIRKNLRVCNDCHKATKFISQVTGREIIVRDMQRFHHFKNGQCSCGDYW >Ma05_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4316913:4318043:-1 gene:Ma05_g05670 transcript:Ma05_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCEKVGLKKGPWTAEEDKKLIDFILTQGLCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLRRGLLSEDEEKLVIELHSQLGNRWSKIASQLPGRTDNEIKNIWNSRIKKELRKMGIDPLTHKPISPSTEELHRHQHHQQKEQQRRCMDVSCDGDEQTESDYSMGSSKTAEEEAKEKSTASPRDPAADESLGNCPEFCTDEVPMLQPHEILIPCDSSACSSSCSSASSSSSSFPRMELPESMHLWGSIDQELTWKSYQEDWKYDVFCFDC >Ma05_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1799079:1799327:1 gene:Ma05_g02720 transcript:Ma05_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWGRKVFLDELGPGVNWELLRENLDIRLNYIFDQFLWGGPATSRWRKPVTINKWSIHNIRPNFLWHVKVLMVPPVPPPPSQ >Ma07_p27780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34015595:34017173:1 gene:Ma07_g27780 transcript:Ma07_t27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDSCFLRPCLQWIDFPEAQAHATVFVAKFFGRAGLMSFISGVPPSQRPALFKSLLFEACGRTINPVNGAVGLMWTGNWHLCQAAVETVLRGGTLSTLPGLAAEDHSDDAPPESDGLCSPPLMGFSSSAAERWKVPAPYDAAAALSCDLDLCLTPSPGDGSEEKRSWRPATPSINSEGSVTTSGGGDGSTAEPRLLNLFV >Ma03_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7892417:7895444:-1 gene:Ma03_g10480 transcript:Ma03_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMESMTSLLRVLSSRQDHDEQVKTWMKQVREMAYDAEDSIDVFRYRLGRRRQENRIIIDFLAYTVRLLRRLKARHDVATDLQKLKLRACHVSERRPRYFLESGGATSAPSSSDPPHSVRLGEVRPKRMGGDELPGWLMDAKQPQLQVGVVSPDDIAGAALAREIHHSLAIPGGHFRAHAMVTASKSHDTEELLRTMIRQLSFSGEMIPQVLGDLALHSDKTGVEQCLDNLGEVDLVTTIINYLQDKRYLVVLDDIPINSAWDCLKDALPDKRNGSRIIMITADEAVAGAWFSHNYHSVSEEGGLVGFKPQRDDLIERITKEGQDQYGEIAIVGFGGLGKTTLAMQVFESLKVTGSHFHAYAWIAVSQSYKVEVLLRSIIRQLSISVQQIQHVLQLSASNQDIEVDKRYLIVLDDTWEISAWDSFKAALPYNRNGSRIIVTTRNKTVAHTCCSHNSFCNHIHEGQPLSTRQKCDGLPLAIVTIAGALAAKPKKTPDEWRKLHDHLGIELETNDSLKNINRVLLLLSYNDLPYHLKPCFLYLSIFTEDYEIDRKRLVRRWIAEGLLNGRRGMSDEEVAEGYFNELIDRSLIQPSKIDVVGKVKTRRENFVTVLSEHSTMIPHNKVRRLAQQGESTIKDDLYLSQIRSLTTFGNVIPLHDYGKMRLLRVLDLEECRSLRHVHLKNIGKLFLLNFLSLRNSRCIKKLPGSIGGLSNLQFLDVRETDIQKLPRAVVKLQRLAYLRGSWFYGGLRLPKGIRKMKGLQSLGVITGTDAAVLREIGELTQLKKLSVVQIKIQAGKFHGCRSVVHNYDLPKHRRELCTSLQKINSSLRSLTLTDRSDRVIRYLNDLDPAPLLLGKLHLNGPILTLPAWFPKLDQIAKINLRNTYLDPDVIQVLKDLPGLVQLKLGPRSFQFWRDEESEQQPETLHFGREGFTALRIFQTDSLNVSFEDGTLPRD >Ma05_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11322705:11324145:-1 gene:Ma05_g15210 transcript:Ma05_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWVKSLHCKSNAVDDVVYPRTPSSSSAKKPLLSSVYCGHTSHAVKDVFPFPKCPSSSSSSSLPKKRRPKTGRKPKPGLAHPAASPAAGLVGPARSDPFPTLAELPAGHSSRRVVEIIFSSSWSSSSSGAAAAFPGEIEMLFRVHNPVRTVARFEEHRAAVRAGASRSDDARCAADGNEMMRFHCGVGGGVVYDAGVACSVMRSAGKKVVGVRTFAGSGGAHASGGGGAGRRAMLVCRVIAGRVRAESDTESQVESVSLGDGELVVFDPTAVLPCFLIIYKL >Ma04_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21892453:21893065:1 gene:Ma04_g19290 transcript:Ma04_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCSNVTDLDSVFHSFDKDGDGKISAAELTACMRNIGEELSLEDAEAFVELADRDGDGLLDLEDFVKLVEVEGEEERDRDLRAAFKMYEDEGDDGCITPGSLKRMLSRLGTSRGIDECRTMICRFDLNGDGVLSFDEFRNMMMMV >Ma05_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18741347:18786776:1 gene:Ma05_g16800 transcript:Ma05_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPSLSCSSSPSLRFSFPSSPLRQKTLPLFLPGVRNSNGCGTGGLFQCSTGTFRAGQAVELFPSLWPEVTVRDVQLEDYWEVADTHCSCFFPNHKFPINFMLRINRFVGLFSRSSVPPGSMKTCLVAVFDSPVNDNIYVECEDLKTGGFEGKFGRGSVAGILTVDTVADFLPRKGPLRQRRTGVAYISNVAVRKAERRKGIAKMLIAKAEARARSWGCRSMALHCDANNLSAIRLYKGQGYKCIKVPENANWPEPRTSSSTYLTFMMKLLKPNSTP >Ma01_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9827103:9827799:1 gene:Ma01_g13450 transcript:Ma01_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPHADDAILHPPPPTRASASNATSDKWGPYSGASDFGASMALVLAALFCTILLALSLGAAIRLLFCRRHRRGTSEEPEEKPAAAVTPTMLFSAAGTMLAGMGADCAICLAEFCEGDPVRVLPACNHGFHVRCIDRWLAARSSCPTCRADANPEERAQAQAQAQEVAAEGV >Ma11_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27216563:27219440:1 gene:Ma11_g24100 transcript:Ma11_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARNGPRPETKTGIQTPPRPASRSGNPKPSTPVLSYGYWFLESFSHRFFSLPLLFLRSRSFAREGDGRKSLAHDGLVRGLHEAAKAIEKHAAQLCILAEDCNQADYVKLVKALCADHNLHLVTVPSAKTLGEWVGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLHIVQEYVKSH >Ma08_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11125965:11127042:-1 gene:Ma08_g14000 transcript:Ma08_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSPPAPTPLATHLADDLHAVRSWPPAPSSSSAAVHWLSDGLARLHLLLAGLSDVLQLPQAHDPLRCRHRRRSPALADRFLDDFLRLADAHGSFRAAAIALKQHLAAAQVAIRRRDVQRLPPCLRALRRAGKELADLAAAVREIRRRPPTASAAGAVTDAEEVEIARVMWEVAVAAADASRVVFLGVAQMSMAAASAAEAVTRRSAWVAAVLRWRERARSKSSNKKVLREEVMTEEEEREWQRTALERLGSAEDGMASLESGCELVFKSLVNVRVTLLNVITPAT >Ma01_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11138288:11142663:-1 gene:Ma01_g15390 transcript:Ma01_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMAAPGAEEEEGAAAAGQIHLPAEVDWEMLDKWRFFVLGAALFSGVSAALYPAVVLKTRLQVAQPAPPCLRAAASILRHEGPRGFYRGFATSLAGTVPARALYMGALEATKSAVGTATLRFGVPEPAASAAASAAAGLSAAVAAQVVWTPIDVVSQRLMVQGSAAATAVAKYRGGVDAFKKILFSDGLRGLYRGFGMSILTYAPSNALWWASYSLSQRLIWGGIGYHMGGGELRPGHGTVVVVQGISAAMAGGASAVMTMPLDTIKTRMQVLDGGGERMTIGRTVRSLLREGGWGACYRGLGPRWASMSVSATTMITTYEFLKRLSAKEGFL >Ma02_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16407537:16410227:1 gene:Ma02_g05070 transcript:Ma02_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPSCLNEYEKLIIRMDTPRVVIDNAVCPTATLVKVDSARKHGILLEAVQVLTDLNLSIKKAYISSDGRWFMDVFHVTDQFGRKLADDSVISYLEQSLDMKEHDFHRPDSAEGLTVLELTGADRPGLLSEVFAVLADLCCGVVDAKVWTHNGRIACLVTVKDKLSGCPIDGDPQQLHHVESRLRHVLKGDNGVCGARTAVTSIPVAHTDRRLHQMMFSDRDYERHSPSAASSTSPAVSVQNWVEKGYSVVAVQCRDRPKLLFDVMCTLTDMEYVVFHGTIDTDGDVAHQEFYIRHKDGSPISSEAERQRMIQCLQAAIERRATEGIRLELCIEDRAGLFSEVTRTFRENGLLVTRAEVSTKGDTASGVFYVTSDAAGQPADPKAVDAVRQRIGADYLTVKEEKRPQYRSKAGDDGDEEAQGSGGVGIGLFYLGNLFWRNLYNLGLIKSCS >Ma08_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8777319:8787833:-1 gene:Ma08_g11770 transcript:Ma08_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPYPYSPYGYPNHPSPPPPVPNPYQSPYQPPPPYLYPSNSFPSPQDPQPQFNHSGSFHYLPPPSSSYPPPPPPSVPPPIYSYDPYCSPQNNPHPYIYPHSLPYDPNSSGFHHTASSSHHEPSEHAVLSAQSSISSSSSSIYPIDDLLANVRLSDQSSGATPGSVSSHHRPGVDCYQHQSSSSGGFSPYTPVSAPAAASFGVSQHGAIVPFVGTSPSKGRASLKVLLLHGNLDIWVMDAKNLPNMDLFSKTLGDLLGPRITGTITGKVEQMTSITSDPYVTITVSGAAIGRTYVLSNSENPVWMQHFNVPVAHHAAEVQFVVKDSDVVGAQLIGVVSIPAEQIYSGQKVEGAYQILGPNGKQCKPGCVLRLSIQYIPMERLSINHQGVGAGPHYCGVPDTYFPLRKGGKVTLYQDAHVPDGCLPDLRLGNGMHYEHGKCWHDIFNAISQARRLIYIVGWSVFHTVQLVRGPDGLSFPILGDLLRSKSQEGVRVLLLVWDDPTSRNILGFRTNGVMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSIVKQQETGAIYTHHQKQVIVDADAGNGKRKIIAFVGGLDLCGGRYDTPKHSLFRTLQTLHKDDYYNPNFVNSDDSGPREPWHDLHSKIDGPAAYDVLKNFEERWLKASKHHGIKKLKKSSDDALLIIEKIPDILRVDDLLFMNDNDPETWHVQIFRSIDSNSVKGFPKDPRDAIKKNLVCGKNVLIDMSIHRAYVHAIRAAQHFIYIENQYFLGSSFNWDSNKDLGANNLIPIEIALKIANKIRAKERFSAYIVIPMWPEGNPTGAPTQRILFWQNKTMQMMYETIYTALKEVGLENTYEPQDYLNFFCLGNREVPDVNNSSRNAETSPQALAKKNRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAMGAYQPQHTQGRRLSSPRGQIFGYRMSLWAEHIGTIEECFNHPESLECMRRVRDIGQFNWSQYVADEITEIRGHLLKYPVDVDRKGKVKPLPGHETFPDIGGKICGSFFAIQENLTI >Ma08_p11770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8777319:8787827:-1 gene:Ma08_g11770 transcript:Ma08_t11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPYPYSPYGYPNHPSPPPPVPNPYQSPYQPPPPYLYPSNSFPSPQDPQPQFNHSGSFHYLPPPSSSYPPPPPPSVPPPIYSYDPYCSPQNNPHPYIYPHSLPYDPNSSGFHHTASSSHHEPSEHAVLSAQSSISSSSSSIYPIDDLLANVRLSDQSSGATPGSVSSHHRPGVDCYQHQSSSSGGFSPYTPVSAPAAASFGVSQHGAIVPFVGTSPSKGRASLKVLLLHGNLDIWVMDAKNLPNMDLFSKTLGDLLGPRITGTITGKVEQMTSITSDPYVTITVSGAAIGRTYVLSNSENPVWMQHFNVPVAHHAAEVQFVVKDSDVVGAQLIGVVSIPAEQIYSGQKVEGAYQILGPNGKQCKPGCVLRLSIQYIPMERLSINHQGVGAGPHYCGVPDTYFPLRKGGKVTLYQDAHVPDGCLPDLRLGNGMHYEHGKCWHDIFNAISQARRLIYIVGWSVFHTVQLVRGPDGLSFPILGDLLRSKSQEGVRVLLLVWDDPTSRNILGFRTNGVMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSIVKQQETGAIYTHHQKQVIVDADAGNGKRKIIAFVGGLDLCGGRYDTPKHSLFRTLQTLHKDDYYNPNFVNSDDSGPREPWHDLHSKIDGPAAYDVLKNFEERWLKASKHHGIKKLKKSSDDALLIIEKIPDILRVDDLLFMNDNDPETWHVQIFRSIDSNSVKGFPKDPRDAIKKNLVCGKNVLIDMSIHRAYVHAIRAAQHFIYIENQYFLGSSFNWDSNKDLGANNLIPIEIALKIANKIRAKERFSAYIVIPMWPEGNPTGAPTQRILFWQNKTMQMMYETIYTALKEVGLENTYEPQDYLNFFCLGNREVPDVNNSSRNAETSPQALAKKNRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAMGAYQPQHTQGRRLSSPRGQIFGYRMSLWAEHIGTIEECFNHPESLECMRRVRDIGQFNWSQYVADEITEIRGHLLKYPVDVDRKGKVKPLPGHETFPDIGGKICGSFFAIQENLTI >Ma02_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29021307:29022468:-1 gene:Ma02_g24360 transcript:Ma02_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILGSPAFLARPLTKPQLSCSQTPRSQQPIRSQPEPSAQPSSPPTPPPPPPKPQQKPKVAAESTDWIASSLTRRFGLGAGLAWVGFLAFGVVSEQIKTRLEVSREQANTRVVEKEEEVVLPNGIRYTELRVGGGASPRPGDLVVIDLQGRVEGSSDAFVDTFGEGKRPLALVMGSRPYTKGMCEGVEYVLRSMKAGGKRRVTVPPVLGFGDDGADLGAGLRIPASATLEFIVQVDKVSIAPS >Ma08_p25370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38238476:38242715:1 gene:Ma08_g25370 transcript:Ma08_t25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVDPSTVALPRRLLIFGGFFFVVCFFSSSSVNASVHSYIGKKFAPKGNAFVLHGGSEGLFASLPDPNATAGLGDAFIRFEKITFTRMEQSVENSKSTDPIVVQAIIFEVEDRETIGGSAYGGQRAVCCTPDLAKLGACTQGKVIYRPSSRNPNWPQVLAATFNGKDLVATLPSQSIPITRTGMYNLYFIYCDPALNGLVIDGKTVWKNPTGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYVRFWREVLPLQNCITFVIALGMFEMTLWYFEYAKFNETGFRPMGITFWAVTFGTVKRTVSRIIILVVSMGFGVVRPTLGGLTSKVIMLGATFFLASEILELVENVGAVSDLAGKARLILVLPVAFLDAFFILWIFTSLSKTLDKLQARRLMAKLDIYRKFTNALVVAVVVSVCWIGYELYFKSTDVYNEQWQNAWIISAFWQVLSFALLCVIAALWAPSQNSMRYAYSDDGTEDFEREDSLFLLKPGPIPSKDARSSASLMEAKLTVSTNATASHDEDREEDKRE >Ma11_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26149728:26157523:-1 gene:Ma11_g22350 transcript:Ma11_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEEGGGGGGEEMEEDGKEEMMVVEEARGRDKTVLMWGYLPGVSPQRSPLLHPVAVRVPDSPAGDRWKDVSGGGCGFAMAMSESGKLFTWGSTDDMGQSYVTSGKHEETPEVFDLPTKVPIVKAAAGWAHCVAVTAQGEVYTWGWKECVPFGRNVRDQSSSGGASEKEERHSGFMSDHVMPRSQGSRTTGTMSGFDSGGGDENTKRRRLSSAKLGPESSSSGEETLSAPPCLVNLNVGVRITCVAAGGRHTLALSFSDVGQVWGWGYGGEGQLGLGTRIRNVSSPHPVPCIESASFTKDQSSPATKGKQGSDGQAFKLIGTCIKAIACGGRHSAAVTDTGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGVEIQGVAAGLWHTVCTSVDGGVYSFGGNQFGQLGTGSDQAETLPKQLDAPCLENKNAKLISCGARHSTMLTEDGQVFCWGWNKYGQLGLGDSIDRNIPSVVPIENLQPKNVSCGWWHTLVLAESPT >Ma11_p22350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26149728:26157523:-1 gene:Ma11_g22350 transcript:Ma11_t22350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEEGGGGGGEEMEEDGKEEMMVVEEARGRDKTVLMWGYLPGVSPQRSPLLHPVAVRVPDSPAGDRWKDVSGGGCGFAMAMSESGKLFTWGSTDDMGQSYVTSGKHEETPEVFDLPTKVPIVKAAAGWAHCVAVTAQGEVYTWGWKECVPFGRNVRDQSSSGGASEKEERHSGFMSDHVMPRSQGSRTTGTMSGFDSGGGDENTKRRRLSSAKLGPESSSSGEETLSAPPCLVNLNVGVRITCVAAGGRHTLALSYVGQVWGWGYGGEGQLGLGTRIRNVSSPHPVPCIESASFTKDQSSPATKGKQGSDGQAFKLIGTCIKAIACGGRHSAAVTDTGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGVEIQGVAAGLWHTVCTSVDGGVYSFGGNQFGQLGTGSDQAETLPKQLDAPCLENKNAKLISCGARHSTMLTEDGQVFCWGWNKYGQLGLGDSIDRNIPSVVPIENLQPKNVSCGWWHTLVLAESPT >Ma11_p22350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26149727:26157523:-1 gene:Ma11_g22350 transcript:Ma11_t22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEEGGGGGGEEMEEDGKEEMMVVEEARGRDKTVLMWGYLPGVSPQRSPLLHPVAVRVPDSPAGDRWKDVSGGGCGFAMAMSESGKLFTWGSTDDMGQSYVTSGKHEETPEVFDLPTKVPIVKAAAGWAHCVAVTAQGEVYTWGWKECVPFGRNVRDQSSSGGASEKEERHSGFMSDHVMPRSQGSRTTGTMSGFDSGGGDENTKRRRLSSAKLGPESSSSGEETLSAPPCLVNLNVGVRITCVAAGGRHTLALSFSDVGQVWGWGYGGEGQLGLGTRIRNVSSPHPVPCIESASFTKDQSSPATKGKQGSDGQAFKLIGTCIKAIACGGRHSAAVTDTGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGVEIQGVAAGLWHTVCTSVDGGVYSFGGNQFGQLGTGSDQAETLPKQLDAPCLENKNAKLISCGARHSTMLTDGQVFCWGWNKYGQLGLGDSIDRNIPSVVPIENLQPKNVSCGWWHTLVLAESPT >Ma02_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24814576:24820045:-1 gene:Ma02_g18270 transcript:Ma02_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIFLGFLAAAIQVDAQQGFLSIDCGMEGDSSYNDTATGIVYVPDAKYIDSGVNHKISKTYMDATTPAQAETLRSFPNGSRNCYTIGGIKQGEKYLVRALLLHGSYDGLASVVFDLHLGVNFWRSVNITDPSYLLKAEIITVAQEDYFSVCLVNTNSGTPFISALEVRQISSTDVYRYVNQTNSLVLGTRLNMGDAPSIIRYPDDAYDRLWTPFSNFPYWFNISSSETIQRNPGDEFQVPGAVMATAVTPSDNTSLLLLMSAKPGPVRPEYYVYMHFADFDAPSPNHTRMLDVYVNNELKASNFQPKYLLSTHISLTYDLGTAVEYDIDLNHSGSSTLPPILNAIEVYTLLSLPDTATYENDVDAMMNLKNMYKMTKWQGDPCSPEKFTWSGITCSLSSSVQRQRITSLNLSSLGLNGTIPSDLAKLTAIKSLDLSYNNFTGPIPSFLANLESLSMLNLSHNQLNGSIPDDLYGRQKNGLFLLKTDNNPQLCQHGATCNVGVDDEKKSKKIATPVIVAIAVIGAVLVALLILFLVYLAKRRRPNAPRSQTPASPLKLDELKAMEEKPVGVDGRRFTYEELKNITNNFVRVLGKGGFGTVYYGRLLNGTEVAVKISSRYTTAEAMAWSPMSQTSDSAMAGIKEFLAEVSLLSRIHHRNLVSLIGCCMDNNVLGLVYEYVAEGTLKDHLSDKAGGGLNWRQRLHIAIETALGLEYLHKGCRPPIIHRDVKTNNILLDHNLEAKIADFGLSKAFQTDASTHVSTDVVVGTPGYVDPEYHNTFQLNEKSDVYSFGIVLLELVTGQPPVLRSPESGHIVQWVRQRLTNADISEVVDSRLEGQYDINSIVKVIDIAMSCINTDGSKRPTMSEVVMQLKESLQAEASQVRGNSNSDLVEGGVCKSSNDSVEMARLDVTNIMIGPMAR >Ma01_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2260487:2263252:-1 gene:Ma01_g03420 transcript:Ma01_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALACVEAAAPHPGYGFEWDGALPAPGAYPRGASTTEGGGDLTAAEYPSPWSTDLSAALYRIDGWGAPYFCVNAAGDIAVRSYGAATVSHQEIDLMKVVKKASDPKAAGGLGLRLPLLVRFPDILKHRLESLHAAFDFAIRSNSYGACYQGVYPVKCNQDRYIVEDIMEFGLPFDFGLEAGSKAELLLAMSCLTRASRKALLICNGFKDEEYIALAVVARRMDMNTVIVLEQAEELDTVVETSQRLGIRPVIGLRAKLRTKHSGHFGATSGEKGKFGLTTAQILSVAQKLQRLQMLDCLQLLHFHIGSQIPSTALLSDGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGSRSGGSDMSVGYGLHDYASAVVRAVMFACDRKHVRHPIICSESGRALVSHHSVLIFEAVSSTTTKAEPLSSIGPNFASLLEELADDARSDYHNLRAAAYLGEYETCALYADQLKRTSIDHFKDGMLGLEHLAAVDGLCELVAQELGVADPVKTYHVNLSIFTSMPDFWAIGQLFPIIPIHHLDQRPGVKGILSDLTCDSDGKVDRFIGGQSSLPLHELGRGGVGGGGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSEGPHGFAVTLAAPGPSCADVLRAMQHEPEVMFESLKHRAEECSAGDAVSCAVARAFDSMPYLVHSRADPVCASDGDRTDGMGGDSEGCGCCVCGEEEGEEWTFMRCLSV >Ma05_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1359457:1364149:1 gene:Ma05_g02130 transcript:Ma05_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYDPSASSGSGLWRRQPSSSTPRPPPADPLRADIDPPPRPRPALQSLAFGLIVLLGCLQFLPATHFRDPTDPHRNWIPLDPNRSQPISANSRTPEKEEHSAVTKREADVGKLHIFSWMDCLDLRVLAVLANSTLSSSRHPENIFFHFFVSETEDEKLSYYKLKVFLPRSNLEIIGLKEVKEKLISPTSEGEFIESVLHEIVPFAIPSINSRMNKFLYVSPNTIVKGNVEELFDVDLGSYAIAAAEDCNRRLGDYVNFEVLNAIQRTEAKTWVSDKPYDKDACLPDFNVLLFDARKLDNNLVDVIAWWNKILNLGTQRPNQVNPAIALAFYGRYLKLPAFWKHHRSTPLVRENETNVLPFDGPKRVCSNDKDQQQNFDHGSLWKTYLTPKFDAVLSHTN >Ma05_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4245108:4247375:1 gene:Ma05_g05590 transcript:Ma05_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLETALGSVTSKVEGCDVADWSELFVCRWEWSALDSLKEAAKRERLPLEFLTVSSGKQAQRQRRKDMGASFLPCQVQQHSATQTDHLPIGTIPEEGIEQPHNNPPPPAPPHAAVAQCPKHKDLKPREKKRLICRSRPGQSSGNREIGEQYNQLGVSLEFDEDFHSKADQRTTVMIKNIPNKFSRDMLIGLLDEHCAKENEKAQLLDSAPSQYDFVYLPIDFKNGCNLGYAFVNFTTAAAARRLYGAMHRHEWKVFGSKKISHVCYARIQGRKALVNNFKNSNFRCDTDEFFPAVLSPPRDGATSLPSPIPVGRRWKGVPR >Ma06_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5437512:5442503:1 gene:Ma06_g07660 transcript:Ma06_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAEENMLRTLERYQKCNYGAPETNIISRETQSSQQEYLKLKARVDGLQRSQRNLLGEDLGPLNIKELEQLERQLDASLRQIRSTRTQYMLDQLGDLQRREQMLCEANKSLKIRLEESSDADQQQLWDPNTHAVAYGRQQPQPQGDGFFQSIDCEPTLQIGYHPDQMAIAAAAAAAAPGPSYMPGWLA >Ma09_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24031869:24033744:1 gene:Ma09_g19470 transcript:Ma09_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHLSKRPDTPPVLLEDGGDASAATAGDKGVQADIRYVAELSSYEAACRLDPELQTFDSTLQRRTSRVISSLALGVEVRSLSLDSLREITGCLLEMNHEVVKVILECKRDIWKSPELFDLVEDYFDNSLQTLDFCAALEKCLKKARDTQLIIHVALQRFAEEEEEEDDNKKYSRTLEELRLFKAAGDPFTEEFFRVFQSVYRQQLLMLEKLQLRKNKLDKKLKSIKAWRTLSSIIFAATFAAVIICSVVAAAVAAPPVAAALAAAASIPIGSMGKWIDSLLNDYQNALKGQKEVLSSMQVGTFIAIKDMDSIRVLIDKLEMGITSLLDDSEFAIKDVGAVKLGIEEIRKKMEVFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRNPE >Ma10_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15173148:15173537:-1 gene:Ma10_g04520 transcript:Ma10_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGYSVFYRRDEMSRNHGKNPKVDLKLNLSAKQVRARGGPSTRAVVVSEDEDDSSSSPSVSPPSSCVSSEEEQKQADSPEATSSMVLAGCPRCLMYVMLSEKDPKCPKCKSTVLVDFHRGSTSSRRSRRS >Ma06_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1716989:1720004:1 gene:Ma06_g02160 transcript:Ma06_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGWARFLGIAFLRRFLRAVWDHLLYCSSLGGRGRYRRLKACVPPSHLVAEKDGKPTAAVGRAALCADDEGDSDLVTLKIGLLGDRHTGKTSFLIKYVGDLEEQRELHTAGLNTMDKVLFVRGARIAFSIWDVGGDDQFLDRVPAACQDAVAILVLFDLTNRSTLNNAIGWYQRARKWNKTAIPILIGTKFDDFAQLPVEMQWTIVNQARAYARAMKATLFFSSATHNINVNKIFKFVAAKLFNLPWSLERNLTIGEPIIDF >Ma07_p25620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32639532:32643083:-1 gene:Ma07_g25620 transcript:Ma07_t25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTLARAGASLIDRFFVFHPPKPSNTFLLPRISSQPPVRCFAEKFLAARSPPPGSVGGGDAESLKILASSQGIVFPCGLPSLRFFIDEGHDDLTNEPLHLLPKRTYQPSHIKRKRAHGYLARKATKGGRKVIARRIAKGRQRIAV >Ma04_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:411906:417827:1 gene:Ma04_g00470 transcript:Ma04_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKSKVAEPGAEGAEPEQHIDGELVLSIEKLQEIQDELERVNEEASDKVFEVEQKYNEIRRPVFVRRAEIIQHIPDFWLTAFLSHPALGDLLTEKDQEIFKFLHSLDVEDFKDVKTGYSITFNFSNNPYFEDTKLTKTYSFNDEGTTNVTGTTIKWKDGMEHIANGDAHEKKGNKRPFAEESFFSWFSENQQKDFSEGVMDEVAEIIKEDLWPNPLKYFNNEADEEDFDGDEDDDEETDEDGEPEDDEDEE >Ma05_p26730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38252346:38253446:-1 gene:Ma05_g26730 transcript:Ma05_t26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADADADDEVVIDAPPFIRVYKSGRIERLVGTEVLPAGLDPATGVASKDVLVDPATNLTARLYLPDLSGSPPDKKIPVLVYYHGGGFVIETAFSPTYHNYLNSLVAAAGVVAVSVDYRRAPEHPLPAAYDDSWAALRWVASRPTEETWLSERGDLGRVFLAGDSAGANIVHQMALRAAEDGLGGGDTEIRGLLLVHPFFCGAEPLESESWDPKAREWVERLWAFVRPGTTGLDDPWIDPLTEGAPSLAALPCRRVLVTVAEKDMLRKKGREYQEALKRSGWEGEAELLETVGENHVFHLIDPKSDKALAKLQAVAAFLNS >Ma04_p34850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33841872:33842823:1 gene:Ma04_g34850 transcript:Ma04_t34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEIIGSEADQPGTYGLQEGVVAPVADAAVAAAASRKRPRPPSFLGGDGLCYVQQQMRDFDRLVLQHAESVRAELAVRRHGFARRVVAALEEGVLARLKAKEEEIARVGERNWALEERIRRLRVENQVWRDLAQRSEATANVLRAKLEHALAAAQVRTEEEATAENAEFCRSGTNEEGEAAVGRGWGTACRSCRERKPSVLLLPCRHLCLCAACGPVVDTCPVCGCTKNGSVQVNMSRVQER >Ma08_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29434056:29439579:-1 gene:Ma08_g18230 transcript:Ma08_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQISAGRKRSIKERLHGDLGGDVGRSSVVAAKRQRQSDDKWKHDLYEDDRRPEASKSVDPTDLRWKLQKKGSQQGYQSGKASVVRDLRDKLSGTMQSQPPNIDPSKAKRVSEISGTIKKSLRHDPPKPEAKKPTNSTVGNSSKRKSESLVDGFLQSLGLEKYSITFQAEEIDMAALKHMNDEDLRALGIPMGPRKKILLALESKL >Ma09_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5496610:5505169:-1 gene:Ma09_g08300 transcript:Ma09_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAMHAKEAGILWLAGFKEACSLHRVVILCIRSRPLLIRTGQCFLLNGLIFLGSLFILKSVVIPILLWILPDQTEQFAAEHLSCHKAALALYSFLRFILIELFYIFWFYPLYIFSFVLSTLWYNDIAKHAYEVLTGKRPSAAQSSRENDLTGLQTTSNSFTPGGFDSVCIGIGEQVYSILLLTIFFIEVFATGFIPYFGKAINFLLLSWMYAYYCFEYKWNHSKVSLNRRLDFFESNWPFFAGFGSPCVLAIFFFSPLVSYGVMAILYPLFVLTAAGTHLEQVVNSVRRSFRGGGPKKLQVFYAANKIAMIIVQLLPEASKTS >Ma11_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1341620:1344667:-1 gene:Ma11_g01870 transcript:Ma11_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLISHRLSSSFLRFSWRSASIPNSFAAPTQKLDRFRPFCSSMAASSSEPKEAPSNNPGLHTQLDDATKGYFLQQTMFRVKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYENTSLAPSNPTERTVWTFGQKATIELTHNWGTENDPEFKGYHNGNSEPRGFGHIGITVDDTYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLNRIGNVTADAA >Ma08_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4283167:4284465:-1 gene:Ma08_g06330 transcript:Ma08_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENPSNGEPDGSLDPTSQGHGDEFESACSTPFASAPSSPGRGGYGGGGGGLFFFSAPSSPMHYVLSSPYSVTDSPSDPASTDFSFEFEFSARFPAHSTAASADELFLNGQIRPMKLSSHLQRPQALAPLPDLAEEEDDDEKDGPRYNREGLEIGVRGRDLKLRSRSVHRRARSLSPLRNLPFRWRMQGTDREERGKDLEKPPDLTQIEAEEAPAPPVSASSRSSSSSSTSSSSSSGRSSKRWIFLKDLLHRSKSEGSDHGKDKFWHGISFSGSKDRPKPTASSNPETKPPAAAPTQRDAPQPRPANGIRRRRRAAPSAHERHYTTNRAQAEEMRRRTFLPYRQGLLGCLWFSSKSYGAINGFARSFNPVPSR >Ma04_p27890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29039770:29040470:1 gene:Ma04_g27890 transcript:Ma04_t27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin C 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14890) UniProtKB/Swiss-Prot;Acc:O23344] MATSLLHFPTTTTSRLVLKPGIRHRQVKLARFPSLRLRVAAYKVTIEHEGERRTLEVAEDETILERALEEGLEVSHDCKLGVCMTCPARLVSGAVDQSEGMLSDDVVERGYALLCASYPRSDCHIRTIPEEELLALQLATAND >Ma07_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4901778:4905603:1 gene:Ma07_g06820 transcript:Ma07_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVTVAWQRYLQQLQAHPLRTKAITSGVLAGCSDAIAQKISGVKRLQLRRMFLLMLYGFVYAGPFGHFLHKFMERLFKGKKGKDTVAKKVLLEQLTASPWNNMLFMMYYGLVLEGRSFSLVRRKIRKDYPSVQMTSWRFWPIVGWINYQYMPLQLRVLFHSFIASCWAVFLNLKAQSGAAKEA >Ma06_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5662162:5670575:1 gene:Ma06_g07980 transcript:Ma06_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase [Source:Projected from Arabidopsis thaliana (AT1G27980) UniProtKB/Swiss-Prot;Acc:Q9C509] MEDRMLRLRAAANAALSRYEPLALVVAPLLTLLLARSLHALFLSVREKGIKAAVLGLLFACVKSIPGVSWYIESEKKKVVEKLQSGSKSKRDSWRTELPNIGLGKATIQELEDARNKDIAWQGKCSGTVYIGGSDSENHFALINEAHAMFSHTNPLHQDVFQSVARYEAEVVAMTAALLSSREPASGGQICGNMTSGGTESILLAVKTSRDYMRTKKGITKPEMIIPGSAHSAYDKAAQYFNIKLWRVPVNKDYVADVQRIRRCINGNTILIVGSAPGFPHGVIDPIEELGDLASRYGVCLHVDLCLGGFVLPFARKLGYPIPPFDFSVKGVTSISTDVHKYGLGPKGTSVVLYRNREIRKHQFVAVTEWSGGLYVSPTMAGSRPGGLIAGAWAAMMSLGLNGYLENTRQMMEVSKKIQKGIEEIPGLFIIGQPHMTVVAFGSNDIDIFEVNDMMTSKGWHLNALQKPNSIHICVTLQHLHVVGDFLRDLRESVKMVKENPGPISGGLAPLYGAAGKMPDRGIVQDLLVEFMDSSC >Ma05_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1928865:1954955:-1 gene:Ma05_g02860 transcript:Ma05_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQSHAVKSLNTSSGRRRFVFKSFSQRVEEIDINVYRSLDPVKAQPSAGSSFLRESLVSWRELNTAEDFISFYEKMMPLVQTLPQVILHKEIIMSELLDRLHVKARLSLEPILMLISALSRDLLDEFLPFLQRLTNALVDLLRNGGNHDPEILEQVFTSWSYIMMYMQKYLVKDVVYVLKMTIHLRYFPKDYIQEFMAEAVSFLLRNACKVQLWKGVSKVIMEVAKSSSMRRTGVTALLWHVMRGAPSMLHSKAETVWHLLMDKSIFSLGEKYPEGQDALLQVTIGIIRRLCNEINPEELKVIFHSLIKEILNCISDGDLEHLNHLLSLLTFAVCNIDGSKVYDRQTILDLVSSLIQSFVVPSISVEMEDLPSKVLSRVLELMLCLLDVPLISVDMSSILLLYAPVFKLKSSRLLEFLRGFILKDPEIVHVFRSHILSVMGDLVEVSPEEALFLMMAFFEKQRKQQICDVVGVSEDKVLKLCKFSNEFIIYWIKLLHDNTGNSDQLNEQVSEMEMAILWGVVSCYPYLPYSQDSLVLIKDLIVTIDQLLETEIEKNASFPKSTWQSIIGAALSSFHKLLLVKKLGPSETGIFLHLAKRHKSSLQVLSAVAEYSDSVFGSKAEGASSWNVFQEFDVQDVIDSVTSFADNLSLPNKAVRVSTLRILSHYAPLDHTLLTSDVRPHKKLKTEESEASVVASQCIDVIELLLSVEMTPLSISTSRKIVILLSKLQMSLSSGRINDIYIPLLLNGIIGILHNRFSHIWEPALDCLTILIGRHKELAWNSFVHYLDSCQSKFLCSGNHLVKLNSGSSQPKELIDCFKLFLVPEFDCTPSMMVTTLLLQSLQKIPEIAESRSRQLIPLFFKFLGYSGDDCFSVESYTDHACKGMDWKMILKEWLNLLVQMRNARSLYRSPVLKEVLVKRLLDEVDPNIQLKVLDCLLNWKNDFMVSYDEHLKNLILSKNIRNELTTWAVSEESECIQEGHRHHLIPIIIRLLTPKVRKLKTLGSRKHTGVSHRRAVLCFLAQLEVEELQLFFSLLLKPLIPRHLTNELFDSLNDEPSGGLIGGSQSSILIKCSTSIEVANVSWKKKNGFVHVVEEILRTFDESRIKPYLNPLMMIVVWILENCMLNLASENRNRAVNIAESLSGNLPDHEVRTAARNSLLITAKQFKDLRSLCLKVISFVLNKYGSHDFGSDFWNIFFSSLKPLIDSFKHEGSSSEKPSSLLSCFVAMSRSHVLVPLLDKEANLVPTIFSMLTVRTASDAIISSVLSFIENLLNLDNSEDHQEIDSPKGILVPHLDVLIQSFHLLLQSRKVHRKCTTWPGTSELRIFKLLVRYITDPTIAEQFVDILMPLFKKKDSSPDEALEGLHVLKGILPVVGSESSGKILEAIHPLLVSAGLELRLCICDILNRLVLIDPSLAFVARLLHGLNAVSSSEIGELDYDTRVNAYETVRPELFAKLKVEHALLILSHCVYDMASDELIFRQSASRALHSFIHFSASVLNNSESNSAEMLFNDGSHEDTTNLIVKKEDTVITWTKSCIKQIVNKTFLKNIGDAMTKDISVQKEWIAVLRDMVYHFQGLPSLNSFRPLCSEDPEVDFFNNILHLQIHRRRRALSRFRNVLGAGNLTEDVILKVFLPLFFNMLIDVQDGKGEDIRNACMETLACISGHMHWEPYRRFLMRCFREMIRRPDKQKILLRLICAILDMFHFSHMNLSEVMEGGTELTTEVKSTNALPSIESHSDVSEVQKFLQNILMQIQKLLTSDTEKVNVNISLAAIKVLKLLPVEIMESQLSSIVHQICTFLKNRLESIRDEARSALAACVKELGLEYLQFVVKILQAILKRGYELHVLGYTLNFILLKTLSNPAVGKLDYCLDELLFVAENDILGDVAEEKDVDKIASKMKETRKRKSFETLKLISQSITFRTHAMKLLLPIKAQLQKYTTPKMKVRLEMMLQHIALGIECNSSVELSELFIFVYGLIEDGISPEGSHGNEISTNGINKKPVHDGSQKRDTSSHCKLGPHNSHLIVVFALGLLHNRLKNMKLEEDEQLLSMLDPFIKLLGDCLSSKYEGVLAASFRCLAPLVRLPLPSLQGHADKIKILLLEIAQKSGNVGSPLVQSCLKLLTVLLRSTRISLSKDQLHMLIQFPVFIDLQTKPSPVALSLLKSIVDRKLVAHEIYDIIMQVAELMVTSHSEPIRKKSSQVLLQFLLDYRLSDKRLQQHMDFLLSNLSYEHSSGREAVLEMLHAILIKFPKSVVDNQAQSFFLHLVVALANESDSKMRAMVATVIKVLLSRTSQHATRPILGYSLSWYMGEKQHLWSASAEVLGLLVEVMTKDIREHITSILHVAKGILEASIHAASNKGLDIMNEPAIPLWKEAYYSLIMLEKMLQYFPELYFERNLEEIWVIICKFLLHPHIWVRNISSRLVASYFIAVTEASKTDNQQLKSGGYFLVNPSRLFAVAVSCLNQLKTSLIDDTMSNLITQNLVFSVCGLHSRLIKSLVPHDYWSTLNSSEKGVYLEAFEFLGSKKAKSSFLLSTTVRSNFSGTSDEADEDNGEDVRSLLVVPLIKRMGKIAMHMEDVQMRIAFNSFKMISLQTGSEGSRSYAIHMLGPLYKACEGFAGKVISDEIKQLAEEVRDSLRDVLGVDSFVQVYNRVRKNVKQKRDKRRQEQKLLAVINPMRHAKRKLRISAKHRAHKKRKIMSMKMGRGIR >Ma07_p28210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34337048:34339805:-1 gene:Ma07_g28210 transcript:Ma07_t28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKENPDLQKKIGCATGILQMFDRHHFLTGRHLNDHNHKKLLSGSGLQSSRESEQTACFSQILQEKKLSKNLKGNRRVPMESSLASFSSSSSSSFYSHEYKKSSQREAFVRTFFPERSLENSPMFRNSQRRLSMDSQDYCKSAARLREIPRLSLESRESLLRNSNFGTETSLFLGDLDRTKTTPRVSKASDLLQDRSRQVRHPSVVAKLMGLDKMPEMNPAAARKDCVPFHEPRPKGTRDARSDQSPRSVQSRDLNLDRLLKLNSSIMTDQMQQQTEPIYKRAESRIKDKDLRALKQMVHAMREKRLAQAKKRNGHTCKTSPPEDDADRPPTRSNPNPRSPKARKQPAKAGGAPKSLEPPIAIMKSEMSPGARDSVLPEGLPTPAKLRTSSTDDRRRASANNHGDRDHTPRVRLRETDKQFNRRATLRSNQTQGISKSQQSLGTTLNPKLQQRRKEAEKKSCPPTPYLNKAQAQSTNRQTLESVSPRSKPRLKQSQVRKNKDQIDDSIRETRGLRCRDDEVSPCSYKSRCSALQSAVLQQHIESPSSRAAHNPALVLNQKELTNIDAMHNPDHKYVTEMLLATGFLNKDFRFGQASSVPFQRLSSDQAINPDLFSVLEQPKHGCPSNHDRRNHRKLVFDVVNEILAHKMESFSNGLLQTRKPSGQHLLAELCSEIERLQAESTRSTTSEDDVNYISGKEVLHRSEWVDFDMEQPRVALEIEKLISEDLIHETVSDATEAVLRVKTGKLRRQQSAQ >Ma05_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7941461:7950095:-1 gene:Ma05_g10910 transcript:Ma05_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MAGDAGVLYGRGAEGGGDPPDPLPGIEHYLPSAVLASVLSRLDPRSLCSAAATCSSLRSVASHALSFLPSFHLLEIAPTLDLLRPLLPPNPYLKSLKLDCSRLDDSSIGFLTRPSLHELCLHNCENLSGRILSEVGGKCRDLRSLSLSSLAERRGLSIVFTDLEELLTGCSQLESLSLALDFSSFDNPKFGQVWATASTALSSLEVGYIPLTMLIELLSATVQSHQSLRPVKPPIFPSLQMLCLSVDYITDHLVGFISKGLPSLSSLDLQDAPIMEPIVTSDLTNAGLQQINPHGKLKHISLVRSQEFLFTYFRRVNDLGILLMSDACSTLESICLGGFCRVTDTGFRAIIHSCSNLRKFRVSHGSQLTDLVFHDISATSLSLTHVSLRWCNLLSNLGIVGLSNNKQLIVLDLRDCRNLGDEAVKALSYLPKLQILLLDGTDTSDLGLSYLGHGSCPLVSLSLRGCKRITDNGISLLFSGSVNQSLQVLDLSRLPNLTDNGILSLAKGRIPIVELRIRECPHIGDTSVMALASMQIEGRTPGSSLYVLDMFECGGITPLAIRWFKKPYFPRLRWLGVTGSLNRDMVDALSRSRPFLRIACRGEELGMSFLDTSGGWYSHEEDEMDELERWLLEGEDEIENDSDQ >Ma04_p35700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34351546:34351743:-1 gene:Ma04_g35700 transcript:Ma04_t35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRKRGGQEELAVDKEKRERNDSLNLLLNFLLIVILNPLSTLFGTLLRTMTMMRKCDTHYQTL >Ma03_p33590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34900505:34902143:-1 gene:Ma03_g33590 transcript:Ma03_t33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANRFLALLYAFSLVFLVMGTRIAGSAHGKQRPEHHYVNKLFVFGDSYVDTGNLGRLLGRLARSWFDPYGMTFPRKPTGRFSDGRVLTDYVASFLRIRSPIPYRIRKFGQKLLPYGMNFAVAGSGIFDTGNFQSNLTAQIDKFQAQIDDGVFSRHDLKSSAALIAVSGNDYQFLSELDPDYLHHLHGFMHRLFAQLKVDLKRLSHIGVPEVIVTNLHPIGCVPYYTRPTKYTACYSNVSSAVAEHNRRVDELMQELGGGSDTTFLSLDVNTAFLNVLHRAKGAKEIKHPLVPCCESRSNTTECGEIDAEGNRLYRVCRRPEEHLYWDSVHPTQAGWAAAFEFLRPSLREFLRL >Ma03_p33590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34900505:34902144:-1 gene:Ma03_g33590 transcript:Ma03_t33590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANRFLALLYAFSLVFLVMGTRIAGSAHGKQRPEHHYVNKLFVFGDSYVDTGNLGRLLGRLARSWFDPYGMTFPRKPTGRFSDGRVLTDYVASFLRIRSPIPYRIRKFGQKLLPYGMNFAVAGSGIFDTGNFQSNLTAQIDKFQAQIDDGVFSRHDLKSSAALIAVSGNDYQFLSELDPDYLHLKVDLKRLSHIGVPEVIVTNLHPIGCVPYYTRPTKYTACYSNVSSAVAEHNRRVDELMQELGGGSDTTFLSLDVNTAFLNVLHRAKGAKEIKHPLVPCCESRSNTTECGEIDAEGNRLYRVCRRPEEHLYWDSVHPTQAGWAAAFEFLRPSLREFLRL >Ma06_p26010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26621954:26629260:1 gene:Ma06_g26010 transcript:Ma06_t26010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEPGIAMAKSDKLEMGATRGPTMSSTEVVPTSAVNGSPSLSRKLSFGKKLMGSFKGGHHLRKTWSGHMKMDSEDVGSEASLSRASSASLSFSYSFTGFTALPEVSDTAAFGNNENEIDLEIQETRKSLMSEPTLPIYLKFTEVRYSVVLKGITTTTEKEILHGITGSVRPGELLALMGPSGSGKTTLLSLLGGRITANIIQGSVTYNDEPYTKSLKGRMGFVAQDDVLFAHLTVRETLTYAALLRLPRTMTREQKEDRAMDAIYELGLERCQNTIIGGPFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQVLHGIAKLGKTVVTTIHQPSSRLFHRFDKLILLGKGSLLYFGKASKAIKYFSSIGCSPLIAMNPAEFLLDLASGNINDVTVPSVLESKVQSKESESNTRNGKPSPEDVHEYLVEAYEDRVADKQMRKILMPLPISEDLKATLSSQKRDWGASWWQQYSILFWRGLNERRHDYLSWMRITQVLAIAVILGLLWWKSSSTTTRGLEDQAGLLFFISVFWGFFPVFTAIFTFPQERAMLTKERAVDMYKLSAYFMARTTSDLPLDLILPIIFLLIVYFMAGLRRSIEHFFLTMLVVFLSIIAAQGLGLVIGASLMDIKKATTLGSVTVMTFMLAGGFFVRRVPVFISWLRYVSFNYHTYRLLLKVQYDHVPSSLNVTHLDNGVKEITALTVMVLTYRIMAYVFLRRMKLPN >Ma06_p26010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26621948:26629260:1 gene:Ma06_g26010 transcript:Ma06_t26010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEPGIAMAKSDKLEMGATRGPTMSSTEVVPTSAVNGSPSLSRKLSFGKKLMGSFKGGHHLRKTWSGHMKMDSEDVGSEASLSRASSASLSFSYSFTGFTALPEVSDTAAFGNNENEIDLEIQETRKSLMSEPTLPIYLKFTEVRYSVVLKGITTTTEKEILHGITGSVRPGELLALMGPSGSGKTTLLSLLGGRITANIIQGSVTYNDEPYTKSLKGRMGFVAQDDVLFAHLTVRETLTYAALLRLPRTMTREQKEDRAMDAIYELGLERCQNTIIGGPFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQVLHGIAKLGKTVVTTIHQPSSRLFHRFDKLILLGKGSLLYFGKASKAIKYFSSIGCSPLIAMNPAEFLLDLASGNINDVTVPSVLESKVQSKESESNTRNGKPSPEDVHEYLVEAYEDRVADKQMRKILMPLPISEDLKATLSSQKRDWGASWWQQYSILFWRGLNERRHDYLSWMRITQVLAIAVILGLLWWKSSSTTTRGLEDQAGLLFFISVFWGFFPVFTAIFTFPQERAMLTKERAVDMYKLSAYFMARTTSDLPLDLILPIIFLLIVYFMAGLRRSIEHFFLTMLVVFLSIIAAQGLGLVIGASLMDIKKATTLGSVTVMTFMLAGGFFVRRVPVFISWLRYVSFNYHTYRLLLKVQYDHVPSSLNVTHLDNGVKEITALTVMVLTYRIMAYVFLRRMKLPN >Ma06_p26010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26622255:26629260:1 gene:Ma06_g26010 transcript:Ma06_t26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEPGIAMAKSDKLEMGATRGPTMSSTEVVPTSAVNGSPSLSRKLSFGKKLMGSFKGGHHLRKTWSGHMKMDSEDVGSEASLSRASSASLSFSYSFTGFTALPEVSDTAAFGNNENEIDLEIQETRKSLMSEPTLPIYLKFTEVRYSVVLKGITTTTEKEILHGITGSVRPGELLALMGPSGSGKTTLLSLLGGRITANIIQGSVTYNDEPYTKSLKGRMGFVAQDDVLFAHLTVRETLTYAALLRLPRTMTREQKEDRAMDAIYELGLERCQNTIIGGPFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQVLHGIAKLGKTVVTTIHQPSSRLFHRFDKLILLGKGSLLYFGKASKAIKYFSSIGCSPLIAMNPAEFLLDLASGNINDVTVPSVLESKVQSKESESNTRNGKPSPEDVHEYLVEAYEDRVADKQMRKILMPLPISEDLKATLSSQKRDWGASWWQQYSILFWRGLNERRHDYLSWMRITQVLAIAVILGLLWWKSSSTTTRGLEDQAGLLFFISVFWGFFPVFTAIFTFPQERAMLTKERAVDMYKLSAYFMARTTSDLPLDLILPIIFLLIVYFMAGLRRSIEHFFLTMLVVFLSIIAAQGLGLVIGASLMDIKKATTLGSVTVMTFMLAGGFFVRRVPVFISWLRYVSFNYHTYRLLLKVQYDHVPSSLNVTHLDNGVKEITALTVMVLTYRIMAYVFLRRMKLPN >Ma06_p26010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26621932:26629260:1 gene:Ma06_g26010 transcript:Ma06_t26010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEPGIAMAKSDKLEMGATRGPTMSSTEVVPTSAVNGSPSLSRKLSFGKKLMGSFKGGHHLRKTWSGHMKMDSEDVGSEASLSRASSASLSFSYSFTGFTALPEVSDTAAFGNNENEIDLEIQETRKSLMSEPTLPIYLKFTEVRYSVVLKGITTTTEKEILHGITGSVRPGELLALMGPSGSGKTTLLSLLGGRITANIIQGSVTYNDEPYTKSLKGRMGFVAQDDVLFAHLTVRETLTYAALLRLPRTMTREQKEDRAMDAIYELGLERCQNTIIGGPFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQVLHGIAKLGKTVVTTIHQPSSRLFHRFDKLILLGKGSLLYFGKASKAIKYFSSIGCSPLIAMNPAEFLLDLASGNINDVTVPSVLESKVQSKESESNTRNGKPSPEDVHEYLVEAYEDRVADKQMRKILMPLPISEDLKATLSSQKRDWGASWWQQYSILFWRGLNERRHDYLSWMRITQVLAIAVILGLLWWKSSSTTTRGLEDQAGLLFFISVFWGFFPVFTAIFTFPQERAMLTKERAVDMYKLSAYFMARTTSDLPLDLILPIIFLLIVYFMAGLRRSIEHFFLTMLVVFLSIIAAQGLGLVIGASLMDIKKATTLGSVTVMTFMLAGGFFVRRVPVFISWLRYVSFNYHTYRLLLKVQYDHVPSSLNVTHLDNGVKEITALTVMVLTYRIMAYVFLRRMKLPN >Ma08_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:127735:141342:-1 gene:Ma08_g00150 transcript:Ma08_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MEPRRHHSKNGLSYSNLFNLEPLMSFQVPQPDDEFHNYEDSSQDENRSSQGQGVLFERCNGEISPELIRRRRRHGVESEAVANSSLRNDTDSNDEVDEEYENGISEEQYRAMLSDHVQKYRKVKSKESLSGLASSRIAMSGTKRSHGSKTRKFTGEPLVSAKGETTSRKMEISPGYYEADLDVDYDGGNRYTLSMDSTYLDIGEGITYQIPPTYDKLVASLNLPSIADIIVEENFLNGSLDLRSLAAMIATDRRFDTLNQGGLNEPQPQYESLQARLKALSFGNSDKKFTLQVCDIGLDPFSIPEGAAGRIRRLIMSDSGTLQVYYVKVLEKGDTYEIIERSLPKKQIVKKDPSEIEKEEIEKIGKVWFNIVRRDIPKHHKIFTNFHKKQLTDAKRFSETCQREVKLKVSRSLRLMRSAAVRTRRLARDMLIFWKKVDKEQAELRKKEERDAAEALKREEELREAKRQQQRLNFLISQTELYSHFMGNKSSAQPVENLLVVEGEAKLPEEESLPLDSKSEDEEDPEEVELKKEAHRAAKQAVSQQKKITNEFDYACLKLRQVAETKDQGNDSAGGSNDIDLLNPSTMPVTSTVQTPEMFKGHLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPSSVLNNWADEVSRFCPDFRTLPYWGGLNERTVLRKNINAKRLYKRDARFHILITSYQLIVTDEKYLRRLKWQYMVLDEAQAIKSSSSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFDEWFSKGIESHAEHGGTLNEHQLNRLHAVLKPFMLRRVKKDVISEMTGKTEITVHCNLSSRQQAFYRAIKNKISLAELFDGSRGHMNEKKIVNLMNIVIQLRKVCNHPELFERNEGSSYFYFAEIPNSLLPSPLEGVDVNYAGNRNPITYKVPKLIHQEIIRSTEVPFSIPRRGVHCEYFERLFDIFSPGNIYESELPKYKCLVNSSEVSGTFGFTRLMDLSPIEVSFLAKCVLLERLFFSLLRWNRQLIDETLDLFMETEGDDLENSHLDRQTTRTIARMLLLPTRSEASLLRRRLATGLGDAPYEALVTSHNDRYTSNIRLLRAMYAFIPRARAPPIHAQCPDRSFAYQINEELHHPWMKKLFLGFARTSEFNGPRRPMHHHHLIEEISQSYAIEPIFQLPYRIFGSSPPMQSFDPAKMLTDSGKLKTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYKYFRLDGSSTIMDRRDMVRDFQRRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKEVTVYRLICKETVEEKILQRASQKNTVQQLVMTGGHVQGDLLKPEDVVSLLLDDAQLEQKLREIPVQQPKDRQKKKRLKGIRVDEEGDVSLEDFTNSGSAENELEKENAHRKKRKAKSQKDNPLQLQNPQRTAEDMDLLLETDEPSPSGYEEDHIVQERSKRLRRPTKSINENLEPAFNSADNVPIMNSSEDQPTSYDYKSGVYGSGSQDALPSQAPVA >Ma04_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6935052:6937099:-1 gene:Ma04_g09760 transcript:Ma04_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHTIRRICAAIDAFSVTFIAATLSRSRKKTDLSVLANESNNPENFPTIAACKASVLNKHHKLKPQVEIESSSTNTVSDPLPISEPAIVKLKAEKDPEKLFHLFKSNAHNRLVVENRFAFEDTVSRLAGARRYDLIEHLLEHQKTLPQGLREGFNVRIIMLYGKAGMPDHALQTFHQMHLFGCPRTVKSFNATLQVLSQARRFDDVHTFFIQCANKYGIVLDEISYNIVIKSMCEMGRLDSAYLVMVEMEKAGLKPDVVTYTTLMSAFYKHGRREIGDGLWNLMVLRGCSPNLATFNVRIQFLINRRRAWKGNDLTHLMCNAGIQPDEMTYNLIIKGFCMIGELEMAKRVFYAMHGRGCKPNSKIYQTMVHYLCEGGNFDLAFRLCKDSMEKNWFPSVGTIDKLLKGLMSTSKDRNAREIMKLVKARIPSYSINEIKNFQGILYQSR >Ma10_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32463613:32466199:-1 gene:Ma10_g23650 transcript:Ma10_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKIAMRYLRSDLLSFCLSIMQLPSSLIVVWSVILTVRNLRAQDNNNALALIVLIQYIPRFYLIFPLSQQIIKTTGVVTKTGWAGAVYNLLLYMIASHVLGASWSLLSVERQRTCWKSQCTLDRPLCKLRFLIVACQANQNVKAGLLVKKYFYRYAFYLSPAPVAKHRQRVLLFSILIVLVGLVLFAHLIGNMQVLCGHLLIFIACVVFKVECL >Ma06_p33320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33996802:34005492:1 gene:Ma06_g33320 transcript:Ma06_t33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSPRTFSYDRDEEVKLPGASWYLSRKDIEDNSPSRRDGTDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIVFSHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPTAVQRIKQREVYEQQKELILLGERLVLATLGFDLNVQHPYKPLVEAIKKFKIAQNALAQVAWNFVNDGLRTSLCLQFKSHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEISNQMLELYEQNRPALSSQGNETEGSSASGVSHRAPANTPVDVEEPTTQSGYSYVSKNATMQSSSTHSLSEQPHSDKHSGSSSVVHTDANDHTRHGPRAVVSDNKVGVGVKDHWHHEPLSNPDTMGSTFHHRPEQPGEELVSDGTEGMVETREKNSIYIEGSKVDSPMVDAMKKIDKDKVKAALEKRRKSRADNAKKVDLMDDDDLIERELEHGVELAVEDERNKQTGRSWSKPTYRQESLSSDHVMEIGYHGSQKATENAEEGELSVDSQGIHSPESSNKKRKAVSSPGKHFSAKNGYDLPHYHVPSKRQETREDMRRLERGERDHKRLRQEN >Ma02_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22368532:22369239:-1 gene:Ma02_g14240 transcript:Ma02_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNAGWVAAVARVSAEACQYVACNPERLSSEEVLDLLFCLPLRHLRGFALCLFSFLCFPPFLSDDLRRNRLYYLGSSSSSSSSSDEYDSTGGGYDSHSD >Ma04_p28640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29661930:29667104:-1 gene:Ma04_g28640 transcript:Ma04_t28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPTLPSPVSTSLLLLLLLLLSCCSELCSAATVSYDHRAVVIDGKRRVLISGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNLHEPVQGQYDFGGRKDLVKFIKTVAAAGLYVHLRIGPYVCAEWNYGGFPVWLHFIPNIKFRIDNDPFKNEMQKFTTKIVDMMKQEMLFASQGGPIILSQIENEYGNVEQYYGPTAKSYIDWAASMATSLNVSVPWVMCQQDNAPDPIINTCNGFYCDNFKPNSDKKPKMWTENWSGWFLSFGGGVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRTSGGPFIATSYDYDSPIDEYGLLRQPKWGHLRDLHKVIKQCEEALVATDPTYTSLGKNLEAHVYRTSSGRCVAFLANIDDHSDATVTFNGKPYQLPAWSVSILPDCQSVAFNTAKINSQTTVLEMKYTKTFDQAADESTGSSEISESEWKFFTEPIGSINSTFKNVGLLEQINTTADSSDYLWYSISIDVIGNEPFLFNGTQTTLHVDSLGHVLHVFVNGKLSASNQGSNADASFKLENVITLSSGQNRIDLLSATVGLKNYGQFFDLSGAGITGVILKNHNATRDLSSSQWTYQIGLKGEQLALHDNTQNSTWMSLSSLPKNQPLTWYMTYFDAPEHDDPVAIDFTGMGKGEAWVNGHSIGRYWPTYTSPPSGCVQSCDYRGPFSGSKCVRNCGQPSQSLYHVPRSLIQQGNTNRLVLFEEVGGDPTLVSFALRAAGSLCAHVSQSHPPPVDAVNTAQKKDAVLHLECPHSDRVISSVKFASFGTPHGTCGSYSHGNCSSTTALAILQQACIGVRSCDVKVSTEVFGDPCRDVVKSLAVEASCS >Ma01_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1846927:1849795:-1 gene:Ma01_g02800 transcript:Ma01_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISSPCRTAPPFARPRPPVLLRRPPSYPKHSLPWLPRNGFKPVASLFSKRVGPPPPPPTPAVPSSSSSLSDASASRSAEEARKAQLYPERRASAGSILRKISSFQAVHSAVLVLASAIIFTIIQPILVPPSYATVQSAARSGGRLIRTELLSSAWTGFLAGCLHTLSGPDHLAALAPLSIGRTRIESAVVGALWGCGHDTGQVVFGLLFLMLKDRMHIEVIRTWGTRVVGLTLLVIGAIGFREASEVPTPCVALENGECDVSIYEHLDAGPTGKKKFGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLCMFLVGTVFAMASYTVFIGSFTQALKERVPRITEKLTWAASLVAISMGLAILISQFFGFSLY >Ma08_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37938452:37940293:1 gene:Ma08_g24890 transcript:Ma08_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MASLSTTTSTAAGESLRSFAGLRRETRILRGVAAADAPGSQLRRRQLGVVRASRTSPRLNGRKLRVAVVGGGPAGGSAAEALAKGGVETMLIERKLDNCKPCGGAIPLCMVEEFGLPLDLIDRRVTKMKMISPSNVAVDIGRTLGPDEYIGMVRREVLDAYLRERAAEAGASVINGLFLRLEQPEGPGGPYRIHYNEFSPAGGGAGERRTAEVDAVVGADGANSRVAKSIGAGEYEYAIAFQERVRIPETKMEYYKERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGTIIRVEAHPIPEHPRPRRVLGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGSRMIDEGDLRKYLEKFDKMYWPTYKVLDVLQKLFYRSNAAREAFVEMCADEYVQKMTFDSYLYKKVVPGNPLDDIKLAVNTIGSLVRATALRREMEKLTL >Ma06_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17145971:17148250:1 gene:Ma06_g22120 transcript:Ma06_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHSVSSLLLLMLFLGAANQASWLFLFLLFALSFLSPLLFTIWLVPGGFAWHRYSGAGSIAPGPIGWPLLGCLTLMGPLAHRKLAKLSKSHRAAHLMALSLGATPVVVSSHPDTAREILHGPAFSDRPAKISARMLMFERAIGFAPAGDYWRHLRRVASTSMFSPRRIAALASLRHQVAGRMVSRVWKEMEGTGLVVLRELLQESCLENMVGSVFGLSLGEAETKELVDMVRKGYELIGQFNLEDYFPLGGLLDLSGVGRRCKHLSIRVKDLVGKIVERRRQGDYRMQDDFLSLLLSLPKEETLSDTDIIAVLWEMVFRGTDAVAVLLEWTMARMVLHPSIQAKAQQELDAVVGGRPVEDSDTPKLRYLQAVVKEVLRMHPPGPLLSWARLAVRDVLVGKYFVPAGTTAMVNMWAITHDESNWEDPWAFRPDRFLEADVSVLGSDLRLSPFGSGRRVCPGRALGVATAHLWLARLLQQFRWTAGRPVQLSERLRLSLEMKKPLACRVVRRGRVDVE >Ma07_p25670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32663722:32670683:1 gene:Ma07_g25670 transcript:Ma07_t25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYILDFTAELENLTNLQQRDGCSDPNYTYYFKVKCENCGEISQKETCVVLSESVPLPNGRGTANLVQKCKLCGRDGTIQMVPGHGEPLTIERSQAGIRSKLMVFDCRGFEPVNFSFENGWKAESLSGTSFEVDLSEGEFADYDEKGECPVGITNLQASFRVAKKQERAGKTTFV >Ma10_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28842245:28842499:1 gene:Ma10_g17450 transcript:Ma10_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLILCLLTSEAKIKANELTCSKHEGWISFHLHVNVICNVNMGSLLLSSPPPPSSDVVTKSSSLLRPSNTNHITTTFSGANSA >Ma11_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4088174:4090455:1 gene:Ma11_g05340 transcript:Ma11_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSSSASSTAATPTTDSGGASSPFALLSDDIVLNILAKLEVDPRDWARLACVSARLSYLVRNVCYRSRCCRSLPSDLLPSAAASSAAAWPSLHKISVCCPGLLRAGILLEHSDFGLERDIGPHIFVPPLSSSSSSSSSSTSSDPPNPLPLIPSEAADSCWSLFDDLYFDTVYDHSESQDATLTPIDPSPGEPPVRVGSVAPKKRKKQRVGPVGSHLASGPWSLSREQGNKLLASRFRGDCLYICDWPGCIHAEEKRKYMLFRGVFKNFKRSRVWKTISDSNRGKIALDCAFCACRETWDLHSAFCLRRVFGFHDDGEPVVRAYVCENGHVSGAWTERPLYA >Ma09_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11268629:11269114:1 gene:Ma09_g15900 transcript:Ma09_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNAFSSSPSRERKAVEGGIGGSRGAALMKSDKGGLKEYWKRPEHRGGGDEGRRRLCRAELGGGGHRRRFWRLKISPRLGFLRATSPQRILPQIRDAYVRMMLRFARATPLGVTYGGGGGGAGAAGFAREPLREYDEKVLVEIYKSLVADSANAGGAVGLRP >Ma07_p26910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33494695:33497907:-1 gene:Ma07_g26910 transcript:Ma07_t26910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDPRYFAGNVPPAHPYEVGGYTYNIYTNGVPTLTFGAAQPGRKYEIPGTFSRFKHQLSETCPKNFIFNQMDNTDRVMSHPALVHEFGSSNFHVGAACVEEYGKIIGRNTDIKEECSSSFKEDTEDINALLSSEEENDEDDDVISTGRTPVYNQDDNFPDSPRFMEDLGCHTLGNASSHKSTLNSADRKRDRMKKMVEALRGIIPGGDQMDTTVVLDEAVRYLKSLKSEVEKLGIQDLDD >Ma07_p26910.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33494695:33497874:-1 gene:Ma07_g26910 transcript:Ma07_t26910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDPRYFAGNVPPAHPYEVGGYTYNIYTNGVPTLTFGAAQPGRKYEIPGTFSRFKHQLSETCPKNFIFNQMDNTDRVMSHPALVHEFGSSNFHVGAACVEEYGKIIGRNTDIKEECSSSFKEDTEDINALLSSEEENDEDDDVISTGRTPVYNQDDNFPDSPRFMEDLGCHTLGNASSHKSTLNSADRKRDRMKKMVEALRGIIPGGDQMDTTVVLDEAVRYLKSLKSEVEKLGIQDLDD >Ma07_p26910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33494695:33497874:-1 gene:Ma07_g26910 transcript:Ma07_t26910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDPRYFAGNVPPAHPYEVGGYTYNIYTNGVPTLTFGAAQPGRKYEIPGTFSRFKHQLSETCPKNFIFNQMDNTDRVMSHPALVHEFGSSNFHVGAACVEEYGKIIGRNTDIKEECSSSFKEDTEDINALLSSEEENDEDDDVISTGRTPVYNQDDNFPDSPRFMEDLGCHTLGNASSHKSTLNSADRKRDRMKKMVEALRGIIPGGDQMDTTVVLDEAVRYLKSLKSEVEKLGIQDLDD >Ma07_p26910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33494695:33497907:-1 gene:Ma07_g26910 transcript:Ma07_t26910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDPRYFAGNVPPAHPYEVGGYTYNIYTNGVPTLTFGAAQPGRKYEIPGTFSRFKHQLSETCPKNFIFNQMDNTDRVMSHPALVHEFGSSNFHVGAACVEEYGKIIGRNTDIKEECSSSFKEDTEDINALLSSEEENDEDDDVISTGRTPVYNQDDNFPDSPRFMEDLGCHTLGNASSHKSTLNSADRKRDRMKKMVEALRGIIPGGDQMDTTVVLDEAVRYLKSLKSEVEKLGIQDLDD >Ma07_p26910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33494695:33497907:-1 gene:Ma07_g26910 transcript:Ma07_t26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDPRYFAGNVPPAHPYEVGGYTYNIYTNGVPTLTFGAAQPGRKYEIPGTFSRFKHQLSETCPKNFIFNQMDNTDRVMSHPALVHEFGSSNFHVGAACVEEYGKIIGRNTDIKEECSSSFKEDTEDINALLSSEEENDEDDDVISTGRTPVYNQDDNFPDSPRFMEDLGCHTLGNASSHKSTLNSADRKRDRMKKMVEALRGIIPGGDQMDTTVVLDEAVRYLKSLKSEVEKLGIQDLDD >Ma02_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20857402:20857759:1 gene:Ma02_g11910 transcript:Ma02_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFETFTSHPYSKSWRVTLFPTTLFSLVDSSTPPALLPQAEFRACALPNRVQDFPPIEARGVRPLQLTRVMPGGEKLSSVSGKRR >Ma06_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7692543:7718724:-1 gene:Ma06_g10980 transcript:Ma06_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 7 [Source:Projected from Arabidopsis thaliana (AT3G03380) UniProtKB/Swiss-Prot;Acc:Q8RY22] MGEGDALERLGPEAMDTSCVKGDLGMEIDAQPCREGAATAEDWRRALAKVVPAVVVLRTTAARGFDTETAGASYATGFVVDKGRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPIYRDPIHDFGFFRYDPEAIKFLIYEEIPLTPEAACVGLEIRVVGNDSGEKVSILAGTVARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDCQGRAVALNAGSKSSSASAFFLPLERVVRALTLIQKSWSTFGSKPDAVTIPRGTLQVTFVHKGFDETRRLGLQNETEKMVRLVCHTGETGMLVVDSVVPGGPAHKYLEPGDVLVRVNGDVVTQFLKMETLLDDSVNKDIDLLIERGGTSLTVKLNVQDLHSITPNYFLEVSGAVIHPLSYQQARNFRFKCGLVYVADTGYMLSRAGVPRHAIIKKLAGEETSQIGDFISVLSKLSRGARVPLEYVSYVDRHRNKSVLVTIDRHEWYAPPQIYERNDSTGLWMARPAAPVGSLLLSSAVNCGKISTTSTMVAPMVGSEQLEDNHHDSNENRADGCNRMQTDDENIVDDSISGEGLIIEKKRRRVEEESIAEGRVFSNGALNELKDQAFEHQHNLGNTEVLHDQEAVRINASLAEQVIEPTLVMFEVHVPPSCMIDGVHSQHFFGTGVIVHHSDTLGLAAVDKNTVAVSVSDVMLSFAAYPMEIPGEVVFLHPVHNYALVAYNPSALGMGASCVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSIVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQALWASFSTQLKYGCSSLEDHQFVRGIPIYAISQVLEKIICGSPGPLLLINGIKRPMPRVRILEVELYPTLLSKARNFGLSDRWVQALAKKDPIRRQVLRVKGCLAGSKAEGLLEQGDMVLAIDREPITCFLDIENACRELDKCEANDGELKMTILRQGQEREIVVGTDIRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKLTPDLGTFLEVVKGLEHGEFVRVKTVHLNGKPHVLTLKQDLHYWPTWELKFDPETATWRRRVIKALSCSIASGNNTSCKL >Ma10_p16040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27911425:27915351:1 gene:Ma10_g16040 transcript:Ma10_t16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPMDHYPAAEKRPAARDRRTTAVRWFKEWVPQDVVATGGKCLLLKWVTEDKLKALKEKSKETESEDQKPEPATEVLFLCSYEGCGKTFIDAGALKKHAHIHGEKQHVCQYEGCGKKFLDSSKLKRHYLIHTGERDFICPYEGCGKAFSLDFNLRAHMKTHSQDNYHVCPYPECGKRYINESKLRTHVKTHHEKSTMVDMVKHATPVEKPHTSKATAAAYGSASAERPYACPYEGCEKAYIHEYKLNLHLRKEHPGHNSEENGKPAPVVGHGLEEASDQEVYITKGGIGKNSKRSKPNVAPQMPRAKITNRKGNLVPTNMPAVKKQWASKEMYEEDSEETEEEDRDNAEEDGWRYQANGDDEETEEEE >Ma10_p16040.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27911425:27915351:1 gene:Ma10_g16040 transcript:Ma10_t16040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPMDHYPAAEKRPAARDRRTTAVRWFKEWVPQDVVATGGKCLLLKWVTEDKLKALKEKSKETESEDQKPEPATEVLFLCSYEGCGKTFIDAGALKKHAHIHGEKQHVCQYEGCGKKFLDSSKLKRHYLIHTGERDFICPYEGCGKDNYHVCPYPECGKRYINESKLRTHVKTHHEKSTMVDMVKHATPVEKPHTSKATAAAYGSASAERPYACPYEGCEKAYIHEYKLNLHLRKEHPGHNSEENGKPAPVVGHGLEEASDQEVYITKGGIGKNSKRSKPNVAPQMPRAKITNRKGNLVPTNMPAVKKQWASKEMYEEDSEETEEEDRDNAEEDGWRYQANGDDEETEEEE >Ma10_p16040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27911425:27915351:1 gene:Ma10_g16040 transcript:Ma10_t16040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPMDHYPAAEKRPAARDRRTTAVRWFKEWVPQDVVATGGKCLLLKWVTEDKLKALKEKSKETESEDQKPEPATEVLFLCSYEGCGKTFIDAGALKKHAHIHGEKQHVCQYEGCGKAFSLDFNLRAHMKTHSQDNYHVCPYPECGKRYINESKLRTHVKTHHEKSTMVDMVKHATPVEKPHTSKATAAAYGSASAERPYACPYEGCEKAYIHEYKLNLHLRKEHPGHNSEENGKPAPVVGHGLEEASDQEVYITKGGIGKNSKRSKPNVAPQMPRAKITNRKGNLVPTNMPAVKKQWASKEMYEEDSEETEEEDRDNAEEDGWRYQANGDDEETEEEE >Ma10_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27911425:27915351:1 gene:Ma10_g16040 transcript:Ma10_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPMDHYPAAEKRPAARDRRTTAVRWFKEWVPQDVVATGGKCLLLKWVTEDKLKALKEKSKETESEDQKPEPATEVLFLCSYEGCGKTFIDAGALKKHAHIHGEKQHVCQYEGCGKKFLDSSKLKRHYLIHTGERDFICPYEGCECGKRYINESKLRTHVKTHHEKSTMVDMVKHATPVEKPHTSKATAAAYGSASAERPYACPYEGCEKAYIHEYKLNLHLRKEHPGHNSEENGKPAPVVGHGLEEASDQEVYITKGGIGKNSKRSKPNVAPQMPRAKITNRKGNLVPTNMPAVKKQWASKEMYEEDSEETEEEDRDNAEEDGWRYQANGDDEETEEEE >Ma08_p06730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4551684:4557242:1 gene:Ma08_g06730 transcript:Ma08_t06730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRRSLRGLRHLHTAAGPILWSHAAEESDLPSSTVQVLSWGRGASGQLGGGKEETRLYPSSVATLRLPPDFRLAPVQGRLPSPPVGSAADAKVEVGVSCGLFHSALLVDGKFWMWGKGDGGRLGFGDENTVFVPTLNPNLEGVRSIALGGIHSTSLTRSGEVFTWGYGGFGALGHSVYHRELLPRAVKGSWEENITHLATSGAHTAAITETGKLFTWGRDQGDGRLGLGSGGGPGEAGSFSIPSKVNALPVPVTAVSCGGFFTMALTSDGQIWSWGANSNYELGRGNNVSDWRPQTIPSLKDTRIIQIASGGYHSLALTDTGKVLSWGHGGHGQLGHPSVQNQKVPLGIEALAQEHVVHIASGGSTSAAVTDKGKLYMWGNARDCQLGVPGLPEFQKLPVEVKFLMEDEDLGPHHVISVAVGASHAMCLVLRQNAPLAKL >Ma08_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4551684:4557141:1 gene:Ma08_g06730 transcript:Ma08_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRRSLRGLRHLHTAAGPILWSHAAEESDLPSSTVQVLSWGRGASGQLGGGKEETRLYPSSVATLRLPPDFRLAPVQGRLPSPPVGSAADAKVEVGVSCGLFHSALLVDGKFWMWGKGDGGRLGFGDENTVFVPTLNPNLEGVRSIALGGIHSTSLTRSGEVFTWGYGGFGALGHSVYHRELLPRAVKGSWEENITHLATSGAHTAAITETGKLFTWGRDQGDGRLGLGSGGGPGEAGSFSIPSKVNALPVPVTAVSCGGFFTMALTSDGQIWSWGANSNYELGRGNNVSDWRPQTIPSLKDTRIIQIASGGYHSLALTDTGKVLSWGHGGHGQLGHPSVQNQKVPLGIEALAQEHVVHIASGGSTSAAVTDKGKLYMWGNARDCQLGVPGLPEFQKLPVEVKFLMEDEDLGPHHVISVAVGASHAMCLVLRQNAPLAKL >Ma09_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5090718:5098089:1 gene:Ma09_g07770 transcript:Ma09_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLTPRPRRSAATGLLALTAFYGGAALASSSSSSDDVAVSISAPLRQFLSSTSELFLRDFTLPSLFSSPAGGFFTRYSSTSPLPDSNSYREPLGKNRDDAPCCPGCLGRNSIAEAAAAAGPAVVNISVVQGLYGPMFGKSIGSGTIIDPDGTILTCAHCVADFHSMRRVSKGKVGVTLQDGREFEGIVVNADFLSDIAVVKIQSKTPLPAAKLGSSSKLRPGDWVIALGCPLSLQNTITSGIVSCVDRKSSDLGLGGVRREYLQTDCAINEGNSGGPLVNLDGEVVGVNIMKVAAADGLSFAVPIDSVTKIVEQFRKNGRVVRPWLGLKMLDLNEMKIAQFKEKDASFPDVVKGVLVPVVTPGSPADRAGFRPGDVVVEFDGKPVGGIKEIIDIMEDQVGKPLKVLVKRANNKSVTLTAVPEEADVDR >Ma09_p07770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5090718:5098089:1 gene:Ma09_g07770 transcript:Ma09_t07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLTPRPRRSAATGLLALTAFYGGAALASSSSSSDGHSHPTNVAVSISAPLRQFLSSTSELFLRDFTLPSLFSSPAGGFFTRYSSTSPLPDSNSYREPLGKNRDDAPCCPGCLGRNSIAEAAAAAGPAVVNISVVQGLYGPMFGKSIGSGTIIDPDGTILTCAHCVADFHSMRRVSKGKVGVTLQDGREFEGIVVNADFLSDIAVVKIQSKTPLPAAKLGSSSKLRPGDWVIALGCPLSLQNTITSGIVSCVDRKSSDLGLGGVRREYLQTDCAINEGNSGGPLVNLDGEVVGVNIMKVAAADGLSFAVPIDSVTKIVEQFRKNGRVVRPWLGLKMLDLNEMKIAQFKEKDASFPDVVKGVLVPVVTPGSPADRAGFRPGDVVVEFDGKPVGGIKEIIDIMEDQVGKPLKVLVKRANNKSVTLTAVPEEADVDR >Ma08_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9662546:9663662:-1 gene:Ma08_g12670 transcript:Ma08_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPEEATAYSNNSDSASNDEFVHAVVKGKRTKRQRTQPPPVLSMAVADSLSASSAEAASGTVTEEEEDMANCLILLAQGRTLDAGPNLEEQKGGAGGVVAGGSGSERFTSRRLAEGATTTNGKAGIYVYECKTCNKCFPSFQALGGHRTSHRKTKLAAGTTAEEKKLAAGDDILQISMNSFSKPIAGSGQTNTKPKVHECSICGSEFSSGQALGGHMRRHKPLGAADSQEAKKDKSFLSLDLNLPAPADDGLQRPPSPTLSLASKRPLIFRASASALVVDCHY >Ma07_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26783493:26784071:1 gene:Ma07_g18850 transcript:Ma07_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVHLYRPHWGMSGGARTGKTPTAPPPKGWIAIRVGGQGEEQQRFLVPVGYLNHPLFVALLRAAEEEYGFHHTGAITIPCHVDHFRHVQGIIDRDTGSSSAAAGGHFHLCFRA >Ma10_p27620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34825905:34826796:-1 gene:Ma10_g27620 transcript:Ma10_t27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASAAKRMWRIVRVVVYMIRKGLSKDKLMMDLNLLLKRGKIAGKALGNLVTFHHHHHHHRHGASSMYSGFSCRSMDPNRSFYSPKEVEFSCSNTPLYKRKNRHSHFDYDYDYDYDAVAKAFEILNSEASDAESVMASPSPAPMSWSFVKSPAGVRQLRITDSPFPLAEDEEVDSHIDQEAEEFIKRFHEQLRLQQRIPLTPEYKHHRREPLMGRA >Ma09_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23162539:23170693:1 gene:Ma09_g19340 transcript:Ma09_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGGGNGSSSRSLVETPTWAVAAVCLVLVILSIIIEQIIHRIGKWLRKHHKSALYEALEKIKSELMLLGFISLLLTVSQNLISEICVSESVGNSWHPCKASEEAVIAGDDEHRSEDSNENNNGRRLLQSADSTDRFRRILVGGGSTDKCSAKGKVPFISTEGINQLHIFIFALAVSHILYCVVTMALGRLKMRRWKSWELETETAEYQFSHDPDRFRFARDTSFGRRHLNFWSKSHVLVWIVCFIRQFVSSVSKVDYLTLRHGFIIAHLAPQSSSKFNFQKYIKRSLEEDFKVVVGISPTIWFIAVCFLLFNTHGWRSSLWLPFIPLIVILMVGTKLQVIITKMAIQIMERGDVVKGVPVVRPGDKLFWFNRPGLLLFLIHFVLFQNAFQLAFFAWSWYEFGYPSCFHKSIEDIVIRISMGVLIQVLCSYVTLPLYALVTQMGSNLKPTIFNERVATALRKWHHTARKRLKENRRSASVTPSLSTSRPATPTHRLSPLHVLQYHHNEAESLQNSPKKFTMDDDQYDPEELTPPPHHSFDESFSHYRKPATSKGLMKEEQEMKEYGGSSELQMTHETDGHVSSVISVDFSFDKRQR >Ma05_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19122590:19126044:-1 gene:Ma05_g16920 transcript:Ma05_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKATASIHDFTVKDARGNDVDLGTYKGKALLIVNVASQCGLTNSNYTELSQLYEKYKNNDFEILAFPCNQFAGQEPGNNEEIVEFACTRFKAEYPIFDKVDVNGSNAAPLYKFLKSSKGGLFGDGIKWNFTKFLVDKDGHVIDRYAPTTSPLSIEKDIKKVLGIA >Ma04_p26820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28147667:28149057:-1 gene:Ma04_g26820 transcript:Ma04_t26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHHAPTASNGESKTRPHFVLVPFLAQGHMIPMADMAVLLAERGARVSFITTPVNAARTEAVVRRVRRAGIAVEFVELPFPCAEAGLPQGCETIDLLPSDELIKQFLDATGFLRHPLTQHLRAQRQPRTCMIADSCNPWTKGVAEELRMPYILFHGPSCLNMLCVRMILRHKIYERIGDPFEPFNVPGLPHRLEISMALTAWFITMPGWEKFREEVWEAETAADGFVINTFEALEATYIECYRREAKGKKVWTVGPLSLSNKDPDDKAARGNKASVDKHRILRWLDEKAPRSVVYVSFGSIVRHSPAQVLEIGRGLEASGRAFLWVIKEVDASSPEVEKWLSGGGFQDRVGDKGLIIKGWAPQAAILSHPAIGGFVTHCGWNSVVEAVSEGVPMATWPHISSDQYINERLIQRTGVAVRVTVPGAHVGAEEIEKAVSRLMD >Ma11_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26638160:26640621:1 gene:Ma11_g23180 transcript:Ma11_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGGAPPRGSAAAAASLRRRRPGGGGAGAAGGGASTMLQFYTDDATGAKMSPNTVLFMSIGFIAVVALLHVVGKLYFVRH >Ma04_p37020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35117474:35124229:1 gene:Ma04_g37020 transcript:Ma04_t37020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEFDTKEKKQVNVHYMNIPVPYVVEENFGGYLHSYDDVAWAEELQNQESMYRSFQRNVHSDISEDSSSASSRLHNGHNKSMGESNSSGTARFESQLANDEAFARELQELENQLADTSLGEITKIEANITPAQSSTANVEHNSASPSSQVAREDDIDPDSMSYEELQQLGETIGAESRGLSDELISLLQSSTYKTGLFSRKDKSEKCVICCMAYKNRDKLIKLPCQHQYHKVCITKWLKINKACPVCSEEVFGS >Ma04_p37020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35117474:35124229:1 gene:Ma04_g37020 transcript:Ma04_t37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEFDTKEKKQVNVHYMNIPVPYVVEENFGGYLHSYDDVAWAEELQNQESMYRSFQRNVHSDISEDSSSASSRLHNGHNKSMGESNSSGTARFESQLANDEAFARELQELENQLADTSLGEITKIEANITPAQSSTANVEHNSASPSSQVAREDDIDPDSMSYEELQQLGETIGAESRGLSDELISLLQSSTYKTGLFSRKDKSEKCVICCMAYKNRDKLIKLPCQHQYHKVCITKWLKINKACPVCSEEVFGS >Ma11_p08590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6820693:6822682:1 gene:Ma11_g08590 transcript:Ma11_t08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRFVFGIFGNATALFLFLSPLVTFQRIIRKRSTEDFSGVPYTMTLLNCLLSAWYGLPFVSPNNLLVSTINGAGSVIEAVYVLIFLVFAPKKERARVAALFTFVLSVFAVIALVSLLALRGQRRKIFCGFAAAIFSICMYASPLSIMRLVIKTKSVEYMPFLLSLFVFLCGTSWFVYGLLGGDPFVTVPNGCGSALGAIQLILYAIYRNHTTTDGGSEMNGQGHKRLEDDSRATEKKPDHQPIADKV >Ma11_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6820693:6822682:1 gene:Ma11_g08590 transcript:Ma11_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRFVFGIFGNATALFLFLSPLVTFQRIIRKRSTEDFSGVPYTMTLLNCLLSAWYGLPFVSPNNLLVSTINGAGSVIEAVYVLIFLVFAPKKERARVAALFTFVLSVFAVIALVSLLALRGQRRKIFCGFAAAIFSICMYASPLSIMVRSLDHLPLLGLHEVPVRDGSIWASQSNLRAEIGDQDQKRGVHAVSAVLVRVLVRDIMVRLWLTGWGSIRHGAEWVWERAGSHPADPVCNLQESHHHRRGLGDERPGTQAAGG >Ma03_p14730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14520562:14526080:1 gene:Ma03_g14730 transcript:Ma03_t14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKEWAPPCGSCCTKKYANLVQIPWRIFCKKGCDADGETWEECQEECNEICFKDPVLKDHEWSYYIDRSPGHDNYSLFDIPKERVEEVRPKRPPKPTAVEPKPVLPHVEPNAAPDDLPSTSA >Ma03_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14520562:14526080:1 gene:Ma03_g14730 transcript:Ma03_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKEWAPPCGSCCTKKYANLVQIPWRIFCKKGCDADGETWEECQEECNEICFKDPVLKDHEWSYYIDRSPGHDNYSLECFRACISGCGFRFDIPKERVEEVRPKRPPKPTAVEPKPVLPHVEPNAAPDDLPSTSA >Ma04_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4335964:4338661:-1 gene:Ma04_g05810 transcript:Ma04_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRTWSGARSCRPSPTPAPAATCFRSPRRTSASARRSPGALAAPYSSPSSTTPRTSPPTHPTPTTRRPPSSPRLLLSLEGLLDVHGDGMMGHCFSTRGSSV >Ma06_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21450056:21455768:1 gene:Ma06_g23450 transcript:Ma06_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKSVSHQLFKDKARNRVDDLQEMFTDLQSALKESRAADVAVLEEQVHQMLREWRAELNQASPATSLQSWLILGGQANTPDTSDLFSETLRLLQLSEEEDDATSKLADLAFRNTPKPEQVEFQQADVGATQGREAATFYQEQHQFSNCFILMLDERIFMSFLSHLLTHHFSSYLGGVLFGVVVSILGPISILTFNIVHSNIYLHRKAKKGKMTTHFANLNFMDCGYWHLIFMLFLFYCSYMQWSERCLYDLYSKTEESEERLCVCVYFPPWVLIIMEIESSGFSVVRFFYFEQFYHMNQEVAEQEFLYADHYESNHPNGAHDAAVTCLEGPYHFDYQQYNMHQELSHDLYINPTEQNTQDVFPHISDVLPAICPPPSAFLRPKCALWDCPRPAQGSEWCQDYCSSFHATLAINEGPPGMTPVLRPGGINLKDGPLFAALSAKVQGKNVGIPVCEGAATSKSPWNAPDLFDLCVLEGESLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQARIQTRRFKEKCQSQVDQ >Ma04_p32000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32164867:32168517:-1 gene:Ma04_g32000 transcript:Ma04_t32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGEITASKALALHFLCGVGLAAGYGIARLGCDLSLVADPARTLRLLLVVEGPVIIGIYGWVRRDREHCSYSKAVARGLLGLPIGALLNAFGAIVLGAPVGLKYWLSTIYWSSLMSLFTFVPAVCVFGTSRTDWQRILAYSKPKEGVDCVISLPAQGAVIGAWLGAWPMPLDWERPWQEWPICVTYGAIAGYSVGMFASAVFVPVLGRKVHVKDD >Ma02_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23379359:23382989:-1 gene:Ma02_g15830 transcript:Ma02_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLWWKHSGFEHWLLCVKIVRKVAFAVLTCTFALCGSLVGLISGAVKGQTTETGLFRGAGIGAISGAIVSVDVLESCFQGEILSKLDIFDSLLDGKIFREWVSPALLKAYQWQINATEPSYRDSSDIFDVNKDKGLLPNVIRKLPMFEISPKETIDSCGKTICCAVCLQDFINGEDARRLPICTHLFHAVCIDLWLVKHGSCPTCRQEVSGWS >Ma03_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6250648:6250863:1 gene:Ma03_g08510 transcript:Ma03_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLIMVDEEVCFLSIMLINLMILFIVIYFFLLISLLNAIIITHAMLISSSVMTITRCHRIVPKKKYVDR >Ma10_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30742517:30743090:1 gene:Ma10_g20670 transcript:Ma10_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILSEILLLGFMINSTLRRRTHLVQSFSVVFLYWFYVFS >Ma06_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15825264:15825839:1 gene:Ma06_g21560 transcript:Ma06_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRAPSPLECIDHGAHRDERLRQRLPQDCRGVPPRPAAAARSLGSRFLSEAPPVCICRLCTCSHLFVKYHHHDGCLLCHLSINKESVPSQPLRRADGGSDGFSHRRRRLRRLHRSQGEFTCQLEQSLQRLRQVLQAHRQLDHHLPRRVLLVVPSAYSLHRRCH >Ma10_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4756939:4758494:1 gene:Ma10_g01510 transcript:Ma10_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGISSRTPLALFFFFFFFFLCCCFASTTSAQLSSSFYNTSCPNALPIIQSAVKAAVAKERRMGASLLRLHFHDCFVQGCDGSVLLDDTSGFTGEKTAGPNNNSLRGFDVVDAIKSKLESDCKQVVSCADILAVAARDSVVALGGPSWTVQLGRRDSTTASFSSANSDIPRPDFNLTDLISAFSDKGLTTTDMVALSGAHTIGQARCTSFRARIYNDTNIDSSLAASLQSSCPSSGDDDSLAPLDASTSTAFDSCYYRNLLSNKGLLHSDQQLHGGGSTDSQVSSYAANSAKFFRDFASAMVKMGSISPLTGSSGEIRTDCRKTN >Ma01_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10682533:10684340:-1 gene:Ma01_g14620 transcript:Ma01_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHHLLVSHLDALPLSLVALVALSSIFLLFRARPKKPSHVPSPWRLPLIGNLHQLGSLPHRSLRSLSQKHGPVMLLWFGRVPTVVVSSAAAAQEVMKTHDLAFASRPDSSLSDRLFYGSQDVGFCKYGELWRQVRRVCVLHLLSLRRVHSFRPIREEEVALLVGRIRAASSRVNISEMIVSLTSDIISRVAFGRKHVEEEGGGSGVRALFSELTTVLGSFPLRDFVPLLGWIDRLNGLDARVRKTAIKFDAFIETILEEHERKTHTNHARDDSSTMDFSDILLASEAVDGIALSRDCIKAIILDMITGGIDTTYTTIEWAMAELVKHPRKMERAQEEIRKIVGSGGELREEMVEGMEYLRAAIKETLRLHPALPLLVPRESMEDARLQGYLIPKCTRVVINAWAIGRDPVSWEKPEEFWPERFVGNSIDFKGQDFQLIPFGAGRRGCPGVAFAMATTELALANLLYHFDWQLPDGMAGEEMDMSEASGIAVHKKSSLILVAKPPNL >Ma05_p27040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38491088:38495118:-1 gene:Ma05_g27040 transcript:Ma05_t27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPLRSFLLLFLILLSSPVFFLISCESVPVDPRPRAFPSFKIQEIKEEIGWGCSYTVKIKTSCSSRRFTTDRISLAFGDAYHNEVYAPRLDDPSSGAFERCSTDTFKIQGPCGYGICYLYLRKGNINPKHRAYDSILITCFHESSV >Ma09_p27650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38603878:38604957:1 gene:Ma09_g27650 transcript:Ma09_t27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYTTKCSRAVDELAVMEVTQDVGARTRARTLALASAAAVAGSKRRNAAAPPPEVVQTSTYLQLRSRHLVMMTRGKTQRPANSSASSNPDPSVERVSRCSSNASSEVVFNEQDGEVLDSSTCNFESRRARREMPPSNEAQCEAGDLESMTEASSSWRKAEATPTQAEIEEFFAAAEREQAQQFADKYNYDVVHDVPFDGRFEWVRIN >Ma02_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29072571:29075673:-1 gene:Ma02_g24450 transcript:Ma02_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSLPSFALLLSILVLLISFSRRIKPAAAAGSETGGCEVSSRCGDAVDISDPFWLSGGDAFCGDPAFEVECRGGLPILANSLGGGSYFIKQIFHRNKSLLVANTQFARGDCPIPHDDSQLGLHDHVDHHFTISTANKVLFLFRNCSEIPPEDHERIRCANDTFAELGESYDYSKPPNWSSECEVVNAPVFPYGAEEESGRTNYEDLLKNGFLVEWWSNPEDCEECRESGGKCGSDNETGDFVCHCPRGWDEPSSCGKFHNFHKKWIAIGPVVGLVSFLLVCAALFFYAQKRFDISDIFLNKSDNTRNIEAFLDNYGSLAPKRYKYSDLKSMTKSFHEKLGAGGYGSVFKGTLEDGRLVAVKMLMSESKENGAEFMNEVASIGRTSHVNIVSLLGFCLDGHRRALIYEFMANGSLEKYIFSDKPKATLPWGKLFQVAVGVARGLEYLHRGCNSRIVHFDIKPHNILLDEDFSPKISDFGLARLCSQKESILSVADARGTAGYIAPEVFCRSVGVASTKSDVYSYGMMILEMVGGRKNVKAHADRTSEVYFPHWVYDHVDRGGDLRAYDVTAETGEIARKMILVGLWCIQMVPANRPSMSKVVEMLEGRISDIEKPPKPYLSSPPGSVVNTSS >Ma11_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1605236:1606924:-1 gene:Ma11_g02240 transcript:Ma11_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIKSQHVVLFPFMTPSHINPFLALAHRLVRHHPALTVTLVNTRFHIQCIRSSSFPSSIRLRSLPFDPAAHGLHPDAENFATVPNRQKLTFLVASESLLPAFDQLIVDIAREDGRPPLCIVADFLLSWTADVAHRYEVFHSVFIPGGAYGMAVFVSLWTHLPHLKTRSDEFALSEFPDTTIHLSQLSRFMLLCDGTDPTSGFYQRLISHWKETNAMLVNTVDEMEATGLRMLRKLFLVPTWAIGPLLISSHSVASASQNRCIMEWLDSQQPASVLYVSFGSLCTITASQMMEVATGLEASEARFIWVIRPPSEFDSNEEFKAEWLPEKFEERMRERGTGVLVHGWAPQLEILSHTSTGAFLSHCGWNSVLESLSRGVPIVAWPLLADQPHNAKMMEEMGVCVEVARGSMESSKADRTDVERVIREVMCGGEKAKDMRRRAEAVGELMRAAWREGVGSSFKGLADFFRAAASMGDVPSLSID >Ma04_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6211690:6221332:1 gene:Ma04_g08730 transcript:Ma04_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRVLQGGTGIPNVKWYGVEGEYNVLVIDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFMHSKSFLHRDIKPDNFLMGLGKRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRSLRFEDKPDYAYLKRLFRDLFIREGFQFDYIFDWTILKIQQSQIAGAPPRAIGPSVGPSSGLTPPIANDKQSGGEEGRASGWLAMDPSRRGRITPPSVNVGSLSKQKAPVGNDPSVNRDAMFSSSTFLGRSSGSSRRAAVSSSREVTGVEADQSRSRTADASPGTFRKVSSARRSSPIGSMEHRYTSGRNVSTIKNYESTLKGIEALNFDGEERKQL >Ma03_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:225938:231163:1 gene:Ma03_g00200 transcript:Ma03_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAEEEEAAGIGCGRGAVAVEALLGLVADVSALPDNRGPFRRMCCDLARRVKLLAPLFDELGDDADSLGPAEIRGLETLCAALLEAKDVLRFVNEGSKLYQVLRPQKFEQVFRKVTESIEKALGEICLDKLNVSVEVKEQIELVHSQLCRAKESTDSLDLQLNRDLNWAISENHCDPTILKRILEKLQLKNKNDIMRESVALHEMVISGAEDPDVSVEEMSSLLKKLKDFVISENSTSASIEKKTSFAKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSYIQKWLDSGHKTCPKTQQTLSHATLTPNFVLKSLIAQWCDANGIELPKKQGSCQESKPGNSSDCNRAGINVLLQRLANGNQEEQRAAAGELRLLAKRNADNRICIAEAGAIPILVQLLSSPDPRTQEHAVTALLNLSINEDNKGLIVKAKAIPKIVEALESESMEARENAAAALFSLSVVDQNKVLIGEAGAIPALINLLCHGSPRGKKDAATAIFNLCIFKGNKVIAVKAGIVVYLIRMLVDPSGGMVDEALAILAILASSQEGKTAITHSNPIPLMVKLMKTGSARVRENAAALLFSLCGGDEQQLRAAVESGAEEALKDLMETGTERAKRKASSLLGLIRQTADSSNGGCAET >Ma07_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30629406:30634700:1 gene:Ma07_g22840 transcript:Ma07_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYDLTSRIAPHLDRHLVFPLLEFLQERELYADDEILKAKIQLLSQTNMVDYAMDIHKALYHTEDVPQEMVGRRVEVVARLKSLEELAAPLISFLQNASLVQELRPDKQYNIQMLHDRFQIGADQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDTALEELNRLKEIIDSKSFASPLNQLQNRIWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTNAHHLLRYVATAVIVNKRRRNMLKELVKVIQQELHSYKDPITEFLECLYVNYDFDGAQTKLKECEQVILNDPFLGKRIEDSNFTTVPLREEFLENARLFVFETYCRIHRCIDISVLAEKLNLNMSYDEALVWITNLIRNSKLEAKIDAVLGTVTMPIVHQNVHEQIIEAMKNLNMRTYLLAKNIVEPAQAAQATR >Ma08_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5327335:5328298:1 gene:Ma08_g07780 transcript:Ma08_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPNATEGGSAPVTREETMPEEKKDDVVKETGGGGDDGGETDRRSLGVLMSEVELREAAPPEEQPKEEHPIEQLQISATTVAELAEAENSAVLLAEHETATAAAEKSTAVVEQLEKVEDDAAKPETDDKHTAIVDKEPVVAAAVEPLKGDDHAAVEKPIAAAQTSITTAADSEDDPKRGEPNPTASESNALD >Ma08_p17500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25827807:25868576:1 gene:Ma08_g17500 transcript:Ma08_t17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFNGQILVDKLVKLNNTQQSIETLSHWCIFHRNKAKQVVETWQCQFYCAPRDQRICFLYLANDILQNSRRKGLEFINEFWKVLPGALNDVFNNGGEFGRNTVIRLIDIWEERKVFGSHGQVLKDDVFGRNIDNKNRNEKGITYKLPAGEQLEKLISGYNHVYGLPFDEDTLFGNYQAAINYIEKVHKEHGNDWNSNGSEVVAELQTQHGRLGECIEHLKSVESSRATLVTYLREALHEQESKFEQVHQQLQAAQSRYEQSVNLLKQLNVGSSSPEPRLNGSSSFSDAITHIPESTACSGTQTTPVRYTQEGPPSDSNAPVAVEGNQKAAAAAVVAQLAASTSPAQMLSYALSSLTSDGAVDPSNKKDHSDNKRLKVEDNMPSYVPLLQPPLPPFPHPDLLSRPPLQLPSPVTHPSSTVEPPPLPPPFPPVPPPPSSVTPQFMQIAAGPATGMPFGYGPPPPLPNFPMLGMQPYPSALNPYHGFQASEGVNHLGQPPLPAAPPPLSRQ >Ma08_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25827807:25868655:1 gene:Ma08_g17500 transcript:Ma08_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFNGQILVDKLVKLNNTQQSIETLSHWCIFHRNKAKQVVETWQCQFYCAPRDQRICFLYLANDILQNSRRKGLEFINEFWKVLPGALNDVFNNGGEFGRNTVIRLIDIWEERKVFGSHGQVLKDDVFGRNIDNKNRNEKGITYKLKQPAGEQLEKLISGYNHVYGLPFDEDTLFGNYQAAINYIEKVHKEHGNDWNSNGSEVVAELQTQHGRLGECIEHLKSVESSRATLVTYLREALHEQESKFEQVHQQLQAAQSRYEQSVNLLKQLNVGSSSPEPRLNGSSSFSDAITHIPESTACSGTQTTPVRYTQEGPPSDSNAPVAVEGNQKAAAAAVVAQLAASTSPAQMLSYALSSLTSDGAVDPSNKKDHSDNKRLKVEDNMPSYVPLLQPPLPPFPHPDLLSRPPLQLPSPVTHPSSTVEPPPLPPPFPPVPPPPSSVTPQFMQIAAGPATGMPFGYGPPPPLPNFPMLGMQPYPSALNPYHGFQASEGVNHLGQPPLPAAPPPLSRQ >Ma06_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5228691:5230298:1 gene:Ma06_g07330 transcript:Ma06_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSLVEVLMHGEEQLRQLEACLDVHSPVEHKKQLVLQAQSHFKRAISMAKSIDHERFRQGPGASAAALDSPRSNSGGSDNSDKALKEQERREMCKKRKTLPKWTSQIRISSSEGVDGLDDGHSWRKYGQKEILGAKYPRSYFRCTHRNTVGCFAMKQVQRSDDDPSVFDITYRGEHTCPQKPRTVATSALHRPDIHQSQQRPRQDQQLLLSFQTSLKVKTEGSSFEDPDQNSPFSFSSTPMSTLCTPPTPENKFMGSFSAAFPSPATSESKHFSPSPCRVSSFGDAPPLHITESDLSDFISATTSAATSSAMDIAFMLDSVGFDPAFHFDASSFLHNL >Ma06_p33200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33917813:33918175:-1 gene:Ma06_g33200 transcript:Ma06_t33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTTTSATFAFNGMLCKAMARRRKETKPSTLYDPLLSSRKRWFGKQTPRGYVPVLVGDGEEEEAAERFLVHVDLFNDARFTALLEMAAEEFGYTQRGVIRIPFNARHFEEMVDVVSKAR >Ma06_p13810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9442293:9448337:-1 gene:Ma06_g13810 transcript:Ma06_t13810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSFRVSSGWRTTASLHDAKMISCKIQCKPSGSEPLPEGIIRRTSNLEMRPICGLSQSSKTKETKRASRSLLAMAVGIKQKEVVNQIVEKFSSSKFTVMLFHYDGVVDEWRDLRWSDSTIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGVEHFHPQRYISIIDKEGLEVSQPALDTSKSEVHHQITARGRKGDVHRRVYKVKSSGGCYENSTAPPCTGWVEMMAPVFSRTSWHCVWNMIQNDLIHAWGLDMKLGYCVQGDRSKNIGVVDSEYIVHMGIPTLGGSDENKPNAETSSPPSESEQPYLGTMVPSGSSSYNDRSAIRRQSYAELMIFQRRWKRAVAKDECWIDPYPEPIKNISK >Ma06_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9442293:9448337:-1 gene:Ma06_g13810 transcript:Ma06_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSFRPLLTDPAIKRAYICRLSLVASFFCIALLVGSPSLINHYKHRVSSGWRTTASLHDAKMISCKIQCKPSGSEPLPEGIIRRTSNLEMRPICGLSQSSKTKETKRASRSLLAMAVGIKQKEVVNQIVEKFSSSKFTVMLFHYDGVVDEWRDLRWSDSTIHVSAINQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGVEHFHPQRYISIIDKEGLEVSQPALDTSKSEVHHQITARGRKGDVHRRVYKVKSSGGCYENSTAPPCTGWVEMMAPVFSRTSWHCVWNMIQNDLIHAWGLDMKLGYCVQGDRSKNIGVVDSEYIVHMGIPTLGGSDENKPNAETSSPPSESEQPYLGTMVPSGSSSYNDRSAIRRQSYAELMIFQRRWKRAVAKDECWIDPYPEPIKNISK >Ma04_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5917574:5919776:-1 gene:Ma04_g08200 transcript:Ma04_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLLLLLLLVLCCNVAAAAKAHSDSLHLELVRRPPSPSATTRLDLLRELYRSDQLRQRMISQSIGQRRHGRRPLQAPAASQNASLPAPAPAEESFAMPLTSGAYTGTGQYFVRFLLGTPAQKFLLVADTGSDLTWVKCRRPRGCRRCGRGRFFRPQASTTYDPIRCSSDMCKSALPFALATCPTPASPCAYNYGYSDGSMAKGVFAQESATITLSRGARRRKLGGLVVGCTTSSVGSSFRASDGVLGLGYSAVSFAARAAAGFGGRFSYCLVDHLSPRNASSRLTFGPTPALSHPALPPPRATELVLDARLQPFYAVRIDGVSVDGELLPIPSSVWDAARGGGAILDSGTSLTVLAEPAYRAVASALSRRLGGVPSVSVDPFDHCYNWTAAGPAAEVPKLVLHLAGTARLEPPAKSYVIDVAEGVKCIGITSAPWPGVSTIGNILQQEHVWEFDLKNRRLRFRRSTCRSI >Ma09_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7493719:7494892:-1 gene:Ma09_g11070 transcript:Ma09_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYREEAIGDSDDENYASTNSDSPVEKEKQITVDPLSLKQLADVRGNRISDGGSAASEVKFLPPPVLVRPKLVSCSLPSSALSSPKKWNYLDDQEPPVPARFAWADQKASLRRSKSCGEGRSSSPSVEFIDILSRRPSIHQPDDGVHVVDHDTSDDDSKEETPQPVHAQLEDDQFKCWCLFLPGLSHRKKQVILQQASQAQSGHLQLRKVVPQGFQDARESAAKGRISTVSKAASLEKFSCGSWSSSALLGIDGDDDGGHSYFDLPLELIKSCHDDADSPVRTAFVFDKDLKGVLKKSTSNLASRKSHASSSNRHVRFSTSAPTSYPASPSSTCITPRLRRAREEFNALLAAQNAA >Ma09_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27021541:27024149:-1 gene:Ma09_g19990 transcript:Ma09_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSFSHIICCSIFVYGGDGCCRVPTMIAFRQLNTPAAVGPAAELAGVAVCGSEEAASPPPPYSSAAAAGCIEFILCCAADSFSSAVRDGLISPSAHAEVGVLPMSWW >Ma11_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25054418:25055868:1 gene:Ma11_g20660 transcript:Ma11_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAKLLGNLNLKENMFLQSQRSSCSADPLRFRFTHQTSVVKRHVGLPRTIGIRWILETRTCHHGDGSRDPEQNYQATKNFWFNRIQWIVFLIHLTLFEINAMISNAFQMAHFLWTWLIYAFNLRSCFYESSCAATSLFHYMP >Ma01_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:753378:753868:-1 gene:Ma01_g01090 transcript:Ma01_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKKKRLEQEWSICGAIISSMLVGTGEPLNFSESRGVVVMRGEVSSGTNDKLINEFDLVNNQRREKVKATTLLSGLF >Ma11_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6616816:6618509:1 gene:Ma11_g08310 transcript:Ma11_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSQMARPPSFRGALKALEADINHANTLADAIQRAYGGACLQMRLSYSPLAPFFLFLMQWLDCTCSYSLPRNLGLFRVLVHKVYVDGMTTVSTFERRASIREFYAIIYPSLRQLESNLVERARSKKGRAEEVVVRKRMEHWKKFFDRELDRDDECGICMEVCTKMVLPNCNHAMCIKCYRDWNVRSQSCPFCRGSIKRVRSRDLWVLTNKSDVVDTMTLEMDNLRRFYSYIDSLPLIIPDTLFLVYYDYVV >Ma11_p08310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6616880:6618509:1 gene:Ma11_g08310 transcript:Ma11_t08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYSPLAPFFLFLMQWLDCTCSYSLPRNLGLFRVLVHKVYVDGMTTVSTFERRASIREFYAIIYPSLRQLESNLVERARSKKGRAEEVVVRKRMEHWKKFFDRELDRDDECGICMEVCTKMVLPNCNHAMCIKCYRDWNVRSQSCPFCRGSIKRVRSRDLWVLTNKSDVVDTMTLEMDNLRRFYSYIDSLPLIIPDTLFLVYYDYVV >Ma05_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32876647:32880938:1 gene:Ma05_g21210 transcript:Ma05_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLSLLPIPTKIASSLLPPSNPRAVRLSSSLLAGSISLAPPSLFRRPSLRFAAVVSLLPTAKPERASAEKMPKWSSMAVRAFGMAELEARKLKYPKTGTEALLMGILVEGTNEASKFLRAIGITLFKVREEAVKLLGKSDLFSFSPEHPPLTESAQRALDWAVDEKLKSGEDGEITTAHMLLGIWSEKESAGYKILASLGFDDQKASELAKSANKDVVMNSR >Ma02_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28437716:28438935:1 gene:Ma02_g23430 transcript:Ma02_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFQAHPVEVITDQPLRQILSKFDVVGRLLKWAVELGEHDVRYVPRTAIKAQSVSDFIAKLTQIEDVDLEQPYEAWVLHVDGSANSKGVGAGLVLLAPDGRSFERSLRFGFQATSNEAEYEALLAGLRLAREMQVVAIHVLTDSQLVAKQLSGGYEARDPIMFPHFTLSNVSRGENERADALAKLASKSAPEVWLEVEELPSRAIKIKAAASGGVPITWVQELLRFKRDRTLPPDEATARRLRRTHAWYSEVSGWLYKRSFTYPLLRCLEPDEVRTVLAEVHEGIRGEHIGGRTLAHKILSKGYYRPTMCRDAKVYVQQCSSCQEHARAPRQPAVPLTPIDCAWSFAQWGLDLLGPFPPASGQRKYIIVGVDYFTKWVKAEPLATIMEREN >Ma06_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17972048:17975813:1 gene:Ma06_g22430 transcript:Ma06_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGLLLLANALAILNEDRFLAPRGWNFNEVSGAARAKSLKGQLIGLIYATQYLRVPLIILNAITILVKLVSG >Ma09_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2190278:2194940:-1 gene:Ma09_g03220 transcript:Ma09_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDQWMREFNEASKLSEDISAMMSERGSLPPSGPDTQRHLTAMRRKITILRTRLDSLESLLSKLPSMQPIKDKDLHKRQEMLANMKSKANQMASTLNMSNFGNREDLFGDGIKSADVMSRTSGLDNQGIVALQRQVMREQDEGLEKLEETVLSTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILVIVAWLLIKYL >Ma09_p03220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2190278:2194942:-1 gene:Ma09_g03220 transcript:Ma09_t03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDQWMREFNEASKLSEDISAMMSERGSLPPSGPDTQRHLTAMRRKITILRTRLDSLESLLSKLPSMQPIKDKDLHKRQEMLANMKSKANQMASTLNMSNFGNREDLFGDGIKSADVMSRTSGLDNQGIVALQRQVMREQDEGLEKLEETVLSTKHIALAVNEELDLHTRLIDDLDEHVDVTDSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILVIVAWLLIKYL >Ma06_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12141101:12143140:-1 gene:Ma06_g17900 transcript:Ma06_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANCHATCSTKCLRERRYLRHFNSIIRASLEKGVPNKALSQFKVFLLSTPFRPDRWTFALILTACLRSSNLDTAMEAHARVVKHGIMTGASVATPLFHLYLKHDRVAEALLLLDEMLDEKVDAVHGNLVIVKLLKSGEFDRANRVFKKMPVKDLVSWNSMIAGCVQNSRPKEAMSFFDRMMGSGFEPDGFSFSSVLSACARVGARGYGERVHRLMLEKRIQLNFILCSALIDMYAKCGSIDAAEAVFNSIARDNVSIWNSMITGMAIHGHGSDAVALFSRMESEGLAPDGVTFLALLTACSHTGMVEEARKHFRMMTQVHGIEPGIEHYGAIVDATARAGLLDQAYETIRGMAVEPDSIIWRILLSACKRHHRPDLGEVAINHMASRGSGDYVLLSSIYSSARRWNRAAQVWDSMKANKVRKKRGMSWAEVGGNVHQFKAGDRSHPETHDIYRVLDSLAKRAKMEGFLPMTELVSMDVLEEEREENLNVHSEKVAVAYCVLKTGPGTEIRVSKNLQTCEDCHEWMKVVSKVLSRVILVRDRIRFHKFEKGACSCNDYW >Ma06_p17900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12141101:12143148:-1 gene:Ma06_g17900 transcript:Ma06_t17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHANCHATCSTKCLRERRYLRHFNSIIRASLEKGVPNKALSQFKVFLLSTPFRPDRWTFALILTACLRSSNLDTAMEAHARVVKHGIMTGASVATPLFHLYLKHDRVAEALLLLDEMLDEKVDAVHGNLVIVKLLKSGEFDRANRVFKKMPVKDLVSWNSMIAGCVQNSRPKEAMSFFDRMMGSGFEPDGFSFSSVLSACARVGARGYGERVHRLMLEKRIQLNFILCSALIDMYAKCGSIDAAEAVFNSIARDNVSIWNSMITGMAIHGHGSDAVALFSRMESEGLAPDGVTFLALLTACSHTGMVEEARKHFRMMTQVHGIEPGIEHYGAIVDATARAGLLDQAYETIRGMAVEPDSIIWRILLSACKRHHRPDLGEVAINHMASRGSGDYVLLSSIYSSARRWNRAAQVWDSMKANKVRKKRGMSWAEVGGNVHQFKAGDRSHPETHDIYRVLDSLAKRAKMEGFLPMTELVSMDVLEEEREENLNVHSEKVAVAYCVLKTGPGTEIRVSKNLQTCEDCHEWMKVVSKVLSRVILVRDRIRFHKFEKGACSCNDYW >Ma08_p32840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43400543:43406976:1 gene:Ma08_g32840 transcript:Ma08_t32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRSSRLDGRGTSSLCSTTTIVVFVALCLVGVWMMTSSTVIPVEMADTQSETNDKVAKSDSRSFENRSGDVTNDSTSSDGNDNENNVPNEAYSASDKTAEVPVEKTKVKDLPKGSNDSKNELEDTSKTGTFSDVDGEGGGETIKEAGDVDQEIDTGDQADRQDQNIEDRSKEAENDDDTKTDKKSEQDEVSDGGKNKDENNEGPVEEKLEQNGDKNTPQDFDDGNKEGDQPKDTGGNEVFPSGAQSELLNETNTQNGAWSSQAAESKNEKEVQILSSPDGQNFDSTWKLCNVTTGEDYIPCLDNVAAIKKLHFTGHYEHRERHCPEEPPTCLVPLPDGYRLPVRWPNSREKIWYNNVPHTKLAEVKGHQNWVKVSGEYINFPGGGTQFIHGALHYIDFIQESLPDIAWGKRSRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGSKRLPFPSKVFDVVHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYRTDPEDVGIWKAMTALTTSMCWEMVNKTSDELNQVGLAIYRKPIDNRCYEKRSEDNPRLCQESDDADAAWNVPLQACMHKVPLDSASHGRMWPEQWPQRLEKVPHWLNNSQPGVYGKPAPEDFVSDYEHWKRVVSKSYVGGMGINWSTVRNVMDMRSVYGGFAAALRDMKVWVMNIVSIDSPDTLPVIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKIKKRCQLRPVIVEVDRITRPGGKLIVRDDVNTISEIETTVKSLQWEIRLTYSKDNEGLLCAEKTMWRPKQVETSMP >Ma09_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34702817:34708279:-1 gene:Ma09_g22820 transcript:Ma09_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDGSGLFVNDGSFMERFKQLQQERAAAAAANQSKSGTLAGPSIDLKPSVIVSKRPLDVKVNDTKKGSTMASGGKLAFSLKQKAKVATMPVKFVADEEEETEEAEAVSGDEPVKRQKFSQGDSIHTSSEQQDVAPSPPSDPAVKKVADKLASFVAKNGRQFEHVTRQRNPGDTPFKFLFDSSCSDYKYYEYQLFEEEKSLARSNESTTSNSARGSTSTSRALSGPQRSTIQRNSNYQTPASALYGSYEASGSSGRSSSYGESSEPPAADPIAMMEFYMKKAAQEERMKQPKQSKDEMPPPASLQAHIKKGHHMGDFIPPDELEKFLSSCNDVAAQKAAREAAEKAKIQADNIGHKLLSKMGWREGEGLGSDKRGRADPVMAGEVKKDNLGVGAQKSGEVNPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Ma09_p22820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34702746:34708287:-1 gene:Ma09_g22820 transcript:Ma09_t22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDGSGLFVNDGSFMERFKQLQQERAAAAAANQSKSGTLAGPSIDLKPSVIVSKRPLDVKVNDTKKGSTMASGGKLAFSLKQKAKVATMPVKFVADEEEETEEAEAVSGDEPVKRQKFSQGDSIHTSSEQQDVAPSPPSDPAVKKVADKLASFVAKNGRQFEHVTRQRNPGDTPFKFLFDSSCSDYKYYEYQLFEEEKSLARSNESTTSNSARGSTSTSRALSGPQRSTIQRNSNYQTPASALYGSYEASGSSGRSSSYGESSEPPAADPIAMMEFYMKKAAQEERMKQPKQSKDEMPPPASLQAHIKKGHHMGDFIPPDELEKFLSSCNDVAAQKAAREAAEKAKIQADNIGHKLLSKMGWREGEGLGSDKRGRADPVMAGEVKKDNLGVGAQKSGEVNPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Ma04_p28560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29600597:29604944:1 gene:Ma04_g28560 transcript:Ma04_t28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLLSLRQKFSANPSFTLKTCGFWAVGAFQSLERSRCASSPASDHSHMTKLPQIIPSGRDNLDNGFRIEVVDTDFVGVSYKFLDQIDGGTEALSSSLKINDETCSCSSAALAEESLDFDEIEDLRLRKKLFYKLDKGSKEFEEYNIQFHRKKSAKKRHEKTIEADTKKEFNDLEKKKNQKVIKSNVAKASEQGVESPVIKNVRSCTKSTIMEEKRVRMPTINQLTDPYHLPFCLDIYVSKGSVRACIVHRITSKVVAVAHSISKDMKFDLKSRKDATACAAVGAVLAQRAIEDDIHNVVYTPRKGERIEGKIQIVLQSIIDHGIDVKVKLKQKQPSKVKTCGYAND >Ma00_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22693818:22695312:1 gene:Ma00_g02960 transcript:Ma00_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRALSLVMAIALALATADSIPFTDKDLASEESLWDLYERWRSHHTVSRDLDEKLRRFNVFKANVAYIHESNKNDKPYKLGLNKFGDMTREEFRGTFAGSKIDHHRMLRGGRDSSGGFMYEDAGDLPSSVDWRDKRAVTGVKNQGHCGSCWAFSAVAAVEGINQIRTNELVPLSEQELVNCDKQDHGCRGGLMDYAFEFIKTNGGITTEADYPYLAKQTKCNVIKKGCHVVVIDGYEDVPVNDEEALMKAVANQPVSVAVEASGPDFQFYSEGVFTGDCGTALDHGVAVVGYGTTHDGTTYWIVKNSWGPEWGERGYIRMERGVSAPEGLCGIAMEASYPVKASLNCSNMELPLKDEL >Ma04_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4429348:4430737:-1 gene:Ma04_g05920 transcript:Ma04_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGQDPSTRPGPPSRTRRRPDLTLPLPLRDASLAVPLPLPPPSAPAAPPQVPALADLERVRRVGSGAGGTVWMVRHRLTGRVYALKVIHGHHDDGVRRQMRREIEILRATDSPSVVRCHGFYDRGGEIQVLLEYMDGGSLEGRRIASEAQLADVARQVLAGLAYLHRRRIVHRDIKPSNLLINGAGEVKIADFGVGRILAQTMDPCNSAVGTIAYMSPERINTDLNEGAYDGRAGDIWSFGVSVLEFYLGRFPFGERLGRQGDWASLMVAICYAAPPEAPPTASPEFRSFVASCLQKEPARRLTASQLLRHAFVAQSPVAAPPDLSSLRLG >Ma07_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1035688:1041797:1 gene:Ma07_g01350 transcript:Ma07_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLPPEHICYVHCNFCNTILAVSVPGNSLFSIVAVRCGHCCNLLSVNMGDLLQKLPLQDLQTCNAAAAHDGRTMACGSPSKFNSTSLFYSVPKDQQQIMLPMRPPEKRHRVPSAYNRFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLTIDGSKQAKNDEPAAATVTAPGGQKAQSFF >Ma10_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15341938:15343560:1 gene:Ma10_g04710 transcript:Ma10_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVELPDDFRCPISLEVMTDPVILPSGHTFDRASIQRWLNSGNLTCPVTNLPLSPSPSLIPNHALRSLISSFLAQRPTAIDAAADNRDALLLAPLSFPSDAPTLAAVLRLAQRGGPNSRRLVADSGAASVLFRHAAAPDPPDLQDLSLRTLLHLTLDGDDARLGLVAEGALDPVVAALRGGPAAALAATLLTSLAVVDVNKATIGAHPAAIPLLAALLRYGDGRERREAATALYELCKFAENRRRTVRAGALLPLVRLTREGSERAVRVLGLLAKCREGKEEMRKLIGFINVLSEVLRAGSPRGIEHALLVLNYLCSDSREMAFTAIKEGILDLCSVLAGDMNPNIGKNAMELVLRLEKEQFGGYS >Ma11_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24555208:24555956:1 gene:Ma11_g19860 transcript:Ma11_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSHWVRWSWSRPWRWAKTIFFLFAMLTSLLLLVAPPLLAAVIDLLLPLAAVLSADSNSPISASVLSAQLKSFDFQTSLVDLPLVSAGRCLLILCAYVVCDGRRGLYLSFTTLCSLLSIAYLLLKAVSMCMAASSPPWRPRLAADGKDMVAIEALFLSSFALAVAHVVVAYRGSWRERRKLLLFRIDVEAVSICNVICSSSFSSFLCRP >Ma08_p32790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43390688:43391673:1 gene:Ma08_g32790 transcript:Ma08_t32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSITMTKDFSRDLIIQYDGCFFVRVSIYYCAAVNYYIRLQSSERLCDSCCVGLNSTTGCTREGRNHAANYSGVLHLSSSSFRLLCIHRSIHKRSCHHVSSVTLVRCF >Ma10_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24499656:24499727:1 gene:Ma10_g10670 transcript:Ma10_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDMFATDEIDQGMVVLIPNVV >Ma03_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8522856:8526141:1 gene:Ma03_g11270 transcript:Ma03_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQHLLSSMLLLLFLSSFIQMAALGRTPSSSKLLYIVYMGGRQHEDPDLVTASHHDTMSSVLGSKEEAVSSIVYSYKHGFSGFAAMLTESQADQIAELPEVISVNPSRSVPLHTTRSWDYLGLGYKQPQSTGLLRRGNFGDGIIIGVVDSGIWPESRSFDDHGYGPVPSRWKGTCEVGQNFTVKHCNRKIIGARWYAGGVDDSDISVDYRSPRDFQGHGTHTASTAAGSFVGNASFHGLGAGVARGGAPRARLAIYKACWGNNIRCPDAALLKAIDDAVHDGVDILSLSLGAIQSPIFASIHAVEKGITVIFSGGNDGPVPQTISNDLPWVITVAASTMDRSFPTILTLGDNRTVVGQSILYESTGGFKELADGGSCSRDDLNSSDVVGKIVLCYQLAIASSSPPRRHFPLAASNVQEAGGKGIIFAQYSANILSFIDDICNGTVCVFVDYEIGKQIKDYVTNTRSPLVKVSLTQDKVGSGVMSPRVTAFSSRGPSILFPDLVKPDITAPGFLILAAVKDSYKFDSGTSMACPHVSGVAALLKAAHPQWSPAAIKSALVTTAHTANAYGFPIEAEGVPRKLADPFDFGGGHIDPNKASDPGLIYDVEPEDYFKFFNCTYGPSTTCDLVDSRLYRLNLPSISIPDLKKTPLTVWRTVTNVGDKDSIYRAMVESPPGVNMVVEPSLLQFNASTTTHTFAVTFTPLQMVQGDFNFGSLTWFDDGKHAVRIPIAVRVIIHDSFSDTS >Ma03_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:944639:946045:1 gene:Ma03_g01260 transcript:Ma03_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEDMELRRGPWTLEEDKLLIHYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDVKRGNLSPEEQLLILELHAKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSATFRDAVRCYWMPRLLANMDSSHTTQSQDASANTGTQQAHYRDSLASSSCSTALPQLTDHMSELPSRPPDELDAVTFNPFATGYSTSDAYDLDTSWDLSPMSPASAPTYPAVDCTVSNDDCSRNVGDGLWSMDELYDMLKSYMSGAAPEVPLGGHNSQ >Ma05_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36725550:36727273:1 gene:Ma05_g24490 transcript:Ma05_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHHLWDDTVAGPPPDTGLGKLRKSSSFSPSSLSAAAAAAAAAPVALQVTRSITILRGSASAAPPSPSSSAASSPRGPWSALDSPLSPPTPRGDWKRLRRKPTPVAEGVETAEPRSPTVYDWVVISSLDS >Ma04_p40040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36971018:36974059:-1 gene:Ma04_g40040 transcript:Ma04_t40040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICSLKSSSSAFAATTDLLPPKSRMTPTLCFATLRCNSFSPKTPSSSLSSIKPLYLTPLESFGSAKPRGMSLKAKAYEADRSESVAFPDHEARAAAAQKLKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLIMLLSWAARVTAAPKTDLEFWKALAPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFVLGERFPVPVYLSLVPIIGGCALAALTELNFDMTGFMGAMISNLAFVFRNIFSKRGMKVTSVSGMNYYACLSMLSLLILLPFAIGVEGPQLWAAGWHEAISHIGPHFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIVFHTPVQPINALGAAIAILGTFLYSQAKQ >Ma04_p40040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36971018:36974087:-1 gene:Ma04_g40040 transcript:Ma04_t40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSLKSSSSAFAATTDLLPPKSRMTPTLCFATLRCNSFSPKTPSSSLSSIKPLYLTPLESFGSAKPRGMSLKAKAYEADRSESVAFPDHEARAAAAQKLKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLIMLLSWAARVTAAPKTDLEFWKALAPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFVLGERFPVPVYLSLVPIIGGCALAALTELNFDMTGFMGAMISNLAFVFRNIFSKRGMKVTSVSGMNYYACLSMLSLLILLPFAIGVEGPQLWAAGWHEAISHIGPHFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIVFHTPVQPINALGAAIAILGTFLYSQAKQ >Ma01_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5251378:5254200:1 gene:Ma01_g07270 transcript:Ma01_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQLRSKAVQASEFVAKNGCAYYRELLEKNKQYIVQPPTIEKCQELSKQLFYTRLASIPGRYDSFWKELDGVKHIWRNRKELKVEDAGIAALFGLELYAWFCVGEIVGRGFTFTGYYV >Ma04_p31220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31582565:31583048:-1 gene:Ma04_g31220 transcript:Ma04_t31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFISHLVLHRHLVSWISASMIAVDATRACGRHSPFSLFLPLLFRFLSIGKRRGFIGKRHSEVKQGSRIEDILISYQSQSLPNES >Ma07_p26460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33250936:33252648:1 gene:Ma07_g26460 transcript:Ma07_t26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPETTLGTTEEVDCGGPIGTGSPLPPGVSSSPGHSGKVLRPRTCTQVELEELGPTPSTIKEQDRAPASSLMYKSSSRLLADFVSIDCGITGNTNYTHGETDILYVSDDQFTDTGINHQVASNDVSSSLDELLLTVRSFPNASRSCYVLKPVIQYRKYIMRATFMYGNYDGLNRANVVKPLLFDLYMDVNFWQTVNVSDPKSIYEVEAMAVALADSVSVCLVDTGSGTPFISAPELRPLVDAMYPSANTSQSLVLNNRLNVGPSRNSSALRYPDDPYDRIWRPWTRPDAWMEISTTETISNSAKNLFQPPTTVMQTAATPSGNSLKMEFYWTFADAQVPNNEFYVNLFFTEFERNTSRLFNVYLNDELLTNYTPPYGSVGYLFSSRPLDPASEYHWALNSMGLSTLPPILNAIEVFTAMHLTLAATASGD >Ma05_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2289863:2291871:1 gene:Ma05_g03210 transcript:Ma05_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMLLPPGFRFHPTDEELVGYYLKRKVDGLKIELEVIPVIDLCKFDPWELPDKSLLPRRDMEWFFFCPRDRKYPNGSRTNRATVSGYWKSTGKDRKISCESSVFGLRKTLVFYRGRAPGGERTDWIMHEYRLCEDLFQGSSNFLGAFALCRVVKRSGNGVTSSDRHGEPKAKRCPKRNFDEALHGTEESSSLDLIDSSCNASDLLPSPETVIAFREPHVISDASKADGGASMPSDGVPNPTREAFTVDEPGNALFSSFPSPAARCMGMCMCSSSWEDITFHSLRWDATTYIDESYGTEDFGAAASAHICRQASEGEEANLWLQEDNIVTVM >Ma02_p18380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24883902:24889994:1 gene:Ma02_g18380 transcript:Ma02_t18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSYASHAPPSNSSAAVAMHPPPPAAAGAVVEAPGFPQPYYLHPHSHHHHHQQPHPAHPPAPPVVYSKPEQQRIKTLFVSGLPDDVKPREIHNLFRRRPGFDSCQLEYTGRGDQVVAFATFFNHQSAIAAMSSLDGVVFDPEIGATLHIELARSNSRKRSRGVGAYTVIDKRVKVTNDDQELFSDDGDGGSDEPSGTDNDNPSNKDALAAVKSEGTIVIPDGADGTFNDHTDIPPCSTLFIANLGPTCTEEELKQVLSKYSGFHMLKMRGRGGMPVAFADFTDTESSTAAMNGLQGILLESSDRGGVHVEYARSKMRKS >Ma02_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24883902:24889994:1 gene:Ma02_g18380 transcript:Ma02_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSYASHAPPSNSSAAVAMHPPPPAAAGAVVEAPGFPQPYYLHPHSHHHHHQQPHPAHPPAPPVVYSKPEQQRIKTLFVSGLPDDVKPREIHNLFRRRPGFDSCQLEYTGRGDQVVAFATFFNHQSAIAAMSSLDGVVFDPEIGATLHIELARSNSRKRSRGVGAYTVIDKRVKVTNDDQELFSDDDGGSDEPSGTDNDNPSNKDALAAVKSEGTIVIPDGADGTFNDHTDIPPCSTLFIANLGPTCTEEELKQVLSKYSGFHMLKMRGRGGMPVAFADFTDTESSTAAMNGLQGILLESSDRGGVHVEYARSKMRKS >Ma11_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24360002:24365671:-1 gene:Ma11_g19520 transcript:Ma11_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRDGSIPEEEKELQERGDDGAHRGRHKKSKRKEETESEESSGGSGDDRVRSKKKRRRHRSSRKGHRRRGRASGSESDDSTSSDSYTESETDESGSESESEESDGEARKRRRKEKRRRERESEKERRRRKEREKERKRREEEKEAKRRRKKRKEKKKEKEEAKKGAVTNSWGKYGIIREIDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEFMEDHNTATFPSKKYYDLDAYHRRKIEKAMKKGSKKFQEMERTVFDDEEQRRIELLRERERQKEEQVEALKRSMQSGMAQAMKEQSRLREEMMYQYKLGNFEAAAAIQKRLDPDVAM >Ma08_p32360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43057880:43061884:-1 gene:Ma08_g32360 transcript:Ma08_t32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRARLSTSVEAESARAKLEVVAAELGHEIRVFLAASQTKHATLTTSNEDDADDFYDLTAEDYYNLMSSRAESQIMKTQKMREAEAVASRERLTKAVIRVRFPDDYILEAKFQPSETIQTLVNLLMKVVAQPNLPFYLFTTPPKQRIADLSKDFYSAGFAPGANVYFSYDVPESHGLYTNEPFLREDIRILSTLDLGQEQIDLKYVAPKPALLEAASVVVNAKPVVVRSTKPKWFRR >Ma09_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2792322:2793465:1 gene:Ma09_g04250 transcript:Ma09_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDLPFSFSSGGSICSKSIGNFNAYH >Ma08_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5039759:5049990:-1 gene:Ma08_g07340 transcript:Ma08_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNEKHRKILEGLLKLPENKECADCKSKGPRWASVNLGIFICMACSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPLDKRFKSPSKFQEEKASEYKEKSSDTGGDDDTNNVKSLEKQDNEPQSTRTDNLVVPKLPSPVSSIQKVETGRTLVGSPESAEVAPAMVNAKTTPPKADPTVDLFNMLSMDGTSENGTESSSVNDNSWADFQSAELTSALETNSTTKSVESKNENALGVEELFKESTSLTQPSTEKKPQTDVKNDIMSLFDKSNMASPFALQQQLVYLPQQQALLMAASKSNNAPEEFSPRTHHRSVSDSNTMKGNIAQSWVNFGYQVPGNVPLAGHLYSNNSIQMGNVTYLHPSGSYGPVAASGLHALGSSASADGLAAGGGVNNPHATSSPSTTNSRSLNEYDFSSLTQGMFSKR >Ma08_p07340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5039759:5049990:-1 gene:Ma08_g07340 transcript:Ma08_t07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNEKHRKILEGLLKLPENKECADCKSKGPRWASVNLGIFICMACSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPLDKRFKSPSKFQEEKASEYKEKSSDTGGDDDTNNVKSLEKQDNEPQSTRTDNLVVPKLPSPVSSIQKVETGRTLVGSPESAEVAPAMVNAKTTPPKADPTVDLFNMLSMDGTSENGTESSSVNDNSWADFQSAELTSALETNSTTKSVESKNENALGVEELFKESTSLTQPSTEKKPQTDVKNDIMSLFDKSNMASPFALQQQLVYLPQQQALLMAASKSNNAPEEFSPRTHHRSVSDSNTMKGNIAQSWVNFGYQVPGNVPLAGHLYSNNSIQQMGNVTYLHPSGSYGPVAASGLHALGSSASADGLAAGGGVNNPHATSSPSTTNSRSLNEYDFSSLTQGMFSKR >Ma07_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32198445:32205437:-1 gene:Ma07_g25070 transcript:Ma07_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEEGAAAAAGVEMKLEFTPTWIVAAVCSLIVVISLVAERLLHRLGKVLKRKNQKPLFDALQKVKEELMLLGFISLLLTVFQGVIQGICIPEGWSLHMLPCKKEERITEAHFQTAFSAGHFGGVGRRLLAGGGSGAAAHCLSKGKVPLLSLEAIHQLHIFIFVLAVTHVAFSALTMLLGGVKIRQWKQWEDSIQKEITGDAPKQVTHVNQFQFIRDRFNGIGADSVFTSWLHSFVKQFYGSVTKSDYTTMRLGFIMTHCQGNPKFNFHKYMIRTLEADFKKVVGISWYLWVFVFIFLLLNVNGWHTYFWISFIPLILLLAVGTKLEHIITQLAHEVAEKHSAIQGDLVVKPSDDHFWFRRPRIVLNLIHFILFQNAFEIAFFFWILTTFGFDSCIMDQFSFIVPRLVIGVVIQLLCSYSTLPLYAIVTQMGSYFKKAIFDEHVQEGLMDWAQKVKRRKGNGLVRSSQGQDEPSRKIELQKLVQQTESDLEEGRSATTSANVS >Ma07_p25070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32198445:32205437:-1 gene:Ma07_g25070 transcript:Ma07_t25070.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEEGAAAAAGVEMKLEFTPTWIVAAVCSLIVVISLVAERLLHRLGKVLKRKNQKPLFDALQKVKEELMLLGFISLLLTVFQGVIQGICIPEGWSLHMLPCKKEERITEAHFQTAFSAGHFGGVGRRLLAGGGSGAAAHCLSKGKVPLLSLEAIHQLHIFIFVLAVTHVAFSALTMLLGGVKIRQWKQWEDSIQKEITGDGAAPKQVTHVNQFQFIRDRFNGIGADSVFTSWLHSFVKQFYGSVTKSDYTTMRLGFIMTHCQGNPKFNFHKYMIRTLEADFKKVVGISWYLWVFVFIFLLLNVNGWHTYFWISFIPLILLLAVGTKLEHIITQLAHEVAEKHSAIQGDLVVKPSDDHFWFRRPRIVLNLIHFILFQNAFEIAFFFWILTTFGFDSCIMDQFSFIVPRLVIGVVIQLLCSYSTLPLYAIVTQMGSYFKKAIFDEHVQEGLMDWAQKVKRRKGNGLVRSSQGQDEPSRKIELQKLVQQTESDLEEGRSATTSANVS >Ma04_p01730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1528599:1534727:1 gene:Ma04_g01730 transcript:Ma04_t01730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALGNVGGVLLHLPLRHFLFNPRRSLIPKPHLLARGVVIRAATTSSSSPPGTATGDSNPVTQDLTEDAKVSTGIPILACPICYNSLISKNGPGLKLAFQSASNLECHTCKKDYQNNGIYLDLAVASGSKDYAETMPAMTELFRSPLVSFLYERGWRQNFVWGGFPGPEREFEMAKGYLKPSTGGTIIDASCGSGLFSRLFAKSGMFSLVIALDFSENMLQQCYNFINQEGMPRENLILVRADISRLPFVSSSVDAVHAGAAIHCWPSPSAGVAEISRVLRPGGVFVATTFILDVLPPVIPILKTVRQYYIRASSNYLYLSEGELEDLCQTCGLVNFTCVRNGPFVMISATKPS >Ma04_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1528599:1534132:1 gene:Ma04_g01730 transcript:Ma04_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALGNVGGVLLHLPLRHFLFNPRRSLIPKPHLLARGVVIRAATTSSSSPPGTATGDSNPVTQDLTEDAKVSTGIPILACPICYNSLISKNGPGLKLAFQSASNLECHTCKKDYQNNGIYLDLAVASGSKDYAETMPAMTELFRSPLVSFLYERGWRQNFVWGGFPGPEREFEMAKGYLKPSTGGTIIDASCGSGLFSRLFAKSGMFSLVIALDFSENMLQQCYNFINQEGMPRENLILVRADISRLPFVSSSVDAVHAGAAIHCWPSPSAGVAEISRVLRPGGVFVATTFILDVLPPVIPILKTVRQVML >Ma04_p01730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1528599:1534727:1 gene:Ma04_g01730 transcript:Ma04_t01730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALGNVGGVLLHLPLRHFLFNPRRSLIPKPHLLARGVVIRAATTSSSSPPGTATGDSNPDLTEDAKVSTGIPILACPICYNSLISKNGPGLKLAFQSASNLECHTCKKDYQNNGIYLDLAVASGSKDYAETMPAMTELFRSPLVSFLYERGWRQNFVWGGFPGPEREFEMAKGYLKPSTGGTIIDASCGSGLFSRLFAKSGMFSLVIALDFSENMLQQCYNFINQEGMPRENLILVRADISRLPFVSSSVDAVHAGAAIHCWPSPSAGVAEISRVLRPGGVFVATTFILDVLPPVIPILKTVRQYYIRASSNYLYLSEGELEDLCQTCGLVNFTCVRNGPFVMISATKPS >Ma10_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29283086:29287499:-1 gene:Ma10_g18310 transcript:Ma10_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKKSKNGEAAASSAPPPPLKKIKKNDKKKKKNKGAVAAPASASTASPAANNSAPEGKKQQVPVEKSSGFIFMCSGGTKPECYRYQVFGLPKGKKELVEKIKPGTKLFLYDYDLKLLYGVYRAACQGGMDLEPDAFRGGFPAQVKFKIDKDCLPLPEATFKLAIRDNYNFKGKFSPQLNSKQVHKLLSLFRPVTLPPEPAPAIHYVENHRSPAHYLPPADPNGSQYLARTPTPERRYISQVPLSASGPYARLRVVPAVEPGRVLSSFEPAADPYYPVPPVASYFPAPPAKSYYLAPPADSYHQAPPADPYSRAPPAEPSYRAPPPDPSYRKPPANPSYRAPPAAPPADPSYQAPLADPSYQAPPADPSYRAPPADSYNRAPPADAAYQAPPADSYYRAPPAELYYRAPPADSYYRAPPADSYHRAPPADPYYRAPLADSYYRAPPADPYYRAPPADPYCPAPLADPYYQASSTDQYQVESVRAYYPENPVPSARIRYRMVPEIIPSDPLLARDYDTVTSREGGLAPQTDRAADDELYNPDHATSSRVAASSMPVSSVYPFVGAMPYR >Ma10_p18310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29283086:29287498:-1 gene:Ma10_g18310 transcript:Ma10_t18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKKSKNGEAAASSAPPPPLKKIKKNDKKKKKNKGAVAAPASASTASPAANNSAPEGKKQQVPVEKSSGFIFMCSGGTKPECYRYQVFGLPKGKKELVEKIKPGTKLFLYDYDLKLLYGVYRAACQGGMDLEPDAFRGGFPAQVKFKIDKDCLPLPEATFKLAIRDNYNFKGKFSPQLNSKQVHKLLSLFRPVTLPPEPAPAIHYVENHRSPAHYLPPADPNGSQYLARTPTPERRYISQVPLSASGPYARLRVVPAVEPGRVLSSFEPAADPYYPVPPVASYFPAPPAKSYYLAPPADSYHQAPPADPYSRAPPAEPSYRAPPPDPSYRKPPANPSYRAPPAAPPADPSYQAPLADPSYQAPPADPSYRAPPADSYNRAPPADAAYQAPPADSYYRAPPAELYYRAPPADSYYRAPPADSYHRAPPADPYYRAPLADSYYRAPPADPYYRAPPADPYCPAPLADPYYQASSTDQYQVESVRAYYPENPVPSARIRYRMVPEIIPSDPLLARDYDTVTSREGGLAPQTDRAADDELYNPDHATSSRVAASSMPVSSVYPFVGAMPYR >Ma09_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5247441:5251022:1 gene:Ma09_g07990 transcript:Ma09_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQTGHTSKGDSLHAGVFVDWKGKPCKPNKHGGMRAAVFVLAIQAFEIMAIAAVGNNLITYVFNDMHFPLSKSANIVTNFIGTVFLLSLFGGFLSDSYLGSFWTMLVFGFVELSGFILLSVQAHLPQLRPPPCNMISKEEQCMEAKGFKATIFFLALYLVALGSGCLKPNMISHGADQFRKEDPCHSKKLSTYFNTAYFSFCVGELIALTVLVWVQTRSGMDVGFGVSAGAMAMGLAILISGAFFYRNKPPQGSIFTPIARVFVAAFTKRKQVCPSNSSDVEPSSSYVGNLPRTNKLRFLDKACIEARDGSGMKESSWRLCTAAEVEQVKIIISVIPIFACTIIFNTILAQLQTFSVQQGSAMNTRLATSFHVPPASLQAIPYIMLIVLVPVYETCFVPLARKLTGTSSGITPLQRIGVGLFAVTFSMVAAAVIERKRRETYVDSGELLSIFWIAPQFLIFGVSEMFTAVGLIEFFYKQSLAGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKITSSSSRDGWLSDNDLNKDRLDLFYWLMAALSLLNFLSYLLCSRWYCSSQSPSADLPPGPHGEDINHLNFTSSKHVAADQDIL >Ma09_p25450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36897724:36902777:-1 gene:Ma09_g25450 transcript:Ma09_t25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVTEAAILFFFHCISSPVTGDGSGEGYVRPLPRQTLSLPWRPKRSSDPQQVHISLAGAEHMRITWITGDASSPSIVEYGTLPGMYTSSSRGECASYKYLLYSSGKINHVVIGPLQSNTVYFYRCGGQGPEFQLKTPPSQFPIAFAVVGDLGQTGWTKSTLEHINQCKYDVHLLPGDLSYADYQQHLWDSFGALVQPLASTRPWMVTEGNHEKESILFFKSGFQAYNARWKMPYEESGSTSNLYYSFEVAGVHIIMLGSYTDYDVNSDQYAWLKDDLTGVDRERTPWLIVLSHVPWYNSNSAHQGEGKSMMAAMESLLYAAGVDIFIAGHVHAYERTTRVHNGGFDPCGLMHITIGDGGNREGLAQRFYNPKPEWSVFREASFGHGELKIVNSTHAFWSWHKNDDDESVKSDEVWINSLASIGCVR >Ma11_p24770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27639406:27640168:1 gene:Ma11_g24770 transcript:Ma11_t24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALPFLVFFFLLLLPLFPLALSRGTPGSTAAPSLIQKTCNETTYYDLCVSSLGSDPHSRKADVKGLSTIAIDIAISNATNTSSFAAALAHNATDASLVAVLRACATKYANAREALQWSLDALSTEAYDYAFVHVSAAAEYPNVCRVLFRQNPRLAYPAAMARREEDLEHLCTIALEIISLLG >Ma03_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8900511:8904583:1 gene:Ma03_g11460 transcript:Ma03_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATASKFNPKVASQGLTKLSNISLQGLPERYPSSSSKYHRIVPRAMASSAYLFVFSGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPDLNIFETSLRRGKFDNSLIMSMNAKYRFSKYRPSIHTYIQCENNNFCKQVTKLLLETSRRGYLAAISASSYSFISLLQYFLLIMNPGGASVSLTYIACERTITGDNRVLVSEAGRKGQIRVNTISAGPLRSRAAKAVGFIEKMIDYSYSNAPLQKELLADEVGNTASLFVSPLASAVTGSVVYVDNGLNTMGLAIDSPTLSA >Ma10_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1589803:1590917:-1 gene:Ma10_g00360 transcript:Ma10_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHSQGRTVDEITASLRRAPLPAHTVAAVKAAYALGCELRIVSDANRFFIETILEHHGLGSYFSEINTNPGYVDEEGRLRILPYHDFKTSSHGCGLCPPNMCKSTIIERIQASASLEGRKRFIYLGDGKGDYCPALRLSEEDYVMPRKNHPAWELILANPRALRAEIHGWGDAEELEEVLLRLIGDSISARGSDANRLISADCKFQTTMPLSSHEALPKPLRVPN >Ma10_p00360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1589803:1591063:-1 gene:Ma10_g00360 transcript:Ma10_t00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVIVVFDFDKTIIDCDSDNWVIDDLGGTQLFDQMLQTMPWNSAMDRVMGALHSQGRTVDEITASLRRAPLPAHTVAAVKAAYALGCELRIVSDANRFFIETILEHHGLGSYFSEINTNPGYVDEEGRLRILPYHDFKTSSHGCGLCPPNMCKSTIIERIQASASLEGRKRFIYLGDGKGDYCPALRLSEEDYVMPRKNHPAWELILANPRALRAEIHGWGDAEELEEVLLRLIGDSISARGSDANRLISADCKFQTTMPLSSHEALPKPLRVPN >Ma04_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24069578:24076025:1 gene:Ma04_g21540 transcript:Ma04_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRAFCIEELPAHLILEILSCGRLGAADLACLEATCRMFRGADGLFPDKFRSLVEFAAFHICNVHPIFGSLPHNARANLLDRCNGNWKRLLRFLQSVEQSSSSVETSAGNMQVTTGRYHTLLVHNSSVYSCGSNLCGVLGHGQDTTQCAAFSRINFPSLSHVIHISASHNHAAFITQSGEVFTCGDNSSFCCGHGEVGRTIFKPTRIEALKGIPCKQVATGLSFTVILTMRGQVYTCGSNAHGQLGLGDTIDRPTPRIIELFEGLGQVVQIAAGASYTFVVTDDGTVHSFGSCTNFCLGHGDQHDELVPRAIQSFKRRNIYIQRVSAGDEHALALDSSGYVYTWGRGYCGALGHGDENDKTSPELLTSLKGHLAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFSDRGSSDKVMKPRILDSLKSHYVSQISTGLYHTVGITNRGLVFGFGDNERAQLGHEKIRGCLKPTEIAVGKMVDDMPIAAPSS >Ma04_p21540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24069578:24076025:1 gene:Ma04_g21540 transcript:Ma04_t21540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRAFCIEELPAHLILEILSCGRLGAADLACLEATCRMFRGADGLFPDKFRSLVEFAAFHICNVHPIFGSLPHNARANLLDRCNGNWKRLLRFLQSVEQSSSSVETSAGNMQVTTGRYHTLLVHNSSVYSCGSNLCGVLGHGQDTTQCAAFSRINFPSLSHVIHISASHNHAAFITQSGEVFTCGDNSSFCCGHGEVGRTIFKPTRIEALKGIPCKQVATGLSFTVILTMRGQVYTCGSNAHGQLGLGDTIDRPTPRIIELFEGLGQVVQIAAGASYTFVVTDDGTVHSFGSCTNFCLGHGDQHDELVPRAIQSFKRRNIYIQRVSAGDEHALALDSSGYVYTWGRGYCGALGHGDENDKTSPELLTSLKGHLAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFSDRGSSDKVMKPRILDSLKSHYVSQISTGLYHTVGITNRGLVFGFGDNERAQLGHEKIRGCLKPTEIAVGKMVDDMPIAAPSS >Ma06_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20057839:20192501:-1 gene:Ma06_g22990 transcript:Ma06_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEDEPRDNESAGDGDFCSDDGIADCDYGDADFPESQEIVSPLKQNYTILSEADIRQRQEEDITQICAILSVSRSAACNLLCHYKWNVSKVQDEWFANEENVCKVVGLLHNPVNTSNSRKLNCGICFESYDRSKMSAASCGHYFCNACWKGYISTSINEGPGCLMLRCPDPSCNAAVDWDMIDRLAGFEEKEKYARHLLRSYVEDSKKIKWCPSPGCEFAVDFDINSISYDVCCYCSHSFCWNCIEEVHRPVDCGTVAKWIIKNNAESENTNWILVNSKPCPKCKRPIEKNQGCMHMTCRAPCKFEFCWLCLGAWSEHGAITGGFYSCNGYETARRDGMYDESEKRRKRAKLSLERYTHYYERWVTNESSRKKALEDLHIMQTNKLEKLSLRQGQSEAQLKFIIDAWLQIVECRRVLKWTYAYGYYLPEHDDTKRQFFEYLQGEAEFGLERLHQCAEKELQEFLDADTPMKGFDNFRVKLTRLTSVTHNYFENLVQALERGLMDVDCSDPQTSSKSCSSSPGNMPGKMKSSKATEADDPS >Ma01_p16080.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11665755:11669012:-1 gene:Ma01_g16080 transcript:Ma01_t16080.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPRDCIFSTKENEEERSGRKMKLTSKEWKSLMSLCLRGKPSIPFCIFPKVRSASHSPGSAPVYLNVYDLTLMNGYMYWVGLGVFHTGIEVHGVEYAFGAHDYPTSGVFEVEPHQCPGFKFRKSILMGTTCLDPRQVREFVEVQSVNYNGDSYHLIVKNCNHFCEDIIYKLTGNSIPKWVNRLARIDISGHRNKVNRYLSKRGAEGFIRAKRAYAP >Ma01_p16080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11665187:11669012:-1 gene:Ma01_g16080 transcript:Ma01_t16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSKEWKSLMSLCLRGKPSIPFCIFPKVRSASHSPGSAPVYLNVYDLTLMNGYMYWVGLGVFHTGIEVHGVEYAFGAHDYPTSGVFEVEPHQCPGFKFRKSILMGTTCLDPRQVREFVEVQSVNYNGDSYHLIVKNCNHFCEDIIYKLTGNSIPKWVNRLARIGSFCNCLLPEASKIAAAPEDPDSPTEDGEKRRLRTAFSCLSSISMRPRHLSTPSVFLPSLKGCFPPWQFRRSTALPFKDHDDQNCDYIQRKDQ >Ma01_p16080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11665167:11669012:-1 gene:Ma01_g16080 transcript:Ma01_t16080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPRDCIFSTKENEEERSGRKMKLTSKEWKSLMSLCLRGKPSIPFCIFPKVRSASHSPGSAPVYLNVYDLTLMNGYMYWVGLGVFHTGIEVHGVEYAFGAHDYPTSGVFEVEPHQCPGFKFRKSILMGTTCLDPRQVREFVEVQSVNYNGDSYHLIVKNCNHFCEDIIYKLTGNSIPKWVNRLARIGSFCNCLLPEASKIAAAPEDPDSPTEDGEKRRLRTAFSCLSSISMRPRHLSTPSVFLPSLKGCFPPWQFRRSTALPFKDHDDQNCDYIQRKDQ >Ma01_p16080.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11666450:11669012:-1 gene:Ma01_g16080 transcript:Ma01_t16080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPRDCIFSTKENEEERSGRKMKLTSKEWKSLMSLCLRGKPSIPFCIFPKVRSASHSPGSAPVYLNVYDLTLMNGYMYWVGLGVFHTGIEVHGVEYAFGAHDYPTSGVFEVEPHQCPGFKFRKSILMGTTCLDPRQVREFVEVQSVNYNGDSYHLIVKNCNHFCEDIIYKLTGNSIPKWVNRLARIAKILAAIREMDWKKLGFL >Ma01_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11665167:11669012:-1 gene:Ma01_g16080 transcript:Ma01_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPRDCIFSTKENEEERSGRKMKLTSKEWKSLMSLCLRGKPSIPFCIFPKVRSASHSPGSAPVYLNVYDLTLMNGYMYWVGLGVFHTGIEVHGVEYAFGAHDYPTSGVFEVEPHQCPGFKFRKSILMGTTCLDPRQVREFVEVQSVNYNGDSYHLIVKNCNHFCEDIIYKLTGNSIPKWVNRLARIGSFCNCLLPEASKIAAAPEDPDSPTEDGEKRRLRTAFSCLSSISMRPRHLSTPSVFLPSLKGCFPPWQFRRSTALPFKDHDDQNCDYIQRKDQ >Ma01_p16080.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11665190:11669012:-1 gene:Ma01_g16080 transcript:Ma01_t16080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSKEWKSLMSLCLRGKPSIPFCIFPKVRSASHSPGSAPVYLNVYDLTLMNGYMYWVGLGVFHTGIEVHGVEYAFGAHDYPTSGVFEVEPHQCPGFKFRKSILMGTTCLDPRQVREFVEVQSVNYNGDSYHLIVKNCNHFCEDIIYKLTGNSIPKWVNRLARIGSFCNCLLPEASKIAAAPEDPDSPTEDGEKRRLRTAFSCLSSISMRPRHLSTPSVFLPSLKGCFPPWQFRRSTALPFKDHDDQNCDYIQRKDQ >Ma01_p16080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11665187:11668967:-1 gene:Ma01_g16080 transcript:Ma01_t16080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSKEWKSLMSLCLRGKPSIPFCIFPKVRSASHSPGSAPVYLNVYDLTLMNGYMYWVGLGVFHTGIEVHGVEYAFGAHDYPTSGVFEVEPHQCPGFKFRKSILMGTTCLDPRQVREFVEVQSVNYNGDSYHLIVKNCNHFCEDIIYKLTGNSIPKWVNRLARIGSFCNCLLPEASKIAAAPEDPDSPTEDGEKRRLRTAFSCLSSISMRPRHLSTPSVFLPSLKGCFPPWQFRRSTALPFKDHDDQNCDYIQRKDQ >Ma03_p18080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23727259:23735506:1 gene:Ma03_g18080 transcript:Ma03_t18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQSVATLIDFATSKIQQLQQAFSELESRSAISLNLKWKELEEHFHGLEKSLKKRFDRLEDQEKEYVIKVTEAQEMLEKREADVVAKELASLERLQEKRDAALSSLFDKYKTSSPEPICEGVSNGMADPVIKENFDVAAAKSGSEDIYTMENANAYTEPSSRLMKLCEEMDAEGLHKFISDNRKNLSSIREEVPVALRSAVNPFILVLDSLKGFYAGEILGSNGKKDGNLLGLRRTCLMLMESLEQLLADSLSDEQILTSDIKEKAKVIAKEWKPKLDHLDIEASSGNSLESHAFLQLLATFDIASEFDQDEICTLIPAVTRRRQTVDLCRSLGLSHKMPGLINVLLDSGREIEAINLAYAFKLTEQFAPVPLLKSYLKEAKKVSQGKAGSMSPGAQNEINERELSALKAVIKCIEEHKLEEQYPVDPLQKRILQLEKAKADKRRAAEAAKPQSKRPRATGSVYTPRITSMPDKSFYRAPPERFAYPYDRQYVYPAEAHHPTLMGSAPYTISPPHTTYYGNGYQVQYQTAYLH >Ma03_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23727262:23735506:1 gene:Ma03_g18080 transcript:Ma03_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQSVATLIDFATSKIQQLQQAFSELESRSAISLNLKWKELEEHFHGLEKSLKKRFDRLEDQEKEYVIKVTEAQEMLEKREADVVAKELASLERLQEKRDAALSSLFDKYKTSSPEPICEGVSNGMADPVIKENFDVAAAKSGSEDIYTMENANAYTEPSSRLMKLCEEMDAEGLHKFISDNRKNLSSIREEVPVALRSAVNPFILVLDSLKGFYAGEILGSNGKKDGNLLGLRRTCLMLMESLEQLLADSLSDEQILTSDIKEKAKVIAKEWKPKLDHLDIEASSGNSLESHAFLQLLATFDIASEFDQDEICTLIPAVTRRRQTVDLCRSLGLSHKMPGLINVLLDSGREIEAINLAYAFKLTEQFAPVPLLKSYLKEAKKVSQGKAGSMSPGAQNEINERELSALKAVIKCIEEHKLEEQYPVDPLQKRILQLEKAKADKRRAAEAAKPQSKRPRATGSVYTPRITSMPDKSFYRAPPERFAYPYDRQYVYPAEAHHPTLMGSAPYTISPPHTTYYGNGYQVQYQTAYLH >Ma01_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9563984:9566663:-1 gene:Ma01_g13060 transcript:Ma01_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGREPPEDGFPGTPTAAMKSIDCYPAMLTTSAGIWLGDNPLRFSLPILFYQIIIIFVVSNLTHVVLARLRQPLVMSQIVAGMLLGPSFLGRNMWLPKELFPRQSFEQLETIAVFSMMIFLFVIGVKADLGMIPKAGKKAVFIAVLGTLLPYVSVYGMVTALKHELPPRFRNTPLLLVMSDKWCLTSYAVLSCFLSDLDLLTSKLGRLAMSATLIADFIHLFADACIGTYLLAAKQGDPMKGITGPVAFFGMVGFIMLIMRPLVLWLIRRTPEGALLSEASQVAVLLMALACGLMSSIIGFDFFAGPFFFGLVLPGGAPLGTTLVERVRLVTGLLMPVSMALAGLRMDLASVTEPAQWAWLEGFMVLCVVAKFVGVILPCAYCNMPHRESVSLALMMITKGIYEVGNALGWRQAQLVDDQLYTVIIISIFVFGGSTAPLVKYLYRPEDRYVAFKRRTLQHATPDDELRILACVHGQDNVNPVLALLEATGPSPDAPICVYLLHLIQLVGRADAVIHLHKIKNATTSSWATTQSESDRIANAFRLFEKQYPGGISVLPYVSISPYSTMHDDICCLALDKKTTLVIVPFHKRIGDDDSISSANDAIQAVNLNVLQYAPCSVGIFVEHGLSDGASLLHHVAVYFLGGADDREALAYGVRMVERAAASLTVVRFLPPKEWREDGWEERLDDKMLMQYRQEWVDEKRVMYREEEAKDGEAMVRVIHETSPEFSLLIVGRREGKESLMTAGMSMWSEYPELGVIGDMLASTDFGGEASTLVVQQQRRVTGEQSMDNLEPTPIHIGKRVVPRDEDDDY >Ma09_p28740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39396219:39397462:1 gene:Ma09_g28740 transcript:Ma09_t28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDEVDSIWYLSPSNLPSFQAHYNEEDEDKSSLIEERRKRRMISNRESARRSRMRKQKQFNQLWSLVIHFQSVNHQLLHKVNNMMKECHHILHENIKLRDKKLELLKELENLAAEYNCVSQV >Ma06_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:226459:227986:1 gene:Ma06_g00310 transcript:Ma06_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPAFSLFSKTLCFIYRPRPADALLSSSSSYSVAATGSGALQPSFMAEYLVTTCGFSPEKATKASNLLGGIASRRQPDSVLGFLKSHGFDDTHVKELLSGNPRWLLLDVETNLAAKFRTLQELGFSQSDITHLVVANPSAINCRVPTMVHKIRFWQGLIGSNDLLIKVFKRRQWILGYSIEKRIQPNIEMLRSCGFTDQKLRTILRYRPTLVTQRAETLRALISRADGFGVPRTSGRFHWTLLMLSTLSVERCNAQKKLFGAFGWSEADFLDALKKTPGFLTCSLKNLKMKMEFLVNEAGYAPSYIGQRPVLLTYSLEKRLIPRYQLMAALKSRGLCAGHPKSLAYMLCPEKKFLERYVIIYKKQFPELIESYSVRK >Ma03_p27790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31050482:31056247:1 gene:Ma03_g27790 transcript:Ma03_t27790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVPPRPTSSASSPSSCSYHWCCSSVSASSSSSLSPSSSSPTTLHRYFKLASRRCVDGKKYEIKPHKIQGKPAHNVYRYVRSKNQFLIRRLTPLATSEDQSSYTELDLESLESEKLDLDNQDGSSKRSFSYFTGKSEGKPGFISFHGYHSIKGDEVGSTPTKKTSKILWFIGPTVLVAFLVLPSLYLRKILSTFFEDSLLTDFLILFFTEALFYSGVAIFVLLVDSLWRPVQDKAPNHYYWLKTQYGLRVTSVTTLVLTLIIPLLTMGLVWPWTGPAASATLSPYLVGIVVQFAFEQYARHKKSPACAVIPVIFQIYRLHQLNRAAQLVAALSFSVRSAEKTSYTMAISNSLGTLLIVLQILGIICVWSLSSFLMRFLPPSEVIT >Ma03_p27790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31050482:31056252:1 gene:Ma03_g27790 transcript:Ma03_t27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVPPRPTSSASSPSSCSYHWCCSSVSASSSSSLSPSSSSPTTLHRYFKLASRRCVDGKKYEIKPHKIQGKPAHNVYRYVRSKNQFLIRRLTPLATSEDQSSYTELDLESLESEKLDLDNQDGSSKRSFSYFTGKSEGKPGFISFHGYHSIKGDEVGSTPTKKTSKILWFIGPTVLVAFLVLPSLYLRKILSTFFEDSLLTDFLILFFTEALFYSGVAIFVLLVDSLWRPVQDKAPNHYYWLKTQYGLRVTSVTTLVLTLIIPLLTMGLVWPWTGPAASATLSPYLVGIVVQFAFEQYARHKKSPACAVIPVIFQIYRLHQLNRAAQLVAALSFSVRSAEKTSYTMAISNSLGTLLIVLQILGIICVWSLSSFLMRFLPPSEVIT >Ma04_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5149482:5150596:-1 gene:Ma04_g07090 transcript:Ma04_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFFVRTQVSPMTPLLPDASQRSASMPTMSEIMDASRTQGLRLRLQSLGPFFRVRAEAEDGAELGRAEGVVRPWVGGKVLHLDSMRLSQDTLRMDRSIFGFGLFIGAAAVRHGFDRGCRRAELLAINDSPLFHSKLVRFYTRMGFKAVHEVDGSSVGDLAHMLVWGGRGTRMDADIEQLLIKWGKRFKS >Ma04_p07090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5149043:5150683:-1 gene:Ma04_g07090 transcript:Ma04_t07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFFVRTQVSPMTPLLPDASQRSASMPTMSEIMDASRTQGLRLRLQSLGPFFRVRAEAEDGAELGRAEGVVRPWVGGKVLHLDSMRLSQDTLRMDRSIFGFGLFIGAAAVRHGFDRGCRRAELLAINDSPLFHSKEQCTVHYYLALSIQYQHCSWLTKY >Ma05_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5765295:5765903:1 gene:Ma05_g07860 transcript:Ma05_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTNDRKLCFAGQGEDDKFLHDQLLPHNFEDACKGANAPLVLRMQPGYDHSYYFISTFIDDHIHHHAKALKCA >Ma05_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3943735:3944267:-1 gene:Ma05_g05170 transcript:Ma05_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSPVLRHVDSSHFKRQIATFF >Ma11_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9679098:9684250:1 gene:Ma11_g10280 transcript:Ma11_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCNIFCNARYSYGGSSRHDFETRLAGHCTPNSQVAVHEVHDQHLVVQASCWDSFPPELLNDVITRLDSESTWPFCKNVVACAGVCRSWREICKEIVKNPEFSGKFTFPVSLKQTGPRDSTIQCFVKRDNRDKSSLTYHLYLCLSSDVLVENGKFLLSAKKIRRATCTEYIISMDRDNITRSSNTYIGKVRSNFIETKFEIYDTQPPCNGAASSQLGKISQRPYLRKFSTKVPTGNFKIAQVTYEINVRRSRSPRRMHCVMHSIPASALDAGGTVPCQPENLLPRSLEDSFQSISFRKSSSAERSIGFSGSRCYSDIAGGVRVGNEEDDKATEMPLVLRNKAPRWVEQLQCWCLDFGGRVTMASVKNFQLIEATQPAASHPSPLEQDKIILQFGKVAEDMFTMDYRYPLSAFQAFAICLCSFSNWPCEYS >Ma03_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4534189:4534632:1 gene:Ma03_g06570 transcript:Ma03_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGAGLRLLVAALALLFVTSSAQAPGPAPLAPPTPAPTPTPAPAPAPATAPPTPAPTPAPTVAPPTPAPAPVAPTPAPSPKAASPAPEAASPAPEAPVSSPPAPPPAGESPTEAPSPPTTNTAAGIRSAGWISTAVAVAAVVYAF >Ma11_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2408083:2412767:1 gene:Ma11_g03250 transcript:Ma11_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFLAGIKTIVITSLQFSGVVQFGSTKKIPESSEFIDKAKDLFQLIGSMPEPFSHVDPLKETKIRDQHEAFASAVSAGDAYCGFENTQSFYGKYRKQMTDMESLEGPSQSPAIFPRRFSHHFRPHYSMNPYAFSKPITSSFAGASIYLYDQLQKSPSCSRTSISNPTIQDDAVSDEAHGLLSPSTKSLSSLDSHENTVATCVNPNSCAKIPNLPIMEQGLLSGTGVSGCSSSPHTNSFFSVPCKTAFHQLCADSSSSSEHYGLQDSLTEGRFSVVSNACLSESEKLPLLPHNLRGAKIMCNVPSFAPELLGASVANFRDSTPDDSSLLHAKDDYLVHQTDQRPEHVGYDFTVQMNEKQLAASSASPDLGGSDSIICNTTSKISRIRVESSSGAVIGSHNQENSLIEPGKLLMDYDLFDAMDLDLSTNNFPHQCWDDIVMTTGGGNYNYSNFSVSVSDCLSEMQMGSTAGPRNGLQSEAALDQLLNTINGQTISEGPRHGCLAKSVNPIANPDTENQFSMVTNVGSPSVYNSQVPSVCLPSISQTPNVLLPHCISDIIHGSVKEALPKSNFSLLIDDCCSMNNESSFPNQPKKPEEAAKVVKKRARPGESARPRPKDRQQIQDRVKELREIVPNGAKCSIDALLDRTIKHMLFLQSVTKYADKLKQAEAPKMIVEESGVVLKDNTSSGSCDGATWAYEVAGQTMFCPIMVEDLTPPGQMLVEMLCEERGIFLEIADIIRGLGLTILKGVMEIHERKVWARFLVEANGDVTRLDIFLSLVQLLQHTSSIQYSEQTTEVIENVAPMLKNCRQCPISVPSSEI >Ma09_p28400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39169441:39171892:-1 gene:Ma09_g28400 transcript:Ma09_t28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPPATATSKDLDLGYPPAAPLGGHRKEEPESAGLHVLLHHPPAQQEQVSPGLLRFRSAPSSLFEELCEDFLPARPYGAETDEPSVVDTVDCQRRPQFWRPPPSGEKMPNHSLSDISYRTMGSMLAEVEQHENGGGRTFANLTRQSSSPAIVSSHNGYPMMRDLRGFRNEGLPPMGEAAKRFRGHQLSLGKRQSSLMSRISETGSEEFGRGSHEESGCYMPGWDETSLLSANSFAGTRKGSEGEDKTGAGLNHSEPQKGEVRNHVSGVTHQLGLPKTSSEMAAVENFLRFQDAVPCRIRAKRGCATHPRSIAERVRRTKISERMKKLQEVVPNMDKQTNTADMLNLAVDYIKDLQKQVKTLTEGRASCSCLSSNQNSYLNSKSGARGS >Ma09_p28400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39169441:39171892:-1 gene:Ma09_g28400 transcript:Ma09_t28400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPPATATSKDLDLGYPPAAPLGGHRKEEPESAGLHVLLHHPPAQQEQVSPGLLRFRSAPSSLFEELCEDFLPARPYGAETDEPSVVDTVDCQRRPQFWRPPPSGEKVSPQHKVASSSSLPMTHHHTQQPLQMPNHSLSDISYRTMGSMLAEVEQHENGGGRTFANLTRQSSSPAIVSSHNGYPMMRDLRGFRNEGLPPMGEAAKRFRGHQLSLGKRQSSLMSRISETGSEEFGRGSHEESGCYMPGWDETSLLSANSFAGTRKGSEGEDKTGAGLNHSEPQKGEVRNHVSGVTHQLGLPKTSSEMAAVENFLRFQDAVPCRIRAKRGCATHPRSIAERVRRTKISERMKKLQEVVPNMDKQTNTADMLNLAVDYIKDLQKQVKTLTEGRASCSCLSSNQNSYLNSKSGARGS >Ma05_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7862821:7869174:1 gene:Ma05_g10760 transcript:Ma05_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAATVAASALRRSSYSLLSSQSPGRGMKSALKKSAVLYHYPCPDGAFAALAAHLYFSATSLPVLFFPNAVYDPIRANDLPLDEISDVYLLDFVGPSGFVAEISTKVESVTILDHHKTAFEDLCGNVLTGQNVTKVIDMNQSGATIAFDFFKEKLLACDDISKDPEIQHHMKGRLNLVSDSKIERVHKLFKYVEDGDLWRWALPYSKAFASGLKDMNIEYNVNVNSAVFDQLLALDPEHVIGVGKQTLTHKQRLINEVLQQSYKIRLGNGLFGQCLAGNADHISDLRSELGNQLAIKSQNLGLRGIGAVVYKVPQLNTDHVLKISLRSVDSEDTTPISKNYGGGGHQNASSFMLNCAEFD >Ma04_p25000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26868703:26872158:1 gene:Ma04_g25000 transcript:Ma04_t25000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKYSIDDLPVQDPPTEEFTASDLTWTKYGSSEHHVDDVALVPYDRVDAFIGGECSNPEHPTRFHIERGRKRERGSLKECKSDEYLLYRLYWCSFGPENYGEGGSILPSRRYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSIALIIYHERRHVNKSGFICHGPLDRDAIGPGAKKVPYICSEIQQQTMSLIYLGIPEENVLQTHIEGVQRYCDPNASVNSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVEKNKKSVFFYQDSSETDPFILGIQTEWQLQQMIRFCHQSILACDSSFGISKLKYPLYTLLAFDSRHHALPVAWVITRTITKQDVSKWMKALVDRIRAVDSVWNIYGFIIDDPALEIDPIREIFCCPILFSLWRIRRSWLKNVIKKCGHIEVQREIYKRLGKIMYSIWTKEDPMDAMEELFQDFIDQTAFIQYFKAFWVPKIEMWLGTIKSLPLASQESCGAIEGYHVKLKLKVYDDSHLGALQRVDWLVHKLTTELHSGYWLDLYADESGSFPSVKEEYILSTSWHRALQIPDDVVTFDDKEHLFAKVLSQKDGGQERMVWNPGSEFALCDCSWSMQGNLCKHIIKVNLLCQHKKEFLPSLSYLSFQEVLLDLWRKPMDDSLSLDLSMAWVAQMQDNIQRLVELITSGDIAKVTNKLPLKWIGRRERTSVGKPTGSSTLSLRRTNKGGVQKKAAARRKSRKRKRLSRIKSYS >Ma04_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26868703:26872158:1 gene:Ma04_g25000 transcript:Ma04_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKYSIDDLPVQDPPTEEFTASDLTWTKYGSSEHHVDDVALVPYDRVDAFIGGECSNPEHPTRFHIERGRKRERGSLKECKSDEYLLYRLYWCSFGPENYGEGGSILPSRRYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSIALIIYHERRHVNKSGFICHGPLDRDAIGPGAKKVPYICSEIQQQTMSLIYLGIPEENVLQTHIEGVQRYCDPNASVNSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVEKNKKSVFFYQDSSETDPFILGIQTEWQLQQMIRFCHQSILACDSSFGISKLKYPLYTLLAFDSRHHALPVAWVITRTITKQDVSKWMKALVDRIRAVDSVWNIYGFIIDDPALEIDPIREIFCCPILFSLWRIRRSWLKNVIKKCGHIEVQREIYKRLGKIMYSIWTKEDPMDAMEELFQDFIDQTAFIQYFKAFWVPKIEMWLGTIKSLPLASQESCGAIEGYHVKLKLKVYDDSHLGALQRVDWLVHKLTTELHSGYWLDLYADESGSFPSVKEEYILSTSWHRALQIPDDVVTFDDKEHLFAKVLSQKDGGQERMVWNPGSEFALCDCSWSMQGNLCKHIIKVNLLCQHKKEFLPSLSYLSFQEVLLDLWRKPMDDSLSLDLSMAWVAQMQDNIQRLVELITSGDIAKVTNKLPLKWIGRRERTSVGKPTGSSTLSLRRTNKGGVQKKAAARRKSRKRKRLSRIKSYS >Ma04_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10909496:10911260:-1 gene:Ma04_g14410 transcript:Ma04_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNQIGRPSRRYLAADDWSSCSLVLFISVLALMFSPAVAYDPLDPTGNITIKWDVISWTADGYVAVVTMNNFQMYRHIMSPGWTLGWTWAKKEVIWSMVGAQATEQGDCSKFKANLPHCCKKTPAIVDLLPGVPYNQQIANCCKGGVVGAYGQDPAAAVSAFQVSVGQSGTSNKTVKLPQNFTLLGPGLGYTCGPAKVVPSTIFLTPDKRRKTQALMTWNVTCTYSQFLSSKHPTCCVSFSSFYNDTIIPCRSCACGCENKNCIKYAVCTTFSSGTPGINTPKKDNAPLLQCTQHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTLWTLVIQHPNLDNVTEVFSFDYKPLVPYGFINDTGMFYGMKYYNDLLMEAGAYGNVQSELLLRKDASTFTFKKGWAFPRKVYFNGDECMMPPPDAYPHLPNSSPVGAPPLILHNLAVSVLALILMVMW >Ma09_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3846859:3848875:-1 gene:Ma09_g05950 transcript:Ma09_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSERTDSRTRKGLLRDSFGRCVESMVLPLELLQQFNTSDFPDQQEYEADTVSLEAGLLVHPLLPSEKADTVSQWLRQIIASNIENFQGIILLGVPAAKILVEDISNEYHHKRREETDVARSRVDTYIQSSLRTAFVQRMELADSGRLSSKNQNTSTPVLSILSKGIGEVARKEKSRSVQY >Ma03_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1728607:1729610:-1 gene:Ma03_g02550 transcript:Ma03_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAELSLGLPGSMMSEITSSASTESDAIAKRKRKMQQTWDHGIDLHLNHPLPLEWEQCLDLQSGNMYYLNRKTMKKSWVRLEEKSMKLELNISTFGSSETKKQCSSSGSSMMALVCVNCHLLVMLRKSSPSCPNCKYVHSLPPPPPPPQKLQAVKPLETLSLLH >Ma11_p03430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2534604:2562898:1 gene:Ma11_g03430 transcript:Ma11_t03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINGTVFAYGVTSSGKTHTMHGDPKTPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKDEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVMYSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSERRASHIPYRDSKLTRLLQSSLSGHGLISLICTVTPASSSMEETHNTLKFASRAKRVEIYASRNRIIDEKSLIKKYQKEISSLKQELEQLKKGMLSGASQEEIMILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGCLTDLPRHQRHLSLGEDDKLDILHQSSPHFESESTLNDAMTSSLSDSFDTLSDVKSRRHSSKLSEEHSPVNSSVTDPMQTRIMMSDEMDLLAEQVKMLAGEIAFGTSTLKRLMEHSANDPDGTKIQIEKLEHEIHEKQKRMRLLEQRIIENSEASKGNSSMVDMQQTVMRLMTQCNEKGFELELKSADNRILQDQLQQKCSEIKDLEDKVLLLQQQLNSFKSEQLHEEFVTEEISDMKSKLQSQEAENEKLNLEHLRMIEENHSLLSQNKKLAEEASYAKELASAAAVELKNLAEEVTKLSLQNARQAKELLAAQDLSGHSKTANGTIRRFPENKIDGIKLGRRSRPPSRSGDFGNTVFNDVENWNLDLDGIRMELQARKQKEAALETALAEKEHLEEEYKRKLEDGKKREMSLENDLAGMWVLVAKLKKGAFGSLGLNSDQRSTNPVDLMDDLKLSNDKHNCSLHQRRQTTDSFVKPNNEQSNQNQELEPLLVRLKAKIQEMKEREIDSSGNGDKNSHVCKVCFEAPTAAVLLPCRHFCLCKPCSLACSECPLCHTKIADRIITFTT >Ma11_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2533824:2562898:1 gene:Ma11_g03430 transcript:Ma11_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPYSSSSSRGRSSSPFGHRKPPSTSYSSSSSTSSFANGRLIPRSSPSSVSSHFYGSSSNGGFSRSVTPTRGRAEYSKARVAPAGFSAEELVVEPADSGGSGDSISVTVRFRPMSDREFKQGDEMAWYADGDKIVRSEYSPSTFYAFDRVFGPSTTTQVVYDVSARPIVKAAMEGINGTVFAYGVTSSGKTHTMHGDPKTPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKDEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVMYSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSERRASHIPYRDSKLTRLLQSSLSGHGLISLICTVTPASSSMEETHNTLKFASRAKRVEIYASRNRIIDEKSLIKKYQKEISSLKQELEQLKKGMLSGASQEEIMILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGCLTDLPRHQRHLSLGEDDKLDILHQSSPHFESESTLNDAMTSSLSDSFDTLSDVKSRRHSSKLSEEHSPVNSSVTDPMQTRIMMSDEMDLLAEQVKMLAGEIAFGTSTLKRLMEHSANDPDGTKIQIEKLEHEIHEKQKRMRLLEQRIIENSEASKGNSSMVDMQQTVMRLMTQCNEKGFELELKSADNRILQDQLQQKCSEIKDLEDKVLLLQQQLNSFKSEQLHEEFVTEEISDMKSKLQSQEAENEKLNLEHLRMIEENHSLLSQNKKLAEEASYAKELASAAAVELKNLAEEVTKLSLQNARQAKELLAAQDLSGHSKTANGTIRRFPENKIDGIKLGRRSRPPSRSGDFGNTVFNDVENWNLDLDGIRMELQARKQKEAALETALAEKEHLEEEYKRKLEDGKKREMSLENDLAGMWVLVAKLKKGAFGSLGLNSDQRSTNPVDLMDDLKLSNDKHNCSLHQRRQTTDSFVKPNNEQSNQNQELEPLLVRLKAKIQEMKEREIDSSGNGDKNSHVCKVCFEAPTAAVLLPCRHFCLCKPCSLACSECPLCHTKIADRIITFTT >Ma04_p33840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33285867:33287478:-1 gene:Ma04_g33840 transcript:Ma04_t33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVAIDRESPFKELDSKNRGIMGAGGKEEEEEQWPPWLRPLLSTSFFVQCKRHADAHKSECNMYCLDCMNGALCSLCLARHSDHRSIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQQRPGKGVTNICEVCERSLVDSFRFCSLGCKIAGAASDYNRKKKNHPQKKKNKKKTKQPTAASDSDASDTSTSRGSVKSNATQSFTPSTPPPTAASFRSAKRRKGIPHRAPFGSIMLEF >Ma04_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:312910:314844:1 gene:Ma04_g00290 transcript:Ma04_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTLLKEAQRFHAALLTSGFRNHAYRCNLLLRAYARCGALSHARSLLLQMPLPTLVSFNTLLSGFVRSGRIDDAIDLFDAMPATDAHSYNTVICGLVRIHRVREAFARFLRMARSHVRPDDFTCSTIVACCDLSGGRQLHALMVKVASLTSDPFAGTNLLRMYGDAGEMCDARKVFDEMGHRDLTSWNVLIDCYFKSGMGELCIKIFVEMVRDRIRLDEFTLATVMNELASCSSVSKGMQVHSLVVRAGFIMDRFCCNALLNLYSKGGFVSSAMKLFDDMTDPDVVSWTIMISGLEVGGHISDAFEVFNSMRMAEVEPNSFTFGTLIGCCANVNAFDIGKQFHALVLKNGLELDVVVGSTIVNMYSKCGEITDALRLFQSLPERDIVSWNGVICGLAQNGETTTALQLFDEMVQLRLNDIMPNHVTFVGVLTACSRAGLIRKGCSIFNDMVDVYSCEPQAEHYACMVDLFARSGLLEEAEVIIQSLSTEPNIILWGALLGACKSRGNLVMAKRIVKRLYVSEPMNSSNYVLLANLYAANKEWDDVFKVRNKISTIGVQKVAGSSWVEIRGKVYSFTSGDAHNAET >Ma08_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28386007:28396449:1 gene:Ma08_g18100 transcript:Ma08_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVVGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVART >Ma02_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17527856:17537689:-1 gene:Ma02_g06550 transcript:Ma02_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MNAKQDLDHQQQQQPGDHDSDQDLPIQSRLRRGLDQQQLLQPADHGSDQDVPLQPQRRPRGFAAAVAAGGGSAVAKGRKEREKEKERTKLRERHRRAITSRMLAGLRQYGNFLLPARADMNDVLAALAREAGWIVEPDGTTFRPSPAPASSIPAAPPPPPPTQLAPFPIRSSDSPLSATSLKNCSIKASLDSQAALLRIDESLSPTSFDSIVVAERDIKSEKYANASPINSPDCVGHDQLMRESTDHKANYVGTSYVPVYAALTSGIINSYCQLVNPEAVRQELRHLKSLSVDGVVVDCWWGIVEGWSPCKYNWSGYRELFTILQEFELKLQVVMAFHENGGNGSGDMPISLPKWVLEIGKDNQDIFFTDREGRRNMECLSWGIDKERVLKGRTGIEVCYDFMRSFRTEFGDLFEEGLISAIEIGLGASGELKYPSFPERMGWRYPGIGEFQCYDKYMQKNLRLAAQSRGHLFWARGPDNAGHYNLRPDETGFFCDKGDYDSYYGRFFLHWYAQTLIDHADQILSLTNLALEGTEIIAKIPAIYWWYRTASHAAELTAGFHNPTNQDGYASIFNMLKKHSVTIKFMCCGPQASMQENEEALADAEALSWQVLNTAWESGLRVAAQSTLPCDVRETYTKILEIAKPRNDPDRHLLSFFTFQQQLSPIIIQREMFLSDLDNFIKCMHGEAGNDVQG >Ma05_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:582639:584397:-1 gene:Ma05_g00970 transcript:Ma05_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKPVAGMVLVQVVFAGVNIFYKLALNDGMDSRILVAYRYLFAAAFLCPLAFFMERQTRPKMTWKVLMLSFFSGLLGGTISQNLYLSCVRITSATFASAMTNLIPAITFILAVLFRLESLAVLTVSGQAKALGTLTGVGGAMLLTFYKGATINLWSTHIDLLRSHNDEGGAQPQPQQASGNHVMGSLFAVISCLSYAMWLIIQTRMSKQYPCHYTGTALLCFMAAAQSVVYALCGDRSMSSWRMRLDVRLLTTIYSGVAASGIILIVLSWCIKKRGPLFASIFNPLMLIIVALLSTFLLNEQLHLGSVIGSALIVAGLYAVLWGKSREAAKVEESPPELTDVFVVDASNATGYQEKKPSAQIGNVQT >Ma10_p16130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27960745:27965697:-1 gene:Ma10_g16130 transcript:Ma10_t16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSADNVKGLVLALSSSAFIGASFIIKKMGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMILGEVANFAAYAFAPAILVTPLGAVSIIISAALAHIILRESLHIFGVLGCVLCVVGSTTIVLHAPQEREIDSVKEVWDLATEPAFLCYATIVIAAILVLTFFYIPQYGQTHVMFYVGVCSLVGSLSVMGVKALGIALKLTFSGINQLIYPQTWAFTIAVLICVVTQMNYLNKALDTFNTAVVSPVYYVMFTSLTILASVIMFKDWDRQDPTQIVTELCGFVIIISGTFLLHKTKDMADGGTLCLALRLPKHADENGFTYEGIPLKCQESSRSP >Ma10_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27960745:27965697:-1 gene:Ma10_g16130 transcript:Ma10_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADNVKGLVLALSSSAFIGASFIIKKMGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMILGEVANFAAYAFAPAILVTPLGAVSIIISAALAHIILRESLHIFGVLGCVLCVVGSTTIVLHAPQEREIDSVKEVWDLATEPAFLCYATIVIAAILVLTFFYIPQYGQTHVMFYVGVCSLVGSLSVMGVKALGIALKLTFSGINQLIYPQTWAFTIAVLICVVTQMNYLNKALDTFNTAVVSPVYYVMFTSLTILASVIMFKDWDRQDPTQIVTELCGFVIIISGTFLLHKTKDMADGGLALRLPKHADENGFTYEGIPLKCQESSRSP >Ma10_p16130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27960745:27965697:-1 gene:Ma10_g16130 transcript:Ma10_t16130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSADNVKGLVLALSSSAFIGASFIIKKMGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMILGEVANFAAYAFAPAILVTPLGAVSIIISAALAHIILRESLHIFGVLGCVLCVVGSTTIVLHAPQEREIDSVKEVWDLATEPAFLCYATIVIAAILVLTFFYIPQYGQTHVMFYVGVCSLVGSLSVMGVKALGIALKLTFSGINQLIYPQTWAFTIAVLICVVTQMNYLNKALDTFNTAVVSPVYYVMFTSLTILASVIMFKDWDRQDPTQIVTELCGFVIIISGTFLLHKTKDMADGLALRLPKHADENGFTYEGIPLKCQESSRSP >Ma03_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9749114:9752193:-1 gene:Ma03_g12650 transcript:Ma03_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASWNTFTLNAPPAAAVAAGPPHVRRSSLALTALRLRSPISRSSGRFLSYPAPIRTRAVVDDDEWGKEGNGEPESGVAVVEPPVEELSEAGELKRKLMDLLSGTDRGLKASSETRAEIVELITQLEAKNPTPAPTDALPLLNGKWILAYTSFSQLFPLLGSGRLPQLVKVDEICQTIDSESFTVQNSVKFAGPLATTSVTTNAKFEVRSPKRVQIKFEEGIIGTPQLTDDIVVPDKVEFLGQNVDLSPFKGVISSIQNAASSVVRTISGQPPLKIPINNTNAQSWLLTTYLDEELRISRSDGGSVFVLIKEGSPLLN >Ma03_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22329413:22330476:1 gene:Ma03_g17020 transcript:Ma03_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCLYTVNLHFAEIVFADDETCSSLLLQDFNIDKEANGTGKEIIESFTVMVDGTLETHFCWAGKGTNPVPFRGVYAPLVSTISVTPSKLVEACSSILSCVVCVPMLNKFLFLYAIVNLSYNKSQKIHFSPSLELQTGQFSLKHIKAATRNFDPANKIGEGGVYKGVLSVGSEITVKHLQNRRKPRICQLF >Ma04_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8418978:8422526:-1 gene:Ma04_g11810 transcript:Ma04_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSNVVLDIECLTQPTELCCTGSPKMPKVLSRKGSIRMEKHNGEEQKADDALKKLVIKVVPSLPEQPLKQPLVPFKSFQAVQSVPNSPVFQDMGEGRCKRSNRLTIHPRKILLFFATMSSIGTMILIYFTLAINRKNGAYLWS >Ma10_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28766778:28768294:1 gene:Ma10_g17330 transcript:Ma10_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGAVEVGERGGTPDRRSSEGDGEDEQQKQQRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIGSLLEGYGNLMEIVQLDEATGEIRSDPALSFEHPYPPTKAMFVPDRDCLRPDLLATSADFLRIWRVADDSSRVELRALLNGNRNSEFCGPLTSFDWNDAEPRRIGTSSIDTTCTVWDIDREAVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESAEPPGTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELHRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGSDQQPAASTAAAEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Ma01_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24458573:24460053:-1 gene:Ma01_g22360 transcript:Ma01_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRCVFFLLFAFLPRVFSALSFPVGGRVTIPVPSAYQPGFVGRAFVLAAGNAPPDFRAALSVEAIAGAGYSCSVVVLLGDVKVWASDHVAKFIPAGRCVLELTPDGDLQLMDRAGRIGWRSGTSGLGVKSLLLKKNTGNLVLADARNQTRWQSFEHPTNTMLWGQRLNASSRLTSFPVNSSSFYSLEVHKDKLVAYLNWRGERYSYWELQPRAGRSIAYTRVGSTGLKVFDVNSWKIAQILAMKSGAVRFLALGSKGNLGLYYYSASHGKFEPSCRALELCDLPLPCGPYGICSSTNTCAYLQLSGMEYSTSFCGLASSEVEMVELRSVVTVLRTSSSASNVSKEECLASCLEDCSCAAALHTNGHGVGTGEWCSRYALVGGAREVEVGATESSYWIKVARKGSARHETSASLVTKVLIVGGVVDAVALCVILGGLVYYFFKIRKRSVDSGTNNS >Ma06_p01090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:890822:898662:-1 gene:Ma06_g01090 transcript:Ma06_t01090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEGSFLLLLLIISWAGADARSLVNFDIMVDGNDKLCTLCESFVAQATQYVGENKTQTEIIEMLHQACSNVDPFEEQCVMLVDFYASLFFAEISKIHPEVFCTKFNLCEEMVSVYLPKNDDSWLSCSLCHDVVANVLVKLKDPDIQFEVIKMLLEGCNGVQHHVNECKKLVLHYGPLILENGENFLEITDVCAAIHSCKTSQVEFIGTASAEQASLIEE >Ma06_p01090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:890823:903682:-1 gene:Ma06_g01090 transcript:Ma06_t01090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRATPPLPEPSPLGFMALIEGSFLLLLLIISWAGADARSLVNFDIMVTEIGLETPQNQSKISKAVDGNDKLCTLCESFVAQATQYVGENKTQTEIIEMLHQACSNVDPFEEQCVMLVDFYASLFFAEISKIHPEVFCTKFNLCEEMVSVYLPKNDDSWLSCSLCHDVVANVLVKLKDPDIQFEVIKMLLEGCNGVQHHVNECKKLVLHYGPLILENGENFLEITDVCAAIHSCKTSQVEFIGTASAEQASLIEE >Ma06_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:890751:898688:-1 gene:Ma06_g01090 transcript:Ma06_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEGSFLLLLLIISWAGADARSLVNFDIMVTEIGLETPQNQSKISKAVDGNDKLCTLCESFVAQATQYVGENKTQTEIIEMLHQACSNVDPFEEQCVMLVDFYASLFFAEISKIHPEVFCTKFNLCEEMVSVYLPKNDDSWLSCSLCHDVVANVLVKLKDPDIQFEVIKMLLEGCNGVQHHVNECKKLVLHYGPLILENGENFLEITDVCAAIHSCKTSQVEFIGTASAEQASLIEE >Ma06_p01090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:890824:898423:-1 gene:Ma06_g01090 transcript:Ma06_t01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEGSFLLLLLIISWAGADARSLVNFDIMVTEIGLETPQNQSKISKAVDGNDKLCTLCESFVAQATQYVGENKTQTEIIEMLHQACSNVDPFEEQCVMLVDFYASLFFAEISKIHPEVFCTKFNLCEEMVSVYLPKNDDSWLSCSLCHDVVANVLVKLKDPDIQFEVIKMLLEGCNGVQHHVNECKKLVLHYGPLILENGENFLEITDVCAAIHSCKTSQVEFIGTASAEQASLIEE >Ma06_p01090.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:890822:898662:-1 gene:Ma06_g01090 transcript:Ma06_t01090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEGSFLLLLLIISWAGADARSLVNFDIMVTEIGLETPQNQSKISKAVDGNDKLCTLCESFVAQATQYVGENKTQTEIIEMLHQACSNVDPFEEQCVMLVDFYASLFFAEISKIHPEVFCTKFNLCEEMVSVYLPKNDDSWLSCSLCHDVVANVLVKLKDPDIQFEVIKMLLEGCNGVQHHVNECKKLVLHYGPLILENGENFLEITDVCAAIHSCKTSQVEFIGTASAEQASLIEE >Ma07_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29765151:29768786:1 gene:Ma07_g21710 transcript:Ma07_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVNLVLGKMSEYVFREVTPILNVGDEVEVSRITLRLLLSFLEDVDRKPNYQYSKLVEEWVSLIRGLAYDIEDVVAEYTLRVGRSRWKCSLKCIANLPTRIFARHHLAKSLQRINRNLQETSKHASELGIQGIPSTSLPSIKDTNLTLRFKEYSYDVAEDVVGFDHDIQVITNQLRDLHVTRRAVISIVGMGGLGKTTLANKVYNSQAVKHHFQCRAWIVVSQSYTARELLTNIMKQTMNIENNQIREMDEAEMKNKIKEHLKGTRYLVVMDDIWKVSDWETIKTAFPEEFTASRVLLTTRKMDVAETADPDSPPHHLKLLESEESWNLFCKNAFSNACCPPHLQHFQDKIINKCGGLPLAIVVLAGLLRSKHGAYEWSQTLERISHAPNKTDDQTHKILALSYNDLPHNLKSCFLYFAAFPEDYDIGADRLMRLWIAEGFVGSDQEGQTMEDRAEMYLIELINRCMIQVGRRNEIGSVVSVRIHDLLLDLARYEARELNFCRSIRDKGDSTDLRRLSITDDEGVHQYTSLGFAIPKLRSLLFLLKHDNVDMPSKSMIHGFKFLRVLDLQFVSIRSLPSEIGDLILLRYLNLSFSEVKELPSSIGNLCHLQTFIFLGNNLRIPSSFWKIQTLRHFRVGSAIEPKAGCCLKDMHTMWEVQSGEWVGDGSLERMRNLRRLGLYQISSSDSKGLDNALGRLNRLVWLEMMGHALPANILCSSNHPHLRYLQLWGPLERLHTDNIHHDAPFLPNLASLNLAMTRLESDDVSSKLATLPNLERLFLLDEAVVGSVLVFPKGGFSRLQYLSLWTLQDLKEWRVEEGAMPCLRELRLLDCSNMRMLPEGLRGLTQLKLFELHGMPIIKRRIEKDTGEDYYKIQHVPSINMPD >Ma09_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1568729:1576108:-1 gene:Ma09_g02190 transcript:Ma09_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSESLWFASNPSKRWGEVFFLLYTPFWLTLCLGIIVPYRLYERFTELEYLIIGLVSSVPAFLIPLLIMGKADSCKCWRDRYWVKANLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFFLTHSCFLFYHMTSNITLRRLRHVIADFPQSIRLASEAAWILALSYFIAYLETLAISNFPYYEFVNRESMYKVGSLFYAIYFIVSFPMFLRVDEKEDEPWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRQCSQPGLAWFHIPQSSMNM >Ma08_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22416174:22417199:-1 gene:Ma08_g17040 transcript:Ma08_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSNSSHQYIRKCSTISGSSNNNNRNKRATASKDGTRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEQAACAYDIAARAMRGLKARTNFHYPLTAAVQPPAAPVATVDHFLLHPSEWTWNNVPHMHISPPVSHHRHFSLDPLLLRNLINHSSSLHHHPPNTCPWSSFSGYSAPPPVTNTPSCGNILHYDPINVSFTAASSSDLSPLLQQQQQPNCVSPSPAPAASSVTEVPTRFAEHCDFFRTEPPESGLLQEIVNGFYRRRSIDTDYTLKDSRSQCDTKDAVDLLNYHIQMPLKQEEKMFDSADDLPMITQGLLEDIVHCPDFFDMLSAKLHKA >Ma10_p27800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34895074:34896414:1 gene:Ma10_g27800 transcript:Ma10_t27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWRSCLTLCFLFLLASASMAQLSPSFYSLTCPTLRVVVLLRMTQAVLQEPRMGASILRLFFHDCFVNGCDASVLLDDTATFTGEKNAGPNANSLRGFDVIDDIKSAVELVCPGTVSCADILALAARDGVVLLGGPSWTVPLGRRDATTASQSAANSNLPSPASSLSQLISAFSAQGLSARDMTALSGAHTIGQARCVNFRSHIYDDTNIDADFASQRQQNCPSSGGDNSLAPLDLQTATEFDNAYYQNLVGFQGLLHSDQELFNNGSQDSLVQQYSTDGSTFFSDFAAAMVKMGNIKPLTGSNGEIRLDCRKVN >Ma04_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23093109:23093766:-1 gene:Ma04_g20380 transcript:Ma04_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAFRVLVITISIAESTLPLTFFDLAWVNAGAVERVFFYQIPHSTSDFFDSILTFFACRQDLPPPRQDISGTHARDINVLRPLVPQLPKSDDGRVPPLALQVTVFPNQGAAIGVAVHHAACDGLSSTRFMSSWASTRARSKGLAGALASPPVFDRSMIARSLRDRHSYHVDINYGFREKIERFLTNMCDWQ >Ma04_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11525058:11530053:-1 gene:Ma04_g15200 transcript:Ma04_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVTMMPSEHDKRRKKDGGLLLGRFEVGKLLGAGTFAKVYVARDVRTDELVAIKALDKESILKSGLVAHIKREIAILRRVRHPYIVQLFEVMATKTKIYFVMEYVRGGELFSRVSKGRLLEDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDDHGDLKVSDFGLSAVAEQMRGDGLFHTFCGTPAYVAPEVLSRRGYDGAKIDVWSCGVILFVLMAGYLPFHDRSIVAMYRKIYKGVFRCPRWFSQDLVHLLHRLLDVNPQTRITIPEIMENPWFRKGFRHVRFYIEDNQLHSIDDPENDPEDDPEDDQLQNNEPKQDETYESGSESDCSVASCPPTFSDEQHHHPLPRPPSLTAFDIISFSRGFDLSGLFEETEEMTRFLSKEPVSQIISKLEEIAKVVSFKVRRKDCRISLEGTREGEKGPLTIGVEIYELTPSMVVVEVKKKAGDGQEYEEFCNKELKPGLQHLVYESPPVVRTTSNMS >Ma01_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15026907:15027277:1 gene:Ma01_g19390 transcript:Ma01_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVTKLASQRAVVIFSRSSCCFCYSVKSLFHELGVNAAVHELDEDPRGAEMEKALVKLLGRKSPVPAVFIGGKLVGSTDRIMTLHLGGNLVPLLRDAGALWL >Ma05_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36949734:36955898:1 gene:Ma05_g24790 transcript:Ma05_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCMSCSQTAAKEPAGASSSRSWSGSQSWKARKKLQEQEGAKGEGGGGGGRWPAAVSVFGDVREDELHRLPDRLFLNGATEAACLYTQQGKKGTNQDAMIVWENFMLRSDTIFCGVFDGHGPFGHMVSKKVRDSLPLKLSDQWRANFNGNKGPHQFNSMSGGTDSVETTSITIDDEFSDSLDVDENETLPAMYLPLKKSILKAFKIVDKELKFNPTIDCFCSGTTAVTVVKQGRDLVIGNLGDSRAVMGTRDKHNNLIAVELTVDLKPNLPREAARIQQCKGRVFALQDEPEVARVWLPNSDSPGLAMARAFGDFCLKDYGLISVPDISYRHLTDKDEFIILATDGVWDVLSNKEAVDIIASAPTRGTAARALVDCAVRAWRLKFPTSKIDDCAVVCLYLDNSSSSDQSQTVHSKKSPIQSAVSTAVVIDDSIAGGAQVRASPLECSHTLLDANEIVPVSEVSRVPRVAERSQSSKSLADCISATEDEEWSALEGVTRVNSLLNLPRFLSGDKGSTSRKKWF >Ma08_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2873285:2886739:-1 gene:Ma08_g04100 transcript:Ma08_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69830) UniProtKB/Swiss-Prot;Acc:Q94A41] MLLVRWKPVLHCPPQGHRRRGFAWPRGPRRSLLLRRPIRSAAPAFLSISSSKFSQAGRARVRPVVRAGLAQTPSLADVENTEILFSETLSLKRSQTVEGKITVRLDPAVAEEEVSKWRLTIGCNLEGKWTLHWGVSYCDDLGSEWDQPPPEMRPPESVLIKDYAIETPLKRLSSQSERQALHELQIEFDSNTPIAAIHFVLKEEETGAWFQHKGRDFRISFTDYFEVANSVGGNQGLSIWPGGFDQISSLLLKAEESTSKKEDPDDEDGNVVKQNRCIAPIYKEFPILKEEFVPNHMTVSVRSSDKTDKNIVQFDTDLPGDVVIHWGVCKDDGRKWVIPSTPHPPATKIFRHKALQTLLQPKPDGLGSWGLFLVDQGTSGVVFVLKLNEYTWLNNNGTDFFIPIGSVSSTTAEIGSDDIVHEQQAGTEIHDVRNSLSPNNSYPLQNKSLEASDPKNINSLPMKPQGPEELIEAVAYTDEIIKEIRHLVTDISSEKGKRAKSKEAQENILQEIEKLAAEAYSIFRISIPGFVELASDTELLKPAVKLSSGTGSGYEILCQGFNWESHKSGRWYSELSDKAKELSSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGSLEELKDLVNSFHEVGIKVLGDAVLNHRCAHFQNKNGIWNVFGGRLNWDDRAIVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRRDLKEWLCWLRKEVGYDGWRLDFVRGFWGGYVKDYMEATEPYFAVGEYWDSLSYTYGDMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALEKCEYWRLSDQNGKPPGVVGWWASRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPAVFYDHIFSHYQQEISRLISVRNENKIHCRSTVKIVKAERDVYAAEIDGKLAVKIGPGHYEPPDGPTKWVVAAEGRDYKVWETS >Ma03_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4633786:4641110:-1 gene:Ma03_g06750 transcript:Ma03_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAGGRTISQEAFEALVAENMEDLGMDPEEALEDALQTLTLQGVDLSGIVKCIPGVSSTKDNPVIQTLDKLKNVTSSLGSSKSNITNDQEMAELLDKLYGLCSVDGSDNATIATRNGGVELLTRICSSLNVKIEGLLVSSLKALSSILVDVQSRETFRQNGGPKVVVGILNGSSKSPDILDSAFAVVVTASTNDEILKESFMDLKIDELIMQILKELPRSSLYSLYDAIRVLLTPDDNRVLASQVFGYARKFAKVGISDALVQALGEGLSLSNLAAACTALKAVAVNDEICKSISESGGIDATLRCLDESSRQNNKLIARACCSLLSKLAGSDANKSAIVEKGGLDRLMKLSSRFSEDPSVLQEVMSIITVLSLRSPENAAVAVQAGVADLVLQAMQKFPTSIQMQRQACLMIRNLVVRNPENRTILLSNGIEKLIRRAKESHESCKDAATAALRDLGLDDYNK >Ma10_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27917256:27918773:1 gene:Ma10_g16050 transcript:Ma10_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDEKLISYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEDDLIIKLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLSRGLDPKTHRPIDVDRPFAALKQRDMSAAQDSTASEKYFSGDEEGGSGSTGRHKGCYLDLNLDLSISLPYHHPCQEQSSPREAKSQATPRSLRCHHQWNL >Ma08_p29520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41083391:41089203:1 gene:Ma08_g29520 transcript:Ma08_t29520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRSVVTLIDSTTSKLQQLQQAFSELESHSAISLNLKWKELEEHFHGLEKSLKKRFDELEDQEKKYVTKVTEAQEMLEKQEAAVVSKELASLERLQEQRDAVLSALFVKYRTSSPELVAAGVSNGMADPILRENLDDTAAKSGSEYVCPIEDENTCTKPPSELIKLCEEMDAEGLHKFISDNRKNLSSIREEIPVALRSAPSPFSLVLDSLKGFYAGEVLGLNGKKDGSLLGLRRTCLMLMESLEQLLANGVPDSLSDEQILTPDTKEKAKVVAKEWKPKLDHLDTEASSGNSLEAHAFLQLLATFDIVSEFDQDEICKLIPAVTRRRQTVELCRSLGLSHKMPGLIEVLLNSGRQVEVVNLAYAFKLTEQFAPVPLLKSYLKEAKKVPQVKAGSMSPGAQNEMNERELSALKAVIKCIEEHKLEDQYPVDPLQNRILQLEKAKADKRRAAEAARPQSKRPRASGSLYAPRVTSMPDKSFYHAPPERYLYPYDRQYVYAAEAHHPPLVNSAPYTTISPTHTTYYGNGYQVQYQTAYLH >Ma08_p29520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41083380:41089203:1 gene:Ma08_g29520 transcript:Ma08_t29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRSVVTLIDSTTSKLQQLQQAFSELESHSAISLNLKWKELEEHFHGLEKSLKKRFDELEDQEKKYVTKVTEAQEMLEKQEAAVVSKELASLERLQEQRDAVLSALFVKYRTSSPELVAAGVSNGMADPILRENLDDTAAKSGSEYVCPIEDENTCTKPPSELIKLCEEMDAEGLHKFISDNRKNLSSIREEIPVALRSAPSPFSLVLDSLKGFYAGEVLGLNGKKDGSLLGLRRTCLMLMESLEQLLANGVPDSLSDEQILTPDTKEKAKVVAKEWKPKLDHLDTEASSGNSLEAHAFLQLLATFDIVSEFDQDEICKLIPAVTRRRQTVELCRSLGLSHKMPGLIEVLLNSGRQVEVVNLAYAFKLTEQFAPVPLLKSYLKEAKKVPQVKAGSMSPGAQNEMNERELSALKAVIKCIEEHKLEDQYPVDPLQNRILQLEKAKADKRRAAEAARPQSKRPRASGSLYAPRVTSMPDKSFYHAPPERYLYPYDRQYVYAAEAHHPPLVNSAPYTTISPTHTTYYGNGYQVQYQTAYLH >Ma02_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21524466:21525628:1 gene:Ma02_g12940 transcript:Ma02_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHISLGRTRVKPIIKTVVQGVYVTVLVLVSQGSI >Ma08_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:954075:967840:-1 gene:Ma08_g01040 transcript:Ma08_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MDDKSNMLRVLIATDCHLGYLEKDEIRRFDSFQAFEEICSIAEQNKVDFILLGGDLFHENKPSRSTLVKTIETLRRYCLNDRPVQFQVVSDQTVNFQNVFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSAIDILSASNLVNYFGKMVLGGSGVGQITLHPILIRKGTTSVALYGLGNIRDERLNRMFQTPHAVQWIRPGHQEACPVSNWFNILVLHQNRIKTNPKNAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLMLEIKGSQYRPTKIPLKSVRPFEYAEVVLKDEADIDPNDQASVLEHLDKVVRNLIDKSSKRAVSRSQLKLPLVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKAAKKRQSVEEKIDASEKLRPEELNQQNIEALVAESNLKMEILPVNDLDIALHDFVSKDDKMAFYSCLQNNLEETRNKLVAEADTLKIEENLIVKVGECMQERVKERSLRSKESMRTTTGSQNIQGTRNENATGPTFSDEEDTRQMLSISKSAQRRGGASRRGRGRGSSSLKQMTLDGTMRTRHSERSTSGSASVATRSIVDEGIDSPSSEERERDELHDLVENSEPEEIKKDKGRKRAAPRGRGRGSTSSAKRGRKSDFTSIQSMLMNKDGDDDDDDEDDISTRLKKVQPQVTRNYGAIRKR >Ma08_p01040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:954075:967879:-1 gene:Ma08_g01040 transcript:Ma08_t01040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MDDKSNMLRVLIATDCHLGYLEKDEIRRFDSFQAFEEICSIAEQNKVDFILLGGDLFHENKPSRSTLVKTIETLRRYCLNDRPVQFQVVSDQTVNFQNVFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSAIDILSASNLVNYFGKMVLGGSGVGQITLHPILIRKGTTSVALYGLGNIRDERLNRMFQTPHAVQWIRPGHQEACPVSNWFNILVLHQNRIKTNPKNAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLMLEIKGSQYRPTKIPLKSVRPFEYAEVVLKDEADIDPNDQASVLEHLDKVVRNLIDKSSKRAVSRSQLKLPLVRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKAAKKRQSVEEKIDASEKLRPEELNQQNIEALVAESNLKMEILPVNDLDIALHDFVSKDDKMAFYSCLQNNLEETRNKLVAEADTLKIEENLIVKVGECMQERVKERSLRSKESMRTTTGSQNIQGTRNENATGPTFSDEEDTRQMLSISKSAQRRGGASRRGRGRGSSSLKQMTLDGTMRTRHSERSTSGSASVATRSIVDEGIDSPSSEERERDELHDLVENSEPEEIKKDKGRKRAAPRGRGRGSTSSAKRGRKSDFTSIQSMLMNKDGDDDDDDEDDISTRLKKVQPQVTRNYGAIRKR >Ma06_p31280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32462953:32463477:-1 gene:Ma06_g31280 transcript:Ma06_t31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYLSASAAQSPDRGVETDKESMCCAHPTFFPGCNSMEVVFPGMHALALAAVFSASVLVEWCDHRLVIAAAAASGLTQTAMHAARVGLGYVLMLAVMSDAAAMAAAVLGHALGFVAFVHAASRPLTPGTKARLHPIVC >Ma09_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7207805:7212600:-1 gene:Ma09_g10610 transcript:Ma09_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFARAKAVRLRSHHDKYLVADEDEEHVTQDRDGTSRGVRWTVERVDSVPHVLRLRSCYGRYLTASNEPFLLGVTGKKVSQTLPARLDSSLEWEPLREGSQVKLKTRYGNYLRGNGGLPPWRNSVTHDVPHRTSTQDWILWDVDVVEILPQPARIPNQPVSASSAVSSSSASSSRLSSLESSDSFSGPLHKVEGRSIYFTVADDNGNVDDSVEWPHVTFIGTSVPEVTQKLKEETKLDDIIVCTRNPLNQHLIPLYLHLPPNNTTMRLVVVDGNSKAAKKFSI >Ma05_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4781607:4789083:-1 gene:Ma05_g06410 transcript:Ma05_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAQPTDTEMAEAGGQPPPSAAAPPSQGLENIQETLSHGGRFIQYNMFGNVFEVTSKYKPPIMPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHLDHENIVAIRDIIPPPVRETFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSASVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHPRQSFPEKFPHVHPTAIDLVERMLTFDPRQRITVEDALAHPYLASLHDISDEPVCMMPFSFDFEQHALSEEQMKELIYREALAFNPEYQQ >Ma05_p06410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4781573:4789083:-1 gene:Ma05_g06410 transcript:Ma05_t06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAQPTDTEMAEAGGQPPPSAAAPPSQGLENIQETLSHGGRFIQYNMFGNVFEVTSKYKPPIMPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHLDHENIVAIRDIIPPPVRETFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSASVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHPRQSFPEKFPHVHPTAIDLVERMLTFDPRQRITVEDALAHPYLASLHDISDEPVCMMPFSFDFEQHALSEEQMKELIYREALAFNPEYQQ >Ma06_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2288326:2295447:1 gene:Ma06_g03080 transcript:Ma06_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIQLGTHTVRSHGTQVARFHMHDWFILILLAIIDGCLNIIEPFHRFVGRDMMTDLRYPLKSNTVPFWAVPLIGILLPFAIIIGIYVKRKNVYDLHNAVLGLLFSVLITGVLTDAIKDAVGRPRPDFFWRCFPDGKAVYDNITTNVICHGDNSVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKLKAFDRRGHVAKLCIVFLPLLCASLIAISRVDDYWHHWQDVFTGGFLGLVIASFCYLQFFPPPYDIDGWFPHAYLHAMADSRHNDQPTANPLQSRPSEIETVYVSSEGQDGIHSRDTSSILDSMEAGRRQ >Ma06_p03080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2288332:2295447:1 gene:Ma06_g03080 transcript:Ma06_t03080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIQLGTHTVRSHGTQVARFHMHDWFILILLAIIDGCLNIIEPFHRFVGRDMMTDLRYPLKSNTVPFWAVPLIGILLPFAIIIGIYVKRKNVYDLHNAVLGLLFSVLITGVLTDAIKDAVGRPRPDFFWRCFPDGKAVYDNITTNVICHGDNSVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKLKAFDRRGHVAKLCIVFLPLLCASLIAISRVDDYWHHWQDVFTGGFLGLVIASFCYLQFFPPPYDIDGWFPHAYLHAMADSRHNDQPTANPLQSRPSEIETVYVSSEGQDGIHSRDTSSILDSMEAGRRQ >Ma06_p03080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2288430:2295447:1 gene:Ma06_g03080 transcript:Ma06_t03080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITEAEDGEGEGSVSACSDEPLLPCPHNTLSLIRQRKSEKMPDIQLGTHTVRSHGTQVARFHMHDWFILILLAIIDGCLNIIEPFHRFVGRDMMTDLRYPLKSNTVPFWAVPLIGILLPFAIIIGIYVKRKNVYDLHNAVLGLLFSVLITGVLTDAIKDAVGRPRPDFFWRCFPDGKAVYDNITTNVICHGDNSVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKLKAFDRRGHVAKLCIVFLPLLCASLIAISRVDDYWHHWQDVFTGGFLGLVIASFCYLQFFPPPYDIDGWFPHAYLHAMADSRHNDQPTANPLQSRPSEIETVYVSSEGQDGIHSRDTSSILDSMEAGRRQ >Ma05_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12181282:12182267:1 gene:Ma05_g15760 transcript:Ma05_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGYEPRINHFSHPHPLELASLQQSLTPPTCAGCTFRASGWAYSCKACNYTLHISCAEMPQRIRHPAHPQHSLTLLTVPAYKEGSFNCDACGHDGAGFSYHCEPCGVDLHSLCASMPLSVSHGAHEHPLSLVFSVPYENNGFSCDLCGGMGSNHWLYRCAMCEFDAHIGCATANMLQAEPSPPPTLPRRHTVPRPRTLQQQTPYSVGVGMGMGVSNGVWQGGVPVGYPRMPGMNHAGRGRGSGGLITQVVRGFVTSAAQQIGQSLMQNIFEGGGGDGGSAMDVGSGVDGSDE >Ma05_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10230486:10236811:1 gene:Ma05_g14070 transcript:Ma05_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSETDCKGAKTSATQEQPPAASSSPGAAVYPDWSGFQAYSPVLPHGFFHSPVVSSPQSHPYMWGAQHLMPHYGTPPPPYVMYPHGIYAHPSMPPGSHPFSPYAMTPPNGNAEACGSVPASTGGDAKSSEGKERNPIQRSKGSLGSLNMITGKNNNELDKGAANGVFSRSGDSESEGSSEGSDTNSHNDSEPKTGDGHSPLDETSLNGTSGVITAPSHQMVPIMPMLAAGVPAAVAGPTTNLNIGMDYWVAPTPSVIPPVRGKVPATTNAGATIPGTLVGSSEKVPSEIWLQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEVLKEENTALRAEVDRIKKEYDELLSQNTALQKKIEEKTKEDAIVEMNRQQAEDKNLDSDPQAGQLDGKHSGH >Ma06_p27580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29540872:29542223:-1 gene:Ma06_g27580 transcript:Ma06_t27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAADLPPGFLFFPSDEELVVHFLYHKTALLPFHPDIIPTLDLHRCDPSNFHGKAFQGGNRCWYFFTSRSEVRASVSGYWNPVGTDEVVISGNKDVGVKTTLKYYIGQPPDGIRTNWLMHEYRLLNDTVHGGSSTCSSTRRERGQPEFNKWVICRAQECCSQASAHDDGGGGTELSCLDEVFLSLDDLDEVSLPN >Ma11_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23910656:23926467:1 gene:Ma11_g18870 transcript:Ma11_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLGQKQRPHEFPSITLSHLSSPAPSSASASASFAEDNSGCPRLRIHQLSSPDPVFDLDLQNIQIFKLGPLELLCASEETGGDDSEEKSFSRAFKIGFGTKVECKSFYSACEQWKLGVVGARTGEPLANGRLTVPKSKFDNKIDTTSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRVDFHGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARRLVTGNPSLGQRITVIKGKIEEVELPEKADILISEPMGTLLVNERMLETYVIARDRFLIPDGKMFPSLGRIHMAPFSDEYLYVEIANKALFWQQHNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVSPPIIHTLDFTSMKEEDLYEIDIPLNFVSSVGTRVHGLACWFDVLFNGSSVQRWLTTAPGAPTTHWYQLRCVLAQPIYVMAGQEITGRFHLVSHSAQSYTIFLTMSAKMWGAGADQGGILQTSSCKFDLKEPYYRLSQPQPYVLQQDQQSNQQLMQSQEISPSIQDGTGPSTAVIPSHIPESLNQ >mito10_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000021.1:5596:5805:-1 gene:mito10_g00010 transcript:mito10_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFCPTSYYTSKGFKFYTEVDHALRSIWRRLSERSKDEVIGVLIHSLLCHVSWVKNVVSQFGSYLLYD >Ma03_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10691875:10696811:1 gene:Ma03_g13590 transcript:Ma03_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPPIQLVVLPRQEVGYMYCRKLNFISYNVALLTNWSVPCAVNLVTALDGLSVPMHSLIVDMKLGFIHSCETGFTVYLI >Ma03_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1166263:1167469:1 gene:Ma03_g01680 transcript:Ma03_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRMATWVQGQLRRLLVEHPVIESFEWRPNETFGASVPFVATAVATYLTLVFLFGRSIIPLPHPSPALLRLLSSAHNLLLLILSAAMAAGCALSATARLPSPRWLFCFPPSAIPRAGPLFFWAHVFYLSKLYELGDTLLILLAVPRRRLTFLHVYHHAVVVVMCYVWLATAQSLMPIALVTNAAVHVVMYAYYFSSSAGRRWPPRWKRAVTDLQIAQFVFSFLVSGIFLWYHFTGGGCEGMRGWLFNAVFNASLLALFIDFHLKAYKEAKKKPKTKAAAAASPKAAES >Ma08_p30110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41510796:41520408:-1 gene:Ma08_g30110 transcript:Ma08_t30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATAFLSSHSLSATALRQSRVLAVGFLHPRFIRHETARFSPLFHGSFVSVGGFLGTMRGNCPQTSINSADMLGSSSRMSDQESVPMPIVLIDQDSDSDATIVQLSFGDRLGALVDTMRSLKDLGLDVTKGTVTTEDSVVKTKFFIMRAGRKVEDPAMLEKIRLSIINNLLKYHPESSERLAMGEVFGVKPPEKKLDIDVATQVFLQDDGPKRSLLYIETADRPGLLLEIIKIITDINIDVESAEIDTEGLVAKDMFHVSYRGAALNSSLSQVLTNCLRYYLRRPETDEESY >Ma10_p30250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36599560:36608657:1 gene:Ma10_g30250 transcript:Ma10_t30250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMASPLAARPSALLRRRPIPALSRRRLISATPLRPPPQPSAAYPPSIRHRRRRRPKPSLFPARSSSSQSDSPNPASSPLPLPPPREGAKLIPLAISLAVGLAIWFLVPTPAEVTPQAWQLLAIFLSTITGFILGPLPIAAWAFLGLTASVATKTLTFSAAFDAFENEVIWLIVISFFFARGFVKTGLGDRIATFFVKWLGKSTLGLSYGLTFSEAFIAPAMPSTTARAGGVFLPIIQSLSLSAGSEPGDRSAGRLGSYLVMSQFQSSSNSSALFLTAAAQNLLCLKLAEELGIHIASPWLSWFEAASLPAIASLLVTPYILYKIFPPEIKDTPDAPAIATKRLEEMGPATRNEWVMICTMVFAVSLWVFGDALGISSVVAAMLGLSILLLFGVLNWDDCLSEKSAWDTLAWFAVLVGMAEQLTNLGIVTWMSNCVAESLQHFSLSWPAAFCILQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPSVLATLALAYNTNLFGALTHYSSGQAAVYFGVGYLDLPDIFRLGFITALINALIWASVGTFWWKFLGLY >Ma10_p30250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36599560:36608657:1 gene:Ma10_g30250 transcript:Ma10_t30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMASPLAARPSALLRRRPIPALSRRRLISATPLRPPPQPSAAYPPSIRHRRRRRPKPSLFPARSSSSQSDSPNPASSPLPLPPPREGAKLIPLAISLAVGLAIWFLVPTPAEVTPQAWQLLAIFLSTITGFILGPLPIAAWAFLGLTASVATKTLTFSAAFDAFENEVIWLIVISFFFARGFVKTGLGDRIATFFVKWLGKSTLGLSYGLTFSEAFIAPAMPSTTARAGGVFLPIIQSLSLSAGSEPGDRSAGRLGSYLVMSQFQSSSNSSALFLTAAAQNLLCLKLAEELGIHIASPWLSWFEAASLPAIASLLVTPYILYKIFPPEIKDTPDAPAIATKRLEEMGPATRNEWVMICTMVFAVSLWVFGNCLFHRDALGISSVVAAMLGLSILLLFGVLNWDDCLSEKSAWDTLAWFAVLVGMAEQLTNLGIVTWMSNCVAESLQHFSLSWPAAFCILQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPSVLATLALAYNTNLFGALTHYSSGQAAVYFGVGYLDLPDIFRLGFITALINALIWASVGTFWWKFLGLY >Ma10_p30250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36599560:36608657:1 gene:Ma10_g30250 transcript:Ma10_t30250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMASPLAARPSALLRRRPIPALSRRRLISATPLRPPPQPSAAYPPSIRHRRRRRPKPSLFPARSSSSQSDSPNPASSPLPLPPPREGAKLIPLAISLAVGLAIWFLVPTPAEVTPQAWQLLAIFLSTITGFILGPLPIAAWAFLGLTASVATKTLTFSAAFDAFENEVIWLIVISFFFARGFVKTGLGDRIATFFVKWLGKSTLGLSYGLTFSEAFIAPAMPSTTARAGGVFLPIIQSLSLSAGSEPGDRSAGRLGSYLVMSQFQSSSNSSALFLTAAAQNLLCLKLAEELGIHIASPWLSWFEAASLPAIASLLVTPYILYKIFPPEIKDTPDAPAIATKRLEEMGPATRNEWVMICTMVFAVSLWVFGEKSAWDTLAWFAVLVGMAEQLTNLGIVTWMSNCVAESLQHFSLSWPAAFCILQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPSVLATLALAYNTNLFGALTHYSSGQAAVYFGVGYLDLPDIFRLGFITALINALIWASVGTFWWKFLGLY >Ma06_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1817419:1824901:-1 gene:Ma06_g02340 transcript:Ma06_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWARSLVNSLRGDDEEDEESADEETKEVGITPTRGVKDDLSDLTQTLTRQLWGVASFLAPAPFGPDGSDRSVSAIDFAEIGGTFKIGISSVLSHTKAVAEISKIASSLLPFGSDEEDGEADGVGIDAVGVNEEVLAFARNITMHPETWLDFPLPPGDEDSDDFEMSDAQLEHALAIERLAPEFAAMKAELCPSQMTEGCFWKIYFVLLHSRLNVHDAEFLSTSQIVEARSILLQDLHAWTKPESERLETEASYGKYDFATVSVEENVMGSSSAVNAVSPAALSFGEPASDSMRDTETGKHPHPVQTDEVKIVDKSVIEEELANQSKRKEISSQTSRDPVERYEEDGDEWLEDDTGEASKGGGLTIPLGQEDDVSFIHFLDTIQMVVERICETCEIVVKMGIHLVPRVAGESIFVAQDRV >Ma07_p25680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32672339:32676690:1 gene:Ma07_g25680 transcript:Ma07_t25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGLIALVLLFGLLNSVRVARSKLDDAVGFLERAMEPEFFDWMVGVRRRIHENPELGYEEFSTSELIRKELDALGIRYQHPVAVTGVVGYVDTGKPPFVALRADMDALAMQENVEWERKGQGKMHACGHDAHVAMLLGAAKILQEHRDDLPGTVVLLFQPAEEGGGGAKKMIDAGVVENVDAILGFHITNDVPLGVVQSRPGPTIAGSGTFEAVISGKGGHAAISQHTIDPILAASNVIVSLQHLVSREADPLDSQVVTIAKFQGGKAFNVIPDSVTIGGTFRAFSKERFFQLKQ >Ma03_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6009301:6011641:-1 gene:Ma03_g08330 transcript:Ma03_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKMLLLLVLVWSGFGCTSVHGRFHYGIARPPMAEPPSQAVVPANSISLPPALAPQPVHPSYSGNSANSTSTGYSGNSTNTTSAYPPSEPIGAGYSGNSTNSTSTSTPVSSPPPLPAPVDAGTATRNSSNATAVLDVRAFGAVGDGVADDTEAFKTAWDIACQDGPGTILVPQGYAFKILSTIFAGPCHSELTFQVDGTIMPPDGPDEWPRNNNRRQWLVFYRANGLKLQGRGVIDGRGEKWWNLPCKPHKGPNGTTLSGPCDSPVALRFFMSSNLIVHGIRVQNSPQFHFRFDNCRNVTVDAISISSPALSPNTDGIHVENTEMVGIYNSVISSGDDCISIGAGSINIDIRNVTCGPSHGISIGSLGTKNTRACVTNITVKNSVIRHSDNGVRIKTWQGGSGSVSSVSFDNIRMDAVKNPIIIDQYYCLSKSCKNQTSAVYVSDVSYTGIKGTYDVRSPPIHFGCSDSVPCTNITLSEVVLLPAQAYFISNPFCWNVYGVMATQTIPPVSCLLEGFPSSIMAIGSDRCY >Ma10_p22130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31614133:31617309:-1 gene:Ma10_g22130 transcript:Ma10_t22130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGAFQSYLVQQQMEGGPTWWSMKNMKPPPELTFPLLPSSSSPVFPEYAQPSSTEMTPWQHSQDLPESWYQLLLGGIVGEEEKYGSTPFQTKKMEICADQPDQVPYPSATAQIADVKRVPLGNGNVHSRGSEEIQVSGSAWSRILPASSPRSCITTSFSTNMLDFSNNSGRRPENSSECNSTETAPASKKVRVQGSSSPKSILKVRKEKLGDRITALHQLVSPFGKTDTASVLQEAIGYIRFLQCQIEALSSPYLSSGSGNMRLPSSNGSGKNKSGPQDQERNDEAKKDLRSRGLCLVPVSFTMHVGSDDGAGFWAPTLGGGF >Ma10_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31614133:31617311:-1 gene:Ma10_g22130 transcript:Ma10_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGAFQSYLVQQQMEGGPTWWSMKNMKPPPELTFPLLPSSSSPVFPEYAQPSSTEMTPWQHSQDLPESWGGIVGEEEKYGSTPFQTKKMEICADQPDQVPYPSATAQIADVKRVPLGNGNVHSRGSEEIQVSGSAWSRILPASSPRSCITTSFSTNMLDFSNNSGRRPENSSECNSTETAPASKKVRVQGSSSPKSILKVRKEKLGDRITALHQLVSPFGKTDTASVLQEAIGYIRFLQCQIEALSSPYLSSGSGNMRLPAQGNMSCLFSEDPGQSSNGSGKNKSGPQDQERNDEAKKDLRSRGLCLVPVSFTMHVGSDDGAGFWAPTLGGGF >Ma10_p22130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31614133:31617311:-1 gene:Ma10_g22130 transcript:Ma10_t22130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGAFQSYLVQQQMEGGPTWWSMKNMKPPPELTFPLLPSSSSPVFPEYAQPSSTEMTPWQHSQDLPESWYQLLLGGIVGEEEKYGSTPFQTKKMEICADQPDQVPYPSATAQIADVKRVPLGNGNVHSRGSEEIQVSGSAWSRILPASSPRSCITTSFSTNMLDFSNNSGRRPENSSECNSTETAPASKKVRVQGSSSPKSILKVRKEKLGDRITALHQLVSPFGKTDTASVLQEAIGYIRFLQCQIEALSSPYLSSGSGNMRLPAQGNMSCLFSEDPGQSSNGSGKNKSGPQDQERNDEAKKDLRSRGLCLVPVSFTMHVGSDDGAGFWAPTLGGGF >Ma06_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7964805:7968376:1 gene:Ma06_g11400 transcript:Ma06_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFKEQAIEYVKQAVLEDNAENYVKAFPLYMNALEYFRTTSSTRRTPRSRRPSPRSSPSISAALRTSAPCSTAAAPDPPPTGTRPCPSVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLSGPPGTGKSYLAKAVATEAGSTIFSISSSDLVSKWMGESEKLVSNLFEMARENAPSIIFIDEIDSLCGQRGEGNEIEASRRIKTELPCADAGCRKQRSESPHSCRHKCTLCSGLDTFITQAILQRFDKWIYIPLPDLKGRQHMFKVHLGDTSHNLSESDFVNLARRTEGFPS >Ma10_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27190350:27199328:-1 gene:Ma10_g14920 transcript:Ma10_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWRVLRVTLLLFLLVRCLSVASITLIDDADDDLEGLEELLAIDEEEEQKGGGGDGGGAPSRSSEAELLRRAQRIVLELSNENAKKVVDSNEAVLLLGYTPWCPRSAELMPRFAEAATTLREMGSSLVVAKLDAERHAKAASLLGIKGFPTILLFANGTALSYRGGFTKEEIVIWARKKTGVPVIRLSSINDAEEFLRQHQIFIVGLFEKYEGPEHVEFVKAATTDNEIQFVETNDINIAKVLFPEVGTEKKFIGLVKSEPERYEKFVENFEEQKILQFVDYNKFPLVTVLTELNSARVYSSAIKLQVFIFAAANEFKDLYPLLQDVARPYKTNIMFVYVDNAEDNLAKPFLTLYGLEAEKPIVTAFDNRIGSKYLLESDLTRNTLEEFCSGLLHGYLPPYFKSEPIPNEKGLIEKVVGKTFDASVLDSSENIFLEVYTPWCFDCEATSKQIEKLAKHFKGLENLKFARIDASSNEHPKLQINNYPTLLFYPARDKSNPIKVSKKSSLKELIAFINKNIRSDDGRGITDSDHNKKDEL >Ma00_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:42215672:42216629:-1 gene:Ma00_g04900 transcript:Ma00_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSMVLLSISSLLTDPTQTLMIHWCRKLLACTRPIVSSTRRPPDLGPRNMPCDKIIQLKTSVASVSESAWLVSRADVRNFQWNKWDDEVVAPLEHFG >Ma09_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36284467:36288491:1 gene:Ma09_g24630 transcript:Ma09_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHLSLLVLFSVAFLFFLATSDGDADLEALIKLKAALVAPGSSALGNWDPASADYCSFTGVACDENSRVVALNFTGVQLNGTLPPEIGILSGLVNLTVSCSGVVGPLPMELAALPSLRLLNVSNNNFSGAFPHVGPGGFPTLEVIDAYNNNFSGRLPLGLAAAPSLRYLHLGGNYFNGTIPKEYSDIKNLEYLGLNGNALTGRVPASLSRLSKLKEMYIGYYNMYVGGIPPELGMLSSLVRLDMAGCGLSGPIPASLGSLKHLDTLFLQINRLSGSIPPELGGLSMLESLDLSINELTGEVPESFAELKELKLLSLFRNHIRGAIPPFVAELPNLEVLELWENNFTMQLPESLGRNGRLLKLDVASNRITGTIPPDLCASGRLETLVLMENGFFGPIPEKLGECKSLTRVRLAKNFLNGSIPAGLFDLPSNDMLELSENFLSGDLPPVIAGNKLGMLALSNNLITGSIPPAIGSLPALQNLALDSNQISGAIPPEIGQLKQLSKLNLSGNSLSGDIPVDLTRCSSLVMIDLSRNNLTGAIPVRIPALQILNTLNLSRNQISGVIPPEMQRMRSLTIFDLSYNRLAGVIPAQGQFLVFNESWFVGNPGLCGPPLHVRYPCGADGGGDGSSGEGHPRQRRWDAKRALPLAGLAAAAGVPLAAAAAKGWRLWRERKGRSKAWKMTAFQRLDFTVEDVMECLKDDNVIGKGGAGIVYRGSMACGTEVAIKRLVGRGAGAEHDRGFTAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPGGSLGEMLHGSKGAHLGWEARWRIAAEAARGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLHHPGASECVSSIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVRWVRKTVLEAAETTDSAAILLIADKRLTSTPLDLITNLFKVAMLCVEEQSTARPTMREVVHMLSNPKSPPPPPPPTDLLSL >Ma09_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9495395:9505560:-1 gene:Ma09_g13970 transcript:Ma09_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNGEELAVGCVLSLRTTLGDEIEGQIIAYDRPSNILVIQEGSSKAGPRRNIRLLKANYIQDFTYLRKAEDPIDPNKCYIDLAGLQAREEASLRQAEIEAERIGVGVTSEAQSIFDALSKTLPVHWDKTVIVVMNEVRVSSPYLPENVIGGTPAANDRVKKVLDFERKRLQVRGPG >Ma04_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:251031:251573:1 gene:Ma04_g00200 transcript:Ma04_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSISAPLAEAPPSAAAVGLGYGIAIAVGILVLVSTIMLASYVCVRVKGGAHRPFPAPSLPVRPAASAPGDAAAVVVVTTLGLDGPAIEAFYPKFVCGQGGASAPAGPCPICLAEYEAGEALRRAPDCGHCFHAGCVDEWLRVSATCPLCRSSPVPSAATTPVATPLSELIPLAAHAR >Ma06_p28870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30429322:30447249:1 gene:Ma06_g28870 transcript:Ma06_t28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGPVTAGQVSFLLGFFPVFIAWLYSEILEYRRSSSLTKVHSDVNLVDLDKEAAEEDDRAALLERGLSRSSSTRFHNASVRANLIRFISMDESYLLEHRAVLRSMSEFGAILVYFYICDRTNLFSQSTKSYSRDLFLFLYIILIIASALTSLKKHLDKSAFTGKSILFLNRHQTEEWKGWMQVLFLMYHYFAATEMYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNIFVSFCCLVLNNDYTLYYICPMHTLFTLMVYGALGIFNKYNEIAPVMTIKIICCFLVVILIWEVPGVFDLLWTPFTFLIGYKDPDPKKANLPLLHEWHFRSGLDRYIWIVGMLYAYYHPTAERWMEKLEESDTKRKLSIKTSIVSISLLVGCLWYEYIYKLDKITYNKLHPYTSWIPITVYICLRNFTQQLRSYSLSLFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLCFIPSYPLLNFLLTTAIYLFISYRIFDLTNLLKATFIPSKDSKRLSHNFISGAIICVCLYFISLVFLHIPGISG >Ma07_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:462143:468975:-1 gene:Ma07_g00540 transcript:Ma07_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKLLTLSRRPLLLSSRSASTAAALAFPDLAAPAPARPPVMHYDRLAEAVRSKIKRLDDPDPRFLRYASPHPALADHTSVLAAPQTRVTTLPNGLRIATESTLSSRTATVGVWIDAGSRFETDETNGTAHFLEHMIFKGTQSRTVRQLEEEIENMGGHLNAYTSREQTTYYAKVLDKDVPKALEILADILQNSCFDEKRIERERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNIKTITKEHLKNYISTHYTAPRMVISAAGAVKHEDIVEHVKKVFTKLSNDPTTASELVAKEPAIFTGSEVRIIDDDIPLAQFAVAFSGASWTDPDSIALMVMQSMLGSWNKNAGGGKHMGSELSQRIAINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMSEISKLSYRVSEADVTRARNQLKSSLQLHIDGTSPVAEDIGRQMLTYRRRVPVAELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIQSLPDYNWFRRHTYLLRY >Ma03_p27680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30972155:30973737:1 gene:Ma03_g27680 transcript:Ma03_t27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAAFIVLVVSAAVLPSPATADGVGVNWGTMMSHPMLPTTVVQMLKDNGIRKVKLFDADPWTVGALAGTAIEVMLAIPNDQLQRMCKHKHAAEWVKENVTQYDHEGGVHIKYVAVGNEPFLKSYNGSFINSTFPALKNVQSALDEAGVGDRIKAVVPLNADVYFSPANDPVPSAGSFRKDIEELMVDIVRFLNSNGAPFVVNIYPFLSLNQNPNFPVEFAFFDGGSQPVTDKGAQYTNVFDANYDTLVWSVKKAGVPDMKITIGEIGWPTDGVKNANVDYAKRFFDGFSRKMAKKEGTPLRPGPLDFYLFGLLDEDMKSVLPGNFERHWGIFTFDGKPKFPMDLSGKGKDEYLVGAKGVQHLPAQWCVLNVEDRGNFRSIPSSVNYACANADCTALGYGSSCNGLSSEGNVSYAFNSYFQTMDQDVRACNFDGLATIVTTNMSTGSCLFPVQILSNAQKTAALSFMALLMTVAAAGLA >Ma08_p34840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44833041:44853658:-1 gene:Ma08_g34840 transcript:Ma08_t34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPMELLRSHLSRVRIPEPTNRIYKSECCISFDTPRSEGGLYVDLSSFLAFGKEYVGWNYEKTGNPVYLRIQQRPKPVPEDRPLKKPTLLAIGVDGGFDYQETDYEETHNIVILPDYVSLPFPSVELPEKVRLAVDAILIAEGAERKEQLAAWTAEKKKVSAYVMNLQQINSGVVVPPSGWKCCKCDKTDNLWLNLTDGMIFCGRRNWDGSGGNNHAIEHYNETKYPLAVKLGTITADLVGADVFSYPEDDTVEDPLLAQHLAFFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVEPLFGPGYTGLVNLGNSCYMASTIQVVFTTRAFISRYYEHQSLKMAFAMATSDPTLDLNMQLTKLAHGLLSGKYSVPIQEKQEGVPPRMFKTVIAANHPEFSSMRQQDALEFFLHFLDQVERVNSGKPELDPSRSFKFIIEERLQCPSGKVAYNKRSDYILSLSIPLHEATNKEQLEAFHKMKAERKLEAKDIDRDEIVRPRVPLEACLASFSAPEEVHDFYSTSLNAKTTAIKTANFATFPDYLVLHMRKFVMEEGWVPKKLDVYIDVPDVIDITHMRGKGLQPGEELLPETGPDGDVEHTHLVASEDIVSKLAAMGFNYLHCQKAAINTANAGVEEAMTWLLSHMNDPDIDDPISHRSDAMELQFVDETSVETLISFGFQEDVARKALKASGGDIEKATDWIFSHPEASASADTDATSSGMQAGDPGVPDGIGRYKLMALVSHIGTSTHCGHYVAHVHKDGRWVIFNDSKVGASVDPPKDMGYLYFYERIDG >Ma11_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24556642:24558725:-1 gene:Ma11_g19870 transcript:Ma11_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVAHVYDVTNSPSAKTNNTVTRINCIFKDCIGLGGIFHSAIQVYGAHEWSFGYRERGSGVFHCSPGQNQCYTYRQSIVLGETHCSQYEVNKILRELIRAWTGDSYDPLAKNCNHFSDAFCEKLGVRKLPGWVNRFANTGNSAMGITQSTASRLRQAKAEIGSAIKVASRFISGRASNSPRKPKSQSNRNQGSPHDMTSRLKHMISFCSTSQIPDETADPPKSS >Ma09_p20260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28937967:28945869:1 gene:Ma09_g20260 transcript:Ma09_t20260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFLNGATKAELQSRFSASIRSNSTTSTERDMRRSGSELNSRDVSSDAADYMERPLYPSFSQITSNLRVFTFSELRTATRNFSRSLMVGEGGFGCVYKGTIKSLEDPNANIEIAVKKLNRKGQQGHKEWLTEVNVLGVVEHPNLVKLIGYCADDDERGLQRLLIYEYLPNGSVEDYLSPQCKRTLSWSMRLRVALDSARGLAYLHEEMDFQIIFRDFKTSNILLDKDWNAKLSDFGFARQGPTEGISHVSTAVVGTVGYAAPDYMQTGRLTAKSDVWSYGVFLYVLITGRRPIDRKRPKGEQKLLEWVKPRISDAKKFHIIMDPRLAGEYSLKSAIKLASVANKCLLRQPNSRPKMSEVLEMVHKIVKSTETGEPQPPLRNCDSEQGNPEAAEKKGPRKTLKILGGMQSVWQGCGSKLVNIF >Ma09_p20260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28937967:28945869:1 gene:Ma09_g20260 transcript:Ma09_t20260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFLNGATKAELQSRFSASIRSNSTTSTERDMRRSGSELNSRDVSSDAADYMERPLYPSFSQITSNLRVFTFSELRTATRNFSRSLMVGEGGFGCVYKGTIKSLEDPNANIEIAVKKLNRKGQQGHKEWLTEVNVLGVVEHPNLVKLIGYCADDDERGLQRLLIYEYLPNGSVEDYLSPQCKRTLSWSMRLRVALDSARGLAYLHEEMDFQIIFRDFKTSNILLDKDWNAKLSDFGFARQGPTEGISHVSTAVVGTVGYAAPDYMQTGRLTAKSDVWSYGVFLYVLITGRRPIDRKRPKGEQKLLEWVKPRISDAKKFHIIMDPRLAGEYSLKSAIKLASVANKCLLRQPNSRPKMSEVLEMVHKIVKSTETGEPQPPLRNCDSEQGNPEAAEKKGPRKTLKILGGMQSVWQGCGSKLVNIF >Ma09_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28937967:28945869:1 gene:Ma09_g20260 transcript:Ma09_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLFLNGATKAELQSRFSASIRSNSTTSTERDMRRSGSELNSRDVSSDAADYMERPLYPSFSQITSNLRVFTFSELRTATRNFSRSLMVGEGGFGCVYKGTIKSLEDPNANIEIAVKKLNRKGQQGHKEWLTEVNVLGVVEHPNLVKLIGYCADDDERGLQRLLIYEYLPNGSVEDYLSPQCKRTLSWSMRLRVALDSARGLAYLHEEMDFQIIFRDFKTSNILLDKDWNAKLSDFGFARQGPTEGISHVSTAVVGTVGYAAPDYMQTGRLTAKSDVWSYGVFLYVLITGRRPIDRKRPKGEQKLLEWVKPRISDAKKFHIIMDPRLAGEYSLKSAIKLASVANKCLLRQPNSRPKMSEVLEMVHKIVKSTETGEPQPPLRNCDSEQGNPEAAEKKGPRKTLKILGGMQSVWQGCGSKLVNIF >Ma06_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13291112:13295188:-1 gene:Ma06_g19410 transcript:Ma06_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MAAAAAASDAEGERQGGDPKAWKWKIRKRVWDLLEAEDIARNPRPVHHRIPNFAGAALAADSLGKLDVFQKAQCVKVNPDSPQKQVRFLTLTGGKKLLTPQPRLRTGFFSVLASQTLPSGSILEACTSVGAAKYGRPIGLDEKIKVDLIVIGSVAVDPTTGARLGKGEGFAELEYGMLRYMGAIDDNAMIVTSVHDKQLVDDIPVEKLLVHDVPVDIICTPTQIIYTNTRIPKPQGIYWEKLSPEKLGQIRILRELKRRIERETGQLLPTGPSEKLPPTARRRR >Ma10_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30672145:30674097:1 gene:Ma10_g20570 transcript:Ma10_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHAMRKAQRARGPATIMAIGTANPPNLYEQSTYPDYYFRVTNSEHMQELKHKFRRICEKTMVKRRYLYLTEEILKERPKLCAYMEPSFDDRQDIVVEEVPKLAKEAAAKAIKEWGRSVSDITHLVFCSISGIDMPGADYRLAKLLGLPLSVNRIMIYSQACHMGAAMLRIAKDLAENNKGARVLVVSCEITVLSFRGPDEHDFQALAGQAGFGDGAAAVIVGADPIQGVEKPIYEIMSATQVTVPESEKAVGGHLREVGLTFYFFSQLPMIIADNIEHSLTEAFKPLGIANWNDIFWVAHPGNWAIMDAIERKLGLQPEKLSTARHVFSEYGNMQSATVYFVLDEVRKRSVTQERSTTGDGLRWGVLFGFGPGLSIETVVLRGVPR >Ma10_p28460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35329599:35330238:1 gene:Ma10_g28460 transcript:Ma10_t28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWPGDWNCRSCQHFNFSRRSSCQNCGELRSSSDFPDHGCFGGGRGESLNGLGGSNIRPGDWRCACGGHNFASRSICHSCGAFKEDSAVGCFDGDDVSNSNGGRAEWKSGDWLCTRSGCNQHNFASRRECFRCKAHRGCGKRHFDQPTLI >Ma04_p35230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34099833:34101204:1 gene:Ma04_g35230 transcript:Ma04_t35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLMRDYEIGEEIGRGRFGVVRRCRSAATGEEFALKSIEKCLLADAVDRECAEREPKVHHLAASGNPHAVQIHAAYEDEAWVHLVVELLDGPDLCDRIAARGGTPFAEPEAAALVASLAEAVAACHRGGVAHRDVKPDNVLFDARGRLKLADFGSAECFVGDGGDRVPMKGIVGTPWYVAPEVVAGKAYGEKVDVWSLGVVMYMLLAGGLPPFYGETAAETFEAVSRANLRFPPRVFRSVSPAAKDLLRRMLCKDVSRRFSADQVLRHPWITNGGMSPAEGATRDASLSADRHDGTLLACVACD >Ma05_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2218147:2220145:1 gene:Ma05_g03100 transcript:Ma05_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDPINLAVHVARGRWFTLFASFLIMTASGATYIFSAYSAAIKSSLAYDQRTLNTISFFKDLGSSVGVLPGLVNEVAPPSVVLATGAAMNFFGYLMIYLAITGRTPRPRLWQMCLYICVGANSQAFANTGALVACVRNFPDSRGAVLGLLKGFVGLSGAIFTQLYLAFYGHGGDPRSLVLLVAWLPAAVSLVFLHTIRYMKPPPHRHQSHEFKVLCSLLYITLALAGFLMAVIILQSRFEFSQRAYTAGSVVVLILLFLPLVVVAREEASNWKRGKPPIGGPPLESKPIDPKASITSPKKNSVTSSSALADVFRAPKRGEDYSILQAIASVDMLIIVIATICGVGGTLTAIDNMGQIGQSLGYDAQSVATLVSLISIWNYAGRVVAGFASEILLVKYRLPRPLLLTLVFLLSCAGHLLIAFGSIPASLYAASVITGFCFGAQVPLFFAIISEVFGLKHYSTLHNFGGAASPIGSYILNVKVAGQLYDRTAVRQNAIGNMSNSSFASSSSESSLATCVGEECFKLAFIIITAVTMAGAAVMLVLVWRTWEFYRGDIYSRHRGGGEAKKELEEKEEMVPMLEQRLD >Ma08_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1217428:1218308:-1 gene:Ma08_g01310 transcript:Ma08_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPPGYRFYPTEEELIGFYLRNKLEHRGEDVMEQVVPVAHVHRFDPWQLPPISGEPCRRDEEQWFFFCPRQEREAHGGRPTRITASGYWKATGSPTLVYSSMNRVMGKKRTMVFYRGRAPNGTKTQWKMNEYRALEESAASTIHSSAAQKFRSEFTLCRIHTKSGSSRSFDRRPSEAVAAANTDAQKPVSAKRSLSHDSTSSDGNGSQRALRRRGEDDARLDNDNSELLQDWF >Ma04_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10007694:10029976:1 gene:Ma04_g13240 transcript:Ma04_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSNFIDLCSDEESEEADVKDVKPILSLCVHQDKEGYSAANDQAYYKDCTIKQELEESRSPNSGSSITDQGSSSTNGIVPKFASPSPPVRLCWQFWKSGDYEVGQAISPISETGRNRLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEVKNGATFVTVEKFTNPLDRSLALLIQDDGGGMGPESLRRCMSFGFSEKQSGSSIGQYGNGFKTSTMRLGADVIVFSRFKKERIFTQSVGFLSYTFLKETGCDDIVVPTVDYEFDPPTNSFKRLYRHNQKQFSSNLSTILQWSPFTTEAELLNQFNDLGHHGTKVIVFNLWLNDDGDTEIDFQSDAKDIMINGGRRQMQKNSIENKHVANKLHYSLRAYISILYLHMPENFRIILRGEVVEPHHVANDLMYRECILYRPQVGGITEAAIVTTIGFLEGAPNVNIHGFNVYHKNRLILPFWKVANNSYGKGKGVVGVLEANFIKPTHDKQDFEKSVLYQKLENRLKEMTYEYWDLHCHLVGYNNKKQPTAVSSATALCQMPELRTSNLLQKVKNNCSMSAIMKATPTPDISSNNQVIPAVAVTNFRARCSMKNDSGLQSIKGKHESQVAETGTSEVQALYKSVGFGSINEKKQETGSGQKPMVEIQKLMLENKRLREQCLEYEEMEKELMQKAKKLKNELLEVQEVYEKLVSDLKLMEDVKIEKL >Ma10_p24520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33083354:33086816:1 gene:Ma10_g24520 transcript:Ma10_t24520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGCLRSCCSRFAGPSLTVGKGKNSQAHIRITYGFSLLKGTADHPIEDYHVAKFVRMRDQELGLFAVFDGHLGDTVASYLQKHLFTNIIKEEDFWTNPDIAIRRAYEKTDNEILSHSRDLGRGGSTAVTAILVNGSKLWVANVGDSRAVLATRREVIQMTTDHEPNSERERIETRGGFVLNMPGDVARVNGQLAVSRAFGDKCLKPHLSSDPDIQVEDTNSEAELLILASDGLWKVMNNEEAVDLARKFKNPLSAAKRLTLEAFNRDSKDDISCIVVRFKR >Ma10_p24520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33083354:33086816:1 gene:Ma10_g24520 transcript:Ma10_t24520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGCLRSCCSRFAGPSLTVGKGKNSQAHIRITYGFSLLKGTADHPIEDYHVAKFVRMRDQELGLFAVFDGHLGDTVASYLQKHLFTNIIKEEDFWTNPDIAIRRAYEKTDNEILSHSRDLGRGGSTAVTAILVNGSKLWVANVGDSRAVLATRREVIQMTTDHEPNSERERIETRGGFVLNMPGDVARVNGQLAVSRAFGDKCLKPHLSSDPDIQVEDTNSEAELLILASDGLWKVMNNEEAVDLARKFKNPLSAAKRLTLEAFNRDSKDDISCIVVRFKR >Ma10_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33083354:33086816:1 gene:Ma10_g24520 transcript:Ma10_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGCLRSCCSRFAGPSLTVGKGKNSQAHIRITYGFSLLKGTADHPIEDYHVAKFVRMRDQELGLFAVFDGHLGDTVASYLQKHLFTNIIKEEDFWTNPDIAIRRAYEKTDNEILSHSRDLGRGGSTAVTAILVNGSKLWVANVGDSRAVLATRREVIQMTTDHEPNSERERIETRGGFVLNMPGDVARVNGQLAVSRAFGDKCLKPHLSSDPDIQVEDTNSEAELLILASDGLWKVMNNEEAVDLARKFKNPLSAAKRLTLEAFNRDSKDDISCIVVRFKR >Ma01_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11910252:11920590:-1 gene:Ma01_g16440 transcript:Ma01_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGAVERREGSPAGNGNGNGNGPVMAPPARPTYGDRRLRLNPNTEHKPERYDDVQSEFDPAIFSSLERHLPPSMLEVPRDAKVQFMKEILARYLPEGERNRVQRHKEYRHKLMLAYQPLHKELYNLHPSAFFVPSFLKAVNDNPEESFRNILSEPSPGIYTFAMLQPSFCELLLDEVENFEKWVNAVKFKIMRPNTMNKYGAVLDDFGLETMLNKLMEEFISPIARVFFPEVGGSTLDSHHGFVVEYGKDKDVELGFHVDDSEVTLNVCLGKDFSGGELFFRGVRCDKHVNTETQPEEILDYSHVPGQAVLHRGRHRHGARPTTSGHRINMLLWCRSSVFREMKKYQKDFSSWCGECQREKKERQRQSLAATKMAFLKGCGGSNI >Ma04_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26781950:26787726:1 gene:Ma04_g24900 transcript:Ma04_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPVVEMDGDEMTRVFWKSIKEKLIFPFVDLDIKYFDLGLPNRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFNLKSMWKSPNGTIRNIVNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDTVIKGPGKLKLVFEGKDEEVALEVFNFTGAGGVALSMYNTDESIRPFADASMATAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAEWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRGKLDDNTRLVDFTQKLEEACVGTVESGKMTKDLALLIHGSSVTRAQYLNTEEFIDAVASELRARLSA >Ma03_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6739182:6743327:-1 gene:Ma03_g09140 transcript:Ma03_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein arginine N-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) UniProtKB/Swiss-Prot;Acc:Q0WVD6] METKRVEEYERDEEEEEDEEQMEEWDDWQSEEEEESSSRCLCLFCCSRFGSAEILFDHCRSEHSFDFHHVVRELGLDFYGSFKLINYVRSRVAENKCWCCGLTLQCSRDLQNHLHPASNFEKDGNFFWEDDLYLKPYMVDDPLLHSFAGDEDEEEDPPAVDEEMMRELMTSEELPKLCNDGQSMINGDSPISDVFKETGNTEASLDSMDKISQGMMTNGMILKPCDQKQKDKILRVSLANVVARKIKNVNEKYFGSYGSFGIHREMLSDKVRTDAYRGALLNNPSLINGATVLDVGCGTGILSLFAAQGGASKVIAVEASSKMAAVATQIARDNGLLSEDSMKGEEQHSGVINVVQCMVEELDKYIYIPPNSVDVLVSEWMGYCLLYESMLSSVLYARDRWLKPDGAILPDTATLFAAGFGRGGTSIPFWENVYGFNMSCISKEVMEDASCVPIVDAIDSRDIMTESVVIHSIDLATMKIDEMDFTAIFELKLRTDPANNATSRTCPCYGIVLWFDTGFTNRFCKEMPTILSTSPYTPRTHWSQTILTFREPITMTSSDAFVSTTAAVGTEESPAVRIRARISIARSSEHRSIDISQEISGISSDDRKHSWPVQIFSL >Ma08_p31000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42060020:42060736:-1 gene:Ma08_g31000 transcript:Ma08_t31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAATGKQAQSSSSSPRYKGVRMRKWGSWVAEVRFPNSRERLWLGSHPTAEQAARAYDAAVYCLRGTRAAFNFPDQPPQIPSAERLSKEEIRAAAMQFAQEEPRRPAGEAQESGGENSTEAVGQGKGSSVTTAVEGLMMEDSFVAAGALPEWWTEEDEDAWGAVVASTDDIYSSSPLWNF >Ma06_p37190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36324799:36325650:1 gene:Ma06_g37190 transcript:Ma06_t37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTATATVLEPGESATSTHTEHWQPKLHLPSSQLSLVPPSAHHLRRRRRRPARVLRLFHSFCRTLPIFTPKCKLPDPCRIATSSPAVMPTIACTPATSLIGPDHRGRRHHDLITGTIFGYRNGRVSFSLQENPRCLPSLVIELAMHTHALLREMSAGMVRIALECEKRPVEHSKESNSQLSSNLMDEPLWAMFCNGKKSGYCVRREASEEDLSVMETLRPVSMGAGVLPGRSEEEGPDGEIAYVRAGFEHVVGSTDSETLYMMSPDDYNGPDLTIFFVRL >Ma10_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31175133:31176201:-1 gene:Ma10_g21300 transcript:Ma10_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVESGGAAFTPEVMDKGLRDRSHGATLPRVSEDGNDLCESSSFCGDSDDSVSSSSSSNAVDDATSSASSGALRLDSQGPLFELSSLMTQLPIKRGLSRYFQGKSQSFTSLSDVRCIEDLAKKETPCGKRMKTCRSYAAGLDAAQRPCFTPGPRRKALAKKGSRGPCASLLARSSSSNLLRSRKPPT >Ma02_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25981043:25982226:1 gene:Ma02_g19830 transcript:Ma02_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGDTDGNISVACTFGEQQVFPMLPVVTDDIMARRLKNRERQRRYRARKRLESDMKRSCLLGQHTALENETQVDFTTLHFNSGEISFPSETNVNIASMMTEACVYSGRKWKKDAREAHASKEPENMLHWSLVHMRSPSESETAVCYRIHQTSRRNWKADARTKSKTGSHG >Ma04_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23449717:23451532:1 gene:Ma04_g20690 transcript:Ma04_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTARYRHTSLTPFPSSSFALPLLRLALTVLAFLSPAAAGDLYNLVYKGCANQSFPGGAAAYGQALAALSSSLTARAATSEFYKTTASSANGGQSLFGLFQCRGDLSSSDCSACVSRLLPMWPSLCGYAAAARVQLSGCYALYQVSGFPQISGTQMLYKTCGSGGGGGDFEVNRDTAFSQLQSGVAGGQGFYTTSYGSIYTMAQCEGDLSNGDCTDCVAQAVQKSEVECGGATSGQIYLDKCYISYSYYANGVTSAGSGGGGNGGQTGKTVAIVVGGAAGVGFLIICLLFAGSLMRKKDDF >Ma10_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23816181:23817263:1 gene:Ma10_g09720 transcript:Ma10_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFSSHEEAHQDIILDWAFSPPPPPHYPFDPLEPIPVPSVPAKRPPPSAFVEYTASEGTAPSFRCFGDVDNIHRRVNRFLGSMEMAARPGNSDERAGRSRGFRHMMRERERREKLSQAYAELHSMLSCTSKVPIPLPCFVQSESDLISIVQSAVERLKELHQVREKLRKRGRELELMVSGNVTEAEVSSIKVDVKNCSSSMDSMISVLRRLKHMELKATAIRSTFSNRDLSVEVAIDSKVAKDKVERVMKTALAEERHWCLTCSVQNAS >Ma08_p30520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41756406:41760537:-1 gene:Ma08_g30520 transcript:Ma08_t30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESKSGVGAVAQAATSPKIVLKSIDMSEKMRNDAIDCARAAFEKHRLEKEIAEYIKKEFDKKYGQTWHCIVGRNFGSYVTHETNHFLYFYVDSKAVLLFKSG >Ma08_p21000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35015968:35021412:-1 gene:Ma08_g21000 transcript:Ma08_t21000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIRRRRQMVDEKYTRPQGMYRHREIDYKKLRKLILESKLAPCYPGLEEYAYDLEECPICFLYYPSLNRSRCCMKGICTDFWIVCIHVFFVPSLELDSSAECFLQMKPPHSTRPSQCPFCKTSNYAVEYHGAKTKEEKGMEQVEEQKVIEAQIRIRQQELQDEAERMKKRQNLSSSSRTMTPTDVGHHDISNTSTSVPSMKCSIQSSDLGSRQASCSAPASTRPSQDNNLDLDLEDVMVMEAIWLSIQEQGSQGNPSCVGSYLPRPSTSGEWHSSHGTAPMRPSSSGGLACAAAALAERQHMHGNSAVHMAIDNAAACDMLQRSGSSSPGITRFVHDNPSGRWTEISPDNGREVHGQEFGECLADHQSEVAEAGTSYNVETGAMTGPLSEGVSIPPGHFVPESFEEQMMLAMAVSLAEARARMSNHGVSLL >Ma08_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35015968:35021412:-1 gene:Ma08_g21000 transcript:Ma08_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIRRRRQMVDEKYTRPQGMYRHREIDYKKLRKLILESKLAPCYPGLEEYAYDLEECPICFLYYPSLNRSRCCMKGICTDFWIVCIHVFFVPSLELDSSAECFLQMKPPHSTRPSQCPFCKTSNYAVEYHGAKTKEEKGMEQVEEQKVIEAQIRIRQQELQDEAERMKKRQNLSSSSRTMTPTDVGHHDIMPSMKCSIQSSDLGSRQASCSAPASTRPSQLRQNRDNNLDLDLEDVMVMEAIWLSIQEQGSQGNPSCVGSYLPRPSTSGEWHSSHGTAPMRPSSSGGLACAAAALAERQHMHGNSAVHMAIDNAAACDMLQRSGSSSPGITRFVHDNPSGRWTEISPDNGREVHGQEFGECLADHQSEVAEAGTSYNVETGAMTGPLSEGVSIPPGHFVPESFEEQMMLAMAVSLAEARARMSNHGVSLL >Ma08_p21000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35015968:35021412:-1 gene:Ma08_g21000 transcript:Ma08_t21000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIRRRRQMVDEKYTRPQGMYRHREIDYKKLRKLILESKLAPCYPGLEEYAYDLEECPICFLYYPSLNRSRCCMKGICTDFWIVCIHVFFVPSLELDSSAECFLQMKPPHSTRPSQCPFCKTSNYAVEYHGAKTKEEKGMEQVEEQKVIEAQIRIRQQELQDEAERMKKRQNLSSSSRTMTPTDVGHHDISNTSTSVPSMKCSIQSSDLGSRQASCSAPASTRPSQLRQNRDNNLDLDLEDVMVMEAIWLSIQEQGSQGNPSCVGSYLPRPSTSGEWHSSHGTAPMRPSSSGGLACAAAALAERQHMHGNSAVHMAIDNAAACDMLQRSGSSSPGITRFVHDNPSGRWTEISPDNGREVHGQEFGECLADHQSEVAEAGTSYNVETGAMTGPLSEGVSIPPGHFVPESFEEQMMLAMAVSLAEARARMSNHGVSLL >Ma08_p21000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35015968:35021429:-1 gene:Ma08_g21000 transcript:Ma08_t21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIRRRRQMVDEKYTRPQGMYRHREIDYKKLRKLILESKLAPCYPGLEEYAYDLEECPICFLYYPSLNRSRCCMKGICTECFLQMKPPHSTRPSQCPFCKTSNYAVEYHGAKTKEEKGMEQVEEQKVIEAQIRIRQQELQDEAERMKKRQNLSSSSRTMTPTDVGHHDISNTSTSVPSMKCSIQSSDLGSRQASCSAPASTRPSQLRQNRDNNLDLDLEDVMVMEAIWLSIQEQGSQGNPSCVGSYLPRPSTSGEWHSSHGTAPMRPSSSGGLACAAAALAERQHMHGNSAVHMAIDNAAACDMLQRSGSSSPGITRFVHDNPSGRWTEISPDNGREVHGQEFGECLADHQSEVAEAGTSYNVETGAMTGPLSEGVSIPPGHFVPESFEEQMMLAMAVSLAEARARMSNHGVSLL >Ma11_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3018569:3020402:-1 gene:Ma11_g03940 transcript:Ma11_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNCIRRRPNLPPPPFRSYGATITTATRIHHSNSLPIKLNHRCFPSIATPSLRLNPEPVRPASFLAIVPKSASDPDAAAAGGSDLAPVPASARTFTAWDMGSLWVSLVVGVPSYYLAGSLVDLGMAWWQGVATVVLANLIVLFPLVLTGVPGTRHGVPFPVLARAAFGVRGAHVPTLLRALVGCGWFGIETWIGGEAIFLLLPSALKQSASALSVVPWLGTSPLEFSCFLIFWLAQMGIILRGMDGIRELEKYSAPILIILSSALLCWAYVKAGGFGRMLSTPCRLSSAEFRKIFFPSLTANISFWATVALNIPDFTRYARSQKDQILGQAGLPVFMGAFTFLGLAVTSATEVIFGRVISDPIRLLGQIGGLSTTIVAIFGISLATITTNIAANVVAPANALVNLSPSAFTFRSGALLTALLGIAFQPWRLLSSSESFVYTWLIGYSALMGPIGGIILADYYLVKRMELDVSALYSENPRGPYYYKKGFNVAAMAALVAGILPILPGFLYKVGILASTWEAFVAAYNNAWFVSFFVSGVVYLVLSGSQGRLKGA >Ma08_p27650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39826121:39837598:1 gene:Ma08_g27650 transcript:Ma08_t27650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIAHRRSAAPKTKDRRIRTTTTRREARREAAAAAGRCSSSGLPRSGSSTPMGSSPNRSSSSSSSSSSVSPPPSPSDRQGRLTTTQLEQLRVEQEVYGIYRLFHGVAPHTRSFTLELWRDKHIEFLTRGLKQLGPNYHVLDANRPWLCYWIIHSITLMGESVNSELEDNVVQFLSHCEDKNGGYGGGPGQMPHLATTYAAVNTLITLGGEMSLSSIHRENMLKFLLQMKDSSGAFRMHNGGEIDVRACYTAISVASILNVLDDELVTDLGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILINEADKLDLPSLVDWVASRQGVECGFQGRVNKLVDGCYSFWEVFLLWHKGYC >Ma08_p27650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39826121:39839776:1 gene:Ma08_g27650 transcript:Ma08_t27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIAHRRSAAPKTKDRRIRTTTTRREARREAAAAAGRCSSSGLPRSGSSTPMGSSPNRSSSSSSSSSSVSPPPSPSDRQGRLTTTQLEQLRVEQEVYGIYRLFHGVAPHTRSFTLELWRDKHIEFLTRGLKQLGPNYHVLDANRPWLCYWIIHSITLMGESVNSELEDNVVQFLSHCEDKNGGYGGGPGQMPHLATTYAAVNTLITLGGEMSLSSIHRMHNGGEIDVRACYTAISVASILNVLDDELVTDLGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILINEADKLDLPSLVDWVASRQGVECGFQGRVNKLVDGCYSFWQGGVLALAQRLLLIVHKQLESSFISGVQASAECKKSCNFTAEETGQDENLCRAGTSHPENEGEELSDFGFSFIGRHTECGPLFHSIALQQYILLCSQVLEGGFRDKPGKQRDFYHSCYCLSGLSVSQYSWSKDDGGPPLTSAVLGPYTNLLEPVHPLYNVVLHKYYKSHEFFSTL >Ma08_p27650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39826121:39839776:1 gene:Ma08_g27650 transcript:Ma08_t27650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIAHRRSAAPKTKDRRIRTTTTRREARREAAAAAGRCSSSGLPRSGSSTPMGSSPNRSSSSSSSSSSVSPPPSPSDRQGRLTTTQLEQLRVEQEVYGIYRLFHGVAPHTRSFTLELWRDKHIEFLTRGLKQLGPNYHVLDANRPWLCYWIIHSITLMGESVNSELEDNVVQFLSHCEDKNGGYGGGPGQMPHLATTYAAVNTLITLGGEMSLSSIHRENMLKFLLQMKDSSGAFRMHNGGEIDVRACYTAISVASILNVLDDELVTDLGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILINEADKLDLPSLVDWVASRQGVECGFQGRVNKLVDGCYSFWQGGVLALAQRLLLIVHKQLESSFISGVQASAECKKSCNFTAEETGQDENLCRAGTSHPENEGEELSDFGFSFIGRHTECGPLFHSIALQQYILLCSQVLEGGFRDKPGKQRDFYHSCYCLSGLSVSQYSWSKDDGGPPLTSAVLGPYTNLLEPVHPLYNVVLHKYYKSHEFFSTL >Ma04_p13400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10153758:10162560:-1 gene:Ma04_g13400 transcript:Ma04_t13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSWRKAVGALKDTTTVGLANLNSDFKDLDVAIVKATNHVECPPKERHLRKILLATSITRPRADVAYCIHALARRLAKTHNWTVALKTLIVIHRTLREGDPTFREELLNFSQRAKILQLSNFKDDSSPIGWDCSAWVRTYALFLEERLECFRILKYDVEAERLIRPSQGSEKGHSKTREVDSEDLLEQLPALQQLLYRLIGCRPEGAAISNYVVQYALALILKESFKIYCAINDGIINLVDKFFEMPRHEAVKALEIYRRAGQQAASLSEFYEVCRGLELARNFQFPNLREPPQSFLATMEEYIREAPRVVSISREPLEYPERLLLTYKVEAVPATVDEEETPIAEPESEPGPLPSTIEVASPATPVKINGSDTGDLLGLNETNSYASAIEDTNALALSIVPAGMFDATTNSGTVQDQVFDPTGWELALVTTPSSNNATAVESKLGGGFDKLTLDSLYDEAAYRQQQQQQQQLYGVPPPNPFMTTDPFAMSNQVAAPPAVQMAAMAQQQQQMSMFMQSNPFAQPLYQQQPVSAATASNPFGDAGFGTFPVSNPHQESNPFGNPQLI >Ma04_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10153758:10162559:-1 gene:Ma04_g13400 transcript:Ma04_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSWRKAVGALKDTTTVGLANLNSDFKDLDVAIVKATNHVECPPKERHLRKILLATSITRPRADVAYCIHALARRLAKTHNWTVALKTLIVIHRTLREGDPTFREELLNFSQRAKILQLSNFKDDSSPIGWDCSAWVRTYALFLEERLECFRILKYDVEAERLIRPSQGSEKGHSKTREVDSEDLLEQLPALQQLLYRLIGCRPEGAAISNYVVQYALALILKESFKIYCAINDGIINLVDKFFEMPRHEAVKALEIYRRAGQQAASLSEFYEVCRGLELARNFQFPNLREPPQSFLATMEEYIREAPRVVSISREPLEYPERLLLTYKVEAVPATVDEEETPIAEPESEPGPLPSTIEVASPATPVKINGSDTGDLLGLNETNSYASAIEDTNALALSIVPADATTNSGTVQDQVFDPTGWELALVTTPSSNNATAVESKLGGGFDKLTLDSLYDEAAYRQQQQQQQQLYGVPPPNPFMTTDPFAMSNQVAAPPAVQMAAMAQQQQQMSMFMQSNPFAQPLYQQQPVSAATASNPFGDAGFGTFPVSNPHQESNPFGNPQLI >Ma06_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2689916:2690662:1 gene:Ma06_g03690 transcript:Ma06_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGFAVDATLSRTSSAHSDTRVAKDEPVMALEEEEQRQEGIGEQPEFNGKLLDLSLSNSDTEKTTSPGTPVLVLNLIESLGSVEPPNPESSESEPRVFSCNYCRRKFYSSQALGGHQNAHKRERSLAKNGGRGGARLGDQFSHRLPPSMAALPLHALYGGRHLGVQVHSMIHKPYYGTSSGIVLHEQHRPTMIFDQQHGVGTRTSVLVERFDEPGVMTGDIRWVDAGDGGVVSRKEVQMLDLTLRL >Ma00_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1485620:1486485:1 gene:Ma00_g00460 transcript:Ma00_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRGGDKEGGRDGERQIHVLAVDDSSVDRAVIAKLLRSSKYRVTAVDSAKKALELLGTEPNVNMIITDYSMPEMTGYELLKRVKESPTLREIPVVIMSSENDPDKINRCLEEGAEDFLLKPVRPSDVSRLCGRMRS >Ma10_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23117817:23119247:1 gene:Ma10_g08850 transcript:Ma10_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSNTGVDKKEENGNGEAPQPPSPTQIGGGVVPRPVPGDQRKVALVTGITGQDGSYLTEFLLGKGYEVHGLIRRSSNFNTARLEHLYVDPHNAAKARMKLHYADLSDASSLRRWVDALLPDEVYNLAAQSHVAVSFEIPDYTADVVGTGALRLLEAVRCAPKPIRYYQAGSSEMFGSTPPPQSESSPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRALGRIRLGLQTKVYLGNLSAARDWGFAGDYVEAMWMMLQQDEPGDYVVATEESHTVEEFLQAAFGHVGLDWKDHVVIDPKYFRPAEVDSLKGDSTKARKALGWKPKVGFQDLVKMMVDHDLEIAKREKVLVDAGYIDAQQQP >Ma05_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3845752:3848111:-1 gene:Ma05_g05020 transcript:Ma05_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRLIFHSSLSHGHHGQQMILLGGGGPVFGGAGPAVSAVEGASKRRPFFTSPDELLEEEYYYYDEQLPEKKRRLTPEQVHLLERSFEAENKLEPERKGELARKLGMQPRQVAVWFQNRRARWKNKQLEHDFDRLKSSYNTLLADHDSLLRENDRLRSQVISLTEKLQINKATAPTAAAGITGTKAGDHAASATDLASLVVQKKAEDRLSTGSGGSAVVDAECPSHLLDSGEVSFFPEGYHGDVNDAANCDCYYDSMFVGEHHHHHHYHHHHQEDAQLGLWVWN >Ma05_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9443079:9444568:-1 gene:Ma05_g13060 transcript:Ma05_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKAKAEPITLDVAVVMVPLPAQGHLNQFLHLSSLLSARGLPIHYVGSATHNRQVLDRASRLHNGGTACRPIHFHDFTLPDYSSPAPEPDAAIKFPGHLQPAFDAARHLLSPLADLVRSLASRSRRVVLIHDSSMTFAAGAAASLPNVEAFCFHTVSAIAGFFFRWELRGKPREPAVEILELPHVSNEDCISQQFCDFVLSQQQTTVADSGRLINSCRAIEGMFIDLVAREPEWQDKKTFAIGPLNPITFNQASGNGARHPSIEWLDQQPPSSVIYVSFGTTSTFSDEQVAELAAGLEASGQRFLWVLRDADRADIYAETGGDAVEKEKLPLDYYTRVERRGKVVRGWAPQPEILAHPSTGGFMSHCGWNSCMESFSAGVPIVAWPMHSDQPRNAVLVTDVLRVGFHVLDWDKRAELVASATISDVIERLMASKEGEEVRRRARELGGEVRRAMEEGGSSKTDLDAFVTHIII >Ma08_p31360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42302353:42302949:-1 gene:Ma08_g31360 transcript:Ma08_t31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVCYAYVFFFVFLAGAVNAASTEETPSAGSNSTEFIRKCCAATLYPGLCYTSLSGYANEVQQSTVELAHVAAKVTLARLRSTAFHVAALRRNATGPERGALRDCSEQLDDAAEWARNTVKELKGLAGAVGPKVASRVSNALTWMSAALTCEDTCTDGFLMVAAGSVKADVCHRVRKVEKFTSNSLALIHSLGFNR >Ma07_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2733469:2735403:1 gene:Ma07_g03540 transcript:Ma07_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPYSAPHPATISASSNSFACPFRSKGLVFPYPTRRALHVRPNIACKAGEEHEIAAKVDRRDVLVGLGGLCGAAAGLGGFDKAALANPIQAPDLSKCGPADLPTGVPVVNCCPPYRPGAKIVDFKRPSPSSPLRVRPAAHLVDPEYLAKYKKAIELMKALPADDPRNFMQQADVHCAYCDGAYDQIGFPNLEIQVHNSWLFFPWHRLYLYFNERILGKLIGDDTFSLPFWNWDAPGGMMLPSIYADPSSPLYDKLRDAKHQPPVLVDLDYNGTDPTFPDAQQIDHNLKIMYRQVFSNGKTPLLFLGSAYRAGEQPNPGAGSVENMPHNNVHLWTGDRTQPNFENMGTFYAAARDPIFFAHHANIDRMWYLWKKLSRKHQDFNDSDWLKASFLFYDENADLVRVTVKDCLETDWLRYTYQDVKIPWVNARPTPKLAKARKAASSSLKATAEVQFPVTLESPVKATVKRPKVGRSGKEKEDEEEILIVEGIEFDRDYFIKFDVFVNATEGDGITAGASEFAGSFVNVPHKHKHRKDENKLKTRLCLGITDLLEDIGAEDDDSVLVTIVPKAGKGKVSVGGLRIDFSK >Ma02_p07010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17854434:17858793:-1 gene:Ma02_g07010 transcript:Ma02_t07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLLLFLALLPVAVAGDGCAKNTTLAGFEADLAMAQHQVRGVVRIVDGCSFSVRRFDMLAGSDQVRWWGAAGDDFRNLSLGSQISDMPLNRTYRNESLTVRLWGNATWDQITVLAIWDEASASDFGHVVIRNATGNETEPSLAPSPGLSPAPSPAPDSPALAKNRSRIRRPPTMFDNCLTLSPIFRLRWSLYPESDSVDFGLEATVGSEYYMAFGWAKPDSSSPMIGSDVTVTGFTEEGIPFAEDYFITAYSECLLNKDGKVEGVCPDTIYEGSDPIGLVNNTEFLYGHRRDGVAFVRYKRPLASVDEKYDVPVNRTENMTVIWALGILRPPDSLRPYYLPQYHGSSQGTAYGYLRLNVSEQVDDCLGPLDAEDKEDQDLIIAVAKTPLIVTSGPALHYPNPPNPSKVLYINKKEAPSLRVERGVPVTFSIQAGHDVALYITSNAIGGNATLRNMTEVIYAGGSQFEGVPASPTELTWSPDRNTPDQVYYHSLFEQKMGWKIHVVDGGLSDMYNNSVLLDDQQASFFWTLSEDSISIAARGEKKSGYLAIGFGSGMINSYAYVGWIDDNGKGHVDTYWIDGKDAMSVHPTSENISHMRCRQDNGIMTFEFTRPLSPSCSGKIECKNIIDPTTPLKVIWAMGAQWSEDDLSERNMHSVTSNRPVRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKHVKGDGWYQLHVYLQYSGIAIMLLGVLFAAAELRGFYLSSVHVKFGITAILLACSQPINACVRPKRPANGEVASSKRILWEYFHVIIGRSAIVAGVASLFSGMKHLAQRYDSENVQELTWALVLWILAFVLLVMYLEYMEVKRRRTDRSHLRGNWVLGNSEEDDSVDLLRPESTATKSESQSSGMMEVQLEPLSR >Ma02_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17853615:17858780:-1 gene:Ma02_g07010 transcript:Ma02_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLLLFLALLPVAVAGDGCAKNTTLAGFEADLAMAQHQVRGVVRIVDGCSFSVRRFDMLAGSDQVRWWGAAGDDFRNLSLGSQISDMPLNRTYRNESLTVRLWGNATWDQITVLAIWDEASASDFGHVVIRNATGNETEPSLAPSPGLSPAPSPAPDSPALAKNRSRIRRPPTMFDNCLTLSPIFRLRWSLYPESDSVDFGLEATVGSEYYMAFGWAKPDSSSPMIGSDVTVTGFTEEGIPFAEDYFITAYSECLLNKDGKVEGVCPDTIYEGSDPIGLVNNTEFLYGHRRDGVAFVRYKRPLASVDEKYDVPVNRTENMTVIWALGILRPPDSLRPYYLPQYHGSSQGTAYGYLRLNVSEQVDDCLGPLDAEDKEDQDLIIAVAKTPLIVTSGPALHYPNPPNPSKVLYINKKEAPSLRVERGVPVTFSIQAGHDVALYITSNAIGGNATLRNMTEVIYAGGSQFEGVPASPTELTWSPDRNTPDQVYYHSLFEQKMGWKIHVVDGGLSDMYNNSVLLDDQQASFFWTLSEDSISIAARGEKKSGYLAIGFGSGMINSYAYVGWIDDNGKGHVDTYWIDGKDAMSVHPTSENISHMRCRQDNGIMTFEFTRPLSPSCSGKIECKNIIDPTTPLKVIWAMGAQWSEDDLSERNMHSVTSNRPVRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKHVKGDGWYQLHVYLQYSGIAIMLLGVLFAAAELRGFYLSSVHVKFGITAILLACSQPINACVRPKRPANGEVASSKRILWEYFHVIIGRSAIVAGVASLFSGMKHLAQRYDSENVQELTWALVLWILAFVLLVMYLEYMEVKRRRTDRSHLRGNWVLGNSEEDDSVDLLRPESTATKSESQSSGMMEVQLEPLSR >Ma05_p29540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40175758:40176561:1 gene:Ma05_g29540 transcript:Ma05_t29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRFSCRSFQPSLELRLHCSCIPITLTCLLPAPPTRPLCLSLLQSCTSVPHFLQIHAQILRNRLFDDPFAAAELLRVSRTIPLGGTHYARKLLSEIPHPTTFAWNCILGGLADSPSPASSLALFRRMLSSGARPSARTFPSLLKACARVAAREAGELLHGLMIKWAVDRDSFSTNGLIYMYCACPRYDLGRRVFDLSQERDVASWTCMLSGYVICGLMDRARCLFDEMPVRGIITWNAMINGHMKSGDTDAARELFDKMPNQNMEC >Ma02_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25382822:25389197:-1 gene:Ma02_g19080 transcript:Ma02_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNIPWAQLLLVLTAVLIRLQSKLLVTTSRDYRHKCFLMMYNSGKIATLFKQRERERERERERGMLGSSHASRSCQSVGMIGVDTSGMQGARHSPFHLFFTTSFVSDFIRPSFYRYIRLHDSSTTLLPPPPPPGLVGAAAIGSKVSPADAAYGEAANVLRKPKTNPDFLPYNGDGFKLLIPLQMERQREGAEVRRQPRSQQ >Ma07_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1627873:1629158:1 gene:Ma07_g02120 transcript:Ma07_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAEEAEQERAVFEDEEDSTSGSDDSEDDNDGNGRAPPPPPLMQCQTVPLAGNVVPLASSHHVGDPNPNRIPNTSGPAAAAAPQNGANPVVRVSAAISTPSGAGLFISSSSVPVSVATASEERRSLAVVSFDESRRLFQRLWTDEEEIKILQRFLGFTSRRGTTFASHQYDTGPFYEEIKKQLHFEFTKNQLIEKLRRLKKKYRNCVSRMRSMGKDFAFKGTHERAIYDIARNIWSASVKRAHESDDEDLNAPSDIMSNEIITVPIDEGPLSSGRMISRSRRHLRRKVTEGTAAAVAFGEVGVENSTSVMHTPFASASNVPNIIEETVKSCLLPLFKELINSIIGGPMGSGFSPGTSPLNLLPLSLEGSSLMAPGMPVDDNWRKQQILELEIYLKRLDLVWDHIKLTLDELKSAGS >Ma06_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7137536:7142901:-1 gene:Ma06_g10320 transcript:Ma06_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIDAAGEPIPTSAVLMAAAKHIAARCRAENVAFIKCKKKDPNPEKCLDKGRQVTSCVLNLLKELHQKCPNEMDAYAGCMYYYTNEFDLCRKEQEAFEKACPVSE >Ma06_p10320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7137444:7142901:-1 gene:Ma06_g10320 transcript:Ma06_t10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIDAAGEPIPTSAVLMAAAKHIAARCRAENVAFIKCKKKDPNPEKCLDKGRQVTSCVLNLLKELHQKCPNEMDAYAGCMYYYTNEFDLCRKEQEAFEKACPVSE >Ma07_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1686289:1698982:1 gene:Ma07_g02180 transcript:Ma07_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G14950) UniProtKB/Swiss-Prot;Acc:Q9LFR0] MDFFSGGRRVGSGGGGGLLPSTTATAKVKPARKSSSASSRRRGRLRDVLSPTSTFFLIGLSISFLFFVAVVVLYGVPNPLSSSHSKHRIARRRPLPSGGASSDGGGGGMAGGEMVAAAAVVDITTKDLYDRIEFLDVDGGAWKQGWKVTFAGNEWDDEKLKVFVVPHSHNDPGWRLTVEEYYQKQSRYILDTIVESLSKDSRRKFIWEEMSYLERWWREASSEKKESFINLVKNGQLEIVGGGWVMNDEANSHYFAIIEQIAEGNMWLNDTIGVIPKNSWAIDPFGYSATMSYLLRRMGFRNMLIQRTHYELKKELALNKNLEYIWRQSWDMEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYEACPWKFDPVETNSNNVQERAMTLLDQYRKKSTLYRTNTLLVPLGDDFRYISMDEAEVQFRNYQMIFDYINSNPSLNAEVKFGTLEDYFGTLREEAERRNFSRPGEVGSAELEGFPSLSGDFFTYADRNLDYWSGYYVSRPFFKAFDRVLEQTLRASEILAALVLGYCQKLQCAKLPINFSHKLTAARRNLALFQHHDGVTGTAKDHVVRDYGTRMRTSLQDLQIFMARAVEVLLGDFHDKADPTLLSHFEPEQSRSRYDAQPVHKVLDVTDGEPQSVVFFNPLEQTRDEVVMVIVSKPDVSVWHSNGSCVKSQVSPNWKHDTTEDDTNLHRLYWRASVPAMGLETYFVARGSPSCEKAAPAKVRVFSDTAFSCPPPYVCSKIETETVQIHNLLYTLTFDVKRGLLQKISHKDGKQTYVGEDIGLYSSVGSGAYLFKPIGEAKPIIEEGGQFIISEGSLVQESFSIPKTMWKNTPISHRTRIYSAQNTVQQLIVEKEYHVELLGDEFNDRELIVRFKTDIDNKRVFYSDLNGFQMSRRQTYDKIPPQGNYYPMPSLAFMQDPSGHRFSVHSKQSLGAASLRDGWLEIMLDRRLVYDDGRGLGQGVMDNLPNNVLFHILTESNISALPSNHMLLTLQPSLLSHRVGAHLDYPMCAFVSKTRPRQKSLKLHRLSFAPLSSSLPCDLHVVNFKVPQPLKFTQVHPLASRFVILLQRKGWDGSFCKRGGLHCSTIADEPVNLFYMFKDLTVSNVKATSLNLLHDDTEMLGYNEQLGEVAQEGNVLISPMEIQAYKLDLQSQT >Ma06_p37700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36690255:36692584:1 gene:Ma06_g37700 transcript:Ma06_t37700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWCCDDEDGGHSMAILQSFGGSFCSTISDDVLGPANLKSRDSDLVNSAAFLLPGQIYQANRHRFGLLQQCAALTGCRKQEHDDLGMTSGSSNNIDAQIDEQDLFMEQQFFLHEHCRSSSSSSSLQSLSMDNITDSYVSNTMQTYNQHRSVRFPSSESEDAAITRAMMAVLSSSNSSSSAASPPSVMSNPQQQGQASLPRRAFRSYDSVLAPHLELRKSSSGQKMLRNSILMLRSISSVKSEEQVEGRRSTSNQLHHVISERRRRVKLNDSFDTLSTLLPPASKKDKASVLINTRNYLNTLRTQITELEEKNRSLETHLCTDEARQGDGSAKSVKVQIIKPSEPTSDVQQIDIRMTVSVECDIIELVLHVLECFKGLRAVTVAAVDACTYSPRMHIYARANLKLQIKSCDWNEASLQEAMNKAIDEVIATPEPFCLLN >Ma06_p37700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36690255:36692583:1 gene:Ma06_g37700 transcript:Ma06_t37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWCCDDEDGGHSMAILQSFGGSFCSTISDDVLGPANLKSRDSDLVNSAAFLLPGQIYQCAALTGCRKQEHDDLGMTSGSSNNIDAQIDEQDLFMEQQFFLHEHCRSSSSSSSLQSLSMDNITDSYVSNTMQTYNQHRSVRFPSSESEDAAITRAMMAVLSSSNSSSSAASPPSVMSNPQQQGQASLPRRAFRSYDSVLAPHLELRKSSSGQKMLRNSILMLRSISSVKSEEQVEGRRSTSNQLHHVISERRRRVKLNDSFDTLSTLLPPASKKDKASVLINTRNYLNTLRTQITELEEKNRSLETHLCTDEARQGDGSAKSVKVQIIKPSEPTSDVQQIDIRMTVSVECDIIELVLHVLECFKGLRAVTVAAVDACTYSPRMHIYARANLKLQIKSCDWNEASLQEAMNKAIDEVIATPEPFCLLN >Ma09_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23270992:23272815:1 gene:Ma09_g19370 transcript:Ma09_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGEGCWNWEKNKAFEITLAAYTKDHINPWEKIAAAVPGTKLEEIKHHHKLLSNDVNSIESGVLPLPNYTINSKDLANDDGKRGMRLEGYQKRSKGQAWTVDEHRLFLRGLDKYGRGDWRNISRNCVLTRTPTQVASHAQKYFLRQSSVSTRTRTSILDISSADSEEDLPVSITYALGTPADPAAAAADQQASISGMGVSVSESQVFAASNIEFYF >Ma06_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8188119:8189267:1 gene:Ma06_g11740 transcript:Ma06_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIVSYLRPSPSLSCFLPSPPPGSGMASLGGGESPPAAVCCMCGDHGLLQELFQCKVCLVRSQHRYCSDLYPKSESYRACNWCLRDGGAKGLAGDAKRSTSSCNAMDRRSSGSTGTGVKVHRQASPSQLSKPIKKLRLLLHRSASDITDRMRSEELSPSFGRGRQVAKGKIRRYKLLEEVPS >Ma01_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5728243:5730259:-1 gene:Ma01_g07960 transcript:Ma01_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGTSGGGSSLPSVGTDATKRKVCYFYHPTMGNFYYGNGHPMKPHRVRMTHALLEKYGLLRHMRVLRPIPAHERDFCRFHADDYIAFLRTADSSVENDPATLRRFNIDGVECPIFHGLYKFCQLYAGASISAARQINLDHYDIVINWSGGLHHAKKSIASGFCYVNDVVLAILSLLETRDRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGGYFPGTGHIDDIGCDGGKYYAVNVPLDDGIDDESYLYVFKPVVAKAMEVFRPNAIVLQCGADSLSGDRLGCFNLSVRGHGECVKYMRSFNVPLMLLGGGGYTLHNVARCWCYETGVALGIEVNNKIPDHQYSEYFAPLYRIHLPTTNMTNKNSRKSLDDTIAKVLANLSKLQAAPSVQFKERSSPMDLAEIDEDEEDASESDE >Ma05_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11737920:11739782:-1 gene:Ma05_g15560 transcript:Ma05_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFSRPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGKVWNVTKRAIGVEINKQVGNRIIKKRIHVRVEHVLPSRCQEDFRARVKKNDQLKAEAKARGEVISTKRQPVGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Ma05_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7714742:7718354:-1 gene:Ma05_g10610 transcript:Ma05_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLWLLSAGSITRPTALPQPSLLFRIHSLPRLPQPRRRTSSTLVAKMRWGPGAQQSKNPKESTQASAAAAAAAPARDEEEEVVEENLPWIQEKALDLVEFTGTVTQAIPGPRVAQSQLPWLLAVPLAWVGLSFVIGFVKAVRKFTSPRAQRKRLVNKNAFLLKSIDELFLRGKDEVNHSALKELMQKTGFSMEEILRKYIRYTLNEKPFNPDMVIDLIHLRNSSLLEDAQVAEILNEVSRRIVKEKGPVVMDLSGFTEKGFKRKLAVQALFGKILYLSELPEFCSRDSSLIVKEIFGVTDEDTDILRMHTLSETDDIESVKKMVDDSSFEEDKDGSSSMD >Ma06_p30040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31381015:31385670:1 gene:Ma06_g30040 transcript:Ma06_t30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGLVRTHILLPPPLQSRHRPRPLHQLHAPPTAGVFSKVPSKPTNHSKFTGRCGGGKPMCTACHAKPAWKSKGKAKGSSKLRDGLAIRRGASATEAVGVLMDGIDEDDWFGGDDGMKHEALAGEETSLSQTDYASGDGDWFLVGEEEQPLRLPPPRSNKMKREGRQHGTVRSSAILPAESDPTPNNARVPNHVGAPPAAGFFAKAPSRPTNHSKCTARCRRERCKDCHFSPVSKSRDKAKGAHKLRSCDVVLNHRLVLWRVVDGGRLPSSRKISASEIVDHLYASSQHEGDDYDDQNMEEGAGYGHGGPLLEAVDPKEGHSGAKYEETGESSTDSDEDSEIGFHMIGVTREYSDGEDWLVVDEI >Ma09_p07650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5011600:5024377:1 gene:Ma09_g07650 transcript:Ma09_t07650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEDMHDAVDLESVDDDFYSGETGMDGEDGGADYNFVDHVLDDSEDITSRRQQQNCIILSETDIRQLQEEDINRVSTVLSIPRYAACILLRHCNWSISRVHDEWFADEEHVRKAVGLLETVVKMRNGRELNCGICFDNYPRDMMSSASCGHPFCGACWRGYISTSISDGPGCLMLRCPDPSCGAAVGQNMIDVLTTDEDKEKYSRYLLRSYVEDNKKMKWCPAPGCEFAVEFVMGSGSYDVCCSCSYNFCWNCTEEAHRPVDCVTVAKWILKNCAESENMNWILANSKPCPRCKRPIEKNQGCMHITCTPPCKFEFCWLCLGRWSEHGERTGGFYACNRYEAGKQEGAYDESERRREMAKNSLEKYTHYYERWATNQSSRHKARADLQSMQTEKLLRLSDMQSQPESQLKFIIEAWSQIVECRRVLKWTYAYGYYLPEQEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAEGPMSDFNDFRTKLAGLTSKNP >Ma09_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5011600:5024873:1 gene:Ma09_g07650 transcript:Ma09_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEDMHDAVDLESVDDDFYSGETGMDGEDGGADYNFVDHVLDDSEDITSRRQQQNCIILSETDIRQLQEEDINRVSTVLSIPRYAACILLRHCNWSISRVHDEWFADEEHVRKAVGLLETVVKMRNGRELNCGICFDNYPRDMMSSASCGHPFCGACWRGYISTSISDGPGCLMLRCPDPSCGAAVGQNMIDVLTTDEDKEKYSRYLLRSYVEDNKKMKWCPAPGCEFAVEFVMGSGSYDVCCSCSYNFCWNCTEEAHRPVDCVTVAKWILKNCAESENMNWILANSKPCPRCKRPIEKNQGCMHITCTPPCKFEFCWLCLGRWSEHGERTGGFYACNRYEAGKQEGAYDESERRREMAKNSLEKYTHYYERWATNQSSRHKARADLQSMQTEKLLRLSDMQSQPESQLKFIIEAWSQIVECRRVLKWTYAYGYYLPEQEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAEGPMSDFNDFRTKLAGLTSVTRNYFENLVRALETGLKDVGSSSNQTTCSRSSSSKMLDNKNKGGKIKAAGTSCGLGAPSRSLDDSNLWSCDRCTYANPRSTRSCQMCEYRR >Ma09_p07650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5011600:5024873:1 gene:Ma09_g07650 transcript:Ma09_t07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEDMHDAVDLESVDDDFYSGETGMDGEDGGADYNFVDHVLDDSEDITSRRQQNCIILSETDIRQLQEEDINRVSTVLSIPRYAACILLRHCNWSISRVHDEWFADEEHVRKAVGLLETVVKMRNGRELNCGICFDNYPRDMMSSASCGHPFCGACWRGYISTSISDGPGCLMLRCPDPSCGAAVGQNMIDVLTTDEDKEKYSRYLLRSYVEDNKKMKWCPAPGCEFAVEFVMGSGSYDVCCSCSYNFCWNCTEEAHRPVDCVTVAKWILKNCAESENMNWILANSKPCPRCKRPIEKNQGCMHITCTPPCKFEFCWLCLGRWSEHGERTGGFYACNRYEAGKQEGAYDESERRREMAKNSLEKYTHYYERWATNQSSRHKARADLQSMQTEKLLRLSDMQSQPESQLKFIIEAWSQIVECRRVLKWTYAYGYYLPEQEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAEGPMSDFNDFRTKLAGLTSVTRNYFENLVRALETGLKDVGSSSNQTTCSRSSSSKMLDNKNKGGKIKAAGTSCGLGAPSRSLDDSNLWSCDRCTYANPRSTRSCQMCEYRR >Ma11_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22743836:22750302:-1 gene:Ma11_g17470 transcript:Ma11_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTAKGDDLEQKAEKKLAGWGIFGSKYDDAADLFDKAANFFKLAKNWERAGSVYTKLANCHLKLDSKHEAASTYVNAANCYKKISLQDAAESLNQAVNLFLEIGRLNMAARYCKELGELYEQEQNPEKAMDYFERAADLFQSEEVTTSANQCNQKVAQFAAQLEQYPKAIEIYEAIARHSINNNLLKYGVKGILLNAGICQLCKGDVVAITNALERYQELDPTFSGTREYKLLADLADSMDEGDVVKFTDALQEYDSMTRLDPWKTMLLLRVKNAIKAKEEEEDDLT >Ma10_p29520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36090919:36092578:-1 gene:Ma10_g29520 transcript:Ma10_t29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPSSSHCPSLASLPLSPDLSLHVHPPNGSHGNKDTSGGGTTVSGERLHPVGEDLSIDPHESGRIPNGGSTRSPPRSDPYRDAKGRRSTSMQVVVVEEEEEEEVVDSSRRWNGQANSSKRISGTSGAVASGAAQLGGTVSPQKNTRGGDQRMEQERTAKAKARASTGPASANNNCTSGKDTDMEGCHIGGVAVVRSGNATNASGKRRRSPAVLMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMSSVRGQLGTSKPKWRANGRNGVVPSTSPEEQEEEAEKEQRQRPAVAADNGMQKMEEEEEVEEEEEKTETKKRKRIGMVKARSISSLLDNTKHPASSSSPSQEASKVS >Ma05_p13940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10138055:10142330:-1 gene:Ma05_g13940 transcript:Ma05_t13940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MSETSRFRSLEGGHGALKGAQTAWDMLSEEQMQKHITTGCEELDAILGGGIHCKEVTEVGGVPGIGKTQLGIQLAVNVQIPVECGGLGGKAIYIDTEGSFMVERAHQIAEGCISDLLDNTAHRLKDFPACPEILQPNSFLANIFYFRICSYTEQIATINYLEKFLEEQKDVKIIVVDSITFHFRQDFDDLALRTRVLGGMSLKLMRFAKKYSLAVVLLNQVTTKFVEGSFHLTLALGDTWSHACTNRIILYWNGNDRHAYIDKSPSLRSATAPFLITGKGIRNATSHCKRVRMM >Ma05_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10138028:10142330:-1 gene:Ma05_g13940 transcript:Ma05_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MSETSRFRSLEGGHGALKGAQTAWDMLSEEQMQKHITTGCEELDAILGGGIHCKEVTEVGGVPGIGKTQLGIQLAVNVQIPVECGGLGGKAIYIDTEGSFMVERAHQIAEGCISDLLDNTAHRLKDFPACPEILQPNSFLANIFYFRICSYTEQIATINYLEKFLEEQKDVKIIVVDSITFHFRQDFDDLALRTRVLGGMSLKLMRFAKKYSLAVVLLNQVTTKFVEGSFHLTLALGDTWSHACTNRIILYWNGNDRHAYIDKSPSLRSATAPFLITGKVYEVTRVI >Ma06_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9309308:9313701:-1 gene:Ma06_g13580 transcript:Ma06_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKKNSEIRKDSARQCSPGKKLSFSSSSSFPSCSDPSSFFKIMLGNFRDFLLIPPRFADRLVGLVNQNVCLEDLHGSSSSVKISMVDGSLAFKGGWRDFVLDHSIDVGEFLVFKHVSKSLFFVQMFGIDACERVQFGERNSKQLCTKKTIKADLSLERSQLHKRLKTFEASKDEYCPAKKDLQKIHDSSVRIAEGECVVKVVVEPGGEPEKAPQHHHDPGSPQIAEVKDLTGPLVNMISRQVVEEPQKEASVEQFKTCVGVSVERSKTGIHLDNMSTFPLVERNLLDHEDSHGKSQTAPTVAFRSSGTNKRSICCLGSPLPLNANENFLAGEQEENIIQKIGLNGHQTPGVMGANLVASEEVACKFLLTEEGICHIVNEDQKNGCGAVSVTPVSLTATEIGTCISCNYSDTMSKDAFSHLESVCENGHVPGNGMHACSKTVHACEDNGKCFRCYFCKNTLLVGNTPSFLSRKELSSSVGSVARILRNVLGTNTAVGNKFQSVPDTVPVEDKEENAFCLRSQDLKAVKIENMDLDNLSSATLFCFSLTLSSNTRCLLELPQKLPVNNGKKRQKVIILLDPSHRSWPVMYHESTWFIGFTSGWNEFATVNNLQRGSLCEFFVVAGKFEPTFQVQMSQH >Ma01_p05350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3765094:3771548:-1 gene:Ma01_g05350 transcript:Ma01_t05350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEPQMGLDEENIPNDSDPLIQRQIEESSQPPEGLDEIKDEEADAGSSACCRICLENESFPGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVEFLEDYPWRKIKFRVFVARDVFLVFLAIQTVIATIGGFVYFLDKNGGFRNSFSDSWDRILSKHPVPFYYCIGVLVFFVLLGFFGLILHCSSFDSSDPCMTGCRNCCYGWGILDCFPASMEACFALVVIFVIVFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYVVEDLHGYYTPPKMDPEHEERLRVLKLL >Ma01_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3765396:3771548:-1 gene:Ma01_g05350 transcript:Ma01_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEPQMGLDEENIPNDSDPLIQRQIEESSQPPEGLDEIKDEEADAGSSACCRICLENESFPGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVEFLEDYPWRKIKFRVFVARDVFLVFLAIQTVIATIGGFVYFLDKNGGFRNSFSDSWDRILSKHPVPFYYCIGVLVFFVLLGFFGLILHCSSFDSSDPCMTGCRNCCYGWGILDCFPASMEACFALVVIFVIVFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYVVEDLHGYYTPPKMDPEHEERLRVLKLL >Ma01_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10488283:10490503:-1 gene:Ma01_g14350 transcript:Ma01_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLFLRVFFLLLVAGAPTAQAWGKEGHYMVCKIAEKYLTKKASAAVLDLLPESAGGDLAAVCSWADEVRFRYRWSSPLHYANTPGVCNFEYSRDCHNSKGVQDMCVVGAINNYTTQLLSYGDASSGYNLTESLMFLAHFVGDVHQPLHVGFAEDAGGNTIIVHWYRRKSNLHHVWDVNIIETAMRDFYDNDLGSMIEAIEMNITNEWSDEVSGWKRCSATTSMTCADKYASESIRLACDYAYKDVEQDSTLGDDYFFSRLPVVEKRIAQAGVRLASLLNRVFRKTEEEEVLQLVVQSVDEAHAI >Ma11_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2584432:2585040:1 gene:Ma11_g03480 transcript:Ma11_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADPVDYMARAQWLRAVVLGANDGLVSVASLMVGVGAVGQSSKAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVAERERRRRDCGSEKEGVKEEEEEEEGSLPNPLLAAAASALAFSLGAVLPLLAGAFIRSGEVRVGVVCAVSSLGLAGFGAAGAVLGGANVPNSVLRLLIGGWLAMLVTYGVLRVSGLVFGMHVSSG >Ma10_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24107495:24108688:-1 gene:Ma10_g09990 transcript:Ma10_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFSSTKLIFLQPSSTNKQGSGNGITTSISILVSHHHRVWLIAFLTFFTFASLLTLLNTTTGRGLNGLASSTSSASAVTSSSSVSSGPSPLPAPVYDALVNYAVSSNSTGKMTEGDLRAIAAVLRQRGPCNLLVFGIGHETPLWRALNHGGRTVFVDENEYYVAHVEGRNPGLEAYDVSYTTKVREMPELIAAARQHLRGECRPVQNLLFSDCPLAINDLPNQLYDVAWDVILVDGPKGFSAAEPGRMSAIFTAAVMARSSARAPVDVLVHDYTRKVEKLCSAEFLCPDNLVAATRSLGHFLIGGGPADVFCANQTATAPLASPTS >Ma08_p29760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41245333:41248086:1 gene:Ma08_g29760 transcript:Ma08_t29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQNAQILKGDSMIEVSVDWRGKPCRPNKHGGMRAAAFVLAIQAFEIMAIAAVGNNLITYVFNEMHFPLSNSANVVTNFIGTVFLVSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLSVQAHLPQLRPPPCNMISEEEHCTEATGFKATVFFLALYLVAVGSGCLKPNMISHGADQFRNHDPDQSRKLSTYFNAAYFSFCAGELVALTVLVWVQTRSGMDVGFGVSAGAMAMVLAILICGAFFYRNRPPHGSIFMPLARVFVAAFTKRKQVCPSINPRVLQRSHFNSPHHLDVDDGGLRYTTMFRFLDKACVRAQDGSDEKESPWRLCTAAEVEQVKVILSVIPIFACTIIFNTVLAQLQTLSVQQGSAMNTQLTSSFHVPPASLQSIPYIVLLVLVPVYETTFVPLARKLTGNSSGITPLQRIGIGLFTVAVSMVSAAVVEKKRRETAVDSDKLLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSVAGMQSFLTAMTYCSYSFGFYLSSLLVSMVNKITSLSSSRGWLSDNDLNKDRLDLFYWLLAALSLLNFFNYLYWCRWYSKGRPASMNPPPSPEDSQHSFCSSKRVGAEGIL >Ma03_p29170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32170769:32174321:-1 gene:Ma03_g29170 transcript:Ma03_t29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSLSHAKRGRAVAVAAASSAAALLSFFLLVVVLVPQHALNSPISLSSDLSVPPSPPLPPPQAAALMRVAAEKPVSEAEAPGGRDLSMPPSPSPSPWPPSETPVAAVLAQEPAAAPPPESRERRCDLYQGRWERDEEGQYPLYHPGSCPYVDEAFSCQENGRRDLGYLRWRWKPHGCDLPRFNGTDFLERIRGKRLIFIGDSMNRNQFESMLCLLREALPDKSKMYETRGYKITKGRGYFIFKFVDYNCTVEFVRSHFLVREGTRVNGQGNSNQVLMIDRIDKSANRWRRADILVFNTGHWWTHGKTARGKNYYQEGDVVYPKLDAIEAYRKAMNTWGTWIDNNVDPAKSLIFYRGYSAAHFRGGDWDSGGTCNGETDPIKTGAFLESYPSKMKIVDEVINRLHVPVVLLNVTKLTNYRKDGHPSIYGKKLAEGEKVSKRRQDCSHWCVPGIPDSWNELIYASLVLKQHHPQSLR >Ma03_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1776862:1779143:1 gene:Ma03_g02620 transcript:Ma03_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAAATAAASLGASEILGTRLSAAAPARAAAAPSSGSSKIVALFSKKAAAPAKRKAAAAAAPANEELAKWYGPDRRIFLPEGLLDRSEIPEYLNGEVPGDYGYDPFGLSKKPEDFAKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKSIPINLVVAVIAEIVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLADDPDQFALLKVKEIKNGRLAMFAMLGFFLQAYVTGEGPVENLTKHLSDPFGNNLLTVISGAAERAPTL >Ma09_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34384894:34386033:-1 gene:Ma09_g22440 transcript:Ma09_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPASQVAKGAFLLALLLFTPFISSSLRTSYLYFLLNILIVALGLEAGFLTAISRPHEEKKAQNSLETPVPVEAAVHDAATDATKLVSPQERVTQAAKPPRKPAVQTRAQTLKRCPSRASLFFIGGAEGDSFVKEEKEEKVEEAGELSKQELFAKAEAFIGNFYKQLRMQREESWKKIHGFYHRAF >Ma05_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11384942:11397186:1 gene:Ma05_g15320 transcript:Ma05_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCILESNEEVLKVEQVGVNSQTSSKEHSEMGMDASSLDQKLHSTGKVEASQCTINEELASSGDDSKVCLVVGESFEAVQNNEPLDNASMNNSLLDDHGCDVNRDIEASPNFISSIQDGASSVPTESAGIGTCKMDSALFSEQKAEECYEVDVSGRLEAQQSEKNQNETCFSLYGVCKVDDQPFQHHTVDNNVSNVKASSDLVTPTDSLVLLNEGSSSSLFFKNSDDAIDYPVAVLNKDIRKKDESSALTKVLPSVAVGRDEKVEKNSAEVVTEDIPELCEAAGPVDFSHDVHEFSSKHDYIQLQATSSNASKITSSEEERNLATSKPYIDDNNCSESRSSSDIAVRIELSTSLEAEIRMAGVDGDNDCRIDPVQLERSGKDECSKPIVEKASGQLDDSEHIILKEPGAVLLDDAENKISPSVHDQMAPMSDTSSLAEQKENNIIHLEEKEYAAPLIDSSDTNSKDCDSVIENTEFSSSKAQNTDVVMKSDKESVMNQADNPTILQHLHSEVEIVEPKEAASMPVSCCNEKDVNIPALSVIDSNTDVEVSRQPLVVPTSGGDGPPNKSDGSETANGDGVRVASSAGVTSFATCQSTEGKGGNQSSSEPSCGSPTVIGCSNSSLLDPAGPASVTAKNSDSLKCNVQDSKVSTPSEDEGNFTFVVQPDADVSQKDTKKDWEPIHHLHSFDQPQISQENSQQHLNETKKASTSIISKTTGEDKRKQVSARATRKVGNSKGETKEKLQEKHGRGRKKNPVSTSPFPDSATRNKTHTEGIQQSLYIETNNTKSSCSPCIQTSNLPDLNTSVPSALLHQPFTDMQQIQLRAQIFVYGSLIQGVMPDEACMIPAFGGSEDGGRSLWERAWRAASERFYNLKSSPSTSDTHLHYHTGISGSPLQSKALNSPAGWSDVKFPNSAIQGSTVSLQSPFQSSSKEVLSSSILRGIHLESNQSLSPLHSYQTSHIRQYLNNTTPLLSQSPCPGASSLSSQSLSFDSSAQNSAKPVSETTQVATLRESSKPCASNMQLASPGVSLPNQVAISVSAALVVPVETQNRAATLSTKNASVSEKSRKKKKVSAPEELESKFSIAQPQAESASAAVITNYIATSGCLSLSSNFPSNATSGGLALNASHPVTLPYSHILGSGDTQQRVVLNETCTQIEHSKQQAENASAYAAAAVRHSQIIWEQMVVQRNSGLVSEVEKKLASAAVAAAAAASVAKAAAEVAKVASEAALQAKFMGDEALNFASTGRTTQNSEVSLETGKDLLSSTPGSISMGKDKIRGPFSIISTAQKTIRKRVEASSAAIKRAENLDAILKAAEMVAEAVSQAGTIVAMGEPLPFSISELVEAGPEGHWRRNCATMGNMSEAIDVQVRENCELDVASDHEIVAQQSNDQSSNHDERRKVSNTDEMSPGNKNYGSKLGSGSKTILTERPTRDSLQGSSIQKGSLVEVVVDDGGLRRAWFSAWVVDVEDSKAQVCYKDLSKKGHDKLEWIPLASEGDKPPRIRMAHPIIVAKSEGTRKRGREVLGNFTWAVGDRVDAWIHDGWWEGIVTEKSQDDETKLTVQFPAGGDSSAVRAWNLRHSLIWKDGQWIEWSRDKDRVTLEPYEGDTPNEKRQKLGQVDANNKSEIAEGEMGTMSRNVHTDGSGKLEESRQLGTLGKDVIISFGNDVGGANNTDTLKVRRAGLQKIGSKMVFGVPKPGKKRKFMEVSKHYTKDKTEKATEKTDSVKSVNSLLPQASQSWRNISKVDVKGKRATNLSTRGQKPLKSQNVQIRSSVDTEKLPVTTASVLNGEKGSLRTTFSNEEKKMPMEIGSFSQLGRVDMPVVGSSVPCIPSFKMNSSSVEAEAGEKGNVLSAVDKSNSSESEAYENPGKGSADVIEPRRSNRRIQPTSRLLEGLQSSLIIPKSPAVTYDRGAKTMHRGVTASRGTSHG >Ma05_p15320.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11382030:11397186:1 gene:Ma05_g15320 transcript:Ma05_t15320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQHFQLIEEDNDGFPQNLQSYAPPKFDIDDHFQAHLRFDSLSETGLLLGIQGEENNWIEEFSPRNTAAEFGSNSAQTSISGHDNIWFNVPTSESEQILVNSVEDNEMVSSQVMNTASETHAVEDSTNCETKSIVDTNSTLLADEFHNSILESNEEVLKVEQVGVNSQTSSKEHSEMGMDASSLDQKLHSTGKVEASQCTINEELASSGDDSKVCLVVGESFEAVQNNEPLDNASMNNSLLDDHGCDVNRDIEASPNFISSIQDGASSVPTESAGIGTCKMDSALFSEQKAEECYEVDVSGRLEAQQSEKNQNETCFSLYGVCKVDDQPFQHHTVDNNVSNVKASSDLVTPTDSLVLLNEGSSSSLFFKNSDDAIDYPVAVLNKDIRKKDESSALTKVLPSVAVGRDEKVEKNSAEVVTEDIPELCEAAGPVDFSHDVHEFSSKHDYIQLQATSSNASKITSSEEERNLATSKPYIDDNNCSESRSSSDIAVRIELSTSLEAEIRMAGVDGDNDCRIDPVQLERSGKDECSKPIVEKASGQLDDSEHIILKEPGAVLLDDAENKISPSVHDQMAPMSDTSSLAEQKENNIIHLEEKEYAAPLIDSSDTNSKDCDSVIENTEFSSSKAQNTDVVMKSDKESVMNQADNPTILQHLHSEVEIVEPKEAASMPVSCCNEKDVNIPALSVIDSNTDVEVSRQPLVVPTSGGDGPPNKSDGSGGNQSSSEPSCGSPTVIGCSNSSLLDPAGPASVTAKNSDSLKCNVQDSKVSTPSEDEGNFTFVVQPDADVSQKDTKKDWEPIHHLHSFDQPQISQENSQQHLNETKKASTSIISKTTGEDKRKQVSARATRKVGNSKGETKEKLQEKHGRGRKKNPVSTSPFPDSATRNKTHTEGIQQSLYIETNNTKSSCSPCIQTSNLPDLNTSVPSALLHQPFTDMQQIQLRAQIFVYGSLIQGVMPDEACMIPAFGGSEDGGRSLWERAWRAASERFYNLKSSPSTSDTHLHYHTGISGSPLQSKALNSPAGWSDVKFPNSAIQGSTVSLQSPFQSSSKEVLSSSILRGIHLESNQSLSPLHSYQTSHIRQYLNNTTPLLSQSPCPGASSLSSQSLSFDSSAQNSAKPVSETTQVATLRESSKPCASNMQLASPGVSLPNQVAISVSAALVVPVETQNRAATLSTKNASVSEKSRKKKKVSAPEELESKFSIAQPQAESASAAVITNYIATSGCLSLSSNFPSNATSGGLALNASHPVTLPYSHILGSGDTQQRVVLNETCTQIEHSKQQAENASAYAAAAVRHSQIIWEQMVVQRNSGLVSEVEKKLASAAVAAAAAASVAKAAAEVAKVASEAALQAKFMGDEALNFASTGRTTQNSEVSLETGKDLLSSTPGSISMGKDKIRGPFSIISTAQKTIRKRVEASSAAIKRAENLDAILKAAEMVAEAVSQAGTIVAMGEPLPFSISELVEAGPEGHWRRNCATMGNMSEAIDVQVRENCELDVASDHEIVAQQSNDQSSNHDERRKVSNTDEMSPGNKNYGSKLGSGSKTILTERPTRDSLQGSSIQKGSLVEVVVDDGGLRRAWFSAWVVDVEDSKAQVCYKDLSKKGHDKLEWIPLASEGDKPPRIRMAHPIIVAKSEGTRKRGREVLGNFTWAVGDRVDAWIHDGWWEGIVTEKSQDDETKLTVQFPAGGDSSAVRAWNLRHSLIWKDGQWIEWSRDKDRVTLEPYEGDTPNEKRQKLGQVDANNKSEIAEGEMGTMSRNVHTDGSGKLEESRQLGTLGKDVIISFGNDVGGANNTDTLKVRRAGLQKIGSKMVFGVPKPGKKRKFMEVSKHYTKDKTEKATEKTDSVKSVNSLLPQASQSWRNISKVDVKGKRATNLSTRGQKPLKSQNVQIRSSVDTEKLPVTTASVLNGEKGSLRTTFSNEEKKMPMEIGSFSQLGRVDMPVVGSSVPCIPSFKMNSSSVEAEAGEKGNVLSAVDKSNSSESEAYENPGKGSADVIEPRRSNRRIQPTSRLLEGLQSSLIIPKSPAVTYDRGAKTMHRGVTASRGTSHG >Ma05_p15320.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11382030:11397186:1 gene:Ma05_g15320 transcript:Ma05_t15320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQHFQLIEEDNDGFPQNLQSYAPPKFDIDDHFQAHLRFDSLSETGLLLGIQGEENNWIEEFSPRNTAAEFGSNSAQTSISGHDNIWFNVPTSESEQILVNSVEDNEMVSSQVMNTASETHAVEDSTNCETKSIVDTNSTLLADEFHNSILESNEEVLKVEQVGVNSQTSSKEHSEMGMDASSLDQKLHSTGKVEASQCTINEELASSGDDSKVCLVVGESFEAVQNNEPLDNASMNNSLLDDHGCDVNRDIEASPNFISSIQDGASSVPTESAGIGTCKMDSALFSEQKAEECYEVDVSGRLEAQQSEKNQNETCFSLYGVCKVDDQPFQHHTVDNNVSNVKASSDLVTPTDSLVLLNEGSSSSLFFKNSDDAIDYPVAVLNKDIRKKDESSALTKVLPSVAVGRDEKVEKNSAEVVTEDIPELCEAAGPVDFSHDVHEFSSKHDYIQLQATSSNASKITSSEEERNLATSKPYIDDNNCSESRSSSDIAVRIELSTSLEAEIRMAGVDGDNDCRIDPVQLERSGKDECSKPIVEKASGQLDDSEHIILKEPGAVLLDDAENKISPSVHDQMAPMSDTSSLAEQKENNIIHLEEKEYAAPLIDSSDTNSKDCDSVIENTEFSSSKAQNTDVVMKSDKESVMNQADNPTILQHLHSEVEIVEPKEAASMPVSCCNEKDVNIPALSVIDSNTDVEVSRQPLVVPTSGGDGPPNKSDGSETANGDGVRVASSAGVTSFATCQSTEGKGGNQSSSEPSCGSPTVIGCSNSSLLDPAGPASVTAKNSDSLKCNVQDSKVSTPSEDEGNFTFVVQPDADVSQKDTKKDWEPIHHLHSFDQPQISQENSQQHLNETKKASTSIISKTTGEDKRKQVSARATRKVGNSKGETKEKLQEKHGRGRKKNPVSTSPFPDSATRNKTHTEVPSALLHQPFTDMQQIQLRAQIFVYGSLIQGVMPDEACMIPAFGGSEDGGRSLWERAWRAASERFYNLKSSPSTSDTHLHYHTGISGSPLQSKALNSPAGWSDVKFPNSAIQGSTVSLQSPFQSSSKEVLSSSILRGIHLESNQSLSPLHSYQTSHIRQYLNNTTPLLSQSPCPGASSLSSQSLSFDSSAQNSAKPVSETTQVATLRESSKPCASNMQLASPGVSLPNQVAISVSAALVVPVETQNRAATLSTKNASVSEKSRKKKKVSAPEELESKFSIAQPQAESASAAVITNYIATSGCLSLSSNFPSNATSGGLALNASHPVTLPYSHILGSGDTQQRVVLNETCTQIEHSKQQAENASAYAAAAVRHSQIIWEQMVVQRNSGLVSEVEKKLASAAVAAAAAASVAKAAAEVAKVASEAALQAKFMGDEALNFASTGRTTQNSEVSLETGKDLLSSTPGSISMGKDKIRGPFSIISTAQKTIRKRVEASSAAIKRAENLDAILKAAEMVAEAVSQAGTIVAMGEPLPFSISELVEAGPEGHWRRNCATMGNMSEAIDVQVRENCELDVASDHEIVAQQSNDQSSNHDERRKVSNTDEMSPGNKNYGSKLGSGSKTILTERPTRDSLQGSSIQKGSLVEVVVDDGGLRRAWFSAWVVDVEDSKAQVCYKDLSKKGHDKLEWIPLASEGDKPPRIRMAHPIIVAKSEGTRKRGREVLGNFTWAVGDRVDAWIHDGWWEGIVTEKSQDDETKLTVQFPAGGDSSAVRAWNLRHSLIWKDGQWIEWSRDKDRVTLEPYEGDTPNEKRQKLGQVDANNKSEIAEGEMGTMSRNVHTDGSGKLEESRQLGTLGKDVIISFGNDVGGANNTDTLKVRRAGLQKIGSKMVFGVPKPGKKRKFMEVSKHYTKDKTEKATEKTDSVKSVNSLLPQASQSWRNISKVDVKGKRATNLSTRGQKPLKSQNVQIRSSVDTEKLPVTTASVLNGEKGSLRTTFSNEEKKMPMEIGSFSQLGRVDMPVVGSSVPCIPSFKMNSSSVEAEAGEKGNVLSAVDKSNSSESEAYENPGKGSADVIEPRRSNRRIQPTSRLLEGLQSSLIIPKSPAVTYDRGAKTMHRGVTASRGTSHG >Ma05_p15320.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11381921:11397186:1 gene:Ma05_g15320 transcript:Ma05_t15320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQHFQLIEEDNDGFPQNLQSYAPPKFDIDDHFQAHLRFDSLSETGLLLGIQGEENNWIEEFSPRNTAAEFGSNSAQTSISGHDNIWFNVPTSESEQILVNSVEDNEMVSSQVMNTASETHAVEDSTNCETKSIVDTNSTLLADEFHNSILESNEEVLKVEQVGVNSQTSSKEHSEMGMDASSLDQKLHSTGKVEASQCTINEELASSGDDSKVCLVVGESFEAVQNNEPLDNASMNNSLLDDHGCDVNRDIEASPNFISSIQDGASSVPTESAGIGTCKMDSALFSEQKAEECYEVDVSGRLEAQQSEKNQNETCFSLYGVCKVDDQPFQHHTVDNNVSNVKASSDLVTPTDSLVLLNEGSSSSLFFKNSDDAIDYPVAVLNKDIRKKDESSALTKVLPSVAVGRDEKVEKNSAEVVTEDIPELCEAAGPVDFSHDVHEFSSKHDYIQLQATSSNASKITSSEEERNLATSKPYIDDNNCSESRSSSDIAVRIELSTSLEAEIRMAGVDGDNDCRIDPVQLERSGKDECSKPIVEKASGQLDDSEHIILKEPGAVLLDDAENKISPSVHDQMAPMSDTSSLAEQKENNIIHLEEKEYAAPLIDSSDTNSKDCDSVIENTEFSSSKAQNTDVVMKSDKESVMNQADNPTILQHLHSEVEIVEPKEAASMPVSCCNEKDVNIPALSVIDSNTDVEVSRQPLVVPTSGGDGPPNKSDGSETANGDGVRVASSAGVTSFATCQSTEGKGGNQSSSEPSCGSPTVIGCSNSSLLDPAGPASVTAKNSDSLKCNVQDSKVSTPSEDEGNFTFVVQPDADVSQKDTKKDWEPIHHLHSFDQPQISQENSQQHLNETKKASTSIISKTTGEDKRKQVSARATRKVGNSKGETKEKLQEKHGRGRKKNPVSTSPFPDSATRNKTHTEGIQQSLYIETNNTKSSCSPCIQTSNLPDLNTSVPSALLHQPFTDMQQIQLRAQIFVYGSLIQGVMPDEACMIPAFGGSDGGRSLWERAWRAASERFYNLKSSPSTSDTHLHYHTGISGSPLQSKALNSPAGWSDVKFPNSAIQGSTVSLQSPFQSSSKEVLSSSILRGIHLESNQSLSPLHSYQTSHIRQYLNNTTPLLSQSPCPGASSLSSQSLSFDSSAQNSAKPVSETTQVATLRESSKPCASNMQLASPGVSLPNQVAISVSAALVVPVETQNRAATLSTKNASVSEKSRKKKKVSAPEELESKFSIAQPQAESASAAVITNYIATSGCLSLSSNFPSNATSGGLALNASHPVTLPYSHILGSGDTQQRVVLNETCTQIEHSKQQAENASAYAAAAVRHSQIIWEQMVVQRNSGLVSEVEKKLASAAVAAAAAASVAKAAAEVAKVASEAALQAKFMGDEALNFASTGRTTQNSEVSLETGKDLLSSTPGSISMGKDKIRGPFSIISTAQKTIRKRVEASSAAIKRAENLDAILKAAEMVAEAVSQAGTIVAMGEPLPFSISELVEAGPEGHWRRNCATMGNMSEAIDVQVRENCELDVASDHEIVAQQSNDQSSNHDERRKVSNTDEMSPGNKNYGSKLGSGSKTILTERPTRDSLQGSSIQKGSLVEVVVDDGGLRRAWFSAWVVDVEDSKAQVCYKDLSKKGHDKLEWIPLASEGDKPPRIRMAHPIIVAKSEGTRKRGREVLGNFTWAVGDRVDAWIHDGWWEGIVTEKSQDDETKLTVQFPAGGDSSAVRAWNLRHSLIWKDGQWIEWSRDKDRVTLEPYEGDTPNEKRQKLGQVDANNKSEIAEGEMGTMSRNVHTDGSGKLEESRQLGTLGKDVIISFGNDVGGANNTDTLKVRRAGLQKIGSKMVFGVPKPGKKRKFMEVSKHYTKDKTEKATEKTDSVKSVNSLLPQASQSWRNISKVDVKGKRATNLSTRGQKPLKSQNVQIRSSVDTEKLPVTTASVLNGEKGSLRTTFSNEEKKMPMEIGSFSQLGRVDMPVVGSSVPCIPSFKMNSSSVEAEAGEKGNVLSAVDKSNSSESEAYENPGKGSADVIEPRRSNRRIQPTSRLLEGLQSSLIIPKSPAVTYDRGAKTMHRGVTASRGTSHG >Ma05_p15320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11381921:11397186:1 gene:Ma05_g15320 transcript:Ma05_t15320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQHFQLIEEDNDGFPQNLQSYAPPKFDIDDHFQAHLRFDSLSETGLLLGIQGEENNWIEEFSPRNTAAEFGSNSAQTSISGHDNIWFNVPTSESEQILVNSVEDNEMVSSQVMNTASETHAVEDSTNCETKSIVDTNSTLLADEFHNSILESNEEVLKVEQVGVNSQTSSKEHSEMGMDASSLDQKLHSTGKVEASQCTINEELASSGDDSKVCLVVGESFEAVQNNEPLDNASMNNSLLDDHGCDVNRDIEASPNFISSIQDGASSVPTESAGIGTCKMDSALFSEQKAEECYEVDVSGRLEAQQSEKNQNETCFSLYGVCKVDDQPFQHHTVDNNVSNVKASSDLVTPTDSLVLLNEGSSSSLFFKNSDDAIDYPVAVLNKDIRKKDESSALTKVLPSVAVGRDEKVEKNSAEVVTEDIPELCEAAGPVDFSHDVHEFSSKHDYIQLQATSSNASKITSSEEERNLATSKPYIDDNNCSESRSSSDIAVRIELSTSLEAEIRMAGVDGDNDCRIDPVQLERSGKDECSKPIVEKASGQLDDSEHIILKEPGAVLLDDAENKISPSVHDQMAPMSDTSSLAEQKENNIIHLEEKEYAAPLIDSSDTNSKDCDSVIENTEFSSSKAQNTDVVMKSDKESVMNQADNPTILQHLHSEVEIVEPKEAASMPVSCCNEKDVNIPALSVIDSNTDVEVSRQPLVVPTSGGDGPPNKSDGSETANGDGVRVASSAGVTSFATCQSTEGKGGNQSSSEPSCGSPTVIGCSNSSLLDPAGPASVTAKNSDSLKCNVQDSKVSTPSEDEGNFTFVVQPDADVSQKDTKKDWEPIHHLHSFDQPQISQENSQQHLNETKKASTSIISKTTGEDKRKQVSARATRKVGNSKGETKEKLQEKHGRGRKKNPVSTSPFPDSATRNKTHTEVPSALLHQPFTDMQQIQLRAQIFVYGSLIQGVMPDEACMIPAFGGSDGGRSLWERAWRAASERFYNLKSSPSTSDTHLHYHTGISGSPLQSKALNSPAGWSDVKFPNSAIQGSTVSLQSPFQSSSKEVLSSSILRGIHLESNQSLSPLHSYQTSHIRQYLNNTTPLLSQSPCPGASSLSSQSLSFDSSAQNSAKPVSETTQVATLRESSKPCASNMQLASPGVSLPNQVAISVSAALVVPVETQNRAATLSTKNASVSEKSRKKKKVSAPEELESKFSIAQPQAESASAAVITNYIATSGCLSLSSNFPSNATSGGLALNASHPVTLPYSHILGSGDTQQRVVLNETCTQIEHSKQQAENASAYAAAAVRHSQIIWEQMVVQRNSGLVSEVEKKLASAAVAAAAAASVAKAAAEVAKVASEAALQAKFMGDEALNFASTGRTTQNSEVSLETGKDLLSSTPGSISMGKDKIRGPFSIISTAQKTIRKRVEASSAAIKRAENLDAILKAAEMVAEAVSQAGTIVAMGEPLPFSISELVEAGPEGHWRRNCATMGNMSEAIDVQVRENCELDVASDHEIVAQQSNDQSSNHDERRKVSNTDEMSPGNKNYGSKLGSGSKTILTERPTRDSLQGSSIQKGSLVEVVVDDGGLRRAWFSAWVVDVEDSKAQVCYKDLSKKGHDKLEWIPLASEGDKPPRIRMAHPIIVAKSEGTRKRGREVLGNFTWAVGDRVDAWIHDGWWEGIVTEKSQDDETKLTVQFPAGGDSSAVRAWNLRHSLIWKDGQWIEWSRDKDRVTLEPYEGDTPNEKRQKLGQVDANNKSEIAEGEMGTMSRNVHTDGSGKLEESRQLGTLGKDVIISFGNDVGGANNTDTLKVRRAGLQKIGSKMVFGVPKPGKKRKFMEVSKHYTKDKTEKATEKTDSVKSVNSLLPQASQSWRNISKVDVKGKRATNLSTRGQKPLKSQNVQIRSSVDTEKLPVTTASVLNGEKGSLRTTFSNEEKKMPMEIGSFSQLGRVDMPVVGSSVPCIPSFKMNSSSVEAEAGEKGNVLSAVDKSNSSESEAYENPGKGSADVIEPRRSNRRIQPTSRLLEGLQSSLIIPKSPAVTYDRGAKTMHRGVTASRGTSHG >Ma05_p15320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11382030:11397186:1 gene:Ma05_g15320 transcript:Ma05_t15320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQNQHFQLIEEDNDGFPQNLQSYAPPKFDIDDHFQAHLRFDSLSETGLLLGIQGEENNWIEEFSPRNTAAEFGSNSAQTSISGHDNIWFNVPTSESEQILVNSVEDNEMVSSQVMNTASETHAVEDSTNCETKSIVDTNSTLLADEFHNSILESNEEVLKVEQVGVNSQTSSKEHSEMGMDASSLDQKLHSTGKVEASQCTINEELASSGDDSKVCLVVGESFEAVQNNEPLDNASMNNSLLDDHGCDVNRDIEASPNFISSIQDGASSVPTESAGIGTCKMDSALFSEQKAEECYEVDVSGRLEAQQSEKNQNETCFSLYGVCKVDDQPFQHHTVDNNVSNVKASSDLVTPTDSLVLLNEGSSSSLFFKNSDDAIDYPVAVLNKDIRKKDESSALTKVLPSVAVGRDEKVEKNSAEVVTEDIPELCEAAGPVDFSHDVHEFSSKHDYIQLQATSSNASKITSSEEERNLATSKPYIDDNNCSESRSSSDIAVRIELSTSLEAEIRMAGVDGDNDCRIDPVQLERSGKDECSKPIVEKASGQLDDSEHIILKEPGAVLLDDAENKISPSVHDQMAPMSDTSSLAEQKENNIIHLEEKEYAAPLIDSSDTNSKDCDSVIENTEFSSSKAQNTDVVMKSDKESVMNQADNPTILQHLHSEVEIVEPKEAASMPVSCCNEKDVNIPALSVIDSNTDVEVSRQPLVVPTSGGDGPPNKSDGSETANGDGVRVASSAGVTSFATCQSTEGKGGNQSSSEPSCGSPTVIGCSNSSLLDPAGPASVTAKNSDSLKCNVQDSKVSTPSEDEGNFTFVVQPDADVSQKDTKKDWEPIHHLHSFDQPQISQENSQQHLNETKKASTSIISKTTGEDKRKQVSARATRKVGNSKGETKEKLQEKHGRGRKKNPVSTSPFPDSATRNKTHTEGIQQSLYIETNNTKSSCSPCIQTSNLPDLNTSVPSALLHQPFTDMQQIQLRAQIFVYGSLIQGVMPDEACMIPAFGGSEDGGRSLWERAWRAASERFYNLKSSPSTSDTHLHYHTGISGSPLQSKALNSPAGWSDVKFPNSAIQGSTVSLQSPFQSSSKEVLSSSILRGIHLESNQSLSPLHSYQTSHIRQYLNNTTPLLSQSPCPGASSLSSQSLSFDSSAQNSAKPVSETTQVATLRESSKPCASNMQLASPGVSLPNQVAISVSAALVVPVETQNRAATLSTKNASVSEKSRKKKKVSAPEELESKFSIAQPQAESASAAVITNYIATSGCLSLSSNFPSNATSGGLALNASHPVTLPYSHILGSGDTQQRVVLNETCTQIEHSKQQAENASAYAAAAVRHSQIIWEQMVVQRNSGLVSEVEKKLASAAVAAAAAASVAKAAAEVAKVASEAALQAKFMGDEALNFASTGRTTQNSEVSLETGKDLLSSTPGSISMGKDKIRGPFSIISTAQKTIRKRVEASSAAIKRAENLDAILKAAEMVAEAVSQAGTIVAMGEPLPFSISELVEAGPEGHWRRNCATMGNMSEAIDVQVRENCELDVASDHEIVAQQSNDQSSNHDERRKVSNTDEMSPGNKNYGSKLGSGSKTILTERPTRDSLQGSSIQKGSLVEVVVDDGGLRRAWFSAWVVDVEDSKAQVCYKDLSKKGHDKLEWIPLASEGDKPPRIRMAHPIIVAKSEGTRKRGREVLGNFTWAVGDRVDAWIHDGWWEGIVTEKSQDDETKLTVQFPAGGDSSAVRAWNLRHSLIWKDGQWIEWSRDKDRVTLEPYEGDTPNEKRQKLGQVDANNKSEIAEGEMGTMSRNVHTDGSGKLEESRQLGTLGKDVIISFGNDVGGANNTDTLKVRRAGLQKIGSKMVFGVPKPGKKRKFMEVSKHYTKDKTEKATEKTDSVKSVNSLLPQASQSWRNISKVDVKGKRATNLSTRGQKPLKSQNVQIRSSVDTEKLPVTTASVLNGEKGSLRTTFSNEEKKMPMEIGSFSQLGRVDMPVVGSSVPCIPSFKMNSSSVEAEAGEKGNVLSAVDKSNSSESEAYENPGKGSADVIEPRRSNRRIQPTSRLLEGLQSSLIIPKSPAVTYDRGAKTMHRGVTASRGTSHG >Ma11_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24650643:24654398:-1 gene:Ma11_g20040 transcript:Ma11_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDVERGAAAASGKTRGAVANGYRIPGNYQSQFYYDPGASVEREWTSWIVPLVVVANVAMFVATMYINNCPGHRNIYGSCVAGFLHRFSFQPLRENPLFGPSSSTLQKLGALEWDKVVHQHQGWRLVTCMWLHAGLIHLLANMLSLVFIGIRLEQQFGFVRIGIIYLLSGLGGSILSSLFIRNSISVGASGALFGLLGAMLSELITNWTIYTNKAAAMLTLLVIIVINLAVGILPHVDNYAHIGGFLTGFLLGFVLLLQPRLGWLERRNLPPADRVKSKYMAYQYILGVIALVLLVAGFIVGLVMLFRGVNGNDHCHWCHYLSCVPTSRWNCGN >Ma01_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2835745:2844175:1 gene:Ma01_g04300 transcript:Ma01_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase (ferredoxin), chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G60600) UniProtKB/Swiss-Prot;Acc:F4K0E8] MAAGAVPSSIPGLRTRDYGLSFAKSVDFARVSCAPEQPRMKLRRRHAAVIRSSSNSGSEIVELESASEGSPLLVPRQKYCESVHKTVRRKTRTVMVGNVALGSEHPIRIQTMTTSDTKDVAKTVEEVIRIADKGADLVRITVQGKKEADACFEIKNKLVQKNYNIPLVADIHFAPAVALRVAECFDKIRVNPGNFADRRAQFETIEFTDDDYQKELEHIEKVFSPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGMQAANLQKGTAPFEEKHRRYFDFQRRSGQLPVQKEGEEVDYRGVLHRDGSVLMSVSLDMLKTPELLYKSLAAKLAVGMPFKDLATVDSILLRELPPLEDADARLALKRLIDISMGVVTPLSEQLTKPLPNAIVLVNLKQLSTGAYKLLPEGTRLAVTVRGDEPYEELDILKIVGDITMLLHDLPYSEDKLSRVHAARRLFEYLEGNSLNFPVIHHLQFPEQIHRDDLVIMAGSSAGALLVDGLGDGVLLEASDQEYEFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGSPGKIDLYVGKTVVKRGIAMEHATEALIQLIKDHGRWVDPPAED >Ma04_p31040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31477424:31479351:-1 gene:Ma04_g31040 transcript:Ma04_t31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRSRGSLTTLLLLLVLMACSSFATCGATRDWQLDSSQAGKKGKSGHGNHGHPKPKPKPCPTPKPKPKPSPTPQPSPSPSPPPPQGGGMFIVLDYGADGDGVTDDTEAFQAAWEAACHGAPSKVIVPSNFEFLVGPISFSGPCKSNVVFQVDGKIIAPSSGRKAWSSGLLQWLEFRVLKGIRIEGRGIIEGQGSAWWSNSSSLLGSEVSGQLPHVKPTALRFYGSSDVTVSGITVRNSPQVHLKFDSCQAVEVTGVTISSPGDSPNTDGIHLQNSVNVFIHNTDLSCGDDCISIQTGCSNILVQNVNCGPGHGISIGGLGKADTQASVSNVTVQNANIVGTMIGVRIKTWQGGSGFVKCIRFAKIKVSNVKTPIVIDQFYCDHSECKNQTSAVALSDITYDGIVGTYTVQPVYLACSDSKPCRNIHLSDIQLQLLKQRGRTDDPFCWQAYGDLQGPIEPPITCLRSKNKR >Ma10_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2653315:2654362:-1 gene:Ma10_g00780 transcript:Ma10_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIFHLLIRCKQNQFLCFYDETLSAVTKHLNQTRQQVIGGILPLAGFVRIPCVA >Ma08_p07170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4911241:4914378:1 gene:Ma08_g07170 transcript:Ma08_t07170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGPRWKGKGFAETALANPMSEIVSRLRTSLSPSMPNALLSGCIALLEAEPEAADLLERASIGKNITTFGRDKQCFQLGPEESFYLYHGLTCIRITREDGTAMADAELWNHFCSQRKAFPELYKAYSHLRSKNWVVRSGTHYGADFVAYRHHPALVHAEYAVLVIPENDGKASSTRLSAWPDLCCSLRLSGSVAKTLLILYVSSCSRDRSSVCSSEEFSVDERIIRRWIPERSREGKCLAGDDEVQ >Ma08_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4911241:4914378:1 gene:Ma08_g07170 transcript:Ma08_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGPRWKGKGFAETALANPMSEIVSRLRTSLSPSMPNALLSGCIALLEAEPEAADLLERASIGKNITTFGRDKQCFQLGPEESFYLYHGLTCIRITREDGTAMADAELWNHFCSQRKAFPELYKAYSHLRSKNWVVRSGTHYGADFVAYRHHPALVHAEYAVLVIPENDGKASSTRLSAWPDLCCSLRLSGSVAKTLLILYVSSCSRDRSSVCSSEEFSVDERIIRRWIPERSREGKCLAGDDEVQ >Ma10_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31790387:31792034:1 gene:Ma10_g22390 transcript:Ma10_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDKFESIVECRSEGRSEQTIAADLDGTLLLSRDAFPYFMLVAIEAGSLLRGIVLLASVPIVLVVRAFVSESLALQILVFISFARLKVRDIEMVSRSVLPKFYAEDVHPESWRVFGSFGKRYIVTESPRIMVEPFAKGFLGVDKVLGTEVEVTKTGRATGFIAKPGVLIGELKKKAVQVELGESSPDLGIGDSESDHHFMSACKEGFIVPQYTKSEPVPKNQLLRPVILHDGRLAQRPTPLSALLTFLWLPIGLALALVRIYLNLPLPERVVFYTYKLVGIRLVVRGAPPPPPKKGHPGVLLVCNHRTMLDPIVTGIALGRKISCVTYSISKFSELISPIKAVALSRERAKDAENIKRLLEEGDLVICPEGTTCREAFLLRFSALFAELTDRIVPVAINTRQGMFHGTSARGWKAFDPYFFFMNPTPTYEITFLNQLPKELTCAAGKSPIEVANHVQRVLAETLGFECTSLTRKDKYSMLAGTDGRVASKNPKA >Ma10_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30358587:30359628:-1 gene:Ma10_g20050 transcript:Ma10_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTACAPYATGSGGSIKVISSDGKFEEYVHSVRAEELMVENPGEFVCDADHLSVGCRIPGLVADEELERRRLYFLLPMDLLFSVLTEEEMAALSRRASTATKKRGVAKNFGRRILPVLGAEAKRASETTSSVKRMSTQRSWKPALDTIEEVP >Ma01_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15059051:15064159:1 gene:Ma01_g19430 transcript:Ma01_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVRHSLRRTPNPSRLSSLPLPPPPPRRCFSSNEADILERRGEDIHGSIGGGGGGGDQAEELLDKLRAQLRQKGCFHSSLSLCQTLILSHKPLFPSPSHLFRSLAGSFSHPHPLSHSAASLLVSAYASLNLPDEALDLVSFVAQESSHLFPCLRSCNLLLETLVSRKRYTDALSLFNRLVASPIRPDTYAYNKAIQSAVKSGDLDRAMELFHCMEKKDCLKPDAFTFNCLISCLCRERRLDGAQKMFEEMERRGITPTLITYNTMVDGYCKIGNLDAAFGVRDRMRASKLKPNLVTYNTLLSGLCCASRMDDVNSLLDEMRAAGFMPDGFTYSALFDGHSRSGDVEAALVLFEESVKKGVTIGAYTCSILLNRLCKYGKVAKADEVLERLVEKGLVPTSVIFNTIVDGYCRIGDMEGAFEAMGRMESLGLKAECITYNSLVNGLCKLQRMAEAEGLIKEMVGKGVSPEVETYNPLIDAYAQACHFERCFDIFEEMKDMGLELNVVSYGSLVNGFCKQGMLVEAEALFQDMVLRGIRPNVQIYNILIDAYCKQEELQKAFELIEGMKKTGISPSIVTYNTLIKGLSVKGNLSKAQHLALGLRDEGLSPDAVTYTILISAYCNTSSTDKAIELYKEMEKLGLRPTLSTYHALISQTSLEGRMQDAENLFEEMLQKKLLPDRDIYSALMSGYANYGHQEKVVVLQKEMEQRGMLPVVR >Ma10_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31678285:31713688:-1 gene:Ma10_g22240 transcript:Ma10_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSSKRSYLEFLDGNDDGDSKVYRFQILLPNGASVRLIFNDPGEDMFLDEFIHIIRKELEKTAETTTKASRKIFWNGNIYLEDMSDNKIRKKISFSHFRTNKCHILRLHDEGGESLDTYHNMWDLTPHTDLLAELPAEYTFQTALADLLDNSLQAVWSNGSGERRLVRVTVDEQKIEIFDSGQGMDGSEENCITKWGKMGSSKHRACRSKAIGTKAPYLMPFFGMFGYGGPIATMHLGRHATVSSKTKGSRKVYSLYFSREALLNQSTPKCIWRTDGGVREPLDEETQTSPHGSFTQVVIRDLKLRCLDIYKLQCFLKDIYFPYIQCDTEYTSRKTAMPIEFEVNDINLAEIQGGEVAITNLLSCNGPDFIMQLRFMIKSENPGSLGFQEANAQLKCVYFPIVEGKENIERILEKLVQDGYEIKENFGAFSRVSIRRLGRLLPDSRWNTLPFMETKQRRGDKAHLLKRCCKRVKCFVETDAGFSPTPSKTDLAHHHPFTRALRNFGNILCGKESEVTIEILKDGKHSSILQLEKEYRDWVIQMHDRYDEEINCGEDEPVHIIGPQNKKQLGITADVVRVHQAIKRRGIIWESGQKVKIFKGATGCLKKNLYATLEYILIEGFQGDVGGDARLICRPLDCSDEKGCSILVDNGNASLDMHDSLSFPISLIDSENIQAIDLATWNCQVEKHKGRLPSRIDILAGQQCSLLGISGELPMEAPVVAGFTPPREIVAVIRPANFSSSMASKGLDQKNIVKNEFEMTLKISHKCRAKQNEQMTLAHTKSVKPSSHTGISGLYIFGLQDICSKLFYKAGIYIFTFFVNCKNTNIKHLEARVVVKPDTKVCKWRFVFDEWGPFTDKQLLSTRVGSYISYLSVVCLDRYSNQIPFSSIPEATIKIFVEECMLLHVDKMKMILSSDQLLLELKDILIESSKLDMIQPSYEAVLAICSQDGLFSAEIPCKVMPGTLSSVRLQTSLQEGEYLVPEEVIEELVLEMFDAYGNHIEEGVEVFIHTDGFSFQDHLGYIRKVNCKGCIDLSGLLTVSASFGSYVHLSVSYDKEIVYKKKFQVAQRELRAVSGVSGIHPIGCQLENVIFEVFDPDGQVDEKIHGQYHTLRIVSDSLKLDDTIQYTFHHGRCTVPFVPVPRRPGPFCFSAFHTRYHDLCTDIEVNVLEASKLELFAATESYGTFQSQVLDHMDSSKCLSHQKDLLVKYISHHTQILDEKITEVGLKIGEHERKLKTLNDQKIQVEQDIHDLRVFIGPQYLSQIESLSSSREEILKRIGRKGDTAAAICCCLSKAIQKQEPWKCFTNCTQDVVGLVALLGNVNTSKNSRMFSQFLGEDNMLAIVCKSYEAASRMEYYDEAGKIDHQQAVHGAAATLGINISRRFPVICLEDIRPYQGRIMPNDPQRRLCLSNPLLQSGAVPAGFLGYAVNMINLDIHHCKTKTLSGHGLRETLFYLLFGETQVYQTRADMRQARSCIKQGAISLDGGIVRASGFILLGDCEPDVTFPVIGTQAHRAFSQDMVMNIKQMEEKKGLLTAIQQEIVKEYEAYTEDMAKFKKRSDRLRELLTESSSTTNLLEWK >Ma05_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27399275:27400026:1 gene:Ma05_g19260 transcript:Ma05_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSEVAAYCNERKRASKHAEQSIKNVYLRALLKNKEVMFFKARVLTVGPYFMIVYIYKFAIERRIYFGEVEGLAIKWIATTSILVFSTPNIEPSQKKSSS >Ma08_p28910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40703360:40704096:-1 gene:Ma08_g28910 transcript:Ma08_t28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSGFTVEKKSLILWPLLLLLLLLLLPEQATASGMMVKLPCSGRRRGQGCLLEHGVEMEMSSEESRRLLWAVTEKKYISYEALKGDVVPCNKPGVPYYNCHVFPKANPYNRGCQIISGCRGDSP >Ma03_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14413239:14418064:1 gene:Ma03_g14600 transcript:Ma03_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVNSRTANGVATGGVGNLVLILDYGSQYTHLITRRIRQLSVLSLCISGTSTLDAIAKLKPRVVILSGGPHSVHAAGAPSFPDGFIEYAEEHGVFVLGICYGMQLIVQKLGGVVAVGEKQEYGKMEIAVPEGEWGFYGPEAIGGHQTVWMSHGDEAVKLPEGFTVVARSLQGSVAAIENRSRRFYGLQYHPEVTHSTQGMETLQHFLLDVCGVTADWKMQDVLEEEIKVIKGLVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMSTFQSDLHLPVTCIDASEQFLSKLKGVKDPEMKRKIIGREFICIFDYFAEELEEKLGKRPTFLVQGTLYPDVIESCPPPGSGMTHSHTIKSHHNVGGLPKEMKLKLIEPLKLLFKDEVRKMGNILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALEILRQVDEIFIQSIKEAGLYDSIWQAFAVFLPVQSVGVQGDQRTHSHVVVLRAITSEDGMTADWYYFDHKFLIDVVSKICNNVRGVNRVCQDITSKPPATVEWE >Ma02_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20474465:20475652:1 gene:Ma02_g11180 transcript:Ma02_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEKTNQNGLAASAPAAAARHAIPTYPPPESESEESWVWAQIKAEAHRDAESEPALASFLYATVLSHNSLAFSLSFHLANKLCSSTLLSTLLYDLFLQSFSSKPSLISAVVADLLAVRHRDPACVSFSHCLLNYKGFLAVQAHRVSHLLWAQHRRPLALALQSRTADVFAVDIHPAARIGKGILLDHATGVVVGETAVIGNNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNIRIGEGAKIGAGSVVLIDVPPRTTVVGNPARLVGGKDKPARHDDVPSESMDHTSFIQEWSDYII >Ma02_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27184125:27188601:-1 gene:Ma02_g21620 transcript:Ma02_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEAGAWAGGRSEGGGDKLYEELWRACAGPLVEVPRPRERVFYFPQGHMEQLEASTNQELDQQIPLFNLPFKILCRVVDVHLKADPETDEVFAQIILQPEPDQHEPTSPDPCLPEQPRPAVYSFCKILTASDTSTHGGFSVLRRHATECLPPLDLSQQIPTQELVAKDLHNFEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGECGDLRVGLRRQARQQATIPTSVISSQSMHLGVLATASHAVTTHTLFTIYYKPRTSQFIVHVNKYLEAVKNGFALGTRFKMRFEGEDVPEKRFSGTIVGIEDCSSQWTGSRWRSLKVQWDEAAGIERPERVSPWEIEPFDATVLVPNVPQPAVVKCKRSRQASDTADLSVLEPTATFWYSRTTDSHDLAAVINTEAENVEAQVTWPSMQKGCKENNILFHSNSHEAGLFDWLKEAQSPIRSSGSLLTGGLLNRFRETNEATKFNSCPAYSSCMVKEQSVKLGSAVAELKKPGGGSTSYRLFGFDLFDHPKSRASTNMVTTHFSKVVDPIEHPANLSESVEDSDQKSGLSKASKEQKHSPQDSLKEIHGAPNCLSRSRTKVHMQGVAVGRAVDLTILEGYDELIRELEEMFEIHGELRDRNKWEVVFTDDEDDMMLVGDDPWPEFCNMVRKIFIYPAEEVKKMKPGGRLTPLLHDVEDVCLNKDLKTEG >Ma05_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4301002:4301440:1 gene:Ma05_g05650 transcript:Ma05_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWISIPKMFLLAALPRTFMSMKKQFRKRKRKSKFHRHRVGSTCWMHVMC >Ma09_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10249908:10251883:-1 gene:Ma09_g14940 transcript:Ma09_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGTDFYHVMTGMVPLYVAMILAYLSVKWWKIFTPEQCAGINRFVALFAVPLLSFHFIAGNDPYKMNYRFIGADTLSKVIVLVILAVWSNLSRRMSLDWTITIFSLATLPNTLVMGIPLLEGMYGEYSGSLMVQIVVLQCIIWYTLMLLLLEYRAGRLLIAEKFPVNAGAVASITVDPDVTSLDGHRDMLETESTIREDGKIHVNVRKSSSSLSGSLSRRSMEFSDAMRFSSNLTNPEIHSRRSSKNPTPRGSSFNNADVQPRRSSFSVVFPVAGPSEIQEIRVEVPPRQSTNRPLTTFPDGRKENQESGPQDGDVMVQEPAIGALTIVPTTMPPASVMAKLILVVVWRKLIRNPNNYASLIGLAWSLVSYKLHVNMPVIVAKSISIMSDTGLGMAMFSLGLFMGLQPRVIACGNLAAAIAMAIRFLAGPAFMAIASFAVGIRGELLRIAIVQAALPQGIVPFVFAEEYNLHAEILSTAVIFGMIIALPITLVYYVIVGLV >Ma04_p32710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32648203:32651460:-1 gene:Ma04_g32710 transcript:Ma04_t32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPGVKVAPFTYVSHVLAVASAAMVLVWCIHFRGGLAFESTNKSLIFNIHPVLMLIGFIIVGSEAIMSYKTLPLSHEVNKVIHLTLHAIALVLGAVGIYAAFKFHNESGIDNLYSLHSWVGLGTICLYGIQWIFGFVTFFFPGASPTLRGKSLPWHVLFGLFVYILAIATAELGFLEKLTFLESSGLYKYSSESFLVNFTALVVIFLGASVVISAIAPAHVDAPQGYSSISEN >Ma05_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10792577:10793661:1 gene:Ma05_g14750 transcript:Ma05_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGGVGRGGGGRGRGRGGGGQLPGLGTGITHRECESCRAAPGIPFCRVEWSFLCAGCAAVVHGQSWIVAVPPGPNPSPSLNPVVPSPYPSPFAYQYAFASPNPNPNPSPPPPPAAISVPNLNPNPSPNDNLNHVVQLSSDEDEQSAGEDGDGSGGRKRRRSSVEGESAPGREASMMRYKEKRKSRNFDKTIRYESRKVHADMKPRVGGKFVKTADGVGEEGDDGAGGEGGHYSGGDEVRAESSEEGRADAVEESTDQGEQMRPVEAGEMVATSPSFEVEQFDGGVDLGQMDAGEEVGLL >Ma05_p22390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34143776:34148148:1 gene:Ma05_g22390 transcript:Ma05_t22390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEEEEDLFFDSREDVSSVFDSCPGSPAKNDSLPEEQFTGPQFEFWLKSPHGVRERRDKFMRWMGKDLMNCSLPSSSGLDGQVQIDDDILPGTNRSTSNCCDAGNKCPMCSWSSQDASTSCNEALEEKMVYRIKNLDDGAVITVDELGKDGSLRSLRKAGSNQMAALNEPEKSLGLSSSIPRHMQQEDNTSKKSGNSVRRKWKRWLQRLSAVACILDRHSFKNGIAKSGRVEVRQHRKQSKELSAVYKGQDIKAHDGAILAMKFSPDGRYLATGGADGIVRVWHAMECERKDEFSIPDDDPSCLYFTVNHNAELTPVRADKEKKPKSKRTRRTADSACVVIPPHVFRLSEKPVHEFRGHDADVLDLSWSMNQLLLSSSKDKTVRLWQVGSDRCLKVFSHTNYVTCVQFNPMNENYFVSGSIDGKIRIWDVSGCQVVDWVYIREIVTAIGYHPKGKRLAIGTLKGNCRFYDASDTHLQREAQVFLQGKKKSGNKQITGFQFCPVDPKKLMVTSADSQIQIFDGTNVVSRYKGFCGAGSQMYASFTADGQHIVSASDDSNVYFWNRASHNTSTSNHVKSTRSCEHFFSRNTTIAIPWHGLESGDRISVTSEVIHSEKGNSDEAGVPEIDLKCHSGDSYGINALYLSPSGSFTLSHEFFSEFSPKGSVTWPEEKLPSSSAASTLSKAHHKFLKTSFQNTSHAWGQVILTAGWDGSIRSYQNYGLPVCIDDQFGLYTAGISLFQWALPTNDHRTEVE >Ma05_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34144513:34148148:1 gene:Ma05_g22390 transcript:Ma05_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCVFQEEEEDLFFDSREDVSSVFDSCPGSPAKNDSLPEEQFTGPQFEFWLKSPHGVRERRDKFMRWMGKDLMNCSLPSSSGLDGQVQIDDDILPGTNRSTSNCCDAGNKCPMCSWSSQDASTSCNEALEEKMVYRIKNLDDGAVITVDELGKDGSLRSLRKAGSNQMAALNEPEKSLGLSSSIPRHMQQEDNTSKKSGNSVRRKWKRWLQRLSAVACILDRHSFKNGIAKSGRVEVRQHRKQSKELSAVYKGQDIKAHDGAILAMKFSPDGRYLATGGADGIVRVWHAMECERKDEFSIPDDDPSCLYFTVNHNAELTPVRADKEKKPKSKRTRRTADSACVVIPPHVFRLSEKPVHEFRGHDADVLDLSWSMNQLLLSSSKDKTVRLWQVGSDRCLKVFSHTNYVTCVQFNPMNENYFVSGSIDGKIRIWDVSGCQVVDWVYIREIVTAIGYHPKGKRLAIGTLKGNCRFYDASDTHLQREAQVFLQGKKKSGNKQITGFQFCPVDPKKLMVTSADSQIQIFDGTNVVSRYKGFCGAGSQMYASFTADGQHIVSASDDSNVYFWNRASHNTSTSNHVKSTRSCEHFFSRNTTIAIPWHGLESGDRISVTSEVIHSEKGNSDEAGVPEIDLKCHSGDSYGINALYLSPSGSFTLSHEFFSEFSPKGSVTWPEEKLPSSSAASTLSKAHHKFLKTSFQNTSHAWGQVILTAGWDGSIRSYQNYGLPVCIDDQFGLYTAGISLFQWALPTNDHRTEVE >Ma04_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5286181:5286905:1 gene:Ma04_g07280 transcript:Ma04_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDHKISSSVVRMSRSGRRRRRTLVKHSRRCHHMNGRRKQSKAAVAVRLQTLRTLIPPKPDVVKEAAASDRLFKETADYILLLQTQVEVLKRLVDIYNPCTE >Ma03_p32160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34095044:34096057:-1 gene:Ma03_g32160 transcript:Ma03_t32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFQSRAKSKPLLRPNRMSQNQPRRPGQSQERPTRCGDVLPVAGGHAGEAITPRAADMMPSAENRVLGRTPNDGPASGMESAATRNKQRGVVSNAPGLQDVSVTQTDIPGSPGQRLVTKFVAGQAVGRYVIYRGEGGDDQGGGGCSVAMWTDKVTIGEALEATATTAGDKTVEASDAAAIQAAEATATGVNAVMPGGVAAAAQAAAAANASRDRNDDKTRLGQVVKDAAIRLPVDKVATRRDAERVLAAEMRNNPDLLTYPGGVGASMVAAARLNQQR >Ma05_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9698892:9702716:1 gene:Ma05_g13360 transcript:Ma05_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGAAGRSGVPSWDFWELGNWTSSHVASSSSYVAARPTHTTAAASSSTAAATCLPFSGHQIVAESSGDHRASQYHDHRHHTHTHLACLKLGKRQYYGEESGVAAMKRERPAAAPRCQVDGCSKVLVDEKEYHKRHKVCELHSKAPRVVVLGVEQRFCQQCSRFHVISEFDDSKRSCRRRLAGHNERRRKNSSEPITRNPALESAIIGGMAPYLSASPGCALSLLSSKASPWIATSDFSSRSSAALNELIAENRAAVLARQLLADGSGWHAMVSVKQQQPPVFWSHIQHQATPQPMPPHADGWSRLQGAGGHVTLDLMQMPDSFEIVSGRRSKSKEDDEESSEIWKSLAGTHVV >Ma09_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35735464:35739403:1 gene:Ma09_g24060 transcript:Ma09_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVAASCSTPQPPPPAASLLAPGFRFHPTDEELVGYYLKRKVSGRPLRIDVIAEVDLCKCEPWELPGRSRLQSRDLEWYFFCPVDRKYPNRSRTNRATARGYWKTTGKDRPVHRRGRVVGMKKTLVFHDGRAPHGARTNWVMHEYRLEDDELTHSGIPQDAYVVCRIFQKSGAGPRNGAQYGAPFIEEEWEEEDEKAADGLSATPFGGDAEINEALNSEFMEINDLLQSKHMGHQNGRAALCLEDTDGQGSDSHPVDPIHILDVFPEEIRNDQNLTDKVLDCIYEPNLQSSRTLTGGSGEQIILNDIAYSYPVQLEEFVQLNDLEDDSVNISYPVGEGFVSCPLLECHASFVNRVHYGLEDDEFFDAIIQSAEPVVDNFNLQATDPLNTLSGDYASYQDAQDMVFHDASSEFLANGHDNSVYQNELLYSSIAGPHSSNFDAEVMAYFDALDDGLENDIFSSFEILEHKDSSASSQFISEVDGGDGTTNMAIPELLYTNVGGNLLPALPLTDNQFVNEYENIPVAPDIKQNVNGKRKITSHLVNMLGSISAPPAFAAEYPASLESTHVTVGMVDVHGLSATSSTGHCSLQKNGNGDLLLSYSIAENLGRKKSSGFQPFRKIQDWTVSFLLRGGCYPFFLSALVLTISYKVGMCIYGK >Ma09_p05120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3295048:3302776:-1 gene:Ma09_g05120 transcript:Ma09_t05120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWFFACNRRFGVLRGVGFGSAARTDDGRRPLVAPLPPPTSDKAEMYKGLEAALGTPFSSDPLSPPPNPIVLVISGPSGVGKDAVIKRLLEVREGIHFVVTATSRPQRPGEIDGKDYYFVTKEEFLKMVERSELLEYALVYGDYKGIPKQQIRDYMAKDFDIVLRVDIQGAATLRSILGDSAVFIFLVAESEAALVKRLVARNTETPEMLLVRIATAKEEFKHMRKFDYVVVNAEGKLDNAVKLVESIIDAEKARVWQRKVQI >Ma09_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3295014:3303270:-1 gene:Ma09_g05120 transcript:Ma09_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGLEAALGTPFSSDPLSPPPNPIVLVISGPSGVGKDAVIKRLLEVREGIHFVVTATSRPQRPGEIDGKDYYFVTKEEFLKMVERSELLEYALVYGDYKGIPKQQIRDYMAKDFDIVLRVDIQGAATLRSILGDSAVFIFLVAESEAALVKRLVARNTETPEMLLVRIATAKEEFKHMRKFDYVVVNAEGKLDNAVKLVESIIDAEKARVWQRKVQI >Ma09_p05120.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3295014:3300069:-1 gene:Ma09_g05120 transcript:Ma09_t05120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGLEAALGTPFSSDPLSPPPNPIVLVISGPSGVGKDAVIKRLLEVREGIHFVVTATSRPQRPGEIDGKDYYFVTKEEFLKMVERSELLEYALVYGDYKGIPKQQIRDYMAKDFDIVLRVDIQGAATLRSILGDSAVFIFLVAESEAALVKRLVARNTETPEMLLVRIATAKEEFKHMRKFDYVVVNAEGKLDNAVKLVESIIDAEKARVWQRKVQI >Ma09_p05120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3295014:3302776:-1 gene:Ma09_g05120 transcript:Ma09_t05120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWFFACNRRFGVLRGVGFGSAARTDDGRRPLVAPLPPPTSDKAEMYKGLEAALGTPFSSDPLSPPPNPIVLVISGPSGVGKDAVIKRLLEVREGIHFVVTATSRPQRPGEIDGKDYYFVTKEEFLKMVERSELLEYALVYGDYKGIPKQQIRDYMAKDFDIVLRVDIQGAATLRSILGDSAVFIFLVAESEAALVKRLVARNTETPEMLLVRIATAKEEFKHMRKFDYVVVNAEGKLDNAVKLVESIIDAEKARVWQRKVQI >Ma06_p30870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32091501:32099565:1 gene:Ma06_g30870 transcript:Ma06_t30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGRARPRSPSASPSGSSSPSRSYSGSGSRSPSRSRSRSRSFSSSSSPSRSASSRSRSPPPQRKSPTSAGKRGRSPTSPPKKASPPRKASPVPDSVVLHIDHLSRNINEAHLKEIFGNFGEIINVELAMDRLVNLPRGYGYVEFKKRVDAEKALLYMDGGQIDGCVVRVRFTLTQRQKASSPPKVMPAAPKREVPPRDKVGTIAEKDAPQRPREPSPRRKPPSPPRRRSPPPNRRVDSPKRRPDSSPRRRVESPVRRRADSPLRRRGETPPRRRVASPRRRSPSPARRHRISPRRGRGSPIRKRSPLPPRRRSPPRRARSPPRRSPPPPPPRRRSRSPVRRPLRSHSRSASPRRGRGPPPRRGSSESSYSGSPSPRKGARKISRSRSPRRPVRGRSNSRSSSSPLRKAN >Ma04_p12190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9189368:9199999:1 gene:Ma04_g12190 transcript:Ma04_t12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFEVAATMSVLLGFAPPSSLPADSSCKLNKVLLPNPFDRPHALFMLEISGIEQPLLSAENLKSQTSNVFSSRISGSSKAKLELPGEDQVSVVPLDDPLDLDCDAACLDKELKDLANWMGGSYVGTIKSQDGKLTVPLATGSTLNLHVVKKADLQFASGIVSLIRNVKKTVEFHEDLSESNIKLSEIMTGRFTGIEALIAEYGWGDISHQGAELLQTILLKLSDMLQVSYRGKIVGVVILTNEPSSESGMLVDVTYTAQISRLLEEESSSTSSDSEVLLVRRSLAWITGVILLISTLIGVYLLLNMPLTRDTLLYSNVKLD >Ma04_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9189090:9199966:1 gene:Ma04_g12190 transcript:Ma04_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLVVNLSLLIVVASLCLQTRGESSGSVVFLDGSSQRYIRKHPQDAAGKLNSMSSFEVAATMSVLLGFAPPSSLPADSSCKLNKVLLPNPFDRPHALFMLEISGIEQPLLSAENLKSQTSNVFSSRISGSSKAKLELPGEDQVSVVPLDDPLDLDCDAACLDKELKDLANWMGGSYVGTIKSQDGKLTVPLATGSTLNLHVVKKADLQFASGIVSLIRNVKKTVEFHEDLSESNIKLSEIMTGRFTGIEALIAEYGWGDISHQGAELLQTILLKLSDMLQVSYRGKIVGVVILTNEPSSESGMLVDVTYTAQISRLLEEESSSTSSDSEVLLVRRSLAWITGVILLISTLIGVYLLLNMPLTRDTLLYSNVKLD >Ma09_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2326569:2328804:-1 gene:Ma09_g03490 transcript:Ma09_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPPFVSRERLLKHQQYFQHVHKHTYLKGRFDKITSVAIPLALTVSSLALIGRGIYNMSHGIGKKA >Ma04_p33450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33062866:33064626:-1 gene:Ma04_g33450 transcript:Ma04_t33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEAPLRPKRKKVLVDYLVRFRWIVVVFVVLPVSCFIYFRLFLGHVRSAMKSDERRRKEHEENVLKVIKRLKQRDPTKDGLVCTARKPYIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMISKVEPLVNMGQITRATIPMNLSLAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRLVRCTKDNEHSDLFYGIPWSQGTLGLMVSAEIKLIPVREYMKVIYTPHRGTLKELAQAYADSFAPRDGDSSRVPDFVETMIYNPTEAVCMTGKYASEAEAKKKGNVINSIGWWFKPWFYQHAQTALQRGEFVEYIPTREYYHRHTRSLYWEGKLILPCADQWWFRWFLGWLMPPKVSLLKATQGEAIRNYYHDMHVIQDLLIPLYKVADALEFCHCETELYPVWLCPHRLFKLPLKTMVHPETGFEDHHRQGDTSFAQMFTDVGLYYAPGPVFRGEEFDGAEVVRKLEEWMIQNHGFQAQYSVSELTEKNFWRMFDASHYEHCRRKYGAIGTFMNVYYKSKKGKKTEKEVQDAEAEAAIVEADRAEED >Ma05_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4910740:4911332:1 gene:Ma05_g06650 transcript:Ma05_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDADAGHTDPTLVGEAIARAATSIRSLAASSVAATEDDDDDSEADEENKGCVEDPGPSNGDVPDALLGGEAQPLTSCGSGGGGTGDEEELERQAAKDTVVVVGGGEQPATADSVLVVGEDDKAGSEKKACPVDGIEIKKKRWQGEDEDGEYDSGDDKPILVEDLV >Ma06_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1165805:1168750:-1 gene:Ma06_g01440 transcript:Ma06_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLVSRWRLARPSSLPQPRFHCAPPPPPPPSNSKLFVGGLSWSVDEMSLKDAFSSFGDVTEVRIMYDKNTGRSRGFGFIHFASDDAAKCAKFAMDGKAFLGRPLRISFAIDKVRGGPVVVPRLPAVGESTN >Ma01_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11020431:11025706:-1 gene:Ma01_g15220 transcript:Ma01_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEADAVAGGGRGRLQNFTLYAARSMFFVVGSDESRKQWRVLKIDRSQPSELNIYEDPITYSKSECKCLLEQLDHENNVTGGLNKITVCYGIVGFVKFLGPYYMLLITGRKKIGAICGHAIYAVTRSQMIPLSDSARSSYLPFVGAEYRLLYKRLLRAVDLTKDFFFSYSYNIMCSLQKNMCDGVPEEALYETMFVWNEFLSCGIRDHLKNTAWTVALVHGSFKQGKLSHAGKDFTFTLIARRSRHFAGTRYLRRGVDEKGRVANDVETEQIVFCDQPDEIPREITSVVQNRGSIPLFWSQGTRRLGFKPDIILEKKDDNFEATRLHFENLVNRYENPIIVLNMIKKQEKKPRESLLTEKLVDAINHINKDLPESDRLIYFHWDLQSICRRRSTEALALLCKVAACAMDLTGFFHCRRTPTMDDALNWLSLLNDGSEQSSDISQSASSSRRTQQDGNGLATPQRRQKGVLRTNCIDCLDRTNAAQIAYGLTALGHQLHALGIKDVPEVDLEDPLADDLMNFYREMGDRLALQYVGSAAHDKIPYKNNGRWVPLTLWPGLQRNLQRYYSHAFMDARKQEAINLFLGHIVPWQNKPELSDLDSDQHHDVGRR >Ma08_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3654955:3656047:1 gene:Ma08_g05330 transcript:Ma08_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDCNPVSTCCRPLIFRFVWGHSAASQKNQWHCACGVKETGAVGSFPRCFFSFGSEKVDCAAGGVFISGEGGGRKGSPVLHVPSSRSVHQLHDS >Ma01_p20990.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19838731:19842731:1 gene:Ma01_g20990 transcript:Ma01_t20990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLISAEVLKAVFTLLDGEDLVSCMLVSRQWRDTARDDYFWKCICTKKWPSICKRPPPAISYHKLFLTFSRSQPPQPLPPSRLSFNNLEFFIDLWSEQTLIFSEAVSGTVLRRGLKNPPPGIPDALKIHLDSTDYKMIMQVEPRFSFPLGQTIIVSVLVSRKDTNQIARIVNQSLFGYVDGNAFRALAYDYLIFAPGHPFISGIRAWVSLLFMANTAHSITDVFGIEIDFCDAANSENEVLWLLDMLDWKYI >Ma01_p20990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19841637:19842731:1 gene:Ma01_g20990 transcript:Ma01_t20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLISAEVLKAVFTLLDGEDLVSCMLVSRQWRDTARDDYFWKCICTKKWPSICKRPPPAISYHKLFLTFSRSQPPQPLPPSRLSFNNLEFFIDLWSEQTLIFSEAVSGTVLRRGLKNPPPGIPDALKIHLDSTDYKMIMQVEPRFSFPLGQTIIVSVLVSRKDTNQIARIVNQSLFGYVDGNAFRALAYDYLIFAPGHPFISGIRAWVSLLFMANTAHSITDVFGIEIDFCDAANSENEVLWLLDMLDWKYI >Ma01_p20990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19838731:19842731:1 gene:Ma01_g20990 transcript:Ma01_t20990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLISAEVLKAVFTLLDGEDLVSCMLVSRQWRDTARDDYFWKCICTKKWPSICKRPPPAISYHKLFLTFSRSQPPQPLPPSRLSFNNLEFFIDLWSEQTLIFSEAVSGTVLRRGLKNPPPGIPDALKIHLDSTDYKMIMQVEPRFSFPLGQTIIVSVLVSRKDTNQIARIVNQSLFGYVDGNAFRALAYDYLIFAPGHPFISGIRAWVSLLFMANTAHSITDVFGIEIDFCDAANSENEVLWLLDMLDWKYI >Ma01_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19838731:19842731:1 gene:Ma01_g20990 transcript:Ma01_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASSLSTMAKDLISAEVLKAVFTLLDGEDLVSCMLVSRQWRDTARDDYFWKCICTKKWPSICKRPPPAISYHKLFLTFSRSQPPQPLPPSRLSFNNLEFFIDLWSEQTLIFSEAVSGTVLRRGLKNPPPGIPDALKIHLDSTDYKMIMQVEPRFSFPLGQTIIVSVLVSRKDTNQIARIVNQSLFGYVDGNAFRALAYDYLIFAPGHPFISGIRAWVSLLFMANTAHSITDVFGIEIDFCDAANSENEVLWLLDMLDWKYI >Ma01_p20990.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19838731:19842731:1 gene:Ma01_g20990 transcript:Ma01_t20990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLISAEVLKAVFTLLDGEDLVSCMLVSRQWRDTARDDYFWKCICTKKWPSICKRPPPAISYHKLFLTFSRSQPPQPLPPSRLSFNNLEFFIDLWSEQTLIFSEAVSGTVLRRGLKNPPPGIPDALKIHLDSTDYKMIMQVEPRFSFPLGQTIIVSVLVSRKDTNQIARIVNQSLFGYVDGNAFRALAYDYLIFAPGHPFISGIRAWVSLLFMANTAHSITDVFGIEIDFCDAANSENEVLWLLDMLDWKYI >Ma01_p20990.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19838731:19842731:1 gene:Ma01_g20990 transcript:Ma01_t20990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLISAEVLKAVFTLLDGEDLVSCMLVSRQWRDTARDDYFWKCICTKKWPSICKRPPPAISYHKLFLTFSRSQPPQPLPPSRLSFNNLEFFIDLWSEQTLIFSEAVSGTVLRRGLKNPPPGIPDALKIHLDSTDYKMIMQVEPRFSFPLGQTIIVSVLVSRKDTNQIARIVNQSLFGYVDGNAFRALAYDYLIFAPGHPFISGIRAWVSLLFMANTAHSITDVFGIEIDFCDAANSENEVLWLLDMLDWKYI >Ma01_p20990.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19838731:19842731:1 gene:Ma01_g20990 transcript:Ma01_t20990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLISAEVLKAVFTLLDGEDLVSCMLVSRQWRDTARDDYFWKCICTKKWPSICKRPPPAISYHKLFLTFSRSQPPQPLPPSRLSFNNLEFFIDLWSEQTLIFSEAVSGTVLRRGLKNPPPGIPDALKIHLDSTDYKMIMQVEPRFSFPLGQTIIVSVLVSRKDTNQIARIVNQSLFGYVDGNAFRALAYDYLIFAPGHPFISGIRAWVSLLFMANTAHSITDVFGIEIDFCDAANSENEVLWLLDMLDWKYI >Ma11_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:879051:884728:1 gene:Ma11_g01230 transcript:Ma11_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSESKVEKQEAVALCRARTDLLEAAIHHRAALADAHAAYSDSLLSVSAALHRLLVLLLPPSYSSSPSSPVLPLPVCRKPEPCPPPSLVSSGRHSHQDSRVSFLPADSCYNGDDGTPFYSCGSSPVEHLDHRKTVPSQRGFGSIHYARSRPPPPSVVFERPPQSYQTVQVGSFEPYADFPGYYGSLGGSFGPPAMPPPRTMASSALGKSYSSRATPPPPSPLRTSTWDLLNLFETDNNSYYRHTPSPNYQKLRDEEGIPDLEEEDQQVRMEAYREYVEEGSKNDHSSPPASEVADKNVVADEVQKRRDEQRNVAAPKKFLRDSAVARAIKTQFERASQCARELSELLEIRDHHYHPAHSLYEDDKGMGYRSLASTLRTLYNWERKLYHEVRAEEKMRLQLNKSHKELTNLVKSGGNPHKIDSTEKLINNFSTKIGISIQVVESISGKINKLRNEELWPQITELILRLIRMWNVMLECHHMQCLAISEAKRSDLDISSGKLSGDHADEMMQLEMEILKWISNFSAWVNAQRNYVKALNGWLLLYLHHEPEETADGVTPYSPRRIGAPLVFIVSNCWSQAMDWNSEREVLDAMQASAAAIHHLWEQQNIEPNERTIAIKDRDTWLRALKQKSKNIHREIDSLKKKLAIVPGHSGLPVYQWPFKGHTARLSSVQLGLEMIFEAMKDFSASSVKAYGELLQHCGEQRIARENAKASFDSRCLPATCMEDTIGQKVFTTFGFD >Ma06_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12661830:12662204:-1 gene:Ma06_g18520 transcript:Ma06_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQATVILVAIAITVALAPTAIGDIGGGRKSRFLAATDANGKKKCSIDPSACYSAGIPGQRCCGDQCVDTGSDRFNCGECGRLCKFTQACCGGKCVNLAVDKKHCGSCFNRCNKTCLYGLCDYA >Ma09_p28810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39453474:39462353:1 gene:Ma09_g28810 transcript:Ma09_t28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MEPPALVSSYSLKPGLRVPSSAPLSPRPSRPSSRPRRLLFFSLPGSWPGSEGRGSSFVTLVPKAAAEVPDGRPSRSDAAGRGGRRVSRQSQAQSTAPSFPVKEVASFVVPAGMFVATTFVLWKVVENVLMPKPKGSTSRANKSSLPGIKWSFSPGSNLQSGASVKIERESKQKLNEFAKELRTFSSVDMSGRNFGDDGLFFLAESLGYNQAAEEVDFSGNGITAAGLKAFDGVLQTNTVLKTLNLSGNNIGDEGARCLSDILMVNAGIQKLQLNSTGLGDEGAKAIAEMLKTNSTLRVLELNNNMIDYSGFASLAGALLDNKAIRSIHLNGNYGGALGAASLAKGIEGNKSLRELHVHGNDIGNEGIRALISGLSAHKGKITLLDIGNNDIGSKGAFHVAEYIKKTKSLLWLNLYMNDIGEEGAERIADALRENRTITTIDLGGNNIHASGVSAIARVLKDNSVITTLELSYNPIGPDGVKDLCEVLKFDGKIETLKLGWCQIGPKGAEHVADCLKYNTTLTTLDLRANGLGDDGAVCLARSLKIVNEALTSLDLGFNEIRDKGAFALAQALKANEDVAVASLNLASNFLTKYGQVALTEARDHVFEMSEKEINIFF >Ma04_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24785338:24785853:-1 gene:Ma04_g22470 transcript:Ma04_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAWRWLNAVRRSLIRSSSCTSAADLDAIKNNTTIYNPMIAEEGDPASATRITHGDIVVYKEEAPSRENSAAITIQAHFRGHLARRAFRALKSLVRLQAVVRGACVRRQARVAVHCMQALVRLQVRVRARQLRGRSGEVERP >Ma10_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24218307:24219447:-1 gene:Ma10_g10150 transcript:Ma10_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKATTVGDGEGKEAHFRGVRKRPWGRYAAEIRDPNKKSRIWLGTFGTAEEAARAYDAAAREFRGPKAKTNFPHADACNGPRGADGGGGTGSSSSQSSTVENSGSSEAAAAAAMPSLPAPLPPSPDINLVYRGQGGARFPFQPYSPVIQAAQPFFFLNTVARSENFPTSDASMTAYHRQLPLCQPTIIACWHPPPAVTVAAVTATQSDSDSSSVVDRHPDHRSPPSPSASPKSFALHLDLNLPPPLDTA >Ma03_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8185444:8187823:1 gene:Ma03_g10840 transcript:Ma03_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPLASSSSSKGLNLSHAPTVPFGGHREEDAASELFRGHPHHHHHQQMNPGLLRYRSAPSSLFEDPCENSLRATLLSAETENKGGTVGCQRSPQFRQPPPVLSSEMVSSQQRPAYSSALPTMYHHSQQQLQMHNMPNHSLAEISQRAVGSMATEMQRIENGAGRNSTSLTRQSSSPAGLFSPLDVDAGYGKMMGLDGFGNGDLLPMGDAANRLKDQMSFLPRQNSLMSKIPELGSEEVQNGGMMMKHVSGLRHQLSLPKTSSEMAAVENFLQFQDAVPCRTRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTADMLDLAVDYIHDLQKQVKALTEGRASCTCSRSKQQS >Ma05_p26640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38195197:38198375:1 gene:Ma05_g26640 transcript:Ma05_t26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGRKKTVKKSPLKPKDDGSAVPVTNGALNFEERQRAFNSREVERRTSAIQAICIAETENLLSRLRLLRSYLSKEQLETPALKFFHENLPNVTVTRNEKYQVFELQWKNREDYLLENPGGCKFARASISNALNMPAMCGLQFSVNSVKKNLLETANLQMPDFGLDEPSENQIRGRRDAFETPGATSNRLSFGMTPKTLRLPKNGEMLLSVHGSPLGVYKEESLAAIHESGDGSHEGAC >Ma08_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3297114:3302699:-1 gene:Ma08_g04750 transcript:Ma08_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 62, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18890) UniProtKB/Swiss-Prot;Acc:Q8H0U5] MEVFSLRSLPCAARPPSRTWKRALVERLAAVSGRSLPAPGKPSTCPRDGNPRSLCSRPRASAAVSSDAKAGEVSKQEMSSKDKDLVFVAGATGRVGSRLVRELLKLGFRVRAGVRSAQRAEPLVQSVQQMKPDVGTGPSGTEPAAKLELVECDLEKQGDIGPAIGNASTVLCCIGASEKEISDITGPYRIDYKATENLIKAATVAKVDHFILLTSLGTNKFGLPAAILNLFWGVLFWKRKAEEALIASGLPYTIVRPGGMERPTDSYKETHNIVLANEDTYFGGQVSNLQVAELMAFMAKNRKISYCKVVEVIAETTAPLLPMDELLSKIPSQREPPAEIVKDVARSSTEPKPTKLVVEEEPEKTEGQKTIPLSPYYMYADLKPPSSPTPIPSATPGSSKEKQVEFVQAKSEPEPTSTSLSASKLSEDVAKKEAGQVLAQKTRPLSPYTAYEDLKPPSSPSPVDYKAENCKATTNPVIPESPEVPHGNSSYRSPFPVYEDLRPPASPAPSLPKY >Ma09_p09410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6199809:6200960:1 gene:Ma09_g09410 transcript:Ma09_t09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYDDRDDVQETALSLRLNYDGGSSHLSTGDHPRPQAATPVEPSLTLVLPSMACSVSGVVGRMPSSHSVISSISGGRPSKVKRENDGRISDEEEDGAARKKLRLTKEQSALLEDKFKEHATLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKKTEVECEFLRKCCETLREENRRLLQEIQELKSLDLQAPRYMHPPGGAAAGTFQTCPSCKNRDEGGSPAVAPKTRFFNPFAHSAAY >Ma09_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6199809:6200960:1 gene:Ma09_g09410 transcript:Ma09_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYDDRDDVQETALSLRLNYDGGSSHLSTGDHPRPQAATPVEPSLTLVLPSMACSVKRENDGRISDEEEDGAARKKLRLTKEQSALLEDKFKEHATLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKKTEVECEFLRKCCETLREENRRLLQEIQELKSLDLQAPRYMHPPGGAAAGTFQTCPSCKNRDEGGSPAVAPKTRFFNPFAHSAAY >Ma07_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11291436:11293440:1 gene:Ma07_g15030 transcript:Ma07_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRHALAVTVALVLASSALLADARIPGVYTGGPWQTAHATFYGGSDASGTMGGACGYGNLYSQGYGVETAALSTALYNDGLRCGACFEIKCADDPRWCKGGNPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSFRRVPCRKSGGIRFTINGFQYFNLVLITNVAGAGDIVRASVKGTRSGWIAMSRNWGQNWQSNAVLVGQSLSFRVTGSDHRTSTSWNIAPASWQFGQTFSGKNFRV >Ma05_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1593970:1598109:1 gene:Ma05_g02480 transcript:Ma05_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQTAALLSASPNLIDPQRFRVGLLARSLIGRRGSIFVVRSDGRTSGFFNAKSRSGNFITNAVATKADASTSSTASKPGHELLLFEALREGLEEEMDRDPHVCVMGEDVGHYGGSYKVTKGLATKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPIVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKERIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAVKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGSSLRAAIIDNFWDYLDAPIMCLSSQDVPTPYAGTLEEWTVVQPAQIVAAVEQLCQ >Ma02_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27019952:27023573:-1 gene:Ma02_g21380 transcript:Ma02_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRSHGCKDCGGRYILLRDDEDPRLARFNRRLPCFGCGIGWSSLLLGFLCPLIWYCAAILYLCKYYDNDPRERSGLAASAIAALVSTIVVLITLAVIFL >Ma11_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5511970:5514973:-1 gene:Ma11_g06890 transcript:Ma11_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTIKLRSSDGEVFEVEEAVAMESQTIKHVIEDDCADGGITLYNVTSDILVKVLEYCKKHVDAAVGSFIKVLGGVDKDLESWDAEFVNVDLATLVDISNAANYLNIEGLLDLTTQAIADNIKGKKPQEIREIFNIENEFTPEDEERVREENSWAFE >Ma03_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3306860:3307691:1 gene:Ma03_g05050 transcript:Ma03_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVDGHPITFYYLVKSRWQCMLKLLEAEMIDSNNFIKFRNRNRRFQNWNQFSSSSKFGGVRTDDFRTVGTPNKLIVHWLHIAW >Ma03_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4749585:4751332:-1 gene:Ma03_g06920 transcript:Ma03_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESGTDEMISWGKKGESFVVWKPAEFARDLLPLHFKHNNFSSFVRQLNTYGFRKVVAERWEFTNDNFRQGEKKLLRNIHRRKPASEPRPSADDKSSSRHEIRLPPSSTSNSWDRHSSSSASSPPPLPLKQPLELANENEKLRKDHYVLNTELDRAKCRFHELLRILSKYVDVSQLELGLPMRPAAAEAAEIVIKMGLKSKILESCDGLSKSLSIKLVDIDHYF >Ma03_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15070129:15077550:-1 gene:Ma03_g15270 transcript:Ma03_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVRCPANYVPLSPISFLERAALVYGDRTSVVHGSVAYTWRETRGRCFRLASALARLGVSRGDVVAVLAPNIPAMYELQFGVPMAGAILCTLNTRHDSAMVSVLLKHCEPKVMFVDHQLLEVVQGAFKLLSAEKVKLPLLVMISESTGCSSAASGNMEYESLLTTASPQFEIKWPADECDPISLNYTSGTTSRPKGVIYSHRGAYLNAVATILLNDMTAMPVYLWTVPMFHCNGWCLTWGMAAQGGTNICVRNISAKAIFDNIAQHRVTHLGGAPTVLNMIVNASIADQKPLPGRINVMTGAAPPPPNVLFKMEELGFHVTHSYGLTETYGPGTVCTWKPEWNSLPAEERARLKSRQGLQHLGLEEVDVKDPVTMKSVPADAKTIGEVMFRGNTIMCGYYKDMSATKEAMAGGWFRSGDLGVRHADGYIQLKDRSKDIIISGGENISTIEVESVLFSHPAVLEAAVVGRPDEHWGETPCAFVKLKEGSGANAEDIIKFCRARLPHYMAPRTVVFEDLPKTSTGKVQKFVLREKVKAMEKSLFKISGSKL >Ma09_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9355914:9360616:-1 gene:Ma09_g13800 transcript:Ma09_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFRIPTVDVLRLPHAAASPSAGSVRDSVAEAAPRRETDPKKRVVITGMRLVSVFGNDVDAYYEKLQEGESGIGNIDRFDASKFPTKFAGQIRGFSSEGYIDEKNDRRLDDYLRYCLVSGSQAHDKVKSIKDKMIDKVRAGVLIGTGMGGLTVFSDSVQALMQKGYRKITPFFIPYARLNMVSALLAMDIGFMGMTYSISAACATSNCCFYAAVNHIRQGEADVMIAGGTEAAIIPVGVGGFVACTALSQRNDDPKTASRPWDKVRDGFVMGEVAGVLLIFKRYNFVHAMHQLLLSEYLGGAVNCDAYHMTDPRADGLGVSSCIRKVLKMLGWHQKRLITLMLMQLPPLWVI >Ma04_p26190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27737864:27740071:1 gene:Ma04_g26190 transcript:Ma04_t26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLPAQMAVAVLRSTTSGDFHDDRASEGKPVGRRRVFIQTETGCVLGIELDRQDNAHTVKRRLQLALHVPAEESSLVFGDLVLKNDLSAIRGDSPLLLTRSSMHRSSSTPCLSPGVKDLHQSDSSGPVEMLGCSSRRAPMKQLVGDAVKAIRRGVDPVPVHSGLGGAYYFRNSSGDKVAIVKPTDEEPLAPNNPKGFAGKALGQPGLKRSVRVGETGFREVAAYLLDHGSFARVPPTALVKITHSVFHVNVGLSSGNTCSRKRQCISKIASFQQFIPHDFDASDYGTSSFPVSAIHRIGMLDVRILNTDRHAGNLLVRKVETGDGRLGGWMDLIPIDHGLCLPESMEDPYFEWVHWPQASVPFSEDELEYIANLDPTRDSDMLRMELPMIREACLRVLVLCTIFLKEAARIGLCLAEIGEMMSRELRGMEEEPSELEVVCMEARRLVAEREVLSPKAELKDEEEEGLHFHVECKEDDQDNTYNSLQAFRKHPPKLEESIEEDEEITDFTHVREESAASLKGVNLTETTKRNQTGKAYDRDETLPFGTSFVRLADMSEEEWGAFLENFQVLLQSAFHDRKCLATKQRQKQRLGIA >Ma04_p26190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27737858:27740071:1 gene:Ma04_g26190 transcript:Ma04_t26190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLPAQMAVAVLRSTTSGDFHDDRASEGKPVGRRRVFIQTETGCVLGIELDRQDNAHTVKRRLQLALHVPAEESSLVFGDLVLKNDLSAIRGDSPLLLTRSSMHRSSSTPCLSPGVKDLHQSDSSGPVEMLGCSSRRAPMKQLVGDAVKAIRRGVDPVPVHSGLGGAYYFRNSSGDKVAIVKPTDEEPLAPNNPKGFAGKALGQPGLKRSVRVGETGFREVAAYLLDHGSFARVPPTALVKITHSVFHVNVGLSSGNTCSRKRQCISKIASFQQFIPHDFDASDYGTSSFPVSAIHRIGMLDVRILNTDRHAGNLLVRKVETGDGRLGGWMDLIPIDHGLCLPESMEDPYFEWVHWPQASVPFSEDELEYIANLDPTRDSDMLRMELPMIREACLRVLVLCTIFLKEAARIGLCLAEIGEMMSRELRGMEEEPSELEVVCMEARRLVAEREVLSPKAELKDEEEEGLHFHVECKEDDQDNTYNSLQAFRKHPPKLEESIEEDEEITDFTHVREESAASLKGVNLTETTKRNQTGKAYDRDETLPFGTSFVRLADMSEEEWGAFLENFQVLLQSAFHDRKCLATKQRQKQRLGIA >Ma05_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10732656:10744514:-1 gene:Ma05_g14660 transcript:Ma05_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIGIRTSLGPSPRLPASANTYRNPREATTSVCFFKEILGRRLSCPFLNSRGCSNPNARLRRTAIRCSTTSTAKEAASVSKKTSMTRRDVRNIAIVAHVDHGKTTLVDAMLKQAKVFRDNQTVQERIMDSNDLERERGITILSKNTSISYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQVIYASGIKGKAGLSPDNLADDLGPLFEAILRCIPEPSINKDGPTQMLVSNTEYDEHKGKIAIGRLHAGVLQKGTEVKVCTSDDTCRFAKISELFVYENFSRVPVEQVQAGDICAVCGINDIMIGETIADKSSGKALPTIRVEEPTVRMSFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETSDTFIVSGRGTLHLTILIENMRREGYEFMVGPPKVINKYIDDKLLEPFEIATVELPEEYMGPVVELLGKRRGQMFDMQGVGSEGTSLIKYKIPTRGLLGLRNAILTVSRGTAVLNTVFDGYRPWAGDISTRDQGSLVAFEDGSTTSYALFSAQERGQLFISPGMEVYKGQIVGSHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDSPLSYSLDDCIEYIQEDELVEVTPLSIRMCKNPKLTKKR >Ma06_p35100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35091374:35091883:-1 gene:Ma06_g35100 transcript:Ma06_t35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVDLFFITDGMELLHTKRRKHETCKKLNAVLGSCKIGLADSFQHGFSCLPPEVAETLLGLEL >Ma08_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17438576:17445881:1 gene:Ma08_g16210 transcript:Ma08_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVLENPSPRRWRFTWETLAHIPTLRLYLFHPDVHPSALCGNLSASLRLDQSLLLVSWIDDRNGGGTGDVVSLKAPVPKVLIDPSCPVECRAMDDHIEIKLALVLPVDHPVMMDLRGVLDSYMGEMGRQEGGLSDPLVPLPLDLDIKNLSAGGVHFFCKSCSTKLTKQPLRHFVEMPSVNWREVADNWFGTCCCSFGGISEKLVRQYVNRYSCHEGTCLLDGASIIICQDDLEGYSFQELLAGFSDHKNKDQVACIVINDSVKGGSGQDFVEDNADVGVMPGSSSKEDVCMDLATELPIRKNTDLLSSPILKSSDICNNVRRRTECGLKGCSNPIGSSLDEIQSPQHADFLNLNLDHCCGSSGKPLPEPSDGFPSEAHCNICDHKSNNLVNYASGGSMLDVPIMPAKVQESMTSSGLSGSQKWLHNSSLGGGFIVRTSNLSNDIEWVGFSCKKCLSMIGCYPSFKSTNIPVDGGIRLFKCYISTSVPAGGPCDIFRNHTLQRVFANVFLEGAEEELSYRIIVRDLKLKSPMLLLVLLNSKAWISSGYCSEDSMGSLSAADLQPVLKVLYSDCSIASEANSRIIEDWSTRNHAEEVYMTTCLVEELIMCLKSALYNLPPSCSSLQGMSLSFLER >Ma08_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7578647:7581339:1 gene:Ma08_g10380 transcript:Ma08_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERYNRRNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPQDTEFEGGIYHGRIQLPAEYPLKPPSFMFLSPNGRFETQTKICLSISNYHPEHWQPSWSVRTALVALIAFMSTNPNGALGSLDYKKEVRHELAIKSREAAPRYGNPERQKLIDEIHQYMLSKAPPVPQLPPTPTTEPTDNLVTEDQVTANLDAITAAEDLPNQESDQRAIEDGHEVRVNAAEGPLRVGISAGASLRLPAVEVRELHQRPAARVQKPLDDRFLTWAAIWLVVAILLLLVKKFLKSNSFAGYMGSL >Ma05_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4951282:4956466:1 gene:Ma05_g06730 transcript:Ma05_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRSSITSSTSAAASASAKRPAAMENAAKLATAMGEQAKKRVALGNISNQSNVARNPARPLGAKSANKAIPTSSASTVKKGSLTGARDTRLSRSSAVTSATIRPNTNKSSKRSSLPVNNGPKPAAAASLTSCIIVESSNFSGDSVSLDETMSTCDLMKSPDVEYIDNGDSSVVASLERRATENLHISEHVAAAGSTSNIDVPTPMEVDNVIDVDTNHNDPQFCTTLACDIYRHLRIAETKKIPSTNFMETIQKDINASMRSILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFKDEVLEMEADVLKYLKFEMTAPTSKCFLRRFIRAAQGSDEVPALQLEFLACYIAELSLLEYNLLCYAPSIIAASAIFLANFIMQPTKRPWNATLGHYTLYKPSDLSDCVKELHRLFCTSAGNNLPAIREKYSQHKYKFVAKKYCPASIPLDYFQDEVH >Ma03_p28340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31470029:31474230:1 gene:Ma03_g28340 transcript:Ma03_t28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLRNRGSVILLGLLLAGSLFAFSIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRRFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGEVKVFSPEEISAMILTKMKETAEAFLGKKINDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESIYEGLDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTVVSVQVFEGERSLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVRAEDKGTGKSEKITITNEKGRLSQEEIERMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTINDKDKLADKIESEEKEKIEAALKDALEWLDDNQNAEKEDYDEKLKEVEAVCNPIISAVYQKSGGAPGGGSDGGDDDDDAHDEL >Ma08_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10492067:10492536:-1 gene:Ma08_g13260 transcript:Ma08_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSCFGEVGKGRSAEADSRRKQKSTELNEVVSNGEELNETTSCVEELTVSSQVPRSNIDLHVAEHILHGHVRPPCLCSFCCMLMATQIAYIMFLPEAPSET >Ma05_p31090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41176657:41180770:1 gene:Ma05_g31090 transcript:Ma05_t31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIGSLNLVPPPSLSPLPSLVPHNSSKWRRFLSASAPRTSLSPPQCSSVAELGEGLGRVSAVALLAASLFFADPALAFKGGGPYGAEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVTKANLSNANLEGALATGNTSFRGSNINGADFTDVPLREDQREYLCKVADGVNPVTGNSTRDTLLCN >Ma05_p31090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41176657:41180768:1 gene:Ma05_g31090 transcript:Ma05_t31090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIGSLNLVPPPSLSPLPSLVPHNSSKWRRFLSASAPRTSLSPPQCSSVAELGEGLGRVSAVALLAASLFFADPALAFKGGGPYGAEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVTKANLSNANLEGALATGNTSFRGSNINGADFTDVPLREDQREYLCKVADGVNPVTGNSTRDTLLCN >Ma06_p32540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33452222:33452942:-1 gene:Ma06_g32540 transcript:Ma06_t32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAESGFNAAAVAVPAAVAGGGRSGSDAAPLLYLFGGLVAMLGLVGVALILLACAYWKLSGHFDRGGAEPGANLEPATATPATFYKQEIVVVMAGDEKPTYLATPIPSGASSFGGRSSKNEDEDDEKRE >Ma04_p39720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36754502:36760678:-1 gene:Ma04_g39720 transcript:Ma04_t39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf3-interacting protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G44650) UniProtKB/Swiss-Prot;Acc:Q9LU01] MALSLSSPPFKTPALLHHGSPRTYLSPLLGTRRPSVSFSPSSDRPLRLRFHRLHSQRLVASVGKEETELRVAGDELSDASPEDLACVREIQRVLELLKKNRDMLFGEVKLTILIEDPRDVERKRLLGIEDPDDVTREDLVAALEDVNEGRIPKNRVALQLLAKEMTEWPDLEVEASKKSRPSKSLYAKATDTGVDPEVAAKRLDIDWDSAADIELEEEEVEIEVPPAVGYGALYLVTALPIIIAVSVILILFYNSLQ >Ma08_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37763029:37768160:1 gene:Ma08_g24670 transcript:Ma08_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYIRMTKADYDKECKICKRPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCVLDLEYGLPVQVRDTALAINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKVLPNDTILKLQRTTPYYKRNRAHVCSFYLRGECTRGAECPYRHEMPEIGELSQQNIKDRYYGVNDPVAQKLLSKAGEMPSLNPPEDESIKTLYVGGLDARISEQDLRDHFYAHGEIESIRMVLQRACAFVTYTTRDAAEKAAEELANKLVIKGLRLKLMWGRPQASKPEGEAQDDDAGRQGVATHAGLLPRAVISQQQSNHQPLPPGTENHQQPPLNYFNIPAPPQAARTFYPSTDPQRMGALVPTQEGSNNKNGSEKQPEQQAPNLHGHAFPMAPPHPQGSQYRPPYYPPYGYMAPLPPPYQQYPPYPPMMAPRPPPPSAPQPAVQQYTQGPPGPS >Ma01_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7199266:7202459:-1 gene:Ma01_g10070 transcript:Ma01_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNTVGLPETSAASGEVLSDAWDYKGRLAVRSRTGGWTGAAMILVVELNERLATLGIAVNLVTYLTGTMHQGNADAANVVTNFMGVSFMLCLLGGFVADAYLGRYLTVGIFTAVQASGVTILTISTALPGLHPPPCADTASGSCAEASGLQMGVLYLALYLTALGTGGLKSSVSGLGSDQFDETNSGEKAQMLKFFSWFFFFISLGSLLAVTVLVYIQDHLGRRWGYGLCASSIVVGLVVFLAGTRRYRFKKLAGSPLTQIATVVVAAWRKRGLELPSDPSLLHDIDAKQAAAAENGSRKSKAKQRLAHTKQFRFLDRAAINVNTIADKHSKWELCTITDVEEVKTVIRMLPIWATTIMFWTVYAQMTTFSVSQANTMDRHVGRSFEIPSGSLTVFFVGSILITVPIYDRVIVPVAKRLTGNPHGFTPLQRIGIGLGLSALAMASAALTEIKRLRVARSDPAALEMGATVPLSVFWLIPQFFLVGAGEAFTYVGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSTALVAAVDSITGQSGHGAWLADNLNHGKLNNFYWLLAALCLVNLMVYLVAAKWYVYKDQRPAVDDDSVARVELTEETFHHA >Ma08_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9029445:9029959:1 gene:Ma08_g12050 transcript:Ma08_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKLLFLLLSLLLFLHITIRAIFFVDKVDDIRRIRIKYFTFPPFMCQSFLACMFSWSTATCGSCIQEPKVRLVSARLLSSESPELNFAKRPGLAFEPLRVVFINVPGVSSLQ >Ma11_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26443043:26444622:1 gene:Ma11_g22830 transcript:Ma11_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKHKLLLATMELETLRANAKEEMRKREEDIGHLIHLLQVITRERDAARNQLQLLLDSITRPHTGELSPMLSGTLQEAATASDSLSGTPTHRSYGASPVASPELSSMKMADPSNMPKNNGAALRAKEASAVIDGLVIKKPLPEKGKLLQAVLEAGPTLQTLLLAGPLPRWRNPPPPQPFQVPPVGVSAHKASSPNPRIAPAVGLCTIKRPLTSPCMSNYGNLVLKRQKTRFTGGYCTM >Ma11_p22830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26442914:26444622:1 gene:Ma11_g22830 transcript:Ma11_t22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLPSVWSSHEIMEDLKHKLLLATMELETLRANAKEEMRKREEDIGHLIHLLQVITRERDAARNQLQLLLDSITRPHTGELSPMLSGTLQEAATASDSLSGTPTHRSYGASPVASPELSSMKMADPSNMPKNNGAALRAKEASAVIDGLVIKKPLPEKGKLLQAVLEAGPTLQTLLLAGPLPRWRNPPPPQPFQVPPVGVSAHKASSPNPRIAPAVGLCTIKRPLTSPCMSNYGNLVLKRQKTRFTGGYCTM >Ma11_p22830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26443043:26444622:1 gene:Ma11_g22830 transcript:Ma11_t22830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKHKLLLATMELETLRANAKEEMRKREEDIGHLIHLLQVITRERDAARNQLQLLLDSITRPHTGELSPMLSGTLQEAATASDSLSGTPTHRSYGASPVASPELSSMKMADPSNMPKNNGAALRAKEASAVIDGLVIKKPLPEKGKLLQAVLEAGPTLQTLLLAGPLPRWRNPPPPQPFQVPPVGVSAHKASSPNPRIAPAVGLCTIKRPLTSPCMSNYGNLVLKRQKTRFTGGYCTM >Ma07_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11958865:11960239:1 gene:Ma07_g15750 transcript:Ma07_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMPTSALTVSGLAAVEMENLSSAQIVVLSLLMFHGGKVFVTLLGLLFGRGKQDEPGSSAGRVDSACIELESVDPSQNTIDRIESGISPPAAGADESSVRSLGYVVSGYVAIFHLIGTSLLLGARGILKTKGIDVFLFCLSATVSSFANGGLIASNENMAIMNKDPVVLLLMIAQVLGGNTVFPLQEEVSQLSYIAIFIIAICITERRKMTRDPHNFSTLKLIFEVISGYRNVGLPTGHSCWRLLRLHPQAYPGSSSSMEG >Ma03_p31400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33630917:33634418:1 gene:Ma03_g31400 transcript:Ma03_t31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIGFLPAFTIGDGASAAALPRRAVLLPSFAPPRRWAVAAGSHAAVEAAPDATGKRRPRGITKPRPVSPELQAVVGEAEIPRTQALKKIWAYIKENNLQDPENKRIVVCDEKLKKVFGGRDRVGFLEISGLLNPHFAK >Ma09_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:16932046:16933118:-1 gene:Ma09_g18210 transcript:Ma09_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDGIPFHYYISSGHTLSNKLEHLQNTFDETRNLLRVYSGFNDMEVLVDVNGIDGTSLQMITSRHPHIKGINYNLSRIISGAQPMPGVEHISIDMFEA >Ma06_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:67331:67543:-1 gene:Ma06_g00090 transcript:Ma06_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQQQTVDEKEKTTYEEEGGRQVRQVHVSIRSNCALELKHEEEGGQESSTRVRPFFLESNEVIDAAAI >Ma09_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34844774:34845806:1 gene:Ma09_g22960 transcript:Ma09_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDDASTVEIRDVWANNLEAEFAVIREIVDDFPFVAMDTEFPGVAVRPLGDFKTVADQNYHILRANVDLLHLIQLGLTFSDADGNLPTSSAAGGHPVVWQFNFREFDVDRDVSNPDSIDLLIRSGIDLVRNREFGVDAIRFAELLMSSGVVLNDSVSWVTFHCAYDFGYLLKLLTCRRLPDTREGFFELVRTFFPVVYDIKHLLKFSNSLHGGLNKVAEQLEVERVGICHQAGSDSLLTARAFRKLMGSYFDGSIERYAGVMYGLDIEHGINTR >Ma10_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28178526:28180574:1 gene:Ma10_g16500 transcript:Ma10_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVIGNVVGDILDPFVKSANLKVTYNNKELINGSELKPSTIADEPRVEIRGRDMRTLYTLVMVDPDAPSPSTPTKREYLHWLVTDIPETTNASYGNEIVTYESPRPISGIHRFVFVLFRQSVRQTIYAPGWRQNFSTRDFAAVYNLGDPVAAMFFNSQRENGCGGRRCYQPVNGWM >Ma09_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8102056:8107296:-1 gene:Ma09_g11970 transcript:Ma09_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATTVQLPAQPKPRTEQAMDAYEKLEKLGEGTYGKVFKAREKATGKIVALKKTRLPEDDEGVPPTTLREVSLLRMLSVDPHVVRLLDLKQGQNKQGQTILYLVFEYMDTDLKKYIRSFRQNRDMIPPKTIKILMYQLCKGIAFCHGRGVLHRDLKPHNLLMDQKTMMLKIADLGLSRAFTIPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELVTTHALFPGDSELQQLLYIFQFLGTPSEEVWPGVDRLSNWHEYPKWSPKNLSSAVPNLDADGLDLLSKMLQYQPSKRISAKRAMEHPYFDDINKANY >Ma07_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:801123:801542:-1 gene:Ma07_g01040 transcript:Ma07_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNLKAIVEFTVEICSYLHDNSIVFSLSSLTVAKGVFVSSGF >Ma07_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10067898:10068948:1 gene:Ma07_g13350 transcript:Ma07_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYTSSKPIPGGAPPPAFPPTKSQINGATRLAYRPQPAKPSRRRSRRGLCCSCCLSLIMILAVLVILAAIASGVVYAIYSPRRPVFSVSSLRFAALKVSAGGHLTSSLNINVTARNPNKKLVYLYDPISVSVLSGGVDVGDGTFAAFVQDAASSTLLSAAASSSGQLLDSAAASDLRKSSSMPVEIDMETKAGVKIGGLKTKKIGIKVRCEGISVAMPKGKKAVVAASPGGDCKVKLRIKIWKWTL >Ma08_p32920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43471523:43472186:1 gene:Ma08_g32920 transcript:Ma08_t32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHDTCKVLIEGAGARSDPHDDDYDGADEPHLLYILNLILNGTARLNVLLPTATILAFTIFAPLLTDDGGNCSSLNRWLMAAFVASCAASCVFFTFTDSFRASFSSGRLYYGVATFRGIWAFNARRKGPQNPAAYRLTWSDLFHASLSLLAFLAFAASHGDVMGCYGVKLPRKVANTVPLVVGFVVSVSFVLFPSKRRGIGYPFLLQRDAVFVKG >Ma02_p02950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14352149:14357919:1 gene:Ma02_g02950 transcript:Ma02_t02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMALREQLNVLVNSMFLEGLLDQQFRQLQMLQDASSPGFVAEVITLFCEDSERMLTELTKLLDQAVVDYQKVDAYVHQLKGSSSRCLSALNMVKHQYYSLRSKFDTMLQLEQRIQAYGSEQQN >Ma02_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14352149:14357919:1 gene:Ma02_g02950 transcript:Ma02_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMALREQLNVLVNSMFLEGLLDQQFRQLQMLQDASSPGFVAEVITLFCEDSERMLTELTKLLDQAVVDYQKVDAYVHQLKGSSSSVGAQNVKLACIQFKQFCEENNKEGCLSALNMVKHQYYSLRSKFDTMLQLEQRIQAYGSEQQN >Ma09_p27800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38707508:38708820:-1 gene:Ma09_g27800 transcript:Ma09_t27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGGRKKAAKIMKIDGTTFKVKPPAQAITVLRDHPGHALLESDEVKRLALRARPLDPDAQLQRGKLYFLVELTQLRGRGAPRRAWSGQLQVGAKERLESLRLTRRSVSDLSLAGRCSVDVEEAKDGAVRLRVRLPKVQVEKLMQDSRDAAEAANKIMQLCVEKDGVQKKLLQPTADHQKEKRTRFVVTPDEIIV >Ma01_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24388718:24389765:1 gene:Ma01_g22330 transcript:Ma01_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRDGSASKMALLLCCALVCLLSFRALLTSPHSDAGSLSSASHAQEAWAGGRRELRGGPGSYPPLCTAKCGDCTPCIPVHVAVPPGRPVIAEYYPEAWRCKCRNKLYMP >Ma10_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1417439:1434731:1 gene:Ma10_g00190 transcript:Ma10_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVVESPSELADKLLPLNLEEENQLQKKLQTEHGKVENSIKMLSCQMSELDKRKTDVLNETKLLHQRIDERNWGDAVQDMIRMLKIVKDLERKESDLLSSCKLIHADVEESFTYGGQLEFAEFDEALSQSMEKLTSAKREFAAKLRAILSVKRKIGDVPTQVELIQYERRFSELYSQIQEKHRQTSKYYDTYNALLEIKELMLKETSLLNSINSQLQDALTSSIGSSKLVDSMEVIVKGAQQKLEKVQLRLLAEKKHSDFLREKYTAAIADQRHFSSLLKAFQDECTKNERLSQLVQNRMSSSASL >Ma10_p00190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1417390:1434731:1 gene:Ma10_g00190 transcript:Ma10_t00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVVESPSELADKLLPLNLEEENQLQKKVAYLLLEIKESKNNVQQLQTEHGKVENSIKMLSCQMSELDKRKTDVLNETKLLHQRIDERNWGDAVQDMIRMLKIVKDLERKESDLLSSCKLIHADVEESFTYGGQLEFAEFDEALSQSMEKLTSAKREFAAKLRAILSVKRKIGDVPTQVELIQYERRFSELYSQIQEKHRQTSKYYDTYNALLEIKELMLKETSLLNSINSQLQDALTSSIGSSKLVDSMEVIVKGAQQKLEKVQLRLLAEKKHSDFLREKYTAAIADQRHFSSLLKAFQDECTKNERLSQLVQNRMSSSASL >Ma09_p26770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37961302:37963006:-1 gene:Ma09_g26770 transcript:Ma09_t26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAASRLLRRALNPAVARRRFSTAAVAAETPPSPLPLATGEEEAGMVSMKGVRISGRPLYLDMQATTPVDPRVLDAMLPFYLSRFGNPHSRTHLYGWESESAVEAARASVAALVAADPKEIFFTSGATESNNISVKGLMRFHRARKRHVITTQTEHKCVLDSCRHLQQEGFEITYLPVRPDGLVDLGHLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICSEKGVVFHTDAAQALGKIPIDVEKMGIGLMSLSGHKIYGPKGVGALYVRRRPRVRIEPQMNGGGQERGIRSGTVPTPLVVGMGAACEIAMKEMDYDGRRISALQERLLNGVRAKLDGVVVNGSLEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEAEVDKAIELTVRQVEKLREMSPLYEMVKEGIDIKSIQWAQH >Ma04_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11427128:11431227:-1 gene:Ma04_g15090 transcript:Ma04_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAHGLGELIERLRLYEAPHPSDDGGGDGEDVDERGKVFSSLGLAETAAAAADAPRLERFRPKRAAVLICLFEGDPGELRVILTKRSSNLSTHSGEVSLPGGKAEEGDKDDADTATREAKEEIGLDPSLVTVVALLEPFLSRHLLRVVPVVGILSDKQAFKPKLNANEVETIFDAPLEMFLKDQNRRSEEREWMGEKFLVHFFNFETGNKKFVIWGLTASILIHAASIVYQRPPSFPEQKPKYRIPHYIKGNCTMP >Ma06_p24180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22641783:22645554:-1 gene:Ma06_g24180 transcript:Ma06_t24180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPSTGLQQALQAVVQSVHWTYSLFWQVCPQQGTLVWGDGYYNGGIKTRKTVQPVEVSTEEASLQRSQQLRELFESLSSGEANLPARRPCAALSPEDLTEAEWFYLMCISFSFPPGVGLPGKAFARQRHVWLTRANEVDSKAFSRAILAKSAHVQTVVCIPLMDGVLELGNIEKVEEDMALIQHAKRFFTDYFDVHTKPALSEQSASNQFASADHALYHQQAPIIHQMNMETHASNQEEDDAHDHNEDYNDDDDDDGDDDGDGSCRSELKGMRYGVDVSMHHPAVAAAAAAAEEEEASELMQLEMSEDIRVGSVSDCSNNLDVEHMPDGESKKQRQDHDFSKNWHFLLEDLGNGFGFQQSLGTQVQDFSSEDAHYSETVSSILRHNMNRWVDASSFSYLVRSHNSAFSMWSCKRDHTVSSQGSSQWLLKSVLLNIRDRLCKLGDGRDGEGGNKLQKCATQEEVSANHVLAERRRREKLNERFMVLRSLVPFVTKMDKASILGDTIEYIEQLRRRIQDLEGRNRQQSSKRQCGSQVGNCKLDVQRRVGLSADKRKLQVLEGSNGMATTSVHVSMAEADALLELHCPCRDGLLLDIMQTLHELGLEVSSLQCSSTNGVFGAEMRSKMKEAYGRRVDIVELKKAIHQIISDH >Ma06_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22641783:22645554:-1 gene:Ma06_g24180 transcript:Ma06_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPSTGLQQALQAVVQSVHWTYSLFWQVCPQQGTLVWGDGYYNGGIKTRKTVQPVEVSTEEASLQRSQQLRELFESLSSGEANLPARRPCAALSPEDLTEAEWFYLMCISFSFPPGVGLPGKAFARQRHVWLTRANEVDSKAFSRAILAKSAHVQTVVCIPLMDGVLELGNIEKVEEDMALIQHAKRFFTDYFDVHTKPALSEQSASNQFASADHALYHQQAPIIHQMNMETHASNQEEDDAHDHNEDYNDDDDDDGDDDGDGSCRSELKGMRYGVDVSMHHPAVAAAAAAAEEEEARTQVQDFSSEDAHYSETVSSILRHNMNRWVDASSFSYLVRSHNSAFSMWSCKRDHTVSSQGSSQWLLKSVLLNIRDRLCKLGDGRDGEGGNKLQKCATQEEVSANHVLAERRRREKLNERFMVLRSLVPFVTKMDKASILGDTIEYIEQLRRRIQDLEGRNRQQSSKRQCGSQVGNCKLDVQRRVGLSADKRKLQVLEGSNGMATTSVHVSMAEADALLELHCPCRDGLLLDIMQTLHELGLEVSSLQCSSTNGVFGAEMRSKMKEAYGRRVDIVELKKAIHQIISDH >Ma06_p24180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22641783:22645474:-1 gene:Ma06_g24180 transcript:Ma06_t24180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPSTGLQQALQAVVQSVHWTYSLFWQVCPQQGTLVWGDGYYNGGIKTRKTVQPVEVSTEEASLQRSQQLRELFESLSSGEANLPARRPCAALSPEDLTEAEWFYLMCISFSFPPGVGLPGKAFARQRHVWLTRANEVDSKAFSRAILAKSAHVQTVVCIPLMDGVLELGNIEKVEEDMALIQHAKRFFTDYFDVHTKPALSEQSASNQFASADHALYHQQAPIIHQMNMETHASNQEEDDAHDHNEDYNDDDDDDGDDDGDGSCRSELKGMRYGVDVSMHHPAVAAAAAAAEEEEASELMQLEMSEDIRVGSVSDCSNNLDVEHMPDGESKKQRQDHDFSKNWHFLLEDLGTQVQDFSSEDAHYSETVSSILRHNMNRWVDASSFSYLVRSHNSAFSMWSCKRDHTVSSQGSSQWLLKSVLLNIRDRLCKLGDGRDGEGGNKLQKCATQEEVSANHVLAERRRREKLNERFMVLRSLVPFVTKMDKASILGDTIEYIEQLRRRIQDLEGRNRQQSSKRQCGSQVGNCKLDVQRRVGLSADKRKLQVLEGSNGMATTSVHVSMAEADALLELHCPCRDGLLLDIMQTLHELGLEVSSLQCSSTNGVFGAEMRSKMKEAYGRRVDIVELKKAIHQIISDH >Ma01_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15055796:15057238:1 gene:Ma01_g19420 transcript:Ma01_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSTTGSTAAAAPLPHMIPKPTHRHRYCNSRSSSTSPAAAVRWRPQLSRPPVPVPPQVLLPLRRPQQPSPANNPRPLPTKPSPRRPVVDAPDHSSHDDDDGDECSSSSSGRNVKNSSCTPTDVLRLMDVLQLPVDEDLYVSLIKECAEYRDAAQGARVCAHIRRSLPDLLRRPAGLHLANRLLFMFAACGQQHVARQLFDQMHFRDATSLAVVIAALSASGSHGDALRLFVEMCVRSGGRSPVLEPGVCWLEALVTVLRSCARTRELGFGRQVHGLAIKVLGGDDAVLLGDVGESLIQFYGRLGHHGSAVKLFEGTRSQSAAAWTCMISGYSREGRFKEAIGVFREMGRAGRRKNCHVFSSTLSACAKMGDGGWSGKQVHAAAIKLGTSSDGFVCSSLVDMYMKHELPTEAQRAFATMDGMRDCVCWKSLLIGYARSGCGMEAVKLLYEMKAAGINVQESIVHEAMTALDIVKQVQET >Ma05_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10213883:10214812:1 gene:Ma05_g14040 transcript:Ma05_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRFQLEGQISEGYISQHLHSLITLFYGLSQSSFTRAFNFLPRNSAVREEQARPVSTLSTHSNSASYSKKSIVVFFFLLSIFVARLCEQ >Ma02_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19348468:19350897:-1 gene:Ma02_g09250 transcript:Ma02_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISDVEEEARPQASSSSSWADADDEALASVLAKRGPMPLLEAAIDLVRRRTEFFKDEAAVGEVVKAVTAAKEKFDAEERLKKRAADEAQKNRLQEDVAAAANAEEKKNLRKPNSGNGLDFDNYSWTQTLQEVTVNIPVPQGTRSRFVTCEIKKTHLKVGLKGQPLIIDGDFYQSVKTEDCFWSIEDGNFISVLLTKQNKTNWWNCMVQGEPEIDLQKVQPESSKLSDLDSETRQVVEKMMFDQRQKARGLPTSDEIQKQDMLKQFMAQHPGVDFSKTKLV >Ma05_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31277384:31278011:-1 gene:Ma05_g20100 transcript:Ma05_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSSRFPVLAFVLMSLAVASFAQGPAAAPSKPPTAAPVKPPAPAPLKPPAASPVSKPPSPGPAAETPTASPPAPPTSTPPSESPAFTPSSISSPPATAPSALPPAGNGVADLTFSWVTAFAAAAVAAAAL >Ma01_p17080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12501457:12505723:-1 gene:Ma01_g17080 transcript:Ma01_t17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSDFAAASSSTSSFYGFCTYAAGIAGNVFAFVLFVSPMPTFKRIVRNKSTEQFSGLPYIYSLLNCLICMWYGLPWVSYGVILVATVNSIGAAFQLAYVSLFIRFADSAKRLRMSGLLIGVFSVFALIIYASLELCDHPTRQIFVGYLSVASLISMFASPLFIINLVIRTRSVEFMPFYLSLATFLMSISFFAYGMLLHDFFIYIPNGIGTVLGVVQLLLYAYYSKSVEESHLPLVASH >Ma01_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12503995:12505596:-1 gene:Ma01_g17080 transcript:Ma01_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSDFAAASSSTSSFYGFCTYAAGIAGNVFAFVLFVSPMPTFKRIVRNKSTEQFSGLPYIYSLLNCLICMWYGLPWVSYGVILVATVNSIGAAFQLAYVSLFIRFADSAKRLRMSGLLIGVFSVFALIIYASLELCDHPTRQIFVGIW >Ma04_p33760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33250495:33253070:1 gene:Ma04_g33760 transcript:Ma04_t33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWISSIVRPEEEAGGLGDLPESCVAEVLLYLDPLEICRAARLSRAFRGAASADFVWETKLPKNYEYLMGLASGERRPGKETSLCKKEIYARLCRPNPFDGGTKEFWLEKSSGGICLSISSKALLITGIDDRRYWKYLPTDESRFGMVAYLQQVWWFEVNGGIDFCFPAGTYSLFVRLHLGRASKRFGHRICSSEHVHGWDRKPVQFQLSTSNGHRILSQCYLNKPGSWILYHAGDFVVDNCNAPTTLRFSMTQIDCTHTKGGLCVDSVLIYPKGFRQRKVSSTSM >Ma10_p29650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36167296:36169239:1 gene:Ma10_g29650 transcript:Ma10_t29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRGAAVELELKLRSEEDESRRSSVIAREGRLGQFIPPFNFATVDRGVFRSGFPDAANFSFLDTLQLRSVVYLCPEPYPEANKVFLESNRIRLFHFGMECSKEPFDNIPEDKIREALRVVLDARNRPLLIHCNRGKHRTGCVVGCLRKLQRWCLSSVFDEYQQFAAAKARVSDQRLIELFDTSSFKHLLSHCICI >Ma09_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31415109:31417199:1 gene:Ma09_g20980 transcript:Ma09_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAAALSRRATPAIFFVFHPSRHQVSSIPRPKPPADQTILSLLQRCSSMKRLKQLHGQLITNDLLSDAPTLGKLIAFCCVSDGGDLRYGRRVFDLVPRPNAFMWNSLIRGYSYSRDPREALVLHRRMLRCGLLPNQFTLPFVLKSCASELASTEASLVHALIFKLGFESQVFVANALLSAYSSCGFIGLARKVFDEIPNRNVVSWNSIISGYAQAGDCVEAFNMFRAMRNSNVESDGFTLVSLLSACAQTGNLGLGRVAHHYIVITGVSVDLIAANALLDMYGKCGELFVAQKCFSRMALRNVVSWTSMVCAFAKHGLLDVARSWFDRMPERNVVSWNAMISSYVQHGLFREGLDLYTHMQSSRVISDEVTLVAVLSACSQTGDLVTGKEIHEDITERITKPSVTLFNSLVDMYAKCGSVDIALDIFRVMPEKTVVSWNVIIGAFAVHGCAFDAIELFRQMICEGFSPDTITFTRLLCACSHGGLLEVGKYYFEAMSIDYKVPYEIEHYACMVDLLGRGGQIEQAVELIRSMPMKPDIVIWGALLGACRIHGNMKTGEEVMKQLLELESDSGGLYVLVSNMYSERHRWEDMKKIREHMKKSQIKKCRAISSIEINGNISEFLVEDMRHENSSDIYCLLQTLTDHLISAGHPSVSLGVIKVGEAQAVF >Ma08_p28790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40608814:40613535:-1 gene:Ma08_g28790 transcript:Ma08_t28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGSAFVCLWLRWRPEFSPFSSPSPYKFAFPLPLPLRLALRILWLGPLRVGGGSAAMGRRRVELRRIEDNTSRQVCFSKRRNGILKKAKELAVLCDVEVALILFSAKGKLYHFASPYSIDKIIGRYRHFVDSEREVNEHHSAQGRLKDFSYPRVDCQILEIVQWCLDETNISKLSVNDLSQLESVLEGTLAQTTSRKEMTLLEERRFFNLGAEFGDERNSREG >Ma06_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1585972:1587011:-1 gene:Ma06_g01950 transcript:Ma06_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGRAGGGGRAGGKETHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAARQFRGSKAKTNFPHPEAYTRPGPAGVVAIATPAAGGGSPSSQSSTVESSSREVPPLAIPLPPSLDFDLLRRRVARFPFQPYPAVAAPAMPASRPCCLFDTIVGSEKASAAVSMNRRRFCPPMIIADMHAPTASGVLSDSDSSSVADVRLNHRFRGPHKMLTLDLDLNLPPPPEIA >Ma05_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9157262:9160435:1 gene:Ma05_g12680 transcript:Ma05_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKLSGEVAVMEVAAHQPSLGVRTRARALAAAAAAAAAAAAAQDSSLAYLELRSRRLEKPLPLVSACKPKSNPSPKLTSQRANRSSASNSRSAGSVRMRRCLDRGEGASPDVEVSFGENFLESESREREMTPCSSIRDSATAVTPGSTTRSSYSIAGHRRMQNPMPQNFPTDCEMEEFFMGLEKLQQQIFIEKYNYDPVNDHPLPGRYEWVEIDS >Ma07_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15955725:15958707:-1 gene:Ma07_g16960 transcript:Ma07_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSDKIWCFVLPVLVAIFVIRVLYVLYWTGKPIGSSKTHSLRTLIVLGSGGHTAEMLSIMNVLQNDIYTPRFYVAAATDNMSLKKARVLEESLMQQGENSGRKMIEAAQFVQIYRSREVGQSYITSVGTTLIAMAHALWLTLKIRPQVIICNGPGTCIPLCASAFLFKLLGLGWSHIFYVESIARVRKLSLSGLLLYKLLLADQFYVQWPQLQKKFPRAHYVGCLM >Ma05_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21101052:21103620:-1 gene:Ma05_g17680 transcript:Ma05_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAAATKMLAIKGDRHLFSASDDSVVLKQILGTHSPDGRDVDARPLLRLVEDILQRATPTVIVVSLCTPQAPAELVEDKVHHVEVVAMLEALAYTVHRISCEISCKCSGSGDAHATTMALFNSLANYTWDAKVVIALAAFAVSYGEFWLTAQLHKVNPLAKSVALLKQLPDILEHTDALKPRFDALSNLIKAMLDVTKCIIQFKELPSEYISPDNPDMDMALAHIPTAVYWTIRSVVACASQIVALIGPGHEHITSTTEVWELSSLAHKVRSIHEHLTKQLELCNRHIGEKKHLEAYQTLVRLFETVHIDNNKILRALIYSKDDLPLFDGDTKRRVSVDVLRRKIVMLFISDLDISDEELLVLIQIYNDTHQGKLDRPYEIVWLPVIDRHAPWTNAKEETYNRFASTMPWYSLHHPSLLEPAAVKYIRNEWHFDKRALMVVLDPQGKVVCPNALHMMWIWGSLAFPFTSNRELALWKEETWRLEFMVDDIDPDMLAWVREGRHVCLYGGEDIEWIRRFTMVMRRVSQEAKIPIEMVYVGKSSPKDRVRKAISVIAREKLSGYWQDPVMVWFFWVRLESMWYSKMQHGRTIENDPIMLEVMTMLSFDGSDEGWAIVSRGSMEMVKAHGKMIVDCLSQFDSWKGKVEEDGFVPALTEALLPLHTHEHCTRLILPGDTGRIKEEVVCAECKRPMEKFVLYRCCNN >Ma06_p38560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37297975:37302236:1 gene:Ma06_g38560 transcript:Ma06_t38560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGQRVEAESSSASAGVVVVVQESSDAVGEETEVELGGRDVEDEEVDADEDEEAGADGFVPSPLIPLKDQLEKDKEDESLRRWKEKLLGCVEGELDGVVSEGHVDSVTSLPVAEMQNRVLFTLKEGSKYRLKLTFSVRHNIVSGLTYSNTVWKRGLKVDQNKGMLGAFAPQRDPHELLLEEETTPFGVLARGIYSAKLKFEDDDKRCYLELDYSFEIKKR >Ma06_p38560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37297975:37302236:1 gene:Ma06_g38560 transcript:Ma06_t38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGQRVEAESSSASAGVVVVVQESSDAVGEETEVELGGRDVEDEEVDADEDEEAGADGFVPSPLIPLKDQLEKDKEDESLRRWKEKLLGCVEGELDGQTEPEVTFHSIGVVSEGHVDSVTSLPVAEMQNRVLFTLKEGSKYRLKLTFSVRHNIVSGLTYSNTVWKRGLKVDQNKGMLGAFAPQRDPHELLLEEETTPFGVLARGIYSAKLKFEDDDKRCYLELDYSFEIKKR >Ma06_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14860610:14861599:1 gene:Ma06_g20700 transcript:Ma06_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERELMSVFSRQFVVAAAPRPWTREEDKVFERALLVYPEGTPSRWFAIAAQLVGRSTVEAWGRYQALVEDCHMIERGMVEVPDCWGEADDGASCEDESEGGRPRQHRPPTTRGKAEERRRGVPWTEDEHRLFLEGLAKYGKGDWKNISRWAVKTRTPTQVASHAQKFFIRQNQNNGGKNRDTKRKSIHDITKIG >Ma02_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22408695:22417383:1 gene:Ma02_g14300 transcript:Ma02_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQASRLIGTLASKIFSRPNPSAASSSTLSSPSRHFAAAPPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTNVGLGQSTCVGIGGDPFNGTNFVDCITKFLDDPQTEGIILIGEIGGTAEEDAAALILESGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVMVVESPAKIGVAMLEVFKQRGLAE >Ma09_p31370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41119281:41120178:1 gene:Ma09_g31370 transcript:Ma09_t31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDDTSGPAEWVQLGGWPHLLPPPSPLAAPSGCVSDSIVVSTTSSATVDGPAKGAAAQQPGVEGRVSKPVRRRSRASRRTPTTMLNTNTANFRSMVQQFTGIPSGPYSSACRPGGGPISNFGYNFNEPIHQTTSMTLGQLRQQQQQQQQQQQQRQYLQLQNHQSQQHQQQQNYQSSMYTASGGNNTSNDVFPQGFNNLGTNLEVGDGFFLNGMYSQMMARPTSTNARGDGYFS >Ma06_p36520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35890805:35892158:1 gene:Ma06_g36520 transcript:Ma06_t36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSQDVILKVSKWSQMIQVRHIKHFLQSGFSKHMKDNERLHDIFKFVEVIQGNRRVEKIFVLTSADPKERTMLRNRQRALSQMKHFSD >Ma06_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5393327:5393627:1 gene:Ma06_g07580 transcript:Ma06_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFSPPPPPPPPQPLPYPNASPRLRGSSPASPYLGILHHDRFLGRRRSAGRKWGRDGTEVKLDDFERMVG >Ma07_p28730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34661704:34668058:-1 gene:Ma07_g28730 transcript:Ma07_t28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASALRRLSSSAAKTQPFLRTSLCYMSSLPSEAVYEKEVRVSWPKQLNAPLEVVDPEIADIIELEKARQCKGLELIPSENFTSVSVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFHLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAGGVIPSPFDYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVMYDFEEKINAAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCARFAQCLIEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDTAVKLSLKTKAETKGGSKLKDFLATIQTDANIQSEIAKLRHEVEEFAKQFPTIGFEKETMKYKN >Ma09_p26950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38079619:38082478:-1 gene:Ma09_g26950 transcript:Ma09_t26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTLRRLEQGHTRIRNVPIAVTPEGFWCCPSPAAIQKTLKNQNLQDRHRATSPLHSKASSLQRPSLPPVDKRSVSTSLRSRLISDEQRCPNSSTATPVSSKAPERPQKLNVESQQRKISVGFGQLETSDLKVTLHGKGGTSVRMSVHKNILAENSSFFADKLSGLSVPQIEMADCEDVEIFVETVGLMYCKDIKHRLIKQSVSRVLRILKVAETLGFQACIKSCLDYLEAAPWIGEEEDDVVSSVRRLQDDNYGISPILNRVASDLSNQPNDTLSHIMELVLESTEDRGRREMKSLVLKLLKEINLKTNGTVEICTESLYSSCQRCLESLLNLFRQASEPGFSDRSLESKDPVVRQITLEADNLIWLLEILVDRNAADEFTLMWASQNELAELHTKVPTMSRHLVSCITCRLFVGIGNGEMLPSKETRKLLLHVWLQPLINDYSWLQHGSRSFDRKVVEEGIGRTILTLSLEDQQSILLSWLGCFLKASDNCPNLQRAFEVWWRRTFIRPYVEQHGSDLQSGKA >Ma09_p26950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38079619:38082964:-1 gene:Ma09_g26950 transcript:Ma09_t26950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTLRRLEQGHTRIRNVPIAVTPEGFWCCPSPAAIQKTLKNQNLQDRHRATSPLHSKASSLQRPSLPPVDKRSVSTSLRSRLISDEQRCPNSSTATPVSSKAPERPQKLNVESQQRKISVGFGQLETSDLKVTLHGKGGTSVRMSVHKNILAENSSFFADKLSGLSVPQIEMADCEDVEIFVETVGLMYCKDIKHRLIKQSVSRVLRILKVAETLGFQACIKSCLDYLEAAPWIGEEEDDVVSSVRRLQDDNYGISPILNRVASDLSNQPNDTLSHIMELVLESTEDRGRREMKSLVLKLLKEINLKTNGTVEICTESLYSSCQRCLESLLNLFRQASEPGFSDRSLESKDPVVRQITLEADNLIWLLEILVDRNAADEFTLMWASQNELAELHTKVPTMSRHLVSCITCRLFVGIGNGEMLPSKETRKLLLHVWLQPLINDYSWLQHGSRSFDRKVVEEGIGRTILTLSLEDQQSILLSWLGCFLKASDNCPNLQRAFEVWWRRTFIRPYVEQHGSDLQSGKA >Ma04_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5758630:5759227:1 gene:Ma04_g07980 transcript:Ma04_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGHGAGGWPRVPNNKENHWPEKLASAASWRWWKRPALPRFSFLDDLLFRVLSCLEAVVLVGALCFFYLCCGCHL >Ma09_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5392967:5396783:-1 gene:Ma09_g08190 transcript:Ma09_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHLRLNSSLLPSSSSHPSLRRRPCRRPVAPSTRISAKIREIFMPALSSTMTEGKIVSWVKSEGDRLAKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAPVGSAIALLAESEDEIPLAKSQASSSSSAVAASPPPADAPASTTSVQTLAPSPPEPSPVAASSSTHPASDGGRIVATPYAKKLAKDLKVDLAGVAGTGPMGRIVAKDVEAAAAAAVASVPSVPSKAVVGSVSAKAPTPLAIELGTVVSFTTMQGAVSKNMVESLSVPTFRVGYTITTNALDDLYKKIKSKGVTMTALLAKATAIALTKHPVVNSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPHEYSTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVAAKDGRIGLKSQMQVNVTADHRVIYGADLAAFLQTLAKIIEEPSDLTL >Ma09_p14630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9984252:9997401:1 gene:Ma09_g14630 transcript:Ma09_t14630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHDASVGGDIDSRNDIAEKLGFEIISEQTINECKATAVLYKHKKTGAEIMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNNPEEDISYKGVVFNEMKGVYSQPDNILGRVSQQALFPENTYGVDSGGDPKVIPKLTFEEFKDFHHKYYHPSNARIWFYGDDDPNERLRILSEYLEQFESSSAPNESKVLPQKLFKEPVKIVEKYPAGDGGDLKKKHMVCLNWLLSEDPLDLETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGIEDELLQPQFSVGLKGVSEDDIHKVEELIMGTLKSLAEEGFAPEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDLDPFEPLRYEKPLQSLKARIAEEGSKAVFCPLLEKFILNNPHRVTVEMQPDPDKASRDEVVEKEILDKVKSSMTKEDLAELARATQELRLKQETPDPPEALRSVPSLSLQDIPRKPIHVPTEIGEINGVKVLQHDLFTNDVVYSEVVFDISLLKKELLQLVPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVRGKVDPCTRIIVRGKAMEARVEDLFNLINCILQDVQFTDQQRFRQFVSQSKARMESRLRGSGHGIAAARMDAKLNVAGWIAEQMGGISYFEFLQDLEKRVDQDWEGISSSLDEIRRSLLSRKGCLINVTADGKNLMNSMKFLEKFLDSLPSTPSIEVGSWQSQLPPVNEAIVIPTQVNYVGKAGNIYETGYQLSGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLDVYDGTDSFLRELELDDDTLTKAIIGTIGDVDAYQLPDAKGYSSLMRYLLGVTEEERERRREEILSTSLKDFKEFADAIEAVKNSGVVVAVASPEDVTRANTERSGFFEVKKVL >Ma09_p14630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9984517:9997401:1 gene:Ma09_g14630 transcript:Ma09_t14630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRSLSCSTTAYRRLLFRPRSASRLFSSNLCRPRPQELQLRALSCSGASRWRPGPAPPLRLRRRFSPSIRAISTSPSPVSRGADIDSRNDIAEKLGFEIISEQTINECKATAVLYKHKKTGAEIMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNNPEEDISYKGVVFNEMKGVYSQPDNILGRVSQQALFPENTYGVDSGGDPKVIPKLTFEEFKDFHHKYYHPSNARIWFYGDDDPNERLRILSEYLEQFESSSAPNESKVLPQKLFKEPVKIVEKYPAGDGGDLKKKHMVCLNWLLSEDPLDLETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGIEDELLQPQFSVGLKGVSEDDIHKVEELIMGTLKSLAEEGFAPEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDLDPFEPLRYEKPLQSLKARIAEEGSKAVFCPLLEKFILNNPHRVTVEMQPDPDKASRDEVVEKEILDKVKSSMTKEDLAELARATQELRLKQETPDPPEALRSVPSLSLQDIPRKPIHVPTEIGEINGVKVLQHDLFTNDVVYSEVVFDISLLKKELLQLVPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVRGKVDPCTRIIVRGKAMEARVEDLFNLINCILQDVQFTDQQRFRQFVSQSKARMESRLRGSGHGIAAARMDAKLNVAGWIAEQMGGISYFEFLQDLEKRVDQDWEGISSSLDEIRRSLLSRKGCLINVTADGKNLMNSMKFLEKFLDSLPSTPSIEVGSWQSQLPPVNEAIVIPTQVNYVGKAGNIYETGYQLSGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLDVYDGTDSFLRELELDDDTLTKAIIGTIGDVDAYQLPDAKGYSSLMRYLLGVTEEERERRREEILSTSLKDFKEFADAIEAVKNSGVVVAVASPEDVTRANTERSGFFEVKKVL >Ma09_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9984518:9997401:1 gene:Ma09_g14630 transcript:Ma09_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRSLSCSTTAYRRLLFRPRSASRLFSSNLCRPRPQELQLRALSCSGASRWRPGPAPPLRLRRRFSPSIRAISTSPSPVSRDIDSRNDIAEKLGFEIISEQTINECKATAVLYKHKKTGAEIMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNNPEEDISYKGVVFNEMKGVYSQPDNILGRVSQQALFPENTYGVDSGGDPKVIPKLTFEEFKDFHHKYYHPSNARIWFYGDDDPNERLRILSEYLEQFESSSAPNESKVLPQKLFKEPVKIVEKYPAGDGGDLKKKHMVCLNWLLSEDPLDLETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGIEDELLQPQFSVGLKGVSEDDIHKVEELIMGTLKSLAEEGFAPEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDLDPFEPLRYEKPLQSLKARIAEEGSKAVFCPLLEKFILNNPHRVTVEMQPDPDKASRDEVVEKEILDKVKSSMTKEDLAELARATQELRLKQETPDPPEALRSVPSLSLQDIPRKPIHVPTEIGEINGVKVLQHDLFTNDVVYSEVVFDISLLKKELLQLVPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSVRGKVDPCTRIIVRGKAMEARVEDLFNLINCILQDVQFTDQQRFRQFVSQSKARMESRLRGSGHGIAAARMDAKLNVAGWIAEQMGGISYFEFLQDLEKRVDQDWEGISSSLDEIRRSLLSRKGCLINVTADGKNLMNSMKFLEKFLDSLPSTPSIEVGSWQSQLPPVNEAIVIPTQVNYVGKAGNIYETGYQLSGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLDVYDGTDSFLRELELDDDTLTKAIIGTIGDVDAYQLPDAKGYSSLMRYLLGVTEEERERRREEILSTSLKDFKEFADAIEAVKNSGVVVAVASPEDVTRANTERSGFFEVKKVL >Ma10_p15850.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27788830:27794023:-1 gene:Ma10_g15850 transcript:Ma10_t15850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQAAGKQPAQQPGQMFQPSKQCLPFASSRPPFVLLDGHQGFSAAVGRSGGGDDMADALVIKTPLKRKSVQEDNEAKVLHERATSTGYAVGFTSPLLTPVSEKVRKTYSKSKISKHSKSSTQTLLSYAGSPPGNNLTPVGNSRYDSSLGLLTKKFINLLRHAQDGILDLNKATEILKVQKRRIYDITNVLEGIGLVEKTLKNRISWKGLDDMGPVEVDDDASILQEEIDKLTLEECRLDELISQMQERLRDLSEDESNHKWLYVTKDDISHLPCFQNKTLIAIKAPHGTTLEVPDPDEAEEYPQRRYRIVLRSTMGAIDVYLVSQFEDKYEGMSGVEMPPKVLPISNSGSVENYMVPFVTEESRGNGMEVDIQHSERIWSDVNSSRDFDGGMMKIVPSDIDTEADYWLLSDAGASITDMWKTASEVKWDDISTGGANTPQPRAPSGVVESASPF >Ma10_p15850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27788819:27793749:-1 gene:Ma10_g15850 transcript:Ma10_t15850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRGSQPPSVGRAAETTWPMRWLLRHLKSVQEDNEAKVLHERATSTGYAVGFTSPLLTPVSEKVRKTYSKSKISKHSKSSTQTLLSYAGSPPGNNLTPVGNSRYDSSLGLLTKKFINLLRHAQDGILDLNKATEILKVQKRRIYDITNVLEGIGLVEKTLKNRISWKGLDDMGPVEVDDDASILQEEIDKLTLEECRLDELISQMQERLRDLSEDESNHKWLYVTKDDISHLPCFQNKTLIAIKAPHGTTLEVPDPDEAEEYPQRRYRIVLRSTMGAIDVYLVSQFEDKYEGMSGVEMPPKVLPISNSGSVENYMVPFVTEESRGNGMEVDIQHSERIWSDVNSSRDFDGGMMKIVPSDIDTEADYWLLSDAGASITDMWKTASEVKWDDISTGGANTPQPRAPSGVVEVSSDANSSLR >Ma10_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27788819:27794023:-1 gene:Ma10_g15850 transcript:Ma10_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQAAGKQPAQQPGQMFQPSKQCLPFASSRPPFVLLDGHQGFSAAVGRSGGGDDMADALVIKTPLKRKSVQEDNEAKVLHERATSTGYAVGFTSPLLTPVSEKVRKTYSKSKISKHSKSSTQTLLSYAGSPPGNNLTPVGNSRYDSSLGLLTKKFINLLRHAQDGILDLNKATEILKVQKRRIYDITNVLEGIGLVEKTLKNRISWKGLDDMGPVEVDDDASILQEEIDKLTLEECRLDELISQMQERLRDLSEDESNHKWLYVTKDDISHLPCFQAEEYPQRRYRIVLRSTMGAIDVYLVSQFEDKYEGMSGVEMPPKVLPISNSGSVENYMVPFVTEESRGNGMEVDIQHSERIWSDVNSSRDFDGGMMKIVPSDIDTEADYWLLSDAGASITDMWKTASEVKWDDISTGGANTPQPRAPSGVVEVSSDANSSLR >Ma10_p15850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27788819:27794023:-1 gene:Ma10_g15850 transcript:Ma10_t15850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQAAGKQPAQQPGQMFQPSKQCLPFASSRPPFVLLDGHQGFSAAVGRSGGGDDMADALVIKTPLKRKSVQEDNEAKVLHERATSTGYAVGFTSPLLTPVSEKVRKTYSKSKISKHSKSSTQTLLSYAGSPPGNNLTPVGNSRYDSSLGLLTKKFINLLRHAQDGILDLNKATEILKVQKRRIYDITNVLEGIGLVEKTLKNRISWKGLDDMGPVEVDDDASILQEEIDKLTLEECRLDELISQMQERLRDLSEDESNHKWLYVTKDDISHLPCFQNKTLIAIKAPHGTTLEVPDPDEAEEYPQRRYRIVLRSTMGAIDVYLVSQFEDKYEGMSGVEMPPKVLPISNSGSVENYMVPFVTEESRGNGMEVDIQHSERIWSDVNSSRDFDGGMMKIVPSDIDTEADYWLLSDAGASITDMWKTASEVKWDDISTGGANTPQPRAPSGVVEVSSDANSSLR >Ma10_p15850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27788819:27794023:-1 gene:Ma10_g15850 transcript:Ma10_t15850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQAAGKQPAQQPGQMFQPSKQCLPFASSRPPFVLLDGHQGFSAAVGRSGGGDDMADALVIKTPLKRKSVQEDNEAKVLHERATSTGYAVGFTSPLLTPVSEKVRKTYSKSKISKHSSPPGNNLTPVGNSRYDSSLGLLTKKFINLLRHAQDGILDLNKATEILKVQKRRIYDITNVLEGIGLVEKTLKNRISWKGLDDMGPVEVDDDASILQEEIDKLTLEECRLDELISQMQERLRDLSEDESNHKWLYVTKDDISHLPCFQNKTLIAIKAPHGTTLEVPDPDEAEEYPQRRYRIVLRSTMGAIDVYLVSQFEDKYEGMSGVEMPPKVLPISNSGSVENYMVPFVTEESRGNGMEVDIQHSERIWSDVNSSRDFDGGMMKIVPSDIDTEADYWLLSDAGASITDMWKTASEVKWDDISTGGANTPQPRAPSGVVEVSSDANSSLR >Ma07_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30613037:30616651:1 gene:Ma07_g22810 transcript:Ma07_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGAAIGILARDGVILVGEKKVTSKLLQTSRSTEKMYKIDDHLACAVAGIMSDANILINTARVQAQRYTFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKAAAIGANNQAAQSMLKQDYKDDFTREEAVQLALKVLSKTMDSTSLTSEKLELAEIFVEPSGDVKYQVCKPELLEKLLVKHGVTQAATEST >Ma07_p22810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30605886:30616651:1 gene:Ma07_g22810 transcript:Ma07_t22810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGAAIGILARDGVILVGEKKVTSKLLQTSRSTEKMYKIDDHLACAVAGIMSDANILINTARVQAQRYTFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKAAAIGANNQAAQSMLKQDYKDDFTREEAVQLALKVLSKTMDSTSLTSEKLELAEIFVEPSGDVKYQVCKPELLEKLLVKHGVTQAATEST >Ma07_p04890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3578484:3585558:1 gene:Ma07_g04890 transcript:Ma07_t04890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGPSVDSVYLPIRDARKCIHERVNIFAAVSSIGAEKKSRGTDYVVSLKIMDQSYMEPGISVNFFAEDMTKLPHVRAIGDIISLQNVEVRIHRGDVYCVHNKKFSAFALFQGKTTSGLIHYQKSAKYHATNHDDEFLSQIRTWLLDNPPKAVGKDVPLLLRRIKLDLAFDLVCKVLHVSETSKGDWMLFVWDGTDTPPAKLQFETYDRRLATALENYPMTSFPAPSHITVLDYDYKPYTTLIESLSHPEVTHKCMCIVRVVAAYPWQAKDLRSPVTGHYRIRFTVEDPTARIHAYICGEDGVKFFEGYPTTEVLTSKMDKLLGITDTDGQAGSDDGVRNPPWVWCCLKSYYLDENNPRGSRRYRIFSTTLVG >Ma07_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3578470:3585558:1 gene:Ma07_g04890 transcript:Ma07_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGPSVDSVYLPIRDARKCIHERVNIFAAVSSIGAEKKSRGTDYVVSLKIMDQSYMEPGISVNFFAEDMTKLPHVRAIGDIISLQNVEVRIHRGDVYCVHNKKFSAFALFQGKTTSGLIHYQKSAKYHATNHDDEFLSQIRTWLLDNPPKAVGKDVPLLLRRIKLDLAFDLVCKVLHVSETSKGDWMLFVWDGTDTPPAKLQFDLELQGERPCSLHVEELPLTIEVLCTFPRVGSVLRVFASKSFKVITHLHGGNQWVKLCNMICGLHDGMWNGMLQPCSEVLLLSDEDSIAREHLKTYDRRLATALENYPMTSFPAPSHITVLDYDYKPYTTLIESLSHPEVTHKCMCIVRVVAAYPWQAKDLRSPVTGHYRIRFTVEDPTARIHAYICGEDGVKFFEGYPTTEVLTSKMDKLLGITDTDGQAGSDDGVRNPPWVWCCLKSYYLDENNPRGSRRYRIFSTTLVG >Ma06_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16355826:16370844:-1 gene:Ma06_g21870 transcript:Ma06_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVASAVEISEPLLSPEKKNLDCREKIPPWREQITVRGLLVSAVLGALFCIITHKLNLTVGVIPSLNVAAGLLGFFFIKSWTEFASRIGLCVKPFTRQENTVIQTCVVACYGLAFSGGFGSYMLSMDQRTYELIGADYPGNKEEDVKNPSLSWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATALLINSFHTNTGAELAEKQVRCLGKYLSISFCWSCFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYVGCGLICPHIVNCSVLLGAIISWGFLWPFITTKAGDWYPDNLGSNDFKGLYGYKVFIAISLILGDGLYNLIKIVVITAKEILNARSKQTSLPLVTPHQDDESSKLLEEKLQNETFIKDSIPSWFAASGYIGLAAISTATIPNIFPQMKWYLVLACYIFAPALAFCNSYGTGLTDWSLASTYGKIGLFIFASLVGSKGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPKSMFVSQLIGTALGCIIAPLTFWLYWTAFDIGSPDGVYKAPYAVIYREMAILGIEGFSALPKHCLELCSVFFVAALIINLLRDVTPKNVSQFIPIPMAMAIPFYIGAYFAIDMFVGTVILFVWERLNRKELEDYAGAVASGLICGDGIWTVPSAILSIFRIDPPICMYFASSS >Ma04_p33820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33276543:33276668:1 gene:Ma04_g33820 transcript:Ma04_t33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWRSWLPRRSHSIWVILRSRVRASLTPIKILFLFCSLSR >Ma01_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27479277:27480099:-1 gene:Ma01_g23250 transcript:Ma01_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISVNRCCCCCDCCCCDGTGILFIFLKVKLHFSQRRWSLAEGKSEASLFSTTSDTMIEIIEDKNKY >Ma03_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10165152:10176625:-1 gene:Ma03_g13150 transcript:Ma03_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G50200) TAIR;Acc:AT1G50200] MVFFAILQPLRYLVFPRARVSASVFSTATFVPKQAVKMANEATPVEWPATKVRETFIRFFEGKEHVDWRSSPVVPLDDPTLLFANAGMNQFKPIFLGTVNPTTPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKAEAITWAWELLTEVYELPKDRIYATYFGGDEKLGLPADNEARDMWLRFLEPQRVLPFGCKDNFWEMGDTGPCGPCTEIHFDRLGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGSLRSLPAKHVDTGLGFERLTSILQNKMSNYDTDVFLPIFDAIQQVTGALPYSGKVGADDVDKVDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGREVLKAQEGFFSGLVGVVTKVMGDVFPELKQHESKIQEIIADEEASFGRTLLKGIEKFKKAAQDVQGSKISGQEAFVLWDTYGFPMDLTQLMAEERGLSVDVEGFNIAMEEARQKARTARSKAVGDSIVMDADATSKLHKNGVPTTDDSYKFIWHQDHESVVKAIYTGAEFLETAFDGVDIGIVLESTSFYAEQGGQIYDTGSIDGSFGSFQVNNVQVYGGFVLHIGTLIGGAKALSVGDKVICKVDYDRRTLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPIHPEDLRKIESIVNQQIKDELNVYASEATLAAAKQIVGLRAVFGEIYPDPVRVVSIGHKVEDLLQDPDNKEWLSISTELCGGTHISNTREAKAFALLSEEGIAKGIRRVTAVTTECAFKALELASSLDAEITESSKSEGSLLEKKVATLKSKIDAAAIPAAIKADLRAKISQLEDRVRKAKKKIAEENIQKAVKAATEVAKAAASERKAFCIAQVDVGLDTAAVREAVLKVLELQGLAVMVFSTDETLNKAVVYAGVPNSGSKTGLAVLEWLNEVMKPLKGKGGGGKNGIAQGQGSDASRLEEAMDVAAKFASLKLQ >Ma08_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9227256:9233316:1 gene:Ma08_g12250 transcript:Ma08_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAEEAKATGSSPSAAYPMAVDSLDDEVSSPFQCCVCLDILYKPVVLACGHISCFWCVHKAMHGLRASHCAICRQPYVHFPSICQLLHFLLLKLEPVAYKRREMEILVEEKAADVYSPQFADQLELEKLHFGNGSSSKKFNDCRSGDFEHSDLSEDCLDHTIKRNISVDDVSCSLCKEMLYQPAVLNCGHVYCVSCLSDLSGEHLRCQVCQSFHPGEFPNICLDLDHFLEERFPREYAVRREQVQHKKAQCQHVNPSPNAVRKQKPMAQVPSGGMDLWLKEDVSDVHVGVGCDSCGIYPIIGKRYKCKDCKEAIGFDLCEACYNSTSKLPGRFNQQHTPDHAFELDESQMLRNILMQRDNQFDIPQQGLEVIYVHSDDDLHDHENHDDEGSGGEEELR >Ma01_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10549042:10567279:-1 gene:Ma01_g14430 transcript:Ma01_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRTPFTTREEGQRFESMDPSSSSSLLERLRSSDGSSPLIFFLFFHKAIRSELDRLHRAAVALATDGVGDVESVSRRCRFLFSMYQHHSNAEDEVVFPALDKRVKNVARTYFLEHKGEHDLFKHLFDLLDLNVHNDGIARRELASCTGAIQTSIMQHIYKEEEQVFPLFIEKFSLKEQADLVWQFLCSIPVYIMVDFLPWLSSCVSQDEHKDMMTCLCKVVPKERLLQQVIFEWMKGKSFTNQSMSHNDVSWCCIDHANTRKRKHAESDSNFVDSPRAHPINEILDWHNAIRKEVNDIAKEARQIQLSRDFSDLSTFYSRLEFVADVCIYHSIAEDQILFPAVDMPVSFVEDHAEEKNQFNNLRCLIKRIKCAGVNSVSPEFYSKICSHADRIMATIQRHFNVEEAQVLPIARISFSPEKQRKIIYRSICVMPLRLIERALPWFVATLCEDEARSFLQNMKLAASSSETALVTLFAGWACKGQLHNISRSGRFTCLSLKVADGFIQEEKDKIEQNCGQAFCAFASPLREISAVHTENDKDPVDRCCFPQSCRNNNEVGSLDILVLQKHFSNKQTCFASGLGLNSNSLPVDSMSKKSECSLSCNSSAPHINSNLFSWETEITSSNSAHEFRPIDTIFKFHKAIRKDLEYLDMESEKLIGCDDYEAFLCNFSGRFCLLWGLYKAHSNAEDRIVFPALESRETLHNVSHSYILDHKQEEKLFSDISAVLTELSQLRETNNKTYKMADTTGSDCSYSVHDICWTRKHNALATKLQSMCRSIRVTLDQHVFMEELELWPLFDSYFSFEEQDKIVGCIIGMTGAEILQSMLSWVTSVLTEEEHDKMMETWKEATKNTMFNEWLNEWWGARPISSHDPEEANVLPKGMEQQENLDQIDQTFKPGWNDIFRMNQNELESEIRKVSRDITLDPRRKAYIIQNLMTSRWLAAAAKQKLPHASTSEDKNGDVPGCSPSYRDLEQQIFGCEHYKRNCKLLAACCKKLFTCRFCHDSVSDHTMDRKAVTEMMCMRCLKVQTVGPTCKTDTCNGFPMAKYFCKFCKFFDDERTVYHCPFCNLCRLGKGLGIDFFHCMKCNCCLGMKLMDHNCREKGLESNCPICCDFLFTSSTPVRALPCGHFMHSACFQAYASSHYTCPICSKSLGDMTVYFGMLDTLLASEQLPEEYGDRCQDILCNDCDKKGMSRFHWLYHKCSFCGSYNTRVIKNEANSCLTSSN >Ma09_p25730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37124825:37126836:1 gene:Ma09_g25730 transcript:Ma09_t25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVNSTRLILLQPSSNHKQGGNGVNTSISISVFHHHRIWLIALLSFFTFASLLTLLSTTTARDPSDLPSASSSSTAAVASAGSASYGKPSPLPAPVFDALVNYAVSSNSTGKMREEDLRAVAGVLRRRAPCNLLVFGIGHETPLWRALNHGGRTVFLDENEYYVARVEGRNPGLEAYDVAYTTKVREMPDLLAAARRQRRGECRPVQNLLFSDCRLAINDLPNQLYDVAWDVILVDGPKGHTPATPGRMSAIFTAAVMARSRGRGHTDVLVHDHDREAERVCSAEFLCPENLVAATPHLAHFLIRAGPADEFCSNRTSTAGDAIATS >Ma04_p39650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36674829:36679604:-1 gene:Ma04_g39650 transcript:Ma04_t39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEVNKSATAVATLTNNAGDVRLKASMTDATFVRGPSLNGLALSLEKPGAFILDYYLPTKDVRFQFMNSVRLMEKTVNLTYTHAWGDNRTALDGSVAFDPSNKVSVNYAFGTPGACKVKYVYAHGELRQTVLEPCYDVFNNTWDFVLTRNLDGGDSLKATYHTTTKNLGLEWSRDSKVNGCFKISATVNLAEQQKAPKLIAESTWNYEV >Ma04_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11690908:11691821:-1 gene:Ma04_g15410 transcript:Ma04_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSCLVALFFAIAAAIPAAHGQSPQAGFISIDCGNSKSDYVDHSTNITYVSDDRFIDVGSDFDIQSNYINSSLPTQQLNLRSFPDGLRNCYTLKPVLRNTTYLVRATFMYGNYDAKNSLIQFDLHIGVDHWKTMTISDPSAIYTAEALSLATANSTSVCLVNTGGGTPFISSLELRPLRNGLYGEYVNALQSVVLVTRRNFGASDTDP >Ma08_p09800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7130690:7133788:1 gene:Ma08_g09800 transcript:Ma08_t09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLRSFSGMRLPGVLRQLEQDLETVITVLQPGPLGIIEHKFSAAEVQGAKAIVQSSVENWRRNSAHERNGHVWQQSKSATSQE >Ma08_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7130777:7133788:1 gene:Ma08_g09800 transcript:Ma08_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYFKETKVIRKEHILMPFLRSFSGMRLPGVLRQLEQDLETVITVLQPGPLGIIEHKFSAAEVQGAKAIVQSSVENWRRNSAHERNGHVWQQSKSATSQE >Ma08_p09800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7130686:7133788:1 gene:Ma08_g09800 transcript:Ma08_t09800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLRSFSGMRLPGVLRQLEQDLETVITVLQPGPLGIIEHKFSAAEVQGAKAIVQSSVENWRRNSAHERNGHVWQQSKSATSQE >Ma11_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2255498:2259740:1 gene:Ma11_g03060 transcript:Ma11_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCWLLVIFLFGCIFGATDASEGDADPLYRVCIEQCEKMGSVGDISIQHCQFLSDGVLADSPWYMQEPLYVQWKQWNCRSDCQYFCMMQREKEREALGLRPVKYYGKWPFKRSSVLQEPVSAVLSALTLVVQFNGWLSFFLLSYYKLPLRPHSGRTYYEYTCLWHIYGLLSMNAWFWGAIYHTRCFDLTEKLDHSSSVALIGFALILAVLRTFNVKTEASRVMIGAPLLAFLTTHILYLNFYKLDHELNMKVCVAMGIGQVLLWSVWAGVTRHPSRFKIWAVVIGGAMAIFLELYDFPPYKGYVDSHALWHATNIPLAYLWWSFVYEDVEFRTSAIMKKAR >Ma08_p06150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4162913:4168158:1 gene:Ma08_g06150 transcript:Ma08_t06150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNATGSMGLAVAVRPCCRLIAAQILPRCPHRYGRRIAALPFFLRCSCGRRHFSRCPCALPPRRSAAAWVRAAVAPARDGAAVSDPRRLSTSVGPVPRPGGDEDFGRIFVQGLAAVKPLVIDSVEQPPAVGGEKTKKEAVEEEEEEEDRSEVAKGRLQSESEKEAWRLLKNAVVTYCGSPVGTLAAIDPAVEPLNYDQVFIRDFVPSALSFLLKGEMEIVRNFLLHTLHLQSWEKTVDCYSPGQGLMPASFKVRSVPQGSNGEVEEFLDPDFGESAIGRVAPVDSGLWWIILLRAYGKISGDYALQERIDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYCALRCSREMIVASDGSKNVLRAINNRLSALSFHIREYYWADMKKVNEIYRYKTEEYSQDAINKFNIYPEQIPGWLVDWIPEKGGYFIGNLQPAHMDFRFFSLGNLWAIISSLATPKQAEGILDLIEDKWDELVGNMPLKICYPALENEEWRITTGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPESARKAIAIAENHLSNDRWPEYYDTPTGRFIGKQSRLYQTWTIAGFLASKLLLENPELASILTFEEDLELLEGCACSLAKSPRIKCSRHAAKSHIFV >Ma08_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4161616:4168158:1 gene:Ma08_g06150 transcript:Ma08_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATGSMGLAVAVRPCCRLIAAQILPRCPHRYGRRIAALPFFLRCSCGRRHFSRCPCALPPRRSAAAWVRAAVAPARDGAAVSDPRRLSTSVGPVPRPGGDEDFGRIFVQGLAAVKPLVIDSVEQPPAVGGEKTKKEAVEEEEEEEDRSEVAKGRLQSESEKEAWRLLKNAVVTYCGSPVGTLAAIDPAVEPLNYDQVFIRDFVPSALSFLLKGEMEIVRNFLLHTLHLQSWEKTVDCYSPGQGLMPASFKVRSVPQGSNGEVEEFLDPDFGESAIGRVAPVDSGLWWIILLRAYGKISGDYALQERIDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYCALRCSREMIVASDGSKNVLRAINNRLSALSFHIREYYWADMKKVNEIYRYKTEEYSQDAINKFNIYPEQIPGWLVDWIPEKGGYFIGNLQPAHMDFRFFSLGNLWAIISSLATPKQAEGILDLIEDKWDELVGNMPLKICYPALENEEWRITTGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPESARKAIAIAENHLSNDRWPEYYDTPTGRFIGKQSRLYQTWTIAGFLASKLLLENPELASILTFEEDLELLEGCACSLAKSPRIKCSRHAAKSHIFV >Ma05_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4449578:4451684:-1 gene:Ma05_g05900 transcript:Ma05_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFHTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKMVTFHRKKNFQYYEISAKSNYNFEKPFLYLARKLSGDLSLHFVESPALAPPEVHIDLAAQLYFEADIAAAAALPLPDDEDDAFD >Ma04_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5615712:5619612:-1 gene:Ma04_g07730 transcript:Ma04_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLALQVLMVLEILSSHSYYKRNMVAIVATIPEDIIHLEILPWLTNKALFKFKSVNKKWHHLINHDPTFAHQRSRRCGLPGFVWICDHNIDFMPVNLIGQHDVCETKLSFSLPDGCSAYIAGCSAYIAASANGLLLLLLTRWEEQNENEWYMYEDESTFHYVWNPVTKEGHAIPESQVQVYENIGLAFEPSTTSTRYRLIKLVEDNELEFTEIEFKIYSSDIGKWIISDQKLVIKDEFNRYRWNVLQHDVANRI >Ma02_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18303553:18308983:-1 gene:Ma02_g07760 transcript:Ma02_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPPTGNGGNASQIKDDLSALSELMDFDGFTEAWSPTIADQIFSILNFSDAQQTPATWASFMSPSSIAAQGTKAVPGDTNDDRKENMASQKADLSLGLRNSYCRSYLASDDISSEVISTVSRPFGGVSLTERMLKALLLFKESSCSGILAQVWMPTVQGDQYVLSTSDQPFLLDKNLAGYREVSRNFIFYLKEAPGMFTGLPGRVFVSRTPEWTSNVTYYKKNEYMRVDDAVNHQVRGSLAMPVLDPCEGSCCAVLELVTTVAKPNFNTEMENICNALQVVDLTTIKTQTRQQNLTKSQMSAFSEILDVLRAVCHGHMLPLALTWVPLWYDDGLNDSRKYDIGDMKSTSGRHILCIQESACYVNDTQMQGFLHACAEHHLEKGQGIAGKALQSNHPFFFPDVKVYDICEYPLAHHARKFSLRAAVAIRLRSIYTRNDDYVLEFFLPINCIGSEEQQLLLNNLSNTLQRICRSLRTVSNAEIAGAEISRAGIHKETDLGSSSTDFSMKHSQLMDSDNETTSEMPLESRNIGSHEQSGDANHDQLNSGSMKHVEKKHTAAEKNINLSLLQRYFSGSLKDAARSIGVCPTTLKKICRQHGISRWPSRKINKVHRSLQKIQNVINSVHGVEGALKYDPSTGCIVTAVSSPEKSTLVTLDPKGQDLMPTSSFRQIETEQTLGKIESDCSSVDRRQIGTTGQLKCETDELGVISNDCGREFNFISADGCLFPYANAQSMHKLPLYSKGISNSSCLLKGAGCHQGGKDDVSLVSHECQNVSTRSSLAAPDKLTSPLSSSMTDSSSGSASSHSSFKKSQRLLSQTGPCVTVKATYNGDTVRFKFFPSLGSHHLFEEIGKRLKLLVGTFRLKYRDDEDDWVILANDSDLEECIDALQNIVSRSIKLQVYDVPCNIGSSSSSNYLKT >Ma03_p29400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32319960:32335895:1 gene:Ma03_g29400 transcript:Ma03_t29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNIARTASSASRNLRLSSNRPSPLPATTGFLSCFQDSIWCEKSRILDPATPPLVTRLFDAGFWQKGESLPKTLGHVNSLIMEVSGVPRNSRSFASVAEAVSSTDADEDTSTIEEMQRLLELSAEISGGKEDKRFNKLRRNQQFPKIKAGMSAEKYTMLRRRQIQIETEAWETAAKEYKELLMDMCERKLAPNLPYMKSLFLGWFEPFRDKIVAEQGQSMAKNKFSYQHYFSQLPAEMVAVITMHKLVSLLMTGGESGGVRVVQAVCQVGEAIEQEARIHRFLEKTRKKTSKDQTSDEVEGGAVTKEEQILRKKVTDLMKKQKVQQVRKIVRGQDVSKPWGQDAQVKVGSRLIELFMETAFIQPPVSQSADGPPDIRPAFRRVIRIVSSEQLKTKRYGIIECDPLVRQGLDRTARHMVIPYMPMLMPPLNWKGYDRGAHLILPSYIMRIHGARQQREALKRAPKKQMQAVFQALDTLGCTKWRVNKRVLSIVDRIWSSGGRLADLVDREDIPLPEKPDTEDESELRKWKWKMRSVKKENSERHSRRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLMKSGLRWLKIHLANLYAGGVDKLSYDGRLAFTENHVEDIFDSADRPLEGRRWWLGAEDPFQCLAVCINLAEALRSSSPETTISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGDKPADVYSGIAARVLDIMRRDSQKDPAEDPNALLARLIVDQVDRKLVKQTVMTSVYGVTFIGAREQIKKRLKERGVTADDRELFGASSYAARTTLTALEEMFEAARKIMNWLGDCAKVIATENHSVRWTTPLGLPVVQPYRALGKHIVKTSLQVLTLQRETDKVMVRRQRTAFPPNFVHSLDGSHMMMTAVACRKAGLIFAGVHDSYWTHACDVDQMNRILREKFVELYEKPILENLLESFQIAFPTLTFPELPERGDFNLKDVLDSPYFFN >Ma01_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15470961:15471832:-1 gene:Ma01_g19720 transcript:Ma01_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSMAPSQTPGKAMEEVEVWKDISLSSLNQDMPSTPPLSLHYHHDSNPTSTSTYVAGVTVQDLLSGAFRVADPIPPTCCVRRPATLSLGSGQFLDCLSPNSNCSNSDGSFSSCSAGFAMIRNGAFENGDGTVVDGRKKRMIKNRESAARSRARKQAYTSELETAVEHLLEENRKLKLMYEELLKQTMVAQPPAAKKRKLQRTSTAPF >Ma01_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7363520:7371781:1 gene:Ma01_g10220 transcript:Ma01_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDGKVEKDRVKTLRCYRPIKLHNQSLLSGLAYCISSCSMILVNKFVLSGYDFNAGISLMVYQNLVSVLVVSILRIFGVISTEPLTWKLVKVWFPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGETYLFMKHHDKRVWTALFLMIISAFSGGMTDLSFHAIGYIWQTLNCFLTASYSLTLRRVMDTAKQVTKSGKLNEFSMVLLNNTLSLPLGLLLIFAFNEVDYLYKTPLLKMPMFWLVITSSGFLGLAISFTSLWFLHQTGATTYSLVGSLNKIPLSIAGILLFKVPTSLENSLSILFGLLAGVFFAKAKLQDRSQS >Ma01_p10220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7363520:7371781:1 gene:Ma01_g10220 transcript:Ma01_t10220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGVPLGIPLNSPDRLLGRLDSEDASNLVLNGERTVLISREQNGDLSPVTNLVTSGRRDVVSRSLSGAKSFCDDEMDLEDGKVEKDRVKTLRCYRPIKLHNQSLLSGLAYCISSCSMILVNKFVLSGYDFNAGISLMVYQNLVSVLVVSILRIFGVISTEPLTWKLVKVWFPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGETYLFMKHHDKRVWTALFLMIISAFSGGMTDLSFHAIGYIWQTLNCFLTASYSLTLRRVMDTAKQVTKSGKLNEFSMVLLNNTLSLPLGLLLIFAFNEVDYLYKTPLLKMPMFWLVITSSGFLGLAISFTSLWFLHQTGATTYSLVGSLNKIPLSIAGILLFKVPTSLENSLSILFGLLAGVFFAKAKLQDRSQS >Ma01_p10220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7363520:7371781:1 gene:Ma01_g10220 transcript:Ma01_t10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSLSGAKSFCDDEMDLEDGKVEKDRVKTLRCYRPIKLHNQSLLSGLAYCISSCSMILVNKFVLSGYDFNAGISLMVYQNLVSVLVVSILRIFGVISTEPLTWKLVKVWFPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGETYLFMKHHDKRVWTALFLMIISAFSGGMTDLSFHAIGYIWQTLNCFLTASYSLTLRRVMDTAKQVTKSGKLNEFSMVLLNNTLSLPLGLLLIFAFNEVDYLYKTPLLKMPMFWLVITSSGFLGLAISFTSLWFLHQTGATTYSLVGSLNKIPLSIAGILLFKVPTSLENSLSILFGLLAGVFFAKAKLQDRSQS >Ma01_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8860801:8867440:-1 gene:Ma01_g12240 transcript:Ma01_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPDQETGMHLGSTKTNIKMEISRHHSLPLAFPLPLPPTSSVAMGIKGLTKLLSDNAPTTIKEQRFENYSGRKIAIDASMSIYQFLVVVGRNGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIMPVYVFDGQPPELKKQELAKRNLKREDAIRDLTVAIEVGDKEGIRKFSKRTVKVTKRHNEDCKRLLRLMGIPVIEAPCEAEAQCAAICKSNKVYAVASEDTDSLTFGAPRLVRHLMDPSSRKIPVMEFEVSKILEELKLSMDQFIDLCILSGCDYCNSIKGIGGQTALKLIHRHGCMENILQNINKERYHLPEDWPYKKVRQLFREPNVSSEIPELRWTAPDDEGLVNFLVNENSFNNKRVAKAIEKIKVAEIKFSQGRLEGVSAYAPSRRKVCS >Ma10_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32769112:32770412:-1 gene:Ma10_g24040 transcript:Ma10_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRGFHLGGPVDSSTSSRRSSFCLYRTGHEAHLSSSSTKRSTLSREIHGEALGHVAREAKERLDARLQIKRHNSLGSVKQTKNEGCGQGHKHRILGSVQREVFSSSKKSSRKFSWSKLGWRSAEQADCAVCLEEFETGDILVHLPCDHRFHWDCVLPWLESSSHCPCCRTTIVSQ >Ma09_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5033481:5034500:1 gene:Ma09_g07670 transcript:Ma09_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPMPAIFVGIFLICHHLNSRLLRFLPEKLISLLLPFSWHPPTSKDGLSPPATALSSIASFRSPSFGPKASARVMDPSELKRVFQMFDRNGDGRITKTELSDSLENLGIYIPEAELASMIEKIDVNGDGCVDMDEFGALYRSIMDERDEEEDMREAFNVFDQNGDGYISVEELRSVLVSLGVKQGRTAEDCRMMINKVDVDGDGRVDFKEFKQMMKGGGFAALS >Ma11_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20572617:20578850:1 gene:Ma11_g14870 transcript:Ma11_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIKLHEVLKSTVELLTRPRTVSAFKKKGVLSISEFILAGDNLVAKCPTWSWEAGEPSKRKSYLPADKQYLITRNVPCLRRASSMEEEYDIIGEEILFDTNDEDIIGWIVTHRKPREIKHYKEEAIPSIESVEIDKRETVTFNPIYFAVEEEEDIPDMADSEKKTETVILPSTHLVANELEDDKILRTRTYDVSITYDKYYQTPHVWLTGYDEWRMPLQPELIFEDVNEDHTQKTVTIENHPHLCLKHASVHPCRHAAVVKKMLDVLISRGIEPEVDKYLFLFLKFISSVVPTIEYDYTMDFDL >Ma05_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11517423:11522365:-1 gene:Ma05_g15440 transcript:Ma05_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDGDDHEGGSVVGFEVPRSPDSSYNNPIPGNEDEAREPPLVPPHLHHTLLNYPHGQDDPSSLSMPQNVILNHLYIGNQDGPRSVVALGITHRVRSKYVTVVLYKPVQRR >Ma01_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2369627:2372024:-1 gene:Ma01_g03580 transcript:Ma01_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGAEKAAEMEWPAYLNEYEKLVIRMNNPRVVIDNAVCPTATLVKVDSARKHGILLEAVQVLTDLNLSVKKAYISSDGRWFMDVFHVTDQFGRKLADDSVISYLEQSLDTEDHGLHRSCAEEGLTTLELTGADRPGLLSEVFAVLADLGCGVVEAKVWTHNGRIACLVSVKDELSGSPIDADARRIHHIESRLRHVLKCDHGVCGARTAVSSMAVAQTDRRLHQMMFADRDYERTPPSPPASTRPSVSIQNWVERGYSVVTVQCRDRPKLLFDIVCTLTDMEYVVFHGTIDTDGDLAHQEFYVRHKDGSPVSSEAERQRVIQCLQAAIERRSSEGIRLELCIEDKPGLLSEVTRTFRENSLLVTRAEVSTKGDMASNVFYVTDAAGRPADPKAIDAVRLRIGPDCLTVKEEQRPQFRRKAAAPDDQGGGGAVGIGLFYLGNLFRRNLYNLGLIKSCS >Ma10_p27460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34734833:34738954:1 gene:Ma10_g27460 transcript:Ma10_t27460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLVLWAASVTLLATVIIARTWGMKRSGQRGHRLPAGSRGWPLVGETLQFVSCAYSPRPERFMDKRTLMYGKVFRSHLFGSSTIVSTDAEVSRCILQSDARTFVPWYPKSLTELMGKSSILLINGNLQRRVHGLIGAFFKSSDLKAQITRDMQSYVQESMINWQDDQLIRIQDETKKIIFQILVKGLIGLEPGKEMQLLKQQFKEFIAGLMSLPVKLPGSRLYRSLQAKKKMVMLIKSIIEEKRKNKLGCAPRDVVDVLINDASGQLTDNLISDNMIDLMIPAEDSVPVLVTLAVKYLSECPLALQHLEEENTRLEKRRSMLGGELQWADYMSLSFTQHVITETLRMGNIISGIMRKAVKDVEIRGHLIPKGWCVFTYFRSVHLDEKHYEEAYKFNPWRWKVGGRGGPHRELSYCKNEGGNAHPGKEEELMLCNKMVTGEGLSLLHRYELRRRRSRGGEK >Ma10_p27460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34734834:34737897:1 gene:Ma10_g27460 transcript:Ma10_t27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLVLWAASVTLLATVIIARTWGMKRSGQRGHRLPAGSRGWPLVGETLQFVSCAYSPRPERFMDKRTLMYGKVFRSHLFGSSTIVSTDAEVSRCILQSDARTFVPWYPKSLTELMGKSSILLINGNLQRRVHGLIGAFFKSSDLKAQITRDMQSYVQESMINWQDDQLIRIQDETKKIIFQILVKGLIGLEPGKEMQLLKQQFKEFIAGLMSLPVKLPGSRLYRSLQAKKKMVMLIKSIIEEKRKNKLGCAPRDVVDVLINDASGQLTDNLISDNMIDLMIPAEDSVPVLVTLAVKYLSECPLALQHLEEENTRLEKRRSMLGGELQWADYMSLSFTQHVITETLRMGNIISGIMRKAVKDVEIRGHLIPKGWCVFTYFRSVHLDEKHYEEAYKFNPWRWKDKDMSSCGFTPFGGGQRLCPGLDLARLEASIFLHHLVTNFTWVAEEDHIVNFPTVRMKGGMPIRVRRRS >Ma10_p27460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34734834:34737856:1 gene:Ma10_g27460 transcript:Ma10_t27460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLVLWAASVTLLATVIIARTWGMKRSGQRGHRLPAGSRGWPLVGETLQFVSCAYSPRPERFMDKRTLMYGKVFRSHLFGSSTIVSTDAEVSRCILQSDARTFVPWYPKSLTELMGKSSILLINGNLQRRVHGLIGAFFKSSDLKAQITRDMQSYVQESMINWQDDQLIRIQDETKKIIFQILVKGLIGLEPGKEMQLLKQQFKEFIAGLMSLPVKLPGSRLYRSLQAKKKMVMLIKSIIEEKRKNKLGCAPRDVVDVLINDASGQLTDNLISDNMIDLMIPAEDSVPVLVTLAVKYLSECPLALQHLEEENTRLEKRRSMLGGELQWADYMSLSFTQHVITETLRMGNIISGIMRKAVKDVEIRGHLIPKGWCVFTYFRSVHLDEKHYEEAYKFNPWRWKTTGGWQRRTTS >Ma03_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16526562:16541186:-1 gene:Ma03_g15610 transcript:Ma03_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MRSFLLSRLRRNPRFFLSPIASISTPQSPSPPPPLSSPSIRTLHGLPPNPQSVITAQPSSSRVVQLFSPEETHTDGCDDEDGPMNEFLSRFVWIIRPKLADAYPALPKDTLEAMLLVVCQKVVALMEPGASVDDPPVDLSEDLWKTVVEVSNSVYEAMRRDRMREELKKYLHWDEVKEMCRFAGDIGIQGPMLRELRFKWAREKLADVEFYRKLNQMREQAQNQERQKVLSLSSGTDAGSVSLAEEKVGGSKVAALPQRKGKIKYKIYGLDLSDPKWAEVAEKVEEAEKHFVPEELQPVEGKCKKVDERILSLKAKRDDPAPLLAEWKELLQPKRIDWLALLDRIKQRNVDLYLKVAELLLSEESFETNIRDYSKLIDAHSKADHMEDAERILEVMTGRGIMPDILTFLILVHMYSKAGDLDRAKDAFESLRKEGFQPDLKVYNSMITAYVKAGLPKQGEMLVREMESRDIKPTKEIYMELLRAFTKLGQVDGAQRIMNTMQFAGIQPTLESFTLLVEAYGQSGDPDQARGHFDHMIRSGHKPDDRCTASMMAAYVKKNLLDKALDLLLTLEKDGFQPGIATYSILVDWLGRLQLVDEAEGLLSKLAEKGEAPFEIHVSLCDMYSRAHQLEKARKSLKILEARSKLLRADQLERIISGLLAGGLLEDAKRIYDCMQSQGLAPSEPIRVALLAAQSIRRQKSPTRRGGKSR >Ma00_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3784053:3790766:1 gene:Ma00_g01050 transcript:Ma00_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTKLLYIVVVDEGEGEGGDGKSGKAKGSSSFRYARPVLQSTLQLMGCKARHAFKISRRVFDVMRDECSGGSLLSDAASSDAWKIPSNEEHEYSITCGLGQGNMAHQSTVENVDTSGGSSFELYKRLTTVVVSREHFLDIVCDALALYNYVGPNQRADLLLACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSYVDEKQNPLLWASTYHAGECLDPVAVAKAKANRKAKKLAVSHTMIKEETSDGALNQKLERRSHDLVLGTELIGKKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIVPFMIYITNEDKHMERFAVRAKYMTLDPAKNKYVKYIQNIRAIQEYLCKRADKHLVPKINNTNVDRSVAAIHATVFGCLRRREAGEQLYDPTTNTVSVIHEEYRNQCVANSLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPVESVDSNRKFSGYGGEKCLGNPMYGPLLIGKAEPVNLQFGNYGISAWPNDTCGTSQTGSVDGSRADAADSGSRYFSSCCSSPKALDGPAKELKEEIFVSGSEEEADYPPDGDSDEDLSDMDHKEIHDEVEGSVDEDSTKSDEEYDDLAMRDGLENGYWSDDDCPESTNIKRIADDQEPIDEGDGVAAGEYQHNLEHIFKRSEGVVEPLLPCTLPREINETNTGQRTRRRSLSDSMQLQYRTRSTPAATEELRALQKSPVLAVVTRYGPMASC >Ma02_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26580179:26581411:-1 gene:Ma02_g20720 transcript:Ma02_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHETISISLAAICRVMIDSLGFQEKAARQSKVPSAYLMQLKRCMKNHLVNMK >Ma06_p29380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30770616:30778800:1 gene:Ma06_g29380 transcript:Ma06_t29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTKITSIYGNKPSNPDNATYKTVRNCQSDIPQECTVFDIEKTSSNNSLKNKDGPVCLQGEEIEKPHGMTSRSKHRHSEFTSPICENAKSPSSNEEASLDISHHGFVTARTKLEMDAKQRPCSMGHANASVSPQVNNNSFGNVRNYGMRYGNVTRRGVRGNFVPPIRSSGGNTGNMISPRISGQCDDALQDSTRKCIEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFHGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDGGNDQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLEKDGLFKLSEEDIIAICKLTEGYSGSDMKNLVKDASMGPLREALRQGIEITKLRKEDMRAVTRQDFEYAMQEVRPSVSLNELSTYEEWNRQFGSLLI >Ma10_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32197824:32199435:-1 gene:Ma10_g23090 transcript:Ma10_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGDQDIGGGAGGVDVVRINSKVMVAAAVFLFMVVVFVFFLYLYARRYFRPNPALRGRSRTRFVFAAADIDPAPRRGLDAAVLCSLPVTVYRAADFEEGLECAVCLCELVDGEEARLLPKCGHGFHLECIDMWFHSHSTCPLCRGPVGAEPSANPDAGAEATEAQPPETVRESLVFPPNPLFCGDQDQANAENSSTTGEAEGDGSPEGPSTSSGSSSSKKPQEGRLVIEIPRRVVDEFPSRGTPLPSSRFAMEDTGSPVTARFRSLRRLWSLGGRTAGSPCSPRVGDIEQALGGGEGRALPPKSPANS >mito2_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:553189:553248:-1 gene:mito2_g00060 transcript:mito2_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRIVGEEHYETAQRKE >Ma04_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2009081:2011917:1 gene:Ma04_g02320 transcript:Ma04_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MADEANRVAFIEIQSRMIETTGKLKQVQNQIRAKEGEKKRAYLTMEELRQLSDDTNTYKSIGKAFVLEPKSLMLNEQEQKYKDSETAITSLQTSKEYLEKQMAEVENNLRELLQQDPGLAHQIMSMSVM >Ma04_p32310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32338578:32339931:1 gene:Ma04_g32310 transcript:Ma04_t32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEHISEICSFPSSHNKFKKKNQLQTVEIKVRMDCEGCERKVRKAVEGMKGVSSVEIEAKQHKVTVVGYVDPKKVIRRVAWKTGKKAEPWPYVPYDVVAHPYAPGAYDKKAPPGYVRNVVDDPAAAPLARASSTEVKYTTAFSDDNPNNCSVM >Ma02_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29346366:29349610:-1 gene:Ma02_g24970 transcript:Ma02_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQAQKQEDRQKGAVAAFPQRKGKFKYTIYGLDFSDPQMGGDGIKVAEAEKHFVPEELHPVEEKCNEIEDRILSLKAKRDDLPPLVADRKEFLQPKRLALLDRIKERNVDLYLKVTELLLTMESFETGIRDYSKLIDAHGKVDYFKDAERILQKMTESGIVPDILTSLVLVHTYSKARKEGLQINDCSLCEGWPPKARGGTGEGNGVERHEIHERDIHGALESIHGAWPS >Ma07_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5217111:5233711:1 gene:Ma07_g07180 transcript:Ma07_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRKIRSLRRIPCPCFAGKHPLSSVAAADAAEELVSDSGGWDPKSCSRDILALSNLELGLYLSCRSNSNVVAEKGVLKQKEMVSCPRWSEQDPMLARLEVCHALAKEGRLRQMRKILRQMLGDEGTSSSLLCELLCTNFKDWDSNSIVWDMLANVYARLEMIHDALFVLSKMAALDMQASISTYDRLLFNLRHTEIAWDLYEEIKSSGVSYSEYTFKILIDGLCKQGRLQHAISFFQTERGNKEFNRCIVTFNSLMAGVCNAGFVEIARSLLSLSFKYGFLPNKHSYTTLIHGLCLAGYVDEALELSEYMQTDGIELDVVIYNILINGYRLLGLMSEIWKLIRMMIQHGLQPDLVTYTILITGLCEGGNVDEGLKMRKEMLSRGFKLNIVTYSVLVNALCRKGHINEVEGLLGEMKEIGLDMDLVAYSILINGYCKLGEIEKALQVCQTMCSKRIMANSFVHGGILSSLCKNGSVPEANWYLENLAATGQTLNIILYNIVIDGYAKIGDVEGAVTLYEQIIRSNITPSVVTYNSLIYGFCKIGELNIAKSFLRKIELHELVPTVVTYTILINGFCGAGDTDSILELLDEMIGKSIMPNRITYSVIIKALCKHGRVREAIDILKNMEIMGIGADEITYNTLIQGFHELRNVNMAFYLHDKMLQHSLMPTPITYNLLINALCLNGEVDRAERFLLSLLERGVRLRKFAYTTIIKAQCAKAMPDRAIILFDKIAIHKQNDHKSLAAFQAMVVKSCLIALGIYE >Ma07_p07180.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5217111:5233711:1 gene:Ma07_g07180 transcript:Ma07_t07180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRKIRSLRRIPCPCFAGKHPLSSVAAADAAEELVSDSGGWDPKSCSRDILALSNLELGLYLSCRSNSNVVAEKGVLKQKEMVSCPRWSEQDPMLARLEVCHALAKEGRLRQMRKILRQMLGDEGTSSSLLCELLCTNFKDWDSNSIVWDMLANVYARLEMIHDALFVLSKMAALDMQASISTYDRLLFNLRHTEIAWDLYEEIKSSGVSYSEYTFKILIDGLCKQGRLQHAISFFQTERGNKEFNRCIVTFNSLMAGVCNAGFVEIARSLLSLSFKYGFLPNKHSYTTLIHGLCLAGYVDEALELSEYMQTDGIELDVVIYNILINGYRLLGLMSEIWKLIRMMIQHGLQPDLVTYTILITGLCEGGNVDEGLKMRKEMLSRGFKLNIVTYSVLVNALCRKGHINEVEGLLGEMKEIGLDMDLVAYSILINGYCKLGEIEKALQVCQTMCSKRIMANSFVHGGILSSLCKNGSVPEANWYLENLAATGQTLNIILYNIVIDGYAKIGDVEGAVTLYEQIIRSNITPSVVTYNSLIYGFCKIGELNIAKSFLRKIELHELVPTVVTYTILINGFCGAGDTDSILELLDEMIGKSIMPNRITYSVIIKALCKHGRVREAIDILKNMEIMGIGADEITYNTLIQGFHELRNVNMAFYLHDKMLQHSLMPTPITYNLLINALCLNGEVDRAERFLLSLLERGVRLRKFAYTTIIKAQCAKAMPDRAIILFDKMLESGYEVSIKDFSAIINRLCKRHFVNEAKIFFKLMLYIGILPDQELCLVICSAIHKQNDHKSLAAFQAMVVKSCLIALGIYE >Ma07_p07180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5217111:5233711:1 gene:Ma07_g07180 transcript:Ma07_t07180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRKIRSLRRIPCPCFAGKHPLSSVAAADAAEELVSDSGGWDPKSCSRDILALSNLELGLYLSCRSNSNVVAEKGVLKQKEMVSCPRWSEQDPMLARLEVCHALAKEGRLRQMRKILRQMLGDEGTSSSLLCELLCTNFKDWDSNSIVWDMLANVYARLEMIHDALFVLSKMAALDMQASISTYDRLLFNLRHTEIAWDLYEEIKSSGVSYSEYTFKILIDGLCKQGRLQHAISFFQTERGNKEFNRCIVTFNSLMAGVCNAGFVEIARSLLSLSFKYGFLPNKHSYTTLIHGLCLAGYVDEALELSEYMQTDGIELDVVIYNILINGYRLLGLMSEIWKLIRMMIQHGLQPDLVTYTILITGLCEGGNVDEGLKMRKEMLSRGFKLNIVTYSVLVNALCRKGHINEVEGLLGEMKEIGLDMDLVAYSILINGYCKLGEIEKALQVCQTMCSKRIMANSFVHGGILSSLCKNGSVPEANWYLENLAATGQTLNIILYNIVIDGYAKIGDVEGAVTLYEQIIRSNITPSVVTYNSLIYGFCKIGELNIAKSFLRKIELHELVPTVVTYTILINGFCGAGDTDSILELLDEMIGKSIMPNRITYSVIIKALCKHGRVREAIDILKNMEIMGIGADEITYNTLIQGFHELRNVNMAFYLHDKMLQHSLMPTPITYNLLINALCLNGEVDRAERFLLSLLERGVRLRKFAYTTIIKAQCAKAMPDRAIILFDKMLESGYEVSIKDFSAIINRLCKRHFVNEAKIFFKLMLYIGILPDQELCLVICSAIHKQNDHKSLAAFQAMVVKSCLIALGIYE >Ma07_p07180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5217111:5233711:1 gene:Ma07_g07180 transcript:Ma07_t07180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRKIRSLRRIPCPCFAGKHPLSSVAAADAAEELVSDSGGWDPKSCSRDILALSNLELGLYLSCRSNSNVVAEKGVLKQKEMVSCPRWSEQDPMLARLEVCHALAKEGRLRQMRKILRQMLGDEGTSSSLLCELLCTNFKDWDSNSIVWDMLANVYARLEMIHDALFVLSKMAALDMQASISTYDRLLFNLRHTEIAWDLYEEIKSSGVSYSEYTFKILIDGLCKQGRLQHAISFFQTERGNKEFNRCIVTFNSLMAGVCNAGFVEIARSLLSLSFKYGFLPNKHSYTTLIHGLCLAGYVDEALELSEYMQTDGIELDVVIYNILINGYRLLGLMSEIWKLIRMMIQHGLQPDLVTYTILITGLCEGGNVDEGLKMRKEMLSRGFKLNIVTYSVLVNALCRKGHINEVEGLLGEMKEIGLDMDLVAYSILINGYCKLGEIEKALQVCQTMCSKRIMANSFVHGGILSSLCKNGSVPEANWYLENLAATGQTLNIILYNIVIDGYAKIGDVEGAVTLYEQIIRSNITPSVVTYNSLIYGFCKIGELNIAKSFLRKIELHELVPTVVTYTILINGFCGAGDTDSILELLDEMIGKSIMPNRITYSVIIKALCKHGRVREAIDILKNMEIMGIGADEITYNTLIQGFHELRNVNMAFYLHDKMLQHSLMPTPITYNLLINALCLNGEVDRAESAIHKQNDHKSLAAFQAMVVKSCLIALGIYE >Ma07_p07180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5217111:5233711:1 gene:Ma07_g07180 transcript:Ma07_t07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRKIRSLRRIPCPCFAGKHPLSSVAAADAAEELVSDSGGWDPKSCSRDILALSNLELGLYLSCRSNSNVVAEKGVLKQKEMVSCPRWSEQDPMLARLEVCHALAKEGRLRQMRKILRQMLGDEGTSSSLLCELLCTNFKDWDSNSIVWDMLANVYARLEMIHDALFVLSKMAALDMQASISTYDRLLFNLRHTEIAWDLYEEIKSSGVSYSEYTFKILIDGLCKQGRLQHAISFFQTERGNKEFNRCIVTFNSLMAGVCNAGFVEIARSLLSLSFKYGFLPNKHSYTTLIHGLCLAGYVDEALELSEYMQTDGIELDVVIYNILINGYRLLGLMSEIWKLIRMMIQHGLQPDLVTYTILITGLCEGGNVDEGLKMRKEMLSRGFKLNIVTYSVLVNALCRKGHINEVEGLLGEMKEIGLDMDLVAYSILINGYCKLGEIEKALQVCQTMCSKRIMANSFVHGGILSSLCKNGSVPEANWYLENLAATGQTLNIILYNIVIDGYAKIGDVEGAVTLYEQIIRSNITPSVVTYNSLIYGFCKIGELNIAKSFLRKIELHELVPTVVTYTILINGFCGAGDTDSILELLDEMIGKSIMPNRITYSVIIKALCKHGRVREAIDILKNMEIMGIGADEITYNTLIQGFHELRNVNMAFYLHDKMLQHSLMPTPITYNLLINALCLNGEVDRAERFLLSLLERGVRLRKFAYTTIIKAQCAKAMPDRAIILFDKMLESGYEVSIKDFSAIINRLCKRHFVNEAKIFFKLMLYIGILPDQELCLVICSAIHKQNDHKSLAAFQAMVVKSCLIALGIYE >Ma04_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19266973:19271172:1 gene:Ma04_g17960 transcript:Ma04_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKLESSIPVSRKRFVQFLLGVGLLYLSFLLLCELPFVSRRFAPGAGGLIGSDVVARRVALDSEEESQGKYAPVRPFIFPYRQAQSSPAPFQRRPPPDRRGRRLPALSGLALMELNASGIGAFSELHKSARDAWEVGKRYFEELQASPAPSAAAPLQESRAEERCPNSIMLSGAEFQERGRVLVLPCGLTLGSHITLVARPRRAHAEYDPKISSLKEGEQAIMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSPQRCEGWKSKADEETVDGLVKCEKWIRDDDNRVEESKMSWWFSRLIRRTKVSLDWPYPFVEDKLFVLTLSAGLEGYHVNVDGKHITSFPYRTGFVLEDATGLSLNGDLDIDSIYAASLPSTHPSFAPQRHLELSAQWQAPPFTDEPVELFIGILSAGNHFAERMAVRKSWMSAVRRSSNVVARFFVALHGRKEVNMELKKEAEFFGDIVIVPFMDNYDLVVLKTIAICEYGVRTVSAKYIMKCDDDTFVRLDSVLKEVKKVPADKSLYIGNINYYHKPLRSGKWAVTYEEWPEEDYPPYANGPGYVVSADIAHFVISEFEKHTLRLFKMEDVSMGMWTESFNNRKPVEYVHNLKFCQFGCINDYYTAHYQSPRQMMCMWEKLQAGKPHCCNMR >Ma05_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6117233:6122743:-1 gene:Ma05_g08230 transcript:Ma05_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAFPTLLLTLLLSSSHLPLSSPADEAFDVRRHLSTVTRYDVATETYDGSFSPSAIHDGCRPIHLNLVARHGTRAPTKKRIKELDRLAIRLDLLLNDAKQKAGKDSLQKIPAWLWGWQSPWKGRERGGELIVKGEEELYKLGTRVRERFPQLFDEEYHPDTFRIMATQVPRASASAVAFGMGIFAGKGSLGPGQHRSFSVISESRASDIHLRFFDTCETYKEFRTSKEPDVEKMKEPLFDQIASSLSSRYHLNFTRQDISTLWFLCKQEASLLDITNQACGLFNPSEVSLLEWTDDIEVFVLKGYGESVNYRMGVPLLQDVVHSMEQAISAEEGNLAGGTSEKARLRFAHAETVVPFTCLLGLFLEGPEFEQIQREQPLSLPPKPPQRRNWRGSSVAPFAGNNMLVLYQCPGNSSNDRLSSGVQRNKYFVHVLHNEIPIPMPGCGQRDFCQFEVFKVRLRIQI >Ma08_p26970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39373601:39389551:1 gene:Ma08_g26970 transcript:Ma08_t26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDNIIVGSHVWVEDPVLAWIDGEVFKINGNEVHVHTTNGKTVVANISKVFPKDTEAPPAGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYQGAAFGELSPHVFAVADVAYRAMINEGKRNSILVSGESGAGKTETTKMLMRYLAYLGGRSGIEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDNNGRISGAAIRTYLLERSRVCQINDPERNYHCFYLLCAAPHVEIEKYKLGSPQSFHYLNQSKCFKLDGVDEAQEYLSTRRAMDIVGISEHEQEAIFKVVAAILHIGNIDFAKGPEIDSSVIKDEKSRFHLNMAAELLMCDVKGLENALIKRVMVTPEEVITRTLDPASAIVSRDGLAKTVYSRLFDWLVDKINVSIGQDPNSKSLIGVLDIYGFESFKCNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTNFTIGHYAGEVTYQADYFLDKNKDYVVAEHQELLNASKCPFVSGLFPPLPEETSKSSKFSSIGARFKLQLQSLMETLNSTQPHYIRCVKPNNVLKPAIFENFNVIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGLLAPDVLEGNCDDKIACQKILDKIGLKGYQLGKSKVFLRAGQMAELDARRAEVLGRAARTIQRQIRTYIARKDFLKLRKAAIHLQSLWRGRLACKLYEYMRREAAAVKIQKNLRQYFARKLYTTLRSSAIILQTGLRAMTARDDFRFKRQTKASICIQARWHCHRDYSYYKRLQKATLTYQCAWRQRLARKELRKLRMAARETGALKEAKDKLEKRVEDLTWRLQLEKRLRTDLEETKAQEIAKLQEMLHETQLQVEESKSMVIKEREAARKAIEEAPPVIKETPVLVQDTEKINALTAEIENLKALMQTEKQATDAANKSLAEAQERNNELLKKVEDSEARAEQLQDTVQRLEEKVSNLESENQVLRQQAVAISPTTRALTTRPKTTIIQRSTENGNILNGESKLALDLSPGIPSSKELQNDDKPQKSLNEKQQENQDLLIECISKDLGFSQGRPIAACLIYRCLLHWRSFEVERTSIFDRIIQAIGSAIEAQDNTDVLSYWLSNSSTLLLLLQRTLKASGTASLTSQRRRASALFGRMSQGIRTSPQSAGLFSFNGRMMGGLSDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISSLLALCIQAPRTSRASLLKGSRSQANAMAQQARIAHWQSIVKSLTDYLKILKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYLKAGLTELEHWCYDATEEYAGSAWDELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSEVISSMRVMMTEDSNSAVGSSFLLDDDSSIPFSVDDISKSMTEIEIADVDPPPLIRQNSGFAFLLQRKE >Ma08_p26970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39375880:39389551:1 gene:Ma08_g26970 transcript:Ma08_t26970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLDNIIVGSHVWVEDPVLAWIDGEVFKINGNEVHVHTTNGKTVVANISKVFPKDTEAPPAGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYQGAAFGELSPHVFAVADVAYRAMINEGKRNSILVSGESGAGKTETTKMLMRYLAYLGGRSGIEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDNNGRISGAAIRTYLLERSRVCQINDPERNYHCFYLLCAAPHVEIEKYKLGSPQSFHYLNQSKCFKLDGVDEAQEYLSTRRAMDIVGISEHEQEAIFKVVAAILHIGNIDFAKGPEIDSSVIKDEKSRFHLNMAAELLMCDVKGLENALIKRVMVTPEEVITRTLDPASAIVSRDGLAKTVYSRLFDWLVDKINVSIGQDPNSKSLIGVLDIYGFESFKCNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTNFTIGHYAGEVTYQADYFLDKNKDYVVAEHQELLNASKCPFVSGLFPPLPEETSKSSKFSSIGARFKLQLQSLMETLNSTQPHYIRCVKPNNVLKPAIFENFNVIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGLLAPDVLEGNCDDKIACQKILDKIGLKGYQLGKSKVFLRAGQMAELDARRAEVLGRAARTIQRQIRTYIARKDFLKLRKAAIHLQSLWRGRLACKLYEYMRREAAAVKIQKNLRQYFARKLYTTLRSSAIILQTGLRAMTARDDFRFKRQTKASICIQARWHCHRDYSYYKRLQKATLTYQCAWRQRLARKELRKLRMAARETGALKEAKDKLEKRVEDLTWRLQLEKRLRTDLEETKAQEIAKLQEMLHETQLQVEESKSMVIKEREAARKAIEEAPPVIKETPVLVQDTEKINALTAEIENLKALMQTEKQATDAANKSLAEAQERNNELLKKVEDSEARAEQLQDTVQRLEEKVSNLESENQVLRQQAVAISPTTRALTTRPKTTIIQRSTENGNILNGESKLALDLSPGIPSSKELQNDDKPQKSLNEKQQENQDLLIECISKDLGFSQGRPIAACLIYRCLLHWRSFEVERTSIFDRIIQAIGSAIEAQDNTDVLSYWLSNSSTLLLLLQRTLKASGTASLTSQRRRASALFGRMSQGIRTSPQSAGLFSFNGRMMGGLSDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISSLLALCIQAPRTSRASLLKGSRSQANAMAQQARIAHWQSIVKSLTDYLKILKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYLKAGLTELEHWCYDATEEYAGSAWDELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSEVISSMRVMMTEDSNSAVGSSFLLDDDSSIPFSVDDISKSMTEIEIADVDPPPLIRQNSGFAFLLQRKE >Ma04_p28650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29668523:29671758:-1 gene:Ma04_g28650 transcript:Ma04_t28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGELGNANGGGKGLARIETKAEDGICHDDSALPVKAQTIDELHSLQKKRSLPGTPMNDGLLQGNAAFATVSEDERHKLRLQSISASLASLTRETGPKVVKGDPARKAEAPKGGAVHHHYFTPSISVSDSSLKFTHVLHNLSPAELYEQAIRYEKGSFITSTGALATLSGAKTGRSPRDKRIVNDETTAEELWWGKGSPNIEMDEQTFMVNRERAVDYLNSLDKVFVNDQFLNWDPEHQIKVRIVSARAYHSLFMHNMCIRPTPEEVETFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLARKEMVILGTQYAGEMKKGLFSLMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDRNRLLIGDDEHCWSENGISNIEGGCYAKCIDLSMEKEPDIWNAIKFGTVLENVVFDEHTREVDYSDKSVTENTRAAYPIEYIPNAKLPCVGPHPKNVILLACDAFGVLPPVSKLTLPQTMYHFISGYTALVAGTEEGIKEPQATFSACFGAAFIMLHPTRYAAMLVEKMQKYGATAWLVNTGWSGGRYGIGSRIKLAYTRKIIDAIHSGSLLNADYKKTQVFGLEIPTEVEGVPSEILDPENTWPEKAAYEETLLKLAGLFRKNFEVFANYKIGEDAKLAEEILAAGPNF >Ma08_p26180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38789098:38797265:-1 gene:Ma08_g26180 transcript:Ma08_t26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MASVSCFGGRHHTVRRRSNISRRPIITIISITFSSSSSSSCSGFFSMPLSLRRRNSTRPRPISVIRCSSSFPGPTDSDESPRDLGGGAGRDAKQVKEETVDHRLGKEQQLGTRVATAMGEAMPTKDFVTIAACVVGLLTGVCVVLFNNAVHEIRDFFWDGLPLRGASWLREKPLEEIWQTVILVPVCGGVIVGILNSLQDSLKDPSGRMVSDVKEAIRPILKTIAASVTLGTGNSLGPEGPSVEIGSAIAKGISHVFEWRGGKSLSLVAAGSAAGISSGFNAAVAGCFFAVESVLWPSTADPFLSLSNSTSMVILSSVIASIVSEVGLGSDPAFTVPEYDFRSPSELPLYLLLGVLCGMVSITLSGCTSLALETVNYLQRTTGVTKAIFPALGGLTVGLIALSYPEILYWGFENVDILLESRPFVNGLPANILFQLVGMKILATSLSRASGLVGGYYAPSLFIGAATGMAYGKFMSSTLCGPSPLIHLPLLEVASPQAYGLVGMAATLAGVCQVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWISSSQNLKRNVPRKLDELKVNDKNGSQPTGSIYEDQDTYYAAASAESGGIGLCELENSLCVFDVSAEVSSLADKLTVSQAMRTKFLTISMNTSVIEAVTLMQVEKQSCAVIIDSTGFLVGLLLLEDIQNFSKLATTRGVEIEAEKILVSDICHPDGGKRKVWTATPEMKLVTAESIMDSHGANHLPVVSQHIDGQESGQLVGLLDRECISIACSAVAAKEYLSLYTVTRRLES >Ma07_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1615085:1616723:-1 gene:Ma07_g02090 transcript:Ma07_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFGSSTGRSTRRATAAAALSPPPSSPLPEEDGEGINGRRWRNRDRSPLSERSAPTTSAAESVAASPVSSAINLSREYTHAVTTSSFQEIWSKIHYDQSEGEGEWWDEEEMTDLAMEAVEEGAPPSSSRIIAQVLQPDRTSIEEALHGAPPTRLARLVSDYFDGSEHTSRFCLSLVRAVRRARSLYAPIGDLIDLLPTSTAVDDFPARLTEAQCDLAFDRFLEFDRLGNPFTAPSSDGSCSFQGLRGCFAELKQQLELRLLKASRRYRLMCCATRGSGACLIVSTIGLAVTGVVLATHALVTLMAGPALFSGGCHRMVDVPRLRKRQRDYIAQLEAASRGVYVINNDLDTIDRLVARLHATVESDRVLVRLGLESGKRQQHPIQEVLHHLRKNHPSFLHQLEDLEEHVCLYFAAVNRARFLLFRQIQQQPLPP >Ma05_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20889858:20894251:1 gene:Ma05_g17600 transcript:Ma05_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKHEKRIFRSELMILFFFFLLANSPFKTLNFSFFVWDKSFESLLSFGYEIESHEDRFYNGDDSSPRSHNSRLLALFLRLHHLRSRWRSSEGSGKWKGLLTAPRSSSSPALPSTNVTRKRLLSWSSWCAWSRRRSGWLQGALHQLTVEAVDAGKKKVDEAKVWVKPWLNFKELQEFRHAADSGLRIMVLGKVRRCCETTCCLRVGIYHMSSKYYDVCKTTSRHFFMYRR >mito1_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000012.1:86554:87371:1 gene:mito1_g00010 transcript:mito1_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLVRALRRANAFSNFEHRMPQEKTRTDTYRLGLADAGSFKSMNFVQSISEEDEQLEQRTNKRDLVHVLLNKNNTFVTVTDARGNKKTGASAGCLEERKGRSRLSRYAAEATAEHVGRSARKIGLKSVVMKVKGSTYFRKKNKVILSWREGFRGEGVGDPSPILYINDVTQLPHNGCRLPKKRRVQIVPF >Ma04_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3992086:3995308:1 gene:Ma04_g05370 transcript:Ma04_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARIPLKGWQQAAVALGSALGALMDPRRADLIAALGETTGKPAFQRVLERMRNRSEGREVLLERPRVISAEVSHAWDLPESTFGSAYARFMGTRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGESALKVIEFQQMFLPMCALSVVGGSARFSEKQRALFFQHYFPWALRAGMASTDLMCVYYEKHFHEDLEDVRKKWGIIRCPDPKGKS >Ma04_p05370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3992090:3995308:1 gene:Ma04_g05370 transcript:Ma04_t05370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARIPLKGWQQAAVALGSALGALMDPRRADLIAALGETTGKPAFQRVLERMRNRSEGREVLLERPRVISAEVSHAWDLPESTFGSAYARFMGTRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGESALKVIEFQQMFLPMCALSVVGGSARFSEKQRALFFQHYFPWALRAGMASTDLMCVYYEKHFHEDLEDVRKKWGIIRCPDPKGKS >Ma08_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8308068:8310311:1 gene:Ma08_g11240 transcript:Ma08_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATLFFSLLLCLCAASLLSPPFADGSDELKVYIVHVKHPEGVTFSDAAQWSDWYSSLLQSAASTLGVESEEDVAASRLVHSYHNVMTGFSAFLTEMEVEAMSKLDWFLQAYPSRVYRLHTTRSPTFLGLRRRKHGVWRSSNMGEGVIIGVLDTGITPGHPSFDDYGMPPPPAKWKGRCDLNASFCNNKLIGARSFVNYDGRKRRSNVSVVDADGHGTHTASTAAGAFVKRANVYGLARGLASGMAPRAHLAIYKVCLESCASYDILAGMDAAVGDGVDVLSLSLGGDPVPFFVDAIALGGFNAISKGVFVSCSGGNSGPDHYTVSNDAPWLLTVGASTLDRTFRTTVKLGDGQEFDGESMYQPSNYKSKLLPLVYPGLITGNSDTALCMNGTLDSVDVRGKIVLCDRGTNARVEKGQVVKKAGGAGMILVNDALNSYSTNADLHVLPASHLPYSAAAKIKVYINSTSAATAAIIFKGTATRVPNSPALASFSSRGPSQITPGILKPDILGPGVNVLAAWNTQKFNMISGTSMSCPHLSGISALIKKAHPDWSPAAIKSAIMTTARPKDNRQQPIFDERHVPADLFAVGAGHVDPQRAIDPGLVYDLAPGDYIPYLCGMGIKDESVSAIARKKVNCSSVKSITEGELNYPSITVTLPANSSKWISYTRTVTNVGNPMTEYSVRVDVAKGVTARVQPPKLYFKEVNQKQSFSISFRRNGGASGAVEGRLRWVSREHVVRSPISIALE >Ma10_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15518864:15521056:-1 gene:Ma10_g04870 transcript:Ma10_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMALLALLSTLLALSAVRAEDPYLFFTWNVTYGTIAPLGVAQQAILINGQFPGPNINSTTNNNIVLNVFNNLDEPFLFTWNGIQQRKNSWQDGMPGTNCPIPPGTNYTYHFQVKDQIGSFFYFPSLGMHRAAGGFGGLRINSRLLIPVPFDDPADDYTVLIGDWYTKSHKVLAGILDAGRSIGNPAGVLMNGLSGKDATGKDDPPLFTMEAGKTYRYRICNVGLKLSLNFRIQGHLMKLVEMDGSHTVQNDYESLDVHVGQCLSVLVTANQEPKDYYMVASTRFTKYMRTATGVVRYAGSKVPPSPELPAGPVGWAWSLNQWRSLRWNLTASAARPNPQGSYHYGSINITRTIKLASSVGLVNGKRRFGLNGVSHVDSPTPLKLAEYYGISDKVFKYDSIGDEPPASGASITVAPNVLNATYRDYIEIILENPERSIQCYHLDGYSFFAAGMGHGKWTPASRRTYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWSLRSELWERHYLGQQLYISVVSPARSLRDEYNMPDNTLLCGDVVGLPKPPSYV >Ma01_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:195931:201809:-1 gene:Ma01_g00230 transcript:Ma01_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMGELGFQSARNCIFGDDDPPKHLILSTRTGSRNTSPSRQKAIKTKPRGFDEETIATFTKVIHADIQMEDSIWALLPEDLLMEVLARVPPFLIFRLRSVCRRWNSILQDRSFLTSHSQVPSHGPCLLTFWKNSQAHQCSVFSLPLKTWYKIPFVFLPDWAFWLVGSSGGLVCFSGYDGLSFRMLVCNPLTQAWRVLPGMHYNQQRQLILVTDKVDRSFKVIATSDIYGNRTLPTEVYDSKLDGWSVHQVMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYRVDTGQWEHIPAKFPRSLLDGYLVAGAQKRLFLVGRIGLYSTLQSMRIWELDHAKTVWVEISRMPPKYFRALLRLSAERFDCFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCAIQLCNSQVCFYEPRFDTSIY >Ma01_p00230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:195931:201809:-1 gene:Ma01_g00230 transcript:Ma01_t00230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMGELGFQSARNCIFGDDDPPKHLILSTRTGSRNTSPSRQKAIKTKPRGFDEETIATFTKVIHADIQMEDSIWALLPEDLLMEVLARVPPFLIFRLRSVCRRWNSILQDRSFLTSHSQVPSHGPCLLTFWKNSQAHQCSVFSLPLKTWYKIPFVFLPDWAFWLVGSSGGLVCFSGYDGLSFRMLVCNPLTQAWRVLPGMHYNQQRQLILVTDKVDRSFKVIATSDIYGNRTLPTEVYDSKLDGWSVHQVMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYRVDTGQWEHIPAKFPRSLLDGYLVAGAQKRLFLVGRIGLYSTLQSMRIWELDHAKTVWVEISRMPPKYFRALLRLSAERFDCFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCAIQLCNSQVCFYEPRFDTSIY >Ma07_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9531457:9535040:1 gene:Ma07_g12650 transcript:Ma07_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLFAALLRRCCSRNPSAPSLRTSPFALLESSRPFSSGPPTSEVLAVEEGTAASEDNLRSRIFRLRLPKRSATDALDRWAGEGRTVTASELRQITKDLMRSQRYKHALEIITWMDSNGPFQLSSSDHARRLDLIIKVHTIAEAVTYFKKLTTSASKKAAAFPLLHYYVKARDLQKAESLMSMLQNCGLAVDAHPFNEMMKLYMATDKFESVTHVIQYMLRSKISLNVLSYTLWMNACGKLSGIASAEMVLMKMINDKNVEVGWSTYSTLANIYTNSGYIDKAYDALRVAEEKLSVTKRLAYYFIMTNYSALSDRDGVLRLWESSKKVPGRITCANYMCVILCLVKVGDIREAERIFRTWESECRNYDVRVSNVLLGAYMRNGWMHKAESLHLHTLEKGARPNYKTWEILMEGWVNNRQMDRAVEAMKKGFSMLKDCQWRPPAAILMSIAEYFEEHGSVDEAKTFVKVLQGLGLMDLPLYKLFLRTHIKARRVVPNILRMMGHGQIDLDEETLSLIQRMSNVSSTVDDDFLCQN >Ma06_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21392369:21396908:1 gene:Ma06_g23410 transcript:Ma06_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKETSAPARSPNPAMPYREDCWSEGETSTLVDAWGDHFVELNRGNLRQKHWQEVADAVNSRRGAGRRPPRTDVQCKNRIDTLKKKYKAEKARIAAGAESQWQFFSRLDDLIGSSPPSAAAKKSSASRPLAVPFSFYRKGPTAEPREKRSAVAGIPVDNPLFRRAAAAAAAAAETNLEEEGSGSLSRSSSRSRRGWKRGRGEEGNGIGELARAITRFADIYERVEGAKQRQMMELEKQRMEFAKALEFQTMQIIVESQLKLAKIKRAKQAIRY >Ma03_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7352324:7354963:1 gene:Ma03_g09880 transcript:Ma03_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIGSVDAAAETTANGVVGCPPAKPCCSVAPAAPTTAGPADATLGRHIARRLVQVGALDVFSVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLSDFSQELRCFQTVTCFQAVVNNLEDAHELIDTAISTALKESKPVYISIGCNLPGIPHPTFSREPVPFFLSPRISNQMGMEAAVEATAQFLNKAVKPVLVGGPKIRVAKAGKAFVELADACGYPIAVMPSAKGLVPEHHPRFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIVVQPERVVVANGPAFGCILMKDFLQALAKRLKMNTTACENYRRIFVPEGQPLECQPKEPLRVNVLFKHIQKMLSEKTAVIAETGDSWFNCQKLKLPDGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDVSTMLRSGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGFVDAIHNGEGNCWTTKVTCEEELKEAIEKVMGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Ma08_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3492672:3499199:1 gene:Ma08_g05100 transcript:Ma08_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G11750) UniProtKB/TrEMBL;Acc:F4IAG5] MASVPISTPLGFAAAASSSARSRSIKPAPPRNMFHPYASLDVPGKNNQVHSLSLKLSELQHRSFRHDAVIEAKQGNPPIMPAVMTPGGPLDLSSILFRNRIIFIGQPVNSQVAQRVISQLVTLATVDEDADILIYVNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMYAAFTGQPLEKIQQYTDRDRFFSASEALEFGLIDGILETEY >Ma07_p15140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11400581:11403661:1 gene:Ma07_g15140 transcript:Ma07_t15140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRLVTGLPGPSGFGSASTAEQVTAGIDASNLTVIITGGASGIGTETARVFALRGAHVIIAARNLKAADGAKQLIQRTAPASRVDILELDLSSLKSVRAFADKFLSMDLPLDILINNAGVMFCPYQLSRDGIEMQFATNHLGHFLLTNLLLEKMKSTAKKTGMEGRIINLSSIAHKHTYKGGIWFDKLNDKDVYSDKKAYGQSKLANILHANELSRRLQEDGAKVTVNSVHPGLIMTNLMRHSFLLMRVLKVFSYVFWKNVPQGAATTCYVALHPSLKGVTGKYFFDCNEEMPSSWARDKKLAKKLWDFSEKLVKSSLRDLP >Ma07_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11400592:11403654:1 gene:Ma07_g15140 transcript:Ma07_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRLVTGLPGPSGFGSASTAEQVTAGIDASNLTVIITGGASGIGTETARVFALRGAHVIIAARNLKAADGAKQLIQRTAPASRVDILELDLSSLKSVRAFADKFLSMDLPLDILINNAGVMFCPYQLSRDGIEMQFATNHLGHFLLTNLLLEKMKSTAKKTGMEGRIINLSSIAHKHTYKGGIWFDKLNDKDVYSDKKAYGQSKLANILHANELSRRLQGAATTCYVALHPSLKGVTGKYFFDCNEEMPSSWARDKKLAKKLWDFSEKLVKSSLRDLP >Ma09_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3558484:3560119:-1 gene:Ma09_g05540 transcript:Ma09_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVELRGPMLGARWLLLLLVLTSSLGPARPEPRVPCYFIFEDSLVDNGNNNNNASLAVANYPPYGVDFAGGPTGRFTNGLTTVDVIPKLLGFHGFIPPYARTRGQALLAGVNFASAAAGIQEETGRQLGGRIPLSGQLQNYRSAVQEMVRILGGEETAATYLSKCIFSVGMGSNDYLNNYFMPALYGTSRQYTPEQYADVLTQQYSQQLRTLYNSGARKVALIGVGQVGCSPSELAQRSPDGVSCVEEINSAIGVFNAKLIGVVDELNALDGAHFIYINGYGIFEDIMRNSAAYGASVTNRGCCGVGRNNGQITCLPYQAPCAYRNEYLFFDAFHPTEAAIVLIAKRSYSARSPSDAYPMDIRRLAKV >Ma04_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26677382:26679896:-1 gene:Ma04_g24730 transcript:Ma04_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLANNGALYPVLGLASCVALVYMSFGDLALDLSTHAREPEMSFVGRNGTRFTMDGKAFYVNGWNSYWLMDQAVEEISRPRVKAMFQAGAKMGLTVCRTWAFNDGGYNALQVSLGHFDEHVFQALDRVIVEAKRHGIRLLLSLANNLEAYGGKTQYVKWAWEEGIGLSSSNDSFFFDPSIRSHFKTYLKTVLTRKNRLNGIQYKDEPTIFAWELMNEPRCMSDPSGDTLQDWIEELAAYVKSIDKNHLLTVGLEGFYGPASSPEKLKVNPGQWFGTLGSDFIRNSKVSDIDFASVHIYPDQWQVGAGLEEKMKYISGWLTSHIEDGEEELKKPVLFTEFGLSDKSRDFDHAHRDVFYESIFDVVYESARRGGAGAGALVWQFMAAGMQEYSDDFGIVPEERSSMYRLIKKQSCRLMRLSHGRDWMKRRDSETFCAE >Ma07_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32142495:32145485:-1 gene:Ma07_g25000 transcript:Ma07_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRFSADAFGVVTISLVILFDILAIRCIYQVALLRVRIQRRDFHRLGYFNGPWVIRIFLALVAILWSSSELARLSFLKGRLFSSITWQRNMCKLYIIFNLGFSEPTVFLTLVFLLRASLQRRESGTLSQGWNKKTIGYVFLFCLPIFIMQVILVFVGPKGFNEDRSDGRAKKFNYFTRASVLIGDECVCTYPLFSTILLGLFHAALISYAVYVGLHILSSAINKRLLRRLYWLVSSIIFSLPVRVLLLGLSVLPHPGNLAYEVIVFLAFLVMLFCAVIGIVILVYFPAEDSVALRDLEEREMEEVPYDDYYYDSASLIVSQNCHDTRRNSDASTKRGSISFRTMIRDDPPALDGFDGTSMSFQGALQVGSPSGSSPTPAKPMLPLREVPRY >Ma05_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6386534:6388482:-1 gene:Ma05_g08650 transcript:Ma05_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTTTLLQASIPSCQSNTSPPPSLNSHPFDTTDKLMKDPPIDPLQDLHRWPTPSEVVEEMRAIGKISIPTALTGLIIYLRAMISMLFLGYLGELELAGGSLSIGFANITGYSVLSGLAMGMEPICGQAFGARQRKLLGLTLQRTVLLLLCTSVPISLLWLNMRRILLWCGQDEQISSTAQTFIAFAIPDLFFLSFLHPMRIYLRSQNITFPVTYCSFVSVVLHVPLNYILVVHWKMGVAGVALAMVWTNLNLFICLLLFILFSRVYKDSWVSPSSDCLRGWSELLKLAIPTCVSVCLEWWWYELMIMMCGLLLNPKATVASMGILIQTTSLVYVFPSALSLGVSTRVGNELGGNRPAKARTATIVSLAAAVLLGLAAMTFTTSIRHRWGRLFTDDAEILELTAVALPIAGLCELGNCPQTTGCGVLRGSARPSTGANINLGSFYLVGAPVAILLGFVMGMGFPGLWLGLLAAQASCALLMAFALFNTDWMVEAEKAKALTASSSSSSSSSIIPKAVGSSGITTANTAAAAEDSKQTAAILEEIVCIGGDERRVVASEADPLISRVE >Ma09_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7321257:7323889:1 gene:Ma09_g10740 transcript:Ma09_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFFFLLLLSFELACSYRHPLDPLTPSEISIVSSVIKTSHFGSSKSLSFHYVGLDEPDKPDLLEWSLGHRRSKEKALPPRRAFVIARSEGKTHEIYVDIASRSVVSDEVYEGFGYPMLTFEEEEAASALPFNYPPFVASVAKRRLALEDVLCTPLSVGWFGEAKQGRRRVKIACYLTGDTVNFYARPLEGVTVVVDLDALAIVEYEDRVVVPVPESAGTDYRAAKQRPPFGPQTKPGAVVQPEGKGFEVDGHMIRWANWEFHLGFDVRAGTVISVASVKDSEVGTPRRVLHRGFVSELFIPYMDPSEEWYYKTFFDAGENGFGLSAAPLEPNADCPANAAFMDAHFAGRDGAPGRIPNALCVFERYAGDASWRHTEFGFPGQVITEVRPDVSLVVRMVSAVGNYDYVIDWEFKTSGSIKIGVSLTGILEVKGTRYTHADQIAGDEHGTLLARNTIGVYHDHFVTFHLDLDVDGPANSIVRSKLKTVRVTDGSSRRRSYWTVAKETAKTEADARVELGDEPGELLVVNPSKRTRMGNLVGYRVISHGATATSLLSDDDYPQIRASYSKNQVWVTAYNKSEKWAAGLYTDESRGDDNLAAWSRRNAGIEDTDIVLWYTAGFHHVPCQEDFPLMPLLSGGFELRPANFFESNPLIKTRPNGPVLLPNCSSNP >Ma05_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8362580:8367801:-1 gene:Ma05_g11530 transcript:Ma05_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g16610 [Source:Projected from Arabidopsis thaliana (AT3G16610) UniProtKB/Swiss-Prot;Acc:Q9LUS3] MLLSKAYLITHRALFFAFRHGFTLLHTKSEARSLLPAFAPPPISRALKGSQLPQFAALDVNTYAKLLDSCTRLKLLAEGKEIHRQIFMHSSCARNSVLLEKIVQMYISCSETESARLVFDDVPQPSVFLWNAMIRAYAWNGPFDRAIGLYERMMDSGVEPNKFTFPFVLKACSGLEALEDGIKIHDEVKRAGLESDLYISTALIDMYMKCGCLEDADEVFCRMPNRDVVAWNAMVAGCTLHGMYEEMVRFVLEMQRMRTRPNPSTLVALLPVVGQAKALGQGKSIHAFCTRRCFDEGDVLVNTALLDMYAKSECLVYARRIFDHMRIRNEVTWSAIIAGYVLCGRMVDALQVFGQMISEGPSIVGPTSLASILRACASLGDFDRGRLVHSYLVKSGLLMDITVANSLLSMYAKVGTISDAISFFDEMDTKDTVSYSAIISGCIQNGNAEEALDVFREMVSSSMEVDAATMVGVIPACSHLAALQHGKCNHAYITSHGLASDSSVCNALIDMYAKCGKIEIARKVFDTMPKQDTVSWNTIIAGYGIHGLGDEAISLFLRMEPAGLVPDDITFICLLSACSHSGLIAQGKHWFYAMTEIYNIVPRMEHYICMVDLLGRGGLLDEAYDFIKKMPFEADVRVWVALLGACRVHKNIELGEEVSRMIQKLGPEGTGSFVALSNLYSATGRFNEAAQVRIMQKEKGFTKSPGCSWFEIGGVVHAFIGGDQSHPQSSSIYQKLEELLVEIRKLGYLADTSYALHDVEEEEKEHALVYHSEKLAIAFGLLRLRHGQPIFITKNLRVCGDCHNAIKYITLAAKRDITVRDANRFHHFKDGMCSCGDFW >Ma06_p38740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37384844:37386804:1 gene:Ma06_g38740 transcript:Ma06_t38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPSPPPVKKQEHVKEEEDEDEEALLSSHGAFSCPYPNPNPSPKTPSFPPTTSCVYQRRVRRRTFRDPRFPLSKKARCFLKPAAAAAASSSSGGSAVSSGGRAKKSMADLDGVILAAERRAPPASAMTRVVQGGGELTVGLVAAAKKRPPRSAEMVRATCKSSEDRLGCRLLARRARITFQTLLALFLRSGEFRRPDLKAAEVMCDRGLWLHRDRRIVGPLPGSLIGDVFFYRSELQVVGLHGLCQGGIDFIPAIRSSSGGKARNRPHLSADQQLTAGNLALEYNEQYGIEIRVIRGLTYEGSPSGKVYVYDGLYKVHEHWRETTKSGFTAYKFCLRRVEGQKPMGSSMLKFAESLKACPLPKQPKGYLSWDISRGEEKVTTIPLWGCSCTSICSSNCHCAKKNGGQFAYDANGILLRGKPLIYECGSWCRCPQSCPNRVSQRGIRHRLEVFRSREMGWGVRSLDLIRAGSFVCEFSGVVLPNEVIPLGGSCLLRSNQFPARWWEWGDVSDVFPDHRPPDFPPLRRLSFMMDLSRSRNVACYISHSFCPNLFVQFVLYDHHNELYPHLMLFAMENIPPLTELSIDHGI >Ma02_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16462283:16466580:-1 gene:Ma02_g05130 transcript:Ma02_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFRVRLASFFAGAATASIAGFYLLYRDYMLSHDAIAQQVNGIYGTLDERYEALNRRVTALETQKEAEATESAGASG >Ma08_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3294359:3295339:1 gene:Ma08_g04740 transcript:Ma08_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKPSMGRQKIEIKRIQNEEARQVCFSKRRSGLFKKASELTILCGAELGVVVFSPAGKVFSFGHPTVDAVVDRFFAGHPHPQAAESRREAAVCELNRRCMELHDLAEAEKKKRNALEKAMKKVQAAEQLYWDADVESLDMEELQEFERRLVELRNNVARRADQLLQQSFVPKQQFPDDAVAMQGALAVKNEGDMRPSPLAGIFGYGHGFSGSM >Ma09_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:268842:280557:1 gene:Ma09_g00320 transcript:Ma09_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSFSRRMSVRLTPRIASRPRVSVRRKSWVAPRPSISDLVVAFCLASLVLVVGCIAYLYVLQYFDSENSVAGLIGDANVCNVFDGRWVPDSSYPLYNSSECPFAERGFNCLANGRKDIDYLKWRWQPRQCNVPKFNVHDILERLRGKRVVFVGDSMSRTQWESLICMLMTGVQDPKTVYEVNSNQISKTIRFLGVQFQSFNLSIEFFRSVFLVQQGLPPRHGPKRVRTALQLDKMDDISRKWVDSDVLIFNSGHWWTSSKLFDMGCYFQIGGTLKLGMSINSAFRVALNTWASWVETMVDTNRTHVFFRTYEPSHWSGSNEKVCEVTQQPLSEAEVNDKSEFADIIAEVVERMTVPIAVLNVTSMGAFRSDAHIGTWSHPSTIVDCSHWCLPGVPDAWNELVFSCLLKKGWRKLEK >Ma08_p31210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42196776:42202816:-1 gene:Ma08_g31210 transcript:Ma08_t31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFMSSIESAAVSSMNQNLATLVPPLLHSHPEISPFPKPASPFSLLGRRDLSSLLFPHPPCPSHPFAPHGWFSIPAAVLPGVRGQFRCASSGRDAASARDRSSRNILVYLLGMVAAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAQEGTKASRELVVQFNADVADGMPWKVTPTQREV >Ma04_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25690987:25693109:-1 gene:Ma04_g23540 transcript:Ma04_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase, Chilling stress respons [Source: Projected from Oryza sativa (Os06g0131300)] MHSSSSCFVSCQPISSSVLQSSLYSRVLNPSVVSPVAARIRRQLTGTSGYVHGDLILPLTRINYRRLSERRPSEVSPVVTVTSQWSVEGSDEFCKIDEWARPYFHINSRGKVVVRPYGTTTGPDQEIDIVEVVEAATKLVHSGRHHQLALLIQFLDLIRHRLESLHNAFGSAMKSRNYRSHYQGVFPLKCNHDLLIIDDVLRSGRGFNFGLQVESKQELFLAMHGLIRGSSEAYLICNGYKDKDYISLASVASAMGFKTYLVLEKEEEVELALDTWPEFNIRPMIGLQGKLCTSHTGCFGSTIGLTRDQIRGAVRKLKEHDMMDRLRLLRLDIGSQTPSTATVFDAVAEATETYCELAKLGARMQAIDVGGDFCLGNDGCLSGDRETKMSVVDDLDEYASAVVGAAQKLCDKWGVPQPVICSENGRFILSHHSVLVFEPISSTATVKPTTIVDPVRPSNVKLSAISQTPGVSAKRPYPVIPLHCLDQQPQVEGAQLDPTGGSRGVPLHEHKQDGGRNYMGMFLIDGEALQRVHNIFGELSSIRVELSDEVRRYKVTHAVQAASCEEIAQERKQDPRLLLEALKQQVSKNDLPHWTFTVLERVFRSSFPGGR >Ma05_p31500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41389512:41392039:1 gene:Ma05_g31500 transcript:Ma05_t31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLIVEIKKTAKQGQMGAVKVMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMQEFERQNERMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINAELVKAPSTAVAKTEATKKVAQAEAAGTEDGGIDDELQARLDNLRKM >Ma07_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8823702:8824589:-1 gene:Ma07_g11900 transcript:Ma07_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRELRDRSKYYRFHRDYGHDTEECRDLKNQIKELINRGHLGHYIKIPRELSPRSSGPIEKQIDVISGGSTSGGDSMAGRKAYARVVVEKRMRQLQAPEITFSLGETKYPEHDDALVISTSIANAQVKRIMVDTGSFTDVLYFAAFRKLGLTKEDLTPMLSALTRFTSDSISPLDTTILPVTLAEESKSKMIMVTFMVVELPSEYNAIMGRPTLNKFRAIISMYHWAIKFPTQAGTGEARSDPRESRQCYMAAIALPKMLTYEQSIVDPRDITKPSLCPEPTEHTQEVPLHSN >Ma02_p05210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16518753:16529557:1 gene:Ma02_g05210 transcript:Ma02_t05210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRVTVGVGGPSLSSSASGVFIQHDGGQTPATVSSMSEKGYAGLRPASGDMNQILNSTGNSSGPSVGASSFVTDANSALSGGSQLKRSPSFNNESYMRLPSSPISFSSNISGSSVMDGCSNVQQSPLQEQVQKQGLSTATSQLMQQEPSNLMNARKKPRLDIRHEDTLQQQLIQQLLQRHEPVQPQDQLNLRQQAISQQQRLVYRQPQQIMHSFSQMQGAPITLQRQQQLQHQQQPTYPPANAAKQSLDNKICYRRLMQYLYHRRHRPPDNSVLYWKEFVAEYFAIQAKKRWCLSLYDNMGSHALGVFPQLAVNAWQCNICGSKSVKGFGIVILEFKKAVQESIYEHLRIVQEGQLQIIFTPELKILFWEFCARQHEEFLPRRQLALQVDQLLQVAQRYQAAVAESSSTEVSYQYLQSSCNLFAAVGHQLARDLDLKSLNNLGFSKRYVRCLQISEVVNSMKDLIDFSQDQKIGPLESLKNYPRQVKQKWESEQVMSAHSLPGDHRSMNKVMGNHPGLNSCIINNLAASQVVNSSQQSVHALNNHQNFLKSSLNLKQNVRQQEAFLSNTSGSKHADYVQFQGSATSIPTKTSINNLSGQHQVPLPLDGCLSRQTNLQTLEVNQQLQQSVLQQMLQEMIDDKGASQQSLVASDVNANPTAEDIIGGGISGTSVRIDSGSTGNTLDLQNMCRNLPNDDTLTVPSRNNSFKSSTAANNPTSSGSNLIASLDMLGSMDLPEIDHIAQELMPNGMFDGESW >Ma02_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16518753:16529557:1 gene:Ma02_g05210 transcript:Ma02_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRVTVGVGGPSLSSSASGVFIQHDGGQTPATVSSMSEKGYAGLRPASGDMNQILNSTGNSSGPSVGASSFVTDANSALSGGSQLKRSPSFNNESYMRLPSSPISFSSNISGSSVMDGCSNVQQSPLQEQVQKQGLSTATSQLMQQEPSNLMNARKKPRLDIRHEDTLQQQLIQQLLQRHEPVQPQDQLNLRQQAISQQQRLVYRQPQQIMHSFSQMQGAPITLQRQQQLQHQQQPTYPPANAAKQSLDNKICYRRLMQYLYHRRHRPPDNSVLYWKEFVAEYFAIQAKKRWCLSLYDNMGSHALGVFPQLAVNAWQCNICGSKSVKGFEATFEVLPRLFQIKFDHGVFDENLFLDMPHERQLSSGIVILEFKKAVQESIYEHLRIVQEGQLQIIFTPELKILFWEFCARQHEEFLPRRQLALQVDQLLQVAQRYQAAVAESSSTEVSYQYLQSSCNLFAAVGHQLARDLDLKSLNNLGFSKRYVRCLQISEVVNSMKDLIDFSQDQKIGPLESLKNYPRQVKQKWESEQVMSAHSLPGDHRSMNKVMGNHPGLNSCIINNLAASQVVNSSQQSVHALNNHQNFLKSSLNLKQNVRQQEAFLSNTSGSKHADYVQFQGSATSIPTKTSINNLSGQHQVPLPLDGCLSRQTNLQTLEVNQQLQQSVLQQMLQEMIDDKGASQQSLVASDVNANPTAEDIIGGGISGTSVRIDSGSTGNTLDLQNMCRNLPNDDTLTVPSRNNSFKSSTAANNPTSSGSNLIASLDMLGSMDLPEIDHIAQELMPNGMFDGESW >Ma09_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6989075:6992225:-1 gene:Ma09_g10260 transcript:Ma09_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGGDIETGYGGGSALYPNMMESPQLRWAFIRKVYSIVALQILLTIAVAGVVNFVEPVRSFLLSHTTASLVVYILIIISPFLVLLPMIYFRERHPLNLLLLTLFTICISLAIGMACATSKGKIVLQSAALTATVVVGLTLYTFWAAKRGYDFNFLGPFLCAALIVLMLYCIIQIFIPMGKVSTTIYGCVAAIIFSGFIIYDTDNLIKRHSYDQYICASISLYLDIINLFTALLTVFNSVDS >Ma01_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10144083:10149486:1 gene:Ma01_g13860 transcript:Ma01_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRDGGQKKHLVPSLCLIVLFLGFIVLYYGSFFGPRGQHANSALEYGSRISRSIGWSNGDNGEVGKSEESIFGQEDGEDGLIPKSFPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPQPERRYNCLIPPPAGYKVPIKWPKSRDEVWQVNIPHTHLAHEKSDQNWMVVKGDKIVFPGGGTHFHYGADKYIAHLANMLNFTNNNLNNEGRIRTVFDVGCGVASFGGYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLKIWKEMSALVERMCWKIAAKKNQTVIWVKPLTNDCYLNREPGTRPPLCRSDNDPDAVWGVPMEACITPYSEQNQRDRGSGLAPWPSRLTTPPPRLADFGISTDMFEKDMEIWRQRVENYWSLLNAKIRPNTLRNLMDMKANMGSFAAALKDEPVWVMNVVPEDGPNLLKIIYDRGLMGTVHDWCEAFSTYPRTFDLLHAWTVFSDIEKKGCSVEDLLIEMDRILRPTGFIIVRDRKPVVEYIKKHLTALHWESVATVDAEPNSDSEDGESIFVIQKKMWLVDESAKDVA >Ma07_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2986417:2991187:-1 gene:Ma07_g03960 transcript:Ma07_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASPSSGGGGVGSPAAHVLRDDRDDAPTSPPTAPTRPLDRPSPTVKSAAPPSDAAAASASHRPAESSDHGGDGNAAAGARGKKAVWSVAPSGANETGLVMGADSLAAVSESALRPSAKTSDSDSLESLPDGSSATAPSDGGGTSSSPSANDVRGANGQTPILPGMPPIGGRGQEFSPVEIANRHNRNPGQQRRVEFMPRPQRGGYRRGGSYNDRRRGINSGGPSREGNGSRRDHERGGYDRNGPRGFSRRDPHMWMPLPPQQAQIQPPPPPPMCYYIPMPPHRPPFMRPMVSYPEVPYYVYYLPVPPPHPQTPINMPFVPHQPVPVDYQATIPFPSPVDHHQLMKQIEFYFSDDNLNTDTFLRNNMDSQGGVPISLVAGFNMVKMLTNNEPNSLPHILTALQVSTEVEVQGDKIRRRDGWVPNRNQYDLASGPRSPATPDGDSVIALLHTFGLEGSSSQHSTTPTDNVCLGNKSASGSSNNQMQDGV >Ma07_p03960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2986417:2991187:-1 gene:Ma07_g03960 transcript:Ma07_t03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASPSSGGGGVGSPAAHVLRDDRDDAPTSPPTAPTRPLDRPSPTVKSAAPPSDAAAASASHRPAESSDHGGDGNAAAGARGKKAVWSVAPSGANETGLVMGADSLAAVSESALRPSAKTSDSDSLESLPDGSSATAPSDGGGTSSSPSANDVRGANGQTPILPGMPPIGGRGQEFSPVEIANRHNRNPGQQRRVEFMPRPQRGGYRRGGSYNDRRRGINSGGPSREGNGSRRDHERGGYDRNGPRGFSRRDPHMWMPLPPQQAQIQPPPPPPMCYYIPMPPHRPPFMRPMVSYPEVPYYVYYLPVPPPHPQTPINMPFVPHQPVPVDYQATIPFPSPVDHHQLMKQIEFYFSDDNLNTDTFLRNNMDSQGGVPISLVAGFNMVKMLTNNEPNSLPHILTALQVSTEVEVQGDKIRRRDGWVPNRNQYDLASGPRSPATPDASGSSNNQMQDGV >Ma04_p27480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28654902:28662767:-1 gene:Ma04_g27480 transcript:Ma04_t27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGDFRFGNSVKAVVMPNGLPKIHTHGGGAGAENGICHDDSAPPVKAQTIDELHSLQKKRSAPTTPIKDGLQQQQQDNAAFATISEEERHKLQLQSISASLASLTRETGPKVVKGDPARKLDTPKVTAEHHHYITPTISISDSALKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDETTAEELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSENGISNIEGGCYAKCIDLSKEKEPDIWNAIKFGTVLENVVFDEHTQEVDYTDKSVTENTRASYPIEYIPNAKIPCVGPQPKNVILLACDAFGVLPPVSKLSLPQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGRYGVGNRIKLGYTRKIIDAIHSGSLLKANYKRTQVFGLEIPTEIEGVPSGILDPINTWEDKEGYKETLLKLGSLFKKNFEVFANYKIGQDGKLTEEILAAGPNF >Ma04_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23421896:23424864:1 gene:Ma04_g20630 transcript:Ma04_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWKWFCWSRGVSYDAVPPEPESFSLPSPIPEWPQSGAFAKGRICIGELEVVQITNFKRIWSCFSSKEKSKGAVFYEPLEIPNGFFSFGHYGQRCDQPLHGFVLVARENAEFQSVADLPALQKPLDYTLVWSSDDFTEESHVGCGYFWLPIPPEGYRALGYLVTSGPNKPSVVEVRCVRSDLTDTCEAHELMIDMETIFPELPCQVWKMRPSSRGLLGTGVSVGTFCCNTDSTSEDMLNICCLKNCDSSLKAMPNLEQMHALIKHYGPTLMFHPKEVYLPSSVSWFFEKGAILYKKGIKLGETIDSEGSNLPLGGTNDGEYWIDLPDDDRNNLVKRGNIGSAELYVHVKPALGGTFTDIAMWIFCPFNGPATIKIGMANFPLNKIGQHVGDWEHYTLRISNFTGELWSIYFSQHSGGEWIDACGLEFFGGNRAVVYSSKSGHASFPHPGNYLQGSEKLGIGVRNDTARSSLFVDSSTRYQIVAAEHLGDAVEQPFWLQYMREWGPTITYNSRSELDKILSFLPFTLRFTVENIFDSLPMELYGEEGPTGPKEKNNWVGDERW >Ma03_p32830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34463268:34465028:-1 gene:Ma03_g32830 transcript:Ma03_t32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTASHPLLLLLLLSLRLLAVANGASKPLAYQTLVVTPLASSKPLLSEDADDGDENLASAVESETTLSSSPSSSLHVHLSHRDSLLADTTAAEQIFLLRLDRDAARVETLSQILAVATAPPAANVTSRRGFSSKVVSGLAQGSGEYFARIGIGTPARYAYMVLDTGSDVVWLQCAPCRRCYSQSDPIFDPRSSRSYAAIPCGAPLCRRLDVAGCDTRRRSCLYQVSYGDGSITTGEFSTETLTFRRSARVPRIALGCGHDNEGLFVAAAGLLGLGRGSLSFPSQAGRRFGRMFSYCLVDRTSAGAPNRSSSVVFGGSAVPRSSARVAYTPMVRNPKVDTFYYVELMGVSVGGTRVTGVLASDLRLDPTTGRGGVIVDSGTSVTRLARPAYQALRDAFKAGVSGLKLAPGGFSLFDTCYDLSGRTEVKVPTVVLHLAGGAAVSLPAENYLIPVDTKGTFCFAFAGTDSGVSIIGNIQQQGFRVVFDAEKSRVGFMPRGC >Ma08_p29230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40917106:40917390:-1 gene:Ma08_g29230 transcript:Ma08_t29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDMMQQLKVSSIEQLARQQVDGIVRQREAGYVDHVESTVPSSCKHTLHAHEGGCGSILFQNNSDKLISGGQDRTVKIWDTKLHSSWLPWVIT >Ma02_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25144615:25150103:-1 gene:Ma02_g18730 transcript:Ma02_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSASGESSEAAVLAALTSRGWRIKEDDEEIRDLIRSRETAEAVASELLNMDLRSFGGKSLPDPTSLKKLAHLQGPKILQVVSVRDIYQSSIDGSFKTSQRNRRLLRFALTDGHNEVIAIEYSPISTISEEISPGTKVCLESRIPAHSGILCLNPKVITVLGGLVQSLHEEWQISQKFSGFSRASLKLSKNDDGTGPPPFEKLQIEEYPNKGTQLHRLHGTNDRKTQGDQSRLIDKRDPRDAKVNKIADNPETDGAEDKPASSEARPKEVSEAVPVQNQAAAQKLLQKMSQPAHKEMHSRVHKHKFKAKQEEAVVFTLDEWERTKGMKLKPMVTGEKQDTSCDEELARQLQNQLDLEDFNASTGHSEAEQIRRSMFSFGGAEERKTDGRGEFRGRGRGRGRGKRRFG >Ma03_p33490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34822017:34824647:-1 gene:Ma03_g33490 transcript:Ma03_t33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLSWAWIRCLWTRTLGSTETKDVLARSISFGAKDIKMALRSLSFKPSDASNEAVSSVSDSTVTDVTELESKFAFENGVTDETKAELAFRCKSSMKLALPDPKLPHRLPQFSSPRPSNELDAAATKLQNVYKSYRTRRNLADCAVVVEELWWKALDFASLEHSSMSFFKVEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHCYYDVWFESESTQPFFYWLDVGDGREINLEKYPRSHLQNQRIQYLGPKEREAYEVMVKDGKLVYKVSGMPVSTTEGSKWIFVLSTSRVLYVGQKKKGAFQHSSFLAGGATTAAGRLVATQGVLQAIWPYSGHYLPTEDNFKEFITFLENNDVDLSNVKRCSVDDDDYPSLEKRNDEIEADANKADALAVSTTVEVTEATEPSEDPRPDETMENRVDEVVASEFDKRISCRWTTAAGARIGCVRDYPPDLRSKALEQANLSPRVTPSPSGSRVPIPSPRPSPRVRLSPRLQYMGIPTPTVALTLPKHVRR >Ma05_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34531504:34532966:-1 gene:Ma05_g22750 transcript:Ma05_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAHGRDMFDFTEQEEAWKHAPFLLSSSSTSSPSSSSAPFRWNDGSSSSSRRGDDSFIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAEAHGKGLLLSFEDRNGESWRFRYSYWSSSQSYVMTKGWSRFVKEKRLVSGDTVSFGRGVGESGRDLLYIDWKRRPENHGATRASRISFHGASFAQSAGPWGGHLFMPRPPPPPPATVYDHHRLGYGYNVVSASALGGQYLFYQSPVTGPSPVQLQPGGGGGSPMAHDSVSVVHSQATAKNVRLFGVNLVCTGTESKANGSNQGASFSCLRSQEASTLPLLQFQHSSAESSLVSSSSTSKEQHSSLDLDL >Ma06_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11314275:11314992:-1 gene:Ma06_g16670 transcript:Ma06_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSTSALLLLLLPAIFGLLIPSCTADNILYTDETLYTGQSLTYGSYRFTIQSDCNLVLYDSGNAVWSSGTDNRGYNCILKMQADGNLVIYSNGNALWASNTSRDQGNYILVLQRDRNVVIYGPAIWATGTNYGTSGVVISRNATGTPVVVASAAEDVNNRKIAMVTKN >Ma06_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6204952:6207122:1 gene:Ma06_g08850 transcript:Ma06_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQPRLPQKSSSFTASRDEKHHEIDRNLSLGSLKLEQYGKRVTHGVDTIEEEETEEKPIAGNAEEPRASFFFVSENIDVFLTVLLSVEEDGRDESREPPDIPEPTVERFVTLLEKEIGRYESGEAKWCSDNDEFPLLDAIGRVSKLTVAVTRFSSDTRYNQAMNRAGGVLHHAMCFLEDEFHSLLQDPRAKHDAGSISLKAKRQPSFSLNHDPDRSVRPSSESISGESRPPYPPETVERLHGIADAMISAGYDIECCQLFAIARHNAFEAGLPSLGFDKVSIEDVLKRAWDSLQSEIATWIKAFRHTITASFAAERDLCEAVFAGHPAVSDRLFRRFARGATVQLITFAEAVSITKRSAEKLFKVLDIYEALRDVTPAVDALLPEGAEQDESSIIADPKAEIASVRCRLGEAAVGIFCELESSIKADSSKTPVPGGAVHPLTRYVMNYLKYACEYKNTLEQVFKEHKHSEKTSSCAADGEAARGGGNGRNGSNGEGHNPFAAQLMEAMNLLHSNLESKSRLYKDQALCNIFLMNNGRYIAKKVKGSPEIHQLLGETWYRKRSSELRQYHKNYQRETWSRVLACLRDDGLQAKGSVAKPVLKERFKSFNAMIEETHKAQSSWVVSDEQLQSELRVSVSAVVVPAYRSFLGRFSQYLDPGRQTEKYIKFGPDDLETLIDELFDGNPSSTASKRRP >Ma04_p30750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31318869:31326209:-1 gene:Ma04_g30750 transcript:Ma04_t30750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLVVLGIPWDVDTEGLRQYMSKFGPLDDCVVMKERSTGRSRGFGYVTFSSEEDAKNALESEHVLGNRTLEVKVATPKEEMRGPVKKATRIFVARIAPSVTEAMFRSYFETYGVITDLYMPKDQGSKGHRGIGFVTFDSADSVDSIMAESHELGGSTVVVDRATPRNDDMRYPSRMAQGGYGAYNAYISAATRYAALGAPTLYDQFGSAYGRGYFGPSRGMGRKIFVGRLPQEASAEDLRQYFGRFGRIVDVYVPKDPKRSGHRGFGFVTFADDGVADRVSRRTHEILGQE >Ma04_p30750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31318417:31326209:-1 gene:Ma04_g30750 transcript:Ma04_t30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLVVLGIPWDVDTEGLRQYMSKFGPLDDCVVMKERSTGRSRGFGYVTFSSEEDAKNALESEHVLGNRTLEVKVATPKEEMRGPVKKATRIFVARIAPSVTEAMFRSYFETYGVITDLYMPKDQGSKGHRGIGFVTFDSADSVDSIMAESHELGGSTVVVDRATPRNDDMRYPSRMAQGGYGAYNAYISAATRYAALGAPTLYDQFGSAYGRGYFGPSRGMGRKIFVGRLPQEASAEDLRQYFGRFGRIVDVYVPKDPKRSGHRGFGFVTFADDGVADRVSRRTHEILGQEVAIDSATPLDDAGPSGGFMDAAEAYGGYGPMRNYGRLYGSLDFDDYGYGASASASGSSRPSRLDWRYRPY >Ma08_p31060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42093993:42094713:1 gene:Ma08_g31060 transcript:Ma08_t31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHCPAVNVDRLWSLVPDAVKESAAKDGTAAPLIDVTQFGYFKVLGKGMLPPDRPVVVKAKLISKIAEKKIKAAGGAVVLTA >Ma00_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19495708:19506255:1 gene:Ma00_g02610 transcript:Ma00_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 1 [Source:Projected from Arabidopsis thaliana (AT3G05740) UniProtKB/Swiss-Prot;Acc:Q9FT74] MEVQRARLLSLALEFGFDEAAANRCLDRLLDLYGEDGRDFVTVEHCGDDFLAALADSIQDKEDWDDLQAIESEACGALNDIFVNTIPNNEEAGNAIVFETRDLGKRNSLRDPDHENIERFCSLSSEDSDLEVISQNDIKHDPSFCFDKNEDYTIQQPSKSTVSEDTNTCEEDSSYANGRTCSYATQHRHESLSYEELQSMDDILLANVVIFGNKTFRALQYQGCKAALENKDCFVLMPTGGGKSLCYQLPATLHPGVTVVICPLLSLIQDQITTLNIKYGVPATFLNSQQTASQAMAVIQELRSGKPSCKLLYVTPERIAGNLSFMDILRCLYQKDLLARFVVDEAHCVSQWGHDFRPDYRGLGCLKQNFPRVPLMALTATATRPVRKDILDTLRIPDALVLETSFDRSNLKYEVVFKSKDSLKQLGQLVKDRFNNMSGIVYCLSKNECVEVSKYLNEKCKIRTVYYHAGLAARQRVAVQRKWHTGEGKVVCATIAFGMGIDKADVRFVIHHTMSKSIESYYQESGRAGRDNLRATCIAFYQKKDFSRVVCMLRSGEGFKSERFKTAMAQAKKMREYCELKKECRRQTLLEHFGETFSRDGCMTGPSPCDNCLKCYESE >Ma09_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10918300:10920049:1 gene:Ma09_g15580 transcript:Ma09_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPQLSPPSSCLRSPVTSSKRRGCCAPRLPAMSSSRCLASMLPLHAAVASARLNSLLPSEPQSWGWVPQGISMPL >Ma01_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7188055:7189820:1 gene:Ma01_g10030 transcript:Ma01_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSESESNLMQVGTHAKEKQLNKKNQIEKPIDKTTVTNSSEKEEKHQT >Ma07_p05730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4156204:4166498:1 gene:Ma07_g05730 transcript:Ma07_t05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSNIARVEELLSMIQKLNECGATNLGDPVTQWCTVASVLTVTDHKECLNQFLELNGLSYLNKWLQEALNLNADANVIDAEELICSLLTSFERLPIDYKRIIASGVGVTIELLLDHKSIPIKEKARILYDKWNHARNDDISCHDHDKCGTSQSNQPRSSEDVHKSENCLNLVNPVVNISPCSLGTSEGNDQAESAGAELQVSNATRSSDSSPIDSTNKEISPTPHQVFPMFFNVINASAVLAVANSAGSSPVSNCLENLSVMGESSGCVAVGKPSTETCSRLGQEGDAADQHDTPMSKDVPDIEKEIDADMKEDKLCKSNQGETSSNSSSFAFSASLTPSVAAAELPISCKLDSDNGTSSAAKATEHQPKAGDFDYRREKCLKTAESNSAAIFTSGFQDYSCTATILDNIDDGQLSLQREEATSSAIKDIDCAINFRNRKGPLSTSTDFFKVVGTEAGEDISRKSEIGLGCLDDALEVARQVALAVEQEVVDYREHFCSSPEVNSGEMTGFHSPGSEEEQIEAVTEEVGGDSSSTGKDHSGTSSPDKESEITQHMSSDPGNSDKDKKSPIPAQESVDKSLTDGCTFDLNADTCGDEPEMKPIMKISVAVSSPIAVFASLKGAPGLPVTPLHFEGEMGWKGSAATSAFRPASPRRTPDGKRTSSGEKQKSEFLGIDLNVAEREDDVDDEFTCVRELPISSSLPSRDSCAEVRSRPEKLNLDLNCLGDADASTCPFSSQKLHLLNGEHSLSSASSSSYRHLSLGDFDLNDNPSFPDIGITHNLDKASSSKALESYGGPAPYDPVIKLMGSVIAVERKHNPNQAQQHSFLPNGLNIRPTIVSPPLFVNMLNPSCGYAGLATGPTISAPAAYYSPGSISYMVDSRGAAAIPHVTGAGGLGVPSARPPFVLGATNMPSDMAGFGMSPLHVDLNSGAPSTEGGSFEQFFLQGYRSRMEDQTKTSAQPSSSSVGLKRKEPDAGWEPSLYGYKQMAPR >Ma07_p05730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4156204:4166498:1 gene:Ma07_g05730 transcript:Ma07_t05730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSNIARVEELLSMIQKLNECGATNLGDPVTQWCTVASVLTVTDHKECLNQFLELNGLSYLNKWLQEALNLNADANVIDAEELICSLLTSFERLPIDYKRIIASGVGVTIELLLDHKSIPIKEKARILYDKWNHARNDDISCHDHDKCGTSQSNQPRSSEDVHKSENCLNLVNPVVNISPCSLGTSEGNDQAESAGAELQVSNATRSSDSSPIDSTNKEISPTPHQVFPMFFNVINASAVLAVANSAGSSPVSNCLENLSVMGESSGCVAVGKPSTETCSRLGQEGDAADQHDTPMSKDVPDIEKEIDADMKEDKLCKSNQGETSSNSSSFAFSASLTPSVAAAELPISCKLDSDNGTSSAAKATEHQPKAGDFDYRREKCLKTAESNSAAIFTSGFQDYSCTATILDNIDDGQLSLQREEATSSAIKDIDCAINFRNRKGPLSTSTDFFKVVGTEAGEDISRKSEIGLGCLDDALEVARQVALAVEQEVVDYREHFCSSPEVNSGEMTGFHSPGSEEEQIEAVTEEVGGDSSSTGKDHSGTSSPDKESEITQHMSSDPGNSDKDKKSPIPAQESVDKSLTDGCTFDLNADTCGDEPEMKPIMKISVAVSSPIAVFASLKGAPGLPVTPLHFEGEMGWKGSAATSAFRPASPRRTPDGKRTSSGEKQKSEFLGIDLNVAEREDDVDDEFTCVRELPISSSLPSRDSCAEVRSRPEKLNLDLNCLGDADASTCPFSSQKLHLLNGEHSLSSASSSSYRHLSLGDFDLNDNPSFPDIGITHNLDKASSSKALESYGGPAPYDPVIKLMGSVIAVERKHNPNQAQQHSFLPNGLNIRPTIVSPPLFVNMLNPSCGYAGLATGPTISAPAAYYSPGSISYMVDSRGAAAIPHVTGAGGLGVPSARPPFVLGATNMPSDMAGFGMSPLHVDLNSGAPSTEGGSFEQFFLQGYRSRMEDQTKTSAQPSSSSVGLKRKEPDAGWEPSLYGYKQMAPR >Ma07_p05730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4156204:4166498:1 gene:Ma07_g05730 transcript:Ma07_t05730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSNIARVEELLSMIQKLNECGATNLGDPVTQWCTVASVLTVTDHKECLNQFLELNGLSYLNKWLQEALNLNADANVIDAEELICSLLTSFERLPIDYKRIIASGVGVTIELLLDHKSIPIKEKARILYDKWNHARNDDISCHDHDKCGTSQSNQPRSSEDVHKSENCLNLVNPVVNISPCSLGTSEGNDQAESAGAELQVSNATRSSDSSPIDSTNKEISPTPHQVFPMFFNVINASAVLAVANSAGSSPVSNCLENLSVMGESSGCVAVGKPSTETCSRLGQEGDAADQHDTPMSKDVPDIEKEIDADMKEDKLCKSNQGETSSNSSSFAFSASLTPSVAAAELPISCKLDSDNGTSSAAKATEHQPKAGDFDYRREKCLKTAESNSAAIFTSGFQDYSCTATILDNIDDGQLSLQREEATSSAIKDIDCAINFRNRKGPLSTSTDFFKVVGTEAGEDISRKSEIGLGCLDDALEVARQVALAVEQEVVDYREHFCSSPEVNSGEMTGFHSPGSEEEQIEAVTEEVGGDSSSTGKDHSGTSSPDKESEITQHMSSDPGNSDKDKKSPIPAQESVDKSLTDGCTFDLNADTCGDEPEMKPIMKISVAVSSPIAVFASLKGAPGLPVTPLHFEGEMGWKGSAATSAFRPASPRRTPDGKRTSSGEKQKSEFLGIDLNVAEREDDVDDEFTCVRELPISSSLPSRDSCAEVRSRPEKLNLDLNCLGDADASTCPFSSQKLHLLNGEHSLSSASSSSYRHLSLGDFDLNDNPSFPDIGITHNLDKASSSKALESYGGPAPYDPVIKLMGSVIAVERKHNPNQAQQHSFLPNGLNIRPTIVSPPLFVNMLNPSCGYAGLATGPTISAPAAYYSPGSISYMVDSRGAAAIPHVTGAGGLGVPSARPPFVLGATNMPSDMAGFGMSPLHVDLNSGAPSTEGGSFEQFFLQGYRSRMEDQTKTSAQPSSSSVGLKRKEPDAGWEPSLYGYKQMAPR >Ma07_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4156204:4166498:1 gene:Ma07_g05730 transcript:Ma07_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSNIARVEELLSMIQKLNECGATNLGDPVTQWCTVASVLTVTDHKECLNQFLELNGLSYLNKWLQEALNLNADANVIDAEELICSLLTSFERLPIDYKRIIASGVGVTIELLLDHKSIPIKEKARILYDKWNHARNDDISCHDHDKCGTSQSNQPRSSEDVHKSENCLNLVNPVVNISPCSLGTSEGNDQAESAGAELQVSNATRSSDSSPIDSTNKEISPTPHQVFPMFFNVINASAVLAVANSAGSSPVSNCLENLSVMGESSGCVAVGKPSTETCSRLGQEGDAADQHDTPMSKDVPDIEKEIDADMKEDKLCKSNQGETSSNSSSFAFSASLTPSVAAAELPISCKLDSDNGTSSAAKATEHQPKAGDFDYRREKCLKTAESNSAAIFTSGFQDYSCTATILDNIDDGQLSLQREEATSSAIKDIDCAINFRNRKGPLSTSTDFFKVVGTEAGEDISRKSEIGLGCLDDALEVARQVALAVEQEVVDYREHFCSSPEVNSGEMTGFHSPGSEEEQIEAVTEEVGGDSSSTGKDHSGTSSPDKESEITQHMSSDPGNSDKDKKSPIPAQESVDKSLTDGCTFDLNADTCGDEPEMKPIMKISVAVSSPIAVFASLKGAPGLPVTPLHFEGEMGWKGSAATSAFRPASPRRTPDGKRTSSGEKQKSEFLGIDLNVAEREDDVDDEFTCVRELPISSSLPSRDSCAEVRSRPEKLNLDLNCLGDADASTCPFSSQKLHLLNGEHSLSSASSSSYRHLSLGDFDLNDNPSFPDIGITHNLDKASSSKALESYGGPAPYDPVIKLMGSVIAVERKHNPNQAQQHSFLPNGLNIRPTIVSPPLFVNMLNPSCGYAGLATGPTISAPAAYYSPGSISYMVDSRGAAAIPHVTGAGGLGVPSARPPFVLGATNMPSDMAGFGMSPLHVDLNSGAPSTEGGSFEQFFLQGYRSRMEDQTKTSAQPSSSSVGLKRKEPDAGWEPSLYGYKQMAPR >Ma08_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2701860:2703516:-1 gene:Ma08_g03740 transcript:Ma08_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRTSGSGSLMLFLKAALASAAVVVTTAVMLHAGPPIRRLLATEVPRAYASLVAWLTPPYLYFVLNAIILSIAAASRFHKPSADSTDRVPVSPAEMVVHPPDYMLVAAASEEYYGGGEQEAMVLGQEETVVKAEAAGEEEEVEQELVSSRSSWTPDRTASPEFPTEKPLVSVRLGHRKNHKSTPDGKALRRVARPRREETLESTWRAITEGRAVPLARHLKKSNMWDTRAALEDAEAPETAAVTRKSETLSDRETATPHSGGGGGESSSSSTSTTTSGVGRGMLRREPSLGQDELNRRVEAFIRKFNEEMRLQRQRSLQQFAEMIDRGSH >Ma01_p18300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13558591:13570506:-1 gene:Ma01_g18300 transcript:Ma01_t18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPFQVEDQTDEDFFDKLVDDDFGVEGSVPHPKEIVRDISNLSLDDVGTSLEDPGNAGLVSESNGPPQSGTLQSSESPKKDLLVCKDSASSNSPVDMVVPSENSSGSTIDTGAQSLSNFNNVGSKGTSVKEVQWSAFSVSSQQLDNVGLETYSDFLAENADPSADKLKSNCDPNSAPVDNQIENIDTYTSSLSAQDTQLFGSATEQNIDGDAQYWESIYPGWKYDAGTGQWYQLDAHDATTNTQFNSYDASAVNSQGNFKDNGEVAVFDSNSGSSDVLYLQQASQSYLETIAEESTLHTTSNWSLGYQGSTEYPPNMVFDPQYPGWYYDTNTQQWCTLESYSQTTQMTPTIVQNEVVASAGVSEGNYNVSDEFGQPEQSADSVLGSQEFGDGWNNPTSSYVQPNVLQAEQVGENRQSGGLSRKQQIGSFYSPTMHAGSHTDQNLGFGKFQPVVDHNFGSSNGITRPHNAVHGESLYQMNNQMQAPSIHKSLSNSYLGNQNSVDYSQHSFHGTNASYSQFSYVPHEGRSAAGRPAHALVAFGFGGKLIVMPNASPSGTNLNYGNQETAGGTISILSLSEVVLNEVDSSSSVSGSVLDYFHSLCHQNFPGPLAGGNAATKDANKWIDERISSYESPVTEFQKGKLLKLLFSLLKISLQHYGKLRSPFGSDPSLEDVNSPEMAVTKLLASSKMSNAPLGEYGIYSHCLTNIPSEGQLQATATKVQSLLVSGRRKEALQCAEEGHLWGPALVLAAQLGDKFYVDMVKRMAQHQFTFGSPLRTLCLLIAGQPADIFSMNNLVTSSSVASPRQPAEIQASGMLDEWEENLAIITANRTKDDKLVILHLGDCLWKERGEVTAAHTCYLIAEENIELYSDSARLCLIGADHWKYPRTYVTPDAIQRTELYEYSKVLGNSQFILQPFQPYKLIYAYMLAEVGKISDSLKYCQASLKLLKNSGRTSDVEMWKSMLSSLEERLRTHQQGGYGTSLAPANLVGKLFTTFDRSIHRMIGAPPAPLPPLPQGSVNDKETYYVAPRVANSQSTMAMSSLVPSASVETMSEWKGDDGKQTRHNRSISEPDFGRSPKQDSSSDGAQSKKTASGGSRFGRIGSQLLQKTMGWVSRSHRQVKLGQSNKFYYDEQLKTWVEEGAEPPATEAALPPPPTATTFQNGMPDYNISNTFKSVTNINDAFKRESLTDREGPVAKPLVPLEQKSTIPPTPPSQNQFSARGRMGVRSRYVDTFNKGGGALTNTFQSPAVPSMKPLVGAKFFVPTAAAAVDEGETDAAGESNQEVTNDNEEPSKSATAEASFSSQGSSSSSSSMQRVPSMDNITPLGNKGSAAAASWSGNGPLSRMRAASWSGTYTNPLHQNVTGMNPTSVGHGMTTSSPSNTAHPGSVSSLSLQQNGGSLGDDLHEVEL >Ma01_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13558591:13570506:-1 gene:Ma01_g18300 transcript:Ma01_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPFQVEDQTDEDFFDKLVDDDFGVEGSVPHPKEIVRDISNLSLDDVGTSLEDPGNAGLVSESNGPPQSGTLQSSESPKKDLLVCKDSASSNSPVDMVVPSENSSGSTIDTGAQSLSNFNNVGSKGTSVKEVQWSAFSVSSQQLDNVGLETYSDFLAENADPSADKLKSNCDPNSAPVDNQIENIDTYTSSLSAQDTQLFGSATEQNIDGDAQYWESIYPGWKYDAGTGQWYQLDAHDATTNTQFNSYDASAVNSQGNFKDNGEVAVFDSNSGSSDVLYLQQASQSYLETIAEESTLHTTSNWSLGYQGSTEYPPNMVFDPQYPGWYYDTNTQQWCTLESYSQTTQMTPTIVQNEVVASAGVSEGNYNVSDEFGQPEQSADSVLGSQEFGDGWNNPTSSYVQPNVLQAEQVGENRQSGGLSRKQQIGSFYSPTMHAGSHTDQNLGFGKFQPVVDHNFGSSNGITRPHNAVHGESLYQMNNQMQAPSIHKSLSNSYLGNQNSVDYSQHSFHGTNASYSQFSYVPHEGRSAAGRPAHALVAFGFGGKLIVMPNASPSGTNLNYGNQETAGGTISILSLSEVVLNEVDSSSSVSGSVLDYFHSLCHQNFPGPLAGGNAATKDANKWIDERISSYESPVTEFQKGKLLKLLFSLLKISLQHYGKLRSPFGSDPSLEDVNSPEMAVTKLLASSKMSNAPLGEYGIYSHCLTNIPSEGQLQATATKVQSLLVSGRRKEALQCAEEGHLWGPALVLAAQLGDKFYVDMVKRMAQHQFTFGSPLRTLCLLIAGQPADIFSMNNLVTSSSVASPRQPAEIQASGMLDEWEENLAIITANRTKDDKLVILHLGDCLWKERGEVTAAHTCYLIAEENIELYSDSARLCLIGADHWKYPRTYVTPDAIQRTELYEYSKVLGNSQFILQPFQPYKLIYAYMLAEVGKISDSLKYCQASLKLLKNSGRTSDVEMWKSMLSSLEERLRTHQQGGYGTSLAPANLVGKLFTTFDRSIHRMIGAPPAPLPPLPQGSVNDKETYYVAPRVANSQSTMAMSSLVPSASVETMSEWKGDDGKQTRHNRSISEPDFGRSPKQDSSSDGAQSKKTASGGSRFGRIGSQLLQKTMGWVSRSHRQIPGNVKVKLGQSNKFYYDEQLKTWVEEGAEPPATEAALPPPPTATTFQNGMPDYNISNTFKSVTNINDAFKRESLTDREGPVAKPLVPLEQKSTIPPTPPSQNQFSARGRMGVRSRYVDTFNKGGGALTNTFQSPAVPSMKPLVGAKFFVPTAAAAVDEGETDAAGESNQEVTNDNEEPSKSATAEASFSSQGSSSSSSSMQRVPSMDNITPLGNKGSAAAASWSGNGPLSRMRAASWSGTYTNPLHQNVTGMNPTSVGHGMTTSSPSNTAHPGSVSSLSLQQNGGSLGDDLHEVEL >Ma01_p18300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13558591:13570506:-1 gene:Ma01_g18300 transcript:Ma01_t18300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPFQVEDQTDEDFFDKLVDDDFGVEGSVPHPKEIVRDISNLSLDDVGTSLEDPGNAGLVSESNGPPQSGTLQSSESPKKDLLVCKDSASSNSPVDMVVPSENSSGSTIDTGAQSLSNFNNVGSKGTSVKEVQWSAFSVSSQQLDNVGLETYSDFLAENADPSADKLKSNCDPNSAPVDNQIENIDTYTSSLSAQDTQLFGSATEQNIDGDAQYWESIYPGWKYDAGTGQWYQLDAHDATTNTQFNSYDASAVNSQGNFKDNGEVAVFDSNSGSSDVLYLQQASQSYLETIAEESTLHTTSNWSLGYQGSTEYPPNMVFDPQYPGWYYDTNTQQWCTLESYSQTTQMTPTIVQNEVVASAGVSEGNYNVSDEFGQPEQSADSVLGSQEFGDGWNNPTSSYVQPNVLQAEQVGENRQSGGLSRKQQIGSFYSPTMHAGSHTDQNLGFGKFQPVVDHNFGSSNGITRPHNAVHGESLYQMNNQMQAPSIHKSLSNSYLGNQNSVDYSQHSFHGTNASYSQFSYVPHEGRSAAGRPAHALVAFGFGGKLIVMPNASPSGTNLNYGNQETAGGTISILSLSEVVLNEVDSSSSVSGSVLDYFHSLCHQNFPGPLAGGNAATKDANKWIDERISSYESPVTEFQKGKLLKLLFSLLKISLQHYGKLRSPFGSDPSLEDVNSPEMAVTKLLASSKMSNAPLGEYGIYSHCLTNIPSEGQLQATATKVQSLLVSGRRKEALQCAEEGHLWGPALVLAAQLGDKFYVDMVKRMAQHQFTFGSPLRTLCLLIAGQPADIFSMNNLVTSSSVASPRQPAEIQASGMLDEWEENLAIITANRTKDDKLVILHLGDCLWKERGEVTAAHTCYLIAEENIELYSDSARLCLIGADHWKYPRTYVTPDAIQRTELYEYSKVLGNSQFILQPFQPYKLIYAYMLAEVGKISDSLKYCQASLKLLKNSGRTSDVEMWKSMLSSLEERLRTHQQGGYGTSLAPANLVGKLFTTFDRSIHRMIGAPPAPLPPLPQGSVNDKETYYVAPRVANSQSTMAMSSLVPSASVETMSEWKGDDGKQTRHNRSISEPDFGRSPKQDSSSDGAQSKKTASGGSRFGRIGSQLLQKTMGWVSRSHRQIPGNVKVKLGQSNKFYYDEQLKTWVEEGAEPPATEAALPPPPTATTFQNGMPDYNISNTFKSVTNINDAFKRESLTDREGPVAKPLVPLEQKSTIPPTPPSQNQFSARGRMGVRSRYVDTFNKGGGALTNTFQSPAVPSMKPLVGAKFFVPTAAAAVDEGETDAAGESNQEVTNDNEEPSKSATAEASFSSQGSSSSSSSMQRVPSMDNITPLGNKGSAAAASWSGNGPLSRMRAASWSGTYTNPLHQNVTGMNPTSVGHGMTTSSPSNTAHPGSVSSLSLQQNGGSLGDDLHEVEL >Ma07_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20663966:20664830:1 gene:Ma07_g17580 transcript:Ma07_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRAQAVREPDSANLPIAVAAPESETGAVEHHIEPKPAQECSSTSNGSGLSLGCSVEKPTKNKIEVCMGGKCRRSGGPELMQELSRKIGVEGAVVGCKCMGKCRDGPNVRVLNQSNGNASVPKNPLCLGVSIDDVGAIVANFLGEKDSSCLMAVYN >Ma05_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9604757:9606608:1 gene:Ma05_g13250 transcript:Ma05_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVFEWRPLEPSIVEDFFHVDGNSWSYKFASNEEELPHRLFFPLCSSPALSVSPLQKITKMTSSGSATGPHALFVTAPYQGHFAPAVDLAINLAARGFVVTFACTEAFQHQRTASGASSADHRDVFAGARSRGLDIRYELVSDGLPVSFDRHSHPEQFYSALLHLLPSHVDELIRKLLLSEVPVDFILTDTFFSWASTLAKNHGLPCVSFWTKPALVFTLYYHMDLLIANGHFASPENRKDTITYIPGIPAIETTDLASYLRETDTTTPLQQMIARSFEEVKRCDIVLANTVQELEEETIAVLQQEQPFYAVGPVFPDGFTGGTVMTSLWPESDCSSWLDSMPPSSVLYVSFGSIASVSKKDFEEIAYGVLNSKANFVWVLRPGSSGSGAANPLPQGFLEASRERGMVVPWCRQREVLQHPAVGAFLTHCGWNSVAESMWCGVPMLCFPLFSDQPPNRKLVVENLGIGMDLGEIGEVSRGKVSSRIDDLMGGEGGAELRRKMKEVKKAVQGAVAPHGSSQKNLDQFTADLLRLISQKKASAVTETKRS >Ma11_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1436446:1437341:1 gene:Ma11_g02010 transcript:Ma11_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSPCGACKFLRRKCVSGCVFAPFFHHEQGAAHFAAIHKVFGASNVAKLLMHLPMADRSEAAITISYEAQARLQNPIYGCVAHIFALQQQVVNLQAQVASLEAQAAQGLGNGMTASLNREEDRLYSALQPRQHDLQGFIDQARPFSSSPPSDVECMNSYNNWISEVNFDMADEDLALGIENETLSPMACFDMQDDFWKSVYHDANVRH >Ma05_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11439020:11439683:-1 gene:Ma05_g15370 transcript:Ma05_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASASVALFLTLNLLFFALTSACDTGCPKPTPSPSHGKCPVDALKLSACGNVLNGLIKFGVGMFPKQPCECCTLLDGLVDLEAAVCLCTALKANVLGVHLNLPINLSLLLNYCGKKAPAEFQCP >Ma01_p12010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8691612:8698306:1 gene:Ma01_g12010 transcript:Ma01_t12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MNQIKQIHAFTLRNGLDDIQALVLKLLQVPNLPYAHALLLSHPHPPPTFLYNKLFQAYARGAGSAFEPCAALFARMRRPPNPHSFVFLFSACTAFARPAHGRALHARFLLSGLPFDAFVATSLLDFYAKSGLLDSARRLFDELPFRDVAMWNSLIGGYTRWGELDQARELFEMMPFRNVISWTSMVSGYAQNGRYEEAVRAFSRMWEEAEVKPNEVTLASVLPACAHLGAIGLGEKIENYAREKGLIGNVFVCNALLEMYGKCGNIHRARKVFDEMGVRRNLCSWNSMIVALAVHGMWCQGLELFHEMREKGILPNDITLVGVLLACTHGGLVDEGQYIFNSMEKDFFITPKLEHYGCMVDLLGRAGLTKEAYSLITSMPMEPDSVIWGALLGACSFHGDVQLAEVAAEFLFKLEPWNPGNLVILSNIYASSGRWNSVAKIWKMMKGKLHRKSAGYSIIELDGYMHKFLVEDKSHPQFEEIYVLLDEITMRMRHLSYKPNLNLQIEC >Ma01_p12010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8691612:8698306:1 gene:Ma01_g12010 transcript:Ma01_t12010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MNQIKQIHAFTLRNGLDDIQALVLKLLQVPNLPYAHALLLSHPHPPPTFLYNKLFQAYARGAGSAFEPCAALFARMRRPPNPHSFVFLFSACTAFARPAHGRALHARFLLSGLPFDAFVATSLLDFYAKSGLLDSARRLFDELPFRDVAMWNSLIGGYTRWGELDQARELFEMMPFRNVISWTSMVSGYAQNGRYEEAVRAFSRMWEEAEVKPNEVTLASVLPACAHLGAIGLGEKIENYAREKGLIGNVFVCNALLEMYGKCGNIHRARKVFDEMGVRRNLCSWNSMIVALAVHGMWCQGLELFHEMREKGILPNDITLVGVLLACTHGGLVDEGQYIFNSMEKDFFITPKLEHYGCMVDLLGRAGLTKEAYSLITSMPMEPDSVIWGALLGACSFHGDVQLAEVAAEFLFKLEPWNPGNLVILSNIYASSGRWNSVAKIWKMMKGKLHRKSAGYSIIELDGYMHKFLVEDKSHPQFEEIYVLLDEITMRMRHLSYKPNLNLQIEC >Ma01_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8691612:8698306:1 gene:Ma01_g12010 transcript:Ma01_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08510 [Source:Projected from Arabidopsis thaliana (AT5G08510) UniProtKB/Swiss-Prot;Acc:Q9FNN7] MNQIKQIHAFTLRNGLDDIQALVLKLLQVPNLPYAHALLLSHPHPPPTFLYNKLFQAYARGAGSAFEPCAALFARMRRPPNPHSFVFLFSACTAFARPAHGRALHARFLLSGLPFDAFVATSLLDFYAKSGLLDSARRLFDELPFRDVAMWNSLIGGYTRWGELDQARELFEMMPFRNVISWTSMVSGYAQNGRYEEAVRAFSRMWEEAEVKPNEVTLASVLPACAHLGAIGLGEKIENYAREKGLIGNVFVCNALLEMYGKCGNIHRARKVFDEMGVRRNLCSWNSMIVALAVHGMWCQGLELFHEMREKGILPNDITLVGVLLACTHGGLVDEGQYIFNSMEKDFFITPKLEHYGCMVDLLGRAGLTKEAYSLITSMPMEPDSVIWGALLGACSFHGDVQLAEVAAEFLFKLEPWNPGNLVILSNIYASSGRWNSVAKIWKMMKGKLHRKSAGYSIIELDGYMHKFLVEDKSHPQFEEIYVLLDEITMRMRHLSYKPNLNLQIEC >Ma08_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37468119:37469334:1 gene:Ma08_g24190 transcript:Ma08_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNPSMEVAVVMHLLVLAAWCATAASSAKPKVCDKGWECKNSVYCCNETISDFFQVYQFENLFSKRNTPVAQAVGFWDYHSFITAAAVYQPLGFGTTGGKQTQMGEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYKREMSPSQSYCDDNYLYPCTPGVDYYGRGALPVYWNYNYGTIGDGLKVDLLNHPEYLEQNATLAFQAAIYRWMTPMKKKQPSAHDVFVGKWKPTKNDTLAKRLPGFGATMNVLYGDQVCGQGPVDGMNNIISHYQYYLDLMGVGRQFSSDNLDCAEQEAFNPSSTSSSTT >Ma05_p31550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41423844:41424407:1 gene:Ma05_g31550 transcript:Ma05_t31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGMDSENAEPLLSLSLGVEPGKKRRRTKAADGVFQCKTCGRQFPTFQALGGHRTSHKRPCRVHRCFLCGAGFAMGRALGGHMRGHKAMGDKEPKQSDQKKKPNTDMAPLEFNLSEVVRRSSSHSQLLQLFV >Ma06_p26030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26638659:26648836:1 gene:Ma06_g26030 transcript:Ma06_t26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRRLNSSTSAAVRRHPFARGSLCSMSSLPSEAAYGKERSCVTWTKQLNAPLEVVDPEIAEIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMINKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFGLDPSKWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYERIRKVCDKQKAILLADMAHISGLVAAGVIPSPFKYADIVTTTTHKSLRGPRGAMIFFRKGLKEINKKGQEVMYDFEDKINAAVFPGLQGGPHNHTISGLAVALKQATTPEFKAYQEQVLKNCAKFAECLVEKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLELAHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDIAVKLALKIKAETKGGSKLKDFVATIQTDANIQYEISKLCHEVEDYAKQFPTIGFEKETMKYSD >Ma01_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10505012:10512191:-1 gene:Ma01_g14380 transcript:Ma01_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNLPRPRIGLRLCLCLSFRAPDQVGEAMEAPPRPLRLRCSVQNYDWGRFGEESTVARLFEGNSGSEIELGRPYAEFWMGTHESGPSFVVASPEAAVAEAECLAPGVVTLKKWIGENPGALGVKVVQKWGKNLPFLFKVLSVAKALSIQAHPDKELARMLHKMRPSVYKDPNHKPEMAIALTEFRALCGFVNIEELKDVLVGVPEITELIGNEEASKIIGSKDLDGYLDAKALLRSIFTKLMSASKEAVLELVPKLKDRLDVESKIRTLTEKEQLVLLLEKQYQADVGVIAAFLFNYVKLSPGEALYIGSNEPHAYISGDCIECMAASDNVVRAGLTAKYIDVPTLCSMLTYKQGFPEILRGVPIYSYVSRYTPPFDEFEVDRCLLPPKESVVFSAIPGPSIFVIVAGEGTMQISSPAEERKVIQGDAFFVPAQNEIRLIASADAPIHLYRAGVNNRVFG >Ma11_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21253162:21259077:-1 gene:Ma11_g15570 transcript:Ma11_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLPLVHPSPPSRLPLSSATELPKIRASSPSNSLSIPNPPGFKKWAAELRSRSLNLALSASLAFGLALGANAKVGVNRPEMLPKEYSPVIDVAGFLSASQERRLCEEIADLEKETGVKLRILAQNYPDTPGMAVKDFWQVDERTIVFVADPTFGNILHFNVGATVDLDVPRNFWSRVAGKYGNMFYWKEKGEDASIEAAVMAITSCLREPTAPNNCMEVN >Ma11_p15570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21253162:21259066:-1 gene:Ma11_g15570 transcript:Ma11_t15570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLPLVHPSPPSRLPLSSATELPKIRASSPSNSLSIPNPPGFKKWAAELRSRSLNLALSASLAFGLALGGLGTANAKVGVNRPEMLPKEYSPVIDVAGFLSASQERRLCEEIADLEKETGVKLRILAQNYPDTPGMAVKDFWQVDERTIVFVADPTFGNILHFNVGATVDLDVPRNFWSRVAGKYGNMFYWKEKGEDASIEAAVMAITSCLREPTAPNNCMEVN >Ma09_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10629456:10629590:1 gene:Ma09_g15320 transcript:Ma09_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATWSRAGEINDSRRCLFLQNNWNSHFISICSFHECNVGKNN >Ma09_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8314773:8323548:-1 gene:Ma09_g12340 transcript:Ma09_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEAKEESNKLENKKDKKDRKKKRKDKRMDGESGDKVEQGFDDCDVSAALEEAKVASKKHKKKKSKDLEKNQKNPDDKVQEHERIEAELELDSKEDGNKKKKKNKRKKNGNGDEECDKRVNHVQEDEAQKGEESRKRKRNQGDDVGARLSVHAEVKVRKKDRMSTASALGTDENKTAGNAADASLENNLGFGRCKVGSRDEMEERRKDHEEVQTSGYKKRTKREKAFDNPEFENGSYETGKEVKQSLSIEDNKAAEDGISEMASHATAIGKKRKKNKEKKDKKGDDQTAKGPSKNEVNLVDKKTEKKSPAAKPEGNGEISSTKKKKKGSDDFEASDTKNKGKRTKDGSDTSALKKNKKKVSFSSEVEVFPAGNENMNNENTEEPLIQGKRFTPEEDKKIKEAIDEYILAHQLGEEGKHMILNCKNYPEIKSCWKEIGACLPRRPYVAVYYRAHVLLERSEKRKWDPEEYDILRRFHAKHGADWKTLAAQLRKHRIHLKDCWRRIKSPNFRRGTWSQDEYQTLFDLVNLDLRMKAFEEKKTKHGMLRDNISWEAISQKLSTRYDAACCEKWYQQLTSPLVNQGLWADSDDYLLLDALLKVDACCLEDVDWDNLLEHRSAEICRKRWGQMTRHIGGFKEKPFIEQVEVLSKRYCPEMIEYRN >Ma09_p12340.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8314804:8323807:-1 gene:Ma09_g12340 transcript:Ma09_t12340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEAKEESNKLENKKDKKDRKKKRKDKRMDGESGDKVEQGFDDCDVSAALEEAKVASKKHKKKKSKDLEKNQKNPDDKVQEHERIEAELELDSKEDGNKKKKKNKRKKNGNGDEECDKRVNHVQEDEAQKGEESRKRKRNQGDDVGARLSVHAEVKVRKKDRMSTASALGTDENKTAGNAADASLENNLGFGRCKVGSRDEMEERRKDHEEVQTSGYKKRTKREKAFDNPEFENGSYETGKEVKQSLSIEDNKAAEDGISEMASHATAIGKKRKKNKEKKDKKGDDQTAKGPSKNEVNLVDKKTEKKSPAAKPEGNGEISSTKKKKKGSDDFEASDTKNKGKRTKDGSDTSALKKNKKKVSFSSEVEVFPAGNENMNNENTEEPLIQGKRFTPEEDKKIKEAIDEYILAHQLGEEGKHMILNCKNYPEIKSCWKEIGACLPRRPYVAVYYRAHVLLERSEKRKWDPEEYDILRRFHAKHGADWKTLAAQLRKHRIHLKDCWRRIKSPNFRRGTWSQDEYQTLFDLVNLDLRMKAFEEKKTKHGMLRDNISWEAISQKLSTRYDAACCEKWYQQLTSPLVNQGLWADSDDYLLLDALLKVDACCLEDVDWDNLLEHRSAEICRKRWGQMTRHIGGFKEKPFIEQVEVLSKRYCPEMIEYRN >Ma09_p12340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8314804:8323799:-1 gene:Ma09_g12340 transcript:Ma09_t12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEAKEESNKLENKKDKKDRKKKRKDKRMDGESGDKVEQGFDDCDVSAALEEAKVASKKHKKKKSKDLEKNQKNPDDKVQEHERIEAELELDSKEDGNKKKKKNKRKKNGNGDEECDKRVNHVQEDEAQKGEESRKRKRNQGDDVGARLSVHAEVKVRKKDRMSTASALGTDENKTAGNAADASLENNLGFGRCKVGSRDEMEERRKDHEEVQTSGYKKRTKREKAFDNPEFENGSYETGKEVKQSLSIEDNKAAEDGISEMASHATAIGKKRKKNKEKKDKKGDDQTAKGPSKNEVNLVDKKTEKKSPAAKPEGNGEISSTKKKKKGSDDFEASDTKNKGKRTKDGSDTSALKKNKKKVSFSSEVEVFPAGNENMNNENTEEPLIQGKRFTPEEDKKIKEAIDEYILAHQLGEEGKHMILNCKNYPEIKSCWKEIGACLPRRPYVAVYYRAHVLLERSEKRKWDPEEYDILRRFHAKHGADWKTLAAQLRKHRIHLKDCWRRIKSPNFRRGTWSQDEYQTLFDLVNLDLRMKAFEEKKTKHGMLRDNISWEAISQKLSTRYDAACCEKWYQQLTSPLVNQGLWADSDDYLLLDALLKVDACCLEDVDWDNLLEHRSAEICRKRWGQMTRHIGGFKEKPFIEQVEVLSKRYCPEMIEYRN >Ma09_p12340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8314804:8323799:-1 gene:Ma09_g12340 transcript:Ma09_t12340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEAKEESNKLENKKDKKDRKKKRKDKRMDGESGDKVEQGFDDCDVSAALEEAKVASKKHKKKKSKDLEKNQKNPDDKVQEHERIEAELELDSKEDGNKKKKKNKRKKNGNGDEECDKRVNHVQEDEAQKGEESRKRKRNQGDDVGARLSVHAEVKVRKKDRMSTASALGTDENKTAGNAADASLENNLGFGRCKVGSRDEMEERRKDHEEVQTSGYKKRTKREKAFDNPEFENGSYETGKEVKQSLSIEDNKAAEDGISEMASHATAIGKKRKKNKEKKDKKGDDQTAKGPSKNEVNLVDKKTEKKSPAAKPEGNGEISSTKKKKKGSDDFEASDTKNKGKRTKDGSDTSALKKNKKKVSFSSEVEVFPAGNENMNNENTEEPLIQGKRFTPEEDKKIKEAIDEYILAHQLGEEGKHMILNCKNYPEIKSCWKEIGACLPRRPYVAVYYRAHVLLERSEKRKWDPEEYDILRRFHAKHGADWKTLAAQLRKHRIHLKDCWRRIKSPNFRRGTWSQDEYQTLFDLVNLDLRMKAFEEKKTKHGMLRDNISWEAISQKLSTRYDAACCEKWYQQLTSPLVNQGLWADSDDYLLLDALLKVDACCLEDVDWDNLLEHRSAEICRKRWGQMTRHIGGFKEKPFIEQVEVLSKRYCPEMIEYRN >Ma03_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5775520:5776984:-1 gene:Ma03_g08040 transcript:Ma03_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITHRTVAVNGINMHVAEKGEGPVVLLIHGFPELWYSWRHQIVALAAHGYHAVAPDLRGFGDTDAPPAVTSYTMFHVVGDIVGLIEALGRDQVFVVGHDWGALVAWCLCMFRPDKVKALVNLSVVFRPRNPTGKPVETLRTAYGDDYYVCRFQEPGRTEAEFGRVGTSLIIKKFLTYHDPGPVIVPKEKGFGDAPDKENALPSWLSEDDLNYFAGKFEKSGFTGGLNYYRALDLNWELTAPWTGVQIKVPVKFIVGDQDLAYHFPGVQDYISKGGFKRDVPLLEDMVVMEGVGHFINQERPQEISNIILEFIKKF >Ma08_p30380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41665824:41667098:1 gene:Ma08_g30380 transcript:Ma08_t30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIPLILCTLFHVFLLLPHANAAATISKTITVNKNGGADFSSIQAAINSIPDYNNQWIKVQVAAGVYRSPSSPTLSFPYTSSTSPFRSRCREKVTVSFKGFILLQGEEAQQTSIEWGGYSGDAAGDDTATSATFTTYGANFVARDITFKNTYTGNTREQAVAVLVAGDKSSFYNCRFISVQDTLCDEMGRHYIKDCYIEGAIDFIWGVGQSIYEGCTISTVNIPEQPGYITAHGRQTSGDPSGFVFKSCNITGTGKTYLGRAWNHHARVLFHQTSMSDIVVPAGWDVWHQSGHENDITFAESGCTGPGSNHTGRISWAKKLGDAELQQLTSMSFIDSEGWLAAQPWA >Ma02_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2701936:2703155:1 gene:Ma02_g00280 transcript:Ma02_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHESTPQSEEELDLRRGPWTVDEDLILINYIAAHGEGQWNSLARSAGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDVMRYLWMPRLVERIRASSGNQVLSTPHEDDTFPMTCAPQPNQVPELARVKRSPQKSSTAGPASSSSSSDSRGVQFSPPPVSDGYFGSTTGGDDNIGDVIQTGECTGSWWESLPSPGGDTVLGFPELDQITWGESLWSVEDIWLQQQL >Ma11_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26386214:26390623:1 gene:Ma11_g22710 transcript:Ma11_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MLARFVSHRGPFRFVALTTVASSHVRRAPSSPPPLRPPPTFLGTLTRLALPPSDPNSTFFPSLRSFSSNRGDDDDGDWKFTPQSDEVGSVFGEEEGDLAGIADAAEGGSEVAAAGADVKSGDLWEKGIVTEGEGDIFYGIDRGFVAKEGGVREGNEEWETAEGYKPWTLGDDDEKGDLFGVVEEGEAGIEGIDGGGVEDLDKARADEQKQLEKKEEELLAALKGPNRAFGDLIAASGITDDMIDSLILLKDVSGVKGLPPLTEIEDKAIARLNETSTRVEIERKKQEEVAKARVRVVDEKGRAYGTGRRKCSIARVWIQPGDGKFIVNEKQFDAYFSILDHRVQLLQPFIVTKTLGLWDVNCTVQGGGVSGQVGAIRLGISRALQNWEPGLRPFLKAAGYLTRDPRVVERKKPGKAKARKSFQWVKR >Ma02_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23146387:23148527:-1 gene:Ma02_g15420 transcript:Ma02_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDREDGLDTVLEVPIPEEMFASAGTGAARWRNMRTWLEAQAFDKAAAPAQLSSRTVELQLLLNVVSSPLIPCPVPLDHPFNLSIQIRDCSIQASTAKYIIQQYIAATGGQTALASVSSMYAVGKLQMSASEFHVGNQTVAAKRKGEIGGYVLWQKNPGVWYFELIMAGSKMSAGSDGKVAWRQSASEQSHASRGPPRPLRRSLQGLDPRSTATLFSDAVCIGEKVIDGEECFILKLESNPATLRARSADTFDIIHHTIWGYFSQRTGLLVQLADTHLLRMKAGRRGQSKSIFWETSMESAIRDYRYVDGVKIAHAGRTMVTLFRYGEGSVNHKRKMEETWTIEEVDFNLWGLSMECFLPPADLKEEEGGD >Ma04_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9822231:9829437:1 gene:Ma04_g12980 transcript:Ma04_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELSRKGEGAARFKQGLGFSSSSTTTSNSDRFPSKPKTSSSSLFSTFIRASSPNAKPPDEQHSRQARLEVIQNKLNKERRHHDYRRSLSPSPDRDRRRRRSSRDRDDCRSPSSSPDRNRRRRRSRDRDDRRSGDRRRRSPSRESRREPDGRGSSHRGRGSRDRDEDSSHSRSRRRSWSRSPRRESRGADRERKGGHEKERGGEKHRSASAKNGGGVDYSQLIEGYSNMTPAERVKAKMKLQLSETVAKDTDRGMGSGWERFDFNKEAPLDDDDEIEAADDDASLVKNIGKSFRYSAVEAKREEEIKAAHDNAMFGASAACADSPVLEMESVHVDVTEDASDKTITDSLVIAMQQSSWRDRARRFRNDSDS >Ma04_p12980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9822231:9829435:1 gene:Ma04_g12980 transcript:Ma04_t12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKAAAYYDELSRKGEGAARFKQGLGFSSSSTTTSNSDRFPSKPKTSSSSLFSTFIRASSPNAKPPDEQHSRQARLEVIQNKLNKERRHHDYRRSLSPSPDRDRRRRRSSRDRDDCRSPSSSPDRNRRRRRSRDRDDRRSGDRRRRSPSRESRREPDGRGSSHRGRGSRDRDEDSSHSRSRRRSWSRSPRRESRGADRERKGGHEKERGGEKHRSASAKNGGGVDYSQLIEGYSNMTPAERVKAKMKLQLSETVAKDTDRGMGSGWERFDFNKEAPLDDDDEIEAADDDASLVKNIGKSFRYSAVEAKREEEIKAAHDNAMFGASAACADSPVLEMESVHVDVTEDASDKTITDSLVSDKVIAMQQSSWRDRARRFRNDSDS >Ma07_p25690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32679090:32679553:1 gene:Ma07_g25690 transcript:Ma07_t25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGGQSLAISLVAVVAAAFLAVGAVAADAPAPSPTSAAGALIPNLAVAVLASSVVLLLLGSVRH >Ma11_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23499689:23516370:-1 gene:Ma11_g18460 transcript:Ma11_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 50 [Source:Projected from Arabidopsis thaliana (AT1G61770) UniProtKB/Swiss-Prot;Acc:Q8GUN6] MMVSPVLRCLSSPPFLFFCCLLLLQLPICRSIYCDEDDCYDLLGVSQSSNASEIKKAYYKLSLKYHPDKNPDPESRKLFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYHAYYGHKTDPRAVLVGLLLVVSALQYLNQWTRYTQAVTMVKKTPAYKNRLKALELERSGGVTNKKKGLKQIDKTVEEKLSNELELHIQGAEKPSLWNLIGVQFVLLPYTLGKLLIWRSCWFWRYQIKKLPYSWDDACYLTQSCLKITSDAWRNIDESTMSNLVQKRLWVKGNMESYVAEMRKESKRRR >Ma10_p30500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36783263:36787276:1 gene:Ma10_g30500 transcript:Ma10_t30500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPQKTMFLVQKRLFCLLSCNKSTVHVSSYQLCSLFSFTTAEEHSLNHGSNFTLVDPLESCELSSKEAAKRAKDRICDKELSSSSPSIEFFKQSGWSDPLVMKLLQREPRLLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPCLLYLRDIQPRINFWRSLLGSNERLIKASRGNMFLLTSSVAQKIEPNISLLRERGISEQCITQMLVAVPSFFCRMNKYIDESIKRVEELGVSRDSKMFPHALLTVMTLSRSRFDATFATLMSFGWSQPDSLATFRRYPFIWNYSKKNLSDKMTFLMKEAGCELTYIIGHPTLLTFSLEKRLRPRCEVMNFLDHNKLLDKGHDLLSVMLLSEEKFRNKFLFLLRKEKFIAQYDSYVVAVHGKHDVIAEN >Ma10_p30500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36783263:36787276:1 gene:Ma10_g30500 transcript:Ma10_t30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPQKTMFLVQKRLFCLLSCNKSTVHVSSYQLCSLFSFTTAEEHSLNHGSNFTLVDPLESCELSSKEAAKRAKDRICDKELSSSSPSIEFFKQSGWSDPLVMKLLQREPRLLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPCLLYLRDIQPRINFWRSLLGSNERLIKASRGNMFLLTSSVAQKIEPNISLLRERGISEQCITQMLVAVPSFFCRMNKYIDESIKRVEELGVSRDSKMFPHALLTVMTLSRSRFDATFATLMSFGWSQPDSLATFRRYPFIWNYSKKNLSDKMTFLMKEAGCELTYIIGHPTLLTFSLEKRLRPRCEVMNFLDHNKLLDKGHDLLSVMLLSEEKFRNKFLFLLRKEKFIAQYDSYVVAVHGKHDVIAEN >Ma02_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23930131:23937127:-1 gene:Ma02_g16670 transcript:Ma02_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDGRDLVSELQAQVLALRHRVEELEKENERLCSQLSRCRCSKDGNIPSAGLFVENSSPTNCEPREKPGCQERSQQRAGRYVALKIMYFGQRFYGFASEAQMDPTIESEIFKALERTKLLIGTKEDSIYSRCGRTDKGVSSTGQVISLYLRSNLKDTGGYTKNHTTTLEVRGEIDYVRVLNKVLPGDIRVIGWCPVPTDFHSRFSCLSREYRYLFWKGNLDVSAMQQAAMKFIGEYDFRNFCKMDAANVNNYRRRITFFDISSLDRRSIDVDELWAVTIKGSAFLWHQVRCMVAVLFMVGHGFESPDVIDVLLDTKKTPRKPQYNMAPELPLILRFCEFEDLEFICSSEARRTLHEHLKNEVQRHMLQAAIFNEALSCLSTAGGHEFSLYSGQIFTCAFGKEESAGQSSEFSRKKKCHIPLLRRATERYFFMLVAASYDERRAKLDMKASRKS >Ma11_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22007352:22012498:1 gene:Ma11_g16480 transcript:Ma11_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKIGRAEVSRKMKDRMSSMSFKMKELFHSQNQPEKIVEEATSENLDGPDWSANLMICDMVNGDNYNGIEFIRGIKKRIMLNNPRVQYLALVLLETCVKNCDMAFSVVAAERILDEMVKLIANPQTVVNNRNKALVMIEAWGESGDELGYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPPHLVSETEASNSVAPQTSDVPMGNFTAEQIKEAFDVARNSVELLSTVLSSSPHQEVLQDDLSNTLFQQCRQSQYTIQSIIEAAGDDEAVLFEALNVNDELQRVLSKYEELKKPPEVPSEPAPNTIPVVVEPEESPRAGREDALIRKPAGSRTKPDRYETYDDILNDLDKMIFGTEKGSTSENQKPKKKQQQSDDHTSF >Ma11_p16480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22007352:22012498:1 gene:Ma11_g16480 transcript:Ma11_t16480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKIGRAEVSRKMKDRMSSMSFKMKELFHSQNQPEKIVEEATSENLDGPDWSANLMICDMVNGDNYNGIEFIRGIKKRIMLNNPRVQYLALVLLETCVKNCDMAFSVVAAERILDEMVKLIANPQTVVNNRNKALVMIEAWGESGDELGYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPPHLVSETEASNSVAPQTSDVPMGNFTAEQIKEAFDVARNSVELLSTVLSSSPHQEVLQDDLSNTLFQQCRQSQYTIQSIIEAAGDDEAVLFEALNVNDELQRVLSKYEELKKPPEVPSEPAPNTIPVVVEPEESPRAGREDALIRKPAGSRTKPDRYETYDDILNDLDKMIFGTEKGSTSENQKPKKKQQQSDDHTSF >Ma11_p16480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22004512:22012498:1 gene:Ma11_g16480 transcript:Ma11_t16480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKIGRAEVSRKMKDRMSSMSFKMKELFHSQNQPEKIVEEATSENLDGPDWSANLMICDMVNGDNYNGIEFIRGIKKRIMLNNPRVQYLALVLLETCVKNCDMAFSVVAAERILDEMVKLIANPQTVVNNRNKALVMIEAWGESGDELGYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPPHLVSETEASNSVAPQTSDVPMGNFTAEQIKEAFDVARNSVELLSTVLSSSPHQEVLQDDLSNTLFQQCRQSQYTIQSIIEAAGDDEAVLFEALNVNDELQRVLSKYEELKKPPEVPSEPAPNTIPVVVEPEESPRAGREDALIRKPAGSRTKPDRYETYDDILNDLDKMIFGTEKGSTSENQKPKKKQQQSDDHTSF >Ma06_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13476464:13477241:-1 gene:Ma06_g19560 transcript:Ma06_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGLQEPQIGVLLADHAPGRHIICAVVPTVLKARQTATLADVGVDYFTRWVEAEPLASITEKQVQSFTWKSIITRFGILRAIIIDNGAQFNNTKFKAYCQSYAIQLRFSSTVHPQTNSQSKVMNRVILEGLKKRVSGMCGAWVDELPSILWVMRMTPKTASRDSPFSLAFGTEALLPPEMVFPTLHTTIYEQNNYEEGLRVNLDLLKEKRVEAHPCTLAYKRL >Ma02_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23812853:23814727:1 gene:Ma02_g16460 transcript:Ma02_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGAWKSVKPYLAMVFLQFGYSGMYVISVASLKSGMSHYVLVVYRNAVAAAVVAPFALWFERKTRPKMTLAIFLKIMALAFLEPVLDQNFYYMGAKNTSASFSSALFNVLPAVTFVNAVILRMEKIDIKKRRSQAKVVGTLVTVIGALLMILYTGPIIEFVWTKGRSHHAGDSGQNESHWLIGTFMLLFSCFCWSAFFILQSHTLKSYPAELSLSTLICLMGAGQGGALALVMERSTKPWLIGFDTRLFTAVYSGIMCSGVAYYVQGIVMKERGPVFVTAFNPLCMIITAIMGSIILAEEITLGRVLGAVIIVIGLYSLIWGKSKDHLTEPSQPREKEAALVKTSPIDHVAVIDIQPARNP >Ma09_p27870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38748038:38749060:1 gene:Ma09_g27870 transcript:Ma09_t27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MADSTFFGDPFRRFFWSPLVWSGSPSAPAAMDWLETPSSHIFKIDVPGSGRDDVEVQLEEGNVISIRSEGSSATTAKEEQQLKEVVWHVAERGRGSFSRQIALPDNVRADQIKAHVENGVLTVVVPKEPIPPKPKPRTIAVSSKL >Ma11_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24403906:24405770:-1 gene:Ma11_g19610 transcript:Ma11_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATNICARIPASLLARSLSTASFLTEKLPEAPSNPVIDLSDTQRLFASVPTASLLHSLANLTAMAAGSLVDVGVAVLRAALASEGKLLRAAAIGAARATVHRHFCAGEGFEDAGRAVTGMWEEQRLRSILDYGMEDAEDGAACDRNLAGFLRTVEMASSLPPSSASVCVKITAICPISLLERVSDLLRWEQQDPTLQLPWKTNSIPVLCDSSPLYLTRSAPDPLTEMEECDLQLASQRLSKICERCTEANIPLLIDAEYTAVQPAIDYFTYAAAVRFNHGDHPIVFGTIQAYLRDSKERMVNAVRAAEREGVSLGVKLVRGAYMTRETKLASSLGAPSPVHPSIQETHNCFNSCSSFMLEKVSRKSGAVVLATHNVQSGQLAAKKAMELGIGRDDHKLQFAQLMGMADGLTHGLRNAGFQVSKYVPFGPVEQVMPYLLRRAEENRGLLCTSTVDRQLKRKEMFRRLATAVAWRT >Ma09_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35635136:35636618:1 gene:Ma09_g23950 transcript:Ma09_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKEELQLTPEEDEEACKYAVELAGGPILPMTVAAAVQLGLFEILIKAGPTPKLSAADIAAQMPNQNPQAAVMVDRILRLLAAYNVVRCTVETGADGRPLGKYGPAPVCKYLAKNEDGVSMAALALMNQDKVLMESWYHLKDAVLDGGIPFNKAYGMTAFEYYGTDARFNKVFNEGMRNHSTIMTKKLLDIYRGFEGVKVLVDVGGGVGGTISIIAGKHPHIKAINFDLPHVISDAPPIAGVEHRGGDMFDSVPSGDTILMKWILHDWSDELCVKILRNCWKALPEKGRVILVECVLPVVPEPMGRGREVFYLDVVMMVHNPGGKERTEREYEGLAREAGFSGFKATYIFANTWIIEITK >Ma04_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6185430:6189283:1 gene:Ma04_g08680 transcript:Ma04_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEISAALSRKCTSAALLDGEQKKQKVSEIKSGVDDAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKKITTVNPNQAAREDLLESGMADTLMVSADQRGRLMMSTERLNQSTERVKESRRTILETEELGVSILQDLHQQRQSLLHAHNTLHGVDDHIGKSRKILTAMSKRMDRNKWIIGGIIVALVLAIVLILYFKLRH >Ma00_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12345443:12347148:-1 gene:Ma00_g01770 transcript:Ma00_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPQSPLPPDMSYTSSTWLMASLALLAGCFCLSSWFPLQSKLGEKYPALYSCTALMFFLSFLQTAAMSLATVRGHSVWLLRKKLEIATVIFSGFAGSGLGFLAMSWCVEQRGPVFTASFMPFVQIFGAGIDFTILHEQVYLGSVLGSVLVIAGLYSLLWGKNKEARSCAAKAAEGNGENQVQVQLQSV >Ma08_p28120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40159343:40159984:-1 gene:Ma08_g28120 transcript:Ma08_t28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMSVVLLVLLFITPLSSQQQEFPSVEVPQASPAPSADSMNVSVGHDSSADAASPPPVPKRRYRGKNGRKNMVREFLHAHNQVRALAGEKPFEWDDNLARYAQRWSEKRRSDCAIVHSMGPYGENMFWGSGWDWRVADAVGNWAREHMYYNPSDNSCMSGKMCGHFTQIVWNSSEAVGCARVECFSGGVIITCNYDPPGNWVGESPFGSLE >Ma06_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13008115:13008737:-1 gene:Ma06_g19000 transcript:Ma06_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGLERKSSIEAEPRTLTVAELQVAREAAMFILNNNLMEEARKIFTEGLKPVPSIRDYDLFEDCDDEYEEEAEEEEEEGDEMRDWVELEQSYHTCLQIDVANASF >Ma01_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3377008:3378213:-1 gene:Ma01_g04900 transcript:Ma01_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSIIADNGEISNPLLKRAHTAIHAWKRAIVSDPNNFTGNWVGHDVCSYNGIVCAPSLGDPSQDAVAGLDFNGADLAGHFPVELALLHEISLIHVNSNRFQGQIPGNLSNLQLLEELDLSNNGFVGPFPMEVLQLPKLRYLDLRFNNFEGPLPPELFDKDLDALFLNDNRFNTTLPENLGNSKVSVMVLTNNNFEGRIPRSVAQMNATLNEIVISSNHFSGCLPLEFASLGNVTVLDLSSNSFAGVLPKSMIKGLQNVELLNIAGNMLTGVVPESICELKHLINFTYSSNYFKGDALSCPTAWSSDVVFDGQVNCIAGRKGQRAAEDCAAVVNHPVNCGGGNVKSPPSPAPIFSPPPAPLTTPSPPPPATAAVPSPSSLPPVGGFEYASPPPPIFKGY >Ma11_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3421875:3423484:1 gene:Ma11_g04360 transcript:Ma11_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGYLWGRKKKDEMYISQDLVAEVEEETLEGGLKMPAIPPPQTPHEPMEFLSRSWSVSASEISKALLAGNKKSNFVVDQLPEMMIPENLVIAAAGSPQSTSKRPRRGINSILTHHATIGKWFHHMETNRTKAKSKEKARAEKARVHAAVSVAGVAAAVAAITAASTSENRPSKMSAAMASATEILASHCVEIADQAGAGHQHLASAIRSAVDVKTAGDLMTLTAAAATALRAAAALKSRSQREARNNAAVIPYEKGHRGNPDIWRKEGELLKRTEQGTLHWKRVSVYINKKSQVMVELKSKHIGGALSKKKKSVVYGLYDGIPAWSGRARECAEQRCYFGLRTAQGLIEFECENSVSKKKWVDGVHNLLREVSGEAEQIEDSMELLNLS >Ma11_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26428363:26433126:-1 gene:Ma11_g22790 transcript:Ma11_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVCNADTPLGDTSCGLYVDGLSHGKSNVENKECVCWNKEETAKLTLQGTPSDPFISENDVLTVQEADVAPSLVLPVGDLQAQLSDNATSDEKVLEAKHLESYQELHHFASSDVKKQKALTKSATFPSSVEVDPVDLSIDGGCGMPCSAFQQECSSAPNNPTYARSVSLPAPSKLISAVKGSRAKNGSPSNVQLRVKWAPEVYDPPCTTVSHTVKKSHHQRPRAKKKDGNKHKHKGKSARGSNTERRSTNRSSAGNMAESVDMRSQSTGDTSPPNGYDNSSMEVLKYAVSKQDSKCGNTFLREALTKVHISMAEAT >Ma08_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1179897:1186315:1 gene:Ma08_g01250 transcript:Ma08_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVKMVERMLVVGDPKLHGGMFRMLSTIVCKVLEIFPFIEAARPRSKTGIQALCSLHVALDKAEGLLHHCSDCSKLYLAITGESILHKFEKSKSALQESLRRVEGIVPGAIACQIMEIVGELETTNFILDHSEKQAGDKVITLLRKYRKSNGSSDDNEELESFHQAASRLGITSSRAALAEKRALKKLMEKVHAEEDKRKEAVVAYLYHLMRKYSKLSRPELAEDLDSQGSAPCSPAVLCSEKVSGPHGRCHAFDRQLSKLSSLNLNRSGPRTASVPIPPEEFRCPISLQLMYDPVIISSGQTYERACIEKWFSNGHVTCPKTQQHLSHLCLTPNHCVKGLIAIWCEQHGVPVPDGAPDSADHWRLALSQYDTVDSRSLDSTISCKWTGIKEAGLDDNGIAEELKDSHDGILDKCSNQDHEEDELERYQGLLAALEEDKGMWKQSKAVEQIGYLLKDDEEARIFMGSNGAIEALVKFLRSAIHDRNDKVQKIGVMALVNLAVNNNRNKVMLITAGVIPLLEQMISNSDNCEEAIALYLDLSCLDEAKPVNGLTEVVRLLVQLLRSNNSRSSFRKLDALCILHNLSMHPPNIPSLLSSGVVDCLHSLIGAPSGPEGAKWTEKALAVLVNLASTKSGREEMVSTRGLVGELAEVLDTGEPEEQEKAVSCLLILCNDNNECSQMVLQEGVVPALVSISLNGTSAGQEKARKLLKLFREQRQREQQQGGELIMEARPSCKARSKKLNSIWKNKSFALFQC >Ma04_p27970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29135534:29136590:1 gene:Ma04_g27970 transcript:Ma04_t27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPHPSTSSPGGEDGDHREQPEVGSAARQPLMPDDGYQWNKYGQKHIKNINKTRSYFKCRNKECKAKKRVEWPPADPSNLRILYDGSHHHHPPADSQQLPSVAGNRYELGNQVFGRLNDHHNP >Ma04_p27970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29135534:29136729:1 gene:Ma04_g27970 transcript:Ma04_t27970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPHPSTSSPGGEDGDHREQPEVGSAARQPLMPDDGYQWNKYGQKHIKNINKTRSYFKCRNKECKAKKRVEWPPADPSNLRILYDGSHHHHPPADSQQLPSVAGNRLQVQRC >Ma04_p39760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36773277:36774300:1 gene:Ma04_g39760 transcript:Ma04_t39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGEGHHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDRAARSLRGAKAKTNFPYQTPLQPQPLPPSAAFDLNLPCHLWLASPPPPTAVPPPPPSTALVLGRFAGYDSREALPPAARQAASAAAPQPPASSASRFRDVGRDLPFDLNEPPSSSLLIG >Ma04_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7683275:7684157:1 gene:Ma04_g10880 transcript:Ma04_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMGEVEEEAAARGGKQGEDDSDGGATAGDSGEEWLNLTLGGGVTAGEGSSSGSQSKPLTHKMFSCNYCMRKFYSSQALGGHQNAHKRERCAARRSHAAQRRVMDLPLHAPFLQSLGVRPHSMAHKQIREPERSMAARFDHTRTMLSSFVIEEAARSNWTGSFQMDSEPAKQPSAQQNLDLSLRL >Ma03_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:153667:164268:1 gene:Ma03_g00100 transcript:Ma03_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G34130) UniProtKB/TrEMBL;Acc:W8PVA0] MGAKAENGPKPPPPLSSPPPFKALVKLKTKQQELLIRSAALALIYVLAFAIRLFSVLRYESMIHEFDPYFNYRTTLFLTRNGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYRALRFLTFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDAGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLFTFYLFVKAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLVTGRYSMRLYVAYNCMYVLGMMLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLYWVKDMLNDTKLFQSFLQITLTCAIGVGTLALGIGMASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLILFPAGLYFCFKRLSDATIFIVMYGLTSMYFASVMVRLILVAAPAVCLISAIAISATIKNLTTLIRKKSKAALTVSSKATSNLKASAKALLDQSLPFQRNGAIAVLVGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGGRVIFDDYREAYYWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEHEAYEIMQSLDVDYVLVVFGGLTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGEVTTEYGKPTGYDRARGVEIGNKDIKLEYLEEAFTTSNWIIRIYKVKPPKNRW >Ma09_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35270839:35272241:1 gene:Ma09_g23430 transcript:Ma09_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEAKVVIPESVLKNRKREAQRALAKKQERENRELIFTRASSTPSSTRHRCATRPVEKELIRLELIRLKREGRMKGGFYVSPEAKLLFIIRFRGINAMHPKTRKILQLLRLRQTINSVFLKVNKATMNMLQTVEPYVTSGYPNLKIVRELIYKRGYGKLNKQRIPLADNSIIEHGLGKYGIICIEDLVHEIMIVGPHFKQANNFLWPSKLKAPLGGLKKKRNHYVKGGDAGNREDHINELIRRMN >Ma08_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6520057:6526565:-1 gene:Ma08_g09010 transcript:Ma08_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPNDFDRLVFFEHARKAAEAAYAVNPLDADNLTRWGGALLELSSFQSGDDSIKMVKDAISKLEEALGVNPSKHDTVWCLGNAHTSHAFFTPEHETAMVYFDKATQCFKQAVELDPGNELYLKSLDLSAKAPELHLELQRQMASQQAPHVTTSASSRKEPKKKVSSDLKYDILGWAILVVGIVVWVGMAKSHVPSPPPR >Ma05_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35200722:35207538:-1 gene:Ma05_g23180 transcript:Ma05_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFRDSLKLLEAGIGHANTLASDFPTEYDGACLQMRMSYSPAAHLFLFLVQWTDCSLAGALGLIRIMIYKVHIDGTTTMSTLERKASIKEFYVAIFPSLLQLQKGITNMEDKKQKVVCMERYRRRDEDERKQLSEIDVEREEECGICMEMNNKIILPRCSHAMCIKCYREWNSRSQSCPFCRDSLRRVNSGDLWVFVNSRDVVDMTGDT >Ma05_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9210777:9211320:-1 gene:Ma05_g12760 transcript:Ma05_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSKHGATETDARSLAITVERNLPESRLQQLGIKSWPKWGCPPGKFPLKYDAQETCYLLKGKVKVYTKGCPECMEFGAGDLAVFPKGLSCTWDVSVAVDKHYKFDTSS >Ma05_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15688540:15692154:1 gene:Ma05_g16220 transcript:Ma05_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALFRRASSHLARLPRPLFPSDSLESPFAHGSSAGSPSLPGFSFDASMELMAVPKKNVSRHKKGLRNGPKALKPVPVIVRCKSCGRVKLPHFYCCSGDTGNSGLSSS >Ma02_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20885820:20886413:1 gene:Ma02_g11990 transcript:Ma02_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSFHLAASMARCNSRSPRFLAFAATRGLQGSAERDAKETHKNIKDASAEIKKTAENVREKINAAADQVVDKTIEAAGNVIDSVQGGRAKGVSQSAWGSAKETMQKIKDTVVGKAQESKQSIKDGAENTKRAMDAKH >Ma04_p25240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27031080:27035000:-1 gene:Ma04_g25240 transcript:Ma04_t25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEDPPHGDLEPSLRHRLPLPRWGDRKATRRAAVDRNGGDRTTAAGHLGCREAPPAEGGGEDGGLEELRAKLMGHLREAVDRMNTAAPDSVMGAAQEVARPWNLRARRTPNGNGHGGCARAGAPTVAEAAAADEQEKKRNIGLTVSLTAEEIEEDIYAVTGSRPRRRPKKRPKVVQRLLDSLFPGLWLSEITVESYKVEDD >Ma04_p33850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33290223:33292406:1 gene:Ma04_g33850 transcript:Ma04_t33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDRGSTAEEAVPWWEKEVAAAAAAAGGDDEEGWKCRKQHVQPLYGVCPSCLRDRLLRLCPDCANVRPCGCFPSYSSSSSSSSLSSADLARSGGVGGERGGVGIGVVGPVSRLMDSEPAFRRSRSVGFQLLRTRSVASTASEVGNVAQPSPPSGGGKGWGTFWPFSWALGRRASAETAGAKLYRSRSVAAGRSPDASGGRKVGRGKGSRRWHFPSPMKVFRRQKSTMRVVQERSPLWRG >Ma10_p07060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21404813:21412299:1 gene:Ma10_g07060 transcript:Ma10_t07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAVRAKEIAERKFSERDLEGARKFAMKAQNLFPALEGIGQMIATLDVHVASEEKVYGEKDWYAILSVSASADEDTVKKQYRKLALQLHPDKNKSVGAEGAFQLISEAWSVLSDKSRRTLYDQKRCIKVFSQKTSRPNKNNNFSSNVNGFHKSANSAASKVHSVKNSTNVTPAVAHSSPEPPIPETFWTSCNKCKMQYEYLRVYLNHNLLCPNCHEPFLAKETKSSANGFSSSGPWSASQQCQPSSNRNSTRKNAPGLGRSNSTLPGMGSSGIQNGANLGSLNNQNFQWGPFSRSAGVASATASSAAAAQAANVVHQTYEKVRKEREEAQAAARREEALHRKNSNLKRNASTCGIINVGSNDTLPAKRARGVGKDAASDNAAASAEQFGAFETNRTSGVNGDFCKFRTGVRHNNLGREFPHIDIRSMLIEMTKLAIHKKLEDWKSAATEKIDAKENVKKKQKLSETDNEEVNNVHRNATNQDRVVESMSDTEQFATEKNSSNVQSSDSDNECNEPVSIVVPDPDFHDFDNDRSEQSFESDQIWATYDDEDGMPRYYALVQQVISLKPFKVRMSFLTSRSNSEFGPLNWVASGFPKTCGDFRIGRYEVNDTINIFSHKVRWEKGPRGVIKIVPRKGETWALYRNWSPEWNEHTADDIIYKYDMVEVLEDYSEEQGVSIIPLVKVSGFRTIFCRHLDPMKLKRIPKEEMFRFSHQVPSYLLTGEEAENAPKGFFELDPAATPLELLQINENKTEVAAEAVEQAVK >Ma10_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21404985:21412299:1 gene:Ma10_g07060 transcript:Ma10_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAVRAKEIAERKFSERDLEGARKFAMKAQNLFPALEGIGQMIATLDVHVASEEKVYGEKDWYAILSVSASADEDTVKKQYRKLALQLHPDKNKSVGAEGAFQLISEAWSVLSDKSRRTLYDQKRCIKVFSQKTSRPNKNNNFSSNVNGFHKSANSAASKVHSVKNSTNVTPAVAHSSPEPPIPETFWTSCNKCKMQYEYLRVYLNHNLLCPNCHEPFLAKETKSSANGFSSSGPWSASQQCQPSSNRNSTRKNAPGLGRSNSTLPGMGSSGIQNGANLGSLNNQNFQWGPFSRSAGVASATASSAAAAQAANVVHQTYEKVRKEREEAQAAARREEALHRKNSNLKRNASTCGIINVGSNDTLPAKRARGVGKDAASDNAAASAEQFGAFETNRTSGVNGDFCKFRTGVRHNNLGREFPHIDIRSMLIEMTKLAIHKKLEDWKSAATEKIDAKENVKKKQKLSETDNEEVNNVHRNATNQDRVVESMSDTEQFATEKNSSNVQSSDSDNECNEPVSIVVPDPDFHDFDNDRSEQSFESDQIWATYDDEDGMPRYYALVQQVISLKPFKVRMSFLTSRSNSEFGPLNWVASGFPKTCGDFRIGRYEVNDTINIFSHKVRWEKGPRGVIKIVPRKGETWALYRNWSPEWNEHTADDIIYKYDMVEVLEDYSEEQGVSIIPLVKVSGFRTIFCRHLDPMKLKRIPKEEMFRFSHQVPSYLLTGEEAENAPKGFFELDPAATPLELLQINENKTEVAAEAVEQAVK >Ma10_p07060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21404813:21412299:1 gene:Ma10_g07060 transcript:Ma10_t07060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAVRAKEIAERKFSERDLEGARKFAMKAQNLFPALEGIGQMIATLDVHVASEEKVYGEKDWYAILSVSASADEDTVKKQYRKLALQLHPDKNKSVGAEGAFQLISEAWSVLSDKSRRTLYDQKRCIKVFSQKTSRPNKNNNFSSNVNGFHKSANSAASKVHSVKNSTNVTPAVAHSSPEPPIPETFWTSCNKCKMQYEYLRVYLNHNLLCPNCHEPFLAKETKSSANGFSSSGPWSASQQCQPSSNRNSTRKNAPGLGRSNSTLPGMGSSGIQNGANLGSLNNQNFQWGPFSRSAGVASATASSAAAAQAANVVHQTYEKVRKEREEAQAAARREEALHRKNSNLKRNASTCGIINVGSNDTLPAKRARGVGKDAASDNAAASAEQFGAFETNRTSGVNGDFCKFRTGVRHNNLGREFPHIDIRSMLIEMTKLAIHKKLEDWKSAATEKIDAKENVKKKQKLSETDNEEVNNVHRNATNQDRVVESMSDTEQFATEKNSSNVQSSDSDNECNEPVSIVVPDPDFHDFDNDRSEQSFESDQIWATYDDEDGMPRYYALVQQVISLKPFKVRMSFLTSRSNSEFGPLNWVASGFPKTCGDFRIGRYEVNDTINIFSHKVRWEKGPRGVIKIVPRKGETWALYRNWSPEWNEHTADDIIYKYDMVEVLEDYSEEQGVSIIPLVKVSGFRTIFCRHLDPMKLKRIPKEEMFRFSHQVPSYLLTGEEAENAPKGFFELDPAATPLELLQINENKTEVAAEAVEQAVK >Ma01_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19327546:19329044:-1 gene:Ma01_g20680 transcript:Ma01_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQQKEERALSAIHELGLEMCQDTIIGESFVRGVSGGERKRVCIGNEIIINPLLFLDEPTAGLDSTTALRIVQLLHTIAKTGKTVVTTIHQPSTRLFADKLILLGKGSLLYYGKASEAMDYFSSIGCSPLIAMNPAEFLLDLANGNISDTTIPSELEKKVQSKVWGATQEMASHLQKILADGGSMVLLILVVSQQNGTSKLLTNATYNETVPDLKATLSSQKRDWGSSWCQQYSIQFRRGFKERRHDYLS >Ma06_p38520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37265714:37267407:1 gene:Ma06_g38520 transcript:Ma06_t38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGADSSSSPSPPPPVQRVNLAPSCDDAPPPPATSLGRVKLMVSYGGRIQLRPHDSSRLSYVGGETKILSLDRSARLPALLTKLASLARFSAPLCLKYQLPGEDLDALVSVIDDGDLDHMMTEYDCLCCTSSSSKPSPRLRIRLFLFPVWPPPPRPSAALLVTLNPVPAHPPPPPATPIVPEASPSPDFLFGLDQGFVPAPAVKVTTDPQQRPPLLESLPLSKPDLTKDDPEQPIGSGADTVAPAVAVVLAGEIQAQIPELQTLNIAENARPPIPRNSSEETLRKISPLEHHVSRAPEKATPAPPPSPALYWLEQSGATSGGRYTSLAPGVDRTVYLVPASPAVYPGFFPTVRSVVPSEAYNEAPAKVVGGVGGAMPGPEVYAGGQLAYGRVVYYPSVAPTFPTVTSVALNPADKTVKPSA >Ma04_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23155492:23205899:-1 gene:Ma04_g20450 transcript:Ma04_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MASSSGSLPALEAVQVLVSSLVDESLVVRESSLAALREIAPLNPLLVIDCCVSISKGGRRRFGNMAGVFLVMAGAVRAMDPKDVDSTYMAKLAKIATSEIITSKELNADWQRAAAGLLVSIGSHAPDLMMEEVFLHLSGPNSALQSMVQILADFASAEALKFTPHLKDVLLRVLPILGSVRDTQRPVFANAFKCWCQAAWQYIGDFPSDPLLDSDVMSFMNSVFELLLRVWAGSRDLKVRLSAVDALGQMVGLITRSQLKAGLPRLIPTILDLYKKDQEIAFLATQSLHNLLTACLLSESGPPLLDFEELTVILCTLLPVACINSQTDHRLNFSMGLKTYNEIQHCFLVIGSVYPEDLCVFLLNKCQSKDELSTIGALYAVKHLLPRLLEAWHGKRVSLVEVVKLLVDEQSLGVRKALAELIVVMASHCYLSGPPAELFVEYLVRHCAISDQEIKIFKSLKEASSRGSPFQSFQNRNLKASVFQVMVGAVSPSELRVICEKGLLLLAITIPEMEHILWPFILKMLLPKEYTGAVATVCKCITELCRHRSFHTSMISCEFNNSNDIPSPEDLFARLIVLMHDPVAREQLATQILTVLCFLGPLFPKNLSFFWQDEVPKMKAYISDLDDLRQDSSYQETWDDMIVNFLSESLDVVQDNEWILSLGNAFARQYALYDDDDEHLALLHRCLGMLLQKVDDRVYVNGKIEWMYAHANISVPTNRLGLAKGMGLIAASHLDTVLEKLKCILDNVGYSRFQRFFSFLSGRVLEDADDTYAALALMYGYAARYAPSTVIEARINALVGTNMLSRLLHVQHPTAKQAIITAIDLLGRAVINAAEMGISFPLKRRDQMLDYVLTLMGRDDREELIDSSTELLHTQTLALSACKTLVLVEPRLPVEARNHVMKATLGFFALPSDPSDIIDPLVDNLISLLCAILLTSGEDGRSRAEQLLHILRQVDLYVSSSVEHQRRRGCVAVYELLLKFRALFSGGVCGLGCHSSCMHSKQIDRVAQRNFSNLPSAFVLPSRDSLSLGERVIAYLPRCADTSSEVRKLAAQIIGQFFSVALSLPKLAASVSYIDIEVSYSALSSLEDVISILRRDASIDQSETFNRVISSVCVLLTRDELIISLHTCTSAICDKIKQSADGAIQAVIEFISKRGNELLEADISRTTQSLLSATIAITDKHTRQEVLNAISCLAENTNSSIVFNEILAAAGRDIVTKDVTRIRGGWPMQDAFFAFSQHPVLSFSFLEYVVSVLDRTPLPKTDVDKGEIVAHSVEFQNDNQIQQAAILAITAFFRGGGKIGKKAVEQSYSTVLSALTLQLGSCHGLASLGQLEPLRLLLLAFQSFCDCVGDIEMGKILARDGEHKDQEKLIDLIQEIASCTSMKRPKEVSPISMILSKALNRHQRFQREAAASALSEFIRHSDGLPSLLEHIVEAMCLHVSDESPTVRSLCLRGLVQIPKSHMPNYIAQVLGVIVALLEDPDESVQLTAVQCLLSVLSSSSEEAVDPILINLSVRLRNLQISMNEKMRSNAFAAYGALSNFGMGSQHQAFLEQVHATIPRLILHLHDDDLNVRQACRNTLRQLAPLIEADGFSALFNKQVFSSERRSDYEDFIRDLSRHVYQLLASRVDSYLAPLIQAFDSPWPVIQANAIYFSSCLLSLSEDQRSLAPYCLQVFAALVTRMNRSPDAVVRASCSFALGLLLKAFNPLAHTVLQIDQTDSGRSNITE >Ma04_p20450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23155492:23189928:-1 gene:Ma04_g20450 transcript:Ma04_t20450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MRYNTCKVPKMKAYISDLDDLRQDSSYQETWDDMIVNFLSESLDVVQDNEWILSLGNAFARQYALYDDDDEHLALLHRCLGMLLQKVDDRVYVNGKIEWMYAHANISVPTNRLGLAKGMGLIAASHLDTVLEKLKCILDNVGYSRFQRFFSFLSGRVLEDADDTYAALALMYGYAARYAPSTVIEARINALVGTNMLSRLLHVQHPTAKQAIITAIDLLGRAVINAAEMGISFPLKRRDQMLDYVLTLMGRDDREELIDSSTELLHTQTLALSACKTLVLVEPRLPVEARNHVMKATLGFFALPSDPSDIIDPLVDNLISLLCAILLTSGEDGRSRAEQLLHILRQVDLYVSSSVEHQRRRGCVAVYELLLKFRALFSGGVCGLGCHSSCMHSKQIDRVAQRNFSNLPSAFVLPSRDSLSLGERVIAYLPRCADTSSEVRKLAAQIIGQFFSVALSLPKLAASVSYIDIEVSYSALSSLEDVISILRRDASIDQSETFNRVISSVCVLLTRDELIISLHTCTSAICDKIKQSADGAIQAVIEFISKRGNELLEADISRTTQSLLSATIAITDKHTRQEVLNAISCLAENTNSSIVFNEILAAAGRDIVTKDVTRIRGGWPMQDAFFAFSQHPVLSFSFLEYVVSVLDRTPLPKTDVDKGEIVAHSVEFQNDNQIQQAAILAITAFFRGGGKIGKKAVEQSYSTVLSALTLQLGSCHGLASLGQLEPLRLLLLAFQSFCDCVGDIEMGKILARDGEHKDQEKLIDLIQEIASCTSMKRPKEVSPISMILSKALNRHQRFQREAAASALSEFIRHSDGLPSLLEHIVEAMCLHVSDESPTVRSLCLRGLVQIPKSHMPNYIAQVLGVIVALLEDPDESVQLTAVQCLLSVLSSSSEEAVDPILINLSVRLRNLQISMNEKMRSNAFAAYGALSNFGMGSQHQAFLEQVHATIPRLILHLHDDDLNVRQACRNTLRQLAPLIEADGFSALFNKQVFSSERRSDYEDFIRDLSRHVYQLLASRVDSYLAPLIQAFDSPWPVIQANAIYFSSCLLSLSEDQRSLAPYCLQVFAALVTRMNRSPDAVVRASCSFALGLLLKAFNPLAHTVLQIDQTDSGRSNITE >Ma04_p20450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23155492:23199905:-1 gene:Ma04_g20450 transcript:Ma04_t20450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MVGLITRSQLKAGLPRLIPTILDLYKKDQEIAFLATQSLHNLLTACLLSESGPPLLDFEELTVILCTLLPVACINSQTDHRLNFSMGLKTYNEIQHCFLVIGSVYPEDLCVFLLNKCQSKDELSTIGALYAVKHLLPRLLEAWHGKRVSLVEVVKLLVDEQSLGVRKALAELIVVMASHCYLSGPPAELFVEYLVRHCAISDQEIKIFKSLKEASSRGSPFQSFQNRNLKASVFQVMVGAVSPSELRVICEKGLLLLAITIPEMEHILWPFILKMLLPKEYTGAVATVCKCITELCRHRSFHTSMISCEFNNSNDIPSPEDLFARLIVLMHDPVAREQLATQILTVLCFLGPLFPKNLSFFWQDEVPKMKAYISDLDDLRQDSSYQETWDDMIVNFLSESLDVVQDNEWILSLGNAFARQYALYDDDDEHLALLHRCLGMLLQKVDDRVYVNGKIEWMYAHANISVPTNRLGLAKGMGLIAASHLDTVLEKLKCILDNVGYSRFQRFFSFLSGRVLEDADDTYAALALMYGYAARYAPSTVIEARINALVGTNMLSRLLHVQHPTAKQAIITAIDLLGRAVINAAEMGISFPLKRRDQMLDYVLTLMGRDDREELIDSSTELLHTQTLALSACKTLVLVEPRLPVEARNHVMKATLGFFALPSDPSDIIDPLVDNLISLLCAILLTSGEDGRSRAEQLLHILRQVDLYVSSSVEHQRRRGCVAVYELLLKFRALFSGGVCGLGCHSSCMHSKQIDRVAQRNFSNLPSAFVLPSRDSLSLGERVIAYLPRCADTSSEVRKLAAQIIGQFFSVALSLPKLAASVSYIDIEVSYSALSSLEDVISILRRDASIDQSETFNRVISSVCVLLTRDELIISLHTCTSAICDKIKQSADGAIQAVIEFISKRGNELLEADISRTTQSLLSATIAITDKHTRQEVLNAISCLAENTNSSIVFNEILAAAGRDIVTKDVTRIRGGWPMQDAFFAFSQHPVLSFSFLEYVVSVLDRTPLPKTDVDKGEIVAHSVEFQNDNQIQQAAILAITAFFRGGGKIGKKAVEQSYSTVLSALTLQLGSCHGLASLGQLEPLRLLLLAFQSFCDCVGDIEMGKILARDGEHKDQEKLIDLIQEIASCTSMKRPKEVSPISMILSKALNRHQRFQREAAASALSEFIRHSDGLPSLLEHIVEAMCLHVSDESPTVRSLCLRGLVQIPKSHMPNYIAQVLGVIVALLEDPDESVQLTAVQCLLSVLSSSSEEAVDPILINLSVRLRNLQISMNEKMRSNAFAAYGALSNFGMGSQHQAFLEQVHATIPRLILHLHDDDLNVRQACRNTLRQLAPLIEADGFSALFNKQVFSSERRSDYEDFIRDLSRHVYQLLASRVDSYLAPLIQAFDSPWPVIQANAIYFSSCLLSLSEDQRSLAPYCLQVFAALVTRMNRSPDAVVRASCSFALGLLLKAFNPLAHTVLQIDQTDSGRSNITE >Ma01_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10821323:10825536:1 gene:Ma01_g14850 transcript:Ma01_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVLRDIGSGNFGVAKLVRDVRTKELFAIKLIERGHKIDEHVQREIMNHRSLRHPNIVRFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSNQICHRDLKLENTLLDGSAAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKIADVWSCGVTLYVMLVGSYPFEDPEDPRNFRKTLGRILSVQYCIPDYVRVSRDCAHLLSRIFVANPEQRITVPEIKNHPWFLKNLPMELTDGYQHGLETGDAMAPTQSIEEAMAIIQDAQNPGEAPKLGHGHFIGGGSMDLDDMDGDMDLDDIETSGDFVCAL >Ma01_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12411049:12418144:-1 gene:Ma01_g16970 transcript:Ma01_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MASSDLSPSYGASSPDGRSSPIANSNSSPANGTAGRGTKRRRRAPSTSPYPSPGPYLAPPLPRTAAAMPSADVPPSFTPSSSRRRLRRHETVTPSTPMSADESFPPSSEAGDDFDPVDPEYADSTPVFVWGTNISVQDVNAAILRFLRHFRDPRETGRGNSLMEEGKYMRAVHRIMELEGGESLDVDAHDVFDYDPDLYGKMVRYPLEVLAIFDIVLMDLVARIDPLFEKHIQTRIFNLKSSVCMRNLNPSDIEKMVSVKGMIIRCSSIIPEVKEAIFRCLVCGHHSEPILVDRGRINEPTRCGRQECLAMNSMTLVHNRCRFADKQIVKLQETPDEIPEGGTPHTVSILMHDKLVDSGKPGDRVEITGIYRAMSVRVGPTQRMVKSIFKTYIDCLHLKKTDKSRLHIDDLMDVDNSSNSRTIEDDTPDYQDKVDKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALKLVSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVSKDPETGETVLESGALVLSDRGVCCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSESRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFKNPETVVQDVLDLPTLVAYISYARKHIHPQISDEAAEELTRQYVEMRKRGNAPGSRKKVITATARQIESLIRLSEALARMRFSEWVEVRDVAEAFRLLEVALQQSATDHSTGTIDMDLIMTGISASERTRRENLVAATRNLVMEKLQLGGPSTRLTELLEELRKQSSIEVHLNDLRNALATLMSEGVVVLHGDCVKRI >Ma07_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7036151:7040525:1 gene:Ma07_g09410 transcript:Ma07_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSFGGQEHHVLTNHGSLSVSFYGDPEKPALVTYPDVALNHMSCFQGLFLCPEVASLLLYNFCIYHISPPGHELGAVPISSDVPVLSVDQLAEQVAVVLDFFGLDSVVCLGVTAGAYILTLLALKYSKRVVGLILVSPLCKAPSWKEWICNKVVSNVLYFYGMCDVIKEWLIQRYFGEEVRGTSQDPESDIVQACRRLLDERRNANIWWFLQSINERHDLTEALKELQCRMLIFVGENSPFRSDALDMATKLDRENSVLVEVKKCGSLVTEEQPHAMLSPMEYFLAGFNLYRPKELSCSPRSPLSPSCISLELLSPESMGVKLKPIKTGKSLVSINF >Ma09_p26380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37666804:37673066:-1 gene:Ma09_g26380 transcript:Ma09_t26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSKGGSIVFRGLRAMSLSSHLMVVSEGLANTPSPGREPSFVSPARCRCPPAARRASSTDTLPTPSSSSTASASSSSSFTASSSSSAAPSSSPSSSSHRRQFLENNCLNVFLIMRRSKNCSLFSLLRTSLKELVYENTAKRGLWLSASSLVHEDLHLHPRILSNFCINTRSFSIPVKRFDRASNCRGLDHHDFPEVRVSNRFLCSSEAGSGELPRCWNCGGAAVSPGPFLACGLCGSVQPVDQSIDFFQIFGLERKYEIKDGNLEGKYKDWQKKLHPDLVHSKSEKEKMFAAEQSARVIDAYRTLSKPLLRAIYLLKLEGVHVDEEKTITDPDLLAEMMDIREAVEEANDSHSLNQIQTQVEEKFEKCSRSFEQAFKIHDFDNAIAAIERMRYHDRALEEITKKL >Ma06_p25620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26014280:26015354:1 gene:Ma06_g25620 transcript:Ma06_t25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFFLWPSDQHKNDDGGGGTTAEAKVEAPTGNVKGRKGCGAKAVLPKRPPQRGLGVAQLERLRLQERWNKLTALDRGPFRDDAHLLQPHPLPGSKSYGNLVHHQLTPLAAAAVYGAPIALSGSHRTDDYLPSYCSIVQAPLTFGGTAAAAASAIQAVHRDRCLQARFRVGSPSLEVPSCQNPQCEFCARKKRLFGNDLGDDVANGADYLDMDLAAGMAVDLNRGCTQWKAKLTNDRELTIKEFDFFPPNSRSASNDGSSSKLVDREACDAISTSSAAASSTCPDLSLKLSL >Ma09_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:447473:449839:1 gene:Ma09_g00650 transcript:Ma09_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAKLLRSFTRVEPKTLSFVIFAGCFLISMALLAVSAGSLVSLPSLSSWLSAHTTPPVSGAQENPGPHHPHPEVGTDRNEEPQRKPLCDTSDRRADICDMEGDVRVRATSSSVFFVTSSDRNASELQQSWRIKPHPRKGDHAALSRLTELSVGYLTTHQEDVPKCDVKSSVPAIIFATGGYMGNFFHETTDLVVPLYITSHKFDGEVQFLISDMLPWWIAKYEQLLKKLSRYEIIDFNRDPLVRCYPRVIVGITFHKDMSIDPARSGGVTMFDFGRFIRSAYSLERETAIVLGEKQEEKKKPRLVIIARKSTRKFSNVDEIARMAEWQGFEPVIAEIKKNQSLGEFARVVNSCDAMMGVHGAGLTNLIFLPTNAVVIQVVPLGGLETYCWSDYGVPALEMKMRYLQYSISVTESSLVDRYGITDPAITNPQAILAQKDGWRNWTSIYFFNQDVRLDVSRFSSILVHARQLLHR >Ma03_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5052374:5065208:1 gene:Ma03_g07260 transcript:Ma03_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNTTSRQVTFSKRRNGLLKKAKELAILCDAEVGLVIFSSTGRLYEFSSSSMKSVIERYTKAKEEQQQIVSATSELKFWQREAASLRQQLHNLQENHRQLMGEELSGLNVKDLQNLENQLEMSLRNVRMKKDQLLIEEIQELDQKGRFIHQENMELHKKVNLVRQENMELHKKVYETRGTTGSDGGSIIPYGFSFTDEAHVPIHLELSQPQQQADGTQTQPPNLGLQLQLTRGE >Ma04_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21903108:21903610:1 gene:Ma04_g19300 transcript:Ma04_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALGVATVALLCLTVVPSHAALQVGFYSGKCNGTDVEATIKSIVAARFARDRSIVPALLRLQFHDCFVRGCDASILLDGSGTEKTARPNLSVRGYDLIDQAKAALESKCAGVVSCADIIVVATRDAVVL >Ma08_p34200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44290439:44294598:1 gene:Ma08_g34200 transcript:Ma08_t34200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQCEMGEERSMGSGSSGEPTAACSTSAAASPTGVKRGRDPEEEVYLDNFHSHKRYLSEIMASSLNGLSVEDSLAAENLMESPARSESACYPRDEIALQYSPMSEDSDDCRYCETPLHTTISQSDAMSSPTSPVSPHRHQNPFSTFATATQYPLNTCNVAAVMCSHPRQRGSDSEGRFPSSPNDMCHTTDLRRAALLRSVQMRAQPHCSVAYELPFSSGQENMQSTEPGEDRSFSCVKGLDDETCYQSTENEADYIEECSSSLGRLSDSKLGHK >Ma08_p34200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44290439:44294598:1 gene:Ma08_g34200 transcript:Ma08_t34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQCEMGEERSMGSGSSGEPTAACSTSAAASPTGVKRGRDPEEEVYLDNFHSHKRYLSEVPPSPPIMASSLNGLSVEDSLAAENLMESPARSESACYPRDEIALQYSPMSEDSDDCRYCETPLHTTISQSDAMSSPTSPVSPHRHQNPFSTFATATQYPLNTCNVAAVMCSHPRQRGSDSEGRFPSSPNDMCHTTDLRRAALLRSVQMRAQPHCSVAYELPFSSGQENMQSTEPGEDRSFSCVKGLDDETCYQSTENEADYIEECSSSLGRLSDSKLGHK >Ma07_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10317409:10323680:1 gene:Ma07_g13750 transcript:Ma07_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRERIGGRQQLLRNHGGSLHVGHKLGSLAKLADFYVLFFSDPSPQDSEHCQTCSFLPWRALLFPLRGSSARNPPPPERAEGEEEQEEEGVEVAAGAGAEEEERWSTILPELLGDILRRVESSDRQWPLRKSLVSCACVCRRWRQVTTGVVGPPRETGTITFAASLRQPGPRDLPIQCYIKRNKNNNTFCLYLSLTHTFMDKGKFLLAAQRFRHRAHVEYIISLNADDMSQRSNAYVGKLRSDFLGLNFKIYDSQPPFDGAEPLTNRVSRRFASKQISPQVPAGNFEIGQASYKFNLLKTRGPRRMLCTLQCPAENSRKVHQKIPRADASGSDAGSVVLKNKVPRWHDHLKCWCLNFHGRVTVASVKNFQLVASSNPNRAGDGAVDVYEPVLLQFGKVGDDMFTMDYREPLSAFQAFAICLTSFGTKFACE >Ma09_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8188151:8190139:1 gene:Ma09_g12110 transcript:Ma09_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKTVDAVVSGFGGSLNSESAESSPLAPDQPNLLVFLADKDPPTNRSRCAVCR >Ma08_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35964456:35965804:-1 gene:Ma08_g22360 transcript:Ma08_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKRSEREREIRMEEFSYEDIKTATGSFAVEQLIGKGSHGSVYRGRLRGGQVVAVKKPLGSIQSSKDEANLDNEIDILASVKNPSIVELIGVSQSPTTKLLVMEFMHNGSLHDLLHSSPSPPSWPRRALMALQAARAVLSLHETSPAVIHRDIKSANILMDGEGNAKLADFSLAVRAHGFRPPNSTVPAGTIGYLDPCYAESGRLGPESDVFSFGVVLLELVSSRKVMDMERDPPSIVAWALPVIRDGRLADVCDRRVALPDYTKTPIARMLSVAERCVAEKVVRRPSMGEVVRELQGVVECMMIWPWMRFKVFESVHMCVRAWRRCARKRVTTTTKIVCRDHSVDGGTEEVAMVER >Ma06_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1711264:1716551:1 gene:Ma06_g02150 transcript:Ma06_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPLLALCFSVSLVGTVAGSGNIASWPSAVNVGALFTFDSTIGRAAKLAIELAVEDVNSSPSVLAGTRLNLYAQDTYCSGFFGIIESLQLIEKNVVAIIGPQSSGIAHVVSHVANELHVPLLSFAATDPALSSLEHSYFIRMTQSDYFQMNAIADLVGNYGWREVTAIFTDDDFGRGGIDALGDALAKRHTKISYKAAIPPNANESAIDDLLVRVNFMESRIYVVHVNPDSGLKVFSIAKYRGMMATGYVWITSDWLTSVLDSFGSPNPDTMDLIQGVIALRQHVPDSDVKQSFISRWSDMRRRGNTTSSLNTYALYAYDSVWLVAHAIDQLLKGGQTFNFSDDPKLQDANGSSLHLTAIKNFNTGDNLLHELLLTKFTGLTGQVQFDSDGNLIHPAYDILNIGGTGFRRIGFWSNYSGLSVISPESLYSKRPNTSDGSQQLYSVIWPGETMTTPRGWVFPNSGKSLRIGVPYRTSFTEFVSKDNGPDNVKGYCIDVFKAAVNLLPYPVPLSFILFGNGSKNPSYDELVEKVHENYFDAAVGDISIVTNRTRIVDFTQPYAESGLVIVAPAKEQHSNAWAFLKPFNTTMWCATGAFFLFVGLVVWILEHRLNQDFRGSPKQQIATIFWFSLSTMFFAHRETTVSTLGRFVLIVWMFVVLIINSSYTANLTSILTVQQLSSRIAGIDTLMSGSDPIGYQVGSFSKNYMIEDLNIDESRLVPLNDPDEYARALDLGPRGGGVAAIVDELPYIEVFLSDNCKYITVGQEFTKSGWGFAFPRDSPLALDLSTAILTLSENGDLQRIHDKWLTQSGCTSQDSDTDSNQLSFASFWGLFLICGLACLMALMIFFLKTLCQYRKYSTQAKVGCSESERSVECTSYIKELLSFVDKKEEDVKKFMKSKSSEKQQQNQQDSDGQSMSNKFEI >Ma06_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22882668:22886229:-1 gene:Ma06_g24370 transcript:Ma06_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSSLLKIVSPCWKWARFSHDSSARAEGLLWYKDLGSHAVGEFSMAVVQANNLLEDRSQIESGPLGWNKAAAADGPHGTFVGVYDGHGGPEASRFITEHLFPNLKKFASEQPDMSADVIRKAFSATEEDFISLVRKQWLIKPQVASVGSCCLVGVISSGMLFVANVGDSRVVLGRFEEGIGEVTAVQMCTEHNASFESVRNELRSLHPDDPNIVVLKNKVWRVKGLIQVSRSIGDAYLKNAEFNREPLLSRFRLPEPIEKPILSAEPSIVTHKLCPEDQFLIFASDGLWEHLTNEEAVDMIHNTPRSGIARRLVKAAIQEAARKREMRYSDLEKMDRGTRRHFHDDITVIVLFLDSALINKNLCHSPILSLKGAGVPT >Ma00_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:18484305:18488233:-1 gene:Ma00_g02520 transcript:Ma00_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYNNGGGGGGGGGGGGFFFFLPKKKKKNLFGVF >Ma10_p31330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37501056:37518388:1 gene:Ma10_g31330 transcript:Ma10_t31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPMGPPAPRNPKPDPNPELTDGDPSNDKDGLACSQQDGGVAAESIAPESEAKDLEKPSPSASNSSSSGSQSGNSAAPYSIPSWSEPPAQPFFLEVLKDGIIIEQLDVSQKGAYMFGRIDSCDFVLEHPTISRFHAVLQFKKDEVLLYDLGSTHGTFINKMQVKKKVYTELHVGDVIRFGMSSRLYIFQGPTELMPPEGDLEKLRNAKIREELLDREASLSRARVDASLANGISWGMQEDAIEEDTENGADEITWQTYKGQLTERQEKTRSKIIKRMEKVANMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRITQIMEELDSLEETLNESIQESVGARSGKVVCGKKKENVEDEDDMLSDDDDFYDRTKKKPAARKSGEQQSVETADSLLDKKDTIISQIEEKKALLLKEKEKGVTDSVNSTEGGDDLDAYMSGLSSQLVHDRVTKIQNELVDLQTDLEKTMYLLKIADPMGEAARKRDAKAEASKSKSIPNVSKPPKSEQKQGTAPTHVVKQGTVPTTTVGLKPDDTSSHKQAVEHTEGRNMTEDQEASKPVYTAVKPQWLGATREITSEENMVQETHLDENELDNFVDYKDRKEILGSDNRSEIDCAGPGLIIRKRKPAHEMGMGVDKIPKVEVSVAETSAADAVALLLKHKRGYTALDEVHENKESKSKGLEGKDNSQEKRVFGPSKPAFLDNNPDYESWVPPEGQTGDGRTSLNERLGY >Ma02_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17079820:17089944:1 gene:Ma02_g05990 transcript:Ma02_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHFCANFAGSLACPSSIKTRVYFGFRPYQRDSDRGFRFGLEEHVRGHLDGSMTAALTSSSSSCAGFCDDDDDGNASPIAAADHLAARSAESSASDRRYSRDFSRWVARQAEEMIINIERRNRESELMAIAGLHLVSMLDPSFLRESGRSPMATSNVERPVAARASSILQMWRELEDMTSAARTERRTTVAASADGSVSVSESDYNGYDQWTRRNIDSLQRPGEIDADDLRSSREQSPDPGEDARERVRQIVRGEPGMAETESRVSPRNHTQRAQWLGEIERERVRLVRESVQMTSQQQRDARASRREEREREGVRDGSDTDHEYGQAEHVRRALLRPRGRQAGLERIMRRMAAERQRELQILSEHRPVSEFAHRSRIQSLLRGRFLRNGEVVQDDQERPPSAAERELGQLRQHRHVSALREGLHFQLENIVWGQSTSQPESSADQSISAADQSQAGIVTELPNYNHEEVLNRIQDSDVHQTTGREETPESESGSHDDTLDMQEYAAAEVAGQQNEDARHESRDQEPNTNGGFGDRQEEVREDFNGNWQENMDQDWPHETAGYDVGEESHLPRVNEEWHGDEPADTEETWQDEHTDPLIDQRSSSPPTSGNRFIPPDEEVYNVELRELLSRRSVSNLLRSTFRETLEQQLIESYIEQQGRLSFDWDLYRPPVAPIREETQDQRQDDSDQAIQDSTAGRSNVFQPPPLPPPPPPLWHSNLHHNTWVRQNMHCHSEIERDAINDLRAEMTSLQQGMSEMQRMLESCMDMQIELQRAVRQEVSAALNRSAGEGFIEQSSKDGYKWSQVRKGTCCVCCDNHIDSLLYRCGHMCACSKCAHELLGRGERCPLCRAPIVEVVRAYSIL >Ma11_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2209256:2211454:1 gene:Ma11_g03000 transcript:Ma11_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLFAFVSFFSYPCMVSCSENPHRNTNQLQTYVIQLETPTASLDADGLNIWHKSFLPVSDDDSDRRLVHSYSQVFSGFAARLTEEEAKSVAKKEGFLRVYPDSFLPLLTTHTPGFLGLQSGGGTWRAASFGKGIIIGLLDSGLDHDHPSFHDDGVPPPPTKWKGSCGFKTGCNNKLIGAKNLLGGSSPDGPIDADGHGTHTASTAAGNFLNNASYYGLAGGTAAGIAPHAHLAIYKVCITGRCQLSDVLAGIDAAVGDGVDVISISIGGDGTPLDDDLLAIGAFGAVKKGIFVSCAGGNSGPGERTVANEAPWLLTVAASTVDRSQRATVKLGDGQKFNGESLDQYPTSSGSLLTLFHMSTDPYCKSLNSSQVEGKVVACLVYRTPNYTATLVKAAGGVGVILISTEIVGYTILDNRCNFPAAIVSNEDGDRITSYVTLATKPTVSITYDGTIIGSSPAPVVASFSSRGPSINVPGILKPDVSGPGVNILAAWPSDVVGGDGRMGRMTFNFESGTSMATPHLSGVAALLKSLHPCWSPAAIKSAIITTSDDKDGDGNQIMDQQHTTAGFFAMGAGHVNPSKAADPGLVYDLGIDDYIAYACGKFGNKGVRDIVRDTTVDCGKINNITESELNYPSIVVAPKNGTAATVKRTVTNVGQAKSSYTVEVEVPETVSVTVRPPSLSFSEVNQKMSFLVTAKWTTAGLPTRNAEGNLKWVSGKRVVRSPLVVSIL >Ma10_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25941801:25943526:1 gene:Ma10_g13000 transcript:Ma10_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEPKSIGDMGLFAPPPPPSPGAMECLGGERAWGFQGLAGGKDYHEGEQNSSLGEEAEGGDDTNHESGQQHKLCVRGHWRPAEDAKLKELVSQYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRSAFSEEEEERLLAAHRLYGNKWALIARLFPGRTDNAVKNHWHVIMARKHREQSNAYRKRKASNLPSLGPPPAQAILSRRMEVNTIIHACSGESTITSARDESASTCTDLSLTSFTSRAFPNLLYRSCPTYQPTHPCDISIVKDGKVHPARNGCHDKFGDAGRGFFSGVAPMELVPGADQAAYSCSTTEASASGTVVYHRRNAWPQAEPDHGREKIRIPFIDFLGVGAT >Ma01_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1532425:1532517:1 gene:Ma01_g02250 transcript:Ma01_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGNPPPIAFPVRTQNTQREREREREAWR >Ma06_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3545548:3550498:-1 gene:Ma06_g04770 transcript:Ma06_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLQNSAINPIEEESPDAAEDGSLPPLPPSGGPAAAADLSCVICSEVSAVLAVMRRNVRWGGRYASAADDHHLEHSLVQSLKSLRRQVFSWGDARRPWCTIEPSAFLRPFVDVVQSDETGAPITGVALSSLYKILTLDLLEPGSGTGVEAGMHLVVEAVTSCRFEVIDPASEETVLMKILQVMLAIMRSQASIMLSNQHVCTIFNTCFRIVHQAGTKGELLQRFSRHTMHELVRCIFSHLPHVEDGGRPPSLKPEIGATDKDQAFGIKQVENGNGSAEPTDTSVRDDNPGSEAENGVRTMVEPYGISCMVEIFHFLCSLLNVADHIGMSPATNQIAFDEDMPLFALGMINSAIELGGPSISKHPKLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYRHLRTKLKLQLEAFFSCVILRLAQSRYGASYHQQEVAMEALVDFCRQKTFMAEMYANLDCDITCSNMFEELANLLSRSAFPINCPLSSMHVLALDGLIAVIQGMADRIGNASPSFEQSPLELEEYSPFWTVKCANYSDPEHWVKFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAWTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILVDKDAALVLAYSLIMLNTDQHNVQVKKKMTEEDFIRNNRRINGGNDLPREFLSELYHSICRNEIRTAPEQGFGFTEMSPSRWIDLMQKSKKTSPYIVCDSRPFLDRDMFAIMSGPTIAAISVVFDYAEHEEVFLTCVDGFLAVAKISAYHHLEDVLDDLVVSLCKFTTLLNSSLVDEPVTAFGDDIKARLATETVFSIANRYGDCIRTGWRNILDCILRLHKLGLLPARVASDAADDSELPPDSVHGKPVPSSLSTSHIQTMGTPRRSSGLMGRFSQLLSLDTEEPRLQPTEQQLAAHQRTMQTIQKCRIDSIFIESKFLHADSLMQIARALIWAAGRPQKVSSSPDDEDTAVFCLELLIAITLNNRDRIGLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVTRLVKANAAHIKSQMGWRTITSLLSITARHPEASEIGFEALLFIMSEGAHLSPANYVLCIEASRQFAESRVGLTDRSVRALDLMAESMNCLARWSHETGNAGPETDKISEGIREMWLRLVQALRKICLDQREEVRNHALASLQRCLVGDGVCLLPSTWLQAFDLVIFTMLDDLLEIAQNHSQKDYRNMEGTLLHAMKLLSKVFLQQWQDLFGLSSFCKLWLGVLSRLEKYMKVKVRGKKSDKLQELIPELLKNTLLMMKSKGILAKRSTIGGDSLWELTWLHVNNIAPSLQSEVFPGQEMEQLHSGVQPEGNRSGLLAEPSAS >Ma05_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33231027:33234968:-1 gene:Ma05_g21550 transcript:Ma05_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVVSSIAFALPIRCHVRQTKIFGASLSRPVPRAAARPARLGFRPGNAPNFDLNRWVATVFASDSSNTKPTANEKVDSSSNVGDGPPLPTILAGVVVFLLFCWAVGSIVLWLVGLIVNPPSS >Ma10_p29930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36362473:36365406:-1 gene:Ma10_g29930 transcript:Ma10_t29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIATAATPSPMPPSAKTLRVVVKGRVQGVFFRDWTVQTARELGLNGWVRNRRDGSVEALFSGDPTAVDEMVERRCRVGPPAAVVTALSAFPSEDDPGQGFQRKPTV >Ma09_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4044399:4048495:-1 gene:Ma09_g06310 transcript:Ma09_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCTNYFQHFCSNGFQLESKGYSLHDDLLPSLGATINHRIKLRKHIVSPYDPHYRLWEMFLMVLVLYSAWICPFEFAFLHYLPSTMFLVDNIVNSFFAIDIALTFFVAFVDPKSYLLVDEPKRIAVRYLSTWFIFDACSTFPFQTISFFFNRHGNSLGFKLLSMLRLWRLHRVGSLFARLEKDIRFNYFWTRCAKLFSVTLFAVHFSGCFYYMIADRYPDPKRTWIGAVIPNFREDNLWIRYVTAIYWSITTLTTTGYGDLHAENTREMLFDIFYMFFNLGLTAYLIGNMTNLVVHGTSRTKTFRDTFQAASEFASRNKLPRHVEEQMLSHICLKFKTEELKQQETIEGLPKAIRSSIAECLFYPIVEKVYLFQGASFNLIFQLATEMQADYFPPKEDVILQNEALTDLYIIVSGAVEMRAYVDGVEKVQGRLIAGEVFGEIGVLCHVPQPFTIRTTELAQILRLQSAVFFNIIRESRQEATIVMRNLFQVNPIIDQHCLTK >Ma06_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3408494:3411532:1 gene:Ma06_g04590 transcript:Ma06_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKLKPLKQPKSDKKDYDETDLANLQKKKDEEKAMKELRAKASQKGSFGGTGLKKSGKK >Ma06_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10182092:10190553:1 gene:Ma06_g14950 transcript:Ma06_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHLLLEEPIRMVSILEPSKPSFFPAMTKIVGTLGPKSRSVEVISACLKAGMSVARFDFSWGDVDYHQETLENLKTAIKSTQKLCAIMLDTVGPELQVINKSEKAISLEADAYVILTPDQEQEASSQLLPINFSGLSKAVKQGDTIFVGQYLFTGSETTSVWLEVSEVKGADVVCIIKNTATLAGSLFTLHVSQIHIDLPTLSEADKTAISTWGVRNKIDFLSLSYTRHAEDVRQAREFLSKSGDLYQTQIFAKIESVEGLTHFDEILQVADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVITRVVDSMTDNLRPTRAEATDVANAILDGSDAILLGAETLRGLYPVETISTVGRICYEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSSSNESVLKVALDHGKASGLIKTHDRVVVCQKVGDASVVKIIELED >Ma05_p04700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3568160:3572835:-1 gene:Ma05_g04700 transcript:Ma05_t04700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDAATEQRKEAAVVLTPPLALEGGLVEEHQTPGLLPRMLSLLRNVRPGSDLTHFQLPPLFNMPKSQLQCYGESVYCINEDYLTRCPRGKSSLERFTSVVAWSISTMRPAIFGLAPYDPILGETHHVSRGSLNVLLEQVSHHPPVSALHATDAKENIELIWWHNLVTSFHGTSVEAVINGKRQLKLLTFGEDYEMDSPNLVVRLLPTTGADWLGDVRIRCKDSDLEANLCYHKSQSFLGFGGNSRSVRGNIFHSKTSKTIYEIDGQWDRIVNLKDVHSGEVTVLYDAMKAISKLKTPVLEAPENLWPTESATVWREVSQAILNMDWEKASEAKRRIEEKERELQREWKSRGEVWVPKHFSVAHAKDNEWDCWPLEHSVPLAPIAVPP >Ma05_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3567730:3572835:-1 gene:Ma05_g04700 transcript:Ma05_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDAATEQRKEAAVVLTPPLALEGGLVEEHQTPGLLPRMLSLLRNVRPGSDLTHFQLPPLFNMPKSQLQCYGESVYCINEDYLTRCPRGKSSLERFTSVVAWSISTMRPAIFGLAPYDPILGETHHVSRGSLNVLLEQVSHHPPVSALHATDAKENIELIWWHNLVTSFHGTSVEAVINGKRQLKLLTFGEDYEMDSPNLVVRLLPTTGADWLGDVRIRCKDSDLEANLCYHKSQSFLGFGGNSRSVRGNIFHSKTSKTIYEIDGQWDRIVNLKDVHSGEVTVLYDAMKAISKLKTPVLEAPENLWPTESATVWREVSQAILNMDWEKASEAKRRIEEKERELQREWKSRGEVWVPKHFSVAHAKDNEWDCWPLEHSVPLAPIAVPP >Ma03_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13931458:13939544:1 gene:Ma03_g14560 transcript:Ma03_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDSQNPLLGVTTCGSLLQQLQLIWDEVGESDEERDKMLLQLEQECLDVYKRKVEQASKTRALLLQSLADSKAELARLLSALGQKSFVGIPDKSSGTIKEQLAVIGPLLEQLCKQQEDRMREFADVQLQIEKISGEIAGTLNIGEQMRTLMVDVEDLSLKKLDEYQSQLKELQKEKSDRLHKVLDLVSTVHDLCAVLGLDFFSTVTEVHPSLNDSVDVQSKSISNDTISNLCRMVLSLKEDKKMRLQKLQELAAQLIDLWNLMDTQMEEQELFSHVTCNLSATVDEVTVPGALALDLIEQAEVEVERLDQLKASKMKEIAFRKQTELEDIYARAHVDINSAAARDKILALVDSGNVESSQLLAEMDNQILKAKEEAMSRKEILEKVEKWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKIQTWEEDHGMSFMYDGVPLLAMLDEYTMLRQEREEEKRRQKDQKRMHEQLATEQESMFSSRPSPARPLGTKKVVGPRANGGPSNGTPSRRLSLNAHQGSTNGVRSVSRDGKRDGNRPAGPVNYVAIVKEDAGSHVSGTDQVPASP >mito8_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000019.1:289058:289132:-1 gene:mito8_g00040 transcript:mito8_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLYLVMKKANIACNKSEARGS >Ma08_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7329841:7331517:1 gene:Ma08_g10040 transcript:Ma08_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPETGLGRLRKHSSFGFRPSSGGKAEGAAAHGGIARSEGGGEDVEVRVTRSIMIKRPAGCPSPGNATPPASPAGSTPPISPFSGGREWNRFRRKSSSDAYERVVGGGGEGGGMVGLGSQNPSPPHEV >Ma08_p10040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7329846:7331517:1 gene:Ma08_g10040 transcript:Ma08_t10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPETGLGRLRKHSSFGFRPSSGGKEGAAAHGGIARSEGGGEDVEVRVTRSIMIKRPAGCPSPGNATPPASPAGSTPPISPFSGGREWNRFRRKSSSDAYERVVGGGGEGGGMVGLGSQNPSPPHEV >Ma08_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11376987:11379874:-1 gene:Ma08_g14260 transcript:Ma08_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPFLEESLIESIKAKKEEREKAKEVERRRVKKETKKGKRREEKRENVEQKSATSQYEGKSKHEEKSPSEQKDLYSQRTSNDAIEQLEGSGLTEEHELLSFTGHKYDSPESSQDSNKRRKLVSSICGHNKHGLGNILRIKLPLRSASSSRVDLPLQPSLRPPAMQTAGQVVVAKSKEMVHELPEVHEQPSVSGKDAKAAVPSHLSSDVIRRRTGQRGQFEDLIVNWNPPLSQLESLDVSSEWWHFGDPKRLSTPCTNECEAITVGGSSCGERRISLQQPRACYLPEFDAYQLPYVVPF >Ma08_p14260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11376987:11379860:-1 gene:Ma08_g14260 transcript:Ma08_t14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPFLEESLIESIKAKKEEREKAKEVERRRVKKETKKGKRREEKRENVEQKSATSQYEGKSKHEEKSPSEQKDLYSQRTSNDAIEQLEGSGLTEEHELLSFTGHKYDSPESSQDSNKRRKLVSSICGHNKHGNILRIKLPLRSASSSRVDLPLQPSLRPPAMQTAGQVVVAKSKEMVHELPEVHEQPSVSGKDAKAAVPSHLSSDVIRRRTGQRGQFEDLIVNWNPPLSQLESLDVSSEWWHFGDPKRLSTPCTNECEAITVGGSSCGERRISLQQPRACYLPEFDAYQLPYVVPF >Ma03_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6354823:6356520:-1 gene:Ma03_g08640 transcript:Ma03_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTENQDVQDNIPPAADTAPSAAKHTAAAAKGLDGQSVLKRLQSELMSLMMCGDPGISAFPEGDNIFCWKGTITGSKETVYEGMIYKLSFSFPTDYPFKPPKVKFETVCFHPNVDIYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNESPLNTQAAALWVNQEEFRKMVEKLYKPA >Ma09_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11352301:11353893:-1 gene:Ma09_g16010 transcript:Ma09_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFSVTRTSCSFVAPSEPTPKDTLILSVIDRVAGLRHMVRSLHVFKHGREPARVIKEALSKVLVQYHPFAGRFVDCEHGDVRVACTGEGAWFVEATANCSLEDVKCLDLPLMISKDELLPLPSPDIDPMNLPLQMQVTEFTCGGFVVGLISIHTIADGLGAAQFVNAIGEAARGLPTPTVDPVWAPEIIPSPPKLPPNGPPVLPAFKLLYDTMDVCLDAINQIKAQYLEHTGQRCSTFDVAIAKLWRARTRSIQLDPGADVHLCFFANARHLLQQVLPAAGGYYGNCFYPVAVTARSGQVAAAELVDVVKIIRDAKAGLPGDFAKWATGDFKEDPYELTFTYDSLFVSDWTRLGFLDVDYGWGKPLHVIPFAYFDFMAVGIIGAPPAPNKGTRIMTQCVEKEHMEAFREEMKSSAV >Ma05_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37055883:37056628:-1 gene:Ma05_g24960 transcript:Ma05_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRVLSPNEQDIQMMLAANVHIGARNCNFQMERYVYRRRPDGVFLINLGKTWENLQLVLPLKTHKISLFGLPDVMIKELY >Ma08_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8991383:8994048:-1 gene:Ma08_g11990 transcript:Ma08_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTLSFLLPLFLTSTTAFTPNTSYFLSCGSAHRDIIATDTPSRDFVPDSPFLSDSAKSSSVTNTSAAPSSPLYFTARIFTGSASYRFTINSSGTHILRLHFLPFSNRRYDLSAARFDVIALKRFVLLDDFSAPTSTVPTIKEYFLWVDSGELDVTFVPSSSSPLAFVNAIEVFTAPAYLIKDEDTLKDIGQVTHQALETVHRINVGGPKVTPANDTLWRTWIPDDDYLPYKNTSQVNSTDPDRITYKVDDTAEVAPPTVYSTARTMNIPSWMPASNPDFNFNVTWSFPVVAGYTYLVRTHFCDFIDNNSIIFVLYVGNLKTEIHSSFHTDSPSEAFYIDFDAEGPSAGMINISIGRSLDSSPWDANAILNGLEIFKVNDTFGSLDGIPNHSLNSSRTKKSGVAVAVVVLAVVGGLVLTSLLSLVLVFIRKRCRSKSLPLPLLPRYNLDLHIPLIDIKASTNGFDESLVVGSGGFGKVYKGVLADGTKVAVKRAMPGSKQGYPEFQTEILILSKIRHRHLVSLIGYCEEQSEKILVYEYMGKGPLRTYLYGSDKPCLSWKQRLEICIGAARGLHYLHASHSHTIIHRDIKSTNILLDDNYLAKVSDFGLSKLRPSCGETHVTTGVKGTFGYFDPEYFKTQKLTDKSDVYSFGVMLFEVLCARAVIDRSLSMEQVNLAEWALQWQRKGQLEKIIDHRLVGNINRNSLRKFGETAEKCVADYGVDRPTFADVLWNLEYALQLHVTELKREPHEDSGIVELLIPVAATRGVESTSLNVKQEDDMAGTGMGQSDSTESTVFSQLITGEGR >Ma08_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17092591:17096623:1 gene:Ma08_g16130 transcript:Ma08_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIYIYNIYIIIYIYIYIYIYIYIYIYIYIYIYIYIYILYIYI >Ma02_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22282020:22284362:-1 gene:Ma02_g14150 transcript:Ma02_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGGNRQGGGDEGIPPESLFLYGSGSGVGGNRTEEIGYGRGFELWQQHQQQIHRQQPQQQQQQLYISSTAGLLSFSDEPLQPGGSIERTVSLRDSGGGGMSCQDCGNQAKKDCSHMRCRTCCKSRGFQCSTHVKSTWVPAAKRRERQQHLAAAASSLQQQSQQLRIGGSTSGGGGDVGGSGGGEPSKRPREMTTHLSTVITTASSGGEVSFPAEVSSPAVFRCVRVSPLDDTEDEFAYQTAVSIGGHVFKGILYDHGPDVPTPSTPLALHGESSSSAAAASISTAAALATGLAAPSSSATAAASTGSMDPSALYPTPLSAFMAGTQFFPHHPRP >Ma05_p28370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39401272:39403071:-1 gene:Ma05_g28370 transcript:Ma05_t28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNRGAWTKEEDERLIAYIKVYGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPGLKRGNFTEDEDELIIKLHGLLGNKWSLIASRLPGRTDNEIKNYWNTQIKRKLIGRGVDPQAHGPANGCAGLAINPSRPRGVPVAVDEATVNPPSTGLAEDGHSSVTSMDDERCPDLNLDLSISPPCSEATEATPMSGPPCAPVICLCCHLGLQASEACSCQATPIRHVLRYHRSLEEEEEEEEQHIDWFVHGY >Ma07_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11798734:11801210:1 gene:Ma07_g15650 transcript:Ma07_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPLASSHRRWFQTFLGVSLEFHLPQLHHLNSYPSHITEDLCLSLLSLCSKPKSARQGICVHSPIIKLCFHDHLSLNNHLLSLYSKFCGVGTARKLFDELTSRDVVTWSTIISAFSRVKNHEEALGLFKCMLTRSPLTGPNEFIYSSAICCAASLRLLDLGAQIHAHVQKRGFETNAVVGSALLDVYSKCGRFQEASEIFASMDYRDVVSWTTMISALIEAKNWVGALRLYLLMIEGGTSPTEYTFAKLLRACGCFFGLRVGMMLHAHLVLWGMELNLVLKTALVDMYSKCHRMRDALKVFLQTADSDVMLWTTMISGHSQAERYSEAITMFRDMKDAGVTPNSFTYSGVLSACSSAPEPELGRQIHCRVIKAGLEDDVSVANALVDLYAKHSRDLDDTVRAFAAIVSPNIVSWTAFMAGLARHGLEQKVLLALVEMRLTGVEPNSFSLSTVLSSCNSVEALAHARKLHSYMIKTKVDASDLSAGNSLVDVYARFGRVDDAWAVAHTMMARRDVLTYTTMAKGLNQVGLHRRALDMIAYMHEEDLSIDGFSLACFLSATAGLAAMQSGKQLHGFSVKSGLLSWISVSNGLVDMYGKCGSVEEARRVFAAIEEPNVVSWNGLISGLASNSRFVEALSAFEDMRLARAQPDGITFLLVLYACSHGGLPDAGVEYFNSMQELHGVAPQQDHYVCLVDMLGRAGRLEEAACTIETMPFQPDALVYKTLLASCKQRSNMVLGECMARKALEIDPSDPAIYVLLAGIYDDAGNVEWAEQTRRMMRERAKRKSLGQSWL >Ma11_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22475406:22477635:-1 gene:Ma11_g17070 transcript:Ma11_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVRLRRCLPPLGLPLPRRLSAAPTADPAEPITAVAALSLLRSEKDPARVLSICRAAALSPNSYPDRTALSLAVSSLSAAGSPTSVRSLLDGLLSSTSAAVARPHVIVLFGQAGMLPDALRTFEASQTPSVRSLNALLFACILSKKHAEVPRIFYDFTISYGINPNLDTYNTVIKAFCESGSSRCFFSVLEEMVRMRIKPNLTTFSVALAGFYREQLFDDIRKVLWLMKKHKCHAGLSIYNVRILSLCKLKKSNEAKELLKEMRMRGMKPNWVTYDHLILGFCIEGCLDEAKVLFREMDKRGLVPESRCYFSLIYFLCKGGAFEMALEVCRKSMERNWVPCFSLMRELVNGLVSTSKFEEARDIIAKVKEKFPTNAEMWREVEGTLP >Ma10_p25460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33583176:33584663:1 gene:Ma10_g25460 transcript:Ma10_t25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTELGAHTVASHGVKVARFHLHDWIMLVLLGALELVFYVVDPFDRFVGKDMMADLKYPPKSTTVPFWAVPIFAVLFPCLVFVAIYFRRRDVYDLHHAILGILYSVLVSGMVTDAVKDAVGRPRPDFFWRCFPDGKEVYDSVTTRAICHGRKGMIKDGHKSFPSGHASWSFAGLGFFSWYLAGKIQAFDRRGHIAKLCLVFLPPLVACMVSISLVNDYLHHWGDVFAGGLLGIVAGSCCYLQFYPPPHHIHGWGTHAYLQVSTETRNGGRTWRTRGNTSESEVGLGTHNGSCEDEGGDASTADACFTRDMDAASMRDMLPEERV >Ma06_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13265948:13267735:-1 gene:Ma06_g19370 transcript:Ma06_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEEENPLQLPSPMAEARKHHKPSKQTSSPMTEASGASRTINRNCKSKKQAHKEAGNGSSWGSLRDLFSYRYQQEVEKKKKKKSCRKIGCSVSLCRMRDSSCVLSPEAVTAQVNKKLALSSSCNSSSRSLKAPCNDTSGAISTSFTSNSSASITASSSSSSLSSSLGGSFGAMHLRGFSGCYECHLTADPLIGPSRDASTRLTISPCPDCGEIFMKPDDLDLHQRAMHAASELSAEDTSRKIIEIIFRSSWLDKQASICKIDRILKVHNTQKTISRFEDYRDSIKSSCNRLQNKHPRCVADGNELLRFHCTTLACSLGLDGSTNLCRSIPRCSVCSILRDGFGVDEFGKIQTMATSGGAHAAAQVSSEGEKRAMLVCRVIAGRVKKSRDATEEYDSVAGPAATHSNLDELFVFHPNAILPCFVVLYRGS >Ma09_p29330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39794045:39805770:-1 gene:Ma09_g29330 transcript:Ma09_t29330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPILSVDSGSRPATSPDVFRSKLSKSTMDPRNPFASVTMGEEPFNFLRALCDGVIAGATAGVVVETVLYPIDTIKTRLQAAHGVSKIHWKGLYSGLAGNLAGVLPASGIFVGIYEPTKHKLLKVFPENLSAFAHLTAGAIGGVAASLIRVPTEVVKQRMQTGQFTSAPNAVRLIVAKEGIRGLYAGYSSFLLRDLPFDAIQFCIYEQLRIGYKIAAQRELSDPENAIIGAFAGALTGAITTPLDVLKTRLMVQGSANQYKGVISCAQMILKEEGPAAFFKGIGPRVLWIGIGGSIFFGVLERSKLVLSQRHFDQVLKP >Ma09_p29330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39794045:39805781:-1 gene:Ma09_g29330 transcript:Ma09_t29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPILSVDSGSRPATSPDVFRSKLSKSTMDPRNPFASVTMGEEPFNFLRALCDGVIAGATAGVVVETVLYPIDTIKTRLQAAHGVSKIHWKGLYSGLAGNLAGVLPASGIFVGIYEPTKHKLLKVFPENLSAFAHLTAGAIGGVAASLIRVPTEVVKQRMQTGQFTSAPNAVRLIVAKEGIRGLYAGYSSFLLRDLPFDAIQFCIYEQLRIGYKIAAQRELSDPENAIIGAFAGALTGAITTPLDVLKTRLMVQGSANQYKGVISCAQMILKEEGPAAFFKGIGPRVLWIGIGGSIFFGVLERSKLVLSQRHFDQVLKP >Ma00_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29818615:29821159:-1 gene:Ma00_g03560 transcript:Ma00_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKKASPEDEHPRKAFGWAARDSTGVLSPFKFSRRKNGDTDVTLRILYCGICHSDLHCIKNEWANTVYPVLPGHEIVGVITDVGSKVNKFKVGDKAGVGCMVNSCRSCPSCKQESENYCGGLILTYNSIDTDGTMTYGGYSDTIVVKEHFVVRFPESMPLDKGAPLLCAGITVYTPMKYFGLSEPGKHLGVVGLGGLGHVAVKFGKAFGMKVTVISTSPSKQKEATESLGADAFLVSRDSEQLKAAMGTMDGIINTVSAVHDIAPLINLLKPHGKMIMVGAPDKPLQLHVFPLIMGGRILAGSCIGGMKDTQEMIDFAAKHNVSADIELVRIDYVNKAMERLAKGDVRYRFVIDIANTLSAA >Ma09_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36166936:36171710:1 gene:Ma09_g24500 transcript:Ma09_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYATHPLLSSALFGTNLRPKTKPIPSLNPFLLPRRPSSVQSFLDRHNRKSRPVLPPSPTAAAAAAAFLLSSSLPPTAIADDVASVSPPPSSPPVQLEVASKPAPPASSNPFSQSLLTAPRPQASPDLLDGSQWRYSEFLNAVKRGKVERVRFSKDGGLLQLTAIDGRRAAVVVPNDPDLIDILAMNGVDISVSEGDGGNGLFNLIGNLIFPFLAFAGLFFLFRRAQGGPGGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGEEKVTTGASNDFMQVSRVARQMVERLGFSKRIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDSEVRELVERAYARAKQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYVA >Ma05_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7971895:7972173:-1 gene:Ma05_g10970 transcript:Ma05_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATRTKQELAAEECCVCLSRLEEDEYVTRQLPCGHLFHRECIGTWLSSCNRSCPLCRRSVDVKSTSMAAQQQQLTEELVIWFSSFHIPGF >Ma02_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24854506:24857963:-1 gene:Ma02_g18340 transcript:Ma02_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFRFLLLFFFLGVVAAESPQTILRTTTQAVAASAFAYDHLYCDSWRLSVETNNARFWRTVPFRCSEFVQEYMSGARYASDTDIVVDESLAFARSVQIANDGNDAWIFDVDETLLSNVPYYAINGFGTKVFNETSFNEWVDKARAPALAASLRLYEELLVSGFQIILLTGRNEAQRKVTVENLLHAGYHSWRSLIFREDSDMGKPAVVFKSGRRAELEGQGFRILASSGDQWSDLLGFPMAQRSFKHPNPMYYIG >Ma02_p01300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9387981:9391143:-1 gene:Ma02_g01300 transcript:Ma02_t01300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIRKPYTITKQRERWTEEEHRRFLEALQLYGRAWRRIEEHIGTKTAVQIRSHAQKFFSKVVRESGSGDSTGTLKAIEIPPPRPKRKPVHPYPRKLCNLLNKGTPALKQLQRPPLQISAICEHENRSPTSVLSAVGSETLESTLSNGQMGCSSPVTSAAGSNDQDDGGQSLTVTDEADIKLPHSGPAFSGLTVQDQPRMEIDQCRHHAHALDESRLPTLKLFGKMVVVTDPNMSSAPPTAGDLAQPNLISSVGIKGDQEKKAECLPSTRGVSPGDLTASAGNTYSGLVPPLLYFFPLFGHNSTEPAFRPPSWWATRGNLPIPLVHSLPECPQQFNQEMQREGSWTGSNTACISGTSPSNQNADGVGSRKEANSAQNGTMPLLRQQLSSVQASTSGTVGSARGFAPYKRCTVESEVQHAVADPDDGGSQAIRLCL >Ma02_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9387981:9391143:-1 gene:Ma02_g01300 transcript:Ma02_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEQRGSTSLHPVSSPYHKMHVDVKISTTSIPQTKEIETSGEEQAPRIRKPYTITKQRERWTEEEHRRFLEALQLYGRAWRRIEEHIGTKTAVQIRSHAQKFFSKVVRESGSGDSTGTLKAIEIPPPRPKRKPVHPYPRKLCNLLNKGTPALKQLQRPPLQISAICEHENRSPTSVLSAVGSETLESTLSNGQMGCSSPVTSAAGSNDQDDGGQSLTVTDEADIKLPHSGPAFSGLTVQDQPRMEIDQCRHHAHALDESRLPTLKLFGKMVVVTDPNMSSAPPTAGDLAQPNLISSVGIKGDQEKKAECLPSTRGVSPGDLTASAGNTYSGLVPPLLYFFPLFGHNSTEPAFRPPSWWATRGNLPIPLVHSLPECPQQFNQEMQREGSWTGSNTACISGTSPSNQNADGVGSRKEANSAQNGTMPLLRQQLSSVQASTSGTVGSARGFAPYKRCTVESEVQHAVADPDDGGSQAIRLCL >Ma02_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20525360:20525962:1 gene:Ma02_g11290 transcript:Ma02_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEGWHQQTMKGTDTLMVPSNERQQVTIDALAGMVNLSEFGDDIQIDI >Ma05_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36200669:36202152:-1 gene:Ma05_g24010 transcript:Ma05_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAYRLHARLIKTLDLTALHSFLLHSVHHPSTLPYVRAAFVRHQPSLLRHSAAFPFNTLIRASAADPSDALAAFSLMRAAAVPPDLFTFPLLLKACSLLPFSCADGPRRHPGVAAHALAVKHGLSADLYVANTLVRLYSGFALLDAALQIFVEMPLRDAVSWSALISSLASNGHDETALDAFRLMQACSPDAAPDEVTVITVITAVAHLGAPDLAAWVDRYVARRGFALTAKMGTALITMHSRCGSMDRAARVFDGMPQRERGVRAWTAMIVGYAAHGRSAEALGAFDRMVGDAGLRPDHVALIGVLTACSHGGLLEEGWRVFRSMERTPGMEPRMEHYGCMVDMMGRGGRVREAYDFVEGMPVRPNAVIWRTLLGACVSHGDVALAEQVKRRIAETEPGHDGDYVLLSNAYGWIGRWEEKDRVRCAMRVAGVAKRPGCSSLRV >Ma01_p16580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12014228:12019545:-1 gene:Ma01_g16580 transcript:Ma01_t16580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGILLSLKVFLILSLVEDRPVLAATIGSGDCQPQRCGNQTIKYPFWLSNKQPSYCGVPPFNVTCISSGGLAETLSFYVFDGLYHVKNIFYENKSVQITAAGFEDDRCPLPTFNITSGLYPFDLSSANKRIFFLSNCSSSMNLSAFQNISCAADGGLAYFGGEYNGSVKLNFSGGVCKLFVVPVVGYIDVGIDVNYSALLRTGWLLNWTAPDCTECSDSGGQCGFNDTTSKFMCICPDRVHTRKCGVSVSAGFLALACAVGFLIYQYKKRKMNSTSSKFLTRNASSSHMNTEVGAHFQTHLFSYGELMAATDCFDTSRELGDGGFGTVYKGKLRDGRTVAVKRLYERNYKRVEQFMNEVEILSLLRHQNLVSLYGCTSHRSRELLLVYEFVPNGTVADHLHGSRASERILTWPMRLNIAIETADALAYLHAVDPPIIHRDVKTNNILLDSSFHVKVADFGLSRLFPVNATHVSTAPQGTPGYVDPEYHQCFQLTDKSDVYSFGVVLVELISSKQAIDITRQRSEIHLANMAITKIQNHELEDLVDPELCCPSDEVTKMMITMVAELAFRCLQSDGDMRPPIKEVLEGLRAIESKTYKTDKREKNDVWTQDDATGVRNIAVFSPDCVTGKWVSQSTTPNTSQ >Ma01_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12014228:12019545:-1 gene:Ma01_g16580 transcript:Ma01_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGILLSLKVFLILSLVEDRPVLAATIGSGDCQPQRCGNQTIKYPFWLSNKQPSYCGVPPFNVTCISSGGLAETLSFYVFDGLYHVKNIFYENKSVQITAAGFEDDRCPLPTFNITSGLYPFDLSSANKRIFFLSNCSSSMNLSAFQNISCAADGGLAYFGGEYNGSVKLNFSGGVCKLFVVPVVGYIDVGIDVNYSALLRTGWLLNWTAPDCTECSDSGGQCGFNDTTSKFMCICPDRVHTRKCAHSRRTKHIIIGVSVSAGFLALACAVGFLIYQYKKRKMNSTSSKFLTRNASSSHMNTEVGAHFQTHLFSYGELMAATDCFDTSRELGDGGFGTVYKGKLRDGRTVAVKRLYERNYKRVEQFMNEVEILSLLRHQNLVSLYGCTSHRSRELLLVYEFVPNGTVADHLHGSRASERILTWPMRLNIAIETADALAYLHAVDPPIIHRDVKTNNILLDSSFHVKVADFGLSRLFPVNATHVSTAPQGTPGYVDPEYHQCFQLTDKSDVYSFGVVLVELISSKQAIDITRQRSEIHLANMAITKIQNHELEDLVDPELCCPSDEVTKMMITMVAELAFRCLQSDGDMRPPIKEVLEGLRAIESKTYKTDKREKNDVWTQDDATGVRNIAVFSPDCVTGKWVSQSTTPNTSQ >Ma01_p16580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12014228:12019545:-1 gene:Ma01_g16580 transcript:Ma01_t16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGILLSLKVFLILSLVEDRPVLAATIGSGDCQPQRCGNQTIKYPFWLSNKQPSYCGVPPFNVTCISSGGLAETLSFYVFDGLYHVKNIFYENKSVQITAAGFEDDRCPLPTFNITSGLYPFDLSSANKRIFFLSNCSSSMNLSAFQNISCAADGGLAYFGGEYNGSVKLNFSGGVCKLFVVPVVGYIDVGIDVNYSALLRTGWLLNWTAPDCTECSDSGGQCGFNDTTSKFMCICPDRVHTRKCEIVPDVAAHSRRTKHIIIGVSVSAGFLALACAVGFLIYQYKKRKMNSTSSKFLTRNASSSHMNTEVGAHFQTHLFSYGELMAATDCFDTSRELGDGGFGTVYKGKLRDGRTVAVKRLYERNYKRVEQFMNEVEILSLLRHQNLVSLYGCTSHRSRELLLVYEFVPNGTVADHLHGSRASERILTWPMRLNIAIETADALAYLHAVDPPIIHRDVKTNNILLDSSFHVKVADFGLSRLFPVNATHVSTAPQGTPGYVDPEYHQCFQLTDKSDVYSFGVVLVELISSKQAIDITRQRSEIHLANMAITKIQNHELEDLVDPELCCPSDEVTKMMITMVAELAFRCLQSDGDMRPPIKEVLEGLRAIESKTYKTDKREKNDVWTQDDATGVRNIAVFSPDCVTGKWVSQSTTPNTSQ >Ma09_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:22483012:22511793:-1 gene:Ma09_g19290 transcript:Ma09_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMETDVMPECLISFVDSGTVESHRYFLARRTLLEMLRDRGFPIPDDDLAISLPAFRARFGDNPKLEDLRISTTIPSNPRKKLLVIFCGIEPFKLSTVREIYSWVSKEHLTGLILVLQSKMTSKARELTEEIFKFRLEIFQITELLVNITKHVLKPRHVLLAAEEKEKLIAKYNVEDIQLPRMLKSDAVARYYGFEKGQVVKVTYNGELTGHHETYRCIV >Ma07_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8151352:8155317:-1 gene:Ma07_g10990 transcript:Ma07_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MAAGVERGRGGAGGGGNPLKRLKWSQEDEMELEEEEEEMAGLGRFYYPDCPSAFVVSDAVEPDFPLIYVNTVFENATGYRADEVLGRNCRFLQFRDPRAQRRHPLVDPMVVAEIHRCLEEGIEFQGELLNFRKDGTPLVNRLRLTPIYGDDGFVTHIIGIQLFSEANIDLNRLSYPVFKQTSFIKSYHQDPKFSIPETNSNNQNRDYCGILQLSDEVLAHNILSRLTPRDVASIGSACIRMRQLTKNEHLRKMVCQNAWGREVTIRLELSTKRLGWGRLARELTTLEAASWKKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGINMQPMDDTFVLNLESANPEWHRVKVTSSPPGRWGHTLSCLSGSWLVVFGGYGSQGLLNDVFVLDLDAQQPGWKEVFGEAPPLPRSWHSSCTVGGSKLVVSGGCTSAGVLLSDTFLLDLTREKPTWREIPSPWVPPRLGHTLSAYDKTKILMFGGLAKSGSLRLRSCDTFSIDLEDDEPQWRQLATTGFPGTGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPSQLFLLDPLEDEPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPEGDP >mito3_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1818173:1820911:1 gene:mito3_g00240 transcript:mito3_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSLAPKISISANMSRVSMVGSYGGTLQQIFFFCSIASMILGAVAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQALLIYIWIYASMTMDAFAIVPALRQTRVKYISELGVLAKTNPISAITFSITMFSYAGIPPLAGFCSKFYMFFAALGCGAYFLALVGVVTSVIGRWAAGRLP >Ma05_p01540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:935442:940759:1 gene:Ma05_g01540 transcript:Ma05_t01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLVLFVLCSIGGALSEESPDVTIIVKGSDLAAETDDTFVCATLDWWPHDKCNYNQCPWGQSSVLNLDLSHPFLANAIQAFDRLRIRVGGSLQDQVVYGVPSLEYPCLPFSKMSGGLFGFSKACLSIERWDELNLLFQKTGAIITFGLNALRGRHRVHNGLWAGDWNSTNTRDFIEYTISKGYEVDSWEFGNELSGRGIDARVSAEQYAKDLVVLRALLKELYEDSNTQPMLVAPGGFFDQQWYAQLLQDSGLGVVNVMTHHIYNLGGGDDSHIESKIMDPQYLSRVADTFRNLQLTIERHGPWSSAWVGEAGGAFNSGSRLVSNTFLNSFWYLDQLGMASKYNTKVYCRQTLIGGNYGLLDTDTFIPNPDYYSALLWHRLMGKGVLSVDISGSSYLRAYAHCRKGKAGISLVLINLSKSTKFSVTVRNDLNVILAEGEGIHKENSFIHGLKRTFSWVGKKASDESEKREEYHLTGKDDNHLSRTALLNGTPLELTEDGDIPPLDPVHVPTNSPVDVSPLSIAFVVFPNFEAQACA >Ma05_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:935299:940759:1 gene:Ma05_g01540 transcript:Ma05_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLVLFVLCSIGGALSEESPDVTIIVKGSDLAAETDDTFVCATLDWWPHDKCNYNQCPWGQSSVLNLDLSHPFLANAIQAFDRLRIRVGGSLQDQVVYGVPSLEYPCLPFSKMSGGLFGFSKACLSIERWDELNLLFQKTGAIITFGLNALRGRHRVHNGLWAGDWNSTNTRDFIEYTISKGYEVDSWEFGNELSGRGIDARVSAEQYAKDLVVLRALLKELYEDSNTQPMLVAPGGFFDQQWYAQLLQDSGLGVVNVMTHHIYNLGGGDDSHIESKIMDPQYLSRVADTFRNLQLTIERHGPWSSAWVGEAGGAFNSGSRLVSNTFLNSFWYLDQLGMASKYNTKVYCRQTLIGGNYGLLDTDTFIPNPDYYSALLWHRLMGKGVLSVDISGSSYLRAYAHCRKGKAGISLVLINLSKSTKFSVTVRNDLNVILAEGEGIHKENSFIHGLKRTFSWVGKKASDESEKREEYHLTGKDDNHLSRTALLNGTPLELTEDGDIPPLDPVHVPTNSPVDVSPLSIAFVVFPNFEAQACA >Ma07_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12637367:12637582:-1 gene:Ma07_g16120 transcript:Ma07_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFLGYNESQSIIWRKLFSWITRKHMLTLMSIFSCANKSHREDICKTNPNPSMKYRNLYDEQRTSRHFDL >Ma08_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2558540:2566293:-1 gene:Ma08_g03530 transcript:Ma08_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQFYGLSGTDISYEFPSPRNLACAEWTFDSIKLAIGNSLSSPFSSQFECDNFSAISDGKGQTEWYSSPLDNDGALMQSQVRLLPDTQVPSESVHAVSSQRIRYALQEIETVLMAPDTCEPIDPDLNKDKPPQLRKQGSRTRTREVQFESSDPAQPQSLSVTYLDMSHEIRPEKRLKKIKFAGGDVKQLLIKCAEALSENKIDKFELLVEEARGVVSISGEPIQRLGAYMLEGLVARHESSGTSIYHALRCREPESKELLSYMRILYDICPYLKFGYMAANGAIADALKTENRIHIIDFQIAQGTQWITLIQALAVRPGGPPHVRITGIDDPTSEYARGDGLQLVGKMLSDMSKKFNIPLEFKGLTVYGPEVTREMLEIRPGEALAVNFTLQLHHTPDEGVDVNNPRDGLLRMVKGLSPRVTTLVEQESNTNTTSFLTRFMETLEYYSAMLESIDATLPRDSKERINVEQHCLAKDIVNIIACEGKERVERHELLGKWRSRLSMAGFKPYPLSSYVNSVIKTLLGYYSDKYTLVEKDGAMLLGWKNRNLISASAWH >Ma11_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5440967:5443120:1 gene:Ma11_g06780 transcript:Ma11_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVDGGWLVSLQVAAKCGGLSADPARLLSLAVAVAICCLATLLLHWASPGGSAWGRYWWSRRRPWGLGHAIPGPRGLPVLGSMRLMSGLAHRKLAAMADVIPGSRRLMALSLGDTRVVVTCDPEVARDILNSPDFADRPANESAYGLMFHRSIGFAPYGAYWRALRRIAATHLFSPKQTSAFARHRADIAGQMVRALNGLVSRPVQVRRILKQASLNHVMWFVFGRQYELEQDTEEMRELRSLVEEGYELLGQLNWSDHLPMLTGLDLQRVRSRCSGLVRRVDRFVTRIIEEHRVARARDSEAAPAPRDFVDVLLSLQGPDKLSDTDMVAVLWEMIFRGADTVAVLIEWVLARLVMHGEVQARAQAELDAVVGEDRDVTRTDEAGLTVAHLPYLQGVIKETLRMHPPGPLLAWARMATSDALVGGALVPAGTTAMVNMWAIARDPAVWPDPLRFDPGRFTDQAAEFPVMGTDLRLAPFGAGRRSCPGKGLAMATVELWVAALAHEFEWLPPDDGAATRELDLSEVLRLSCEMAVPLTVSLRPRRGLA >Ma04_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23347447:23349566:-1 gene:Ma04_g20570 transcript:Ma04_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSPPPAPFPDLNLNLAPFPKVEPKPEPPDHHPALPGPIGLLDPLHPTSGPSPSPNHDAVDDERAALFAEYLRLARLFAAAAASGDDHHHLSLVTAPTLAPTRTANSSAVVARKKRKIRSADMVRASTLGLRDRLYFRDVVRRTRITFDSLRSLLLRDENKGDAFEAIWGKRSRADLKAATLMGDRDLWLNRDRRIIGAIPGITIGDVFFFRMELCVLGLHGQSQAGIDYVPASRSSTGEPIATSIIVSGGYEDDEDSGLVLVYTGHGGRGPSMFKHCTDQKLEGGNLALERSMKYGIEIRVIRGIKSNRSPIGKIYVYDGLYKIVNCWMDVGKSGFGIYKYKLLRIEGQEEMGSGILKLAEDLKVNPLSMRPAGYLSLDISMGKEKFPVSLFNDIDDDREPLLFEYLTSPVFPVEAFQGKANADAGNGCECISNCSASCYCAQKNGGEFAYGGHGILSRGKPLIYECGTLCQCPPNCPNRVSQKGVKHQLEVFRSKETGWGVRSLDLIQAGEFVCEFSGIVLTRQQTEILSTKGHCLVHPGQFPGRWVEWGDISDVLPNYVSPNFPCLPGLNFSIDVSRSRNVACYLSHSCSPNVFVQYVLFDHNNVLYPHVMIFAMENIPPLRELSVDYGIGDEPVGKLTM >Ma02_p20790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26635767:26643277:-1 gene:Ma02_g20790 transcript:Ma02_t20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYTCCLCFTRRFRSSEAQPPADVRAAFATYAEGAAHMTADQLRSFMSEAQGGDGADADAERVMEQALLLRQRQPLLGKLAKPAFTVDDFYNYLFSEELNPPLGSQVHQDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIKALQDGVRVIELDMWPNATKDDIDILHGRTLTSPVELIKCLRSIKEHAFSASPYPVIITLEDHLTPDLQAKVAEMVVETFGDMLYYPDTESLKEFPSPEALKERIIISTKPPKEYLEAKNVEENDDGTQEEKESDEEAWGKEVPDLQTELEYAKVLINDHEDVDDGVDSDDDDQKKPQSSPLEYKSLITIQAGKPKSDMSEALRVDPNKVKRLSLSEKELVKAAPSHGSDLVRFTQKNLLRIYPKGTRVNSSNYNPFIGWIHGAQMVAFNMQGYGRSLWLMHGFYKGNGGCGYVKKPDFLLEHGSDNDVFDPKADLPVKKTLKVKVYMGDGWHADFKQTHFDSYSPPDFYTRVGIAGVPADTTMKKTKAVEDNWTPVWDEEFIFPLTVPELALLRIEVHEHDKSGKDDFAGQICLPISELRRGFRAVPLFDRKGMKFKSVRVLMRFEFV >Ma02_p20790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26635767:26643277:-1 gene:Ma02_g20790 transcript:Ma02_t20790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYTCCLCFTRRFRSSEAQPPADVRAAFATYAEGAAHMTADQLRSFMSEAQGGDGADADAERVMEQALLLRQRQPLLGKLAKPAFTVDDFYNYLFSEELNPPLGSQVHQDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIKALQDGVRVIELDMWPNATKDDIDILHGRTLTSPVELIKCLRSIKEHAFSASPYPVIITLEDHLTPDLQAKVAEMVVETFGDMLYYPDTESLKEFPSPEALKERIIISTKPPKEYLEAKNVEENDDGTQEEKESDEEAWGKEVPDLQTELEYAKNDHEDVDDGVDSDDDDQKKPQSSPLEYKSLITIQAGKPKSDMSEALRVDPNKVKRLSLSEKELVKAAPSHGSDLVRFTQKNLLRIYPKGTRVNSSNYNPFIGWIHGAQMVAFNMQGYGRSLWLMHGFYKGNGGCGYVKKPDFLLEHGSDNDVFDPKADLPVKKTLKVKVYMGDGWHADFKQTHFDSYSPPDFYTRVGIAGVPADTTMKKTKAVEDNWTPVWDEEFIFPLTVPELALLRIEVHEHDKSGKDDFAGQICLPISELRRGFRAVPLFDRKGMKFKSVRVLMRFEFV >Ma02_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26635767:26643277:-1 gene:Ma02_g20790 transcript:Ma02_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYTCCLCFTRRFRSSEAQPPADVRAAFATYAEGAAHMTADQLRSFMSEAQGGDGADADAERVMEQALLLRQRQPLLGKLAKPAFTVDDFYNYLFSEELNPPLGSQVTVHQDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIKALQDGVRVIELDMWPNATKDDIDILHGRTLTSPVELIKCLRSIKEHAFSASPYPVIITLEDHLTPDLQAKVAEMVVETFGDMLYYPDTESLKEFPSPEALKERIIISTKPPKEYLEAKNVEENDDGTQEEKESDEEAWGKEVPDLQTELEYAKNDHEDVDDGVDSDDDDQKKPQSSPLEYKSLITIQAGKPKSDMSEALRVDPNKVKRLSLSEKELVKAAPSHGSDLVRFTQKNLLRIYPKGTRVNSSNYNPFIGWIHGAQMVAFNMQGYGRSLWLMHGFYKGNGGCGYVKKPDFLLEHGSDNDVFDPKADLPVKKTLKVKVYMGDGWHADFKQTHFDSYSPPDFYTRVGIAGVPADTTMKKTKAVEDNWTPVWDEEFIFPLTVPELALLRIEVHEHDKSGKDDFAGQICLPISELRRGFRAVPLFDRKGMKFKSVRVLMRFEFV >Ma02_p13250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21731073:21736612:-1 gene:Ma02_g13250 transcript:Ma02_t13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWGPVGDVASVMQLVGVDAVSLIRMIIKVASDARMHRKNCRQFAHQLKLVGNLLEQLRISELRKHRSTREPLELLEDALRRSYILVNSCQDRSYLYLLVMGWDIAKQLGSAQAEIARYLDLIPLITLVDIQRVKERKENIRGDQHEYPLDGVEKMVQDPLSNPGRLIAETENNTKITNSNKHGLGINDVFCRIFKFKELQSATMNFSDENLLGQGGFGVVYKGWISAISLNAATSGRGFLKKGKEANSGLGTAIAVKRLSRFSRQGLPEALTEVQFLGKLSHPNVIKLFGYCSKEKKKKILLVYEFMNNGILAAHLFQNSQPLSWDLRIKIAVGAARGLAFLHASFIIHRDVKSSNILLDSAL >Ma02_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21730505:21736638:-1 gene:Ma02_g13250 transcript:Ma02_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWGPVGDVASVMQLVGVDAVSLIRMIIKVASDARMHRKNCRQFAHQLKLVGNLLEQLRISELRKHRSTREPLELLEDALRRSYILVNSCQDRSYLYLLVMGWDIAKQLGSAQAEIARYLDLIPLITLVDIQRVKERKENIRGDQHEYPLDGVEKMVQDPLSNPGRLIAETENNTKITNSNKHGLGINDVFCRIFKFKELQSATMNFSDENLLGQGGFGVVYKGWISAISLNAATSGRGFLKKGKEANSGLGTAIAVKRLSRFSRQGLPEALTEVQFLGKLSHPNVIKLFGYCSKEKKKKILLVYEFMNNGILAAHLFQNSQPLSWDLRIKIAVGAARGLAFLHASFIIHRDVKSSNILLDSNFNPKLSDFGLAMDGPTARDSNVEADLCGTFGYLAPEYFESGVVNHKIDVFGFGVVLLEMLSGKGACQILLAGKENSQTSQPSEENSQTSQPSEENSQTSQPSEETLEYVHQPTGQSFQEIFISSCLTDYTKLHRMMDPSLNGQYPLRAAFLVAQLARSCVGADPTGRPSMEEAVTTLEQIQTMGMSPTNLGPTNVSDPCPFLHDIHFSDSRVMVRGPAPFDADVELSFSNCK >Ma07_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30582671:30593196:-1 gene:Ma07_g22780 transcript:Ma07_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAESPPTSVPSTTDATDSTSVIARYSSRFWRILRDRLHFRGPPLFGQISRVHRRHRRRKHGLPLPMRSNAVRSFSIAARASRASFMLEDIMECILCNLHKIQKSLHFWQSRAEGTNAQKIYFMIFERGPRALIDGTYEMLSRLGTNGSPFQHLSHAASNTICHNIAILTSLQHCLANSLAQVYSEVDKYGEMLIEDADKSLPLVLEAINSLFLKLEASIGHAHYIYKGDKSLVYQNGSSVLHLEKIPEEHLAKSQWTDIEIRDATDLIYQNLQRLELYLSLILSTCQKPKRLTLYWLRYTCGAVGLTLCSVWFLRHSSFMGSPDIDNWIHEAKESAAGFLKDHVEQPLISIRDELFETFRKRQKGVMEMEEVQLTADSLHRMLLAFCEQTKSQKLPENIPDQEMLEIVMARYEKEVMHPLQNLLSGELARAMLIQIQKLKLDLETAMLELDQILRANEINFAVLAALPAFFLSLVLLMLVRAWVMQDKSAEGRGRVARRQRRLLVVEVERRLVQFQTCMDQGKEEDARCIYGMVLYSLDRLYKAAERHAKETGEWMSLQQDILDLAKPNLETIYKLTVTSRMGQMYDCLLPSSKRQ >Ma10_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30018389:30020067:-1 gene:Ma10_g19490 transcript:Ma10_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGEAWRSVKPYLVMVFLQLGYAGMFVVSVVICTKYTSASFSSALYNILPAVTFVSAIVLRMERVKIKERRSQAKIVGTLVTVIGAMIMILYKGPIDSTPDSSPAVYSGVMCSGVAYYLQGVVMKERGLVFVTAFNPLCMVIVAVMGSIILAEEISLGRVIGAVIIVIGLYALIRGMSSDRSTQPSEDGEMIPLNIKKYLKYYYGLDICHQIFEVKY >Ma06_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3752991:3758493:1 gene:Ma06_g05020 transcript:Ma06_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLEQGTMASRETSSLFFGVQKSPVQSQPPAMQSMRLAYVADGTAIFKPISSSSPAPPRPSPPPYQGGGDGGENAGAGDGSSAVITPHGLNINVGEPVKRKRGRPRKYGPHGLALNPLSTAASVLPVAGAFSPPAGMANPADATKKPRGRPPGSGNKKQKLGALGSSGTGFTPHVITVTAGEDVFSKIMSFSQHGSRAICILSANGAISNVTLRQPATSGGTVTYEGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGPDGRVLGGGVAGLLMAASPVQVVMGSFIPDGKKGSKQINPVDPTSAPGKLAADGMTGASSPLSRGTMSESSGGPGSPLNQSTPVCNNSNQQGLSNIPWK >Ma06_p05020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3752986:3758493:1 gene:Ma06_g05020 transcript:Ma06_t05020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLEQGTMASRETSSLFFGVQKSPVQSQPPAMQSMRLAYVADGTAIFKPISSSSPAPPRPSPPPYQGGGDGGENAGAGDGSSAVITPHGLNINVGEPVKRKRGRPRKYGPHGLALNPLSTAASVLPVAGAFSPPAGMANPADATKKPRGRPPGSGNKKQKLGALGSSGTGFTPHVITVTAGEDVFSKIMSFSQHGSRAICILSANGAISNVTLRQPATSGGTVTYEGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGPDGRVLGGGVAGLLMAASPVQVVMGSFIPDGKKGSKQINPVDPTSAPGKLAADGMTGASSPLSRGTMSESSGGPGSPLNQSTPVCNNSNQQGLSNIPWK >Ma11_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3324869:3326586:-1 gene:Ma11_g04210 transcript:Ma11_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFQRLGTSIDVPNVQALAASIANPADVPPRYVRPEAKADPVVSDGDSELPVIDFSRLLHRRFSREESAKLHHACADWGFFQLINHGVPDQAMEKMKADIVEFFKLPLEQKKAFAQLPNSLEGYGQIFVVSDDQELDWADIMYLITRPLQSRNIDLWPAQPLTFRDSLSCYSMELKGVAGTLLEVMAKNLGVAPEEFSTIFQDQPQGVRINYYPPCPRADEVLGISPHTDGSGLTLLLQVNDVVGLQIRKGGNWFPVKPLPGALIANIGDIIEILSNGVYKSVEHRAIINAKKERHSIATFHGPREDLMVGPLSEIVKECKPKYVSMSYKEFMKTYFSAKLEGRSLMESLKL >Ma11_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6459698:6465020:-1 gene:Ma11_g08100 transcript:Ma11_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEAGEDAKNAGDGSPTAEQEDKEQGDGSSAAPHEAGGEESKEEEGGDVAKEKVEGEKRKRGRKRKAALDKSGEEGEGMPKERKRRSVVVREGATPVERPSRERKTVERFSEMSLPRSPVPKTLSFKQGSGEKLKDIPNVFFKLSKRKADDNLQALHGILFGRKANVHYLKRNILQFSGFVWSENEAKQRARFKEKLDKCKKERLLDFCDLLDIHAVKASSKKEEIIANLMEFLESPCVTRDVVLAEKEEKKRGRAKGRSHSTPGEASSDRRIKKQRKSHKQSAQDENEDNEEGASVDTKEASSDGEGDGHALEESDRDLDHEENEEDEQEEPEATNKSEDEPNSTHGNKKGSSKEMNKQDSRASTEEKSAMRKNSAKVTKSALSSPRKSDPVDDSDSEPRSTTKSKQERGRKGRAIEKPPATKENTRKKRSAKSESKVTEKKRGKVKTSKVTNSEPSTEELHAVVSDILKEVDFNTATLADILRQLGARFKMNLMDRKAEVKRIIEDVINSMSDDEDGEDEDRAEDAKEDDTKEGSDDGDGDK >Ma11_p08100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6459698:6465020:-1 gene:Ma11_g08100 transcript:Ma11_t08100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEAGEDAKNAGDGSPTAEQEDKEQGDGSSAAPHEAGGEESKEEEGGDVAKEKVEGEKRKRGRKRKAALDKSGEEGEGMPKERKRRSVVVREGATPVERPSRERKTVERFSEMSLPRSPVPKTLSFKQGSGEKLKDIPNVFFKLSKRKADDNLQALHGILFGRKANVHYLKRNILQFSGFVWSENEAKQRARFKEKLDKCKKERLLDFCDLLDIHAVKASSKKEEIIANLMEFLESPCVTRDVVLAEKEEKKRGRAKGRSHSTPGEASSDRRIKHLLMCRNKERATSNQLKTRTRIMKRVLQLTQRKHLVMAKVMGMHWKRVIVIWIMKKMRKMSKRSLKPLIKDEPNSTHGNKKGSSKEMNKQDSRASTEEKSAMRKNSAKVTKSALSSPRKSDPVDDSDSEPRSTTKSKQERGRKGRAIEKPPATKENTRKKRSAKSESKVTEKKRGKVKTSKVTNSEPSTEELHAVVSDILKEVDFNTATLADILRQLGARFKMNLMDRKAEVKRIIEDVINSMSDDEDGEDEDRAEDAKEDDTKEGSDDGDGDK >Ma01_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13020177:13021906:1 gene:Ma01_g17760 transcript:Ma01_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENLEVSAVTNIQALDEQQEESPKERILDSSNPNAMNVIVVAAECGLGDVVGELPKALARRGHRVMVFDPYGNYAEPKGVGVLEKVQGLGGYILSQAYIDCVDFDFIDSQTFTKMHRFYYHEPLASIINSAKMNYSFLLFQVPLHVPCGVRCGDGNLGFIANDWHTSLLPVYSKASYRDIGLMKYAPSLFVIHSSS >Ma06_p32030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33003126:33005577:-1 gene:Ma06_g32030 transcript:Ma06_t32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNVVPADVVAAIAVASSYPLFPYPPPRAGGRKKYLSQLELRGGRISAWVDSMKASSPTHDKGVVALSGAPPSVDACLDEQSAWVMRHPSALTKFEHIMSASKGKQIVMFLDYDGTLSPIVDDPDSAFMSDAMRAAVRDVARYFPTAIVSGRCLDKVINFVRLANLYYAGSHGMDIKGPKKPRHTKARAKPVVFQAASEFLPMIQAAYKALSERTKSTAGVKVEDNKFCVSVHFRCVDEKSWSLLFEQVRSVLKEYPKLWLTQGRKVLEIRPTINWDKGKALEFLLESLGFADCKNVMPIYIGDDRTDEDAFKVLRDRGQGFGILVSRFPKETNATYSLKEPSEVKDFLVRLVEWNRLSMKAR >Ma09_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36085732:36094113:1 gene:Ma09_g24430 transcript:Ma09_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGSPSSRPLSRHQLLPQHRRSNHVPLTPVASRMNGGTSGSGLLSPLFIFFIVVVLQTLDGLLDFVKRKGIHSAEEVQLRKEIKQLLKEASSLSTPSTFAQSAKLRRLAAAKEKELLRKQEEHRKEKSWFYELCGRVLLVIKVLLYATLVLQFWGVPVAAVPHHLLQPFGKILSWRAGNSATGQIMVGMVPWLILTSRVSKFLWQKLSKGFLNY >Ma09_p24430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36085778:36094113:1 gene:Ma09_g24430 transcript:Ma09_t24430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGTSGSGLLSPLFIFFIVVVLQTLDGLLDFVKRKGIHSAEEVQLRKEIKQLLKEASSLSTPSTFAQSAKLRRLAAAKEKELLRKQEEHRKEKSWFYELCGRVLLVIKVLLYATLVLQFWGVPVAAVPHHLLQPFGKILSWRAGNSATGQIMQILVAKTLKRISQLLILEMTLPNLRS >Ma01_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8004956:8008952:-1 gene:Ma01_g11100 transcript:Ma01_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGAPADVDDPAKSSDRCSEAAAALRKKRRRGVVARLWRRIFGDDDDDFQKRLEHLSKEEALVLARLKRRAHSSRKTARSIVLLSVILEVVAVSYAVVTTRSEDLDWKMRAIRVLPVFVLPGLSTVIYSALVSFTRILDYKDHKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDLDPAAKAAAASVLASKLGADSGLRFHVGDESNVSASGKSYDAELVQSAGLRNRKPSQALCHSTGSSTTSEFIDETLNEYIADTQEIGSPNQRVVERLEGSALGDRGWLARVAALLVGEDPTQCYALICAHCHMHNGLAKEEDFSYITYYCPHCHGLNGSRRSEEHEMGLSSGKDTPTSSLEGDNKRTVASSLAAVEEIPGRTDENELDSTTSSGFDTRDSCRD >Ma01_p11100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8004956:8008889:-1 gene:Ma01_g11100 transcript:Ma01_t11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGAPADVDDPAKSSDRCSEAAAALRKKRRRGVVARLWRRIFGDDDDDFQKRLEHLSKEEALVLARLKRRAHSSRKTARSIVLLSVILEVVAVSYAVVTTRSEDLDWKMRAIRVLPVFVLPGLSTVIYSALVSFTRILDYKDHKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDLDPAAKAAAASVLASKLGADSGLRFHVGDESNVSASGKSYDAELVQSAGLRNRKPSQALCHSTGSSTTSEFIDETLNEYIADTQEIGSPNQRVVERLEGSALGDRGWLARVAALLVGEDPTQCYALICAHCHMHNGLAKEEDFSYITYYCPHCHGLNGSRRSEEHEMGLSSGKDTPTSSLEGDNKRTVASSLAAVEEIPGRTDENELDSTTSSGFDTRDSCRD >Ma05_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:217490:221221:1 gene:Ma05_g00310 transcript:Ma05_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSVGALTEADLKGKKVFVRVDLNVPLDETLKVTDDTRIRASVPTIKYLMERGARIILASHLGRPKGVTPKYSLKPVVPRLSELLGVNVAMANDCIGVEVEKLISALPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVMESLLETVDILILGGGMIFTFYKAQGYSVGSSLVEEDKLNLATSLLEKAKSKGVSLLLPTDVVVADKFAADAESKIVPASGIPDGWMGLDIGPDSIKSFSGTLESTKTIIWNGPMGVFEYEKFAVGTEAIAKKLADLSGSGVTTIIGGGDSVAAVEKVGLAAKMSHISTGGGASLELLEGKTLPGVLALDDA >Ma05_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2877435:2883711:-1 gene:Ma05_g03860 transcript:Ma05_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRPLSGKRGRDSMQNGGGGGKDPTQAVVAGVFQINTAVSTFQRLVNTLGTPKDTPELRERLHKTRLDIRQLVKDTAAELKQASETDHHAETSKKIADAKLAKDFQAILKEFQKAQRIAAERETAYIPFVPQAVLPSSYASSGVDGSSDKTFEACSMLAESRRQEGLLLDTEIVLNEAIIEEREQGIQEIQQQISEVNEIFKDLAVLVHDQGAMIDDIDSHIDNSLAAAVQAKTQLTKASKAQKSNSSLTCLLLVIFGIVLLIVIIILAA >Ma05_p03860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2877435:2883709:-1 gene:Ma05_g03860 transcript:Ma05_t03860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRPLSGKRGRDSMQNGGGGGKDPTQAVVAGVFQINTAVSTFQRLVNTLGTPKDTPELRERLHKTRLDIRQLVKDTAAELKQASETDHHAEVSTSKKIADAKLAKDFQAILKEFQKAQRIAAERETAYIPFVPQAVLPSSYASSGVDGSSDKTFEACSMLAESRRQEGLLLDTEIVLNEAIIEEREQGIQEIQQQISEVNEIFKDLAVLVHDQGAMIDDIDSHIDNSLAAAVQAKTQLTKASKAQKSNSSLTCLLLVIFGIVLLIVIIILAA >Ma05_p03860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2877435:2883709:-1 gene:Ma05_g03860 transcript:Ma05_t03860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRPLSGKRGRDSMQNGGGGGKDPTQAVVAGVFQINTAVSTFQRLVNTLGTPKDTPELRERLHKTRLDIRQLVKDTAAELKQASETDHHAEVSTSKKIADAKLAKDFQAILKEFQKAQRIAAERETAYIPFVPQAVLPSSYASSGVDGSSDKTFEACSMLAESRRQEGLLLDTEIVLNEAIIEEREQGIQEIQQQISEVNEIFKDLAVLVHDQGAMIDDIDSHIDNSLAAAVQAKTQLTKASKAQKSNSSLTCLLLVIFGIVLLIVIIILAA >Ma05_p03860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2877435:2883709:-1 gene:Ma05_g03860 transcript:Ma05_t03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRPLSGKRGRDSMQNGGGGGKDPTQAVVAGVFQINTAVSTFQRLVNTLGTPKDTPELRERLHKTRLDIRQLVKDTAAELKQASETDHHAEVSTSKKIADAKLAKDFQAILKEFQKAQRIAAERETAYIPFVPQAVLPSSYASSGVDGSSDKTFEACSMLAESRRQEGLLLDTEIVLNEAIIEEREQGIQEIQQQISEVNEIFKDLAVLVHDQGAMIDDIDSHIDNSLAAAVQAKTQLTKASKAQKSNSSLTCLLLVIFGIVLLIVIIILAA >Ma06_p29740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31142667:31145475:1 gene:Ma06_g29740 transcript:Ma06_t29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQHQQQQLHHVCSSPQQQRCDDWIFLDVPSDITIDVNGVRFALHKFPLVTRSGRIRKLISEHRDAADTAKIDLLNLPGGPETFQLAAKFCYGINFEITTANVAQLCCASDYLEMTEEYAEGNLSSRSEAYLDDVVCRSLEMCVQVLQQCEGLLPLADELKIVSRCTDAIASKACAEQIASSFSRLEYSSGRLHMNKQVKCGEDWWIEDLSVLRIDLYQRVIEAMKCRGVRPESIGASLVDCAEKLLKRKPILGARAGASAEAIEREEKTVIETIAGLLPGEKHTVPMSFLFGLLRSAVILDCPMTCRLNLERRIGSQLEMATLDDLLIPSSQHAGETMFDVDAVHRILVVFSQQDDSDNDGLGYEADGPRPLSQTALDKVGRLVDNYLAEIAPDANLKLAKFVVIADALPGYARTTDDGLYRAIDIYLKAHQGLPDLERKRLCKLIDFHKLSEEASGHAAQNERLPLQAIVQVLYIEQLRLRNALCCSYSEEDQKTAPQSRCTSNGVFSSGLSPRDNYASLRRENRELKLELARMRLRLSDLEKGHACIKQDMETSNSRKLILSVSKRIRKLKLI >Ma10_p25540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33618638:33631779:1 gene:Ma10_g25540 transcript:Ma10_t25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALCRRALLRACGGKPPRFLSPSRRFSGEAPRRFAALWGNGDYGRLGLGGLESRWKPTVCPFFDDDPPVSIACGGAHTLFLTECGRVYASGLNNFGQLGRSSATPFALEPAEVSGLPENIRQISAGYHHSAAVTADGELFIWGNNSSGQLGLGKSTKSIVYLPTRVDFLVGINIKMVALGSEHSIAITDVGDALSWGAGDSGRLGHGHKSSLFGFSVSSSEYTPRLIKSLEGVKIKTVAAGMLHSACIDEKGSVFIFGERSTNKLEIGEGKNIRTPTVVQEIPDSEEVACGGYHTCIVTSGGELYTWGSNENGCLGLGCTEMIRNPEIVQSSFLKSPISKVACGWKHTAVISGGNIFTWGWGGANGTFFEDGHSSGGQLGHGDDNDCNVPKMVNFDQRVKALQVSCGFNHTGGIFEYD >Ma10_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1383575:1387378:-1 gene:Ma10_g00150 transcript:Ma10_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKPVAVVVGGSIAGLSCAHALISAGWRATVIEKSAGPQSGSPTGAGLGLDPQSLRLVCRWTSDPNFLHAATVPLSIDINRVTDSKRKVSRTLTRDEGFNFRAAHWADLHSILLQALPPGTVVWGHQFLSFDVSNDKSFVVTKSRVLLTDEVVEIAGDLLVAADGCLSSIRRHFLPDFKLRYSGYTAWRGILDFTGKESSDIILGVRRAYPELGDCLYFDLATGTHSVLYELKCKRLNWLWYVNGPEPEHKGSSVTIKASNDMIQKMHEEAEKVWVPELSRIMKETDEPFVNIIYDSDPLPRLYWDNVVLIGDAAHPTTPHGLRSTNMSIQDAGILGYCLEKWGLEHLSMALEEFQTTRLPVVSKQVLHSRKLGRLKQGLVVDGQETFDPMTATPEDCLQLQQRRVPYFEECAIYSIRKPWPR >Ma01_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1756157:1757804:-1 gene:Ma01_g02620 transcript:Ma01_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKLGNSRFKRVCVFCGSSTGKRNCYQDAAVELGKELVARKVDLVYGGGSVGLMGLVSEAVHHGGGHVIGIIPRTLMAKEITGETLGEVKPVASMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVDGYYNSLLAFIDKAVEDGFIQPYQRHIFVSAPTANDLVQKLEEYVPVEDAVVAKLSWEMEQVGLKSTLQAEITR >Ma01_p02620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1756157:1757764:-1 gene:Ma01_g02620 transcript:Ma01_t02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKLGNSRFKRVCVFCGSSTGKRNCYQDAAVELGKELVARKVDLVYGGGSVGLMGLVSEAVHHGGGHVIGIIPRTLMAKEITGETLGEVKPVASMHQRKAEMARHSDAFIALPGPTPLLHKTTPLFVTHHLSILSPALSSLQVGTEPWRSFWRSSPGRSSASTTSLWACSTWTATTTPCWPSSTRPSRTASSSHISATSSSPPPPPMTSSKSSRNTCPWRML >Ma09_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13770323:13771042:1 gene:Ma09_g17930 transcript:Ma09_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHAALAIALLLAAVGLAVAQEPSPAPKPSDGTSPAAVTPSQPAAAAATPESSAATSPTAQSPAEASSPKLSTAASAPAPDFAATASSPATSPPTSSADGSSPATSPSASGPSQDSATATPPASSGPAPDSAAAISPSASGPAPDSAVAISPSASGPAPDSSAAADAPVADSPPAPDAPGAAPDADVGVAPDLATGDTPAAAPGPDGEISDETGAACPPAGTVFGGITVALIAGVVAF >Ma10_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9955530:9957038:-1 gene:Ma10_g02910 transcript:Ma10_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEQRINIHRHLCEFVGLDVEMEIKEHYFEVCDIVDRLFVEMFDDLNENCKKKPDAINRQCPFEPLKVNAMTLRLTFQEGIQMLQVHIFQFDPLGNLNTETEKKLGWLVCWKVGCFFFFNDLNWCSSTHIGVRASGTRAPHMGTK >Ma10_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27107741:27113642:-1 gene:Ma10_g14770 transcript:Ma10_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPYRYMESYPQNRDHIPYPYHHYPSWEAVSPQTRVDTARPFSSFGPWTSNGSVAHPSPTEANGCCSHVYPPGYFYFRPPCPYTPPPPQAYYHAPYPPYYSSYPSFVIPPSHYSADQNPYDYDRPRAYCCGCPDHTCNKGGNNNVKIEEQKTEKDQDNESSSLIQQPNYPYPVVCIPPSYLKNKMTNKSSESSPQWNGWIPMDINGLDGAKQDEDGKKSLQYDERRNQFPWPIIWMPGDQKSEDKDLKEINSNPEVKETPSSKIKLIPLKLLGDEHISPGDKEDRHKTLGHREAVTERKSRTKIIPVKHIEEDNQKRPNMDEKRKDKEEEKSHSIPEKQEENGAKKSISKLLPPTKTSKLPPVCLRVDPLPRKKPTNGTSRSPSPPGFKDQEKAHKEKTEREQPILKDKKEEISKKEVRVVEAADKLSNEAENPKTESQEMMTATVKEEYAKIEENQQPDKGTGLEAMEVKTVEVLKYGKEVEEDEQVPTDKATHELDEGKRCMENSESIINEQRKERKILSQSDAATLIQSAYRGFEMRRWQPLEKLRKIHQIRQQTEAVKKQIQMFEASSKEQDLKHKVAISEAIMNLLLQLDTIQGLHPSVRDMRKHVARELISLQDKLDSLGDHEKMEVEKQLDSDCGAISSTNSFVTTNLEPEHMSSQSEKTFVDEKEEAAIKWQTDDAVITESPDSVAGTGDLKSLNVDMGSTTEEHQDIAPLEEQLSSALREAVESHVTEEEQSCVSEGVTEKKQSSGLEGGTETLASIPQVEAPSASVDEVWKLETDEHVECQGINSLVEHVRDELPDAEAGNDETGDVKLEKPSVPSVKGEDIELAAEESNHSLKAINPEQVQLVEATAGVKEGDFDVKGTILPGDEVDTMREDLQVPDQKSCDLVEKMVGNTDVVHVVTEVPCSEQPFLGTCAIDNGNAGCTVGNIEKRAEDADSIVTHLPDATYNQCHSPGDFEVGKENGVNPMLLPDGTNIIKDECFSSVETSEPKIDKVHSLVEALEPVSMSPRQLPDAVNINKDERVSADEPFEANANDAADGNLSKEEKKLVEENEKLREMLETLLKAGQAQLGVIADLNGRVKDLERKLSQKRRCKVKSSKPRRPSPRKVAS >Ma05_p27940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39045321:39054330:1 gene:Ma05_g27940 transcript:Ma05_t27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNAFVAASSFLLPSPNRRTLAFVFVGAFLCVLSYLLGIYTNYRPSLPPQLAPVARNPEDCHPLLLPSPSSSDGPLRFLPRHAAANLIPLASVDQPSPPVPFCAANFTHYCPCQDPDRERRFITVDLVHRERHCPGPDDRPPRCRVPRPPGYRAPLPWPASRDRAWFANVPSTKLSEAKKDQNWVRVEGNWLVFPGGGTSFIKGVKWYVAEMAKQVPLRSGEIRTVLDIGCGVASFGGHLLDYDILTMSVAPRDIHEAQVQFALERGLPAMLGVLSIHRLPYPSRSFDMAHCARCLVPWSGHDGLYLLEIDRVLRPGGYWVLSGPPINWKNMYKGWARKRKDLEAEQKALEDLAKQLCWKKVSEKGGIAVWRKPTNHLHCIKKSKILNSPPFCSGTDPDSAWYMKMELCISPLPSVEEIEQTAGGNILTWPERLNAAPPRIISKNIDGVIIEAFSHENKLWNERISYYEEYLSNLPRGKYRNIMDMNAGFGGFAAALSKYPVWVMNVIPVDGKNNTLGIVYERGLIGTYMDWCEAFSTYPRTYDLLHANGIFGMYMDKCEIIDILLEMDRILRPEGAVIIRDHVDVIVKAKQAADRLRWQSKIVHSENGPFHPEKLLIVDNSVAVDRNQASRR >Ma08_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35736549:35738776:-1 gene:Ma08_g22000 transcript:Ma08_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRSKPTSLLLPLVFISALCTHFSTRVSATPCPTERYRFAPRAPRAAEPQEFLDPTGPDFLLPQRPPSCSLPLLRHDFGDTYGRPPATAAYAPPPGCPAPWARVVLDLSVACAGEQYDRIAAVWLDGAELLRTSTAEPSESGVFWRVRKDITRYAALLRREEGGVASMMLENIVNDVFTGVYHVNVSLDFYMKEEEPTPGLESQYHPQLMLRTSKGASLIPNKRILGESKPQLEQKLGLSSSEADISTGAKAKVPSLYREPADMIIPISSNDSQTGFWFRIQNESDVHFKRIQIPKNAYRAVLEIYVSYHSNDEFWYSNPPNSYIQQNNLTTERGNGSFREVYAKIDGRFVGSIVPFPVIFTGGINPLFWEPVVALGAFDLPSYNLDLTPFLGLLLDGRPHDLGIGVTDGIAFWLVDANLHLWLDSQSSYVTARLLHYQAPKISISRRSISHRLNGTFTIGAGRKSYFSGWVNSSIGNLTTDVNFKLKFKSLVGFTDNGNRKEVQMKAKLKTGVQIKEGPKAILAQGSYKFKYPLLIVSSTLPAENNTYRMTTNLSHILSEQTLVMLDRGRTYNSITDQQKADGWMLVQDHSVLAGSAATLQTYQYRDHTGFSYSRRVTAEDGLLLSDNTTVF >Ma11_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3198995:3202744:1 gene:Ma11_g04100 transcript:Ma11_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNYENREKVRKDEEAAAREEQLQREQSRRRDAEFRLDRLRRARGLHHQQPPSVDDSRHFNLFDGVSDFSSLGGAQDKVATRDGKRFKKEEAEEGGSKSSKKRRREDAPVPVAPEDEKYKLGYGLVGKGVKAPWYLSRPSAMSSEAVDEEIAGSRVASVSGKKTAGKKTIEELREERMKREKKEKGRERALLAATRKNGPDRGFSRSGHPKA >Ma02_p23570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28519529:28531033:-1 gene:Ma02_g23570 transcript:Ma02_t23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVDVVLEFLRKNRFSKAEAALRGELNARPDLSGFLQKHLAEEKEEVGRTVGEVSSVSAESSKEFIIKEIEVGGIGNGFDSKKGFGLGQDRESGSVDLYPWIFSSTSSTSNLVLKDTGTIDNFADLLISEEPKHRRGSFAVEKRDRVFCTEPDRPEEQRAYVMYKDKAEVKVKPEIGQVSDHKDNSADIQDHFLDNLWVKSEDPLKECSVKTVFPFSTDNASSSYGGHANERTLKLTVHNDDIRESTKQQPDEMSGSYTSGKSQDSVEPKSFKNFDLPVIVENHREELPRLPPVRLKSEDKLVNSLWEEKADHHGSGMRLSNADNTFMIGSYLDVPVGQEINSSGGRRTIGTNWLSVSQGIAEDTSDLVSGFATVGDESVDYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKGTGHGSVLDHQNQAPRKDDDDHSFAEEDSYLSGEQYFQTKNVEQVAISEAPMDHKMLEMYGRTDENDLIAHYDGQLMDAEELSLMRSEPIWQGFVTQNNGLIMLDNGKGASDVERSRQENPLTEDDQHGSVRSVGVGISSDAADIGSEVRGSLVGGSSEGDTEFFPDHDVSARGARHYPNDSTDSDLNRSNKEKMRENKQNSNIWANKKDISLSGVSTGGGFSFLPPLGTGGMLEADSGKSLCSSKAHVVVGNIAGEYANGIVAEDTLATWRRKSSDSSPVRSSRDEVTSGVARSRNSSASSGSDYGYAGTESISKAHHETNEIREDDPGATLEDEVAALQEQIRQIQTQEDEFETFYLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYLVTEYLGSAAFSKAIQAHDLHTGTDVCVKIIKNNKDFFDQSLDEIKLLKFVNKNDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIVGPIDQGMLAKGCDTHKYFTKNHMLYERNQETSRLEYLIPKKTSLHHRLPMGDQGFIDFVAYLLEVNPKKRPSASEALQHPWLSYPYEPISS >Ma02_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28519529:28531050:-1 gene:Ma02_g23570 transcript:Ma02_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVDVVLEFLRKNRFSKAEAALRGELNARPDLSGFLQKHLAEEKEEVGRTVGEVSSVSAESSKEFIIKEIEVGGIGNGFDSKKGFGLGQDRESGSVDLYPWIFSSTSSTSNLVLKDTGTIDNFADLLISEEPKHRRGSFAVEKRDRVFCTEPDRPEEQRAYVMYKDKAEVKVKPEIGQVSDHKDNSADIQDHFLDNLWVKSEDPLKECSVKTVFPFSTDNASSSYGGHANERTLKLTVHNDDIRESTKQQPDEMSGSYTSGKSQDSVEPKSFKNFDLPVIVENHREELPRLPPVRLKSEDKLVNSLWEEKADHHGSGMRLSNADNTFMIGSYLDVPVGQEINSSGGRRTIGTNWLSVSQGIAEDTSDLVSGFATVGDESVDYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKGTGHGSVLDHQNQAPRKDDDDHSFAEEDSYLSGEQYFQTKNVEQVAISEAPMDHKMLEMYGRTDENDLIAHYDGQLMDAEELSLMRSEPIWQGFVTQNNGLIMLDNGKGASDVERSRQENPLTEDDQHGSVRSVGVGISSDAADIGSEVRGSLVGGSSEGDTEFFPDHDVSARGARHYPNDSTDSDLNRSNKEKMRENKQNSNIWANKKDISLSGVSTGGGFSFLPPLGTGGMLEADSGKSLCSSKAHVVVGNIAGEYANGIVAEDTLATWRRKSSDSSPVRSSRDEVTSGVARSRNSSASSGSDYGYAGTESISKAHHETNEIREDDPGATLEDEVAALQEQIRQIQTQEDEFETFYLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYLVTEYLGSAAFSKAIQAHDLHTGTDVCVKIIKNNKDFFDQSLDEIKLLKFVNKNDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIVGPIDQGMLAKGCDTHKYFTKNHMLYERNQETSRLEYLIPKKTSLHHRLPMGDQGFIDFVAYLLEVNPKKRPSASEALQHPWLSYPYEPISS >Ma04_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25723521:25725710:-1 gene:Ma04_g23600 transcript:Ma04_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSSFPSPVFPALAAASVMLALFLLRPLLQRCSSSVHRRKPNYPPVAGTVFHQLLNLRQLADFQTDLSRKYRTFRILTPFCNYVYTVDPANVEHILKTNFANYGKGSFTYDLMCDFFGDGIFAVDDEKWRHQRKLASFEFSTKVLRDSSSVVFRSTAARLAKIISNAARSNEMIEIQDLLMKSTLDSICKVGFGVELDTLTGSTEEGRTFAKAFDDASAQIMLRFLDVFWKVKRFLNIGSEAKMKKNLKSIDDFVYKLIDTKIEQLSQRQTGFMEKEDILSRFLIEREKNPDDMSYKYLRDIILNFVIAGRDTTAGTLSWFFYMLCKHPSVQEKVAQEVREATKIKGEVTIDEFVASLTEGALNEMQYLHASLTETLRLYPAVPLDVKHCFSDDTLPDGFDVKKGDLVNYQPYPMGRMQFLWGEDAEDFRPERWLNSDGVFVPESPFKFPAFQAGPRICLGKEFAYRQMKILAATLLCFFKFKMWEEMNTVRYRTMITLQIYGGLHLAARHRQGYLNAD >Ma03_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27654909:27663039:1 gene:Ma03_g23000 transcript:Ma03_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSWITAAFLALLVLSSSLPPSAAEGNDGEVKEPDTDPEAVAEPSFVITLDASNFSETVSKHDFIVVEFYAPWCGHCKKLAPEYEKAALVLSENDPPIALAKIDCNENVNKEIASKYEVRGFPTLKIFRNGGEDIQEYKGPREADGIVEYLSKQVGPASTEIKSTEDVDKVIVDKKISIVGIFPQFSGEEFETFIKVAEKLRSDYDFGHTSNAKLLPHGDETVKQPIVRLFKPFDELFVDFKDFQADAIEKFIESESMPIVTTYDNDPTNHPFLVKFFDSPNAKAMLFFNFSSDNYNTFKSKLHEVAKDYKGDNIKFLIGDLDASEMALKFFGLEKDQAPLIIIQDSKGHKYFQPNVTPEQIATWLKDYAVGGLEPYRKSESVPEVNNEPVKIVVAKSLQEIVFSSGKNVLLEFYAPWCGHCKKLAPILEEVAISFENDADVIIAKMDATKNDVPDEFAVQGYPTLYFVSASGKISQYEGNRTVEDFINFVNNNKDVISQPGSPDSVKDEL >Ma07_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9190393:9192089:-1 gene:Ma07_g12290 transcript:Ma07_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALVSRQGRQLQRYDSCGSRLIVGCIPYRVKTMPCDPDQAVEVLVISSSKKRQEIMFPKGGWEQDESIIQAAYRETFEEAGVLGHLEDSLGIWRYKSKSHDKIHEAVMFPLNVTEELYCWPEKAIRTRKWISVAEAMAECKHSWMKEALARLVKRLSSEDLEMIP >Ma09_p29320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39790593:39790904:1 gene:Ma09_g29320 transcript:Ma09_t29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSVYPMWFASDEWAVADCLHAICEGSDRALIVSLSLSPWVVGIVVGQTREGGATCFLSHSKEDSGTRYVCIYLYR >Ma04_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11024511:11026996:1 gene:Ma04_g14560 transcript:Ma04_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSGQWPPPEEENSDLSFIYQPEMNSLDMFTANQIMESLGEELHHHHLPSGSCFPFDSAGSSEFNNNASGSLVGIPYGTRMTTAVTNELVSVEAPQASPNFLSFGNQDSLHDPPQLYMNSGKGVVEPQNEITSLFSHGSTWSEDTLEFQRQEKKSMGSRPPSSAQDHVIAERKRRERLNLQFIALSTIIPGLKKTDKATLLGDAVNYIRQLEEKVKTLEEKASERTVESTILVKKTHIPTGDHTSDAEGSPFSEAFPKITASLNGNSILVRVQCEKRKGLFVKVLSEIERHHLSVINTNVMPFASSSLNITVTAQIEEGFSMTTTDLVKDIDSALSRSM >Ma05_p07820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5732431:5750172:1 gene:Ma05_g07820 transcript:Ma05_t07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERRGGGERGEESVKLFVGQVPKQMAEEELRALFKEVALVDEVSIIKDKVTKASRGCCFLICPSREEADKAVAAYHNKRTLPGASSPMQVKYADGELERLEHKLFIGMIPKNASDAEVAALFSKYGTIRDLQILRSSQQTSKGCAFLKYETKEQSLAALEALNGKHRMEGSSVPLVVKWADTEKERQARRAQKAQSQASSLAHGNSMHQPSIFGALPMGYIPPYNGYGYQPPGTYGLMQYPLPSMQSQAAFHNMIPPINQGNTLRGVSSDVSPGTASRSFNTAQSGGFIGSPYPTLPGLQYRLSYPTTARHLGYSHVSGHPVNMKANLATPSGPSTTSGGQIEGPSGANLFIYHIPQEYGDEELSNAFQGFGRVLSAKVFVDKATGVSKCFGFVSYDSPAAAQAAISVMNGFQLGGKKLKVQLKKENKVNLVDGEIRLRR >Ma05_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5732431:5750172:1 gene:Ma05_g07820 transcript:Ma05_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERRGGGERGEESVKLFVGQVPKQMAEEELRALFKEVALVDEVSIIKDKVTKASRGCCFLICPSREEADKAVAAYHNKRTLPGASSPMQVKYADGELERLEHKLFIGMIPKNASDAEVAALFSKYGTIRDLQILRSSQQTSKAGCAFLKYETKEQSLAALEALNGKHRMEGSSVPLVVKWADTEKERQARRAQKAQSQASSLAHGNSMHQPSIFGALPMGYIPPYNGYGYQPPGTYGLMQYPLPSMQSQAAFHNMIPPINQGNTLRGVSSDVSPGTASRSFNTAQSGGFIGSPYPTLPGLQYRLSYPTTARHLGYSHVSGHPVNMKANLATPSGPSTTSGGQIEGPSGANLFIYHIPQEYGDEELSNAFQGFGRVLSAKVFVDKATGVSKCFGFVSYDSPAAAQAAISVMNGFQLGGKKLKVQLKKENKVNLVDGEIRLRR >Ma09_p26000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37365053:37367213:-1 gene:Ma09_g26000 transcript:Ma09_t26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLGLEETELRLGLPGGGGGGEAEAAKNSCKRGFAETIDLKLKLQTPVDAEEQIIEKARGSLPSQRNLGVFCGSDPEKPPAPKAQVVGWPPVRSFRKNILSAHSEKGSKEGGEKPGNPVAAFVKVSMDGAPYLRKVDLKMYRSYQELSMGLQKMFGSFTSGNCGSQGMSGRDFMNEREVMDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKNRS >Ma07_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2569388:2570851:-1 gene:Ma07_g03330 transcript:Ma07_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDGKARFAFGEIMVHQLSSFLRQTLPRVPDWIVFDYAAYWAPRVAAEFGVPCAHLSIFNAAVLSFIGPSASLMGCEGVRATPEQFMELPEWVPFESTMFYKPYEARELFKPCVLPDASGVSETYRFGRTLEDCQLIAVRSCPEFETDWLHLLGKLHKRPVIPVGFFPPSPPESETDAESESRWRSILQWLAKQKPESVVYVAFGSEVKLTSSQVHEIALGLERSQLPFVWALRAPADSHGGPAALPEGFEERTQGRGLVCLGWVPQARLLAHPSMGGFLTHAGWSSIIEGLALGLPVVLMPLLFDQGLNARNLVERKISVEVPRNEEDGSFTGEGIATTLRLVMVEEQGQPLRAKAKEYREVFGDEKLHDRHVWEFLKYLIEHRRQQA >Ma05_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35387106:35392202:-1 gene:Ma05_g23360 transcript:Ma05_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSVVPSSGLKNTSGTSVRVDKLPEEMSDMRIREDKEVEATVINGNGTETGHIIVTTINGKKGQPKQTVSYMAERVVGHGSFGIVFQAKCLETGETVAIKKVIQDKRYKNRELQTMHLLDHPNVISLKHCFFSTTEKDELYLNLVLEYVPETVHRVIRHYNKMNQRMPLIFVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVQIIKVLGTPTREEIKCMNPNYTEFKFSQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEALVHPFFDELRDHNTRLPNGRFLPPLFNFKPHELKGVPVETVARLIPEHARKQCA >Ma02_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25276155:25280428:-1 gene:Ma02_g18930 transcript:Ma02_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSSLTVAFSSLSFSSHVSSSNKTLYSPWIRRRRTASPLRWNSPTAIASSTTSFPIVAVSGGAAVSAEDLEGVSLEKYVKSRLPGGFAAQRLIGTGRRKCAIARVVLQEGTGKFVINYRDAKEYLQGNPLWLQYIKTPLLTLGFESSYDVFVKAQGGGLSGQAQAISLGIARALLKVSQNHRSPLKKEGLLTRDSRIVERKKVGLKKARKAPQFSKR >Ma02_p18930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25276081:25280427:-1 gene:Ma02_g18930 transcript:Ma02_t18930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSSLTVAFSSLSFSSHVSSSNKTLYSPWIRRRRTASPLRWNSPTAIASSTTSFPIVAVSGGAAVSAEDLEGVSLEKYVKSRLPGGFAAQRLIGTGRRKCAIARVVLQEGTGKFVINYRDAKEYLQGNPLWLQYIKTPLLTLGFESSYDVFVKAQGGGLSGQAQAISLGIARALLKVSQNHRSPLKKEGLLTRDSRIVERKKVGLKKARKAPQFSKR >Ma02_p18930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25275422:25280429:-1 gene:Ma02_g18930 transcript:Ma02_t18930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSSLTVAFSSLSFSSHVSSSNKTLYSPWIRRRRTASPLRWNSPTAIASSTTSFPIVAVSGGAAVSAEDLEGVSLEKYVKSRLPGGFAAQRLIGTGRRKCAIARVVLQEGTGKFVINYRDAKEYLQGNPLWLQYIKTPLLTLGFESSYDVFVKAQGGGLSGQAQAISLGIARALLKVSQNHRSPLKKEGLLTRDSRIVERKKVGLKKARKAPQFSKR >Ma03_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22547511:22549072:-1 gene:Ma03_g17300 transcript:Ma03_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNSREGEAFTEQGVDDKGQTSSRAWSALRNPRIVRVSRSFGGKDRHSKVTTIRGLRDRRIRLSVPTAIQLYDLQDKLGLNQPSKVVDWLINAAQHEIDKLPPLEMLQGDLTQFPQSVATPAFFGHTVPDDGEFLPRDKAHRLASSSSLATADIFNSNVVPRYVSNSLDVLMGSKEKEALKETRGVVLENGAVTYSPFYHLEPPNAYSSLAQSEAPHGYRPIAILASHMAQNMESKQYGHVQMASPASGSVPLRTSLHAGIPNLVVLQQNSSVFKHHPHDH >Ma04_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25696807:25701840:1 gene:Ma04_g23550 transcript:Ma04_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVGQRENGRHKTDQYRTAHAQWMLPQHQLKENQTIKLLMAEREKALQERDMAITEKKAALVERDMAYLQRDAAVAERNSAIIERDNAIGALEYARENGMSGNCATGCHLGSHGTKHIYNHQQQHLQHIHSPQQQLHDAPSKQTRETPTNEASPDSGGSVTGTKFNKAKRSRKESKVLASSSKKMMKAPRRSKKGGSDDLNKQVTIARTTGEWRGEIGVGEDLNKQVSLTKHHEWKSQDLGLNQVSFDDTTMPVPVCSCTGKYQQCYKWGNGGWQSACCTTTLSMYPLPVIPSKRHARVAGRKMSGSAFRKLLSRLAAEGYDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p23550.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25696807:25701840:1 gene:Ma04_g23550 transcript:Ma04_t23550.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVGQRENGRHKTDQYRTAHAQWMLPQHQLKENQTIKLLMAEREKALQERDMAITEKKAALVERDMAYLQRDAAVAERNSAIIERDNAIGALEYARENGMSGNCATGCHLGSHGTKHIYNHQQQHLQHIHSPQQQLHDAPSKQTRETPTNEASPDSGGSVTGTKFNKAKRSRKESKVLASSSKKMMKAPRRSKKGGSDDLNKQVTIARTTGEWRGEIGVGEDLNKQVSLTKHHEWKSQDLGLNQVSFDDTTMPVPVCSCTGKYQQCYKWGNGGWQSACCTTTLSMYPLPVIPSKRHARVAGRKMSGSAFRKLLSRLAAEGYDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p23550.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25696807:25701840:1 gene:Ma04_g23550 transcript:Ma04_t23550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVGQRENGRHKTDQYRTAHAQWMLPQHQLKENQTIKLLMAEREKALQERDMAITEKKAALVERDMAYLQRDAAVAERNSAIIERDNAIGALEYARENGMSGNCATGCHLGSHGTKHIYNHQQQHLQHIHSPQQQLHDAPSKQTRETPTNEASPDSGGSVTGTKFNKAKRSRKESKVLASSSKKMMKAPRRSKKGGSDDLNKQVTIARTTGEWRGEIGVGEDLNKQVSLTKHHEWKSQDLGLNQVSFDDTTMPVPVCSCTGKYQQCYKWGNGGWQSACCTTTLSMYPLPVIPSKRHARVAGRKMSGSAFRKLLSRLAAEGYDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p23550.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25696807:25701840:1 gene:Ma04_g23550 transcript:Ma04_t23550.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKERVILEILHSSYKSIVLMDDVGQRENGRHKTDQYRTAHAQWMLPQHQLKENQTIKLLMAEREKALQERDMAITEKKAALVERDMAYLQRDAAVAERNSAIIERDNAIGALEYARENGMSGNCATGCHLGSHGTKHIYNHQQQHLQHIHSPQQQLHDAPSKQTRETPTNEASPDSGGSVTGTKFNKAKRSRKESKVLASSSKKMMKAPRRSKKGGSDDLNKQVTIARTTGEWRGEIGVGEDLNKQVSLTKHHEWKSQDLGLNQVSFDDTTMPVPVCSCTGKYQQCYKWGNGGWQSACCTTTLSMYPLPVIPSKRHARVAGRKMSGSAFRKLLSRLAAEGYDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p23550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25696807:25701840:1 gene:Ma04_g23550 transcript:Ma04_t23550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKERVILEILHSSYKSIVLMDDVGQRENGRHKTDQYRTAHAQWMLPQHQLKENQTIKLLMAEREKALQERDMAITEKKAALVERDMAYLQRDAAVAERNSAIIERDNAIGALEYARENGMSGNCATGCHLGSHGTKHIYNHQQQHLQHIHSPQQQLHDAPSKQTRETPTNEASPDSGGSVTGTKFNKAKRSRKESKVLASSSKKMMKAPRRSKKGGSDDLNKQVTIARTTGEWRGEIGVGEDLNKQVSLTKHHEWKSQDLGLNQVSFDDTTMPVPVCSCTGKYQQCYKWGNGGWQSACCTTTLSMYPLPVIPSKRHARVAGRKMSGSAFRKLLSRLAAEGYDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p23550.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25696807:25701840:1 gene:Ma04_g23550 transcript:Ma04_t23550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKERVILEILHSSYKSIVLMDDVGQRENGRHKTDQYRTAHAQWMLPQHQLKENQTIKLLMAEREKALQERDMAITEKKAALVERDMAYLQRDAAVAERNSAIIERDNAIGALEYARENGMSGNCATGCHLGSHGTKHIYNHQQQHLQHIHSPQQQLHDAPSKQTRETPTNEASPDSGGSVTGTKFNKAKRSRKESKVLASSSKKMMKAPRRSKKGGSDDLNKQVTIARTTGEWRGEIGVGEDLNKQVSLTKHHEWKSQDLGLNQVSFDDTTMPVPVCSCTGKYQQCYKWGNGGWQSACCTTTLSMYPLPVIPSKRHARVAGRKMSGSAFRKLLSRLAAEGYDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p23550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25696807:25701840:1 gene:Ma04_g23550 transcript:Ma04_t23550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKERVILEILHSSYKSIVLMDDVGQRENGRHKTDQYRTAHAQWMLPQHQLKENQTIKLLMAEREKALQERDMAITEKKAALVERDMAYLQRDAAVAERNSAIIERDNAIGALEYARENGMSGNCATGCHLGSHGTKHIYNHQQQHLQHIHSPQQQLHDAPSKQTRETPTNEASPDSGGSVTGTKFNKAKRSRKESKVLASSSKKMMKAPRRSKKGGSDDLNKQVTIARTTGEWRGEIGVGEDLNKQVSLTKHHEWKSQDLGLNQVSFDDTTMPVPVCSCTGKYQQCYKWGNGGWQSACCTTTLSMYPLPVIPSKRHARVAGRKMSGSAFRKLLSRLAAEGYDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p23550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25696807:25701840:1 gene:Ma04_g23550 transcript:Ma04_t23550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVGQRENGRHKTDQYRTAHAQWMLPQHQLKENQTIKLLMAEREKALQERDMAITEKKAALVERDMAYLQRDAAVAERNSAIIERDNAIGALEYARENGMSGNCATGCHLGSHGTKHIYNHQQQHLQHIHSPQQQLHDAPSKQTRETPTNEASPDSGGSVTGTKFNKAKRSRKESKVLASSSKKMMKAPRRSKKGGSDDLNKQVTIARTTGEWRGEIGVGEDLNKQVSLTKHHEWKSQDLGLNQVSFDDTTMPVPVCSCTGKYQQCYKWGNGGWQSACCTTTLSMYPLPVIPSKRHARVAGRKMSGSAFRKLLSRLAAEGYDLSLPVDLKDHWAKHGTNRYITIK >Ma04_p39080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36308918:36309909:1 gene:Ma04_g39080 transcript:Ma04_t39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRRGCSATCILRPCIQCIDGAGAQAHATAFVAKFFGRSTLLSFLSSVPLPRRPAAFRSLLYEACGRTINPVTGATGLLWTGNWHLCQAAVATVLGGGTIHPLPELAGAADAKELYEYQRRGVSSSSSSSSPPPRKRRRDYDAKRPPTRELYPMPESPDGGGDNKRRASTPSATSASSVTTTSEGGCGDQTAAEKPAILNLFV >Ma02_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24561951:24563426:-1 gene:Ma02_g17780 transcript:Ma02_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGNRKPHFVLVPLFAQGHMIPMIDLARLLALQGVAVSVVTTPRNTARFKAMIDRANAAGLLIRFIEFQFPCTEVGLSEGCENVDLLPSGDLAKTFIAGLSMLREPLLLHLRQQCSKPSCIISDACCPWTSEVARELRVPRLVFHGPSCFFLLCTHNVSKHKSGGHLADEFEPFLVPGFPHKLEVVRAQCLKFFELPGWEKLFAEVAEAESMADGFVINSFRELEAAYIDGYQKALGRKVWAIGPVCLSIKETCDKVTRGNTMNVDENYIRNWLDAKETGSVIYVSFGTMVSHSASHLIEIGLGLEASKRPFIWVIKEKEMWPEVASFLSEGFEERTSSRGLILRGWAPQVLILSHPSVGGFMTHCGWNSTLEAVSVGVPMITWPHFFDQFLNERLVVEVLRVGVALKFNMFIRRVADDAEGLITREDVEKAVTELLDGGAEGEERRKRAKELAEKAKKAMNGGSSCEDLTLMIRHALERAGEASDAQEP >Ma09_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4533307:4535285:1 gene:Ma09_g07040 transcript:Ma09_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGFFAVNWQPKMYSGSDGDQLPHSYLSLSWGQPMCHCRDTHLESALSSLVSSPSSSTPAGNETVVVSERSGRLCSICNSGEISPPSRYQSANNTSCYRTPLDSPPKLILSTMGHQQQGRAPLPMPGNQTAAEQFAPFTADSGFAERAARLSYFGATSGGGLGAQVGLAEAVKLSRVSSSQSLMAASRQQMGASNSGKEAPMTDAERSKTETRLKLGGRTSGSSTPDESSSMSDRMTTSSAETNSRKRKSAPKGAPLTSSNTNPLKLSEVGDSDTKRCRPAETNATAVEPKKQNNSAKPSESPKDYIHVRARRGQATASHSLAERVRREKISERMKLLQDLVPGCSKVTGKAVMLDETINYVQALQRQVEFLSMKLATLNPQLDFSCAQQPLGIPLESMVANGSDVHGSLFG >Ma05_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32522439:32523659:1 gene:Ma05_g20810 transcript:Ma05_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPPPAASAANITSKNRGRFAGRIDIRAAANQKVEHDRSREVYTSRPRKSPSPLGTAEEMASRLGHDIAVANLERLFRQQTAGGDRPPADGGSSGRPVRVAYQGSRGSYCQEAAARAFPSSACEAFPCAHMEDAFAVLEDHSADRAVVPAENSLDGPIDRNLDLLLRHPGVRILGELVLPVNHCLLSLPGAPRSGLRRVISHPQALSHCRRSLEALDLEVDEVCSAAEAAQFVAENRVADTAVIGSKMAAREFGLWVLEPDFQDQHLGGNFNRFLQLGLPSHAQGLSSSSSTSGRRSEQKTTVAFTLEDGASDLFRAMWIFESRGVKVLRVDHRPNRTKPLRVVERGGDGLETATYLDYVFVLDLVGSASDPAVEAALAQMTEIAGFVRVLGSYVSTCHPGIN >Ma03_p10010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7461287:7478832:-1 gene:Ma03_g10010 transcript:Ma03_t10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MKKSILPVKNEKGSGTKILAKEDLQKLLKHYFGYSEFRGKQLQAINAVLSGRDCFCLMPTGGGKSMCYQIPAVAKLGIVLVISPLIALMENQVETLRKKGIPAEFLSSTQAVHVKQKIHEDLNSGKPSLRLLYVTPELVATVSFMAKLTKLYDRGLLSLFAVDEAHCISSWGHDFRPSFRKLSSLRSHFPGVPILALTATAVPKVQKDVISSLCLHQPLVLSASFNRPNIYYEVRYKDLLKDTYADLLNLLKSSGNVCSIVYCHERSTCDDLSSHLSKHGISSAVYHAGLSSKLRSTVLDDWLSSKIEVVVATVAFGMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQSSSRSILYYGLDDCKRMEFILSNAIGKNNECSSSSNGLSEKSLAAFNQMVEYCEGSGCRRKKILESFGEQISTSLCQKTCDSCKHPNLVVQKLEDLQRIHYSHKKARLFPIFIKSTTYGTSEGQSTEFWNRENEDSYLGEEISNSEDEADDASNMTRSKMPTDAALDEKFKILQHAEELYFRSKGPSKQGSSDKKTITDTLRKESTKRFLNALKQTKERLRKLPIDCEASAAFLEMECFKKYEKVGKTFYISQVAATVRWLSNSSFEQIYDRLSDESTQTSSSYGQDDLPSGTDSALLQDGITTDAVHKENQLNSNALVENFNCSLEMKNPSEKIDLLPIPSFSEFVNGKGRAQSSGSSNITTSESRKHMGKNPEKRIRLK >Ma03_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7461287:7477800:-1 gene:Ma03_g10010 transcript:Ma03_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MQLVMKKSILPVKNEKGSGTKILAKEDLQKLLKHYFGYSEFRGKQLQAINAVLSGRDCFCLMPTGGGKSMCYQIPAVAKLGIVLVISPLIALMENQVETLRKKGIPAEFLSSTQAVHVKQKIHEDLNSGKPSLRLLYVTPELVATVSFMAKLTKLYDRGLLSLFAVDEAHCISSWGHDFRPSFRKLSSLRSHFPGVPILALTATAVPKVQKDVISSLCLHQPLVLSASFNRPNIYYEVRYKDLLKDTYADLLNLLKSSGNVCSIVYCHERSTCDDLSSHLSKHGISSAVYHAGLSSKLRSTVLDDWLSSKIEVVVATVAFGMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQSSSRSILYYGLDDCKRMEFILSNAIGKNNECSSSSNGLSEKSLAAFNQMVEYCEGSGCRRKKILESFGEQISTSLCQKTCDSCKHPNLVVQKLEDLQRIHYSHKKARLFPIFIKSTTYGTSEGQSTEFWNRENEDSYLGEEISNSEDEADDASNMTRSKMPTDAALDEKFKILQHAEELYFRSKGPSKQGSSDKKTITDTLRKESTKRFLNALKQTKERLRKLPIDCEASAAFLEMECFKKYEKVGKTFYISQVAATVRWLSNSSFEQIYDRLSDESTQTSSSYGQDDLPSGTDSALLQDGITTDAVHKENQLNSNALVENFNCSLEMKNPSEKIDLLPIPSFSEFVNGKGRAQSSGSSNITTSESRKHMGKNPEKRIRLK >Ma07_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4418771:4419349:1 gene:Ma07_g06120 transcript:Ma07_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGSSSSSTGSNIWRTPLPYLFGGLGAMMILIAVALVVLACSHRKSAGDRDSAAASSLPEKVLVAPLDMEPRFVVIMAGESTPSFIAKPNSLCQEP >Ma09_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1825331:1827640:1 gene:Ma09_g02590 transcript:Ma09_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEYITRFLVVLFGYAYPAFECFKTLEQHPGNTRQLQFWCQYWIIVAILTVIEMVGEFLVSLLPMYGEAKLAFLVYLWYPKTKGSDLVYDTFLRPLVMQYEPDIEERFRNLRAKSGQLLIFYLKNFTEKGQILFLDVLRYVVSKASSGTERIRGRGSSSKNKKREKQGADELEDIAEALFATNAKQRGSRQHK >Ma09_p02590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1825331:1827640:1 gene:Ma09_g02590 transcript:Ma09_t02590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEYITRFLVVLFGYAYPAFECFKTLEQHPGNTRQLQFWCQYWIIVAILTVIEMVGEFLVSLLPMYGEAKLAFLVYLWYPKTKGSDLVYDTFLRPLVMQYEPDIEERFRNLRAKSGQLLIFYLKNFTEKGQILFLDVLRYVVSKASSGTERIRGRGSSSKNKKREKQGADELEDIAEALFATNAKQRGSRQHK >Ma09_p02590.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1825331:1827640:1 gene:Ma09_g02590 transcript:Ma09_t02590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEYITRFLVVLFGYAYPAFECFKTLEQHPGNTRQLQFWCQYWIIVAILTVIEMVGEFLVSLLPMYGEAKLAFLVYLWYPKTKGSDLVYDTFLRPLVMQYEPDIEERFRNLRAKSGQLLIFYLKNFTEKGQILFLDVLRYVVSKASSGTERIRGRGSSSKNKKREKQGADELEDIAEALFATNAKQRGSRQHK >Ma09_p02590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1825331:1827640:1 gene:Ma09_g02590 transcript:Ma09_t02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEYITRFLVVLFGYAYPAFECFKTLEQHPGNTRQLQFWCQYWIIVAILTVIEMVGEFLVSLLPMYGEAKLAFLVYLWYPKTKGSDLVYDTFLRPLVMQYEPDIEERFRNLRAKSGQLLIFYLKNFTEKGQILFLDVLRYVVSKASSGTERIRGRGSSSKNKKREKQGADELEDIAEALFATNAKQRGSRQHK >Ma07_p26290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33148518:33150102:-1 gene:Ma07_g26290 transcript:Ma07_t26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPLLSLLPLLPFFPPCTSASAAVQGNNRSASDPVLQSMTMDPLERDTLFIVMETMSSDRDWRSSNPDPCVPGSSWPGIECKPGSDNLLHVTRLDFGAVPNPACRRNATFPSEVFRLPCLQSIFFFDCFKGAETNLSLPPPADDKAAAYASLLQLSLKSNPSLVGAIPSHISSLTSLQVLTLSQNQLSGAIPASISELTSLVHLDLSYNTLTGSIPSHIGRLKGLIGLDMSYNYLSGTIPPSIGQLGLLQKLDLSSNSLVGSIPENLGSLSFLVFLALSDNKLSGDFPRGIDKLQDLQYFIMDDNPMFVTLPSQLGLLASLQELRLANSGYTGSIPGSFAWLTNLTTLSLEKNRLTGGIPAGLSALGKLYHLNLSRNLLSGIVPFGVGFLDRLGNNLDLRGNSGLCYNGSESLRSIDAGVVACGDNMDNSSSMPKPLDESAGAPFLLCVFYYRLMCLLVFWMW >Ma06_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22031096:22033160:-1 gene:Ma06_g23840 transcript:Ma06_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTHVSHALVHLEAKWARLVRRFFHAVRSHLLSCSSPNGRYRQLKANQSAPDPTVARDIPKVDTGHAPVRTDMEVDSDVLSLKVSLLGDCDIGKTSFMMKYVGDVEEQRGVEMAGLNLMDKIFVVKGARIAFRIWDVGGDDQFLDHVPIACKDAVAILVMFDLTNRCTLNNAIAWHHRARRWNATAIPILIGTKFDDFARLPLEMQWTIVNQARAYARAMKATLFFSSTTHNINVNKIFKFIAAKLFNMPWTVERNLTIGEPIIDY >Ma06_p23840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22031559:22033161:-1 gene:Ma06_g23840 transcript:Ma06_t23840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTHVSHALVHLEAKWARLVRRFFHAVRSHLLSCSSPNGRYRQLKANQSAPDPTVARDIPKVDTGHAPVRTDMEVDSDVLSLKVSLLGDCDIGKTSFMMKYVGDVEEQRGVEMAGLNLMDKIFVVKGARIAFRIWDVGGDDQFLDHVPIACKDAVAILVMFDLTNRCTLNNAIAWHHRARRWNATAIPILIGTKFDDFARLPLEMQWTIVNQVKSVRFPFQKALLS >Ma06_p23840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22031096:22033160:-1 gene:Ma06_g23840 transcript:Ma06_t23840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTHVSHALVHLEAKWARLVRRFFHAVRSHLLSCSSPNGRYRQLKANQSAPDPTVARDIPKVDTGHAPVRTDMEVDSDVLSLKVSLLGDCDIGKTSFMMKYVGDVEEQRGVEMAGLNLMDKIFVVKGARIAFRIWDVGGDDQFLDHVPIACKDAVAILVMFDLTNRCTLNNAIAWHHRARRWNATAIPILIGTKFDDFARLPLEMQWTIVNQARAYARAMKATLFFSSTTHNINVNKIFKFIAAKLFNMPWTVERNLTIGEPIIDY >Ma07_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22743283:22744938:1 gene:Ma07_g17960 transcript:Ma07_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKKAKQNVGFSERADDCSSTIPESIVDPSSELEDLLGLPPDSMFDTPLPDLVETDGHEAERLRIRIWNDIIRLKHLKEHHKCKMIQQSSASLLDYSQEQSRRKKLARNLEKILNEMLELVEEGLAQGFIYGIVPYKGKPVSGVSNNLRKWWKETVRFDRNGPAAISTYQRDSCTHVISKENTSEFSVPDALQELQDTTLGSLLSALMPYCDPPQRRFPLEKGIPPPWWPNMSEEWWREMGVPKDPSPPPYKKPHDLKKAWKVSVLIAVIKHLMPDIEKIQRLIEKSKGLQDKITAREVDILNAVLRHELKKYFGHQHNSPPPPPSMVGQSCREAVGDASTSRIDEQPISEAMQEESMNVTQYLAMDVNMFTRPNLPSYELGPQDQSRGSIHQDKFGHIHHCVASASHGNQPLANPYGPCVPVAADSPVLPSGGIEMRHQGTSSSDMHLFQTRLNQDHAPLPEVMKVEIDPLFSSSVQEDETGTSITRELANLMQPQIFPSDETASFESIFGHNFDIGPASYFSTTDQSSRIPYSFHELDEYDWSKDFGN >Ma04_p15620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11931174:11937617:-1 gene:Ma04_g15620 transcript:Ma04_t15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Ma04_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11931174:11937617:-1 gene:Ma04_g15620 transcript:Ma04_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAARSCMHSHFTGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Ma08_p33000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43523283:43524198:1 gene:Ma08_g33000 transcript:Ma08_t33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHYKRLLDYINPSYVHIVEDGMIVKTGDVSLANQLEREATEGCPCHNCRAGI >Ma11_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24692111:24693782:1 gene:Ma11_g20100 transcript:Ma11_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVRYLIGSVGDSGFGSKSTGEEVTAATPDLRSITAIITGATSGIGTETARVLAMRGARLVLPTRSLKTADEVKARIVAEIPDAEVILLPLDLSSLSSVRCFVSLFLALHLPLNLLINNAGRMVYEHAVSEDGVEMTFATNYLGHFLLTKLLLNKMAETARETGIQGRIVNVSSHVHSWFSGDCLSYLDRITRKQIPYDATQAYALSKLANILHTKELAERLKQMDANVTANCVHPGVVRTRLNRDREGFVTDLVFFLVTKLVKTMPQAAATACYVATHPRLVGISGRYFADCNEALPSPLASRPHEAAQLWRASESMTATDLDRTASGLEPQLASDRPDDEK >Ma08_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:662299:675775:1 gene:Ma08_g00710 transcript:Ma08_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIQAGFDINKLSEEAQSRWLKPVEVLYILQKHESFKITQKPPQKPPSGSLFLFNRRVLRYFRNDGYSWQKKKNGKTAREGHERLKVGNSEAINCYYARGEKNSCIQRRSYWMLDPAYEHIALVHYREIIEGRNVPESTSSISNESSLTLRYGTSVSNDQAQCFPSHTSEFNEPCQNSCSPGSVEEVNSIFDRGSNGTTLLNGMERSESCHELRLPEINIALRNIEEQLSLDTDDEDSFVCSKTELLRCSNQNVETHGLQILNHETRNPQEETHRNSFDEFKQMSNGHIEDSMHNLLNNSDNLRWLHGSPFQQSYSSEADYYVANHSCMKLGTDEAPISAENSAFASYHSDIWYEQSQFEVPFGTEPSLTVPQGHLFTIREVSPEWAFSSENTKVLITGNFLCSPSECAWAVLFGDIEVPLEIVQDGVLRCRSPQHMAGKVKLCITSGNGKPCSEVHEFVFQEKQERTSSSRALSPADAIKSSNELLLLVNLVQILFSGHSTVVSQLEREQEVNPSWEKKRLKNQLELIIESILVESEPPEVIINLVLQELLKNKLQHWLTSKHEGNPDEGSVLSKHEQCIIHVISGLGYRWALHPILNSGTCINYRDSNGWTALHWAARFGREEMVAALLAAGASAGAVTNPTSEDPAGKTPASLAVDNGHKGLAGYLSEAALTTHLFSLTTEKTKILEGSASMEADRGVDNIYERRAHLQGGTEDQLSLKDSLAAVRNATQAAARIQAAFRAYSFRKNIQKTDILQGIYDIFPTEVHGLSVASRSHKTLFGYHDQKFDKAAVSIQKNYRRWRRRKEFIKLRVNVVKIQTHVRAHLAKKKEKEFLWSVGILEKIMLRWYRKGVGLRGFRAELEPIDVEEEDDVIKVFRKQRVNKALDEALSRVISVVENPEARLQYRRMLETYQQAKAELSQCG >Ma08_p00710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:662208:675775:1 gene:Ma08_g00710 transcript:Ma08_t00710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIQAGFDINKLSEEAQSRWLKPVEVLYILQKHESFKITQKPPQKPPSGSLFLFNRRVLRYFRNDGYSWQKKKNGKTAREGHERLKVGNSEAINCYYARGEKNSCIQRRSYWMLDPAYEHIALVHYREIIEGRNVPESTSSISNESSLTLRYGTSVSNDQAQCFPSHTSEFNEPCQNSCSPGSVEEVNSIFDRGSNGTTLLNGMERSESCHELRLPEINIALRNIEEQLSLDTDDEDSFVCSKTELLRCSNQNVETHGLQILNHETRNPQEETHRNSFDEFKQMSNGHIEDSMHNLLNNSDIWYEQSQFEVPFGTEPSLTVPQGHLFTIREVSPEWAFSSENTKVLITGNFLCSPSECAWAVLFGDIEVPLEIVQDGVLRCRSPQHMAGKVKLCITSGNGKPCSEVHEFVFQEKQERTSSSRALSPADAIKSSNELLLLVNLVQILFSGHSTVVSQLEREQEVNPSWEKKRLKNQLELIIESILVESEPPEVIINLVLQELLKNKLQHWLTSKHEGNPDEGSVLSKHEQCIIHVISGLGYRWALHPILNSGTCINYRDSNGWTALHWAARFGREEMVAALLAAGASAGAVTNPTSEDPAGKTPASLAVDNGHKGLAGYLSEAALTTHLFSLTTEKTKILEGSASMEADRGVDNIYERRAHLQGGTEDQLSLKDSLAAVRNATQAAARIQAAFRAYSFRKNIQKTDILQGIYDIFPTEVHGLSVASRSHKTLFGYHDQKFDKAAVSIQKNYRRWRRRKEFIKLRVNVVKIQTHVRAHLAKKKEKEFLWSVGILEKIMLRWYRKGVGLRGFRAELEPIDVEEEDDVIKVFRKQRVNKALDEALSRVISVVENPEARLQYRRMLETYQQAKAELSQCG >Ma08_p00710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:662299:675775:1 gene:Ma08_g00710 transcript:Ma08_t00710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDINKLSEEAQSRWLKPVEVLYILQKHESFKITQKPPQKPPSGSLFLFNRRVLRYFRNDGYSWQKKKNGKTAREGHERLKVGNSEAINCYYARGEKNSCIQRRSYWMLDPAYEHIALVHYREIIEGRNVPESTSSISNESSLTLRYGTSVSNDQAQCFPSHTSEFNEPCQNSCSPGSVEEVNSIFDRGSNGTTLLNGMERSESCHELRLPEINIALRNIEEQLSLDTDDEDSFVCSKTELLRCSNQNVETHGLQILNHETRNPQEETHRNSFDEFKQMSNGHIEDSMHNLLNNSDNLRWLHGSPFQQSYSSEADYYVANHSCMKLGTDEAPISAENSAFASYHSDIWYEQSQFEVPFGTEPSLTVPQGHLFTIREVSPEWAFSSENTKVLITGNFLCSPSECAWAVLFGDIEVPLEIVQDGVLRCRSPQHMAGKVKLCITSGNGKPCSEVHEFVFQEKQERTSSSRALSPADAIKSSNELLLLVNLVQILFSGHSTVVSQLEREQEVNPSWEKKRLKNQLELIIESILVESEPPEVIINLVLQELLKNKLQHWLTSKHEGNPDEGSVLSKHEQCIIHVISGLGYRWALHPILNSGTCINYRDSNGWTALHWAARFGREEMVAALLAAGASAGAVTNPTSEDPAGKTPASLAVDNGHKGLAGYLSEAALTTHLFSLTTEKTKILEGSASMEADRGVDNIYERRAHLQGGTEDQLSLKDSLAAVRNATQAAARIQAAFRAYSFRKNIQKTDILQGIYDIFPTEVHGLSVASRSHKTLFGYHDQKFDKAAVSIQKNYRRWRRRKEFIKLRVNVVKIQTHVRAHLAKKKEKEFLWSVGILEKIMLRWYRKGVGLRGFRAELEPIDVEEEDDVIKVFRKQRVNKALDEALSRVISVVENPEARLQYRRMLETYQQAKAELSQCG >Ma08_p00710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:662299:675775:1 gene:Ma08_g00710 transcript:Ma08_t00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIQAGFDINKLSEEAQSRWLKPVEVLYILQKHESFKITQKPPQKPPSGSLFLFNRRVLRYFRNDGYSWQKKKNGKTAREGHERLKVGNSEAINCYYARGEKNSCIQRRSYWMLDPAYEHIALVHYREIIEGRNVPESTSSISNESSLTLRYGTSVSNDQAQCFPSHTSEFNEPCQNSCSPGSVEEVNSIFDRGSNGTTLLNGMERSESCHELRLPEINIALRNIEEQLSLDTDDEDSFVCSKTELLRCSNQNVETHGLQILNHETRNPQEETHRNSFDEFKQMSNGHIEDSMHNLLNNSGTDEAPISAENSAFASYHSDIWYEQSQFEVPFGTEPSLTVPQGHLFTIREVSPEWAFSSENTKVLITGNFLCSPSECAWAVLFGDIEVPLEIVQDGVLRCRSPQHMAGKVKLCITSGNGKPCSEVHEFVFQEKQERTSSSRALSPADAIKSSNELLLLVNLVQILFSGHSTVVSQLEREQEVNPSWEKKRLKNQLELIIESILVESEPPEVIINLVLQELLKNKLQHWLTSKHEGNPDEGSVLSKHEQCIIHVISGLGYRWALHPILNSGTCINYRDSNGWTALHWAARFGREEMVAALLAAGASAGAVTNPTSEDPAGKTPASLAVDNGHKGLAGYLSEAALTTHLFSLTTEKTKILEGSASMEADRGVDNIYERRAHLQGGTEDQLSLKDSLAAVRNATQAAARIQAAFRAYSFRKNIQKTDILQGIYDIFPTEVHGLSVASRSHKTLFGYHDQKFDKAAVSIQKNYRRWRRRKEFIKLRVNVVKIQTHVRAHLAKKKEKEFLWSVGILEKIMLRWYRKGVGLRGFRAELEPIDVEEEDDVIKVFRKQRVNKALDEALSRVISVVENPEARLQYRRMLETYQQAKAELSQCG >Ma04_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:306892:308161:-1 gene:Ma04_g00280 transcript:Ma04_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEVDLSSHLLLPSPEMTQSFDEFLRRTTTCTHTHTCNPPGPAAAAHTHTCYHTHTQVFATGEEEGPGEEEPNKSRRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRLLNQQLLRRLQGQAALEAEVVRLRDLLADLRGKIDAEVGGGFPLLLKKCSPAGVHCDSNGQCVEVIDWEGSCVPVVVDCQIDPNGDDRAELGDR >Ma05_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7790802:7791571:1 gene:Ma05_g10680 transcript:Ma05_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRGEASSLLLVTLLVTLLAFFATDSSAARVTPHPQSLARAALSALGVRKDPPCCTCVCPLIYPPPFCFCGGVWQGFCPSACTNCECVLNECTCIDRVDPKACEADSCSSLDAAPKVEPSQQWAIEETGGKLATMA >Ma00_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5412969:5422922:1 gene:Ma00_g01280 transcript:Ma00_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEKDESGRTTLPFYLYVMRTVLSIFLLSGILSFFIQGFLQKTSDEIEHYILRDLLLFLLEKKYMSTNYIDVIRYMYEIKFFIFCIGKDRGRSNKMLLENLDKYLTVLNLALCRLNDGKRPCGRPQMIEVLRVVGYMFLALDKDHNGTISKQDLKEYADGTLTEIFIERVFDEHVRWSKTGGGNAREMDFESFLDFVLALENKVTPEGLTYIFKSLDLQGRGYLTTAEIYTLFRDVHQKWIEGGHYDLCIEDVRDEIWDMVKPVDPLRITLADLLACKQGGTVAGMFMDVCGFWAHDNRENLLLEEEELEEE >Ma02_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6791599:6792864:1 gene:Ma02_g00830 transcript:Ma02_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTRPLPLLLLLLFALALLFLLECNCLSLICRLAWNRSFPNLGAPIAKAPPLRFLGGESGHRNGKTYVSSRFYSDSVDGRTPPASPATTRRAPTSKQRGNLLLKILQTNAKSRTFAKRTDDFFRGHRSGPPCKDRFFMAWISSLEAFGPRELFSVESLFKSHPHACLLIVSNTMDSTHGSLLLKPFVERGFRVAAVSPDFTHLLKRTPAAPWFHRLRRREVSPGEVPLGQNLSNLLRLAVLYKYGGVYVDTDVIILKGFGGLRNTIGAQAVDAGTGNWTRLNNAVMVFDRRHPLLYKFLQEFAMTFDGNKWGHNGPYLVSRVAARIRGKPGYSFSVLPPPAFYPVGWNKISTLFSGPRNQSHSRWISENLGWIRGASYSLHLWNKQSSRIKVEEGSVISRIMLDSCVICNLSSSLGHKE >Ma05_p29190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39954398:39955785:1 gene:Ma05_g29190 transcript:Ma05_t29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPYLANSSTISPTHSPTTPPRETRGEASLDYDVVVILAAMICALVCALGLNSMLQCVVRCTRAALAEPAGWVTHRRLNAGLKREDVVALPVATYAAAPQQAPAGCAICLSDFSDGEKIRVLPACGHRFHVVCVDTWLLSHCSCPTCRRRLSPHGAEAPLEMAIAP >Ma07_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11264128:11265443:-1 gene:Ma07_g15000 transcript:Ma07_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTARFLHFPPPLPSPPRPKPRPLFSLLRPSLALSPNSPLPYKFPSLTLSLGPNHKHLALPPQALSKTSSLPLPPFDLDLDRVTPLAVCKWSAVLAIAIAAVKKAVSLVLNPFFWTYFSWTWIFWPWISAAALAAYGLLCFRKHSLGRASILEQLAVVTAAVAWLTVVPPAHFNGFLEGWPVVLFFVYHYFFFFESSVRRRLYGDLYARPHDTKWDVRLPGPFQVGFAVLVLVGHWLAAYEGPELHMISGGWANAGVWALVAVTLFMRYHSVLYLANYSEKVVVPTAVVQFGPYRWVRHPIYASTMLLFSLHCITLRAPLSLLFLVAVCLVYYGRKAEFEEALMVESFGERYTEYMNKVRYRLIPFVY >Ma07_p15000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11264128:11265443:-1 gene:Ma07_g15000 transcript:Ma07_t15000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTARFLHFPPPLPSPPRPKPRPLFSLLRPSLALSPNSPLPYKFPSLTLSLGPNHKHLALPPQALSKTSSLPLPPFDLDLDRVTPLAVCKWSAVLAIAIAAVKKAVSLVLNPFFWTYFSWTWIFWPWISAAALAAYGLLCFRKHSLGRASILEQLAVVTAAVAWLTVVPPAHFNGFLEGWPVVLFFVYHYFFFFESSVRRRLYGDLYARPHDTKWDVRLPGPFQVGFAVLVLVGHWLAAYEGPELHMISGGWANAGVWALVAVTLFMRYHSVLYLANYSEKVVVPTAVVQFGPYSLLFLVAVCLVYYGRKAEFEEALMVESFGERYTEYMNKVRYRLIPFVY >Ma04_p36740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34977600:34979605:1 gene:Ma04_g36740 transcript:Ma04_t36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALASLLISCPVRHRALSLAPTPAPLVSGGGCSSPRPPGERLGPTTRRPHIIRCSAANKPSPSTEISSTAKIRSEVLSPFRSVRMFFYLAFMASGALGGLISITRLVPALVNSSRAADLPEILKGFGIDLGAVLLFAFLYTRESSAKNAQLAKLSREENLSKLKLRVDGNRSIAVGDLRGVARLVILAGPASYIADSFARSKPYTDGLVERGVLVVPFATDGNTPRFDLDEIDEEDEAIVDKKKRLWRLSPLYTSEWAKWLDEQKKLANVSLDSPVYLSLRMDGRVRGSGVGYPPWNALVAQLPPVKGLWSGLLDGMDGRVL >Ma11_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5822726:5824401:-1 gene:Ma11_g07320 transcript:Ma11_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRAATMASQPRSRSHSKFSAATAASSGEVSAGTGSRPGRLPPTSNHSDSSSGPKPSSSASSFAASSSSSALSLASLRGALPEAPAVYHFPELCAATNNFLAKRLPGASSSAWRCVLRGRDVVVIQRPLRRHPRELPARLAALGRSHHSSLVRLLGASPSGDHVYLVYEFVPGASLAECLRNPRNPGFTPLSSWASRVQVATDVAQALEYIHRHSSTATGVHNRLKSSAVIITEPDLRAKICHFGAVDLEGEVPDTVADEAEAISISSPSTRKGSSEKQKQIEGARGYMAPELLAEGVVSRRSDVFAFGVVLLELFSGEEPLKYRYDKDRKSFEVASLIETAREAVAGEGEERLGRVRRWVDGRLRDSFPVGAAEKLIRVALRCVEADAAARPDMTWAAGKVSKIYLESKVWAEKVSVPTGFSVSTAPR >Ma07_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8699140:8701009:1 gene:Ma07_g11690 transcript:Ma07_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSTHRCHFLFLFLLSHFTFSTYPAVARHLPPGSTRSLATATLDVSATRAQALQALTFSPSSHQPPSYLVPHNHSSAAAISLAFHSRDFLPSSAGHRHPDYKALTLARLRRDAARVRSIAARAALAVSGVAASDLKPVAEEKAAPIAAADSIEGPVVSGTSVGSGEYFSRVGVGSPARPFYMVLDTGSDVSWLQCLPCADCYQQTDPVFDPSASSSYAPISCDSSQCRSLDASACRSSSSTKAAVASGGEGSCLYQVSYGDGSFTVGDFATETLTFGRSDPVSGVAIGCGHDNEGLFVGAAGLLALGAGPLSFPSQISARSFSYCLVDRDSSSSSTLVISAGAAQSGEAPAVTAPLLRNRRLATFHYVGLTGISVGGQMLSIPPSAFAMDESGAGGVIVDSGTAVTRLQGPTYTALRDAFRAGTASLPSASAWSLFDTCYDLSSRTSVEVPTVGFHFPSSKELRLPAKNYLVPVDDAGTYCLAFAPTSASLSIIGNVQQQEIRVSFDLDNAVVGFSPNKC >Ma11_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16896058:16913308:1 gene:Ma11_g12950 transcript:Ma11_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin-cholesterol acyltransferase-like 4 [Source:Projected from Arabidopsis thaliana (AT4G19860) UniProtKB/Swiss-Prot;Acc:Q71N54] MAVLEDLIRSIELCLRLVKKQQPLVDPNLDPVLLVPGIAGSILNAVDDNGKEERVWVRILGADHEFRAKVWSQFDPATGKTISLDEKSRIMVPEDRYGLYAIDCLDPDMIVGRDGVCYYHDMIEQMITWGYQEGTTLFGFGYDFRQSNRLQETLDSLSAKLESVYVSSGGKKMNIITHSMGGLLVKCFMCLHSDIFEKYVKSWIAIAAPFQGAPGYITTGLLNGMSFVEGWEGNFFITKWSMQQLLIECPSIYELMACPNFCWEDVPLLQMWRQKHDGSGKSSILLESYEPLEAITLMNEALAKNTVAYGSMQVPLLLNLEILKWANETHEILSRAKLPDAVKFYNIYGIHNDTPHSICYGNQESPISDTEQLVSAQPKYICVNGDGTVPAESAKADGLNAAARVGVPADHRGIICDRHVFRILKHWLKAGEPDPFYNALNDYVILPTAFEIERHTEKSMQVAALKEEWEIISTVADEDNKPADLPPMVGSLSVSCGNNVQSMEKAEATVLVHPQSKGRQHVEVRAVSVTMGAL >Ma09_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11054025:11061370:1 gene:Ma09_g15720 transcript:Ma09_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKNKAAKANKKRRNVALSKSLAPNNRKIMKINNKKEQRRRHGPRIPTALHKDLKRLNPERSHDESDWESEEMMEENAYEYEEAVAEEEARKNRRFDSVENYEYELPEDFEDEDVPSDDEMDDEIPSEDGQDGDKHLRMLEGITGLPSQAFEGKERKKFILSDFQGDSVDGRINIHDLLDPLHGKPGYSKLRKRLHQLERKPLAVQAPLPKVEREKLERKIAYERAKKDVTKWEPLVKRNREAPTLYFDEDVNLGYSTVGAIASEFTPRTEFEKKMSLLVHNPEVVEAHNKDGARLLELNKISVEDVRDHQNRLAKMRSLLFRHEVKSKHIKKIKSKTYHRILKKERLKEVSADVEMDPETMKDNARKQEFKRAEERMTLKHKNRSKWAKRILKRGLTVQDEGTRAAITEQLNQHALLTRKMNSLKDTSSSDEFSDDNDDADEEFSPGTEREDTFRLLNKAKENTLKAIEDEDELPKSGVFALPFMERGLKKRQEAAEEEARIALHEYDASLRQLENENDVESPKSTKVSGRKVFGPPINKTQESSSRKESYNADKSSDSEDDFEAVDCVDVGHEVKNHSQELHLVAALHDDPEKTHDSIFKSFDDIMKHPGTKTTYEVAIFASDSWKKMKGENVGDDSTTRDEVVQNPQEPNSNSIDQDNDDDDSEEEMVDGFLPSSLKYDYKLPSQTDLIHRAFAGDDVEAEFEMHKLDILNEENPEPEKPVLLPGWGQWTDIQQKKGMPSWMLKEHENAKRKRDDALKKRKDANLKHVIISEKVDKKAEKLLTKTLPFPYTSKEVYEQSIRMPIGPEYNPAITAGALNRPVVVKKAGVIIKPIQYEEVDPHEKPEQPKRIVQKPNARPKAKKAKSAGGRPTKKTSMGKSS >Ma01_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14817407:14819581:1 gene:Ma01_g19300 transcript:Ma01_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLCALKQLMTL >Ma09_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4062170:4080153:1 gene:Ma09_g06360 transcript:Ma09_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARAASSLLLLRRRNLTSAAPSLQMDSPSSFSLPPPERYIYNPTLRWNPEVEEYFNAAYGADHFARISKSLTHPSSYSCIRVNTLKSTSEAVIRKLIAILNEKRLENAAEGDEVPKEGSLLDSSIRNVIDSRLLQDNDTPIGTSESPSICKCPYPGLDNVVFVKGSGPHMLQYGIQPCQPMKEVIVSRKCAEAVLRGAQVYVPGVLACSAHVEKGDVIAVSVAVEQRMSDGGWGVGFTRGTVLQGLESDPHYHERNGLYIGQGTTSLSRASMFRVSEGIAVEMTNRVYKLPSFYDVLEGEIFLQNLPSIVTAYVLDPREGERILDMCAAPGGKTTAIAILMRDNGEVVATDRSHNKVMDVLKLAAEMDLTCIKAYKLDALKSVQRTNGMLYSEKTDSNNDEPDIAAVNSCLSSFSTDVKINIEMEKCSINATPSETVSNGKTDGRHLSNAAKRKNAPRQRNGPGRNQSLGGRVETSKGFMPNSFDRVLLDAPCSALGLRPRLFAGEETMESLRNHGKYQRRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDKYKFLSLASQHPKIGGPGIVGRCDLFGGKYVEEWLTENESELVQRFDPSSSLDTIGFFIAKFVVGEKDF >Ma08_p27280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39581894:39582706:-1 gene:Ma08_g27280 transcript:Ma08_t27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMASSFHRLVLPCLLAVAMLTCTGSVEARRAHVLLASAAAPAAQRKPQTSAEDQFLTGHDQARAAVAVGQLRWSAKLGSEASRVVAQQKEKGCGFADLESSPYGANQLWTSYPVKPAEAVRSWVEEGKYFSYANNSCAAGHECGTYTQVVWRNTAEVGCAQANCVGQGATLTLCLYNPPGNIQGQKPY >Ma10_p02070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7152480:7171976:1 gene:Ma10_g02070 transcript:Ma10_t02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSSTGGVRSLWLLLWLGLAQGFGLADSVAAALKVPFRVKDVLPILPHQISWPVMNNLHSAVDLLPSFVGSVVPGAGTVGWKGACFFENEARLDFTEHVSGGGNESSLNGGILHLKTDAAHSWTCMDLYVFATPYRIMWDYYFSAREHTLVITSWEEPAEMEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNANIAFLEKHMDATFQRRSQPHQATIRVEDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDAMGNLWVGESGHENDKGEEIIVVIPWDEWWDLALKDNSSPHIALLPLHQDLHAIFNETAAWDYARSMSGKPYGYHNMIFSWIDTVAENYPPPLDANLDLDLHEIIVETQRQGMTFDQLLTMPEQDEWIYSDGKSTTCVAFVLELYKEAGILGPLANSIQVTEFTIRDAYMLNIFENNQTRLPSWCNALEEKLPFCQILGYYRMELPQYNTIEPYAHMNENCPSLPPAYTRPERC >Ma10_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7152480:7171974:1 gene:Ma10_g02070 transcript:Ma10_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSSTGGVRSLWLLLWLGLAQGFGLADSVAAALKVPFRVKDVLPILPHQISWPVMNNLHSAVDLLPSFVGSVVPGAGTVGWKGACFFENEARLDFTEHVSGGGNESSLNGGILHLKTDAAHSWTCMDLYVFATPYRIMWDYYFSAREHTLVITSWEEPAEMEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNANIAFLEKHMDATFQRRSQPHQATIRVEDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDAMGNLWVGESGHENDKGEEIIVVIPWDEWWDLALKDNSSPHIALLPLHQDLHAIFNETAAWDYARSMSGKPYGYHNMIFSWIDTVAENYPPPLDANLVMSVMSMWTRLQPSYAANMWNEALNKRLGTEDLDLHEIIVETQRQGMTFDQLLTMPEQDEWIYSDGKSTTCVAFVLELYKEAGILGPLANSIQVTEFTIRDAYMLNIFENNQTRLPSWCNALEEKLPFCQILGYYRMELPQYNTIEPYAHMNENCPSLPPAYTRPERC >Ma00_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:42990010:42992916:1 gene:Ma00_g04940 transcript:Ma00_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVDADKLSYEIFSVLESKFLFGLDDPNKLFFPTSSYSSAGASPRTAAGARGRIRILSIDGGGSPSDALLAAVALARLESSLRHRSGDPSARVAYMFDVAAGSGAGGVLVAMLFTRDHDGRPLFSATDALHLLLSESRRRGRGFSSGRGLFRGMFRRPGSFFRRIFGDATLRDTVKPVLIPCYDLATGAPFLFSRADAVEADGYDFRMWEVCAATCADASNAAVDLRSVDGRTRVTAVGAGVAMANPVAAAITHVLHNKPEFPFAAGVQDLMVVSLGASAPAPAAPGAAELVRIAGEGFADMINSSKAVAFVIIVRWIKRWRRHSDTSSSSSTSSSEGPGVRHLSPGSVRLEVGGSSALLASLKLWHDVESVVTEELLGELRVRYCIPESYSLSAPRPDQRPYDQFPQGFGLTVGALEAGLQFPLHPVIEDCLRTWGISPSQMAPNSWRYLVVFLGECSMAGIEPSRALFLACFRLCRGRGGYYLAARSGFRISGAPSNNKGWKSRFFFVSCSRGWGFSTGWTSRTVDNVLPLLSGRESTDVDRLRGILSSSRAIKKMTEEWLADVGLSPVAGGMV >Ma07_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8037221:8039283:1 gene:Ma07_g10760 transcript:Ma07_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADEEYDYLFKVVLIGDSGVGKSNLLSRFARNEFSIESKSTIGVEFATRTVHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHATFENVERWLKELRSHTDPSIVVMLVGNKADLRHLRSVSLEDAEVFAEKEKTFFMETSALESLNVENAFTEVLSQIYRVTSKKSLDVGDDAAVFPKGQTINMGGKDDVSAVKKRGCCST >Ma06_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11738297:11740536:1 gene:Ma06_g17270 transcript:Ma06_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTIHLTTLSLIVFVAILYTEKRSLEKPQQLKLIIQQEYVPVQSKESKWKDVGMPFTTEIANDISLQMANGSTTKGAGAPLAAGGTEKEKEEEEEEELDVDVDGEDDEYVPFAVGRTEEGCDVFSGKWVYDKASMPHYAEKECRYINPQFKCEAFGRPDRAYQFWRWQPHGCSLQRFNATFMLERLRGKRILFVGDSLSGGQFFSMVCLLDRHIARFRKGHKRSPSLTIFAAPEYNVTVEFYWAPFLVESNCDNSTNHRVKERVIHLYPGSIEKHAEDWKGADVLVFNTYTWWLTGTNMKTLRGASRDGAKFIKEMELEKAYRLVLKRMVRWLERNLDPLKTRVFFTSMSPTHFRSIDWGGDRQGNCYGQRMPIFDPTYWGSASKKSVMQVVSEVLGKTKLPITVLNITQLSEYRRDAHTSIYKQQRYPLTNQQRRNPRSYADCVHWCLPGLQDTWNELFYNKLFFP >Ma06_p17270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11738413:11740009:1 gene:Ma06_g17270 transcript:Ma06_t17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTIHLTTLSLIVFVAILYTEKRSLEKPQQLKLIIQQEYVPVQSKESKWKDVGMPFTTEIANDISLQMANGSTTKGAGAPLAAGGTEKEKEEEEEEELDVDVDGEDDEYVPFAVGRTEEGCDVFSGKWVYDKASMPHYAEKECRYINPQFKCEAFGRPDRAYQFWRWQPHGCSLQRFNATFMLERLRGKRILFVGDSLSGGQFFSMVCLLDRHIARFRKGHKRSPSLTIFAAPEYNVTVEFYWAPFLVESNCDNSTNHRVKERVIHLYPGSIEKHAEDWKGADVLVFNTYTWWLTGTNMKTLRGASRDGAKFIKEMELEKAYRLVLKRMVRWLERNLDPLKTRVFFTSMSPTHFRWQKH >Ma10_p30070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36463658:36464053:1 gene:Ma10_g30070 transcript:Ma10_t30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINHRSLRGVPPAPPMSPRMSFSSDFNMEPPPARTPGPPPDPDFEFSVGSRPMIAADQLFFKGRLLPLKDGHQGGGHHRITTLRDELRANDDEERPPKGSVRWKGLLGLRRPAPKKGDKKEDEFLGKYS >Ma10_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17429798:17432853:-1 gene:Ma10_g06010 transcript:Ma10_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLESLPEEDKIRMSAMIDQLQIRDSLRMYNTLVERCFSECVDTFRRKSLDKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAPTPD >Ma04_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9372194:9372770:-1 gene:Ma04_g12410 transcript:Ma04_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVPCFTLVPVRVTCKVWST >Ma04_p26470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27900724:27906704:1 gene:Ma04_g26470 transcript:Ma04_t26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMLRALRRRDLSPPYLSSRLRSLSSNAIMPWGAPLGHKWSSLVRPFSTKPAGNDIIGIDLGTTNSCVAVMEGKNAKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRRFDDPQTQKEMKMVPFKIVRAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGKIAGLDVQRIINEPTAAALAYGLNNKEGLIVVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALVEFLVDEFKRTEAIDLSKDRLALQRLREAAEKAKIELSSTSQTDINLPFITADASGAKHMNITLTRSKFETLVNHLIERTKNPCKSCLKDAGISSKDVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGVRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSDDEIEKMVKEAELHAQKDQERKALIDIKNSADTTIYSIEKSLGEFRDKIPAEVATEIESAVADLRKEMAGDNIDNIKAKLDAANKAVSKIGQHMAGSSGGSSSGGSQGGDQAPEAEYEEVKK >Ma08_p08790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6249422:6259028:1 gene:Ma08_g08790 transcript:Ma08_t08790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGSDGLGVGPVRFPSHHLHRLLLLRARQERSLLWNAGVNAPTSQSFLNYLLLAIFYGAYVIYRRRPLQINWYYYLILGIVDVEANFIVVKSYQYTSLTSVMLLDCWAIPCVILLTWLFLKTKYGYRKFTGVAICVAGIVMVVFSDVHASDRAEVTGGPNPVKGDILVIAGATLYAVSNVGEEFIVKEGDRIELMAMLGVFGAVVSAIQITILERNELKDINWTAGAVLPFLGFALALFLFYSTVPIILKICGATLLNLSLLTSDMWAVFIRIFAYHQKVDWMYFIAFAAVAVGIVTYSGGKKKDAENVQVAEASDERDKIKDEEAGEDHITQGFTAAVEGQSSENAKQQPFITISPN >Ma08_p08790.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6249400:6259028:1 gene:Ma08_g08790 transcript:Ma08_t08790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVDSESLRRSIREKWKKVWTKRALMGLVLGQFVSLLITSTAFSSSELARRGVNAPTSQSFLNYLLLAIFYGAYVIYRRRPLQINWYYYLILGIVDVEANFIVVKSYQYTSLTSVMLLDCWAIPCVILLTWLFLKTKYGYRKFTGVAICVAGIVMVVFSDVHASDRAEGGPNPVKGDILVIAGATLYAVSNVGEEFIVKEGDRIELMAMLGVFGAVVSAIQITILERNELKDINWTAGAVLPFLGFALALFLFYSTVPIILKICGATLLNLSLLTSDMWAVFIRIFAYHQKVDWMYFIAFAAVAVGIVTYSGGKKKDAENVQVAEASDERDKIKDEEAGEDHITQGFTAAVEGQSSENAKQQPFITISPN >Ma08_p08790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6249422:6259028:1 gene:Ma08_g08790 transcript:Ma08_t08790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGSDGLGVGPVRFPSHHLHRLLLLRARQERRECSDLAVVLELPSPCNILRRLCHLPKAATSVVKSYQYTSLTSVMLLDCWAIPCVILLTWLFLKTKYGYRKFTGVAICVAGIVMVVFSDVHASDRAEVTGGPNPVKGDILVIAGATLYAVSNVGEEFIVKEGDRIELMAMLGVFGAVVSAIQITILERNELKDINWTAGAVLPFLGFALALFLFYSTVPIILKICGATLLNLSLLTSDMWAVFIRIFAYHQKVDWMYFIAFAAVAVGIVTYSGGKKKDAENVQVAEASDERDKIKDEEAGEDHITQGFTAAVEGQSSENAKQQPFITISPN >Ma08_p08790.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6249400:6259028:1 gene:Ma08_g08790 transcript:Ma08_t08790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVDSESLRRSIREKWKKVVVVERRRECSDLAVVLELPSPCNILRRLCHLPKAATSVVKSYQYTSLTSVMLLDCWAIPCVILLTWLFLKTKYGYRKFTGVAICVAGIVMVVFSDVHASDRAEVTGGPNPVKGDILVIAGATLYAVSNVGEEFIVKEGDRIELMAMLGVFGAVVSAIQITILERNELKDINWTAGAVLPFLGFALALFLFYSTVPIILKICGATLLNLSLLTSDMWAVFIRIFAYHQKVDWMYFIAFAAVAVGIVTYSGGKKKDAENVQVAEASDERDKIKDEEAGEDHITQGFTAAVEGQSSENAKQQPFITISPN >Ma08_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6249763:6259028:1 gene:Ma08_g08790 transcript:Ma08_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDCWAIPCVILLTWLFLKTKYGYRKFTGVAICVAGIVMVVFSDVHASDRAEVTGGPNPVKGDILVIAGATLYAVSNVGEEFIVKEGDRIELMAMLGVFGAVVSAIQITILERNELKDINWTAGAVLPFLGFALALFLFYSTVPIILKICGATLLNLSLLTSDMWAVFIRIFAYHQKVDWMYFIAFAAVAVGIVTYSGGKKKDAENVQVAEASDERDKIKDEEAGEDHITQGFTAAVEGQSSENAKQQPFITISPN >Ma08_p08790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6249400:6259028:1 gene:Ma08_g08790 transcript:Ma08_t08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVDSESLRRSIREKWKKVWTKRALMGLVLGQFVSLLITSTAFSSSELARRGVNAPTSQSFLNYLLLAIFYGAYVIYRRRPLQINWYYYLILGIVDVEANFIVVKSYQYTSLTSVMLLDCWAIPCVILLTWLFLKTKYGYRKFTGVAICVAGIVMVVFSDVHASDRAEVTGGPNPVKGDILVIAGATLYAVSNVGEEFIVKEGDRIELMAMLGVFGAVVSAIQITILERNELKDINWTAGAVLPFLGFALALFLFYSTVPIILKICGATLLNLSLLTSDMWAVFIRIFAYHQKVDWMYFIAFAAVAVGIVTYSGGKKKDAENVQVAEASDERDKIKDEEAGEDHITQGFTAAVEGQSSENAKQQPFITISPN >Ma05_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5007840:5009764:1 gene:Ma05_g06810 transcript:Ma05_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSKVLADLRTRVGSIDRERPVVGILAFEAAAAMARLISLYRSLDDDEVRRLRTDMRSQGVTYLTSKDQAFLLRLACAELVDELDRAAAAVARFGHKCSDPLLRGFDRLYADLKAGGICLFLRDGRAADQERFGLGANVKSVEKWIKRMERYVAATSRLYAEMQSLNELEASERRIQQQWRRHSGPIPVQKPGVTPATHPVQLDLRSQRQKVRRLKDESLWSKTYDKAVDLMFRALITVFARICVVFGPCVLGFPVGRDRNHRVLMLQSNLDYPGKYSSGPLERLAAKGVTSLRNSAPIFMNKGALDKPCESLGKVLEAAPNTVGGSGLALRYASVIVLAEKLLTIKSIEGHGAQEEEEEAKEEAAAVAREEMYQMMPLGMRGTVRAKLGECWRREGGTTDASLAEGWKEAISAILAWLGPVAHDTLQWQEERNMERQQRFHTRPRVLIPQTLHFSDREKTETAIVEVLVGLSCMCWYEERGPESLRF >Ma06_p20110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14170851:14179363:-1 gene:Ma06_g20110 transcript:Ma06_t20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTARSLAPLLLILLVVAAASPASASESDHTYQNDDHVTLWVNKVGPYNNPQETYNYYILPFCQLPGNAAHKWGGLGEVLGGNQLVDSQIEIKFRKNVDKASICAINLDAAKVKQFKDAVESSYWFEFFIDDLPLWGFIGETDKTNENRHYLFTHKDIVVKYNGDQIIHVNLTQESPKLLEAGKSLDMTYSVKWIETDVKFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDASEESGWKLVHGDVFRPPCNLVLLSAVVGTGAQLAMLVLLVILLAIIGMLYVGRGAIATTFIVCYALTSFISGYVSGGLYSQNGGKSWIKSMILAASLFPFMCFGIGFILNTIAIFYGSLAAIPFGTMVVVFIIWAFISFPLALLGTVVGRNWSGSPNNPCRVKTIPRLIPEKKWYLTPSIISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTMCVTIVGAYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYYMKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTMFVRRIYRNIKCD >Ma06_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14170851:14179363:-1 gene:Ma06_g20110 transcript:Ma06_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTARSLAPLLLILLVVAAASPASASESDHTYQNDDHVTLWVNKVGPYNNPQETYNYYILPFCQLPGNAAHKWGGLGEVLGGNQLVDSQIEIKFRKNVDKASICAINLDAAKVKQFKDAVESSYWFEFFIDDLPLWGFIGETDKTNENRHYLFTHKDIVVKYNGDQIIHVNLTQESPKLLEAGKSLDMTYSVKWIETDVKFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDASEESGWKLVHGDVFRPPCNLVLLSAVVGTGAQLAMLVLLVILLAIIGMLYVGRGAIATTFIVCYALTSFISGYVSGGLYSQNGGMILNKLPTVQCSHDIYSMIYCVLLWCDCSFIFAAVIVCLMHGFLFSGKSWIKSMILAASLFPFMCFGIGFILNTIAIFYGSLAAIPFGTMVVVFIIWAFISFPLALLGTVVGRNWSGSPNNPCRVKTIPRLIPEKKWYLTPSIISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTMCVTIVGAYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYYMKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTMFVRRIYRNIKCD >Ma04_p39230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36417407:36419042:1 gene:Ma04_g39230 transcript:Ma04_t39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGFLELLGSGGEQSGYLFDAPSSLSSPSSSCYSSLTAAQMLCFGGKEEAALAPHGALAQRSADNSSISSLSSSPNSTATTTTTTAININSGSSKTSKKKAGSGGGGGGRRTASVTTTSTTLNANKKPKTEACASASHGAIKVRKEKLGDRIMALQQLVSPFGKSDTASVLHEAMGYIRFLHDQVQVLSSPYLQGMSSSDHIQDGRGNDLRSRGLCLVPISCTEHVTNSNGADLWSPAMGGGEPSPSPSSKH >Ma07_p26360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33185845:33187341:-1 gene:Ma07_g26360 transcript:Ma07_t26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAMDGRMSLFDTVFSFLEEGGGVGGGGGSAEGGCHDGGDALDSGDDEEGTSHSTAEKKAFWESQQQLLREALSRTSSTEAKLLQRTKDAVTKMQAEGVVCTCSNSMAKECRNCALAYITRQLHQLGYNSALCKSKWTRSPDIPSGEHSYVDVVMETKGGKKGPVRLVVELNFRAEFEMARGSQEYNRLVSFLPEVFVGKSEKLRGVLKIVCAAAKKCMKENKMHMAPWRKHKYMQSKWLGTPERAGPRVMSFPPTVSERQPKRKASMLTFDLHLTAVRVV >Ma03_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1003979:1005707:1 gene:Ma03_g01360 transcript:Ma03_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQQASLDRQERPEIDLIFTIYLLGHSNTGNNHRKQIIIGVCVGVGCLAALSLLCLVYVCRRKRPQPSASSVLLARPVASSDPESGIEQYHTQVFTYEELEAATDGFSASNKLGDGGFGAVYKGKLLDGRTVAIKRFYRNNYRLVEQFVNEAYILSSLRHQNLVVLYGCTSRHSRQLILVYEYVPNGTVADHLHGPRAREAALAWPLRMRVAIETADALSYLHATTPQIIHRDVKTSNILLDAGFHVKVADFGLSRLFPANATHVSTAPQGTPGYVDPDYHQCFQLTDKSDVYSFGVVLAELISSKPAVDVTRQRHDINLATMAISKIQNQELEQLVDPTLWCQSKGETRTMIEQVAEVAFRCLQAETEIRPTMKEVLEALKAIQDEGCSRAKGVEADAAANDEDCLLGEKPSQSPDTVTANWESRSTTPHRSG >Ma02_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24518212:24520023:1 gene:Ma02_g17710 transcript:Ma02_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQRAQAVHGRRPPASLLADSTVSLSLSSVPTAPSFPFSSRQSHYRCIHYLPNSLQCLHAVDRKPYACVINKSSRGRVLPCLTRLPERTMTAEQGGSATHLCVQRKAADKTWVTLDGVPYRSDQRDEDRRHENNHLDYSRNDRRRQPLSYGGGKRKEAPPAPLLPPQGPAFAGWSMGDVAGVARHHYVPCAFALSLLLFMAVEYTIPMVPSTSLPLDIGFILTKSLHSVLATNLALNTVLAALNTVFVGMQTLYILWTFVVEGRPRPTIAALFMFTCRGILGCSTQLPLPQGFLGSGVDFPVGNVSFFLFFSGHVAGAVIASLDMRRTRRRGMAWAFDALNLLQSVRLLASRGHYTIDLAVGVGAGFVFDVLAGKYEESWTKPAGEHRRACCSCSSG >Ma03_p30890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33337676:33345156:-1 gene:Ma03_g30890 transcript:Ma03_t30890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGVGGKIKKEDVQSAVDQYEKYHVCYGGDEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQMGLKPGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGTELNRIAGVSESCDFVKADFMKMPFSDNTFDGIYAIEATCHAPDAVGCYKEIYRVLKPGQCFAAYEWCMTDHFDPNNESHNKTKAEIELGNGLPDIRTTRECLDALKLAGFEVVWEKDLAVDSPVSWYLPLDTSKFSITSFRLTAFGRFVTRTMVKTLEFVGIAPAGSNRVSSFLEKAAEGLVEGGRKEIFTPMYFFLVRKPLADS >Ma03_p30890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33337676:33345167:-1 gene:Ma03_g30890 transcript:Ma03_t30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGVGGKIKKEDVQSAVDQYEKYHVCYGGDEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQMGLKPGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGTELNRIAGVSESCDFVKADFMKMPFSDNTFDGIYAIEATCHAPDAVGCYKEIYRVLKPGQCFAAYEWCMTDHFDPNNESHNKTKAEIELGNGLPDIRTTRECLDALKLAGFEVVWEKDLAVDSPVSWYLPLDTSKFSITSFRLTAFGRFVTRTMVKTLEFVGIAPAGSNRVSSFLEKAAEGLVEGGRKEIFTPMYFFLVRKPLADS >Ma10_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22499916:22501646:1 gene:Ma10_g08220 transcript:Ma10_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLKHLDITGGEAIKTIGPEFLGRKFPGASAFPKLKYLEFHEMPNWEEWSVWGMEENGQGPHLKLFPNLKTFKMIDCPKLRALPEGLSHATNLKELYLERTQDLREITNLRLNYKLEVKDNTMLNRISDLSMKYLKVEDCPNLEYVENLDRLQQLVLICPRQMKQLPQWLSTLIQQRQSIPSTQWSFRKLELQCNKVLLKSCLKGNGNWHNIQQIPDVIIQTYSRKKYIRYSKHPPMYDAKV >Ma05_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:774751:776492:-1 gene:Ma05_g01330 transcript:Ma05_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRFSSDAFGVVTISLVILFHVLAIRCIYQVVYLQVRIHRRDFLQLGYFNGPWITRIFLVIVAIWWSLSEIARLSFLKGRIFSSITWQQNFCKIYILFNLGFSEPSVFLTLVFLLRASLRRRELGTLSQGWNKKTISYVFLYCIPIFVMQIFVDFAGPRFFSEAKNDGGAKKLNYFTKASVLIGDECVCTYPLFSTILLGLFHAVLISYVSYIGMHVFLSVINKRLLQRLYWLVSSVIFSLPVRVLLLGFSVLPQPGNLAYELIVFLAFLVMLFCTVIGILVLVYFPVADLMALRKPEEREVEGMPYDDYFNDSASLVANQSRHDTRRSSDVSTMRGSISFRTMIRDDTPDLDISDETNLSFHGALHIGSPSVSSSTPARPMLPLREVPRY >Ma01_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9324902:9327985:-1 gene:Ma01_g12720 transcript:Ma01_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRRSSTIPPPTPSWAAANAPAPTPLATDRRPRRSRVKQVGSRYLSPSHSSSSERQLLAASNGFPPPSSLSLLPQKHRQQKPHAPEETDENQPLASIRRSLETPLPFSAQSKPPGTLKKRAVVRLFADNSRNDVAEQPPRLAESSRRPRPGTPMARPVVVNNTGVPRTNPRPPTPTRVSLFPPEGHGRAYGSSDYRWEETSSENSFSDPETCTVSSQGGLCYSPPLLPPAPCRPRSSAEILSSMREADPLPTLSARPAAVDACSGWQGAAEDPTFRASTTSLCFRSLSSAISCRQQQHVLNLSRSVSRPLFSSRPPQPPCAKPGAEVKKGRKAVGWQEDAHVLRLLDNHYIQWRFVNARAPRAVEPRRVAAEKSLYGLSVRIAKLQSSVTEKRIRLEQIKRRESLLSIIHHQMLHLDEWTILEDEHSRSVLGATKALQDASLRLPVTGNARVDMRELKEVLDSALLMVDSLTPCVARFLPKAEDIDDAASDLASVISTQRALIEECRNLLSQAHDLQVKECCLRTQLIQAKQSNT >Ma03_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22869418:22871157:1 gene:Ma03_g17510 transcript:Ma03_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAALSRIGLAGLAVMGQNLALNVAEKGFPISVYNRTASKVDETVSRAATEGGLPLSGHRSPQDFVLSLRRPRAVVILVKAGAPVDQTIAALSHFMEPGDAIIDGGNEWYENTERRIREAAARGILYLGMGVSGGEDGARHGPSLMPGGSLQAYRNVEDILTRVAAQVDDGPCVTFVGEGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRVVGGLSNSELAQTFGEWNRGELESFLIEITADIFGVHDEHGGGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEEREAASKALEQAGIGRGDFSVGRSIDKRRLIDDVRQALYASKICSYAQGMNLLGAKSAEKGWNLNLGELARIWKGGCIIRARFLDRIKKAYERNLGLANLIVDPEFAREMVQRQAAWRRVVGLAIEAGISTPGMCASLAYFDTYRRARLPANLVQAQRDYFGAHTYERVDRPGSFHTEWSKIARQSKIGAGILN >Ma09_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3996266:4001482:1 gene:Ma09_g06230 transcript:Ma09_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEGRETAPSRPPNPALPYREDCWSEGETSELVDAWGDRYLELNRGNLRQKHWQEVADAVNSRRGASGRRPPRTDVQCKNRIDTLKKKYKIEKSRIVTGGGLAAAASQWPFFSRLDTLIGSSAAAAAPLAKKPSRSPPLAVPLPYHRKGFPLPVAAAAAVRPLDLREKRPAATAFSVDDSIFRRAAAAAAAAEDDDDDEDADMGSPSGSSSRSARGWRRAREKEGDGIRELARAIERFAEMYERVEGAKQRQMMELEKKRMEFSKELEFQRMQIFVDSQVQLAKIKRAKRSDADGYM >Ma04_p26100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27684184:27684648:-1 gene:Ma04_g26100 transcript:Ma04_t26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARCMSMSGNIGHGWCSCF >Ma03_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23543625:23549988:-1 gene:Ma03_g17950 transcript:Ma03_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MEQEIKAEFESSGFSVDDDDQILQKCLTYCINYKLSPSDLVSNWEIYYLNRQLNGLKLENAHMDGFLSYLQNETKQKIIKEDSHLHVYSSDDVEMLLGDDHDSTVGILNTPNSQYEKLHVDTYNSVSTPKTKENLLSSKDATDISSRITPFGQRISKFTSQFVFNSESVENRLSGQELNDMEDDIIRRVQTTERCNLQVHHLQPQSGCRFMYDRTKDRFNYLDNRIRKHANAFTSYGLYGEPSDATLASQKNTFAVGMVCCDGEGHLNEKSILMQGSTELSGGRRVRLDLQKLAHFSLFPGQVIGVEGHNPSGHCLIATKVIDYMPSSLDVDLPPAKKLAMDQDYQPTSSNDSRVLSLLIAAGPFTTTDNLLFEPLKELLAYASRRQPQLVILMGPFVDSDHPEIKRGTVDRVFDDIFHVEILRKLYDYTKYMGPSAHVILLPSIRDAHHDFVFPQPAFDIRQPDDISHQIACLPNPGLFSANEITFGCCTIDILKQLSGEEISRTSTDTMSGDRMGRLATHILGQRSYYPLYPPSLGVPLDLSLAPEALDIPLIPDILILPSDLSPFVKALSHKENDAEAATKCMCVNPGRLAKGIGGGTFVELHYNSEPEKSNASIIRI >Ma09_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13514203:13515189:1 gene:Ma09_g17910 transcript:Ma09_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYGTFDALMCRAFSTTLRGPARTWYNGLKTGTIASFDQLVKDFELNFLAYARFFWSLVERPPTAVPKMLQQGNQFIAAENWMVEKWGEHKRVRPEPTRGQQSATPRRRSDRPDPPALRSPLPPLGASRTEIFLQIREKGLLRAPNPMKSPRELADQSKYCHFHRQNGHDTEECRELKWQIEELVHRGHLSRYIRWSRELSPHSEGPVERQIDVITGGPASGGNSMSGRKAYAHSINTDPPKCDPDPEVAFPPEGAERSEHDDALVITSRIANT >Ma09_p29450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39888899:39890692:1 gene:Ma09_g29450 transcript:Ma09_t29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSGNCKMAGHVAEEGAGAPRSSMLYMVAAKARHLLASPGAAGTLIFASVFLVLAYRRKKRSSTAGPVDSKKTPTASQEVVRQQSRANPALYRSVSLAMLHGGEKAMQRLMDAHEACRDESRLSSALDDMRNELDKDRMDFNKLHAIIPRLEMSGKEKEAITILQEALKKAKTDQQTHKTYELEMLLVEMLIYEGEYDKALRQACLCSEDVSTADARVPLYQATVYAMKGETDRAKERYEKFKDIRKTYHGSKFDSTGSALHMTLPEFDRFEIVANNLKKTIWQAHQTKTIAQPPETKTADGRVDKETHHAQQQEKALANSGKK >Ma03_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4910965:4916967:1 gene:Ma03_g07160 transcript:Ma03_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSRALRRLPSRKLRLAPYPIPSYHWKVSEKECGSKKTTVALEKKDWEDATCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKMSPSHYDPVANLGSNLPHSIACEKSEIMELTCPLCRGQVKGWTVVDPAREYLNNKKRSCMQDSCSFVGTYKELSKHVRSEHPSANPHEVDPVLEQKWRMLEHERERQDVISTIRSSMPRSVVFGDYVIEMSNGELNTDDEVGDDHVDHDLENRGITRSILYFFLRESATRLMRLHRDNGEPPERGNDNLVDAYSLDGDDDIAPITSQGSRLGILRSERRHRRRRNMSRARPVSD >Ma06_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10088750:10095055:-1 gene:Ma06_g14790 transcript:Ma06_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHLSCFTPLAHECFFQNSPKLGGREVTDRWIGRKVSVEMLDGREGLVSRFPRARCLANSHRTSPYDSKDPFLNRQPDGFLLRGEYSDITTVSSREWPSPNEYNEAKIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDVQAMRMSSIYPEHRLQIGEELTKGLGAGGNPDVGMNAAKESKELIQEAVYGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGVLTVGIVTTPFSFEGRRRAVQAQEGIAALRDSVDTLIVIPNDKLLSAVSPNTPMIEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMADAGSSLMGIGTGTGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLYEVNAAAEVIYDLVDPSANIIFGAVIDQSLSGQVSITLIATGLKRQDEQEGRNLQGAQLGHGDNLGMKRRSNSRSTEGGIVEIPEFLRKKVHSRSPRF >Ma04_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7848962:7853901:1 gene:Ma04_g11150 transcript:Ma04_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPQSAAIGENEIRITTQGLIRNYVNYATSLLQESHMKEIVLKAMGQAISKTVAVAEFVKKRFPHLHQDTVISSVSITDVWEPIEEGLVPLEMTRHVSMISVALSTREPNKKGPGYQAPVHVQQPKHQQKFQQPQQSQQQQQYQYRQALGQLNEDSYGRGSVRGRGRGRGWGRGGYGGYGGYANNQGGYGGYNNNQGGYGGNYNQGGYGGYENNQENGGWNSNWNRGGGRGRGGWNSRGAGYGGRGGGGRNGGRGYGNGRGRMGGRGRAN >Ma08_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15786593:15787419:-1 gene:Ma08_g15580 transcript:Ma08_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGAGTKEAPVELEASRPQKKSKMGPQKGDMPPPRAREVVVEPGRRDRRRGPSRGEAGPSNEVAGKAPREPSIRDLCHLPAGAQDEPYQARVMGGLPEGQPFDPLLAWWAGLTRGTRVWVDGEAAASFARGRLHPDMAPDLYTVPSDVLLGKSAKSLLWSHHYTTVLMDRVRDASRALGVLSDRNAELRRQLEEVRAGAAPEAVAAAEQRASDLEAEVSRLSSTSRAAEQCALKLEAESVRLTSEAKAAKD >Ma10_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26633293:26635368:-1 gene:Ma10_g14090 transcript:Ma10_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAFASIKSGGIPGLFFLVGLLFTCACRSLRAQQLPGTWEVVLEDARIASMHTAVTRFGTVVLLDRTGIGASRLRLPRSRCRIDPGDSLLPPGGKDCSAHSALLDPSSRRLRPLSVLTDTWCSSGQFLPNGTLLQSGGDLDGLRKLRAFSPCPLAGSCDWLELDSPLLADGRWYATNQILPDGSVVIVGGRAAPSVEFYPPSRPLRNFPFLATAEDAQMDNLYPFVHLLPDGRLFVFANARSILYDVDSGDVVREYPELDGGPRNYPSGGSSTMLPLDPADGYTRAEVVVCGGAQYGVFLHRQTDMPAATTCGRISATDPDGAWAVEEMPFPRVMGDMVMLPTGEVLIINGAQAGSQGYEMGSNPCLNPVLYRPDQPAGLRFMTLTPTTIPRMYHSTANLLPDGRVLVAGSNPHYFYRFAGDFPTELRVEAFSPEYLAADKASLRPVIMAAPERVWYGDEFVMEVEVPLPLVGLMEVNLLSAPFATHSFSQGQRMVKLAVSPAVTVADGGGDGTPYSYRFGCKAPPDGRVAPPGYYMAFVVNQMVPSVAHWVQLVL >Ma09_p17540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13097144:13099844:1 gene:Ma09_g17540 transcript:Ma09_t17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEKIGDFYTVLGLRKECSEAELRIAYKKLAMRWHPDKCSASGNHRRMEEAKEKFQEIQKAYSVLSDSSKRFLYDVGIYDNEDDNDEKGMGDFIGEIAQMMSQTKSGENGHDSFEELQRMFLDMFQDDLDAGFGDSSIHSGPQARPTDGLNCSMPSGLQFADGGNNGSNKRGNSEKAKLDGLENSSTGFCFGLNDAGQSSKGKGSANSKRRNGRKQKVSSKHDVSSSDAEVSF >Ma09_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13097139:13099844:1 gene:Ma09_g17540 transcript:Ma09_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEKIGDFYTVLGLRKECSEAELRIAYKKLAMGMGDFIGEIAQMMSQTKSGENGHDSFEELQRMFLDMFQDDLDAGFGDSSIHSGPQARPTDGLNCSMPSGLQFADGGNNGSNKRGNSEKAKLDGLENSSTGFCFGLNDAGQSSKGKGSANSKRRNGRKQKVSSKHDVSSSDAEVSF >Ma09_p01450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1033331:1034667:-1 gene:Ma09_g01450 transcript:Ma09_t01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCASSSAVAAVARSRKNGAAAVAATKASFLGGRKLRQGKRAARAQTARRELSVSAAAAPERPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNQQAEIVHCRWAMLGAAGIFIPELLTKIGILNTPSWYTAGQLQYFTDTTTLFVIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGFWFDPLGWGSGSPEKVKELRTKEIKNGRLAMLAVMGAWFQAIYTGTGPIDNLFAHLADPGHATVFSAFTPN >Ma09_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1033331:1034667:-1 gene:Ma09_g01450 transcript:Ma09_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCASSSAVAAVARSRKNGAAAVAATKASFLGGRKLRQGKRAARAQTARRELSVSAAAAPERPLWFPGSTPPPWLDGSLPGDFGFDPLGLGKSELVEPLQTTMSWCLRQQKMNEWVFFTRAGSDPESLRWNQQAEIVHCRWAMLGAAGIFIPELLTKIGILNTPSWYTAGQLQYFTDTTTLFVIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGFWFDPLGWGSGSPEKVKELRTKEIKNGRLAMLAVMGAWFQAIYTGTGPIDNLFAHLADPGHATVFSAFTPN >Ma03_p20610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25783697:25791793:-1 gene:Ma03_g20610 transcript:Ma03_t20610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEENGGVDPRLQAIKAAIRVVPHFPKPGIMFNDITTLLLRPKVFKDAVEIFVDRYRDMNISAVAGVEARGFIFGTPLALALGAKFVPLRKPKKLPGEVISESYVLEYGTDSLEMHLGSVNRGERVIVIDDLVATGGTLCAAIKLLERVGADVIECACLIGLPKFKGGCWLHGKPVYVVVDCRQ >Ma03_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25783475:25791793:-1 gene:Ma03_g20610 transcript:Ma03_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEENGGVDPRLQAIKAAIRVVPHFPKPGIMFNDITTLLLRPKVFKDAVEIFVDRYRDMNISAVAGVEARGFIFGTPLALALGAKFVPLRKPKKLPGEVISESYVLEYGTDSLEMHLGSVNRGERVIVIDDLVATGGTLCAAIKLLERVGADVIECACLIGLPKFKGGCWLHGKPVYVVVDCRQ >Ma08_p33540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43798661:43818180:-1 gene:Ma08_g33540 transcript:Ma08_t33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLRDLSQPIDVPLLDATVAAFYGTGSKEERSAADQILQELQTNPDTWLQVVHILQNSQSLNTKFFALQVLENVIKYRWNALPVEQRDGIKNYISEVIVQLSSNEVSFRKERLYVNKLNVILVQVLKHEWPARWQSFIPDLVSAAKSSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLEILLKFFPIASYRNLTLQCLTEVAALQFGDFYDMQYVKMYTIFMIQLQTIIPAGTNISEAYTNGSSEEQAFIQNLALFFTSFCKSHIRVLESTPENRAALLTGLEYLISISYVDDTEVFKVCLDYWNLLVLELFEAHHSLDIPVVSVGLMGLQATLIPEVVDGLGSPLLQRRQLYSDPLSKLRTLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLSGEEWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVITQVGENEPFVSELLSSLPTTVSDLQPHQIHSFYESVGHMIQAESDATKRDEYLKRLMDLPNQKWAEIIGQASRSVDVLKDQDVIRAVLNILQTNTSAASSLGTYFFPQISLIFLDMLTVYRMYSELISNSIAEGGPFASKTSFVKLLRSVKRETLKLIETFVDKAEDQPHIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKGVMMEYVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLELVMNSIIWAFRHTERNIAETGLSLLLELLKNFQVSEFCNQFYRTYYLKIEQEIFAVLTDAFHKPGFKLHVLVLQHLFCLVDSGALTEPLWDASTVPYPYPNNTMFVRDYTIKLLGSSFPNMTAAEITQFVGGLFESRNDLPTFKNHMRDFLVQSKKFSAQDNKDLYAEETAAQRERERQRMLSIPGMIAPSELQDEMVDS >Ma07_p23390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31058714:31061370:1 gene:Ma07_g23390 transcript:Ma07_t23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEKLLKPMEFIHHLVIALFGVLFACRCKAQSPGTSTSTAGSLDSLLQDYAYRAFVHPHTGVIYDGTVPSNLTGIKIAAMRLKSGSLWKRGVESYKEFEIPEGIIVQPYVERLVLVYHNLGNWSSFYYPLPGYTYLSPVLGLLAYDAANLSATNLPELNVASKSPISINFTNIISVPSGAIARCVQFGLGGSPDFRDLVSSSICSTYGQGHFSIVVNSSEITPPPAPGVAPPPGPNHIGSKSNKSKVWKIVGGVVGGIVALILLALLVCWMHQYKQYKKMAQMEQHADAGVSLHTARIGNTQIPVASVARTQPFLENELVA >Ma07_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31058731:31061370:1 gene:Ma07_g23390 transcript:Ma07_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEKLLKPMEFIHHLVIALFGVLFACRCKAQSPGTSTSTAGSLDSLLQDYAYRAFVHPHTGVIYDGTVPSNLTGIKIAAMRLKSGSLWKRGVESYKEFEIPEGIIVQPYVERLVLVYHNLGNWSSFYYPLPGYTYLSPVLGLLAYDAANLSATNLPELNVASKSPISINFTNIISVPSGAIARCVQFGLGGSPDFRDLVSSSICSTYGQGHFSIVVNSSEITPPPAPGVAPPPGPNHIGSKSNKSKVWKIVGGVVGGIVALILLALLVCWMHQYKQYKKMAQMEQHADAGVSLHTARIGNTQIPVASVARTQPFLENELVA >Ma08_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19502858:19542036:1 gene:Ma08_g16570 transcript:Ma08_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYGSNPRRDHAGGRGDQTSPWIPPVDGGDGGRGVGGIGNLADRLSGLCLGDGPDESLYQVMKAVEDAENTIMQQMEENNQLRNELQIKTQELKRYRLEVTSSRPSEIPTNDDVEAYKTHHSNSSAGTQVDRNRWVDNRSSLNPQGMLVIHQNGVARREESSVKTSTINQHYFEGNKVNGDLKKFPGTQSGGDSAGPSQYSTPSSRSLSPNRQQKDGEHDQRFHSPGNGLVPVTGINSNILWKQELIVKVREHEEEIAQLRKHLADYSVKETQIRNEKYVLEKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIRLTYALQAAHAERSTFVSSLVPLLSEHGLQPSVVDAQSIVSNLKVLFRHLQEKLIIAEEKLKESQYQLAPWYAESSNNPGFPPQSPSELLGAQVAVSDKNNLEIVPQAAYPHARSPISSPSNFQTKPDWEAVRNQNQQPLPSSVHAKNLDHDIPETNIPLTRRNSIAYDASIQTNQDDSHVAHLSADSKNIKSSRNDDSETVSLQHGREPTVHWAPGNSPYLSSGQDDPNSYPYLPTVLEEPSSSFSEAADDDPLPAIDGLRISGEAFPGRELQASGYSINGTTSCNFGWVRYLEDGSVNYIEGAKQPTYLVTADDVDSYLAIEVQPLDDRKRKGEPVKVFANDQRKITCDPEMQEQIKRTLSDGHVSYEVLLSTRYLDIWDPAILSIKREGYSIKCTGPRGVVVTEKFQPNTTIAIPYVHPTEFLIQGTNGEHLLKTEVSSVLRDTIVLTMRLFKVMAGEKRKGRKKGLFFK >Ma08_p16570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19502858:19542036:1 gene:Ma08_g16570 transcript:Ma08_t16570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYGSNPRRDHAGGRGDQTSPWIPPVDGGDGGRGVGGIGNLADRLSGLCLGDGPDESLYQVMKAVEDAENTIMQQMEENNQLRNELQIKTQELKRYRLEVTSSRPSEIPTNDDVEAYKTHHSNSSAGTQVDRNRWVDNRSSLNPQGMLVIHQNGVARREESSVKTSTINQHYFEGNKVNGDLKKFPGTQSGGDSAGPSQYSTPSSRSLSPNRQQKDGEHDQRFHSPGNGLVPVTGINSNILWKQELIVKVREHEEEIAQLRKHLADYSVKETQIRNEKYVLEKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIRLTYALQAAHAERSTFVSSLVPLLSEHGLQPSVVDAQSIVSNLKVLFRHLQEKLIIAEEKLKESQYQLAPWYAESSNNPGFPPQSPSELLGAQVAVSDKNNLEIVPQAAYPHARSPISSPSNFQTKPDWEAVRNQNQQPLPSSVHAKNLDHDIPETNIPLTRRNSIAYDASIQTNQDDSHVAHLSADSKNIKSSRNDDSETVSLQHGREPTVHWAPGNSPYLSSGQDDPNSYPYLPTVLEEPSSSFSEDDDPLPAIDGLRISGEAFPGRELQASGYSINGTTSCNFGWVRYLEDGSVNYIEGAKQPTYLVTADDVDSYLAIEVQPLDDRKRKGEPVKVFANDQRKITCDPEMQEQIKRTLSDGHVSYEVLLSTRYLDIWDPAILSIKREGYSIKCTGPRGVVVTEKFQPNTTIAIPYVHPTEFLIQGTNGEHLLKTEVSSVLRDTIVLTMRLFKVMAGEKRKGRKKGLFFK >Ma06_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2840367:2842317:1 gene:Ma06_g03920 transcript:Ma06_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQTSGRHHHRHNAHHLHRPPPCKGKLITVLSIDGGGVRGIIPGTIINFLETKLQELDGPDARVADYFDVVTGTSTGGLLTAMITAPDEKQRPLFSAKDIIDFYLQNCPKIFPQGSGFLSSVRKLAGAIMGPKYDGKYLHSKVKDLLKDIKLSQTLTNVIIPAFDIKLLQPIIFSSFEARLEPLKDAHVADICISTSAAPTFLPAHYFETQDSNGNTRSYNLIDGGVAANNPTLVAMSQIKKEMFLMNQDFHSYKPIDYHNFIIISIGTGAAKVEKKFSAHLASKWGILQWLYHGGSTPLIDSFSHASADIVDVHMSSVFQSLHCEQNYLRIQDETLMGQASSVDVSTKENLLELVKIGESLLKKPVSRVNLETGDFEEVKGEGTNAEALTRLAKILSEERHRRKGVMALN >Ma11_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3940477:3942390:1 gene:Ma11_g05100 transcript:Ma11_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFPLHLILLAINLLLLPSVVTPSTARYSAIFSFGDSLADTGNLAFFSGGKDQANRPPYGETYFHRPNGRYSDGRIILDFIAQTTGLPLVRPYPAGRGSEGFVYGANFAVAGACALSNAFYEAEGFNVTWEDYSLGTQLKWFEQLLSSPSVLAPNDALSKSLFIMGEIGANDYSSVLVGDNPMESAQALVAPVARAIGAAIDALVRTGAKTVLVSGVFPLGCVPLFLTRFRTRNAEAYDPATGCLKWLNELSQNHNLLLQRELRRLRRAHPHSTIIYADIYGAMMAIYTSPSQFGMRSTLEACCGGEGPYDYNSSVTCGDPTSTLCSDPWSYVSWDGLHLTEAAYQIIANGAGIVAGPNAQVSHYANVDQM >Ma11_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4245877:4246346:-1 gene:Ma11_g05530 transcript:Ma11_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMRLASQRAVVVFSLSSCCMCHTIKRLFCELGVNPGIHELDEDPNGKDMERALARMLGCNSPVPAVFIGGNLVGPTDKVMSLHLGGKLVPLLRDAGAIWL >Ma10_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25317401:25326287:-1 gene:Ma10_g12000 transcript:Ma10_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MRRRYLRLPSSSDEDNDLRPQTLNDPNPNPPLDISDDDFVDVPDDLPPPSPRSAEAPPEDQGSAAAATVEMVEERAGSIGPIDEFLRKLGLRLRPDWLESCADRLMAAGSGFEGLDVAGKAKRCFEQFLLSDMNVSGAGVLPENVHLMHKTELEGPFVVQVDEIVNISAPLRERYHDTPAGCKRCLKLSITDGVQRVFGMEYRPIKELEVLAASGLKVVLRNVHIRRGLLLLVPEAFAILGGMADELDAARKRLVAEINKPPRGIRKHGNISSLTTRASLAAWPSDSISLGPQTTSTLQNSNEPQILNQDHFVVPELAISGTGIGGTTTEGFVDPSIGRNNTEEFPTQDVRIVAAEESIACTEQIILKEAAYDSNWPDTEHILAHNSASDMEIACASDEEDAIGEVEHPLILSGDNEIPFTYLASLFAKWATQQDNKPCVQGRIKCFLTGVKGFQFKQRSTYELHVYVDDGSLISEVIIDHRVVQKGIGHSPEEVTSALSSTEKKTVSDMKDTLKTFQLFLTKFEGTMLLEMNRNSSLPVALAMKQGVSTSAPWLLLRRLAKTAAQSSQHNNLNPINLSP >Ma05_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18718603:18741202:1 gene:Ma05_g16790 transcript:Ma05_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRIPNLPASSPVEFILDKDSFTLEELLDEEEIIQECKALNTRLINFLRDRAQVEQLVQYIIEDPPEDVDSKHSYKFPLIACEIFTCEIDVILKTLVEDEDLMNRLFSFLEPNHTHNSMLAGYFSKVVVCLMFRKTTSLMMYVQTHEAVFHHLVDLIGITSIMEILIHLVGADNQTYPNYMDIMQWLADTNLPEMIVDKLSPSYPAEVNANAAEVLMAIIRNTPSALAAKLSSQSFVARIFGHALENSPSKSALVHTLSVCISLLDPKRSASAVSVHYLRSQHLHAPLSHVDSETLQAMLTQLDDLLKVLNVSSDVTTLPTTYGGLHPPLGKHRLKVVEFISVLLEVGCEAAERELIRSGAIQIILDLFFRYPFNNSLHHHVENLLISCLESKNIAIVDHCFCECDIIAKFLQADKNPFFSIDSNAVTVPAPGRQPVRRGNIGHITRICNKLVQLGSNNDHIWSYLQESEEWIDWQTNVLRERNAVENVHHWACGRPTSLQERPRDSDEEELHDRDYDIAALANNLSQAFRYRVYENDDMDEDVYLDEVSTGVVISSLRLGGDNQSCLFTNSNWFAFDDESATEPTNVTTLERMDDVNLNDTSNGGDSSDDEVVVGVEEFTKAEMPENEYSGSGSGFMAHVTSREDASLSELSTDVTKLNVADDVSLFRFDTDENDDLFGDQQLPEWVGWREASDIQVDGSTDVSTQSNSTETAVSTASVSTVGELALEGTVSASESVELAKAEETTPCLFEEDAEFVGVDIEVRRAMNGEVGAIKRNLVKVPELPKPHEDETARLEFSKSHWRMEPEVGVVQE >Ma01_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5657099:5659169:1 gene:Ma01_g07830 transcript:Ma01_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFQLARSGFSPPALRRPSGLLGPVRIRCRLPEDNGGEPDGSGWEEPPESLFMKELRRRGMTPTSLLEDGERGALGRGQREMEAKEDSGNGGRGRRERGSRNGVASVELEKGMTRPRERFMSVNSEGFEGLLPRAKLLLTIGGTFFLGFWPLILVTAGVFAALHTCFGPSFVHDASKLAASRPPYIDPYSFLEDEKLPHAAN >Ma10_p26840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34338568:34340493:-1 gene:Ma10_g26840 transcript:Ma10_t26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAENGGSTTHLCVQRKAAEGTRVTLGIANHDHGSEIDPRRPPPLPSSPGVKDGNRMGTPSPPPVPLLPPQGPSFAGWSLDGPAGVARRHPLLCAFAFSLLFFMGVEYTIPMVPSTSPPLDLGFIATKPLNRALATAPALNTLLAALNTVFVGMQIVYIVWTFLVEGRPRPTIAALFMFTCRGILGCSTQLPLPQGFQGSGVDFPVGNVSFFLFFSGHVAGAVIASLDMRRTRRWSMARVFDALNLLQSVRLLASRGHYTIDLAVGVGAGYVFDDLAGKYEASKLKRGGEHRRPCFSCECSCEGR >Ma08_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2410257:2411990:1 gene:Ma08_g03240 transcript:Ma08_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIIFLLLLAAAAIASAVPDLYMVTSGEGHSEQVSGRSEEEVRLLYLEWVAKHRPSRNALAEEASRFEVFKDNLRYIDAHNAAADRGEHAFRLGLNRFAALTNEEYRAKYLGVRAATSRRRRASSEGSNRYRLRDGDYLPDSIDWREKGAVVGVKDQGSCGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTYYNQGCNGGLMDYAFEFIINNGGIDTDEDYPYKARDGTCDTYRKNAHVVAIDSYEDVPVNDEQSLQKAVANQPVSVAIEAGGRTFQLYDSVKLPQDNAILYFYQFSLFIKIMSRLKLLLDLSLNRCSNPYGSLQIFILPHYGDLG >Ma11_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27394799:27401349:-1 gene:Ma11_g24430 transcript:Ma11_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELSLVASAAFPRAIIHPEHLGPYKAPKEFQILLPVHGRKQDALRFGSVQFNAQHLQGVGRPMHLLPENNQSASLSPMIEKPVLIDMQDSCPNSVLFSFRIAEKCLRNEKILKLLMSGSKLAEGEGIDASLLSEVMGFQEVTIDMLPSPHVLVDDKSSLYEAEMDDCQHSLHLQKQNFVPEPQLDFVGNLSDTSYFTVYPDGRLLFADSASQTEDLLSIVADFNLPKRTIIGSKQSLLVPYFTRRGRGRSQAHKQASSPTVATLKSSDNAKLKTLPKKKKNKKLGREQNLYPRTYLHACESLLSALIDKESSVTIPSLKKSSPEISKFLAQFSAGVAGTGLAVFFSVLCKLVCGRVPLSATRLLNTGFGFGFGFGIFWLSWAINGLRDTIIYVSKNSSKLNLTEEEIASKVKRSTKEIFFRAAALVAVAILRFA >Ma04_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8634918:8651113:-1 gene:Ma04_g12080 transcript:Ma04_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMATGTPMGGSFDDDFERDIEALVREQHQSRAAFDLDRDELSFRSGSAPPTVEGSRNAFGSLFGQDVFAETACHLGGQDSRGLLSEEDLRSHPAYLSYYYSNENLNPRMPPPAISKEDWRAQQRFHGGTSSFGGIGDRRRKKESMDGDGQSSSLFSLQPGFLIHDGERDMLEASRGVLPPNLSQQQSGEWIESTDGLIGLPDVGLGMRRKSFADVLQEEFSRPSSAIGHISRPVSRNTYDNVDPIRASDSPLMQLQSGSEGLGGIQSGTTSSSLTRVQSLGASISHSFASALASSLSRSTTPDPQLIRRSPSPCLPPVGVRNSDSDRSNGLGGVSSHMADYGDLVSALSDFNLSGKISLDGECHVPAQLDEQFRNQTELLYDGDNRQYLQQKVIDKPMSPLLKNSTNVVGYSDPSKRTSSLTEIGLSELTSDGQMNLPKQPSYTNVYKKVPSVGTTISKSLYPNADVPNIDFSGSNSKSYTGGHGLQTMVNNRLDEEGQYLNTSGNQVGSGFQGPIMDSLYAQYLRSTSDSLVRGPGNLDHYSGMNYLGSSQMNLPEYQTAYLGALLAQQKLQYSMPLLSKSGGLNHGFSSSHAFGIGMPYPGSPSSTTIHSPNLGSGSPVRLNERLSRIPSSMRSAPGGSIGSWITENGTMKEGYMSSLLEEFKNNKTRSFELSDIVGHVVEFSADQFGSRFIQQKLETASVEEKSKIFPEILPKAHSLMTDVFGNYVIQKFFEHGTEIQRTQLASQLKGHVLPLSLQMYGCRVIQKALEVVDVNEQTEMVLELDGQIMKCVRDQNGNHVIQKCIECVPQEKIKFIIESFYGHVVALSTHPYGCRVIQRVLEHCDDPKTQSIMMDEIRQSVCTLAQDQYGNYVIQHVLQHGKQEERSDIICQLTGQIVKMSQQKYASNVVEKCLTYGTAEERQLLINEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDRNRELILSRIKVHLNALKRYTYGKHIVARVEKLVAAGERRIGQSSYSS >Ma05_p27900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39009549:39024992:1 gene:Ma05_g27900 transcript:Ma05_t27900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGAGPGTVLSNLTTLRWTSMFLGFCNVVLFLSGAVLLVSLPSGCSGVDRLALVVLALVAAVRIAYMVAAGRAQRATAETIVSNVLETSVDADALIRHERRMRYKKWLWWTRFGMMVTALQFVVALYLISVFAKDFSSGADGNTCLNGLNDERWKKILTISFLVLVWLVVIIQCSTGSDVLRWRSFYATHDTAWKAHYREVFDHGIREVLCCLGRVKYLSVLEEDEVYSVARLLGDLVAYRASGTGHFELLAGLALLQKHKQSPLVLTDFVEAPEVHLQEAAVFHQFAEAAYTGPLLDFGRNPILFPCAWLYRQGVLSPWTRNRRPMLEGDNWWRGHAAAFLKYVNMPPEALRRGRVSQTKREAAYFVLVIHDLKSVVIAVRGTETPEDLITDGLCRNCTLSMDDLDGIINSDQLPQTVKDSVLSSFPHYGHSGIVEYAQELAMQIDGQPVDKDGLQPNKSGFLSSLMGIGCECYGYKLRIVGHSLGGAVATMLGLRFYARYPNLHVYTYGTLPCVDSVVAEACSDFVTCIIYNDEFSARLSVNSILRLRAAAIGAISNDSISDSAMVAKIVRKVLHAKKSHQKTKHHDASAPSLEQGTETIKDGNHVCKRNHLKYTIKGGVFLCGHAVSCMVSMPNHNPGSHIINETKTPAGGTSEINGASVEVLPHTFAAKSRQPDRQIYQDETYFFDEPSSGFPHEGFDAFNQSDLSEATVFENSDNLFQFDDRLSPIVDDPLSHVQDSEGRSVEMYIPGLLIHIVRVQKSNSPMWKSWIINDSEYDYKAFVANKESFEDIVVSSYMFLDHLPWRCHYAIQKALETRKHKGQLTDDLFNEESMV >Ma05_p27900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39008174:39024992:1 gene:Ma05_g27900 transcript:Ma05_t27900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGVRSLRRATLVSGALDLAVASLGAVLVALALRRCGWREKAPATAAAAMAAVKIASMVWAGIAQRAAAAAIARRFSADPLLSEDDAFRWMRKMRYKKWLWWTRFGMMVTALQFVVALYLISVFAKDFSSGADGNTCLNGLNDERWKKILTISFLVLVWLVVIIQCSTGSDVLRWRSFYATHDTAWKAHYREVFDHGIREVLCCLGRVKYLSVLEEDEVYSVARLLGDLVAYRASGTGHFELLAGLALLQKHKQSPLVLTDFVEAPEVHLQEAAVFHQFAEAAYTGPLLDFGRNPILFPCAWLYRQGVLSPWTRNRRPMLEGDNWWRGHAAAFLKYVNMPPEALRRGRVSQTKREAAYFVLVIHDLKSVVIAVRGTETPEDLITDGLCRNCTLSMDDLDGIINSDQLPQTVKDSVLSSFPHYGHSGIVEYAQELAMQIDGQPVDKDGLQPNKSGFLSSLMGIGCECYGYKLRIVGHSLGGAVATMLGLRFYARYPNLHVYTYGTLPCVDSVVAEACSDFVTCIIYNDEFSARLSVNSILRLRAAAIGAISNDSISDSAMVAKIVRKVLHAKKSHQKTKHHDASAPSLEQGTETIKDGNHVCKRNHLKYTIKGGVFLCGHAVSCMVSMPNHNPGSHIINETKTPAGGTSEINGASVEVLPHTFAAKSRQPDRQIYQDETYFFDEPSSGFPHEGFDAFNQSDLSEATVFENSDNLFQFDDRLSPIVDDPLSHVQDSEGRSVEMYIPGLLIHIVRVQKSNSPMWKSWIINDSEYDYKAFVANKESFEDIVVSSYMFLDHLPWRCHYAIQKALETRKHKGQLTDDLFNEESMV >Ma05_p27900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39008130:39024992:1 gene:Ma05_g27900 transcript:Ma05_t27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGVRSLRRATLVSGALDLAVASLGAVLVALALRRCGWREKAPATAAAAMAAVKIASMVWAGIAQRAAAAAIARRFSADPLLSEDDAFRWMRKMRYKKWLWWTRFGMMVTALQFVVALYLISVFAKDFSSGADGNTCLNGLNDERWKKILTISFLVLVWLVVIIQCSTGSDVLRWRSFYATHDTAWKAHYREVFDHGIREVLCCLGRVKYLSVLEEDEVYSVARLLGDLVAYRASGTGHFELLAGLALLQKHKQSPLVLTDFVEAPEVHLQEAAVFHQFAEAAYTGPLLDFGRNPILFPCAWLYRQGVLSPWTRNRRPMLEGDNWWRGHAAAFLKYVNMPPEALRRGRVSQTKREAAYFVLVIHDLKSVVIAVRGTETPEDLITDGLCRNCTLSMDDLDGIINSDQLPQTVKDSVLSSFPHYGHSGIVEYAQELAMQIDGQPVDKDGLQPNKSGFLSSLMGIGCECYGYKLRIVGHSLGGAVATMLGLRFYARYPNLHVYTYGTLPCVDSVVAEACSDFVTCIIYNDEFSARLSVNSILRLRAAAIGAISNDSISDSAMVAKIVRKVLHAKKSHQKTKHHDASAPSLEQGTETIKDGNHVCKRNHLKYTIKGGVFLCGHAVSCMVSMPNHNPGSHIINETKTPAGGTSEINGASVEVLPHTFAAKSRQPDRQIYQDETYFFDEPSSGFPHEGFDAFNQSDLSEATVFENSDNLFQFDDRLSPIVDDPLSHVQDSEGRSVEMYIPGLLIHIVRVQKSNSPMWKSWIINDSEYDYKAFVANKESFEDIVVSSYMFLDHLPWRCHYAIQKALETRKHKGQLTDDLFNEESMV >Ma04_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11759315:11759665:-1 gene:Ma04_g15510 transcript:Ma04_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEPDILWPENICDKEANSASRSAREGRGTQRKLSDPIKIPSRSAYAISDSCNVEDDNRSSDGNVIPPHVIVARRMTEKMAFSVCIGNGRTLKGRDLSRVRNSILQTTGFLET >Ma06_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13064301:13069333:-1 gene:Ma06_g19080 transcript:Ma06_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFDGARAAEVVAELRETFRSGRTRSLRWRAAQLKALARMIDENEADIAAALYHDLAKPPTESFLHEISLAKEACLFALKKLKRWMKPRKVPTSITTFPSSAKIVPEPFGVVLVISAWNFPFLLSIDPVIGAIAAGNTVVLKPSEVATVTSSFLAKNLPQYVDNSCIRVVEGSIPEASALLEQKWDKIFYTGSARVGRIVMAAAVKHLTPLVLELGGKCPVLVDSNVDIKVVAKRIVVGKWGCNSGQACIAPDYIITTKAFAPKLVDALKITLEKFYGKNPLGSTDLSRIVNSSHFTRLKNLLDEEKVSGTIVHGGQEDEKHLKIAPTLLLDVPSDASIMKEEIFGPLLPIITVDDLEQSFDVINSKPKPLAAYLFTKDHKLEKKFVKTVSAGGMLINDAILQFTNPHLPFGGVGESGMGSYHGRFSFDAFSHKKAVLSRGFYGEIPMRYPPYTPLKQKVLRGLIAGNILPLLHALVVWPGHQKV >Ma03_p26360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30122312:30137612:-1 gene:Ma03_g26360 transcript:Ma03_t26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTLTQALAKAGAVIEKTVQTTVQEVTGPRPLQDYDLLDQAGSGGPGLAWRLFAARPRASAPSTHYPLVTVWVLDKRALAEARVRAGLSKAAEDAFLDLVRADAARLVRIRHPGVLHVVQALDESKNAIAMVTEPVFASVANALGHLDNVPRVPKELNGMEMGLLEVKHGMLQIAETLDFLHNQARLVHQSISPESVFITLSGAWKLGGFGFAISLDQATGGSTQPFHYSEYDVEDSVLPLQPSLNYTAPELVRNKTTTSGSSCDMFSFGCLAYHLIARKPLLDCHNNVKMYMNSLTYLTSETFSVIPSELIIDLRRMLSMDETSRPSATEFTGSSFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRFKVLPPLCAELRNMVMQPMILPMVLTIAESQDKNDFELSTLPALIPVLSSASGETLLLLVKHADLIIHKASQDDLISHVLPLFVRAYDDTDPRIQEEVLRRTVPLARQLDMQLVNQAMVPRVHGLALKTTVAAVRVNALRCLGDLVSALDKPSILDILQTLQRCTAVDRSAPTLMCTLGVANSIYKQHGIEFATEHVLPLLFPLLTAQQLNVQQFAKYMLFVKDILRKIEEKRGVTVSDSGTPEVKVSSASTNGLHSEPLPKSVAQNSYTKSRASWDEDWGPTVKKTANASQPLETNLQPEESLSISQQATANAIPLQSVAAAPTHQTPTTCTPVDIEWPPSNSYSEFGAQLNVNEKQNSTDVSNSAFDDLDPFANWPPKPSNSASSLGSVTVPTQSHGISGSGMSSIGFSSNSTSIGQSNPHKGSLISNVNNPRGLPMNSQTSGQVNRASASVIGNSVSALETSHSNSHSHAFKATDIGSIFASVHNGQPTPRIAPPPATAIGRGRGRNQGNARVSKASRSSSHGQGSSEQPPLLDLL >Ma01_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2304435:2306670:1 gene:Ma01_g03480 transcript:Ma01_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGFLFLSLMSLSLLHSPSPASAFNYADALAKSILFFEGQRSGKLPANQRVTWRGDSGLSDGSSCNVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSIIEFGGLMHGELGNAKAALRWGTDYLLKAATAAPDALYVQVADPNQDHRCWERPEDMDTPRNVYKVTSQNPGSDVAAETAAALAAASIVFKDSDPAYSSKLLHTATQVFDFANRYRGSYSDSLSSVACPFYCSYSGYNDELLWGASWLHQASQDVSFMSYIESNGHTLGAEDDDYSFSWDDKRVGTKILLSKGFLQNGIEVLQLYKAHSDNYICSLVPGTSSFQAQYTPGGLLYKESGSNLQYVTSTAFLLLTYAKYLDSNGGSVLCGTSKVAAADLVSVAKKQVDYILGNNPAKMSYMVGFGSRYPQHVHHRGSSLPSVRAHPGRIACSEGFQYLYSGSPNPNVLVGAVLGGPDSQDHFADDRNNYQQSEPATYINAPMVGALAFFAGTPM >Ma07_p25980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32959879:32961959:1 gene:Ma07_g25980 transcript:Ma07_t25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGEVVSITDFGGVGDGRTLNTAAFESAVSRFEQLNAPAGTGGNFIPSSDLSYRLWFWSLLPVVAILFAEKGGHPSVHSIETENIFSLQFLFKTSKRSYNIMYSYHCSNVVVRNVTILAPHDSPNTDGVDPDSSSNVCIEDCYISTGEDLVAMKSGWDEYAIAYAHPSSGITIR >Ma11_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2448124:2471206:-1 gene:Ma11_g03300 transcript:Ma11_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVRRSYSASSDDYGLLEEVGYGASATVFRAIYLPTKEIVAVKRLDLDRCNSNFDDIRREAQTMSLIDHPNLIRAYCSFVVERFLWVVMPFMDEGSCLHLMKIAYPDGFEEPIIRSILKETLKALEYLHRHGHIHRDVKAGNILLDNSGVVKLGDFGVSACMFDKGDRQRARNTFVGTPCWMAPEVLQPGSGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQSAPPGLDYDRDKKFSKSFKEMIAMCLVKDQTKRPTAEKLLKHSFFKHAKPRDLSLKSILIGLPPLWERVMELQVKDAAQLALKKMPSSEQEAISQSEYQRGVSAWNFDINDLKAQASLIQDDDDLSEMKEDEELRDVVGKDPYTSRSNGEKSTSNDKTNSMESTYSANFSGAKCLNTRDEILPIDLVDSHSQERIEGCDSCRMKNDLTPSTSKHDIQPNQWKVDVGKKGQTHSGPLVSSGMSTTLLPERGHTSERIENDNQKGTARYKHDARKVTNFSGPLMLPNRALANNLSTPVRSSAGCGDLLEDKTKPNVVQIKGRFSVTSENVDLVKDLPLCNISRRSSQGYPMKKSASVGDWLVQAKTMPPGQHPKEVGNNNSKEVGNSSPKEGGNSTIPASILMSHLQNLFQQTSFQQELITNLLNSLQQKEIIDAFQNGVPSQVQKSRNRKLLDTENAERERLLLTKISELQARMSTLTDELTAAKLKHIQLQQELSALYSQQEEEEAMRNQEIEET >Ma08_p27020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39419525:39422157:-1 gene:Ma08_g27020 transcript:Ma08_t27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASFWSDEDRQMAVAVLGRQGFDYLNAHQESSSDGHLTAVGGSDADLQNNLQDVVEGQTSTWAYAIFWQISRSESGDFVLGWGDGYCRDLGDWEEEGGGGARSHPIDAAHQKMRKRVLERLHALSGGSDDENYALRLDRITDVEMYFLASMYFSFPKGENPPGMALASGKHIWISEAGLTSPACGNYCVRAFLARSAGFRTIVFLPFDAGVLELGSVDPVPESFEAVQRIRAIFGRGLDKKAAAAAAVDKIGENNDPVLASRFGAGAYIAEYPRIFGSDLNLGHAQLNGGAASIVKAEKKRLEMNAKRGDHHQKNPPAAGGTTLQWNQNHIVNAHQKKFANGAAYVGHARGVVGENPPRPANRFRPQELPPQRQQLKSLPPSGQIDFSTDGPNPASAGVLVGHLGGLDSELSDLEVPCKEEKSCATEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITELQQRLKEMEAEREMCGDSSSLLDCKPKSHCPEIDVQAAQDEVIVRVSCPSETHPISKVIQAFRESQVNVVDSTVAASNDSVLHTFVVKSPGTEQLTKEKLITALTHDGARMPPADR >Ma08_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9326933:9363072:1 gene:Ma08_g12340 transcript:Ma08_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPGPLSRLLKNTMKCLCSGEQLQVDEMVRSSESLATKECSAVRYSCQNGETEQRVDTGNIEEAESSLREGLCLNYEEARALLGRLEYQRGNVEAALRVFDGIDLASVGPKIRTAITKRIERRKFHSNWDSGPMSIHAVSLLVEAVYLKARALQDLGRFKEAAQSCNIVLDTLESALPDGSPGNITDNKLQEIVCKAVELLPELWKLAGFSHEAISSYRRALLGHWNLDADTMAKIQKEFAIFLLYGGCDASPPNLRSQMDGAFIPRNNMEEAILLLIILLRKFALKRIEWDPSIADHLTYALCVSGQLSSLAGQVEELLPGILERKEQYYTLALCYLGEDDDLTALNLLRKLLSASEDPDCVKALLLASKVCGENSFCAEEGVLFARRALANVHGCCDQIGSVANCLLGISLSVQARSSTSDSERVSRQSEALEVLEKAEKMIPGKDYRTIYNLSLANAEQRKLDAALRYAKQLLKLEAGSNEAGWILLARILSAQKRFVDAETIIDAALEQTGKWSHGELLRTKAKIQIAQGKLKNAIETYTHLLAIIQLRTKSFGVGLTSLKGDKNDRSLEIQTWHDLAKVYISMSQCRDAEVCLSKLKSISPYSALGWHATGQLHEAQGLLKEALGAYAKALNIEPAHVPSLVSTAIVLRHLGDQPSVVIRSFLTDALRLDRTNHVAWFNLGLLYKAEGARSALEAAECFQAAALLEETAPVERGRHHSFLAFSKSLQLRLSVGTLRPMSYRPNYQGGRRGGRGGGRGGGRGDGGGGGGGGRGGGRGGGRGEQRWWDPQWRAERLRQMAGEVEKLDENEWWSRIQQLKQGSQQELIVKRNFGRDGQNTLANMAQNQGLYFHAYNKGKALVFSKVPLPDYRADLDERHGSTQKEIKMSTETERRVVSLLARSKDISTQNSSTSTFTQAEKQPLSSVPISRSESSSSFDTMKEKFSFELRELQNSKKASPSTKAMLAFREKLPAYKLKDEFLKAVADNQVLVVSGETGCGKTTQLPQFILEQEIEHLRGADCSIICTQPRRISAISVAARIASERGESLGETVGYQIRLEAKRSEQTRLLFCTTGVLLRRLVQESDLAGVSHLLVDEIHERGINEDFLIIILRDLLPRRPDLRLILMSATLNADLFSRYFGNAPVIHIPGFTFPVAELFLEDIVEKTRYKIKHELDNYQGNSRRRRRQPSAKSDPLTEMFEDVDVDIQYKNYSFPTRQSLEAWDGGQLDLGLVEATIEHICCHEGDGAILVFLTGWDEISKLLEKIKGNTYLGNSSKFLVLPLHGSMPTVNQREIFDRPPSNMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKILHDAMPQYQLPEILRTPLQELCLNIKSLQLGAIATFLAKALQPPDPLSVKNAIEILKTIGALDDTEELTALGRHLCMLPLDPNIGKMLLMGSIFQCLDPALTIAAALAHRDPFVLPINRKEEADAVKRSFAGDSCSDHIALLKAFEAWKGAKRSGRERAFCWENFLSPITLQMMDDMRNQFLDLLSDIGFVNKAKGAKAYNHYGDDMEMICAVLCAGLYPNVIQCKRRGKRTAFYSKDVGKVDIHPSSVNAGVHLFPLPYMIYSDKVKTSSIYIRDSTNISDYALLLFGGSLMPSKSGEGIEMLGGYLHFSAPKSTLHLIQRLRGELDKLLQRKIEEPALDVHSEGIDVVAAAVELLHSQNVYH >Ma08_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15953862:15964433:-1 gene:Ma08_g15760 transcript:Ma08_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATFFEDIKFGGRNKVKDFTFEEELIQYDPIHIVATDVTNSVPQMDIVISTLIQYEEIELEESNGIMEDDPINFRQAIEDSNSDKWIQEMASTFSTMSTIGSLSATNCLSANKASVEKFSSLSSVSSNSLSSGRQNLKMQKRCNSRIRAMAKELHFNKDVSTIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPNMPKRYLIIRVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGSNPIQITRGIEKTTKALVAELKLMSKEIEDSELADVAAVSAGNKYEIGNMIAEAMIVAKAAEQEYEKEKLNERIAKLSAAVEEGIVVGGGCTLLRLAAKVDAIKETLENDEQKG >Ma06_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14086037:14088395:-1 gene:Ma06_g20030 transcript:Ma06_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRRVSVRKWKAVAVVYFRELYFKKGKPHPGMKGISLSADQVLVTTLLWWCFSSYHGFTFWIILQWFVKLSHLRSSMITFSLFIFMPF >Ma10_p14430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26886261:26889705:1 gene:Ma10_g14430 transcript:Ma10_t14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAVRELQQDLESQANALSKIQKGAHAHTHALYIAKNHQVRKQYTIQLGENELVLTELELLNENSNVYKLIGPVLVKQDIAEANANVRKRIEYISVELKRLDGTLQDSEDKQNSKKESVLQLQQKIQTLQAGKSKS >Ma10_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26886261:26889705:1 gene:Ma10_g14430 transcript:Ma10_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAAVRELQQDLESQANALSKIQKDIAKNHQVRKQYTIQLGENELVLTELELLNENSNVYKLIGPVLVKQDIAEANANVRKRIEYISVELKRLDGTLQDSEDKQNSKKESVLQLQQKIQTLQAGKSKS >Ma07_p07640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5679010:5687865:1 gene:Ma07_g07640 transcript:Ma07_t07640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSEIQRCRGEMEWAMKISDDAWASSLAAPTPADGDDHQGPRFAMNQSPLAWQLENVVAAPTSPSPTPDHIPKSISCAPPSDPCGGAVQDGEMMEIEAPVSVDDQPSNPFAGVDPQEYASLLKRKLDLCCAAVAMSRSSNADLPSSPALDSSQYGSQTPTRGKPVASGSTTHLSDDDELEEELDTTKNADGVRMKRMKRMLSNRESARRSRRRKQEHLNELEAQVSQLRVENSSLLRRLTNMNEKYNEAAADNRVLKADVETLKAKVKMAEDTLGRVTGKSPPLYRALSPISTINMPCTGNSSSDATSDTAVVIQDDSTHFSQALAHDKKSNTCLLELASASLIKDVTHGTAARGKRNRAASMKRVASLENLQNRICRRRSPCTPVQVDAVAWDPEATLDNKNQE >Ma07_p07640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5679010:5687865:1 gene:Ma07_g07640 transcript:Ma07_t07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSEIQRCRGEMEWAMKISDDAWASSLAAPTPADGDDHQGPRFAMNQSPLAWQLENVVAAPTSPSPTPDHIPKSISCAPPSDPCGGAVQDGEMMEIEAPVSVDDQPSNPFAGVDPQEYASLLKRKLDLCCAAVAMSRSSNADLPSSPALDSSQYGSQTPTRDSGSTGLPSFTEMKNSTVSGKPVASGSTTHLSDDDELEEELDTTKNADGVRMKRMKRMLSNRESARRSRRRKQEHLNELEAQVSQLRVENSSLLRRLTNMNEKYNEAAADNRVLKADVETLKAKVKMAEDTLGRVTGKSPPLYRALSPISTINMPCTGNSSSDATSDTAVVIQDDSTHFSQALAHDKKSNTCLLELASASLIKDVTHGTAARGKRNRAASMKRVASLENLQNRICRRRSPCTPVQVDAVAWDPEATLDNKNQE >Ma07_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5679010:5687865:1 gene:Ma07_g07640 transcript:Ma07_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSEIQRCRGEMEWAMKISDDAWASSLAAPTPADGDDHQGPRFAMNQSPLAWQLENVVAAPTSPSPTPDHIPKSISCAPPSDPCGGAVQDGEMMEIEAPVSVDDQPSNPFAGVDPQEYASLLKRKLDLCCAAVAMSRSSNADLPSSPALDSSQYGSQTPTRDSGSTGLPSFTEMKNSTVSGKPVASGSTTHLSDDDELEEELDTTKNADGVRMKRMKRMLSNRESARRSRRRKQEHLNELEVSQLRVENSSLLRRLTNMNEKYNEAAADNRVLKADVETLKAKVKMAEDTLGRVTGKSPPLYRALSPISTINMPCTGNSSSDATSDTAVVIQDDSTHFSQALAHDKKSNTCLLELASASLIKDVTHGTAARGKRNRAASMKRVASLENLQNRICRRRSPCTPVQVDAVAWDPEATLDNKNQE >Ma05_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3087812:3090129:1 gene:Ma05_g04110 transcript:Ma05_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASYSASWRRGNPRLLLLLLCTIWSTTGLLVQAKVHYHKWDISYKFKSPDCFKKLAVTINGQTPGPTINAQQGDTIVVRVKNSLLTENVAIHWHGIRQIGTPWFDGTEGVTQCPIVAGDTFVYRFVVDRPGTYLYHAHYGMQRSAGLYGLIRVAVPDGVVEPFAYDYDRSIVLNDWWHNSTYEQATGLASIPFVWVGEPQSLLINGRGKFNCSLAGPTAVCNATNPECSPYVLTVVPGKTYRLRIASITSLSALNFEIEGHNMTVVEADGHYVKPFVIKNLNIYSGETYSVLFTADRDPSRNYWLAMNVISRKPGTPTGTAVLNYYPNHPRKSPPTSPPVGPPWDDAAYRFNQSHAIRSHPDYVHPPPLTSDRMIILLNTQNRVDGYTRWSLNNVSFNMPHTPYLIALKENLRHVFDQRPAPETYDYRNYDIHSVPENHNATTGTSIYRLDFNSTVDVILQNANMIEANKSETHPWHLHGHDFWVLGYGSGKFDPEVHPKEYNLVDPIMKNTVPLHYYGWTAIRFRADNPGAWAFHCHIESHFFMGMGIVFEEGVDRVGELPTSIMGCGDSKSLRGP >Ma06_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8714724:8715197:1 gene:Ma06_g12570 transcript:Ma06_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFVWRNLVTRFGLPKAIITDNGPQFAGRRFREFCASHDIQLRFSSVAHPQTNGLAEVTNRSILDGLKRRVSAARSAWTDELLSVLWSLHTTPKTATGESPYSLTFGTEAVLPPEMTISTLRTRSFVEKVSNEGLRANLDMLEKRRANAHLKTLSY >Ma07_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7513870:7514939:-1 gene:Ma07_g10040 transcript:Ma07_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLFLFFFFPFKCLFIASLKDTDTVFILNNSDEQVAFMVRIGAHDRSTVSSGNGVMHLKLHFFNGSNSYFGNRD >Ma11_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17799779:17799979:-1 gene:Ma11_g13430 transcript:Ma11_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFEAKLRLQQPLPGGVAGGKVVQRTNSIAFRAPQEQFTIKDFELGKVFGVGSYSQVRSFLFLF >Ma03_p33360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34739847:34740582:-1 gene:Ma03_g33360 transcript:Ma03_t33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCETMCIDGSKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVIFKYMPDEARLLKAYGELPENIRLNEGIGGLDEEDEGAADDYIEFEDEDIDKI >Ma11_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25102218:25127846:1 gene:Ma11_g20730 transcript:Ma11_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRWGFLLLVASSIQFISISFPARGQPSNSQEVRGEGYCSMYGICGQRSDGKVLSCPNRTHSVKPDKVLSSKIQSLCPTISGNVCCSPDQFDILRGQVQQVIPLLVGCPACLRNFLNLFCELVCSPNQSLFTNVTSVKKVNGNRMAVDAIDFYVTHYFGEQLFNSCKDVKFGTMNTRAMDFIGAGAKNYPEWFAYLGHQANSNEPGSPYAITFRSKSNDSSGMMPMNVTAYSCVDSSLGCSCGDCPSSSVCFDSLPPAPHVKQFCSIKIVSLKVKCLDLSLAIGYLFLISAFLLWGFVYRKERTDSLRTKPSINVNEESKLNSDEKQEILCISQISEAPPVVKAQQPVAQRYMSDFFRKYGSFVSKHPTLVLCLSLAVPLLLCLGLIHFEMETRPEKLWVGPGSKTAKEKQFFDSTLGPFYRIEQLILASILDSNGERAPSIVTDKNLKLLFELQKKVDGLRANYSGSMVSLTDICLKPLGRNCATQSVLQYFKMDPQNYDAYGGLDHVQYCFQHFSSAEKCLSEFQAPLDPSTALGGFSGSNYSEALAFVITYPVNNELDKKSTEYAKSVAWEKAFVRLMQDELVPMVQPQNLTLSFSSESSIEEELKRESTADVITILVSYLVMFAYISITLGDRCQLSSSFVSSKVLLGLSGVVLVMLSVLGSVGFYSIIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELVLEERISNALVEVGPSITLASLSEVMAFAVGSFIPMPACRVFSMFAALAVLLDFILQVTAFVSLIIFDFLRAEDDRVDCVPCIRLASSSSADEGIVKQDLGLLTRYMKDVHAPLLSLQGVKIVVVAVFFGFAFASIALCTRVQPGLEQKVVLPRDSYLQSYFDDIAKYLRVGPPLYFVLKDFNYSLESRNTNQICSISQCDPNSFLNEITKASLVPSSSYIAKPAASWLDDFLIWLSPEAFSCCREFLNGSYCPPDDQPPCCQPNDGLCTTGVECKDCTTCFLYSDLHDGRPSTVQFMEKLPWFLSALPSSNCAKGGKGAYTSSVNISGYESGIIQASAFRTYHTPLK >Ma11_p20730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25102218:25135502:1 gene:Ma11_g20730 transcript:Ma11_t20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRWGFLLLVASSIQFISISFPARGQPSNSQEVRGEGYCSMYGICGQRSDGKVLSCPNRTHSVKPDKVLSSKIQSLCPTISGNVCCSPDQFDILRGQVQQVIPLLVGCPACLRNFLNLFCELVCSPNQSLFTNVTSVKKVNGNRMAVDAIDFYVTHYFGEQLFNSCKDVKFGTMNTRAMDFIGAGAKNYPEWFAYLGHQANSNEPGSPYAITFRSKSNDSSGMMPMNVTAYSCVDSSLGCSCGDCPSSSVCFDSLPPAPHVKQFCSIKIVSLKVKCLDLSLAIGYLFLISAFLLWGFVYRKERTDSLRTKPSINVNEESKLNSDEKQEILCISQISEAPPVVKAQQPVAQRYMSDFFRKYGSFVSKHPTLVLCLSLAVPLLLCLGLIHFEMETRPEKLWVGPGSKTAKEKQFFDSTLGPFYRIEQLILASILDSNGERAPSIVTDKNLKLLFELQKKVDGLRANYSGSMVSLTDICLKPLGRNCATQSVLQYFKMDPQNYDAYGGLDHVQYCFQHFSSAEKCLSEFQAPLDPSTALGGFSGSNYSEALAFVITYPVNNELDKKSTEYAKSVAWEKAFVRLMQDELVPMVQPQNLTLSFSSESSIEEELKRESTADVITILVSYLVMFAYISITLGDRCQLSSSFVSSKVLLGLSGVVLVMLSVLGSVGFYSIIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELVLEERISNALVEVGPSITLASLSEVMAFAVGSFIPMPACRVFSMFAALAVLLDFILQVTAFVSLIIFDFLRAEDDRVDCVPCIRLASSSSADEGIVKQDLGLLTRYMKDVHAPLLSLQGVKIVVVAVFFGFAFASIALCTRVQPGLEQKVVLPRDSYLQSYFDDIAKYLRVGPPLYFVLKDFNYSLESRNTNQICSISQCDPNSFLNEITKASLVPSSSYIAKPAASWLDDFLIWLSPEAFSCCREFLNGSYCPPDDQPPCCQPNDGLCTTGVECKDCTTCFLYSDLHDGRPSTVQFMEKLPWFLSALPSSNCAKGGKGAYTSSVNISGYESGIIQASAFRTYHTPLSKQSDYVNSMKAARDFSSKLSDSLKMEIFPYSVFYIFFEQYLDIWRTALINISIGLGAVFIVCLILTSSIWISAIILLVLTMIIIDMLGVMAILDIQLNAISVVNLVMSIGIAVEFCVHVMHAFLVNSGDRGSRTKEAVSTMGASVFSGITLTKLVGVIVLRFSKSEVFVVYFFQMYMALVVIGFLHGLVFLPVILSICGPPPRSMLDNSD >Ma08_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:968498:973003:-1 gene:Ma08_g01050 transcript:Ma08_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHKFSTAGRILLHNSVNLLSAIWNKWFVVHLMYIIWI >Ma07_p26470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33263517:33264266:-1 gene:Ma07_g26470 transcript:Ma07_t26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTYDALMCRAFPTTLRGSTRMWYNGLKTGTITSFDQLVKDFELNFLAYARSKPSVFFWSLVEQPPAAVPEILQRANQFIAAEAWMAGRREEHKRVRPEPARGQQSATPRRRLDRPDPPALRTSLPSLGTSRTEIFLQIKEKGLLKNPSLMRNPRELADRSKYCRFHRQTGHDTEECRELKRQIEELIRRGHLNRYLR >Ma06_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1828881:1830703:-1 gene:Ma06_g02350 transcript:Ma06_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSPCAACKFLRRKCTPGCVFAPYFPPDQPTKFVYVHRVFGASNVAKLLSELTPAQREDAANSLAYEAEARLRDPVYGCVGYISLLQHKLKQVQHDLYNAKKDLAAYIGPAAFGPFLLPPHHPHQYQQQQHLQGPSPSSTATYGAPGMGMGMGLGLAPTQPSTSHHPQILMREPQQQSPQQIAEAQQMAMAVAAAREQEMMRNYDQQQELARFNAGFPDGGRDYNQIGDGTMAAMPVAPSSGLGLVPAQPFDGSFAVNQMQPPPPQQQHQRARSDDGRSGIGPST >Ma04_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7077617:7097071:-1 gene:Ma04_g09940 transcript:Ma04_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MEDLGIEAKEAAVREVAKILPLPELLASIASIKSDYLSRQQANDAQLSTMVAEQVEQAHKGIDALALSQKTINQIRENFLSIERLCHECQTLIENHDKIKLLSNARNNLNMTLKDVGGMMSISVEAAAAHDSLSDDKELIHTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWSHISNFFNLAKESPQTLVRALRVIEMQEIIDQQLAEEAAEAEGVDTMASITNPLRSAKKGATTVSPKKAAQEKLKGQGKGYKDKCYEHVRKAVEARFNKLLTELIFEDLKAALEEAKMIGDELADIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANTLTNLEILKVTGWVVEYQDNLIGLGVDESLAQVCSESGAMDPLMNAYIERTQATTKKWYTNILEADKVQPPKKTEDGRLYTPAAVDLFRILGEQVQVVRENSTDVMLYRTALAIIQVMLDFQAAERHRLEEPAADIGLEPICAMINNNLRCHELSMDLSNNILEALPQNYAEQVNFEDTCKGFLDVAKEAIIQTVHVIFEDPGVQELLVKLYQKDWYEGMVTEYLVATFGDYFGDIKVYIEDRSFRRFVEACLEETIVVYVDHLLTQKNYIREETIERMRLDEEVLMDFFREHLSVTKVENRVRILSDLRELASAESLDSFTLIYTNILEHQADCPPEVVEKLVALREGIPRKEAKEVVQECKEIYEHSLVDGNPPKTGFVFGKVKCLAAPKGIWRKLAQ >Ma06_p25990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26600491:26607469:-1 gene:Ma06_g25990 transcript:Ma06_t25990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRKSNGKMEPSDEGEAAPSIVFLSSSDDEEANEDLSLAIVKKARQREAKRKWSEGALGPGPVSAVSLAHVIEISSSSPQSSGEAELASDPTPAPSSGGLMSPMEEANMQKKPKKRRKKKKKKQLEEKEVVDTIVEEEKPSGPPESVITEVNETSDNLVFRKLLRGPRYFYHWENNVGACFNCGEEGHTAANCTMEKRQRPCFVCGLFGHNGKQCLQGQDCFVCKRRGHHAKDCPYKNKKVAQCSEICLRCGETGHVMLSCRNDYSPNDLKEMQCYVCGKYGHLCCVNFTDTGPRESFCYNCAKPGHTGLGCAKPRGDSVAVDSPAVCCICHEEGHFARGCTKRTKSSRKMGESLTPQTFDKKNRRSRGFKSVPRDFGKERKKKSLVYEERRNMTASKLKTRGGWIVDDPGDLPKKKFRANNYSSPVTATQRSQRNYSSGFSGHSSSFHTPKRWKSYTGTPNSHATVKALHREFSSALSCIVLLAVQGCFNS >Ma06_p25990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26600491:26607469:-1 gene:Ma06_g25990 transcript:Ma06_t25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRKSNGKMEPSDEGEAAPSIVFLSSSDDEEANEDLSLAIVKKARQREAKRKWSEGALGPGPVSAVSLAHVIEISSSSPQSSGEAELASDPTPAPSSGGLMSPMEEANMQKKPKKRRKKKKKKQLEEKEVLQVDTIVEEEKPSGPPESVITEVNETSDNLVFRKLLRGPRYFYHWENNVGACFNCGEEGHTAANCTMEKRQRPCFVCGLFGHNGKQCLQGQDCFVCKRRGHHAKDCPYKNKKVAQCSEICLRCGETGHVMLSCRNDYSPNDLKEMQCYVCGKYGHLCCVNFTDTGPRESFCYNCAKPGHTGLGCAKPRGDSVAVDSPAVCCICHEEGHFARGCTKRTKSSRKMGESLTPQTFDKKNRRSRGFKSVPRDFGKERKKKSLVYEERRNMTASKLKTRGGWIVDDPGDLPKKKFRANNYSSPVTATQRSQRNYSSGFSGHSSSFHTPKRWKSYTGTPNSHATVKALHREFSSALSCIVLLAVQGCFNS >Ma06_p25990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26602229:26607469:-1 gene:Ma06_g25990 transcript:Ma06_t25990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRKSNGKMEPSDEGEAAPSIVFLSSSDDEEANEDLSLAIVKKARQREAKRKWSEGALGPGPVSAVSLAHVIEISSSSPQSSGEAELASDPTPAPSSGGLMSPMEEANMQKKPKKRRKKKKKKQLEEKEVLQVDTIVEEEKPSGPPESVITEVNETSDNLVFRKLLRGPRYFYHWENNVGACFNCGEEGHTAANCTMEKRQRPCFVCGLFGHNGKQCLQGQDCFVCKRRGHHAKDCPYKNKKVAQCSEICLRCGETGHVMLSCRNDYSPNDLKEMQCYVCGKYGHLCCVNFTDTGPRESFCYNCAKPGHTGLGCAKPRGDSVAVDSPAVCCICHEEGHFARGCTKRTKSSRKMGESLTPQTFDKKNRRSRGFKSVPRDFGKERKKKSLVYEERRNMTASKLKTRGGWIVDDPGDLPKKKFRANNYSSPVTATQRSQRNYSSGFSGHSSSFHTPKRWKSYTGTPNSHATVKALHREFSS >Ma09_p23250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35154483:35159826:-1 gene:Ma09_g23250 transcript:Ma09_t23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLTNHGKLRPESELPMKMSLPLATKLEIEDPLEDEHGPLNKRSKCGTGESMPLTEVIQNNLLNEPSPLGLRLRKSPSLVDLIQMRLSQANAAANSCILNDENLENEKKNDTRSTIALANTEKLKASNFPASLLRIGTWEYVSRYEGDLVAKCYFAKRKLVWEILEGGLKSKIEIQWSDITALKATCPEDAPGTLDIVLGGQPLFFRETNPQPRKHTLWQATSDFTDGQASIHRRHLLRCPQGLLSKHFEKLIQCDPRLCALSHEPDVFLDAPFSEPRCSVFEDHDESKCHPFDKLNDPYGSVVQEYEDSALTCASTSTSAKNDIRDPIDTGKIHSPSPVMGPWVTQGSGTAETEDSNNQWCWDQLKVPGLKHSMSISDFVNQIGHRISEQISLGNPQLSGSVIPDKEMLEELAQCLFSDSQMSTSDEKSVMSKVNSFCCLLQKDVGTVDSQQTDGGGPAANENGFCNNISEKHTSITKGEINDVPGPKAVASMSRKDSFSDLLMQLPRIASLPQFLFNIAEDEDSSLSS >Ma09_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35154483:35159180:-1 gene:Ma09_g23250 transcript:Ma09_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTEVIQNNLLNEPSPLGLRLRKSPSLVDLIQMRLSQANAAANSCILNDENLENEKKNDTRSTIALANTEKLKASNFPASLLRIGTWEYVSRYEGDLVAKCYFAKRKLVWEILEGGLKSKIEIQWSDITALKATCPEDAPGTLDIVLGGQPLFFRETNPQPRKHTLWQATSDFTDGQASIHRRHLLRCPQGLLSKHFEKLIQCDPRLCALSHEPDVFLDAPFSEPRCSVFEDHDESKCHPFDKLNDPYGSVVQEYEDSALTCASTSTSAKNDIRDPIDTGKIHSPSPVMGPWVTQGSGTAETEDSNNQWCWDQLKVPGLKHSMSISDFVNQIGHRISEQISLGNPQLSGSVIPDKEMLEELAQCLFSDSQMSTSDEKSVMSKVNSFCCLLQKDVGTVDSQQTDGGGPAANENGFCNNISEKHTSITKGEINDVPGPKAVASMSRKDSFSDLLMQLPRIASLPQFLFNIAEDEDSSLSS >Ma03_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2877690:2879953:1 gene:Ma03_g04390 transcript:Ma03_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGFGLWLLVSCLAIIRSGDGFYLPGSYPHEYQVGNLLSVKVNSLTSIETELPFTYYSLPFCRPPEGIKDSAENLGELLMGDRIENSPYRFKMFTNESDILLCRTDPLYTRKGDFLLRWTGYPIGVRAGDAYYLFNHLKFTVLVHKYEETNVARPGWMVVGFEVVPCSFLHNAESAKNLKTYDKYPAKIQCDPTTVAMKLNENQPVVFTYEVAFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVLVILLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFRAPSHPLLLCAMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLILGIAAGYVAVRMWKTINVGDHSGWVSVSWRVACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVVLLLLWFCISVPLTLAGGFIGAKAPHIEYPLFFIMSSLWMGRVYYVFGFLLIVLILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVALYIFLYSVNYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKLD >Ma08_p13370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10551829:10554018:1 gene:Ma08_g13370 transcript:Ma08_t13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEVREYTNLSDPKDRKWGKGKDKIDDEDVTFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPAPLPLPLRVEPKPKSGIRQQDLLKNIIGIKPKRQKVSSPGSLQPEHSTQSFPGRVASPADSEGKLGKNLSPTRPCETQKSSCLVAAVGVHKKQEEQEGKDPSMKSKGAEDAVKSLLGLAYESSDDE >Ma08_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10552135:10554018:1 gene:Ma08_g13370 transcript:Ma08_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPAPLPLPLRVEPKPKSGIRQQDLLKNIIGIKPKRQKVSSPGSLQPEHSTQSFPGRVASPADSEGKLGKNLSPTRPCETQKSSCLVAAVGVHKKQEEQEGKDPSMKSKGAEDAVKSLLGLAYESSDDE >Ma08_p13370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10551986:10554018:1 gene:Ma08_g13370 transcript:Ma08_t13370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPAPLPLPLRVEPKPKSGIRQQDLLKNIIGIKPKRQKVSSPGSLQPEHSTQSFPGRVASPADSEGKLGKNLSPTRPCETQKSSCLVAAVGVHKKQEEQEGKDPSMKSKGAEDAVKSLLGLAYESSDDE >Ma08_p27060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39441143:39442550:-1 gene:Ma08_g27060 transcript:Ma08_t27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIGAETPSWPELLGSEHWSGLLDPLDQSLRVFLLQCGDMCQVTGDSFIGDDHSKYRGMCRYRPSTLLDQVFFPYAANYDVKEYLYAMSQNSSNKTKESNWMGYIAVSKDAYTKRTGRREIYVVWRGTGRPSEWCEDFTTGLVPLDPDKRDVMVMQGWNDIYTLKDSDSQFPCNATSAREQLLSKLKELVELYKNESLSIVCVGYSLGGALAILSSFDMVNKGLSKIEGKAEEYFPVCAVVFENPKVGNKAFNKRFEELPNLRALRVRNTRDIVPLWPISTDYVDTGSFLEIDANMSPYLNNSVNNHDLQVVLHTLAGWTSKGGEFHLEVKRSLALVNKGGGYLKDESQIPEAWWVEKNKGMVLSEDGEWYETPGWNCKSSSNGHQL >Ma01_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24012029:24013666:-1 gene:Ma01_g22220 transcript:Ma01_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLVDSVIREMEQAFELTTKLQSLVELGNYSDTQKESARMVSRELLQTCNATLSMLKSRRTNVKIEYGTQYQLAPREIPIRTDRRTHYPRKVVTATPYSDGHQWRKYGEKKINGCIFPRSYYRCTYSEDQRCEAKKQVQQQDRGVPSLFLVIYKEEHTCKPMAVEGCRSFEQLQLPGCGEYTCNTSFPLTQVTASTTPPPVDSFSLRFDDSEDSLMRTAVRSITEGTIAWRRNDKGSVSCSELASADLEFLESTEPLHPSLSAVHDGDDEDHSPRSLGLDTDFFGFDLDDMELFGTPY >Ma09_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4287949:4289889:-1 gene:Ma09_g06700 transcript:Ma09_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCENYDPCFPDQPVVDRYLAIWAIQPSFRDKPAFIWTQDAPSGLTWTSITYSELDNAAEAMASQLLCTLNRGDSLLLLCSPGLRLVKLLFSCQRAGIVAVPVIPPDPSAFSPACRGPAHHHLLRVVSQVKPNAVVADRHYIAAVTGSVSSSDDRLGHLLQKLRWLSVEHLDDECPRAAASSLSPSPYLGCGPGDTYLVQYTSGTTGIPKPVLVTAGAAAHNVRAARKAYDLQPSSVVVSWLPQYHDCGLMFLLLTVVAGATCVLASPSAFLHRPRLWLELVTEFHATCTPVPAFALPLVVQRGGINHGKLPLKLGSLRNLILINEPVYKSYVDEFVKEFAAAGIDPSSVAPSYGLAENCTFVSTAWPSDEGCRSRYPNMPSYNRLLPSARLGSLSSSASEGADIDIVVVDEETCEPVDDGVEGEIWVSSASNASGYLGHPSLTREVFHGRLRGETGRCFVRTGDQGVIGGEERYLYVTGRDSDVIRTHDERNQCVHAHYIESAAYSSSSKQLRGGCVVAFDAEQLVVMAELQKKKEEERGVDVYREICEKIREGVRKEEGGRVGWVALVERGSIPKTTSGKPRRWLAKQKLLEGSLRPVYEARFVAGRHEASARDGGEGGVRQGNVASLMPYRRRIRRLFLLSFL >Ma08_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9274985:9275748:1 gene:Ma08_g12270 transcript:Ma08_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISFVLVLIFGLIMIFSNNSVEGEYIENPLSFSLHRDKGKENMVHDDKSVFSSSVGGKSNEETKMDINNLGEMKGKNIGNPSLATNKAKSKNHGKDNNNKCDGDPIAQTQGMSMDTHHEISIDDYRRMYHNIRKHP >Ma09_p18090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15527794:15529575:1 gene:Ma09_g18090 transcript:Ma09_t18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGKVDDLGLSLSLSSSSSSPPNNHLPLQLNLMIPSSSSASSPTPPLPCHQKTQWRDLLVHSEIRRVLDVCGAAADPRPRPLHRGIDVNQEPPGAAERDSEEDAGASSPNSTLSSASGKRAERGHHLGVDEHDTDRDCSRGISDEEDGEGSRKKLRLSKDQAAILEESFKEHNTLNPKQKLALAKRLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKVSPRLYMHMTPPTTLSMCPSCERVSNAATTTTTAASTPTPETNTPHPMSQHHQFIHHRPFPAPWAPIPLRPCLKTPPQRS >Ma09_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15527794:15529575:1 gene:Ma09_g18090 transcript:Ma09_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGKVDDLGLSLSLSSSSSSPPNNHLPLQLNLMIPSSSSASSPTPPLPCHQKTQWRDLLVHSEIRRVLDVCGAAADPRPRPLHRGIDVNQEPPGAAERDSEEDAGASSPNSTLSSASGKRAERGHHLGVDEHDTDRDCSRGISDEEDGEGSRKKLRLSKDQAAILEESFKEHNTLNPVSRFYPLPSPHPLRVVRHQGVRIGVKIVPINSPCLSCATEAKAGVGQAAQPEAKTSGSVVPKPQSKDKVEADRGGLRIPQKVLRDPHRREQEAAEGSARAESSEGVASALHAHDSAHHPQHVPFLRARFQRRHHHHHRRLHPHARDQHATSDVAAPSVHPSSAVPSSVGADPTPALSQDSSAAILTEYTWIRA >Ma08_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37982990:37992208:1 gene:Ma08_g24950 transcript:Ma08_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLLVSTLRRSAIRSRSTYARFGSFAAAEKGRGPSDRDLGAIGSAVVGVLAVGASGLGLWSVSSSSYFPGSSLYFADSDLAQKEPDLRIVSNDAKIEKKPKFLLPDSYRRRVFFNYEKRIRLRSSPEKIFEYFATSKNTKGEICMTPADFMRAVVTVFPPSESNIVREGYLRGERVPGELHCAPSSFFMLFDTDSDGLISFPEYIFFVTLLSIPESSFSVAFKMFDLDNNGEIKREEFMKVIGLMHSYNRQGVSHSNGLRFGLKVGGFIENGGLLQSFFGKDGTGCLQHDTFVQFLRDLHDEIVHLEFAHYDFKSRGTISAKDFALSMVASADMNHINKLLDQVDELDNNPSVRDIRITFEEFKAFAELRKRLKPLTLAIFSYGKVNGLLTKQDFIRAASHVCGVSLTENVVEIIFHIFDTNRDGSLSSEEFLRAVQRRETDIREPSSTGIMGLLSCWLHCRRHCSSSQLFG >Ma02_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22180302:22188079:1 gene:Ma02_g14030 transcript:Ma02_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MAAALLNSPLSISTQNPKKTLGIPLQDFPLLNPRLSRFDRRKLISSPFSFSSLILSNRNGVLNRLQSVSGGHVSAIPVESKNYEFSDGDADVELRLDISKLDIMSPSDIFVDMDETSLLVRVKASGTLITLMEANCLFERIKPSETIWYIDEDQLVVNLKKCDRDLKWPDVMESWESLTKGILQLLKGTSIYIVGDSTEINEKVGSELATGIGYIPFSTSDLLERYAQQSIESYGADSVAEAEGSILQSLSSHARSVVATLGGEHGAARTHDKWRYLHAGFTVWLSISEAADEASAKEEARRHVQDGRLAYSNADIVMKLGGWEPDQSRVVAQACLSALKQLTLSDKQLTGKKSLYVRLGCRGDWPDIKPPGWDPSSGVDPPES >Ma02_p14030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22180302:22188079:1 gene:Ma02_g14030 transcript:Ma02_t14030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MAAALLNSPLSISTQNPKKTLGIPLQDFPLLNPRLSRFDRRKLISSPFSFSSLILSNRNGVLNRLQSVSGGHVSAIPVESKNYEFSDGDADVELRLDISKLDIMSPSDIFVDMDETSLLVRVKASGTLITLMEANCLFERIKPSETIWYIDEDQLVVNLKKCDRDLKWPDVMESWESLTKGILQLLKGTSIYIVGDSTEINEKVGSELATGIGYIPFSTSDLLERYAQQSIESWVVSDGADSVAEAEGSILQSLSSVVATLGGEHGAARTHDKWRYLHAGFTVWLSISEAADEASAKEEARRHVQDGRLAYSNADIVMKLGGWEPDQSRVVAQACLSALKQLTLSDKQLTGKKSLYVRLGCRGDWPDIKPPGWDPSSGVDPPES >Ma02_p14030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22180302:22188079:1 gene:Ma02_g14030 transcript:Ma02_t14030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MAAALLNSPLSISTQNPKKTLGIPLQDFPLLNPRLSRFDRRKLISSPFSFSSLILSNRNGVLNRLQSVSGGHVSAIPVESKNYEFSDGDADVELRLDISKLDIMSPSDIFVDMDETSLLVRVKASGTLITLMEANCLFERIKPSETIWYIDEDQLVVNLKKCDRDLKWPDVMESWESLTKGILQLLKGTSIYIVGDSTEINEKVGSELATGIGYIPFSTSDLLERYAQQSIESWVVSDGADSVAEAEGSILQSLSSHARSVVATLGGEHGAARTHDKWRYLHAGFTVWLSISEAADEASAKEEARRHVQDGRLAYSNADIVMKLGGWEPDQSRVVAQACLSALKQLTLSDKQLTGKKSLYVRLGCRGDWPDIKPPGWDPSSGVDPPES >Ma04_p39660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36680124:36683381:-1 gene:Ma04_g39660 transcript:Ma04_t39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAATSFSLLPTSSSRRRVAAVVAPSRLTLRSPSRRLGFAGVAADSLLAAHVAAKIRTVAGRSGKGPRGVAVMAKKSVGDLTAADLKGKKVFVRADLNVPLDEDRNITDDTRIRAAVPTIKHLISNGAKVILSSHLGRPKGVTPKFSLSPLVPRLSELLGIKVEKADDCIGPEVEKLVNALPEGGVLLLENVRFYKEEEKNEPEFAKKLAALADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGFPVGSSLVEDDKLELATSLLAKAKAKGVSLLLPTDVVIADKFAPDAQSKVVPASEIPDGWMGLDVGPDSVKTFNDALDTTKTIIWNGPMGVFEFDKFATGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVVALDEAVVVAV >Ma04_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11459449:11460992:-1 gene:Ma04_g15140 transcript:Ma04_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRASELPQRQPQRAAPLHLKTNSEANSHQRSVDRRAKPEDRRSPRSPLHEKKRGTGVSDVETKLGEAQEELKMLRDRLALAEAAKLDAQQALEMAKKQIPSAIPVVKAEEAENLLLQQDSEETSEVTQEAKIEEESVDSARTTDVFEVVAPTEPTNVENDDHSKPGEEATVTEKEEEDKEEEKAKTAVENEKYVKDQMVDKKEEQQRGGVELPNLLDLVELEDTTEVGIVLEENVLAKTTAESQTVRTADAARAKEEELAEKLRSTEEELKQSREKAELLTEQLQAAVGAKSMLEEEMKRLRVQTEQWRKAAETAAAALAAGDDVGHEEWGPALMDGDAMREEGAVGKRKGAGVRVFGDLWKKKGQRK >Ma05_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4483795:4483980:1 gene:Ma05_g05960 transcript:Ma05_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPGHSYGSVSTESRRRSTDDAGLGRRCLTMVKQQRTRLYILRRCVSMLLCWHAHSLSD >Ma04_p36910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35078457:35085050:-1 gene:Ma04_g36910 transcript:Ma04_t36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFRALASARRSIPSLRRALSTTRPSSAHDHELQDEVLVEGKAAARTAILNRPSALNALTTSMGIRLKKLYESWEDNPDIGFVLMKGSGKAFCAGGDVVTLYRLLNEGKVENCKDFFRNLYMFIYVVGTYLKPHVAILDGITMGGGAGVSIPGTFRIATDKTIFATPEVHIGFHPDAGASFYLSNLNGHLGEYLALTGEKLNGVDMLAVGLATNYSMSDRLDWVDERLAKLMTDDPSEIDSTLAQYGDIVYPDKNSIVHRLEAIDKCFGHETVEEILDALETEAARSKQDWCISALKKLKEASPLSLKVSLRSIREGRCQTFDECLVREYRMSLHGISKLVSNDFCEGVRARLVDKDLAPKWDPPVLEKVSEDMVDHYFSPLGEFEPDLKLPTHLREAFI >Ma04_p36910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35079083:35085034:-1 gene:Ma04_g36910 transcript:Ma04_t36910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFRALASARRSIPSLRRALSTTRPSSAHDHELQDEVLVEGKAAARTAILNRPSALNALTTSMGIRLKKLYESWEDNPDIGFVLMKGSGKAFCAGGDVVTLYRLLNEGKVENCKDFFRNLYMFIYVVGTYLKPHVAILDGITMGGGAGVSIPGTFRIATDKTIFATPEVHIGFHPDAGASFYLSNLNGHLGEYLALTGEKLNGVDMLAVGLATNYSMSDRLDWVDERLAKLMTDDPSEIDSTLAQYGDIVYPDKNSIVHRLEAIDKCFGHETVEEILDALETEAARSKQDWCISALKKLKEASPLSLKVSLRSKT >Ma09_p30720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40702237:40708076:1 gene:Ma09_g30720 transcript:Ma09_t30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWIIQSKPLNAPRSSSNVSCPRCARGIAVMGKMVGSATNPRALRPVVFPVNADSNSGVSSDQTTVFAAVSSDGGSMAGTEFGCREDVERLLAEKMKGKRTDYKGKSELMIEYIKRLRICVRWYMELEDGYMEEQAKLRNMLGSEEKRHGELEFQLRAKMDELDATSRELREQNSSLQHKFTNEELEKLAAVKSYENEREARVAAENSRDALSTDLERVTQEKKRLSDQLKMLQETNRRLQEYNTSLQQYNSNLQNEANKNGETISRFQKEKSVIMESLTTARDHANSLKSQLDASRSSQQKAMKLKEELRKEVSCLRIELQQVRDDRDHLSTQAQSLTFEVENYRELTKKSTKDLDYITLKIIALEKTCSSQRGKIQLLEDQLAASSEKLKRADLTTIETMTEYEELKRTVDDLQDRLTEAEFQIVEAEKLRKKLHNTILELKGNIRVFCRVRPLLPDSDLNDTDREVVSYPTSIEYLGRGIDLTHNAQMYTFTFDKVFNHEASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNTGCAEQKGLIPRSLEQIFQTSQSLQCQGWKYKMQASMLEIYNETIRDLLSPSRPSDGLCKQYTIKHDSNGNTIISDLTIVDVCSIKEVSFLLQQAAQSRSVGRTQMNEQSSRSHCVFTLRIFGVNEGTEQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIGKKDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPGASSVGESLCSLRFAARVNSCEIGIPRRQIQIRCSDSRLSYG >Ma00_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:42448084:42450701:1 gene:Ma00_g04920 transcript:Ma00_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLKNAGATYQRTVNRMFAHQIGRNMEIYVDDMIVKSRTAEAHSSDLAETFDTLRRFGLRLNPAKCAFGETSGKFLGFIIHERGIDANPEKVQAIIDMQPPRTIRDLQRLNGRLVALSRFLSRSGDRCLPFFKALRDPKNFRWTTECERAFEQMKQHLANLPRLASVSPEEKLSLYLTASQHAVSSVLVKENSGDQLPVYYVSHMLSGPEGRYPPIEKLALALVLSARKLRPYFQAHPIEVITDRPLRLVLSKFDVAGRLLKWAVELGEHDIQYTPRKASEELEPPHDTWTLHVDGSANAKGAGAGLVLVTPDGRSIERSFRFGFRATNNEAEYEALLAGLRLALEMRVTDIRVITESQLVARQLDGEYEARDPTMVKYLAQVKNLATKFVHFELSNVPRSENQRADTLAKLASGSAPRARPETEGLPHRAIEVVTTVTDGAPATWVQEMLRFKWDRTLPVNETAARRLRRTQAWYSEEGGWLYKQSFSRPLLRCLEPNEARTVLSDMHEGACGEHIGERALAHKMLRQGYYWPTMRQDAKAFVRRCRSCQEHARTAQRSAVLFTPVDCAWPFAQWGLDILGPLPPASGQRKYIIVGVDYFTRWVEAESLATITESQVESSVAYPQANGLAEVTNRSIVDGLKRRVSAARSAWIDELPSVLWALRTTPKTPTGESPYSLTFGTEAVLPSEVAVPTPRTAGYSEEASGEGLRSNLDLLEERRASAHQKALSYKRAVARVYNRRVRPRSIKIEDLVLRKIEVSHPTQVRGKLAPKWEGPYRVIGVSRPGTFRLATMDGDPVPRTWNIQNLRKYFI >Ma00_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:20947182:20947253:-1 gene:Ma00_g02770 transcript:Ma00_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIGHNYYGEPTWPNDILYFFQ >Ma03_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7841660:7842010:1 gene:Ma03_g10430 transcript:Ma03_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNALLLVVLVLAAVGRGAAQEPASAPLSSAASPPTAETPSNTPVAAATPESSAATPSPQMPKSSSPASGPVPDATASSPATSPSASSAGALLTHDLPISHLLRVGAVSGRCSRL >Ma06_p38480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37221837:37225877:-1 gene:Ma06_g38480 transcript:Ma06_t38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPSPRSAPTTPHHHSKATPTPHHSKHRLHFPSPNPKAPAATDHPVEVIGLIRNLPADLRKDEDGPAACSVLEISGDGRSLRVRADVGYRDFTLDGVSMSEHEDLEAFYRRFVASRVEGVRLGAKCTIIMYGPTGSGKSHATFGCPKQPGIVYRALRDILGEGRDGSDGSSTGAAASANDDEDGGFGPGFFVHVAVLEIYNEEIYDLLSGASIGGAGHTVGLPKGNSTPKVRLEVLGKRVKNARYISGNEAGKITREVAKVEKRRIVKSTRCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGLEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKETHKTISTLEYGAKAKCIIRVTRMPTPKEKVDPEESSLLLKSRIVAMNQVMYKLQMENKLKEKECDDARKELLQKDAELAKLGARLQLIEERESEVEEAEINLTVDERTKTLKLELMKMEEIMLQQQAELTMLKRRLEEVELGRGKAGEDTLHDMDGGRFMKRLETYAGDPGMVKSMDLDMGDQQDSYDVKEIREDSYRHIISYPKQSPLDACLSDFEEDADSSVLRFPEKVSLSTVFEGDEEDIESTEDEVDKEVVEENMSHARGIGCLMPFEDSGLNDDHDGCGSDSKKKQVGAEINSIKNTIDAISARRTCVQNIFRLCGNHRELSQQVKVSSPLKRGNQDENRRPSSLMLGEEYESKLGLRLEQSQLAPQGKLLSESIVTESAVSTLLVTFASLELGDEQKSADQRLKRCVSSEPPKDLMENCSPGKVDADDIVNIYVKWEASKEISGSLIRKLKVLRDSTLADLRKLIDINLEEDNKKFTFLLLGDPSGAPVAKEKEARTRVNELPICNNQLNGRVACLRPVKKAVQKPDHVPFGSLENTLPLGLNSPPSQVVLPKVSQINTNYVTGLQV >Ma08_p27900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40013387:40014737:1 gene:Ma08_g27900 transcript:Ma08_t27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLANPALHSIPLVGSPKHGARFPGIPVVDLSRPGAGEAIVRACEDLGFFKLVNHGIPVELMRRLEAEAVKFFSLPQVEKEKSGPANPFGYGDKRIGVNGDVGWLEYLLFVVTSDPLSCTSMTACSFRYELRRDRFRPSFLVPTCGCHCSSALSEYLVAVRKMASDVLRSMAEGLKIEPRDALSKLAMDEHSDGIIRLNHYPPYPQLRGLNRSLTGFGEHTDPQIISVLRSNDSSGLQISLEDGSWVSVPPDQASFFINVGDCLQVLTNGRFRSVRHRVAANGVKPRVSMIYFFGPPPAQRIAPLPQLMGEGKQSKYKEFTWGEYKKAAYRSRLGDNRLVQFEK >Ma08_p27900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40013387:40014737:1 gene:Ma08_g27900 transcript:Ma08_t27900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLANPALHSIPLVGSPKHGARFPGIPVVDLSRPGAGEAIVRACEDLGFFKLVNHGIPVELMRRLEAEAVKFFSLPQVEKEKSGPANPFGYGDKRIGVNGDVGWLEYLLFVVTSDPLSCTSMTACSFRSALSEYLVAVRKMASDVLRSMAEGLKIEPRDALSKLAMDEHSDGIIRLNHYPPYPQLRGLNRSLTGFGEHTDPQIISVLRSNDSSGLQISLEDGSWVSVPPDQASFFINVGDCLQVLTNGRFRSVRHRVAANGVKPRVSMIYFFGPPPAQRIAPLPQLMGEGKQSKYKEFTWGEYKKAAYRSRLGDNRLVQFEK >Ma03_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:472675:473211:-1 gene:Ma03_g00560 transcript:Ma03_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLTRSDPPPLLPLGARSPSRSAGGPFTAAELAAAEQLLQLSESSSADLAASSSSASSSSPRSVNNRPPPEAVLLEAEEEEEEETGLRRRTKRYRLIADLHMVTTPIDSCSKGGGAERGRVCCREFVWS >Ma02_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27676613:27680717:-1 gene:Ma02_g22300 transcript:Ma02_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVGHLSSHIRQEELERVFRRFGWCNVQLKDGYGFVVYQAPPDAERALRSLRGKPICGEQITINWSNRQPRPVQRLARTTRFNEPLQRRNFREENEEVGIRGSNARRGFYARTFHSSMYNRERQTLDDALDKKRGRTTDDITDIEGDKGVNLGMTNEGGMRALDQVENDRWGEPSIDTLNSNGIDNGDEFDRYEPYHGYDKRDENENVQIDSPSSRDRGISREKRQRENSIHGNDRKIDMLKPQQTCYKCGQVGHIMRTCPKTDARREKFSKFNSSKEEVNVREKGRRIKRFRSNSWGSPDISRDPMVSRRHKRDRKEYHSVKARGMSREFERSPESRKRHRPKLRKDFPSKRKSKLENGASKKALKKGRHRKSSTPSSSSASDRSSSQLHSRSSRSVTGSSSPSHLRSASSRSRSVSDSSSSSYSKSVSSISKSRLRSRSKSKSRSQSKSRSRSRSRSMSLSVSLEPKVTPSNNKQIGHSTKTSSDENVTSPTSEQLECNKADDACTMLEDGNPSTSFKVDNEISGEHFGYNVDVVEDPTIRTDYNEKNICSDHSKYESDQHEDLPLKLKRWTSTQQEASSSTRLTTEEMFLALKHYGLEAPEESHSSITVEEYFGAARMWPWEMIYYRQSKKGPISTENYARRLEQNRQFGIVDKYIRSSSGWGEHNRQQDT >Ma02_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9929611:9951226:1 gene:Ma02_g01420 transcript:Ma02_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKEKPEIVWKAEKLVAATMGERDASHDAAHAFRVRGLALSLAKEEALSGPSLEIVELAALLHDIGDYKYAKDLTEDTTTVEKFLEEEGLEERKREKILAIIRHMGFKNEVASTSSPDSSLEFCIVQDADRLDAIGAIGIARCFTYGGSKNHILYDPEIPPRQGLTKEKYMMKDGKQTSVNHFHEKLFKLKDLMKTKAGKNRAEKRHKFMEDFLAEFYEEWSGSS >Ma02_p01420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9929611:9951226:1 gene:Ma02_g01420 transcript:Ma02_t01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKEKPEIVWKAEKLVAATMGERDASHDAAHAFRVRGLALSLAKEEALSGPSLEIVELAALLHDIGDYKDLTEDTTTVEKFLEEEGLEERKREKILAIIRHMGFKNEVASTSSPDSSLEFCIVQDADRLDAIGAIGIARCFTYGGSKNHILYDPEIPPRQGLTKEKYMMKDGKQTSVNHFHEKLFKLKDLMKTKAGKNRAEKRHKFMEDFLAEFYEEWSGSS >Ma05_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12056638:12056850:-1 gene:Ma05_g15690 transcript:Ma05_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIGVELLEEYTALVARVLEQLLHVALLPWRIRFLILRRLPFASPPPPLPPPAHALRVRAPVPLAAR >Ma06_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8039296:8043661:-1 gene:Ma06_g11530 transcript:Ma06_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGTNSWAKEMVIRRRIANIFNKREDDFPSLKDYNDYLEEVEDMTSNLVEGIDVAVIEAKIAKYQEENAEQIINARARKAEELAAALRASQNPSLVDPADAGPGPSSQGVGVGSQGQYAPAAVPGGLMQPRPTGMAPQPVPVGAPADPLQGYAAEDEDTMKLRAERGARAGGWTIELSKRRAIEEAFSGIWV >Ma06_p29940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31294430:31295474:-1 gene:Ma06_g29940 transcript:Ma06_t29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDRIPSDGDIAAASSFSSQAYIEDLARKLLMRDLSCQWLLESRELCHSPRLCANGCGFFGNSATRGLCSKCYRDLCLKELLETLAPSVADVEVEAEIRKVAAGAESSVANPSLSSGEAPAKVVERCGRCHKKGRLSARFECRCGRAFCAAHRLSETHDCSFDHKTLGRAALATANPVVQKEKLERI >Ma10_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29211346:29211946:1 gene:Ma10_g18140 transcript:Ma10_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALCIHSVLSTAMRFVSYALMRILWLLKDCIPSEIMVVFSHPGRNSNCKKDMEEQPHRHQKKKRNCHHRRLSLLILLHVVGIEGDIQGALGNQSPKKSRGQFARPCGHHRRDYIQG >Ma03_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6462562:6463952:-1 gene:Ma03_g08800 transcript:Ma03_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYFCGVCGFYDDDIEKAQYQCSDCGICRVGGGETFFHCQKCGSCYSVHLFNKHSCLENSMRHHCSICYEYLFDSMNETTVMKCGHTMHTECLHGMLKHEN >Ma06_p35260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35195346:35196584:-1 gene:Ma06_g35260 transcript:Ma06_t35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEGTEVNWFDDFDCSQLELLRELQAQESPRFMESQPDAPHESVIDKLISALYSNPAIGDVESALSVTFQNGDSHGRCNSQPIICSPDKGLGRMDHKFTIRIKTCENGLADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERSMEDPETLIVTYEGLHLHYTYSHLLLSGSSQYYYSDTDLHVAKKLKCHSMAEVADAPAQPPTRSTSTIEPRRLQPAADGAVLLGSADASLRHGLLDDVSRSSEGLLEDVVPLVVRKPCNSTTSSFDAFPSSPASSPSYSSLPLTTNSSHLDLGTLSTIM >Ma07_p28340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34419969:34427784:1 gene:Ma07_g28340 transcript:Ma07_t28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPYVVKKDDDHDDEAEYSPFLGLEKGTVLQEARVFNDPQLDARRCAQVITKLLYLLNQGEAFTKVEATEVFFAVTKLFQSKDTTLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKIDMYRANAIRVLSKITDGTLLMQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEGVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIHESSMNSQTGERPFFDYLESCLRHKAELVIFEAARAITELSSVTSRELSPAITVLQLFLSSSKPILRFAAVRTLNKVAMTHPLSVTSCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFIGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGAMVDSLKPRILVLLRRCQFDIDDEVRDRATLYLDTLGGDASVGETEKYVKDFLFGSLDVPLVNLEKSLRSYEASDMPFDIYSVPKEIKSQPLAEKKAPGRKPTGLGGPPCAPVSAVDAYEKLLSLIPEFSSFGKLFKSSTPVELSEAETEYAVNVVKHIYDGHVVFQYNCTNTIPEQLLENVTVFVDASEAEDFSEVASKPLRSLPYDSPGQTFVAFEKPYGLPATGRFSNLLKFFVKEVDPATVEAEEEGVEDEYQLEDLEIVPVDYMLKVGVSNFKNAWESMAPDNERVDEYGLGARESLAEAVCSVINILGMQPCEGTEVVPSNSRSHACLLSGIFIGNVKVLVRLSFGVDESKQVAMKLAVRSEDPNISERIHEIVAEA >Ma09_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6397365:6410516:-1 gene:Ma09_g09720 transcript:Ma09_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKFPKSAQNFVDALKKNRSCQKLIRRKLIEIEAKIEKNKELKERIKCLMNFQVACKRKVMNVLCQRKDPRVKLISVKKPTSENSSKTTLKKLPALHFGPGENSHILRCRMVLERFPVSLCKQPWSKTEKENLAKGIKQQYQEMLILNSMNMESDEEGITDSSIMSATTLSDLEFTPEKIRSFMPLVNWNRLASMYVMGRSGTECEARWLNCEDPMINHSPWTVMEDKKLLFIVQQRGIYNWIDISILLGTHRTPFQCLLRYQRSLNPHILKKEWTEEDDVKLSAAVENYGDNKWQFIASCLEGRTGPQCSNRWKKTLNPERKKVGRWSVDEDKQLKVAVMLFGAKNWNKIAWFAPGRTQVQCRERWLNCLDPALNLNPWTAEEDAKLLDAIAVHGNCWSKIAACIPPRTDNQCRRRWKILLPEEVKLLQAAAQIKKTALISNFVDRESERPAIGPNDFTSIVNSTISEKTDYVEARKKKLSDHQPKKSKAKSRRVIKENSMEDQDINNFTDTVPADLAHLPSTESNSTEHLGICRSGNKRSRSSDKRPRKSRVKSGSHLKENSTKDCMINTSSDIAPAGMSLTTAVNSASTEGVCIGRTTDITLSENQQSTDRHLVPDTSADNSATESISCWSKRAKRKHEQLKSGALETTAGGTVGDSLLVSETDYSAIITNHSQRARNTKSRGPGANDQRGNSCENVSRKNKMQCKGTPRTMYVGDVDDSSLLMAFNKKEKRKGENMVFEENTQAAEDPFKTIAICCGGGDDDDDDSSLLATFYKKAKRKQKCIVTKENTQAAK >Ma09_p09720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6397365:6410443:-1 gene:Ma09_g09720 transcript:Ma09_t09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLCQRKDPRVKLISVKKPTSENSSKTTLKKLPALHFGPGENSHILRCRMVLERFPVSLCKQPWSKTEKENLAKGIKQQYQEMLILNSMNMESDEEGITDSSIMSATTLSDLEFTPEKIRSFMPLVNWNRLASMYVMGRSGTECEARWLNCEDPMINHSPWTVMEDKKLLFIVQQRGIYNWIDISILLGTHRTPFQCLLRYQRSLNPHILKKEWTEEDDVKLSAAVENYGDNKWQFIASCLEGRTGPQCSNRWKKTLNPERKKVGRWSVDEDKQLKVAVMLFGAKNWNKIAWFAPGRTQVQCRERWLNCLDPALNLNPWTAEEDAKLLDAIAVHGNCWSKIAACIPPRTDNQCRRRWKILLPEEVKLLQAAAQIKKTALISNFVDRESERPAIGPNDFTSIVNSTISEKTDYVEARKKKLSDHQPKKSKAKSRRVIKENSMEDQDINNFTDTVPADLAHLPSTESNSTEHLGICRSGNKRSRSSDKRPRKSRVKSGSHLKENSTKDCMINTSSDIAPAGMSLTTAVNSASTEGVCIGRTTDITLSENQQSTDRHLVPDTSADNSATESISCWSKRAKRKHEQLKSGALETTAGGTVGDSLLVSETDYSAIITNHSQRARNTKSRGPGANDQRGNSCENVSRKNKMQCKGTPRTMYVGDVDDSSLLMAFNKKEKRKGENMVFEENTQAAEDPFKTIAICCGGGDDDDDDSSLLATFYKKAKRKQKCIVTKENTQAAK >Ma05_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10886321:10888470:1 gene:Ma05_g14860 transcript:Ma05_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRGETFKTVDQCTSVGREGHTVVADMDGTLLLGRSSFPYFALVAFEVGGVLRLLLLLLAAPLVGVLYYFLSESAGIKVLIFAALAGARVEEVELAARAVLPKFYSADVHPEAWRVFSACGRRCVLTANPRIMVEAFLKEYLGADLVIGTELGTYKGRLTGFAVHPGVLVGRNKAAALRKQLPEASPEIGLGDRETDFPFMSLCQEAYVVPPKPRCGAVASDKLPKPVVFHDGRLVQKPTPLLALVTVLWFPAGFLLACLRIASGALLPMRYVYHVFRALGVRVTVRGTPPPPASTSLGHSGVLFVCSHRTLLDPVFLSVALGRPIAAVTYSVSRLSEILSPIRTVRLSRDRARDAAMIRKLLQEGDLAICPEGTTCREPFLLRFSALFAELTDQIVPVATCSRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLDKLPLEITCSGGKSSHEVANYIQRAIASTLSYECTRFTRKDKYRALAGNDGTVPEKKSKAEG >Ma08_p34610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44591527:44603603:-1 gene:Ma08_g34610 transcript:Ma08_t34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) UniProtKB/Swiss-Prot;Acc:Q67Z52] MASRLQLPDDDSVVLRVTHANVKSFSADVRFSLEMTVESVKEKLWKKCGTAVDSMVLELYDDAGSKVCDLSDATRPFGFYSPQDGYRLHIIDLDPSSVTSGGWLEDTSLVEKYTISEDAYNKLDNNFRKFKEKMVSQNPMAKENKLSENYMEDLCANIKVGDRCEVEPGEKRGIVKFVGRAEALGPGFWVGIQYDEPLGKHDGTVKGKRYFDCPPQHGVMLRPDKVKVGDYPERDPFEEDEEI >Ma02_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18861133:18865440:-1 gene:Ma02_g08580 transcript:Ma02_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFHNLNAASGLQKLNDYLLTRSYITGYQASKDDISVYSALATSPSADYVNVARWYNHIDALLKLCGISEEGKGVKIESSAPVVEEAPSPAIDDKKGPAADDDDDDDMDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMQKLEEVVRSVKMEGLLWGASKLAPVGYGIKKLQIMMTIVDDLVSVDNLIEDYLLVEPANEYIQSCDIVAFNKI >Ma04_p39730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36762097:36765374:-1 gene:Ma04_g39730 transcript:Ma04_t39730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGGDCRSKEAMGMMALHEALRNVCLNSDWAYSVFWTIRPRPRSRGGNVCKVGADDNGSLMLMWEDGFCRTRTAECMEGMDVEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSQCEPNISSHWQSSFDALPSEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGHQSGFFLSQLFSSTRNSSTSSVPTKQVPTPCPPPFFSWDHSSIHSASPITMPSVYQPPTHGGLPDDEDGTHLFLPRSSGAHLDDATRQHETDLRWPNGLSLFTALTGRADDAKLLFGSEEMGNELPPQQLPLLIRGKNPASKSAMTRYGNADETKAVPDAILGEDDTEDLFS >Ma04_p39730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36762097:36765353:-1 gene:Ma04_g39730 transcript:Ma04_t39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGGDCRSKEAMGMMALHEALRNVCLNSDWAYSVFWTIRPRPRSRGGNVCKVGADDNGSLMLMWEDGFCRTRTAECMEGMDVEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSQCEPNISSHWQSSFDALPSEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGHQSGFFLSQLFSSTRNSSTSSVPTKQVPTPCPPPFFSWDHSSIHSASPITMPSVYQPPTHGGLPDDEDGTHLFLPRSSGAHLDDATRQHETDLRWPNGLSLFTALTGRADDAKLLFGSEEMGNELPPQQLPLLIRGKNPASKSAMTRYGNADETKAVPDAILGEDDTEDLFS >Ma06_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15952821:15957487:-1 gene:Ma06_g21620 transcript:Ma06_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAADPVSLSAARGPKFLPISPPGSLLIRAPLTSEENDSPLASDTSEEEQEGFETASDLEEESINSALATAALLTPKGDGLSRSTSMPIKARVTRDDDPDVVALVDELEDEDDDEDDFYGIAKVAVSSQVPTLVDKGEDLMGEQRAGTSLETHVSQTTEGDFLPDSLEIVSDENPKTEDSSKNGNLAAEEQKTVENPIPQSTEEKPIEDEDAVDGTLDKYGEHTVSDPQEKKDGSLVEELGETASPDIGAIAELKRVEDESEAADVEEEGETNKSCTKEGGLNIEEAKSDTTDELKMGTDGSGDSSQNINQSSVPNAHTPEEKSVGSYSAEDIQMSEGSWEKLDQLNDNIGHAKRCVSLTGGSEVVDDKAAEDSADGVDSANANVILSPIHELWDEKPKVELNQSANDSEVNNNEPVPELLSDGDNSISVQDKQSPFIIEEKSKGNKNKGDTFETSGVTEDNNDQQLNSVSEPELTEGGDFADHEQNLCQEKAKEDEENLGSDEPSKVAMVASLEGAKEMRKELDEDSSTTGLHSVLHSSKDIDDQIILDSDEEVLTYKDDEGNEMIDSDALVALLKAASSSTADGGPKVTSQDANRIFSVDRPAGLGSSIPSLKPAPPRHTNPSILSPSELTVTADHDDQITEEQKKLHEKVELIKVKFLRLVYRLGHSPEDTVAAQVLYRLSLAEGIRSRQQINRAFSLESAKKKALQLEQDDTEGLDFCCNILVLGKSGVGKSATINSIFGEEKSQTNAFEPATTSIKEIVGTVGGVKIRVIDTPGLRASGMDQASSRRILTSIKKYTRRCPPDIVLYVDRMDTLTRDQNDLPLLRTITSTLGSSIWFNAIVALTHAASAPPDGPSGSPLSYEVFVAQRSHAVQQSIRLAAGDMRLMNPVALVENHATCRRNRDGQKVLPNGQSWRPQMLLLCYSSKILSEANSLLKLQDPSPGKLFGGFRLRAPPLPFLLSSLMQSRPHPKLPADQGGENEDSDMDLDNLSDADQGEEDDEYDQLPPFKPLKKSQIAKLTKEQRRAYLDEYDYRVKLLQKKQWKEELRRLRQMKNQQKVSQDDFGHADTVEDFDQDNAPATIPVPLPDMVLPPSFDCDAPTYRYRFLEPTSQFLARPVLDTHGWDHDCGFDGVSLEEGLAVAGRFPAVLSAQVTKDKKEFSIHLDSSISAKHGENGSTLSGFDIQAVGKQFAYTLRGETKFKTSKKNRSTGGISITFLGETVATGLKFEDQLSIGKQVNLHVSTGAVRAQGDTAHGANLEVRLRDKDYPISQTLSTLGLSLIRAHGNLAVGANLQSQFSISRSSKMSVRVGLNNKQTGQITVRTSTSEQLQFALVGIIPIAISIFRSMRSGESSTY >Ma04_p32050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32197887:32199526:1 gene:Ma04_g32050 transcript:Ma04_t32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVAEEGAVCAKVMTDEQMEVLRKQIAAYATICEQLVEMHRAIAAQQDSLSGMRLGSLCSDPLMAPGVAKLSSRQRWTPTPTQLQILETIFYEGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKKQPGAGPNAAESEAEVESLNEKKAKAHGNLSPTVDDGPFQSPDISSELRFADPESNGSQSMFALGDISKYGDAF >Ma04_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23564414:23566407:-1 gene:Ma04_g20880 transcript:Ma04_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLLSAVGNHISLPESYVRPESQRPRLHDVINDTNIPIIDLGSPDKQQIVAQVADACRSFGFFQVVNHGVTVDSMQKMMGVASEFFHLPPEEKEKYYSDDTTKKMRLSTSFNIRKESVRNWRDYLRLHCYPLEELVPGWPSNPASFKEVVSAYCREVRQLGFRLLGAISLSLELEEDYLEKMLGEQEQHMAVNYYPKCPDPELTYGLPAHTDPNVLTILLQDPNVAGLQVLKDGRWMAVRPLPNALVVNVADQLQALSNGRYRSVRHRAVVNSDKERISVASFLCPGNSVVISPPEKLVGGDGCRAMYRSYTYEQYYDKFWSRALDEHCLDFFKR >Ma11_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25592240:25595527:1 gene:Ma11_g21470 transcript:Ma11_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLAAAKPLLHPSHPRTPPPSRGAGGPCLRWSLSASRRSAALRVHALKCEPSKIAPQADRVLVRLEELPEKSAGGVLLPKSAVKFERYLMGEILSVGSDVTEVEAGKKVLFSDINAYEVDLGTDTKHCFCKASDLLAVVE >Ma10_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5303824:5310700:-1 gene:Ma10_g01830 transcript:Ma10_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADVVARTLAVVLAVALLSPRLVSSNSEGDALYALRKSLSDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVPELGHLEHLQYLELYKNNIQGAIPAEFGNLKSLISLDLYNNNISGVIPPTLGKLKSLVFLRLNDNRLTGQIPRELVKTSSLKVVDVSNNDLCGTIPTTGPFEHIPLNNFENNPRLEGPELQGLAMYDTNC >Ma07_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6207899:6211030:1 gene:Ma07_g08330 transcript:Ma07_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLAVGHRKTLDLEDVPQQDERDSVNGAFPIFKSKLVYCSSSSSSSSVTFGVCIIVGIPLESGKVLSALATFRVKCRNYQEVLSLCGTTAYVPQSPWIQSGKIQDNILFDCYGPQMPFVLRGLTCIFPGGMKTGIVGRTGSGKSTLIQVLFRIVDPTNGQILIDGVDISTVELRELRSRLSIIPQDPTMFDGTIHSNLDPLEEYTDAEIWEALDSCQLREETRKKELKLNSEVNKNGENWSIGQRQLVCLGRVILKINKILVLDEATASVDTATNNLIQKTLRQQFSKSMVITIAHWITSVLGSDMVLVLDDGLIVEYDTPARLLEDKSSLFAKLVTEYTMRSSSGFDQLNN >Ma03_p33410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34765142:34767682:1 gene:Ma03_g33410 transcript:Ma03_t33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRGSEVVDDGHCRRDSAGSIERSCIYGGFPRRRPSSSLKRANCLPQNCLIIFTVLCSEEKVQLTGIYDLVAVLTHEGRSADYVFWVKQENGKWIQYDDHNPIPPRVERILQSYLAAVIGTWQKHLHG >Ma07_p18980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26917916:26921698:1 gene:Ma07_g18980 transcript:Ma07_t18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MQSKKRRRVDPPTIHPRNRYSEKPPDFGLLADLYPSFSPYVFPSSRPGGRPSIDWTDFNATRELTRVLLLHDHGVNWWIPDRQLCPTVPNRSNYIHWIEDLLSSDLIPVWDCSDRKVRGFDIGTGANCIYPLLGASLLGWSFVGSDAALEWARKNVESNPHLSELIEIRNANDFLCSAEVKPKSGKIIEGELFAEEKEAESLEPKLVLSSFSKPHVLVGVVKDDEKFDFCMCNPPFFESIEEAGLNPKTSCGGTPEELVYPGGEQAFVTQIINDSVVLRNSFRWFTSMVGRKANLKVLVSKLREVGVSLVKTTEFVQGQTARWGLAWSFIAVKKSSIALNTPIKSHHSFMLEGLQRQFGAFQILKAVESFFLVNGAICKCDFSSFLVNVTLSNDQIGDLLKDGLANIGGRAISSMSNTSTDLKFPKELLFHVSVFEQSPGTVLVRGSLLHKDNPLLGVFSSLFSRLEEALKNDFVNKLRGS >Ma07_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26917916:26921698:1 gene:Ma07_g18980 transcript:Ma07_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MQSKKRRRVDPPTIHPRNRYSEKPPDFGLLADLYPSFSPYVFPSSRPGGRPSIDWTDFNATRELTRVLLLHDHGVNWWIPDRQLCPTVPNRSNYIHWIEDLLSSDLIPVWDCSDRKVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDAALEWARKNVESNPHLSELIEIRNANDFLCSAEVKPKSGKIIEGELFAEEKEAESLEPKLVLSSFSKPHVLVGVVKDDEKFDFCMCNPPFFESIEEAGLNPKTSCGGTPEELVYPGGEQAFVTQIINDSVVLRNSFRWFTSMVGRKANLKVLVSKLREVGVSLVKTTEFVQGQTARWGLAWSFIAVKKSSIALNTPIKSHHSFMLEGLQRQFGAFQILKAVESFFLVNGAICKCDFSSFLVNVTLSNDQIGDLLKDGLANIGGRAISSMSNTSTDLKFPKELLFHVSVFEQSPGTVLVRGSLLHKDNPLLGVFSSLFSRLEEALKNDFVNKLRGS >Ma06_p34720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34837299:34838391:1 gene:Ma06_g34720 transcript:Ma06_t34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRNTVVGWERSLSPSTKPGKMMKPGGVMVASSPGREKLPSPLGFARLLSCKVGGGSRTRARLTSSAAARSSPLFVSRSRSVGRSTSAATAAASGEGEPSSPTVTCIGQVRMRNKCSGKKKPRPKKMRSKSFLIPRRCLEKLLLCGLFGARRRPKGGGSGEGGRRSLWWRWAGVRSGGSSGYRQQKPDPFWPPPQPPEFVGAGKAPDGEEDIDYKNEDQKEAAQEDDTRVSVPSAIATPPKNALLLMRCRSAPHNRASSLSIARFEVSSLPDPALPDDVRQGKTQQQQRQEERRSSGGEELVQEAEAVPGTEEDGGSESRRPLVLSRSNSVPARRAAVPEATNCLWTSGSWPRRSGPSHE >Ma02_p21460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27074106:27076258:1 gene:Ma02_g21460 transcript:Ma02_t21460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTLIYVLFLVTLASHAAPRTGEAATLGLDAFLAGEARRDPSASNDSFTSLPAALKKTLAASHLSADHATLVSQLLSLQISVPVYVKLVGDFSSAAPGIIRSFASAALTSDRFHVIGATPHHLAIGHALHVDSSLSPLGSQIWNAIRSHLEASPSPLYRSALLSVPYSTVDHIVQQDFQRENTNSAPGFYIYLLNLGSQSKPYAYSVDSKDASLAFTKCLGTLWTGKDRYLWIDLAAGPVEYGPAISGEGVIPRGEFHPLSSLHGRPRSEKVLLSDLTSMVLSAYRALLVPSLRIPVLYENSLLIQFIHVHGSDPDTTGLDWTFIKQTLKESELAYKEQSLVFKSYNVKFSECPICSYAIARSTNSYTSRFLFENYTLIVSEYLDSKRMHQILSDSVEEVRRAAAIPEEEEYGKVLPVYVFDLEYDKLLLLDRYHQAIAFRNMVIAVRTRSSQTVSDYSCNGRHVITQTRHLDRPIIGSVLQSMWGVSPTHLSWSPQHNSTLVDYTWSVGQTPFGPFSETSSLSFIQRDAARRNVLLTSLNYTITSVIDVLQSMAAHGGDRKLLKERKHVEFVQRWNLLKYKLEKVVSAISHFDFEKAMYFLRSSDHDLYAIHTLIYEASQKLEALLVCFKDPPFPWASVSLFGVFLFGFCYVYAKRDKILKSKRKQF >Ma02_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27074106:27076803:1 gene:Ma02_g21460 transcript:Ma02_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTLIYVLFLVTLASHAAPRTGEAATLGLDAFLAGEARRDPSASNDSFTSLPAALKKTLAASHLSADHATLVSQLLSLQISVPVYVKLVGDFSSAAPGIIRSFASAALTSDRFHVIGATPHHLAIGHALHVDSSLSPLGSQIWNAIRSHLEASPSPLYRSALLSVPYSTVDHIVQQDFQRENTNSAPGFYIYLLNLGSQSKPYAYSVDSKDASLAFTKCLGTLWTGKDRYLWIDLAAGPVEYGPAISGEGVIPRGEFHPLSSLHGRPRSEKVLLSDLTSMVLSAYRALLVPSLRIPVLYENSLLIQFIHVHGSDPDTTGLDWTFIKQTLKESELAYKEQSLVFKSYNVKFSECPICSYAIARSTNSYTSRFLFENYTLIVSEYLDSKRMHQILSDSVEEVRRAAAIPEEEEYGKVLPVYVFDLEYDKLLLLDRYHQAIAFRNMVIAVRTRSSQTVSDYSCNGRHVITQTRHLDRPIIGSVLQSMWGVSPTHLSWSPQHNSTLVDYTWSVGQTPFGPFSETSSLSFIQRDAARRNVLLTSLNYTITSVIDVLQSMAAHGGDRKLLKERKHVEFVQRWNLLKYKLEKRNYRNRWHYCL >Ma05_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15191311:15192425:1 gene:Ma05_g16060 transcript:Ma05_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAHKLLLLLLPLLLCQSKAKGDTCSGCFTHSSAAYYPNSDKEGTETGACEYGTFGATLNGGDVSAASNLYRNGVGCGACYQVRCTDAKYCSTDGVTIVITDLGASGNTDFILSQHAFARMGQNADAGASLLSLGAVGIEYRRVSCSYPNKNITFKIDQSSNLYYFAFQIWYQQGNKDITAVQLCETENFTCKLLERSHGAVWAVASPPRGPLSVRMLLSGGDDGDDTWVVPPNNIPQNWTAGDIYDSGIQV >Ma09_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4779693:4781091:-1 gene:Ma09_g07300 transcript:Ma09_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDLVYGSSIRIAWHGLLSTGQECRFLSLPLLLFLIKQERRKRSRLPPGPWRLPVVWNLHQVGANPLLALRALAERHGPLMFLQLGSIPTVVISSAHVAREALRAHDLAFAGRPTLYAEERLSYGLRDVAFAPHGVYWRQARKVFMVEMLSAKRVRSFRGGVSGRLDPAARSLFVVVPYRPEQDGAVGNERRHVPSGVRRRVRNRRERQYGSHHSRIDRANDSIFNYNYS >Ma08_p22030.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35754977:35757342:-1 gene:Ma08_g22030 transcript:Ma08_t22030.7 gene_biotype:protein_coding transcript_biotype:protein_coding MISMSNGKGTREIEEETESPTDQIMLEISTSRRTLLDKKRQNKFLAMLKTFPGRSSFAKVESSTRLSSSSSKHGHEAEESIDVDIPHSFPSHGDQSSSTSFRLPFVKKINWASLVELFKTWIKEPTNMALLLWMTCVVISGSILFLVMTGMLNGALPRKSQRDTWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRQEDIVRLRNIYCKNGTRKPNEWMHMLVVVVLLHVNCFAQYALCGLNWGYPRSERPAIGVGICISVAIGAPAIASLYNIVSPLGKEYTEIDEESQGSISSSVDQRRAQKRYSFVDREDPRTAETSPRWVGGLFDFWDDISLAYLSVFCSCCVFGWNMDRLGFGNMYVHVATFLLFCVAPFFIFNLAAVNIDNETVREALGITGFLLCIFGLLYGGFWRIQMRKKFNLPPNTFCCGEPSVTDCFQWLCCCSCSLAQEVRTADYYVVEEDKFYVKELSNAIQPSLSPLPREAGTAMFKSNPAFASEMHAHMVEEDAMRPPLLSGIQR >Ma08_p22030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35754977:35757342:-1 gene:Ma08_g22030 transcript:Ma08_t22030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISMSNGKGTREIEEETESPTDQIMLEISTSRRTLLDKKRQNKFLAMLKTFPGRSSFAKVESSTRLSSSSSKHGHEAEESIDVDIPHSFPSHGDQSSSTSFRLPFVKKINWASLVELFKTWIKEPTNMALLLWMTCVVISGSILFLVMTGMLNGALPRKSQRDTWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRQEDIVRLRNIYCKNGTRKPNEWMHMLVVVVLLHVNCFAQYALCGLNWGYPRSERPAIGVGICISVAIGAPAIASLYNIVSPLGKEYTEIDEESQGSISSSVDQRRAQKRYSFVDREDPRTAETSPRWVGGLFDFWDDISLAYLSVFCSCCVFGWNMDRLGFGNMYVHVATFLLFCVAPFFIFNLAAVNIDNETVREALGITGFLLCIFGLLYGGFWRIQMRKKFNLPPNTFCCGEPSVTDCFQWLCCCSCSLAQEVRTADYYVVEEDKFYVKELSNAIQPSLSPLPREAGTAMFKSNPAFASEMHAHMVEEDAMRPPLLSGIQR >Ma08_p22030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35754977:35757342:-1 gene:Ma08_g22030 transcript:Ma08_t22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISMSNGKGTREIEEETESPTDQIMLEISTSRRTLLDKKRQNKFLAMLKTFPGRSSFAKVESSTRLSSSSSKHGHEAEESIDVDIPHSFPSHGDQSSSTSFRLPFVKKINWASLVELFKTWIKEPTNMALLLWMTCVVISGSILFLVMTGMLNGALPRKSQRDTWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRQEDIVRLRNIYCKNGTRKPNEWMHMLVVVVLLHVNCFAQYALCGLNWGYPRSERPAIGVGICISVAIGAPAIASLYNIVSPLGKEYTEIDEESQGSISSSVDQRRAQKRYSFVDREDPRTAETSPRWVGGLFDFWDDISLAYLSVFCSCCVFGWNMDRLGFGNMYVHVATFLLFCVAPFFIFNLAAVNIDNETVREALGITGFLLCIFGLLYGGFWRIQMRKKFNLPPNTFCCGEPSVTDCFQWLCCCSCSLAQEVRTADYYVVEEDKFYVKELSNAIQPSLSPLPREAGTAMFKSNPAFASEMHAHMVEEDAMRPPLLSGIQR >Ma08_p22030.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35754977:35757342:-1 gene:Ma08_g22030 transcript:Ma08_t22030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISMSNGKGTREIEEETESPTDQIMLEISTSRRTLLDKKRQNKFLAMLKTFPGRSSFAKVESSTRLSSSSSKHGHEAEESIDVDIPHSFPSHGDQSSSTSFRLPFVKKINWASLVELFKTWIKEPTNMALLLWMTCVVISGSILFLVMTGMLNGALPRKSQRDTWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRQEDIVRLRNIYCKNGTRKPNEWMHMLVVVVLLHVNCFAQYALCGLNWGYPRSERPAIGVGICISVAIGAPAIASLYNIVSPLGKEYTEIDEESQGSISSSVDQRRAQKRYSFVDREDPRTAETSPRWVGGLFDFWDDISLAYLSVFCSCCVFGWNMDRLGFGNMYVHVATFLLFCVAPFFIFNLAAVNIDNETVREALGITGFLLCIFGLLYGGFWRIQMRKKFNLPPNTFCCGEPSVTDCFQWLCCCSCSLAQEVRTADYYVVEEDKFYVKELSNAIQPSLSPLPREAGTAMFKSNPAFASEMHAHMVEEDAMRPPLLSGIQR >Ma08_p22030.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35754977:35757342:-1 gene:Ma08_g22030 transcript:Ma08_t22030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISMSNGKGTREIEEETESPTDQIMLEISTSRRTLLDKKRQNKFLAMLKTFPGRSSFAKVESSTRLSSSSSKHGHEAEESIDVDIPHSFPSHGDQSSSTSFRLPFVKKINWASLVELFKTWIKEPTNMALLLWMTCVVISGSILFLVMTGMLNGALPRKSQRDTWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRQEDIVRLRNIYCKNGTRKPNEWMHMLVVVVLLHVNCFAQYALCGLNWGYPRSERPAIGVGICISVAIGAPAIASLYNIVSPLGKEYTEIDEESQGSISSSVDQRRAQKRYSFVDREDPRTAETSPRWVGGLFDFWDDISLAYLSVFCSCCVFGWNMDRLGFGNMYVHVATFLLFCVAPFFIFNLAAVNIDNETVREALGITGFLLCIFGLLYGGFWRIQMRKKFNLPPNTFCCGEPSVTDCFQWLCCCSCSLAQEVRTADYYVVEEDKFYVKELSNAIQPSLSPLPREAGTAMFKSNPAFASEMHAHMVEEDAMRPPLLSGIQR >Ma08_p22030.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35754977:35757323:-1 gene:Ma08_g22030 transcript:Ma08_t22030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MISMSNGKGTREIEEETESPTDQIMLEISTSRRTLLDKKRQNKFLAMLKTFPGRSSFAKVESSTRLSSSSSKHGHEAEESIDVDIPHSFPSHGDQSSSTSFRLPFVKKINWASLVELFKTWIKEPTNMALLLWMTCVVISGSILFLVMTGMLNGALPRKSQRDTWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRQEDIVRLRNIYCKNGTRKPNEWMHMLVVVVLLHVNCFAQYALCGLNWGYPRSERPAIGVGICISVAIGAPAIASLYNIVSPLGKEYTEIDEESQGSISSSVDQRRAQKRYSFVDREDPRTAETSPRWVGGLFDFWDDISLAYLSVFCSCCVFGWNMDRLGFGNMYVHVATFLLFCVAPFFIFNLAAVNIDNETVREALGITGFLLCIFGLLYGGFWRIQMRKKFNLPPNTFCCGEPSVTDCFQWLCCCSCSLAQEVRTADYYVVEEDKFYVKELSNAIQPSLSPLPREAGTAMFKSNPAFASEMHAHMVEEDAMRPPLLSGIQR >Ma08_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35754977:35757323:-1 gene:Ma08_g22030 transcript:Ma08_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMSNGKGTREIEEETESPTDQIMLEISTSRRTLLDKKRQNKFLAMLKTFPGRSSFAKVESSTRLSSSSSKHGHEAEESIDVDIPHSFPSHGDQSSSTSFRLPFVKKINWASLVELFKTWIKEPTNMALLLWMTCVVISGSILFLVMTGMLNGALPRKSQRDTWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRQEDIVRLRNIYCKNGTRKPNEWMHMLVVVVLLHVNCFAQYALCGLNWGYPRSERPAIGVGICISVAIGAPAIASLYNIVSPLGKEYTEIDEESQGSISSSVDQRRAQKRYSFVDREDPRTAETSPRWVGGLFDFWDDISLAYLSVFCSCCVFGWNMDRLGFGNMYVHVATFLLFCVAPFFIFNLAAVNIDNETVREALGITGFLLCIFGLLYGGFWRIQMRKKFNLPPNTFCCGEPSVTDCFQWLCCCSCSLAQEVRTADYYVVEEDKFYVKELSNAIQPSLSPLPREAGTAMFKSNPAFASEMHAHMVEEDAMRPPLLSGIQR >Ma05_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4614976:4616126:-1 gene:Ma05_g06190 transcript:Ma05_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPLTLGQVIGDVLDPFSRSVSLGVLYKNKLVINGSDFKPSAVVDKPKVEVGGDDLRTFYTLVMVDPDAPNPSNPTLKEYLHWLVTDIPATTNASFGRELVCYESPRPTAGIHRMVFVLLRQMGRGTVFAPQMRHNFSTRRFAEQYYLAPVAATYYNCQREAGTGGRRFRGDD >Ma06_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14080593:14084458:1 gene:Ma06_g20010 transcript:Ma06_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKEGEAAQKKRRRRRGSSKKDEAFVEEEPKEKTGEGGEGKTVVVGIRMDSQSRELLTWALVKATAPGDRVLALHVLSSSSSAGGPPPAVDPGGQPAAVFSLAKELDAMLAVYEGFCNLKQIDLKLKICKGSSIRKLLVSETKSFAASQLILGVTKNNRTMGSYSISVAKYCAKKLPSYCSVVALSDGKIVFQRASAENNKSQEKSSKESTKIDGNNEMYCLLPIAGPKRLHDTACRPSLVPEDESTGCSNSPVGNDPNTIDGAPRDNCSICVPESDPASLNAAKDEDSLALAPAGKTEAPLSSSVPIVGKDLPEARHGWPSLRRLALTNRKSVSSEKHKISVVQWVKWLPNRHQSMHPDHKSKKSDGKHMTLGQDGESCAIVPVGASLPPPPFLVNDAEERLPEELVPLQQKYSSVCRLFSYDELIQATSSFLPENLIGNGGSSSVYKGYLSDGKELAVKILKPSEVAVKEFVSEIEIITTLRHKNIIPLLGFCMENNSLMLVYEYVSQGNLEEILHDKEENKHVLSWPERYKVAIGVSEALDYLHGGGTIEPVIHRDVKSSNILLSENFEPQLSDFGLAKWASATTSQPVCSDVAGTFGYLAPEYILYGKVNEKIDIYAFGVVLLELLSGRKPISSGCPKGQESLVMWAKPILQDEDVKQLIDPALKNDFNSDQVERMILAASLCVRRLHRARPSMSIVLKLLQGDDDTVKWARSEVTTRADVLDDEMPNLESDIRSHLNLALLDVEDDSISVSSTDHTVDSLTVSTSVENYLQWRWSRSSSFD >Ma11_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24646889:24649813:1 gene:Ma11_g20030 transcript:Ma11_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGGGAKPYSDVWKLCQPCWKRINFVPLVVVAMFCSASYLLGIWQHIGGATVLTAISCDRKATDASFVVGGAPTLDFAAHHAADESDAAIPAAREFTACDAKYSEYTPCEDRDRSLRFDRDRLIYRERHCPEKGELLKCLVPAPAGYRNPFPWPASRDAAWFANVPHNELTVEKAVQNWIRVDRDKFLFPGGGTMFPDGADAYIDDIDRLIPLRDGSIRTAIDTGCGVASWGAYLLSRNILTMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLPYPSRAFDMAHCSRCLIPWHLYDGQYLIEVDRVLRPGGYWILSGPPINWKRQWKGWKRTEADLSAEQSAIEAVARSLCWKKLREKGDIAVWQKPTNHIHCKASRKVIKSPQFCHGQNPDAAWYTKMETCIAPLPDVTGIRETAGGELKKWPERLTAVPPRIASGSPDGVTPETFRQDTELWKKRLGYYRTVINQLGQKGRYRNLLDMNAKFGGFAAALIDDPVWVMNVVPTAANTNTLGVIYERGLIGTYQDWCEAMSTYPRTYDLLHADWVFSLYKGRCEMEDILLEMDRILRPEGTVIVRDDVDVLIKIKSVTDGMRWDSEIADHEDGPHQREKLLVVVKTYWTAPDQNQEQPNGSA >Ma07_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3976031:3982084:1 gene:Ma07_g05480 transcript:Ma07_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFEELLHSTPPPASKRARCASSPTASLRVSPSRASPSFDHCDGERIAAYLARLRSLFPEMDQQLLEHALEASGNDLDSTIKSLNDLRLESADFNLVSGVRKPENGNEMNAQLPTEGTVKENGVDAAGIDVLPTDGSEWVELFVREMMNASDVDDARTRVSRVLEFLEKSIMARADGEALRNLHKENMMLKEQVEVLVRENTVLKHAVAIQHHRQKEYEERSQELQHLKQLVSQYQEQVRTLEINNYALTVHLRQAQQSSSIPGRFNPDVF >Ma07_p05480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3976031:3982084:1 gene:Ma07_g05480 transcript:Ma07_t05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFEELLHSTPPPASKRARCASSPTASLRVSPSRASPSFDHCDGERIAAYLARLRSLFPEMDQQLLEHALEASGNDLDSTIKSLNDLRLESADFNLVSGVRKPENGNEMNAQLPTEVKENGVDAAGIDVLPTDGSEWVELFVREMMNASDVDDARTRVSRVLEFLEKSIMARADGEALRNLHKENMMLKEQVEVLVRENTVLKHAVAIQHHRQKEYEERSQELQHLKQLVSQYQEQVRTLEINNYALTVHLRQAQQSSSIPGRFNPDVF >Ma09_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8069685:8072661:-1 gene:Ma09_g11910 transcript:Ma09_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSPRPAVLRLPSATIFALFFLPLLLPSARSQLINTTIISNKTYSTYTFPSFYSVNNNTNLLLLKDADHSQGALQLTPDSRNQISFLLNKSGRVFFTTPFRLWEDRLSPSDNTTKYVASFNASFNINVFRSEGTIPGEGLAFLIASIPEAPPPGSEHGYLGLTNATLDANPDNRFVAVEFDTVKQEYDPNDNHVGLDINSVNSTVTANLSFLIAPVNATIYTVWVDYDGVSRHMWVHMAIVGDPKPSAAVLSSPLDLSDYLTQYSYFGFSASTGTNYELNCVLSWNLTVEMIPDDKSRMPTWKLAVIVSSGVLAVMIVLAALLVGLYVRRRKVGDGPSAVVLGMLKSLPGTPREFEFKVLNKATNNFDEKMKLGKGGFGEVYKGMLPGENKAVAVKKFSRANSRQDDFLKELTIINRLRHKHLVPLVGWCHTNGMLLLVYDYMPNGSLDQHLFEGPDMPILNWERRYNVITGVASALHYLHDEYEQRVVHRDLKASNIMLDANFNARLGDFGLARALETDRTSYAEMELGGVPGTMGYIAPECFHTGKATCESDVFGFGAVVLEVVCGRRPRSDIAGFKFLSDWVWKLHREGRVLESVDPRLEGDYVPADAERLLLLGLACSHPSPGERPKMQTVVQIVSRAVAPPAVPHFKPAFVWPSGPVEEGDAGPSSRLTSTSSAVMSSYYASSAEGTSQYLSQEGQVGPRDTSISTV >Ma08_p26640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39146464:39153631:1 gene:Ma08_g26640 transcript:Ma08_t26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPEGEERKRRWHMWPVPAPGTATSAAAAPSLLARPASPSENPSLELENPRLPCDSFLKDGRKIQVGDCALFQAGSAPPFIGIIHWITSGKEGHLWFCVNWLYRPADVKLAKDVLLEAAPNEVFYSFHKDVISAASLLHPCKVSFLRKGVELPVGISSFVCRRVYDITNKCLWWLTDKDYVTDRQEEVHQLLNKTRLEMNTAPQSGGNSPTLNGPTSTQQPKSGSESVHDTNSSVLSQTKGKKRDKSDQVSEFIKKEHSAKPDDGGSVSFKSENMVKTEIVKITEKGRLVSSKGVDKLLNLMQLHRSERKIDASVRILVADVIAATDRYDCLGRFVQLNGVPILDDWLQEVYKWKTSDGSSPKECDKAIEELILALLRALDKLPVNLNALQSCNIGKSVNHLRNHKNPEIQKKARSLIDTWKKRVDAEITKINDAKSITSSQPVWQVKPESCDVSKSITPASIVDVSKDPLCKAATNTGGVEMPATTAKDEKSSSSSESQNNSQSCSSDHAKTIGSLWKEDKRNSSAGSMNASKAAGSSTCHRRSSNGFSGASMSAVHKETHLGKSGTLNRMPTLEKSSQSDLACEKPIDMSVANRGNNHRLIVKLPNHVQSPAQSVSGGSFEDPSILANRASSPGVQDKHEHSEFRVKLRGDVFRSNIVENDNTEAWQSNDIKEPPVGAGDVRSLAAIHDEEHMSAQDMRSATEAPGAAGSSSGTEKEVFLTEPSTRSSFSSINALIESCIKYSEASVPLVVEDDVGMNLLASVATGEISNSELISPTVSPGNSPATETKSRLSNDDVVAQSHVESDEAAFADSKKQYNKLASEHAEQSPTVAMSSHRECKPEEEKDGHPFVLKPSEVEKQGDDGTPFDENPMTDGQVLDHYTEYKLKERSSSADENKPPIECAIQNIGGGNICNCEISCKDPEISASGIKSENLIVEESQSCPSAEEALEVATSSDHQRSVMIDSVERSRDAVITLGASDVPFSKNADESVRPATTSSYAAGLVEDLKMMETHERHQERSASEDQLSSCQAKETENQAKPAGSRLSGVDSDVRADLALSAEASSLAVKTEPDIANKLDFDLNEGILGDDGNLDETAVSVATVCSSAIFFPGLSSFANAMSNSSPAPITVAAPAKGPFVPPQNLLKSKGETGWKGSAATSAFRPAEPRKAPEMPPSVSAGKQCRRQLNIDLNEPDERVLEDMAVQNCAKSSSSELGTVINREATPQISGGLNLDLNRVDEGTENGQLLASTTYGLEVPFLAVGPASGEVPNRVANMSRELDLNSGPGLDDACAAPTTGNQNTKCTRSIPFVPPVAGIRMNTVDLGSLSPWFPPGSSYPAQSIPSSLTDRGEQPCPIVAAPGAQRILGPVTASGPFGGDGYRGPMFSSSTAMAFSPATAFPYAGFAFGSNFPLASTSFSGGSTTFVDSSSGAGSGFPTIPSPFFGQAGAILSSYPRPYVISLPEGNSDSTRKWIAPGLDLNAGPGNTDLEGKDERLPLASRQLLVATSQAFTEEQVRMYGVPGGGLKRKEPEGGWDANRSTYKQLSWQ >Ma08_p26640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39146464:39153631:1 gene:Ma08_g26640 transcript:Ma08_t26640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPEGEERKRRWHMWPVPAPGTATSAAAAPSLLARPASPSENPSLELENPRLPCDSFLKDGRKIQVGDCALFQAGSAPPFIGIIHWITSGKEGHLWFCVNWLYRPADVKLAKDVLLEAAPNEVFYSFHKDVISAASLLHPCKVSFLRKGVELPVGISSFVCRRVYDITNKCLWWLTDKDYVTDRQEEVHQLLNKTRLEMNTAPQSGGNSPTLNGPTSTQQPKSGSESVHDTNSSVLSQTKGKKRDKSDQVSEFIKKEHSAKPDDGGSVSFKSENMVKTEIVKITEKGRLVSSKGVDKLLNLMQLHRSERKIDASVRILVADVIAATDRYDCLGRFVQLNGVPILDDWLQEVYKWKTSDGSSPKECDKAIEELILALLRALDKLPVNLNALQSCNIGKSVNHLRNHKNPEIQKKARSLIDTWKKRVDAEITKINDAKSITSSQPVWQVKPESCDVSKSITPASIVDVSKDPLCKAATNTGGVEMPATTAKDEKSSSSSESQNNSQSCSSDHAKTIGSLWKEDKRNSSAGSMNASKAAGSSTCHRRSSNGFSGASMSAVHKETHLGKSGTLNRMPTLEKSSQSDLACEKPIDMSVANRGNNHRLIVKLPNHVQSPAQSVSGGSFEDPSILANRASSPGVQDKHEHSEFRVKLRGDVFRSNIVENDNTEAWQSNDIKEPPVGAGDVRSLAAIHDEEHMSAQDMRSATEAPGAAGSSSGTEKEVFLTEPSTRSSFSSINALIESCIKYSEASVPLVVEDDVGMNLLASVATGEISNSELISPTVSPGNSPATETKSRLSNDDVVAQSHVESDEAAFADSKKQVKSVGSFLISDVSNEDGTELSGNNCTDVILQYNKLASEHAEQSPTVAMSSHRECKPEEEKDGHPFVLKPSEVEKQGDDGTPFDENPMTDGQVLDHYTEYKLKERSSSADENKPPIECAIQNIGGGNICNCEISCKDPEISASGIKSENLIVEESQSCPSAEEALEVATSSDHQRSVMIDSVERSRDAVITLGASDVPFSKNADESVRPATTSSYAAGLVEDLKMMETHERHQERSASEDQLSSCQAKETENQAKPAGSRLSGVDSDVRADLALSAEASSLAVKTEPDIANKLDFDLNEGILGDDGNLDETAVSVATVCSSAIFFPGLSSFANAMSNSSPAPITVAAPAKGPFVPPQNLLKSKGETGWKGSAATSAFRPAEPRKAPEMPPSVSAGKQCRRQLNIDLNEPDERVLEDMAVQNCAKSSSSELGTVINREATPQISGGLNLDLNRVDEGTENGQLLASTTYGLEVPFLAVGPASGEVPNRVANMSRELDLNSGPGLDDACAAPTTGNQNTKCTRSIPFVPPVAGIRMNTVDLGSLSPWFPPGSSYPAQSIPSSLTDRGEQPCPIVAAPGAQRILGPVTASGPFGGDGYRGPMFSSSTAMAFSPATAFPYAGFAFGSNFPLASTSFSGGSTTFVDSSSGAGSGFPTIPSPFFGQAGAILSSYPRPYVISLPEGNSDSTRKWIAPGLDLNAGPGNTDLEGKDERLPLASRQLLVATSQAFTEEQVRMYGVPGGGLKRKEPEGGWDANRSTYKQLSWQ >Ma08_p26640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39148689:39153631:1 gene:Ma08_g26640 transcript:Ma08_t26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTEIVKITEKGRLVSSKGVDKLLNLMQLHRSERKIDASVRILVADVIAATDRYDCLGRFVQLNGVPILDDWLQEVYKWKTSDGSSPKECDKAIEELILALLRALDKLPVNLNALQSCNIGKSVNHLRNHKNPEIQKKARSLIDTWKKRVDAEITKINDAKSITSSQPVWQVKPESCDVSKSITPASIVDVSKDPLCKAATNTGGVEMPATTAKDEKSSSSSESQNNSQSCSSDHAKTIGSLWKEDKRNSSAGSMNASKAAGSSTCHRRSSNGFSGASMSAVHKETHLGKSGTLNRMPTLEKSSQSDLACEKPIDMSVANRGNNHRLIVKLPNHVQSPAQSVSGGSFEDPSILANRASSPGVQDKHEHSEFRVKLRGDVFRSNIVENDNTEAWQSNDIKEPPVGAGDVRSLAAIHDEEHMSAQDMRSATEAPGAAGSSSGTEKEVFLTEPSTRSSFSSINALIESCIKYSEASVPLVVEDDVGMNLLASVATGEISNSELISPTVSPGNSPATETKSRLSNDDVVAQSHVESDEAAFADSKKQVKSVGSFLISDVSNEDGTELSGNNCTDVILQYNKLASEHAEQSPTVAMSSHRECKPEEEKDGHPFVLKPSEVEKQGDDGTPFDENPMTDGQVLDHYTEYKLKERSSSADENKPPIECAIQNIGGGNICNCEISCKDPEISASGIKSENLIVEESQSCPSAEEALEVATSSDHQRSVMIDSVERSRDAVITLGASDVPFSKNADESVRPATTSSYAAGLVEDLKMMETHERHQERSASEDQLSSCQAKETENQAKPAGSRLSGVDSDVRADLALSAEASSLAVKTEPDIANKLDFDLNEGILGDDGNLDETAVSVATVCSSAIFFPGLSSFANAMSNSSPAPITVAAPAKGPFVPPQNLLKSKGETGWKGSAATSAFRPAEPRKAPEMPPSVSAGKQCRRQLNIDLNEPDERVLEDMAVQNCAKSSSSELGTVINREATPQISGGLNLDLNRVDEGTENGQLLASTTYGLEVPFLAVGPASGEVPNRVANMSRELDLNSGPGLDDACAAPTTGNQNTKCTRSIPFVPPVAGIRMNTVDLGSLSPWFPPGSSYPAQSIPSSLTDRGEQPCPIVAAPGAQRILGPVTASGPFGGDGYRGPMFSSSTAMAFSPATAFPYAGFAFGSNFPLASTSFSGGSTTFVDSSSGAGSGFPTIPSPFFGQAGAILSSYPRPYVISLPEGNSDSTRKWIAPGLDLNAGPGNTDLEGKDERLPLASRQLLVATSQAFTEEQVRMYGVPGGGLKRKEPEGGWDANRSTYKQLSWQ >Ma08_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15509804:15514596:1 gene:Ma08_g15420 transcript:Ma08_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGFIVPKAETGAVGRKRISDALDKHLEKTSPSTSRGLNSKAKDWLHVPPTSVGKHPEHRQHRSAAPSKNKCSDDEPETDSEESDVSGSDGDTSWISWFCNLRGNEFFCEVDDEYIQDEFNLCGLSSQVPYFDCALDLILDLESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSRGMAAMLDKFKNYDFGRCPRVYCCGQPCFPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQANVDGAYFGTTFPHLFLMAYGHLKPQRPSQKYVPRVFGYKVHKP >Ma01_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15270989:15272451:-1 gene:Ma01_g19610 transcript:Ma01_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDQLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLSRGVDPVTHRPINGHGSDTATHFERKPAAIGFGPDQESDKISSSEESSAWQQRQPKWPDLNLELCISPPSQQQQPLEPVDGGGEQPQSLCFSCGAAVGSQKRHKECKCRDLIGLSTGMLDYRNP >Ma01_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13465734:13473119:1 gene:Ma01_g18160 transcript:Ma01_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYPSPILLPPLPSAPPAENPSLPPIRSPASDPPARGALSTRRGRGLLPLWILGLGFRLFLGFLILVRRFLEMSERLKFLYIVVVDDGETGGDNRSLSFRYTRSVLQSTLQLMGCKARHAFKISRRVFEVMRDESSVDDLPSDATSSNDWRIPSNAEYESNETGGLDQANMTNDLIQENVDTTCGIPFELYKRLTTVVVSRERFLDIVCDALALYKYVGRNQRADLLLACRIRERKESVTVLLCGTSGCGKSTLSSLLGGRLGVTTVISTDSIRHMMRSFMDEKQNPLLWASTYHAGECLDPVAVAKAKAKRKEKKLAVISHTKVKEEASDGALNEKTGGRSHEPILGTELIGKRQMAIEGFKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFSVRAKYMTLDPAKNKYVKYIRNIRKIQEYLCNRADKHLVPKINNTNVDRSVASIHATVFGCLRRREAGEQFYDPATNTVAVILEEYRNQRAANSLSSKGMLQLIQRKGSSRHLMALLNIDGSVAKAWPVPFVDYTGKPSSENWNEKCVGSPMYGPLQIGKAEPVNLQFGNFGISAWPRETCGTSQTGSIDGSRADGTDTGSRYVSSCCSSPKASDGPAKELKEEFFVAGSDEEADDPHDGDSDEDFSDIDHKEIHEEIEGSVEEDSTKSDDEFDDLAMRDGLDNYYWSDDDESTNLKKIADSKMATDDGDGVMANKYQHNLELFFKMSELFVGPPYSDTLLPQRNSSTSDIRVRRRSLSDSMCFESPMQSIPAVGHQRAL >Ma06_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22646903:22652453:1 gene:Ma06_g24190 transcript:Ma06_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVALFSPASLFHDDEVGGEYLAAAEGNESAAEQQVHVERNHAFPGMELNLREFSFHQLNANLLWPGTFAFSNWLVENRSLLHGARILELGSGTGALAIFLRKSFGVDITTSDFDDLEIEENIAYNCRANGLHVLPHIKHTWGDTFPISEPDWDLIIASDILLYVKQYPSLIKTLCFLLDSDRRKDTKAKAAEALSVSGKEVQVRRPVFLMSWRRRLGGEETLFFTGCKDAGLTVRDMGSRVYCISRD >Ma08_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2665270:2684999:-1 gene:Ma08_g03720 transcript:Ma08_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATMTPTVARSSLEVMLDTIRLRDEQPKDLPPALPVRPTSRGRLPTSRRSLAVNLKLDRSAPEELLTDSMKWDDKTEYDMPRGDKGAVFRSGILQSKRMAKVERPLESPYIKITKRDSYEEKVEVTDNRASAAVQLPSAVLLDDKSEWCDTIKYALKKNLQVWCWISNARWELGQIHTISRDYVDILLSNGNVHSVSRESILPANPHILDGVDNLIQLSYLNEPAVLHNIKYRYANDFIYTKAGPVLVAVNPFKEVPLYGRDYVTAYKQKLKDSPHIFAIADTAFNEMMRDGVDQSIIISGESGAGKTETTKFAMQYLADVGGGGSIEDEVLQTNSILEAFGNAKTSRNDNSSRFGKLIEIHFSATGKICGAKIQTFLLEKSRVVQRETGERSYHVFYQLCAGASCGLKEELNLKAAYKYEYLKQSDCLTIDNVDDAKRFHVLMEALDVIKISKEDQKNVFSMLAAVLWLGNIAFSVIDNENHVKVILGEGVTNAAKLMGCEVPNLMLSLSTRKIQAGNDSIVQKLTLQQAINTRDALAKSIYCNLFDWLVGQINKSLGVGKCCTGRSIRILDIFGFESFNNNGFEQFCINYANEQLQQHFNRHLFKLEQEEYAQDGIDWAKVEFLDNANCLNLLEKKPLGVISLLDEESTFPKATDMTFANKLKQHFAGNHCFKGERGGTFRISHYAGEVLYDSSGFLEKNRDTLHADLVQLLLSCACQLPQSFANNILQPEKESSRFRQSSSFDLQKQSVVAKFKGQLFKLMQRLESTTPHFIRCIKPNSKQLPSMYEHDLVLQQLRCCGVLEVVRISRSGYPTRMTHQLFAERYGFLLLQTSSSQDALSLSVSILQQFNVPPEMYRVGYTKLFFRTGQIAVLEDARNRILQGIVWVQKNFRGLQAHNFYQRLRKGATTLQSFIRGEKARCEFEVLTRRWRFAILIQKHVRQWIVGTRFSYQLKDIILLQSAIRGWLARKTFINLKMHKMAKLNQVEVNKSSERNLAQLMKDKTSELPQIHPEVMDELHRRALRAEAALRKKEEENAILQQRLKHYDTRWSEYELKMKSMEQTWQKQLTSLQSTLAAARKSLTSDMANRPGQLNMSAVNNCYDSEDTISTVNQTPEDTPAKQSIGAEEVRSNDSKEIAVIHLVNEFEQQRQVFEDDAGFIVEAKSGQSSSKINPDEELQNLKARFSTWKKVYKLRLRETKASLQKFGNPEEKASKKWWSIR >Ma04_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2734857:2735372:-1 gene:Ma04_g03570 transcript:Ma04_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENPSSMTASNSGSGEASISCFGQLQPTPLPLVVVKKKRNLAGIQVVYNGMRGGTDPEAEMVARSPKAFQEVRRAVRLESPSQVSSWFFDLVSAGGKASSPIEHSAMRWRARE >Ma02_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21786274:21793131:-1 gene:Ma02_g13340 transcript:Ma02_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDMDDQTPEITEDGRSPPGSSDPALSRALSSSRLNARAAEFVPRAAPIRHGHGPAARPVMHVFHQAPPGPACFGPGPSSFEYYGGGGGGGAAGGFGEHEGAHTGDDLDLSSSAGDGLSDEVIQKITKQVEYYFSDANLATTEHLMRFITKDPEGFVPISVVAAFKKIKALVHNNSQLAMALQTSTKLVVSDDGKKVRRQQPFTKSDMEELQSRIVMAENLPEDHCYQNLMKIFSSIGSVKTIRTCYPQPSNGAAAVTNRPTKLEMHFGNRLHAFVEYETVEDAEKAVAELNNEKNWRSGLRVRVFPKLLTKHGQGRGRKVHEVEFTGEEDVSTLNEKQVEDAYHTSEVLHEHESVDSFNDKDGTVRRGRGRSRGGRGRGRGQHLSNNRVGGHAVGTPPSSHHLIHSEREQPVGANKQPPGPRMPDGTRGFTMGRGKPVVPTGTI >Ma10_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14493876:14494208:-1 gene:Ma10_g03910 transcript:Ma10_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGETFPVLGNGSQGDYKVMQTFHKSFGQVQSRLDQNRKLINEINQNQASRIPGRLNRNVRLIGELNSNIRRVVDVYVELCLSFTGSMEASPEAGAASQGKPGHKRVRA >Ma01_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10710064:10713383:1 gene:Ma01_g14660 transcript:Ma01_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPNNRTNGDNHHRPNSPPDPRRRRHYLGPPISTSSSSAASFKGCCCCLFLLLIFLAFLAVAVALVVVLVLKPKKPQFDLQQVAVQYLLVAPAVGGGAPPAAAYLSLNITLMFIANNPNKVGIRYDAAALDVMYRGVPLGVATVPGFEQPAHSRRLVETRVVVDHFNVLQSDALDLVRDALLNDRVDLRLTGDVSAKILVLGVSTPRVQ >Ma01_p14660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10710064:10711459:1 gene:Ma01_g14660 transcript:Ma01_t14660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPNNRTNGDNHHRPNSPPDPRRRRHYLGPPISTSSSSAASFKGCCCCLFLLLIFLAFLAVAVALVVVLVLKPKKPQFDLQQVAVQYLLVAPAVGGGAPPAAAYLSLNITLMFIANNPNKVGIRYDAAALDVMYRGVPLGVATVPGFEQPAHSRRLVETRVVVDHFNVLQSDALDLVRDALLNDRVDLRLTGDVSAKILVLGVSTPRVQVSVDCAIVISPRRQSLTYKQCGVDGLNF >Ma04_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12010395:12014452:1 gene:Ma04_g15670 transcript:Ma04_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVSLEGVIDEFEALTKDASRTQRETLQKILEQNGEAEYLHKFGLGGRTDPESFKACIPLVTHCDLEPYIQRIADGDTSPVLTGKPISSISLSSGTTQGRSKFIPFNDELVRTTMQMYRTSFAFRNREYPIGNGKALQFIYGSKQAKTKGGLIATTATTNVYRSEQFKRTMKDIRSQCTSPDEVIFGSDFQQSLYCHLLCGLMYSDEVQIISSTFAHSIVHAFRTFEQVWEELCTDIREGVLSSRITLPSVREAVSKLLSPNPSLADSICSKCVRLSNWYGVIPELWPNAKYVYGIMTGSMEPYSKKLRHYAGRLPLMSADYGSSEGWIGANVNPSVPPELASFAVLPNIGYFEFIPLEKPKGQQLAKTASTIHYTESEPVGLTQVEVGREYEIVITNFAGLYRYRLGDVVRVAGFHNSTPELQFICRRSLMLSINIDKNTEKDLQMVVQEAAKLLAAEKLEVVDFTSHVDTSTEPGHYVIFWELSSDTTEEVLRSCCDILDLSFIDAGYVGSRKTGAIGALELRVVRRGTFEKILEHYIGLGAALSQFKTPRFVGLSNSKVLQILCRNVTGCYFSTAYAI >Ma03_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7303859:7305589:-1 gene:Ma03_g09850 transcript:Ma03_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPHGRGGPDEGPSSSSAVADPTPDPPQSPQQPPPPPQQQRQPLSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHSPGCTFFGQPNPPASCACPLKQAWGSLDALIGRLRAAYEENGGRQESNPFAARAVRSYLREVRESHSKARGIPYEKKKRKRARSLAAEGSSGGGGEPSTMAPPAAGGPISASDSSSGGGGAMSSVVGEIRPGCDQPGGSSSVS >mito3_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1584013:1584354:-1 gene:mito3_g00210 transcript:mito3_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSCLPDVFSLGACIRGSISTNYVTSLANSYLYPFFGLKTSDSLAPDFHNTFPLSGLKLSICGSSRSGISLRCVTINCFRIGSRCSLYVRWPIRLFVALLFSFLFVCNSRV >Ma06_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3588994:3592743:-1 gene:Ma06_g04830 transcript:Ma06_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMSGPLDHLQKHDVENSEDERRNKIESLTKKAMNASTKYRNSLNRRGRRSSKVMSVSIEDVRDAEEMQAVDAFRQILILEEMLPSRHDDYHTMLRFLKARKFDIEKTKQMWTDMLQWRKEFGADTILDDFEFKELGEVLEYYPQGHHGVDKEGRPVYIERLGLVDANKMMQVTNMDRYVKYHVKEFERTFVVKFPACSIAAKRHIDQSTTIIDVQGVGMKQFNKTARELISRIQKIDGDNYPETLCRMFIVNAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFLGGTCNCEGGCLRSDKGPWKDPEILKMVQSGMGQCGRQQMTPAVEEKTISEDDIVYSKRHESFRGPSAFAADEMSSASPKMSRSQIEHPQLSPLHEEVSNTEAEKNLDVEAALKEFPTYSYNDLIPIVDKAMDATWKKQMLSQKFAMSKGAYNLSEIYRGPDGVSNHVLAGVMAFVMGLVTMIRVGGNTVPKKMSNADIDYARSLELAGTMLKGQMQQSEQSAPAVSAAEFSGVVKRLSELEEKVAELSAKPVELPAEKEEILHAAVKRVDALEEELAATKKALEESLARQEEFVAYLEKKKKKKNKMNPFW >Ma11_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27840297:27854757:1 gene:Ma11_g25100 transcript:Ma11_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRRKQEEEEDAEAAGRTSGAQRKGKSYSQPLSRDAILSSAARRHAIRKHSLDEDALSSSSPSDASAFAHCHSSPPPSYHDRHKHSSSVEDIGSPHLRSFPTSGPSQSHHHATAATAGSFGNAFSLDLRRGGSSLSDSDGSLTLERAMSEYGGAPGTIPEFMGSGGGVGIFRVPHRAAMHPGRPPALEVRPHPLRETQAGSFLRTIACTGLQLWAGQESGLRLWNLKDVFEEWGAGAMVKRGDEKSAPFCESCRTSPTLCLVVDAANGLIWSGHKDGKIRSWKIDQATTANSAPDDGNCASAVGGAPPFREGLSWLAHHRSPVLSMVITSYGEIWSGSEGGVIKVWPWDAIEKALSLSVEERHMATLLAERSYIDLRSQVTVGGVCNLPAADVKYMASDNSRSKVWSASSLSFALWDSRTRDLLKVFGIDGQVETRVDIPSAQDQYVEDEMKTKFVSSSKKEKSQGSVSFFQRSRNALMGAADAVRRVAVKGTFGEDNRRTEALAVSMDGMIWTGCTNGSMVQWDGSGNRLQEVQHHSSSVQCICTYGSRVWVGYVSGTVQVMDLDGNLLGEWVAHNSPVIKMVVGGSYLFTLAHDGGIRGWNIRSPGPLDDILRAELANKELSYTKYENIKILAGTWNVGQERASHNSLISWLGSAASEVGLVVVGLQEVEMGAGFLAMAAAKETVGLEGSANGQWWLGNIGKTLDEGTSFQRVGSRQLAGLLIAAWARKSLRPHVGDVDAAAVPCGFGRAIGNKGAVGLRMRVYDRMICFVNCHFAAHLEAVSRRNADFDHVYRTISFSRPTTGLHGAAAGPTSVQLHRGVNAIGSQPDDGKPELSEADMVVFLGDFNYRLHSITYDEARDMVSQRCFDWLREKDQLRAEMKAGKVFQGMREGHFKFPPTYKFERHQAGLSGYDSSEKKRIPAWCDRILYRDSRSISVAECSLQCPVVSSITLYEACMDVTDSDHKPVRCIFSVEIAHADELIKRQEYGQIIVSNEKIRSFLEESCAIPEITVSTNNIILQNQDTSILQITNKCEKYKAVFQIVSEGHSNIQGSANASELCARCSFGFPFWLEVNPAVGIVKPGQTIEVSIHHEDLHTQEDLVDGIPQNWQCEDTRDKEVVILVNITGTGSTESKSHRVHVRHCFPFRSEDRKGSSRRNQSSQLQRSDVKTGNSSDADPGSFHLR >Ma09_p23450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35282842:35284087:1 gene:Ma09_g23450 transcript:Ma09_t23450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSLGFDETLSGYCNSSSPDMAAHSALPNTIIMERERRKKLNRKLYNLRSVVPNMTKVKSTAAATAAVSLQLMHLILVFGVQTSKASIIFDAINYIQQLQEQERSLLKEISEQESHRKRVAPVDGQLCRAQAKKWRTAPRSSCSAELELPMMPSVEAMEVSVRELGNGISVIIITCSKKRHAMVRVCEVVESLDLRIVAASVASRSGMVFHTLMVEVDGGQSAHLKEKIEATFEHLMPREATASFREADPNITRPRTPLFYS >Ma09_p23450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35282747:35284087:1 gene:Ma09_g23450 transcript:Ma09_t23450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDADPSEYANYWEIKCLLDAEELCSLGFDETLSGYCNSSSPDMAAHSALPNTIIMERERRKKLNRKLYNLRSVVPNMTKVKSTAAATAAVSLQLMHLILVFGVQTSKASIIFDAINYIQQLQEQERSLLKEISEQESHRKRVAPVDGQLCRAQAKKWRTAPRSSCSAELELPMMPSVEAMEVSVRELGNGISVIIITCSKKRHAMVRVCEVVESLDLRIVAASVASRSGMVFHTLMVEVDGGQSAHLKEKIEATFEHLMPREATASFREADPNITRPRTPLFYS >Ma09_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35282747:35284087:1 gene:Ma09_g23450 transcript:Ma09_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADPSEYANYWEIKCLLDAEELCSLGFDETLSGYCNSSSPDMAAHSALPNTIIMERERRKKLNRKLYNLRSVVPNMTKVKSTAAATAAVSLQLMHLILVFGVQTSKASIIFDAINYIQQLQEQERSLLKEISEQESHRKRVAPVDGQLCRAQAKKWRTAPRSSCSAELELPMMPSVEAMEVSVRELGNGISVIIITCSKKRHAMVRVCEVVESLDLRIVAASVASRSGMVFHTLMVDGGQSAHLKEKIEATFEHLMPREATASFREADPNITRPRTPLFYS >Ma09_p23450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35282747:35284087:1 gene:Ma09_g23450 transcript:Ma09_t23450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADPSEYANYWEIKCLLDAEELCSLGFDETLSGYCNSSSPDMAAHSALPNTIIMERERRKKLNRKLYNLRSVVPNMTKTSKASIIFDAINYIQQLQEQERSLLKEISEQESHRKRVAPVDGQLCRAQAKKWRTAPRSSCSAELELPMMPSVEAMEVSVRELGNGISVIIITCSKKRHAMVRVCEVVESLDLRIVAASVASRSGMVFHTLMVEVDGGQSAHLKEKIEATFEHLMPREATASFREADPNITRPRTPLFYS >Ma07_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15473639:15474202:-1 gene:Ma07_g16750 transcript:Ma07_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTLVEHLKHEEEDTQSGFCPRSGIFRSLHRLAPRHRPPSDPSLDAASFVFSLLPSHESTRPVLLDSTTGRRLTFSDLRRSALSLAAALHHAFGLRPGDVVLLLSPNTVLYPVIVLAVLATGAVLCPASPLHTPLRSPARPSTPAPRSPSPLPRRPTRPPPPSGSPPSSPNGSQGTPTDRRPRRR >Ma06_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19665531:19666838:1 gene:Ma06_g22850 transcript:Ma06_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSTYQKIMTIGTNFTNQYSNFFSPSMETSFSFILFSGSLTCIYVVLNGDFTRNYLDGAIPIGWTTLPLINLSLMGNRLSGEIPRWIGNLTNTKNLDLEANQFPGHLPQNLGKRIFQNWTNLYRLEMQASGFEGPSPGIVSVA >Ma06_p35610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35390288:35393036:1 gene:Ma06_g35610 transcript:Ma06_t35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVTGACSPLSHLSPTNSLTAFQVAFGLPFGSSKRKGAAARGCDCVLTPPVLGAPSPRLSRARSLEILWGRGRRAQEQALRRAFSVDRFASDGDDDEVEEEEFVQRFEELPLELRQHQRDGNEDSVVACSESWSSQEGVALPTSACFSSDTSPVTFPLPLSRKQQPSTERPWLPFRPEPPDWSDQIVPASVEMNANSVELPLSLRIIKRKKQCEDRWFREAGGTACCSVKRAFSSMVFMIRELQSYTLQMREVLFREDLQGILARVQREMNSSFVWLFQQIFSCTPTLMLSVMLLLANFTVYSMDNLDGAAATATPKPPTQQSLFETVMVEDHRQSHHERYLVVKTISSILGGSGVGGGGKTRPVAGATGDGRSDDKSSSDQTILPDSVSKAPGALNAEEGGERGKGEGASATAPSRAEEEVSRAWKGILEEVSRMQASTRDAALMDPATLRLFVSPVAVELEHDDYSEYLRTEFMYQQALSQDPDNALLLANFAQFLYLVRHDHDRAEYYFKRAAKSVPADAEALSRYATFLWLSRKDLEAAEETYLEAIDADPGNTFHAANYAHFLWNTGGEDTCYPLDDGNGDDDAF >Ma09_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8351633:8354404:-1 gene:Ma09_g12410 transcript:Ma09_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVFSLIEKMTDAVATAAVRPPGWLARPQQNVHEGMIRIKSELEVMHEFLKSMNTYRGEQELVFISWAKQVQDVAYDIEDIVDEYTYVVAGSSWGSLGAFVRGLSNDAKATALREIARRLEAKEVSLAQLSGIGELPVIRIPHRLAELPDDPQPGYHLAESAHFVEEDELVGIDDHKDRLIGLLTDEEPRRTAVAVFGMGGVGKTTLVTRVYRDRAILSHFSCRAWVFVSQNYNIDDLLRKILRALLQERMEEAADDFDSMEYRRLVEALRAHLDRQRYLIVLDDVWQVSIWTDISYALLANSCRSRVVITTRMQEVASVAGGSRVMTVDPLPEEMAWSLFCKKAFPRGEGSVCPPALEHWARRIVDKCEGLPLAIVAIGILLSHRDRAESTWKSMHDGLTWSTTEHTGLHRVSRILSLSIRHLPYHLRNCLLHCSLFPEGYLIGRNRLIRLWVAEGFVKERRQRSMEEVAEDYLNQLVGRCLLQVTHTNESGRVRFCRVHDLVRELIVARSREEHFAEAYDGKPEDLSDRIRRLSLVQGEDERLSEKMPLLRSFLAFSPASTSLLSKCRLIRVLDLRAAALESLPDEIGHLFNLRYLSIRRTNVRHLPKTLGSLRKLETLDAVYTHVEELPSGVTRLESLRHLMVKKFHRQTSRYTILGGGVVVPGGMGKLQKLQTLKAVVVEDETTVRHLRSLTQMKSLDIRGVRTIHSKLLSASISNMDRLVRLVVMARHKDDTLLLNNLTPPPQLRKLSLYGMLEKGMTSRWPDSLRALTHLVLKMSRLKEDSLSSLMELPNLVSLFLMQAYDGTELCFRAGWLRRLKSLGLCDMIHLSRMEVEENALESLRELRLVRCGKLKTIPVGIEYLGGLQKLELEGMPIELVEKLHGGGQTEDDRARLQHIPIIMNWFQRDGCWMEERLS >Ma11_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3843040:3843632:1 gene:Ma11_g04960 transcript:Ma11_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLSGQAHDGKVVLPDGTVLELDRPTSVAELMLEHPRQYVLDLRSLSAGNSNAAPLPADHMLEAGKAYVMLPMARGRATGLSAGEARRVLAAATRSMTKMQQPPCTRAVGMTESERAEWPAEEFEEGTEFFRRQHSSKRWKPSLGTIEEESLERKVPHWLF >Ma08_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2605127:2609473:-1 gene:Ma08_g03610 transcript:Ma08_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVREGVSATQSAISFQPLFVLILGEREETKGEEEDEDVGDVLEAKKKKSSKDETTKKRKVPTEQRKTSTQRQSKKFWWRRKGQNKAKTLESLVHTMSFRSDSGRHRFAAEEILRIGSDNIAARVFTYRELAAATGSFSSENLLGEGGFGRVYKGQLKGTNEVVAVKQLDRKGLQGNREFLVEVLMLSLLHHPKLVKLLGYCADGNHRILVYEYMPLGSLQQHLLDTKPNGKPLDWHTRMRIAAGAAKGLEYLHEIATPPVIYRDFKASNILLDEEYNPKLSDFGLAKVGDKSHVSTRVTGTYGYCAPEYAITGQITKMSDVYSFGVVLLELITGRRAIDLNRPKREQHLVHWAEPLFKDKRQFVAMADPMLEGKYPIKGLSQALAIAAMCLQEEANIRPLISDVLIALEHLADPNNDEDDGPQAFSLEMISQHASTSGSKMVVHGIGEAPEEILESNSYPEANSFSSREQDLYELDAI >Ma09_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12191931:12195595:1 gene:Ma09_g16750 transcript:Ma09_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEALLSSFLEILIDSTKKSVVRQIGAVWGLEEDLEKLGRTLLRIQSIVGDAEEQQIKDTAVKKWLTALRDAAYAAEDVLDEFNLEILRKSNRAIENKMMGKVSDFFSSHNALYFRFKMARKLNEVVKSIDEIAAESRKFNFAVRTQEQTPPTVRQTHSYVVESDVIGRGEEKDEIVKLLIEQRDENEKIAVLPIVGMGGLGKTTLAQLIYQDKRVERHFQLRIWVCVGSVFDLGEILKAIISSATGRQSDLKFMDMLQCSVRDVLAGKRYLLVLDDEWNEDSSKWDDLKALLACGGDGSRVVVTTRSDGVSSMMGTLTTHKLAFLSEEDSWDLFRRRAFPSGQDDDKQQHQNLVEIGKAIVTKCGGLPLAVKALGSMLSYQNDEREWSAIKESNIWDTKVGEGDILPALLLSYNDLPSHLKRCFAFCAIFPKDYEIEVDMLIRLWMAQGFIPSEGTAEPGSYLDFWGNIMHAYFRWRDHELEVKGYCNLRTCKMHDLIHDLAQHISGEEGVALLEPCTATAPRKDVHHLSLPGTSSSSKIHETLGKFPALRTLLVRDAYYGKAVDNISRPAKLRVLGFHNLNATMLQNLARHLKHVRFLDISYSTIPELPEAITTLLNLQTLKLSGCQLLRKLPSKMKNMSNLRHLYLDECPELRDMPEGLGRLSCLHTLSKYIVGVGAGRGIGQLKELNLSGKLEIYGLGNVRNAANAREANLHSKRDLHSLALCWGVVDWTEEESLSENVETRDENSEALLKALAPPDGIKVLSIWGYGGVRFPTWTSDEQLLSRYQLLVEIHLGGCRNCQHLPSEQTFPALKRLGKCFPHLVELRISECSKLGSMPRLPSLKVLGMPSGNRMLLGSIENLSTLAVLCINTDSVTVDGETRSTFPRLRRLYTSDCDWLFSSRQSMFWKSLVSLHTLTIDSCEDLRTFPEEFQGLKSLKSLFVIDYLCIYKCGGLKKMPRCPTSLKRLNILYCIGLTSLTEDIGQLTSLESLFLDDCPNLLSLPLELQQLTMLHRVHIEDCLKLKSLPQDLWQYLSGLQSFTILKCPILEKQLRKKKKEGRHLVSRIPESTIMEGSKAFSFN >Ma08_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2400289:2406120:1 gene:Ma08_g03230 transcript:Ma08_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRFPFSLPQPPKPPQRPALRQAMAAAAVGAGVGLAISIALEPGACASGRGRKAGSEASPVWATLSLVETLPPTTIVEPRSGTKFPSVVDDGRRLLGIGVRYWKRPKRKNNISYAYGVYADESDVKRLRKKYGTFSVSELKGNKELIDDVLDQDLRMTIRLQIVNRMLSTRYLNETFAKVVENELQKYGGSKSSNNKELLQRFNSIFMDEHKLLGNSIFYLSRERGYILRIRINGKEMGKIESNRICKPLLDLYVGKNAYDKQALQDIQSTLASILQERSD >Ma09_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27323:27484:1 gene:Ma09_g00020 transcript:Ma09_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDENPRPAPVAGVDGPTPPGKPMTVERKLINEGAQMLQSKKPVSQMKQHL >Ma05_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31130:33405:1 gene:Ma05_g00050 transcript:Ma05_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVLRENGSMKDPILSSLPEMNLVGSAGGDVPLKRRRPRERRVPSTPISRALGFAGLGAGLAWGTIQESAKRIVFGMPKIEGKQSALSPFLSEKNAERLALALCRMRGAALKLGQMLSIQDESLVPAPILAALDIVRQGADVMPRKQLNDVLDAELSLDWSSKLRSFDYEPLAAASIGQVHRAVMKSGLKVAMKIQYPGVADSIESDIENVRLILDYTNLIPKGLFLDNAIKVNLYIHDVLDLSFFVLQYLIFPCMKILLVVLEYSNCIQGHLSDCLLRVE >Ma08_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33864418:33868361:-1 gene:Ma08_g20000 transcript:Ma08_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARPLLAFLLFFFLCALTFPRNRAAAAPPLCPRSDLAFLDGIESQCPLWIELSSPQEVSGETFERELSGKQSAYYSILFYASWCPFSSNTRPMFDALSSMFPQIKHLLVEESSVMPSVLSRNGIHSFPAVMLINGTTRVQYHGSRDLTSLVQFYKKNTGLNPVIYLEIEQLSSERVRSHVPHVESARELISHEPYLTFSIFFICLKIIMCFFPVIYSRIKAFWVSHAWPLNSRVLCESSQLLEQALHVIDVKRLWSNLRLCNKTRNFQKGANNARAWASSLASVSLSESSSSRLALVDS >Ma03_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11559920:11561135:1 gene:Ma03_g14380 transcript:Ma03_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLWGTHYAAALMDRVRDAGRIIDLLSDRNADLRKQVEEVRAGATPEVVVAAEQCASDLDAEVTRLRSELRASEEKNKELQMHLKALVAKARSTRGESVELIRRLEESRAEARGAVEALSIEIRQRPEKDKKLIEDYKASSGFQLGLVRTRRVSYKYGYRIALARFKARHPDLEVTEDPFDSFPEDMDVDMPNEVPFDDSPDAPEE >Ma11_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25371907:25374140:1 gene:Ma11_g21100 transcript:Ma11_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIESTLPPGFRFYPSDEELVCHYLYQKVTDGFRTSEGTMVEVDLHTCEPWELPDVAKLSANEWYFFSFRDRKYATGSRTNRATKSGYWKATGKDRTICDPRTQAMVGMRKTLVFYRGRAPNGVKSGWVMHEFRLETPHSPSKDWVLCRVFHKRKGDSEHDEAGSSSLVYQPTMPDACHDQQLGSSPFAALLQQDDTSPNPFLMNMALLQCNLLDLPEEMMGSAPMAGMSSGCEDEFGCLSELGLEHSIGEEGMVRWEG >Ma04_p25590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27336920:27337568:1 gene:Ma04_g25590 transcript:Ma04_t25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRERVFHWLDAKGYFAFLRSCAECGNLEASLFLGLDEIYNRRRKSLGLHHLHKAMKGGHRVAAYTLGIILLQDPETQPLGIKTLNKLAAMDLPGAPSAHESLISGDVLIATCRREAASDMRDLTWTRLHLRPRRPCTNRLCGRVEKAGKANPWSGEESYRFCSQLCRWTHELYKFSELI >Ma04_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10600255:10602589:1 gene:Ma04_g14020 transcript:Ma04_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENSSVMTVSNSGSGEASVSSSSQQQPPAPPPPLPPPSIPGVKKKRNLPGNPDPEAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTGKEARKRVYVCPESSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEENGKKGGAAPPTNSRPPSEDDGKAAAAAEATVAAAAEEAPAAVAAPSSPLQQAAPLEQQELENPTELLQYMPPPPASIAHASGAITSSGSSSSSSNTSLFASLFASSGTTAAAHSTATLSDLMGTMSHVDRTLMDPPLLCLATNGGPASLFSPQAQAHDRRPFAPPPPSPHMSATALLQKAAQMGAAATGSSFLKGFGLDTPTGQQESIQDSSLQWGRRHHHHQQLEPEPAPMLSAQLGLGLACEPDLMMGSSPLFGPKPATLDLLGLGVGPLAGSTNVRIPALMTSISSGGVDIGSGATTGGWEGAERKAK >Ma05_p30750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41008149:41012387:1 gene:Ma05_g30750 transcript:Ma05_t30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPASPGGGGGSHESGEQSPRAGVGVREQDRFLPIANIIRIMKKALPANAKIAKDAKETMQECVSEFISFVTSEASDRCQKEKRKTINGDDLLWAMATLGFEEYIEPLKLYLQKYRELEGGEGSARRELGGSQSGTGTTGEYQAPQQGPFTQSLDYVNPQCYNGDL >Ma08_p31290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42245557:42254583:-1 gene:Ma08_g31290 transcript:Ma08_t31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSSYASSRLENNRMPDKFPVGMKVLLVDDDSTCLAVVERMLLHCQYDVTTCFEAKRALSLLRENKDAFDMIISDVHMPDMDGFKLLELVGLEMDLPVIMMSGDTRFNVVMKGVSHGACDFLSKPVRMEELQNIWQHVVRRKWLDSKEIEHSGSVEEADHNRQVNDDSEYDSTLNDGTDGSWKHRKMKRDAKEDDDGDLDNVDPSSSKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQHHTGLNNSLCGSSSNAKVGQLGRLDFQTLAVSGQIPPQTLAALQDELLGHPSHSFAMQTTNLPVPQQASVQGTNCVTFECGIAFGQPSLKGQAVSSLPVWSTNDPGAVGSASNLGRLNTRNNNMLVTMLQQPQPQTVISDSNRAIKMQPSCLVTPRKSSSNFQVQGNPMLLNQDFVVPSQSSTNYQGGNTVVPVIQDSVVVTSQSSTSLKAGNNHFITNHRSSIFPSQSLTGFSLGNDTGLLNQVSILPPSQTSNSFQAGTKSFHSNQNSMVVPSQLSSTLQTEKSIMPVTVNYNPLPTQSDIMPSSMGQVLDGGFENLAAVDGYSVPISMVPEALSSTSSSTSWQLHHPDVIIGRPNGSPCLVPNSCNVQATDTQLGKFPEKGQCRSLGFVGKGTCLPSRFAVDDIESPTNDLTNTSTYTGDDGFVLNQDIFGINGAFQSGHCASTSFK >Ma08_p31290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42245557:42254583:-1 gene:Ma08_g31290 transcript:Ma08_t31290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSSYASSRLENNRMPDKFPVGMKVLLVDDDSTCLAVVERMLLHCQYDVMSGDTRFNVVMKGVSHGACDFLSKPVRMEELQNIWQHVVRRKWLDSKEIEHSGSVEEADHNRQVNDDSEYDSTLNDGTDGSWKHRKMKRDAKEDDDGDLDNVDPSSSKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQHHTGLNNSLCGSSSNAKVGQLGRLDFQTLAVSGQIPPQTLAALQDELLGHPSHSFAMQTTNLPVPQQASVQGTNCVTFECGIAFGQPSLKGQAVSSLPVWSTNDPGAVGSASNLGRLNTRNNNMLVTMLQQPQPQTVISDSNRAIKMQPSCLVTPRKSSSNFQVQGNPMLLNQDFVVPSQSSTNYQGGNTVVPVIQDSVVVTSQSSTSLKAGNNHFITNHRSSIFPSQSLTGFSLGNDTGLLNQVSILPPSQTSNSFQAGTKSFHSNQNSMVVPSQLSSTLQTEKSIMPVTVNYNPLPTQSDIMPSSMGQVLDGGFENLAAVDGYSVPISMVPEALSSTSSSTSWQLHHPDVIIGRPNGSPCLVPNSCNVQATDTQLGKFPEKGQCRSLGFVGKGTCLPSRFAVDDIESPTNDLTNTSTYTGDDGFVLNQDIFGINGAFQSGHCASTSFK >Ma02_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20857887:20859417:1 gene:Ma02_g11920 transcript:Ma02_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSRKIVARIIGRLWRLFRRWLFLMFSFGPMPQHIAFILDGNRRYAKKHNLKMGAGHTVGFNSLVAVLRYCYELGVKQVTVYAFSIDNFRRKPEEVKGLMALMKEKIDELLDEDTIVHKFGLRINFWGCLHMLSEPARAAAERAMAATANNTGPVLSVCVAYTSTDEILRAIKKSCSKKTAEGCLRFTGDPVRDGACGAIAVADLEENLDSADCPDPDILIRTSGETRLSNFLLWQSTLTHLQNPLPLWPEFSLRNLIWAILEYQRVYPYLEARRRSLAKKHN >Ma01_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10376299:10379363:-1 gene:Ma01_g14200 transcript:Ma01_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNANLLRSFSRVEPQTLSFVIFTGCFLISMALLVVSAGSFVSFPSLNSWLSSHAASPVPGAHENFNPQNPDIVNQNENLQRKPLCDTSDRRADICDMEGDIRIHANSSSIFFITSSNRNTTELQESWRVKPHPRKGDLAALSRVTEMSVGYLSNNEDVPKCDIKSSVPAIIFATGGYMGNFFHETTDLIIPLYITSHKFNGEVQFLISDMLPWWITKYELLLKKLSHYEIIDFNRDPLVRCYPRVIVGITFHRDMSIDPARSGGVTMFDFGQFIRSTYSLKKERAIKLGANQDKKPRLLIISRKRTRKFTNVDEIARMAEWEGFEPVVSEIKENQSLVEFAHTVNSCDAMLGVHGAGLTNLIFLPTNAVVIQVVPLGGLETFCWADYGVPTLEMKMRYLQYSISITESSLVGRYGITDPVVTNPKVVLDQKDGWRNWTSIYFFNQDVKLDVGRFRSVLTHALELLHE >Ma00_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45728499:45728585:1 gene:Ma00_g05230 transcript:Ma00_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQVALFGEMCAEPLFVYFSKYIQICIRS >Ma07_p28610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34608972:34612564:1 gene:Ma07_g28610 transcript:Ma07_t28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGVVSMDGFVGASSGGGSLLSCSLTTSNAQVCRPKGLPGCGFQKLGRSAEPDDCDWRSLKMARTEAMVTAPNEAPPFLPGSTTTPYSLFPYGEQMLSFSSTSKQDALMLSCEGALPYYCSPSASSPTPSSYLRSAGLYSGSSDVNINGVLARVRGPFTPSQWLELEHQALIYKYIVAKVPIPPNLLTPIRRSLSSSGFHPLSAGPFGSNSLGWGPFYLGYSGNADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQSGHAAKAMPVIAASQSATAVSGAGSSSSLGSAHPQTKTLQPNVREVCTAPFNMMVMNKENANDQRQDPVSLSMLTSMNPKPTSSLFSIPEHHNAFETTSFRADLQLVATDSHLNPATRSFSDKSCIASPKLQVPRPQSHPLHHFIDDWSKTQSNRSTITWPEIEEMQFERTQLSMSIPVASSEFSSSSSPHHENLTLSPLKLSREYNLPHMDSRINVLSEVNQRQISWIPISWETSMAGPLGEALANTSSMPKDQSKNCSSSSSLNLLTDGWDSSPRMESSPTGVLQKTSFGSLTSSTGSNPRAENRKAHESTASLSDDLLGPTLVGPPTIPSL >Ma01_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13579799:13581093:1 gene:Ma01_g18320 transcript:Ma01_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASQSSFVWWNCCGDGTCPVQMLKPKLRSCRIQ >Ma11_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17421645:17433708:1 gene:Ma11_g13160 transcript:Ma11_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAGRRSTYSLLSQSPDDSPPPPKFDSPPTDKARARASPFDWSIAPAVAPLQRQSSGSSYGESSLSGGDFYAPATISSATVDADAFSRMTALTSAGGGEGRTKDGAVAEASSSSSAKSWAQQAEETYQLQLALALRLCSEAACADDPNFLDALDQTVLPERVSPTTISHRFWVNGCLSYHDKIPDGFYLIQGMDPFVWTLCADVEEENRIPSVESLKTVHPCDSSIEVALVDRQYDPDLRQLQNVVAGLSCSCATPKDMVDQLASLVCSHMGGTAFNEEDLLRRWKECSEALKATSGSVVLPIGKLSVGLCRHRALLFKMLADTINLPCRVAKGCKYCKTGDASSCLVRFGLEREYLVDLIRNPGNLCEPDSLLNGPYSISISSPLRPPKAKSTEVTVNFRTLAKQYLLDCQSLNLFFNDASAGAVVAQGDVVDLSSSRPLDEKSVEVISSPLEATGTELCELPLPHIQKVARPVPSKAVQKDVLHIIPPDPKADKKDFRLIKDSKQGHNRPNNEISLAIDDLNIPWSELVLKERIGAGSFGTVHRAEWHGSDVAVKILMEQDLHPERLKEFLREVAIMKSLRHPNIVLFMGAVTEPRNLSIVTEYLSRYFPLSSSCAFIYFTKSYLSNYSVKLSLSVSHILCFTAMSSRCNLSNSDSFLLSVELQVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWEFMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSDVNPQVAAIIESCWANEPWKRPAFSSIMESLKSLLKPLPPQPLHTDMPSAK >Ma07_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31156947:31161751:-1 gene:Ma07_g23550 transcript:Ma07_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTILKHKKKKSGNLSSRKPPNIKENATFTLPVPESHGPSLQSAPPSFRNRTKSQSASQYPNIRTRALSAPSSLVVAERDALASMEFDDQEEYRGRGRVVKDQHFSNPLPLPLPSPQANSVLKNLGSFKSSSASGPIAPSGPLPLPPLGGGFRKFSYEEVSSACLHFSADRCISEGTSSTIYKASFSDDTMGSKKTEATVTRVLHSVQGLKEFVNEVNVLASLQHPNLCKLIGFYAQEGSGERILIYERLHHGSLDRLLHGRSDGPSIGWCTRMKVALCAARGLAFLHEEGPFQAMYHEFSTSNIQVDKDFSAKLSGYGCVCYNPETSISSSSIACLSLETLEKGLLTPKSNVWSFGIVLLELLTGRRNLDSRYPKEERNIVKWSRPFLDDDCRLSLIMDPRIKGRFPSKAARTVADIALKCLQKDPSERPTMRTIVESLENVQDMKYPSRYPLQEPSVVSGKQMLKSQSINGIIIPTPPPPTNFSPSPPQPLSSPRVSLSIPLPRRSCSTTVSLEDNRISSSSRKPPSPTLPRPRVEGF >Ma03_p24070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28440130:28446674:-1 gene:Ma03_g24070 transcript:Ma03_t24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDIDSAPNGDASSSPAVPPATAAAAAPTAPTTRLAQLTESLKLEHQFVRVPLEHLKKTTRTNYRLAEKEVAAVLSSVAEAVDRSDAMSRDDALTHLSSLVSRLQGLKRKLEEGSRVENLQAQRCRARLEHLDSVADADKFAEWKDVRLTRILVDYMMRMSYYDTAKKLAETSKIQELVDIDVFLEAKKVIDSLQNKEVALALAWCAENKSRLKKSKSKLEFQLRLQEFIELVRADENLWAVSYARKYLAPWGASYMKELQHVVATLAFRHDTECSTYKVLFEPTQWDCLVEQFKQEFCRLFGMTNEPLLNIYLQAGLTALKTPLCYKEACSKEDPLSQEGFRKLAEPLPFSKLHHSKLVCYITKELMDHENPPLVLPNGYVYSTKALEEMASKNEGKITCPRTGEVCNFTDLMKAYIS >Ma03_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28440130:28446668:-1 gene:Ma03_g24070 transcript:Ma03_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDIDSAPNGDASSSPAVPPATAAAAAPTAPTTRLAQLTESLKLEHQFVRVPLEHLKKTTRTNYRLAEKEVAAVLSSVAEAVDRSDAMSRDDALTHLSSLVSRLQGLKRKLEQLEEGSRVENLQAQRCRARLEHLDSVADADKFAEWKDVRLTRILVDYMMRMSYYDTAKKLAETSKIQELVDIDVFLEAKKVIDSLQNKEVALALAWCAENKSRLKKSKSKLEFQLRLQEFIELVRADENLWAVSYARKYLAPWGASYMKELQHVVATLAFRHDTECSTYKVLFEPTQWDCLVEQFKQEFCRLFGMTNEPLLNIYLQAGLTALKTPLCYKEACSKEDPLSQEGFRKLAEPLPFSKLHHSKLVCYITKELMDHENPPLVLPNGYVYSTKALEEMASKNEGKITCPRTGEVCNFTDLMKAYIS >Ma04_p30360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31064820:31090393:-1 gene:Ma04_g30360 transcript:Ma04_t30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKASALLYLLTASILCSPTIGDDTVTPARPLVDDGGTTLISASGSFELGFFSPAGSSNRYIGIWYHRIPVQTVVWVANRQRPVTGSSGKLSLETDGALVITDGKNSTVIWSSGSLVLGNPVARLLDNGNFVVEEEGSDDDQSSFAWQSFDFLTDTLLPGMKIGWNLTSGLDRNLTAWRSVSDPAPGVYANGIDKNGNPQIFLWSRSHPYWRGGSWNGRQFGGVQQMMTGNVFDKKFVVDAREIVYSFYMRDPSVVSRLVMNQSGILQRLVWHEDSQMWSVFWSAPQDQCDYRLSPCGPYGVCYPNEFAMCKCLQGFHPKNPSRWSQRDGTDGCVRNTALDCRNGTDGFITLSSVKIPDTSTSMVVRSMSLEECEVLCRRNCSCKAYASANISGTGSGSGCIIWTTELTDIKMYDSGSGQDIYVRLAAADLGSESNQSHRNHVVVIIIVVSALATFILLSVACFVWKRKKRRNRYIDEETHEQDMDLPLYDLDTIAGATGNFSMDNKLGEGGFGPVYKGKLRELQEIAVKRLSETSTQGLDEFKNEVTLIAKLQHRNLVRLLGCCIQAGERMLIYEYMPHGSLDSFLFDKAKGALLDWKIRYNIIVGIARGLLYLHHDSRFRIIHRDLKASNILLDKDMNPKISDFGMARMFGGDDTDARTRRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIVSGKKNRGVYQSAHHLNLLAHIWSLWNEGKGLELADGSMGQSSLSVAEVMRCIKVGLLCVQARPEDRPTMSSVVVMLGGDSALLRQPRQPGFIIASETDSSTSKQDSSTNHASMTTMLEGR >Ma11_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19754222:19756748:1 gene:Ma11_g14440 transcript:Ma11_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCSRLSFALLLVVISLSVGGSRSQRTPAAGAATPPAPASVSVPSPAPAPSPDSFCNGIYLSYVLERREKIHPFTSDPADQPYAFSATATVLNHGAADLLSWTLLIPFRHRELIVSVGGGVLTNGSVFPYNTTLDAKATGFSGYPNTDLKTAIETANDRSQIEAKITLVGTLFGSPPPAVPLPQFLALDDPSYSCPRPTAYNDSNLVDTCCVPDPDYVPEVTNATGFLPRRSGDLTISYDVLQSYGSSYLALVTLENHSPLGRLDHWQLSWEWARGEFISSMKGAYPSVVDSSDCIFGKQGQYYQSLDFSKVLSCKRNPTIVDLTPWRYNDTDLGRIPHCCRNGTILPPEMDPDQAVSAFQIQVYKMPPDLNRSVLFPPINWNISGTLNPDFQCGQPIRVSPTAFPDPSGLSSDSLALASWQVVCNISRPKGASPKCCVSFSAFYNDSVIPCKTCACGCPASNRGRTCNAKAQAMLLPPEALLVPFDNRTAKAVAWADIKHYSVPSPLPCGDNCGVSINWHVLTNYDKGWSARVTLFNWREDQFADWFLAVKMDTAYRGYEQMYSFNGTAMGDNTIFMQGLPGLNYLNGEVNGTNPDTDPRVPGKQQSVISFTKTKTPGIDIIGGGGYPSKVYFNGEECSIPDLIPANWASRSSGVGVLRLALLLFASALMLWEL >Ma06_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12938478:12948922:1 gene:Ma06_g18910 transcript:Ma06_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEGRPMVAAAAGRPGRQAPQQQQAGLGQSLTGIVRMAVLWYFAMKFFGPKKPAETSQLMSNLFHKGEPLDMWLYLSEKEKFKDFGDEDALVWHETNIPYAVWEQSSTRSLSLKYYPSEAVKHNGSLYAHVFFARSGYPPDPNDPEYEPLSAFSRTHSVVAFLPKSKSGKKKSLLGSSKDSEGEESVAELKDDSQVEAKDVGPVEWISYWKPNITINLVDDFTRYPHNNVPPNIAGYLNIEPTTANYFPTIFFNEFWLLRDKLISLNETVAELPLNLELGPISLTKWQLFLQIEQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLGVTMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVNFICQLIVFLYLLDNETSWMILASSGIGCCIEFWKIGKAMHIVIDRSGRIPMLKLQDRESYAKNKTKEYDDLAMKYLSYVLFFLVACFSIYSLMYEQHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLQSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDLIFLIYLYQRWIYPVDRNRVNEFGFGGEDEAQSNRNLVSGDASAAQEGDATTVGDKKTN >Ma09_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26469494:26471971:1 gene:Ma09_g19800 transcript:Ma09_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVLVYRLFQLLLLLTGAAASAESRRDGCPTACGDVDIPYPFGIGPNCFRSGFELSCNKTEAGVEKPFFFDFTVIGCDTLAYIGSVQKNNNYWSGCVSMCNDELSLVNGSCSGIGCCQTSIPKGLTYYTVSSSYITTTEFMDMNNRRAPLVVDWAIGNETCEAAIQNRTSYACISANSACLNSTNGPGYLCKCSSGYQGNPYVHDGCQDIDECADKDLYPCHGTCNNTVGSYECWCPPGTHGNPSFNGTCTRNQKLPLAVKTVIERSLIRRSQTWDNILIYCSFQTTRISLCVVKKQEYNIFTFLVFLFSTKMLSLKNTISAQEGRNYKMTYLLEHGGWLLLEEIKGKQGLAFKIFTIQELEQATNRFDNNRVLGRGGYGTVYKGSLEDNHILLGCCLEVEVPMLVYDFTSDHSWRREVLQHTLR >Ma08_p30100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41510412:41510759:-1 gene:Ma08_g30100 transcript:Ma08_t30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRFVRNETLVSVFAVHYQQVKLRFQICFQMCQYAYGVGQHCANRAAKKLDTAM >Ma07_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2383039:2384962:1 gene:Ma07_g03050 transcript:Ma07_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSKPVRLVSSNSQSIEVGLGESSMMGSSSIDNTVYCCVAKGNKILYSHNSNGCELETLAVLCLENAPAFHKWYFHTVGARTFGFLMVDGHTYFAIVDPSVGNLAILRFLEHIQEGFKKVVKNGFHDELVPIIQRLIASLENMPKSAFALDENSEQVASSDGSVSTEAPLLGIKHHEKKKKMKDKVVQSDDVVEDHADGTVNINVAQQTMGTMSLQRSSSSSRPHSQQPGRRLWWRHVKIVVAADVIICLVLFGAWLAVCKGFHCVSGK >Ma01_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19614158:19615194:1 gene:Ma01_g20810 transcript:Ma01_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPHDTFDTDLSLGLGIGPASTASADDCRRRQRGCCSPCTNLFPDEPSLSLSLSDDASGGGRTMQKAEADRPAQLSSSRSAASSFSSAAYEEEDDVGAEVERVSSRGSDEEENSSGRKKLRLTREQSALLEDRFKEHTTLNPKQKQALAKDLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCYEALTNENQRLHKELQELKALKFAQPLLHMQLPSAAAPLTMCPSCQRISGGGGDAKDSSKAPKPGHCLNPFSHSAAC >Ma05_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9677320:9681449:1 gene:Ma05_g13320 transcript:Ma05_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHRLRRWPNLWFAVKPLKHVALKMPPGDQTLTPEDPPPQPRPGGASADLTTLLSDELILRILAAVPDAHRLPASLVCKRWLRLVGRLCHSLTIPDWSFLDRRRLRLRYPCLTDLDLVPASFASPSSPAAGGSVLLTRGPVSVAVDTHADSPVGECHFLEPYVIDRGLEIIARDCPGLRKLSLVSTASEAGLMAIAGGCDTLQELELHRCSDLALRPISGFENLQILRLVGYVEGLYRGPGVTDFGLTILAHGCKRLVKLELSGCEGGYDGVSAIGRCCMMLEELTISDHRMDAGWIAALSFCRNLKTLRLQSCRRIDVDPGPLDHLGTCPTIETLQMRRCQLRDKRSLQALYMVCEAVRYVVFENCWGMDNDKFSLLSICRRVKFLSLEGCSLLTTEGFESVVLSWPDLQGLVVVSCNNIKDDEVSPALSSLFSVLKELKWRPDTKSVLAVTLAGTDLRKKGGRFFKRRILQGRRRLKEVEEGIMEESRREAMVNY >Ma11_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5467680:5472606:-1 gene:Ma11_g06840 transcript:Ma11_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLSRALILVFGYAYPAYACYKTVELNKPEIEQLRFWCQYWILIALLAVLERFGDILFSWMPMYCEAKLALYIYLWNPKLRGTTYVYDTFFRPYIAKHETEIDRNLLELRTRVSDIMFMFWQKAASYGQTSFFEILNYVSFLLQAQRTQPSQPQELQRAHQLPSPEPPVHQQPQHETRMLPSLIKNQPQEQSRKFGVHLRAASPVQPHQFPSPVPPADQPTRSQTPHQETKVLPSPIKNKLQTASAVEQQDSGPGTDSSQTANQPSPSEEPMQVDPINSQDIEHSSNPPSEETATEEAIRVTRNRLRKRAAIGVTGPV >Ma11_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16352885:16353316:-1 gene:Ma11_g12420 transcript:Ma11_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKWEEAIQQWYTSSHTSKLDYLDLAETHSPTRNELAHNLAVIYDRTCLFSRVNLKNFKAIIEKNQSLEREIKGLKHSIKTLTALLSENRPLTKQEVRDLVAEISKQPKLVEEEALRLTQSLNQKLQRVEQLLSRIEKQIFG >Ma07_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9384914:9385809:1 gene:Ma07_g12470 transcript:Ma07_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALGVSSPPPSCSSHHVLASFTYLRISPSLSSSRASSFRGIRIGAGVPVSSRGGAGGGRMVVRMAKREEEMKGIRAKTTEEINEEVIDLKGELLMLRLQKSARNEFKSSEFGRMRKRIARMLTVKREREIEEGINKRLSRKLDRKWKKSIVVRPPPSLRKKQEEQKAAEAEKSS >Ma04_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14862479:14865287:-1 gene:Ma04_g16140 transcript:Ma04_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPSNQLLQCFLNLNSDHSIDPDTQLGSAMSSFMSSQSSNSAAPAKSCSFRELGARLQRIDDSSEEVSPRSRFTDASGNSSPKGDLSKLDNVRRHLPQAMGGLTIAGNLALAHLDQFAACPGFVESAARFSSLDDQDRCWRSSHLELPGNAVLHSSVASNQFPTVRYAELWNSREETSVSDPISVSGEASLGAPRDSNAKKRKAPLKSKEKDTIQPKSVIDPPKVADEEDSDAKRWRSTGNNNEIGNEQNDGARTRGNSEEKQERESNDKPSEPPKDYVHVRARRGQATDTHSLAERVRREKISERMKLLQDLVPGCSKATGKAVMLDEIIDYVQSLQRQVEFLSMKLATVNPELDFNNLANFRANDMIQICRPVPDSVYQVEMSMASSHAKQPQQRNRISSHCFMNMLDPTCHLSLIAHQAYLNGIGDASSQLGTFWEDDLQSVASFWNFHGGT >Ma09_p30610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40665435:40666471:-1 gene:Ma09_g30610 transcript:Ma09_t30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSLENPLDYSGDDGKLLVALFAAEVDHDADISDEPTDSVRRDAVAQIRKAVEPPCSVGPATAYLAVTYLDRFLTKRVTQVKQPWFAVFLSLACLSLASKMLDHGFSVAEFQATQPFQFMPQTIERLQYLILESLGWRMRSITPFAFLDYFLSSFPSTDPRQVQDLKDRASKTLFQVQTEETLLGFKPSVIAASALHFAAFDLFPAQYPDFESAVSSSFGFDPVIDLVSFFLPPEVFSGIR >Ma10_p29980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36404120:36409215:-1 gene:Ma10_g29980 transcript:Ma10_t29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGECKRRGEGQVEIGVMMEAVHKVEAAPPQSTVDKMKLRLKETFFPDDPFRRFKGQPPRRKWVLGAQYLFPILDWAPNYSLSFFKSDLVAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLVYSVLGSSRDLAVGPVSIASLIMGSMLRQAVNPNTDPFLFLQLAFTATFFAGIFQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKNLLGIVHFTKKMAVIPVLSSVFHNTHEWSWQTIVMGISFLAFLLLARHVSIRRPKLFWLSVGAPLTSVIISTLVVFLLKAQNHGISIIGKLKCGLNRPSWDNLLFDSTYLGTTMKTGIVTGIISLTEGIASGRTFASLRGYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVVMALTVMVTLLFLMPLFAYTPNVVLAAIIIAAVIGLIDVPAAYNIWKLDKVDFLVCMSSFLGVIFISVQEGLAIAVGLSIFRILLQTTRPKMIALGNIPGTNIYRDLHQYKDAKRVPGFLVLAVEAPINFSNTTYLNERITRWIENENSMEEKETNLRFLILDLSAVPTVDTSGISFLIDLKKSTEKHGLELTLVNPTGEVMEKLQRANKIHDFLGVNFLHLTIAEAVFSLSSQNKLQQQEVSSSLFI >Ma05_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35942596:35949140:1 gene:Ma05_g23810 transcript:Ma05_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGRDGGEKKHLFLSLCLAVLVLVVLVLYYGSFFGPQGQDANSALEFGGKIKRSIGWSNDGNEEVGKSEEPIISQEDGDYNLSPKSFPVCDDRHSELIPCLDRNLIYQTKLKLDLSLMEHYERHCPQPERCYNCLIPPPPGYKVPIKWPRSRDEVWQVNIPHTHLAHEKSDQNWMVVKGDKIVFPGGGTHFHYGADKYISHLANMLNFKNNILNNEGNIRTVFDVGCGVASFGGYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVEQMCWKIAAKRNQTVIWVKPLTNDCYMKREPGTRPPLCRSDDDPDAVWGVPMEACITPYSEQIQRDGGSGLAPWPSRLITPPPRLADLGISKDMFEKDMEIWQQRVENYWSLFSAKIRPNTLRNLMDMNANMGSFAAALKDEPVWVMNVVPEDGPNTLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWTVFSDIQKKGCSAEDLLIEMDRILRPNGFVIVRDRRPVTEFIKKYLTAMHWESVAVVDAESNSDLEDREVILLIQKKMWLIDGSTKESA >Ma08_p26590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39119114:39123331:1 gene:Ma08_g26590 transcript:Ma08_t26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQTAFPRVVFPSLTPATSSRRRPRRLMVRAKVEPSDNSVEVMRKFSEPWFAISNTLLCRQRSYWLSSLGALLIIETQWEHLLCPCRHYDDKAAEVAQGFWKKPG >Ma04_p37230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35241581:35244064:-1 gene:Ma04_g37230 transcript:Ma04_t37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVADAVDYKGFPADRSVTGGWVPAALILVIEICERLSTMGIAVNLVTYLGGTMHLPSAESANVVSDFLGASFLLCLLGGFLADSFLGRYLTVAIFALVQALGTGMLTVSTSLPRLRPPPCNTSATNRCRRASGFQMGILYLSLYLIALGTGGLKSSVSGFGTDQFDDKDEKEKSQMAYFFNRFFFFISIGSLLAVTVLVYIQDEVGRSWAYGICCISMLLAVILFLSGTKRYRYKKSSGSPIVHILQVIVAAFSKRQLKYPATIAFLYEDSPEISRIQHTDQFHFLDKAAIMAEGDSDVHGETSTVNRWRLCSVTRIEEVKMMIRLLPVWATTIMFWTVYAQIITFSVEQATTMERSIGSFQIPAGSLTVFFVGAIMVTLGIYDRAIMPLMKKWKGKQGFTNLQRIGIGLAFSIIGMAAAAVAEAKRLSVARRAGEGAALRGATLPISVFTLIPQFFLVGAGEAFLYTGQLDFFITRSPKGMKTMSTGLFLTTLSFGFFLSSFMVSVVRDVTGGKNGQGWLADNINYGRLDYFYGLLAALSTLNMGAFLVCAMWIKQENPNQGLQMGSAALKSSSMEDTC >Ma04_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20919565:20920927:1 gene:Ma04_g18750 transcript:Ma04_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEALVRDYEIGAEIGRGRFGVVRRCRSAATGEEFALKSVEKRLLADATDRECVEREAKVHHLAAAGNPHAVQIYAAYEDDEWVHLVVELLEGPDLCDRIAACEGAPFPEHEVAAVVSELTEAVAACHLRGVAHRDVKPDNVLFDARGRLKLADFGSAECFLGAYGERVPMSGVVGTPCYVAPEVVAGREYGEKVDVWSVGVVMYMMLTGGVPPFYGETVAETFDAVVRANLRFPSRAFRSVSPAAKDLLRRMLCKDVSRRFSAEQVLRHPWITCGGEEPSRDTHSP >Ma05_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14859333:14859728:1 gene:Ma05_g15950 transcript:Ma05_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGISPCSLPFQALPISSSSSLSSSASYSKVTLGFHQNVAVLQSERRPGYPILCSISQVHSYGTVDYERRPVLKWNSLYRRISTMEDPSLGSGIVLDRWEAEERRLSKWDLCRVAKELRKFRRHKLSLE >Ma06_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:50533:51392:1 gene:Ma06_g00070 transcript:Ma06_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLRERLNSLFGPELLSSASDSSSSGHAESLAATLAEHRQHLVSIQGLVDQLKEAIPAIQMSISDLTEEVNNISSTMVDGFSVQSSTTVQCQSAGRQLVDLVIIDELC >Ma08_p19430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33195122:33199428:1 gene:Ma08_g19430 transcript:Ma08_t19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKLFRSLLVINFDQFLSLSVGFRRNMPLPPPSSIASNLRKMSIELLEKWHSSYGIYYRQLRLGFDYLKNTLRFQFPNRLEIAARLQQERREREMRTQQILLNKFENLKDNFSSIKSEIQLTIDEIGECLAIINEKEEEFNLHNFSEDDEVGEFQSLTLRQIRLDSLKEGQKVQEDNDNKAIFDALRELFKLLISKHLTSVQEWISVLIRVDLNDHKFRDTALKEFIDIRNVIQSVRNRCVQVGCVLNDPPSQEEDIWEEGKIEDYIPQNSVMNRSLVENSVDIPSRHKCKKSAPTEENDLSDSSQSVSERSRLLVEAPVLSWGPFLDNWGSKRDVLANQRGLELDGHWGRVDYDAVIPAERIAELSVHRTVYKEEAVEIQPCLAPLRKGGLCQRKDLRVCPFHGPIIPRDALGNPIGRSPCSTGEIEKDSSTEATEHNGENGAEETPNLGKVTVEQLAKQAVKNVRERDREVKMLKRAKLAKVREHNEVVLREAAIASTSYSEATVERRDASHESLSEGKTKKPTLASMLKKKVTAKDRISQRLLSTRVSDSATRQLMQGEDLNYREAFPNQW >Ma08_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33194897:33199428:1 gene:Ma08_g19430 transcript:Ma08_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEESDTVAAMVPRLIEKATNSTAPEINPRLLKAIKYTVRYSDDEVHAAVESLMVQMKKPHSQVRYLAVLIIDELFMRSKLFRSLLVINFDQFLSLSVGFRRNMPLPPPSSIASNLRKMSIELLEKWHSSYGIYYRQLRLGFDYLKNTLRFQFPNRLEIAARLQQERREREMRTQQILLNKFENLKDNFSSIKSEIQLTIDEIGECLAIINEKEEEFNLHNFSEDDEVGEFQSLTLRQIRLDSLKEGQKVQEDNDNKAIFDALRELFKLLISKHLTSVQEWISVLIRVDLNDHKFRDTALKEFIDIRNVIQSVRNRCVQVGCVLNDPPSQEEDIWEEGKIEDYIPQNSVMNRSLVENSVDIPSRHKCKKSAPTEENDLSDSSQSVSERSRLLVEAPVLSWGPFLDNWGSKRDVLANQRGLELDGHWGRVDYDAVIPAERIAELSVHRTVYKEEAVEIQPCLAPLRKGGLCQRKDLRVCPFHGPIIPRDALGNPIGRSPCSTGEIEKDSSTEATEHNGENGAEETPNLGKVTVEQLAKQAVKNVRERDREVKMLKRAKLAKVREHNEVVLREAAIASTSYSEATVERRDASHESLSEGKTKKPTLASMLKKKVTAKDRISQRLLSTRVSDSATRQLMQGEDLNYREAFPNQW >Ma03_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3250482:3252123:-1 gene:Ma03_g04920 transcript:Ma03_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPRALLSFLLLAFFLSSAAAFDVMEILGTYPDYSTFTKYLTDMKLVDVINSRQTVTVLVLDNTAIAPLSSLPADKLKSAISAHILLGYYDPYVLDGDLNKSALLPTLLGSSGAGLLKYTEMPDDQMVFGSAAPGAPHDSKVIKVVGARPYNLSVLQIDKAILPPGIGSAVPATSTPPAATPSKATAAPPTTTPATSVTPSPAPENNGSPVVPVAAPATGPSASAEAPKPITSTPVEAPKPATSAPVEAPQSSSSSPVEGPQLSASAPVEAPKPGTGAPVEAPKPSTGAPVEAPKPSTGAPVEAPESSTGAPVEAPESSTGAPSRAPAHLLRVQSRATGAPAEGPESSTGAPAEGPESSTGAPVEGPESSTGSPVEGPEPTTGAPVEGPEPTTGAPVGAPKASSSAPVGAPTPSSSVPVGAPKSSPITPAAAPKSSPSKPARAHKARNTTPAAAPRSGAATPAESPKTSAAAPVKDASADAPDSSIGLPPSSTAPAEAPGPSSSAARVVAGLTLGLTMGAAAILGAI >Ma03_p25300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29397113:29399748:1 gene:Ma03_g25300 transcript:Ma03_t25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVAPDSTAMVAGGGGGGATEAEQAQLFSAIRNGEDLGPFVRRAFASGRPESLLSSLRQFARSKEAEIEDVCKAHYQDFIRAVDDLRSLLSDVDSLKSALADSNDALQSAAGPLLAALDAYLEARSIAANLAAALRAARLCSRLFALLARANEHLAADHLYLALRAVAAAERDLLPAAPHPTIRRMLLRLIPAVRAHAERKISKEFSDWMVQIRVASRHLGQIAIGRASAARQREEELRARQRQAEEQAHFRSGSTTATPTLRDHSYSLRVEEDDDWAGDDADDLAAAAAAASSDEGDPGLDLTPLYRAYHIHKTLGLEERFRRYYFENRKLQLTSDFQVSSLTPFLESHQTFFAQIAGFFIVEDRILRTGGGLIARPDVDALWETAVTKMVSVLEDQFSRMQTANHLLLIKDYVSLLGVTLHRYRYAVDPLLDVLSKHRDKYHDLLLSDCRRQVSEALAADKFEQMLMKKEYEYSMNVLSFQIQTSDITPAFPYVAPFSSSVPDLCRISRSFVEDSISFMSHGGQLDIYPIVKKYLERLLGEVLDGSILRLIESGGLGVSQAMQVAANMAVLERACDFLFRHAAQLSGIPLRIAEKGKREFPLKKSRDATVELLLGLLRKKIDDFLLLTDSISWLADSPPPNGNDYSNEVYIYLDTLVSTAQQILPIQVLRRVLQGVLSHISDKIMGLFLSDAVKRFNSNAVMGIDVDLKTFESFAENQSHLFSDSDDSGANELKLALLEARQLVNLLMSNNPENFLNAVIRERSYNKLDYKKVVAVTEKFRESSDRLFGTFGTRGAKQNPKQKSLDALIKRLKDAS >Ma09_p18300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17591415:17610979:1 gene:Ma09_g18300 transcript:Ma09_t18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAVRWMAKKPKPKMKPIEPRTPPEQTQTITRAIFDVVKEHGPLTIADTWDHLKGVGLRGLNSKRQMKILLRWMRERQKLRLICNHDGPHKQFLYTTWFTNPRTMPPRPRMGSSEP >Ma09_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17591415:17610560:1 gene:Ma09_g18300 transcript:Ma09_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAVRWMAKKPKPKMKPIEPRTPPEQTQTITRAIFDVVKEHGPLTIADTWDHLKGVGLRGLNSKRQMKILLRWMRERQKLRLICNHDGPHKQFLYTTWFTNPRTMPPRPRMGSSEP >Ma02_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27873355:27879651:1 gene:Ma02_g22580 transcript:Ma02_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAWKNKAAGGRGKKRSLPSSVDLNPSLPFDRGEDDPPAAAAGAEAGRTNPDSGQETPTDDHHIKNIADSFRCQGNQLAEDGKYHEALGKWEAALTLEPKNAVLHEQKAQVLLELGDSWNALKAATQATELQPSWFEAWLTLGRAQLNFGEPDSAIESFDRALKIKPDHEDAQADRVTAHRLVKKRRQLEASGLKVADSRYKVGDKVESC >Ma04_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7678039:7679750:-1 gene:Ma04_g10870 transcript:Ma04_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLWSWLTTLPEPSQWPSAPPSLVLAASADAKSIRLSADRTVGSDAEPLLTFSFSLHGFHLSTTSRTLWLSNPVPSASPHLPLLLQLLRECIPMSPSSFSFSAPKLEQGSLSAALVRDDGQATPFLCLALLLRLFWLCATDAPAEAGFLFFSALDAPLERALCCRLALRGVLLAVGPDVEERFMRSLGYMLSKWCLFRQLQGSAAKRLLPAGFCPSYAADRHGLWVLKGFAPLPAMGRVDASGVTGPGLEATDSALRYALAHQQLEAVVQLEYTICTRDPRFIRVSVRVDNIRLRVVGLRYGRNKDEETGREADDLEGERHFPSRARVWVGPELGTPYATGPSLGRSSGNPERQIEATRTVKGRFGSGKAAGIKAAARTATRLQGRSWRWEQEAEGSAAVFEGVLCDHATGTEVAAWTPAEDGGGNPSAGMRRRYSGWGRAFSKAGGVVVAGDELAEAVEWRVGREMEGRVVMWRVGGRVWVSYFANEVKTGYFETRSVEWREEVELALVAGTSEPTAR >Ma05_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33622915:33623849:1 gene:Ma05_g21860 transcript:Ma05_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRIAIGTTEEATHPSALKAALAEFICTFIFVFAGQGSGMAYSKMTSGGAATPTGLIMAALAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGVLYWVAQLLGSTAACLLLHFATGGLETGTFGLSSGVGVWEALVLEAVMTFGLVYTVYATAVDPRRGSLGAIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWTHQWVYWLGPLSGGALAGLVYEIFFICSTHEQLASADY >Ma10_p27690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34856369:34856786:1 gene:Ma10_g27690 transcript:Ma10_t27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTRQNVETSCSVIRVNIRWLCHSRCRVSQRLCALLSAPVTSARGCPECPRYFVGFALLSLPRLDLEEAPVVEGEVKYRI >Ma01_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:663037:666163:1 gene:Ma01_g00940 transcript:Ma01_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGYPTTIGKYHLKRTIGEGTFAKVKLGVDRETNANVAVKIIDKAMVVQNKLMYQVKREISAMKLLNHPNIVKIYEVIATKTKIYLVMEYVAGGQLSDKLEYLKKLDEREARKYFQQLIDAVDYCHSRGVYHRDLKPENLLLDSKGNLKVSDFGLSVLRKPGDLLSTACGSPSYVAPEVIIHKSYDGAAADLWSCGVIIFELLAGHLPFEDRSLMNLYRKISRAEYTCPDWFSDSQKQLISRILDPSPLKRATLSEIIGHEWFRLDCRPCLGHDNDENDSLHSSGEATQEVAGKPWRCINAFRLIAMSNDLDLSGLFQEQKTKLGSEHPIDETFAKIEVAAKDVKLSVKRINSSRVRLHDRRRITRSRSHCTLSAEVVEVTPNHCVVEVSKSAGDLGTYKEFCQSLSSLLKDNSGSSSQEETLGDGGDDDSDLTLPDVTTKVVQSFSGISLVPGS >mito8_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000019.1:234606:235178:1 gene:mito8_g00030 transcript:mito8_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 9 [Source:Projected from Arabidopsis thaliana (ATMG00070) TAIR;Acc:ATMG00070] MDNQFILQYCWYILPKKWVNQIQRSSHGNRSLTNTDSLFPLLCFLKWHTYTRVQVSIDICGVDHPSRKHRFEVVHNLLSTRYNSRICVQTSADEVTRISTVVSLFPSAGWWEREVWDMSGVSSINHPDLRRISTDHGFEGHPLRKDFPLSGYVEVRYDDPEKRVVSEPIEMTQEYRYFDSASPWEQCSDR >Ma10_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24338404:24339487:-1 gene:Ma10_g10380 transcript:Ma10_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFPPDLEDGELWLPSDIIRDVGVRRPFSSSSSSSSSAVAASPGCSAHLAYLEGIARQLDALCMLDRAGLLPAFGPPRHAPPRPRVSLRFPFPSLPFPSPLFGSSSLTVYVFWRQVFGFKQGRPAPRLAGAENLVGVGVVHAGFMTGDGGRGVPGSSPGMLRFCSMSRPVQTQATFGAARGGVVQAPVQPVPDRFIPLPSPGSAREGGGTGVFLPRVFKDEDKKKPYVKGRGEQQQQQATRNGGVWEQGMPFQHPPPPAETGLPQDWTY >Ma11_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1611837:1613080:-1 gene:Ma11_g02250 transcript:Ma11_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLEMAPATAGEACFADEVLLLELPSDPYHPSVAELKHQAQILQEFFEPSVEGLPGLPTEGKGGGDEEEEELEWLANKDAFPALETSFEMPSRPRTGSGGSEGWDGATVGAVAERKSPVSAFSAATSFSAPVRPRSKGRRPRRRVLTGLSPEPPTVAVAGKSTAVERRRCRHCEAEETPQWRAGPEGPKTLCNACGVRYKSGRLVPEYRPASSPTFSPADHSNFHRQILEMRRQRSTRQRRSAGAPPPAPLVNSPVM >Ma06_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11407488:11410109:1 gene:Ma06_g16790 transcript:Ma06_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFLLLFHLLFFAPSLASIAIPTDALPGCSGKCGNVSIPYPFGTSSGCYLSHGFYVSCNTTYNPPKLFLSTTDIEVAEISQDGVTIYTPIARDCFSGLGLGTNINSISTDVTGLPYTFSYSRNMFTALGCDTVALNYQSSFSFSSGCLSYCSDKSSIVNGSCAGIGCCQTSIPKGLKSIYTILRSVKNHSTTWTFSPCSYAFLVDRETFVFNLTDLDDFYTKNNGVVPVVMDWAIGSRSCEDGSGSPDFSCGDNSHCVNSTNGRGYRCSCNTGYRGNPYLTRGCQDINECEDPATNPCAAICENTAGGYICSCPRGFSGDGRRSGSGCIKDAKRFPLFQVVLGCGLGLLFLLITGSWLIWGLKKRRQMRLQEKFFEQNGGLLLQQRIASSEGAAETARIFTAEELERATDNYSESRVVGRGGYGIVYRGILRDNRVVAIKKSRVIDESQLEQFINEVVILSQVIHRNVVRILGCCLETQVPLLVYEYVPNGNLHHHVHGQGRASSLSWETRLRIAAETAGALAYLHSATARPIFHRDVKSANILLDENHMAKVSDFGASRLIPMDRMQITTLVQGTLGYLDPEYFQTGQLTEKSDVYSFGVVLAELLTGLLPISQARRQEEQNLAIYFLVHMREDRLFEILEPRVRNEGNREQLLTVAELTRRCLRLRGNERPTMTEVAAELERTRRQREHPWLENDHGESQSLLREPATVYYTSPITGDEDLDAHIMLSLEMTRG >Ma02_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25970357:25973216:-1 gene:Ma02_g19790 transcript:Ma02_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLATAYFLGLRRTYRLALRIQRRIVGPRHPETRQFLHRRTRSIFDVVVRVVQSIQHKDMEAGRSIGNSVLRWLDPTKPSAQVGVHSGKPPISSICMSKYAASRSQLLRTGRSIVRITDQVKRGRSFFTPWNIPMKTFPTIGMMMQPMKPAGLNGQYRHASQYIPNSSAIVHSRIIGGAEGVLRKDIAQWMLHT >Ma09_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6255276:6255652:-1 gene:Ma09_g09520 transcript:Ma09_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMFLQTLVSLLLLLFFHKKLAASGSANHEFIFNGFSGANLTLDGVASITSSGLLRITNATTQVKGHAFRPSPLRFRDQTTGKIFSFSTTFVFGFIPEFANLSGHGVVFLISPTKDFSG >Ma07_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9161531:9163349:-1 gene:Ma07_g12250 transcript:Ma07_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSAHFFSLFLLLVVMGVTGSGDPILSCSQTPYPQVCSSMVSGVPLFAQSETQSGFRDLLLQTTLDRTLLAHKHASAMNLAPLDEPAKAAWADCLELFEDTIGHLNRSLGHSSSAEDAQTWLSAAMANQQTCKNGFAELGTSFLFTSSLFMANNISESLSNSLAVNNAMPRGKAPGNRRLLSQGFPGWVKAADRKLLQSSSSTIKANLVVAKDGSGDYKTISEAVAASAKLRSGTSRFVILVKSGIYNENVVITNSMKNIMMIGDGMDATVVTGSKNVQDGSTTFRSATFAVSGGGFIARDMTFQNTAGPQKHQAVALRSGSDLSVFYRCSFKGYQDTLYVYSQRQFYRNCDIYGTVDFIFGDAVVVFQSCNIYVRKPMSGQQNTVTAQGRTDHNENTGISIHNSIVTAASDLRPVQGSFKTYLGRPWQKYSRTVFMKTSLDSLINPAGWLEWSGSFGLSTLYYAEYMNTGAGADTSKRVNWPGYHVIASSSEASKFTVGGFLSGDSWIPATGVPFSFGL >Ma04_p36370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34776245:34780212:1 gene:Ma04_g36370 transcript:Ma04_t36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSSSFFFLLLLFGLSYSYAELDNEALKQQQADRVVRLPGQPPVDFHQYAGYVTVNESHGRALFYWFFEATHDVEKKPLLLWLNGGPGCSSIGYGEAEELGPFLMQKGKPELKFNKHSWNKEANLLFLESPVGVGFSYTNTSSDLSSLGDKITAEDSYIFLLNWFKRFPQFKSHDFYIAGESYAGHYVPQLSEKIFDENKKASKENYINFKGFIIGNALMDDDTDQTGMVDYAWDHAVISDRVYHDVKKSCNFSEENVTKACDDALEEYFAVYDIIDMYSLYAPVCVRPNTSMSWSERSYFVEGASPKLFSKYSGWHQKPAGYDPCVSLYSEVYFNREDVQEALHANVTKLGYNWTHCSSDVITRWNDAPASVLPVIRKLIHGGIRVWVFSGDTDGRIPVTSTRYTLHKLGLKTTREWTPWYDHKQVGGWTIIFDGLTFVTVRGAGHQVPTFAPRQARQLIHHFLDDQELPSSPF >Ma04_p36370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34776245:34780212:1 gene:Ma04_g36370 transcript:Ma04_t36370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSSSFFFLLLLFGLSYSYAELDNEALKQQQADRVVRLPGQPPVDFHQYAGYVTVNESHGRALFYWFFEATHDVEKKPLLLWLNGGPGCSSIGYGEAEELGPFLMQKGKPELKFNKHSWNKEANLLFLESPVGVGFSYTNTSSDLSSLGDKITAEDSYIFLLNWFKRFPQFKSHDFYIAGESYAGHYVPQLSEKIFDENKKASKENYINFKGFIIGNALMDDDTDQTGMVDYAWDHAVISDRVYHDVKKSCNFSEENVTKACDDALEEYFAVYDIIDMYSLYAPVCVRPNTSMSWSERSYFVEGASPKLFSKYSGWHQKPAGYDPCVSLYSEVYFNREDVQEALHANVTKLGYNWTHCSDVITRWNDAPASVLPVIRKLIHGGIRVWVFSGDTDGRIPVTSTRYTLHKLGLKTTREWTPWYDHKQVGGWTIIFDGLTFVTVRGAGHQVPTFAPRQARQLIHHFLDDQELPSSPF >Ma11_p22180.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26036495:26044693:-1 gene:Ma11_g22180 transcript:Ma11_t22180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRHLVGQPQMSVRDQEQIWNHPHPDPRSNLGPGTFISPENIAVCGPNSVAFANPASATNYPVMPNFNIEAPCYVRANSRMAQESYTPYPSVRSSSQFPPYYVLHEPCFNQVTVGDINGTINPPTDYDGATYKRKMPTIQTFPDSGSTNGHRSDASSSNHPVSTGLLESTHTLGPHCFLRDPVNMASSYRSNNLLSSEEDHWNVRSRPASVLHPGNISLTNHSSSNFSDHFHPLANTSGVNLPGHRNLAPVPLDPHIRLMSSGIGSFNHEMNQLPGRHATNIAVDIDGRRNFFLIPGWPSPDLMVDRSSYSQRTSYRANSSYRSVGSEANLVDFRLPAVGRVVPPRYPGHPSLQGHMNERNGSIRIRDDGDEGIGHSRWASETLAVMDRSTLYDSRNLFDEHHDMRLDIDNMSYEDLLALEERIGNVNTGLSDVAISRCLMEAIYCSDQTPGDHEKGRCTICLETYKGKDCLGRLSCGHDFHACCIKKWLLIKNACPICKASAQKGCLLEQ >Ma11_p22180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26036495:26044693:-1 gene:Ma11_g22180 transcript:Ma11_t22180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRHLVGQPQMSVRDQEQIWNHPHPDPRSNLGPGTFISPENIAVCGPNSVAFANPASATNYPVMPNFNIEAPCYVRANSRMAQESYTPYPSVRSSSQFPPYYVLHEPCFNQVTVGDINGTINPPTDYDGATYKRKMPTIQTFPDSGSTNGHRSDASSSNHPVSTGLLESTHTLGPHCFLRDPVNMASSYRSNNLLSSEEDHWNVRSRPASVLHPGNISLTNHSSSNFSDHFHPLANTSGVNLPGHRNLAPVPLDPHIRLMSSGIGSFNHEMNQLPGRHATNIAVDIDGRRNFFLIPGWPSPDLMVDRSSYSQRTSYRANSSYRSVGSEANLVDFRLPAVGRVVPPRYPGHPSLQGHMNERNGSIRIRDDGDEGIGHSRWASETLAVMDRSTLYDSRNLFDEHHDMRLDIDNMSYEDLLALEERIGNVNTGLSDVAISRCLMEAIYCSDQTPGDHEKGRCTICLETYKGKDCLGRLSCGHDFHACCIKKWLLIKNACPICKASAQKGCLLEQ >Ma11_p22180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26036495:26044661:-1 gene:Ma11_g22180 transcript:Ma11_t22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRHLVGQPQMSVRDQEQIWNHPHPDPRSNLGPGTFISPENIAVCGPNSVAFANPASATNYPVMPNFNIEAPCYVRANSRMAQESYTPYPSVRSSSQFPPYYVLHEPCFNQVTVGDINGTINPPTDYDGATYKRKMPTIQTFPDSGSTNGHRSDASSSNHPVSTGLLESTHTLGPHCFLRDPVNMASSYRSNNLLSSEEDHWNVRSRPASVLHPGNISLTNHSSSNFSDHFHPLANTSGVNLPGHRNLAPVPLDPHIRLMSSGIGSFNHEMNQLPGRHATNIAVDIDGRRNFFLIPGWPSPDLMVDRSSYSQRTSYRANSSYRSVGSEANLVDFRLPAVGRVVPPRYPGHPSLQGHMNERNGSIRIRDDGDEGIGHSRWASETLAVMDRSTLYDSRNLFDEHHDMRLDIDNMSYEDLLALEERIGNVNTGLSDVAISRCLMEAIYCSDQTPGDHEKGRCTICLETYKGKDCLGRLSCGHDFHACCIKKWLLIKNACPICKASAQKGCLLEQ >Ma11_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26036495:26044693:-1 gene:Ma11_g22180 transcript:Ma11_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRHLVGQPQMSVRDQEQIWNHPHPDPRSNLGPGTFISPENIAVCGPNSVAFANPASATNYPVMPNFNIEAPCYVRANSRMAQESYTPYPSVRSSSQFPPYYVLHEPCFNQVTVGDINGTINPPTDYDGATYKRKMPTIQTFPDSGSTNGHRSDASSSNHPVSTGLLESTHTLGPHCFLRDPVNMASSYRSNNLLSSEEDHWNVRSRPASVLHPGNISLTNHSSSNFSDHFHPLANTSGVNLPGHRNLAPVPLDPHIRLMSSGIGSFNHEMNQLPGRHATNIAVDIDGRRNFFLIPGWPSPDLMVDRSSYSQRTSYRANSSYRSVGSEANLVDFRLPAVGRVVPPRYPGHPSLQGHMNERNGSIRIRDDGDEGIGHSRWASETLAVMDRSTLYDSRNLFDEHHDMRLDIDNMSYEDLLALEERIGNVNTGLSDVAISRCLMEAIYCSDQTPGDHEKGRCTICLETYKGKDCLGRLSCGHDFHACCIKKWLLIKNACPICKASAQKGCLLEQ >Ma11_p22180.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26036495:26044373:-1 gene:Ma11_g22180 transcript:Ma11_t22180.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRHLVGQPQMSVRDQEQIWNHPHPDPRSNLGPGTFISPENIAVCGPNSVAFANPASATNYPVMPNFNIEAPCYVRANSRMAQESYTPYPSVRSSSQFPPYYVLHEPCFNQVTVGDINGTINPPTDYDGATYKRKMPTIQTFPDSGSTNGHRSDASSSNHPVSTGLLESTHTLGPHCFLRDPVNMASSYRSNNLLSSEEDHWNVRSRPASVLHPGNISLTNHSSSNFSDHFHPLANTSGVNLPGHRNLAPVPLDPHIRLMSSGIGSFNHEMNQLPGRHATNIAVDIDGRRNFFLIPGWPSPDLMVDRSSYSQRTSYRANSSYRSVGSEANLVDFRLPAVGRVVPPRYPGHPSLQGHMNERNGSIRIRDDGDEGIGHSRWASETLAVMDRSTLYDSRNLFDEHHDMRLDIDNMSYEDLLALEERIGNVNTGLSDVAISRCLMEAIYCSDQTPGDHEKGRCTICLETYKGKDCLGRLSCGHDFHACCIKKWLLIKNACPICKASAQKGCLLEQ >Ma11_p22180.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26036495:26041213:-1 gene:Ma11_g22180 transcript:Ma11_t22180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRHLVGQPQMSVRDQEQIWNHPHPDPRSNLGPGTFISPENIAVCGPNSVAFANPASATNYPVMPNFNIEAPCYVRANSRMAQESYTPYPSVRSSSQFPPYYVLHEPCFNQVTVGDINGTINPPTDYDGATYKRKMPTIQTFPDSGSTNGHRSDASSSNHPVSTGLLESTHTLGPHCFLRDPVNMASSYRSNNLLSSEEDHWNVRSRPASVLHPGNISLTNHSSSNFSDHFHPLANTSGVNLPGHRNLAPVPLDPHIRLMSSGIGSFNHEMNQLPGRHATNIAVDIDGRRNFFLIPGWPSPDLMVDRSSYSQRTSYRANSSYRSVGSEANLVDFRLPAVGRVVPPRYPGHPSLQGHMNERNGSIRIRDDGDEGIGHSRWASETLAVMDRSTLYDSRNLFDEHHDMRLDIDNMSYEDLLALEERIGNVNTGLSDVAISRCLMEAIYCSDQTPGDHEKGRCTICLETYKGKDCLGRLSCGHDFHACCIKKWLLIKNACPICKASAQKGCLLEQ >Ma11_p22180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26036495:26044674:-1 gene:Ma11_g22180 transcript:Ma11_t22180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRHLVGQPQMSVRDQEQIWNHPHPDPRSNLGPGTFISPENIAVCGPNSVAFANPASATNYPVMPNFNIEAPCYVRANSRMAQESYTPYPSVRSSSQFPPYYVLHEPCFNQVTVGDINGTINPPTDYDGATYKRKMPTIQTFPDSGSTNGHRSDASSSNHPVSTGLLESTHTLGPHCFLRDPVNMASSYRSNNLLSSEEDHWNVRSRPASVLHPGNISLTNHSSSNFSDHFHPLANTSGVNLPGHRNLAPVPLDPHIRLMSSGIGSFNHEMNQLPGRHATNIAVDIDGRRNFFLIPGWPSPDLMVDRSSYSQRTSYRANSSYRSVGSEANLVDFRLPAVGRVVPPRYPGHPSLQGHMNERNGSIRIRDDGDEGIGHSRWASETLAVMDRSTLYDSRNLFDEHHDMRLDIDNMSYEDLLALEERIGNVNTGLSDVAISRCLMEAIYCSDQTPGDHEKGRCTICLETYKGKDCLGRLSCGHDFHACCIKKWLLIKNACPICKASAQKGCLLEQ >Ma01_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4813518:4815901:1 gene:Ma01_g06700 transcript:Ma01_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g13600 [Source:Projected from Arabidopsis thaliana (AT2G13600) UniProtKB/Swiss-Prot;Acc:Q9SIT7] MARHPLKHLPLCCGLSLPHSIPFTLLLQDCIDSNSLRDGRCIHGRLLKTPFSSETFIQNRLLDAYAKCGSLEDARKLFDRMDHRNVFTWNSFIGALTKSGLLEEARRLFVSVPEPDQCSWNTMVSGFAQHGRFEEALEFFVAMHANDFVLNAYSFSSALSACAGLMDSRMGVQIHALISKSQLASDVYMGSALVDMYSKCRRPLDACRVFEGMKKRNVVSWNSLIACFEQNGPENEALALFVRMMEGGVEHDEMTLASVVSACASLSAVKEGMQVHAQAIKHDKYREDLVLNNALVDMYAKCRRIREARSIFDRIPVRSVVSETSMISGYAKSSSVHDAEVVFLGMTERNIVAWNALIAGNTQNGEDEEALKLFLWLKRESVWPTHYTFGNILNACANLANLQLGKQAHAHVLKHGFRFEAGAVSDIFVGNSLVDMYLKSGSIDDGSKAFDKMIARDKVSWNAMIVGYAQNGLGKDALRLFRRMLLSGETPDHVTMIGVLSGCSHAGLVEEGCRYFWSMTKEYGLVPSQDHCTCMVDLLGRAGYLKEVEKFIHEMPIEPDAVLWGSLLAACKLHRDVEIGEWAAQRLFELDSGNSGPYVLLSNMYAEMGRWADVLRIRRLMRMRCVIKQPGYSWIEIESKVHVFMVKDKRHPLRKEIYQILKILKIQMDRLMTIDLGASEDTNFSFS >Ma03_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26296769:26298903:1 gene:Ma03_g21300 transcript:Ma03_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGTALKIIILGKRGVGKTSLINRYVLKKFHEQYVATYGALFVTKEILIGDAPVTLQIWDTAGQDRFQSFCTPFYRLADCCILLYDVNVGNSFDTLDSWHDALFNQVHSVADTTYRAKVTITILNRYGKLMVLRENDND >Ma06_p06610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4784632:4785495:1 gene:Ma06_g06610 transcript:Ma06_t06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDHRVTPASSNPPFHLCNPNSSDDHHNHRLRRRDREPKNAATAANSSSSNPQPCEDDDGNVKVHHGATASDTTGQRHRGRPPGSKNKPKPPIIITRESPSALRSHVLEIASGADIMDAVATFARRRQRGVCIMSGTGVVTNVTLRQPGAQRGVINLHGRFEILSLSGAFFPAPSPPGATGLTLYVAGGQGQVVGGDVVGELVASGTVMVIAATFSNATYELLPLVEEEEPSTAAGQWQQSPRGNGGAPMPQNNLPPSVLPHGEIRHEVFGAWASAAPPRPPPSY >Ma07_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7669173:7670740:1 gene:Ma07_g10250 transcript:Ma07_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTSSSSALRRLQALQSEPGNGACVDCGQRNPQWASISYGVFLCLDCSGKHRGLGVHLSFVRSVTMDSWTDAQLRRMECGGNDRLNAFLARRRVPRDADPATKYNSRAAAAYRDCIQALADGRPSQDPPVVKEATSEPSARKPPRNPSGWDRWDDEEEDGCDHLAASSNMRRNQSVGDLGCGSGSGSGAATSLRSRSTQDLPAMAQKPANKERFFARKMYENSTRPEGIPPSQGGKYVGFGSSPTRPARSASQDDLLSVVSRGFGRLSMAAASAAQSAASVVQAGTKELTSKVMEVNYDQKVNETVNTVTARTSEIGHRTWGIMRGVMAMASLKMEGMGWSEGDDGRYSEAFGQESKGGSGRQTHPSAKDSRGDWNESEMKEEEDHRKHTDGEDSWAWWDEADDDEQEISHHQPKSAK >Ma04_p39940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36915994:36916318:-1 gene:Ma04_g39940 transcript:Ma04_t39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMIGSQGWFSNTFGRGLSWVQTEKAIFVFVKNTLSPTATLMSAIYDERKDEDGFLSLHDLYSGERTHLAPPKMQEPSL >Ma11_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25754193:25755462:-1 gene:Ma11_g21730 transcript:Ma11_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDEIGVKKGPWTPEEDKQLVEYIQRHGHGSWRSLPKMAGLNRCGKSCRLRWTNYLRPDIKRGKFSEDEERMIVHLHSILGNKWSTISKSLPGRTDNEIKNYWNTHLKKKLLLMGIDPVTHTRRTNVDLLTILPTLLAAATNLGNLGDPLSNALRLQADAVHLVRLQTLIQAMSTAAPNMDAMNPSSSASLGTCYQFDDTQLNQQLEGLANVPLAQGATGFPCLASPFEEQEKVTHPEGSSVTTGIKTEGHNGMTNPESSSCAATTALSTQSPVPFPQERIATDCMQDPISSPASIPFQDWYGLSAGGSNDDMICWKDILDKISWASES >Ma06_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10190758:10195170:1 gene:Ma06_g14960 transcript:Ma06_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MATLGLNCYSSRIRVARRQPAPLPASSLHPLSKPRSFPLSLLPPPATHSGTRRPRLQSPSASVSTTSTAADDEEDLQEEADEEEAVDPTAELRFLDPAVDPGSIREWEVDFCSRPILDDRGKKLWELVVCDRTLSLQFTRFFPNNVINSVTLKDAIASISDMLGVPLPEKIRFFRSQMQTIITRACNELGIKAIPSKRCITLILWLEERYETVYTRHPGFQQGSKPLLALDNPFPMDLPDNLFGEKWAFVQLPYSAVLEEISSFETRYAFGGSLDLDLLGFEMEDKTLVPGLAVASSRAKPLAAWMNGLEVCLVEVDVSRACLILSVGVSTRYIYATYKKNAATTKEAEAWEAAKKACGGLHFLAIQESFDSEDCVGFWLLLDLPPPPV >Ma06_p14960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10190758:10195404:1 gene:Ma06_g14960 transcript:Ma06_t14960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MATLGLNCYSSRIRVARRQPAPLPASSLHPLSKPRSFPLSLLPPPATHSGTRRPRLQSPSASVSTTSTAADDEEDLQEEADEEEAVDPTAELRFLDPAVDPGSIREWEVDFCSRPILDDRGKKLWELVVCDRTLSLQFTRFFPNNVINSVTLKDAIASISDMLGVPLPEKIRFFRSQMQTIITRACNELGIKAIPSKRCITLILWLEERYETVYTRHPGFQQGSKPLLALDNPFPMDLPDNLFGEKWAFVQLPYSAVLEEISSFETRYAFGGSLDLDLLGFEMEDKTLVPGLAVASSRAKPLAAWMNGLEVCLVEVDVSRACLILSVGVSTRYIYATYKKNAATTKEAEAWEAAKKACGGLHFLAIQESFDSEDCVGFWLLLDLPPPPV >Ma01_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6585195:6585929:-1 gene:Ma01_g09150 transcript:Ma01_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFKIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Ma08_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32974556:32991473:1 gene:Ma08_g19180 transcript:Ma08_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVLLSKFSVPVAAPNLCEIPNRRRAAGSSLSPLRAGRGRRAGNGGRRPGFVFLALKNGSSDRALSDAGHQKLKTHVFGSVSSSSNKELTTNSGVAPPLVPSSPSSIGSPLFWVGVGVGLSVIFQVVAAKLKRHALQQAFKTMMDQAAPQGGQFNNPSFGPGLPFPFPSTVSSPPTASTLSTAHVSSQQPVTVDVPATKVESTSTPEIGDETQLEEAKPKKAAFVDVSPEELLQSDPSYLKESIKASPVETQSVKEVPSNGPVKQDGSAPNGQSQSRKSPSPLSVEALEKMMEDPTVQKMVYPYLPEEMRNPETFKWMMQNPQFRQQMQDMLSNMGGNNEWDNRLTESLKNFNLSSPEIKQQFEQVGLTPEEVISKIMENPDVAMAFQNPKVQAAILDCSQNPLSIAKYQNDKEVMDVFTKISELFPGVSGYP >Ma03_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:92911:93245:1 gene:Ma03_g00030 transcript:Ma03_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGAYSSMLVGGVSGRTGPHSLPLARIKRIMKQSSDDVRMISAEAPVVFSKACELLIGVLVRRAWAAALRRKRRTLLKEDVAAAVTNTDVFDFLVQVVQQQPK >Ma10_p27110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34497382:34499791:1 gene:Ma10_g27110 transcript:Ma10_t27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPELSLDYKLDNYTAVAKAAGAQPEQNQKIQEFLARLEEERHKIEVFKRELPLCMQLLNNAIEIYKQQLQERVPLKYMNVDGSDKANWMVSAQLWSPPDDAAKQQAAPPPKEADVIPKLALDTTKQRSGGAFLPFSREKSRGAHATSAEKVVEEEKKCAELENEIVNTGRHSAADGHGNTADGQAAAAPPTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPIPAPHAAAGAGAPPQLVVLGGIWVPPEYATSAGPAMYGAHAAPVPREFYSPVPHHHYHHLHYPPLGLGASTPAASRGRTADSPEPEVRSGGERSESIEEEEEEVRKRDEEEEEEEAPASEEKALVPLMVKAEDDNGGDVVLKI >Ma09_p27420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38414762:38419688:-1 gene:Ma09_g27420 transcript:Ma09_t27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATLHPNTALTQNSLSFRSQSRHSFLGGLPKNLFLDRKPSRSGRKGAAGLVVAAAGENDGGSGRFYLNFTGFPFPLGPFLNRRTIRTEAVKDCIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIELLKELNAPVEYIILPTFAYEHKIFVGPFSRKFPRAQVWVAPRQWSWPINLPLEFFGIFRARALRDEDSSTPWADEIEQKILSSPEVGIGPYVEVAFYHRRSRTLLVTDAVIYVPRQPPACISKDSLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPTASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAKDWPFRRIIPAHFAAPINASRSDFLAAFGFLDELLGDRFTTRPSLSLLFASVMGKAASYFPPDDMKTLSSLDQFLVSVGVVKKTVSGRKQ >Ma06_p36590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35918273:35922266:-1 gene:Ma06_g36590 transcript:Ma06_t36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSTVLVAVFLLFLAAGSSDSSTASLPFNSSFTLLPPPPPDSPLPRPLLREVVRALAAKEGWDPAAEVRIADLDGKTARVGVSQRYEFHVRVGRRAMIVRFYDEAVSWRRADGAVVESGSDLVAGDGVAGLTPAVRALELVGPLDLRVDGGGGDADLISLHLPTSNITYSGLRQIFVGFGIRIKIEGAQGVSLSHPYDIGLSLNGSLAAHVEHNNKFWHLGYSSCVPHLSVHVVGSVSVSAHGYDNTVGDIEAAFKTDDTVELLPAKCYSNGHVKQISSCSFCFVSSRLSMLDKLVGGLLGNNVSPDTSVRFTKAKITSATVIKFRLQLERDITENNNRSLEKVPEWKQKPKAAQSWLEIMARVEGEGRLKPVLVKKLKRPYTIADSISFSSLMSNISFTKFPSFVVPPEALTLDVKW >Ma08_p18680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32217802:32225232:-1 gene:Ma08_g18680 transcript:Ma08_t18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQASAWLEAQTIAISEDLVAAAERQLVFLAAVDRRRWLYVDGPLLDHAIRRYKACWLPLLAKHSECGTTDGSLYVPLDCEWIWHCHRLNPVQYKKDCEETFGRILDNHNVISSLQTKSKHEMSEKWSKLYPEEPFELDCSSTFSEETFNKCPGAALAFTYDLVSAVKRQSSFCYQISRPYMLDNRFLEAAVARYKGFLHLIKTKRNRSKKLFCVPAYDIDLIWHSHQLHPLSYCNDMIKLLGKVLEHDDTDSDRSKGKKLDVGFNETTKQWEDTYGLRYRKAGTMYRGNCPSPVISDFSSHLEVNETIPYRSQNHLVLPQRMVVEVLLEIVGIKNLPDGQHGDLFVSFSKKKPDMLFYGGTLGIQSETGKRDSASFKCEPTGELVLALVSKSDSKIDSSVPARTIGTTSIALQDLMNPESKLSIEKWFELKPQSGTVDSEPVCLFVAVSFTVPVPAPLELRMLKSHPVSTLPLQEKERIKSWTRFLDHNGDEVICLQLRNLEKPEETNTTASKKEVVGISRLSRKPCLLAEYADNKWSLNDCNISLVVEKEKNQDAHTFEIKGDPQLKLFFGKKLEYESKCCKARNDNEFITLVELSAESPYGKAVALFSMKSNTVEVKEETFALLGILLAFIIKKEGCNALFPDKEEAEEISSPAVTEAKIVDCGGGCGNFLSGVKSGGCGGGCGGGCGGGCGNIIGGSDSGSCGGGCSGEYGSRCGSKLAGDKGGGGCGSGCSGSCGGCGAVVVPSNANVNPVAA >Ma08_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32217802:32225235:-1 gene:Ma08_g18680 transcript:Ma08_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQASAWLEAQTIAISEDLVAAAERQLVFLAAVDRRRWLYVDGPLLDHAIRRYKACWLPLLAKHSECGTTDGSLYVPLDCEWIWHCHRLNPVQYKKDCEETFGRILDNHNVISSLQTKSKHEMSEKWSKLYPEEPFELDCSSTFSEETFNKCPGAALAFTYDLVSAVKRQSSFCYQISRPYMLDNRFLEAAVARYKGFLHLIKTKRNRSKKLFCVPAYDIDLIWHSHQLHPLSYCNDMIKLLGKVLEHDDTDSDRSKGKKLDVGFNETTKQWEDTYGLRYRKAGTMYRGNCPSPVISDFSSHLEVNETIPYRSQNHLVLPQRMVVEVLLEIVGIKNLPDGQHGDLFVSFSKKKPDMLFYGGTLGIQSETGKRDSASFKCEPTGELVLALVSKSDSKIDSSVPARTIGTTSIALQDLMNPESKLSIEKWFELKPQSGTVDSEPVCLFVAVSFTVPVPAPLELRMLKSHPVSTLPLQEKERIKSWTRFLDHNGDEVICLQLRNLEKPEETNTTASKKEVVGISRLSRKPCLLAEYADNKWSLNDCNISLVVEKEKNQDAHTFEIKGDPQLKLFFGKKLEYESKCCKARNDNEFITLVELSAESPYGKAVALFSMKSNTVEVKEETFALLGILLAFIIKKEGCNALFPDKEEAEEISSPAVTEAKIVDCGGGCGGGCGGGCGGGCGGGCGNFLSGVKSGGCGGGCGGGCGGGCGNIIGGSDSGSCGGGCSGEYGSRCGSKLAGDKGGGGCGSGCSGSCGGCGAVVVPSNANVNPVAA >Ma08_p18680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32217802:32225232:-1 gene:Ma08_g18680 transcript:Ma08_t18680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQASAWLEAQTIAISEDLVAAAERQLVFLAAVDRRRWLYVDGPLLDHAIRRYKACWLPLLAKHSECGTTDGSLYVPLDCEWIWHCHRLNPVQYKKDCEETFGRILDNHNVISSLQTKSKHEMSEKWSKLYPEEPFELDCSSTFSEETFNKCPGAALAFTYDLVSAVKRQSSFCYQISRPYMLDNRFLEAAVARYKGFLHLIKTKRNRSKKLFCVPAYDIDLIWHSHQLHPLSYCNDMIKLLGKVLEHDDTDSDRSKGKKLDVGFNETTKQWEDTYGLRYRKAGTMYRGNCPSPVISDFSSHLEVNETIPYRSQNHLVLPQRMVVEVLLEIVGIKNLPDGQHGDLFVSFSKKKPDMLFYGGTLGIQSETGKRDSASFKCEPTGELVLALVSKSDSKIDSSVPARTIGTTSIALQDLMNPESKLSIEKWFELKPQSGTVDSEPVCLFVAVSFTVPVPAPLELRMLKSHPVSTLPLQEKERIKSWTRFLDHNGDEVICLQLRNLEKPEETNTTASKKEVVGISRLSRKPCLLAEYADNKWSLNDCNISLVVEKEKNQDAHTFEIKGDPQLKLFFGKKLEYESKCCKARNDNEFITLVELSAESPYGKAVALFSMKSNTVEVKEETFALLGILLAFIIKKEGCNALFPDKEEAEEISSPAVTEAKIVDCGGGCGGGCGGGCGGGCGGGCGNFLSGVKSGGCGGGCGGGCGGGCGNIIGGSDSGSCGGGCSGEYGSRCGSKLAGDKGGGGCGSGCSGSCGGCGAVVVPSNANVNPVAA >Ma08_p18680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32217802:32225246:-1 gene:Ma08_g18680 transcript:Ma08_t18680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQASAWLEAQTIAISEDLVAAAERQLVFLAAVDRRRWLYVDGPLLDHAIRRYKACWLPLLAKHSECGTTDGSLYVPLDCEWIWHCHRLNPVQYKKDCEETFGRILDNHNVISSLQTKSKHEMSEKWSKLYPEEPFELDCSSTFSEETFNKCPGAALAFTYDLVSAVKRQSSFCYQISRPYMLDNRFLEAAVARYKGFLHLIKTKRNRSKKLFCVPAYDIDLIWHSHQLHPLSYCNDMIKLLGKVLEHDDTDSDRSKGKKLDVGFNETTKQWEDTYGLRYRKAGTMYRGNCPSPVISDFSSHLEVNETIPYRSQNHLVLPQRMVVEVLLEIVGIKNLPDGQHGDLFVSFSKKKPDMLFYGGTLGIQSETGKRDSASFKCEPTGELVLALVSKSDSKIDSSVPARTIGTTSIALQDLMNPESKLSIEKWFELKPQSGTVDSEPVCLFVAVSFTVPVPAPLELRMLKSHPVSTLPLQEKERIKSWTRFLDHNGDEVICLQLRNLEKPEETNTTASKKEVVGISRLSRKPCLLAEYADNKWSLNDCNISLVVEKEKNQDAHTFEIKGDPQLKLFFGKKLEYESKCCKARNDNEFITLVELSAESPYGKAVALFSMKSNTVEVKEETFALLGILLAFIIKKEGCNALFPDKEEAEEISSPAVTEAKIVDCGGGCGGGCGGGCGGGCGGGCGNFLSGVKSGGCGGGCGGGCGGGCGNIIGGSDSGSCGGGCSGEYGSRCGSKLAGDKGGGGCGSGCSGSCGGCGAVVVPSNANVNPVAA >Ma07_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7467121:7467929:-1 gene:Ma07_g09980 transcript:Ma07_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPMTRSPEGDAAARASSKALSEVLSAKLRKCCKTAPSPSLTCLRLDNEKSHIGVWQKRAGTRDGSNWVMTVELGNASSHHAQQAAGEAMMGPTPLAGAGTSSQEAVGGMDEEEMLALQMIEELLSRNRTTSPSHAVTADEDSFFL >Ma04_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23331935:23339948:-1 gene:Ma04_g20550 transcript:Ma04_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSSSASPAAASPPPPPPPSFPSFPKESRNLYCVLVTSLVSLFLILSFSSPSASSPSSSAASHVRSASATATALLSSSPPPPSLAYLLTGSAGDGDRLLRLLHAVYHPRNLYLLHLDGAAPKDERERLALAAWNVPAFRSARNVHVVGKPDFANPRGSSALSATLHGAAILLRIGANWDWFVNLDASEYPIVTQDDLLHVFSFLPRDLNFVQHSSYIGWRESRQLRPIIVDPGLYLSSRTDIFYATQKRELPNAYKLFTGSASVILSRKFIEYCILGTDNLPRTLMMYYANTLSSHTNYFQTVLCNSPGFNRTIVNHHLHYMIWDASPKKEPRLLTMDDLKNMTITGAAFGTRFSKNDPVLDHIDKETLSRGPGRIVPGGWCLGGSHGDPCAVWGNPDVLIPGPGAARLAKIIADLLSDGKLRSQQCIWK >Ma04_p20550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23331917:23339948:-1 gene:Ma04_g20550 transcript:Ma04_t20550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSSSASPAAASPPPPPPPSFPSFPKESRNLYCVLVTSLVSLFLILSFSSPSASSPSSSAASHVRSASATATALLSSSPPPPSLAYLLTGSAGDGDRLLRLLHAVYHPRNLYLLHLDGAAPKDERERLALAAWNVPAFRSARNVHVVGKPDFANPRGSSALSATLHGAAILLRIGANWDWFVNLDASEYPIVTQDDLLHVFSFLPRDLNFVQHSSYIGWRESRQLRPIIVDPGLYLSSRTDIFYATQKRELPNAYKLFTGSASVILSRKFIEYCILGTDNLPRTLMMYYANTLSSHTNYFQTVLCNSPGFNRTIVNHHLHYMIWDASPKKEPRLLTMDDLKNMTITGAAFGTRFSKNDPVLDHIDKETLSRGPGRIVPGGWCLGGSHGDPCAVWGNPDVLIPGPGAARLAKIIADLLSDGKLRSQQCIWK >Ma01_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3029060:3031667:-1 gene:Ma01_g04500 transcript:Ma01_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLPLFAFQLLLLLTLLQAATTAAASAPPSPNVVSPRCNETCGGISIPYPFGIGDGCFREGFEVTCEVGNGSATPRAFLVGRERNITVEEIILPQGQARILNYVSWDCFNSTNDWVAGRRPTLDLGDKPFWVSSTKNRFTTMGCNVIGIVIGGDNYSLGTGCASFCFGGANITSGSCSGTGCCQTTIPEKLENFTTGMAYFINVSSSYRDYIPCTFTFVADQDGFAFDESYLRNYNFYDKYKDGVPLVLDWVAGRQTCAEAKRNPSSYACRSTNSKCFDSTSLDGYICNCSTGFHGNPYLQDGCKDIDECSLPTQYPCHGTCSNTAGNYRCSCPKGHSSKDPKSEPCVQDHGIPTSTKIVIGSCVGLVSFITCIFCVILTFQRRKLLREKDKFFQQNGGLRLYEEIRSKQIDTVKIYTKEDIEKATDNFDKSRELGRGGHGTVYKGYLDDGREVAIKRSKVVTEDQSEEFVREMIILSQINHKNIVRLLGCCLEVEIPMLVYEFIPNGTLFEFIHDNDGKLIPLTIRLRIARESAEALAYLHSSASPPIIHGDVKSLNILLDHNYVPKVSDFGASRMMSLDETQFITMVQGTLGYLDPEYLLVRQLTAKSDVYSFGVVLMELITRIKAIYYDGSSQGKCLASSFIEAMKDSRLEEILDDQIMGKENMDVIQEIAELAKECLNMNGDERPSMRELAEKLHMLEGFLQVSSTHHAAEECEALLGESSMSSTLDSVGYHSLENKLGFDVKAGR >Ma01_p03880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2556781:2559847:1 gene:Ma01_g03880 transcript:Ma01_t03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDLEVDVNGEEIFLVDKEVLSSFSGKLRELTSKTLATSATRNLRVTLHGLPGGAEAFELMTRFCYNNGRTQMSPSNICLLHCIAHYMEMTGDLLSLTKKSLQGIPYWSWPEIMSCLKRCQDLIPVASSSGMLDKVICSLVGRITAVSDASPSGSSPETSAFRFSCDTGSTTSAKNSSNHRGTWWFDELAVLNPDMIEEIIRKMAVEKANHVAIGRFLMHYLKNVGVRSAAADKKKAAEVIIDLLYSLDGSSVSSKSLFGLLRVSSPLKLSKCCQTKLESMIGNQFDQATLDNLLIPAPAGMNSLYDVNLILRFLRSFHRSGGRDSANRSKQAGSLMDSYLAEVAPDSSLKPLKFLALITALPDEARDCHDPIYRAIDLYLEVHTQISDEEKMKICSAINYEKLSSESCKHIARNTKFPSRTAVRALISQQTKLRSLLKGTDQLRKLGHDPDRDDDDEQITLYAKKLDLTREHEKLKSQLQGMQWKVMELEKMCRKMQRQMSKAMKTRIAGSSGSRSLPRLCS >Ma01_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2556781:2559847:1 gene:Ma01_g03880 transcript:Ma01_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDLEVDVNGEEIFLVDKEVLSSFSGKLRELTSKTLATSATRNLRVTLHGLPGGAEAFELMTRFCYNNGRTQMSPSNICLLHCIAHYMEMTGDLLSLTKKSLQGIPYWSWPEIMSCLKRCQDLIPVASSSGMLDKVICSLVGRITAVSDASPSGSSPETSAFRFSCDTGSTTSAKNSSNHRGTWWFDELAVLNPDMIEEIIRKMAVEKANHVAIGRFLMHYLKNVGVRSAAADKKKAAEVIIDLLYSLDGSSVSSKSLFGLLRVSSPLKLSKCCQTKLESMIGNQFDQATLDNLLIPAPAGMNSLYDVNLILRFLRSFHRSGGRDSANRSKQAGSLMDSYLAEVAPDSSLKPLKFLALITALPDEARDCHDPIYRAIDLYLEVQVVNLTPKNMQCFMLHCFISFFCSSCNQVHTQISDEEKMKICSAINYEKLSSESCKHIARNTKFPSRTAVRALISQQTKLRSLLKGTDQLRKLGHDPDRDDDDEQITLYAKKLDLTREHEKLKSQLQGMQWKVMELEKMCRKMQRQMSKAMKTRIAGSSGSRSLPRLCS >Ma10_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22504856:22508128:1 gene:Ma10_g08230 transcript:Ma10_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVSRYIEQVTSFVEGEICKVLGVKKEIKTLKEKLEMIKCYLESAERKSRGDPGIEAWVRKLKDIMYDADDIIDLCMMDGGKLLEAGGSASASGVSFAFSFVSSCFRCTKHRHEIAGKIEAINGRLKQIAEDTSILSNLQSSGSHQPQPEKPTALETSPLVLEEDIVGEQIEEAADDLINRMLENTEQKCRVFGIVGMGGIGKSTLAGKIINDERIKANFPIQKWLYISKDYLEIKLLRELIRCSGDETKAKSFEGESRAELEPKLASLLTKNLFLVLDDVWSPNVWTDFLRKPLSKGAGSSTILVTTRNETVLRGMKASYMHQAEKMDDNSGWMLLGKTVFAAGEEDDMRRLEEVGRKIVRKCDGLPLAIKAIAGVLISKDRSTAEWEQVLENDAWSRNQQIDEEVPRALHLSYEDLPSHLKQCFLYCSFFMCEFYHYKDIIRFWVAEGLIVEAGGRLMEDVAEEYYWELIWRNLLQVDPSFVNRNVFCMHDHLRALATHLMKEEGLSITVGQRLNIKANAKIRRLSISNMGIKLVLSDHIIKEKCLRTLVVRDSLPTTIIDDNVLEGLPNLRVLDLCDTSIERIPNCIGDLLHLRYLDLDRTKIHEIPESIGRLVNLQILNISGCKRLHRLPMTITRLYNLRSLVIDDTPLTHVPKGIGKLININRLEGFVIGHDNPTNEVDETGCGLEELQHLSKLRYLSIYRLERAVTAASALAEKRSLRELILSWMPPVDGEDGDATDSGDDRRATTLRKEEQIQMGAEKICNELSPPSSLRTLVIVRFPGRQFPNWMMSSSLGESLPNLQYLHLSVFPSCAELPPLGMLPLLKSLKIEGAKAVKTIGPEFLGHSFPGTCAFPKLEHLNISDMPNWEEWSLCGVEEGGHRTHLKLFPNLTKCCLLGCPKLRALPEGLSQATNLKELHIWGAHNLREVTKLRLSYNLSVTDNKMLNRISDVAMKYLEVDDCPNLECVDNLDELQHLVLICPEHMDQLPQWLSRLIDQQRPNSAQWSFRKLEVYCNIVLLRSCLEGNEHWNIIQQIPDVLIQTYFKEVYMRYIKDPYMYETYVPPE >Ma07_p26760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33409512:33410202:1 gene:Ma07_g26760 transcript:Ma07_t26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMKAPIRCCISCISCILPCGALDLIRIVHASGHVEEISGTVTAGDIMRAYPKHVLRRPLSPSSTNGVVVPEAVILPPSAELRKGKIYFLIPVAPPAPGKARSGMKGTTRRSWKKEADSQGQATEKTRLLHSEPYSSDTLSKKASTQTCRRRGRIAVWRPRLESISEISDDLCVNF >Ma03_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5495340:5499190:-1 gene:Ma03_g07770 transcript:Ma03_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMEILASSLLQRTPLLPSSRSPLLRGGEQSRLFLSPACFPLKKRSSAAGRVVRAPVSAAILTERFVRVETEERPVRFKVRAAVTVRRKKKEDLMATVANQLDAFSDRIGRNVVLELVSAEIDLRTRKPKASNSAALRGWFEKKKAKAERVVYTAEFTVDSSFGEPGAITVLNRHQREFFLESIVVEGFACGPVHFPCNSWVQPTRIHPHKRVFFSNKPYLPSQTPPGLRELRQQELKELRGDGKGERKLTDRIYDYDTYNDLGNPDRGIDFVRPTLGGEQMPYPRRLRTGRPPTITDRHVESRVEDPLPMYVPRDERFEEGKQAMLTAGAQKAVLHNLVPLLVASFSPESHDFKAFHEVDNLFKEGLRLKQTLQDQLFHKIPLVSKIEESTEGHLRYDTPHIITKDKFAWLRDDEFARQVVAGINPVNIERLQVFPPVSKLDPAIYGPPESAIKEEHIISHLNGMSLQQAVEANKLFMLDFHDVYLPFLDRINAQDGRKAYGTRTIFLLTESGTLKPIAIELSLPPVRPGDARAKRVLTPPTDATSNWLWQLAKAHVCSNDAGVHQLVNHWLKTHACIEPFILAAHRQLSAMHPIFKLLKPHMRYTLEVNALARQILINGGGVIESGFTPGPVCMEISAAAYRNHWRFDQEGLPADLIRRGMAVEDPTQPHGLRLVIEDYPYATDGLLLWSAIQSWVETYVAAYYPDDESIQSDYELQSWYAEAVNVGHADKRYAPWWPRLSSPAALSSFLTTLIWLSSAQHAALNFGQYPLGGYIPNRPPVLRRLIPVEGDPEYEHFRSDPAKFFLSALPNLTQATTFMTVIDTLSTHSVDEEYLGERPDPYTWTGDGEMVEAFHEFASEVRRAESEIARRNADPARRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >Ma03_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26928931:26930788:-1 gene:Ma03_g22080 transcript:Ma03_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIIWCFCFINISSLISIFCSILLFSVLVDRYILGYSCTQVNDFCQNWFWEDIAGVSELVSNSTVLYLNIFQVGPLWCWPGGLCLSIKIVKKTRGIFIGTNTTSLKNFNLIRILLTNLNTIKTPKSTNTKSCIQWLLYHGTI >Ma09_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7193526:7199887:1 gene:Ma09_g10590 transcript:Ma09_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSVDMEAVLKEAVDLENIPLEEVFENLRCGREGLTAEQAQQRLEIFGPNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRGGKWSEEEAAILVPGDIISIKLGDIIPADARLLGGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFIEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFSRDVSQDTVILMAARASRTENQDAIDTAIVGMLADPREARAGIQEVHFLPFNPTDKRTALTYVDSEGKMYRVSKGAPEQILNLAHNKSEIERRVHAVIDKFADRGLRSLAVAYQEVPDGRKESPGGPWSFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAVLNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAMMTVIFFWAAYDTDFFPRVFKVESLEKTTQDDFQKLASAIYLQVSIISQALIFVTRSRSWSFIERPGLLLVTAFLAAQLIATLIAVYADWGFAAIKGIGWRWAGVIWLYNLIFYFPLDIIKFFIRYALSGKAWELVIEQRIAFTRKKDFGKEERELRWAHAQRTLHGLQPPDTKFSERSTVTELNQIAEEAKRRAEIARLRELNTLKGHVESVVRLKGLDIGTIQQSYTV >Ma03_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2893564:2894974:-1 gene:Ma03_g04430 transcript:Ma03_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARAPSRERRSRPVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAYGAHEHPTTGIFEGEPRQCPGFAFRKSIHIGLTDLGPREVRALVEEMSAEYTGDTYNLISKNCNHFCDDACLRLTGKHIPKWVNRLAKIGLLCKCVLPVRVTAVRQRGTEGKGGREGERRMLRSSSTRFPPAATATPPSGPPSSISQPVVTISSRSEGSRRLRRSSSLSSVGGFPSTFAA >Ma02_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24272035:24274705:-1 gene:Ma02_g17330 transcript:Ma02_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSSRKKAQEINKKLKKPEAWTHAQPLTEAQLKKMREEFWDTAPYYGGEKEIWDALRAAAESDLKFAQTIVDSAGIVVTSADMTTCYDERGAKYELPKFVLSEPINLIRDS >Ma10_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20278517:20280023:1 gene:Ma10_g06770 transcript:Ma10_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGNPPHVVVLGSPGMGHFIPIVVFAGGLSRDLALSVTLIAHTGDPPSAAEQSLLRSLPDAVDLVLFPQLPAEDFTAGTKIEDRVFRTVMSSLPRLRSLLGNLAPFAALVVDPFCLDAIPVAAELGVASYVFFTSSCMSLSLAFHLHQLDASFQGEFRDLPEPVRLPGCVSVHGKDLMEPIQDRGNPVYATFLRIAERFLEAEGVLVNSFEALEPGAIKALREGSSHPPVYPVGPLIRAGSSDSGEGHECLRWLDEQPVGSVVYVSFGSGGALTRAQLYELAMGLEASRQRFLWVANRPHEHDASATFFGAESDDDDPGSFLPEGFLERTKALGLVVPRWAPQVAVLGHAATGGFVTHCGWNSTLEGLVHGVPLIAWPLYAEQKMNAVLLAEDAKVALRVEADEDGLARREEIARAIGCLMEGEEGKRLQRRARELRDAAARAMEEGGSSCMAMLDVAEKWKRQRNTQ >Ma03_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14707722:14712022:-1 gene:Ma03_g14900 transcript:Ma03_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRDLINLDNLTENIIAAEPVSDPSKLPKRNYDESSAGQAPGDDSEVILYPQAMFKGPFRRGNNILVNLRKIYSHPDVMQDEILCGIEQEYTLLQEESQWPLGRFC >Ma06_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15140078:15143290:-1 gene:Ma06_g21040 transcript:Ma06_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIIAGWFVSLAITKVADIIKFYINNQIEYRKGKQFKLRQLERHLRKIEAAIFEVGKRRITNPSLEAWLWDVQDAACSVHEVIDIFHYKLLKEKAKSKNKVSRVAFYAQKIGDDAKDMFMKFAFASERTSKLNMAVETSAKLVDGISILVKVAEFHVATNKQHEVAIPDWRRTTTTPPAKSYSVRGRKHDMERLLNMLGDESGDANYSVVAIVGPGGIGKTHLARLAYNIVKEGTEEKKLDVMAWVCASNDFDVKRLSIEMIESAGFDRPSDLHSISNLEEIQNIIRDGLMGKRFLIVLDDVWEESNTIWENLCVPFNSGGKGSKIVVTTTNQNVAKMMRTKGTIHLDGLKKKECWELFRECALGDQNRSDHQKLEYVGRKIAKKLGGSPLAALTVGRALESKLEEEHWRRILRKRICDVKQTEGDIAPVLRLSYEDLPAHLKQCYLSCSLFPRKHCFEKDELLRIWMALGFVQGDDWNNRMEDVGEESIEELSCRSFFVNAKTGQNKFELHPILHEFAESVSDGEYFRLEGIKSGEPIRIPNKARHVYVAADDLVTVAETLCERKDIRSLVVVGDLSGTGEETRSKYDKSLKEVLESLKSLRLLVLSVIGRGLPEAIGKLKHLRYLELPGNAITEWPKSFCKLYHLQWLILRMHSKSVSLPDDMNKLSNLRCVDADSEAITALPWIGNLIYLQELKDYRIQHKKEGFDIGQLKYMNQLRRLCIRGLQHVDSKEKAEEAMLEDKEYLIWLELCWSNEGKPITPTKCEDAIGGLRPHPDLRNLKINGYKGRRHPCWMENKYLLGLERLEMWSCHQLTSLPPLGELPFLRVLHLRRMDSVEEVGAEFYGSTDAPFPSLEELLFDTLNEWKKWDNGAKQCRKVFPRLRKLAIGNCRSLTGPIALPSSLEELLVRCFAGGDSFDLLEDEASTSTLILHIDKLALLQSSLQEGHLASLRRLEIRDSLDLEAFTRGLEKRLDHLASLEQLRLTRVYRLQRLPHLLVTLPSLKSLHIVNCPDIKMLPEGKLPSNLVDLQINGCPKLEQRYRWSTAPEGCTIQAKNDDEPLQLRTP >Ma02_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18156648:18157498:1 gene:Ma02_g07490 transcript:Ma02_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLHPNQVDYINAHATSTPLGDAAEANAIKSVFSDHATSGALALSSTKGATGHLLGAAGSVEAIFSVLAICHGIAPPTLNLLNPDPVFHDGYLPLSTSKKMQIRAALSNSFGFGGTNASLLFACPPT >Ma10_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14048270:14049710:1 gene:Ma10_g03470 transcript:Ma10_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGGMTATMVPLRRSPTEKPPFTLGQIKKAIPPHCFDRSVIRSFSYVFRDLLFAVLFLYVAVALIPKLSLGLALAAWPLYWVVQGCVLTGLWVIAHECGHHAFSDYSLLDDVVGLVFHSALLVPYFSWKYSHRRHHSNTGSIERDEVFVPKPKASLSWYSKYLNNPLGRLVTLAVTLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIYTDRERAQIFISDAGLMATSYALYRFAASYGFWWLARVYGVPLLIVNGWLVLITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHATEATRVIKPLLGEYYQFDGTPLLKAMWREARECIYVEPDEGSKQEGVFWYRKEF >Ma06_p38020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36916408:36918471:-1 gene:Ma06_g38020 transcript:Ma06_t38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLSHGKNRHGAHPPPGLSILRRDSFPFPSSQAPPTASSASSRISPAALFTIVILALIFFVSGLLHLLVRFLIRKRPSSAATSSSPHSNARRRAADLSGGSGSLQRQLQQLFHLHDSGLDQAFIDALPLFLYKEILGSKDPFDCAVCLSEFAPEDKLRLLPGCGHAFHLNCIDAWLLSNSTCPLCRGAIFLQGLTVENPMFDLDDPREEEGEEEGFSAPRDADGDDIAAEKRVFPVRLGKFKNLSDGDLSVDVDIDVNDDGIGIDVESVRREVGETSSSNLDARRCFSMGSYQYVVADSNLQVTLSGTARNGDDRGTGYSGEAVSNEGMRLGVGYRDESFSVSKIWQWSERRGKFPPTCADAAAAFDGGLPWLWPDLEDA >Ma03_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8103477:8105841:1 gene:Ma03_g10750 transcript:Ma03_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVPCVLQKPRHVVRKFLAKPQHEGDGAVVRRSIGRFELRYFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHKGTIKAGDLQWMTAGRGIVHSEMPAGKGTSKGLQLWVNLSSKNKMIEPRYQEMQSQDIACASGNGVEVRVIAGESMGVRSPVYTRTPTMYLDFTLKPRAHLRQPIPSAWNAFVYVLEGEGAFGGDKSAPIGPHNLLLLGQGDGVDVWNKSAKPLRFVLVGGEPLGEPVAQLGPFVMNTDEEIDRTIDDFQYCINGFEKAKYWRSEAMVGIEG >Ma01_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3656208:3661073:-1 gene:Ma01_g05190 transcript:Ma01_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARQALLSAQRAAVIPAVAPLSTAAGASPSPAPYARAPPPSASSPTGLSKTAEYVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGAARYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLVWWTK >Ma10_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26072350:26074134:1 gene:Ma10_g13170 transcript:Ma10_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGASERYKLIVNEAQNANKGGWITVPFIIGSMLGQGLALTGAMGNLVVYLIKEYNFNAVDAAQIGNIVQGCTSLAPLAGAVVSDAFFGCYPVVVFSAIVTFVSLILFTLTAAFRSLQPPPCAFISDACVPSAGQLAFLYTAVGLMVVGSGGTQFNMLTFGAHQFDSVGDRDVFFNWSIVVMYAVGIIGSTSIVFVEDSISWALGFGVSAAANAIAVVLVLLGSKHYRRPAACGSPFTGLARVAVAAIRKWDVVVAEDDSTYSHGTSELEESINLRPSQRFSFLNRAAWICHGDTRPDGSIAKPWSLCTVQQVEDFKSLLRVLPLWSASIFLSVSIGIQLILTVLQALTMDRSLGPRFSIPAGSMVVSSFVSTVLSLLLLDRLILPLWQKLSPRPPRPLLRIGLGHIINTAGIAASALVERKRASMATGSCP >Ma08_p16190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17214458:17219581:1 gene:Ma08_g16190 transcript:Ma08_t16190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPDLCPKVVGLDIMGGDSSSQVQQPQYLKTCCKPSLQLGTTVFLLGHTDKKELTFGEGKVVIATDNLIKLTMDGVTLCPGSAGFDAQGNLAFMVCDPMKLASSPSARSYASSSSTNSWKKDVPMQFGIPIPVICNWLYQHWEGSLDEVSKPKLSLIRLMTTGQCENSNASFTFCRVIKTNEDNNDRLSSSQMVQRSKYYPGSSSSANGKSFYNENPIADLRLTPEQGILSPEFSGSVEKKDHATLQLLDVSMLSKAPKSIFLPLPLRQMLSDEANVEGHRPGDRSNENGFVSQELTRAYREANHKVPPVSTWQNNCFSEVQSSSCPLENGKKDGFDSGEETMYSAETMESRNIPSPQQNKLQTVARSQSCVNYNRWTFGKQNTVARKAVLLKQHTIIPIQKLDSQAAALPQRSHDYCSPTVSSSLKKRNSLEQMTRPHRNVIQVSPRWMF >Ma08_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17213718:17219581:1 gene:Ma08_g16190 transcript:Ma08_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKRSEKGVRIAMGVLQEGSWCCFLAGRGKSERVKVSIFTSKGPALAAISVAVGAGGVWGWGGGGTGFLIHRNLLLTTHAILPTVVAAEAAEVRLCHGRLSARLVPQRFFITSSILDLTVVGLDIMGGDSSSQVQQPQYLKTCCKPSLQLGTTVFLLGHTDKKELTFGEGKVVIATDNLIKLTMDGVTLCPGSAGFDAQGNLAFMVCDPMKLASSPSARSYASSSSTNSWKKDVPMQFGIPIPVICNWLYQHWEGSLDEVSKPKLSLIRLMTTGQCENSNASFTFCRVIKTNEDNNDRLSSSQMVQRSKYYPGSSSSANGKSFYNENPIADLRLTPEQGILSPEFSGSVEKKDHATLQLLDVSMLSKAPKSIFLPLPLRQMLSDEANVEGHRPGDRSNENGFVSQELTRAYREANHKVPPVSTWQNNCFSEVQSSSCPLENGKKDGFDSGEETMYSAETMESRNIPSPQQNKLQTVARSQSCVNYNRWTFGKQNTVARKAVLLKQHTIIPIQKLDSQAAALPQRSHDYCSPTVSSSLKKRNSLEQMTRPHRNVIQVSPRWMF >Ma11_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27488964:27492224:-1 gene:Ma11_g24570 transcript:Ma11_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Ma11_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26887284:26892677:1 gene:Ma11_g23620 transcript:Ma11_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAEASAADFALSETNINWDRLDKTKFHVIGAVLFTFQSGLLHPTAVVKTRMQVTEAGLSHMPGISVFKRILRHDGIPGLYRGFATSAIGSLPGRVLALTSLEVSKDIMFKNTEGLNVSEATRIALSNGVAGLVSNIVSCIYFVPLDVISQRLMVQGLPEMTTYNGPFDVIRKVMKKEGIRGLYRGFGITVATQSPATALWWGAYGAAQHVIWRSLGYGNDVERKPSQLQLVTVQATAGTLASACSSVITTPIDTVKTRLQVMNDYAGRPSVMKTTRRLLEDDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSLKH >Ma05_p27190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38582515:38589502:1 gene:Ma05_g27190 transcript:Ma05_t27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAALSQLSPLQISSTQSQGGAFGRASLYVGDLDLAVNEGQLYDLFSQIAPVTSVRVCRDQIRNVSLGYAYVNFHSLQDATRARDVLNFTPLNGKLIRIMFSNRDPSIRKNGVGNVFIKNLDKTIDNKSLHDIFVAFGTVLSCKVATGITGQSKGYGFVQFENEESAERAISRLNGMLINDKPVHVGHFVRRQERHQPDGPPKFTNVYIKNLPEPYTDEDLKSYFGVCGNITSGVVMKDVNGKSRGFGFVNFEMPEAAAAAIEKFNGTALFDKVLYVGKAQKKSEREAELRAKYEQERNGRLEKLQGLSLYLKNLDDSINDEKLRELFSPFGTVTSCRVMLDTHGQSKGSGFVAFSSLDEANRAINGLNGKMVGKKPLYVGIFQRREERRAMLQAHFARLNSPGALAPAMPTMPGYHPVPSRLAPQQLYFGQGVSSLIPSQPAGYGYQPQLIPGIRPGVVPNYMMPYSPQRQGQLGQRTGSRRGGGTPQLMQQQQQIIQQNANQGFRYMQNSRNGVDPMMSPQGLMGSMMPMPLDASGIHITSVDAVHPSPIPIMTLASALASESPERQRLMLGEQLYPLVERIEQEQAGKVTGMLLEMDQTEVLHLIESPDALKKKVVEAMEVLHLAHATGPNALDQID >Ma01_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5718342:5724750:1 gene:Ma01_g07950 transcript:Ma01_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARKLASQAILRRLISQTRPSPPMPAATRRVSSLFPATNSLFRPSVLAESMLRNGQTRSISVDALRPSDTFPRRHNSATPEEQFTMAASCGFSSLDALIDATVPKTIRISDIRLPKFDAGLTESEMIAHMSRLAAKNKVFKSFIGMGYYNTLVPGVILRNIMENPAWYTQYTPYQAEIAQGRLESLLNFQTMISDLTALPMSNASLLDEGTAAAEAMTMCNNIQKGKKKTFLVASNCHPQTIDVCKTRADGFDIKVVVADLKDFDYKSNDVCGVLVQYPGTDGEILDYGEFIKNAHAHGVKVVMATDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSTGKPGLRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIAERVHGLSCAFAHGLKKLGTVTLQDLPFFDTVKVKCSNAKAIADEACKNGMNLRVVDSDTITVSFDETTTLEDVDKLFEVFACGKAVNFTAESLAPEVQMVIPKGLVRYSSYLTHPIFNSYHTEHELLRYIHKLQSRDLSLCHSMIPLGSCTMKLNATVEMMPVTWPSFADLHPFVPADQAQGYQEMFKDLGELLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHLSRGDSHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAQAHKDNLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVATGGIPPPENAQPLGTISAAPWGSALILTISYTYIAMMGSKGLTNASKTAILNANYMAKRLENYYPILFRGVNGTVAHEFIVDLRGFKATAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEITQIESGKADINNNVLKGAPHPLSMLMGDSWNKPYSRECAAFPVSWLRDAKFWPTAGRIDNVYGDRNLICTLPPVSQMAEEAAAATA >Ma09_p28260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39081351:39083122:-1 gene:Ma09_g28260 transcript:Ma09_t28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g05240 [Source:Projected from Arabidopsis thaliana (AT3G05240) UniProtKB/Swiss-Prot;Acc:Q9MA95] MVATALVHDVVPLSRLIDFCVDSRHRDIAYARALFAWVPAPTAYMWNSMIRGLSDGDEPEAALALYADMLRHGRSPDHFTFPFALKACSRIPDPSFGRCVHGRVVKAGYEADVYVSSTLIHMYVSCGDIPSATSLFRNAVNRNIVTWTTMIAGYAENDRAGEAIRLFSEMELEGVEPNEITMVHVLVACAQSRDLETGRRIHARLRRAGADSITSNLVLATALLDMYARCGSLKTARHLFDKMTVKNEVSWNSMINGYNQYGRPNEVLQLFKEMRDAGLKPDKVTLLSLLGACADIGALRLGQEIHACVEKTIGSGDVAVGTSLVDMYAKTGDAQSALRIFASLEGRKDVMAWTSMILGLATHGHGKEAIDLFVEMTQHGVAPDDITFVGVLTACSHAGMVDEGRKYLEAMEKLYGMEPRMEHYGCVVDLLSRAGRLAEAERIVRSMPIEPSNTIWSSMLSGCDIHGDVALAERIGDQLAQSKPQGSGTSVLISNIYAGAGRWQEVDKARRLMWKKGLKKTHGCSSI >Ma06_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8659268:8661712:1 gene:Ma06_g12500 transcript:Ma06_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVAWRAMVMAATLLRLCSSRELEPKPFRPDMIMKLPGQPPVSFQQFSGYITVDQLDRRALFYYFAEAEVDPSTKPLVLWLNGGPGCSSVGVGAFSENGPFRPKGAVLVRNEYSWNKEANMLYLETPAGVGFSYSSDSSYYEGVNDRMTATDNLVFLQRWFTKFPQYEGRDLYIAGESYAGHYVPQLAQLMVESDDKQRVFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYRIFTSACNYSRYVSEYYRGSLSSVCQRVMSQVTRETSRFVDKYDVTLDVCISSVLSQSMVLSPQQVTERVDVCVEDETVRYLNRRDVQSALHAHLDGVTKWTVCSSVLEYELLDLEVPTISVVGSLVKSGIPVLVYSGDQDSVIPLTGSRTLVQRLANELGLKTTVPYRVWFEGEQVGGWTQVYGDVLSFATVRGASHEAPFSQPERSLVLFRAFLQGRPLPETFTYAP >Ma05_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33106091:33107425:-1 gene:Ma05_g21450 transcript:Ma05_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTVLGFELPGFRFHPTEEQLLDFYLRRVATGESASFDIIGVLDIYRHDPWELPGLAKIGEREWYFFVPRERKSRSGGRPSRRTEGGFWKATGSDRTIRSAAEPRRVIGLKKTLVFYRGRAPRGTRTDWIMNEYRLQEDCTSAGVPLVEHMVLCKVYRKATSLKELEQRAAKEEDTKACNSDQDNYHSPSNPLDSGELKETKEEVVKEVEVSSSSAGVEWMQEPFITQPWMDHWSPYANTLNF >Ma06_p25400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25738831:25741678:1 gene:Ma06_g25400 transcript:Ma06_t25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRLLPTLLALTVACVVAYDRPPPRETLTIPFADDADGLTPQQVHISLVGSNKMRVTWITKNDGESVVNYGTIAGKYTDSSVGSASSYTYFLYRSGHIHDVVIGPLKPDTVYYYRCGSNSTREFSFKTPPSSLPIKFAIVGDLGQTGWTKSTLQHLAATDYDVLLLPGDLSYADYLQPLWDSFGRLVEPLASARPWMVIHGNHEIEKIPLLHPQPFVAYNARWPMPYDADPVTASGSNLYYSFDVAGGAVHVLMLGSYTDFGPDSAQYKWLAADLARVDRARTPWLVALIHAPWYNSNEAHQGEGEEMRKAMEALLYGARVDAVFAGHVHAYERFTRVHDGKADACGPVHITIGDGGNREGLAKRFQKPQPAFSEFREASFGHGRLEVANGMHALWSWHRNDDDEAVVADQVWLTSLASNPACSPKPK >Ma09_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33879263:33881300:1 gene:Ma09_g21910 transcript:Ma09_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRLRGELDQNDTDLTGVKRMRSFPSFSSAFTEAVVTVLRKVVHEEVHSRVLPYVASLQRYHISTDPITSSAQLILIMHACMHFVSPILKQGLMQSFSRPSQLRIGEVEASSNWRLVFTRPILPQIYTKSTVEDQDNRPLQVKLVDTRTGESPALSPRSALKVEVVVLNGDFPSRDDDDWTAEEFNRNVVKQREGRAQLLVGDRKVAVCEGMASFEDLEFTDNSSWVRSGRFIFGVRVAPGNYEGPRIKEAVTKPFKVLDRRGESYKKHNPPRPHDEVWRLKKIAKDGVFHRSLRDANVRTVREFLRLLNNDRNALRRVSNSCINEMFLRSVILIVSFYQILKGMTDKAWKVAVRHAMTCSHGEDQFPPCQGHRQTETVSSGGHFAMQQGSTQLDVDYPT >Ma09_p21910.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33879263:33881300:1 gene:Ma09_g21910 transcript:Ma09_t21910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRLRGELDQNDTDLTGVKRMRSFPSFSSAFTEAVVTVLRKVVHEEVHSRVLPYVASLQRPSQLRIGEVEASSNWRLVFTRPILPQIYTKSTVEDQDNRPLQVKLVDTRTGESPALSPRSALKVEVVVLNGDFPSRDDDDWTAEEFNRNVVKQREGRAQLLVGDRKVAVCEGMASFEDLEFTDNSSWVRSGRFIFGVRVAPGNYEGPRIKEAVTKPFKVLDRRGESYKKHNPPRPHDEVWRLKKIAKDGVFHRSLRDANVRTVREFLRLLNNDRNALRRVSNSCINEMFLRSVILIVSFYQILKGMTDKAWKVAVRHAMTCSHGEDQFPPCQGHRQTETVSSGGHFAMQQVNSATQGLPTTTRYQEEIVIPPNNVHTESSMLIEDMKFDVYNGLNLSQDLPNLMWTTQLDVDKQEQATSSFTGSYLERID >Ma09_p21910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33879263:33881300:1 gene:Ma09_g21910 transcript:Ma09_t21910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRLRGELDQNDTDLTGVKRMRSFPSFSSAFTEAVVTVLRKVVHEEVHSRVLPYVASLQRYHISTDPITSSAQLILIMHACMHFVSPILKQGLMQSFSRPSQLRIGEVEASSNWRLVFTRPILPQIYTKSTVEDQDNRPLQVKLVDTRTGESPALSPRSALKVEVVVLNGDFPSRDDDDWTAEEFNRNVVKQREGRAQLLVGDRKVAVCEGMASFEDLEFTDNSSWVRSGRFIFGVRVAPGNYEGPRIKEAVTKPFKVLDRRGESYKKHNPPRPHDEVWRLKKIAKDGVFHRSLRDANVRTVREFLRLLNNDRNALRRVSNSCINEMFLRSVILIVSFYQILKGMTDKAWKVAVRHAMTCSHGEDQFPPCQGHRQTETVSSGGHFAMQQVNSATQGLPTTTRYQEEIVIPPNNVHTESSMLIEDMKFDVYNGLNLSQDLPNLMWTTQLDVDKQEQATSSFTGSYLERID >Ma09_p21910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33879263:33881300:1 gene:Ma09_g21910 transcript:Ma09_t21910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRLRGELDQNDTDLTGVKRMRSFPSFSSAFTEAVVTVLRKVVHEEVHSRVLPYVASLQRYHISTDPITSSAQLILIMHACMHFVSPILKQGLMQSFSRPSQLRIGEVEASSNWRLVFTRPILPQIYTKSTVEDQDNRPLQVKLVDTRTGESPALSPRSALKVEVVVLNGDFPSRDDDDWTAEEFNRNVVKQREGRAQLLVGDRKVAVCEGMASFEDLEFTDNSSWVRSGRFIFGVRVAPGNYEGPRIKEAVTKPFKVLDRRGESYKKHNPPRPHDEVWRLKKIAKDGVFHRSLRDANVRTVREFLRLLNNDRNALRRILKGMTDKAWKVAVRHAMTCSHGEDQFPPCQGHRQTETVSSGGHFAMQQVNSATQGLPTTTRYQEEIVIPPNNVHTESSMLIEDMKFDVYNGLNLSQDLPNLMWTTQLDVDKQEQATSSFTGSYLERID >Ma09_p21910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33879263:33881300:1 gene:Ma09_g21910 transcript:Ma09_t21910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRLRGELDQNDTDLTGVKRMRSFPSFSSAFTEAVVTVLRKVVHEEVHSRVLPYVASLQRYHISTDPITSSAQLILIMHACMHFVSPILKQGLMQSFSRPSQLRIGEVEASSNWRLVFTRPILPQIYTKSTVEDQDNRPLQVKLVDTRTGESPALSPRSALKVEVVVLNGDFPSRDDDDWTAEEFNRNVVKQREGRAQLLVGDRKVAVCEGMASFEDLEFTDNSSWVRSGRFIFGVRVAPGNYEGPRIKEAVTKPFKVLDRRGESYKKHNPPRPHDEVWRLKKIAKDGVFHRSLRDANVRTVREFLRLLNNDRNALRRVSNSCINEMFLRSVILIVSFYQILKGMTDKAWKVAVRHAMTCSHGEDQFPPCQGHRQTETVSSGGHFAMQQVNSATQGLPTTTRYQEEIVIPPNNDLPNLMWTTQLDVDKQEQATSSFTGSYLERID >Ma06_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6123867:6124535:1 gene:Ma06_g08700 transcript:Ma06_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRRSEKVDLKLNLSLLPTASGRDEASPQGYASSSSLSSPSSCLSSEAELGLTSPVSPTAAPSMVLAGCPRCLMYVMLSAADLRCPKCGSTVLLDFYGGSGAAVTTTTATATATKNKKKARMS >Ma08_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9387582:9388493:1 gene:Ma08_g12400 transcript:Ma08_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSFSCFHH >Ma06_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8970730:8972587:-1 gene:Ma06_g13070 transcript:Ma06_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAFIFYGLTLYKRLKPDARATLKARRMLGTSVPYRRLSDSISSLPALKCLHAVVLKEGLAHRLPLATKLLSLAVSLSPAVDYARKLFDAAPCRDSFMWNTLLRAYADLGPCEEVPVLYRQMHRDGLSPDPFTFPFVVRSCAVVSALREGKQAHCNAIKHGFGSNAFLQSALVTMYAQNGVISDSALVFGEMAFRNIVSWTSMIAGYVQNSVFGKALGVFRWMLDSGTQPNEVTLVSVLPALRGSECLTSGMSIHGFVIKLGFDSHLSLANALIAVYGRCGGTSVARYLFDGMPARDSVSWSTMIAMYEQSSEGINAIKLFRRMLTEKVAPSSVTLVSVISACAASGDLETGKWVHGFARNRGLDADVRVGNALLDMYGKCGSVDAARDVFEKLAWKGGVVSWSAMIRAYAAHGQVEAALQLFARMRYEGVRPNSFTYTSVLAACSHSGLVEEGMNHFESMREYGLTPTLEHCACLVDLLGRAGSLVDAYEFVKRMPSEPDVGVWGALLGACRIHGDVNLAESIWEELCRLGCSSVTLYVLMANIYAEAGRWEDAARVRDMMRGMELRKDPACSSVNADRRSHRDRERPLTRDESVTHVADKTDMSRCTVVDDVS >Ma09_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7904751:7906275:-1 gene:Ma09_g11670 transcript:Ma09_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLAPFCVILPWTACFALLPLFLMFSFSSGASGATSIQNLLQNHGLPGGLLPNAVESFSHDSSSGLLDVRLVRPCYARFDDGLAYFESQVRGNLSYGALRGVVGWSQEELFLWLPVKGIVVADPASGVILFDIGVARKRLAVSAFEEPPDCIPAEDEAAAGIGLVGRREGFLHQRQ >Ma01_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3191364:3195577:1 gene:Ma01_g04660 transcript:Ma01_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRCLSAGSFVDDAEEDGAELEWPFGRLDELGRDELRATAYEIFFTACRSSPGFGGRSPAYHNPSETTATGAAAGDAAGRPSSGGGANMAVSSRIKRALGLRTRRAAHMRPMTHLGSPAMSPAMSSLGGGGGAMGGAGSSAGKVKQRPMTSAEIMRQQMGVTELRETRLRKTLVRTLVGQAGKRAEAIILPLELLRHLKPSEFNDPQEYHVWQRRQLKVLEAGLILHPSIPLDRMNTAAARFSEIVSASELRPIDTSKNSETMRTLCNCVMALAWRTHIGPPVEVCHWADGFPLNEYLYLALLRSIFDLRDETVVLDEVDELLELMKKTWSILGINRMIHNVCFTWVLFEQYIATGQVEPDLIAAALAMLVEVANDARRPDREPGYVRALSAALATMQGWAEKRLLEYHDWFDKVTIGMMENVLRLALSTAKIISEDSSIAGGAGVFAEFGTPASTKFSLVNRVEHYIRTSMKSTFTKIFENENGKIDSMVVEVDEDPNDTLVNLAKETEKLAMFEKENYSHILKRWHPVPTVVAAVTLHHCFGIVLKQHLERVTGLTNELVRVLHTAGKLEKKLVQMAVEDSADAEDGGKRIMGEMISFEVDSVILNLMKNWIDERLRMGRECVFRAKETETWNPKSKSEPYAQSAVDLMKLAKVTVDEFFEIQVSGRDELVQKLADGLDSLFQDYISFVASCGSKQSYIPALPQLTRCNQDSMVLQLWKKAATPCKAGIDPGLLHAPCKAGIDRRSFHPVRMPGGAGDITNHPRPTASRGTQRLYVRLNTLHYLLGVLHSIDKSLSFFSRPGPSPSPRTPMLSRRRAAGPTHFDLARSTVHAAILHVAEVAANRLIFLDSSQSFYDSLYVGSVAEARIRPTLRILKQNLSLLVSVLTDRAQPLAVKEIMKASFEAFLMVLLAGGSGRAFARTDHDMIAEDIANLKRVFCTSGEGLLSEEVVQKEAAVSDGVVSLMCLPTEKLVEEFSIMACEASGLGRSMERVPMPPTTGRWHRSDPNTVLRVLCHRNDDVANRFLKRAFDLPKRR >Ma10_p25510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33601861:33603475:-1 gene:Ma10_g25510 transcript:Ma10_t25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALLQTPLHHLEYGWPRLEDKYETRYDHVVGIEDEEERPGQFDMWSAMQAPKAATPVVADTPAPYVHPLARRSASSLSQKSLQICTESLGSETGSDEFSSFNDGVDFGYLPTVEAEKEGERHDMHEKVAVVEEAGVWSENGPEEREVPQRRRGDELTSVNYNYSISRRSPPRSFPPPLPSISRRDGPCLQMRTHRRDGRLVVEAVHVPPRNYLHAQRQGGRLLLSFIDASSDTIEIEQPQEQQQPMDQAPEEIEEIENVEEEEEVEVEVEEKNCYEEEEEEEEVELVARGTVVEVTVSTQPELQSSGATKVLWSSLVINKFVGGTLLSSNAKREQPPEDHASKTNLKSNSQLQSITAAPVTQRPPPTTATAAAAAVVATNLSFSDEAYNEDSLEGAHDGCHLPLDDKLLFTSKRRCSQLRKKSLFIWKPDCIATSS >Ma05_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32369471:32370156:1 gene:Ma05_g20720 transcript:Ma05_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSWVRTITSPFRKAYTIFNAPRDKKPQAGDDQRGSKLQGEVMACTYEDVQVMWSILDKSRPREGIAGS >Ma04_p38550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36024945:36026371:1 gene:Ma04_g38550 transcript:Ma04_t38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKDRIEMEKNALVGVGEEEEEHEDRCREYRKGNWSLTETMVLIEAKKMDYERRAQRLKELPRGSSGIGSSRPQEMRWKWVEDCCWRHGCYRSQNQCNDRWDNLMRDYKKVRTYELSLSAGGNPGLSYWKLERHERKEKNLPSNLLPEIYETLTEVVQRRAMAEKASGGGACVAAARPVQEERQLGGASASMQHSSPGAGSQAPEPPMIAPPLPPYPPSHSRPMATIDSEDSQHSVSPERKRKRGGGGGGGSSSSRSNTMELSSAISKCASIIAEALQAGEQKEETRHKDLVSIEERKAKLEESKSEMSSQSMDGLASAINKLASSILGLATDRMQKLQK >Ma02_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24772407:24775458:-1 gene:Ma02_g18190 transcript:Ma02_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTIGAVNRVPLSLHGSSSGASIPSSVFLGSSLKKVNSVPSHGRVPTRTFKVLAADLDESKQTEKDRWAGLAYDVSDDQQDITRGKGLADSLFQAPTGDGTHEAVLSSYEYISQGLRQYNLDNTMDGFYIAPAFMDKLVVHISKNFMNLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAAEIIKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCAGIFRTDNVAKEDIVKLVDSFPGQSIDFFGAVRARVYDDEVRKWVAEIGVESVNKKLVNSLEGPPTFDQPKMSLAKLMEYGNMLVKEQENVKRVQLADKYLSEAALGDANEDAINTGQFYGKAAQQVGVPVPEGCTDPIATNFDPTARSDDGSCLYPV >Ma01_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13700934:13703812:1 gene:Ma01_g18460 transcript:Ma01_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKPKSAIFEASNNKNTQGALKVSRTSRTGSTKPDAGSTSPMAKQPSPMSKPRVSVDRSPRSAESKTANKNSTTPDKLPRAAKALEMQEKLHAVEKDLKKARDQLASVELEKIKAVEELNEAKQQLQQATEAQRRAEESLGMEKLRASDLEKSGKHASWKREEELQREIEGLRSRQTLDASALSSMAQELERIKAELVDATNARELALTQADEAKRTAELLSEEVGRLKASHDSKLDDASKEATEMIQKMDAEVSAMQLELGRAKAAEEKLAQMEALVEKLQIEVADARKGKSDASELVDEWRKKTEMLKADLEEAYQSEKSASDSLAAMMVQLEESKSLFEDAESEISTLRGKIKSLGIEVAKQKTDLEESDRQLDSAQQDAVNIGKTVELLKLELQTLEEEKLQAVNREKVAAAKAESLTEENDKLINELKISKDEGEKASKAMEGLASALQALSREARDKEERLLRTEAEVEEAQAEIEQLNIALRNTEERYEVMLDEARYDIVCLKKIVERFEAEASKSSSEWAAKELIFANTIKELEEEIAAMKVEMARMVELQKAAEQATQEAKADAAETMTKLRQTETGAMCAYGAAEESKHESLRLREALLDKETELQSIAQENDDLRGQEAAALQKASVLLTQATAKKVEGQHLKGNEEDDVASERDHEEESIDDDDDDDEDMGSNTDGSSINLTDGTNGSTDDGTASSPAKQQQQQQQQRKKALLHKFGNLLKNKNF >Ma04_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10725352:10725952:-1 gene:Ma04_g14160 transcript:Ma04_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWDRVASPMRRAWAGFATRLGIRKSGLMRLRREVRTCEYEDVHVMWEMLTESRRAGPYRAAEAEAAARRKGSRRRDGSHVWALLG >Ma02_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24770939:24771155:1 gene:Ma02_g18180 transcript:Ma02_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGRLCRFVQSIRCRVDSVGTDGCRRTYELRPRNQSKIW >Ma02_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18654737:18662314:-1 gene:Ma02_g08240 transcript:Ma02_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MEREVARLGKGAICCGWNYCGLRLAVGFVDGSISIHDSLDSGSSSSASPSSSKWKAHASSVLNVVWAPPECGDAIACICNDGTFSLWEETGEDTEHPTWKLCKLFESSGSPVLDLQFGVFSTSLKMVAACSDGYVKVYELLDPLEFNKWQLQAEFQNVLDSVSRFGKVSCSSASIAWNPQRGEGQQCSFVLGFSSDLPQFNSSKIWEFDEAHQRWIPVAELALPGDDSDMVHAVAWAPNIGRPFEVIAVATCKGIAIWHVLLDPESNGRPTTEKVALLPGHDGEVWQLEWDMGGMTLASTGSDGMVRLWQSNINGIWHEHASLDCSGAQS >Ma02_p08240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18654737:18662314:-1 gene:Ma02_g08240 transcript:Ma02_t08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MEREVARLGKGAICCGWNYCGLRLAVGFVDGSISIHDSLDSGSSSSASPSSSKWKAHASSVLNVVWAPPECGDAIACICNDGTFSLWEETGEGSKSTDTEHPTWKLCKLFESSGSPVLDLQFGVFSTSLKMVAACSDGYVKVYELLDPLEFNKWQLQAEFQNVLDSVSRFGKVSCSSASIAWNPQRGEGQQCSFVLGFSSDLPQFNSSKIWEFDEAHQRWIPVAELALPGDDSDMVHAVAWAPNIGRPFEVIAVATCKGIAIWHVLLDPESNGRPTTEKVALLPGHDGEVWQLEWDMGGMTLASTGSDGMVRLWQSNINGIWHEHASLDCSGAQS >Ma02_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19443488:19445993:1 gene:Ma02_g09490 transcript:Ma02_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFERLLVAAAAQTKPSQVIPCSFPPRTAQIHHLHSRSKEKTEEEEEVFEGESIGNRSYWRKKIHSLCAIHGDVDEALRLLDRLRLRGYRPDSLNLASVVHALCAAGRSEEAHRRLLLSAAAGWLPDDRTANVLFARLLDAGTPLLTLQVFRCLTDTKPAFVPSLTNYNRLIDQLSSQSQPVEARSLLLDMRSKGRLPNAVSYTALINGFARTGELDHARQMFDEMLEAGIPPNSLTHSVLIKAVLRKRRVDEGREMLVELWRKMQDEREPSVNGAAFANLIDALCREGFFHEVFRIAEEMPQGDSVDQLFAYGQMMDSLCRAGKYHGASRIVYIMRKRGFIPGMASYNHIVHGLSKEKGCMRAYQLFKEGTEFGYSPSESTYKVLVEGLCREKDINKAQDVAEFVLQRDAVDRTRIYNIFLSALRLADNPSEQLNVLVSMLQKQCQPDVVTLNTVIHGFCKIGKVDEAKRILDDMLNGNFSEPDVVTFTTIIRGLMDVGRPEESLDVLRNTMPAHKCAPSVVTYNVVLQGLTKLRKVDEAMRLFYDMIDKGFAADSTTYTVVVEGLCSVGRLAEAKRIWDDIIWPSQIHDHYVYGAILRGLCCSGKLAQACDFLYELVDCGVAPGIVNYNIVIDCACRMGLKKEAYQIVGEMRKNGVRPDAVTWRILGKLHEEGGKECIISNQNSELNWIVDLPEEFHESKIERDGDMLEGLTDSSFSGELIEDEVSEDDRVGKNADLIGDAVNLGPKEPLSRIARRIFGLL >Ma08_p24770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37847752:37849295:1 gene:Ma08_g24770 transcript:Ma08_t24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVMKKVLLSYAYVAVWIFLSFTVIVYNKYILDPKMYGWPFPISLTIIHMTFCSALAFLLVRVLRLVAPPASPPMTRPLYLSSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSISVLFRKEAFKSSSMLNMLSISFGVAIAAYGEARFVGAGVVLQLAAVAFEATRLVLIQILLTSKGVSLNPITSLYYVAPCCLAFLLVPWSMVELPVLRDRLAASAFRPDILIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDIVTSINLFGYAIAFLGVAYYNYVKLQALKAKEAQKKAAQADEESGKLLDQVNGGSNRRNDSHN >Ma06_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25237635:25243333:-1 gene:Ma06_g25030 transcript:Ma06_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASVFSAICVSLLLSPASGIDFDYPAVFNFGDSNSDTGDLIAAGIGDPLLPPNGRAYFSKPAGRFCDGRLIIDFLMDAMDMPFLNAYLDSVGAPSFQKGCNFAAAGSTILPATKYSVSPFSFDIQVAQFFRFKNQVLQLQTKGKKIRKSIPQADYFDQGLYMFDIGQNDLAGAFYSKTEEQVIASIPKILSEFESGLKKLYDQGARKFWIHNTGPLGCLSQNIVIFGKDPSKLDKFGCVSSHNRAAKLFNIQLHTLCTKLRGVFADATITYIDVFTIKFNLIANFSRYGFENPIAACCGYSGLPLNYDPRIACGQTKVLKGRSATAKACNDATEYINWDGIHYTEAANLHVSSQILTGRYSDPPFADQMPFALKLKF >Ma08_p30160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41551944:41552705:1 gene:Ma08_g30160 transcript:Ma08_t30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIDVALGVLRSLRVPPVDLKPIVDGDRRIIDSMTWILLHGAGDNRDMRSTATLVLKSVIEAADSRVLEQLKPDFFRAVLSLVRDRISQQATKAALQVLLHACGWGRNRIKIVEAGGVQEIVELELTTTELNLGVLSQLCASADGRAELVGRAAGITTASKWSLRVSSLADDEGVRILSSPCSYSATTEVLQEMMRVRFCRIRSFQTLMTVLSRYYKRYSVINTQYESESVGDRTSFDFGSTKIDPIHEKLR >Ma09_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2654192:2654891:-1 gene:Ma09_g04050 transcript:Ma09_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAAAEKPAVDKEKKSVAEKAPAEKKPKAGKRIPSSKDGAGSAGDKKRRKAKKGTETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Ma03_p32380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34195763:34205926:1 gene:Ma03_g32380 transcript:Ma03_t32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGACRANGAAAPIPTGSRKLVQSLREILHCPEPEIYAMLRECNMDPNEAVHRLLAQDTFHEVKSKREKKKEIREPPESRSRTVNSSSGHGTRVGTDLGARSTSSQSSSTDYGTFKGKPNHKKENGTNALPNSLLLESATVSSSPTQRPTIPSKSVPQGNVIQLTSITGGLSMPMQSSSVFQNSWLGRPGHVSMADIVKMGRPQSQPSSMPVMASEKSYMAQNADMLKMSHHKAKQSPATVLPSDLDEKLESRQESTHVLEISHNVRIAEGQHNVDDGWSLIDGQPMESVSTTPEMSGVSTGYANSLELASSNLVDDGTHLHVDPHLEEIHNLEESLNVTIMPAESRSTSVSDRQIQVDTSKGASHINEGLLKSTNSYSSQRLDLDHHEGSLAVEDVILEISSDAANFSQLSLHETSTKPIEDSPAVIIPNHLRVTNADCAYLSFGSFGSGAFAGSFPSKPLESNLEVTPVIDDASRIANSDARNESDSNRRLKPMLTENVASRSHAGPENLDEPSTSQPEMVRNDPLDTTYGLQYNSPSGSSYAISSCTQPNATTYTYPQGNTQMQSLSHLSSLMQQPNTLQNSILAASIPHLRDFDLPLSPLLTTQSMPTRYSTTESSISGSKISMPEALKPGVFSDAQSTPQSLPSTTMLTSLVFPQNLPVHHYSQPALPLGHFANIISYPYLPHSYAYLPSVHQAFTTNSPFHQPTAAVPSAGMKYSQPQYRSSLSVASLPQASAIGSAYGGFGSSANVPGGYTLNHTSASTNTMIGLDEALNLQHREASHHVPLQQSENPAMWIHGGGSRTMSALPTSTFYNYPGQNQHSGFRQTQQSSHLGALGYPNLHHDAQAGPSQEHQQNLSDGNMSGSQTVQSQPANQIWQHGY >Ma04_p09250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6608176:6614331:1 gene:Ma04_g09250 transcript:Ma04_t09250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEWLKHFVAILGKCCDLDWYSQSKSLIDPERLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTKKKESLFADRVFDLFDTNHNGILGFEEFARALSVFHPNAPIDDKIDFSFKLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLRDITTTFPSFVFHSQVDDT >Ma04_p09250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6608169:6614331:1 gene:Ma04_g09250 transcript:Ma04_t09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEWLKHFVAILGKCCDLDWYSQSKSLIDPERLARETVCKLTIDFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTKKKESLFADRVFDLFDTNHNGILGFEEFARALSVFHPNAPIDDKIDFSFKLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLRDITTTFPSFVFHSQVDDT >Ma04_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6608176:6614331:1 gene:Ma04_g09250 transcript:Ma04_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEWLKHFVAILGKCCDLDWYSQSKSLIDPERLARETVCKLTIDFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTKKKESLFADRVFDLFDTNHNGILGFEEFARALSVFHPNAPIDDKIDFSFKLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLRDITTTFPSFVFHSQVDDT >Ma04_p09250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6608169:6614331:1 gene:Ma04_g09250 transcript:Ma04_t09250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEWLKHFVAILGKCCDLDWYSQSKSLIDPERLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTKKKESLFADRVFDLFDTNHNGILGFEEFARALSVFHPNAPIDDKIDFSFKLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLRDITTTFPSFVFHSQVDDT >Ma10_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25259367:25268979:-1 gene:Ma10_g11900 transcript:Ma10_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MATKKPQRSAAEIEDIILRKIFLVSFAEPAKNEPKVVYLELTAAEILSEGRPLVLSRDTMERVLIDRLSGDFPAVDPPFPYLVGCYRRACEESKKVASMKDPSVRSEIESAIKQARRLIASYCRIHVGNPEMFMPTGQAGASATSELLSMIFSEVSTPMDGFAGNSLGGGLSCPPGFIEEFFRDGDEESLEPVLNDLFEKLRQSVAKVSALGNFQQPLRALLLLVSFPKCAKALVNHPRWIPKETYLLIGEGRMIEIASILGAFLHVSALPDHKEFKSIPDVGQQCFSESSNRRPADLLSSFTTIKTVMNILYDGMGEVSLTLLKNVDTRERVLEYLAEVIKRNSSRSGMQVDPFSCASSGMFVNLSSVMLRLCEPFLDGTATKREKIDPKYLFYNSRLDFRQLTSMHASSEEVTAWIENENHGKTDKDLESQEATSSGSNSGTSILQVKPLKSCTKKEKYSFICECFFMTARVLNLGLMKAISDFKHLAQELARCEEDLSSFKAMREQGASPQLEADIKRLEKVIEILSQDRLCYEAQILRDGALLQRALSFYRLVIIWLVDLVGGFKMPLPSTCPMEFACIPEHFVDDAMDLLILTSRIPKALEGFVLDDFLNFIIMFMASPTYIKNPYLRAKMVEVLNCWMQQRSSSSGFSASASLFEGHQLSLDYLVRNLLKLYVDIEFTGSHTQFFDKFTIRHNIAELLEYLWDVPSHRNAWRQIAREEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANSAEWERRPAQEREERMRLFHSRENIVRFDMRLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRRSLSVKDPEKYEFKPKQLLKQIAKIYVHIARGDKDNIFPAAISKDGRSYNEKLFASAADILWKIGEDGRVIEEFIRLGLKAKAAASEAMDAENNLGEIPEEFMDPIQYELMKDPVILPSSRVTVDRVVIQRHLLSDNTDPFNRSHLTQDMLIPDTELKQRIDEFIRSRRGGHDAVKPSNDVTDMVE >Ma02_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24398580:24403355:-1 gene:Ma02_g17550 transcript:Ma02_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSVFSSSLLLHDLILLLCVVSVPLPSMADGTVDRLALESFKSMVSDPLGALASWNRTNHVCRWQGVRCGRRHPDRVTALRLLSSGLVGRIPPHVANLTFLQVLRLRDNNFHGLIPPELGRLSRLQALDLSLNYLEGPIPATLIRCSNLRQVSVRSNLLTGEIPRDVGLLSKMSVFNLAQNNLTGSIPPSLGNMTSLFALFLQSNTLEGSIPESIGNLKSLQLLQIAYNRLSGAIPSSVYNLSSMSIFSVGNNRLEGTLPATMFDTLPSLEMLLMNNNHFHGHIPASLSNASYMGDMELSVNYFTGTVPSHLENLRQIYFINLSDNQLEATDSSDWEFLASLTNCSLLHVLVLDTNNFGGILPTSLANFSSSLNTITLDSNHISGTIPTGIGNLFNLTTLSLSDNHLTGLIPPTIGGLRNLHRLDLSGNRLTGQIPDSIGNLTELNLIYLQDNDLGGRIPESIGSCGRVEEMDLSHNKLSGQIPMQLYSISSSSTYLNLSNNLLNGTLPLQVGNLRNLGALVLAHNKLSGDIPTTLGQCQSLEYLYLHDNSFQGSIPQSLSNLRGLSELDLSSNNISGNIPEFLADLHALQHLNLSYNDLEGNVPNDGVFRNITAFSVIGNDKLCGGNQGLHLPPCHIQSGRKHKSLALEVVIPVISVGLCAVILLIALAVLHRTKNLKKKNSFTNSIEEQFKRISYNELLRATDEFSASNLIGMGSFGSVYKGVMDADGTTVAVKVLNLERHGASQSFISECEALRSIRHRNLVKILTICLSVDNRGNDFKALVLNYMSNGSLENWLHPKESEASTRRKLTLPQRLSIAIDVSSALDYLHHHGPMPIVHCDLKPSNVLLDQEMCAHVGDFGLARFLQGTMPDTDQNRTTSTGIKGTIGYVAPEYAMGGKVSTNGDIYSYGILLLEMLTGKRPTEDMFKDGLSLHKYVEMTPIEDLLMVLDPGLLLVENGQQGEQNVVYIDVDKLEVQKCFVSAVNVGLACSKENPRERMQMGDVIKELSETRDKLLNVHRNITGVHG >Ma04_p37260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35265471:35266153:1 gene:Ma04_g37260 transcript:Ma04_t37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSAQEISYMEHVQKRHEEKGCLYACLFAFCCCFCCFETCECCLECLCCCF >Ma05_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6325972:6327646:1 gene:Ma05_g08580 transcript:Ma05_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMLLSRKAACNTHGQDSSYFLGWQEYEKNPYDPRTNPTGIIQMGLAENQLSFDLIESWLERHPDAAGLRRDGALVFRELALFQDYHGLPAFKKALADFMGELRGDKVKFEPHKLVLTAGSTSANETLMFCLAEPGEAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFRVTKAALEKAYQGARKRNLRVKGVLVTNPSNPLGTTMTRCELDTLIDFVVAKDIHLISDEIYSGTSFDAPGFVSVMEAIEGRQHVSHRIHVVYSLSKDLGLPGFRVGAIYSNNEAVVAAATKMSSFGLVSSQTQYLLSVLLSDKEFTRNYIEENQKRIKERHDRLVQGLRRSGISCLQSNAGLFCWVDMRHLLNSNTFEGEMELWKKIVYQVGLNISPGSSCHSDEPGWFRVCFANMSAATLDLSMQRLQDFVASRGGPNDGASGPRRQRKKPSLGKWILTLSSSDRISDRGC >Ma08_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36946341:36953589:1 gene:Ma08_g23610 transcript:Ma08_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVVISLSLPRGQRMDAASCKKVYPSRHVHHGILQLADSFDGPTASHSHLFLWLYLSEFGTCIIPSQRPTSFPAQSIQNLLNQKKTTKTMFQWLGEPP >Ma03_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28403748:28404562:1 gene:Ma03_g24020 transcript:Ma03_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHSAGAPPRDYSLSSDSQDRIFGIFSGIAIIATTYGNGIIPEIQVCSSFCTVDTGERDESDNYSVEPSSVVFLAQSCQATAARPVTGKMFKGLCLSYVIVIMTFFSVAISGYWAFGNQAEGSILANFILKNSSTLVPKWFLVMTNLFVLLQLAAVGVVRTEISMSFPS >Ma02_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19658908:19660270:-1 gene:Ma02_g09880 transcript:Ma02_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGAVLRRNPAVSVSPSLRNSGRPALACSSTVGFGRRASVSARPMGASAESGGGGAVFADVGHFNYYASPVRSGEGKRKKKEQKKRAKLVKGLSRDLTTLFTLGIGADAAEGVAGEVKGKMISEAAEILLAELEQLRAQQKEMKRKRKEEKSAMKAARAKQCAMAESSSSSSSESSDSERDEVVKMRNFGAAAVQEPRLEDLAIPSAEAECSPRPSTLEPKALKPSQGCCGTGSSACVGCCNSSSTSSSTSGVRKPMNKIEVCMGGKCRRSGAAELMEEFEKMIGVEGAVVGCKCMGKCRDGPNVRVLDRSNGNGQEAVSVPKNPLCIGVGLQDVGTIVANFFGQESLGLVAA >Ma04_p31340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31666739:31670727:-1 gene:Ma04_g31340 transcript:Ma04_t31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTERQEIEKGEDLEEPMETGALEFKRVPPWSKQITFRGLVASLVIGIMYSVIVMKLNLTTGLVPTLNVSAALLAFVILRSWTKLLHKIGILTTPFTRQENTVVQTCAVACYSIAVGGGFGSYLLGLNKKTYEQAGVDTEGNVPGSYKEPGIGWMTGFLFTVSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPRGDKMAKKQVHGFAKYFTVSFLWSFFQWFYSGGDGCGFSQFPTFGLKAWKQSFFFDFSMTYVGAGMICSHIVNLSLVLGAVLSWGIMWPLISDLKEDWFSGSIPKSSMRSLQGYKVFISIALILGDGLYNFLKILACTAKSMHARATSKNIKIVADQVNPVLDDLQRNEVFMKESIPVWLAYSGYALFAVVSIVAIPIMFPEVKWYYVVISYMLAPALGFCNAYGAGLTDMNMAYNYGKVSLFILAALAGKHSGVVAGLVGCGLIKSVVSISADLMHDFKTGHLTMTSPRSMLLSQAIGTAIGCVVAPLTFFLFYKAFDIGNPDGNWKAPYALIYRNMAILGVEGFSALPHHCLQLCYGFFGFAVVMNTMRDILPDKYSHWVPLPMAMAVPFLVGASFAIDMCVGSLVVFAWHKLNRKKAALMVPAVASGLICGDGLWILPSSLLALAKVNPPICMKFLAA >Ma06_p26410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28261251:28262236:-1 gene:Ma06_g26410 transcript:Ma06_t26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSEATKHGSGEAPAKGSNEAEASATPPPPPASRLPSVSLNIWPPSQRTREAVIQHLIETLTSPSLLSKRYGVLSLDEASATGRLIEEEAFAAAATAAAADGAHATSVDEEVEILQINSKGITAAADGAHATSVDEEVEILQIYSKGISKRVIETVKKRGSSVDGAAKVTPTPADSDAPATAAGEEASSLESETPQA >Ma05_p29510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40156566:40160170:-1 gene:Ma05_g29510 transcript:Ma05_t29510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGCPRFIGLDTVVKKRRSARRPRPNAQLTFDACNISPLLSAPSLSNSRNFSTDDDGGSDTFFRRKEVYLNGPSPRSLAINLAPSRKVTKDDKALQELGSPDTGLEKRGEDCGMDHLGEAHHITSESKLRKVKLKVGGVTRTIHAKSDLQSSSDKSSLAKPLQFLEAPRHRLKLNSQNVFDGHSPIEKGNNFQGDPWMDSSVLNFPNGTKDDFSNKAVQAGLSGKKAEPSSEPTRKSKRVPKRRVFDDVEGDYDIRHIEKLRASKVAADHIAELDDSGENGFKRPNMSKMSRSRNAAYEVDDEYVLSRSSKEKRKKLRQGRESYDVDYVEEEELGSDVAPDAKRKKQNATLELAADVRTECLTTRQRALQSGKAGNGESLIEFPNGLPPAPSRKQKEKLSEVEIQAKKAEAAQRRKMQVEKQTRESEAAAIRKILGQDKKEEKKQKELEEKAKAAKSITLQPSTVRWVTGPTGTIVTFADDVGLPSLFGSKPSRCNCILSCKSLLPSSTREMCRSIMYKCIQVPRFQDQASIVQSAVLQSHPRKGIRLSYLSNSCR >Ma05_p29510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40156566:40160170:-1 gene:Ma05_g29510 transcript:Ma05_t29510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGCPRFIGLDTVVKKRRSARRPRPNAQLTFDACNISPLLSAPSLSNSRNFSTDDDGGSDTFFRRKEVYLNGPSPRSLAINLAPSRKVTKDDKALQELGGYSGGGTSRGGHLLDLKYCGEGILAPAKWKSTHKVNEAIVMQSGSPDTGLEKRGEDCGMDHLGEAHHITSESKLRKVKLKVGGVTRTIHAKSDLQSSSDKSSLAKPLQFLEAPRHRLKLNSQNVFDGHSPIEKGNNFQGDPWMDSSVLNFPNGTKDDFSNKAVQAGLSGKKAEPSSEPTRKSKRVPKRRVFDDVEGDYDIRHIEKLRASKVAADHIAELDDSGENGFKRPNMSKMSRSRNAAYEVDDEYVLSRSSKEKRKKLRQGRESYDVDYVEEEELGSDVAPDAKRKKQNATLELAADVRTECLTTRQRALQSGKAGNGESLIEFPNGLPPAPSRKQKEKLSEVEIQAKKAEAAQRRKMQVEKQTRESEAAAIRKILGQDKKEEKKQKELEEKAKAAKSITLQPSTVRWVTGPTGTIVTFADDVGLPSLFGSKPSSYPPPREKCAGPSCTNAYKYRDSKTKLPLCSLQCYRAIQERA >Ma05_p29510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40156566:40160170:-1 gene:Ma05_g29510 transcript:Ma05_t29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGCPRFIGLDTVVKKRRSARRPRPNAQLTFDACNISPLLSAPSLSNSRNFSTDDDGGSDTFFRRKEVYLNGPSPRSLAINLAPSRKVTKDDKALQELGGYSGGGTSRGGHLLDLKYCGEGILAPAKWKSTHKVNEAIVMQSGSPDTGLEKRGEDCGMDHLGEAHHITSESKLRKVKLKVGGVTRTIHAKSDLQSSSDKSSLAKPLQFLEAPRHRLKLNSQNVFDGHSPIEKGNNFQGDPWMDSSVLNFPNGTKDDFSNKAVQAGLSGKKAEPSSEPTRKSKRVPKRRVFDDVEGDYDIRHIEKLRASKVAADHIAELDDSGENGFKRPNMSKMSRSRNAAYEVDDEYVLSRSSKEKRKKLRQGRESYDVDYVEEEELGSDVAPDAKRKKQNATLELAADVRTECLTTRQRALQSGKAGNGESLIEFPNGLPPAPSRKQKEKLSEVEIQAKKAEAAQRRKMQVEKQTRESEAAAIRKILGQDKKEEKKQKELEEKAKAAKSITLQPSTVRWVTGPTGTIVTFADDVGLPSLFGSKPSRCNCILSCKSLLPSSTREMCRSIMYKCIQVPRFQDQASIVQSAVLQSHPRKGIRLSYLSNSCR >Ma04_p34960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33912023:33930984:-1 gene:Ma04_g34960 transcript:Ma04_t34960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLDQEEEEMLVPHQELTEGPQPMEVTQTETATTPENQQVEDPPPFKFRWTIENFSRLNIKKLYSDIFYVGGYKWRVLIFPKGNNVDHLSIYLDVADSTTLPYGWSRYAQFTLAIVNQIHSKYTIRKETQHQFNSRESDWGFTSFMSLSELYDPSRGYLVNDTCVIEAEVAVRRLIDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQYLFEGHHMNYIECINVDYKSMRKESFYDLQLDVKGCRDIYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDKDNGKYLSPEADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELSQTNPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRVRLKREQEEKEHKKKEKAEAHLYTIIKVARNEDLAEQIGREIFFDLVDHDKVRTFRIQKQLPFSHFKEEVAKEFNIPVQFQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVELGLDLHPLPPPQKTKEDILLFFKLYDPEKEGLRFVGRLFVKALGKPIEILSKLNEMAGFPPNEDIELYEEIKFEPSVMCEHIDKQIPFRSSQLEDGDIICYQKASTLGNIDQYRYPDIPSFLEYVHNRQVVHFRSLEKPKEDDFSLELSKLFTYDDVVERVARQLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVAVHSIRLPKNSTVADVINDLKTKVELSHPDAELRLLEVFYHKIYKIFPLGEKIENINDQYWTLRAEEIPEEEKNLSPHDRLIHVYHFTRDPNQNQMQVQNFGEPFFLVIREGETLADVKMHIQKKLQVPDDEYSKWKFAFVSLGRPEYLQDLDVVSNRFQRRDIYGAWEQYLGLEHPDTAPKRAYTVNQNRHTFEKPVKIYN >Ma04_p34960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33912023:33930984:-1 gene:Ma04_g34960 transcript:Ma04_t34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLDQEEEEMLVPHQELTEGPQPMEVTQTETATTPENQQVEDPPPFKFRWTIENFSRLNIKKLYSDIFYVGGYKWRVLIFPKGNNVDHLSIYLDVADSTTLPYGWSRYAQFTLAIVNQIHSKYTIRKETQHQFNSRESDWGFTSFMSLSELYDPSRGYLVNDTCVIEAEVAVRRLIDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQYLFEGHHMNYIECINVDYKSMRKESFYDLQLDVKGCRDIYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDKDNGKYLSPEADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQFKFDDERVTKEDVKRALEEQYGGEEELSQTNPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRVRLKREQEEKEHKKKEKAEAHLYTIIKVARNEDLAEQIGREIFFDLVDHDKVRTFRIQKQLPFSHFKEEVAKEFNIPVQFQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVELGLDLHPLPPPQKTKEDILLFFKLYDPEKEGLRFVGRLFVKALGKPIEILSKLNEMAGFPPNEDIELYEEIKFEPSVMCEHIDKQIPFRSSQLEDGDIICYQKASTLGNIDQYRYPDIPSFLEYVHNRQVVHFRSLEKPKEDDFSLELSKLFTYDDVVERVARQLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVAVHSIRLPKNSTVADVINDLKTKVELSHPDAELRLLEVFYHKIYKIFPLGEKIENINDQYWTLRAEEIPEEEKNLSPHDRLIHVYHFTRDPNQNQMQVQNFGEPFFLVIREGETLADVKMHIQKKLQVPDDEYSKWKFAFVSLGRPEYLQDLDVVSNRFQRRDIYGAWEQYLGLEHPDTAPKRAYTVNQNRHTFEKPVKIYN >Ma08_p30460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41714100:41725138:-1 gene:Ma08_g30460 transcript:Ma08_t30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT1 [Source:Projected from Arabidopsis thaliana (AT1G80950) UniProtKB/Swiss-Prot;Acc:Q8L7R3] MVMVSAMASELNSLTGANGTREDTVSVSPERGATLKDEQPLLKSDPPAASPTAESIEELERKYAPYVRRDAYGTMGRGEIPATEKVMLGIAAVTLVPIRLVLGMLILVIYYLICRICTMFSAPHEEDGQEDYAHMGGWRREVVVRCGRFCSRAMLFTFGFYWIKETHRSIGNEDGCRDQADESERPGAVVSNHISYVDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTERIQEAHENKLCPMMLLFPEGTTTNGDYLLPFKSGAFLAKVPVLPVILRYPYCRFSPAWDSISGVRHVFLLLCQFVNHIEVIWLPVYYPSEQEKEDPKLYANNVRKLMATEGNLTLSDIGLAEKRIYHAVLNGLCVQS >Ma08_p30460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41714556:41725138:-1 gene:Ma08_g30460 transcript:Ma08_t30460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT1 [Source:Projected from Arabidopsis thaliana (AT1G80950) UniProtKB/Swiss-Prot;Acc:Q8L7R3] MVMVSAMASELNSLTGANGTREDTVSVSPERGATLKDEQPLLKSDPPAASPTAESIEELERKYAPYVRRDAYGTMGRGEIPATEKVMLGIAAVTLVPIRLVLGMLILVIYYLICRICTMFSAPHEEDGQEDYAHMGGWRREVVVRCGRFCSRAMLFTFGFYWIKETHRSIGNEDGCRDQADESERPGAVVSNHISYVDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTERIQEAHENKLCPMMLLFPEGTTTNGDYLLPFKSGAFLAKVPVLPVILRYPYCRFSPAWDSISGVRHVFLLLCQFVNHIEVIWLPVYYPSEQEKEDPKLYANNVRKLMATEGNLTLSDIGLAEKRIYHAVLNGNSLPRVLHQKDD >Ma03_p29970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32771282:32781891:1 gene:Ma03_g29970 transcript:Ma03_t29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGSFQDGRIASKSLMMLVALLLVSCCLGHGFGDEDHVEVEGIGSSCMESERRALLAIKSDMYDPDNWFSSWTGKDCCGWRGVAYDNTTGHVTKLDLRYPYTYTYDMWDVFYDVETIGASKVNPSLQELKHLKYLDLSMNNFSHAPVPTMIASLVHLEYLNLSNAMFDGPIPPQFENLSNLHYLDLHGWYDDLHVDDLDWLSRIPSLKYLDMSYVDLSKATNWFYVINSIPALEVLRLSHADLPYVPSPLPTFNLTTIATLDLSGNSNITSAMLRWLSNATSLENLLLSGCGSLTIESVQVALGALLNLKELDLSGNSLKGEILGILNNVSSRGLKHLDLSSNQLSGDIPPGSLRDLEYLDLSWNFNVIVHILASLGNFTNLRHLGLSDNSISGEIPPTVGDSVRLEFLDLSNNDIIGEIPQSIGNLSNLLELQLSGNKIVGWIPPSIGNLTNLIILDLSYNNIVGSIPETFGALIRMKLLYLDDNQISGEIPSTIGGLQNLQTLILKGNSFTGQIPDTIGRLHSLKFLDISNNNLSGTELPAWLQTQTQLTTLYLCGVGLSGNLPIWFSNFSRGLQSLNMSSNNLRGRLPFAPQLMLDLSNNSFVGPIPPSFTKATSLSLLSLSHNHINDRLPPFFCNMQSLQVLDLSSSHLIGEVPDCQNSFPISLQSLHLNNNNMSGTIPLFLKHCHKLVTLDLGENKLHGRIPTWIGRNLWSLRVLRLRSNVLYGTIPVNIVNLTSLQLLDLSSNNLTGSLPSYLGNFRAMVEIQNDTGSMLHIITYYYEESILMTTKGSTIDYTTILSLPQDQFHTRCAHKSWGFPVVMCLYVHAHRGLLSDPHLRASFLLSARSMATVGSGSFQDRRVASKRLMMLVDLLLVSCCLCYGFGDEDNVEVEGIRSSCMESERRALLAIKSDMYEPDDWFSTWTGKDCCGWRGVACNNITGHVTKLHLRYPYTYHQALETIGGSKVNPSLQELKHLEYLDLIMNNFSGAPVPKMISSLVHLEYLNLSYAMFDGLIPPQFGNLSNLHYLDLHGFLGDLYVDDLDWLSRIPSLKHLDMSLVDLSRASNWFHIVNSISTLEVLHLSTTGLPYAPSPLPPFNLTAIATLDLSQNSNIILTMLRWLSNATSLEYLYLSDCGSLIMEPLQVGLASLSNMKELDLSYNFLRGEILGILSNVSGGLKHLDLSWNYLSGDITQTLRSLRHLEYLTLLGNSNITGHIAYLLGNLTNLRHLGLWDNLISGEIPPTVGNFVQLEYLDLSYNSIAGEIPPSIGNLTGLVILDLSKNFITGQIPSSLGHLTNLESLDLSWNNVVGCIPETFGTLIHMTRLHLSRNQISEQIPESIGDLQNLQFLSLDNNALTGQIPKTIGRLHRLQKLDVSYNNLSGQIRTTMGGLCNLTMLDLSYNNIGGELTNLLDDLSTCSQGASLSSLILEGNNLSGIIPSSMGQLSRLYDLFLTSNSFVLDLSSNDLIGSLPSSLGNFSAMIEIQNDTWSVLHVDNYYYSESILLTTKGEIADYTTVLSLVTFIDLSNNHLSGEIPKELIKLLGLRFLNLSNNHLTGRIPEKIGDMKQLESLDLSVNNLTGEIPSSLSALYFLSHLNLSYNNLSGKIPTSSQLSTFVSWTYLGNKDLCGTPLPDCPVYQTPPDARVKEKNEDDEKLDKLLEYTSIVVGFVVGFWLFTGTLIMKQAIRFAFFRRIDKASDWIYVQFAVKLAKLKSKWQTMT >Ma06_p31250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32426207:32428084:-1 gene:Ma06_g31250 transcript:Ma06_t31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKPKEEKSSFDAVPWSCTDMKLLLISLFSLCSLATMFQFFPSTSGFSTTAITSCFSKLASVSSNLSSSPPEVHPLREEQLGSDSVVRRAFNPVGSAAYLFVQMGAYRGGSNNFAIVGLASKPLHVFAKPQFWCEWQPHGGPGGDSNSTFASGYRILPDWGYGRVYTVVVVNCTFPVDVGLDGSGGQLVIHATTGGGGDRAVQTEERFVAVEEAPGSVNASAFSAPPKFDYLYCGSSLYGDLSPQRIREWMAYHARLFGERSHFVFHDAGGVHPGVMEVLRPWVEKGIVTVQDIREQERFDGYYHNQFLVVNDCLHRYKFMAKWIFFFDVDEFIYLAPKTNLDSLLASLSPYTQFTIEQMPMSGKLCRSIDHGKTARMWGIEKLVYRDVKRGVRRDRKYAIQPRSAFATGVHMSQNVAGRSLHKTDGRIKYYHYHGTIAARRDPCEEFVNATAVTYDGTPYVLDETLRRVAGAVRRFEHKMIGSRLARTRQ >Ma04_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6520198:6522164:-1 gene:Ma04_g09150 transcript:Ma04_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MFLLLQPLALLFLTVLFLYPLFRHAYGEPKGCKLPPGSFGWLPLIGKTMSFINPHLSCTTGQFLEENIKRYGKIFRSHLFGHPTVVSCDVDFNHFIMQNEGRLFEASYPASIPKVIGDFTMLVMTGDAHKRIRGVALGLFSSMKTHEAATISDIDNIVVRLMDSWKNKKTLVFCDETRKFTFSVIVKHTLSLSPEDPETVQLLDNYKTFMKGFVSAPINLPGTAFVKARRAKFQITKIVGEIRDRRRRQRIAAGAPEVEGTKDFLDLLESHGGLAEEEIFGLVIDLLIGGYETTAMLIAIIVKFVGNDPEILSELRAEHAAARKKKAGDEPLKWQDYKDMRLTQCLINEALRLGNVVKFVHRKAIKPVRYKEFEIPAGWKVLPILSGVHLDGSLHNDPFRFDPRRWQNEEVEIARNFMPFGGGKRLCPGSDLAWLDTCIFLHHLLLKYSWKTQEEDDWPMSFPFLDFKKGLKIAIQPLSDPCPSQEQATG >Ma01_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:666508:675943:-1 gene:Ma01_g00950 transcript:Ma01_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARKCSSSSLSETSPILPSSASIEMSSDAGESSSAARRTVFLGVDVGTGSARAGLFDEQGRLIGSASSPIQIWKEKDCIEQSSTDIWHAVCTAVKAACSLASVVPEEVVGLGFAATCSLVAVDSDGSPVSVSWTGDARRNIIVWMDHRAVNQAERINANNSPVLQYCGGSLSPEMQAPKLLWVKENLKESWSLIFRWMDLSDWLSFRATGDDTRSLCTTVCKWTYLGHAHMEVAREKDSHSMEACGWDDVFWEEIGLGDLVEGNHAKIGRSVAFPGHPLGSGLTPAAAKELGLLVGTPVGTALIDAHAGGVGTMESLPETESKDDVANEEAICHRMVLVCGTSTCHMAVSRNKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDHIIENHVAAPLLANRAAAQSISIYELLNKILESMMQERKVLFVSALTEDMHVLPDFHGNRSPVADPKSKGMVCGLTLETSEKQLALLYLATIQGIAYGTRHIVEHCNSHGHKIDTLLACGGLSKNPLYVQEHADIVGCRIILPRESETVLLGAAILGAVAAQKYSGVHDAMRVLNAAGQVVHPSKDVKVKKYHDAKYQIFKSLYEQQLSHRSIMMQALQ >Ma01_p00950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:666508:675943:-1 gene:Ma01_g00950 transcript:Ma01_t00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARKCSSSSLSETSPILPSSASIEMSSDAGESSSAARRTVFLGVDVGTGSARAGLFDEQGRLIGSASSPIQIWKEKDCIEQSSTDIWHAVCTAVKAACSLASVVPEEVVGLGFAATCSLVAVDSDGSPVSVSWTGDARRNIIVWMDHRAVNQAERINANNSPVLQYCGGSLSPEMQAPKLLWVKENLKESWSLIFRWMDLSDWLSFRATGDDTRSLCTTVCKWTYLGHAHMEVAREKDSHSMEACGWDDVFWEEIGLGDLVEGNHAKIGRSVAFPGHPLGSGLTPAAAKELGLLVGTPVGTALIDAHAGGVGTMESLPETESKDDGLIANEEAICHRMVLVCGTSTCHMAVSRNKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDHIIENHVAAPLLANRAAAQSISIYELLNKILESMMQERKVLFVSALTEDMHVLPDFHGNRSPVADPKSKGMVCGLTLETSEKQLALLYLATIQGIAYGTRHIVEHCNSHGHKIDTLLACGGLSKNPLYVQEHADIVGCRIILPRESETVLLGAAILGAVAAQKYSGVHDAMRVLNAAGQVVHPSKDVKVKKYHDAKYQIFKSLYEQQLSHRSIMMQALQ >Ma01_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4482864:4484153:1 gene:Ma01_g06270 transcript:Ma01_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCLRPDPADVDAEPFEEIDPSGRYGRYGDLLGVGAVKRVYRGFDQEEGIEVAWNQVRLRSFSDDNQVLNRIFGEVRLLRALRHENIISLHDGWMDDDGGGSTLNFATEFCNSGSLREYRKRHRHVSLKALKKWSRQILKGLHYLHSHEPCVIHRDLNCSNVFINGNVGQVKIGDFGLAALVGKNHAAHSVLGTPEFMAPEMYEEDYTELVDIYSFGMCVLEMVTLEIPYGECDNIARIYRKVTTGVRPAALSKVKDPEVQAFIERCLGKPRARPSASQLLTDPFFQGLDDDDAASPPPPPPCPPAERAISAF >Ma09_p04990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3205384:3207685:-1 gene:Ma09_g04990 transcript:Ma09_t04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRVARYWCHLCSRMVNPVMEEEIKCPVCDSGFVEEMNGEGEEVDATADLEFSRDLSLWAPILLGMLSGSLRRRRLRREEEEEEEEEEENAEQDRDLETILRRRRRTTAILQLLQALGEGSRTESDGGDESERDRAHMVLINLLNSAMEGSLGANQSRGQSSSDGRAGVPLGDYFLGPGLDLLLQHLAENDPNCYGTPPAGKAAVEAMPTVKIEESMSCSICLEELEAGAEAREMPCKHKFHGGCILPWLELHSSCPVCRFQLATDESKVSNVSASAGAGVSAGATRVEAHGQSGSSSDGGSERGFRLPVPWPLTGLFSLLGSHRTGNSSSQPSSSSSSTGSSSPTDAN >Ma09_p04990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3205384:3207452:-1 gene:Ma09_g04990 transcript:Ma09_t04990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRVARYWCHLCSRMVNPVMEEEIKCPVCDSGFVEEMNGEGEEVDATADLEFSRDLSLWAPILLGMLSGSLRRRRLRREEEEEEEEEEENAEQDRDLETILRRRRRTTAILQLLQALGEGSRTESDGGDESERDRAHMVLINLLNSAMEGSLGANQSRGQSSSDGRAGVPLGDYFLGPGLDLLLQHLAENDPNCYGTPPAGKAAVEAMPTVKIEESMSCSICLEELEAGAEAREMPCKHKFHGGCILPWLELHSSCPVCRFQLATDESKVSNVSASAGAGVSAGATRVEAHGQSGSSSDGGSERGFRLPVPWPLTGLFSLLGSHRTGNSSSQPSSSSSSTGSSSPTDAN >Ma09_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3205384:3207135:-1 gene:Ma09_g04990 transcript:Ma09_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRVARYWCHLCSRMVNPVMEEEIKCPVCDSGFVEEMNGEGEEVDATADLEFSRDLSLWAPILLGMLSGSLRRRRLRREEEEEEEEEEENAEQDRDLETILRRRRRTTAILQLLQALGEGSRTESDGGDESERDRAHMVLINLLNSAMEGSLGANQSRGQSSSDGRAGVPLGDYFLGPGLDLLLQHLAENDPNCYGTPPAGKAAVEAMPTVKIEESMSCSICLEELEAGAEAREMPCKHKFHGGCILPWLELHSSCPVCRFQLATDESKVSNVSASAGAGVSAGATRVEAHGQSGSSSDGGSERGFRLPVPWPLTGLFSLLGSHRTGNSSSQPSSSSSSTGSSSPTDAN >Ma04_p35650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34328260:34333629:-1 gene:Ma04_g35650 transcript:Ma04_t35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEERQEREESAGEMESSTQESPLNSNAFTFDSPHPVYAMAFSPSSAAAAASPRLALGSFIEEYGNRVDVVSFDEDALSFRAEPAVSFDHPYPSTKLMFHPHPNSPLLASASDCLRLWLLGPDGAKIRSVLDNSKSSGYCAPLTSFDWNDTEPRRIGTSSIDTTCTIWDVERGAVETQLIAHDKEVYDIAWGEPAVFASVSADGSVRIFDLRDKEHSTIIYESPRPDTPLLRLAWNKANLRYMATTMMDSNRVVVLDIRAPATPVAELQRHCASVNAIAWSPKAARHICSAGDDGQALIWELPAAAAAGTVSPEGIDPMLVYTAGAEINQLQWSAAHPDWIGIAFANKVQLLRV >Ma02_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25501019:25503196:-1 gene:Ma02_g19280 transcript:Ma02_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGHDGSSYGAHTYEDLEREPYWPSGTLRICITGAGGFIASHIARRLKSEGHYIVASDWKKNEHMSEDMFCHEFHLVDLRVMDNCLKVTSGADHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTLTSADRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEEKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKSQGQDISVYGSSKVVGTQAPVQLGSLRAADGKE >Ma05_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5050857:5051414:1 gene:Ma05_g06900 transcript:Ma05_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVILVPNRFGAFISVSFLSAVRVRRSRQGFRRCRER >Ma04_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:216215:234392:1 gene:Ma04_g00160 transcript:Ma04_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQSWPPLANGDASEGHHSPRSRWHEPNPHPSAIKDENWQRAEEATREVLRCIRLTVVSEQRRKAVVDYVQQLLRTRIKTEVFPFGSVPLKTYLPDGDIDLTTFGIPNTEDTLASEVCAVLGEEEQNKDAKFEVKDVQLIRAEVKLVKCIVQNIVVDISFNQIGGLCTLCFLEKVDRKIGKNHLFKRSIMLIKAWCYYESRILGSHHGLISTYALEILVLYIFHLYHNYLDGPLAVLYMFLDYYSKFDWDNYCISLDGPVSISSLPNLVVEPPETIGSCLLLTEEFIKECTEMFSVPSRVYGNNYQVFAQKHLNIVDPLKQNNNLGRSVSKGNFYRIRSAFTYGAQKLGRILLLPPESIADEVNLFFKSTLDRHGSGERPDVQDAASSCLDSGTIRVESMLSNLKVEDVNKEIHLSSSSANDSNGQLCDEINTIKTSDMDWEHVLKKQPDRHSSSQNNNANWLHDCSKIGSTVPAEDVSGKHLVDDPRDLVSTRPCDSRLSDENCMASIPGKAYHASHLFFHVGNIAEDETVDNLNSGDGGMPRASSGRLTAPCDELKLEINSSGSAKSMVAIAAGSIHETSPTISEDSSLGDCSNDGTFNNASSGFSKSSGLAGDYRLYFSNLQHAQEFQERISNPYLVPIYQASPSQYQSKHSWEMQSMYSHIGANGFVSASHFSPSYYVISPVICNDYGPKDTTKTRGTGTYLPDTNSRAYREKHSSGRGKNEMLTNHLSRNRKHSHMDTPQYGSLSEERNHWPSPWPQTPMGNGYERPISLDVPQSSPPVSTAFSQSNGYAYPPERKLEFGSLGPVTVEVSSPGRGSNLKSAVPASTVERPPKSLKNEWLNQPYQLKDDGDFPPLAS >Ma04_p00160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:216215:231839:1 gene:Ma04_g00160 transcript:Ma04_t00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQSWPPLANGDASEGHHSPRSRWHEPNPHPSAIKDENWQRAEEATREVLRCIRLTVVSEQRRKAVVDYVQQLLRTRIKTEVFPFGSVPLKTYLPDGDIDLTTFGIPNTEDTLASEVCAVLGEEEQNKDAKFEVKDVQLIRAEVKLVKCIVQNIVVDISFNQIGGLCTLCFLEKVDRKIGKNHLFKRSIMLIKAWCYYESRILGSHHGLISTYALEILVLYIFHLYHNYLDGPLAVLYMFLDYYSKFDWDNYCISLDGPVSISSLPNLVVEPPETIGSCLLLTEEFIKECTEMFSVPSRVYGNNYQVFAQKHLNIVDPLKQNNNLGRSVSKGNFYRIRSAFTYGAQKLGRILLLPPESIADEVNLFFKSTLDRHGSGERPDVQDAASSCLDSGTIRVESMLSNLKVEDVNKEIHLSSSSANDSNGQLCDEINTIKTSDMDWEHVLKKQPDRHSSSQNNNANWLHDCSKIGSTVPAEDVSGKHLVDDPRDLVSTRPCDSRLSDENCMASIPGKAYHASHLFFHVGNIAEDETVDNLNSGDGGMPRASSGRLTAPCDELKLEINSSGSAKSMVAIAAGSIHETSPTISEDSSLGDCSNDGTFNNASSGFSKSSGLAGDYRLYFSNLQHAQEFQERISNPYLVPIYQASPSQYQSKHSWEMQSMYSHIGANGFVSASHFSPSYYVISPVICNDYGPKDTTKTRGTGTYLPDTVSCNLSLLVHYFIEFSCIQRETFIRKRQE >Ma05_p24150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36400448:36403442:-1 gene:Ma05_g24150 transcript:Ma05_t24150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFVRKARIGGEKAVVEAAHPQPSLGARTRARTLTLKRFHESSLQVASSYLQLRSRRLEKHFPVPSSAKSRAASKNRQRANRDTRISTYKPAETEVNPVTLVSLGSASTRSRCSKEATTGAICEASPEGDAEIEASSGENVLEFDRSARETTPCSLIRDSETIVSLGSTTWPTKSTASIKLQASVASCIPTAFELEEFFSGPEQLQQRSFMEKYNFDVVKDQPLPGRYEWVKLDP >Ma05_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36400448:36403442:-1 gene:Ma05_g24150 transcript:Ma05_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFVRKARIGGEKAVVEAAHPQPSLGARTRARTLTLKRFHESSLQVASSYLQLRSRRLEKHFPVPSSAKSRAASKNRQRANRDTRISTYKPAETEVNPVTLVSLGSASTRSRCSKEATTGAICEASPEGDAEIEASSGENVLEFDRCMSARETTPCSLIRDSETIVSLGSTTWPTKSTASIKLQASVASCIPTAFELEEFFSGPEQLQQRSFMEKYNFDVVKDQPLPGRYEWVKLDP >Ma09_p22100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34100416:34107700:-1 gene:Ma09_g22100 transcript:Ma09_t22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQNSACLLASMLLLFLLPVAQCGVTYDRKAIIINGQRRILISGSIHYPRSTPEMWEGLIQKAKDAHLDVIQTYVFWNGHEPSPGTYDFEGRYDLVKFIKTVQNLGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKMAMQGFTQKIVQMLKSESLFASQGGPIILSQIENEYGPVSRASGPPGRSYLNWAAEMAVGLETGVPWVMCKEDDAPDPVINTCNGFYCDTFTPNMPYKPIMWTEAWSGWFTEFGSPIHHRPVEDLAFAVARFIQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIREPKYGHLKELHRAIKLCEQALVSADPTVTSLGSLQQAHVFSSQTGGCAAFLANYNPDSFARVMFNNVHYNIPPWSISILPDCSNVVFNTAKVGVQTSQMQMYPANTQSLMWERYDEVVASLEDNSLITTTGLLEQINVTRDTSDYLWYISSVDVSPAEGFLHGGQLPVLTVQSAGHALHIFLNGQLSGSAYGSREDRRIKFSGNVNIRAGTNKIAILSVAVGLPNAGVHYEFWSTGVLGPVVLHGLDEGSRDLTWQKWSYQVGLKGEAMNLNSLEGASSVEWMQGSLAVQDQQPLTWYRAYFDAPDGNDPLALDMGSMGKGQVWINGQSIGRYWTAYAPNGDCNSCSYIGTYRSPKCQSNCGQPTQRWYHVPRSWLQPTRNLLVIFEEVGGDATKISMMKRSVSSVCADVSEWHPTIKNWDIESDGQPEEYHKPKVHLRCAPGQSISAIKFASYGTPLGTCGNFQQGACHSPNSYTILEKNCIGQERCAVVISTTNFGGDPCPNVMKRVAVEAICSSAAQPIS >Ma09_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34100416:34107700:-1 gene:Ma09_g22100 transcript:Ma09_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQNSACLLASMLLLFLLPVAQCGVTYDRKAIIINGQRRILISGSIHYPRSTPEMWEGLIQKAKDAHLDVIQTYVFWNGHEPSPGTYDFEGRYDLVKFIKTVQNLGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKMAMQGFTQKIVQMLKSESLFASQGGPIILSQIENEYGPVSRASGPPGRSYLNWAAEMAVGLETGVPWVMCKEDDAPDPVINTCNGFYCDTFTPNMPYKPIMWTEAWSGWFTEFGSPIHHRPVEDLAFAVARFIQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGICIVQLFCHQMAGLIREPKYGHLKELHRAIKLCEQALVSADPTVTSLGSLQQAHVFSSQTGGCAAFLANYNPDSFARVMFNNVHYNIPPWSISILPDCSNVVFNTAKVGVQTSQMQMYPANTQSLMWERYDEVVASLEDNSLITTTGLLEQINVTRDTSDYLWYISSVDVSPAEGFLHGGQLPVLTVQSAGHALHIFLNGQLSGSAYGSREDRRIKFSGNVNIRAGTNKIAILSVAVGLPNAGVHYEFWSTGVLGPVVLHGLDEGSRDLTWQKWSYQVGLKGEAMNLNSLEGASSVEWMQGSLAVQDQQPLTWYRAYFDAPDGNDPLALDMGSMGKGQVWINGQSIGRYWTAYAPNGDCNSCSYIGTYRSPKCQSNCGQPTQRWYHVPRSWLQPTRNLLVIFEEVGGDATKISMMKRSVSSVCADVSEWHPTIKNWDIESDGQPEEYHKPKVHLRCAPGQSISAIKFASYGTPLGTCGNFQQGACHSPNSYTILEKNCIGQERCAVVISTTNFGGDPCPNVMKRVAVEAICSSAAQPIS >Ma04_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20157902:20159763:-1 gene:Ma04_g18330 transcript:Ma04_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVLVLPFPSQGHISPMFQFAKRLAAKGPKTTLVTTHFILNSFPSQTDSVRLAPISDGHDRGGFPSAASLEAYLLTLADVGARSLSKLIDQHAASGCPFTCMVYDTVVQWAVEVAKMHGLATAAFSTQSCAVSAIYYYVNQGMLDIPEAGGSVSCSAPFLPPLARSEFPSLALRQGRNLTVSTMAMNQFNLEKDDWVLFNSFDMLEIEVRESFLFSSAIHAFTHISGEPLEEAMAGPGDRAERAAAAGG >Ma09_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6005903:6007759:1 gene:Ma09_g09030 transcript:Ma09_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRLTPAPGLLPLSKHRCKVCSRSFPSGRSLGGHMRSHVNVAAKSPSSDERRRENPRKTRKRSDSGGGGGEVQCKECGKEFLSWRALFGHMRCHSERPYEERGERDGSCSNAGHCNSLENQFDSEAAAATVSRKMLSKWIGLASVCSSSACQYEPEDEDGAISLVLLSKGVRHWSGGCSESPNKDSEVPESSDFVSDGSEKKGFELDDLRTEFKKVESDASDAGIVRDDMNPDSSKQDLSSAVTEPSMKPGFDASGVRLGKNSSSTLERSDGCSDKTTKKRSWFDCKPCNKVFRSYQALGGHRARHKRMKGCHGHRLHGVDNSMETDGSINGTATEETFGRHGSPKSTKGHRCPICSKFFSSGQALGGHKRSHLVANTACPVVIPRQPFKMSQLLDLNLPANGSDDYGGRESTSSTSYCFIH >Ma05_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7890596:7897168:1 gene:Ma05_g10810 transcript:Ma05_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSTSGSEEDEGVDAYRKGGYHAVRVGDQFAGGRYITQRKLGWGHFSTVWLAYDTRAQNFVALKIQKSAPEFAQAALHEIELLSAIAEGDASNSKCIVRLVDHFKHSGPNGQHLCLVIEFLGDSLLRLVKYNRYKGIGLDRVRNICRSILVGLDYLHREVGIIHTDLKLENVLLLSTIDASKDPVRSGFTPILERPEGNPNGGVVVNVIEKRLKEKAKRARARISERRASTAGNLQLERSLEGIDLRCKIVDFGNACWCDKQLTDDIQTRQYRSPEVILGAGYSSSTDMWSFACMAFELAAGDMLFTPKNGQGYSEDEDHLALMMELLGKMPKKVATMGSRSKDYFDRHGDLKRIRRLKFWPLDRLLVEKYKFPEVDAREFAEFLCPLLDFAPEKRPTAEQCLQHPWLTMKEVKPDSENTEAGMGKLETGMSKLKVQMSR >Ma10_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10976937:10978445:-1 gene:Ma10_g03030 transcript:Ma10_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGDESNFSQTCRLLRQYLKEKRSLSGLGIDMAPVPAGGRSRTTTTMSLLPGADVPGEEQTENKGSQKSMDLFPQHSGFEESGKISEAKQMEKGQLTIFYAGKMMVFDDILAAKAKDLMQMARNESIAAQKFRFSAPRAAAAGAASSPSKPDSVLAAASGSQSMAAPSPDSPSKTSASDMPIARRNSLHRFLEKRKDRINTKAPYQVNGASPSTNEAEAKAESSQAWLNLGRQVSMPEHSSESSK >Ma04_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7833734:7835063:1 gene:Ma04_g11130 transcript:Ma04_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLLGAFFPELPLDYSSAAFLLSGLVPEFDAPRTAFVSYLRQDGPRTMVERSGSGRNIHRSLIEMLRSIPRAEERRKAAASRGFRHMMRERKRREKLSQSYADLCSMLASGSKCDKNSIVKSATRHVQELKGVKEALQQRYEELKAKVLGSDPTEGEKVKIRAANLSSPMDSMIGVLRCLQSMDATAKAVRAHLSSSELSAVMSIDTKMAAADVERAIEGAAMEAEKRLRC >Ma09_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3537925:3541829:1 gene:Ma09_g05500 transcript:Ma09_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGRVEEMTSGTRMPTWRERENNKRRERRRRAIAAKIYAGLRMYGNYKLPKHCDNNAVLKALCEEAGWAVEEDGTTYRKGCKPVEHVDIVGSSASPSPCVSYNPSPASSTLASPMSSTLITNAKNLTSGTEGNSLVPWLKSLSSASSTSTSSKLPDLHHLYVHGGSISAPVTPPLSSPTARSSRIKTDWDAPAIHPTWAGSNLTFLPSSMPPSPGHQDTPQSAWLASLRLPSGGPSSPTFSLISSNPLVFYKEASGSRMLTPGQSGTCSPIPGGHSHGDVQMSDGGSDEFEFGSSSNGTDTATGLVKPWEGEMIHEECASDELDLTLGSSKTRSVA >Ma05_p02740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1828737:1845544:-1 gene:Ma05_g02740 transcript:Ma05_t02740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSDIKDKKVSASYEQALMDARKLIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLETSIARHKAHILKLELILRLLDNDELSPEQVNDVKDFLEDYVERNQDDFDEFGDVDELYSSLPLEKVEALEDLVSLGPSSLAKDQGDDAASQESNADVAPKTPPSKSGAMVTVVSTAPPGISSGISVGTSSSATANVPVRPSVAGPTVAAILSVPPNVRGIIENSSAAVSSPPNSSSSLKEDDNMTFPGRRSSPAIPEIGIAKGISRGISNQPSISTSMTFSSAGGITGNVSLGSVPPLSDLSKRNVLNVDERLGSSGLAQPLLSFPLDNRILLQSLPRTNDGAGSNDSSNVGEGSPAGGRVFSPSVVSGIQWRPQSATSFQNASENGQFRGRPEIAPDQREKFLQRLQQVQQQGHSNLLSGPHLSGASHKQFTTQQQNSLLQQFSPQSTSVSPHVGLGLGVQGAGLVSVSSAAQQQPTPVLQPSSQHPLVSTVTKDGDSVHDNPEDQQQHNISEDLIADPASSPSVNKMMSDDDLKTSYVGTSTVAVSEVNQLSRDTDLPPGQPLQPGQSSASLGVIGRRSGSELGAIGDNISGVAGNSGGMHDQIYNLQMLEAAYYKLPQPRDSERAKNYVPRHPAVTPSSYPQTQAPIVDNPAFWERLGLDPLGTDALFFAFYYQQNTYQQYLAARELKRQSWRFHKKFNTWFQRHEEPKVTNDNFERGNYVYFDFHIANDGSQHGWCQRIKTDFTFEYDFLEDELVV >Ma05_p02740.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1828737:1845580:-1 gene:Ma05_g02740 transcript:Ma05_t02740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSDIKDKKALMDARKLIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLETSIARHKAHILKLELILRLLDNDELSPEQVNDVKDFLEDYVERNQDDFDEFGDVDELYSSLPLEKVEALEDLVSLGPSSLAKGVASVSTASALLGLKNAVASSAAQLSDQGDDAASQESNADVAPKTPPSKSGAMVTVVSTAPPGISSGISVGTSSSATANVPVRPSVAGPTVAAILSVPPNVRGIIENSSAAVSSPPNSSSSLKEDDNMTFPGRRSSPAIPEIGIAKGISRGISNQPSISTSMTFSSAGGITGNVSLGSVPPLSDLSKRNVLNVDERLGSSGLAQPLLSFPLDNRILLQSLPRTNDGAGSNDSSNVGEGSPAGGRVFSPSVVSGIQWRPQSATSFQNASENGQFRGRPEIAPDQREKFLQRLQQVQQQGHSNLLSGPHLSGASHKQFTTQQQNSLLQQFSPQSTSVSPHVGLGLGVQGAGLVSVSSAAQQQPTPVLQPSSQHPLVSTVTKDGDSVHDNPEDQQQHNISEDLIADPASSPSVNKMMSDDDLKTSYVGTSTVAVSEVNQLSRDTDLPPGQPLQPGQSSASLGVIGRRSGSELGAIGDNISGVAGNSGGMHDQIYNLQMLEAAYYKLPQPRDSERAKNYVPRHPAVTPSSYPQTQAPIVDNPAFWERLGLDPLGTDALFFAFYYQQNTYQQYLAARELKRQSWRFHKKFNTWFQRHEEPKVTNDNFERGNYVYFDFHIANDGSQHGWCQRIKTDFTFEYDFLEDELVV >Ma05_p02740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1828737:1845580:-1 gene:Ma05_g02740 transcript:Ma05_t02740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSDIKDKKVSASYEQALMDARKLIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLETSIARHKAHILKLELILRLLDNDELSPEQVNDVKDFLEDYVERNQDDFDEFGDVDELYSSLPLEKVEALEDLVSLGPSSLAKGVASVSTASALLGLKNAVASSAAQLSDQGDDAASQESNADVAPKTPPSKSGAMVTVVSTAPPGISSGISVGTSSSATANVPVRPSVAGPTVAAILSVPPNVRGIIENSSAAVSSPPNSSSSLKEDDNMTFPGRRSSPAIPEIGIAKGISRGISNQPSISTSMTFSSAGGITGNVSLGSVPPLSDLSKRNVLNVDERLGSSGLAQPLLSFPLDNRILLQSLPRTNDGAGSNDSSNVGEGSPAGGRVFSPSVVSGIQWRPQSATSFQNASENGQFRGRPEIAPDQREKFLQRLQQVQQQGHSNLLSGPHLSGASHKQFTTQQQNSLLQQFSPQSTSVSPHVGLGLGVQGAGLVSVSSAAQQQPTPVLQPSSQHPLVSTVTKDGDSVHDNPEDQQQHNISEDLIADPASSPSVNKMMSDDDLKTSYVGTSTVAVSEVNQLSRDTDLPPGQPLQPGQSSASLGVIGRRSGSELGAIGDNISGVAGNSGGMHDQIYNLQMLEAAYYKLPQPRDSERAKNYVPRHPAVTPSSYPQTQAPIVDNPAFWERLGLDPLGTDALFFAFYYQQNTYQQYLAARELKRQSWRFHKKFNTWFQRHEEPKVTNDNFERGNYVYFDFHIANDGSQHGWCQRIKTDFTFEYDFLEDELVV >Ma05_p02740.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1828737:1845544:-1 gene:Ma05_g02740 transcript:Ma05_t02740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSDIKDKKALMDARKLIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLETSIARHKAHILKLELILRLLDNDELSPEQVNDVKDFLEDYVERNQDDFDEFGDVDELYSSLPLEKVEALEDLVSLGPSSLAKGVASVSTASALLGLKNAVASSAAQLSLTQIISQDQGDDAASQESNADVAPKTPPSKSGAMVTVVSTAPPGISSGISVGTSSSATANVPVRPSVAGPTVAAILSVPPNVRGIIENSSAAVSSPPNSSSSLKEDDNMTFPGRRSSPAIPEIGIAKGISRGISNQPSISTSMTFSSAGGITGNVSLGSVPPLSDLSKRNVLNVDERLGSSGLAQPLLSFPLDNRILLQSLPRTNDGAGSNDSSNVGEGSPAGGRVFSPSVVSGIQWRPQSATSFQNASENGQFRGRPEIAPDQREKFLQRLQQVQQQGHSNLLSGPHLSGASHKQFTTQQQNSLLQQFSPQSTSVSPHVGLGLGVQGAGLVSVSSAAQQQPTPVLQPSSQHPLVSTVTKDGDSVHDNPEDQQQHNISEDLIADPASSPSVNKMMSDDDLKTSYVGTSTVAVSEVNQLSRDTDLPPGQPLQPGQSSASLGVIGRRSGSELGAIGDNISGVAGNSGGMHDQIYNLQMLEAAYYKLPQPRDSERAKNYVPRHPAVTPSSYPQTQAPIVDNPAFWERLGLDPLGTDALFFAFYYQQNTYQQYLAARELKRQSWRFHKKFNTWFQRHEEPKVTNDNFERGNYVYFDFHIANDGSQHGWCQRIKTDFTFEYDFLEDELVV >Ma05_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1828737:1845544:-1 gene:Ma05_g02740 transcript:Ma05_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSDIKDKKVSASYEQALMDARKLIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGDLESQIDNFEAEVEGLSVKKGKTRPPRLTHLETSIARHKAHILKLELILRLLDNDELSPEQVNDVKDFLEDYVERNQDDFDEFGDVDELYSSLPLEKVEALEDLVSLGPSSLAKGVASVSTASALLGLKNAVASSAAQLSLTQIISQDQGDDAASQESNADVAPKTPPSKSGAMVTVVSTAPPGISSGISVGTSSSATANVPVRPSVAGPTVAAILSVPPNVRGIIENSSAAVSSPPNSSSSLKEDDNMTFPGRRSSPAIPEIGIAKGISRGISNQPSISTSMTFSSAGGITGNVSLGSVPPLSDLSKRNVLNVDERLGSSGLAQPLLSFPLDNRILLQSLPRTNDGAGSNDSSNVGEGSPAGGRVFSPSVVSGIQWRPQSATSFQNASENGQFRGRPEIAPDQREKFLQRLQQVQQQGHSNLLSGPHLSGASHKQFTTQQQNSLLQQFSPQSTSVSPHVGLGLGVQGAGLVSVSSAAQQQPTPVLQPSSQHPLVSTVTKDGDSVHDNPEDQQQHNISEDLIADPASSPSVNKMMSDDDLKTSYVGTSTVAVSEVNQLSRDTDLPPGQPLQPGQSSASLGVIGRRSGSELGAIGDNISGVAGNSGGMHDQIYNLQMLEAAYYKLPQPRDSERAKNYVPRHPAVTPSSYPQTQAPIVDNPAFWERLGLDPLGTDALFFAFYYQQNTYQQYLAARELKRQSWRFHKKFNTWFQRHEEPKVTNDNFERGNYVYFDFHIANDGSQHGWCQRIKTDFTFEYDFLEDELVV >Ma08_p28040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40099221:40100964:1 gene:Ma08_g28040 transcript:Ma08_t28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGFVGTEAANRAELYEGRITGYFILACVVGSLGGSLFGYDLGLSSGVTAMDDFLKEFFPTVYRRKQAHRHESDYCKYDNQVLTLFTSSLYFAALVSTFGASLVTRRYGRRTSIMSGAVSFFLGSAINAGAVNIFMLIAGRILLGFGIGFGNQAVPLYLSEIAPPKIRGAVNQLFQLTTVSGILVADVVNYFTEKLHPWGWRLSLGLAVVPAILMFIGGAFLPETPNSLVEQGKLEEARRILEKVRGTHRVDAEFEDLKEASDAARAVKHPFRNLLKPRNRPQLIIGALAIPAFQQLSGMNSILFYAPEIFQSLGMGSGAALYSNIMSGSMLVIGALVSIAVADRLGRRFLFIEGGTQMIASMIVVAVILALKIGNGETISRGLAATLVIAICAFVVSYGWSWGPLGWLVPSEIFPLETRSAGQSIVVCVNMFFTAAIAQCFLAMLCHMKWGVFILFAGLIVIMSLFIILLLPETKQVPIEEMSQLWQKHWYWKHIVSKDPDRTAQSQQQGKRAAAGQV >Ma03_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2720721:2721965:1 gene:Ma03_g04150 transcript:Ma03_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFWREVILTSSPNHPITALDPLTGTIRARFSGSHTPRKGLTLVGDALIAASHVSATASGFIRLYDRWTSNLVHCLPTPEPVASLVATPDGSYLFSGGLSGFVHALILPSGDLLRSFRAHRQPVSCLTVNDDGSLLISGGDDGTIVVFPIIRLLDAASGDDDSAQLALCRFAAHDLSVTGVSSRPGGCNATMVSSSLDGTCKLWRITDGSHLRTVKFPCPMWCTVMEPTSSHIYAGGADGRVYAVPLVARRRRTPLDEADVTAWEAEQSGAVTALAMANGNQNLVSASEEGLITIWETEHGSIVRCFGHEGGSIGDLLVAGGGATGFRAARNGDEARSSPGGGFCKREMSRKITEAMEMDEWLRVVMIDKHRAVDMLETAIGTYRKLLALLLREAKRGINEEDATDVYHSTSV >Ma08_p32490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43193718:43207197:-1 gene:Ma08_g32490 transcript:Ma08_t32490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNKSAGGSSDLRRPPPSKEPENDEAPSNTLWVGNLPVDITDPDVMAVFAKHGALDCTTMRGSRSYTFVYFRTVDEAKAAKEALKGSIIQGHAMRLEFARPPKAAKQIWVGGFNSSISKEQLEDEFLKFGKIEDYKFFRDRNSAVIEYYKLEDAIAAHKSMNGKRLAGEQIRVDFLRSQPPRRDWSDRYDSRNGYLSNRTLEPVERSLPPDDLRNFHNSSSLGSKRDMPHGVRKDGHPSNILWVGYPPSVQIDEQMLHNAMILFGEIERIKCYPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRIQIFFSNSEFAPGKDNLPMVPSFRAHRPEMFSDEGPFGPLEVLGAGRSVTSSKFSGSLHSNVMPGTNIFTRSFGPQGFDLRHVEPEFHEFGALAPNSLDVDASKSMPPSWRRRSPSAPGILPSPSSVFQAARPMPDGWDGVDMRDPKRLRVDASPTNDGFLLARRVDGSSVGDHPFVFSHPDRGASSRSQLGSVLHSRPNVLFSDKDYCWRGVIAKGGTHVCYARCIPIGKGIDLSLSDVVNCSARTGLDMLAKHYDEAIGFDIVFFLPDSEDDFASYTDFLRYLGLKNRAGVAKLEDGTTLFLVPPSDFLTKVLGVKGPERLYGVVLKMPQQSTSTVVQQSQLAIPPLTSHHIDQQEAPNLQKFISRNDDQASRVDFNQSLHEEVARHAATEKFQLAHGDEQRLSQSAAVDQESNAAASQSKVSLTPDLIATLASLIPGNIQSSASGAVQLPSSSTVRSASSFTSTVPDGSVPFQNRRQDNQITLSVTSMEQYSMPPHLGQNFSHQAPSLPQFPPYINTSNGADPPTQPLLGMLQIQNPATNMPPAPPVSTSLLNSYAISQHGQFAATQSNQIYQLDTPLTSRDNYGSLPTTNVGDVFGSIVQQQSRLGSSSAHDLIGNIPQHQLAMPATNDKGNMEISNQGQQLQPVLAGSSQGTSQGDADKNQRYQSTLQFAANLLLQIQQQQQASAHTVQGSGNQQ >Ma08_p32490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43193718:43207197:-1 gene:Ma08_g32490 transcript:Ma08_t32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNKSAGGSSDLRRPPPSKEPENDEAPSNTLWVGNLPVDITDPDVMAVFAKHGALDCTTMRGSRSYTFVYFRTVDEAKAAKEALKGSIIQGHAMRLEFARPPKAAKQIWVGGFNSSISKEQLEDEFLKFGKIEDYKFFRDRNSAVIEYYKLEDAIAAHKSMNGKRLAGEQIRVDFLRSQPPRRVCSLVDWSDRYDSRNGYLSNRTLEPVERSLPPDDLRNFHNSSSLGSKRDMPHGVRKDGHPSNILWVGYPPSVQIDEQMLHNAMILFGEIERIKCYPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRIQIFFSNSEFAPGKDNLPMVPSFRAHRPEMFSDEGPFGPLEVLGAGRSVTSSKFSGSLHSNVMPGTNIFTRSFGPQGFDLRHVEPEFHEFGALAPNSLDVDASKSMPPSWRRRSPSAPGILPSPSSVFQAARPMPDGWDGVDMRDPKRLRVDASPTNDGFLLARRVDGSSVGDHPFVFSHPDRGASSRSQLGSVLHSRPNVLFSDKDYCWRGVIAKGGTHVCYARCIPIGKGIDLSLSDVVNCSARTGLDMLAKHYDEAIGFDIVFFLPDSEDDFASYTDFLRYLGLKNRAGVAKLEDGTTLFLVPPSDFLTKVLGVKGPERLYGVVLKMPQQSTSTVVQQSQLAIPPLTSHHIDQQEAPNLQKFISRNDDQASRVDFNQSLHEEVARHAATEKFQLAHGDEQRLSQSAAVDQESNAAASQSKVSLTPDLIATLASLIPGNIQSSASGAVQLPSSSTVRSASSFTSTVPDGSVPFQNRRQDNQITLSVTSMEQYSMPPHLGQNFSHQAPSLPQFPPYINTSNGADPPTQPLLGMLQIQNPATNMPPAPPVSTSLLNSYAISQHGQFAATQSNQIYQLDTPLTSRDNYGSLPTTNVGDVFGSIVQQQSRLGSSSAHDLIGNIPQHQLAMPATNDKGNMEISNQGQQLQPVLAGSSQGTSQGDADKNQRYQSTLQFAANLLLQIQQQQQASAHTVQGSGNQQ >Ma07_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30788352:30799151:1 gene:Ma07_g23030 transcript:Ma07_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSPDRNSVGSGPKRSSVSSRGRSRGSSSSSCKDFLRKFVDSEILTANLEDWLSGISEESEFRKPTLDVPFELTEIQNFDYALEGVSFQQLIRMPNSLYESTSDAVEATAHLAIEDFLHASVKGLWETFWGHDEPVPLSVACIHSTSSKFYPAEKAIARGKLEGICATAILLKNSRDLRGKWDQIVELALLRPDVGISMQNDQRPSPSVLGEALFFALRVLLARSLSKSSNVLRNSNCVFVLLVDSQYGGVVKVEGDVGKLNFDGNDVYECAAKWIKKHAKVTVSTIDRIWNKLGNANWGDIGTLQVLLATFHCMAQFSGMPKYLLEDLATGHSSRLQNRRTERQLVDAHINGIGLFRFQQQSHSPEIVEVQDESVKIDSRETVKLEKGSALWMEELDYQTGFVIDEVITDGDIQSYIVTPIEESNQPGKKLMMYVGSVPSHLESAWEDMDLWYQVQRQNKVLNLMKQRGLSSKYLPQMVASGLMVHPGKCNKPASGRTCGHPWCGTPILVTSPAGETVSNMRRNGLFGPEEALRCCHDCLSALAIASSAGIRHGDIRPENVILVSNDGKHPSFVLIGWGHAVLEERDRPSMNLFFSSTYALQEGKLCAASDAESLIYLLYYSCGGEFPELDSVEVALQWREMSLSKRVIQQKLGDISAVLKAFADYVDTLCRTPYPIDYDIWLRRLRRTINEDHGKEIDASN >Ma07_p23030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30788359:30799151:1 gene:Ma07_g23030 transcript:Ma07_t23030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRNSVGSGPKRSSVSSRGRSRGSSSSSCKDFLRKFVDSEILTANLEDWLSGISEESEFRKPTLDVPFELTEIQNFDYALEGVSFQQLIRMPNSLYESTSDAVEATAHLAIEDFLHASVKGLWETFWGHDEPVPLSVACIHSTSSKFYPAEKAIARGKLEGICATAILLKNSRDLRGKWDQIVELALLRPDVGISMQNDQRPSPSVLGEALFFALRVLLARSLSKSSNVLRNSNCVFVLLVDSQYGGVVKVEGDVGKLNFDGNDVYECAAKWIKKHAKVTVSTIDRIWNKLGNANWGDIGTLQVLLATFHCMAQFSGMPKYLLEDLATGHSSRLQNRRTERQLVDAHINGIGLFRFQQQSHSPEIVEVQDESVKIDSRETVKLEKGSALWMEELDYQTGFVIDEVITDGDIQSYIVTPIEESNQPGKKLMMYVGSVPSHLESAWEDMDLWYQVQRQNKVLNLMKQRGLSSKYLPQMVASGLMVHPGKCNKPASGRTCGHPWCGTPILVTSPAGETVSNMRRNGLFGPEEALRCCHDCLSALAIASSAGIRHGDIRPENVILVSNDGKHPSFVLIGWGHAVLEERDRPSMNLFFSSTYALQEGKLCAASDAESLIYLLYYSCGGEFPELDSVEVALQWREMSLSKRVIQQKLGDISAVLKAFADYVDTLCRTPYPIDYDIWLRRLRRTINEDHGKEIDASN >Ma08_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2642150:2644325:-1 gene:Ma08_g03690 transcript:Ma08_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLCRSWVFSIFFCLSIAVPLATSLSFNFSSFDQSSRSKIQQQGDAYVANDRIQLTKNQLDGSITSSGGRAVYGEPLLLWDPETRELTDFTTRFVFAINGLNESSTGDGVAFFLSSYPSAIPPNSLGGGLGLFNSTQLAADLTFNNTVAVEFDTFKNDFDTSANHIGIDVNSVKSSAVVDWRSNIKDGREVNAWVSYNASTRNLSVFMTYAQDAGSGNSSLSYLIDLRRVLPEKVAVGFSAATGSGIETHALLSWSFNSSLLPKRKSKMGLVVGVVIGAAVLMVVLGSLGLILRRRRRRRRRTTGRDAEDEEELEFDRNMDDEFERERGPKRFAYQELADATRNFSEEEKLGEGGFGSVYRGYLKDSKLEVAIKRISRGSKQGRKEYVSEVKIISRLRHRNLVQLVGWCHDRGEFSLVYELMPNGSLDSYLYSTTRLLEWPARHRVALGLASALLYLHEEWEQCVVHRDVKPSNVMLDSAFNAKLGDFGLARLVDHDRGSQTTVLAGTMGYLAPECVNTGKASKESDVYSFGILALEIACGRRPVQLMEQASKVRLVEWVWELYGWRRLLEAADEKLGGVFDEKQMECLMVVGLWCAHPDYDRRPSIKQVINVLNLEAPLPELPPSMPVPMYYAPPIEAYRLSYASSDAGTTSASSASTACVTDSSNSNMSRGLSSTTSHLLKFQ >Ma04_p26380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27852832:27859044:-1 gene:Ma04_g26380 transcript:Ma04_t26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHQIVEKQDVTIHRLHTTVPNVSEEISEEFLLDFDDLAVQEIVYQSFQKNPHEHQCHASVNESRSNHEIGQSSHGGSSSVTTDILLQFTSDEAIAQQYEILDNLPADASFSALNQSEAAENISDQMSGGSSSADSPGQDTRQDSIDPDQMSYEQLQSLEEEMGNESRGLSDELISYLRDLKHKCGFFSKGKNEECVICKMNYRREWLITLPCKHCYHSHCIIRWLEDNKVRCYMTLL >Ma09_p18880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19224691:19227225:1 gene:Ma09_g18880 transcript:Ma09_t18880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNIKSDHAAVAEIDGRPVLQPASNRVTLSEAGWPLKKPLQKSVSLPTWFTKTAACFDVDSGDGNLSALPKLSPLPSPTSKPARIVPERGNEPNGLCSSTEKPVVPKVPVKSAAMVRKNPKKSAGGSQVAVSIDFSSAFEFDRVPGSIAAAQREHAALVQAQRKLRVAHYGRTAAKLEEMVVSVDHPGNGTSGHEEKKCCFITPNSDRVYVAYHDEEWGVPVHDDRMLFELLVLTGAQAGMDWTAILKKRNGFRAAFAEFDARTVSKFTERQMASISVEHGLDLGRVRGVVANANRIIEVRREFESLDKYLWGFVNHKPISTSYRSRRKIPAKTSKSESISKDMVRRGFRFVGPTVVHSFMQAAGLTNDHIVSCPRHRHCSTLSAIN >Ma09_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19224691:19227225:1 gene:Ma09_g18880 transcript:Ma09_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNIKSDHAAVAEIDGRPVLQPASNRVTLSEAGWPLKKPLQKSVSLPTWFTKTAACFDVDSGDGNLSALPKLSPLPSPTSKPARIVPERGNEPNGLCSSTEKPVVPKVPVKSAAMVRKNPKKSAGGSQVAVSIDFSSAFEFDRVPGSIAAAQREHAALVQAQRKLRVAHYGRTAAKLEEMVVSVDHPGNGTSGHEEKKCCFITPNSDRVYVAYHDEEWGVPVHDDRMLFELLVLTGAQAGMDWTAILKKRNGFRAAFAEFDARTVSKFTERQMASISVEHGLDLGRVRGVVANANRIIEVRREFESLDKYLWGFVNHKPISTSYRSRRKIPAKTSKSESISKDMVRRGFRFVGPTVVHSFMQAAGLTNDHIVSCPRHRHCSTLSAIN >Ma10_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25627988:25633831:1 gene:Ma10_g12590 transcript:Ma10_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARQAEAEAASTHVASFDRLRHVESMAQLPSGAGRISHLNAVILGESLASEENDLVFPSHDFSRKALVSSPEQYRKMYESSIEDPAGFWSEIASQFYWNNKWDPEVYSENIDARKGTVKFEWFKGGSTNISYNALDRNIEAGNGGKVAIYWEGNEPGDDGQLTYAELLEKVCQLANYLKHVGVRKGDAVVIYLPMLVELPIAMLACARIGAVHSVVFAGFSAEALAQRIIDCKPKAVITCNAVRRGSKAIHLKEIVDSALIESVKNGVSVGLCLTFENKSAMKREDSKWQEGRDVWWQDVVPQFPIKCSVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSAATFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGAPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRESDEYVTRYHRKSLRVLGSVGEPINPSAWRWFYNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGIETVIVDEKGNEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFSGDGCRRDKDGYFWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEIKGQGIYAFVTLVEGIPFSEELRKSLVLAVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASGQLDELGDTSTLADPSVVDQLITLRDC >Ma05_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:509068:513783:-1 gene:Ma05_g00820 transcript:Ma05_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase D6PKL3 [Source:Projected from Arabidopsis thaliana (AT3G27580) UniProtKB/Swiss-Prot;Acc:Q05999] MATTSTDIPEPPSHSKSAHRGSFRAPGVTAEPCFLIPDLLSNKDDLVVQEPTGFLGPAPPSSDARDERLSFVTARISDATAGSVGACSKISDVGGFLGAAPPSSSDARDDRLSFVTARTSDATAASVGACSKISDVAGDGSRRSRGSSSDGNADDECSSSSSSSNCSAWSTSWRSSSGSGGGRGNKPHQANDGRWDAIRAVRERDGYLGMNHFRFLDRLGNGDVGCVYLAKLTGTRFLFAMKVMDKAVLAWRKKLSRSQTEREILQCLDHPFLPTLYAHFETNNFSCLVMEFCPGGDLHSLQQRQPGKCFTEEAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVFPTLVKSTKTDLCSSKSSGKYAQPSCVEPTCMQHSCLQPTCFSPRFIGLGRPKAKKAIPSEVGDSGSVLPELIAEPSNAHSKSFVGTHEYLAPEIIKGEGHGSAVDWWTLGIFFYELLFGKTPFKGSGNRATLFNVVAEPLKFPESPPVSFAARDLIKGLLVKEPQQRFACRRGAAEVKQHPFFHSINWALIRCTSPPEVPRIIKHENWTDGEFPVILNGNMAGVNLKPCGQYLDMDFF >Ma04_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5357777:5359799:-1 gene:Ma04_g07400 transcript:Ma04_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFQQPACWRLEAGGQVMDVDTAVKDGILGGGAVISGLLDGKDGTLGTAKKHDLKEMIEEMDSAAEANDVPIVFICPISLEPMVDPVTLCTGQTYERVNILRWFSTGRLTCPTTMQDLWDDTVTPNRTLHQLIHAWFSQRYLRLMKRSKDVEGRARELVQCLEKVKGEARVQTLKELHKIVAAHPSIEKPVIDSGVLGILSSLLGPFTSHAVGSEISLVVDMLNEGTTKTKIQCARFIEVLMGAESFRFEIVSSLSLLVGLVRMVKDKREPDGVSAGLSLLKTICSHDQVRSSIVSIRAVAQLVERLPDLSPNSLESALQILDDLAAIPDGRSALKDCPQTIPNTVRPLMKVSEACTRHALSILWAVCKLAPEEFVYLAVEAGLATRLLLVIQSDCPPELKKQASDLLKLCSLNYTATLFISKCKLTRTMQ >Ma04_p07400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5357777:5359799:-1 gene:Ma04_g07400 transcript:Ma04_t07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFQQPACWRLEAGGQVMDVDTAVKDGILGGGAVISGLLDGKDGTLGTAKKHDLKEMIEEMDSAAEANDVPIVFICPISLEPMVDPVTLCTGQTYERVNILRWFSTGRLTCPTTMQDLWDDTVTPNRTLHQLIHAWFSQRYLRLMKRSKDVEGRARELVQCLEKVKGEARVQTLKELHKIVAAHPSIEKPVIDSGVLGILSSLLGPFTSHAVGSEVIAVLVNLNLDSEAMTDLMQPAKISLVVDMLNEGTTKTKIQCARFIEVLMGAESFRFEIVSSLSLLVGLVRMVKDKREPDGVSAGLSLLKTICSHDQVRSSIVSIRAVAQLVERLPDLSPNSLESALQILDDLAAIPDGRSALKDCPQTIPNTVRPLMKVSEACTRHALSILWAVCKLAPEEFVYLAVEAGLATRLLLVIQSDCPPELKKQASDLLKLCSLNYTATLFISKCKLTRTMQ >Ma06_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4066167:4066732:-1 gene:Ma06_g05470 transcript:Ma06_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKRTPLLARFRGAIQRVSFLLSFDATKWIVVSRLKRSPLGPRPLSFRAAQPSLLDCTEDYYDAGSSFSLSRTASLSSPVSRTTSLSPTVSGILRSTSDAASCGDDVDQRAERFIESFYRRLQMERQVSLELRYCKEKSLERTVSD >Ma02_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7652986:7653874:-1 gene:Ma02_g00970 transcript:Ma02_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELDLLLSCHDGFLLGPWLESAKRLARDPEQEQQFEWNARTQVTMWFDNTETEASLLRDYGNKY >Ma07_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9577404:9578343:-1 gene:Ma07_g12730 transcript:Ma07_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLETPGLVSLLRRSTERRAKMAGGGIFRMFKLRTAFTSGCKMAALAGRPNKSLLSGNSATITLFGYRRGRVSLAIQENPTSAPIFLLELPMLTSYLHKEMASGLVKIALESETKTHKKRLVEECMWAVYCNGRKSGYSMKKKQASDEERHVMQLLRGVSMGAGVLPYASEKDVADGELTYMRARFERVVGSKDSEALYMINPDGTGVPELSIFLVRMK >Ma05_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23247920:23261011:-1 gene:Ma05_g18300 transcript:Ma05_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSSYSRSLLRTLLGGSQRRIECRSVKHPILLWPPLAFLSSSSPYVAAGGVGGGSAAESITFVDAPKQKLERDGAGVIGYPQLLEGTGLGRFRDEAVACRMFHGPGAVLLFRTTVCSRPSKFVAGYCCENYATSSSSYSTYDGTMDGQKVCKVRDCNYSNLEEPVEKKVGKNISSVEKRKFLVNTLLDLKDSKEAVYGTLDAWVAWEHSFPLVTLKKALLVLEKEEQWHRVVQVIKWMLSKGQGTTMGTYEQLIRALEKDNRAEEAHRIWVKNISHDLHSVPWRFCDLMLSIYYRNNMLERLVKLFQELEAYDRKPPSKSVVRKVGDAYEVLGLLEKKNKLLEKYNNLFSEISDKTSRSSKRSKRVAGINGERTDRTHTSAKDSDSGPLDAEIDARV >Ma05_p18300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23247920:23261012:-1 gene:Ma05_g18300 transcript:Ma05_t18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSSYSRSLLRTLLGGSQRRIECRSVKHPILLWPPLAFLSSSSPYVAAGGVGGGSAAESITFVDAPKQKLERDGAGVIGYPQLLEGTGLGRFRDEAVACRMFHGPGAVLLFRTTVCSRPSKKVCKVRDCNYSNLEEPVEKKVGKNISSVEKRKFLVNTLLDLKDSKEAVYGTLDAWVAWEHSFPLVTLKKALLVLEKEEQWHRVVQVIKWMLSKGQGTTMGTYEQLIRALEKDNRAEEAHRIWVKNISHDLHSVPWRFCDLMLSIYYRNNMLERLVKLFQELEAYDRKPPSKSVVRKVGDAYEVLGLLEKKNKLLEKYNNLFSEISDKTSRSSKRSKRVAGINGERTDRTHTSAKDSDSGPLDAEIDARV >Ma05_p18300.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23247940:23261011:-1 gene:Ma05_g18300 transcript:Ma05_t18300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSSYSRSLLRTLLGGSQRRIECRSVKHPILLWPPLAFLSSSSPYVAAGGVGGGSAAESITFVDAPKQKLERDGAGVIGYPQLLEGTGLGRFRDEAVACRMFHGPGAVLLFRTTVCSRPSKFVAGYCCENYATSSSSYSTYDGTMDGQKVCKVRDCNYSNLEEPVEKKVGKNISSVEKRKFLVNTLLDLKDSKEAVYGTLDAWVAWEHSFPLVTLKKALLVLEKEEQWHRVVQVIKWMLSKGQGTTMGTYEQLIRALEKDNRAEEAHRIWVKNISHDLHSVPWRFCDLMLSIYYRNNMLERLVKLFQELEAYDRKPPSKSVVRKVGDAYEVLGLLEKKNKLLEKYNNLFSEISDKTSRSSKRSKRVAGINGERTVSSSPRD >Ma05_p18300.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23247492:23261011:-1 gene:Ma05_g18300 transcript:Ma05_t18300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSSYSRSLLRTLLGGSQRRIECRSVKHPILLWPPLAFLSSSSPYVAAGGVGGGSAAESITFVDAPKQKLERDGAGVIGYPQLLEGTGLGRFRDEAVACRMFHGPGAVLLFRTTVCSRPSKFVAGYCCENYATSSSSYSTYDGTMDGQKVCKVRDCNYSNLEEPVEKKVGKNISSVEKRKFLVNTLLDLKDSKEAVYGTLDAWVAWEHSFPLVTLKKALLVLEKEEQWHRVVQVIKWMLSKGQGTTMGTYEQLIRALEKDNRAEEAHRIWVKNISHDLHSVPWRFCDLMLSIYYRNNMLERLVKLFQELEAYDRKPPSKSVVRKVGDAYEVLGLLEKKNKLLEKYNNLFSEISDKTSRSSKRSKRVAGINGERTDRTHTSAKDSDSGPLDAEIDARV >Ma05_p18300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23247936:23261011:-1 gene:Ma05_g18300 transcript:Ma05_t18300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSSYSRSLLRTLLGGSQRRIECRSVKHPILLWPPLAFLSSSSPYVAAGGVGGGSAAESITFVDAPKQKLERDGAGVIGYPQLLEGTGLGRFRDEAVACRMFHGPGAVLLFRTTVCSRPSKFVAGYCCENYATSSSSYSTYDGTMDGQKVCKVRDCNYSNLEEPVEKKVGKNISSVEKRKFLVNTLLDLKDSKEAVYGTLDAWVAWEHSFPLVTLKKALLVLEKEEQWHRVVQVIKWMLSKGQGTTMGTYEQLIRALEKDNRAEEAHRIWVKNISHDLHSVPWRFCDLMLSIYYRNNMLERLVKLFQELEAYDRKPPSKSVVRKVGDAYEVLGLLEKKNKLLEKYNNLFSEISDKTSRSSKRSKRVAGINGERTDRTHTSAKDSDSGPLDAEIDARV >Ma01_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12767692:12773583:-1 gene:Ma01_g17430 transcript:Ma01_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSEPGTAETYMMSGVGSIPNMAIVPPPVGIELMGNQLPPEYVFDQGLSYSAADSYGYLCTGYESPGEWGDHHSIFGLDGHDMHYMGLQAENVPYVYYTPGYGYAQSPFNQCTPYIPSAVTGLDGPYVGAQQYMNIPSYRPPISSPAYIPVIVQPSPDFMTNNTIDPAFLGAGAIATRPVNAGVKNTPPQASVSAAASSRLAASMTPFVMSDESHPSHKNQAAAKPFEGSQINIPPSNQFALQEAVMYGSGTHGHQGVGPDLMQSTYQFSHGRVPSIQNYVKVAAPSNSGLTNRGSNVLGLTGWDEQRRGPQFQGIAIDAGRNPDVLAEQNKGPRTNRPKDQFSLSGLESSESIQKSASDGQGGCIIIDPDHYNKDDFPVDYPDARFFVIKSYSEDDVHKSIKYNVWSSTHNGNRRLDGAYEDAQSKSVGRPRKCPVFLFFSVNASGQFCGLAEMVGPVDFDKDMNFWQQDKWSGSFPVKWHIIKDVPNSSLRHIILENNENKPVTNSRDTQEIPYSAGIDMLKIFKTSLLKTSILDDFMFYEERQKKMLEDKFRHLGSSYNTYVPAFVSVSGPADKAGQPSGEDGNQPSGTGKITQAVGHQTISESEQSSKYKEKLQDDTNSRPSKVVGEHSGVTVGQSQKTDGKQSSVAISLSTNADGKCLTDTKDPPPIANGVQPNKVVRPPNADAKSRPANRPPKSDGKESSLVMNQLPKAEIEPRSLEVPPQADGKQTKWKISQPPKSDGNPARAKDVNGVGKGGPGGEQFKEKTQLASESSAATDHKGTNVVSKAGAPSLGPKLRAAKSPGTMEPEVISPDFVKVGSVPIKVKDLGESSMVMSVGTLPTDSKGPKPSKKADSQQPKK >Ma05_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26216392:26226689:1 gene:Ma05_g19100 transcript:Ma05_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQEYDDTSNSSGYSSPLGRLENLLSHPSNRYCADCGSPDPKWVSLNLGVFICIKCSGVHRSLGVHISKVISVKLDDWTDEQVDSLIDGGGNAAANARYEAFLPDNIIKPKPDSSIDERSEFIRRKYELQQFSSSNVQIGYAMSPDANTSFQNNVTNNKLLEKQHTGIRHGLGHAFRNSWRKKETEHKAVKKMMGMVEFVGLIKVNIVKGTNLAVRDMMTSDPYVILNLGHQSMKTRVIKSSLNPVWNEKLMLSIPDPIPPLRLQVYDKDTFSTDDRMGEAEIDIQPLVAAAKAYENSSISESMQLGKWLATDDNTLVKDSVISLVDGKVKQEITLKLQNVERGELEIELECVPLSQ >Ma06_p30450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31722986:31727450:-1 gene:Ma06_g30450 transcript:Ma06_t30450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLESAPPPVKESVSSFHCDQCDKELVRKIARLLLPGLATACVDNTTGLFTGPASVAVIVRKEMVDYLTQRSQMYIAEAAVQGGDGINSVEELSDHPIDIISVLVEEFASSKRNLFSRVSGWLLSESREEKIDDFVQEMETNVFWSMERREAIAEILLGNVDLNSTFHCSMKFDTAQQLADHRSQCGFRILNCTNAGCKAKFSAIHAEEHDLVCHFKVIPCEQMCSESIMRGEMDRHCITVCSMKLVNCPFYQVGCESAFPQCNLGKHCTEFLQSHLMNVLQVVHKQGASVEELNQRVQLLEKSQSLSELSEALDVRSLVLIIKEQEAKMKKLERDLCKVRDHQELIKNVK >Ma06_p30450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31722986:31727427:-1 gene:Ma06_g30450 transcript:Ma06_t30450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLESAPPPVKESVSSFHCDQCDKELVRKIARLLLPGLATACVDNTTGLFTGPASVAVIVRKEMVDYLTQRSQMYIAEAAVQGGDGINSVEELSDHPIDIISVLVEEFASSKRNLFSRVSGWLLSESREEKIDDFVQEMETNVFWSMERREAIAEILLGNVDLNSTFHCSMKFDTAQQLADHRSQCGFRILNCTNAGCKAKFSAIHAEEHDLVCHFKVIPCEQMCSESIMRGEMDRHCITVCSMKLVNCPFYQVGCESAFPQCNLGKHCTEFLQSHLMNVLQVVHKQGASVEELNQRVQLLEKSQSLSELSEALDVRSLVLIIKEQEAKMKKLERDLCKVRDHQELIKNVK >Ma06_p30450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31722986:31727450:-1 gene:Ma06_g30450 transcript:Ma06_t30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLESAPPPVKESVSSFHCDQCDKELVRKIARLLLPGLATACVDNTTGLFTGPASVAVIVRKEMVDYLTQRSQMYIAEAAVQGGDGINSVEELSDHPIDIISVLVEEFASSKRNLFSRVSGWLLSESREEKIDDFVQEMETNVFWSMERREAIAEILLGNVDLNSTFHCSMKFDTAQQLADHRSQCGFRILNCTNAGCKAKFSAIHAEEHDLVCHFKVIPCEQMCSESIMRGEMDRHCITVCSMKLVNCPFYQVGCESAFPQCNLGKHCTEFLQSHLMNVLQVVHKQGASVEELNQRVQLLEKSQSLSELSEALDVRSLVLIIKEQEAKMKKLERDLCKVRDHQELIKNVK >Ma11_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3133628:3138061:-1 gene:Ma11_g04040 transcript:Ma11_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPETAEEGKTLADAWDFKGRPAVKSTTGGWTSAAMILGVELCERMTTLGIAVNLVTYLTGTMHLGNAASANVVTNFLGTSFMLCLLGGFVADTYLGRYLTIAIFTAIQASGVTILTISTAAPGLRPPECSNPLGGGCVTANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEGDRAEKKQMMRFFSWFFFFISIGALMAVTVLVYIQDNVGRRWGYGICAVAIVAGLAVFLSGTSRYRFKRLVGSPLSQIASVVVSAWRKRRLDVPDSSMLHDIDTAEGCSAPYSNKKKQRLLHTKQFRFLDRAAIVEGDATVDQTKWRLSTLTDVEEVKQVIRMLPTWATTIMFWTVYAQMTTFSVSQATTMDRHIGPSFEIPAGSLTVFFVGSILITVPIYDRLIVPAARRLTGNPQGVTPLQRIAVGLVLSIVAMCAAALTERKRLRAARTDPTAAVVPLTVFWLVPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFLSSTLVTIVHKVTGESGKGAWLPDNLNKGKLYDFYWLLAVLSVLNLVVFAAAARGYVYKEKRMGDESVNGVELAEEACCHA >Ma00_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26429318:26433591:1 gene:Ma00_g03190 transcript:Ma00_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADARQRIIGSWARQCHGTTKVGLPRKLNLSCLSRDTLLRQLLGLSWDCLSHKAPLRHMRPQRFSLVVTSYMSRSIYKTKS >Ma03_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25719654:25731398:-1 gene:Ma03_g20550 transcript:Ma03_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFQAIPIIDISAFLEKIDDPRMGDDKELLEVIRQLDDACKEAGFFYVKGHGIPDSLVKEVKDATSKFFNLPNEEKVKIKMTPASGYRGYQEVAENITLGKPDMHEAIDCYREMEAGKYGALGQLLEGSNLWPDYPSNFKVVMEEYICLLTDLSRKIMRGIALALGGPPDAFEGDRAGDSFWVMRLIGYPVLSDIPELQRTETGCGAHTDYGLLTLVNQDDEINALQVRNRSGEWISAPPIPGTFVCNIGDMLKIWSNGLYESTLHRVINNSPKYRVSVAFFYEPNFDAAVEPFDFCMQKTGGTPKFGKVVYGEHLVGKVGNNFRY >Ma09_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:20683998:20685777:-1 gene:Ma09_g19160 transcript:Ma09_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSARAEPGNVVTLNVGGQLFQTTPQTLALAGPDSILSSLASSLPSSSSVPFIDRDPDLFAALLSLLRTGRIPSRATAAFDHDDLLAEARFYALHPLFLSSLSSHALFDAFALRRSLLLPLPGRDACSSLAPSPLDASLLVAHGGKVSSFDPSLRRRATVLTPLPAVDSLLALGPLAAAGAADFPGLHLLDLGHPGGPVRHVLRWCPHPSAPAAAVQAIGSSPDLLFCSFESCRRNASAILAFDLTTFQPVTEIARREIYGAELDSAIPATKLQWVPGSNLLMAAGSHGGPSGLLGDIRLWDVRSGEAIWELKEKHNCFADVTVSDGLSAMFKVGINSGEVFMADMRKLSSEEPWICIGDGRRPATGKKEGGGCRIESYGRHVFCSRGGDVEMWTEVVMGCWRRGEEGLESERVMRRNLMGGTKDGGGKKINMLGFGGNRMVVARQEEQWVEVWESSPRS >Ma06_p37120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36281793:36283906:-1 gene:Ma06_g37120 transcript:Ma06_t37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRGETCPCMPRGAAPFYHQQRRHHVVVVPPKAQTCNMSSRWTRGAVSLGASGLPGPVLDMDVLVASGPPSVSERFRHSFPSHLLLSFHIPHIEEEIAQISKSYPKRVRIFSSRIVLLGANLLPMKPAVSVMFLLFLVLLLSANRSQGTRVLEEESLAPLHRQVHEKESLMKEAEEEESGVPGELLRRGSQGDLSGKSRKLMTNAMATSKSGKSNDVGADSAQNNQKHSKDEADRSQDDTHTAPPASKRPPTYPDILDIAGMDYSPAKRKPPIHN >Ma05_p25290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37249609:37256399:1 gene:Ma05_g25290 transcript:Ma05_t25290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSDRDIEDTVFGKVGENHDYRVAYQGSRLVEEPLAVDDFLVAGNSAGSSKTKGVPWNGQMPDNCFKQYNIGSESNTCSTSNPIRANMGAAEEANICQFLFDNNASANSKLTFLENDNVQENDLLYYDWSDMSNFEDIDTMFRNCDPTFGQSSNTDGLSWISSSSNGIFGPDDNFTSGFEASTSEFREFNDASTYCANIRSLPGGSTLEVNDHNQSCLTHRSCQLDTRTKQAFDGGGNGETNSALTEFANVNNLGECEPHLNIQMQQIYGQYLSEVEGKGLEPCPSQLLPKENFFMKSDSSCMHVLKPDSHIEDKLLYQDLLLSTTSSGITESTQNSSSSFKISANVISDTSHGMGNLQDLSKDPVMQLKEMVEKPTTGPSELASLIDKQHDNFEQEIGSERGNVSLELYTTDMDSTVGKSSSMPLVVSDDISGKAISFHQFQDVIGQLNLRTKLCIRDSLYRLARSAEQRHSFAAANHEHLERTRGVNGTGRSRNRTTAYMDIETDTNPIDRSVAHLLFHRSLGGATRSADDSLSLESHMMA >Ma05_p25290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37249609:37256399:1 gene:Ma05_g25290 transcript:Ma05_t25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSDRDIEDTVFGKVGENHDYRVAYQGSRLVEEPLAVDDFLVAGNSAGSSKTKGVPWNGQMPDNCFKQYNIGSESNTCSTSNPIRANMGAAEEANICQFLFDNNASANSKLTFLENDNVQENDLLYYDWSDMSNFEDIDTMFRNCDPTFGQSSNTDGLSWISSSSNGIFGPDDNFTSGFEASTSEFREFNDASTYCANIRSLPGGSTLEVNDHNQSCLTHRSCQLDTRTKQAFDGGGNGETNSALTEFANVNNLGECEPHLNIQMQQIYGQYLSEVEGKGLEPCPSQLLPKENFFMKSDSSCMHVLKPDSHIEDKLLYQDLLLSTTSSGITESTQNSSSSFKISANVISDTSHGMGNLQDLSKDPVMQLKEMVEKPTTGPSELASLIDKQHDNFEQEIGSERGNVSLELYTTDMDSTVGKSSSMPLVVSDDISGKAISFHQFQDVIGQLNLRTKLCIRDSLYRLARSAEQRHSFAAANHEHLERTRGVNGTGRSRKTTAYMDIETDTNPIDRSVAHLLFHRSLGGATRSADDSLSLESHMMA >Ma05_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37032764:37037639:1 gene:Ma05_g24910 transcript:Ma05_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSVAVSSSVLLLLLLLLAPAATSGRPLAAGKPDPDDAAATARWLVAQNSWGVLSTISSDLGGSPFGNVVSFSDGEPGEGCGIPYFYLTTLDPTARDALTDGRSSFTLSEFALGSCGKIDPENPTCAKLTLTGKLKLVDSQSSEAEFARDALFAKHREMKDWPKNHNFQIFKLEIEDIFLIDWFGGPKPLSPAQYLNHGKELSVI >Ma11_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23941854:23944626:1 gene:Ma11_g18930 transcript:Ma11_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPIVRPSRIPGFLKPYVLKMHITDKHVSAQVIHTPTTEVAVSASSQEKLLRPSIGSTRDVAAAAKIGKLLGERLLLRGIPAVSVFLKKEQKYHGKVKAVIDSMRDAGVKLL >Ma07_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4220580:4221953:1 gene:Ma07_g05820 transcript:Ma07_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSCLCCFSLGEQEASRRSSESHGHPWRTYRLRELIHATENFHQGNKLGEGGFGAVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILARVRHENLLSLRGFSAGRKERLIVYDYMPNNSLHCHLHGRRSPEVLLDWRTRMRIAIGAAEGLAYLHHEASPHIIHRDIKASNVLLDADFCPKVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMLGKVSESCDVYSFGILLLEIVSARKPIEKLSGGVMRDIVQWASPLAANGAWDRIADPRLGGRFKQDELQDAVVIALRCADINPDNRPTMKEVVALLKGR >Ma11_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12616000:12620790:1 gene:Ma11_g11210 transcript:Ma11_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPTHPPTPVSTVPVANITTEQIQKYLDENKQLILAILENQNLGKLVECAQYQAQLQKNLLYLAAIADAQPNAPAVRPQMMPHGSIPQAGHYMHQAPMFSPRTPLQYNPQQMQEQQLHHQSQGMVFPGQMIFRPGAANGVQSMHTGLPPGGNANPHANPSMAGFPLTGTSSSTVHGCGSKQDTISAAVAADDSHRSSGSEHGSGDADQSHIKRPEDAKAS >Ma08_p29470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41043719:41044520:1 gene:Ma08_g29470 transcript:Ma08_t29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSLLMQRQKHPQKRKSWCGRVMEVIILWKSVVKPTAAKSEGITANSNKSRLRKCTSLKAASSLTRVCLCAPISSYNEVLQAEVLPRRSYSYSRSKPFAAPPERSVTSMRTSGEGRRVFRGKSLTDDMMMRRFVVEEEAMMQLKRRNQMEFIRKRSTMRRRQIGPSPLSRMVMAGKE >Ma02_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20016395:20016877:1 gene:Ma02_g10460 transcript:Ma02_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFFRFLKIVGVGFKARTEAEGRQLFLKLGYSHEVEFSVPPAVRVFCFKPNVICCTGIDKERVHQFAGAVRSCKPPEVYKGKGIMYIDEVVKKKQGKKSK >Ma02_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17837789:17842057:1 gene:Ma02_g07000 transcript:Ma02_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKVRSLNVADPEARPVLVPGGNKARSVATAQKPTSKPLSKTEGGAEVAATEEKKKTSSPTADLPHFRSSLSASSALRRHEMLLPSNLSMNASCSSEASTDSFCSRASTGRIGRASLISKRRQSVPRTGKIVTKVDKNISDDAAMPPLDLVQGKRKCAWVTPNTEPCYACFHDEEWGVPVHDDKKLFELLVLSGALAELTWPVILSKRHMFREVFLDFDPVAVSKLNEKKIVVPGSTASSLLSEPKLRAIIENARQILKIVDEFGSFERYCWSFVNHKPIVSKFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTIIYSFMQAAGLTNDHLISCFRFEGCIAAASSSMEAADEAKGKSDSKGEEKINTGQELMVGIDLELSRAVDELSIP >Ma02_p07000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17837610:17842057:1 gene:Ma02_g07000 transcript:Ma02_t07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKVRSLNVADPEARPVLVPGGNKARSVATAQKPTSKPLSKTEGGAEVAATEEKKKTSSPTADLPHFRSSLSASSALRRHEMLLPSNLSMNASCSSEASTDSFCSRASTGRIGRASLISKRRQSVPRTGKIVTKVDKNISDDAAMPPLDLVQGKRKCAWVTPNTEPCYACFHDEEWGVPVHDDKKLFELLVLSGALAELTWPVILSKRHMFREVFLDFDPVAVSKLNEKKIVVPGSTASSLLSEPKLRAIIENARQILKIVDEFGSFERYCWSFVNHKPIVSKFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTIIYSFMQAAGLTNDHLISCFRFEGCIAAASSSMEAADEAKGKSDSKGEEKINTGQELMVGIDLELSRAVDELSIP >Ma11_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11896726:11896899:-1 gene:Ma11_g11130 transcript:Ma11_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTGEEELGALGGAMFVPVETDRAEIGLANGEAGLAGKLVHFISAFLLKAPIMMSWT >Ma05_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8795406:8799263:-1 gene:Ma05_g12110 transcript:Ma05_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKAFASTRGIAGANELAGLVVSVIWRGWLVSIEEHRAASKKRRDVTSLIR >Ma11_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9251893:9253317:1 gene:Ma11_g09990 transcript:Ma11_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGWHRGQVIGRGSSATVSLATALTSGEVFAVKSAELSRSAILQREQRILCSLDSPYVISCFGFDLSLPTPSGGLYYDLFMEYAPGGSLSDEIARRGGRLDEVAIRTHACDVLRGLAYLHSRGVVHCDVKGRNILIGSDGRAKIADLGCASRIRGDEEEVEGDCQRLRGTPMFMAPEVARGEEQGPAADLWALGCTIIEMATGRPPWPDVSDPVIAIHRIAFSQETPRFPSWLSGEGKDFLSKCLRRDPRERWTAEQLLHHEFVASSSKHCPRSKPDADRSWVSPKSTLDQASWESLPEQDEETVEHLDDPSARIQPLVCSCGPNWTWEENWSTVRRDGDPIELMSWNSTGRADSTTDSPHSSHCSIDGNGNTSNSCNTSLAFVSRQREARFSESGNVSDNHEQRIQSKICYWLVCFHLIGYRLSSFPFCRCCCLICHAWTEMRGVLND >Ma07_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4045411:4046813:1 gene:Ma07_g05580 transcript:Ma07_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQAAPLLPAIESRRDDELYWLRSCLRWMCQDQSNAVLAVVSWVALFVLAVAVPAMAHFMLSYRRPYDLVVQLSLTVAAALSFLTLSAATHRYGLCHFFFLNKLPGQSARVRLAYAAQLRSSFRLLALFIAPCCAAEVAYKLWWYSFSAIRIPFLGNRVATSCVACALELASWTYRAASFFVVCVMFRSICHLQILRLQEFAVAFQAESEAVAVLNEHLRLRRQLKVISHRFRGFILWGLILVTASQFAAVLVITRPHSDDNLFNIGELAPCSIVLVTGMLICLHSAAKITHKAQALTSHAAKWHGCATVESFSIDPEAASEVITGGDGDSDEEEDSEEDELEDTKIVHPHVHTISFQKRQALVTYLENNGAGITIFGFTVDRSWLCTLFTLEISLFLWLLGKTIGIS >Ma09_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3936266:3939133:1 gene:Ma09_g06100 transcript:Ma09_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESVLSSRRSPPDPEEVEENGDESKTRKNTTPFATRVSKHASAALSGQCAAVLIFLLILLATAASFLISRPVVCVSPYDSLARTALFGATLDGLASDFGSLGVPWCRSKQGKVVEWTRKDLLKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPETRIISLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWASVMKKHGISDLSRVLVFFDDHQNELKRLKQALKAGFLHLIFEDNYDTGTGDHYSLRQICDQDYIRGGGHSCFRDSDEARIRMKRKKFWEKAVDRDELCGGDEEWWGVRGYMRDDFNHSNKAISYDEHFQNSRFVESALDVYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGLFQRLGLARLGTSVFNGYTQMVYVQISASS >Ma01_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12746910:12747275:-1 gene:Ma01_g17390 transcript:Ma01_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVTRTSRSFVAPCEETPRGSLGLSFIDREPVFRYVVRSLHVFRHGREPARVIREALSKALVKYYPFAGRFADDPDGGGEVRVACTGEGVWFVEAKTDCSLEDMNVGSSPYVGLDNWAY >Ma09_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27310008:27312206:1 gene:Ma09_g20050 transcript:Ma09_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLRTHSRIELLHRGYGVAERHSLSSTPKLQNSENKLSCRRDYKRRPKRGFVRASSCQLLELVPEIKKENLEFDLPLYDPSKGLTLDLAVVGGGPAGLAIAQQVSEAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDATWPGAVVYVDDQKKKLLGRPYGRVNRKQLKSKMMQRCILNGVQFHQAKVVKVIHEETKSFLICSDGVTIQAAVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDLDKMVFMDWRDSHLKDGTELKERNSRIPTFLYAMPFSSTRIFLEETSLVARPGLQMEDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIIANSIVQFLGPNHGLLGSELSSQVWKDLWPIERRRQREFFCFGMDILLKLDLQATRRFFDTFFNLEPHYWHGFLSSRLFLPELVTFGLSLFSHASNTSRLEIMSKGTLPLVNMINNLLREKN >Ma04_p33970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33354353:33359471:1 gene:Ma04_g33970 transcript:Ma04_t33970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREADTKGPRTSVALEHPPATSSSPSAAVYPEWSGFQAYPSIPPHGFFHSPVVSSPQAHPYMWGAQHLLPPYGTPPPPYVMYPHGIYTQPSVPPGSQPFSPYAMTYPNGSAETCSVPAITEGDIKSSEGKERNSIQRLKGSLGSLNIIAGKNSNEPDKTSVAGDRVLSQSDSGSDDSSEGSDAKSEDDLEQKTRGKQELLDEISRNGTNGVITAPTWATSHQTMPIMHMLPAGVPGVVAGPTTNLSIGMDYWVSPTSAIPPEHGKVPAAAATGAMISGALVGATEKVPSEIWQQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEALRDENAALQAEVEQIKKEYDALVALNATLKERTGETTKEKEDLIIKERSHHADDNVQRNMDSDPPTGPSENNQIDQ >Ma04_p33970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33354353:33359471:1 gene:Ma04_g33970 transcript:Ma04_t33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREADTKGPRTSVALEHPPATSSSPSAAVYPEWSGFQAYPSIPPHGFFHSPVVSSPQAHPYMWGAQHLLPPYGTPPPPYVMYPHGIYTQPSVPPGSQPFSPYAMTYPNGSAETCKSVPAITEGDIKSSEGKERNSIQRLKGSLGSLNIIAGKNSNEPDKTSVAGDRVLSQSDSGSDDSSEGSDAKSEDDLEQKTRGKQELLDEISRNGTNGVITAPTWATSHQTMPIMHMLPAGVPGVVAGPTTNLSIGMDYWVSPTSAIPPEHGKVPAAAATGAMISGALVGATEKVPSEIWQQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEALRDENAALQAEVEQIKKEYDALVALNATLKERTGETTKEKEDLIIKERSHHADDNVQRNMDSDPPTGPSENNQIDQ >Ma03_p27280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30709569:30717403:1 gene:Ma03_g27280 transcript:Ma03_t27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPFVKKDDDLDEEEEYSPFHGIEKGAVLQEARVFHDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDTVLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASGALVSGVHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTKGSVRSPLAQCLLIRYTNQVIRESSSNTQAGERPFFDYLESCLRHKAEMVVFEAARAITEFNGVTSRELTPAITVLQLFLSSSKPVLRFAAIRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIVILIRDIPDAKEIGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRACAVSTLAKFGAMVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLNTLGSDASVGGTDEDVKDFLFGPLDVPLVNLETSLQNYEASDIPFDIYSVPREVKSQPLAEKKAPGKKTAGLGAPPSGPTSVVDAYEKLLSSIPEFSSFGKLFKSSSPVELTEAETEYSVNAVKHIFDGHVVFQYNCTNTIPEQLLEMVTVFVDASEAEDFSEVVSKPLRTLPYDSPGQTFLAFEKPEGVPATGKFSNLLKFVVKEVDPATGEAEEDGVEDEYQLEDLEIVAADYMLKVGVSNFKNAWESMDPGNERIDEYGLGVKESLAETVTAVIDILGMQPCEGTEVVSSNSKSHTSLLSGIFVGNVKVLVRVSFGIDGSKQVAMRLAVRSEDPEISEKIHEIVAEG >Ma03_p27280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30709550:30717403:1 gene:Ma03_g27280 transcript:Ma03_t27280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPFVKKDDDLDEEEEYSPFHGIEKGAVLQEARVFHDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDTVLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASGALVSGVHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTKGSVRSPLAQCLLIRYTNQVIRESSSNTQAGERPFFDYLESCLRHKAEMVVFEAARAITEFNGVTSRELTPAITVLQLFLSSSKPVLRFAAIRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIVILIRDIPDAKEIGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRACAVSTLAKFGAMVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLNTLGSDASVGGTDEDVKDFLFGPLDVPLVNLETSLQNYEASDIPFDIYSVPREVKSQPLAEKKAPGKKTAGLGAPPSGPTSVVDAYEKLLSSIPEFSSFGKLFKSSSPVELTEAETEYSVNAVKHIFDGHVVFQYNCTNTIPEQLLEMVTVFVDASEAEDFSEVVSKPLRTLPYDSPGQTFLAFEKPEGVPATGKFSNLLKFVVKEVDPATGEAEEDGVEDEYQLEDLEIVAADYMLKVGVSNFKNAWESMDPGNERIDEYGLGVKESLAETVTAVIDILGMQPCEGTEVVSSNSKSHTSLLSGIFVGNVKVLVRVSFGIDGSKQVAMRLAVRSEDPEISEKIHEIVAEG >Ma05_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6723931:6725954:-1 gene:Ma05_g09260 transcript:Ma05_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAFPSTSEMFNHQDASNFDELSMEQSLLFSDTLKDLKKLKLQLYSAAEYFELSYATDDNKLLVFNTLKEYAIKALVNTVDHLGSVSFKVNSLLEEKVDELSGVEFRVSSIEQRIRTCQELVDREGLLQQSLVIKAPSYSKQYTFPVGKSMPDSGRHAAPKYENLNADNDNNTEPNKSHAGKILMHFP >Ma03_p26750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30364430:30366935:1 gene:Ma03_g26750 transcript:Ma03_t26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPMLRQELANLDKDADSRRSAMTALKSYAKDLDSKRIPSGERTISLYEVLARVHGRNIVPQIDSIMSTIMRTLSSSGGSSALHQACSRVVPAIARHGIDPSTPDDEKTRIVRSLCKPLSDALMGSHVSAAAGAALCLKALVESGNWTSAPGEMVNEVCLRVAVALEEKATQTSAHMSLAMALAKHNGLVAEAYSRSLVRSGLQILAAGAAASNSQKRLSAVQMINFLMKCVDPRSISSEVFKIVDVMEKCQADDKMPLVRSAASEALQTAKALSTQRGSKLETGSSPVVNSNFRRRNQRSPRHAREHLGSVCSPESHTVDSSIKNDVFADSPVSVGQSSCSIESSRHPNRRLWSRDACSVDVSLKDGLFLKRMDFEHISEGKPSDSDEERPEAFSGFAPANDTEVAGDTTPSPQRPISRLRIDDIKIYTTPRKLIRSLQDLTEPDTENTKNQSIALPISQSSHEVVEWKPNGAFDKDRQPQNLNSEAEQRCFEDVEWLGKMNHHKDAEPAQDGTESVSSTGDVPESSICKVLDGADYEDKNSVVVKSRNRIGYTTAALGLIWGAFMVLLATIFSSMWTDNDELVFDMVPT >Ma09_p27340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38339665:38341419:-1 gene:Ma09_g27340 transcript:Ma09_t27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQADSAFYLFLLPNASALPSIAAVIVVAISVLWLYPGGIAWALSRAGRSIPGPPGMVLALSGSAAHRVLARLAESLKASDLMAFSFGFTRFVVSSHPDTAREILNSSAFADRPVKESAYELLFHRAMGFAPFGEYWRNLRRISATYLFSPTRIAAFGEDRTAIGQQMIQDVMASMETNGVVGMKKVLHFGSLNNVMISVFGKRFDFGNAEGMELERLVTEGYELLGAFNWSDHFPLLRWVDPQDIRKRCRRLVARVNVFVGSIIEEHRRRRSGGDLTNEVCDFVDVLLDLEKEERLSDSDMVAVLWEMIFRGTDTVAILLEWIMARMVLHQEIQSTAQSEIDAVVGSSRLVADADIANLPYLRSIVKESLRMHPPGPLLSWARLAVHDVHVGPSFVPAGTTAMVNMWAITHDGRIWADPDDFKPERFMEENVSVLGSDLRLAPFGSGRRVCPGKALALATVHLWLAQLLQRFKWVPAETGVDLAESLKMSLEMQTPLVCRAFPRR >Ma06_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12619322:12621164:-1 gene:Ma06_g18440 transcript:Ma06_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKMGALPQLSESRSSFQPSKDHGPVIEEIQGLIKVCKDGHVERLPAVVDVPCTWAPEPDVASKDVAVGHGGLWARLYVPRVQTQKLPLLVYFHGGGFCVGSAAWRCYHEFLARVASQAPCVVLSVNYRLAPEHRLPVAYEDGLAAVRWVRQQMSHRAADEPGWWLAHCDFARVFLGGDSAGAAIAYNVAAQLGSFGVPESALLKPACLRGMVLIQPFFGGVARTSSEKNLVQSSRSALSLTTSDCYWRLALPPGADRDHPWCNPLAKGSPKLEDLRLPPALVCISELDILRDRNMEFCKAMRSAGKSVEQATYAGVGHAFQVLHNYHMSHARTTEMLTHIRAFIYSR >Ma04_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14801305:14811999:1 gene:Ma04_g16100 transcript:Ma04_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MASFAPAAIVVLVVVVAVCPSSALAEARKAPWRMHTLFSVECQDYFDWQTVGLMHSYRKARQPGPITRLLSCTDEQRRRYRGMGLAPTFEVPSMSRHPRTGDWYPAINKPAGVLHWLEHSEDADNVDWVVILDADMIIRGPIVPWELGAEKGRPVAAYYGYLRGCDNILSRLHTKHPELCDKVGGLLAMHIDDLRALAPLWLSKTEEVREDRAHWGTNITGDIYGKGWISEMYGYSFGAAEVGLRHKINDDLMLYPGYIPRVGVEPILLHYGLPFKVGNWSFRKIRHHEDGIVYDCNRIFPPPPFPREVEMMEADLNKRRGLFLSIECINTLNEGLLLHHASMGCPKPQWSKYLSFLKSKRFSELTKPKYLNRKKPSSQISEHRIISIESRNVYPKIHTLFSTECSSYFDWQTVGLVHSFHLSGQPGNITRLLSCTDEVLKQYKGHNLAPTHYVPSMSQHPLTGDWYPAINKPASVLHWLNHVETDAEFIVILDADMIMRGPITPWEYGAQRGRPVSTPYEYLIGCDNELAKIHTRNPSACEKVGGVIIMHIDDLRKFALLWLHKTEEVRSDKAHYATNFTGDIYGSGWISEMYGYSFGAAELNLRHIIRRDILIYPGYVPEPGVKYKVFHYGLRFGVGNWSFDKADWGNVDMVNTCWAKFPEPPDRSSLSTSDENILERDILSIECGKALNTALYLHHQSRKCPIPSEGNSNFSVVEDELKHVTNPAANVISIHASSRMGMSPWIRMVALWAFSVLGFLVVISMVLSNRKGENLKAKGSRSKNV >Ma04_p16100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14801830:14811999:1 gene:Ma04_g16100 transcript:Ma04_t16100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MIIRGPIVPWELGAEKGRPVAAYYGYLRGCDNILSRLHTKHPELCDKVGGLLAMHIDDLRALAPLWLSKTEEVREDRAHWGTNITGDIYGKGWISEMYGYSFGAAEVGLRHKINDDLMLYPGYIPRVGVEPILLHYGLPFKVGNWSFRKIRHHEDGIVYDCNRIFPPPPFPREVEMMEADLNKRRGLFLSIECINTLNEGLLLHHASMGCPKPQWSKYLSFLKSKRFSELTKPKYLNRKKPSSQISEHRIISIESRNVYPKIHTLFSTECSSYFDWQTVGLVHSFHLSGQPGNITRLLSCTDEVLKQYKGHNLAPTHYVPSMSQHPLTGDWYPAINKPASVLHWLNHVETDAEFIVILDADMIMRGPITPWEYGAQRGRPVSTPYEYLIGCDNELAKIHTRNPSACEKVGGVIIMHIDDLRKFALLWLHKTEEVRSDKAHYATNFTGDIYGSGWISEMYGYSFGAAELNLRHIIRRDILIYPGYVPEPGVKYKVFHYGLRFGVGNWSFDKADWGNVDMVNTCWAKFPEPPDRSSLSTSDENILERDILSIECGKALNTALYLHHQSRKCPIPSEGNSNFSVVEDELKHVTNPAANVISIHASSRMGMSPWIRMVALWAFSVLGFLVVISMVLSNRKGENLKAKGSRSKNV >Ma05_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:232291:233630:-1 gene:Ma05_g00330 transcript:Ma05_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRTIEMTLISAKDLNDVNVFSKMDVYAVVSIAGDPRSSQRTPTDKNGGKSPSWNATLRFYVPVDPAAAGRLVLHVLLRAERTLGDRDVGEVQIPLKELLVDGGGKPSSGPQFVSYQVRKTGSGKPKGVLNLSYKFLDAPAAAADHAPPPPVAYPAAAPPSAGYPAPGTDSKPAFAYPAAAPPPPAGYPAPGTDSKPANPVTVNPAPGSSGPAYPPPGKDFKTGEPATAYPPPGPSEPYPHAYPPPPQYGYAAPPAGYGYPPPPQQYGYAPPPSGYGYPPPQAGYGYGAAQPPKKNKFGGGMGAGLGAGLLGGAIGGLLIGDMVSDAGSYDAGYDAGFDDAGGFDF >Ma06_p25670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26040398:26045427:-1 gene:Ma06_g25670 transcript:Ma06_t25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHQLYGLTGANLSCEHPSPRNLVLIEWTLDSTKSALGNSPSSPLSSQFECDNLSVPSNIQDQHSSTDTIPGVSLSGYSPLDTDSRFKPSQVHLPEGIQIQSNSLSAASSQSMKHALQEIETALMAPDTDEPTTSTDPELKENKQTQLPKQRSRTWTHEIQLESPSLVHPCYLSGRNLNPSHEVRPEKRLREMRKPSGNDVKQLLIKCAETLSKNKIKEFELLVEKARSVVSITGVPIQRLGAYMLEGLVARHKSSGTNIHRALRCREPESKELLSYMRILYDICPYFKFGYMAANGAIADALKKEDRIHIIDFQIAQGTRWVTLIQALAARPGGPPHVRITGIDDPVAEYTRGDGLHVVGKMLLDMSKKFNIPLEFKGLPVCEPEVTKEMLDVRLGEALAVNFTLQLHHTPDESDDVNNPGDGLLRLVKSLSPKVMTLVEQESNTNTTPFSSRFVETLDYYSAMFESVDVMLPKESKDRINVEQHCLAKDIVNIIACEGKDRIERHELLSKWRSRLSMAGFRPYPLSPYVNSVIKTLLGYYSDKYTLMEKDGALLLGWKNRNLVSASAWH >Ma11_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26564069:26566948:1 gene:Ma11_g23060 transcript:Ma11_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQATHLSLVFPARNLPRVFELDRGYRFACRVKSPKVSSCLVSDAQQKVEASLELASRESKEVMEMERNVFVGTYARAPVVIKSGRGCKLYDVDGKEYLDMTAGIAVNSLGHGDQDWLNAVVEQANTLTHVSNVYYSIPQVTLGKRLVESSFADRVFFTNSGTEANEAAIKFARKFQRFSHPDKKLPATEFIAFTNSFHGRTMGALALTSKEQYRLPFEPVMPGVTFIEYGNSEEAKKAIQPGKIAAVFVEPIQGEGGIYSATKDFLQALRTACDEAGALLVFDEVQCGLGRTGHLWAHEAFGVMPDMMTLAKPLAGGLPIGVVLTTERVAAAISSGDHGSTFAGGPLVCHAALAVLNKIQEPSFLASVTRKGLYLKELLLKKLEGSPHVKEIRGFGLIVGIELDVQASPLVDACRDAGLLVLTAGKGNVVRLVPPLVVSKEELEQAAEVLSACLPSLDGNTSNK >Ma07_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30420651:30431038:-1 gene:Ma07_g22510 transcript:Ma07_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLRNGSVERDVEQAITALKKGAHLLKYGRRGKPKFCPFRLSNDESLLIWYSGKDEKQLKLSQVSKIIPGQRTAIFQRYPRPDKEYQSFSLIYNDRSLDLICKDKDEAEVWFVGLKALISHGSHQKLRSESRGDRTSSDSPSTHTQKISPFTSPFSGSDISHKDSKDDQQVNIPYESHPVKSLGRVFSDVILYTAPARSLFHSESLGKSISSYSSGAADNANGQASAVDTVRVSLSSAVSSSSHGSGHEDFDALGDVFIWGEGLGDGVLGGGLQRVGISSTAKIDASLPKALESAVVLDVHNLACGRGHAVLVTKQGEVFSWGEESGGRLGHGNDADVFQPKLIDALSGMNVELVACGEYHTCAVTLSGDLYTWGDGVHSSGLLGHGSDVSHWIPKKVCGPMEGQHVSSVSCGPWHTAIVTSAGQLFTFGDGIFGALGHGDRRSTNIPREVEALRGMRAVRAACGVWHTAAIVEILDASSDSGSSSTGKLFTWGDGDKGRLGHGDGETRLLPTCVVSLSDSFCKVACGHDITIGLTTSGRVYTMGSTVYGQLGNPEADGKLPTCVEGKIYNSFVEEISCGAYHVAVLTSRTEVYTWGKGTNGRLGHGDSDDRNTPTLVEALKDKQVKSVVCGASFTAVICLHKWICSADQSICAGCHLPFGFRRKRHNCYNCGSVFCKACSSKKSTGASLAPNINKPYRVCDECYTKLKKAMGDGKIPRFPKHQSGSTNQMPGELADKDSLAPRMQGQFSRLSSVESFKGEGRDSRESNNRRHNPMPNQLRNLYPSSSSKFFQASSKKIFSASVPGSRVASRSTSPTSCKPSSPHSMPTAPGIDLTCMENLDVDSKPNNEDLRQEVIMLQAQVAELMCKSRLLEVELQKATKQLIDTKAIASEETAKCKAAKEVIKSLTSQLKVMAEGVPEGCLISHNCGYSYTSDSLKLPSSDNITGNLLASQVSESNSNLGNPLASNGNHTLPEAAEWVEQAEPGVYFTISFLPGGNKCLKRVRFSRKRFSEQQAEKWWSENRSWLQEKYTILSGENSTTGST >Ma06_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1474932:1476585:-1 gene:Ma06_g01810 transcript:Ma06_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKISTVIIKVDLDCCLCSKKIKKALCKLQKRFKIQSIVYDEKKNTVIVSGPFNPDCLIKKLCCLACKVIKDIQIKPDDPPPPPPPPPPPPEPAPPPPEPAPPPPEPAPPPPEPAPPPPAPEPPAPAPEPPPAPAPDPPPPKPEPAPPKPVPAPLAPPPEVLVKLPLWAFPAPVWPVCCYQPCPCYEPRHGCCRCCSCGQVSDGAPPPAAVPPPPAMYYGRPPCYEADGYKIVCEEEPYYGCFIM >mito4_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000015.1:509432:509587:1 gene:mito4_g00040 transcript:mito4_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFKLEPPLPVRHIVKMSLSVCYVIKCFCLKFFSLEYGGIEEPGLSAMIICL >Ma07_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15638938:15645068:-1 gene:Ma07_g16870 transcript:Ma07_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIGKEAAAKIEPHEAFSRARCDAEIVGESQPPCSHGESMGLTTTDTTKNYITVENEQPDLAQNEIVTREETGDKVVEERGHENLVKPQSSTPNRAAVLPDENSDGKAKKTEGLVNVDKALQEFSDVERIGVYSGQASYRMASSSLRPVGSSVQNQLDPVSSSIRN >Ma00_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:20191912:20194984:-1 gene:Ma00_g02720 transcript:Ma00_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFTSKEIENGMLWEVEGKWVVKGAVDVDIGANPSAEGGEDEGVDDTATKVVDIVDTFRLQEQPAFDKKQFVTYIKRYIKLLTPKLDEEKQELFKKHIEGATKLLLSKLKDLQFFVGESMHDDGSLVVAYYKDGAIDPTFLYFAYGLKEIKC >Ma07_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31969586:31972130:1 gene:Ma07_g24690 transcript:Ma07_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRDSEAAAVGGGGGGTARLVAGELRELWSMAAPITALNCLVYLRAMVSVVCLGRLGPLELAGGALSIGFTNITGYSVLFGLASGLEPLCSQAYGSRNWELISLSLQRTILLLLLVAVPIAVLWVNLGPILVALGQDPAITAVAATYCLHSLPDLLTNALLQPLRVFLRSQGITRPMATCSAAAVLLHIPLNVLLVFVLRLGVPGVALAAVVTNLNMALFLLGYLRVSRACELTWRGWSRAALRGLSPVLRLALPSCVGVCLEWWWYEIMTVLAGYLPDPTAAVGATAVLIQTTSLMYTVPMALAACVSTRVGNELGAGRPNRAKMAALVALGCAVVIGVIHVVWTTIFREQWARLFTADASVLRLAAAALPLLGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGAPVAVGLAFQLRVGFGGLWYGLLTAQAVCVVLVLAVVLLRTDWQVEALRAKKLTNLEFPVIAEEGMGLMITDSDDDEAVQV >Ma04_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23945238:23945357:-1 gene:Ma04_g21390 transcript:Ma04_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTWHSCHSHRWRCSSHRLHHLRSSRLPSKGREGERR >Ma04_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25979328:25983087:1 gene:Ma04_g23910 transcript:Ma04_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQQQGGATYGLPPSEMAPFSTSAVGPGAPLLGIPGPDPLQQQQPLAETASPISSRPPPAGSAPSADFDELVPAVTGNFPDDVVLAAGDDAERGTGATANRWPRQETVALLKIRSEMDAVFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKDGRAGRQDGKSYRFFSQLEALHSGSAAAFPPPAVAASSAFSAAMAGPPPGRAQPISSVAPPTMAMPTRAVMPEMTPPLGGLQGISSLATGGAAAAAMGISFSSNSSSSSSSESDEETEEAAERQEGRKRKHSGRGSGNSRKMMAFFDRLMRQVMERQEVMQQRFLEAIEKREQDRMIRDEAWRRQEMARLNREQEVLAQERAMAASRDTAIISYLQKISGQTFRVPAMPATPISIVPLPPHQPSHAPPPQPAAPQQQLHPPPLQQQKPVQPLPQKHEVQRHLQSSEIAHHQPSSATESVPGSEPQDAVGRASLQEAMSSSSRWPKAEVHALIKIRSALESKFQDAGPKGPLWEEISARMQQLGYNRRAKRCKEKWENINKYFKKVKESNKLRPEDSKTCPYFHQLDTLYRNRLLGSSSGSGSGSTAGIQGQQGHETNPPSSQQQGNALTIMPQQKASPPPPQSPQQQQPATEVESNNGKSSSDNNQNGGNSEGGEGPGGSEVPTSNGELSPSFFDVGLKKKTL >Ma04_p23910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25979332:25983597:1 gene:Ma04_g23910 transcript:Ma04_t23910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQQQGGATYGLPPSEMAPFSTSAVGPGAPLLGIPGPDPLQQQQPLAETASPISSRPPPAGSAPSADFDELVPAVTGNFPDDVVLAAGDDAERGTGATANRWPRQETVALLKIRSEMDAVFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKDGRAGRQDGKSYRFFSQLEALHSGSAAAFPPPAVAASSAFSAAMAGPPPGRAQPISSVAPPTMAMPTRAVMPEMTPPLGGLQGISSLATGGAAAAAMGISFSSNSSSSSSSESDEETEEAAERQEGRKRKHSGRGSGNSRKMMAFFDRLMRQVMERQEVMQQRFLEAIEKREQDRMIRDEAWRRQEMARLNREQEVLAQERAMAASRDTAIISYLQKISGQTFRVPAMPATPISIVPLPPHQPSHAPPPQPAAPQQQLHPPPLQQQKPVQPLPQKHEVQRHLQSSEIAHHQPSSATESVPGSEPQDAVGRASLQEAMSSSSRWPKAEVHALIKIRSALESKFQDAGPKGPLWEEISARMQQLGYNRRAKRCKEKWENINKYFKKVKESNKLRPEDSKTCPYFHQLDTLYRNRLLGSSSGSGSGSTAGIQGQQGHETNPPSSQQQGNALTIMPQQKASPPPPQSPQQQQPATEVESNNGKSSSDNNQNGGNSEGGEGPGGSEVPTSNGELSPSFFDVGLKKPEDIVKELMGEPPQQSVMDDYEKLDEADSINLDQDDDEDDDDDDEARKMQYKIQFQRQNVTAGGGGNESAAAATAGSFLAIVQ >Ma11_p09640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8701213:8712906:-1 gene:Ma11_g09640 transcript:Ma11_t09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNGMVECSVCHSKFVSPSPRSVSRAYDKHRNQVSSKYRALNFLVMGDCILVGLQPILVYMSKVDGKFKFSPISVNFLTEVAKVLFAIIMLLFQARRQKVGEKPLLSISTFVQAAQNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIVMRRRFSIIQWEALALLLIGISINQLRSLPEGSTALGLPITMIAYVYTLVFVTVPSMASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGIVGTAIFKGPGSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTVNFILGISIVFISMHQFFSPMAKVKDETQVGKLEMIEAQHPRSKDASFINMTAGAAEDVNHRTGHDERQSLLPI >Ma11_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8701213:8712906:-1 gene:Ma11_g09640 transcript:Ma11_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNGMVECSVCHSKFVSPSPRSVSRAYDKHRNQVSSKYRALNFLVMGDCILVGLQPILVYMSKVDGKFKFSPISVNFLTEVAKVLFAIIMLLFQARRQKVGEKPLLSISTFVQAAQNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIVMRRRFSIIQWEALALLLIGISINQLRSLPEGSTALGLPITMIAYVYTLVFVTVPSMASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGIVGTAIFKGPGSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTVNFILGISIVFISMHQFFSPMAKVKDETQVGKLEMIEAQHPRSKDASFINMTAGAAEDVNHRTGHDERQSLLPI >Ma04_p34720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33762102:33779483:1 gene:Ma04_g34720 transcript:Ma04_t34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNAVFSPRPSSTVFLHHAPPRCSTDCSFRNLIPISQATAPRRQDGKIGGCSSPGRRRVVSERIRKGRYKNQIFASVADVSLEQSIVDSPIPRGDMWSVHKFGGTCMGTSKRIQSVADIILSDSSERKLVVVSAMSKVTDTMYDLVNKASSRDDSYITAIDNVFEKHMLTAKELLDGEDLARFLSQLYNDISNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLSYTIKKHGKPCCWMDTRDVLIVNPTSSNQVDPDYTESERRLGKWFVQQSADIIIATGFIASTPQNIPTTLKRDGSDFSAAILGALVRARQVTIWTDVDGVYSADPRKVSEAVILRTLSYQEAWEMSYFGANVLHPRTIIPVMNHAIPILIRNIFNLSAPGTMICQPPVNENGDKRSLESVVKAFATIDNLALVNVEGTGMAGVPGTASAIFGTVKDVGANVIMISQASSEHSVCFAVPENEVKAVSAALHSRFRQALEAGRLSKVEVIPRCSILATVGQKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRGLRAAHSRFYLSKTTLAMGIIGPGLIGGTLLDQLRDQAASLKEKFNIDLRVMGITGSRTMVLSETGIDLSKWREIQKEKAEVADLDKFAKHVHENHFFPNTVLVDCTADTNVANNYYDWLRNGIHVITPNKKANSGPLDRYLKLRMLQRLSYTHYFYEATVGAGLPIISTLRGLLETGDKILHIEGIFSGTLSYIFNNFEGTRAFSEVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVQSLVPEPLRACSSPEEFMQQLPNFDKELSEERDAAEALGEVLRYVGVVDAVNEKGRVELRRYKREHPFAQLSGSDNIIAFTTTRYKDQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >Ma02_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5336380:5336757:1 gene:Ma02_g00450 transcript:Ma02_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTFKIIMNIQNAISIHHISLNSGCNMVLKYEPDINSSNKISFLNKNLFFDLFHLLHDQNKRRYTQMYHRGFIFSINSYGLDKKKILERDIQLFSLFFHN >Ma02_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17788380:17791529:-1 gene:Ma02_g06940 transcript:Ma02_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAVPFWRAAGMTYITYSNICASLVRGCLKEPYRSEAVSREKVHFIVSKWADGKPEKPSIRSDSPEA >Ma07_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10104683:10110693:-1 gene:Ma07_g13420 transcript:Ma07_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRNQERLRWSKLYTFACLRPTVLLDNEHRHSLQGPGYSRIVHCNQPGSHRKKPLKYPTNYISTTKYNIVTFLPKAIFEQFRRVANLYFLLAALLSLTPVTPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDVKVNSRKVSVHRGEGHFGYRHWQKIRVGDIVKVEKDQFFPADLLLLSSSYADGICYVETMNLDGETYLKVKRSLEVTLPLDDDAAFSNFTATIRCEDPNPSLYTFMGNFEYEQQVYALDPSQILLRDSKLRNTAYVYGVVIFTGHDSKVMQNATQSSSKRSKIEKKMDKIIYILFTFLMLISLISSVGYAVMTKFGMPHWWYMQPNKTSYIYNASEPALSGFFHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDLHMRDGETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGVSYGVDSSEVEIAAAKHFASEASGTSEQHSSTHDFWENSRSGVGSSEIELEDGNTSMVEKPQKPATRGFSFEDDRLMQGNWTNEPNAGIILLFFRTLALCHTAIPEPNEKTGGFTYEVESPDEGAFLMAARQFGFEFSKRTQSSVFVRERYSASEDPLEREFKVLNLLEFNSRRKRMSVIVRDDTGQILLLCKGADSIILDRLSRNGRVYERDTIKHLNEYGEAGLRTLALAYRVLGESEYSAWNAEFLKAKTTIGPDREAELERIADMIERDLILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICLSMETSDILSQDIKKAAKENILMQITNASQMIKLEKDPNAAFALIIDGKTLTYALDDDLKNQFLSLAVNCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRIFGWMGNGLYSSIAIYFLNIHIFYNQAFRAEGQTADMAAVGTAMFTCIIWAVNLQIALTMSHFTWIQHLFVWGSVATWYLFLVAYGMSSSLISGNGYQILLEALGPAPMYWAATLLVTLACNIPYLAHISFQRAINPLDHHVIQEIKYFKKDVEDQHMWKRERSKARQKTDIGFSARVDAKIRQLREKFQRKVSSLSIQTQS >Ma04_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24946850:24949857:1 gene:Ma04_g22680 transcript:Ma04_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLFCARVNVLLLLLALCSPCKFIQSPMDFGPLSFLQKTSTACVDFGRILFNSPSAVLRPQSSEDISLLLSFLSSSSFSRVTVAARGAGHSIHGQAQALDGIVIEMDALPSNIEIHKKGEGVVGVSYADVSGGALWIELLHESLKHGLAPRSWTDYLYLSVGGTLSIGGISGQTFKHGPQISNVLQLDVVTGKGKLVTCTPTKSPELFFAVLGGLGQFGIITRARILLQDAPEKVKWVRAFYDDFDTFTSDQELLISMPELVDYVEGFVVLNEQFLHGSSTAFPTHLDFTPEFHSNGSSNVYYCIEFAVHDDGSKNASTEEVVEEIARRMSHLPSHLYGAEVSYYDFLNRVRMEEMSLRSVGLWEVPHPWLNMFVPKSGIKAFRDLLLETISPDEFAGPILLYPLLRDKWDTNTSVVLPDAAGGAAEDERVLYIVGVLRSANPASCTAECLRDLLRLNRGIADAASAPGIGAKQYIPHHPSPSHWREHFGRRWERLAARKARFDPLGILAPGHGIFPRISSASSSSSSSSSL >Ma06_p25600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25974094:25977997:-1 gene:Ma06_g25600 transcript:Ma06_t25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLKLINYIVPMLATAILLLLLFSHYLDLPSLSSPVPISTSVRPRGPKAAFTDLVAAFELWDAEVGCAWFREKHAGWATNASAIRPDDDNDECAAARMSHVSVLVKGWTWIPDSMDDLYPCRCGMTCLWTKSPVLADNPDAVLFESVTPPATRKKGDPLRVYMDLEASRKPSGFEDIFIGYHAKDDVQSTYAGTLFHKSRNYHVSSQKRNDVLVYWSSSRCLPQRNQLAKRFLSLIFHHSFGECLNNVGGPDAALSLYPECSMSENLVPHWWDHLHCAMSHYKFVLAIENTITESYITEKLYYALDSGAVPIYFGAPNVWDFVPPHSIIDGSKFSSLEELASYVKELADDPIAYAEYHSWRRCGVMGNYGKTRAASIDTLPCRLCELISRKGGRNARS >Ma08_p04840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3353033:3358069:1 gene:Ma08_g04840 transcript:Ma08_t04840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metallopeptidase EGY3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17870) UniProtKB/Swiss-Prot;Acc:Q9LMU1] MASALLLLPPSLAFASSPFSFHPKIQCWHQSPRLLSPPRRVPLRTPPKFSARDQEEKANPPSSSVAVVPDEGDGDDRSPASEGVAGDGGESDEEAQKQQQEVDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKELDRQSDVNPVTAFFKNVLRDSLTREKERLEKAEEAFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPIEEVLPKLEKKLSEAAGREVVLWFMEETKDDITKQIATRVTAGRYGVKLSPSFLIPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLASLVLAITAFIIDGSFNGGDNALFIRPQFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQALFGRNTATLLSFGTSLLLGIGGLSGSVLCLAWGLFSTFFRGGEELPARDEITPMGDDRYAWGFVLALVCFLTLFPNGGGTFSSSFFGPPYFRGEI >Ma08_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3353033:3358069:1 gene:Ma08_g04840 transcript:Ma08_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metallopeptidase EGY3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17870) UniProtKB/Swiss-Prot;Acc:Q9LMU1] MASALLLLPPSLAFASSPFSFHPKIQCWHQSPRLLSPPRRVPLRTPPKFSARDQEEKANPPSSSVAVVPDEGDGDDRSPASEGVAGDGGESDEEAQKQQQEVDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKELDRQSDVNPVTAFFKNVLRDSLTREKERLEKAEEAFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPIEEVLPKLEKKLSEAAGREVVLWFMEETKDDITKQVCVVQPKAEIDLQFEATKLSTPWGYVSAVVLCVATFGTIALMSGFFLKPGATFDDYIADVVPLFSGFLSILGVSEIATRVTAGRYGVKLSPSFLIPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLASLVLAITAFIIDGSFNGGDNALFIRPQFFYNNPLLSFVQLVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQALFGRNTATLLSFGTSLLLGIGGLSGSVLCLAWGLFSTFFRGGEELPARDEITPMGDDRYAWGFVLALVCFLTLFPNGGGTFSSSFFGPPYFRGEI >Ma01_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10272113:10277449:1 gene:Ma01_g14030 transcript:Ma01_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDTQDLLVECCVEFINLLSSESNEVCNREDKKTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKLDTLDSPKGGKFSGIEMTEEEALAEQQRMFAEARARMNNGVSIQKQPEADNNLES >Ma02_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18174786:18176617:1 gene:Ma02_g07530 transcript:Ma02_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYANSLQGFFNCHPFTSHPSFSALPLPRHNILTNFTNYATSHLPSPLPPPPPASPPLREALPLLNLAPTPHHHQEEEEASCSFLEEDAETATDEKKKVSQDDGENEEDAVTVALHIGLPSPSAADLSSMVFSASVDEEKGREEDRDHDLGDDVPLGYPAIGRLRRGQYWIPTPSQILIGPTQFPCPVCSKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKAFGSGHSAYGIDCLEEDDELSSEIEQDSNHRHQLP >Ma07_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2865918:2867587:-1 gene:Ma07_g03740 transcript:Ma07_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAKACNPGGEGCGGGDRKGMHYRGVRKRPWGRYAAEIRDPAKKCRVWLGTFDTAEEAARAYDAAACRFRGPKAKTNFPCPAESLACATGLAAGTAAPASPSNSTIESSTSSRRPAVGPSPLNLALRRPSSLSPFPHSDLIAAAKKSAAVRDFRGPACGGVESDSDSSSVVDCPRSPTSVWPTDTIRFDLDLNLPPPPEFA >Ma03_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10671424:10673995:1 gene:Ma03_g13560 transcript:Ma03_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLGGCRVLMAAARAGTKEVAAAPAAAASKPKLGLLKPRPVSPAMKKFLGVPEISRVEAVKKIWEHIKANQLQNPTNKREICCDEKLKGIFDGRDKVGMMEIAKLLTPHFPKSN >Ma06_p14510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9929293:9944776:-1 gene:Ma06_g14510 transcript:Ma06_t14510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGAPRKVGISEEDVSLLLQRYNPTTILTLLQEVSEAAGVRIDWNALVKRTATGITSAREYQMLWRHLAYHHTLLETIEDGAEPLDDESDLELEIEAVPAVSGEALSEAAACVKKQPQSTGTSAEGLDGNGMAGPSIAPKKRRKPWTKEEDMELIAGVQKFGEGNWANILKGDFKHNRTASQLSQRWAIIRKRDTNLLASSGNKSMSSTRSEERLATQKAISLALDMPNSGRLSAILSGGTQSITPASSSALSAALSEALPVSSQPLNQLQQASTLATSQKITLNTSNKPRTTPKKSMVPVKPSSGPNSLIQAAAFAAGGRIATPSTAASLFKAAQSKNAVHIRPGGGARPSPINNVKTLAVTNSTGPQSTGVRISRPSVMAGPPAANPVSVSSGTRYGCQQVQGCSGRVGSNPLNTTSTNQQEIIESNSGEVSHEMTEQKGDIDISSIDVDELLAEEVKCVDEMELDGTMSHDDQMDLLSLDTNTNEDNDFNNTYDAQASVDEQMDPPDSMVAENIIMENNVVSSDVEAAESKALEVDDNDDQFLLEKGTASFNGEQNKISPVGNLNAQDHIVNQEQLISEEVMADNVRESCTNDQQHALPEDTASGSKIPIDDDSISTNDKEVE >Ma06_p14510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9929293:9944776:-1 gene:Ma06_g14510 transcript:Ma06_t14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGAPRKVGISEEDVSLLLQRYNPTTILTLLQEVSEAAGVRIDWNALVKRTATGITSAREYQMLWRHLAYHHTLLETIEDGAEPLDDESDLELEIEAVPAVSGEALSEAAACVKVLISCGVPREQGLTSRTAIETPLAVNGFNNQALRVSSDKQQLSQSNYGTDITVPTLQKQPQSTGTSAEGLDGNGMAGPSIAPKKRRKPWTKEEDMELIAGVQKFGEGNWANILKGDFKHNRTASQLSQRWAIIRKRDTNLLASSGNKSMSSTRSEERLATQKAISLALDMPNSGRLSAILSGGRIATPSTAASLFKAAQSKNAVHIRPGGGARPSPINNVKTLAVTNSTGPQSTGVRISRPSVMAGPPAANPVSVSSGTRYGCQQVQGCSGRVGSNPLNTTSTNQQEIIESNSGEVSHEMTEQKGDIDISSIDVDELLAEEVKCVDEMELDGTMSHDDQMDLLSLDTNTNEDNDFNNTYDAQASVDEQMDPPDSMVAENIIMENNVVSSDVEAAESKALEVDDNDDQFLLEKGTASFNGEQNKISPVGNLNAQDHIVNQEQLISEEVMADNVRESCTNDQQHALPEDTASGSKIPIDDDSISTNDKEVE >Ma06_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9929293:9944776:-1 gene:Ma06_g14510 transcript:Ma06_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGAPRKVGISEEDVSLLLQRYNPTTILTLLQEVSEAAGVRIDWNALVKRTATGITSAREYQMLWRHLAYHHTLLETIEDGAEPLDDESDLELEIEAVPAVSGEALSEAAACVKVLISCGVPREQGLTSRTAIETPLAVNGFNNQALRVSSDKQQLSQSNYGTDITVPTLQKQPQSTGTSAEGLDGNGMAGPSIAPKKRRKPWTKEEDMELIAGVQKFGEGNWANILKGDFKHNRTASQLSQRWAIIRKRDTNLLASSGNKSMSSTRSEERLATQKAISLALDMPNSGRLSAILSGGTQSITPASSSALSAALSEALPVSSQPLNQLQQASTLATSQKITLNTSNKPRTTPKKSMVPVKPSSGPNSLIQAAAFAAGGRIATPSTAASLFKAAQSKNAVHIRPGGGARPSPINNVKTLAVTNSTGPQSTGVRISRPSVMAGPPAANPVSVSSGTRYGCQQVQGCSGRVGSNPLNTTSTNQQEIIESNSGEVSHEMTEQKGDIDISSIDVDELLAEEVKCVDEMELDGTMSHDDQMDLLSLDTNTNEDNDFNNTYDAQASVDEQMDPPDSMVAENIIMENNVVSSDVEAAESKALEVDDNDDQFLLEKGTASFNGEQNKISPVGNLNAQDHIVNQEQLISEEVMADNVRESCTNDQQHALPEDTASGSKIPIDDDSISTNDKEVE >Ma06_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7045218:7048320:-1 gene:Ma06_g10160 transcript:Ma06_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREELLRNLVSKLGELAPLVELWNKGFGWLCSTVGSEISRLLSVEDDVQKLHRTLLRAQSLLSDAEDRRYIVDESVKGWLLELKAVAFDADDLLDRYRTLLDVAKLAKDGDSRKRKRFWFGFIGPDLGLLQRRSIGIEVAKIQDRFKEIADGRNNLQLKPSDGSRRAKSSPDSVPPLAVACFDRSNVVGRDEDCERIVRALTKECETVPSVIPIYGVAGVGKTALAQLVFDHFSEKDRGENHPNPKRPSGDDKGKGPAVAVGDDEYFDLKIWVSLPKGCDVITATKEIVDDITKKTCNDRSLNILHHRLKELLDGKKFLLVLDNFWAEDCTFWDTLRAPLRYGAKGSKVLITTRSKVVSSRMTTQPVLPLEGLNESDCWALLRGVAFPHPEETVVSNLEEIGRKIVSRCQGSPLAAKSLGAILYDENDEEIWESIHQEMWALEENNNEILSRLMISYRHLTYPLKQCFAYCSLFPNGYEFDKDEVVQMWIAEGLVQRNGPRKPEAIGGRYFDRLLWGSFFERSHKQKYRMPSLIHDLARLVSKNELLIVEDGVLHDPPERPRYASLFHPNLSTVTLEKLYAYERLRTLRSYGESKVGQVPKDLFFKLKWLRLLDLSNSDIEELPDSVGDLLLLRYLGLRGTGIRRLPESVSNLYNLKTLELSECDKLSELPKGTSKLVNLRHLGLHLDWEKDADLNSMPPGIGRLTSLQTLSRFTVTAGSECNIGELKDLNLKGELCISKLEKMADAGDAGAANLMGKKYIRKLALRWTAQPLQTSADENRRRNPHEQVADRLRPHWNLEHLWIVNYPGRTFPNWMDDRSLWKLETMRLSGCVGCERFPSLGRLPRLKKLHVEKMDRLRNLGNILGFPTLEVLTIRNMLILEKLFEVEAGEIPTLRELNLVSCPKLRELIPLPSTTIKLEISDCELLNSAS >Ma07_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9550068:9552839:-1 gene:Ma07_g12680 transcript:Ma07_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSCDHASSALLCAEDNSCVLGFDDEDDGDCEEELRISLLSEHKRRNFYGDPLTDIPPQSDECLASLVERESEHMPREDYAERLRYGALDSSIRTDTIDRILKVHAHYNFGPLSAYLAVNYLDRFLSNYELPKGKAWMTQLLSVACLSLAAKMEETEVPLSLDFQVGEANYVFEAKTIQRMELLVLSTLKWRMQALTPFSFIDFFLHKFSGGNAPTKTVVSRSMELILSTVRGADFLAFRPSEIAAAITLLVSGERQPVDVEKAVSCCLQVAKEKVLRCCEVIKDMELMRSRPLRNDNISFSSVPPSSIGVSSAVCLSCKDDDLTVESHATSHHNSSATKRRKPSRQ >Ma07_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:562207:562783:-1 gene:Ma07_g00660 transcript:Ma07_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISRSMLAAARSSSPRSPPPVARLRPALVSGPRVQLHRLSFGPPRSLGALGCAQSFLPLYSVVAAPCLTSHLSVSARACCELSQGTFCRTCQDR >Ma07_p00660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:560202:562782:-1 gene:Ma07_g00660 transcript:Ma07_t00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISRSMLAAARSSSPRSPPPVARLRPALVSGPRVQLHRLSFGPPRSLGALGCAQSFLPLYSVVAAPCLTSHLSVSARACCELSQGTFCRTCQDR >Ma07_p00660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:561992:562783:-1 gene:Ma07_g00660 transcript:Ma07_t00660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISRSMLAAARSSSPRSPPPVARLRPALVSGPRVQLHRLSFGPPRSLGALGCAQSFLPLYSVVAAPCLTSHLSVSARACCELSQGTFCRTCQDR >Ma10_p27210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34585596:34585739:-1 gene:Ma10_g27210 transcript:Ma10_t27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEDGVEEAEEEYVELDLADSTDSSVLVNGNEGEEQWGTNKGTPSA >Ma04_p34520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33670992:33674334:-1 gene:Ma04_g34520 transcript:Ma04_t34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLPHSQNWGNIHVLQNFLAFVTFFIWTPLISSFFYYLMDRFSNCLMEFKFRIGPAQNIKTITKEHLKNYRSTHYTAPRMVISAAGAVKHEDIVDQVKKLFTNLSNDPPTASQLVAKEPAIVTGSEVRIIDDDIPLAQFAVAFSGASWTDPDSIALMVMQSMLGSWNKNTGSGKHMGIAHNLMKYVVIHYTLNKLIAYLKDIHIYFCVCTNAHICIKLSFGKIDKFFCSSGVDKPLNNSIWTLKSSLQLHIDGTSPVAEDIGRQILTYVRRIPVAELFARIDAVDANTVKRVANRFIFDQDVAIAAMGPIQGLPDYNWFRRRTYLLRH >Ma03_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5339849:5342540:1 gene:Ma03_g07590 transcript:Ma03_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPEVVLSSTSPATGARAIPVLGMGTGLDRSALEQRPATKHAILRAIELGYRHFDTAAIYQSEDVLGEAIAEALQAGLIHSRAELFITSKIWCADAHRDLVVPAIRESLRNLKLDYLDLYLIHWPMRLKTGESYPPIESHHILPFDLKSVWEGMEECHRLGLAKHIGVSNFTTWKLAELLRYAKVPPAAVQVEMNPTWQQKKLREFCKEKGVHVIAYSPLGGQDMFMGKNLVMESQVLKDIAKAKGKTVAQVSLRWVYEQGASMIVKTLNKERIEENMKIFDWELSDEDKHKISQLPQFKRNTVRSMLSPEAASKLPDEDEVVEE >Ma08_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10544952:10551572:-1 gene:Ma08_g13360 transcript:Ma08_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKDIFFESILRENTLKSIFSGRRRKRTGVAEDVGNPIPQLSSFANCVVARCSRILHISRDKLQQSFETEFPDRVKLPTTYARDLFEYCCFRTFHAVIKNPDYLADKEFRQLTFDMMLAWESPGACSESIPKESNTCDHLVVEEEHGVSFFYISSSCLAAQVDERKTVGLKAFARIAPACPAIADSITVHNLFDALTCSSGGQMHFFIYDKYLKSLYKEIKSLKNIMGSLIASNLHLSDGEVILEVDGVMPTQPVLQHIGTSAWPGRLTLTTHALYFESLGVGYDKAVRYDLATDLKQVIKGDLTGPLGARLFDKAVMYKSISLAEPIYFAFPEFKGHSRRDYWLAVVGEVLQVHKFIRKYDLDEIQQMEALSKAILGIFRYRALKEAFHIHPPRFRSILAFYLAEKLPKGDKILEALYDYLKLPADGIQSIIVNSSSDTNLHVCPLPFSLYTVTKMGFTLKMEVVDGIEEKYILVGDVCVGSTSSLETAVKESFCYSEIAEAARATVDQMKVDGIDTNLAVMKELLFPVLESTKLLQLLVKWENPFKSTSFLVVNLYVVYRGWVRYVLSCISLSLALLMTWHKFRRKRKPVQVFHITPPPSKNAVEQLLVLQDAIARLETMVQAGNIGLLKLRALLFAAIPKATDETALTLIVAATLVAIVPFVHLMVLLAVEAFTREMPLRKKSSEKLRRRLREWWARIPAAPVELVRHQMEKPHNFSSQEKAS >Ma06_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19557732:19558277:1 gene:Ma06_g22820 transcript:Ma06_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNKLLGEFNLTGIPPSPRGMPQIEEITIRSSGGLSEEEIEKMVKEAEIHAQKDQERKSLIDIKNTADTTIYSIEKSLGEFRDKIPREVAKEIEDSVADLRAAMSQQDNAEKIKEKMEAANKAVSKIGQHMQQGGGGGGGSSGSASEADYKEAKM >Ma01_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12069197:12069907:1 gene:Ma01_g16670 transcript:Ma01_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARSIVAALLLCLAAAATAQEASNVRATYHYYYPAQNNWDLNAVSAYCATWDADEPLAWRQKYGWTAFCGPAGPTGQAACGKCLLVTNTATGTQATVRIVDQCANGGLDLDQGVFSQLDTDGTGYAQGHLIVNYQFVDCGD >Ma06_p33450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34085292:34089934:1 gene:Ma06_g33450 transcript:Ma06_t33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGRWNSLCVLRLILIASFITSISCYGETMGGSVLLRGRWGEEAEFFDYAGEASSCPSTDPSLYYLPVIGIVTHPGDGASGRLSNVTSASNIPASYVKFVESAGARVIPLIYNEPEETLLDVGPFLLSFLLPNSVSQSKKLRKLSLVNGVLFTGGWAKKGLYFETITKLFQVTLERNDAGDHFPLFAICLGFELVSMIISNDCDICERFKGENQASTLYFPDYAEIKGSVFERFPLELLWKLSTECLAMQNHKYGLSPKRLQDNVALSSFFRILTTSKDENVQDYVSTAQAYNYPVTGFQWHPEKNAFEWGLSMIPHSEDAVRVTQHVANYLISEARKSSNRPPTEKVLDNLIYNYSPTYCGKAGRGYDEVYIFS >Ma06_p33450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34085292:34089937:1 gene:Ma06_g33450 transcript:Ma06_t33450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGRWNSLCVLRLILIASFITSISCYGETMGGSVLLRGRWGEEAEFFDYAGEASSCPSTDPSLYYLPVIGIVTHPGDGASGRLSNVTSASNIPASYVKFVESAGARVIPLIYNEPEETLLDKLSLVNGVLFTGGWAKKGLYFETITKLFQVTLERNDAGDHFPLFAICLGFELVSMIISNDCDICERFKGENQASTLYFPDYAEIKGSVFERFPLELLWKLSTECLAMQNHKYGLSPKRLQDNVALSSFFRILTTSKDENGKDYVSTAQAYNYPVTGFQWHPEKNAFEWGLSMIPHSEDAVRVTQHVANYLISEARKSSNRPPTEKVLDNLIYNYSPTYCGKAGRGYDEVYIFS >Ma06_p33450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34085292:34089934:1 gene:Ma06_g33450 transcript:Ma06_t33450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGRWNSLCVLRLILIASFITSISCYGETMGGSVLLRGRWGEEAEFFDYAGEASSCPSTDPSLYYLPVIGIVTHPGDGASGRLSNVTSASNIPASYVKFVESAGARVIPLIYNEPEETLLDVGPFLLSFLLPNSVSQSKKLRKLSLVNGVLFTGGWAKKGLYFETITKLFQVTLERNDAGDHFPLFAICLGFELVSMIISNDCDICERFKGENQASTLYFPDYAEIKGSVFERFPLELLWKLSTECLAMQNHKYGLSPKRLQDNVALSSFFRILTTSKDENGKDYVSTAQAYNYPVTGFQWHPEKNAFEWGLSMIPHSEDAVRVTQHVANYLISEARKSSNRPPTEKVLDNLIYNYSPTYCGKAGRGYDEVYIFS >Ma04_p27850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29024343:29025201:-1 gene:Ma04_g27850 transcript:Ma04_t27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELVKCECCGLREDCTRDYISSVKANFDGKWFCGLCSEAVKDERSRLRRKDVQGVVEEAIRAHMSFCRRTMSNPAVRVADGMRQMLRRRSGDLSKPSTVTASPKRFGRAAAGTSQVSLF >Ma08_p11510.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8508940:8516530:1 gene:Ma08_g11510 transcript:Ma08_t11510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQQIFFHFGNPFRVTAHKGSSRLLALLSSFEQNLAENMRKLRPKEISDVFSLSWTRHAIKSISEVHTNIKTLIMELQFPVSDWDENWIDMYLDSSVKVLDICNALSAELTRLDQSNLLLRYVLHILDISTSVPSSEQLRRAHVSLDDWLKQTNSTSHKLESCHAILQTLQATLCLSKVKNSAKGRVLMRALYGVEVMTLFTCSVVAALLTGCSKPLMDMHVADGFLWLEAFNDLQSLLNQEIRSQLQSGKVRILKEMEALKMNALMFHSLTNGIDYKEEPRQPSIDLDKDKLNTPRESYKEEPAQLSIDLNKDEMDTPRNDADSQGRQRLQECMRALTDGGKVFGHELDSLSQQVNDLFQVLLTGRDALLCNLRTSSMTKNSKLDVVRL >Ma08_p11510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8508839:8516530:1 gene:Ma08_g11510 transcript:Ma08_t11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQQIFFHFGNPFRVTAHKGSSRLLALLSSFEQNLAENMRKLRPKEISDVFSLSWTRHAIKSISEVHTNIKTLIMELQFPVSDWDENWIDMYLDSSVKVLDICNALSAELTRLDQSNLLLRYVLHILDISTSVPSSEQLRRAHVSLDDWLKQTNSTSHKLESCHAILQTLQATLCLSKVKNSAKGRVLMRALYGVEVMTLFTCSVVAALLTGCSKPLMDMHVADGFLWLEAFNDLQSLLNQEIRSQLQSGKVRILKEMEALKMNALMFHSLTNGIDYKEEPRQPSIDLDKDKLNTPRESYKEEPAQLSIDLNKDEMDTPRNDADSQGRQRLQECMRALTDGGKVFGHELDSLSQQVNDLFQVLLTGRDALLCNLRTSSMTKNSKLDVVRL >Ma08_p11510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8508940:8516530:1 gene:Ma08_g11510 transcript:Ma08_t11510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQQIFFHFGNPFRVTAHKGSSRLLALLSSFEQNLAENMRKLRPKEISDVFSLSWTRHAIKSISEVHTNIKTLIMELQFPVSDWDENWIDMYLDSSVKVLDICNALSAELTRLDQSNLLLRYVLHILDISTSVPSSEQLRRAHVSLDDWLKQTNSTSHKLESCHAILQTLQATLCLSKVKNSAKGRVLMRALYGVEVMTLFTCSVVAALLTGCSKPLMDMHVADGFLWLEAFNDLQSLLNQEIRSQLQSGKVRILKEMEALKMNALMFHSLTNGIDYKEEPRQPSIDLDKDKLNTPRESYKEEPAQLSIDLNKDEMDTPRNDADSQGRQRLQECMRALTDGGKVFGHELDSLSQQVNDLFQVLLTGRDALLCNLRTSSMTKNSKLDVVRL >Ma08_p11510.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8508819:8516530:1 gene:Ma08_g11510 transcript:Ma08_t11510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQQIFFHFGNPFRVTAHKGSSRLLALLSSFEQNLAENMRKLRPKEISDVFSLSWTRHAIKSISEVHTNIKTLIMELQFPVSDWDENWIDMYLDSSVKVLDICNALSAELTRLDQSNLLLRYVLHILDISTSVPSSEQLRRAHVSLDDWLKQTNSTSHKLESCHAILQTLQATLCLSKVKNSAKGRVLMRALYGVEVMTLFTCSVVAALLTGCSKPLMDMHVADGFLWLEAFNDLQSLLNQEIRSQLQSGKVRILKEMEALKMNALMFHSLTNGIDYKEEPRQPSIDLDKDKLNTPRESYKEEPAQLSIDLNKDEMDTPRNDADSQGRQRLQECMRALTDGGKVFGHELDSLSQQVNDLFQVLLTGRDALLCNLRTSSMTKNSKLDVVRL >Ma08_p11510.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8508822:8516530:1 gene:Ma08_g11510 transcript:Ma08_t11510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQQIFFHFGNPFRVTAHKGSSRLLALLSSFEQNLAENMRKLRPKEISDVFSLSWTRHAIKSISEVHTNIKTLIMELQFPVSDWDENWIDMYLDSSVKVLDICNALSAELTRLDQSNLLLRYVLHILDISTSVPSSEQLRRAHVSLDDWLKQTNSTSHKLESCHAILQTLQATLCLSKVKNSAKGRVLMRALYGVEVMTLFTCSVVAALLTGCSKPLMDMHVADGFLWLEAFNDLQSLLNQEIRSQLQSGKVRILKEMEALKMNALMFHSLTNGIDYKEEPRQPSIDLDKDKLNTPRESYKEEPAQLSIDLNKDEMDTPRNDADSQGRQRLQECMRALTDGGKVFGHELDSLSQQVNDLFQVLLTGRDALLCNLRTSSMTKNSKLDVVRL >Ma08_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8508940:8516530:1 gene:Ma08_g11510 transcript:Ma08_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQQIFFHFGNPFRVTAHKGSSRLLALLSSFEQNLAENMRKLRPKEISDVFSLSWTRHAIKSISEVHTNIKTLIMELQFPVSDWDENWIDMYLDSSVKVLDICNALSAELTRLDQSNLLLRYVLHILDISTSVPSSEQLRRAHVSLDDWLKQTNSTSHKLESCHAILQTLQATLCLSKVKNSAKGRVLMRALYGVEVMTLFTCSVVAALLTGCSKPLMDMHVADGFLWLEAFNDLQSLLNQEIRSQLQSGKVRILKEMEALKMNALMFHSLTNGIDYKEEPRQPSIDLDKDKLNTPRESYKEEPAQLSIDLNKDEMDTPRNDADSQGRQRLQECMRALTDGGKVFGHELDSLSQQVNDLFQVLLTGRDALLCNLRTSSMTKNSKLDVVRL >Ma09_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35658119:35666633:-1 gene:Ma09_g23990 transcript:Ma09_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVPLDSDLDLELLSALDTLGVNKNMEAMEHDLVLEVPDTPDKQATRCTVNDCSRTSGMLIDLDKELVASSDQKVAPAAKIDAHHCWRSNVCEVACRSTARDNNSRLRLRGSLANTHYRNHFLPNKGKVLDSDQEKSVKSTGLHQQISSHVSYPAQCMDLRRNSEQEASCQGKNIKNCVYTGLHGEKLSQQNSYFGRLETGSRFPLEPGDNAGNVERDKGKGKRIDISSDSQVTSEELPRQLLPSRFQRKMTQKRLVRNGVISPNNIAKSKISSKVDGLNIMKSFAGETCSLLTGVSLQDYKKESVTQSSSCLDDRPTKVVNGRIEDKKLVHNGCIAPNNIQHGKNVMEDDSVGNVLSGGNSAVQVEIVSPNPKEVWPDRKKGKEILNAGKAQNNVRRTEVESQFDRECLKSRQDVTSVAVSSDDTPEFAKAGQRALRDHKGKAPVDLSCQSASVSNSEETIGQLLDQTHENEIVMVEADHVNLLHDNPEIIFSHHESNSSMVYVTSESESESENERCHRCLKQKPKRKCNAVRSHFGESSSLPLEGFEKSYIQSYTEASNPKSIRCHNAEWREEILGRHLEVDKISSPRNFQENGCSHYNAIQSQVESDEILARQLQEQFYHELPGFEGTEESDASIAWSLQQEEDDRYVASSRKNRSHPRDASMAHLFVHGPRPSFRSYSQQLTNRARVSASGRLTQFRRNFNSPDMDLEMSIIAELRRNFNNGEMDLETRLNFLEALEAAFENEQDMEILDDTLLVQRDITEDDYEMLLGLDEDNHQHSGASESQINILPESVIQSNGNVEACVICLEPPTVGDVIRHLPCLHKFHKECIDTWLRRKTLCPVCKSGIS >Ma06_p32750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33609326:33611397:-1 gene:Ma06_g32750 transcript:Ma06_t32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKIGTRSEKTQFSVTCRLLSQYLKEKGSFGSIGLELAPWPIHHQPQEKHQAPTTLSLLPGVDVSTEDQTINNTDQNAPKSMELFPQHAGIDSESVRIPSNIKTEKAQLTIFYCGKVLVFDDFPADKAEDLLQMASKESIAAQKIAFTAPSSSTGADCSSQLETAHANASDMPIARKNSLHRFLMKRKDRISTKAPYQVHGGTEAPDLGKP >Ma08_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5942817:5977605:-1 gene:Ma08_g08500 transcript:Ma08_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSRDVAAFKCLFLLALMFGIMSCITYWIIHMKHVAPLGLDAPSDRFSEARVVEHIRQLTVEIDGRQEGHPGLEEAAKYIRKELETIASRAGPDYRIEVEESLVNGTFNMLFLRHSISLGYRNHKNVVMRISSKLSNDRDPSILVNGHFDSPLGSPGAGDCGSCVASMLELARLIVDSGWIPPQPIIFLFNGAEELFLLGSHGFVKTHKWSNTVGAFINIEASGTGGFDLVCQSGPGSWPAHVYAQSAKYPMANSVAQDMFGIIPGDTDYRIFAEDYGNIPGLDIIFVLGGYFYHTSYDTVERLLPGSIQARGENLFRLTKAFANSSLLLNAGERSLKVAPHGTMEDRAVFFDYLSWFMIYYSRNLSVGLHSLPLVVFLLMSLFLHFPNCAVNLWIATLCDFLKGTLFHAVSLVSAIVLPVVFAVLRLFFSSQAMNWFAHPFLAFLMFVPCSLVGLLLPRTVWGFFPLSQDASHLNVSNVVLSDNACFWGAFGLYTFITMVYLLAQLGGGFLTCMISLSMLLAWFAYCIMRKRCGRQSIKSLAGYVVPMIPCVLYSVYYSGFLVQFLIEKMGMMGSLPQPYGYFVQDAVVAATIGLVTGWCMGPLMPVVGHWLARSSILQCFMQVTIIALALSSQFFPYSLDAPKRVVLQHTFNTAGADKVVDSRYDFSVVDSNSLAFLFKHSPEASKMLDINSEFSFNSNYHSDGSTWIALFPVSFLFSGSLKFPADGDSILKHYASFPHLTVRNTKSISEAGHRRVHLELHLGSLGEIWASVLNITGPLSNWSFADYRLPAPEKISGGPPSYICRLSGSSNESWTFWLEANSSEALRMDVAVLDQYLVDDTKKLKSFFPSWADVTAFSTFFSSYNL >Ma11_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25341285:25341684:1 gene:Ma11_g21060 transcript:Ma11_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAMHRKARLCTFHILTSNDKVKAILVNIFGGHRNAT >Ma04_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5477978:5478286:-1 gene:Ma04_g07570 transcript:Ma04_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQRRRPDDQPIRYGDVFPVAGELAGQAIAPGDAAMMHDAENKALGVTPKGGPASVMESAAMCNKQRGLIGHTTSSAPPRPTRACPSPRPRSLAAPANAL >Ma07_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31980783:31986883:1 gene:Ma07_g24720 transcript:Ma07_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRSSRTDTRRTSSSYCSTMTLVVFVALCLVGAWMMTSSAMAPAEVPSSATKSDMSDKVSRTSSNPYKDGSDDTSEDSVSDDSSSGENNMPNKSDSESDKTSEKLEEKTEEENPTKGSDDINNDSDDSSNTQNDGDANGNKGGETIEEAGDAKEGNDTGDEERGQEQKPKPEQDEKSDGDKTEDGKTDGVIEEKVDQSSDEDKDGSQSRDKGNNEVFPSGAQTELLNETSTQNGAWSTQAVESKNEKEIQASSSSKGQTIEYSWKLCNVKAGADYIPCLDNEAAIKKLHSTKHYEHRERHCPDNAPTCLVPLPDGYKRSIKWPKSREKIWYNNVPHAKLAAVKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQESLPNIAWGKRSRVILDVGCGVASFGGFLFDRDVLAMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSKVFDVVHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQDLPEDAEIWKAMSALTKSMCWEMVTRKNDTLNQVGLAVYRKPSDNKCYEKRANENPPLCQESDNPDAAWNVPLQACMHKLPVDPTSRGTVWPEQWPQRLEKTPDWLSGSQTGVYGKPAPEDFQADYEHWKQIVGKSYVNGMGINWSTVRNVMDMRSVYGGFAAALRDMKVWVMNIVSIDSPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCRLLPVIAEVDRVLRPEGKLIVRDDADVISEIENMAKSLHWEITLTYSNDNEGLLCVQKTMWRPQDTEASMSSLS >Ma11_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18954014:18958399:1 gene:Ma11_g13790 transcript:Ma11_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPRTSSCTKFWRATTKTTPPTARSMSSSGSGEQQRLLVGVDPCNKLCKIMLSCPNAGLEQALDASGVRAFPDVAEQVLLRFENAGMLAYRFFDWARRQQRGYAHTVRAYHAMIAALAKIRQYKLMWDLVAAMRAQGALNVETFCVIMRKYARAHKVEETLYTFDVMDKYGVAPNLAAFGGLLGALCKSKNVRKAQEVFDKMRDRFEPDAKTYSILLEGWGRAPNLPKMREVYQEMLDRGCEPDIVTYGIIVDALCKAGRMEEAVDVVRDMSHRVCPPTPFIYSVLVHTYGVEKRIEDAVDTFLEMERNGVKPDVVVYNALVGAFCKANKFENAFSVVGDMEDKGITPVARTFNIILNSLITAQRYEEAYRVFRRMIKCCEPDSDTYTMMIKMFCETDKLEMALKVWKYMGKKQFLPSMHTFSVLINGLCEKGELNRACPLLLHSSLPYIFLFCFLSLHPPSIPSTSISSFPIASWHGNSSSSSPFSLSWLSQSSSVPMTRRPLPHRHP >Ma09_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10696594:10697421:-1 gene:Ma09_g15380 transcript:Ma09_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQDAQSSMRVTVDSFSELPFIRALPAAENTSNGSSAAIRLFGIDFPTDPDASSQDGSFNDPPSTTETSNTQQSAANAIANTDSGESAKKFECHYCCRKFPTSQALGGHQNAHKRERQHAKRAHLHSAMASQHYHHPSFVYGYLNYRNLCSAPSTARFDHPPPPNYPSWTTTAPTINPAARFYGGLGSSSQPVNGSPIPEPWRMPVHGCTASFQEDYPPAPPSFGGRDTKEVAVEGGGGTIGFCSSSSSSLASQKDQGGLDSASKNGLSLDLRL >Ma07_p26540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33300779:33301774:-1 gene:Ma07_g26540 transcript:Ma07_t26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGQGTYAGPTAYVAPWSYSDNSMAAIQHYPVLPVSGVAGLSADLPPHLLGEVGFAAFQRFLPRNDGSSSSAADPTAADAYACDEFRMYEFKVRRCPRGRSHDWTECPYAHPGEKARRRDPRRFHYSGSLCPDFRRGGGCRRGESCDLAHGVFETWLHPARYRTQPCKDGAACRRRVCFFAHSPEQLRLVLPPSPISPSEGGARVSSSPTSTLALAPMSPPSDGSSPPVSPVALDEVIQSMSNLQLSKVRSRPPFGTRSGAAVLGRAPLAAEVAVGDAWEAAAAAAAWGSRSKLLEWWKRQEEEEEAAEAVPDLGWVTELVND >Ma09_p00910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:603832:610768:1 gene:Ma09_g00910 transcript:Ma09_t00910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQSPRPVARKLCLLRDSDHIHGSLFPPTDETLSCSSSSIGTLKGYQRLLEETKKATRKNKVGKIMIEIQSPCIRDIGEACTHGCCPVPFLGLVESSTTMHHARTTTIGISRMNFAKLTKDSIFPNTHFTNHECLPPLPDALSGFIRVYPQYGETEEADRIRNSEYYHLSDHVCLDYTGFSLFSHAQVHSSRASTSGDPQPSGLLQPPYFSIAYKSASLKSQVQYGNQDTALESAIRKRIMHFLNILDGAYSMICTANRTTAFRLLAESYPFHANKGLLSVYDYESEAIYAMTKSAYRRGAKVMSASFSWPSLRIHSDKLMEKLSKRKKKRRGLFVFPLQSRITGARYPYSWMTVAKEHGWQVVLDACALGPKDLDTLGLSLIQPDFIICSFFKVFGENPSGFAGIFIKKSSTAALESSTIARSLGIVSIIPSRRLSQLTDDYSGTDLDGHSSRNQFDEDDIETISSFSGPIPIHIGNDSAAIDNVLGEFASTQKQKQVERSEQVESSKARDDNEESSGIVELECNLSVQAEKSTTTAEANKSMEIVCRGLDHADSLGLLLISNRLRCITNWLVVALIKLQHPHSESGHSLVRIYGPQVKFDRGPAIAFNIFDWKGEKIEPVLVQKLA >Ma09_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:603830:607750:1 gene:Ma09_g00910 transcript:Ma09_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQSPRPVARKLCLLRDSDHIHGSLFPPTDETLSCSSSSIGTLKGYQRLLEETKKATRKNKVGKIMIEIQSPCIRDIGEACTHGCCPVPFLGLVESSTTMHHARTTTIGISRMNFAKLTKDSIFPNTHFTNHECLPPLPDALSGFIRVYPQYGETEEADRIRNSEYYHLSDHVCLDYTGFSLFSHAQVHSSRASTSGDPQPSGLLQPPYFSIAYKSASLKSQVQYGNQDTALESAIRKRIMHFLNILDGAYSMICTANRTTAFRLLAESYPFHANKGLLSVYDYESEAIYAMTKSAYRRGAKVMSASFSWPSLRIHSDKLMEKLSKRKKKRRGLFVFPLQSRITGARYPYSWMTVAKEHGWQVVLDACALGPKDLDTLGLSLIQPDFIICSFFKVFGENPSGFAGIFIKKSSTAALESSTIARSLGIVSIIPSRRLSQLTDDYSGTDLDGHSSRNQFDEDDIETISSFSGPIPIHIGNDSAAIDNVLGEFASTQKQKQVERSEQVESSKARDDNEESSGIVELECNLSVQAEKSTTTAEANKSMEIVCRGLDHADSLGLLLISNRLRCITNWLVVALIKLQHPHSESGHSLVRIYGPQVKFDRGPAIAFNIFDWKGEKIEPVLVQKLADRSNISLSCGFLNNIRFPDKYEAEKHKVLERRACEITVAGNRKKQKTTMGINVLNASLSFLTNFEDAYKLWTFVAKFLDADFVEKERWRYLALNQKTVEV >Ma08_p25280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38195522:38199235:-1 gene:Ma08_g25280 transcript:Ma08_t25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGNFSPVGNNGQRRGKRILEEEAPLLPSKQEETGESDEFNGASFAGAVFNLSTTIVGAGIMSLPATMKVLGLVPGILLIIFFAFFTEASIDMLTRFSRAGKTVSYGGVMGDAFGRTGKVLTQLCIIVNNVGVLIVYMIIIGDVLSGTSSGGNHHFGVLEGWFGQHWWTGRFFILLVSMLAVFAPLACFKRVDSLRFTSALSVALAVVFVIITAGIAIVKLLAGSIAMPKLFPDIPDLASVWNFFTVVPVIVTAYICHYNVHPIENELEDPSQIKPVVRTSLALCSTVYLTTSFFGFLLFGEATLDDVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRLNVDGLLFPSARPLSSDNQRFAIITAVLLSVVFLAANFIPSIWDAFQFTGATAAVCIGFIFPAAITLRDPHGIATKWDKIVAIFMISLAVLSNVIAIYSDAYALFNESKASPQS >Ma08_p09700.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7064444:7067189:1 gene:Ma08_g09700 transcript:Ma08_t09700.7 gene_biotype:protein_coding transcript_biotype:protein_coding MREKESEVSHRLGAELLLLTSPLPTRQWSLMACSCHKPRYQRLNKLPPETEEDEISGGSKEMAVVFKRRRHGWLRLNRSGRWRKPRVRVAGLRKFLSKATVVGAAVRVSVAKVLKRLKEGRPYLGELFAGNYMFMQVSPSPTIPYVEKPFSLAEHRHRHRHHPPPSHLML >Ma08_p09700.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7064445:7067189:1 gene:Ma08_g09700 transcript:Ma08_t09700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREKESEVSHRLGAELLLLTSPLPTRQWSLMACSCHKPRYQRLNKLPPETEEDEISGGSKEMAVVFKRRRHGWLRLNRSGRWRKPRVRVAGLRKFLSKATVVGAAVRVSVAKVLKRLKEGRPYLGELFAGNYMFMQVSPSPTIPYVEKPFSLAEHRHRHRHHPPPSHLML >Ma08_p09700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7059354:7067189:1 gene:Ma08_g09700 transcript:Ma08_t09700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREKESEVSHRLGAELLLLTSPLPTRQWSLMACSCHKPRYQRLNKLPPETEEDEISGGSKEMAVVFKRRRHGWLRLNRSGRWRKPRVRVAGLRKFLSKATVVGAAVRVSVAKVLKRLKEGRPYLGELFAGNYMFMQVSPSPTIPYVEKPFSLAEHRHRHRHHPPPSHLML >Ma08_p09700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7064445:7067189:1 gene:Ma08_g09700 transcript:Ma08_t09700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREKESEVSHRLGAELLLLTSPLPTRQWSLMACSCHKPRYQRLNKLPPETEEDEISGGSKEMAVVFKRRRHGWLRLNRSGRWRKPRVRVAGLRKFLSKATVVGAAVRVSVAKVLKRLKEGRPYLGELFAGNYMFMQVSPSPTIPYVEKPFSLAEHRHRHRHHPPPSHLML >Ma08_p09700.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7064444:7067189:1 gene:Ma08_g09700 transcript:Ma08_t09700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MREKESEVSHRLGAELLLLTSPLPTRQWSLMACSCHKPRYQRLNKLPPETEEDEISGGSKEMAVVFKRRRHGWLRLNRSGRWRKPRVRVAGLRKFLSKATVVGAAVRVSVAKVLKRLKEGRPYLGELFAGNYMFMQVSPSPTIPYVEKPFSLAEHRHRHRHHPPPSHLML >Ma08_p09700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7064444:7067189:1 gene:Ma08_g09700 transcript:Ma08_t09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREKESEVSHRLGAELLLLTSPLPTRQWSLMACSCHKPRYQRLNKLPPETEEDEISGGSKEMAVVFKRRRHGWLRLNRSGRWRKPRVRVAGLRKFLSKATVVGAAVRVSVAKVLKRLKEGRPYLGELFAGNYMFMQVSPSPTIPYVEKPFSLAEHRHRHRHHPPPSHLML >Ma08_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7064444:7067189:1 gene:Ma08_g09700 transcript:Ma08_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKESEVSHRLGAELLLLTSPLPTRQWSLMACSCHKPRYQRLNKLPPETEEDEISGGSKEMAVVFKRRRHGWLRLNRSGRWRKPRVRVAGLRKFLSKATVVGAAVRVSVAKVLKRLKEGRPYLGELFAGNYMFMQVSPSPTIPYVEKPFSLAEHRHRHRHHPPPSHLML >Ma09_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3208706:3212838:-1 gene:Ma09_g05000 transcript:Ma09_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRALLQASVAATKRALAWNVEDLMLPSERFVFNFNSKEELNRWHLYSDSEYGGLSSASLEITGADSGHELSGLFSGNLSLDVAEGSTWRMNRSGFCGMRSKKFNGFIDLDSYDTIAMRLRGDGRCYISTIYTENWINSPGQQEDNSWQAFVFVPKDQWHIAKIPLDRYLPTWKGNVISTKVEMNPSRILAMSLSVNAEGGIPGAKSGPGDFRLEIDWIKALRT >Ma09_p05000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3208706:3212745:-1 gene:Ma09_g05000 transcript:Ma09_t05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSERFVFNFNSKEELNRWHLYSDSEYGGLSSASLEITGADSGHELSGLFSGNLSLDVAEGSTWRMNRSGFCGMRSKKFNGFIDLDSYDTIAMRLRGDGRCYISTIYTENWINSPGQQEDNSWQAFVFVPKDQWHIAKIPLDRYLPTWKGNVISTKVEMNPSRILAMSLSVNAEGGIPGAKSGPGDFRLEIDWIKALRT >Ma08_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8007229:8027671:1 gene:Ma08_g10900 transcript:Ma08_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MGSRSSEGNSQEEAGPHTELYARLNVSPSASAEEIRKAFLNLSGVAHPDKHLDPELKDAATENFRQIVEAYDVLNNEEKRQIYDIYGMEGLKSGLELGPHLNKVEELKEQLEKLKRHKEQEKVLAHGPASMTLVAKLSLPSYLDGHGIIRRMQMYSEVQSHMSKRNMISVSGNFLVSGNRGDGYANVLLRHQVSPFAHVDFMATAGLRSLIGLQASCQLSNHSKATSGLTVSLGDGSVNLSNTWTRQLSETSFGDIQLVLGTESAITAGWKKKEEKTSAAGEVKFGTGYFGASAHYTHRFSENSHCRIAGNIGSALYLEIGGGRRISDSSFVRLVYNIGIEGILWRFELHRGSQKLLIPVLLSRDLDPIVATGAFMVPSSLYFLLKKFVLKPYYLRRQKQKALEKKEVSLNQIRKARDAAKKAQKLLQNVSNRKKNKEVEKDGLVITKAIYGNLRTARGTEEHLEVDDDVASLILDVTLPLNFLVTNSRLELHKGIKKSGIMGFCDPCPGEPSQLLVEYTFKGKNYKVVVDDYDLLRIPNETHRI >Ma10_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7681681:7682040:-1 gene:Ma10_g02330 transcript:Ma10_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLTLFELCHANASFVSRTVAFEHPVFEPPLQLSPSVASSPAVAVLFRRHVRAMPHAPSLLTTSHSPSLARSRHSSPSFLSVTSGFDIHEVHGTSSSNYTTMQHNHPKSLGRCRLTP >Ma11_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21655607:21656731:1 gene:Ma11_g16070 transcript:Ma11_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVSDECKLKFLELKAKRNFRFIVFKIDERIQQVMVEKLGQPGETYDDFAASMPADECRYAVFDFDFVTDEHCQKSKIFFISWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSIDIVKGRAL >Ma04_p21810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24261426:24266694:1 gene:Ma04_g21810 transcript:Ma04_t21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAMQGEVTSVDRAGNIVLDIESLTQSSDKCSGSPKMTKALSRKGSSRMERRNGEEQDADETTKKITKVVCYHLEQFKQSSVPNKTLIIVPTSANASISDAGDGRLRKFNHLTAINPRRILLLLASLSSMGTMILIYFTLAIYWRQSFS >Ma04_p21810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24261439:24266694:1 gene:Ma04_g21810 transcript:Ma04_t21810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAMQGEVTSVDRAGNIVLDIESLTQSSDKCSGSPKMTKALSRKGSSRMERRNGEEQDADETTKKITKVVCYHLEQFKQSSVPNKTLIIVPTSANASISDAGDGRLRKFNHLTAINPRRILLLLASLSSMGTMILIYFTLAIYWRQSFS >Ma04_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24261439:24266694:1 gene:Ma04_g21810 transcript:Ma04_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAMQGEVTSVDRAGNIVLDIESLTQSSDKCSGSPKMTKALSRKGSSRMERRNGEEQDADETTKKITKVVCYHLEQFKQSSVPNKTLIIVPTSANASISDAGDGRLRKFNHLTAINPRRILLLLASLSSMGTMILIYFTLAIYWRQSFS >Ma07_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2842277:2846691:1 gene:Ma07_g03710 transcript:Ma07_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSKLRCITVDVTGTLIAYKGELGDYYCMAAKAVGLPCPDYRRMHEGFKSAYTDMAKQYPCFGHAAKMPNIDWWRSCVKDSFIRAGYEYDDETFEKVFKRIYSSFGSSAPYSVFLDSQPFLRWARQKGLIVGIVSNAEYRYQDVILPALGLNRGLEWDFGVFSGIVGVEKPDPRIYQIALEKAGNINPSEALHIGDSMRKDYLPARSVGMHALLLDRFKTSDAETWRKSGAIVLPDLVAAQEWLTKEENTAAMQQN >Ma06_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9519873:9520990:1 gene:Ma06_g13870 transcript:Ma06_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASGDLASFNMRTGKLLGCFIGKCSGSIRSIARHPEFPMIASCGKTCILLIQYIQLILHTSTIFLSAVLSFLKK >Ma01_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9643125:9648236:-1 gene:Ma01_g13180 transcript:Ma01_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSADHGGISEQQQRQEEEENLAAATGFLPSLRNAFSSLSPPSSSSVPLASLQEALSLNVQVVASESTPVPEHFPALSNNLGATLVSLFFPVADDRADGRIDWIGFLSGYNRCCGRMPVSRSINVLYRLYAALSREAGAPCGLEFDPDAGDDDKVGGSLLPGEVLMLFWISWVMGHSSRIAKMSRNAKDPLVLPDMSHLLVSALVSCGVIAEEDEHIWRSDVLAVDKGVSAQKFQTWVLTTAPGLANCLPKYVQERIQACSSSKESEGSSISASDNNSTGNVRDVCLLTRGRAWAVSLALRDRLSEEFLAASFQGINSGDFLYRSSIHGKGLSRFWSNVEGYNGPLIVLVSASSAESSEVDRSSRGWVIGVLITQGFENRETFFGSSGYLFAISPIFHVFPPSGKEKNFIYCHLHPAIRVYEANPKPVGLAFGGSTGNERIFLDEDFAQVTVRHHAFDKTYQHGPLTPNQGFLPVEAPVVEVEVWGFGGKTAKEQQDFYKKREMLFSEQRRKVDLKTFASWEDSPEKMMMDMVSDPNKVRREDR >Ma05_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10478767:10479536:-1 gene:Ma05_g14370 transcript:Ma05_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVATSPKDCSKKGFHLNKKLLYGFSALLVSILSLVFLVWLILHPTKPEFYLKDTAVYELSLASPPRLLNSTIQTTIISKNPNARVGIYYDQLRTYAAYKGQQITADSALPPFYQGHQDINILSSSLNGISMPVAPSFGYEVSRDQTAGKLYLELKLDGKLRWKVGSWVSGSYRIDVDCVAVIVLRPGGDPGPMSLVQGTRCSTTV >Ma07_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9350340:9352077:-1 gene:Ma07_g12430 transcript:Ma07_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLFLLICWSSFSSDSRAADYVKLPVFRQSPFPTAREALAFDSVRLSFFSSSSSISNASASHPPPPAALSAPVVSGASSGAGQYFVDLHLGTPPQRLRLVADTGSDLVWARCSACRDCSRHPPVTAFFPRHSSSFRPYHCYHPACRLVPHPRSSSHDAAPPCRRQPLHSPCRYRYSYADRSSSAGFFSRDIATLNSTSAGFLRLHSLPFGCAFNVTSPAGSEAPRGVLGLGRGPISFPSRAARRFGDVFSYCLMDYTLSPPPTSFLLIGAAAHTTLAPKNLSFTPLLTNPLSPTFYYVRVLGVSVDSEPLRVDPSVWALDHATGIGGTVVDSGTTLSFVPEAAYREIVRAMTGRLPPAAIVRGPPEFDLCVNASAEATKRVPRLAFRLLGGTELAPPAGNYFIDAADGVRCLAMQPVSAAGPGFGVIGNLMQQGFLLVFDRRAHRLGFSRTGCTDA >Ma04_p24100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26159378:26164615:-1 gene:Ma04_g24100 transcript:Ma04_t24100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGGNAGSVLGIHVFHCPDAVGIVAKLSECIASRSGNIHSVDVFVPEKKQVFYSRSEFVFDPARWPRDVVDNDFNKISKLFSAEKSVEHCLVDLLYRWQEGRLPVDISCVISNHQRAPNTHVALFLERHGIPYHHLPTSAGNTREAEILELVEDTDFLVLARYMQVLSRRFLESYGKDIINIHHGLLPSFKGGNPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDTLKSFVQKSENLEKQCLTQVIKSYCELRVLPYQVNKTVVF >Ma04_p24100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26159310:26164631:-1 gene:Ma04_g24100 transcript:Ma04_t24100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHRIAKRAVSMIPGGNAGSVLGIHVFHCPDAVGIVAKLSECIASRSGNIHSVDVFVPEKKQVFYSRSEFVFDPARWPRDVVDNDFNKISKLFSAEKSVVRVPDLDPKFKIAVLASKQEHCLVDLLYRWQEGRLPVDISCVISNHQRAPNTHVALFLERHGIPYHHLPTSAGNTREAEILELVEDTDFLVLARYMQVLSRRFLESYGKDIINIHHGLLPSFKGGNPSKQVERVSHRDTLKSFVQKSENLEKQCLTQVIKSYCELRVLPYQVNKTVVF >Ma04_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26159378:26164631:-1 gene:Ma04_g24100 transcript:Ma04_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHRIAKRAVSMIPGGNAGSVLGIHVFHCPDAVGIVAKLSECIASRSGNIHSVDVFVPEKKQVFYSRSEFVFDPARWPRDVVDNDFNKISKLFSAEKSVVRVPDLDPKFKIAVLASKQEHCLVDLLYRWQEGRLPVDISCVISNHQRAPNTHVALFLERHGIPYHHLPTSAGNTREAEILELVEDTDFLVLARYMQVLSRRFLESYGKDIINIHHGLLPSFKGGNPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDTLKSFVQKSENLEKQCLTQVIKSYCELRVLPYQVNKTVVF >Ma04_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26314481:26316824:1 gene:Ma04_g24280 transcript:Ma04_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDVDAASLIVFLPRTALSPILAVVLIAFSVLWFCPGGLAWAFSKAHACSIPGPPGFVSALSGDAAHRVLAGLANSLKAVDLMAFSVGLTRFVVSSHPDTAKEILNSSAFADRPIKESAYMLLFHRAMGFAPFGEYWRNLRRVSATYLFSPRRIAAAGEHRRAIGEQMVADIRDLMAKNGVVEVKKLLHFGSLNNVMMSVFGKRFDFGKGEGLELEGLVKEGYELLGVFNWADHFPLLGWLDLQGVRKRCRALVKRVNAFVGSIIEEHKQGRTIGAVVDGAGSFVDVLLGLQKEEKLSDADMVAVLWEMIFRGTDTVAILLEWIVARVVLHPDIQSKAQSEIDSVVGTSRPVCDADIASFPYLQAIVKESLRLHPPGPLLSWARLAIHDVHVGDHFIPAGTTAMVNMWAITHDGSVWAEPNEFKPERFMVEDVNVLGSDLRLAPFGAGRRVCPGKAMGLATVHLWLAQLLQSFKWVPADGGVDLSESLKMSLEMKKPLVCKAVARC >Ma09_p15760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11089525:11110043:1 gene:Ma09_g15760 transcript:Ma09_t15760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIPYIFSAALFPQNSHCMMWSSLSGFSSTSWRGFSRGSSKVCKLDFVLTGFHFHCFSTRRSRTRPLPMEDSQPSMVEESNAFYVVRKGDIVGIYKSLSDCQAQVSVSVCGPPVSVYKGYGLRKETEEYLASRGLKNPLYSVNASDVKEDLFGALLPCPFQQPDGLADILPKKVSSLKRTKDMQPDGLADILPKKVSSPKRTKDMQPDGLADISPKKVSSPKRTKVMETAGSGSVSTEQSNKHLKQKSSLEAKTISHPDVSCIIEFDGASKGNPGKAGAGVILRNLDGSVISRLREGLGVVTNNVAEYQALLLGMKFALKKGYKKIQAQGDSKIVCMQVEDLWKTKNATMAALCQEAKALKESFLSFHVNHVKREFNSDADAQANLAVDLPTGEVTEELD >Ma09_p15760.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11087514:11110043:1 gene:Ma09_g15760 transcript:Ma09_t15760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSSLSGFSSTSWRGFSRGSSKVCKLDFVLTGFHFHCFSTRRSRTRPLPMEDSQPSMVEESNAFYVVRKGDIVGIYKSLSDCQAQVSVSVCGPPVSVYKGYGLRKETEEYLASRGLKNPLYSVNASDVKEDLFGALLPCPFQQPDGLADILPKKVSSLKRTKDMQSDGLADILPKKVSSPKRTKDMQPDGLADILPKKVSSPKRTKDMQPDGLADISPKKVSSPKRTKVMETAGSGSVSTEQSNKHLKQKSSLEAKTISHPDVSCIIEFDGASKGNPGKAGAGVILRNLDGSVISRLREGLGVVTNNVAEYQALLLGMKFALKKGYKKIQAQGDSKIVCMQVEDLWKTKNATMAALCQEAKALKESFLSFHVNHVKREFNSDADAQANLAVDLPTGEVTEELD >Ma09_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11089525:11110043:1 gene:Ma09_g15760 transcript:Ma09_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIPYIFSAALFPQNSHCMMWSSLSGFSSTSWRGFSRGSSKVCKLDFVLTGFHFHCFSTRRSRTRPLPMEDSQPSMVEESNAFYVVRKGDIVGIYKSLSDCQAQVSVSVCGPPVSVYKGYGLRKETEEYLASRGLKNPLYSVNASDVKEDLFGALLPCPFQQPDGLADILPKKVSSLKRTKDMQSDGLADILPKKVSSPKRTKDMQPDGLADILPKKVSSPKRTKDMQPDGLADISPKKVSSPKRTKETAGSGSVSTEQSNKHLKQKSSLEAKTISHPDVSCIIEFDGASKGNPGKAGAGVILRNLDGSVISRLREGLGVVTNNVAEYQALLLGMKFALKKGYKKIQAQGDSKIVCMQVEDLWKTKNATMAALCQEAKALKESFLSFHVNHVKREFNSDADAQANLAVDLPTGEVTEELD >Ma09_p15760.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11089525:11110043:1 gene:Ma09_g15760 transcript:Ma09_t15760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIPYIFSAALFPQNSHCMMWSSLSGFSSTSWRGFSRGSSKVCKLDFVLTGFHFHCFSTRRSRTRPLPMEDSQPSMVEESNAFYVVRKGDIVGIYKSLSDCQAQVSVSVCGPPVSVYKGYGLRKETEEYLASRGLKNPLYSVNASDVKEDLFGALLPCPFQQPDGLADILPKKVSSLKRTKDMQSDGLADILPKKVSSPKRTKDMQPDGLADILPKKVSSPKRTKDMQPDGLADISPKKVSSPKRTKVMETAGSGSVSTEQSNKHLKQKSSLEAKTISHPDVSCIIEFDGASKGNPGKAGAGVILRNLDGSVISRLREGLGVVTNNVAEYQALLLGMKFALKKGYKKIQAQGDSKIVCMQVEDLWKTKNATMAALCQEAKALKESFLSFHVNHVKREFNSDADAQANLAVDLPTGEVTEELD >Ma09_p15760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11087530:11110043:1 gene:Ma09_g15760 transcript:Ma09_t15760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSALFPQNSHCMMWSSLSGFSSTSWRGFSRGSSKVCKLDFVLTGFHFHCFSTRRSRTRPLPMEDSQPSMVEESNAFYVVRKGDIVGIYKSLSDCQAQVSVSVCGPPVSVYKGYGLRKETEEYLASRGLKNPLYSVNASDVKEDLFGALLPCPFQQPDGLADILPKKVSSLKRTKDMQSDGLADILPKKVSSPKRTKDMQPDGLADILPKKVSSPKRTKDMQPDGLADISPKKVSSPKRTKVMETAGSGSVSTEQSNKHLKQKSSLEAKTISHPDVSCIIEFDGASKGNPGKAGAGVILRNLDGSVISRLREGLGVVTNNVAEYQALLLGMKFALKKGYKKIQAQGDSKIVCMQVEDLWKTKNATMAALCQEAKALKESFLSFHVNHVKREFNSDADAQANLAVDLPTGEVTEELD >Ma09_p15760.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11087508:11110043:1 gene:Ma09_g15760 transcript:Ma09_t15760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPPLRTSLAPPCWCPRPPPLLFRYRRVCGPPVSVYKGYGLRKETEEYLASRGLKNPLYSVNASDVKEDLFGALLPCPFQQPDGLADILPKKVSSLKRTKDMQSDGLADILPKKVSSPKRTKDMQPDGLADILPKKVSSPKRTKDMQPDGLADISPKKVSSPKRTKVMETAGSGSVSTEQSNKHLKQKSSLEAKTISHPDVSCIIEFDGASKGNPGKAGAGVILRNLDGSVISRLREGLGVVTNNVAEYQALLLGMKFALKKGYKKIQAQGDSKIVCMQVEDLWKTKNATMAALCQEAKALKESFLSFHVNHVKREFNSDADAQANLAVDLPTGEVTEELD >Ma09_p15760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11089525:11110043:1 gene:Ma09_g15760 transcript:Ma09_t15760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNCIPYIFSAALFPQNSHCMMWSSLSGFSSTSWRGFSRGSSKVCKLDFVLTGFHFHCFSTRRSRTRPLPMEDSQPSMVEESNAFYVVRKGDIVGIYKSLSDCQAQVSVSVCGPPVSVYKGYGLRKETEEYLASRGLKNPLYSVNASDVKEDLFGALLPCPFQQPDGLADILPKKVSSLKRTKDMETAGSGSVSTEQSNKHLKQKSSLEAKTISHPDVSCIIEFDGASKGNPGKAGAGVILRNLDGSVISRLREGLGVVTNNVAEYQALLLGMKFALKKGYKKIQAQGDSKIVCMQVEDLWKTKNATMAALCQEAKALKESFLSFHVNHVKREFNSDADAQANLAVDLPTGEVTEELD >Ma07_p28120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34272505:34273224:1 gene:Ma07_g28120 transcript:Ma07_t28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSFGFDRKIHRPVLRMSRRRRSTRVLKNARRCGYKGGRRKQSREAASKAVAERLEALRNLIPPKNDGVKEREAVADRLFEETADYILLLRTQVEVLKRLVDVYSPCIDNSSGSA >Ma03_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3306167:3306852:1 gene:Ma03_g05040 transcript:Ma03_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPSRSSSIDSYWQYH >Ma07_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31894024:31896895:-1 gene:Ma07_g24570 transcript:Ma07_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Ma04_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7100708:7117294:1 gene:Ma04_g09950 transcript:Ma04_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPPSSSLFASRFKAHGFPTPGRLDRQRMPLLHTPRRRGSRLPALASSDDVDAFTKYSGYLFEGGVSSEAEFLDAYDLPLITSIYRRKPFLVLRRFLQISTTFGRWVTVRYLDSVSDRSDEMFKTRAAELRAILLELGPAFVKIAQAVSSRPDVIPPAYLEELSLLQDRITPFSTEIAFNMIEKELGQPIDILFSEISPEPIAAASLGQVYQARLRSSRKVVAVKVQRPGVQAAISLDIFILRYIAGFVRRAARLNTDLQAVLDEWASSLFREMDYRAEAMNGLKFRKLYGGLQDVFVPEMYLEQSSRRVLIMEWVDGNKLSEVKDIYLIEVGVYCSLSQLLDYGFYHADPHPGNLLRTSDGKLAYLDFGMMGEFRQELRDGFIQACLHMVNRDFDALSNDFITLGLLPPTAQKDEVTKALTGVFQNAVNKGVRNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFDPNYKVLSSSYPWIARKVLTDSSPQLQSTLQTLLYKEGVFRIDRLESLLTESLRAKTEQSLVRKEVEDSDSNKAIKQVLSFTLTEKGAFVKEILLQEFAKGIDALGLATLETLTSAAAARLPFTIGYSSSFMDIEDIANLRTLNRLLLLLSRLQKNENPNSEVNYANSGENKNASVEELSLVLYQMTSAQDILPILSVIPELPSESQQQLVRLPADLAGRLLSRVVARSIRRIFV >Ma09_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4915659:4929517:1 gene:Ma09_g07490 transcript:Ma09_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKASAGTLPLILDIDDFKGDFSFDALFGNLVNELLPSFQEDDADGADGGGGQDALSNGPMRGLQGTAIPMFPAVEDLLALFKDSCKELVDLRQQIDGRLQNLKKEVEVQDAKHRKTLAELEKGVDGLHQSFQRLDSRISSVGQTAAKIGDHLQSADSQRKTASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGTHGINMPSAVGAANASRGLEVAVGNLQDYCNELENRLLSRFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVASRPMFIDVEVMNTDINLVLGDQGLQAGPSNIARGLSTLYKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVSTILDRLLEKPSLVNLPPVNQGGLLLYLRIFAVAYEKTEDLAKELQSVGCGDLDAEGLTESLFLPHKDEYPEYEQASLQQLYQSKMDELRAEAQQQSESTGTISRTKAAISPSASQQISVTIVTEFVRWNEEAISRCTLFSSQPTILAGNVRSVFACLLGQVSQYLTEGLERARESLNEAAALRDRFVIGASVSRRVAAAAASAAEAAAAAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLPPDAHAASLEEMGTAVSSVEGAAQKGLQQCIETVMAEVERLLSAEQKTTDYRTPDDGNAPDHRPTNACIRVVAYLSRVLESAFSALEGLNKQSFLTDLGNRLHKGLINHWQKFTFSASGGLRLKRDITEYGEFVRSFSAPSIDENFELLGIVANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDFKTAKISSMLNSLKTDS >Ma09_p07490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4915659:4929517:1 gene:Ma09_g07490 transcript:Ma09_t07490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKASAGTLPLILDIDDFKGDFSFDALFGNLVNELLPSFQEDDADGADGGGGQDALSNGPMRGLQGTAIPMFPAVEDLLALFKDSCKELVDLRQQIDGRLQNLKKEVEVQDAKHRKTLAELEKGVDGLHQSFQRLDSRISSVGQTAAKIGDHLQSADSQRKTASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGTHGINMPSAVGAANASRGLEVAVGNLQDYCNELENRLLSRFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVASRPMFIDVEVMNTDINLVLGDQGLQAGPSNIARGLSTLYKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVSTILDRLLEKPSLVNLPPVNQGGLLLYLRIFAVAYEKTEDLAKELQSVGCGDLDAEGLTESLFLPHKDEYPEYEQASLQQLYQSKMDELRAEAQQQSESTGTISRTKAAISPSASQQISVTIVTEFVRWNEEAISRCTLFSSQPTILAGNVRSVFACLLGQVSQYLTEGLERARESLNEAAALRDRFVIGASVSRRVAAAAASAAEAAAAAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLPPDAHAASLEEMGTAVSSVEGAAQKGLQQCIETVMAEVERLLSAEQKTTDYRTPDDGNAPDHRPTNACIRVVAYLSRVLESAFSALEGLNKQSFLTDLGNRLHKGLINHWQKFTFSASGGLRLKRDITEYGEFVRSFSAPSIDENFELLGIVANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDFKTAKISSMLNSLKTDS >Ma04_p31820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32035258:32040004:1 gene:Ma04_g31820 transcript:Ma04_t31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLOWERING locus D-like protein [Source:Projected from Arabidopsis thaliana (AT3G10390) UniProtKB/TrEMBL;Acc:A0A1I9LNW6] MSDQNPLPPPPPPFTIGPPFSAPLVPQNPNPNLHPNFYLNPSPNFHLQVPFQTKRKRTGVRRRAAAPPSSVALPPPLPSLAPPPPAPSNPLPDPGPQNPDPDISEEIIVINKEATTEALTALTAGFPADSLTEEEIEAGVVSSIGGIEQVNYILIRNHILSRWRENVSNWLTKEAFVSVIPPHYEPLLNSAYNFLVSHGYVNFGVAPAIKERIPAEPTKANVVIVGAGLAGLAAARQLMSFGFKVVVLEGRKRCGGRVYTKRMEGANKFAAADLGGSVLTGTLGNPLGIIAKQLGSTLHKVRDKCPLYRPDGKPVDPDLDTKVENAFNKLLDKASRLRLCMGEVAMDVSLGAALETFRQVYGDAVTEEEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALSENVPIIYEKTVHAIRYGGDGVQVISGSQVYEGDMALCTVPLGVLKNGSIKFVPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWNMDIDTFGHLSDNPSHRGEFFLFYSYATVAGGPLLIALVAGEAAHNFETMPPTDAVTLVLQILRGIFEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANMAHHANARALQIKVERSPSKNTQACAALLADLFREPDLEFGSFSVIFGRKTSDPKSPAIIRVSLGGPRKKNTNEVSKADQQHSNKLLFQQLQSHYNQQQQLHVYTLLSRQQALDLREVRGGDDMRLFYLTEKLGVKLVGRRGLGPAADSAIASIKAERGNR >Ma06_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14061640:14062878:1 gene:Ma06_g19980 transcript:Ma06_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRGRWSGSSCEDGGHHGSVGEILVRVGGGRAISDSGTGSNDIGGEFHSKRIWNTFLVALCAAYCVSFTFTNSYRAASGRLYYAVATLRGIWAFNGRRKGPPEPGTYRLTWSDLFHASLSLSLVAFLTIALLHDDVMRCYHIDLPRKVTNTGPLVIGFLFSVLFVVFPSRRRGTGYRFLPQGDAVYLRS >Ma02_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25289381:25295138:-1 gene:Ma02_g18950 transcript:Ma02_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CCS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49380) UniProtKB/Swiss-Prot;Acc:Q9XIA4] MSSLLLNPNRAFSPLSRQVLKASFSSLCSISNARILLGSRPTAHRVVGFKPRASRDSFDAEALPESNTKKKSRNNNKKIILFGSTPPPLSEERGGSAGNSSGKPAAEGSSWVFLQRAFKRVLAVLSNLPLAIGEMFTIAALMALGTVIDQGEAPDYYFQKYPEENPVFGFVTWRWVLALGFDHMFTSPVFLGMLILLAASLMACTYTTQIPLVKVARRWSFIHSAETIRKQDFADSLPQASIQDLGIILMGAGYEIFLKGPSLYAFKGMAGRFAPIGVHLAMLLIMAGGTLSAAGSFRGSVTVPQGLNFVVGDVMNPNGVLSFPSQEFDTEVHVNRFYMDYYDSGEVSQFYTDISLYSLDGKELMRKTIRVNDPLRYGGITIYQTDWGFSALQITKNGEGPFNLAMAPLQLNGDKKLFGTFLPTGDADSPNVKGISMLARDLQSIVLYDQEGKFAGVRRPSSKLPIDIDGTRIVIEDAIGSSGLDLKTDPGVPLVYAGFGALMLTTCISYFSHSQIWALQDGTTVIIGGKTNRAMLEFRDEVNRLLDEVPELVTIDKNFNG >Ma08_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25110075:25110152:-1 gene:Ma08_g17410 transcript:Ma08_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSFSVDVYRKVISLNLYCRVIL >Ma07_p28560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34565838:34567336:-1 gene:Ma07_g28560 transcript:Ma07_t28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQEGDRDINLALELCIGKRSDKSRDHGEEACSNSRKLSLPLGLFHGVEAEEGSAAGVSSHHSTVSSVSCLPSVKKENHAGDEEEEAERLSSRGSDEEDEGGVRKKLRLTKEQSALLEDRFKEHSTINPKQKQTLAGQLNLRPRQIEVWFQNRRARTKLKKMEVDSTILKRYCEKLSDENQRLQKELQQLKALTAAPPLYMHFPAAASLTMCPTCGRRTERAGDGPRGHDCYAGPLLVAPKPYFLNPSFIQQHVS >Ma07_p28560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34565838:34567127:-1 gene:Ma07_g28560 transcript:Ma07_t28560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQEGDRDINLALELCIGKRSDKSRDHGEEACSNSRKLSLPLGLFHGVEAEEGDEEEEAERLSSRGSDEEDEGGVRKKLRLTKEQSALLEDRFKEHSTINPKQKQTLAGQLNLRPRQIEVWFQNRRARTKLKKMEVDSTILKRYCEKLSDENQRLQKELQQLKALTAAPPLYMHFPAAASLTMCPTCGRRTERAGDGPRGHDCYAGPLLVAPKPYFLNPSFIQQHVS >mito11_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000022.1:128387:128951:-1 gene:mito11_g00060 transcript:mito11_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGTSLIQRESLLRVSGEEGSPYILISFHSSGSTSNQWRKLSNQWFPGIPYLCFVCSREEFDLFNLGIPPE >Ma06_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2821063:2823656:-1 gene:Ma06_g03890 transcript:Ma06_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNW/SKI-interacting protein [Source:Projected from Arabidopsis thaliana (AT1G77180) UniProtKB/Swiss-Prot;Acc:O80653] MATLKDLLPPSKSSTTSHYDHSNDPWFKERYSASSESTRSVAIKPNPVPPYGKRSGFVPRKPEDFGDGGAFPEIHVAQYPLGMGRKDQKPGSKILALTVDSQGKVAFDAVVKQNENASKIVYSQHKDLVPKVGLEDEIESEEEKEIEESTQRTKEALEKIVNVRLSAAQPKNVPTQSTESKFIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVDMRSKVQKELMLKEKARKEQELRALAQKARSERTGIAPAPAAPLPSDKTMIDDAELGEPQEQRKETRDEREERLQRDKIREERRRERERERRLENKDAAMGKKSKTTRDRDRDISEKVALGMANVGAGRAGEVMYDQRLFNQDKGMDSGFVTDDQYNIYDKGLFTAQPTLSTLYRPKKDTDADMYGGADEQLEKVLKTDRFKPDKAFTGASDRPSGSKRERPVEFDKQEENDPFGLDQFLTEVKKGKKPLDKIGGGGTMKASAGSSMRDDYEGGGSGRSRIAFERGR >Ma02_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22455863:22465223:1 gene:Ma02_g14350 transcript:Ma02_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G29630) UniProtKB/Swiss-Prot;Acc:Q8L6Z7] MGIQGLLPLLKSIMAPIHVEELRGQTVAVDAYSWLHKGAFSCATQLCKGLPTSKHIEYCMHRVNLLKHHGVKPILVFDGGILPMKIEQETKRSRTRKENLARAMEHETLGNSSAAYECYQKAVDISPLVAFELIQVLKQEHVDYIVAPYEADAQMTFLSINSLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQSLRLGKNKELDLTGFTNRMLLEMCIFSGCDYLPSLPGMGLKRAHALVKRLKSYDKVIKHLRYSAVSIPPLFEESFGKAIWAFQHQRVYDPAKEDIVHLTDIPHGVFEDLDFLGPWLPQGIAKEIAQGDIDPLTKMPFQGKDTCRQLMLGRNCLEKESVPSSGRKKLDLPVQKNLLTNYFCLASLEARRKFRAPKVMPKELVVMDSDSPSSEKYDSESLGSAEEATCINNHAARAPVNAITTNDSLPAEDCVGDTTKMEDKSTNHSQKVSRLPLNSDLKDLQCSSLLPELKNETCKPFLTSHKEYDFKLQMADVDVTTKSTKRKVIVKSSYFRHKLSDDNDPENQNDGTNVNNENYDCTSCDCPVPNGASCGNACLKSGMKKRKSVNVSDKQLGDLGSKNARTTSTYFEEDSEASDSNNKGKEMQENGKFGCDISHLNNYTGIAEKSMEKFVALISSYRYTSSGSRASGLRAPLKDVQNTCSSRRTVAPININKFAYSSKK >Ma06_p26560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28408101:28408325:-1 gene:Ma06_g26560 transcript:Ma06_t26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWLKETPVFYEKREFGRMIFGIKINKFAYKYTHTNVLFCDDDKHLAFSRFSVRISNFMWKYMFFLYLYIMQT >Ma05_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20868795:20869246:-1 gene:Ma05_g17590 transcript:Ma05_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHSVLCQICSRVYDSSTLICQYHSCPGGDSRGDRWPGRSPEFRIRRYRSVPIDRMPRRRKVSKRAKESRDSSIERKAGKRR >Ma07_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11339899:11342045:1 gene:Ma07_g15100 transcript:Ma07_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPILTTLSMEDHHHRRHHPPSTLLSMDPAGSLGIAVSARDDCDHELSIIQQRQQSVLSGPPDINLPLSVDRSPPQQPWNPDALDMLDVGLGPQIYDPEPVLNLPKAGAVGGAAVSRKCAKRGDSIWGAWFFFNFYFKPVLAEKSKGKIVRDANGVSGFDKSDLRLDVFLVQHDMENMYMWAFKERPENVLGKMQLRSYMNGHSRLGEPQFPFSVDKGFVRSHRMQRKQYRGLSNPQCVHGIEIVRSPNLSMVSEADRKKWMELTGRDQNFLIPPEASDFESWRNLPSTDFELERPPPPLKSTSHPSSRKLPNGSGLNLSTQPSNHAGGDCMDLSPVCSKRRKDFFAHAVNEDGCMSGTPFLDRPQDIEVHPAEPSWVNEFTGVMRHACGPVTAAKTIYEDKDGYLIMVSLPFSDQQRVKVSWKNNLTHGIVKISCVSTARMPYIKRHDRTFKLTDRSPEHCPPGEFVREIPLATRIPEDAKLEAYYDESGTVLEIMVPKHSVGPEEHEVRVCMRPPHLGANELLLT >Ma02_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28699599:28702408:-1 gene:Ma02_g23860 transcript:Ma02_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRDTAIKLFGRTIPLQRCGSGDGGGDNQKDLQVEDTAARSQDKNECTTSTSEMDKPASADREDASTKNSVAEEHSKDKAVKKPDKILPCPRCKSLDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKSTSHFRHIAVSESSLQSVRCNAPESVRYPPKPNGTVLSFGTDAPAKAKPLPSSETSMLSPNENGGQNYQGSAAPIPCFGGSPWPYPWTPAAPLSFPIPFYPAAAYWSCAVPSGAWSVPWLSPLASSHPAAAPNTGNLGKHSRDGSNLNNSSLSTEEDGGLAPTTLRIDDPEEAARSSIWTMVGVKRSKNNAVSNGGLLRAFHPKVDVKNHVLVAPSLLHANPAALSRSLNFHETS >Ma11_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20808810:20812600:-1 gene:Ma11_g15110 transcript:Ma11_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPYESIVMQPRNTEGPPHDLDLRHSRKLMSLIRREKYDELRMECQKTEPVNFMEDPLLSVVIACKKTSLAMRLIRDLTTDQLMQYNFKGDTALHVAAAVGDCQVARELFTRKGELVGVRNHNMETPLHKAALYGNWDMFFRLLSAGSDLFARKEDGNNVLHCAIMGNAPELAMEIARRAPVLNFLRNADAVTPLQLMVTIPELFRSQTPLSPLESLLYDWIPLERQDSGVINPGDVEKRVGDTEEEEEDDVGNGSKIPSHYSTLFDLLELLKIPVSPTMERLEKLKRHHKAAMVLIELLATSGYFDFLLSGRSGIDSSDPMKQVPAESVMVATMMASGEPDTETERLETEDRPSERGDASATQGQNKGKRPDIRWHESPLITGAKMGLHDFVRKILQVCPHSATYLDTHGTSVLQAAIKYGRKEIVETIVEMTQGDLPILPAWLLSSIDAESRNTILHFASDKTPYAQADAVQLQDDLKWFEKVAKIVPKELANSRNSEEKTAQELFAEKHQEMFKGCRSQLIDMGKTCSGLLAAVVFASSFSIPGEKDPKTGNPVYYDMPAFKVFSHAYVIGLSCAVTSLVLFLSLVISPYSQRQFRLAIPVKYFFAILSFAMALLALMVSFTCNIFLQIYGGQPTTTRDLLPLVLELTVFPTLCLLALVYYGANLFPSFRRIWT >Ma11_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27298637:27300176:-1 gene:Ma11_g24250 transcript:Ma11_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U1-1 [Source:Projected from Arabidopsis thaliana (AT3G21870) UniProtKB/Swiss-Prot;Acc:Q9LJ45] MPSSTMEDVAGGDTGDAEAAARVLDGIVHALERMVARNERVTRTDAEEEEEDEEEEGETAALGAERMRGRGLAAFRGTRSPGISVGKYLERLWRYTGCSSSCFVVGFVYIDRVAHRHPASPVVSLNVHRLVLTSLLIASKVLDDKHHNNAFFAKVGGVSNAELNRMELELLFLLDFGLVVSSRVFESYRRHLEKEKMLARATQEMGRAVVDASANGGVHGLRNGSSRHSFSSPRCSIDW >Ma06_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16373534:16374072:-1 gene:Ma06_g21880 transcript:Ma06_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDKLQAMERFKENTYLSMAIQYSLRVVALGLFLSCLNWLPYLRLFFLVSLPNVSSVVFGPKCLFVVSNIIIIFLVGESRLTRQPSRPDVYEEYVKRRRGLHGAACSEAKEAEAEKGCDGQEGVGREREEEKGLPAEELNRRVEDFIAKVNMQRKLEARMLICCCG >Ma02_p13990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22151252:22154607:-1 gene:Ma02_g13990 transcript:Ma02_t13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDRVNALDYYKVLEVDYDATDETIRLSYRRLALRWHPDKHKGNSDVTAKFQEINEAYQVLSDPDKRLEYDISGSYEIDRYSLREYLSRFKGMILTCNGLGINPPSWSQQLMETEPIDQ >Ma02_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22151074:22154785:-1 gene:Ma02_g13990 transcript:Ma02_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAEPQKDYYKVLEVDYDATDETIRLSYRRLALRWHPDKHKGNSDVTAKFQEINEAYQVLSDPDKRLEYDISGSYEIDRYSLREYLSRFKGMILTCNGLGINPPS >Ma02_p13990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22151252:22154785:-1 gene:Ma02_g13990 transcript:Ma02_t13990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAEPQKDYYKVLEVDYDATDETIRLSYRRLALRWHPDKHKGNSDVTAKFQEINEAYQVLSDPDKRLEYDISGSYEIDRYSLREYLSRFKGMILTCNGLGINPPSWSQQLMETEPIDQ >Ma06_p30100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31441258:31442354:-1 gene:Ma06_g30100 transcript:Ma06_t30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGCCIAMYGGGVDANVAWKVDRIMLRFRPIAPKPVVGSPTVVAAAPKEASAGARRPKRKGPAISAAAARGRKPRKVEATPLPPPIVDEGKSFSSSTIVTLPLMPETPERKGDPAGAPPKWCPTPAAVAPTWMGLGEGEAADVVVAPRPVRAVVSWVTVECVTDTWREGEVSWRNDKVARAALAADECPGFVSDEWGGVTWTNEAYRRMVLGEGSGSSCAAGGVEEEEEEEVRVKLVARGLVPAARTCRAFTCRVRVRHAERPKGPPSLAAPCDVWRLDGGGCAWRLDVKAALSLSV >Ma08_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3842947:3843324:-1 gene:Ma08_g05640 transcript:Ma08_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSVHQTRSPKQGKGKKKTVKVVYISNPMRFTTSVAKFRALVQKLTGRDSNVGDTGATSMVFDGLEKPSVKPAPGSGVASDSTGMDPRTATASAPFEMFDDDVFTPEMLDNFPGLQQSTLFVL >Ma02_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23363600:23364505:1 gene:Ma02_g15810 transcript:Ma02_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAAAKVRRAQEAVVSGRPFSETLVEVLYNINEQLQTEDVDVPLTRVHPVRKIALVVVTADRGLCGGFNNNIIKKAEQRIRELSALGIAYTVISVGKKGNSYFQRRPNIPVDRFLEDVDKVELLYTKFVSLLFRLTTNERKLTVERETVRTPTPAFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASEFAARMTAMINATDNAVELRRTLSIVYNRERQAKITGGILEIVAGADALS >Ma02_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25827651:25832311:1 gene:Ma02_g19600 transcript:Ma02_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFSGCLAFLLKFFNFLQAFVGISILIYSLWLLNLCRRHDFPLDVEHLPAPWFVCVSMGVGICLCLITFIGHVAAEAINGCCLCFYTMLSTTLIILEVILVGGLVLNKHWEEDLPYDSTGELKRVRTFIEDNMDTCKWVALGVIIIQALSLLLAMVLRAMIPSRRMEYDSDEDFVVIRRPLLNPQGGPPYTTNSIDNKGLHSDIWGSRMRHKYGLNQGELTCNTVDPATQCP >Ma05_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11021706:11025564:-1 gene:Ma05_g14980 transcript:Ma05_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARSLMVASAAPFLKALSSSANGRRQLCVRAGGASGDGKVMITKEKSGWKIDYSGEKPATPLLDSINYPIHMKNLSTRDLEQLSAELRAEIVFAVAKTGGHLSSSLGVVELAVALHHVFDAPEDKIIWDVGHQAYPHKILTGRRSRMNTIRQTAGLAGFPKRDESIYDAFGAGHSSTSISAGLGMAVARDLLGKKNHVISVIGDGAMTAGQAYEAMNNAGYLDSNLIIVLNDNKQVSLPTATLDGPATPVGALSKALTKLQSSTKLRKLREAAKNITKQIGGQTHDIAAKVDEYARGMMSATGYSLFEELGLYYIGPVDGHDVEDLVTIFEKVKSLPAPGPVLIHIVTEKGKGYPPAESAADKMHGVVKFDPKTGKQFKSKSSTLSYTQYFAETLIKEAQVDDKIVAVHAAMGSGTGLNYFQHKFPERCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKIPVRFALDRAGLVGADGPTHCGAFDIVYMACLPNMIVMAPADEAELMHMIATAAAIDDRPSCFRFPRGNGVGVALPPNNKGTPLEIGKGRVLMEGNRVAILGYGSIVQTCLKAADSLRSHGIFPTVADARFCKPLDVELIRRLANEHEILITVEEGSIGGFGSHVTHFLGLSGLLDKNIKLRSMVLPDRYIDHGSPQDQFEVAGLSSRHIAATVLSLLGRRKEALHLH >Ma05_p27430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38739899:38746882:1 gene:Ma05_g27430 transcript:Ma05_t27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVALLPESFLCLARSRSKRNAVSPRVSMASTMVKTPKKLFGPRETHIEVTHSMPPQKIEIIKSLDDWVEDNILVHLKPVEKCWQPQDFLPDFSSEAFNEEVMELRERSKELPDEYFVCLVGDMITEEAVPTYQTMLNTSDGVRDETGASLTPWALWIRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARLAKDHGDLNLAQICGTIASDEKRHETAYTKVVEKLFEVDPDYTVLAFADMMRKKITMPAHLMYDGRDDNLFEHFSAVAQRLGVYTAKDYADILEFLVARWKVGELAGLSGEGNKAQDFVCTLAPKIRQLDERARGRAKKAPAMPFSWIYNREVQL >Ma04_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5314074:5316835:1 gene:Ma04_g07320 transcript:Ma04_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQQQQQQQQQQQPQLAASVNPFSTSPSPWASHHQHQHASDHFPFVIPDPAAAFADPFAPDPIPGFLPPGGDSGRHLDHGHFRMSDFGSSAATVAAEFDSDEWMESLIGESPAESSVLMSEPWPSATECAGALFVDAFPSCSADISLPSPPPAASDLNGVFFSEPSKIPPLALVQHHQAAAVVASNPPAAQVPACDRPEPEKNCGAATVRKQARERSISSPPLLESLLQCARLADSDPHLATKSLIHVRESASELGDPTERVAFYFAEALHRRLLGAQRKHPLPTSAPQPPSLDSSPEEFTLCYKVLNDACPYFKFAQLTANQAIIEATESAGRIHIVDFGIVQGVQWPSLLQALATRPSGKPSKVRISGIPAPALGGAAIATSLAATGNRLRDFAALLDLDFEFDCILTPIPEIAASTFRVDPDELVVVNFMLQLSQLLGDSPEPVERVLRVAKSLGPRILTLAEYEASLNHAGFVDRFGAALGHYAAVFESLDPAMGRDAVERARMERVLIGHRILEAVGPFEGQNRRVRMAPKEEWRAVMERCGFSSVPLSNYAVSQAKLLLWNYNYSSRYTLLDSPPPCLTLAWGDRPLLTVSSWR >Ma08_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15401258:15404904:1 gene:Ma08_g15320 transcript:Ma08_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRRSSLRPVKASNGSPQHQASSDCFEGERLDNLLSQLAKSIELAKLSKGELPEKIWIKQQFAVGVNDVTRVLERMVEAETGCQSNEEPKNSKAPLVPLQAVILAADCNPRWLTKHIPSLASSTQVPMILVKDNKRGSLRLGELVKLKTAMAIGIKAKGSRINKTVDEILGGATSVEDTLEMAQQIRT >Ma03_p25510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29516693:29527126:-1 gene:Ma03_g25510 transcript:Ma03_t25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 3 [Source:Projected from Arabidopsis thaliana (AT4G16144) TAIR;Acc:AT4G16144] MSAMRPHVRAIDINALAQKVEVDNRISLAFYYRIADNLLKQARIYRDERNLIDLYIILLRFSSLLCETIPCHHEYQALPPAFRKKLLDVMNELESLKPEVQRQVDNLNREHTFQTAGQKSVSYSPVPAKEHIVPIPRMDQTSTVSTSRSSWRPAERNRLVLPDNSQADKSLQKISFGVLNPKEETLSRHSILGPNGLWGPPARPVTGIRVQYPSNFELIQSGSSGLNQDAQSGLVVINEGDSRKRNYDLESVLSLDDGRWSSIPVEESDTFAASVVQEDFAHLNIKQPSPPPVLAQVESRVISPSKVADPRPGPAKSSHDGTKTFQNLHVPVKMMEAFMRLAEKNTAKNLETCGILAGLLKNRMFYVTTLIIPKQESTSDSCQTTDEEEIFNVQDKLSLFPLGWIHTHPTQTCFMSSIDLHTHYSYQIMLPEAIAIVMAPTDTSRTHGIFHLTDPSGVNLIRNCRESGFHPHEEPLDGSPIYEHCSHVYMNANMKYDVIDLRNS >Ma05_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6923098:6926556:1 gene:Ma05_g09560 transcript:Ma05_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLALLVLALVAGSYDASVPVRTVRSEPTQDREALLAFVAAVPHEPRLRWNVNTSACDWAGVACDSGRAAVVSLRLPGVGLVGPLPAGTLGRLTALRVLSLRSNRLSGTIPADLALLAHLRSLYLQDNLLSGSIPATLAGLIRLVRLDLSANNFTGPVPFAVNNLTRLTGLFLENNRLSGSLPSIGIASLVDFNVSYNELNGSVPTSLERFPASSFAGNLDLCGGPLPPCKPFFRSPAASPMPVEGPVEEASKKLSTAAIIAIAVASITGLLLLMLLLLVICVLLRRRRRTKRRTKDMTAKRLESSAVAAAVGRLGDTGMTSSSKEEVSGGTGVEAERNRLVFMGISGGYIFDLEDLLRASAEVLGKGSTGTSYKAVLEEGTTVVVKRLKDVAAAKPEFESHMQTLGNVEHANLLTPRAYYYSKDEKLLVLDYLPSGSLSSLLHGNRGGGRTPLDWESRMRVALAAGRGLAHLHTAARTVHGNVKASNVLLRANDLDSAALSDFALHPLFNPAAPRHRLAGYRAPEVLETRRPTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVHSVVREEWTEEVFDVDLMRYPNTEEEEMVQILQVAMACVATVPDARPDFPEVIRMMEEIVNRTEGDEGLRSSPAGPAKGGDVGGAAIPTADGP >Ma04_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4484735:4485741:-1 gene:Ma04_g06020 transcript:Ma04_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVATPKFNTSELHKKMIALLEEYFHIRLLDEALQCVGELKSPEYHPEVAKEAINLAVVKGLSWLKSIIKLLEYSLTKKVFPPRDLGTGCLLHGALLDDICIDLPKALSYNKESCFYMLYRQHKLSMEYGIPCEELHPQELKTDHQRAE >Ma08_p31100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42121336:42122993:1 gene:Ma08_g31100 transcript:Ma08_t31100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGGGSRYPFTASQWQELELQALVFKYMVSGIPVPSDLILCIRRSLFMDPQALPFIPNPPTIGWGAYQLGDGRKPVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKSRSRKPVELSLATNPTSSQFSSSNFVAAAAAAAAAAPPPPPPPPLSLSTPQTHHFLLPCPSSSRPPAMGFSLKDDSASFCLDSSPYTVDNYRNILGFKEGVNEYPFLSEACRAGREMPCRLGSMDMSSVEETKQSFGYMQGAHPHSRICLSEEQDKEKHYFVLGADLKTDKSAKLERAEQPQNARPFHCFLDEKPQKMEDSWMSMDADLKMQLSISIPMANHDKPVAASQRYNDG >Ma08_p31100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42121336:42122993:1 gene:Ma08_g31100 transcript:Ma08_t31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGGGSRYPFTASQWQELELQALVFKYMVSGIPVPSDLILCIRRSLFMDPQALPFIPNPPTIGWGAYQLGDGRKPVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKSRSRKPVELSLATNPTSSQFSSSNFVAAAAAAAAAAPPPPPPPPLSLSTPQTHHFLLPCPSSSRPPAMGFSLKDDSASFCLDSSPYTVDNYRNILGFKEGVNEYPFLSEACRAGREMPCRLGSMDMSSVEETKQSFGYMQGAHPHSRICLSEEQDKEKHYFVLGADLKTDKSAKLERAEQPQNARPFHCFLDEKPQKMEDSWMSMDADLKMQLSISIPMANHDKPVAASQRYNGAVLNASSALFLTPIKTLSSHFPMVL >Ma00_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29717183:29718425:-1 gene:Ma00_g03490 transcript:Ma00_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGTPNKDTNLGSMQRIPLRNATALVAGRRVKLHFSQRRWSLAEGKSEASLFSMTLVVVTITTAATEEAVAEEEERWGRHRRSLRSPYIRPSFSLSPSTVGRSAKTTAVRKMRICHEASQECGCSGVGRWPKTRAKLRFSQRRWSLAKGKSEASLFSLL >Ma04_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9766883:9771519:-1 gene:Ma04_g12910 transcript:Ma04_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSEALLAALLLLGVAATAYAAPDMSIISYDEKHGVRGLERSDEEVRRMYDAWMAAHGRAYNALGERERRFEVFKDNLRFVDAHNAAAGAGVRGFRLGLNRFADLTNEEYRAVYLGTRANRATARRVRVASDRYRYNASEELSEFVDWREQGAVAAVKDQGSCGSCWAFSTIAAVEGINKIMTGNLITLSEQELVDCDNAYNQGCNGGLMDYAFEFIIDNGGIDTDSDYPYKARDGTCDQLRKNTKVVVIDGYEDVPENDEQALRNAVANQPVSVAIEAGGREFQLYQSGIFTGRCGTELDHGVVVVGYGTEDGKDYWIVRNSWANDWGEAGYIRMERNVKTSTGKCGIAMEPSYPTKKGQNPPNPGPSPFPPVNPPIVCDNYYSCPSSTTCCCVYEYGHYCFAWACCPLEGATCCEDHYSCCPHDHPVCNVQAGTCQMSKDNPLGVKALAWIPAKPHWAYLDGKSKKINV >Ma01_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4709490:4714187:-1 gene:Ma01_g06570 transcript:Ma01_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRPATYNFERASAPQRLYVPPSSRVGGHDNHEDADLDNIEYDQSDAPDQSPPNGGDGAGDGDGEIDPLDAFMEGIQEEIRAPPPGTAKPKDKGDKYNEEDDDDPVESFLRSKKDAGLTLASEVLHAGYESDEEVYAAAKAVDAGMIEYDSDDNPIVVDKRKIEPIPALDHSSIDYEPFNKDFYEEKPSISGMSDQDVTEYRKSLAIRVSGFDVPKPIKLFEDCGFPTALMGAITKQGYEKPTTIQCQALPIVLSGIDIIGIAKTGSGKTAAFVLPMIVHILDQPELDKGEGPIGVICAPTRELAHQIFLETKKFAKPYGICVAAVYGGVSKLDQFKELKAGCEIVVATPGRLIDLLKMKAVTMVRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILSDPSRVTVGEVGMANEDITQVVNVIPSDAEKMPWLLERLPGMVDDGDVLVFASKKTTVDEIEGQLIQKGFKVAALHGDKDQASRMDILQKFKSGIYHVLVATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLIAAGQDVPTELMDLAMKDGRFRAKRDARKGSGGKRGAGRGKGGSGSGRGVRGVDYGLGIGYNPESANAAPSHSVQSRSAAVNSLKTGMMAQFKSNFVAASSNSKVPISSSTKPALRGFVSGGSIGGEAFKAQSVAVPAFGDEGSRIVNGNQKGSESSRDRPRERKRPSGWDH >Ma11_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17250244:17257401:1 gene:Ma11_g13080 transcript:Ma11_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGFDAIRTGVLSSRWRSLWRHRWPHPAVLDLSPHTVAASADDFVAGVDRFLSARGRGRRIDTLFVALPHGRRYDADIKRWIEYAASCSIEDLRLVVSPSSLAGTSARPGRRLRRQERAAVSSVFFHSICECSNLTRLALSGLRLSSPSANIKRLSDLEVLDLHAGHVTDAALRRVVAACPLLRSLDLRLCRKLRRIVITANSRLTSLTIVDCPRAMEVTVSAPDLRCFRYSGNYLTSYSFDSPKRLEEVCMSSGGPPSCLPPSNWVKTLGGLSNIKVLTLCSLLLQYVAIEGGNATRECNNFRNLRELQLLMGMMTVDNLTNIYTFIRICKCPRLEKLFIELPTTMNDPYVENYLMVPKEEPPEVDFKYLKIIKINNFNGHRNEMQLVRFLLGKAGVLESLMVITSKDFMVEEYINTVDGCRDSLHFLQSQLSLFTKASVNAQIILSDREDNKFIPTHWEVYSKV >Ma06_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17899648:17899749:1 gene:Ma06_g22330 transcript:Ma06_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKHLKILLRSISLKNITISSAYQIVRLSISS >Ma04_p13610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10292323:10298284:-1 gene:Ma04_g13610 transcript:Ma04_t13610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFYSMSNDHSGSTPNLGLRDPGHAPYSESPGPGNMMYLSFLNSGNSDMITSHLGSHGYNAWKDGRNEMLFMQTVDGTMNGADDLLHTDDPQTSMRTQLGIINGQNLSLQQSNVPIMQNQGLSLSLSTHMPVPSIQYQPTSSGISFMGCHQSTSGNIGLLREEHFQNRSFHGNVSPYRQSHIPNSKYLRIAQELLDEVVNVGSALKQRADKSQSHPSADGALTCKDGSGESKSEGMASNPQEATVNYSNELSPSERQDLQNKVSKLLGMLDEVDRRYKQYYHQMQIIVSCFDAIAGCGAAKPYTALALQTISRHFRCLRDAISGQIQAIRKNLGEPDNTSGKGGGLSRLRYIDQQLRQQRAMQQFGMMQQHTWRPQRGLPESSVLILRAWLFEHFLNPYPKDSEKLMLARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEEFGDTEIDSNSSSENPPRLKEDIQSSEDHDDMQNPATGRCQTSQISNSSRPNVIPAMDVAESVAAFQNEETAQDSYMNLKISDQRPVGVDSSFLQDALAHQDGSGRFLAYQMAELGRYGNSGVSLTLGLQQCDVGHPASDDQQRFLAARANDVYGTAAPVGPDTADYDYANMGDRRHRLGSTHLLHDFVA >Ma04_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10292323:10298284:-1 gene:Ma04_g13610 transcript:Ma04_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFYSMSNDHSGSTPNLGLRDPGHAPYSESPGPGNMMYLSFLNSGQYTDAVAGVTKTQQNHIELPGATSDISPGLTVGNSDMITSHLGSHGYNAWKDGRNEMLFMQTVDGTMNGADDLLHTDDPQTSMRTQLGIINGQNLSLQQSNVPIMQNQGLSLSLSTHMPVPSIQYQPTSSGISFMGCHQSTSGNIGLLREEHFQNRSFHGNVSPYRQSHIPNSKYLRIAQELLDEVVNVGSALKQRADKSQSHPSADGALTCKDGSGESKSEGMASNPQEATVNYSNELSPSERQDLQNKVSKLLGMLDEVDRRYKQYYHQMQIIVSCFDAIAGCGAAKPYTALALQTISRHFRCLRDAISGQIQAIRKNLGEPDNTSGKGGGLSRLRYIDQQLRQQRAMQQFGMMQQHTWRPQRGLPESSVLILRAWLFEHFLNPYPKDSEKLMLARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEEFGDTEIDSNSSSENPPRLKEDIQSSEDHDDMQNPATGRCQTSQISNSSRPNVIPAMDVAESVAAFQNEETAQDSYMNLKISDQRPVGVDSSFLQDALAHQDGSGRFLAYQMAELGRYGNSGVSLTLGLQQCDVGHPASDDQQRFLAARANDVYGTAAPVGPDTADYDYANMGDRRHRLGSTHLLHDFVA >Ma04_p13610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10292323:10298284:-1 gene:Ma04_g13610 transcript:Ma04_t13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFYSMSNDHSGSTPNLGLRDPGHAPYSESPGPGNMMYLSFLNSGQYTDAVAGVTKTQQNHIELPGATSDISPGLTVGNSDMITSHLGSHGYNAWKDGRNEMLFMQTVDGTMNGADDLLHTDDPQTSMRTQLGIINGQNLSLQQSNVPIMQNQGLSLSLSTHMPVPSIQYQPTSSGISFMGCHQSTSGNIGLLREEHFQNRSFHGNVSPYRQSHIPNSKYLRIAQELLDEVVNVGSALKQRADKSQSHPSADGALTCKDGSGESKSEGMASNPQEATVNYSNELSPSERQDLQNKVSKLLGMLDEVDRRYKQYYHQMQIIVSCFDAIAGCGAAKPYTALALQTISRHFRCLRDAISGQIQAIRKNLGEPDNTSGKGGGLSRLRYIDQQLRQQRAMQQFGMMQQHTWRPQRGLPESSVLILRAWLFEHFLNPYPKDSEKLMLARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEEFGDTEIDSNSSSENPPRLKEDIQSSEDHDDMQNPATGRCQTSQISNSSRPNVIPAMDVAESVAAFQNEETAQDSYMNLKISDQRPVGVDSSFLQDALAHQDGSGRFLAYQMAELGRYGNSGVSLTLGLQQCDVGHPASDDQQRFLAARANDVYGTAAPVGPDTADYDYANMGDRRHRLGSTHLLHDFVA >Ma04_p12860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9720716:9723964:1 gene:Ma04_g12860 transcript:Ma04_t12860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWDLNDAASDEEDEKGKAAGATLAEAENDDSGSSVVVIEASEEREDDSGAGRIFGFSISGRRGEQTSAESAPAVVTHQFFPFDDVEEARAGKSSGAAPATRAHWAGVRVCHSSEPMVAGMVTEAPPPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGMYEPRFRQNSEAFERFDFVLDRVRNELLLIACHVNVFVGGFDTAYAAARAYDRAAIKFRGLDADINFSLDDYEEDIKQMGNVTKEEFVQVLRRQSTGYPRGSSKYRGVTLHKCGRWEARMGQFFSKKYVYLGLFETEIEAAQAYDKAAIKFNGKDAITNFDPKIYKKELDIQTEPPEHNLDLSLGGSGSKRNYIETIDDEGTNIVDQLQQMASDSEWNRNMIPKFDGKHKLPDGKDSRSGFHHVNGFVQSPSLFKANEAFNCAPVQMNINTSPMFQIIPQQLNPSSFYQCPGSSDGERSGKWLSLSSGGEQHLRHPCWGQGMEISYGSQCHHLNRLSPLILQHHQDSHHR >Ma04_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9720650:9723964:1 gene:Ma04_g12860 transcript:Ma04_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWDLNDAASDEEDEKGKAAGATLAEAENDDSGSSVVVIEASEEREDDSGAGRIFGFSISGRRGEQTSAESAPAVVTHQFFPFDDVEEARAGKSSGAAPATRAHWAGVRVCHSSEPMVAGMVTEAPPPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGMYEPRFRQNSEAFERFDFVLDRVRNELLLIACHVNVFVGGFDTAYAAARAYDRAAIKFRGLDADINFSLDDYEEDIKQMGNVTKEEFVQVLRRQSTGYPRGSSKYRGVTLHKCGRWEARMGQFFSKKYVYLGLFETEIEAAQAYDKAAIKFNGKDAITNFDPKIYKKELDIQTEPPEHNLDLSLGGSGSKRNYIETIDDEGTNIVDQLQQMASDSEWNRNMIPKCPGSSDGERSGKWLSLSSGGEQHLRHPCWGQGMEISYGSQCHHLNRLSPLILQHHQDSHHR >Ma04_p12860.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9720593:9723961:1 gene:Ma04_g12860 transcript:Ma04_t12860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWDLNDAASDEEDEKGKAAGATLAEAENDDSGSSVVVIEASEEREDDSGAGRIFGFSISGRRGEQTSAESAPAVVTHQFFPFDDVEEARAGKSSGAAPATRAHWAGVRVCHSSEPMVAGMVTEAPPPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYAAARAYDRAAIKFRGLDADINFSLDDYEEDIKQMGNVTKEEFVQVLRRQSTGYPRGSSKYRGVTLHKCGRWEARMGQFFSKKYVYLGLFETEIEAAQAYDKAAIKFNGKDAITNFDPKIYKKELDIQTEPPEHNLDLSLGGSGSKRNYIETIDDEGTNIVDQLQQMASDSEWNRNMIPKFDGKHKLPDGKDSRSGFHHVNGFVQSPSLFKANEAFNCAPVQMNINTSPMFQIIPQQLNPSSFYQCPGSSDGERSGKWLSLSSGGEQHLRHPCWGQGMEISYGSQCHHLNRLSPLILQHHQDSHHR >Ma04_p12860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9720650:9723962:1 gene:Ma04_g12860 transcript:Ma04_t12860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWDLNDAASDEEDEKGKAAGATLAEAENDDSGSSVVVIEASEEREDDSGAGRIFGFSISGRRGEQTSAESAPAVVTHQFFPFDDVEEARAGKSSGAAPATRAHWAGVRVCHSSEPMVAGMVTEAPPPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYAAARAYDRAAIKFRGLDADINFSLDDYEEDIKQQMGNVTKEEFVQVLRRQSTGYPRGSSKYRGVTLHKCGRWEARMGQFFSKKYVYLGLFETEIEAAQAYDKAAIKFNGKDAITNFDPKIYKKELDIQTEPPEHNLDLSLGGSGSKRNYIETIDDEGTNIVDQLQQMASDSEWNRNMIPKFDGKHKLPDGKDSRSGFHHVNGFVQSPSLFKANEAFNCAPVQMNINTSPMFQIIPQQLNPSSFYQCPGSSDGERSGKWLSLSSGGEQHLRHPCWGQGMEISYGSQCHHLNRLSPLILQHHQDSHHR >Ma04_p12860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9720558:9723964:1 gene:Ma04_g12860 transcript:Ma04_t12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAWDLNDAASDEEDEKGKAAGATLAEAENDDSGSSVVVIEASEEREDDSGAGRIFGFSISGRRGEQTSAESAPAVVTHQFFPFDDVEEARAGKSSGAAPATRAHWAGVRVCHSSEPMVAGMVTEAPPPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGMYEPRFRQNSEAFERFDFVLDRVRNELLLIACHVNVFVGGFDTAYAAARAYDRAAIKFRGLDADINFSLDDYEEDIKQMGNVTKEEFVQVLRRQSTGYPRGSSKYRGVTLHKCGRWEARMGQFFSKKYVYLGLFETEIEAAQAYDKAAIKFNGKDAITNFDPKIYKKELDIQTEPPEHNLDLSLGGSGSKRNYIETIDDEGTNIVDQLQQMASDSEWNRNMIPKFDGKHKLPDGKDSRSGFHHVNGFVQSPSLFKANEAFNCAPVQMNINTSPMFQIIPQQLNPSSFYQCPGSSDGERSGKWLSLSSGGEQHLRHPCWGQGMEISYGSQCHHLNRLSPLILQHHQDSHHR >Ma04_p36930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35090141:35090912:-1 gene:Ma04_g36930 transcript:Ma04_t36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVISNEDREGAEIIYGAEECYSHSVKMLEAVGFPKGVLPLRNLEECGWVQATGFVWMKQKEPYEHFFTGTNTRVRYDRVVTAYVEMKKMKKMTGVRSKQVLLWVPITEMSITDADAAKIYFKSAVGIGRSFPISAFVDEAEGQEEKKKLEVEVGA >Ma05_p25870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37692168:37692950:-1 gene:Ma05_g25870 transcript:Ma05_t25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRSFPEYSSSSTGGFGYDNRCNSYSFNGPSGKDDGFASSSDPELKRKRRVASYNSFAMERKLKSSVRNSFKWIKNKFTDAVYGV >Ma07_p29090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34901054:34904979:1 gene:Ma07_g29090 transcript:Ma07_t29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRVKRNKTTYFVQCDPTDTTLDIKQKLQALIDLPSNNQRLTLVATDVVLVDSMTLAEQRVENDAVVALTLRKDDNEFEEIYIARPEDFMSFS >Ma04_p38390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35948357:35954509:-1 gene:Ma04_g38390 transcript:Ma04_t38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRFVYINDDSSQDLYCDNRISNRKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIIIFAVSATKEAWDDYNRYLSDKQANEKEVWVVKDGIRKHIQAQDIHVGNIVWLRENDEVPCDLVLIGTSEPQGICYVE >Ma09_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2042243:2044063:1 gene:Ma09_g02960 transcript:Ma09_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQAINKSCSIQDGDKTIPADATYQPLGRRPALGRKRAMFRLKPLSGNPVPDFDLNSQLDHIDDPEEYYFAFEQLENADKELKKLRGEVATEAAKNQQATGRRRRPGILGKTVSYKPHVSAIDTIKAFNASKEDSDGRNAISYKKQIMDYLLALNKKV >Ma03_p29380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32304697:32309331:1 gene:Ma03_g29380 transcript:Ma03_t29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTMAAKFAFFPPSPPSYGVTAATEGRLEMTDVPPRENVEAWRLPTRRGTEIVAMYVKNPAASLTLLYSHGNAADLGQMYELFWQLSKHLCVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEVAYGTHAEDIILYGQSVGSGPTLELAARLPNLRAAVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVQCPVLVIHGMVDDVVDWSHGKQLWELSKVKYEPLWVKAGNHCNLELFPEYIRHLKKFISAIEKAPPPRTASTESSVPQDPPSMSSDHLDHQRSMDKMENLRSSTDHKEKGGNSTDRREKPRLSTDKKEKSRKSFDISDRARNSMDQQEKPRKSFERLGGMIKSVSLCNIDCFKGPASQSEECKGQC >Ma04_p02340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2014423:2018085:1 gene:Ma04_g02340 transcript:Ma04_t02340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPHSSSIFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLIVLGGGYILLFLFGKGGHGHSHNHSMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFNWVERNDKLLVGSVLVLVGILTLIFHDHDGDQHSTGEHLHRKLIV >Ma04_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2014423:2018112:1 gene:Ma04_g02340 transcript:Ma04_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISAEDLPTIGGIATVSLLHSFIPTHWLPFSVVGRAQKWTLPRTLLVTIFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLIVLGGGYILLFLFGKGGHGHSHNHSMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFNWVERNDKLLVGSVLVLVGILTLIFHDHDGDQHSTGEHLHRKLIV >Ma05_p28390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39417862:39420510:-1 gene:Ma05_g28390 transcript:Ma05_t28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDLPGKIHHKVSENWLSATLLLAPIIGTYSYAQYYMEKEKLEHRY >Ma10_p30290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36633934:36635511:1 gene:Ma10_g30290 transcript:Ma10_t30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFRCLPSNKSTIHLSSHQLCSLFNFSTAKDQSSEHSSNFIVVDSLHSCELSSEKAAKNSKYHTCHKNSSSLTIEFFKQSGWSDAQVMKLIQKSPRLLRTKVETVLKPRMRSLKDMGFSDTEIVQLVSSCPSVLIRDIQPKINFWRSLLGSNERLLKASRRNMFLLTSSLARKIEPNISLLRECGISDKRIAYMVVTKPTIIGRSNKYIKEAIKYVEELGVPCNCRMFPYALSIVTGMSRSRFDDTFATLMNFGLSRQDVIAVFRKHPTIWVLSKKNICDKMTFLMKEAGCELTYIVSHPVFLACSLEKRLKPRYEILNFLEQNKLLDKVYGLLSLITLSEKKFRKKFLFLLREEKSIALYDSYLKSVAL >Ma06_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8396222:8400802:1 gene:Ma06_g12090 transcript:Ma06_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSFPAEISKPTAWPPPLRGGNAARLPVNACAAMTCSVAADGNRRSKLVWVWTESRQVMTAAVERGWNTFLFRSESRSNDLANEWSSIALITPLFIDGKQLFDGQSRKIASFYEVSSPQELELFQPNTEEVDNVVINFQNEWQVIPAENIVAAFQGCNRTVLAVSATSTEAQVFLEALEQGLDGVVLKVEDIGEVLRLKEYFDRRNEVRNMLALTKTTVTRVEVVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAIPGGKTCYLSELQAGKEVIVVDQSGLQRTAIVGRVKIESRPLILIEAKEHSGNETYSIFLQNAETVGLVCPREGNQTTIPVTSLKLGDEVMLRVQGGARHTGIEIQEFILEK >Ma06_p12090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8396292:8400273:1 gene:Ma06_g12090 transcript:Ma06_t12090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSVAADGNRRSKLVWVWTESRQVMTAAVERGWNTFLFRSESRSNDLANEWSSIALITPLFIDGKQLFDGQSRKIASFYEVSSPQELELFQPNTEEVDNVVINFQNEWQVIPAENIVAAFQGCNRTVLAVSATSTEAQVFLEALEQGLDGVVLKVEDIGEVLRLKEYFDRRNEVRNMLALTKTTVTRVEVVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAIPGGKTCYLSELQAGKEVIVVDQSGLQRTAIVGRVKIESRPLILIEAKEHSGNETYSIFLQNAETVGLVCPREGNQTTIPVTSLKLGDEVMLRVQGGARHTGIEIQEFILEK >Ma06_p12090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8396224:8400273:1 gene:Ma06_g12090 transcript:Ma06_t12090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSFPAEISKPTAWPPPLRGGNAARLPVNACAAMTCSVAADGNRRSKLVWVWTESRQVMTAAVERGWNTFLFRSESRSNDLANEWSSIALITPLFIDGKQLFDGQSRKIASFYEVSSPQELELFQPNTEEVDNVVINFQNEWQVIPAENIVAAFQGCNRTVLAVSATSTEAQVFLEALEQGLDGVVLKVEDIGEVLRLKEYFDRRNEVRNMLALTKTTVTRVEVVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAIPGGKTCYLSELQAGKEVIVVDQSGLQRTAIVGRVKIESRPLILIEAKEHSGNETYSIFLQNAETVGLVCPREGNQTTIPVTSLKLGDEVMLRVQGGARHTGIEIQEFILEK >Ma03_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14819118:14822297:1 gene:Ma03_g15050 transcript:Ma03_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPLATAEACDANPHLILNGELRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGGSMRCAILGGNPVQQAQNNGWAGIIVNGCIRDVDEINGCDIGVRALGSHPMKANKKGYGEKHVPVNIAGTRICDGEWLYADSDGILISRTEISV >Ma08_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2010084:2015363:-1 gene:Ma08_g02690 transcript:Ma08_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMLNTISISVSDDDEVTGRMRVRVRPKRKKPGLRGRRELLRWAVRIAMRWWPVLLFLPAVALLLLESSRLGRKLPDEVGDNLAASAKLESLKNLNRLDPTTRVVHGVREHCLKILSPEKLENLEFPVSAESNFPAKRVLYRSDSSDQHSGEQYTITQNEEATRFNLFTGYQTLHEREESFKANETAVVHCGFYSENGGFKISDDDRSFMRTCKVVVSTCAFGGGDDLYQPIGMTEASLEKVCYVAFWDEITQSTQEKEGKVIGEDHMIDKWHVVVVKDLPFSDQRLNGKIPKMLSHRLFPEARFSIWVDSKSQFRRDPIGVIEALLWRTNSVLAISEHGARSSLYDEGKAVVKKHKATPEEVEMQLNQYRMDAIPDDKRFNGKKALAEASVIVREHTASTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLNMTRVNMFPVCTRKDLVNSIGHQRKVKPLVRLTS >Ma04_p04100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3151359:3152937:1 gene:Ma04_g04100 transcript:Ma04_t04100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAAAYDASVAAISRDIARKKRVNRSAKLKQCKLDARREQWLSQVRNKDCMVTSRGAPAASSPPHVALSKKLDRTSKEEEEEKHRVEVEPDGLSFHESEEGSPTHCSHMNGCANNSISSESSFGSSSRSFSNAEVEEDICEERGEEKEGIDDWEALADALPIAHDRNQPNLNPLASIPDSTARAVGTNKDCHEGFAKPHDKPMVPRAWRADDAFRPQSLPNLSKQWSFPVSRERHYAAARWAHHDILSAPSTCPICCEDLDPTDSSFLPCNCGFRLCLFCHKRILEADGRCPGCRKHYDPMAGGEMGNGGGMQPVTLRLSHACSMISRT >Ma04_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3151339:3152937:1 gene:Ma04_g04100 transcript:Ma04_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAAAYDASVAAISRDIARKKRVNRSAKLKQCKLDARREQWLSQVRNKDCMVTSRGAPAASSPPHVALSKKLDRTSKEEEEEKHRVEVEPDGLSFHESEEGSPTHCSHMNGCANNSISSESSFGSSSRSFSNAEVEEDICEERGEEKEGIDDWEALADALPIAHDRNQPNLNPLASIPDSTARAVGTNKDCHEGFAKPHDKPMVPRAWRADDAFRPQSLPNLSKQWSFPVSRERHYAAARWAHHDILSAPSTCPICCEDLDPTDSSFLPCNCGFRLCLFCHKRILEADGRCPGCRKHYDPMAGGEMGNGGGMQPVTLRLSHACSMISRT >Ma02_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13738380:13754035:-1 gene:Ma02_g02490 transcript:Ma02_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEGGTGRGNPSPNLEWRFNQTLRNVQGLLRGRSFPGKVLLTRKSEPLNVNDSPVRSKEITRSYSENDRGDQHACSSHEAEVQSSGRTASNTILQKTNISTSNNANTPPDAKGSVSGARATDSARIAKFTKELSRPAVILEKLRELSWSGIPPYMRPNIWRLLLGYASPNTDRREGILARKRLEYVDCVSQYYDIPDSERSDDEINMLRQIAVDCPRTVPDVTFFQHAQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLMVFLSEHLNGSMENWSISDLSPQMISNIEADCYWCLSKLLDGMQDHYTFAQPGIQHLVFRLRELVRRIDEPVSRHMEKHGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDSLPDFLVYISASLLLTWSDKLLKLDFQEMVMFLQHLPTLDWTHHELEMVLSRAFMWHTMFSSSPNHLAS >Ma10_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18918205:18928756:1 gene:Ma10_g06360 transcript:Ma10_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLNATASPPGSTPSEEPGEDQQAAGVGILLQISMLVLSFVVGHVLRRHKFYYLPEASVSLLIGLVVGGIANISHTEANIRGWFNFHEEFFFLFLLPPIIFQSGFSLAPKPFFSNFGAIITLAILGTFIASVVTGILVYLGGLAYLMYKLPLVECLMFGALVSATDPVTVLSIFQELGTDVNIYALVFGESVLNDAMAISLYRTMSSVRHSKSSGQSLALAVLKFLETFFGSMSSGVGVGFISALLFKYAGLDVDNLHNLESCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKQYTFSNLSKNSQHFAPAFFHLLSSLAETFVFIYMGFDIAMEQHSWSHIGFIFFSILFIGVARAAHVFSCAWLINMVRPASSQIPMRHQKALWYSGLRGAMAFALALKSVHDLPDGHGKIILTATTAIVVITVLLIGGSTGKMLEALEVIGDAYSGPYGEENLGDNHDYVGPSFDEGTSSGNKIRMKLKKIQSTASFTALDRNYLTPIFTSKNDVPDEAPENSRTKEFQVHQ >Ma03_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23232089:23232549:1 gene:Ma03_g17620 transcript:Ma03_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERFLSVDCKSPDMNSGAVAEQLPRPFLDLGWEQPVHHDAQFESAISSFVSSPSSNPSAGNGSVVVRALIGRFGSICDSGEISQTSCYSTPLDSPPKLTLPGLWRTGNSRR >Ma11_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25041266:25044571:-1 gene:Ma11_g20630 transcript:Ma11_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MAALLKWAAHMGMSDLPWPPPTPSISSPVEPSSSSSYCLGRTLFVSYFSEAGGRGLAAARDLVKGELILRVPRKALFTTDSAMADEKFASCVKRHQHRLSPTQMLIVCLLAEVEKGRCSRWYPYLVQLPRSYNTLANFTRFEVQSFQVEDAIWVSEKAVAMARSEWKEAVGLMQDLDLKPQLLTFRSWLWASATVSSRTLHIPWDSAGCLCPVGDLFNYAAPDEDSSHEMSDSKQETTSLSIQLLEHSSQEGTVHDADQPDGFMQRLTDGGYEENMNSYCFYARKRYKKGEQVLLGYGTYTNLELLEHYGFVLKENPNDKAFIELKAEVCTSSSWPRDSLYIQHDGVPSFALLCALRLWATPMNLRRTVGNRVYSGSIVSVENEVLIMKWLAKHCTDILARLKTTIGEDSMLSSTVDKMIGHPSCLSSTVVLPHMSELREFFEAHGLTMEVADYIGMPVKARRSLERWKLAIQWRLAYKKMLQGCVFYCENLICCLSSQHV >Ma07_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:915341:919704:-1 gene:Ma07_g01180 transcript:Ma07_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAFGEGSRVLNSSSSNLRPLTMSSACRSLTLPPLTPLPSPSRARSSSRTVFSPPSTSLGFRGVRSLSSRRAPKKRWRLGDVSCFMHEEEEGTSSSERGFGFMEIKGGQESGEIKLDETSKENRGWISRIQKVSHRIFIFNGNSWTVPWTVETIVQVMLLWIASFWFVGSWIIPFLAHAAGFSKESLTHRGQALYSLLTDVAEGLAGIAILHRCLARFRPLPSGWFQFSLKGCWHFDVALGCLLFPLVNFLSQININLVPVLPAPPVGVSSVEQSILARDPVAMALYAVVVSVCAPVWEEIVFRGFLLPSLTRYMPLWGSILVSAVAFALAHFNAQRLLPLVFLGVVMGAVFARSRNLLASMLLHSLWNGFVFLDLMK >Ma06_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12156425:12160884:1 gene:Ma06_g17930 transcript:Ma06_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGAKKNKEPPPTIQDATDRINKRGETVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMMYNQTFNLDQVAFATEGIKDAQQTMSALKSANKELKGMMKTVNISGIDSLQDEMMDMMDMSTEIQESLGRSYNVPDDIDEEELLGELDALESDMGAETESDAIPSYLQPDKEPDLYAELNFPAAPTGNSAVPNRVTPQTEDELGLSTVPQASIRS >Ma08_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36086268:36087633:-1 gene:Ma08_g22530 transcript:Ma08_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERGRKNQKCSSFCNPSMPGATAAATSISGGGDGGGGAYGGDVNAGILDETVLMLVFRSLNWDPHVICVAACVSRRLRAVARRVLFRELCISRAPRMVSALTSGGVAPAGRLGGGWHALAKLLFFCCGCAAPTRFFTPDRAAPGHFVGASRFSKTSGRSFLARRCWGDLLFVSDPCEHPAAGRGGGEDLGAYRGVFRGFMRSRTRAWLIGKQAELETKVRCPYCGARVWSMTAAGLVPRSASKRLGSHEGSLEYFVCVNGHLHGYCWLAHLSTDDDDEEDDGDDTGDDGKHNEEDAERNVEF >Ma07_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24596448:24599324:1 gene:Ma07_g18150 transcript:Ma07_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDAKKVCGVPERVQLHVAMLALQFGYAGFHVVSRAALNMGISKVVFPVYRNIIALILLVPFAYFLEKKDRPALTLSFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAILRIEKVRIDRRDGIAKLMGTLACVGGATIITLYKGPTIFGPSRALNDASQSTMLWLGDAKGKNWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLVIAAFIERDAEAWKFHSGSELFTILYAGFVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALREEFYLGGIIGAVFIIAGLYLVLWGKSEERAFAAKEAALTASSTPDHDGLRATTGAASFKASSLKQPLLPSSTTPENV >Ma10_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:12562781:12566638:1 gene:Ma10_g03230 transcript:Ma10_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGNGTISLEQIKNEAVDLERIPVEEVFAQLKCTREGLTDAEGEQRLQIFGPNKLEEKQESKLLKFLGFMWNPLSWVMEIAAIMAIVLANGGGKPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDAKWSEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLIEIVVMYPIQRRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVYVNDFDKDTVVLFAAMASRVENQDAIDAAIVGMLADPKEARAGIQEVHFLPFNPVDKRTAITYIDSNGNWHRSSKGAPEQIIDLCNLKDDAKKKVHSMIDKFADRGLRALGVARQEVPEASKESAGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSTLLGEKNDDVGGLPIDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIALIWRFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGAYLAIMTVVFFYLVHDTDVFPEIFGVREIKDSNDELTAALYLQVSIISQALIFVTRSRGWSFVERPGVLLVVAFIAAQLVATAIAAHASWGFARIQGIGWGWAGIIWLFSLITYFPLDILKFITRYALSGKAWDNLLQNKTAFTSKKDYGRGEREAQWALAQRTLHGLQTADTSVLFNDKSSYRELSEVAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >Ma06_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7306210:7308101:-1 gene:Ma06_g10570 transcript:Ma06_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPASPLSSTHHRRLHTCCRPIPSEFAEMGSFHAIRKAQRAQGPATIMAIGTANPPNLYEQSTYPDYYFRVTNSEQKQDLKHKFRRICEKTMVKRRYLHLTEAILKERPKLCSYMEPSFDARQEIVVEEVPKLAKEAAAKAINEWGRPNSDITHLVFCSISGIDMPGADYRLAKLLGLPLSVNRIMLYGQACHMGAAMLRIAKDIAENNRGARVLVVSCEITVLSFRGPDEHDFQALAGQAGFGDGAAAVIVGAEPVHGVEKPIYEIMSATQVTVPESEKAVGGHLREVGLTFHFFNQLPMIIADNIDKSLSEAFEPLGITDWNDIFWVAHPGNWSIMDAIEVKLELQQEKLSTARHVFAEYGNMQSATVYFVMDEVRKRSVREGRATTGYGLELGVLFGFGPGLTIETVVLRSVPL >Ma08_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35985568:35991072:-1 gene:Ma08_g22400 transcript:Ma08_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVADVAAGNLVVVLAMALLLAPLVSSNSEGDALYALRKSLSDPDDVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVPELGRLEHLQYLELYKNNIQGTIPSEFGNLKSLISLDLYNNNISGVIPPTLGKLKSLVFLRLNDNRLTGQIPRELVKISSLKVVDISYNDLCGTIPTTGPFEHIPLNNFENNPRLEGPELQGLALYDTNC >Ma05_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5385654:5390191:-1 gene:Ma05_g07410 transcript:Ma05_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAKDPAIKLFGRTIPLPESLPPPPEEEAEQTAIPDATPATEADDGDPDASKEVTNMEVNNITAVASSERNEDGPTCSIGLNSSNENDHDKDSREEHNKSDAEGSAQAKVLRKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGTTRNVPVGAGRRKSKHSASQVRQIMLPSDGLQSAGLETSDLPHHLTLPCGFSAPTRPLIRNGTVLKFGTEVPLCESMASALNIREKNRNGDISSILYGENEGPSCASSVNGSNFVENGLAGNPTQIEQNGMQGYCNGVTTMPQFSCYPVAPWAYPWSPGWTNVAPIVRCSPEFVQRPENGNPSPVPWNPPLMVGGPAFCPPSLPFPFMPASSWGCISSWPNGAWNVPWLGFNSGIHRSSSTSNSGSSGNGSPTLGKHSRDATLQGEEKTGKSLWVPKTLRIDDPDEAAKSSIWATLGIKPEVGIFEPLKSKAESKARTSDAAHLLQANPAALSRSHSFQEST >Ma11_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25732451:25732678:1 gene:Ma11_g21670 transcript:Ma11_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSILKFIPYTMQAVKQGFQDLGASSLQSAHDLLHSEVLRFE >Ma06_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11970432:11976987:-1 gene:Ma06_g17670 transcript:Ma06_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRVKSGLLPSSLRIISSCLKTVSSNAGSVASSVRSAGASVAASIAVPAEDEKDQVLWAGFDKLELSPSSFKNVLLLGYSNGFQVLDVEDASNVCELVSKRDGPATFLQMQPTPMMSEATEGFRASHPLLLIVAGDETNGTGMVQGGRLSALIRESVNEPQAGNSITTPSVVRFYSLKYHSYVHVLRFRSAVYMIRCSPRIVAVALAAQIYCFDAVTLENKFSVLTYPLQGTAGVNIGYGPMAVGPRWLAYASNNPLVPSTGRLSPQNLTPSPSVSPSTSPSSGNLVARYAMESSKTLAAGILNLGDVGYKTLSKYCQELLPDGSSSPLSPHSNRSGRFPPTGLPTEPDNAGTVVIKDFISKDVISQFRAHTSPISALCFDPSGTLLVTASIHGHNINIFRIMPTRVHNGSISAHYDWTSSHAHLYKLYRGLTAAVIQDISFSHYSQWISIISSRGTCHIYVISPFGGDASLQPQNIHDGPILTPNLTSPWWAASCCMIHQQLQQPPPPITYSVVSRIKNANSGWLSTVSNVAASAAGKISVPSGAVAAVFHNSLYHDTPRGPSKANSLEHLLVYSPSGHVIQHKLLPSSFVEPCDRSSKTIPTSVLQLQDDDLRVNAEPVQWWDVCRRLNWPEREEDISRIFCNDQQTSETVMDSGDSEDNETSCSMSTTGSVPGAESARSERFHWYLSNAEVQINSGKIPIWQKSKICFCVLNPSRASEGFTEDGGEIEIENLFFDEIEIRRKDLLPVFEQFRCIQSSWNDRVGGRYQTSSSGVFQATDEFKPVSFACGSDFGSGTTQSLLDFDETGSCEPVSLQAIEKPTADESGHGSPSIISKGIPALSESKASTTLPIKDSVKDCTRLHSEDTNSYSVKDDSVTDGVSTRSSSLSCSGRLLVVDNDFMNDKGSGKVQKACSTNTHMEHAERSDSHNSVEFAQYFNEGYCQVSERNDCRELTEAVTDADSNSSHCEREKPEEDGDDDNMVGGIFAFSEEG >Ma00_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3510538:3512039:-1 gene:Ma00_g00930 transcript:Ma00_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLAREVSDLCIGKPAVRSLPLSATIGDALIALRSSGEDRLAVWAADRNRPDRKACVGTVCMVDIVCYLCSKENIAAPGAALAAPVSVILPPKAAAPLVRRVEPSSSILEALDAILEGAQSLVVPLRPAASRRKPAGGGGAALEFCWLTQEDLVRFFLNSIALFSPAPALSVTDLGLVHPAPLAVRPQDPALSALPLIRAALVDQTSVAVVSDDGRLLGEISPSTLVHCDQRVAAALAALSAGDLMAYVDCFGAPPESAIGAIKARLQEKGLLSMLELLEADFSPPFLSSASSSSSSSSSDEESSPAAALQRPRRLRSAGRSGSYSARMGRRSEEAIVCHPESSLVAVMIQALTHRVSYVWVVNDDYFLVGIVAFSDILSVFREQLD >Ma06_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5654980:5656709:-1 gene:Ma06_g07970 transcript:Ma06_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYPLRFPSQQPSGSFSTPCLSLLPADMIGDIQVFDTLQGGNSAGFLRSKDVVEVPTSQGYGAYQLMESFAGSRENEEKPSKKKEKKVRKPRYAFQTRSHVDVLDDGYRWRKYGQKAVKNNRFPRSYYKCTHQGCSVKKQVQRLSRDEGIVVTTYEGVHTHPTEKPHDNFQDILNQMQIYSNF >Ma11_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20491479:20491856:1 gene:Ma11_g14800 transcript:Ma11_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKGQSACLNFPELADELPRPASAAPEHIQAAAALAAATTFGGRRETTRASEESGRRQAEMPTSRSPAPAALSSDGDDKLFDLPDLPLDVSEGFRLHPSWAPSTVEDCIQFRVEEPLVWEYYVN >Ma01_p18810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14159363:14175495:1 gene:Ma01_g18810 transcript:Ma01_t18810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAPYVRQRDEESGGGGGSGRRASGDGDGSSGDWFDIPAKNASVERLRRWRQAVLVLNASRRFRYTLDLKKEEEKERIRSKIRAHAQVIRAAFLFKAAGEMARSGTPALPKLPSGGFGIGEEQLTKMTRDHDFSSLQEYGGVKGLSDLLNTNIDRGISGDDAEILHRRNIFGSNTYPRKKGRSFWVFLWEACQDLTLVILIVAAVLSLVLGIKTEGIKEGWYDGGSIAFAVILVIVVTAVSDYRQSLQFQNLNEEKRNIRLEVIRSGRRIKVSIFDLVVGDVVPLKIGDQVPADGVVITGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGDMLVTAVGINTEWGLLMASISEDTGEETPLQVRLNGVATLIGMVGLTVAAAVLVVLLARYFTGHTTNPDGSVQFIKGQTGTKTAINGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGGRKIDPPDNPELLSPTASTLLIEGIAQNTTGSVFVLETGVVDVTGSPTEKAILSWGVKLGMIFDDARSKSSIVHVFPFNSDKKRGGVAVYQGGDDIHVHWKGAAEIVLASCTSWLDADGFKQPLTSDKLSEFKKTIEDMAAASLRCIAFAYRLYELERVPNEEQRDSWQLPEDDLILLAIVGIKDPCRPGVKAAVDLCTRAGIKVRMVTGDNLRTAKAIALECGILGDANAQEPVIIEGKTFRTKTDAERDAIAEKITVMGRSSPSDKLLLVQALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRTPVGRREPLITNIMWRNLIFQALYQVTVLLVLNFGGRSILHLKNDTRAHADKAKNTFIFNTFVLCQIFNEFNARKPDERNVFRGVTTNRLFMVIVGITVLLQVLIIEFLGKFTSTVRLNWKLWVVSIAIAFISWPLAFVGKLLPVPKMPFEEYFGRCFNCCGKRDDQQQQHHQQAGSGALPQVNGS >Ma01_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14159363:14175495:1 gene:Ma01_g18810 transcript:Ma01_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAPYVRQRDEESGGGGGSGRRASGDGDGSSGDWFDIPAKNASVERLRRWRQAVLVLNASRRFRYTLDLKKEEEKERIRSKIRAHAQVIRAAFLFKAAGEMARSGTPALPKLPSGGFGIGEEQLTKMTRDHDFSSLQEYGGVKGLSDLLNTNIDRGISGDDAEILHRRNIFGSNTYPRKKGRSFWVFLWEACQDLTLVILIVAAVLSLVLGIKTEGIKEGWYDGGSIAFAVILVIVVTAVSDYRQSLQFQNLNEEKRNIRLEVIRSGRRIKVSIFDLVVGDVVPLKIGDQVPADGVVITGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGDMLVTAVGINTEWGLLMASISEDTGEETPLQVRLNGVATLIGMVGLTVAAAVLVVLLARYFTGHTTNPDGSVQFIKGQTGTKTAINGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGGRKIDPPDNPELLSPTASTLLIEGIAQNTTGSVFVLETGVVDVTGSPTEKAILSWGVKLGMIFDDARSKSSIVHVFPFNSDKKRGGVAVYQGGDDIHVHWKGAAEIVLASCTSWLDADGFKQPLTSDKLSEFKKTIEDMAAASLRCIAFAYRLYELERVPNEEQRDSWQLPEDDLILLAIVGIKDPCRPGVKAAVDLCTRAGIKVRMVTGDNLRTAKAIALECGILGDANAQEPVIIEGKTFRTKTDAERDAIAEKITVMGRSSPSDKLLLVQALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRTPVGRREPLITNIMWRNLIFQALYQVTVLLVLNFGGRSILHLKNDTRAHADKAKNTFIFNTFVLCQIFNEFNARKPDERNVFRGVTTNRLFMVIVGITVLLQVLIIEFLGKFTSTVRLNWKLWVVSIAIAFISWPLAFVGKLLPVPKMPFEEYFGRCFNCCGKRDDQQQQHHQQAGSGALPQVNGS >Ma01_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10797449:10799022:-1 gene:Ma01_g14790 transcript:Ma01_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPSSHDHVLRRSFSKQRSFPRSCNHSYRFYINLLITLFCVFLTLLQIQTVIRTSTAPFTFPSSYSAWPLLAHHVHNLIGELELSQRSTDEPRGNVTFLPLKDLRFAETAMVGNTWFMSSVNDTKEKGEAEYLYFPSKEMDGKLLCLGGGSASNGTMNSYALAPAEALPPNAKLLSGLTFVSDTYYSYDNIFHGISALAPFVAWHERKACVMPARWVLYQQGEIRRNLKIPWIRTLMEATFGPSMEIEQFRKSDEGPSCFEQAVVFRHNQGTMGRERKEKLYDLLRCNARAYCNITREEDDLKAIRLTLLLRVGARSFKDDDAVIHIFRRECRKVEGCRLKVAHPNNLTFCDQVKLMSGTDILATPHGAQLTNMFFMDKNSSVMEFFPKGWLELAGVGQYVYRWLADWSGMRHQGAWNDPHGEPCPPGLTTSCFSFLKNQQLGHDEAFFSNWTARVLGETRRYKLQKPSTEAAQEREVHCKCG >Ma04_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1793211:1794633:1 gene:Ma04_g02050 transcript:Ma04_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMEDELFPSTPGKVKIERAHTINRQLHRCFASTSTMFLWALFLIALTASYLSFQSFVDSSSRYLNASWGGMQWEKQIRESSAASRPGGMSVLVTGAAGFVGTHVSLALRRRGDGVVGLDNFNNYYDPSLKKARQAMLQSRGVFVVEGDINDTRLLARLFALVPFTHVMHLAAQAGVRYAIENPASYVHSNIAGLVTLLEVCKSADPQPAVVWASSSSVYGLNEKVPFSELDRTDRPASLYAATKKAGEEITHTYNHIYGLSTTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDRADLARDFTYIDDIVKGCVASLDTAEKSTGSGGRKRGPAQYRIYNLGNTSPVTVPALVNILERHLKVKAKKKVVEMPGNGDVPFTHANISLAQAELGYKPTTNLEIGLKKFVKWYLSYYGYKPRSGGLAA >Ma02_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16535587:16544950:-1 gene:Ma02_g05230 transcript:Ma02_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQTVPPPDLNKNTEWFMYPGVWSTYILILFFSWLLVLSVFRCTPGMAWTVVNLFHFAITYYFFHWKKGTPFAEDQGIYNNLTWWEQMDNGKQLTRNRKFLTVVPVVLYLMALHTTDYQHLMLFLNTLAVAILVIAKFPNMHKVRIFGINE >Ma11_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24530878:24532115:1 gene:Ma11_g19820 transcript:Ma11_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSLSSHQTPVLRLLQGAMQAGEEMVEEEVQLHLQQYQHRRFFTSYPQETNFQLLRRMQSQCHFRQLRMAEMDRSCAVEQLESCVTHVSESETRGIVHREDPATAAATGPMRSPAVAGSNERRKRKRQRPASASKSAEEAESQRMTHIAVERNRRHLMNVHLATLRSLMPPSFVQRGDQASIIGGAIEFVKELEQHLLSLRAQKRFRESAFARSSSDDDDPCRAPLPLLHDDFLISPQYTGYSQSQRRRRDGNGEEAHQEDWTGVDVEATLVQGHVNLKVAGRRQRGQLVRAIAAMEELRLSILHLNITSLGPSSILYSLNLKMEEECKLGTADEIATAVHQIFSYNSNC >Ma03_p20840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25917769:25923883:-1 gene:Ma03_g20840 transcript:Ma03_t20840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVVAKNFDVLAGPVVTLVYPLYASVKAIETKSSADDQQWLTYWVLYSFMTLFELTFAKVLEWLPIWSYAKLMFSCWLVLPYFNGAAYVYQHFVRPLFVNHQTVNIWYIPRKEDLFSKPDDILSAAKRYIEENGPKAFEKLISKAPNLSLQSERAPKARRTILEEVQAQRESHSERDSKSWSENCTIFDGDYRY >Ma03_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25917769:25923860:-1 gene:Ma03_g20840 transcript:Ma03_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVVAKNFDVLAGPVVTLVYPLYASVKAIETKSSADDQQWLTYWVLYSFMTLFELTFAKVLEWLPIWSYAKLMFSCWLVLPYFNGAAYVYQHFVRPLFVNHQTVNIWYIPRKEDLFSKPDDILSAAKRYIEENGPKAFEKLISKAPNLSLQSERAPKARRTILEEVQAQRESHSERDSKSWSENCTIFDGDYRY >Ma09_p30600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40659527:40664582:-1 gene:Ma09_g30600 transcript:Ma09_t30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHMTPPKSDRQGRPPAIEPSSPRFFLSAAAAASPGSHRRIAIAVDLSDESAYAVKWAVQNYLRPGDAVILLHVRSTSILYGADWGAIDLSVSADPDSELSQQKLEEDFDAFTSTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRSSKSRLGSVSDYCVHHCVCPVVVVRFPDDGTGVGLGPGGSSAPLDNGATLPLEKDVELHPVPEEEQEYHDATDEHKDA >Ma09_p30600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40659527:40664582:-1 gene:Ma09_g30600 transcript:Ma09_t30600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHMTPPKSDRQGRPPAIEPSSPRFFLSAAAAASPGSHRRIAIAVDLSDESAYAVKWAVQNYLRPGDAVILLHVRSTSILYGADWGAIDLSVSADPDSELSQQKLEEDFDAFTSTKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRSSKSRLGSVSDYCVHHCVCPVVVVRFPDDGTGVGLGPGGSSAPLDNGATLPLEKDVELHPVPEEEQEYHDATDEHKA >Ma08_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1833699:1835080:1 gene:Ma08_g02300 transcript:Ma08_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGRREGGGPVLFPAPHSEFFSRFTFPRSYAKWSSPIKCNLYYYRTNYFILITFILRKKTTKTIVSAIFLILYSAMLQILMCDLHSFAVTFDEKMKRTVKRFSPH >Ma09_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3466759:3467286:-1 gene:Ma09_g05390 transcript:Ma09_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVSLKGKEKAGKGSKSSEERSAKCVKEWSTWAMKKAKVITHYGFIPLIVIIGMNSEPKPQLYQLLSPV >Ma05_p30680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40967642:40970905:-1 gene:Ma05_g30680 transcript:Ma05_t30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEGAKMRGGEDAPAGPPSGVRRTFWRSSSWSSSRIAAQDHSKDSTSEDSNSADAPCPPPPFTPRSQSHKARSCLPPLAIARRSFDEWPKPGSDDLEQWPHPPTLGAKPDEGLKPDHSSLRTPGTRDQIAFKECSKVADHVYLGGDYVARNREILRQHGITHVLNCVGFVCPEYFKSDLIYKTLWLQDSPSEDIISILYDVFDYFEDVREQGGRVFVHCCHGVSRSTSLVIAYLMWREGQSFDDAFRFVKTARGIANPNMGFACQLLQCQKRVHAIPPSPAPVLTMYRMVPHSPYDPLHLVPRMLNDPSPAALDSRGAFIVHVLSSLYVWIGNDCEPAMEEDAKAAALQVVRYERVQGPHATVEEGEEPLEFWEAFSSAPPSEEEEGRETNEERVESAAKMIAGARRVESYDADFELFHGALAGGIIPPFSCSGQGQENHLPARESDWSLSRPSRPPSTWYKMLSFVPWGCRYTPLRYSTIRTSSPEWIRVVVSSALILS >Ma09_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33038422:33054514:1 gene:Ma09_g21610 transcript:Ma09_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWQLGNEFRGQSKLSEDHQWSVITSKLAEMTRSDFDRMNNVDYSRNTFEAKSYDKFGIQEDNKFENLNLSLMNLDLKMNETSFKSPLHSVFNMNSVYMKDNINDVNSFKLNTGFSKFANNTSNKEVNNNVINNTNNNNNNNNTNGNNSSNNNNAVVDKRFKTLPATEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRSITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKPLEEDAFRPVLHHYDGPKFRLELSVTETLQLLDLCEKESM >Ma04_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25066137:25067173:-1 gene:Ma04_g22840 transcript:Ma04_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSKVCSCWKLLYQWTSSWGKKNAESDGSQPPAPDETSHARKPSVVYTCGPCGGAGGSERNMGADPATRILKVMVRHGLAVDAIRILYRRDGRDEWTDWWGGRGGQLSEVVLDDERDEYLACLSGRYGICGGYLVIKSLTFATNKRTYGPFGVEDGAPFKLDAGGQRIVGFFARAGQFLDAIGVYTA >Ma04_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15905018:15905725:-1 gene:Ma04_g16500 transcript:Ma04_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATESRPARQRIASRCLVQEDAEGAPRLACCPSYTSVNILGYENTAIAQDYHVPEFMPSNRNPMNPNLSPETKGRLQLQPIVGCLKDFTCEQLSSIEWEVIEKYTETAVPTSKLDGGGGSLPVNFIKFVLKREDLVSTASMKSGSGTSVKEMNTTTIYSQQPLKQQTNMDFVYKVEKLLDLKPVDLKRLGLGVISLRDGGILQIKMIWLCWLPKNHCSILKIVICPNPPRQFII >Ma10_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28618238:28619309:1 gene:Ma10_g17080 transcript:Ma10_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVESAPVVEVPVEAPATPAEAEDGAPPAVEEVVETEAVAEPAEEPKEEAPAATEPPAAEPTAEVAPEPEEKPVEEPSAVEVAEAAVEAEPAVAEPTEEAKPEAEPEIAAPAAEESSEPAVEEPKAVEEPPAAVEEVKAEEAAEEKAE >Ma10_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27617012:27620925:-1 gene:Ma10_g15550 transcript:Ma10_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPGLNLVMTVIGFAVSTMFIVFVCTRLICARIHLRNSRMALPAAAAAARSDLGMLERGIHGLEPVVVASFPTKKFGDQFISSGRETQCTVCLAEYQEKDVLRILPFCGHTFHVTCIDIWLKQHSTCPVCRISLRDSPDRKRALQPLNSPAIRVFSSPETFVSDPCQDQFAQLDYSSRVAENACPSPQGDQLTSLPSGST >Ma07_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4226019:4227832:1 gene:Ma07_g05830 transcript:Ma07_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLLGGGGGSQQHRGDQQGVIPPSESFFLYGGRGSRSEDVAYTRGFELWQQHQIQRGDQLYSSAGLPDDLPSLAGRPMRGSPGGGSGGVSCQDCGNQAKKDCAHLRCRTCCKSRGFQCPTHVKSTWVPAAKRRERQQQLGGLVQHDHSHRGEGRAAGEVSGGSGGGGDASKRPREIMAFAGLPTAMATTTTYGGSLDSDSLPPEVSAEAVFRCVRVSPVDEADDEYAYQTAVSIGGHVFRGILYDHGADTEYPSPSSSRYQLNHGEGSSSPAAVAAAVITAGHPVITTTAGATELLDPYATPLSAFMAGTQFFPHQHRP >Ma10_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32057215:32059751:1 gene:Ma10_g22880 transcript:Ma10_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGSTSLIGAVNFVTFLISIPVLGGGIWLSARANSTDCLRFLQWPLIIIGITIMVISLMGFAGACYRLSWLLRAYLFAMFVVVAALLGFIIFAFAVTDRGRGQVVLNRAFLEYQLVDYSGWLRDRVANPGYWGKISSCLREGHACAGMAHYVRDPTTGVLVPESADMFYQRQLSPIESGCCKPPTSCGYTYVNETFWNPVAGLAVDDPDCIQWSNDQQQLCYQCNSCKAGVLASLRHSWRKVSVINVIMLIALVIVYVVGCAAYRNARRVDNNEAFGATRMTKARPIRFQF >Ma03_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5238833:5242251:1 gene:Ma03_g07450 transcript:Ma03_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDLQKGVSSKRSYVAVLLLFLLSTPLRLRLRLLDGCRTSGVAVSGWRCEVFRCQFPRSNPFYSDEPPRHYGTDKPLRVGAALCSWCGTWKGEKVCSRCRRACYCSEKHQAMHWKSGHKNQCHQIITNLESSSASAGSSRARLPATDKEGESAFYMETSQDNSCATTLVPKYMKTDDTYQFLLDKFEADENKRYRRHHFKNECLNVPTKC >Ma02_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21592310:21594060:1 gene:Ma02_g13020 transcript:Ma02_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTTTFLLLNLFLAALSTLTTAAVSPAVFVFGDSTVDVGNNNFLPSDAPKVNFPPWGIDFPGRTPTGRFSNGFIYSDYIAKAIGLAMSPPPFLSLSNSNQMLRGVNFASGGAGILYSSDTDVIAMATQIEDFEQVAANLTEILGKKSAAVFLEKSLFYLSVGSNDVFTLYSLLNPGNSTQKDEAVVPVISKFKHQLERLYDLGARKFAVLGTGLLGCIPIFRVAVPSYGCYEDLNDFSLRFKTATKAILEELSMSLKGFQYSFGDSYEMVTKIFSHPQEFGFTELKAACCGGGRLNAESDCLRNSTYCSNRDQYAFWDLGHPSQALSKTIAQLSLYGPPLFANPVNIHHLVKS >Ma01_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10570765:10573402:1 gene:Ma01_g14440 transcript:Ma01_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKLFSSPHLARSMPLLLLLHFLVLLSSSSSSLDTLDGDLGALLEIKAACDSAGRLASWNRSDPSPCASWHGVTCAGSRVNRLVLEGLSLSGPACLPAVTRLDQLRVLSLKSNRLSGTIPNVSSLSALKLLFLSHNQLSGPIPPSVGSLVRLYRLDLGSNNLTGPVPASLNRLSRLLTLRLDSNRLSGPISGLVLPRLQDLNLSSNLLSGGVPPSLAAFPATAFAENPALCGGPLPTCRVLVGKPSRPSATTVAPVPPAAAAAADVVASSPSARPEVAPPRRPAVDASRAGMNRMAMVAIVVGDFVVLVLVSGFLFCYFWRKFAGKSPSRLHEGEKIVYSSSPYAAQGSAAGAPTGSGFERGKMVFFEGTKRFLLEDLLRASAEMLGKGGYGTAYRAVLDDGTVVAVKRLREVLVGGKREFESHMEILGRLRHPNLVPFKAYYYARDEKLLVYDYMPNGSLFFLLHGNRGPGRTPLDWTIRMRIAMGAARGLAFIHQASRSPKLTHGNTKSTNILLDKECNARLADAGLALLCPAAAVAGRAGGYRAPEAPGDGRRPWASQRADVYAFGVVLLELLTGKPAADGGVGGEAAADLPRWVQSVVREEWTAEVFDLELMRYKGIEEEMVAMLRIAMSCTALAPDQRPKIGSVVKMIEDIRGGGGDLSPSHDSFDSDSTSVSDTA >Ma06_p29550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30901418:30906541:1 gene:Ma06_g29550 transcript:Ma06_t29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSPPPPNYEFQEWWNKERFKAQGLLSPYPSPPAAAAAVDASADAAAVDVSTPTTPAAAGKSRGRSPRQLSWLLLLRLHHSAAILASLPSRFLTLLRTAARRISTATRRPSPVSRLYRLIRAFLVLAVVLLALELVAYFKGWHFSPPSYASSAEALEIVYANWLVIRARYLAPPVQMMVNVCIVLFLVQSVDRVVLVLGFIYIRLRGVKPVAAVDYGSQGDLEVGGAVNVEDYPMVLLQIPMCNEREVYQQSIAAVCIQDWPRERMLIQVLDDSDDMDVQNLIKAEVQKWQQKGVRILYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPAPDFLKKTIPHFKGNDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLCGWKFIFLNDVKCLCELPESYEAYKKQQHRWHSGPMQLLRMCFLDILRSKVSFSKKANLIFLFFLLRKLILPFYSFTLFCIILPLSMFLPEAHLPAWVVCYVPGLMSVISILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFKFGSSYEWIVTKKLGRSSEADLVAYVEQDPEPSIEDGNIHRSSSESGLAELNKLEVTKKAGKTRRNRLYRKELALAFILLTASVRSLLSAQGIHFYFLLFQGITFLAVGLDLIGEQVS >Ma10_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31957290:31965114:1 gene:Ma10_g22690 transcript:Ma10_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSPSPPRSPAVDRYGRGGPAQGAALRFLLDRASPGPRDAASGKLYVAVGRSPEKTLGLLRWAFRRFECREIGLLHVHQPSPLIPTLLGKIPVNQANEELVSSYRRIEREETKKILFNYLSFCLKAQVHASVIVTESDQIQNGIVDIVTQHGIKKLVMGSTSDNCFKLIGSSSKMTFTAKNVPPFCEIWFVSKGRHIWTREASEFTDDLLPVLRPDESVNGEIFWLNLQDHNVEPLLHPECPLNSFFGADLQGSRGLNQNESNNSVVIPTAESCVTCTTKFCSSQEPSLAAASWHSSPSVMEFLSEIISKDEPDQDILYDQLKEVAAEVERSKREAFIELAKRKQLESEVAEAVNRVKAHEAACEHEVKIREELEDILRTIKLQHEEIVNQRDEALRELQNVMENIALLHSRAEEMALLRDEAEGELEIIQSSIEIINRGRQKINQKDDIEDNKFENLGSGGHDPSPNCSQLIVYGDDVYDCAEFTLSDLQTATCEFSESFKLGRGGYGCLYKGEIMNRTVMIKRLHQHNVRGQVEFQQEVHVLGKIKHPHLVTLIGMCPEALSVVYEYMPNGTLQDRLFCRATPMNWKIRARIIAEISSALLFLHSCKPEKIVHGDLKPENIFLDYSYNCKLGNFGVCQLIQQDTGHNPTLCRYREPQAAFPYSDPEYQMTMESTTKSDVYSFGIIILQLLTGKPARGLSGEIRRALLSGNLKSILDPSAGDWPTDVARNLAEIGLQCSEMNAQDRPELTPEMVKDLGYLHLMEERPVPPFFLCPILQEIMHDPQVAADGFTYEGRALREWLDSGRDTSPMTNLKLKHLHLTPNHALRLAIQDWLCQP >Ma09_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34659944:34667555:1 gene:Ma09_g22760 transcript:Ma09_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMGGLGRPPVMIGGEVERVDGRALRYEEFVERYLMPNRPVLLTGLMDEWRAATDWLAPDGRVPNLPFFATHFGDSVVQVADCSKREFTDQKRREMTVAEFISYWLKLSSRKDSSVLRCNDDDESLLYLKDWHFFKEYPDYVAYRTPPCFTDDWLNLYLDSHLIHRDSDIHRDKNEINCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQCHLVFDRNFRSSVYNVYDDVSEAQFPGFKKTIWLKCTQEQNEVIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWVWGLLLRDYNVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFMIFITRFTLGNIIQLFHLRHEEGSINNLLTKSRYFITNLMSIRAVASKIKTVEAFAEENLKSCSVENHTAFSDVQKIFEEPEFHDLCSALKRTYESIDNQWEQDPQTRTALFSQDCFKCLKTDSGFLDFVISPTSKVCGPEDLVSLIDHAMEKTNGSCCNFSFPSELFDVEPFVV >Ma09_p22760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34659944:34667069:1 gene:Ma09_g22760 transcript:Ma09_t22760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMGGLGRPPVMIGGEVERVDGRALRYEEFVERYLMPNRPVLLTGLMDEWRAATDWLAPDGRVPNLPFFATHFGDSVVQVADCSKREFTDQKRREMTVAEFISYWLKLSSRKDSSVLRCNDDDESLLYLKDWHFFKEYPDYVAYRTPPCFTDDWLNLYLDSHLIHRDSDIHRDKNEINCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQCHLVFDRNFRSSVYNVYDDVSEAQFPGFKKTIWLKCTQEQNEVIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWVWGLLLRDYNVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFMIFITRFTLGNIIQLFHLRHEEGSINNLLTKSRYFITNLMSIRAVASKIKTVEAFAEENLKSCSVENHTAFSDVQKIFEEPEFHDLCSALKRTYESIDNQWEQDPQTRTALFSQDCFKCLKTDSGFLDFVISPTSKVCGPEDLVSLIDHAMEKTNGSCCNFSFPSELFDVEPFVV >Ma09_p22760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34659944:34667555:1 gene:Ma09_g22760 transcript:Ma09_t22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMGGLGRPPVMIGGEVERVDGRALRYEEFVERYLMPNRPVLLTGLMDEWRAATDWLAPDGRVPNLPFFATHFGDSVVQVADCSKREFTDQKRREMTVAEFISYWLKLSSRKDSSVLRCNDDDESLLYLKDWHFFKEYPDYVAYRTPPCFTDDWLNLYLDSHLIHRDSDIHRDKNEINCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQCHLVFDRNFRSSVYNVYDDVSEAQFPGFKKTIWLKCTQEQNEVIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWVWGLLLRDYNVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFMIFITRFTLGNIIQLFHLRHEEGSINNLLTKSRYFITNLMSIRAVASKIKTVEAFAEENLKSCSVENHTAFSDVQKIFEEPEFHDLCSALKRTYESIDNQWEQDPQTRTALFSQDCFKCLKTDSGFLDFVISPTSKVCGPEDLVSLIDHAMEKTNGSCCNFSFPSELFDVEPFVV >Ma04_p25450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27205299:27205912:-1 gene:Ma04_g25450 transcript:Ma04_t25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNVNTIGVSTDNTTTIDEYKLNFPKLSLISSSATKTPSTPTPSASSTSPVASSTLLTSSSTTSPSSFMTASSLSSPTSSTASTTSPTLSLCMIAPTLPSPSALCLTTTPSTEAMSTSHSTTRSMWSTTCTSRRHGRSTRGRCSSIAT >Ma06_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7218961:7222077:1 gene:Ma06_g10450 transcript:Ma06_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G40390) UniProtKB/Swiss-Prot;Acc:Q9FND9] MAPDLGKAGSTDILTGLQGDSRSSAFALKGRNLTVCGHQFLLDVPPNIVLSPSSTTCEGKDQGQSQGAGDESGCFVGFASDTPESRHVVPLGRLLGIRFMSIFRFKVWWTTHWVGNRGSDVEHETQILMLDHSRQSGRPYVLLLPLIEGAFRASLQPGEEEYVDLCVESGSTRVRSSEFRSSLYMHAGDDPFALVKDAVRVVRSHSGTFKLLEEKTPPGIVDKFGWCTWDAFYLKVHPEGVWEGVKGLAEGGCPPGLVLIDDGWQSISHDDDPTDEEGMNRTSAGEQMPCRLIRFQENYKFRNYKSKRTDSASDTGMGAFVRDLKAAFGSVEHVYVWHALCGYWGGLRPRTPGLPPAEVVKPRLSPGLQMTMEDLAVDKIVNNGVGLVRPESAAELFEGLHSHLESVGIDGVKVDVIHLLEMLCEDYGGRVELAKAYYQGLTDSVKKHLGGNGVIASMEHCNDFMFLGTHSVCLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAYHAASRAISGGPIYVSDSVGHHDFDLLKRMALPDGTILRCDHYALPTRDCLFEDPLHDGKTVLKIWNLNKFTGVLGAFNCQGGGWCRKARRNKSAAEFSRTLTVTTSPMDIEWQNGKKPFPVEGVELFAVYLSRAGKLMLLKPTETVEVTLDPFGYELLTVSPVKALPSKKAVWFAPIGLVNMLNSGGAIQALQVEGSKVKMEVKGAGEIKAFASARPVECRINGEEAGFVYKENMVDLQVPWSGSSSKMCLIDYTF >Ma07_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3157714:3161986:1 gene:Ma07_g04190 transcript:Ma07_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLILYRQHRYFLFVQFIELDLVVRDSLSQLMKDVHSSPSCNIFKRCMVLPSKTPCLQVGNPQRPNYLPTEVSDKFSIVEGQRYSKRLNERQIAALLKVTCQHSHDRELDIIQVDMFELGSRMTVDHNAYSEDPYAKEFGIKISEKLALGEARGLPAPWLNYHDTGREKDFLPRVGQRNMMNKKMVNGGRVTNWTCINFAQNVQESLACGFLS >Ma11_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7644505:7644996:1 gene:Ma11_g09400 transcript:Ma11_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARKAHTRSTVEKRPWPEHEPTITFGAGEVERSHHDDALVISVQIANAQVKRVMVDTGSSADVLYFDAFGRLGLTQENLTPVTSALTGFTVDSISLLKTTMLPITLGEEPRMKTIMTTFMVVDLPSAYNVILGRPTLNRIQAVVSTYHRTIKFPTLVRIGEA >Ma05_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34727187:34729470:-1 gene:Ma05_g22950 transcript:Ma05_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVHFVAVSSSAISKCPKNHHCLPGIAPLSTVARPISHSAFCGISLRIPPPKVIPRGGNSYNSRVTMMMVKPAIQFIQGTDEQTVPDVRLTKSRDGTNGVAIFSFDQPSVFDSSSELGDITGFFMIDEEGVLQSVDVSAKFVNGKPSRIEAKYVMRSPQEWDRFMRFMERYSQANGLQFVKK >Ma04_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3956748:3958508:-1 gene:Ma04_g05290 transcript:Ma04_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRASSSNLVWVAALVVSLMALCEAQLSPNYYDKTCPQVLPTVKKATGTAIAREHRMAASLLRLHFHDCFVQGCDASILLNDAAGIVSEKNARQNFRSARGYEVIDGIKSAVEKVCPGVVSCADILAIVARDSSVYVGGPSWKVKLGRRDSTTASKDLAEQNLPIAFDDLDTLTSVFARQGLSLKDMVVLSGSHTIGLAQCATFRGRIYNETNIDAGFARMRQRRCPPTAGNGDTNLAPLDLVTPGSFDNNYYKNLLRKKGLLHSDQLLFNGGSTDATVAAYSKDQAAFFADFAAAMVKMGDISPLTGSAGEVRKVCSVVN >Ma03_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2918472:2919658:-1 gene:Ma03_g04470 transcript:Ma03_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILPKNETVQIREVWSDNLAAEFALIREIVDDFPYVAMDTEFPGIVCRPLGNFRTSSEFNYATLKANVDMLKLIQLGLTFSDQLGNLPTCGTDRGCVWQFNFREFDIQQDVFASDSIDLLRQSGIDFQKNNEKGIDSQRFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQAGFFNLINIYFPTVYDIKHIMKFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTSCAFRKLKESFFNGSTEKYAGVLYGLGVENGQTAH >Ma07_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27400236:27405054:1 gene:Ma07_g19380 transcript:Ma07_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYANKRANGPKCPVTGKRIQGIPHLRPAQYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >Ma08_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1281320:1283126:-1 gene:Ma08_g01460 transcript:Ma08_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIALFVFLSLLGLSSVGAEDPYLFFTWNVTYGTISPLGVPQQGILINGQFPGPNINSTTNNNIVLNVFNNLDEPFLLTWNGIQQRKNSWQEGMPGTNCPIPPGKNFTYHFQVKDQIGSFFYFPSMGMHRAAGAFGGLRINSRLLIPVPFADPADDYTVLISDWYTKSHKALAGLLDAGRSIGNPAGVLINGLTGKDNAPLFTMEAGKTYRYRICNVGLKVSLNFRIQSHLMKLVEMDGSHTVQNDYESLDVHVGQCLSVLVTANQEAKDYYMVASTRFTKYSLSATGIIRYAGSVVPPSPELPKAPVGWAWSFNQWRSLRWNLTASAARPNPQGSYHYGGINITRTIRLASSVGLVNGKRRFALNGVSHVDPPTPLKLAEYYGIADKVFKYDSISDDPPETNAPITVAPNVLNATYRDYIEIILENPERSIQCYHLDGYSFFAVGMGHGKWTPASRRTYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWSLRSEMWERHYLGQQLYVSVVSPARSLRDEYNMPDNALLCGDVASLPKPPSYV >Ma06_p34080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34496018:34499592:1 gene:Ma06_g34080 transcript:Ma06_t34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPPFRFVRCPRCKKLLTEFANVPVYQCGECGTTLRAKHYNATRKDIIVPLPENKSENRPDCGSLDNGLQSKNETAVCATNDRVQSADSPNPQSSSVDASNNCYKKGDELENQEERGLDSESLKLTNERIDLDHSLNEVEKSSDARQQGHENLKMSERTQDSNESSSLRETASSEDNWSNRSDHDRHGNILRSATRNSNANDDSVSSAKGVSNTDAPRKRIPVSRRTFRQRKVQDSEDTTNARKGEEVNKMSAGTQVQVQEVSQKPSIEKSADADRFDSNANKLSTENKGYVSKDTSLNSEDFHSVQNWLEPENDGHLRSLPMDAELLKGSAKENDGHLRSLPTDAELLKGSAKENDGHLRSLPMDDAELPKGSANDRNGSPPAELNSLEHIQMEILKKVDELREEINGIFDKSDEGKGGSHQEEIHAKQLDSALVRLPPKPHCHKKGVPRPHRFNDIPSVLPHLSPCLHCQTSMCRRNRGYTSCHHNTSSIPCNTLSPGVHTPCHHKPQIKHEPEKPSNEVRRQQPKRHCRPIVSGAPFVICYNCFQLLQLPINFLVARKGLNKLQCGACSKVLEFSFRARDHGIPHTANEVDNLTSSEVDSSTDTTIRYKVSNSPSDDRSQGEPVSYSEDYGLSLGISYTTDAEPPVNIQINGRHRTASQLHRLMGYGSASELLYRHSDIDEESEFTELTEPTPPHCNTPDETYVGDGMSGKAIYISDPSASSRF >Ma06_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8852748:8859485:1 gene:Ma06_g12830 transcript:Ma06_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAESDPLKDSTPNPQPTLPSRNPLDLEASPAKPHLFRDAAAESPPTKPGASSAPQELTLSYLCDNNKLGASAAQEKEGRSADLFVSLEKSRLKGKEIAPDPSEDEDRRCVERDFLQLTGGKLSAKREAPEGFGEGDGREKKVKIETLNLSLAPPNLSLSLNSAIPATNGAAAAATPGVPVSVVPPKTTYSNSMRAANSEDYAPSLSYSCSVPFSHNPSCSLTRNSTENYEFSRGENDKIWYAGEGTNGSVHSKFKPVGDGNTIAFVNHNKEVNNSLYRANSSDNVSFFPSELPARPVKGNGVASSDSGRNGMLTRPDRVLREIVSESVPVMAQLLHDFPNESLEALRECLRNLMEVPEMTDEFASLQRKLERRSDLTLEILSKAHRTQLEILVAIKTGIVSYVSGKSRIPTNELAEIFLLMRCRNVNCKSVLPVDDCECKICSNNKGFCNSCTCPVCLKFDCALNTCSWVGCDVCSHWCHAVCGIEKNLIRPGPSSKGPIGMTEMQFQCLGCGHASEMFGFVKEVFNECAKNWGPETLMKELDCVTKIFRASEDFEGKGLHAKAEEVLNMLIKKVISPKDACASMLQFFKYGVTEFSVTGSSSKNILALSTQHVDTPLPSAAAINVAKSTINFSPATAMLDKQMDALKADAKPFAFEPHFSSSKDDGYKSLETIVKCKEAEAKLFQKLADDARREVEGYRQIVRAKSEKLEEEYATKIAKLCLQETEERRRKKLEELKFLENSHCDYQNMKMRMQAEIANLLERMEATKKMWV >Ma03_p25540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29538238:29540643:1 gene:Ma03_g25540 transcript:Ma03_t25540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEKAQVAADRIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVRLGERKDLKSCVSSSGGTSQCQKCYQVGHWTYECKNERVYISRPSRTQQLKNPKLKMTPSVSYESDDPDIVKEVRDERHNKKDVGKKSSTKSKRKHRSGTDSEEDSSEASSVFETESESSYSYSSSDSEERRRRKKKLKKRKHRRYSSSSDSSESESASDSDSDEKSKRKKSRQHTRKR >Ma03_p25540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29538238:29541254:1 gene:Ma03_g25540 transcript:Ma03_t25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEKAQVAADRIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVRLGERKDLKSCVSSSGGTSQCQKCYQVGHWTYECKNERVYISRPSRTQQLKNPKLKMTPSVSYESDDPDIVKEVRDERHNKKDVGKKSSTKSKRKHRSGTDSEEDSSEASSVFETESESSYSYSSSDSEERRRRKKKLKKRKHRRYSSSSDSSESESASDSDSDEKSKRKKSRQHTRKRGRIVVPREASGGTRSYRSQGALSHGGRVHVHVLLVRPFLYRSFFPGLILFSGPRIY >Ma07_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10876943:10880369:-1 gene:Ma07_g14430 transcript:Ma07_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALVAVSPIRDDFPLSPVRFDGSWSPHCSSESILIYLAVPGSSVIPMQVSEFDSIASVKLRIQNFKGFVVKKQKLVFDGRELARNDSLVRDYGVTDGNVLHLVIRLSNLRCITVKTTCGKKYNFQVERSRNIGYIKQQLAKRGKDLSSLVGHKLICDGEELDDQQVIDDICKNNNAVIHLLICKSAKVRSKPVEKDFEVSIDAPDVVDDLQIVAKKAPDSWIDPVVVNPKVKLSPVIMELVRSTSAGLEKGNLPIMSSEGSGGAYFMQDALGHNYIAVFKPIDEEPRAENNPRGLPLSTDGEGLKRGTRVGEGAIREVAAYILDHPVSGSRLSDEAGFAGVPPTVMVRCFNNGFHHPENRGYVVKNSKIGSLQMFVKNNGSCEDMGPRMFPVEEVHKISVLDIRLANADRHAGNILVCKDEEEGRFLLVPIDHGYCLPENFEDCTFEWLYWPQARQHFSDATINYIKSLDAEEDIALLKFHGWELSPECSRTLRISTMLLKKGVERGLAPYDIGSIMCRETVNKESKIEEIIREAKDAVLPGTCETVFLESVSEIMDCHLDKLLS >Ma07_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:233627:234199:1 gene:Ma07_g00240 transcript:Ma07_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRHWAERLLAAPARFGDQGHVACADDLPDLAEAEVWSSFLAPAHEAEDADGRHDPDHASTRPWGHRQVGGLSLAFEGAYRGAAPSQRPQVRHPALAASAPVDVPAWPRSLRAGSGGPAPEREGEGAGEGEGEGEDADEWLPPHEYVARAHGRSMATSVLEGAGRTLKGRDMSRVRDAVWSQTGFFG >Ma05_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4842044:4845169:-1 gene:Ma05_g06520 transcript:Ma05_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQNTELYFVFMNFDPEYESLRADRSKEGVEELDTYLSHKHDQLLAKLLPPNSYRKKSSLAIVDGFSVEITKKQAAILRSAKEVRVVEKNQELA >Ma01_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12526825:12529623:-1 gene:Ma01_g17130 transcript:Ma01_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEQQVVLSRILLLLLVFHPQVNGLSNTEVDALYSLKSNLIDPDNVLQTWEPDNVNPCKWFHVTCNNENSVIRIDLGHASLSGHLVPQLGQLSNLQYLELFGNNFSGVIPGVLGNLTNLVSLDLYSNNFNGAIPDSLGNLSKLRFLRLNNNSLSGSIPYSLTYITSLQVLDLSNNNLSGEVPSSGSFAQFTPNSFANNPLLCGTLAAKPCPGAPAFSPLPPYAVAPTPMSS >Ma07_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13056291:13056919:-1 gene:Ma07_g16260 transcript:Ma07_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCMRFLEILCAIVLPPLGVFFRYGCCKLEFWLSVVLTILGYVPGIIYAIYVIVTDPERHYDDDYHAV >Ma10_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28439362:28439691:-1 gene:Ma10_g16900 transcript:Ma10_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGEQAGDVLRRGPRRRLRRLRHLLHRRRSQCHRMIKRRGRHRSLIQGTKKLGLWRHSTKTVIGIRTKQKAELNAILRVTLFFIFLLK >Ma05_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33573479:33574914:1 gene:Ma05_g21820 transcript:Ma05_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLNEGAGIGIGLWKMRLVQMSRKKLCKLHCHFCIHRRFVEDEISEESQLCFLTIFNHLTLFNHMLQHFYVLWVIPLSVKR >Ma04_p28280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29353846:29358232:1 gene:Ma04_g28280 transcript:Ma04_t28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSYFMEKHWFRRQQRSEPKASSATVCPPSSNGSEESVSKQRSESSGSVASYRSIPDLYEERAGNLRVFQFKELRNATNDFSRMLKIGEGGFGSVYKGSIKPLDGKGDNITVAIKTLNPNGLQGHKQWLAEVQFLGVLEHSNLVKLIGYCAANGERGAQRLLVYEYMPNKTLELHLFNRAYPVLPWNKRLQIALGAAEGLAYLHEGSEVQVIYRDFKASNVLLDAEFKSKLSDFGLAREGPSAGHTHVTTAVMGTYGYAAPDYVETGHLTAKSDVWSFGVVLYEILTGRHSLERNRPKNEQQLLDWVKQFPAESKRFSMIMDSRLENKYSLRAARQIAMLADACLSRHARERPKMSEVVERLKQAMQHEDLDGEEEYVEEHSQTPSEAADKTVKSARRRMLHLIKLGESANVGGRRRLQL >Ma02_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22238534:22241278:1 gene:Ma02_g14100 transcript:Ma02_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGPYSGVSTLAFVARASAFAFGVVYGSVKLSYLQVKAKYHKKEEAKGHH >Ma03_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6300505:6303314:1 gene:Ma03_g08560 transcript:Ma03_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALATRPIVKVAALCGSLRKASMNCGLIRSAIQLCDESIEGMTIEYVDIAPLPFVNTDLEVDGTFPEPVEAFRQTIRGADAILFASPEYNYSFTGPLKNAIDWASRPPNVMADKPAAIISAGGGFGGGNSQLHLRQVGVFLDLHFINKPELFVHAFQPPPKFDRDGNLIDPEIKERLKQLLLSLKAFTLRLQNKC >Ma00_p03400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28721863:28726972:-1 gene:Ma00_g03400 transcript:Ma00_t03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPKDDPTKHCRLTAFLGYKSGMTHIVREVDKPGSKLHKKETCEAVTIIETPPMIVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKSWYKSKKKAFTKYSKKYESEEGKKEIQVQLEKMKKYASVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDYAYSFFEKQIPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYKIGKSGDESHTAITEFDRTEKDITPMGGFPHYGIVKDDYLMIKGCCVGPKKRVVTLRQSLLKQTSRVALEEIKLKFIDTSSKFGHGRFQTTEEKSKFYGRMKA >Ma00_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28721863:28726884:-1 gene:Ma00_g03400 transcript:Ma00_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPKDDPTKHCRLTAFLGYKSGMTHIVREVDKPGSKLHKKETCEAVTIIETPPMIVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKSWYKSKKKAFTKYSKKYESEEGKKEIQVQLEKMKKYASVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDYAYSFFEKQIPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYKIGKSGDESHTAITEFDRTEKDITPMGGFPHYGIVKDDYLMIKGCCVGPKKRVVTLRQSLLKQTSRVALEEIKLKFIDTSSKFGHGRFQTTEEKSKFYGRMKA >Ma02_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24823976:24825435:-1 gene:Ma02_g18280 transcript:Ma02_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQASVLSRIHHRNLVGLVGFCKDNKVLGVVYEYVAQGSLRDNLSEKAGGGGLNWRQRLRIAIETASGLEYLHKGCRPPVIHRDVKTSNILLDHNLEAKIADFGLSKTFQTDANTHVSTDVVVGTPGYVDPEYHNTYQLNEKSDVYSFGVVLLELVTGLPPVLRYPESGHIVQWVRQRLTKADISEVVDSRLEGQYDINSIVKVIDIAMSCINTDGSKRPTMSEVVMQLKESLQVEASQERGNSNGNFVEGVYKSPSVSVEMGRLDMANTVSGPMAR >Ma08_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20721216:20721942:1 gene:Ma08_g16750 transcript:Ma08_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRDRKRRRDEAEEQESPEAKRLRDDLFLDILDDDAEAGDQDIASVMKSLEEEIALSSPPPPPPTRALVKTDQPDLGFLLEASDDELGLPPPVQSSSDDGGEAPAADDPAAEGVAVEGVVFGQIWGLDDDITGYYDGFDLGIGPDDRVDTTDAAEDGVFYDGGLFDYADVLCAPPDFLDLSWAPTV >Ma08_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36929736:36930929:1 gene:Ma08_g23590 transcript:Ma08_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPREWWRRAAAAAKDKGSLCMTRMASVRHHHYCPRRGGREVDATVIRATSHDERSVDYKSAGRVYAWARAAPTSFLDPLMWSLAHRAARTHSWAVALKSLLLAHGLLLCSEDAPPSARLGRLPFDLSDFRDRSSSSGFSAFIRAYFRFLDHRSLFSAHNKPVKDATLTTSPAAKPGDEEDTESDADLAELERLQTLLDLLLQVRPYADGMGVGLVLEAMDCVMIEILEVYSSICNGVAHFLVDILGHDSSKPVHNRQSEARRRRGEIGMRVLRRASAQSSQLSAYLDLCRTLGVISPAEIAAVQSIPDEDIADLEKLLLGGVPKEEQEEKDLGRAESRYGTVITERWVVFDEEEEGHSGNPILSQRPERSRPSSSWVPTEDNGRAVVLLWNTNLI >Ma08_p29800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41270996:41273990:-1 gene:Ma08_g29800 transcript:Ma08_t29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLLLTLLALLGSCACASIHGDALALASLREGFLSPTSQLVSWNTSNLLSVCSWFGVRCEHDRVVGIDISNLNISGSVAMDISGLDSLVNLSLAGNQLHGEIRVSNLPSLRYLNISSNQFHGGLDWNYSSLPSLQVFDAYDNNFTASLPLGVPDLRSIRYLDLGGNYFNGSIPACYGSLAGLKYLSLDGNDLRGRIPSELGNLTSLQRLYLGYYNVFDGGIPVELGKLIHLAHLDLSSCGLGGRIPHQIGYLINLDTLFLHTNRLSGPVPPSLGNLTRLVFLDLSNNELTGELPQQLAALTELSLLNLFMNRLHGPLPAFLAVLPNLDTLQLFVNNFTGGVPEKLGGSGHIRVLDLSSNELTGTIPANLCPSNGLQVLILFRNFFFGPIPESLGMCLSLTRVRLGQNSLNGSIPSGFLYLPQINLLELQDNRLSGPMPENSNTSRRPTQLVHLNLSNNSLTGLLPSSIRSLSSIQTFLLGRNQLTGLIPSAIGSLSHAIKLDLSCNGLLGSIPPEIGNCTRLTYLDLSQNNLSGPIPPEIAGIGVLNYLNLSRNQLNGSIPRSVAAAMRSLTAADFSFNDLSGWLPDSGELAYLNATSFSGNPKLCGPGSNNSCDYVAGAPRSGRSHGDFELALPLALLLSCFALALAAAVRDRSQRGGSDGATWRLAAFHQVDFGVSDVLECMKEGNVVGRGGAGVLYRGRTRANGDIAVKRLVRLGSNGHDRRLDAEIQTLGSIRHRNIVPLLAVCYITGKTNALVYGYMSNCSLGELLHGGGGERFGWRRRYRIVAANIEGHVADLGPAKFLFHGGGSECMSATAGSHGYIGPEYACALKVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWVKRATSCSGDNVGRIVDLRFCRMPTMGEVTHVFSVAMLCVQENGVERPTMREVVQMLSEGEQRTNLHPPHHHPPLVGEQSSGDRENKCLKPFPDLLA >Ma10_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32336667:32338423:1 gene:Ma10_g23350 transcript:Ma10_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSITLHHFNHLNPTGSYAPPSSPHLTMQEEECNVSLSLAIGGVGDLPFIKNLNQTSFKEETEEKRDGGGTRKKLRLSGEQLALLEDSFRAHSTLAPDQKQELAQRLHLQPRQVEVWFQNRRARTKLKQTEVDCGFLRRCCERLANENRRLKRELMEMRSVVKPGPQLSVEHRKAARLRMCSSCEKMTSDEKESSLLSTINS >Ma10_p23350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32336667:32338423:1 gene:Ma10_g23350 transcript:Ma10_t23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSITLHHFNHLNPTGSYAPPSSPHLTMQEEECNVSLSLAIGGVGDLPFIKNLNQTSFKEETEEKRDGGGTRKKLRLSGEQLALLEDSFRAHSTLAPDQKQELAQRLHLQPRQVEVWFQNRRARCCSYSLQPYLNHVMCLKVVVVVMDVRLCDLSACRTKLKQTEVDCGFLRRCCERLANENRRLKRELMEMRSVVKPGPQLSVEHRKAARLRMCSSCEKMTSDEKESSLLSTINS >Ma08_p31730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42552539:42552975:-1 gene:Ma08_g31730 transcript:Ma08_t31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVESQGLDDPQTLLVEGIIDRTEANEAAEKKRKLTMLEKQYHDELNMELQGITYSTSLSNKNLQSSVENVKE >Ma01_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6408433:6414016:-1 gene:Ma01_g08940 transcript:Ma01_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPASLSAAPLRFHLLASTIQLSFPPKCRPVAARNLSIRRRFRSSCAFASHSPPPSAASQASRLQRWDTLTARFAGASNLPFLLIQLPQILLNYRNLVYGNKAALLAVPWLGMLTGLLGNMTLLSYFAKKKEVEAIVVQTLGVVSIYVVLGQLAMAEAMSLPYFAAISVLVVSGLVLNFVNYFGWLHEGLWQLWEDFITVAGISVLPQVMWSTFVPFIPKSILPGTICCIIAVGAIILARLGKLSDRMVKFIRSISGWTATLLFMWMPIAQMWTTYLNPDNIKGLSAFTILLGMIGNGLMIPRALFIRDLMWFTGASWASFLHGWGNLACMYYFKSISWKFFLGATLSLFVWTGIALWRDTKAYGYSSPMISLQELVSGT >Ma01_p08940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6408433:6414016:-1 gene:Ma01_g08940 transcript:Ma01_t08940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPASLSAAPLRFHLLASTIQLSFPPKCRPVAARNLSIRRRFRSSCAFASHSPPPSAASQRWDTLTARFAGASNLPFLLIQLPQILLNYRNLVYGNKAALLAVPWLGMLTGLLGNMTLLSYFAKKKEVEAIVVQTLGVVSIYVVLGQLAMAEAMSLPYFAAISVLVVSGLVLNFVNYFGWLHEGLWQLWEDFITVAGISVLPQVMWSTFVPFIPKSILPGTICCIIAVGAIILARLGKLSDRMVKFIRSISGWTATLLFMWMPIAQMWTTYLNPDNIKGLSAFTILLGMIGNGLMIPRALFIRDLMWFTGASWASFLHGWGNLACMYYFKSISWKFFLGATLSLFVWTGIALWRDTKAYGYSSPMISLQELVSGT >Ma07_p29140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34940871:34942211:1 gene:Ma07_g29140 transcript:Ma07_t29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVLSTMFL >Ma04_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11821648:11823552:-1 gene:Ma04_g15580 transcript:Ma04_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFRLLAHAGEHGDGDPSPATEPADTTIAATVLCSDSDDGGGDSEDEGPFFDLELTPPMQEDTDHHRENQQSSDAETEDEDGAEEFDLELSPDGGRYGRGGGSLRRDPDLSLSPSDGLFFKGRLVPLEPSSLTDFAASEVAKSSKSQVPALLKSAARARAFKLSFHGRSKSASMEPNPVSSPAATSSPPKQKHRNKFFVRFRVEAAPPVPLFARDNSSRSSSSSRSAMLFANDGWPASDDKKLLKDVLQRYLSKIKPFYIKISKRYGEKLRFSGPLSSSRAMKVRPAKESGGDQLKETVSTWSSFSGSLKSQDENIPAGLRVVSRRLRKSRSASAAVASVRSPPLATGRRDDSLLEQQDGIQSAIAHCKRSFNRGSESPLMRSRSDSGDGRSLESSSSSSSSSSSSGGGGGDV >Ma09_p29550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39979058:39981357:-1 gene:Ma09_g29550 transcript:Ma09_t29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFPSVADHHLLPFTDVGDFGLSTYLPFAAASNLSNLVQTCAISEYDLGGEGDLFKAPRPILEESVLLSGYGSSIMTDNIEIVDMESIQNGDLLCEAFYGCEKDLLVKSAHVPAPDVADFVLPEETTVGERNCFSAEGPLRKSDSTNASSVGPCLLDVHEVDLEAAFGMRTYSEGDIQSIGVDSYVHGDINIVPTFKLSSTIEDVKMKERIQKLSRYRTKRTRRNFHRRIKYVCRKVLAEGQPRVRGRFAKKEGRCAKT >Ma08_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11188368:11190293:-1 gene:Ma08_g14050 transcript:Ma08_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAASSPPRSPPRRPIYYVMSPTHSHHDVDRVSLGGSSPGASPLHPHYHHPHHHRYASSPIHHSRESSTTRLSASLKYTSSSWRKLHNHTEGHHPDGAASSGCSDSDVEDDGPIPVRCYVAWFVLGFILLFTLFSLILWGASKSYKPDIFVKGVVFRSYDIHAGTDLTGVPTKMLSINSTVRIAFRNPATFFGVHVSSTPLEIYFSDLKIATGYMKEFYQSRKSGRTVAVAVGGSQVPLYGGGTGLRSRTEDGGAPAVVPLELSFTIRARAYVLGHLVRSKFYRHVRCSLSLREDRLDKPLRLAHACDYHD >Ma06_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10404926:10408661:-1 gene:Ma06_g15320 transcript:Ma06_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAVVVFLLQLCRLSLSLNQEGRYLLEVERGLVDPSGALSDWNPRDSTPCNWTGITCSDGTVTAVDLTDRGLTGPFPASLCRLPNLAFLSLSANYINSSLPDSAVVPCDALAHLDLSQNLLVGSLPDALAALPALTYLDLTGNNFTGPIPPSFGRFPRIRALSLVANLLTSTVPAFLGNLTTLRQLNLSYNPFAPGEIPPSLGNLSSLEILWLAGCNLVGDIPPSLGQLSELADLDLSTNALSGRIPESIVNLSSIVQIELYNNSLSGPIPLGFGKSSSLLQIDASMNQLEGPLPEDLFDAPLLESVHFYSNRLIGAVPAGVSRSTSLIELRLFANRLNGSLPADLGKNSPLMLLDLSDNMLSGEIPGSICDRGVLEELLLIDNLFSGGLPVGLSRCQTLTRVRLRNNQLFGEVPAGFWGLPHLWLLELRGNSLSGGISPLISGAANLSKIVIDDNRFTGSIPSEMGALSKLYEFSASNNQFSGPLPASLGNLEELGQLDLHRNLLSGELLRGIRSWKKLSELNLADNKFTGSIPPELGDLPVLNYLDLSGNLLTGSIPIQLENLKLNEFNLSNNDLSGAVPPLFSHDVYRNSFLGNPGLCNGMPGLCPVSRSTGEQRSFIWLLRSIFILASLIFAVGVAWYVWRHRKYKQAKQGTEKSKWTLTSFHKLGFSEYEILDCLDEDNVIGSGGSGKVYKAVLSNGETVAVKKLWGTSKKDAENVNHSVDDGFEAEVATLGKIRHKNIVKLWCCCTTKDCKLLVYEYMPNGSVGDLLHGSKGGLLDWPTRYKIALDAAEGLSYLHHDCMPPIVHRDVKSNNILLDGEYGAKVADFGVAKAVEMIGKGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKLPVDPEFGEKDLVKWVSCTMEQKGTDHVIDPKLYMHHREEMRRVLGIGLLCTSSLPINRPSMRRVVKMLQEVGTESKPTKAEKKDGQLSPYYCEDGSDHGSIV >Ma04_p29890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30701430:30702164:-1 gene:Ma04_g29890 transcript:Ma04_t29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILPLFLWLVRWLIERLIRNTLMILYRFWNTL >Ma11_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22924335:22925576:-1 gene:Ma11_g17640 transcript:Ma11_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSLPSMREEEMKETLSLAVEAEEEEEEEEVWPRKCGGVTLEGYVDGADGGEEGTGGVARTKSLTDEDLDELKGCLDLGFGFSYEEIPELCDTLPALELCYSMSQRFLDEQQQQQDRSSSAESMDLSAPPPSPPIANWRISGPGKTSFLGSLIQFPLLLIPGDDPDAVKARLKYWAQAVACTIKLCS >Ma02_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20551667:20554011:1 gene:Ma02_g11360 transcript:Ma02_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSIPDKGRACLDALRYRAITSAYYWGAVGALLVYDVTRHTTFENVSWWLRELRDHTDPDIIIILIGNKSDLRHLIAVPTEDGKAYAEKESLYFMETSALEATNVDNAFAEVLTQIYHIVSRKAVEAGDDAASSAPPSTGERIKVKDDASTMRKLSCCST >Ma04_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2159975:2162777:-1 gene:Ma04_g02550 transcript:Ma04_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGDNQSWVSENKVFAFGFAPTSEGTGDEFLLAIWYVSLPGDPTIVWSPNRDFPVGRDAVVKLDSSGELVLVDGNTSMWTSNNSHLNANCGLMSDSGNFILYTGGSSDRRAVWQSFSHPSDTLLPGQPLSVDLELTSAMSPLGYYSLKMLQQRTSLSLGLTYVSPTPSLASHTNYSYWSSPEISNATGDVVAVLDESGSFGISYGTSSAGTMYVHKNDTGGSQSVPRRVTVGMDGNLRLYRWDSNGVATNWVVEWSAVSNPCMVAGICGSGICILDSNKNSASCRCLPRTYPVDVGAKGCLSSVPPSTPANCTENRKTPVRMEMMAQTNYYFSGASTISNYSGVSKASECAERCLADCECVASVYGLQEEKTYCWTLKSMVFGGLQDPSSTLSVKVGINSSGTGESGSAGQSPGESSSGHHVHVLLVPLLLCLTLLVVLLSMLLFYSMQRRRRQRQQRMIGNCMSLSGALSHFSFHDLQTATSNFSRLLGTGGFGSVYKGVLRNGTMIAVKKLDKLLPHGEKEFITEVTTIGSMHHLNLVSLCGFCSEQSHRLLVYEYMSNGSLDKWIFPSAQDRLLDWRTRFDIAIAIAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMSRQHSHVVTTVRGTRGYLAPEWVSNRPITVKADAYSYGMLLLEIVGGRRNLDTSLDEKEFFYPGWAFKEMMNGTPTNAADKRFKGNVEEEELLRALCVAFWCIQEDASVRPSMGEVVRMLEGAVAIVAPPMPQAVVEFEEEGLHRVYRAMKGIYYDLTSSSSAMPSYRSSKATCSHSAMSPR >Ma09_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2918181:2926286:-1 gene:Ma09_g04480 transcript:Ma09_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAVVVCVMVLLVNVYLLVNCQHPDDVNQAYFPKLVVVLGLSVAAISILMLPADVANRQACQHAIYSGACTLTLPMKDLWLAVYVADAVLVFLVIPFAMFYYEGDQDKSIGKRLKSALLWVLASAVVCGLVLGILYGLVGKVDFTVRHLSSSATSFPSSWSTFSSSQPCIGSTNHLCDAYGAPASSETTWTMQTTFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAGGLKKAIEALHQEERSGSKGRKWRKNVKAAEKELLILEDDMKALEEMYPQGEKAETLWALTVLGYLGKLVLGIIGLIVSVAWVAHIIIYLLISPPISPFLNEVFIKLDNVWGLLGTAAFAFFCFYLLLAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYSQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVILAIITLFCYAAFGWKKRKPSGSFQLSS >Ma05_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34359859:34361886:1 gene:Ma05_g22590 transcript:Ma05_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSSPKEHHFVDIHPVLSDDNDNLNRLRDRQSSDATVNFRAGGDGEGTEKRLQRNVWTFSRQIPLESGIGVFDVEKKGSVEGESSGNARGKSLGGVGATGGDAGNRKGYFDMFRTKSALGAKQNSSIPSWKENEPDPDNAEGVAGSAGADGVNKSVPAGRYFAALRGPELDQVREYEDILLPKDEVWPFLLRFPVGCFGICLGLGSQAILWGALSSSPAMAFLRVSPIINLALWLLALAILVSVSVAYTLKCVFYFEAIRREYFHPVRVNFFFAPWIACMFLAIGVPPRWAPRHLHPAIWCAFIAPLFVLELKVYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAAKVGWGEAGKFLWAIGLAHYLCLFVTLYQRLTTSQALPKELHPVYSMFIATPSAASIGWHAIYGEFDAVSRTFYFIALFLYCSLVVRINFFRGFKFSVAWWSYTFPMTTASLAAIRYAEEVTCFFSKGLALSLSLMSTAIVSLMLVSTLLHAFVWRSLFPNDLAIAITKDRSDGAKTQDKEKKASKKNYDLKRWAKQSSLSLVSSIRRGHSGDKDLK >Ma03_p27640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30949810:30952552:-1 gene:Ma03_g27640 transcript:Ma03_t27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLPKSLRTYLSKLKKVPAHLHIPNSPHPATATSWLLSACKYPKTPSFAAGRDHPDDDGGHGHEHRHDHGLDLAATLSDVDRFLHENFNSIYPREDDGDEFSSSEPPARYDEDPPPTAFRSSEPFFVSSGTSNSLLDEARASATSSSFSSSPSVSCSFQESSDAGAEVPGGGVAVMTFSKDPYDDFRRSMQDMVEARHVDPNQPLDWDFMEELLFCYLDLNDHSVHKHILRAFTELTASFRRRVMVRRRRAPSGGNVTSRRMMMSRRPADAAGDGCMVVPRHFPPTHTNGKHSPGKDHFVPLNTILELESRLRQILS >Ma07_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8800358:8800999:1 gene:Ma07_g11870 transcript:Ma07_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDGRLLVFAVAVSLLAIQCQSFGMKQKVTNLHFFFHDILSGDKPTSVRLAAPKNLSSLVKEKLFGTMFVIDDPLTEGPEADSKVLGSAQGYYIISGQDSPMLVLAADYGFTTGPYNGSSFSLFSRNPVMDTDRELSVVGGRGAFRMAQGIAKLHTHSIDAATGDAVVEYNVTLFHYE >Ma03_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2577926:2578607:-1 gene:Ma03_g03950 transcript:Ma03_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVFTPSFHGLRPLKPTDSCKLPQTRAVAVKPSRCARKPGSIRSELNPSLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGVTHFEAGDNRAKEFSALLKSNDPVGFNIVDVLAWGSIGHIVAYYILATSSNGYDPNFFG >Ma03_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:562203:565224:1 gene:Ma03_g00680 transcript:Ma03_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNKLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAAGAAATT >Ma09_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8000781:8002111:-1 gene:Ma09_g11770 transcript:Ma09_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCPKNGLKKGAWTPEEDQKLIDYIQKHGQRNWRTVPGKAGLARCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIRLHGILGNKWSAIAARLPGRTDNEIKNYWNTHIRRKLLGMGIDPVTHTPRLHLLDPSSLHTPSLLNPTSQLDVSVLLGLEPHVKCELLMMATNLLSFQCQYSDILDRNLQEQQYPTVRVEDQIASFQAQQLQLNPSKKLRSSTASNDPFFDEAQLHPTSDVNPLQDIVMQPNLVAAAGVNYGNLDPCFFQPMVNTSNTYWTNNRQNRNAASELLTPSSSPTQLNSSVTYAVNSSIEDEKERDFSGLFQLSNL >Ma08_p07090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4847118:4853071:-1 gene:Ma08_g07090 transcript:Ma08_t07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQNQETTPADPANSPAKRKRGRPRKQDYDNLSHKQRRSLGIQASSSQAYPATSSGHGDQAVPTHASVAACSSFLFQSLPVQTNPTPCTIYGAQSSLVDAGSTVNSSYGIQSALAPANPSPYIGYRPKAAPAHAHPNANSANHSQLIPAQSNSAAASSHAPNDLLGQAVCGTLDGTFDAGYMLTVRVANGGHVLRGLVFDPRLCVPISAENDIAPLLPMATPNGTPSSVVESHDQTLVSVPIHPVPVPLSVALPLQVREPAAAASQTMNPMLVTSSLPQPSRQLNTNKVVPNDSDPQLSLNKVAPNDTDPRLSTNKVAPRDIDDLLAEVQAKTLQTLSKSSVNNANEESSAAASQHVVDDDKQAENLSADVKEEAFQTFQTTLDVLPEDRCTNLVGFLSGEQSMHQVKGSSSNMVEAPGANEGMRLTEESSGNMVEAAGGDQGQHCLEESWQGNTSSSKIC >Ma08_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4847118:4851022:-1 gene:Ma08_g07090 transcript:Ma08_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQNQETTPADPANSPAKRKRGRPRKQDYDNLSHKQRRSLGIQASSSQAYPATSSGHGDQAVPTHASVAACSSFLFQSLPVQTNPTPCTIYGAQSSLVDAGSTVNSSYGIQSALAPANPSPYIGYRPKAAPAHAHPNANSANHSQLIPAQSNSAAASSHAPNDLLGQAVCGTLDGTFDAGYMLTVRVANGGHVLRGLVFDPRLCVPISAENDIAPLLPMATPNGTPSSVVESHDQTLVSVPIHPVPVPLSVALPLQVREPAAAASQTMNPMLVTSSLPQPSRQLNTNKVVPNDSDPQLSLNKVAPNDTDPRLSTNKVAPRDIDDLLAEVQAKTLQTLSKSSVNNANEESSAAASQHVVDDDKQAENLSADVKEEAFQTFQTTLDVLPEDRCTNLVGFLSGEQSMHQVKGSSSNMVEAPGANEGMRLTEESSGNMVEAAGGDQGQHCLEESWQGNTSSSKIC >Ma03_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25841412:25846417:1 gene:Ma03_g20700 transcript:Ma03_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAILTGLLTEILIPNGVSDYLIEEEEGLNDHNVVVKCAEIQSAISEGATSFLFTEYQYVGIFMVAFAVLIFLFLGSVEGFSTKAQPCTYSKDKYCKPALANAGFSTISFLLGATTSLVSGFLGMKIATFANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDTVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHEFTVMCYPLLVSSMGIIAALKKQLIVSTALMTVGIAIISWIALPSTFTIFSFGDQKQVKNWELFFCVAIGLWAGLVIGFVTEYYASNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVMFVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEV >Ma09_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18785934:18790735:1 gene:Ma09_g18730 transcript:Ma09_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MASSDSTSTLPKEKPFGNYSDHKDRMISPVTEDGSVDRMGKPAVKARTGNWTSAVLLLVNYGLVTFAFFGVGVNLVLFLTRVLQQDNADAANNVSKWTGTVYIFSLIGAFMSDSYWGRYLTCSVFQMIYVMGLMLLSLASWLFLVKPSGCGDGQLKCNTPSTLGTVLFYISIYFIAFGNGGYQPSIATFGSDQFDEMDPVERHSKIAFFSYFYLALNVGSLFSNSILVYYEDSGKWVLGFWISAGAAAAALLLFLVGTRRYRHFNPSGNPLTRIIQVFVAATRKWTVDVPSNSDMLYEVQGKESAIVGSRKILHSEDFRFLDKAAVPTGEDMHVQAIKNDPWQLCTVTQVEEVKCVLKMLPIWLCTIIYSVVFTQMASIFVEQGATMNTNVAAFRIPAASMSVFDILSVIIFIFIYRNLLVPVMARISKEPKGISELQRMGVGLVIGMLAMIVAGVVEVERLKRVVVPDEPSSLSIFWQIPQYALIGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSIMLVNIVTSVTSKKNSPGWIPRNLNSGHLDRFFFLLAALTLIDFMAYLVCAKWYRCIKLDNDDRQENLVTAL >Ma07_p17120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16261704:16269980:1 gene:Ma07_g17120 transcript:Ma07_t17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMASKQRSAAATWLRWFILFIGAVVVVQVLIYAKASKDSLKEVTHKVYFDVEIGGKPAGRIVMGLFGKAVPKTAENFRALCTGEKGIGKSGKTLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGSKFADENFKLKHTGPGLLSMANAGPDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGRQNGSPKSKVVIADSGELPL >Ma07_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16261691:16269980:1 gene:Ma07_g17120 transcript:Ma07_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMASKQRSAAATWLRWFILFIGAVVVVQAKASKDSLKEVTHKVYFDVEIGGKPAGRIVMGLFGKAVPKTAENFRALCTGEKGIGKSGKTLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGSKFADENFKLKHTGPGLLSMANAGPDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGRQNGSPKSKVVIADSGELPL >Ma07_p12660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9536582:9540094:-1 gene:Ma07_g12660 transcript:Ma07_t12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAAIDSNHRRPRFLCLHGFRTSGAIMRSQVVGKWPEEVIGRLDLVFPDAPFPAEGKSDVEGIFPPPYYEWFQFDKDFSEYRNLDKCFAYIEDLMIEHGPFDGLMGFSQGAILSAALVGLQARGSALTRVPKVKHLIIIGGAKFQSPAVAEKAYASAVDCTSLHFLGDMDFLKKHGEALLESFINPYVIRHPKGHTVPRLDDKSLETMRDFLQKIENDLPRYAPCNDKHEEVHLS >Ma07_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9536582:9540094:-1 gene:Ma07_g12660 transcript:Ma07_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAAIDSNHRRPRFLCLHGFRTSGAIMRSQVVGKWPEEVIGRLDLVFPDAPFPAEGKSDVEGIFPPPYYEWFQFDKDFSEYRNLDKCFAYIEDLMIEHGPFDGLMGFSQGAILSAALVGLQARGSALTRVPKVKHLIIIGGAKFQSPAVAEKAYASAVDCTSLHFLGDMDFLKKHGEALLESFINPYVIRHPKGHTVPRLGEFIHVHFCFHCPLFTVLVDFLMDHLDRSDFLLHQRGIYLQPSILVFHIFSL >Ma06_p38960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37590226:37591001:1 gene:Ma06_g38960 transcript:Ma06_t38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNTGQSLINMKNYKTLSRNTWKECPENST >Ma08_p28400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40334424:40338549:1 gene:Ma08_g28400 transcript:Ma08_t28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLNEWSTSTMQKALAITHYGFIPPHPHRRELRAQTPTLPVPRPRNHACLLPPSIACALSAATLEELQVFVANALPVDAPYCV >Ma08_p28400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40334424:40338549:1 gene:Ma08_g28400 transcript:Ma08_t28400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLNEWSTSTMQKALAITHYGFIPPHPHRRELRAQTPTLPVPRPRNHVPKFPWLIHFSDEYDTFISSTAACLLPPSIACALSAATLEELQVFVANALPVDAPYCV >Ma09_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3612388:3616671:1 gene:Ma09_g05640 transcript:Ma09_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSSWVLRAKFSHTPYQRSGSARVSLASDLALLTEPNESNSGGASSLPSNRSSFRSGCHSLPSPTNSRVGPREKSSAKKSSTRPDDGGPSEAKNPGSPSAQCSEFSFYPQGFPALKSGGASSAAVVSWKFPVSRQKAEGLSSTMGAACSGWAKLDANYRHERDPKPKQRSISPLPTTILSEVFKEARSIGKRFSTPPPSRKRCDRSRENHELAWRTAIESTHSNKSRARKETSWARYFDHGTGKVTALETTEKWNVDLSQLYLGFRFACGAHSRLYHGVYKDQPVAVKIIREPDDDEYGVMAARLEKQFTREVTMLSHLYHRNVIKLAGAWKQPPVFCIITEYLPGGSLRAFLRKLEHKSLPLQRLISIALDIAQGMEYIHSQGVIHRDLKPENILFDQDLCIKIVDFGIACEEAYCDAVTEDPGTYRWMAPEMIKHKPYGRKVDVYSFGLLLWEMVTGTIPYEDMTPIQAAFAVVNKNLRPTIPTDCPAPLRALIEQCWGVLPEKRPEFWQIVKVLEQFESAVAQDGTLNQLQNLSCHEHKKRLVHWIRKLKTPSHADSSIAQMPRLL >Ma06_p36730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36028890:36034129:1 gene:Ma06_g36730 transcript:Ma06_t36730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSTLNRRPRSWKYSLRSRKCCRKISTSAPDAPAPRKNDLPNHFACSQIVHVKTAATTRRKSEVSNLAFHLTQLQWHHSPMERNVLCQEEVWFDCVSILESESDDDFSSVCGDCLSSINGSIGTQMLQYENASLLVDAVCKFEELLDPTPIALAVEQHIETDEGKTGKLLSNDECEDADRLEIVNPQGSDILLEKVDEAKLRNQTESCTKMKKVLEDICGSFKGLKEIRHDTEENFRDSTLKQLSSSCAPHLVPSISFNDKIQQFPTTSPQCQKRRSAVIRVSVKRKSKDGDETTEFYMATFGIGASKKYLYRPIAGLSVPCSTSEKPAQGCWSTLEPSSFKLRGESYFRDKKKSPAPHHTPYTPIGIDLFSCQRKVNHIAQHIELPSIKAQEKVPSLLVVNIQLPTYPAAMFLGDGDGEGMSLVLYFKISDNFDEEISPQFQDSIRRFVNDEIEKVKGFPMDSTTPYRERLKILAGLANPEDLQLNSAEKKLVHAYNAKPVLSRPQHNFYQGSNYFEIDLDVHRFSYISRKGFEAFRERLKHGILDLGLTIQAQKQEELPEHLLCCVRLNRIDFINHGQIPALMTVDHDM >Ma06_p36730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36026526:36034129:1 gene:Ma06_g36730 transcript:Ma06_t36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSTLNRRPRSWKYSLRSRKCCRKISTSAPDAPAPRKNDLPNHFACSQIVHVKTAATTRRKSEVSNLAFHLTQLQWHHSPMERNVLCQEEVWFDCVSILESESDDDFSSVCGDCLSSINGSIGTQMLQYENASLLVDAVCKFEELLDPTPIALAVEQHIETDEGKTGKLLSNDECEDADRLEIVNPQGSDILLEKVDEAKLRNQTESCTKMKKVLEDICGSFKGLKEIRHDTEENFRDSTLKQLSSSCAPHLVPSISFNDKIQQFPTTSPQCQKRRSAVIRVSVKRKSKDGDETTEFYMATFGIGASKKYLYRPIAGLSVPCSTSEKPAQGCWSTLEPSSFKLRGESYFRDKKKSPAPHHTPYTPIGIDLFSCQRKVNHIAQHIELPSIKAQEKVPSLLVVNIQLPTYPAAMFLGDGDGEGMSLVLYFKISDNFDEEISPQFQDSIRRFVNDEIEKVKGFPMDSTTPYRERLKILAGLANPEDLQLNSAEKKLVHAYNAKPVLSRPQHNFYQGSNYFEIDLDVHRFSYISRKGFEAFRERLKHGILDLGLTIQAQKQEELPEHLLCCVRLNRIDFINHGQIPALMTVDHDM >Ma06_p36730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36027048:36034129:1 gene:Ma06_g36730 transcript:Ma06_t36730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSTLNRRPRSWKYSLRSRKCCRKISTSAPDAPAPRKNDLPNHFACSQIVHVKTAATTRRKSEVSNLAFHLTQLQWHHSPMERNVLCQEEVWFDCVSILESESDDDFSSVCGDCLSSINGSIGTQMLQYENASLLVDAVCKFEELLDPTPIALAVEQHIETDEGKTGKLLSNDECEDADRLEIVNPQGSDILLEKVDEAKLRNQTESCTKMKKVLEDICGSFKGLKEIRHDTEENFRDSTLKQLSSSCAPHLVPSISFNDKIQQFPTTSPQCQKRRSAVIRVSVKRKSKDGDETTEFYMATFGIGASKKYLYRPIAGLSVPCSTSEKPAQGCWSTLEPSSFKLRGESYFRDKKKSPAPHHTPYTPIGIDLFSCQRKVNHIAQHIELPSIKAQEKVPSLLVVNIQLPTYPAAMFLGDGDGEGMSLVLYFKISDNFDEEISPQFQDSIRRFVNDEIEKVKGFPMDSTTPYRERLKILAGLANPEDLQLNSAEKKLVHAYNAKPVLSRPQHNFYQGSNYFEIDLDVHRFSYISRKGFEAFRERLKHGILDLGLTIQAQKQEELPEHLLCCVRLNRIDFINHGQIPALMTVDHDM >Ma09_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35647518:35648310:1 gene:Ma09_g23960 transcript:Ma09_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGCNRAPSEPTPTGGHRRSTVPCHADVQVPDQERGRGVHGCSVPDEPGQDPHGELVLLEGCGVERRHPLQQGIRDDGVRVPRHGRPVQQGVQRENKEPLHHPHQEAARHLPRLRGRLGARRRRWRHRRHPLHDHRQAPPQQGHQLRPPSSHLRGATLPRGITRRRRHVRERPKRRCNLQEGQRVYVSFNAIQLRLTLTNAVSEKGKMIVVECAMPVVPEPTPRAQGVFNIIL >Ma04_p35630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34311435:34313711:-1 gene:Ma04_g35630 transcript:Ma04_t35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQTLIPSSVSSSPSSTLSSLFFNPSSASFPVLLGPLQPPSLVPPLVYSSCTQVENEARMEEALKSSLRPESGLSSGQQQQHQHQRQQNKGTLSEEGGWSAERGNLCGEGFSVDDLLNLGDYAENDKEAEVTEVREEVEAKAEVADAEHRGISDSSSPCSSTSALSFQPLPPPPPPPLSDISLPAHDAEELEWVSYIIDDSLSEFPHCPAVGGDHFPSPPQSHKKTEEPPAAAPQVASSLDSAVCGLSTEGMASVKAKRSKRHRPRPAHSPARYMSVPVLFADSLSSPTNTCSSASSSSSTSCLIYDHHPVAGDDQSFLLHEVPPPPLASATKPGPKKRGRKPKPSPTASGSGDRRCSHCGAQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFVSHIHSNSHRKVLEMRRKKEAQLPLSPASAPVASF >Ma03_p27390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30809762:30816397:-1 gene:Ma03_g27390 transcript:Ma03_t27390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGISYGELFLILGATAALIGPKDLPVIARTAGRLAGRAIGYVQLARGQFETVVQQSQANKVHKELQDTIAQLEAIRYEIRSISIINPGPFTRRLDGQEQVQNTGLDIAAKPDGENQPIATISKDLTRANSVSSSLHSQAMAYARLAEAPNIKLSSSETHEDVLKLNGEGDLLTVLPVSAESAGLLPKHRDEEAKGCDILLEAVVEAEVARNAKDFFAQPQNQIPNQ >Ma03_p27390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30809762:30816272:-1 gene:Ma03_g27390 transcript:Ma03_t27390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGISYGELFLILGATAALIGPKDLPVIARTAGRLAGRAIGYVQLARGQFETVVQQSQANKVHKELQDTIAQLEAIRYEIRSISIINPGPFTRRLDGQEQVQNTGLDIAAKPDGENQPIATISKDLTRANSVSSSLHSQAMAYARLAEAPNIKLSSSETHEDVLKLNGEGDLLTVLPVSAESAGLLPKHRDEEAKGCDILLEAVVEAEVARNAKDFFAQPQNQIPNQ >Ma03_p27390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30809762:30816272:-1 gene:Ma03_g27390 transcript:Ma03_t27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGISYGELFLILGATAALIGPKDLPVIARTAGRLAGRAIGYVQLARGQFETVVQQSQANKVHKELQDTIAQLEAIRYEIRSISIINPGPFTRRLDGQEQVQNTGLDIAAKPDGENQPIATISKDLTRANSVSSSLHSQAMAYARLAEAPNIKLSSSETHEDVLKLNGEGDLLTVLPVSAESAGLLPKHRDEEAKGCDILLEAVVEAEVARNAKDFFAQPQNQIPNQ >Ma03_p27390.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30809762:30815716:-1 gene:Ma03_g27390 transcript:Ma03_t27390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFGISYGELFLILGATAALIGPKDLPVIARTAGRLAGRAIGYVQLARGQFETVVQQSQANKVHKELQDTIAQLEAIRYEIRSISIINPGPFTRRLDGQEQVQNTGLDIAAKPDGENQPIATISKDLTRANSVSSSLHSQAMAYARLAEAPNIKLSSSETHEDVLKLNGEGDLLTVLPVSAESAGLLPKHRDEEAKGCDILLEAVVEAEVARNAKDFFAQPQNQIPNQ >Ma03_p27390.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30809762:30816397:-1 gene:Ma03_g27390 transcript:Ma03_t27390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGISYGELFLILGATAALIGPKDLPVIARTAGRLAGRAIGYVQLARGQFETVVQQSQANKVHKELQDTIAQLEAIRYEIRSISIINPGPFTRRLDGQEQVQNTGLDIAAKPDGENQPIATISKDLTRANSVSSSLHSQAMAYARLAEAPNIKLSSSETHEDVLKLNGEGDLLTVLPVSAESAGLLPKHRDEEAKGCDILLEAVVEAEVARNAKDFFAQPQNQIPNQ >Ma03_p27390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30809762:30815402:-1 gene:Ma03_g27390 transcript:Ma03_t27390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRTVCCVKSSSLMFGISYGELFLILGATAALIGPKDLPVIARTAGRLAGRAIGYVQLARGQFETVVQQSQANKVHKELQDTIAQLEAIRYEIRSISIINPGPFTRRLDGQEQVQNTGLDIAAKPDGENQPIATISKDLTRANSVSSSLHSQAMAYARLAEAPNIKLSSSETHEDVLKLNGEGDLLTVLPVSAESAGLLPKHRDEEAKGCDILLEAVVEAEVARNAKDFFAQPQNQIPNQ >Ma05_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4156952:4159084:1 gene:Ma05_g05480 transcript:Ma05_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHKPVIVFSFLAIFTLQSVLVASREVEDEKEFSYEKGSETGPEHWGEIHKDWAACGKGHMQSPIDLTHKRVQILPHLGRLRRSYHPAEAILKNRGHDIMLKWEEEAGGLWINGTEYALKQLHWHSPSEHTVDGRRYSLEMHMVHESTDNKTAVVGILYTIGRHDPFLAKLERYIEKIADKHEAEEAVGMVDPRHIKRGSRKYYRYMGSLTTPPCTEGVVWTIVKKVRTVTREQVALLREAVHDDSEMNARPTQKINDRMIGLYRPRPHQQ >Ma04_p26220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27753147:27754781:1 gene:Ma04_g26220 transcript:Ma04_t26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLVKHIAKYGHGCWSSVPKLADLQRCGKSCRLRWINYLRPDLKRGTFSQQEEKLIIELHAAVGNRWSQIAAQLPGRTDNEIKNYWNSCIKKKLRQSGIDPSTHRPLSEVEGGDDKEAMASGRSSTSAPPPSHTIECTPLLQANDVVVPSSISISVPDLRCLEAGSCSNTSANSANSSSEFELQISSSLFDGSIFQWLELFPSKGAQHHFDGEPEDLKWSEYLNGTIGSDDGLLGEIKKE >Ma10_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32426044:32427042:1 gene:Ma10_g23560 transcript:Ma10_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHPPAPRIPLSNASTAAFVILSMLLTAFVFLAVYPNEFQLQSLVAGGCEPRRLRSTNFLDPVALPAPDPQLRILIGVLTVPDSYQRRHLLRDAYFLQPNLTVNARIDIRFVLCGLTTEEQRVLVAIEILRHGDIMILDCEENMNEGKTYTYFSNLPGVFNGSTGGETAYDYVLKADDDTYIRLDALAKTLDGMPREDLYMGLFIPCKNITDRMGWMTGMAYALSWDLVEWIARSEIPRNHKTLPPHGEDVVLASWLRDASLGKNRFDMNPRMYDYYEEPTPCWSHDFIPDTIAVHKLKNDTKWAKTLDYFNLTVGLRPSNLYNIHQLKR >Ma08_p32370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43063351:43068767:1 gene:Ma08_g32370 transcript:Ma08_t32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMIKQFLSRLPRKQSKSGDKRDSLAGVSPPSSTSSTSSRDGDLPSSRVTILPPGLDPGLDHPANQIPAVMSEPNGGSVVLAYEALPSFKDVPSSERQSLFIKKLNLCCAVFDFTDATKNLKEKDIKRQTLQDLVDYVASSNAKFSESVMQEIIKMISINLFRTFTSPPREYKVLQSLDLEEDEPVMDPAWPHLHLVYDLFLRFLQSPETDAKLAKRYIDHSFVLKLLDLFDSEDVREREYLKTILHRIYGKFMVHRPFIRKSINNIFYQFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCMGMYHQQLSYCITQFIEKDCKLADTVIRGLLKFWPITNSSKEVMFLGELEEILDATQPAEFQRCMIPLFHQIARCLNSSHFQVAERALFLWNNDHIENLIKQNVKVLLPIIFPALERNAKSHWNQAVQSLTLNVSKFFSDNDPELFAKCLKKYEEDEVKGKEIQSKREVTWKRLEEIAATKAASNEPVLIPHIIRSPV >Ma08_p32370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43063351:43068767:1 gene:Ma08_g32370 transcript:Ma08_t32370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMIKQFLSRLPRKQSKSGDKRDSLAGVSPPSSTSSTSSRDGDLPSSRVTILPPGLDPGLDHPANQIPAVMSEPNGGSVVLAYEALPSFKDVPSSERQSLFIKKLNLCCAVFDFTDATKNLKEKDIKRQTLQDLVDYVASSNAKFSESVMQEIIKMISINLFRTFTSPPREYKVLQSLDLEEDEPVMDPAWPHLHLVYDLFLRFLQSPETDAKLAKRYIDHSFVLKLLDLFDSEDVREREYLKTILHRIYGKFMVHRPFIRKSINNIFYQFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCMGMYHQQLSYCITQFIEKDCKLADTVIRGLLKFWPITNSSKEVMFLGELEEILDATQPAEFQRCMIPLFHQIARCLNSSHFQVAERALFLWNNDHIENLIKQNVKVLLPIIFPALERNAKSHWNQAVQSLTLNVSKFFSDNDPELFAKCLKKYEEDEVKGKEIQSKREVTWKRLEEIAATKAASNEPVLIPHIIRSPV >Ma10_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29341682:29344396:1 gene:Ma10_g18420 transcript:Ma10_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRDLEPLVEGEGEREQKHQKLEMASVLHLLLPAVDEGTGALTSVDKGKGIVVEEEEGDKEADDDDSDDGSDVGSEDESGGEGDDDSDFVDDPLVEVDPENILPSSTQRREPPRPGVYVDPDQDEDDSGDSE >Ma05_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6644773:6645928:1 gene:Ma05_g09060 transcript:Ma05_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSARRATMGKSNNKSSSAKFKHRFSRMLLGSSCTTTTMAATTLGQAKLPSATAQRELFPDPDCRRRRKLDHHAPFSATDHRRLRHVAPVVSISINCGARRFVQTSDPFLPLVNKEEEHDNERKTEAKQRSKRASETKKKTKRAEAKKLSPDAYGFIISSSIDSENQLDLLSSDEEEEESATLLSSNSFSSDSSDSSEFYHNRRKKNTKSTRRPARRHATHSKELRPLVSIASKEREESRERRESNAGFAVVKRSSDPYSDFKSSMVEMIVERGMHRARDLERLLDAYLSLNSHRHHQAILEAFADICEAMYGK >Ma04_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:776840:777453:1 gene:Ma04_g00840 transcript:Ma04_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKQAKTDKPKKPRGRAYKRMQHNRRFVTAVVGFGKKRGPNSSEK >Ma02_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19865415:19869224:1 gene:Ma02_g10230 transcript:Ma02_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKSEATGLDEADRTLYSTFCAAANSLSQLYTQAMNQQKLAFQAGERHALEKLYQWIMRKHEEGSRITVSDIVTHIQSEMDYTGEDALITPRSPFQHEHQQTTMHIANSGVQPPSGLFGQPTVGLAPRSGHADQAKNSVFSNALSSPVRRSLQPYQLAQGSGFFPNADLQTGNAGARNQDSNQNREANSLSSNDSSMDNAESPPHESY >Ma08_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26149192:26150607:1 gene:Ma08_g17570 transcript:Ma08_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEKLLQLQEELKNVARERCQALEELEEMKWRMLSEEENKANSKARLEMLESELEKAKESERRMLESLTCQTKQLEQTKILLEEAKLEIRSLNESIGILESSAGPKASTVEKGVTPEVARGSQEIGALRTELRLALAAEEKSKKAMDDLALVLKEVTTESSWMKEKLCQTQCELENARGEAERLKVMLKRTENKLRVTTEESKRLKSEAEESFAAWNAKETGFLECMKMCEDEIINMKQENAKLADLHKSARQEISKLRDILKQAVNEASVVKEALEIARKENSQLQDMLTEKDNSLENMAQELECLKASEAAALDNVKELQSLLVASSSIDLSSTSNSSDKDAFRSPRTPASGSAVRKTMAKYPSENWKHEDPLTESGRRLSLGDSDRFEGSILDLVESPRQKKDEEVVAVAEKSSLTTKSLHSSSNQVDRKQLNGMENGWDSPIRQKLKKRQILRRFGDMLRRSIHN >Ma06_p29840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31207055:31219000:1 gene:Ma06_g29840 transcript:Ma06_t29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIEISVLLDKSKELDQLRKEQEEVHQEINKIHKKLQSTLEASDAILIKLRQLYCQAKELSENEVSASNALMSQLDALLQSGLSAAQRKKIEVSEQKKKRMKSDSDIARFPPTASMRSQLEHAANLKGEQVAARVSSDDAEKDEWFIVKVINFDKDTKEFEVFDEEPGDDEESAQRKYKLPMSHIIPFPKKNDPSSAPDFPPGRHVLAVYPGTTALYKATVVNSHRKRKSDDYLLEFDDDEEDGSLPQRNVHFYKVVPLPDGHRQ >Ma06_p30810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32033859:32041339:-1 gene:Ma06_g30810 transcript:Ma06_t30810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPNSSSARLGSGSYGDVLYQELWKACAGSLVTLPREKERVYYFPQGHMEQLEASTNQELDQQMPLFDLPSKILCRVVHVELRAEPDTDEVYAQITLQPEVNQDEVTSPDSPLAEPERCNVRSFCKTLTASDTSTHGGFSVLRRHADECLPSLDMSQNPPWQELVAKDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDVFNFLRGENDELRVGVRRLMRQPNNMPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRFSGTIVGVDTTSQWAGSEWRTLKVQWDEPSCIRRPDTVSPWELEPLLAAAPPTFQPVQRNKRTRPSAAPAESSDLTPAFGLWKSTAESTQNFSFSGLQGGKELCAPSCPTSVFPSASKPESIEFNGNNGPSAINGAMYWPFRTEPQTDSLMADINKEQSEKKQETSTGCWLFGIQLVESSAVGELSPLTTNSCVGEEQAVTSLDVESDQQSQPSNINRSDALAVSSEPEKSCLRSTQECQSRQLRSCTKVHMQGMAVGRAVDLTRFYGYDELLQKLEEMFNIEGELYGAAKKWEVIYTDDEDDIMMVGDDPWHEFCSMVRKIYIYTCEEAKRLTPRVKLPAVGKVIRPLPKKASCDADATENSSKDQALVAD >Ma06_p30810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32033859:32041339:-1 gene:Ma06_g30810 transcript:Ma06_t30810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPNSSSARLGSGSYGDVLYQELWKACAGSLVTLPREKERVYYFPQGHMEQLEASTNQELDQQMPLFDLPSKILCRVVHVELRAEPDTDEVYAQITLQPEVNQDEVTSPDSPLAEPERCNVRSFCKTLTASDTSTHGGFSVLRRHADECLPSLDMSQNPPWQELVAKDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDVFNFLRGENDELRVGVRRLMRQPNNMPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSDFIISVNKYLEAKNYKFSVGMRFNMRFEGDEAPEKRFSGTIVGVDTTSQWAGSEWRTLKVQWDEPSCIRRPDTVSPWELEPLLAAAPPTFQPVQRNKRTRPSAAPAESSDLTPAFGLWKSTAESTQNFSFSGLQGGKELCAPSCPTSVFPSASKPESIEFNGNNGPSAINGAMYWPFRTEPQTDSLMADINKEQSEKKQETSTGCWLFGIQLVESSAVGELSPLTTNSCVGEEQAVTSLDVESDQQSQPSNINRSDALAVSSEPEKSCLRSTQECQSRQLRSCTKVHMQGMAVGRAVDLTRFYGYDELLQKLEEMFNIEGELYGAAKKWEVIYTDDEDDIMMVGDDPWHEFCSMVRKIYIYTCEEAKRLTPRVKLPAVGKVIRPLPKKASCDADATENSSKDQALVAD >Ma06_p30810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32033859:32038623:-1 gene:Ma06_g30810 transcript:Ma06_t30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQFLEGMQMSAFLHWICPRTHLGKNWLPKIFMGMNGIFVTFFEPRRHLLTTGWSVFVSSKKLVAGDVFNFLRGENDELRVGVRRLMRQPNNMPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSDFIISVNKYLEAKNYKFSVGMRFNMRFEGDEAPEKRFSGTIVGVDTTSQWAGSEWRTLKVQWDEPSCIRRPDTVSPWELEPLLAAAPPTFQPVQRNKRTRPSAAPAESSDLTPAFGLWKSTAESTQNFSFSGLQGGKELCAPSCPTSVFPSASKPESIEFNGNNGPSAINGAMYWPFRTEPQTDSLMADINKEQSEKKQETSTGCWLFGIQLVESSAVGELSPLTTNSCVGEEQAVTSLDVESDQQSQPSNINRSDALAVSSEPEKSCLRSTQECQSRQLRSCTKVHMQGMAVGRAVDLTRFYGYDELLQKLEEMFNIEGELYGAAKKWEVIYTDDEDDIMMVGDDPWHEFCSMVRKIYIYTCEEAKRLTPRVKLPAVGKVIRPLPKKASCDADATENSSKDQALVAD >Ma05_p09570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6930287:6934003:-1 gene:Ma05_g09570 transcript:Ma05_t09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPIMCGHQTERDLSRDGSHYSLSSGILPSLGARSNRRVKLRSSIVSPYDRRYRTWETFLIVLVIYSAWVSPFEFGFLDNSKGSLALADNIVNAFFAVDIVLTFFVAYLNRTTYLLVDDHKKIAVRYLTTWFILDVASTIPSEIALRILPSSLRSYGFFNMLRLWRLRRVSALFARLEKDRSFNYFWVRCAKLICVTLFAVHCAGCFYYLLAARYHDPSGTWIGASMADFLERSLWDRYVTSMYWSITTLTTVGYGDLHAENTREMIFATIYMLFNLGLTAYLIGNMTNLVVHGTSRTRRYRDTIQAATGFAQRNQIPERLQEQMISHLSLKFRTDSEGLQQQEILDSLPKAIRSSISHYLFYSLVQQVYLFRGVSHDLLFQLVSEMKGEYFPPREDVILQNEAPTDFYILVTGSAELIDHRSGSEEQIVRVAKKGDLVGEIGILCYRPQLFTVRTRSLCQLLRLNRTVFLNLVQSNVGDGTIIINNLLQYLKEQMDDPLMEGLLRDIETMLTRGRLELPLTLSFAVVRGDDLLLHQLLRRGLDPSESDNNGHTALHIAASKGNEHCVRLLLDFGADPNSLDSEGSVPLWEAILGKHEQVVKLLIDNGAHLSAGDMGHFACTAAAQNNIELLEDIIRHGGDVTAEKKDGSTALHRAVCEGNPRLAEFLIQHGADMDKPDHHGWTPRSLADQQGHDEIKALFDVVKAEEPNSGSPLPAPVRRFSSEPIMPPAVGDDIRPSSSSPFGALEKSERARRENFHNSLFGIISAASFSNRQSHSGLLSSVAGPPRHMFGGGGGQQQQRTNLQRVTISCPERGDTTAKLVLLPDSLHELLDIGSKKFGFLASKAFTKDGAEIDDVKLIRDGDHIVVAGDDSDGISAHGSERLSQST >Ma03_p28610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31731637:31733603:-1 gene:Ma03_g28610 transcript:Ma03_t28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTASRFVHPLHLHLPHTKPDSDDSPVATDEAANHGLELSAPAGPGDVIGRRPRGRPPGSKNKPKPPVIITRESANALRAHILEVGSGCDVFECLSTYARRRQRGVCVLSGSGTVANVSLRQPAGSPVATLQGRFEILSLSGSFLPPPAPPGATSLAVFLAGGQGQVVGGSVVGALIAAGSVTVIAASFTNVAYERLPLDEEEEEAAAATQQLQMHPPASQSPSGGGGGTSGALGPSFTDPSSGLPFFNLPLNMPQLPVDGHGGWHGSAAAAARPPY >Ma03_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11497603:11500631:1 gene:Ma03_g14320 transcript:Ma03_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHSPSPLLNRTGSFRADALGHTALHLVGNLCFALFVVGVLAFSIIAATYRPDDPLLLPSSPSAASSKITAFLTSSTNATFRPDDAPLRTGEDFLNASSSSASTDDPAAIHLADLPDSNSSSAAATAAGGDSTDCDDAAPINCADPEVFHLMMRATIESFRDVHFYRFGKPVRGGDGGGSCDMAWRFRPKDAKRAGFYKDYRRFEITRSRGCVYSIVRIGDYHTGVNARKKKKPKKGANDGAGEFAINKIPISSQAAVPLVVPVVGESVNDSLPVVESEGKFSSSRYLIYSGGGDRCKNMNHYLWSFLCALGEAQYLNRTLVMDLTICLSSKYSSTNQDEEGKDFRFYFDFEHLRDSASVLDQRQFWNDWALWQKKDKLSLHLVEDLRVTPMKLAKVKGTLIMRKFGAVEPDNYWYRVCEGETESVIQRPWHLIWKSPRLMDIVSGIASRLKWDFDSVHVVRGEKASNTQQWPNLAADTSPEALLSTLRDKIEDGRHLYIATNEPDTSLFDPLKDKYSTHFLDDFKDLWGENSDWYEETKKLNNGIPVEFDGYMRGEVDTEVFLRGKKQLETFNDLTGDCKDGVNTCRTAS >Ma01_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9857565:9858432:-1 gene:Ma01_g13500 transcript:Ma01_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSAGDPFAYFDWDVSYITASPLGVPRKVIAISKQFPGPIMNVTTNWNVVVNVLNSLDEPVLLTWDGIQHRKNCWQDGVMGTNCPIPPGWNWTRSAASSTSPPSASSELLVAMVGSLSTTVKSSPCHLGSQMGTSPSLSGIGTSRTTRT >Ma02_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27287976:27299525:-1 gene:Ma02_g21740 transcript:Ma02_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVDRATSDMLIGPDWAMNLEICDILNHDPGQAKDVVKVLKKRIGHKNSKVQLLALTLLETVIKNCGDIVHMHVAEKDILHEMVKIVKKKQPDLHVKEKILILIDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPQRSESSAPIFTPPQTQPLRSYPPSVRSPDYQNEAPESSVASDFPVLSLTEIQNARGIMDVLSEMLNALDPGNREGLKQEVIVDLVGQCRTYRQRVVHLVNTTADEELLSQGLALNDDLQKVLAKHDAIAAGLAVRVEKRKSLQTLVNIDDSSASKEPQQRPSSTSTSNQPPLQQLLLPAPPSDGAGTTSVKVDPNMDLLSGEDYNKPTTENLLALVPVSEPLNISASDQNVLALADMFSPNDSTNNNKNPANSFDSNSTFPTQQTYPAVSNLQQQAQQTQQPQFFTNGGIPNSGAPQFEQSSHDQGMQLNLATTPWNGQFAPAYNPQQQALGYDANDQSGALPPPPWEAQSVQNEMPGLQHQPLQTDQLSVHPLSVQTGQLRGMQAQPMLGSQLGGMQSQQTSVGQLVGMPPQHMMGPQLTGLQPQVVQGDQFVGMYPPMQNSQMTAMYPPQIFGGHMVGMGQQPMQGTGYGYGQQPGAQFYDPMRPTYPYYTPNALGQTMYGLSVQDNNTYASKASSYQMPTSSSYLQNSNKPSKPEDKLFGDLVSLAKNKAK >Ma05_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3407190:3408800:1 gene:Ma05_g04450 transcript:Ma05_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAFRRLAVLSPPPPPPLLPPAVDFENRFSPSIFLIVVLLAVIFFVSGLLHLLARHLLRPINREPEDMNNVTALQGQLQQLFRLHDAGVDQSFIDTLPVFLYKAIIGVKDPFDCAVCLSEFEADDKLRLLPKCSHAFHLDCIDTWLLSHSTCPLCRRSLFGNISPTTSCSPIVLVLGSGSESPRESGSGRGVSVPNSNLGPVGDDDYGPSMSDTLHKPVEVATEEEGGKAVAVSEASRTEVAPVKLGKFRSVDVDATVGEGDSAANSDLDQRRCFSMGSYEYVVDDNSLLKVPIDPPKKPSIKKPECDCHSRREGSKGLLAPRATEFRDDGGNSGMSSNLHVKQCFSVSKIWLRSKEKSMADDDSSRRAFSFRLPLRQATTDESKLKSYASPATTTSEFNVSAWEKKSSSEVDLDEEIGSSKHGSVVSGAEESPSFARRTLLWLAGRQNKVGNHI >Ma08_p14670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14267306:14269564:-1 gene:Ma08_g14670 transcript:Ma08_t14670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMANGSDNLLSAVLPLLKLLCLTVIGLILAHPRIQIVPRATFKLLSKLVFALFLPCLIFVHLGQSVTLNDVLLWWFVPVNVLITTATGCFLGYIVALICRPPPQFFRFTIIMSGFGNTGNLPIAIVGSVCHGSDNPFGPDCHRTGIAYVSFAQWVAVILVYTFVYHMMEPPMEYYEIVSEENEIEEELISNISRPLLHEAEWPGMVDKETAHSKTPFIARVFTSISGSSQNTFPDIDFSEEGGVAAGPSSPKSLRCLAEPKVVRRIRVVAEQTPIQHILQPPTIASLLALIVGMVPVFKDFVFGYDAPLSFITDSLDILAGAVVPSVMLILGGMLAEGPNDSALGTRTTIGIIVARLLVLPLIGIGVVALANKLHLLIEGDQMYRFVLLLQYTTPSAILLGAIASLRNYAVKEASALLFWQHICAVVSLSVYIVIYFKLVSYV >Ma08_p14670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14267306:14269567:-1 gene:Ma08_g14670 transcript:Ma08_t14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMANGSDNLLSAVLPLLKLLCLTVIGLILAHPRIQIVPRATFKLLSKLVFALFLPCLIFVHLGQSVTLNDVLLWWFVPVNVLITTATGCFLGYIVALICRPPPQFFRFTIIMSGFGNTGNLPIAIVGSVCHGSDNPFGPDCHRTGIAYVSFAQWVAVILVYTFVYHMMEPPMEYYEIVSEENEIEEELISNISRPLLHEAEWPGMVDKETAHSKTPFIARVFTSISGSSQNTFPDIDFSEEGGVAAGPSSPKSLRCLAEPKVVRRIRVVAEQTPIQHILQPPTIASLLALIVGMVPVFKDFVFGYDAPLSFITDSLDILAGAVVPSVMLILGGMLAEGPNDSALGTRTTIGIIVARLLVLPLIGIGVVALANKLHLLIEGDQMYRFVLLLQYTTPSAILLGAIASLRNYAVKEASALLFWQHICAVVSLSVYIVIYFKLVSYV >Ma08_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14267306:14269567:-1 gene:Ma08_g14670 transcript:Ma08_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMANGSDNLLSAVLPLLKLLCLTVIGLILAHPRIQIVPRATFKLLSKLVFALFLPCLIFVHLGQSVTLNDVLLWWFVPVNVLITTATGCFLGYIVALICRPPPQFFRFTIIMSGFGNTGNLPIAIVGSVCHGSDNPFGPDCHRTGIAYVSFAQWVAVILVYTFVYHMMEPPMEYYEIVSEENEIEEELISNISRPLLHEAEWPGMVDKETAHSKTPFIARVFTSISGSSQNTFPDIDFSEEGGVAAGPSSPKSLRCLAEPKVVRRIRVVAEQTPIQHILQPPTIASLLALIVGMVPVFKDFVFGYDAPLSFITDSLDILAGAVVPSVMLILGGMLAEGPNDSALGTRTTIGIIVARLLVLPLIGIGVVALANKLHLLIEGDQMYRFVLLLQYTTPSAILLGAIASLRNYAVKEASALLFWQHICAVVSLSVYIVIYFKLVSYV >Ma03_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11326792:11327588:1 gene:Ma03_g14170 transcript:Ma03_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPHFCNEQGAARFAAIHKVFGASNASKLLMHLPVSDRSEAAVTISYEAQARLQDPIYGCVAHIFALQRQVVNLQAQLVSLKAQSAQAFADGSLSQEDSLNHQLLDQLQLDREARMRHALVSDSPLSTERTMYHDNGLLDSSSSLLPSPHGVPHSYMGVDDGIFFGTDEDMENALVTQTVGRSSADHNMEDLRSVAFAHLRHV >Ma09_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19295804:19301354:1 gene:Ma09_g18910 transcript:Ma09_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGVPARRKSPHRPEPEAAPDHPGGDEHRPREIPAIRTPERAETTSRPGHDTEECRELKRQIEELIRRGHLGSYLQPDKELSPCPEGPIERHIDVITGGPASGGSSMAGGRAYARASRAEASKPEKGPEVTFPTGEPEPAEHDDALVISARIANMQVRRIMVDTGSSADILYWDAFQKLGLVKENMKPVCSTLTGFTGASISSLGVITLPLTLGVFPKAKTVMTSFLVVDLPTAYNAILGRPTLNKTRAVISTYYQTIKFPTHDGVGEVAGNSWESRRCYLTAVSLNKRARVQSPLEDPREGKKPTPHPEPKESTIDLPLIEGRPDQTVKIGSGLPEQEQRQLVGLLQANADIFAWTPANLAGVHPEVALHHLNISSDARPVKQRPRRQAPDRQLAIREEVNRLLATGFIEEARYPQWLSNVVLVKKRNGSWRMCVDYTSLNNACPKDCYPLPKIDQLVDATAGHARLSFMDAFSGYNQIRMAPEDQEHTAFLTEQGIYFFKVMPFGLKNAGATYQRTVNRMFAHQIGRNMEVYVDDMIVKSRTAEAHPSDLAETFDTLRKFGLRLNPAKCAFGVTSGKFLGFIIHERGIDANPEKIQAIINMQPPRTIRDLQRLNGRLVALSRFLSRSGDRCHSFFQALKDPKNFRWTAECERAFERVKLHLASLPRLALVSPGEKLSLYLAVSRHAVSSVLVKENSGDQLPVYYVSHMLSGPEERYPPIEKLALALILSARKLRPYFQAHPIEVITDQPLQLVLSKFDVAGRLLKWAVELGEHDIQYIPRTAIKAQSMADFIAELTPNTGEELEPPRDTWTLHVDGSANAKGAGAGLVLVTPDGRSIERSFRFGFRATNNEAEYEALLAGLQLALEMRVTDIRVITDSQLVARQLDGGYEARDPTMAKYLARVKSLAAKFAHFELSNVPRSENQRADTLAKLASGPAPWARPETEELPCRAIEVVATVAHGTSATWVQEMLRFKRDGTLPDDATTAQRLRRTQAWYTKEGGRLYKRSFSRPLLRCLEPSEAWTVLSDMHEGACEEHIGERALAHKVLRQGYYWPTMRQDAKAFVRRCSSCQEHARTAWRPTVLFTPVDCAWPFAQWGLDILGPLPPASGQRKYIIVGVDYFTRWVEAEPLATITESQVERFVWRNLITRFGLPQSIVTDNGPQFAGRKFQEFCSKHKIQLRFSSVAYPQANGLAEVTNRSIVDGLKRRVSATRSAWIDELPSVLWALRTTPKTPTGKSPYSLTFGTEAVLPSEVAVPTPRTTNYSEEASGEGLRSNLDLLEERRANAHQKALSYKRAVARVYNRSVRPRSIKLEDLVLRKIEVSHPTQVRGKLAPKWEGPYRVIGVSRPGTFRLATMDGDPVPRTWNIQNLRKYFV >Ma01_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10113039:10118213:1 gene:Ma01_g13800 transcript:Ma01_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSRELQAQLIPEWKDAFVDYRQLKKHVKKIKLSLLRSSFPSSSSSVDHHNGGGGFSLFDPVRAFAARFSAPRSDLPPIDEENLFEMVPVQSREDEVKEFLEKLEQELEKVNGFYTNKENEFCDRGEILSKQLQILVDLKQLLHEHRRRRHQRNAPPSPAGGSVTSLLSSASSFSVEGEPESPAAGTEERDSLSDEVIATLERNGVSFLGLGKAKAKKAGKLRTATSLRIDIPPTTPARAISMVWEDLVNSSRKEGSVGGDHVNRKKLLRAEKMIREAYVQLYRGHDLLTTYSSLNMEAFRKILKKFQKVSNQRRESATFLKKVKRSHFVSSDKVIKLADEVESIFTKHFAGSDRKKAMKFLRPQKPRESHTITFFVGLFTGSFVTLFVVYAILAHLCGIFSSSSSDEAGYMETVYPIFSMFALLSLHIFLYGCNIFAWRGTRINHNFIFEFSPNTVLKHRDAFLISASLMTAVVSALVVHLLLRSAGVSQRHVDAIPGALLLVFTALLLCPFNIFYRSTRYCFLRVMRNIAFSPLYKVLMVDFFMADQLTSQIPLLRHMELTTCYFMAAGFKVHPYETCTRSHQYKILAYIISFLPYYWRAMQCVRRYIEEGYDVNHLANAGKYVSAMVAAAAKLKYAVEPTPLWMVIVVITSTGATIYQLFWDFVKDWGLLDLSSKNLFLRDDLILKNKCVYYVSIVLNFVLRLAWIQSVMRLTMGQAEHRLMDFLLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFCELASDD >Ma03_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4872260:4872818:-1 gene:Ma03_g07100 transcript:Ma03_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLRSMRNRGC >Ma09_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4889921:4895318:-1 gene:Ma09_g07440 transcript:Ma09_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIVFTGLSLIPVRSGPLRRSANLHSFLPMSRHGFVAMSLSLNSNSRPDRTVAFSGVRTYVAAVEQSITTEAQKVEAPVVIVTGASRGIGKAIALTLGKAGCKVLVNYARSSKEAEEVSKEIDAYGGQAITFGGDVSKEADVESMIKTAVDTWGTVDILVNNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMLKKKKGRIINIASVVGLVGNIGQANYSAAKAGVIGFTKTVAKEYASRNINVNAVAPGFIASDMTAKLGEDIEKKILQTIPLARYGQPEEVAGLVEFLALNPASSYITGQVFTIDGGMVM >Ma02_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29010437:29010618:-1 gene:Ma02_g24340 transcript:Ma02_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACSHHIPDSCTLSAELHVTCEIREP >Ma05_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11641238:11654346:1 gene:Ma05_g15510 transcript:Ma05_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAEQDYDYSFKILLIGDAGVGKSCLIQSFMSHFVDNHPPTLGTDFQIKYLTVGDKKLKLTIWDTAGNERFRTLTTSYYRGAHGIIMVYDVTRPETFTHLTDTWTKEVEQHSTNQDCIKILAGNKVDKEKERKVTKEEASALANAKGYLFVECSAKTRQNVEKCFQDLAEKILQVPNLVENAKNTSKPKPKPTQTATPKPNGCCTIQ >mito12_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000023.1:109192:113220:-1 gene:mito12_g00010 transcript:mito12_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTPFNNSSLLIGTKLNLLPLPTASKFQVPYMLLVSMTGDSFDCLQFLSGLLNTLDLMYLLIVFLPLLGSSLAGFFGRFLGSEGTAIITTTLVSLSSIFSLIAFYEVAPGARFKSVEPVNHASRLYAWGRGLVVLPFLLIQPFLRFVMLIVVTSISSLVHLYSITYMHEDPHSPRFMCYLSIPTFFMPMLVTGDNSLQLFLGWEGVGLASYLLINFWFTRLQADKAATKAMPVNRVGDFGLALGISGRFTLFQTVDFSTIFACASAPRNSWISCNIRLNAYTLICILLFIGAVGKSAQIGSHTRSPDAMEARCSPLFEYPPTALIVITSAGAMTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSIFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPFTYAMMLIGSLSLIGFPFPTGFYSKDVILELAYTKYTFSGNFAFWLGSVSVLFTSYYSFRLLFLTFLVPTNSFGRDRFKCHDAPIPMAIPSILLAIGSLFVGYLAKV >Ma03_p18680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24231981:24237104:1 gene:Ma03_g18680 transcript:Ma03_t18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWSPEDADLLPARPPGDPVSDDDRSVAADSWSIKSDYGSTVDDDQRHADAVEGFPGCSFPAASDYSSDKDAPDANEVETSLMGSQSYWNSTYAEDLANLPEHGNSSEVWFGVEVMDILVKWTKNLCASICQGLDRSDDNSCKAEPGDTFRDLSSWRVLDIGTGNGLLLQELAKQGFHDLTGVDYSERAIELAKTLAVRGGFSYINFVVDDVLETKLGRSFQLVMDKGTLDAIGLHPDFPVKRMMYWDSVSHLVAPGGILVITLCNRTKDELLQEVEQFNQQRLGSQEENSSTESAVFQYLNHVQTYPTITLGGVDGSRITTVALVRL >Ma03_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24231981:24237104:1 gene:Ma03_g18680 transcript:Ma03_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRWSPEDADLLPARPPGDPVSDDDRSVAADSWSIKSDYGSTVDDDQRHADAVEGFPGCSFPAASDYSSDKDAPDANEVETSLMGSQSYWNSTYAEDLANLPEHGNSSEVWFGVEVMDILVKWTKNLCASICQGLDRSDDNSCKAEPGDTFRDLSSWRVLDIGTGNGLLLQELAKQGFHDLTGVDYSERAIELAKTLAVRGGFSYINFVVDDVLETKLGRSFQLVMDKGTLDAIGLHPDFPVKRMMYWDSVSHLVAPGGILVITLCNRTKDELLQEVEQFNQQRLGSQEENSSTESAVFQYLNHVQTYPTITLGGVDGSRITTVALVRL >Ma00_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45289583:45289756:-1 gene:Ma00_g05200 transcript:Ma00_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTLSSYARALRGSTLVTPPMQTAAATRTTVMELPRGSQTLACIDRFILGGQRRM >Ma08_p24790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37858887:37875673:-1 gene:Ma08_g24790 transcript:Ma08_t24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGKVVCVTGASGFIASWLVKLLLQRGYTVRASVRDPADQKKTQHLRALEGATERLLLYKANLLEEGSFDAVVEGCDGVFHTASPLYLALTNPQAEIIEPAVKGTLNVLASCKKSSVKKVVVTSSMAAVACNSKPRTPDVVVDETWFSSPEVCEQQKKWYVLSKTLAEEAGWKFSKENGMDIATINPGMVMGPLLQSTLNTSSAAILNLINGSSTFPNATYGWVNVQDVAMAHVLAFELPSASGRYCLVERVVHYSELLKIIHELYPSFQLPDKCADDKPFVPVYQVSKEKFKSLGLDYIPLETSIKETIESLKEKNFISF >Ma08_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37858887:37866906:-1 gene:Ma08_g24790 transcript:Ma08_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGKVVCVTGASGFIASWLVKFLLQRGYTVRASVRDPADQKKTQHLRALEGATERLLLFKANLSEEGSFDVVVEGCDGVFHTASPYYYAVTNPQAEIIEPAVKGTLNVLASCKKSSVKKVVVTSSMAAVACNSKPRTPDVVVDETWFSSPEVCEQQKKWYVLSKTLAEEAGWKFSKENGMDIATINPGMVMGPLLQSTLNTSSAAILNLINGSSTFPNATYGWVNVQDVAMAHVLAFELPSASGRYCLVERVVHYSELLKIIHELYPSFQLPDKCADDKPFVPVYQVSKEKFKSLGLDYIPLETSIKETIESLKEKNFISF >Ma09_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2335465:2341705:1 gene:Ma09_g03530 transcript:Ma09_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHASPAARSRSFCPRHPCTPRVLLASWVRRRITNTDSVALHPSLRACAVPCLPLPITHRFRLRSNRAMAREYEEALDCLSSLITRRTRADGSNKGDHFDLMFDYLKILELEDAIPELKVIHVAGTKGKGSTCTFTESILRCCGFRTGLFTSPHLIDVRERFRLDGVEVSEEKFLEYFWWCWHRLQEKTGDDVPMPAYFRFLALLAFKIFSAEQVDVAIMEVGLGGKFDATNVVQKPIVCGISSLGYDHMEILGNTLREIAGEKAGIFKKGVPAYTVPQPEEAMHALEDKAFQLGVPLQLASPLDTGLLKNQHLGLDGEHQYLNAGLAIALSSVWLKTTGNLKSMNIDEHSLPEQFVSGLSRARLEGRAQIVPDSLGQQQNSNLGGLTFYLDGAHSPESMEMCAKWYSHAIREDPSRFGERSHENHHMRQGGHPKSLGGKDHQQILLFNCMSVRDPQLLLPRLINTCDQHGVKFHKAIFVPNQSVYNKVTSLASPPTDPQQVDLSWQLTLQKAWENFMHSEQGSSCASVCEDSLVFPSLPLAIKWLRESVQQSRSVQVQVLVTGSLHLVGDVLRLMKN >Ma05_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24316378:24334519:1 gene:Ma05_g18650 transcript:Ma05_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLAVSFTGNYSEYFGYATDVDAVAFLMLVNDMIHGLYPEAVTIGEDVSGMPTFCIPVQDGGVGFEYRLHMAIPDKWIEMMKLKDEDWKMGEIVHNLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMALNRPATPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGDQHLPNGAVIPGNNYSYDKCRRMFDLGDADYLRYRGMHEFDQAMQHLEEQYGFMTSEHQYISRKDEGDKMIIFERGNLVFVFNFHWSNSYFNYQVGCLKPGKFKVVLDSDDKLFGGFNRIDHTAEYFSTEGLFDNRPRSFLVFAPSRTAVVYALSED >Ma00_p00390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1025241:1029940:-1 gene:Ma00_g00390 transcript:Ma00_t00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLRYDGDSKSLRIHAKEKIPLASKTLLQVHGELDTGTGTPSYLALIVRHFYPELSASMGFGIQLNKDEKPCYSLRGKKAFPVTASGLVGINLKGRFHFDKEYKEVPYFQIRENNWTLNADINGKWNVRFDL >Ma00_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1025241:1029940:-1 gene:Ma00_g00390 transcript:Ma00_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLRYDGDSKSLRIHAKEKIPLASKTLLQVHGELDTGTGTPSYLALIVRHFYPELSASMGFGIQLNKDEKPCYSLRGKKAFPVTASGLVGINLKGRFHFDKEYKEARGAVELAWSVLNFQKDQDVRFKIGFDICDQVPYFQIRENNWTLNADINGKWNVRFDL >Ma11_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22905490:22910282:-1 gene:Ma11_g17620 transcript:Ma11_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGSIIGTRLPSPTNFINMSSRCMTIRLASQDALSRCSVTYRSHILAKASPPSKVVLQKAGSKYQGLGCRCSASETVSCMTNDHTSQKSTQRKRLAVFVSGGGSNFKAIHEATKQGLVHGDITVLVTDKPGCGGAEYARDNLIPVVIFPGSKSSPDGVSAFELVATLRKFEVDFLLLAGYLKLIPIELVQAFRRSILNIHPSLLPAFGGKGFYGLKVHEAVIGSGARYSGPTVHFVDEQYDTGRILAQRVVPVLTDDTAEQLAARVLSQEHQVYVEVVTALCEDRIVWRDDGVPLIRSRDNSDKLY >Ma06_p25640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26031066:26032242:1 gene:Ma06_g25640 transcript:Ma06_t25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPFPAFISRRELFVVLPCFFFFLSSSLAASGGGSIRDLLRNYGLPGGLLPNSVESFAHDPSSGLLEVRLDYPCYARYGDGLAYFESEVRGNLSYGALSGVVGWSEEELFLWLPVKGIVVTDPTSGVILVDIGVAHKRLPVSVFEEPPECRPPGEEVAARVGLFGRREWFPQPR >Ma11_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26748703:26751901:1 gene:Ma11_g23380 transcript:Ma11_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAYGPSFILLFLKICQESIATCFSRIYKSNGYLKVSCNGGLNQMRAAICDMVTIARHLNLTLVIPELDKTSFWADPSDFGDIFNVNHFINSLRDEVKIIKALPKKFSSKIRTEPFTMPPVSWSSEKYYLKQILPLVRKHKVIHFNRTDARLANNGLPLRLQKLRCRINYEGLRFTPEIEALGDKLISILRRSGFFVVLHLRYEMDMLSFSGCTHGCSDKETEELTRMRYAFPWWKEKEIVSEKKRLEGLCPLTPEETALVLRALGFTRDSLIYIASGEIYGGERRMAALRASYPKIVRKEMLLSAEELRPFQNHSTQMAALDYLVSVASDVFVPTYDGNMAKVVEGHRRYAGFRGTIVLDRREIVELLDLLQNGKLSWDQFSTAVKEVHKNRMGQPTLRKVIPGRPKEEDYFYANPQECTGPSRISSIESREFDI >Ma06_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1427719:1430445:1 gene:Ma06_g01750 transcript:Ma06_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAMVIGLAVSLLVTCGAVLRWNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPSFMKNQRLRYGSLFKSHILGCPTVVCMDPELNRFILMNEGKGFAPGYPQSMLDILGRSNIAAVRGDMHKTMRSAMLGIVSPPVIRDQLLPKIDEFMRSYIDNWGGRVIDIQEKTKEMALMSALKQIASIETGPVSEALKAEIFRLVLGTLSLPINIPGTNYHQGFKARKRLVYILRQLIEERRVSGCYHDDMLDSLLKIDDSSRGKLDDEQIIDLIIALVYSGYETVSTTSMMAVKYLHDHPGVLVELRNEHLEIRKGKSREDAIDWNDYKSMRFTRAVILETLRMATVVNGVLRKTTQDVAMKGFTIPKGWRIYVYTREINYDPLMYPQPLSFNPWRWLGKNMDSHQHFMLFGGGARMCPGKELGTVEIATFLHYFVTRYRWEEVGGDNILKFPRVEAPNGLHIRVWDD >Ma02_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17336153:17350378:1 gene:Ma02_g06320 transcript:Ma02_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEIALIIFSSRGKLFEFASSEITKTIERYQSYQYASQLPADDQETQARNTYQEISKLKAKYESLQRSHRNLLGEDLGPLSLKELQQLERQLESALSQARQRRTQLMLDHMEELQKRERHLEDINRQLKFKLEAETSSLRAVQGSWEPNAMIESDPFSFRLQPNTMECEPTLQMYHHFVPPEAATPGNTGGENTYMLGWGL >Ma09_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5907864:5909178:-1 gene:Ma09_g08880 transcript:Ma09_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRTALRRLLPSVLILVLLTPGSDGDDLEKQWCIADEQTPDDILQAALDWACGPGGADCKMIQPNKPCYLPNTIKDHASYAFNSYWQRYKNHGGSCYFGAAAMVSDLNPSHGACQIESLP >Ma06_p28820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30382987:30396889:1 gene:Ma06_g28820 transcript:Ma06_t28820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEGAAGRRCLPTEVVAAEAVLAAVDGAVAVVAFLQLLRIHLRNRQLGWTRQKIFHIMIGSSNIGYLAYFISTLVATCEGWKCWSHGCGFVLMVCPQILFLAAFLLLVSFWVDLCHQANDEEEDDDEHGCNEALLEKGKHKQNHLHVDSCRRCCFPRSVHVGSRQKFVILVIALTFVSMIAFAVLIWIGRGKNPIDSSLVARVYLYIFSVAMLLLGGVLACYGVLLFSKMSNVRSEMASTEMWKVASLAAVTVICFTSSAVLSLVTNIPLQVLSYWHLAPSDCLSSSAFIFLYYFIGSSVPSGFVLWIMREMPPRLVADSDRPAPSTIVTFIRERSTPTQNPQWRASVASSQNKGLKASPI >Ma06_p28820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30382981:30397115:1 gene:Ma06_g28820 transcript:Ma06_t28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEGAAGRRCLPTEVVAAEAVLAAVDGAVAVVAFLQLLRIHLRNRQLGWTRQKIFHIMIGSSNIGYLAYFISTLVATCEGWKCWSHGCGFVLMVCPQILFLAAFLLLVSFWVDLCHQANDEEEDDDEHGCNEALLEKGKHKQNHLHVDSCRRCCFPRSVHVGSRQKFVILVIALTFVSMIAFAVLIWIGRGKNPIDSSLVARVYLYIFSVAMLLLGGVLACYGVLLFSKMSNVRSEMASTEMWKVASLAAVTVICFTSSAVLSLVTNIPLQVLSYWHLAPSDCLSSSAFIFLYYFIGSSVPSGFVLWIMREMPPRLVADSDRPAPSTIVTFIRERSTPTQNPQWRASVASSQNKTCRTPSYIMMSKAIGERCINWFR >Ma05_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7916091:7925912:-1 gene:Ma05_g10870 transcript:Ma05_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPGDIVVASAARKNPSEGCSRLFLRARESPWGLKAAMVLFHVFFAGVLFLLDRDLIRRTKEKPWYTGTYLVIFGATLAQYFFTSGSSPGYVIDAMRAANGMKAIFIESPTVLKQSISRNGNMIPSINQSQVGHDNSQMNSSSWLKFVADLYPPGLTNRNWTCPYCNVIQPPRSKHCHDCDKCVLQFDHHCLWLGTCIGKKNHCRFWWYIFEETILCIWTVILYITFLHSKDTKAWWKDFIMIVLLVPLAFCVIFLILLLLFHSYLALTNQTTYETVKRRRILYLRGIPAGVHPFSNGIFRNLRAFCCSRVSIYDMEAVPPMEELEDRARAYTCVDVVSCRCC >Ma10_p29340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35959403:35966853:1 gene:Ma10_g29340 transcript:Ma10_t29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFHNAPVTKTFVFASGFLTVLLGLQGRSLKLGLSYQDIFQKFQLWKFLASIFSFSSTPEMIFGLYLLYYFRVFERQIGSNKHTIFILFSVILSLLLEILALAYLKDPLSNLASGPYGLIFAAFMPFYFDIPVSSRFRIFGINFTDKSFIYLAGLQLLFSSWKRSLIPGLCGLLAGSLYRLNAFGIRKIKFPDALLSVFSRLSWPSSSSSPTSSNGNIVGNVHAYPDQMEGGYPSAPRIPVMEPSETSVATLVSMGFDRDSAIQALVHARNDINTATNMLLESQSR >Ma03_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5402989:5410329:-1 gene:Ma03_g07690 transcript:Ma03_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLASSPDKSDLKPSFRKPTSDAASRKYRRHSPVGRSGSSSSGGSPRRERSYSPLPPKEGRVRTSADQHRKDAGRGSERDSTRNRSSRAHDSQKHSERHLYGNSQDYRRHDDYSRHNRHADEDIRNYQRSSRSGRELRNDSRSDYTKGERISDRYRDTWHHSKDKGEISEHKNKNKGREPDIGNNKGDLTRDHDKISEQDQRKDKEPRNYKKDYRRSPGSHKNDQATSHEEYMGFDKDVTQERDTGGPQRRENEKGTKKDFGGHEDLVLKRKHTEREVEKPRQKHSREREEFQTEKKGFASHREREGGNEKNSDKASDLIDKKNSAELQVGHSELGKETQEEVNTKNADIINDVNSAKVAALKAAELVNRNLVGFGGVGFLSTDQKKKLLWGNKKNTSTEESSSRWDSHLFPDQDRQEKFNRLMGVKGTVASECRPDNNDGSVHAKKQEELDTDLEKQYTAGLRRRDGRTVGLGL >Ma06_p25930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26538047:26550742:-1 gene:Ma06_g25930 transcript:Ma06_t25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPHGHRWGPCQHNTACRIQAVFMSVAERVPGSPDGKNRQEVRKSKGELGADRHQGSPFTPEIQDQAIPPHFRLPSLDAYDGATDPADHVAAFRSQMALFGTSDALMCRAFPTTLRGPTRAWYSGLKPGTIASFDQLAKDFELNFLAYARPKSSMALLLGLNQKEDEPLSHFVNRFTTQIRGLSDAHPSLLMQAFMIGLRPSRFFWSLVERPPVAVPEMLQRASQFIAAETWMVGRREEHRKVKSEPPRQQQPTASRRKLDRPDPRPPLPALNSSRTEIFLHERGKGLLRDPHPMKNPRELADRSKYCQFHRQHGHDTEQCYELKRQIEKLILRAHLGQYLRPSKEESPRPEGPVERHIDVIAEGPASGGSSMSVRKAYARAAPDEDLGRGPEPEITFPTGATERPHHDDALVISARVANAQVRRIMVDTGSSTDILYFGAFQKLGLARESLSPMCSALTGFTGDSISPLGAITLPLTLGTPPKSKTVMTTFLVVDLPTAYKAILGRPTLNKVRAAVSTYYRTVKFPTREGVGEVTGSPQESRRCYLTSVSLSKRARGGAPLEDPREAKNPAPHPEPRGSTVDVPLREARPDQTVKVGSELPEQERE >Ma07_p23410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31067090:31073613:1 gene:Ma07_g23410 transcript:Ma07_t23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYTQSFSPARAVSSDINSTADIDSRYLAELLAEHQKLGPFMQVLPICNHLLNQEIIRVSGIVPNQWFGDCNRLQHRSPSPMATPFLNSDAGGTDFGVWSGYPQEGLGFPQRFTMDQRGATGPSSCIIKKILRLEVPVNAYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDPVQEEKLRGRPGYEHLNDPLHILIEAELPANVIETRLRHAQEVIEELLKPVDESQDYYKRQQLRELALLNSSLRDDSPHPSGSASPFNSGIRGTKTGQ >Ma07_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31067090:31073613:1 gene:Ma07_g23410 transcript:Ma07_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYTQSFSPARAVSSDINSTADIDSRYLAELLAEHQKLGPFMQVLPICNHLLNQEIIRVSGIVPNQWFGDCNRLQHRSPSPMATPFLNSDAGGTDFGVWSGYPQEKGLGFPQRFTMDQRGATGPSSCIIKKILRLEVPVNAYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDPVQEEKLRGRPGYEHLNDPLHILIEAELPANVIETRLRHAQEVIEELLKPVDESQDYYKRQQLRELALLNSSLRDDSPHPSGSASPFNSGIRGTKTGQ >Ma10_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4421122:4421766:-1 gene:Ma10_g01300 transcript:Ma10_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILTSSLARNIEPSISLLREHGISDERIAHMVVTMPGNFGRIDKLKEVIKYIEELGVPRDSGVYTYALHVVVNVSRSKFDATSVTLMSFGWSQSDINALFRKCPNIWSLSKKNICDKMTFLTKEAGCELTCISHYPKLLKYSLEKRLRPRYEVLKFLNRNKLLDREHNLPSVMLPNEEKFRKKFLFLLKEKFIAQYDSYVVAVQGKHHVVAEN >Ma07_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27715866:27722564:-1 gene:Ma07_g19800 transcript:Ma07_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAYLSMGEAHRRITDYLSRFSDAVSSQDAAVLSPLLAVSSNSASLQSLADALNVFQDSNRLVGQLERSSQLAEIVSPLLRCIQSYRLGRFADAYVVLEKAANAFLQEFRNWETPWAMEALYTLALEIRLLAEKADRELASSGKNPEKLQGAGSFLMRVFGALAGKGPKRAGALYVACQLFKVYFKLGTVHLCRSVIRSIETARIFDFEEFPVKDKVTYMYYTGRLEVYNENFLVADQKLTYALMHCSPRKEANKRMILKYLIPVKLSIGILPKQWLIEKYNLIEYGEVVNAIKKGDLRLLRQALREHEDRFLRSGVYLVLEKLELLVYQRLIKKIYVLQKQKDPSKAHQVKLEIIVKALKWLELDMDIDEVECIVAILIYKNLIKGYIAHKSKVVVLSKQDPFPKLNGKPIGS >Ma06_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21921964:21923878:1 gene:Ma06_g23760 transcript:Ma06_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKLLLHLPRVSSAFSTLPTSAKWRADLKKRSLADQVSSLLLHRNDWIPLLLSKPSLLPKPATPDDFVRRILSNTRSHPRISLQFFAWAQSNLGFRPGLRSLSAMVLTLVESCLLDDARRLLDPVLASLPSQAVIDSLASASHSKDSRSRVLNFVMESYCRSDLIAGSLESFRKIVAFGCQITTCSCNALLDALCTSGGDGIGMARCCYAAAMRNGATADSRTWSQLVRLLCMEGKLERAVVLLDSGGPGVSGYDLVINCYCKKGDFEAAIGLLTRMHEINLKPRFRTYSAILDGACRHGDGRLMAFMLRVMVVHGFLPTVPCLEYDRIINRFCEMEKSYAAKMLFDRARIQNIELGKGVYVSLLKALSNEGRVQHAMELYVIMSEKGIKVNPSSCSVFLTSICNGAPSREVDQVLEDAIKRGYAPKISDLSKYIAAHCSKAMWKEANVLIDAAVENGIVLDAFCCDKLVKYYCTNRLVDLAMELHYRLKKLGGYLDLCSYNLLLRALFGERRIEEAVQVFDYMQEKNVLDSHSFVIMICELCQIKDMRKAMNLHDEMLKLGYKPDDASYKSLISHFS >Ma08_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37775471:37782439:-1 gene:Ma08_g24690 transcript:Ma08_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSSVSVFNPALALVHFTAHGRLSITRSKLVSVGCQNTYGAKSVTYLKRELSRLNRRRMVSGVPRSTGSSSSGGNPDDLKPSDKEEVPFGYTRKDVLLIGLGVTLAGIGLKSGLEFAGVDPLQAGNVVQLFIVLGLTVGWISTYMFRVANKDMTYAQQLRDYEKKVMEKRLEGLTEAELEALLEQVEEEKRRLASGEQIN >Ma02_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20891808:20893109:-1 gene:Ma02_g12020 transcript:Ma02_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLSSLLSTIFSGGDGHRSYNYRSYFYNTSNSSSNTHYHTEDNNMINMRITKGRPLSLQTVELKVRMCCTGCERIVKHALQKLRGIDSVEVDLDLEKVTVMGYVDRNKVLKEVRRSGKKAEFWPNPDLPLYFTSQKDYFYDEESFRDSYNYWRHGYNGDNHGHIPVPQRGEDPISNLFNDDDVNACSVM >Ma09_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8549670:8550631:-1 gene:Ma09_g12730 transcript:Ma09_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSSTAGVRASAASSPAASVPVSSSLLRLPSARRLRLPSLKLSRSRTHRGAAGAAMMDTAASSYANALSEVAKSNGTLEATVADMEKVDRLFADPAVQSFFANPTVAPEKKREILAEISGSSELQPHTVNFLNILVDMSRIDIIAEIVKEFDACYNHITGTELAVVTSVVDMGEDDVAQIAQTVKRLTGAKKVRIKAVLDPSLIAGFTIRYGSSGSKFIDMSVKKQLDEIASQLDFSSITLA >Ma04_p32760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32685513:32688454:1 gene:Ma04_g32760 transcript:Ma04_t32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNVYVLFFLLAFLCIQLIKPNVCDGALTSGCIPAERSALLEFKRGLKDPTNRLSSWVGEDCCKWEGVACSNHTGHVVKLDLQNPHPFSDFSDEPYNNWTLGGELRPSLLGLKHLKYLDLSMNNFGGISIPEFMGSFHQLQYLNLSRAGLGGVLPHQLGNLSDLQYLDLYNDLDPNFVVRVREFSIGDALWISHLSSLKHLNLKSVNFQNGTHWLEALNMLPSIVEIYLSLCEIPSVPLSLPHVNFTSLSVLDLSYNFISSTIPSWLFNISGLEHLDLSDNLFQGNIPPAFGNLASLKGLNLANNFRLQGGIPTSFKNLCKLQNLILSGINISKDLLELDEIFSGCIKMSLEVLSLARTNISGQLPEWLFQLASLQELYLHRNQLNGTIPESLGQLSQLVVLDLWYNHLEGVMSEAHFGNLTELKYLTLSSNSLALKVKSNWLPPFRLESLWMGSCKLGPEFPAWLQSQINISEIVMSNASIIDAMPNWFWSLISTAVYVSVSGNQISGHVPNLLHLNNLYGLDLSSNYFEGPLPYFPPGLSLLDLSNNSFSGTISLAIIMNMPNLVYLSLSENNLSGEIPFSICQLQVLWILDLSKNMLSGELPNCWNNSSPIEVMDFSRNNISGVIPESICSLVSLQSLHLSNNSLSGELPLSLKDCGKLILLDAGHNDLKGEIQTWIGESLTSLRFLNLRSNMLAGDVPPNLSRLSALQFLDLADNELSGTIPRSFGNFTAMKVIENFSSWRTGQIRYKEQMFITTKGNTRAYDVSLSLINILDLSDNNLFGGVPEELTSLFGLFSLNLSGNHFTGEIIENISKLQQLESLDLSRNNFSGTIPSSLAALTSLAHLNLSYNNLSGEIPLGNQLLTFNDPSIYIGNPGLCGFPLNQSCKDNETAQGRSNADDRDDNEMIWFYMSMAPGFVVGFWAVWGTLILNKNWNLYYFRFIDNILDKVYVFTVLKVSRIRKRCCFQQG >Ma04_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26909596:26913239:-1 gene:Ma04_g25050 transcript:Ma04_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRERRRSSSSAFFFCLACLLCFLGIAFGSGDAGDLELLMSFKAAVANPQLLPSWDHLGGGPCSFSGVICSPGGRVAGVVLQGVSLGADFRAVSSSILALGGLESLSLRAVNLTGALDGGRCGGRLSDIDLSGNGLWGSLAEISSLAAACPGLRSLNLSGNSVGVLPAAAVKDGHVVVGFEIETLDLSFNKVSREDELRWLLSNLGSVRRLDLAGNRFSDGIPAIPNCSYLQHLDLSMSGLSGEISVGVFSGCRSLTYLNLSSNHLTGTLPSDLSSCTSLVSLSLSNNNFSGELPLETLISMPHLKTLELAFNNLTGSLGDLITKMPMLEVLDLSSNSLTGSIPSELCPSPIFGLETLYLQNNQLTGRIPESLSNCTKLVSLDVSLNYIGGAIPSTLGLLPSLCDLIMWQNLLEGEIPPELSNIRTLKNLILDNNDLTGSIPANLVNCTSLNWLSLSSNRLSGLIPSWIGQLRNLAILKLGNNSFSGSIPPDLGDCRSLIWLDLSNNQLNGSIPPALADQSGKIAVGLVTGEPYVYLKNDGTSGCRGTGNLLEFGGIRPEDLDRLPSLQFCNFTRVYKGITQYTFNNNGSMLFLDLSYNQLSGEIPKKFGSMYHLLILNLGHNMLSGPIPPELGSLRYAAGLDLSHNALEGPIPPSFSGLAMLSEIDLSNNKLNGSIPELGQLATFPRYRYENNSGLCGFPLPSCKDLAGPKSSTQHGRSHHGQASLAGSIAMGLLFSLFCIFGLAIIIIETKKRRKEKDNSNCSRDIYFDSRSPSNTTSSNWKLTATKDALAINLSTFEMPLKNLSFVDLVEATNGFHNDFLIGSGGFGDVYRAQLKDGSVVAIKKLKHVSGQGDREFTAEMETIGKIKHCNLVSLLGYCKVAEERILVYEYMKYGSLEDVLHDCNKVKIKLNWAARRIIALGAARGLAFLHHDCIPHIIHRDMKSSNVLLDDSLEARVSDFGMARQMSAVDTHLSVSALAGTPGYVPPEYYQSFRCTTNGDVYSYGVVLLELLTGRRSTGSTDFGDNNLVGWVKQHSKLRISDVFDPELLQEDPHLELELLEHLKIACACLDDRPLRRPTMLEVMTMLKSIQAGSTVNTMYSAPAASNGGCCEGDMTLTDGREAKE >Ma04_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10445842:10453263:-1 gene:Ma04_g13780 transcript:Ma04_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRIGGPSAPPVRRDPYEVLCVSKDSSDQDIKAAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDAAGFEAFESEGIDMEIDLSSLGTVNTMFAALFSKLGVPIKTTISATVLEEALSGTVTVKPLPLGTSVTEKVDKQSAHFFGVTINDQQAQAGIVVRVTSAIQSKFKLLYFEQEANGGYDLALQEDSEKIGKVTSAAMYFLHFQVYRMDSVVNTLAMARDPEAAFFKRLEGLQPCEASELKPGTHIFAVYGDNFFKSATYTIEALCAKSYEDTTEKLKEIESKILAKRDALRQFEIEYRKALACFQEVTNKYTQEKQSVDELLKQRDNILSSFTIHRSVINSSGDANSSCKTPDEDIRFGSPNGSLDVKNKLNKKKWFNLNLNHSDRKG >Ma04_p13780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10446297:10453263:-1 gene:Ma04_g13780 transcript:Ma04_t13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRIGGPSAPPVRRDPYEVLCVSKDSSDQDIKAAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDAAGFEAFESEGIDMEIDLSSLGTVNTMFAALFSKLGVPIKTTISATVLEEALSGTVTVKPLPLGTSVTEKVDKQSAHFFGVTINDQQAQAGIVVRVTSAIQSKFKLLYFEQEANGGYDLALQEDSEKIGKVTSAAMYFLHFQVYRMDSVVNTLAMARDPEAAFFKRLEGLQPCEASELKPGTHIFAVYGTCMFSGGHKQVYPRKAICRRAVETKR >Ma10_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24216569:24218071:1 gene:Ma10_g10140 transcript:Ma10_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLKVKVLRGVNLAYRDATGSDPYVVIRMGHQKLKTSVKKHNVNPVWNEDLTLSVSEPIQPITIKVYDKDTFTPDDKMGDAEIDIQAFVEAVKMNLSDLPDGTIITTVKPNRKNCFADESPIAWKDGRVVQDVILRLRNVESGELELQLLWTSIPGAPGF >Ma01_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3800465:3804628:1 gene:Ma01_g05390 transcript:Ma01_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLEAQTLASRRGPCAPPLPPPESRPPADASRRRLPRRHPDRRRGRGESDGSRNLGRREGEEGGAEECRHRDAGESVRRRGSRRPQLASGLHGGAPGVHGAVAASPPDKKFWEAGGNCNLAIAASRLGLSCFTLGHVGDEIYGNFLLDVLWNENIRYVGMNQNIDDTASIAAYETLLCWVLVDPFQKHRFWRFQSYSNNTPISSHIESGAIDETIYRAKWTYYHQLRFKHLIPCESKSMLYFILPYITTLTSLVILSDTIAGKSSMVSSCKVLKLRLNQSCRVSNRDQEPNSGRTGID >Ma01_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3864761:3866867:1 gene:Ma01_g05480 transcript:Ma01_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDEKQSEKRYISSEELRLHNTPSDLWISIQGKVYDATHWVKDHPGGELPLFNLAGQDVTDAFVAYHPGTAWSLLDRLPLVGYLSDYRVSDVSKDYRRLITDISKKGLFDKKGHITFIVLCHMCLMFAAVVYGVVCSPSVWVHLGCAVVLGFLWMQSGFLGHDSGHYRILSGPLVNRIMQVVTGNCLAGISIEWWKRNHNAHHIACNSLEFDPDVQHIPVLAVSSELFRGLTSYFYERKMSFDGVARLLVSYQHWTFYPVMCVARLNLFAQSVLLLLSNKRVQGRSMELVGLGVFWVWFPLLVSYLPSWGERVMFVVASFAVTGIQHVQFCLNHFSSSVYVGPPRGNDWFEKQTMGTLDITCEPWMDWFHGGLQFQVEHHLFPRLPRCQLRRIAPLVRELCKKHGLPYDNFSFWEANVRTIRTLRTAALQARDLANPVPKNLVWEAVNTHG >Ma06_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3085078:3085803:1 gene:Ma06_g04260 transcript:Ma06_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSSSSSSQATYLQPYPPQFRLRHTHDRVRSSSSSPACPSCLPGLRFREKLLYLENDLGVDSSRASASALALNPSLRSAPPSALRASADSLHSFGLVPPTSPASSPWTPPCSPATPAPTPVPVFRFLLGPVAIPLPDLRKAVGRCPRLLVSSVSDELRPALHFLRRRTTLLLVSSVEDALLPKLDYLRGLGFSHEETRSMVLRSPGLLTFSIENNFRPKVEFLIHDMGRDLAELKDFPQ >Ma07_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3149662:3150842:-1 gene:Ma07_g04180 transcript:Ma07_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKVGRPSRFRKVCVFCGSSPGKRNCYQDAAVELGKELVARKVGLVYGGGSVGLMGLVSEAVHRGGGHVIGIIPRTLMCKEITGETIGEVRPVASMHQRKAEMARYSDAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGYYDSLLAFIDKAVDDGFIQPIQRRLVVSASNAGDLVQKLEEYEPVQDDVVARLRWEMEQVASRERSP >Ma07_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7536713:7537843:-1 gene:Ma07_g10090 transcript:Ma07_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNLIALGAIILWWCAPAAVCGEDGIVDGDVTIILPCLENLIACQENLQQPVASSACCSPMAYLFEHNSICMCSLFFNEELLHSFNVTQEQIFDLTIRCGISVAANYCSKYIDDDDVKTALSPQALATLAAPSPTNGTTQ >Ma04_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2196679:2204543:-1 gene:Ma04_g02620 transcript:Ma04_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFSAVAVPIKSGRSAVTILRRLLASARPLHFLAQSQSPRRGLCQVAHAVKPVVVDSTLKGISDRNIAEAVNNILEMARRATTRREVLHTDFLTPPVVKESILALEKLADVKAVSQGGYPQAERCRLSVGHPDDMPTDPDVVAALSITGNFTFDACSHGDFLGAILGTGIVREKVGDILLQGEKGSQVIVVPELTDFLMSTLDKVGNISVTCRPIPLLALEYEPPKTKTFRTVESSLRVDALASAGFKISRSKLVDLISNGDVRVNWSPVMKNGTTLKTGDVVSVSGKGRLKIGEITTTRKGKYAIELICYL >Ma07_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4053298:4058689:-1 gene:Ma07_g05600 transcript:Ma07_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREKPFHLPFFAPSSQILRLPSSSMAAAARRLSAASLPLRHHHLLLRPFASSASGQPPIAVETSVPFTGHKIEPPSRLVDTTPSELLSFFRDMTLMRRMEIAADSLYKSKLIRGFCHLYDGQEAVAIGLEAAITKKDAIITAYRDHCIFLARGGSLVESYAELMGRRSGCSKGKGGSMHFYKKDSGFFGGHGIVGAQVPLGCGLAFAQKYTKEGTVSFALYGDGAANQGQLFEALNISALWDLPVILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEYALQNGPIILEMDTYRYHGHSMSDPGSTYRTRDEITGVRQERDPIERIRKLILSNEVATASDLKDIEKEVRKEVDDAIAQAKEIPMPDPSELFTNVYVKGFGAEVFGADRKEVKAVLP >Ma05_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6318594:6319052:1 gene:Ma05_g08560 transcript:Ma05_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHEPAIGSPLTALDRLEPVYAPPVRTAASSAEEERRRRRMISNRESARRSRLRKQRQLEGLRLEANRLGSQNRGLADRLGALSYYAALFRRENARLRDDSAALRRRLDDIRRSALWWRLVRMPQMPSADSADVGGRYVPVNDPILASLMV >Ma05_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10032192:10033447:1 gene:Ma05_g13810 transcript:Ma05_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASIMFQCPISMEPMEDPVTIATGISYDRECIEKWLFVYKQSTCPVTMRRLPNSDLIPNHTLRRLMSSFREAAGGGPAKPPPRLPDVIDHDELVSLLKNVQTGPFRVTYLRKLRALVDKDVELQKDLIRLGGAQVLGRIMASHGVVEYWDLDDFRDRDEAVGVLALLPLSDEATVELLWKPDCVRSMMEILQSGTAEAQLRTMSILTKASKTNNEWTNTANLLLVGRTARDRRDLEEEPPEGDRSRHDSRLGRDSDGRKCEKVLLLLTRLCKCPEGRSAMADHGLGVAAVSEKILRVSRLATKLGVKILWLMSSNRPTEQLLEEMTVVGSVAKLLALSHIDGQSSTKKRAMRMMKLHGAAWRRYPCVPSDLNDFLRSSRFSVNFVEKAEAGEV >Ma08_p30550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41772011:41776279:1 gene:Ma08_g30550 transcript:Ma08_t30550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLFQFGRKAWFLVRVLSGYEERRIRAYRLHLQKRIEQAQARKADLRTIPEQVILSEVRRMVEQMQALNRQLVETEAAIEEYFKPIDKSAEIIMNMQLQKDEKQAKEMLKVMQEQAMIQREMEQKKLEVNSTNVSQPAPEKESMPSNQEHLK >Ma08_p30550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41772051:41776279:1 gene:Ma08_g30550 transcript:Ma08_t30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLFQFGRKAWFLVRVLSGYEERRIRAYRLHLQKRIEQAQARKADLRTIPEQVILSEVRRMVEQMQALNRQLVETEAAIEEYFKPIDKSAEIIMNMQLQKDEKQAKEMLKVMQEQAMIQREMEQKKLEVNSTNVSQPAPEKESMPSNQEHLK >Ma05_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24627955:24628077:1 gene:Ma05_g18730 transcript:Ma05_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDNGIASTTRYVNSLGFQKNTPLPACTELLKSYEQYEV >Ma01_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10060623:10063732:1 gene:Ma01_g13730 transcript:Ma01_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRPL28 [Source:Projected from Arabidopsis thaliana (AT2G33450) UniProtKB/TrEMBL;Acc:A0A178VYG9] MAATLPGHLAVCFGRTYSSLPPPSSAAKLRVSMTVSGIASSVSRPTSMRALRFGRSQLVGSPIVTPAVVTSQPSLPLPTARVHPVVARRVCPFTGKKANRANKVSFSNHKTKKLQFVNLQYKRVWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGIDLRKE >Ma03_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23795865:23797339:1 gene:Ma03_g18210 transcript:Ma03_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGREEEMPTPMASACVGDHGHNSSIHDTPPIHHSTNGPLPPLSIVTTEDHHHHGKKGVVVKYRECHKNHAASIGGSATDGCGEFMPSGEEGTPEALKCSACGCHRNFHRKETEGEPSCDCFHPFRGRKVMMGQKGFLVSGSDAFGYSPASNSLVPRVVMPLGAMQTSESDEMEGVGGMVPMPAMVKKRFRTRFTTEQKEKMLSFAEKVGWRLQKQEESVVQQFCQETGVKRRVLKVWMHNNKHNLAGKISLQLE >Ma10_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32642587:32645473:-1 gene:Ma10_g23870 transcript:Ma10_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03380, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03380) UniProtKB/Swiss-Prot;Acc:Q9ZQ74] MRSFHASKRNACLFPSPKTKPCAALARPLNPSDHHSRRSLSTHFIKQPPDAPDRPHSPSTFLPLLSSCPDIAALRRIHGLILVHGLHRHLPCQTKLFSSYGSLGDIESARMVFDRIPDPDLYSWRVMLRWYVLNKQYADAIRCYLQMRYRFRELDNTVFSLVLKACVKLLDLDEGRKLHGHTAKVGGPDSFVLNVLIDMYAKCGDMDSSRRLFDGLEDRNVVSWTSMISAYAQNDCAAEAVLLFNRMQEEDVELNEYTVGSMFTACSMLDSLHQGKWVHGRVIKHGMCMNSFVGSALLDMYVKCGEVADARSVFDELDDVDLISWTAMIVGYTQRRCPLEALKLFSDTKWVAMVPNSVTIASVLSASAQLRDLESGNSIHALGIKLGIAECSVVMNALVDMYAKCCMLEEANSIFKRVYRKDVVTWNAMVAGYSQNHQGQEALTLFNQMRSDGCSPDAVTVVCVLSSCACLGDIHLGSSLHAYAIKFGFLSNTHVGTTLINFYNKYGDVTLANRVFDEMSNRNAVTWCAMMCGYGMQGDSAGSIDLFRRMVEKDLQPNEVTFTSILSTCSHTGMVDEGREYFDSMSKHYNISPSMRHYACMVDMLARAGKIEEALEFIDRMPVQADIDVWGALLHGCRMHSRFELGEVAVKRMMELLPHTSDYYVLMSNLYASDGRWNEAVQIRKLMKERGLVKLPGCSSLGMNNP >Ma10_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26577792:26581289:1 gene:Ma10_g13990 transcript:Ma10_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEIESQHHHHHHHHHQQHLPPPLQPQRRPPSSTCDLHPGETVTGFCASCLRERLAGLETPAATSGRKSTSALRSVFHKVSSSTAPAAGPSFLRRSKSFSFSRGGSGGDGLSAQRPPASALEPQRKSCDVRGRSTLWSLFHQDDRHRVSQNPFVPPSASASTTEAAAAASTGGAIDLECGNLGHPGLSVVLPVPETRKEEGDSGDEIRPVDPVVVVVGTSGEVNEETQEEEANEDEAELKTMKDHIDLDSLQQAKKPPSKDLKEIASNFWLAASVFSKKLQKWRRRQKLKKQGGETAMPADKPPKTSRRFRDTQSEVAVDASGRRSCDTDPRFSLDAGRMSFDDPRFSWDEPRASWDGYLTGGRSVFPRLPPILSVVEDAPAPAVPRSDYLIPVEEDIAIPGGSVQTRDYYLDSSSRRRRSLDRSSSMREQAVEVNELKPVPNGKVSPANGMDFLHFHNGTLLDRDIKDWSSNSLRDDYSGSFESAFRDPHRGAAAKKTRRWSKAWSIWGFIQRRNGGRGEDNVVENSLSESWPELQSRGFDSRMLRSNSSRSSFSGNAGYWGMRKNSIKSNGNHPKRGDDFVLERNRSARYSPSHVDSGMLRFYLTPMRNSRRNGVSASSGRQIPSRHFTRSMLGLH >Ma06_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5368629:5377464:1 gene:Ma06_g07560 transcript:Ma06_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLLRHHHRRRLLSTCTRSLKWLSRSISLNPTGRPQQTLASSVSRSGTALHSGDFTTARLLPAAAGEGRFFVAGHSRPRTRIPAAIGHVVDSALCTTLARDGTRVRTVEHLLSALEACGVDNCRIEIDGGDEVPLLDGSAKEWVEAIKQVGLCVAEDQHGYTLDKLVPELHEPLYLWHGSSFLIALPSQKICITYGIDFPKVPSIGCQWFSSFMDESTYTKEIASSRTFCVYEEVEGMRRAGLCKGGSADNAIVCSITGGWLNPPLRFFDEPCRHKVLDLVGDFSLFAQNGNQGLPIAHIIAYKAGHALHTDFVRLLSRSSTELRERLLR >Ma08_p04380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3045655:3048831:1 gene:Ma08_g04380 transcript:Ma08_t04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSARAGLQFPVGRIHRQLKLRIQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Ma08_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3045686:3048831:1 gene:Ma08_g04380 transcript:Ma08_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSARAGLQFPVGRIHRQLKLRIQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Ma04_p25210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27017472:27019416:-1 gene:Ma04_g25210 transcript:Ma04_t25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLERQSMKQAKDHESMVQELEKVKLELSRLKLDVASASEAKADAEKEATASALRAVCVLHSVEELRKQIDESDEEHALVELARIEAEREHREIEARRVAEAAEFSNRVDAARKTFDDLNQETIHHEELQMKLNITQSSISLLQAELESVRAKDNNKKKKKKEEQESSWRSSSLDAAKAELLAAKEELDLVKEEGFKFMNSMDLIRKELDRIAQETRQLEKLEKKAESSVLRLDSNLLKAKTKLEATTVAEERATTMGSKLSTTLNQLQAETEAAQKETQLIGQEAIGVRSQIANTDSEIRSAEEKLQAVVQELRAAKASEATAFEKLKSVTKQTTTRVRASLTQCSASIPISETEHDYLVSNAAAAQVVSSKKVAAAQAWMEALTDRERNARVKAELIEKELRELKAVEVRELHETQRSVFARRSLEEDLNRLMKQIEEEDEQLSEEKPRKAVMPRSRSIKIRRIPSSPGTPRQVRSPLIVIKKRTKVMPNLVRFLRDGRGRRT >Ma01_p02940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1911496:1918804:1 gene:Ma01_g02940 transcript:Ma01_t02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEPPLSPLIAAQLNHLLAYSPLSVKVEQIWAGSRNARFSDRFTLSIPFCLDYVKCDVIYDALHPSVAPDVVFSSEYDDFDPLGDIASEGEARVSKSSLCDWNSKDPSRLMALVHELRDLYMHYQRRRVGELDDARIKFEMNTMLPREGIEVCLVSLPDRLEEVKFAVPLLDMDLNKLVPACTWRHQQKIYLQIIFPVSRRNSSAPAAPRVKLVSTSEVKTLFSVEDVKLPPWLDGMCLAEYLPAIEDNLKLQVVEAIASIGARRRFIEALSPLFGRPLEADPVLCRRATVLCASGAFTFLVHFSIPTQFPKQQPTLVMQSSQHFNSQGTPISSPLINDYPWSPRWDTPQMAERIFEFLVDECLNFKKFCIEAIPQ >Ma01_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1911496:1918830:1 gene:Ma01_g02940 transcript:Ma01_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEPPLSPLIAAQLNHLLAYSPLSVKVEQIWAGSRNARFSDRFTLSIPFCLDYVKCDVIYDALHPSVAPDVVFSSEYDDFDPLGDIASEGEARVSKSSLCDWNSKDPSRLMALVHELRDLYMHYQRRRVGELDDARIKFEMNTMLPREGIEVCLVSLPDRLEEVKFAVPLLDMDLNKLVPACTWRHQQKIYLQIIFPVSRRNSSAPAAPRVKLVSTSEVKTLFSVEDVKLPPWLDGMCLAEYLPAIEDNLKLQVVEAIASIGARRRFIEALSPLFGRPLEADPVLCRRATVLCASGAFTFLVHFSIPTQFPKQQPTLVMQSSQHFNSQGTPISSPLINDYPWSPRWDTPQMAERIFEFLVDECLNFKKFCIEAIPQ >Ma04_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25991814:26015327:-1 gene:Ma04_g23920 transcript:Ma04_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MYRSALSAITSKSRRPLRSPFFSPFRAETPIISSVSPYPGPESRLPIPSNRFSSFRDPPSRASFAPSICFPDWSPGLRRRVVSRTRGLCGGSGDRESLEYDVVIVGAGPAGLSAAIRLKQMCLERNADLSVCVLEKGPEVGAHIISGNVFEPRALDELIPQWRHEGAPIEVPVSSDKFWLLTENHSLQLPSPFDNKGNYVISLSQLVRWMAMKAEEVGVEIYPGFAASEILYDENHRVIGVATNDMGVAKDGSKRETFQRGVELKGRVTLLAEGCRGSLSEKMIRAHKLREKVQGQHQTYALGIKEVWEIEEGKHKPGSVLHTVGWPLDMKTYGGSFLYHMSNRQIAIGLVIALNYHNPYLNPYDEFQKFKHHPAIKPLLMGGTVLEYGARTLNEGGFQSIPYSVVPGGAIIGCSAGFLDVPKIKGTHTAMKSGMLAGEAAFRTLVEGATMETYWDNLKKSWIWEDLKRARNYRPAFKYGLIPGLAFSALERYVFRGRLPLTLKHGKPDHEATDIASLHAPIQYPKPDGQISFDVPTSLYRSNTNHEHDQPAHLHLRDSTVPELVNLPLYAGPESRYCPARVYEYTPDENHRLKLHINAQNCLHCKACDIKDPRQNIKWTVPEGGGGPGYTIM >Ma04_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3715055:3724062:1 gene:Ma04_g04890 transcript:Ma04_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKLMNILKACWQPSSNSYAHTSSDLVGRQDGLLWYKDCGQHVYGEFSMAVVQANNLLEDQSQIESGPLSSLEFGPYGTFVGVYDGHGGPETSRYVNEHLFQHLKRFASEQQSMSADVIRKAYQATEEGFISLVTKQWPVKPQIASVGSCCLVGVICGDMLYIANLGDSRVVLGRLVKATREVLAVQLSAEHNVGIESVRKELQSIHPDDRQIVLLKHNVWRVKGLIQVCRSIGDVYLKKAEFNREPLHVKFRLREPFKKPILSSEPSITVHSLQPQDQFLIFASDGLWEHLSNQEAVDIVQNNPRSGSARRLVKIALQEAAKKREMRYSDLTKIGRGVRRHFHDDITVIVVFLDNNLISRASSLRGPTLSVRGGGINAPSNSLTPYTTP >Ma01_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7674968:7679757:1 gene:Ma01_g10690 transcript:Ma01_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLAYLLEPASLTLVVTAIFVTFSSASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSQLITAFTAVASASALYFCLSPYMAYIRSRFGLMDPLVSRCCSKSFTRTQGILLLFCIGTVAAWLVTGHWILNNILGICICIAFVSHVRLPNIKICALLLVCLFLYDIFWVFFSERFFGANVMVSVATQKASNPVHKVATSLSLPGLQLITKKLELPVKLIFPRNLMGGQVITGASGGEYMMLGLGDMAIPGMLVALVLCFDHRKTRDMGIPSEKSSSKENKYLWCSLSGYAIGLISALAAGILTQSPQPALLYLVPSTLGPVIFLSWRRNELRELWDGACPVSSEKAHSMEV >Ma01_p10690.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7674968:7679757:1 gene:Ma01_g10690 transcript:Ma01_t10690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLAYLLEPASLTLVVTAIFVTFSSASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSQLITAFTAVASASALYFCLSPYMAYIRSRFGLMDPLVSRCCSKSFTRTQGILLLFCIGTVAAWLVTGHWILNNILGICICIAFVSHVRLPNIKICALLLVCLFLYDIFWVFFSERFFGANVMVSVATQKASNPVHKVATSLSLPGLQLITKKLELPVKLIFPRNLMGGQVITGASGGEYMMLGLGDMAIPGMLVALVLCFDHRKTRDMGIPSEKSSSKENKYLWCSLSGYAIGLISALAAGILTQSPQPALLYLVPSTLGPVIFLSWRRNELRELWDGACPVSSEKAHSMEV >Ma01_p10690.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7674968:7679757:1 gene:Ma01_g10690 transcript:Ma01_t10690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLAYLLEPASLTLVVTAIFVTFSSASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSQLITAFTAVASASALYFCLSPYMAYIRSRFGLMDPLVSRCCSKSFTRTQGILLLFCIGTVAAWLVTGHWILNNILGICICIAFVSHVRLPNIKICALLLVCLFLYDIFWVFFSERFFGANVMVSVATQKASNPVHKVATSLSLPGLQLITKKLELPVKLIFPRNLMGGQVITGASGGEYMMLGLGDMAIPGMLVALVLCFDHRKTRDMGIPSEKSSSKENKYLWCSLSGYAIGLISALAAGILTQSPQPALLYLVPSTLGPVIFLSWRRNELRELWDGACPVSSEKAHSMEV >Ma01_p10690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7674968:7679757:1 gene:Ma01_g10690 transcript:Ma01_t10690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLAYLLEPASLTLVVTAIFVTFSSASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSQLITAFTAVASASALYFCLSPYMAYIRSRFGLMDPLVSRCCSKSFTRTQGILLLFCIGTVAAWLVTGHWILNNILGICICIAFVSHVRLPNIKICALLLVCLFLYDIFWVFFSERFFGANVMVSVATQKASNPVHKVATSLSLPGLQLITKKLELPVKLIFPRNLMGGQVITGASGGEYMMLGLGDMAIPGMLVALVLCFDHRKTRDMGIPSEKSSSKENKYLWCSLSGYAIGLISALAAGILTQSPQPALLYLVPSTLGPVIFLSWRRNELRELWDGACPVSSEKAHSMEV >Ma01_p10690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7674968:7679757:1 gene:Ma01_g10690 transcript:Ma01_t10690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLAYLLEPASLTLVVTAIFVTFSSASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSQLITAFTAVASASALYFCLSPYMAYIRSRFGLMDPLVSRCCSKSFTRTQGILLLFCIGTVAAWLVTGHWILNNILGICICIAFVSHVRLPNIKICALLLVCLFLYDIFWVFFSERFFGANVMVSVATQKASNPVHKVATSLSLPGLQLITKKLELPVKLIFPRNLMGGQVITGASGGEYMMLGLGDMAIPGMLVALVLCFDHRKTRDMGIPSEKSSSKENKYLWCSLSGYAIGLISALAAGILTQSPQPALLYLVPSTLGPVIFLSWRRNELRELWDGACPVSSEKAHSMEV >Ma08_p13980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11105524:11116387:-1 gene:Ma08_g13980 transcript:Ma08_t13980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKGVGSASQRPKQYPPNSTDANVRPSEVSVSSVARPVLNYSIQTGEEFALEFMRERAMSKKPAVQNAPEDQSITTSYMDMKGVLSIPHMGSESASDLSALVAGDNRRLKETEKNNFSETEHKGHYASSRSMPRVSSSEGSSRTVPHGYTSSEASDILSKRLKFLCSFGGKILPRPSDGKLRYVGGDTRIIRVSRDISWAELMQKTMAIYGRPHTVKYQLPGEDLDALISVSCDEDLQNMMEEYSILEGGEGSQKLRVFLFTSDDSDDVHFSFGSMEGDSEIQYVVAVNGMDLGSGKASYGHGLPSTSTSDLDQLLNLNIEPERARAYTIATQSAGFAADPIASPATLTPALQASSSTDYGSYSQGFEDHRYHYVEGEHYAYSPINPPDRYPYSNSRISIPLPAPSDYQYSSNYTATSTSTQPGQQILYQAVPQVPHSGISPFDKETVKKDAKVAVDGSSHRKTENEHPGPHKNEPISTIQQHDITVSSYMHSESMGVVAAPENSTSLPPSKSKGKQLEPGLGSSTNAANVAHGSDLNEHDQYSSNGALMSGSIDDEANVTDVSCNNPPSRPSRGYQSERLPREQAEFLNRLSKSDDSIGSQYLINQACLIAAQESIPEVTDTVLEGDLGSQTDKFLSSAKPPRPSNATTEHKYKKEGNTITQANKFEPVSTAQGSESAKFSQPLIPLTNHNMHDLNEGAVSSVVQAGLGQIDAITDEKSYKQGGKIQKTEFQHAPLKSAYDKPTITEGIEKVTTVAETDMVRINIKEAHADGASVKPQEHPSTVPDIPWEGIPNNDTYNTNVEHTPAFNWVESTVGAISQDSSAPSSERRDILIDINDRFPPNLLSDIFNKARIDEDLSNRKPLRNDDTGLSLNMQNHEPKRWSFFRKLAQDEFKQKDFSLMDQDPINYTSLLPKVEEGVSNPDQCAQLEDERVGFCRIDPQINFNEGMQESSDTIVEDPNILDPGYIPSQASHPLGMDKGEGLQVENPFTRLGETFRTHISENEELKFDGGEVAESVLDTSVYDFDLNNLQLIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTMEFWREAEILSQLHHPNVVAFYGVVKDGPGGTMATVAEFMVNGSLRHVLLRKDKYLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPATCDPEWRKLMEQCWAPDPLQRPSFAQIAACLRGMSVASQVKPGK >Ma08_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11105524:11116387:-1 gene:Ma08_g13980 transcript:Ma08_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKGVGSASQRPKQYPPNSTDANVRPSEVSVSSVARPVLNYSIQTGEEFALEFMRERAMSKKPAVQNAPEDQSITTSYMDMKGVLSIPHMGSESASDLSALVAGDNRRLKETEKNNFSETEHKGHYASSRSMPRVSSSEGSSRTVPHGYTSSEASDILSKRLKFLCSFGGKILPRPSDGKLRYVGGDTRIIRVSRDISWAELMQKTMAIYGRPHTVKYQLPGEDLDALISVSCDEDLQNMMEEYSILEGGEGSQKLRVFLFTSDDSDDVHFSFGSMEGDSEIQYVVAVNGMDLGSGKASYGHGLPSTSTSDLDQLLNLNIEPERARAYTIATQSAGFAADPIASPATLTPALQASSSTDYGSYSQGFEDHRYHYVEGEHYAYSPINPPDRYPYSNSRISIPLPAPSDYQYSSNYTATSTSTQPGQQILYQAVPQVPHSGISPFDKETVKKDAKVAVDGSSHRKTENEHPGPHKNEPISTIQQHDITVSSYMHSESMGVVAAPENSTSLPPSKSKGKQLEPGLGSSTNAANVAHGSDLNEHDQYSSNGALMSGSIDDEANVTDVSCNNPPSRPSRGYQSERLPREQAEFLNRLSKSDDSIGSQYLINQACLIAAQESIPEVTDTVLEGDLGSQTDKFLSSAKPPRPSNATTEHKYKKEGNTITQANKFEPVSTAQGSESAKFSQPLIPLTNHNMHDLNEGAVSSVVQAGLGQIDAITDEKSYKQGGKIQKTEFQHAPLKSAYDKPTITEGIEKVTTVAETDMVRINIKEAHADGASVKPQEHPSTVPDIPWEGIPNNDTYNTNVEHTPAFNWVESTVGAISQDSSAPSSERRDILIDINDRFPPNLLSDIFNKARIDEDLSNRKPLRNDDTGLSLNMQNHEPKRWSFFRKLAQDEFKQKDFSLMDQDPINYTSLLPKVEEGVSNPDQCAQLEDERVGFCRIDPQINFNEGMQESSDTIVEDPNILDPGYIPSQASHPLGMDKGEGLQVENPFTRLGETFRTHISENEELKFDGGEVAESVLDTSVYDFDLNNLQLIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTMEFWREAEILSQLHHPNVVAFYGVVKDGPGGTMATVAEFMVNGSLRHVLLRKDKYLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPATCDPEWRKLMEQCWAPDPLQRPSFAQIAACLRGMSVASQVKPGK >Ma08_p13980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11105524:11116381:-1 gene:Ma08_g13980 transcript:Ma08_t13980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKGVGSASQRPKQYPPNSTDANVRPSEVSVSSVARPVLNYSIQTGEEFALEFMRERAMSKKPAVQNAPEDQSITTSYMDMKGVLSIPHMGSESASDLSALVAGDNRRLKETEKNNFSETEHKGHYASSRSMPRVSSSEGSSRTVPHGYTSSEASDILSKRLKFLCSFGGKILPRPSDGKLRYVGGDTRIIRVSRDISWAELMQKTMAIYGRPHTVKYQLPGEDLDALISVSCDEDLQNMMEEYSILEGGEGSQKLRVFLFTSDDSDDVHFSFGSMEGDSEIQYVVAVNGMDLGSGKASYGHGLPSTSTSDLDQLLNLNIEPERARAYTIATQSAGFAADPIASPATLTPALQASSSTDYGSYSQGFEDHRYHYVEGEHYAYSPINPPDRYPYSNSRISIPLPAPSDYQYSSNYTATSTSTQPGQQILYQAVPQVPHSGISPFDKETVKKDAKVAVDGSSHRKTENEHPGPHKNEPISTIQQHDITVSSYMHSESMGVVAAPENSTSLPPSKSKGKQLEPGLGSSTNAANVAHGSDLNEHDQYSSNGALMSGSIDDEANVTDVSCNNPPSRPSRGYQSERLPREQAEFLNRLSKSDDSIGSQYLINQACLIAAQESIPEVTDTVLEGDLGSQTDKFLSSAKPPRPSNATTEHKYKKEGNTITQANKFEPVSTAQGSESAKFSQPLIPLTNHNMHDLNEGAVSSVVQAGLGQIDAITDEKSYKQGGKIQKTEFQHAPLKSAYDKPTITEGIEKVTTVAETDMVRINIKEAHADGASVKPQEHPSTVPDIPWEGIPNNDTYNTNVEHTPAFNWVESTVGAISQDSSAPSSERRDILIDINDRFPPNLLSDIFNKARIDEDLSNRKPLRNDDTGLSLNMQNHEPKRWSFFRKLAQDEFKQKDFSLMDQDPINYTSLLPKVEEGVSNPDQCAQLEDERVGFCRIDPQINFNEGMQESSDTIVEDPNILDPGYIPSQASHPLGMDKGEGLQVENPFTRLGETFRTHISENEELKFDGGEVAESVLDTSVYDFDLNNLQLIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTMEFWREAEILSQLHHPNVVAFYGVVKDGPGGTMATVAEFMVNGSLRHVLLRKDKYLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPATCDPEWRKLMEQCWAPDPLQRPSFAQIAACLRGMSVASQVKPGK >Ma03_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19302239:19315571:-1 gene:Ma03_g16650 transcript:Ma03_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVWNHPQVFVPSTLAPVSPFLPSPTRSAFRAPDLDDPFARLHCSADSMPSPPALARNILVTGGAGYIGSHTVLQLLKGGYRAVVVDNLDNSSEVALERVRELAGEFGKNLAFHRIDLRDREALEKVFSATKFDAVIHFAGIKAVGESVRKPLLYYNNNLIGTIILFEVMAAYGCKKLVFSSSATVYGSPKKVPCTEDFPLCAINPYGQTKLMTEDICRDMCQGDSDWDIILLRYFNPVGAHPSGYIGEDPRGVPNNLMPFVQQVAVGRRPALTVFGNDYSTKDGTAVRDYIHVVDLADGHIAALQKLFEGSHVGCEVYNLATGKGSSVLEIVAAFEKASEKRIPLILAGRRPGDAEIVYASTAKAEKELHWKAKYSIEEMCRDQWNWVSKNPWGYRLPETTNGNGKCATSQ >Ma09_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18214952:18216236:-1 gene:Ma09_g18600 transcript:Ma09_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKHGGSILSWVLSKSTRKCKPETPPKTMASDDMSQLLKEWGVSSLESLEEELLHAKENRDAALAEVSEMKSLLAELQQKLHSLEAYCEELKKALKQAVHGKSPQVLYKFKLSKRVKSIRGSRDDLMPVSQEVLVEGFLQIVSECRLSVTHFCETLIHQTRETDDDLSGRLNLLLQPHQMTLTSSNSSKVALCHLEAIVNQSLYQDFENCVFQKNGSPKFLDPRRERQENFSSFVALRNLGWNEVLHKGTKHYSDDFSRFCDRKFSSVAAVLNWSTPWPEHLLRCFLIGAKCIWLLHLLAFSFEPSLMILRVEGNRDFDPIHMEEIPLDGHRAQAPARVRTMVMPGFFVRDRVLRCRVLCRYGSES >Ma11_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16857769:16867704:1 gene:Ma11_g12890 transcript:Ma11_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEAIRAKHLAEKKMQNKDFMGAQKIALKAQQLFPDIENISQMLTVCDVHCSAGARVNGEMDWYKILQVESTSDDLSIKKQYRKLALLLHPDKNKFSGAEAAFKLIGEAHMVLSDQEKRRLYDFKRNANIKSAPAMKPFQYSRMNPHAQNNLRTVNSSGFNQPSPFSSTQTFWTICPVCGMRYQYYRSILNRALRCQNCLNTFVAYDLNAKVVPSAGNPWNSNKNLEKKIPVEQANNINKQSQFRNTSSDMKFQEDACGRSVFNQDCVGETLNMGKNIHVDAKVGAANAVNVTEVDKGQQAAKPKTTNASKKRRRKVVLEFSDADGSDSEDTKNVDDGPPVKQNASTSGPRRSSRQKQYVSYNEDGREDGNGGNSFVAPSKRCKDESSCKAGRFEEPSCRISAEGVNLEDDGTGVAKTRLNNEDDIMYENKLPNGNEQAYESQQGTSEHQKFRHGAESIVGSIPKAFPPMGCFVYPDPEFGNFDKLRDASQFAVDQIWAVYDDQDGMPRFYARIRKVCTPGFLLRFTWLEHDPVNETELTWSDAELPVACGNFRLGKSESTKDCLTFSHVVSWRKGEKRNSYVIYPRKGEVWALFKGWNIWWSTDADKHRFHEYEVVEVLSDFASGTGISVIPLVRIEQSVSLFMRATGKGMTSFVIPPHEILCFSHNVPSYRLSGTEREGIPQGSLELDCASLPSNFRQMFPSVNLDNETTRVRKLGGSSSSSLNATIDKEEPVTSIMQEREKRTSQDMLSNGINRVDDMEQNHISEGQDVKTWKHVQNEAKTPKVEISERGDSDAEKINDDDDDDDDDDSSSVPSLSPDIYHYPDPEFYNFEQHKMIETVQCGHIWAFYSDVDTYPKYYGLVKRTEPEPKGLRVHITWLEACPMLEEERRWSREGFPIGCGTFKVVPQSSIIKETSTFSHLVQAEQTGKRNHFLIHPSSGEIWAVYKNWSVGWGLPELEKCEYDVVEICERSGCGLKVKPLTKVNGYTSVFKPEENTNAATELEIPTNEYIRFSHQIPAFRLTDENGGKLRGYWELDPASVPDVLLKS >Ma11_p12890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16857769:16867704:1 gene:Ma11_g12890 transcript:Ma11_t12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEAIRAKHLAEKKMQNKDFMGAQKIALKAQQLFPDIENISQMLTVCDVHCSAGARVNGEMDWYKILQVESTSDDLSIKKQYRKLALLLHPDKNKFSGAEAAFKLIGEAHMVLSDQEKRRLYDFKRNANIKSAPAMKPFQYSRMNPHAQNNLRTVNSSGFNQPSPFSSTQTFWTICPVCGMRYQYYRSILNRALRCQNCLNTFVAYDLNAKVVPSAGNPWNSNKNLEKKIPVEQANNINKQSQFRNTSSDMKFQEDACGRSVFNQDCVGETLNMGKNIHVDAKVGAANAVNVTEVDKGQQAAKPKTTNASKKRRRKVVLEFSDADGSDSEDTKNVDDGPPVKQNASTSGPRRSSRQKQYVSYNEDGREDGNGGNSFVAPSKRCKDESSCKAGRFEEPSCRISAEGVNLEDDGTGVAKTRLNNEDDIMYENKLPNGNEQAYESQQGTSEHQKFRHGAESIVGSIPKAFPPMGCFVYPDPEFGNFDKLRDASQFAVDQIWAVYDDQDGMPRFYARIRKVCTPGFLLRFTWLEHDPVNETELTWSDAELPVACGNFRLGKSESTKDCLTFSHVVSWRKGEKRNSYVIYPRKGEVWALFKGWNIWWSTDADKHRFHEYEVVEVLSDFASGTGISVIPLVRIEQSVSLFMRATGKGMTSFVIPPHEILCFSHNVPSYRLSGTEREGIPQGSLELDCASLPSNFRQMFPSVNLDNETTRVRKLGGSSSSSLNATIDKEEPVTSIMQEREKRTSQDMLSNGINRVDDMEQNHISEGQDVKTWKHVQNEAKTPKVEISERGDSDAEKINDDDDDDDDDDSSSVPSLSPDIYHYPDPEFYNFEQHKMIETVQCGHIWAFYSDVDTYPKYYGLVKRTEPEPKGLRVHITWLEACPMLEEERRWSREGFPIGCGTFKVVPQSSIIKETSTFSHLVQAEQTGKRNHFLIHPSSGEIWAVYKNWSVGWGLPELEKCEYDVVEICERSGCGLKVKPLTKVNGYTSVFKPEENTNAATELEIPTNEYIRFSHQIPAFRLTDENGGKLRGYWELDPASVPDVLLKS >Ma04_p36020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34542991:34546225:1 gene:Ma04_g36020 transcript:Ma04_t36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVAAMPVVFLLLTFTFAFSFHGCFVHAGDPELYYDWNVSFAKVSPFGVEKKVIVINDRLPGPLLNVTTNNLVSVNVYNYLDEPFLLTWNGVQMRRNSWNDGVPGTNCPIPPGKNWTYHMQVKDQIGSFFYFPSLGFQMAAGGYGPIRIDNRVIIPIPFSFPQDDLDILIADWYDMDYQDMRDAVDQGFPLSLPDGILINGLPPEKANFTFKSGATYRLRISNVGIKTTLNFRIQGHKMLLVETEGSYTLKQYYDSLDIHVGQSYSVLVTADQPPSTSYYIVASSRFVELDLFGVAIINYDDGSNKKPSGGIPEGPSPFDYNYSMEQARSIRWDLKVGAARPNPQGSYRYGHINISRTIVLQNDEVMIGDRTRYAINGVSFVYPDTPLKLADYFGIPGVFMAGEVPDEPSGRKPTIGTPVVDAIYKSFVEIIFQNNESSIQSWHLDGYNFFVVGMEEGKWNFCSRSTYNMVDAIFRSTVQVYPNSWTAILVAFDNMGMWNIRSQELERRQLGQELYMRVNWDDANNNTVPNPRDEMPFSPDLLLCGKAAARSGTP >Ma05_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23873273:23873947:-1 gene:Ma05_g18430 transcript:Ma05_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEPAEVDSTSPFRSVKEAVAVYRDRCHAGNASSRKATSSSAKLDIIRSPVCSLPPPKPVFSANSSPPSLSSTFRFVHERDDELRVVNAVRKLEAELYEAKREVKLLKEMQSETKVAVAALCLQLQKSMSKTEASGLAIEERPCKVRSDRWGEERIGSSERLPTLAQALSLGRMEDELGGRGKTKVGKRRPLIPLIGDFFPKRKTSPDLRNTLYSPSFYSVLS >Ma02_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24051655:24053155:1 gene:Ma02_g16930 transcript:Ma02_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGGMRLAVTEIERLLNYTFRDPSLLEEALTHSSYAGHRSYERLEFVGDAVLGLAITSFFYLSDPTLEPRFLTELRIANASTEKLARVAVRHRLYRFLRRICRDLDQLVSKFTDLAMMEPEEDIVQMTYGGTTLEAPKVLADIVESIAAAVYFDCDSDLQLFWTVFRGILEPIITPEMMMEHPVMALYKLCQKHRKIVDISSSFDGSSNTVKVVVDGEVMGIGFSKQKNLARLHAARDALQRLSALQAADREEGDAGAEAEVGAKQKLNELCNKKHWMNPTYRIEQEQGPDHCKTFICSVQVKTEDKIFVISGDSKPKVRVAENSAAFKMLTEVLGDAIIPSCT >Ma07_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7370853:7371448:1 gene:Ma07_g09870 transcript:Ma07_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYNYGICCFSTSFSRVAPTELCASIFIFHGCLNNDYFDLTAIATVVTIAEILKNNGLAVEKKIMNRWLVWRMRPGGRLLQKARIEILLGKTENFDELMAAAAQRGDGDDEQN >Ma05_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20042421:20043865:-1 gene:Ma05_g17350 transcript:Ma05_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVSCFSTTIPPHLGSKLVSSDIFLRLASPRPPHLRQYSSLTLVRESFYALVPSNNRRTFAAVAQDEATAAVAAQEEEEEEEEEDTVAAAAPQNTKLYFGNLPYNCDSAQLAGIIQEFANPELVEVLYDRETGRSRGFAFVTMSTVEDCEEVIKNLDGSQYGGRTLRVNFSDKPKAKEPLYPESQHKLFVGNLSWSVTTESLTQVFQEHGNVVGARVLYDGDTGRSRGYGFVCYSTKEEMDNAMETLNGVELEGREMRISLALGKKS >Ma05_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5346390:5348095:1 gene:Ma05_g07360 transcript:Ma05_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPVLDKGDDCMDLPPGFRFHPMDEEIITHYLSRKIINHGFTARAIGEVDLNRCEPWDLPSKAKMGEKDMYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIYRGKGVLVGMKKTLVFYKGRAPKGQKTNWVMHEFRLEGKYSLPNLPKSAKDEWVVCRVFHKSIGPKKSPPPGTERINSYADDLLDSGTLPPLMDPPHMEYTMGPGSSFTNNDQIFDFKALPPSFTAMMAMENQQVMNHHQMISNPLPHNPALYAQPPPQSAYYSLLGSANPGYLHHDEATMGAFATTDNDAPSAIRKHCKVEQYSNQSMGCPSQDTGLSTDHNTEISSVVSKHYVDDFDDPWTNYGF >Ma10_p27550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34789901:34791176:1 gene:Ma10_g27550 transcript:Ma10_t27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWVGMPAGVKFDPTDQELIEHLEAKVKAQDLRSHPLIDEFIPTIEGEDGICYTHPEKLPGVRRDGLSKHFFHRPSKAYTTGTRKRRKIQSECDLHRGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEFEDEKEGELVVSKIFYQTQPRQCNWSSDRSPAAAAAAAMEGMDQRRDGRSGSSCSKAASKRDEHSSGGGGISGYSSMEMHQHLKPDNFSFAPFRESYSE >Ma08_p26070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38703713:38704781:-1 gene:Ma08_g26070 transcript:Ma08_t26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFGRCSFSSYCEPGCSMSPSLGCSSFSYCEPGILWIDASMAPSFVCGSSFLSYCEPGCSMSPSFGRCSFSSDCEPGCYMSPSLGCSSFSYCEPGILWIDASMAPSFVCGYCEPGCYMSPSLGCSSFSYCEPSILWIDASMAPVSSAAPLSPPTVNPAAPCPPVSAAPLTVNPGFFVSMLPTVNPAFLGSKTTSAGAAEMWLNPGIGARWGVEEQPSKGEDSVQQGEASNEKKDRAKPETDRETQRDTETGQSRSREVERDETPRERRREESDGGRREDARKGSARRWVQACRPSQSSGWSAAGRR >Ma08_p11190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8248877:8253358:-1 gene:Ma08_g11190 transcript:Ma08_t11190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLEIHEELPEKHHLRKQIAATVRKIQWSYAIFWSISTRQPGVLAWSDGYYNGDIKTRKMTQPIELKADQMGLQRSEQLRELYETLSAGDSIQQTRRPSASLSPEDLTDAEWYYLVCMTFKFTLGQGLPGKALANNQHIWLNNAQFADSKIFSRSLLAKSASIQTVVCIPFMGGVLELGTTELILEDVDLVKQITSFFWELPNPICYEQSISSPQLAERGEGIICPDIDNGIDNSIPLGDHNLITDRQTPLESDPTHFPFPFHSYVSIEHTESVKVEELHQNIREEPDTCSPDDSSNECCLTHQLEDLLGADGLNGTSRTHSMQLVDDEFSNGLLHGSLSSNEYATLSFVNAQRAVSSAMREPTGNQMLGGLQEGNHSKHSSLDLDGDDSHYAETVATILRTSKQVNPVLCFLKVSFRSSFVVWRRGFNTPKSFMSTPQKLLKKILMDRAWWRGNHVLSERRRREKLNEKFLALRSLVPTGGKIDKASILDDTTQYLKHLERRVQELESGTAVLGTTDRRKNPDVAERTSDNYLGNEITNEWKPLGNKRKACDIDEGVAEHHFVLSKHGPVHVTVTVKEKEVLVELRCPWRECLLLEVVESMSNLHLDPISVQSSSVDGMLALSVKSKLRSSNVASPGMIKRSLQRVISKCL >Ma08_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8248877:8253358:-1 gene:Ma08_g11190 transcript:Ma08_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLEIHEELPEKHHLRKQIAATVRKIQWSYAIFWSISTRQPGVLAWSDGYYNGDIKTRKMTQPIELKADQMGLQRSEQLRELYETLSAGDSIQQTRRPSASLSPEDLTDAEWYYLVCMTFKFTLGQGLPGKALANNQHIWLNNAQFADSKIFSRSLLAKSASIQTVVCIPFMGGVLELGTTELILEDVDLVKQITSFFWELPNPICYEQSISSPQLAERGEGIICPDIDNGIDNSIPLGDHNLITDRQTPLESDPTHFPFPFHSYVSIEHTESVKVEELHQNIREEPDTCSPDDSSNECCLTHQLEDLLGADGLNGTSRTHSMQLVDDEFSNGLLHGSLSSNEYATLSFVNAQRAVSSAMREPTGNQMLGGLQEGNHSKHSSLDLDGDDSHYAETVATILRTSKQVNPVLCFLKVSFRSSFVVWRRGFNTPKSFMSTPQKLLKKILMDRAWWRGNHVLSERRRREKLNEKFLALRSLVPTGGKIDKASILDDTTQYLKHLERRVQELESGTAVLGTTDRRKNPDVAERTSDNYLGNEITNEWKPLGNKRKACDIDEGVAEHHFVLSKHGPVHVTVTVKEKEVLVELRCPWRECLLLEVVESMSNLHLDPISVQSSSVDGMLALSVKSKLRSSNVASPGMIKRSLQRVISKCL >Ma09_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9859604:9861102:-1 gene:Ma09_g14410 transcript:Ma09_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKPIPHSPTKKWFLDWQRDGHHEREMLLGVDLSKRSSLCAGDLLQNCDLPPPLKLFSPVEQDDKKAVRSSGVRSEGCCVAGSEVDRWDGDENPSLLRALRLSQTRAREAEKRASQERSRNQDLVGLLLADSLRLSAYRRWVELLEAEMSVLQKRILRPAQGEELGDEGSDPAAAMTWWCTLALSLGIVGVGFALGRCMF >Ma11_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24192950:24201560:1 gene:Ma11_g19270 transcript:Ma11_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLATSSTEMDLDRPNIDEYLTVESIREAPKKLHLRDLLDISPTLKEAAGAIVDDSFTRCFKSNPSEPWNWNIYLFPLWCLGVVIRYGILFPFRVIILVAGWIVFFAAFSLVHFLLGEHNKWKREIERKLVEMICSVFVASWTAVIKYHGPRPSMRPQQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKIIVESLGCIWFNRTEAKDREIVARKLREHIQGVDNNPLLIFPEGTCVNNHYTVMFKKGAFELGCAVCPVAIKYNKIFVDAFWNSKKQSFTMHLVQLMTSWAVVCDVWYLEPQYIRPGETPIEFAERVQDMISVRAGLKKVPWDGYLKYFRPSPKLIERKQQIFAESVLQRLEEK >Ma10_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28884532:28888462:-1 gene:Ma10_g17530 transcript:Ma10_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDIFLSTSLASYLDKKLLVLLRDGRKLLGVLRSFDQFANVVLEGACERVIVGDLYSDIPLGLYVIRGENVVLIGELDLEREEIPAHMICVSVAEIRRAQKAERDATDLKGSMRKRMEFLDLD >Ma04_p38160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35805603:35807648:-1 gene:Ma04_g38160 transcript:Ma04_t38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDIAAESSAPVLGEPMDLMTALQLVMKKSLAHDGLVRGLHEAAKAIEKHAAQLCILAEDCNQADYVKLVKALCADHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLHIVQEYVKSH >Ma04_p38160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35805603:35807669:-1 gene:Ma04_g38160 transcript:Ma04_t38160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDIAAESSAPVLGEPMDLMTALQLVMKKSLAHDGLVRGLHEAAKAIEKHAAQLCILAEDCNQADYVKLVKALCADHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLHIVQEYVKSH >Ma07_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32098785:32103121:-1 gene:Ma07_g24940 transcript:Ma07_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALPSVTATRAAKPGPPPALSPPTPNSVRAVIFSQSLETSRTALRCRINKGRQFSSRPLRNPSAVAEQSATIVAAVKTEEPLKVMISGAPASGKGTQCELIKKKYGLVHIAAGDLLRAEIASGTEKGKLAKEYMEKGMLVPDEIVVMMVKERLLQPDAQENGWLLDGYPRSFSQAKALEDLGIRPDIFILLEVSEEDLVERVVGRRLDPVTGKIYHLKYSPPENEEIAARLTQRFDDTEEKVKLRLQTHHQNVEAVLATYEDITVRINGSVVKEDVFAEIDKALSSVIEKKPTSSSASMAAGVTH >Ma10_p30590.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36880652:36886842:1 gene:Ma10_g30590 transcript:Ma10_t30590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLMQKMRFCLFPCNNSSVYLSSYQLCSLFNFSTAKYESSEHSSNLIVVNPLQSCELSSEKAAKTAKYHTCHKSSSSLSIEFFKQNGWSDAQVMKLTQKAPKLLRAKVETTLKPRMRSLQDMGFSDTEIVQLVSKCPTILFQNIQPKLNFLKSLLGSNEWLLKACSRNRFLLTSNLARKIEPNISLLRECGISDKCIARMVLLNPGFVVRKNKFIKEAMEHVVELGVPHDCGMFPYALLAVLNLSRSKCDATFATLKSFGWSQPDIVAILRNNPCVLKLSMKNISDKITFLMKEAGCELQCIIRHTGILSRSLEKRMRPRYEVMNFLEQNKLLDKGHSLMSVLVLTDEKFINKFLFRHKEKFTALYNSYVAAVWGRPHDVAEN >Ma10_p30590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36874593:36897204:1 gene:Ma10_g30590 transcript:Ma10_t30590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLQKRLFCLFPCNNSSIYLSSYQLCGLFNFSTATYENSEHSSNLIVVNPLQSCELSSEKAAKTAKYHTCRKNSLSLSIEFFKQNGWSDVQVMKLTQKAPKLLRANEETTLKPKMRSLQDMGFSVTEIVQLVSTCPTILFHNFQPNLNFLKALLGSNERLLKVCRKNLFLLTCSLARKIEPNISLLRECGISDECIARMVVLNPGFVVRKNKFIKEVMDHVEELGVPHDCGTFPYALVAVLNMSRSKFDATFATLKSFGWSQPDIVAILRKNPGVWTLSKNNISDKMTFLLKEAGCELQYIIRHPGILSYSLEKRLRPRLEVMNFLEQNKLLDKGHSLMSVMLLTEGKFINKYLFPYKEKFTALYSSFVAAVQGKHHVVAEN >Ma10_p30590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36880652:36886842:1 gene:Ma10_g30590 transcript:Ma10_t30590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLMQKMRFCLFPCNNSSVYLSSYQLCSLFNFSTAKYESSEHSSNLIVVNPLQSCELSSEKAAKTAKYHTCHKSSSSLSIEFFKQNGWSDAQVMKLTQKAPKLLRAKVETTLKPRMRSLQDMGFSDTEIVQLVSKCPTILFQNIQPKLNFLKSLLGSNEWLLKACSRNRFLLTSNLARKIEPNISLLRECGISDKCIARMVLLNPGFVVRKNKFIKEAMEHVVELGVPHDCGMFPYALLAVLNLSRSKCDATFATLKSFGWSQPDIVAILRNNPCVLKLSMKNISDKITFLMKEAGCELQCIIRHTGILSRSLEKRMRPRYEVMNFLEQNKLLDKGHSLMSVLVLTDEKFINKFLFRHKEKFTALYNSYVAAVWGRPHDVAEN >Ma10_p30590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36880652:36886842:1 gene:Ma10_g30590 transcript:Ma10_t30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLMQKMRFCLFPCNNSSVYLSSYQLCSLFNFSTAKYESSEHSSNLIVVNPLQSCELSSEKAAKTAKYHTCHKSSSSLSIEFFKQNGWSDAQVMKLTQKAPKLLRAKVETTLKPRMRSLQDMGFSDTEIVQLVSKCPTILFQNIQPKLNFLKSLLGSNEWLLKACSRNRFLLTSNLARKIEPNISLLRECGISDKCIARMVLLNPGFVVRKNKFIKEAMEHVVELGVPHDCGMFPYALLAVLNLSRSKCDATFATLKSFGWSQPDIVAILRNNPCVLKLSMKNISDKITFLMKEAGCELQCIIRHTGILSRSLEKRMRPRYEVMNFLEQNKLLDKGHSLMSVLVLTDEKFINKFLFRHKEKFTALYNSYVAAVWGRPHDVAEN >Ma10_p30590.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36874593:36897204:1 gene:Ma10_g30590 transcript:Ma10_t30590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLQKRLFCLFPCNNSSIYLSSYQLCGLFNFSTATYENSEHSSNLIVVNPLQSCELSSEKAAKTAKYHTCRKNSLSLSIEFFKQNGWSDVQVMKLTQKAPKLLRANEETTLKPKMRSLQDMGFSVTEIVQLVSTCPTILFHNFQPNLNFLKALLGSNERLLKVCRKNLFLLTCSLARKIEPNISLLRECGISDECIARMVVLNPGFVVRKNKFIKEVMDHVEELGVPHDCGTFPYALVAVLNMSRSKFDATFATLKSFGWSQPDIVAILRKNPGVWTLSKNNISDKMTFLLKEAGCELQYIIRHPGILSYSLEKRLRPRLEVMNFLEQNKLLDKGHSLMSVMLLTEGKFINKYLFPYKEKFTALYSSFVAAVQGKHHVVAEN >Ma09_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3036598:3038088:1 gene:Ma09_g04710 transcript:Ma09_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESGGGAPPSPAKVIFGKYEMGRLLGRGASAKVYHARHCPSGQGVAVKVFPNPRRPAGCSADGDSFIREISALRRLRHPHIIRLHEVLASRSKVYLVLELAKGGELFSRVEDRGHLPEDLCRRLFRQLVSAVAYSHSRGVFHRDLKPENLLLDEAGDLKVSDFGLAALRSSSSSSEGDDGDLLLHTQCGTPAYVAPEVLTRKMTGGYDGAKADMWSCGVILFVLNAGYLPFNDPNLMSLYRKIYRGQHRCPRWTSPNLRRLIARLLDPNPATRISIDAILRDPWFARDFDADQWTALMQPRGDATDPSGKPHHRRELNAFDLISFSPGLDLSGLFEEATSDRERFALTEPVDTIVDRLERVGRGEGLAVRREGEKGFSAVVEGQNGEFLLRVEVYRLTGGVAVVAVERSGGAGESLWNEKLGPALRGAVTGERLT >Ma05_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7487701:7491664:-1 gene:Ma05_g10390 transcript:Ma05_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPSCVSASLEKQFEDFRVQLDETASVRERIRAVVMEMAATVRLMNARLLLVHHSLPNPDVLEKARAQAGVLKGLFGRLAEILRECPGQYYRFHGDWRCETQTAVSLIEFLHWLDTGSLLMHPEAEKILGLDPSEFGLDIEDYLIGLCFMSNELPRYAVNQVTAGDYDCPRKVLKFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLGSGDSDTRRGRQVTMNQ >Ma03_p11630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9032177:9038560:1 gene:Ma03_g11630 transcript:Ma03_t11630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDESPLESSDEELICDKDSGNQERMEKRVAGLEIVKAPEPGMTFPSLDALVEYYKKYGKQEGFRVSRKSRTISSSGKIEHVTIACSREGKPYIYKRNILQPKPTTKTGCKARVNATLFENMSCRINSVRLEHSHSLVPRKSRFCMCNRDLSIGAQKRLELNNMTGTGVNRNDTTCSVQAGGCETAPTLEKDCKNSVEKASKFWLGVGDAEAIFDYFIRMKSKNSSFFYAMDVDEESRIKNVLWADARSRAAYEEFGDVVTIDTTYLTNKYDMPFVPFVGVNHHGQSILFGCGLLSNEDTMTFTWLFRAFLSCMSGRAPNAIITDQDKAMQKAIETVFPGIRHRWCLWHIMKKLPEKFKGYRVYEEMRKLMQNAVYDSFTKEEFEESWTKFIEKFNLYDNAWLKGLYEERHRWVPAFVKDSFWAGMSTTQRSQRMNPFFDGLVYSKTTMKQFVEQYDVALESKVEREDQADFQSFNSWVPCVTHFDIEKQFQQVYTIDKFKEFQQEMVAKLYCEVTLAGGSDGISEYDVSEDVLIGDDDDQHHKLVHFKVHFTEGDCEVQCSCHLFEFKGIICRHMISVLVKRQISSVPSRYILPRWRKDLKRRHMKVRVGYCGWSNNPKAQRYDYIHNKFDEAADMAVESDEYSEMLWSCIDDFQKRINKSDVDGKGTQHPSARLTIENRLLSPLALRRQGRPSTKRKVSKVEQTIKKHLQPKKKGQSSGEHDQQVSQHHQTVDTYARDIVGATAQGSTEIGTQETILQANATYGQYPGQVLNMQHVGSNPLLPPLVQVAPEIRGSTWGQHGVSDYPFQGYNDNK >Ma03_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9032177:9038560:1 gene:Ma03_g11630 transcript:Ma03_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDESPLESSDEELICDKDSGNQERMEKRVAGLEIVKAPEPGMTFPSLDALVEYYKKYGKQEGFRVSRKSRTISSSGKIEHVTIACSREGKPYIYKRNILQPKPTTKTGCKARVNATLFENMSCRINSVRLEHSHSLVPRKSRFCMCNRDLSIGAQKRLELNNMTGTGVNRNDTTCSVQAGGCETAPTLEKDCKNSVEKASVNHHGQSILFGCGLLSNEDTMTFTWLFRAFLSCMSGRAPNAIITDQDKAMQKAIETVFPGIRHRWCLWHIMKKLPEKFKGYRVYEEMRKLMQNAVYDSFTKEEFEESWTKFIEKFNLYDNAWLKGLYEERHRWVPAFVKDSFWAGMSTTQRSQRMNPFFDGLVYSKTTMKQFVEQYDVALESKVEREDQADFQSFNSWVPCVTHFDIEKQFQQVYTIDKFKEFQQEMVAKLYCEVTLAGGSDGISEYDVSEDVLIGDDDDQHHKLVHFKVHFTEGDCEVQCSCHLFEFKGIICRHMISVLVKRQISSVPSRYILPRWRKDLKRRHMKVRVGYCGWSNNPKAQRYDYIHNKFDEAADMAVESDEYSEMLWSCIDDFQKRINKSDVDGKGTQHPSARLTIENRLLSPLALRRQGRPSTKRKVSKVEQTIKKHLQPKKKGQSSGEHDQQVSQHHQTVDTYARDIVGATAQGSTEIGTQETILQANATYGQYPGQVLNMQHVGSNPLLPPLVQVAPEIRGSTWGQHGVSDYPFQGYNDNK >Ma03_p11630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9032177:9038560:1 gene:Ma03_g11630 transcript:Ma03_t11630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDESPLESSDEELICDKDSGNQERMEKRVAGLEIVKAPEPGMTFPSLDALVEYYKKYGKQEGFRVSRKSRTISSSGKIEHVTIACSREGKPYIYKRNILQPKPTTKTGCKARVNATLFENMSCRINSVRLEHSHSLVPRKSRFCMCNRDLSIGAQKRLELNNMTGTGVNRNDTTCSVQAGGCETAPTLEKDCKNSVEKASKFWLGVGDAEAIFDYFIRMKSKNSSFFYAMDVDEESRIKNVLWADARSRAAYEEFGDVVTIDTTYLTNKYDMPFVPFVGVNHHGQSILFGCGLLSNEDTMTFTWLFRAFLSCMSGRAPNAIITDQDKAMQKAIETVFPGIRHRWCLWHIMKKLPEKFKGYRVYEEMRKLMQNAVYDSFTKEEFEESWTKFIEKFNLYDNAWLKGLYEERHRWVPAFVKDSFWAGMSTTQRSQRMNPFFDGLVYSKTTMKQFVEQYDVALESKVEREDQADFQSFNSWVPCVTHFDIEKQFQQVYTIDKFKEFQQEMVAKLYCEVTLAGGSDGISEYDVSEDVLIGDDDDQHHKLVHFKVHFTEGDCEVQCSCHLFEFKGIICRHMISVLVKRQISSVPSRYILPRWRKDLKRRHMKVRVGYCGWSNNPKAQRYDYIHNKFDEAADMAVESDEYSEMLWSCIDDFQKRINKSDVDGKGTQHPSARLTIENRLLSPLALRRQGRPSTKRKVSKVEQTIKKHLQPKKKGQSSGEHDQQVSQHHQTVDTYARDIVGATAQGSTEIGTQETILQANATYGQYPGQVLNMQHVGSNPLLPPLVQVAPEIRGSTWGQHGVSDYPFQGYNDNK >Ma11_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6389624:6399017:1 gene:Ma11_g08040 transcript:Ma11_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MFAEGGERGVPGGGPLDLSAASRIWPNYFPQVEFALEDRKVKLVALLADFFCTPQADPFLSQVQDDCGTFFLPIDMQHFQKACGVDEFYAVLEEAPKEALSCMGAAVHMVLSTKKINYHLEDAEKINIRLYNHPDSMITLKNLKAAYIGKLVSVRGSVVKVSTTRPLVLQMEFACGKCGTVIARVFPDGKFSPPVACSIHGCRSRTFMPNRSTAKLIDFQKIRVQELLKSENHEEGRVPRTVECELAEDLVDSCIPGDVITVTGIIKVINNYMDIGGGRSKNKNQGLYYLYLEAVSVRNSKSQSTEDVRVTNSESGAYMLFDFFSFSPRDLEFIVKFSEEHGSDIFRQIVHSFCPSIYGHELVKAGIVLALFGGVQKHSVDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDPMTSDYAFEAGAMVLADRGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLRMSAALLSRFDLVFILLDKPDELLDKRVSDHIMALHTRNSEHLFSTKKQRTAMQPDKNMEVGVRIGSLSSRLRLDPVKDKDFVPLPGPLIRKYIAYARNFIFPRMSRPAAEILQKFYLQLRDNSTSADGTPITARQLESLVRLAEARARLDLREEMTVEDAMDVVEIMKESLYDKYVDERGFVDFARSGGMSQQKEAKRFLGALHKQSELQQKDCFSISEIYSLADKISLRVPDIDTFVENLNSVGYLLKKGPKMYQVLSSSYSHSQSTRSRC >Ma03_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9220455:9240839:-1 gene:Ma03_g11900 transcript:Ma03_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD9 [Source:Projected from Arabidopsis thaliana (AT3G01460) UniProtKB/TrEMBL;Acc:A0A178VJY9] MDPAPATASKTHEVRLAPLFIDLNEAPPPPCDTPPADPPSHGFSLDSRALACRFHASLAPAPGPAADFPGEAGVGKLPLPCGVCGRPETRGGTVVCDGCERGFHVGCVRNRLRYPAAAVDDWLCHECSASGRPTKRWTLGAARLLDINALPPSEGDVEELQSSGNVGCRVNNVDVANVSGSGQPFPQHVGQIRNDCDSAKDTGSVDDFSRITEDGKRFGSGNDVAHYLGIKSSYTYVDIGEKSDVSGVVQRSLPPRRRKRDLSRTWTATSSSENQESVRVNCSGEPSSDNEVMESQYSDFRRPSRVTNAYTEENNGHGSQMLNGCLPVQYEDFFVICFGRIDLRLAYHNNCQIWPVGYRSVWHDRITGSIFECEVSDGGDAGPVFKVRRHPCSALPIPIGQTVLLYNNANKCDASERTETNCVILESDLEKDDDIIMLLSDPSYSDLEQVSCFSSNLCGNSHGTSTQMEVDEPDGLTSHSEKFDDSSVRTSTLRDEIGDFYVEGRSSFSVWKMVSQTLVDSCREVYKQSGSLQFTCRHRNQISSSLADNGRPRLVDHLGTLARFCSSAGPTNMPQVIQNHTEFDLSCQLVAEWLNQDRFGLDMGFVKEVIETFPESHACTGYQFLANRADFSKSMTVASGVILAVQRNGDGSEDKVPSYGLYRRQMMLKQQDFAADHQLSDRQPPPGKPCSRRLPAELVGDVYQIWEFLWRFYGTLGLNEPPTLEELEEELIDPWPIDSKYMEKLEKEIEDFREPDGRISLSTCESGSTASEVSPFMFIPNETASAREAAQAKLASRTYGRCTGVTLTKIHISLLKILIGEILGKVTVYLDPNSDARESRSRRGRKKDVENTVAVKEAKTEILPANELTWPDLARRYILAVLSINFVMDSPDVFTREGLKLVRCLQGDGGVLCGSLSGVAGMEADAMLLADAERQISDSRLQENKVLPVDQKDSDAVSTSEPAVVNGNNLPEWALPLEPVKKLPTNVGTRIRKCIYDALDRNPPEWAKKILEHSISKEVYKGNASGPTKKAVLSVLAEASGGKHQQKSEKRSKEKSPISLSDAVMKRCRIVLRRAVSADEGKVFCNLLGSPIANVNDNEDEGVLGFPAMVSRPLDFRTIDLRLAVGAYGGSHEAFLEDVREVWHNISTAYGDRPDLMQLVETLSQKFESLYEKEVLILVEKIADHVGNEPLDTEKRKELYNIILAANEIPKAPWEEGVCKVCGIDKDDDSVLLCDSCDSEYHTYCLNPPLARIPEGNWYCPSCIRIQSKKQDLDQHTEVTKRHMRRHLGEEGRAFQEALYQLACTMDEREYWEYSVEERIFLLKFLCDEVLNTALVREHLDQCTEKSNDLQQKIRTLVMEWRNLKFKEELLALSIAKESTSKFNEPGDVASEEGEANMYSGHGRLVEYQQNVNNSSVTDSGNRLKGASFIIEGCPGEDGRIDFSKSVGQLFKSSVIDTHADGRKSQVQSGERDVLEERSVPGNLNPNTTVGKEDQINEQDERLLLVSAQQDNKESTEESIHGFQHETEKRELPVKESSLLGRPDLMQAINMKRNAGVLTNADNLHGSSLGSDSGRLQSRENYITMITGLVKTSGELLASKGVLQENADDVLVTSSEHESSDLGMSGLKNEISHIEESIFSFESQLMMSSLRRDFLGRDSFGRLYWVIGRPGRHPWLVADGSITVPQERNKVEDFKDPKADVLMDMVSSCSVLMRTGPGGSDACSTSTCDMHDRNFCSFSLYESDNEIQEITSWLSDADPKERELKECILQWQRLVHQVTNHISNSSQLTSKSSTSKNCTVAQSLTTKAMMILEAKYGPFLDPEVSEIPKRKGRKAKQNHERMYRCECLEAIWPSRHHCLSCHQSFLTAVELEGHNDGRCTPNNPVSDESKENDDIIRVKGTRSESTRGKENPDDVDFVDTSKNKIVDASSNLVRISRKACPYDFDEISKRFITRNSNKELVQEIGLIGLNGLPSFVPSPVFFLNSALVLNPSLKSDTNMNSELAFASEGWLLSSMQRGGKGISATQDETGKGTRQANISAHNFHGNVNDEQSQRTKKSNTGSGDGEEASSITNKIQRSGRSCTVPESSLRPLVGKISEILKCLKVNLLDMEAALPMEALRPSRSQMPKRCAWRGFVKSSESIFEMVQATTLFEGMIKTEYLKNGWWYWSSQTAAAKTSTVASLALRIYTLDDSIIYVKDQVPGSDPTENLKQTTKTGKKRKDIEL >Ma01_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19676738:19678527:-1 gene:Ma01_g20880 transcript:Ma01_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKHEFQSVSVLMAVLLFVFPTPCAAVMSEGTAMQLAHAELVRVAGYGDERLSTVLVTGTLLCSARMHRSPGLFTSNVPGAKVAVACKTEGRRELSWAYGLTDDYGEFIVDLPSHLHANPKLEEDCIVRVLRVPTKGSFCDLVSGVRSKHITLSSVGESIRVYTAGTLILSHRTRS >Ma04_p05210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3894921:3901983:1 gene:Ma04_g05210 transcript:Ma04_t05210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKEERGRSGEEEAEAEAVVAGEGEVEEEEMVLPNMSVRVLLVEGDDSTRQIIAALLRKCGYRVAASSSDGLKAWETLREKPQSIDLVLTEVDLPSVSGYGLLSMIMDHETCRNIPVIMMSSHDSVSIVFKCMLKGAADFLIKPIRKNELRNLWQHVWRRQIASGQSGVHEIQDKCDAKHKLKAHSRKGGHSTDYTYKECSDAQSSCARSDVATDIKHNHLELKQRKAVEASVTLNDGENIQFYNAPFYNENAANAASKNVDVIQKECMDSNTITNEKDGQKCYHDITSIIRVIDNQSKGTLQSRGRNTVQSGSSDMAIHDIDVIHKSCPTPHLELSLKRCERIFPEKHDYDEINVWNHSNSSAFSLYNSRTVMPTSMNSGSKGNDPNCTEHSKYQGSTINMEETTFEVVEHSVQCNPLQVIPFTLPVGSMPLCSEYDTAMQHMIYTESDHKFWSTSPSVWLKTTTETNSSHQPTQEDQDSVEGGIPDGKNTRSSSYHNVQEQEEHMEVDKQRHILSAAGESGSSSICNGGRSQPNSSASGGVLSGTTGHTFATNIFGPITVTVNDEVKLAREGTKVTDGSMSQREMALNKFRLKRKERCFEKKVRYHARKLLAEQRPRVKGQFVRQAKLNPQPTFAGAF >Ma04_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3894921:3901983:1 gene:Ma04_g05210 transcript:Ma04_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKEERGRSGEEEAEAEAVVAGEGEVEEEEMVLPNMSVRVLLVEGDDSTRQIIAALLRKCGYRVAASSSDGLKAWETLREKPQSIDLVLTEVDLPSVSGYGLLSMIMDHETCRNIPVIMMSSHDSVSIVFKCMLKGAADFLIKPIRKNELRNLWQHVWRRQIASGQSGVHEIQDKCDAKHKLKAHSRKGGHSTDYTYKECSDAQSSCARSDVATDIKHNHLELKQRKAVEASVTLNDGENIQFYNAPFYNENAANASKNVDVIQKECMDSNTITNEKDGQKCYHDITSIIRVIDNQSKGTLQSRGRNTVQSGSSDMAIHDIDVIHKSCPTPHLELSLKRCERIFPEKHDYDEINVWNHSNSSAFSLYNSRTVMPTSMNSGSKGNDPNCTEHSKYQGSTINMEETTFEVVEHSVQCNPLQVIPFTLPVGSMPLCSEYDTAMQHMIYTESDHKFWSTSPSVWLKTTTETNSSHQPTQEDQDSVEGGIPDGKNTRSSSYHNVQEQEEHMEVDKQRHILSAAGESGSSSICNGGRSQPNSSASGGVLSGTTGHTFATNIFGPITVTVNDEVKLAREGTKVTDGSMSQREMALNKFRLKRKERCFEKKVRYHARKLLAEQRPRVKGQFVRQAKLNPQPTFAGAF >Ma08_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34417024:34422174:1 gene:Ma08_g20310 transcript:Ma08_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQQPMMAAYASPNQVTTDIIQQYLDENKQLILAILDNQNAGKADECAENQAKLQRNLMYLAAIADSQQQVPTLAQFPPNTVMQSGPRYVQYQQAQQMTPPQSLLAARSSMLYAQSPMSSLQHQAALHSQLGVSSGGNTGFNMLHGEASIGGNTALAAGVYSDFGGRSTNSAKQEAGNAVSTEARGGNSGRQNGDGTEPPYLKGSSEEEGN >Ma01_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6318243:6326863:1 gene:Ma01_g08820 transcript:Ma01_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MSSRAFGLLQQWRISSSKPPRGRIRGISRLSGGLEVRTSSSLFLSQRLQSATTEAADRDPLRSHNRNGNSIMDEPLPKHKTTGAFQQLPMVMPSVDILSSAQRKARHVSPTKGIHNIAKRERNRGAKQLDALMKELAVPLRKYMETFPNKRYLHPYERSLIELTFGDGNYEEILGRVDALRKKVVSVGKQHASLCAQSTSKREAEERLNEGLKKLEEVFQFGRTAVDDLLNVAKTLRAMPVVDPQTPTLCLVGAPNVGKSSLVRILSTGKPEVCNYPFTTRGILMGHIILNYERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPTAVLYVHDLSGECGTSPDDQFTTYKQIRERFKDHLWLDVVSKCDLLQESPDGTSAPDETGRYKRFGPDGSIHVSVKSELGIDELKAKVRDLLLSQMARIGSQKNADIEEAS >Ma07_p28880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34772852:34781097:-1 gene:Ma07_g28880 transcript:Ma07_t28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSIPIEGQFISVANLKERIFETKLFGKGTDFDLMISNAQTNEEYVDEGAMIPKNTSVLIRRVPGQPRKPIVTERNEMKIVEDKVEDLPPSSSLLVDASSTTKYPEEFEWDEFGNDLYAIPEVNPAQSSNPVIDTSPANKVDEDSKIKALIDTPALDWNRQTQDAYGAGRGFGRGIGGRMMGGRGFGRGMLERKTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPTTRSVSDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIITKLMCVCGATNILADDLLPNKTLRETISRILESATSSTENAGSMVQVQDMESARPFQPKIPSPTLSVASRDEPKQPTMEQPSHKKEGEVACETKDSNNEMNSLDKKSAINTNVSEATPEPLSKGPKSPESAPVPEDVQQEKHLAGDLGKKKKKKKARLPGTAGDMQWRAYQDPGAENFGMPVAASGYNPYWAGGMPLGVDGYMAPFGGPMPFMGYAPGPFDVPFGGGIFPQDPFAAQGFMMPAVPRDLSELGMGSMGMKQGPPGMSRDDFDARKADLRRKREMERFSQRDREHSKDRESRRESSSVNDASSMRPKPRPMFQADRFDRERDRSERSASVGRHGPARDSARHSPPRPRKRKAAEDHDEAPSDAAAEAAKAERKQKGSVFSRISFPDPGEGASKKRKSSSSELAPRNGLKEPAGRKVGSEGHRDEPKGGKSSSVSAKRGSGGHDLESSEEEYHFKRRPSSSSSRRDAAVDREEEAPRTSRRSRERERERERGPHERGGRERERGHERPASKRR >Ma07_p28880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34772851:34781097:-1 gene:Ma07_g28880 transcript:Ma07_t28880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSIPIEGQFISVANLKERIFETKLFGKGTDFDLMISNAQTNEEYVDEGAMIPKNTSVLIRRVPGQPRKPIVTERNEMKIVEDKVEDLPPSSSLLVDASSTTKYPEEFEWDEFGNDLYAIPEVNPAQSSNPVIDTSPANKVDEDSKIKALIDTPALDWNRQTQDAYGAGRGFGRGIGGRMMGGRGFGRGMLERKTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPTTRSVSDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIITKLMCVCGATNILADDLLPNKTLRETISRILESATSSTENAGSMVQVQDMESARPFQPKIPSPTLSVASRDEPKQPTMEQPSHKKEEPLSKGPKSPESAPVPEDVQQEKHLAGDLGKKKKKKKARLPGTAGDMQWRAYQDPGAENFGMPVAASGYNPYWAGGMPLGVDGYMAPFGGPMPFMGYAPGPFDVPFGGGIFPQDPFAAQGFMMPAVPRDLSELGMGSMGMKQGPPGMSRDDFDARKADLRRKREMERFSQRDREHSKDRESRRESSSVNDASSMRPKPRPMFQADRFDRERDRSERSASVGRHGPARDSARHSPPRPRKRKAAEDHDEAPSDAAAEAAKAERKQKGSVFSRISFPDPGEGASKKRKSSSSELAPRNGLKEPAGRKVGSEGHRDEPKGGKSSSVSAKRGSGGHDLESSEEEYHFKRRPSSSSSRRDAAVDREEEAPRTSRRSRERERERERGPHERGGRERERGHERPASKRR >Ma03_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22903475:22905150:1 gene:Ma03_g17530 transcript:Ma03_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNVEESIALHAKYESLEGKDCQESIFSSMPKSPLPNSRPNSMVVKKVCPREFIPPHIVAEAISTLRGLDLRWSGPITPTEMQYVEQYVLAKYPQYSQGLIEEGDKNDLYSAYCNDKSPGGAREASCSPSMASNHPDLDMTRLEPSRLLDILARKSSFPGSFISIPEIQAQNRVLRHCGLTDEDYVVLFAPSYRDAMMLVGESYPFFRHNYYMSILDEEADCVREFAAYKDAKVIAAPATWLDLRIKGSQLSQYFRRKSKQRPKGLFAYPAESRGARYSMHWVSEAHRNSWHVLLDAAALVVGEDRLSLALHRPDFVLCTLDNTHANPSKVTCLLVRRRAFDTTLPQLEG >Ma03_p17530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22903472:22905150:1 gene:Ma03_g17530 transcript:Ma03_t17530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNVEESIALHAKYENNSVQSLEGKDCQESIFSSMPKSPLPNSRPNSMVVKKVCPREFIPPHIVAEAISTLRGLDLRWSGPITPTEMQYVEQYVLAKYPQYSQGLIEEGDKNDLYSAYCNDKSPGGAREASCSPSMASNHPDLDMTRLEPSRLLDILARKSSFPGSFISIPEIQAQNRVLRHCGLTDEDYVVLFAPSYRDAMMLVGESYPFFRHNYYMSILDEEADCVREFAAYKDAKVIAAPATWLDLRIKGSQLSQYFRRKSKQRPKGLFAYPAESRGARYSMHWVSEAHRNSWHVLLDAAALVVGEDRLSLALHRPDFVLCTLDNTHANPSKVTCLLVRRRAFDTTLPQLEG >Ma02_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19983435:19986597:1 gene:Ma02_g10390 transcript:Ma02_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMASSLAVSPSPAKLRSSHYALGFLSSSSISACQFLRPSPGARTHRRALSIRALTLDFSGSFFEGGGNEEEGPSASAAAGVALEDKEEPQCPPGLRQYETMAVLRPDMTEDERLALIQRYEELLVAGGGMYVEVFNRGVIPLAYSIKKKNKAGESNTYLDGIYLLFTYFTKPDSLAVLESRLNTDDDVIRSTSFKIRKRKY >Ma05_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8628838:8630328:1 gene:Ma05_g11800 transcript:Ma05_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPENNSGSRGRRQKGIVRLVLPDGGTRRSAPLVVEKEASTSLPPPKKIRSPDRTSPASSPRLAASSAPIFPFSCEDSLPVLHAPFLPPSVVGRFQQQMICFARSDPHQVGAHPHPPWLMAEGVPTMSQQRQQQKQKYHEHLLKYWSEGLNLSPRGNLTGPGLFRPPVPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEAAALAYDREAFKLRGENARLNFPNLFLGQGASFSSSSSAPATPEETNQQQQDEPSEPSQLQPQTETPSASAATTVGGTSMATTQTTEMVWGEADEAWFSTWGPGSYVWDDIDEANSILFQSQLTSIAESNIDCLDSTASTATAARQDTSAPPTSSPSRFMWKE >Ma09_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9772735:9775449:1 gene:Ma09_g14300 transcript:Ma09_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPKMGFRSSKRPLTTPWSATSGLDFGVIGEALFASQTQPPIGVQDSAINYQSLASST >Ma08_p26130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38739629:38743889:-1 gene:Ma08_g26130 transcript:Ma08_t26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYMENVKRLRTQINDIEEAAAKRSVEEQKQKTAIGALQTDLNLVRTEIKRLNEEAAEMLKAQAQTSSEIAEKQKKMSSLETESCTLSQTLELLQQEMTTTTLKHKEKRSYYARVTEDLNLKLREQQEWYNSKRQKMKADAASVDDNVDKEIVQTKGSGNAVPSMGANLGNTGNDTSGRNKDLEIELESAKTKLAEIEAKKSEVARDSIKSKQLLEEMSYKLQAAPPALREMDVKALEEEHRSLVADKAGELEYLQSLKERIKQLKNISHIIKCRCGKEYNVELVS >Ma02_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24421724:24425955:1 gene:Ma02_g17580 transcript:Ma02_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLSKLTSSTALLLLPLLFFFLASDAQQLPNSQSKTLLRLQRLLENPPALAGWSRATDFCSLPPSPSLTVTCSAGRIVELVIVGDRPASPGAPNALSPAFSSDSLFTTMSRLPSLTTLSLVALGLWGPLPAKVDRFPSLKVLNLSSNYFTGAIPMEISTMSSLQNLVLSGNSFNGSLPDLKPLAALVELDVGGNRLGPEFPSLSTGIVSLVLRNNRYRGKIPANLTAFHQLQKLDLSSNRLSGWIPPLLFSLPSIHHLDLSDNTLTGQIPSNVSCGSVLGFVDVTNNLLVGGLPSCMRSNSSNRMVLSSGNCLDVGGMRFQHPNAYCNGAAFAAILPPANKISGSKSNVGAILGISGGVVVGAALLGLLVFLVFRSSRTVESKAIVLYKPEAAKSLPQDTTKTPADARHMSEAVRIGTLGLIPYRVFSMEELQQATNSFNPSNLIEDSARGQFYKGWLQDGSMVTVRRLKLNPRFSPQNLPQYLDLISKFRHHHLASILGHCIDSSQDGINITTIVFLVSEYITNGTLRSHLTEWRKREMLKWPQRLAAVTGVARGIQFLHSVTVPGIVGNDLNIENVLLDKTLTAKISNYNLPVLPKNKNNKIGGYESPLIAVEDRDPGSIYGLEHGEKDDIYQLGLILLEIITGKPAGSKSGVDFLRSQLQKSLTDSPPDLRGIADPTIRGTFAVDSLRTAVEISLNCVSGDPNQRPSIDDVLWNLQYSAQIQDGWASSESLSIQV >Ma06_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5463253:5469432:-1 gene:Ma06_g07700 transcript:Ma06_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRGFWGRYRRKILISLGVLGSGYFVYKLYESHSRRLSDLERQLDGARQVDELIKKQLHAHFENIQRISDTTTLPYAMHYLRSRISEDLDLSHLTEKLMQGKGHSSSLAVKEKLELWERLKILSFTRTAASLWSMTVLCLYVRVQVNILGRQLYVEIARDSENSEALDEIDSFGRHSQQDFLATADYLATYGINSLVMNMQSAAREVLKDKQLKEPFTAVQLRETMIQILQRFMNIGEPNYWLSYVVPENVNDYKQQMAMSDTGFDDSSIIMDASKLEHLLFETRAVLSSPDFRNVLEISLNKVVDILTEDVGIHVGGTSSSGVPLAKLLPQITWLSLPLLGEPGDNKFVQSVRSLPEVELFYTLLYANMPLAT >Ma08_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15642864:15644244:-1 gene:Ma08_g15480 transcript:Ma08_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREIHDAQKPGQWARSAPRHHPWFEGHLLLLLLPPHLRLPVSSPQRPLRHQETRKQTPVRRQQERKTSERLKLMESSSFSCSSSSSASSSSASDLEAGRAAPYNSAGAAAGSRSPKARFFCDGLDHEEPHHFLDSCFLCGKPLAGNRDIFMYRGDMPFCSEECRQEQIEMDESKEQNRKVPPKASSSSKDSSKGGTATGPSKSHKVHVRAGTAVVAG >Ma10_p22370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31779659:31783006:1 gene:Ma10_g22370 transcript:Ma10_t22370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRTQKINSTEAALGISEEASWHAKYKESAYIFIGGIPFDLTEGDLLAVFSQYGEVVDVNLVRDKSTGKSKGFAFLAYEDQRSTILAVDNLNGAKILGRIVRVDHVSNYKKKEEEDEEERQKKREARGVCRAFQRGECKFGDSCKFSHDEQRSANTGWGPKDSDSRWNHDRYDGPPNSHKNASRHASDPTLHQRVKEGDSKSGSVNKDSHPSRLKTYEDDDEYRESRRDKPSDRRNLEANREDRLDKQGNDKRRRHDEESSSSRYRSREDRGSPSRVHRR >Ma10_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31779666:31783006:1 gene:Ma10_g22370 transcript:Ma10_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRTQKINSTEAALGISEEASWHAKYKESAYIFIGGIPFDLTEGDLLAVFSQYGEVVDVNLVRDKSTGKSKGFAFLAYEDQRSTILAVDNLNGAKILGRIVRVDHVSNYKKKEEEDEEERQKKREARGVCRAFQRGECKFGDSCKFSHDEQRSANTGWGPKDSDSRWNHDRYDGPPNSHKNASRHASDPTLHQRVKEGDSKSGSVNKDSHPSRLKTYEDDDEYRESRRDKPSDRRNLEANREDRLDKQGNDKRRRHDEESSSSRYRSREDRGSPSRVHRR >Ma10_p22370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31779659:31783006:1 gene:Ma10_g22370 transcript:Ma10_t22370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRTQKINSTEAALGISEEASWHAKYKESAYIFIGGIPFDLTEGDLLAVFSQYGEVVDVNLVRDKSTGKSKGFAFLAYEDQRSTILAVDNLNGAKILGRIVRVDHVSNYKKKEEEDEEERQKKREARGVCRAFQRGECKFGDSCKFSHDEQRSANTGWGPKDSDSRWNHDRYDGPPNSHKNASRHASDPTLHQRVKEGDSKSGSVNKDSHPSRLKTYEDDDEYRESRRDKPSDRRNLEANREDRLDKQGNDKRRRHDEESSSSRYRSREDRGSPSRVHRR >Ma03_p28160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31304221:31305756:-1 gene:Ma03_g28160 transcript:Ma03_t28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSQMMNRGFGIWPPPADDPMGFPPLPRPPPFAAGAGGGKPSRMNWKGKKVADKRKKADGGVVGPVGGGVSGYNPLGLGEHQFQNRAKARRFYPKKKFVRFAPFAPRNTTSFIMRAKKAGGIASLVSPCPVTPTILPTPSFSPTREGLVDMAKEEWGVDGYGSMKGLIRLRSPNGYEIRAGGGEEEDELDEVSSDSDVDQYLDQQHQEVERRLDHDVSRFEMVYPGEEHGSGATACLLENRVDNQDTHIARLEEENFMLKERLFLMEREMGDLRRRLQLLETRCHLGEEHHKDSGDGNNRDVRNENRSTNEEASENEEGGDVCSDRIAEDCDESAGIPMRE >Ma09_p16980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12476466:12478547:1 gene:Ma09_g16980 transcript:Ma09_t16980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLGLKEEEMALNQLKPTADKGFFPPPPPPPPFLKALSSPSGERECMSVEQGWRLQGLKSWQEHHKGEETNDHGEEDEGGSGATDESVEQHKLCARGHWRPAEDAKLKELVSQYGPQNWNLIAENLQGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLAARRLYGNKWALIARLFPGRTDNGVKNHWHVIMARTKREQSNGHRRRKPPTPSSLSSPPTEALLKRVEANSSSYLSCSGSGKKVWNQRHQKSDDPASGFLCCGAPIRWIPGFNQSACSANPENSANGPVDYHMTSAWLDGDVREKIGLPFIDFLGVGTTT >Ma09_p16980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12476466:12478547:1 gene:Ma09_g16980 transcript:Ma09_t16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLGLKEEEMALNQLKPTADKGFFPPPPPPPPFLKALSSPSGERECMSVEQGWRLQGLKSWQEHHKGEETNDHGEEDEGGSGATDESVEQHKLCARGHWRPAEDAKLKELVSQYGPQNWNLIAENLQGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLAARRLYGNKWALIARLFPGRTDNGVKNHWHVIMARTKREQSNGHRRRKPPTPSSLSSPPTEALLKRVEANSSSYLSCSGESTITITSTKDESASTCTTELSLNSFTTMVAANSLNRHSPPHRAHLHDPVIGSGKKVWNQRHQKSDDPASGFLCCGAPIRWIPGFNQSACSANPENSANGPVDYHMTSAWLDGDVREKIGLPFIDFLGVGTTT >Ma09_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12476466:12477850:1 gene:Ma09_g16980 transcript:Ma09_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLGLKEEEMALNQLKPTADKGFFPPPPPPPPFLKALSSPSGERECMSVEQGWRLQGLKSWQEHHKGEETNDHGEEDEGGSGATDESVEQHKLCARGHWRPAEDAKLKELVSQYGPQNWNLIAENLQGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLAARRLYGNKWALIARLFPGRTDNGVKNHWHVIMARTKREQSNGHRRRKPPTPSSLSSPPTEALLKRVEANSSSYLSCSGESTITITSTKDESASTCTTELSLNSFTTMVAANSLNRHSPPHRAHLHDPVIVSIIFLCRIW >Ma03_p00390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:355572:359642:-1 gene:Ma03_g00390 transcript:Ma03_t00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLEDEDAVRLCRDRKNFIKQAIEHRNQFGYGHIAYIESLLRVSQALRDYAADDEHHFFLTACRSIPSQPARRLSPEIVMVPKQSFPPQQKQSERSTSFFAVNYMKAGWNPSISVEQWPETPETVRTEYYYPTGHYGVEGYTPAEAPTTDSSFFSSSYARPKYPPASPQASQSDIFWNPFSSLNTDLYAYGNSSEDVLLDEDADRLRKVRQEEGIPDLEEEEEDDDDDEGIQNVQMKEEGSRIHPKPTAKLIASEQTADVSRKNDKVNEIKEYRSQGGQSTEVSETRNAVEHEVNNGPEIVGNGNGNYGTHETPGFTAYVNRRPTSMGEVMENVEAQFVILCDFANELSLILEASRVQPSSSPLESFRMLNPAALLRSASSSRSSSSRFLQVSSGRTNDAYESSNNDIEESCTVSRSHKSTLERLYAWEKKLYEEIKCGERARIDYEKKCMQLRIHDINGEEPFVVDKTRVAIRDLQTRLRVSISSVEYISKRIEALRDQELHPQVMELIQGLARMWRTMAECHRCQKRTVDEAKLLLFSPSTAAVPVGVPLPRASRVAAALEVELRNWTSRLAAWVQAQRCYARALAGWIRRCAPPTLDATAPTPSRSGGGPAPPVYTACVRWSRLMDSVSEAAAIEGLEMFVAGVASMVAGHKREEEEVEDPRRAAELGPKVVCAGLAVSVGALADLAANSAEGYEELVRTLPSG >Ma03_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:355863:359642:-1 gene:Ma03_g00390 transcript:Ma03_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLEDEDAVRLCRDRKNFIKQAIEHRNQFGYGHIAYIESLLRVSQALRDYAADDEHHFFLTACRSIPSQPARRLSPEIVMVPKQSFPPQQKQSERSTSFFAVNYMKAGWNPSISVEQWPETPETVRTEYYYPTGHYGVEGYTPAEAPTTDSSFFSSSYARPKYPPASPQASQSDIFWNPFSSLNTDLYAYGNSSEDVLLDEDADRLRKVRQEEGIPDLEEEEEDDDDDEGIQNVQMKEEGSRIHPKPTAKLIASEQTADVSRKNDKVNEIKEYRSQGGQSTEVSETRNAVEHEVNNGPEIVGNGNGNYGTHETPGFTAYVNRRPTSMGEVMENVEAQFVILCDFANELSLILEASRVQPSSSPLESFRMLNPAALLRSASSSRSSSSRFLQVSSGRTNDAYESSNNDIEESCTVSRSHKSTLERLYAWEKKLYEEIKCGERARIDYEKKCMQLRIHDINGEEPFVVDKTRVAIRDLQTRLRVSISSVEYISKRIEALRDQELHPQVMELIQGLTAEQAIRAPQSPFLPQVSKDVEDHGRVPSVSKAHGRRGQAPAVLALHRRRTRRRTAAEGLSRRRCARGGAPELDLSPRGLGPGPAVLRPRPRRLDPPVRPADP >Ma11_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19047352:19052190:-1 gene:Ma11_g13880 transcript:Ma11_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDNPFSLLGDDDDGGDVAALLLKVEAKISAAAAAAGGVVVEEKPKSRTGTDGFLSKPLPPERMERGRGRAGRGRGLGRTGFRSGSTGGADDDAAVKFASGYLRSFGSDSGGRGRGYAGGRGGRGRGRGRGFHEGQGFDSAEMEIQGKGGDGLDERDDALSRYELVENREPRYESRKFGDEQKSSGWEEKNFDSDNRRFRGAEGRERRGFDGGKHGYRGGVSQRYVEREPVLDGKSDGWNESQIKEDAPKGKDQTENNALTGDDASGWNLPATTNIPKGAEEDNADQKQLVAQEALVETNTKEEDNEMTLHEYAKVLQEKRKALVSLKIEERKVAVDKDFESMQLVERKKEDDFIKLKIEKEKLKKKDNTEKEEKVRKSVSINEFLKPAEGERYIGPSSGRGRGSGGRGRGRGDRGGYRGGYSGRGGHGHDAATALHFEDPAQFPLLGAAAKA >Ma06_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5477845:5479670:-1 gene:Ma06_g07720 transcript:Ma06_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSPELQRFLEQEKQKAMVSEMVGKLTNECWDKCITGTPGSKFSSSESACLTNCAQRYMDLSMLIMKKFQSMQQ >Ma06_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9340799:9343054:1 gene:Ma06_g13630 transcript:Ma06_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGYYSSKKTDDICEDLCGEQTSRGGLSMSRLRCALRGFDLKALLLLFIGAPVVIFIIYLHGQKVTYFLRPLWESPPKPFRTILHYYHPNVSMEQLCRLHGWGIRDNPRRVFDAVLFSNELDMLEIRWHELSPYISEFVLLESNSTFTGLRKPLVFASNRRRFEFSESRLTYGTVGGRFVKGENPFVEESYQRVALDQLIKIAGIEDDDLLIMSDVDEIPSGHTIDLLRWCDEIPEKLHLQLRNYLYSFEFHLDDKSWRASVHRYRGGKTRYAHFRQSNDLFADSGWHCSFCFRHISQFIFKMTAYSHVDRVRFAYYLNPSRIQDVICRGADLFDMLPEEYTFQQIIAKLGPIPSSYSAVHLPSYLIQNVDQYRYLLPRNCKRESE >Ma06_p13630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9340799:9343054:1 gene:Ma06_g13630 transcript:Ma06_t13630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGYYSSKKTDDICEDLCGETSRGGLSMSRLRCALRGFDLKALLLLFIGAPVVIFIIYLHGQKVTYFLRPLWESPPKPFRTILHYYHPNVSMEQLCRLHGWGIRDNPRRVFDAVLFSNELDMLEIRWHELSPYISEFVLLESNSTFTGLRKPLVFASNRRRFEFSESRLTYGTVGGRFVKGENPFVEESYQRVALDQLIKIAGIEDDDLLIMSDVDEIPSGHTIDLLRWCDEIPEKLHLQLRNYLYSFEFHLDDKSWRASVHRYRGGKTRYAHFRQSNDLFADSGWHCSFCFRHISQFIFKMTAYSHVDRVRFAYYLNPSRIQDVICRGADLFDMLPEEYTFQQIIAKLGPIPSSYSAVHLPSYLIQNVDQYRYLLPRNCKRESE >Ma05_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:405933:413914:1 gene:Ma05_g00670 transcript:Ma05_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGVLWFLLLLATGFGVFAGAERPAVVNIGAVLTYDSVIGRVAKAAIEAAVADVNANASVLGGTRLNLVMRDANCSVFLGSAAALSVLEHDAIALIGPQSSAIAHMISSISGGLQIPLISFAATDPTLSSSQFPFFVRTTHCDSYQMAAMADLIEYFGWRQVIAIYVDDDYGRNGIYYLDDELAENMSKMYKIALPVKATRNKLIDLLQKSKTLGPRVYVVHATPDAGLNIFSVAEQLHMMTDGYVWLATDWLSTVLDTSQTVASNSISYLQGVVSFRQYIPRSNQKEAFVSRWGELQKEGLVSLNLSTYGFFAYDTVWATAHAINDFLNEYENITFSSNSNLQSIKGKMRLGMLKTFDGGHLLIKKLLLLNFTGLSGQIQFDGDKNLISRMYEIVNVRGSVTNRVGYWSNHSGLSISLPENLLINRPKNLSFTQVLGRITWPGGKTETPRGWVVASNERPLRIAVPNRASYLEFVRVTNGGDMENVSGYCIDVFKEIMKLIPYEVPYKFVPIGNGQTNPNYDELVNMVVQHVVDAAIGDIAIVTSRSRNSDFTQPYICTGLVILAPIRSIKSSAWVFLRPFTVGMWCVTGAFFFVVGVVIWLLEHRVNSDFRGPPTRQCITMFLFSFSTPFQSQQEEILSTLGRFVMMVWLFLLMVITSSYTASLTSFLTVQKLSSPIKGIDSLIASNEPIGYQEGSFARSYLVDGLNVQPSRLVSLGSPEAYKEALERGPENGGVAAIVDELPYVELFVAKTSGFGIIGQSFTRNGWGFAFPRDSPLAIDMSTAMLKLSENGELQRIHKKWFCNTSCIVQSGINSEPDQLHFNSFWGLFLVCGVATVASLILFFLRSIWQFIRFNRKHREPASTCELPNRGCTQVIFRFFDFIDKKEEAIKNAFKQRNTSLPESS >Ma11_p25000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27790101:27794289:1 gene:Ma11_g25000 transcript:Ma11_t25000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERFAEFPYAHMDRRPRKRPRVGWEAAQHPKAQIGMLCGQEVGDVTSLISSTAPSDHTCSSLYSKGVARNASPPWREDDKDGHYMFAVGENLTSRYKIYSKIGEGTFGQVLECWDREKKEMVAIKIVRSIKKYREAAMIEVDVLQHLGKHDKTGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNAYRPFPIDLVREIGRQLLESVAFMHDLHLIHTDLKPENILFVSPEYIKVSEYKDGSFSKRLPKSSAIKLIDLGSATYDRKDHTYIVSTRHYRAPEVILGLGWSYPCDMWSVGCILVELCSGEALFQTHENLEHMAMMERVLGPLPQNMLKRADRHAEKYVRRGRLNWPEGAASRESIKAVQKLPRLQNIVMQHVDHSAGDAIDLLQGLLRYEPSERMTALEALGHPFFTKNRHRY >Ma11_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27790099:27794289:1 gene:Ma11_g25000 transcript:Ma11_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIKIVRSIKKYREAAMIEVDVLQHLGKHDKTGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNAYRPFPIDLVREIGRQLLESVAFMHDLHLIHTDLKPENILFVSPEYIKVSEYKDGSFSKRLPKSSAIKLIDLGSATYDRKDHTYIVSTRHYRAPEVILGLGWSYPCDMWSVGCILVELCSGEALFQTHENLEHMAMMERVLGPLPQNMLKRADRHAEKYVRRGRLNWPEGAASRESIKAVQKLPRLQNIVMQHVDHSAGDAIDLLQGLLRYEPSERMTALEALGHPFFTKNRHRY >Ma04_p27840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29013360:29017252:1 gene:Ma04_g27840 transcript:Ma04_t27840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSIKTKLSWSKTLVRKWFNIKSKPQDFHADDDVVVEREGDGEWRTNFTERETCTVKKSRTERLNKKNSERVRRGRFDVDVDQVADVEDYKIFVSTWNVGGKSPPKNLNLEEWIHASPPADIYVLGFQEIVPLNAGNVLGAEDNLPAKKWLSLIRKTLNTLPGTCSSGNYSILSPLPDPLVELDADFEGSSTRQKNSSLLHRRSFHSMSRSLRIDGDIMAMQPRLDRRFSVCDRTSIASRPSNFDPSFRCGGSSDDEKLGGESPSTDIFSPMSYVYGAPQYLEERERSSLRSNSRYCLVASKQMVGIFLTIWVRGEIRGDVKNLKVSCVGRGLMGYLGNKGSISISMSFHRTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHRVCDEKSPETILDHDRIIWLGDLNYRIALSYQSAKALVEMHNWRALLEKDQLRIERRCGRVFEGWKEGRIFFPPTYKYSNNSDRYAGDDTHRKEKRRTPAWCDRILWCGRGLTQLAYLHGESRFSDHRPVYSIFTAEVEITNHNQITNMGCSSSRIEVEELLPYSHGFTEFSFY >Ma04_p27840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29013360:29017252:1 gene:Ma04_g27840 transcript:Ma04_t27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSIKTKLSWSKTLVRKWFNIKSKPQDFHADDDVVVEREGDGEWRTNFTERETCTVKKSRTERLNKKNSERVRRGRFDVDVDQVADVEDYKIFVSTWNVGGKSPPKNLNLEEWIHASPPADIYVLGFQEIVPLNAGNVLGAEDNLPAKKWLSLIRKTLNTLPGTCSSGNYSILSPLPDPLVELDADFEGSSTRQKNSSLLHRRSFHSMSRSLRIDGDIMAMQPRLDRRFSVCDRTSIASRPSNFDPSFRCGGSSDDEKLGGESPSTDIFSPMSYVYGAPQYLEERERSSLRSNSRYCLVASKQMVGIFLTIWVRGEIRGDVKNLKVSCVGRGLMGYLGNKGSISISMSFHRTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHRVCDEKSPETILDHDRIIWLGDLNYRIALSYQSAKALVEMHNWRALLEKDQLRIERRCGRVFEGWKEGRIFFPPTYKYSNNSDRYAGDDTHRKEKRRTPAWCDRILWCGRGLTQLAYLHGESRFSDHRPVYSIFTAEVEITNHNQITNMGCSSSRIEVEELLPYSHGFTEFSFY >Ma03_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3139417:3144270:-1 gene:Ma03_g04770 transcript:Ma03_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSISSSNLLDVAADEPDPRRPPRLPPALLMSDADADAAPGDDDQLSSFSPTPARDRRIVVAHRLPLRVAADPAESSGLAFAWDPDALGLQLRSGLPPDAEVLHVGTLPAAVGPAHRAAVSQLLYARFRCLPVFLPADLHHRFYHCFCKHYLWPLLHYLLPLSPSSTGGLAFDRTLWLSYLSANKLFAERLIELLNPDDDFVWIHDYHLLALPTFLRRRSPRVKLGFFLHSPFPSSEIFRTIPVRDELLRALLNSDLVGFHTFDYARHFLSSCSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDLGQLESVISSSETTAKIQELKEKYSDQILILGVDDVDLFKGIGLKFLAMEQLLEEHRELRGRAVLVQIANPPRSQGRDVQEVQEEARSITRRINERFGKPGYEPIVLIDRTVQTHEKAAFYAMAECCVVNPVRDGMNLVPYKYTVCRQCSPAPGGVPKKSVIVVSEFIGCSPSLSGAIRVNPWNVDAVADAMYLAITMPEVEKQLRHEKHYKYVSSHDVSYWARSFDQDLQRACKDHFLRRCWGIGFGMSFRVVALGPNFRKLSVEHIVSAYRNTNSRLILLDYDGTMMPQTSVDKGPSDEVISVLNELCSDPKNIVFVVSGRGKDELSKWFAPCEKLGISAEHGYFTRWNRDSPWESFMLATDFNWKKIAEPVMRLYTEATDGSSIEFKESGLVWHHQDADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVENLLSTMLRTGKPPDFILCIGDDQSDEDMFESIISSTNEALVPALAEVFACTVGKKPSKAKYYLDDIVDVIKLLQGLVHASAEPHKHTDLGVSFEGAL >Ma08_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:144307:147487:-1 gene:Ma08_g00160 transcript:Ma08_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVVVIVGAGQSGLATAACLTALSVPCLILERDDCVGSMWRNRCYDRVALHLAKQYSELPHAPHPPSAPTYLPKRDFIRYLDDYAARFRLRVSLRRNVESAEYDEAARRWRVVARNAEDGAVEEYAARYLVVASGENDEAAVPEIPGLEGFGGPVVHSSQYRSGSGYQGNAVLVVGCGNSGMEVALDLAEHGARTFIVVRSQLHIVSREIWLVAMFLMKFLPCRLLDALILLLCYFKFGNLSKYGLHRPTKGPMYLKKYTPIYPVVDIGTVTKIKSGEIQVVPSIKSIKDNCVTFSDGRFQNFDAIVLATGYRSSVKKWLKNDACLIGEDGMAKQMFPNHWKGKNRIYCAGLARRGIYGSGEDAQSIANDIASDYQSGK >Ma03_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5242603:5243238:1 gene:Ma03_g07470 transcript:Ma03_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYAMNFRSCLSYFITLVLEMILTLLIGEPLPCIRVLPHANQVLAAKRNSHGFSNSLAHQ >Ma09_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12894744:12896570:-1 gene:Ma09_g17320 transcript:Ma09_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRILGFLRPLQRSRCQLSPLSFASLLRFLSVDLCEGSSDPIESNTSLSEGFVLEQLSELLSIDPEPPKARLLTEKPAQESALISLRDRILTPDEKLRGVFLQKLRGRSAVESALSTVGVDLTEKIFADVLNRGSWSGEAMVSFFDWAMQQPKVTPCVETYNVMLKALGRRKFFDFVGEILLRMKNADVQPNAETVEILMDSYLRARQVSKAVQLFRELEEIGAKCNLESLNILLRCLCRRSHVKVANSVFNTTKGEIPFDNATYNEIIGGWAKFGRLDKVEHYWMMMMMTDGLSPDSVTFSHIIEALGRAGRIDDAVDVFEKLEERGSARDTMTYNAMISNFISIGDFDRCIEYYKGMTQSECLPNVDTYTKLIGALLKGRRVADALELFDDMLGKGILPSTGMITCFIEPLCSFGPPHAAMLLYKKSRKAGCMLSLKAYKLLLMRLSRFGKSGMVLKIWEEMQDNGYASDKEVYEYIVNVLCNIGQVDNAVLVVEESLHKGFCLGRIVYSKLNNKLLEMNKIETAYRLFLKVKNARLNANSQSYWRANGWHF >Ma11_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20172770:20173820:1 gene:Ma11_g14510 transcript:Ma11_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFVSWLARLDELRSDLLAALIHRPHLILPAVDAILDHYREYRDARARHADADVLDVISHSWLTPFERTFLWVAGWKPSLAFRLLFHEGGDGGRDGCLLWPDQRTAMEELRRQVVAAEHRISEGLAEAQEAMASQAVLGAVRAGSRNVSARAAAADVVARELRAVVSAADELRDATLRQVVEILTPAQAAEFLALAAELRLRVHRWGLRRNG >Ma04_p30020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30778274:30788209:1 gene:Ma04_g30020 transcript:Ma04_t30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIIFSTKGKLYEYSTDSSMEKILGRYEQYSYAEKAIASSDLESQGNWCHEYGKLKAKVEALSKSQRHLMGEQLDSLNVKELQQLENQLETSLRHIRSRKSQVMFDSIAELQTKEKALREQNKNLEKQITEKQKAKVLIQQAQWEHPQTISSPPPAFLMAEPHSTLNIRSYQGRATMEGEEAAEPQVRIGNSLLPPWMLTHLNGP >Ma06_p33830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34313403:34314125:1 gene:Ma06_g33830 transcript:Ma06_t33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSGRPEPEALSTDSLWAQLRFVSQRLDEVQNEVRRSKGELEVDEHQGSPFTPEIRDQIVPPDFQLPSLDAYDGSADPADHATAFRAQMALCGTSDALMCRAFPTTLRGPAHAWYDALKTGTIASFDQLVKDFELHFVAYARPKPSAALLLGLKQREDEPLSHFVDRFATQIRGLPDTHPSLLVQAFMIGLRPSRFLWSLAEQPPTTMPEMLQRANRYTAAEAWATVRRRDGPRPRAP >Ma01_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8790351:8793133:1 gene:Ma01_g12080 transcript:Ma01_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCPLTLPSPGIDASVQLQPYHFQLQEKKHCPPQQTMGTQRLDLPCGFSSRKDPLRAVLSGETPSAEAPGTSCSFRQHPVTHSSSSSLVAQAAAPWGRTVEAIDGRNGGPWEQRRSSKRLHDRISCGDYRAKRTRTVDVEADAWPSPSTEEPPLVEAEEERVFLVPNAASFPLPAFSGHDRSRSETSSSSGAFICSGNTATSDGPRLPPASGFAGFGGEGEGIQTEQQGLELVSLLTSCTESMSSSNYEAMSFFLARLGEMATPFGTPLHRVVAYFTEALALRAAKLLPHIFSVAPPKTITDPTDNDDDAIALLLLDCVSPIPKFLHFTLNERLLKAFEGKDRVHIIDFDVKQGLQWPALFQSLASRPNPPGHVRITGIGECGPHLQQAGVRLAGIAESLDLPFEFHPVVDRLEDIRLWMLHVKREECVAVNCALTMHKTLYDESGKALTDLLGLIRSTRPEIVVMAEQEAKHNEPTWETRLAGSLNYYASVFDSMEHALPVDSQARIKIEEAFAKEIRNIVAREGSERTERHESFDRWRKLMQDGGFRCLGIGEGEQSRMIPRMYSCENYSIHEQGEGDGLTLKWLHQPLYTVSAWAPADVTDGPSTSQPN >Ma10_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22112907:22113930:-1 gene:Ma10_g07780 transcript:Ma10_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGSPCGACKFLRRKCVRGCVFAPYFSHEQAAAHFAAVHKVFGASNASKLLMHLPVADRSEAALTISYEAQARLQDPIYGCVAHIFALQQQVVTLEAQLASLKAQTAQGFVSGYSSIPRLEEDRYGNKLPPYRPGESLPLMPQPLAADAPVNPEAMLCQDNELVDSNSFQSSQLELVDDNSSCAMASLDLEAYTWRSAHHHDMEDLHSVAFGRLNCA >Ma06_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3168495:3169619:1 gene:Ma06_g04390 transcript:Ma06_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVLLAIALLAISMADSGYKVLAKEIKHSEGEYMLTSNGRGTLQTYQCPSQCSRRCSKTQYHKPCMFFCQKCCIKCLCVPSGFYGNKGECPCYNNWKTKRGGPKCP >Ma08_p28530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40402927:40405320:1 gene:Ma08_g28530 transcript:Ma08_t28530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLELENNLSSLMESDQSWESLSASYSGSKDIERKHVSEGNNRVTVSMVSLDSILPDDVLEKIFSFLPIASVIRAASVCKVWYHIIHSMRFIWANKLPQKPWYFMFTFNEAAAGYAYDPVLRKWYNIDLPCIEKSNWFVSSSNGLVCFMDNDTRSRIFVCNPIIRDWKRILEPPGVVFPDYSSLAISVDRSSHRYTIVVIKSKQVPDDFSQWDFSIHTYNSESESWESSINTVLSGWRGGDESVICNGVLYCLIHSTGVVGNADLRHRLMMYDISATTPHVSSMQVTIPVPCSLTCGRLINLKERLVMVGGIAKYDKPDIIKGIGIWELDAGEWVEVARVPHRFFQGFGELDDVFASSGTEDLIFIQSYGATALLVFDMSQKQWKWSAKCPVTKRFPLQLFTGFCFEPRLEMVS >Ma08_p28530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40402927:40405320:1 gene:Ma08_g28530 transcript:Ma08_t28530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQSWESLSASYSGSKDIERKHVSEGNNRVTVSMVSLDSILPDDVLEKIFSFLPIASVIRAASVCKVWYHIIHSMRFIWANKLPQKPWYFMFTFNEAAAGYAYDPVLRKWYNIDLPCIEKSNWFVSSSNGLVCFMDNDTRSRIFVCNPIIRDWKRILEPPGVVFPDYSSLAISVDRSSHRYTIVVIKSKQVPDDFSQWDFSIHTYNSESESWESSINTVLSGWRGGDESVICNGVLYCLIHSTGVVGNADLRHRLMMYDISATTPHVSSMQVTIPVPCSLTCGRLINLKERLVMVGGIAKYDKPDIIKGIGIWELDAGEWVEVARVPHRFFQGFGELDDVFASSGTEDLIFIQSYGATALLVFDMSQKQWKWSAKCPVTKRFPLQLFTGFCFEPRLEMVS >Ma08_p28530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40402927:40405320:1 gene:Ma08_g28530 transcript:Ma08_t28530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQSWESLSASYSGSKDIERKHVSEGNNRVTVSMVSLDSILPDDVLEKIFSFLPIASVIRAASVCKVWYHIIHSMRFIWANKLPQKPWYFMFTFNEAAAGYAYDPVLRKWYNIDLPCIEKSNWFVSSSNGLVCFMDNDTRSRIFVCNPIIRDWKRILEPPGVVFPDYSSLAISVDRSSHRYTIVVIKSKQVPDDFSQWDFSIHTYNSESESWESSINTVLSGWRGGDESVICNGVLYCLIHSTGVVGNADLRHRLMMYDISATTPHVSSMQVTIPVPCSLTCGRLINLKERLVMVGGIAKYDKPDIIKGIGIWELDAGEWVEVARVPHRFFQGFGELDDVFASSGTEDLIFIQSYGATALLVFDMSQKQWKWSAKCPVTKRFPLQLFTGFCFEPRLEMVS >Ma08_p28530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40402927:40405320:1 gene:Ma08_g28530 transcript:Ma08_t28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLELENNLSSLMESDQSWESLSASYSGSKDIERKHVSEGNNRVTVSMVSLDSILPDDVLEKIFSFLPIASVIRAASVCKVWYHIIHSMRFIWANKLPQKPWYFMFTFNEAAAGYAYDPVLRKWYNIDLPCIEKSNWFVSSSNGLVCFMDNDTRSRIFVCNPIIRDWKRILEPPGVVFPDYSSLAISVDRSSHRYTIVVIKSKQVPDDFSQWDFSIHTYNSESESWESSINTVLSGWRGGDESVICNGVLYCLIHSTGVVGNADLRHRLMMYDISATTPHVSSMQVTIPVPCSLTCGRLINLKERLVMVGGIAKYDKPDIIKGIGIWELDAGEWVEVARVPHRFFQGFGELDDVFASSGTEDLIFIQSYGATALLVFDMSQKQWKWSAKCPVTKRFPLQLFTGFCFEPRLEMVS >Ma10_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26855170:26856141:-1 gene:Ma10_g14370 transcript:Ma10_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGIKIWTRYRVSAVRFSRFDDSRILVGTRDRFNRSHGLHVLQLSPTPSGGGTVNELATFDTLYGVNDCCWSQSQRSLAVSALTDGSVKVWDTSLPPTANPVGIFREHIDQVRSVDWNPADDCFLSASSDAKIKFWVPDRNDSYYTFQEHLDRVNAVAWNDIQPHVFASASDDHTVGLWDIRDNRFITRIPVNHPGGLVSCNWNKYSEFHLATASGTSINVWDVRTTQMPLVDFSSHNRNVCRICFSPHRANMLLSCSVDHTVRVWDTQAQASVARYDLHDDSVYGIDMSVHIEDLIASAGRDKLVNIWRTSPHQRLLHSP >Ma05_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37141563:37143861:-1 gene:Ma05_g25110 transcript:Ma05_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g44230 [Source:Projected from Arabidopsis thaliana (AT5G44230) UniProtKB/Swiss-Prot;Acc:Q9FFG8] MARSQPIITRLLVHDPVGLMRAPTFPLPAPSPLLPLPALDALLVAAIDAAAGPRHLRAVHAVILHFGLHHRCFLVAKLLRRLSHYRVPLHPYPLRLFSLVPRPNPFLWTALIHSAVILRPRLPDYHPLRLYSLMRRQWPPSPPLSFTFTALLKSAAADLCLANGLQIHAQSISTGGFDADLFVQNTLISMYVECCDLASAHRVFDGMSIRDAISWTSLTVAYTKTSDLSSAEGLFERSPAKDMVAWTAMVTGYAQNAMPKKALTTFERMREAGVVIDEVALVGAVSAIAQLGAAKHAVWIRDIVDGTGFRQTVVMGSAMVDMFAKCGLIDEARQMFDEMMNRNVYTYSAMIVGLAAHGRAHEAIALFKEMVGKTDVKPNHVTFIGVLTACSHGGMVEVGRYYFHLMKDEYGIVPSPDHYTCMVDLLGRAGLVEEALELVRSMPMEPHGGVWGALLGACRIHVKPDIARIAANHLFELEPDRIGNYMLLSNTYASAGMWDEVSKVRKLMRARGLKKNPSASWTEDKDGSVHEFFAGDGLHPRTHEIKDTLEDLLGKLKLEGYDPVLSSVLYDINDNEKEKLLKGHSEKLALAFGLLTTGAGDTIRIVKNLRICEDCHLVMRLASRAVNREIVVRDNMRFHHFKDGACSCGEFW >Ma03_p25330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29414673:29415559:-1 gene:Ma03_g25330 transcript:Ma03_t25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLEQRAFPKYTRIVSKTSVLKNSSPFSAAPTSSSTQGSTFLEYCYLCRRKLQEGQDIYMYRGDRAFCSEECRCRQIFMDEESGKRDHCSLAAAAAADGKYRAGRRRPRAAAGERALAGGFAY >Ma11_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20398154:20399698:1 gene:Ma11_g14690 transcript:Ma11_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEDRESMGGNRLRLPALMILLLCSPAVAHSKCVLFNFGDSNSDTGGLMAGLGLYLGPPSGRQFFHRPTGRFCDGRLYIDFLCERLKMSYLSPYLESLPGSNFTHGVNFAVAGAATESTAIPFPLSTQVLQFLHFKNRTRELRPQGSGSLLSEKEFQNAVYSIDIGQNDVSTPFSANLSYAEVVVKIPSILSRIGAAIELLHENGGRKFWIYNTGPLGCLPQKLALLKKDDSELDSLGCLADLNDAAKAFNAGLSELCDRLRSDFKNATIVYTDVYAIKQDLIANHTKYGFENPLMACCGYGGPPYNYKFRMTCGEPTVTACAEGSRYISWDGVHNTEAANSIIASKILSAKYSTPQIKLKDLCKG >Ma04_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4868679:4869796:1 gene:Ma04_g06680 transcript:Ma04_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGATLLPRRPPLALEPFNLSFIDFWKTINMVFMEVKMPWNSIISPQLLDAKGTILHKAIILRHMDDIAIRKASEEQLLA >Ma03_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4434210:4436088:1 gene:Ma03_g06400 transcript:Ma03_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSSADSGGRQTASVIITWVMAASGGLIFGYDIGISGGVTVMESFLKTFFPSVLSKMADAKQGEYCMFDSQVLTAFTSSLYIAGLASSLVAGRITKAVGRQGVMLLGGVTFLIGAAIDAAAVNIEMLILGRLLLGVGVGFTNQAAPVYLAETAPPKWRGIITTGFQLFIAIGVVAANLTNYGTSYISTWGWRLSLGLAVVPAAVIILGALFIPDTPSSLVQRGKLDEARVALRRVRGSIADVEVELIERSVEESSKMEEGAFRRIVHRRYRPYLLIGVAIPLFQQLTGIIMIAFFSPVLFRTLGFGSNTALMSAVILGAVNLASILISTFVVDRYSRKLLFMVGGAQMILCQVSVAWILGANIGFDGQATLPKGYAVAVLVLMCAYAASYGWSWGPLSWIIPSEIFPVEIRSAGQSISVAVNLGITFICTQAFLAMLCSFKYVTFIFYAAWIVVMTAFVAFFLPETKGVPLESMGELWRRHWYWGRYLVDEHKHVGTP >Ma04_p39750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36769145:36772231:1 gene:Ma04_g39750 transcript:Ma04_t39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFMVELDGRVYCCRFCRTHLSLSLSLFSIMEQSFQCRHGKAYLFNHGTNISVGDEEERVLFSGMHTVADIFCCCCGQNVGWKYVVAQEKTQKYKEGKFVLERGRIADGTDLEFYVDTRPSGSDADDS >Ma05_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1168081:1173776:1 gene:Ma05_g01900 transcript:Ma05_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSHFGGGSTSRESFLYLPSAATTTGSAPPPLIAASYGRNSYPGTAKLPYLKHPPLRPEAGSSRQPSRVRAVEGGEISASNTEDIKAKIMSHPQYSSLIGAYIDCQKVGAPPDVVARLSALAQELESPWSCRQEPSSDPELDQFMEAYCDVLMRYRVELTRPFQEAMDFLKTVESQFNALTNTSSLRLFSSDERCEDVASSEEDPDASGGEADILEIDPRAEDKELKHHLLKKYSGYLSSLRQELSKKRKKGKLPKEARQKLLKWWELHYKWPYPSESEKLALAESTGLDQKQINNWFINQRKRHWRPSEDMQLMVMDGFHPQNAAALYMEGHFMGDGLYHVGP >Ma06_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12225589:12226123:-1 gene:Ma06_g18030 transcript:Ma06_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRSFASENGDLVDAIVPFMGESITDGTLATFMKKPGDRG >Ma03_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7963207:7963930:-1 gene:Ma03_g10560 transcript:Ma03_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVQINCNKCRSKAMRLVASAEGVDSVAVEGEDKDQLVLVGDGVDPADLTCTLRKKVGHAYIVKVEEVKAKTEPEKPPPVVKGVEVGWPCYSNYPPCPPVVFYEHGCSTPSPYSCPIM >Ma08_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17629336:17632865:1 gene:Ma08_g16250 transcript:Ma08_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPLDHYHQEGLNGCSSLAAAPAFYDHAIHREWNQHQMLNYDYDFISDASGVPPTQTIQDLGFHWAVNGEGGSMNQLPAHQNSCPKLNEFNMDGSSNEDCQLQEKLFVRSIASDCQTDLLQPLPGNLSETSRFDSSRGSGRGIINMAFSTSGLSHSSQNPPLPSAGYLDMDLHALHLLASARFGRSFCPPSFTSMASSGVDHLPESIQGPFHHHHKMPPLVSGGTEAKGSNSSWEHESPLSTMPRKPRVEQRSSFSPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLLDQVEKLSVPYLRPSGNKRSRTSMQEASNEESDEPAKRGLRSRGLCLVPLSYTSYMTSEHAAWSPR >Ma02_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18083968:18088521:-1 gene:Ma02_g07380 transcript:Ma02_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSRSSGEEDEMGRTQRSSRRVELTVNVDGKSGEQKPGTPTTPRSKHSATEQRRRCKINDRFQILRDLIPHSDQKRDKASFLLEVIEYIKFLQEKVQKYESFPGWNQENEKLMPWSSNQGPRDGIADPPNLTKNGPQSGLLFAGKFVDNSIPRAPTSLSNAHNLAEADMSPGTVLVPMQSNYYATVGRGSGFMQPQERVISDSDNLVSQTQSEWQSSSCMADCTVSNDMLNEQEELTIDEGTISISSVYSQGLLTTVSQAMASAGVDMSQASISVQINLGRRASRRTTTTNMSSAKDRFDHSPINQVIGDSGRPESNIEGSEQAPKRQKVDTS >Ma02_p07380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18083968:18088521:-1 gene:Ma02_g07380 transcript:Ma02_t07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSRSSGEEDEMGRTQRSSRRVELTVNVDGKSGEQKPGTPTTPRSKHSATEQRRRCKINDRFQILRDLIPHSDQKRDKASFLLEVIEYIKFLQEKVQKYESFPGWNQENEKLMPWSNYYATVGRGSGFMQPQERVISDSDNLVSQTQSEWQSSSCMADCTVSNDMLNEQEELTIDEGTISISSVYSQGLLTTVSQAMASAGVDMSQASISVQINLGRRASRRTTTTNMSSAKDRFDHSPINQVIGDSGRPESNIEGSEQAPKRQKVDTS >Ma07_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8533153:8537232:1 gene:Ma07_g11490 transcript:Ma07_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARINCFSALLAGKKKKSKESPKAIHSNNENGDGDLLVKPEKFICASGETKEVSFEDLSVKSQSKKKASTDVKSVEDAKKDGNSVPTNVAIEAAYEGGDEHDDILSMKRDLSDFDLQALAAEKGEINTHNLNQEFCNYEVENESEKVEGITPEAMIRSGHVSDPGMGCTTAFWGSPMLKRSCSNIETKRASRLLGSPVKSHSYDDLRNLPENFLREAPKAIPGSPASVMTSFSADKVMLKKRSSSQVLPSRSRKLWWKLFLWSHRNLHRTWSLKPQRIAFLNRASNQRDGYCSDTMEPSRGEDLKNKKAMDESEIRCRNGMWPPNQWVAFSAESSSLDRVNAWVHSLDDNPFCPIEDTENEDDEAVDGSSTHPTFLEIKESSSKNNTRAGRRAAEEVLQANNIIQSLNAFSSVAHIAGMGLKVIPAISAFTSLRSVNLSGNFIVHISPGSLPKSLHMLDLSRNKIVTIEGLRELTRLRVLNLSYNRISRIGHGLSNCTLIKELYIAGNKISGVEGLHRLLKLTVLDLSFNKITTAKALGQLVANYNSLLALNLLGNPIQSNIGDDQLRKAVCSLLPHLAYLNKQPIKPLRAREVATDSVAKAALGNNGWGSRRRATRRVGHGSSSSGKGMTGEGSSHRGAGSSGGNKSGKHRSKSRHHHHSISTRK >Ma10_p07050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21397981:21403311:1 gene:Ma10_g07050 transcript:Ma10_t07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGAGGSSRRSVSGRKKAPDNGSGDVVGRTSITRSPILIGDRNLRGIRLSKSLTLAENSTVHEACRRMAVQRVDAVLLTDSNALLCGILTDKDITTRVVARELKLQETQVSKVMTRNPFFVLSDTLAVEALQKMVQGKFRHLPIVENGEVIGLLDIAKCLYDAIARMEREAEKGKAIAAAVQRMEKHWGSSFSGPATFIETLQEWIFRPRLSTIIQENSNSRDILMRVIAKNVSPELTPVKKVMTPNPECGTIDTPIADALHTMHDRKFLHLPVVDRDGDVVAVVDVIHIAHAAIAAVGSRTGGGIETASSMMQNFLDSALSLQPLYHDDDCQSEGSTQVTADTERSSAFYPPSDLSASFGFKLEDKQQRMHRFNCETHSLADLITCILQGVGDDIDRNHLPQILYEDEDHDKVILASDSDLVAAVDHARQSGWKSLRLHLDFSGLGHKKKGHGSGDLECADTDAWATAYRSVAAGAALIAGIGVMAYLKRSAS >Ma10_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21397981:21403343:1 gene:Ma10_g07050 transcript:Ma10_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGAGGSSRRSVSGRKKAPDNGSGDVVGRTSITRSPILIGDRNLRGIRLSKSLTLAENSTVHEACRRMAVQRVDAVLLTDSNALLCGILTDKDITTRVVARELKLQETQVSKVMTRNPFFVLSDTLAVEALQKMVQGKFRHLPIVENGEVIGLLDIAKCLYDAIARMEREAEKGKAIAAAVQRMEKHWGSSFSGPATFIETLQEWIFRPRLSTIIQENSKFVTVSPTDSVLTATKKMLELKISSAVVTIENKLQGILTSRDILMRVIAKNVSPELTPVKKVMTPNPECGTIDTPIADALHTMHDRKFLHLPVVDRDGDVVAVVDVIHIAHAAIAAVGSRTGGGIETASSMMQNFLDSALSLQPLYHDDDCQSEGSTQVTADTERSSAFYPPSDLSASFGFKLEDKQQRMHRFNCETHSLADLITCILQGVGDDIDRNHLPQILYEDEDHDKVILASDSDLVAAVDHARQSGWKSLRLHLDFSGLGHKKKGHGSGDLECADTDAWATAYRSVAAGAALIAGIGVMAYLKRSAS >Ma06_p29350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30751149:30757114:-1 gene:Ma06_g29350 transcript:Ma06_t29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPDKRHSFSHGGQKVFEWDQTLDEVNIYIDLPANVPKKLFYCKIQSAHIELGIKGNPPYLNHDLACPVKTDSSFWTLEDEIMHVTLQKRDKGQTWSSPILGQGALDPYSADLEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGARSD >Ma04_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16806677:16811354:1 gene:Ma04_g16880 transcript:Ma04_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVVQYFQETCFRLHVQKMYINIFFNRLLVCKIVKGQRYSKKLNEKQITALLEVSCQHPHDRELDIVEDNLFLHIPFCFSSFSFQILTVRRNAYHEDPYAEEFGIKICDKFASVEARVLRAPRLKYHDTGREKVCSPRVGQWNMMNKYNSWTCINFERNVPEGVACDFCHKLAQMCQISGTTKFLHEFALEPILPPLRARLNKVERALKFQCCLTKHVFRMSKQYLANVARKINVKVGGSNTVLMDALSKHMPLVRHTIIFGADVTHPHPGEDSSSSIAAVVTSQDWPEVTKCTWLVCAQAHR >Ma08_p27930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40024767:40028610:1 gene:Ma08_g27930 transcript:Ma08_t27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MAALNASACAAFRSVVRSAADSPVDDPSPSLPARVVLPKKKPLKWSTGVAPGEYGGPPTTTKLRKYWGGGDEDPVTATDDFIWNKEFLGRMQQLLDEDQATPNPVPSLKEPDSGFLSLNRALSLDSIEIDLSEELKPPPKPVLEQQVEAARNGLSATEGTNDTASPRWRLVPTRREQAKWDRASKAATGGSDVILRESKRAHGDPKVLAAQSQEQYIKLKRKLQILTVSIGGIGVVSAYFSYSPEIAASFGAGLLGSLAYIRMLGNTIDSMANGAKGFVKGAAGQPRLLVPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKVATFVQAIQDALDVVERRS >Ma08_p27930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40024767:40029347:1 gene:Ma08_g27930 transcript:Ma08_t27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MAALNASACAAFRSVVRSAADSPVDDPSPSLPARVVLPKKKPLKWSTGVAPGEYGGPPTTTKLRKYWGGGDEDPVTATDDFIWNKEFLGRMQQLLDEDQATPNPVPSLQKEPDSGFLSLNRALSLDSIEIDLSEELKPPPKPVLEQQVEAARNGLSATEGTNDTASPRWRLVPTRREQAKWDRASKAATGGSDVILRESKRAHGDPKVLAAQSQEQYIKLKRKLQILTVSIGGIGVVSAYFSYSPEIAASFGAGLLGSLAYIRMLGNTIDSMANGAKGFVKGAAGQPRLLVPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKVATFVQAIQDALDVVERRS >Ma10_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23711458:23719173:1 gene:Ma10_g09630 transcript:Ma10_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHAESRRLYSWWWDSHISPKQSKWLQDNLTDMDNKIKAMIRLIEEDADSFAKRAEMYYKKRPELIKLVEEFYRAYRALAERYDHATGALHQARRTIAEAFPDEIPLEFCDEPPYGCPVSDSGMDNPETSEESFNRDGLQHDVFGLPGEVMKLNEVYSGETEVTSTEACLKQLNETFVTNTNSANFAGGREGKSSEYKLLQKEISRLFNENQDLKKQVTSESARAEKNENNVQLLKEMCFKVKSEKEDTLTRYEESLAKVSHLEDETSCTKADLKKLNDEMLTAASCLNIAEERNLVLETANLSLQLEHDILNQKIIAQQEELNKKGQELEILYISLQDEQQRNVNAEMTCQSIEKRHTRSEEEMRHLKLENKSGAEKLKNVEEELQMIREENDRLNEQKLSSALKIMDLQDEIISLIDMKRKLEDEADLHIEEKEALQVELCRLKKDRNDLEQKYNTLIEEIQAVNLCVESLQSLIKDLRKRNLDLKEAIKKTEDERTLYLDKLNHMQTMTKENAVLEASLLDANGELERLRIKKTELEESSDHLRHMFSVHQAEKAALISHMEIAAQNMEKLLKKNTFLENTLSDMNVELEVLRENLKNVEVSCKSLHYEKSCLLSEKTTLISQLQSIQQSLENLDGRYRDLENRSLTLDMEKDSRLDCVAELQELLQLEKEEHSTLIQSSASQLSMLSNLIYVLREEGQEREEDFEKENYKIMNAQIEIFILQRCLCDIKEESLILSVGSKKHQEALRCAEKHILELEQKCLTQEKKIKSFTKHNEKLREWFHLIAKSLKINLRYISLDAIKDEGLLQLVFDEIIQMLHTISEAQDEKQHLLLEKSVVVTLLEQLGKYVADLRAEKTLLDRECKIRLEEFTLLKCKNDELFEMNKRLTKDLQTSNQREDALRAEVDVLFRESTYLQEAQSMLQIEISKMLEENKLISNNLHDLEEENNVILSEFMALDCLFVMFKSIDSERLFELQLLSNEREYLNKVKNKLEQEIRSINGKILVLEVENTHLKKSFASLNECRSLLMNNSRSICKRLNLQTKTSDCLSQTKQSLKRAQDVNPQICKKPIDLMLDINETKAREEIENKFSILLDDSACKENQIECCCQENEVLKYEVSMLHKDLEELRSRNENLTSEVWKKIDELKSSDVVITSLLQGIQFETINAAVFKEKVLELIKICENLESHGITQREVLQKEITPRKFTVNESGKNIYVLEEENRGLRADLNEYAIYLASLCDDIALLEELTLSLARRHSTSINQEIEDDQVDPFPSTTNNEETSQDYNAIKPTGLLRLKCLHNKVKVLQEVMMNTGSILELERFDSDASLEAAWKEIEGLKLKGNPHNRTTKSKYEQILKDIQLDIVLNSSSYENGEPRETDETMDRMLQLWGAAEGYDSWKKKSPMITENSTTDYQIEENESEYTSGELEAEKELDVDKLELPKKAATHQEWNKMVIERLFSDAQRLVILEASLHELQRNMERSLKVSSLTRSEFNAINIQLKEAEGSIIQLIEVNSKLASKAESLSASLRDETMEKDNGSKRQKQISDWARKVSEKIGRLELEMPNIQYRLLKFEEDHASKRAKQVAKRRSAIRLREYIYGRKNSRRQKEGSSCGCMRATTSD >Ma10_p09630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23711454:23719173:1 gene:Ma10_g09630 transcript:Ma10_t09630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHAESRRLYSWWWDSHISPKQSKWLQDNLTDMDNKIKAMIRLIEEDADSFAKRAEMYYKKRPELIKLVEEFYRAYRALAERYDHATGALHQARRTIAEAFPDEIPLEFCDEPPYGCPVSDSGMDNPETSEESFNRDGLQHDVFGLPGEVMKLNEVYSGETEVTSTEACLKQLNETFVTNTNSANFAGGREGKSSEYKLLQKEISRLFNENQDLKKQVTSESARAEKNENNVQLLKEMCFKVKSEKEDTLTRYEESLAKVSHLEDETSCTKADLKKLNDEMLTAASCLNIAEERNLVLETANLSLQLEHDILNQKIIAQQEELNKKGQELEILYISLQDEQQRNVNAEMTCQSIEKRHTRSEEEMRHLKLENKSGAEKLKNVEEELQMIREENDRLNEQKLSSALKIMDLQDEIISLIDMKRKLEDEADLHIEEKEALQVELCRLKKDRNDLEQKYNTLIEEIQAVNLCVESLQSLIKDLRKRNLDLKEAIKKTEDERTLYLDKLNHMQTMTKENAVLEASLLDANGELERLRIKKTELEESSDHLRHMFSVHQAEKAALISHMEIAAQNMEKLLKKNTFLENTLSDMNVELEVLRENLKNVEVSCKSLHYEKSCLLSEKTTLISQLQSIQQSLENLDGRYRDLENRSLTLDMEKDSRLDCVAELQELLQLEKEEHSTLIQSSASQLSMLSNLIYVLREEGQEREEDFEKENYKIMNAQIEIFILQRCLCDIKEESLILSVGSKKHQEALRCAEKHILELEQKCLTQEKKIKSFTKHNEKLREWFHLIAKSLKINLRYISLDAIKDEGLLQLVFDEIIQMLHTISEAQDEKQHLLLEKSVVVTLLEQLGKYVADLRAEKTLLDRECKIRLEEFTLLKCKNDELFEMNKRLTKDLQTSNQREDALRAEVDVLFRESTYLQEAQSMLQIEISKMLEENKLISNNLHDLEEENNVILSEFMALDCLFVMFKSIDSERLFELQLLSNEREYLNKVKNKLEQEIRSINGKILVLEVENTHLKKSFASLNECRSLLMNNSRSICKRLNLQTKTSDCLSQTKQSLKRAQDVNPQICKKPIDLMLDINETKAREEIENKFSILLDDSACKENQIECCCQENEVLKYEVSMLHKDLEELRSRNENLTSEVWKKIDELKSSDVVITSLLQGIQFETINAAVFKEKVLELIKICENLESHGITQREVLQKEITPRKFTVNESGKNIYVLEEENRGLRADLNEYAIYLASLCDDIALLEELTLSLARRHSTSINQEIEVDPFPSTTNNEETSQDYNAIKPTGLLRLKCLHNKVKVLQEVMMNTGSILELERFDSDASLEAAWKEIEGLKLKGNPHNRTTKSKYEQILKDIQLDIVLNSSSYENGEPRETDETMDRMLQLWGAAEGYDSWKKKSPMITENSTTDYQIEENESEYTSGELEAEKELDVDKLELPKKAATHQEWNKMVIERLFSDAQRLVILEASLHELQRNMERSLKVSSLTRSEFNAINIQLKEAEGSIIQLIEVNSKLASKAESLSASLRDETMEKDNGSKRQKQISDWARKVSEKIGRLELEMPNIQYRLLKFEEDHASKRAKQVAKRRSAIRLREYIYGRKNSRRQKEGSSCGCMRATTSD >Ma10_p09630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23711458:23719173:1 gene:Ma10_g09630 transcript:Ma10_t09630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHAESRRLYSWWWDSHISPKQSKWLQDNLTDMDNKIKAMIRLIEEDADSFAKRAEMYYKKRPELIKLVEEFYRAYRALAERYDHATGALHQARRTIAEAFPDEIPLEFCDEPPYGCPVSDSGMDNPETSEESFNRDGLQHDVFGLPGEVMKLNEVYSGETEVTSTEACLKQLNETFVTNTNSANFAGGREGKSSEYKLLQKEISRLFNENQDLKKQVTSESARAEKNENNVQLLKEMCFKVKSEKEDTLTRYEESLAKVSHLEDETSCTKADLKKLNDEMLTAASCLNIAEERNLVLETANLSLQLEHDILNQKIIAQQEELNKKGQELEILYISLQDEQQRNVNAEMTCQSIEKRHTRSEEEMRHLKLENKSGAEKLKNVEEELQMIREENDRLNEQKLSSALKIMDLQDEIISLIDMKRKLEDEADLHIEEKEALQVELCRLKKDRNDLEQKYNTLIEEIQAVNLCVESLQSLIKDLRKRNLDLKEAIKKTEDERTLYLDKLNHMQTMTKENAVLEASLLDANGELERLRIKKTELEESSDHLRHMFSVHQAEKAALISHMEIAAQNMEKLLKKNTFLENTLSDMNVELEVLRENLKNVEVSCKSLHYEKSCLLSEKTTLISQLQSIQQSLENLDGRYRDLENRSLTLDMEKDSRLDCVAELQELLQLEKEEHSTLIQSSASQLSMLSNLIYVLREEGQEREEDFEKENYKIMNAQIEIFILQRCLCDIKEESLILSVGSKKHQEALRCAEKHILELEQKCLTQEKKIKSFTKHNEKLREWFHLIAKSLKINLRYISLDAIKDEGLLQLVFDEIIQMLHTISEAQDEKQHLLLEKSVVVTLLEQLGKYVADLRAEKTLLDRECKIRLEEFTLLKCKNDELFEMNKRLTKDLQTSNQREDALRAEVDVLFRESTYLQEAQSMLQIEISKMLEENKLISNNLHDLEEENNVILSEFMALDCLFVMFKSIDSERLFELQLLSNEREYLNKVKNKLEQEIRSINGKILVLEVENTHLKKSFASLNECRSLLMNNSRSICKRLNLQTKTSDCLSQTKQSLKRAQDVNPQICKKPIDLMLDINETKAREEIENKFSILLDDSACKENQIECCCQENEVLKYEVSMLHKDLEELRSRNENLTSEVWKKIDELKSSDVVITSLLQGIQFETINAAVFKEKVLELIKICENLESHGITQREVLQKEITPRKFTVNESGKNIYVLEEENRGLRADLNEYAIYLASLCDDIALLEELTLSLARRHSTSINQEIEDDQVDPFPSTTNNEETSQDYNAIKPTGLLRLKCLHNKVKVLQEVMMNTGSILELERFDSDASLEAAWKEIEGLKLKGNPHNRTTKSKYEQILKDIQLDIVLNSSSYENGEPRETDETMDRMLQLWGAAEGYDSWKKKSPMITENSTTDYQIEENESEYTSGELEAEKELDVDKLELPKKAATHQEWNKMVIERLFSDAQRLVILEASLHELQRNMERSLKVSSLTRSEFNAINIQLKEAEGSIIQLIEVNSKLASKAESLSASLRDETMEKDNGSKRQKQISDWARKVSEKIGRLELEMPNIQYRLLKFEEDHASKRAKQVAKRRSAIRLREYIYGRKNSRRQKEGSSCGCMRATTSD >Ma06_p30500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31761959:31768914:-1 gene:Ma06_g30500 transcript:Ma06_t30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNAIQIFCWPPRRAGGERGEWRGEGRSAIARHREAMFRRLLSPNPRRSAATGLLAIAAFYGGSSDGPSHRTSKPPSRSFRRFCSFSHLFPLPLSTDVGVSISVPLRQLLSSTSEIFLRDFARPSLFSIGGRSPAASPFPDSDSNEEALGKNHADAPCSATSTETNFIAKAAALASPAVVNISVRKGGLMSSKSIGSGTIIDPDGTILTCAHCVEDLDRKKRVCNGKVGVTLQDGREFEGTVVNADFVSDIAVVKIQSKIPLPAAKLGMSSKLHPGDFVIALGCPHALKNTITSGIISCVDRKSSDLGLKGVQREYLQTDCAINKGNSGGPLVNLDGEVVGVNVMKFVAADGLGFAVPVDSVVKIVEQFMKNGKVAQPWIGLKMLDLNELKIAQCKDKDASCPDVRTDIVPVVFKVTPASPADRAGFRPGDTVIQFDGKPVREIKEIVDSMRDQVGKPLKVLVKRANNKLVTLTVVPEMADMDRCNAA >Ma06_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13355758:13357424:-1 gene:Ma06_g19500 transcript:Ma06_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEERPGQALEVKIPSLFRCPISLDVMRSPVSLCTGVTYDRASIQRWLESGNTTCPATMLPLPSTDLVPNLTLQRLIHLWSSSAAPAPLVPRRLARDLLHELSSASIAAGDDDPVPPLLKLAEFFSANDVEPIEKNDLVGVGGCTSVLASILVRKDSMLESSVAVARVFALILTSDFIDGCNKKIAIADLVSDIDRSVSALIRVLRDGDSLESQIDAASVLDAIIAASDSEFKVLIAEKEELVRELIRLIGPSDEKGATMDRRAVEAGLGCLAGISAAKRARPRMVRAGVVPALARVLRADPAVAPPATARRALRVMEAAAGCAEGRAAICEDGADAATAVVGRMVKAGREGGEAAVAVLWSVCHAFRDRRAVEAVAATEGGATKLLLLMQTGCSPAAREMAADLLKIFRVSSNCFLAGYDTNTIHITPF >Ma06_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21718562:21736346:1 gene:Ma06_g23620 transcript:Ma06_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPSTSPAVVPKDHPTSPPVPVAGHRPFPISETAVPPSSPPPSYGFHNLERRTVVLADGSVRSYFALPPGPPIVLADKLQFGLPGFGFGPVHDPALGFDKHFPPNSDGRFSPDFRQPTPYGRGPGLDGPRRPLEGGPSYLKRKYSEEDEFLWHRPHVMQHGNPNGIPIGPSRSGGDRRNYLGGNSCPFRRDDHDELRLSKQAKLAGEIYEEMPQKKFRPDDLPPVFLDLDMQALKRAFLRLSKTINENSSQRKKYLEDGKNGPLQCVVCGRASKDFADVHGVIMHTYSSQNANLRVDHLGLHKALCVLMGWNYSRTPENSKSYQLVSAGDAQANREDLIIWPPTVIIHNTSSGMRKHGRVEGMSNREMDNRLKDLGFGGGKSKSIYGKEGHTGITVVKFANTRAGMKEAERLAWYFEKDNCGRKGWAHAQGSQFGDDEKDPSLVRADGTIGEKIFFGYLATTSDLEIIDLDTRKRAVIKSRRELDLSD >Ma04_p34280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33562038:33564800:-1 gene:Ma04_g34280 transcript:Ma04_t34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRVLWALLGLRRDAVLGDQVLVLIAVLLENPELTLLWSHLLSACWCSMTISGWVFMILVGFTAAARSLLTVIYIIEFEGVAVGCGWQAFVAYVNVACYYIVGVISLASNLAWEQREIWEGMIGGTTIPTLILLWVAFQTDWNKEVEEAKRRLSKREEEKEPLLS >Ma06_p05220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3873840:3880110:1 gene:Ma06_g05220 transcript:Ma06_t05220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSKLELLELERREVIGEILRLNPSYKPPADYKPLLKEADIPIPIKVHPGYNFVGLLLGPESNTQKRLEEETGAKVRVYGTKKDTGEKHEITHSDKSEAVDAYEELHVNISADTYEKVDTAVALIELLFTPVSGTAAVSSTSSTSLVASNLDNADVNLKEMSSSYMIMTTADVNQGVAPPILLTAQPSPLQYQPFTAPWLPIAPPNLQSHLSSGFVPSLPNNSVRFPPRPFGGLHHTFGSMQSSSSAVNSGSQFPMQAMQQPLNQAPPPDNLTGRQPPAYPNMLSNVPSFAASQPLFSGAVHASSHVASLSPQPMATAPDASLTSRPLTTLPPAGNSGWSTAPQIVPLLQRPALLPSSAPLMRPPLVVSSPAIATAPNVPSNVSRPPAAIGTLPLQPNMPTNETNRPLATNFAPPTAFPFRPSASQFTSVLQTGAPLSTPMPSSTPVPPHMPSPAPRPMPMLPGIPAPVPRPPAVFQSAAPLGGSNPAMTQASAPSQPLVTIMGQAQIPLPSKAPVQSSMPVQPPGSLPQLAPVTPISLSGVMPGFSHVTHPPNTVITTPPVAVPKPPRPISADFTFRPLGAQVPASPTPRRPNVQTAQQMTAVAAPQPPSFRPALQNSASSINTQGFPRPLAVNQTNPSQAPIRLPPSSGVPFSVDLTMVRPPPNLPAFSDTNSIRLTGPSSQMGPGFSSAAQSSNPPRMHAWSMPIRQTSQNQLRPANRPNSPAVPMQQLGANHPGYMAGVASSNAGGNQIYDPFSPTSVSSAPRKAEENHTNMRKTETDAEYEDLMTSVGVK >Ma06_p05220.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3872163:3880110:1 gene:Ma06_g05220 transcript:Ma06_t05220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVDPSASAESRRVQYTGSMSTSANSPKISMFGAKSGFVIPKNKLSGSLVPIFQRGGKLEGGNSVKEETTKQVQRKTKWGPDLTLDAAVRKGRALAYQTRLEQITQQLKHGHLEIKDNQSPLSIKQNLDDDSDSHQIDKESKPLAWNMQTSKLELLELERREVIGEILRLNPSYKPPADYKPLLKEADIPIPIKVHPGYNFVGLLLGPESNTQKRLEEETGAKVRVYGTKKDTGEKHEITHSDKSEAVDAYEELHVNISADTYEKVDTAVALIELLFTPVSGTAAVSSTSSTSLVASNLDNADVNLKEMSSSYMIMTTADVNQGVAPPILLTAQPSPLQYQPFTAPWLPIAPPNLQSHLSSGFVPSLPNNSVRFPPRPFGGLHHTFGSMQSSSSAVNSGSQFPMQAMQQPLNQAPPPDNLTGAVHASSHVASLSPQPMATAPDASLTSRPLTTLPPAGNSGWSTAPQIVPLLQRPALLPSSAPLMRPPLVVSSPAIATAPNVPSNVSRPPAAIGTLPLQPNMPTNETNRPLATNFAPPTAFPFRPSASQFTSVLQTGAPLSTPMPSSTPVPPHMPSPAPRPMPMLPGIPAPVPRPPAVFQSAAPLGGSNPAMTQASAPSQPLVTIMGQAQIPLPSKAPVQSSMPVQPPGSLPQLAPVTPISLSGVMPGFSHVTHPPNTVITTPPVAVPKPPRPISADFTFRPLGAQVPASPTPRRPNVQTAQQMTAVAAPQPPSFRPALQNSASSINTQGFPRPLAVNQTNPSQAPIRLPPSSGVPFSVDLTMVRPPPNLPAFSDTNSIRLTGPSSQMGPGFSSAAQSSNPPRMHAWSMPIRQTSQNQLRPANRPNSPAVPMQQLGANHPGYMAGVASSNAGGNQIYDPFSPTSVSSAPRKAEENHTNMRKTETDAEYEDLMTSVGVK >Ma06_p05220.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3872163:3880110:1 gene:Ma06_g05220 transcript:Ma06_t05220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVDPSASAESRRVQYTGSMSTSANSPKISMFGAKSGFVIPKNKLSGSLVPIFQRGGKLEGGNSVKEETTKQVQRKTKWGPDLTLDAAVRKGRALAYQTRLEQITQQLKHGHLEIKDNQSPLSIKQNLDDDSDSHQIDKESKPLAWNMQTSKLELLELERREVIGEILRLNPSYKPPADYKPLLKEADIPIPIKVHPGYNFVGLLLGPESNTQKRLEEETGAKVRVYGTKKDTGEKHEITHSDKSEAVDAYEELHVNISADTYEKVDTAVALIELLFTPVSGTAAVSSTSSTSLVASNLDNADVNLKEMSSSYMIMTTADVNQGVAPPILLTAQPSPLQYQPFTAPWLPIAPPNLQSHLSSGFVPSLPNNSVRFPPRPFGGLHHTFGSMQSSSSAVNSGSQFPMQAMQQPLNQAPPPDNLTGRQPPAYPNMLSNVPSFAASQPLFSGAVHASSHVASLSPQPMATAPDASLTSRPLTTLPPAGNSGWSTAPQIVPLLQRPALLPSSAPLMRPPLVVSSPAIATAPNVPSNVSRPPAAIGTLPLQPNMPTNETNRPLATNFAPPTAFPFRPSASQFTSVLQTGAPLSTPMPSSTPVPPHMPSPAPRPMPMLPGIPAPVPRPPAVFQSAAPLGGSNPAMTQASAPSQPLVTIMGQAQIPLPSKAPVQSSMPVQPPGSLPQLAPVTPISLSGVMPGFSHVTHPPNTVITTPPVAVPKPPRPISADFTFRPLGAQVPASPTPRRPNVQTAQQMTAVAAPQPPSFRPALQNSASSINTQGFPRPLAVNQTNPSQAPIRLPPSSGVPFSVDLTMVRPPPNLPAFSDTNSIRLTGPSSQMGPGFSSAAQSSNPPRMHAWSMPIRQTSQNQLRPANRPNSPAVPMQQLGANHPGYMAGVASSNAGGNQIYDPFSPTSVSSAPRKAEENHTNMRKTETDAEYEDLMTSVGVK >Ma06_p05220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3872163:3880110:1 gene:Ma06_g05220 transcript:Ma06_t05220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVDPSASAESRRVQYTGSMSTSANSPKISMFGAKSGFVIPKNKLSGSLVPIFQRGGKLEGGNSVKEETTKQVQRKTKWGPDLTLDAAVRKGRALAYQTRLEQITQQLKHGHLEIKDNQSPLSIKQNLDDDSDSHQIDKESKPLAWNMQTSKLELLELERREVIGEILRLNPSYKPPADYKPLLKEADIPIPIKVHPGYNFVGLLLGPESNTQKRLEEETGAKVRVYGTKKDTGEKHEITHSDKSEAVDAYEELHVNISADTYEKVDTAVALIELLFTPVSGTAAVSSTSSTSLVASNLDNADVNLKEMSSSYMIMTTADVNQGVAPPILLTAQPSPLQYQPFTAPWLPIAPPNLQSHLSSGFVPSLPNNSVRFPPRPFGGLHHTFGSMQSSSSAVNSGSQFPMQAMQQPLNQAPPPDNLTGRQPPAYPNMLSNVPSFAASQPLFSGAVHASSHVASLSPQPMATAPDASLTSRPLTTLPPAGNSGWSTAPQIVPLLQRPALLPSSAPLMRPPLVVSSPAIATAPNVPSNVSRPPAAIGTLPLQPNMPTNETNRPLATNFAPPTAFPFRPSASQFTSVLQTGAPLSTPMPSSTPVPPHMPSPAPRPMPMLPGIPAPVPRPPAVFQSAAPLGGSNPAMTQASAPSQPLVTIMGQAQIPLPSKAPVQSSMPVQPPGSLPQLAPVTPISLSGVMPGFSHVTHPPNTVITTPPVAVPKPPRPISADFTFRPLGAQVPASPTPRRPNVQTAQQMTAVAAPQPPSFRPALQNSASSINTQGFPRPLAVNQTNPSQAPIRLPPSSGVPFSVDLTMVRPPPNLPAFSDTNSIRLTGPSSQMGPGFSSAAQSSNPPRMHAWSMPIRQTSQNQLRPANRPNSPAVPMQQLGANHPGYMAGVASSNAGGNQIYDPFSPTSVSSAPRKAEENHTNMRKTETDAEYEDLMTSVGVK >Ma06_p05220.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3872163:3880110:1 gene:Ma06_g05220 transcript:Ma06_t05220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVDPSASAESRRVQYTGSMSTSANSPKISMFGAKSGFVIPKNKLSGSLVPIFQRGGKLEGGNSVKEETTKQVQRKTKWGPDLTLDAAVRKGRALAYQTRLEQITQQLKHGHLEIKDNQSPLSIKQNLDDDSDSHQIDKETSKLELLELERREVIGEILRLNPSYKPPADYKPLLKEADIPIPIKVHPGYNFVGLLLGPESNTQKRLEEETGAKVRVYGTKKDTGEKHEITHSDKSEAVDAYEELHVNISADTYEKVDTAVALIELLFTPVSGTAAVSSTSSTSLVASNLDNADVNLKEMSSSYMIMTTADVNQGVAPPILLTAQPSPLQYQPFTAPWLPIAPPNLQSHLSSGFVPSLPNNSVRFPPRPFGGLHHTFGSMQSSSSAVNSGSQFPMQAMQQPLNQAPPPDNLTGRQPPAYPNMLSNVPSFAASQPLFSGAVHASSHVASLSPQPMATAPDASLTSRPLTTLPPAGNSGWSTAPQIVPLLQRPALLPSSAPLMRPPLVVSSPAIATAPNVPSNVSRPPAAIGTLPLQPNMPTNETNRPLATNFAPPTAFPFRPSASQFTSVLQTGAPLSTPMPSSTPVPPHMPSPAPRPMPMLPGIPAPVPRPPAVFQSAAPLGGSNPAMTQASAPSQPLVTIMGQAQIPLPSKAPVQSSMPVQPPGSLPQLAPVTPISLSGVMPGFSHVTHPPNTVITTPPVAVPKPPRPISADFTFRPLGAQVPASPTPRRPNVQTAQQMTAVAAPQPPSFRPALQNSASSINTQGFPRPLAVNQTNPSQAPIRLPPSSGVPFSVDLTMVRPPPNLPAFSDTNSIRLTGPSSQMGPGFSSAAQSSNPPRMHAWSMPIRQTSQNQLRPANRPNSPAVPMQQLGANHPGYMAGVASSNAGGNQIYDPFSPTSVSSAPRKAEENHTNMRKTETDAEYEDLMTSVGVK >Ma06_p05220.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3872163:3880110:1 gene:Ma06_g05220 transcript:Ma06_t05220.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVDPSASAESRRVQYTGSMSTSANSPKISMFGAKSGFVIPKNKLSGSLVPIFQRGGKLEGGNSVKEETTKQVQRKTKWGPDLTLDAAVRKGRALAYQTRLEQITQQLKHGHLEIKDNQSPLSIKQNLDDDSDSHQIDKESKPLAWNMQTSKLELLELERREVIGEILRLNPSYKPPADYKPLLKEADIPIPIKVHPGYNFVGLLLGPESNTQKRLEEETGAKVRVYGTKKDTGEKHEITHSDKSEAVDAYEELHVNISADTYEKVDTAVALIELLFTPVSGTAAVSSTSSTSLVASNLDNADVNLKEMSSSYMIMTTADVNQGVAPPILLTAQPSPLQYQPFTAPWLPIAPPNLQSHLSSGFVPSLPNNSVRFPPRPFGGLHHTFGSMQSSSSAVNSGSQFPMQAMQQPLNQAPPPDNLTGRQPPAYPNMLSNVPSFAASQPLFSGAVHASSHVASLSPQPMATAPDASLTSRPLTTLPPAGNSGWSTAPQIVPLLQRPALLPSSAPLMRPPLVVSSPAIATAPNVPSNVSRPPAAIGTLPLQPNMPTNETNRPLATNFAPPTAFPFRPSASQFTSVLQTGAPLSTPMPSSTPVPPHMPSPAPRPMPMLPGIPAPVPRPPAVFQSAAPLGGSNPAMTQASAPSQPLVTIMGQAQIPLPSKAPVQSSMPVQPPGSLPQLAPVTPISLSGVMPGFSHVTHPPNTVITTPPVAVPKPPRPISADFTFRPLGAQVPASPTPRRPNVQTAQQMTAVAAPQPPSFRPALQNSASSINTQGFPRPLAVNQTNPSQAPIRLPPSSGVPFSVDLTMVRPPPNLPAFSDTNSIRLTGPSSQMGPGFSSAAQSSNPPRMHAWSMPIRQTSQNQLRPANRPNSPAVPMQQLGANHPGYMAGVASSNAGGNQIYDPFSPTSVSSAPRKAEENHTNMRKTETDAEYEDLMTSVGVK >Ma06_p05220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3872163:3880110:1 gene:Ma06_g05220 transcript:Ma06_t05220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVDPSASAESRRVQYTGSMSTSANSPKISMFGAKSGFVIPKNKLSGSLVPIFQRGGKLEGGNSVKEETTKQVQRKTKWGPDLTLDAAVRKGRALAYQTRLEQITQQLKHGHLEIKDNQSPLSIKQNLDDDSDSHQIDKESKPLAWNMQTSKLELLELERREVIGEILRLNPSYKPPADYKPLLKEADIPIPIKVHPGYNFVGLLLGPESNTQKRLEEETGAKVRVYGTKKDTGEKHEITHSDKSEAVDAYEELHVNISADTYEKVDTAVALIELLFTPVSGTAAVSSTSSTSLVASNLDNADVNLKEMSSSYMIMTTADVNQGVAPPILLTAQPSPLQYQPFTAPWLPIAPPNLQSHLSSGFVPSLPNNSVRFPPRPFGGLHHTFGSMQSSSSAVNSGSQFPMQAMQQPLNQAPPPDNLTGRQPPAYPNMLSNVPSFAASQPLFSGAVHASSHVASLSPQPMATAPDASLTSRPLTTLPPAGNSGWSTAPQIVPLLQRPALLPSSAPLMRPPLVVSSPAIATAPNVPSNVSRPPAAIGTLPLQPNMPTNETNRPLATNFAPPTAFPFRPSASQFTSVLQTGAPLSTPMPSSTPVPPHMPSPAPRPMPMLPGIPAPVPRPPAVFQSAAPLGGSNPAMTQASAPSQPLVTIMGQAQIPLPSKAPVQSSMPVQPPGSLPQLAPVTPISLSGVMPGFSHVTHPPNTVITTPPVAVPKPPRPISADFTFRPLGAQVPASPTPRRPNVQTAQQMTAVAAPQPPSFRPALQNSASSINTQGFPRPLAVNQTNPSQAPIRLPPSSGVPFSVDLTMVRPPPNLPAFSDTNSIRLTGPSSQMGPGFSSAAQSSNPPRMHAWSMPIRQTSQNQLRPANRPNSPAVPMQQLGANHPGYMAGVASSNAGGNQIYDPFSPTSVSSAPRKAEENHTNMRKTETDAEYEDLMTSVGVK >Ma06_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3872163:3880110:1 gene:Ma06_g05220 transcript:Ma06_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVDPSASAESRRVQYTGSMSTSANSPKISMFGAKSGFVIPKNKLSGSLVPIFQRGGKLEGGNSVKEETTKQVQRKTKWGPDLTLDAAVRKGRALAYQTRLEQITQQLKHGHLEIKDNQSPLSIKQNLDDDSDSHQIDKESKPLAWNMQTSKLELLELERREVIGEILRLNPSYKPPADYKPLLKEADIPIPIKVHPGYNFVGLLLGPESNTQKRLEEETGAKVRVYGTKKDTGEKHEITHSDKSEAVDAYEELHVNISADTYEKVDTAVALIELLFTPVSGTAAVSSTSSTSLVASNLDNADVNLKEMSSSYMIMTTADVNQGVAPPILLTAQPSPLQYQPFTAPWLPIAPPNLQSHLSSGFVPSLPNNSVRFPPRPFGGLHHTFGSMQSSSSAVNSGSQFPMQAMQQPLNQAPPPDNLTGRQPPAYPNMLSNVPSFAASQPLFSGAVHASSHVASLSPQPMATAPDASLTSRPLTTLPPAGNSGWSTAPQIVPLLQRPALLPSSAPLMRPPLVVSSPAIATAPNVPSNVSRPPAAIGTLPLQPNMPTNETNRPLATNFAPPTAFPFRPSASQFTSVLQTGAPLSTPMPSSTPVPPHMPSPAPRPMPMLPGIPAPVPRPPAVFQSAAPLGGSNPAMTQASAPSQPLVTIMGQAQIPLPSKAPVQSSMPVQPPGSLPQLAPVTPISLSGVMPGFSHVTHPPNTVITTPPVAVPKPPRPISADFTFRPLGAQVPASPTPRRPNVQTAQQMTAVAAPQPPSFRPALQNSASSINTQGFPRPLAVNQTNPSQAPIRLPPSSGVPFSVDLTMVRPPPNLPAFSDTNSIRLTGPSSQMGPGFSSAAQSSNPPRMHAWSMPIRQTSQNQLRPANRPNSPAVPMQQLGANHPGYMAGVASSNAGGNQIYDPFSPTSVSSAPRKAEENHTNMRKTETDAEYEDLMTSVGVK >Ma10_p02230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7504427:7506551:-1 gene:Ma10_g02230 transcript:Ma10_t02230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNTGLFVGLNKGHIVTKRELPPRPSNRKGKTSKRVHFVRNIIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSDKKK >Ma10_p02230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7504427:7506456:-1 gene:Ma10_g02230 transcript:Ma10_t02230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNTGLFVGLNKGHIVTKRELPPRPSNRKGKTSKRVHFVRNIIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSDKKK >Ma10_p02230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7504409:7506551:-1 gene:Ma10_g02230 transcript:Ma10_t02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNTGLFVGLNKGHIVTKRELPPRPSNRKGKTSKRVHFVRNIIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSDKKK >Ma10_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7504427:7506540:-1 gene:Ma10_g02230 transcript:Ma10_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNTGLFVGLNKGHIVTKRELPPRPSNRKGKTSKRVHFVRNIIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSDKKK >Ma03_p29060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32096946:32097827:-1 gene:Ma03_g29060 transcript:Ma03_t29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSSLKFLCLRSNLLYGTIPENIVDLTSLQVLDLSSNNLTGSLPSSLGNFNAMVEIQNDTRSMIHVITYYYTESILITIKGLNVTYTTILSLMTCIDLSNNHLSGEIPKELTKLLGLRFLNLSKNHLTGRIPEKMGDMTLLESLDLSVNSLTGEIPSSFSSMHFLARLNLSYNNLSGKIPTSGQLSTFDLWTYVGNKDLCGTPLPACPVYQTPPDARVKHEDDEKLEKLLEYTSVVVGFVVGFWLFIGTLIMKQAIRFTFFRWIDKASDLIYVQFAVKLAKLKSKWQTTT >Ma07_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3711850:3713098:1 gene:Ma07_g05090 transcript:Ma07_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDIMMGDSSRTIENRPPIKEIAFAVSLLVFGSLAIVVGSIMAANRIGGDRAHGVFFAILGSVLFLPGFYYTRIAYYAYKGYKGFSFDNIPAV >Ma07_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10092770:10093182:1 gene:Ma07_g13390 transcript:Ma07_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIYLAYLLLTCFSLIFQGRDKEKDGKLNSQEYFNGLLDLIKRYDDVYKFTRTDTSAEAPATKLFSQLDHSNNGYFSEDELIPVIGDLHLSEHYFAKQQADCGISEVFVD >Ma05_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8166619:8173378:1 gene:Ma05_g11310 transcript:Ma05_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGDLLHLFACAFLFHFSAFMVIPAITDVTIEALCPGRDQCSLAIYLSGINQAITGLGTLVVTPLVGNLSDKYGRKALLTLPMTSAIVPLVVLACGRSRTHLYAYFVIKMVSGMFSDGSMQCLSLAYVADKVCERRRASAFGVLSGVSAAGFVSGTVAARFLPTSHTFQVSASVAAVAAVYMRVFLEETDGGAALMDEESTRPLCSPATDGESCPSLPTLKKKVPSLGDMISLLRNSLTLTRAAIVVFFNSLADSGFQSALLYYLKAQFHFNKDQFADLLLIAGGAGAFSQLLLMPSLAPAIGEERLLNVGLLASCTHIFLYSIAWSYWVPYFASTFVILGVFIHPCIRSIVSKKVRSNEQGMAQGCITGIASFASILSPLAFTPLTALFLSENAPFTFKGFSIMCAGFASLLAFTLSITMMSTTTIPYQKV >Ma11_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5725248:5728546:-1 gene:Ma11_g07150 transcript:Ma11_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGIMEEEHCSDQKPHPPLQQRSSSATPSSSAAVPQKKKKRNLPGKPYTDAEVIALSPKTLMATNRFTCEVCNKGFQREQNLQLHRRGHNLPWKLKKKNPDEVRRRVYLCPEPTCAHHDPSRALGDLTGIKKHFCRKHGEKRWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFVTHRAFCDALAQENARIPTGAHAIGGQLYPNRGITSHFSSLAQPSNDLLLLRGNSGADHIGATTSRQPQTYHPSSFYFGGGSNQGFDEDPQLPQSKPFRGMMQLQDLQTVADASSSSAAAAVNAFNLGFFSGSGSTNALNSAGGGTEPMTLFAGDLTSNHINDDANISSLYNSCMQPQISATSLLQKTTTWSGGNGSSLLRGFGTSYHSYTSGVNDGSRQSSGAHVENHFHDIMNSLASDQVAGFSGFNPGLGNMGEDGLRSNLSAGGIGGSDRSTRDFLGVDSMIRRNMVGGVQEREQHLGIGMRSMDTEMKSRGGRLQ >Ma11_p07150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5725248:5728540:-1 gene:Ma11_g07150 transcript:Ma11_t07150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGIMEEEHCSDQKPHPPLQQRSSSATPSSSAAVPQKKKKRNLPGKPYTDAEVIALSPKTLMATNRFTCEVCNKGFQREQNLQLHRRGHNLPWKLKKKNPDEVRRRVYLCPEPTCAHHDPSRALGDLTGIKKHFCRKHGEKRWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFVTHRAFCDALAQENARIPTGAHAIGGQLYPNRGITSHFSSLAQPSNDLLLLRGNSGADHIGATTSRQPQTYHPSSFYFGGGSNQGFDEDPQLPQSKPFRGMMQLQDLQTVADASSSSAAAAVNAFNLGFFSGSGSTNALNSAGGGTEPMTLFAGDLTSNHINDDANISSLYNSCMQPQISATSLLQKTTTWSGGNGSSLLRGFGTSYHSYTSGVNDGSRQSSGAHVENHFHDIMNSLASDQVAGFSGFNPGLGNMGEDGLRSNLSAGGIGGSDRSTRDFLGVDSMIRRNMVGGVQEREQHLGIGMRSMDTEMKSRGGRLQ >Ma11_p07150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5725248:5728561:-1 gene:Ma11_g07150 transcript:Ma11_t07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGIMEEEHCSDQKPHPPLQQRSSSATPSSSAAVPQKKKKRNLPGKPYTDAEVIALSPKTLMATNRFTCEVCNKGFQREQNLQLHRRGHNLPWKLKKKNPDEVRRRVYLCPEPTCAHHDPSRALGDLTGIKKHFCRKHGEKRWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFVTHRAFCDALAQENARIPTGAHAIGGQLYPNRGITSHFSSLAQPSNDLLLLRGNSGADHIGATTSRQPQTYHPSSFYFGGGSNQGFDEDPQLPQSKPFRGMMQLQDLQTVADASSSSAAAAVNAFNLGFFSGSGSTNALNSAGGGTEPMTLFAGDLTSNHINDDANISSLYNSCMQPQISATSLLQKTTTWSGGNGSSLLRGFGTSYHSYTSGVNDGSRQSSGAHVENHFHDIMNSLASDQVAGFSGFNPGLGNMGEDGLRSNLSAGGIGGSDRSTRDFLGVDSMIRRNMVGGVQEREQHLGIGMRSMDTEMKSRGGRLQ >Ma11_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2010040:2011353:1 gene:Ma11_g02760 transcript:Ma11_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPLSDLIHGFYSSVNEKELSRLEKLLSKDCIFQSSAYSKPLQGKRINQFFKELTEAMGTHVRFVIDEVYEGKELTTAATWHLEWNNQFIPLTKGCSFFKCSKDGDLLLIKEARVLVESPVKPGDLILGTLKRIISLFDKFPRVAGWYLRKHDVLLHYICIIYMFLRPVILPLFVYYTNQWVWLQLKLPQNILQMFIDYVWKLLLIIIKRLM >Ma02_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18613911:18617645:1 gene:Ma02_g08180 transcript:Ma02_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELRRIENKINRQVTFSKRRSGLLKKAYELSILCDAEVALIVFSSRGRLFEFCSSASLLKTIEKYRRCSYNASEAMVSSNDTQNTYQEYLKMKTRVDYLQRSQRNFLGQDLDALNIKELDQLENQIETSLRHIRSTKTQVIIDQLTDLKYKMLQESNNILRTKLEEVGAENSLSLSWLSGGSHSADEPPRIEDFFQPPECDPSSQTGCSQVCTDLSNSETMLQDVTGLVARLM >Ma02_p08180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18613911:18617645:1 gene:Ma02_g08180 transcript:Ma02_t08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELRRIENKINRQVTFSKRRSGLLKKAYELSILCDAEVALIVFSSRGRLFEFCSSASLLKTIEKYRRCSYNASEAMVSSNDTQNTYQEYLKMKTRVDYLQRSQRNFLGQDLDALNIKELDQLENQIETSLRHIRSTKTQVIIDQLTDLKYKEQMLQESNNILRTKLEEVGAENSLSLSWLSGGSHSADEPPRIEDFFQPPECDPSSQTGCSQVCTDLSNSETMLQDVTGLVARLM >Ma06_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:947735:950941:1 gene:Ma06_g01180 transcript:Ma06_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAPPRLALLSPSSSDPAPSPGNPSLRSYLKLPNGRARGGPVFAAAGGNHAITGVIFEPFEELKSNQVSLVPVSPDQSIARQKYADDCEATINVQINVEYNVSYVYHALFAYFDRDNVALKGLAKFFKESSQEERDHAEKLMKYQNKRGGRVKLLPIVMPLTEFDHPEKGDALYAMELALSLEKLTNEKLLNLHCVAQKHNDAQMADFIESEFLGEQVEAIKKISEYISQLRRVGKGHGVWHFDQMLLHEGAAA >Ma04_p30440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31126066:31127136:-1 gene:Ma04_g30440 transcript:Ma04_t30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLASVAGLRGASQAVLEGSLQLSGSSRLPAPAPHHRVAVSRPGLAVRAQQAPAEGEATAQSGRRAVLGLVAAGLAGGSFAQAVLAEAKSIKVGPPPPPSGGLPGTLNSDEPRDLDLPLKERFFLQPLGPAEAAARAKESAKDIIGVKEFIDQKAWPYVMNNLRLKAEYLRYDLNTVISAKPKEEKKPLKELSGKLFATISELDHAAKIKSSSEAAKYYAATKSILDDVIAKLG >Ma06_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14856607:14857659:1 gene:Ma06_g20690 transcript:Ma06_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPGYRFYPTEEELIGFYLQNKLENRREDMEQVIPVADMYCFDPWQLPPMSGEPCRGDGEQWFFFCPRQEREARGGRPTRTTPSGYWKATGSPSLVYSSANRVMGVKRTMVFHQGRAPVGTKTKWKMNEYRAVEEGGSSIIASLAPQPRSEYSLCRVYTKSGCIRSFDRRPSSSSSSSAAAAAATNIDAQRTPEVLPSLCVPASANRPPSYGDSSRRSEGQRGEDDLWLITDDECYSLQDWF >Ma08_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5648319:5651155:1 gene:Ma08_g08150 transcript:Ma08_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQARHSVGEESMHAVVFLDWKGRSCMPTKHGGMRAAAFLLGLQALEMMAIAAVGNNLITYVFNDMHFPLSKSANIVTNFIGAVFLLSLLGGFLSDSYLGSFRTMLAFGFVELSGFLLLTVQAHLPQLRPPHCNMMSEGGDRCVEAKGFEALVFYTALYLVALGSGCLKPNIISHGADQFTKDDPNHSKKLSTYFNTAYFSFCVGELIALTVLVWVQTRSGMDVGFSLSAATMAAGLISLICGMLYYRNKPPRGSLFTPIARVLVAAIIKRKQVSPNTKLLRQGSVHTEKFRFLDKACMQIQGVADRKQSPWTLCTVAQVEQVKIILSVIPIFACTIIFNTILAQLQTFSVQQGSAMNTQVTEAFEIPPASLQAIPYLMLIVLVPLYEIGFVPLARRFTKTDSGISSLQRIGLGLFTVTFSMVSAALVEKKRRELAVGSDKQLSIFWIAPQFLIFGVSEMFTAVGLIEFFYEQSMAGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKVSSGAHWSGWLSDNNLDKDRLDLFYWLLAALSLVNFVNYLYWSRWYSYNPSADSAAINLPCAEEDYSSFTSSKLMEAQNIPL >Ma02_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22916965:22917730:1 gene:Ma02_g15050 transcript:Ma02_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNYASALVCVMALAMAYTTLAQNSPRDFVDAHNTARAAVGVGPVSWDDKVTAYAENYTKQRIGDCQLVHSHGPYGENLFWGSNTDYTGIDAVKSWVDEKQYYDHNSNSCADGQVSGHYTQVVWRDSKTIGCARAQCDNGGIFIICNYNPEGNIEGQSPY >Ma00_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15262738:15263009:-1 gene:Ma00_g02060 transcript:Ma00_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKLLANLSVCKVMKILCEGFRRN >Ma03_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2217270:2225574:-1 gene:Ma03_g03300 transcript:Ma03_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRASFRNLAVPATGLIYISSSRRLFRSWGRDLSLKPAFCHWNNRKFRASAKMMFDSSASMGPQAIVNVLQEKDRGGDGDYVSGGWKSEDGRLSYGYSSFRGRRASMEDCCDVKISNINEQSVSLFGIFDGHGGSRAAEYLKDHLFENLMKHPLFMTDTKLAISETYLKTDSDFLEAERIAFRDDGSTASTAIFIGNQLYVANVGDSRVVMSKAGEAIALSDDHKPNRSDERNRIENAGGFVMWAGTWRVGGVLAMSRAFGNRHLKQYVVAEPEIQEEEVDQELELLVLASDGLWDVVTNEDAVSIARMEEEPEAAARKLTATAFSRGSADNITCIVVRLHHDRLAVDSTSASES >Ma03_p03300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2217270:2225605:-1 gene:Ma03_g03300 transcript:Ma03_t03300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRASFRNLAVPATGLIYISSSRRLFRSWGRDLSLKPAFCHWNNRKFRASAKMMFDSSASMGPQAIVNVLQEKDRGGDGDYVSGGWKSEDGRLSYGYSSFRGRRASMEDCCDVKISNINEQSVSLFGIFDGHGGSRAAEYLKDHLFENLMKHPLFMTDTKLAISETYLKTDSDFLEAERIAFRDDGSTASTAIFIGNQLYVANVGDSRVVMSKAGEAIALSDDHKPNRSDERNRIENAGGFVMWAGTWRVGGVLAMSRAFGNRHLKQYVVAEPEIQEEEVDQELELLVLASDGLWDVVTNEDAVSIARMEEEPEAAARKLTATAFSRGSADNITCIVVRLHHDRLAVDSTSASES >Ma10_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14564605:14574063:-1 gene:Ma10_g03950 transcript:Ma10_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSFLFHLLQIRAKSWSLAGMLEGGERTPMLNPVELEEIGSMLVSYPIYLIGISISTLEVGVEQVIPYQWQKLWKPSLRLQQQPFEAEDCCSWSVCSLCRSHFGKLRLLHKMQ >Ma10_p03950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14564605:14571797:-1 gene:Ma10_g03950 transcript:Ma10_t03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGMLEGGERTPMLNPVELEEIGSMLVSYPIYLIGISISTLEVGVEQVIPYQWQKLWKPSLRLQQQPFEAEDCCSWSVCSLCRSHFGKLRLLHKMQ >Ma07_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13177180:13177629:1 gene:Ma07_g16320 transcript:Ma07_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFREFCASHGIQLRFSSVAHPQTNELAEVTNRSILDGLRRRASVARSVWVDELPSILWSLQTTPKTTTGESPYSLAFGTEAVLPPKVVFPTPRTENYEERTTIEGLRAGLNMLEERHADVHLKALSYKRAVARIYTRKVRPRQLSWAI >Ma04_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1852193:1855122:-1 gene:Ma04_g02110 transcript:Ma04_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPYVSLLSKALAFVPCCRPADADLFLATHSYSAASGAPRSSLMAEYLVSSCGFDPDQAAKASKLLGRVESRHQPDSVLGLFKSYGFDNTQVKKVISANPRWLLLDVEKTLAPKFRALQDLGFSCSDITHLVISNNYAISYKSQTILSKIQLLQGLLGSNDLLVKVCTRHRWFLGYSIEKRIQPNIEILRDCGITDQKLSVILRYRPFLITGNAETLKALISRVEGLGVPRTSGMFLAAVSMLQTVSEKNFKARSEFFKGFGWSEDDFLAAFRKAPTLLGLSLKSLQRKIEFLVNEAGCAPSYLALRPDFLLMSLEKRLMPRHRIVTGLKSRGVCISNLSIFSYLKYSEKQFLEKFVNCYKEYPELIELYNGAPKNRTAL >Ma04_p02110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1850862:1855122:-1 gene:Ma04_g02110 transcript:Ma04_t02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPYVSLLSKALAFVPCCRPADADLFLATHSYSAASGAPRSSLMAEYLVSSCGFDPDQAAKASKLLGRVESRHQPDSVLGLFKSYGFDNTQVKKVISANPRWLLLDVEKTLAPKFRALQDLGFSCSDITHLVISNNYAISYKSQTILSKIQLLQGLLGSNDLLVKVCTRHRWFLGYSIEKRIQPNIEILRDCGITDQKLSVILRYRPFLITGNAETLKALISRVEGLGVPRTSGMFLAAVSMLQTVSEKNFKARSEFFKGFGWSEDDFLAAFRKAPTLLGLSLKSLQRKIEFLVNEAGCAPSYLALRPDFLLMSLEKRLMPRHRIVTGLKSRGVCISNLSIFSYLKYSEKQFLEKFVNCYKEYPELIELYNGAPKNRTAL >Ma04_p02110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1850485:1855122:-1 gene:Ma04_g02110 transcript:Ma04_t02110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPYVSLLSKALAFVPCCRPADADLFLATHSYSAASGAPRSSLMAEYLVSSCGFDPDQAAKASKLLGRVESRHQPDSVLGLFKSYGFDNTQVKKVISANPRWLLLDVEKTLAPKFRALQDLGFSCSDITHLVISNNYAISYKSQTILSKIQLLQGLLGSNDLLVKVCTRHRWFLGYSIEKRIQPNIEILRDCGITDQKLSVILRYRPFLITGNAETLKALISRVEGLGVPRTSGMFLAAVSMLQTVSEKNFKARSEFFKGFGWSEDDFLAAFRKAPTLLGLSLKSLQRKIEFLVNEAGCAPSYLALRPDFLLMSLEKRLMPRHRIVTGLKSRGVCISNLSIFSYLKYSEKQFLEKFVNCYKEYPELIELYNGAPKNRTAL >Ma06_p37910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36856032:36856921:1 gene:Ma06_g37910 transcript:Ma06_t37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTVVHQLLQVSSSSSSESEAEEEAVVEIEEQAPPPAWNPANGISTGLALGINKRRLLSKQLSMRETRMEAKWEKRRRQILQTRRLMEGGGRGEGGGGDEEATEGSERRLDGRTRSLTDEDLDELRGSIDLGFGFNEEEGGQGLCDTLPALNLYFAVNRQLSDPKLQSSPSPASTPTATSSSSALDGLPSPRSPNEQSQSGASDCWKICNPGDSPQHVKTRLRHWAQAVACSLRQSW >Ma08_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11256378:11275335:-1 gene:Ma08_g14150 transcript:Ma08_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSLSGPVLCVHPLPKTAATFPVAARGVAQQWRRRRWWGPGIFHRRIGPAGRPPAAGSRADDSSAPYEMTVENALKLLGLSESASFDDILRAKNAVLASCKDDVESAAQVEAAYDMLLMQRLSQRRAGKVANSSIRYADVKPIRSTVTGAMPEWLQKMVKNIPVSVESPSANNLGIQAGVYGALMVSTFVSGASPTSAGQYIGADVSGLLLATSFGASLYFLSKKKMSLGKATVITIGSIVVGAVIGSAVEHWLQVDIVPFYGLHSPAVIVSEFILFSQFLVSVYLR >Ma02_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19955856:19958709:1 gene:Ma02_g10330 transcript:Ma02_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKQEFFLMILLLLSIFRSSQGRGFTKPRYPYIKKASSFSSAPGEAYDYIIVGGGTAGCPLAATLSHKFKVLLLERGGSPYGNRNISRLQNFHISLADTSPSSPAQAFISTDGVINSRARVLGGGTCINAGFYTRASPSYVNAAGWDGQLVNESYPWVEKRIVYWPNVAPWQAALRDGLLEAGVSPFNGYTFDHIYGTKVGGTIFDRRGFRHTAADLLAAGNPNNLRVLLRASVQKIVFDTQGRRPKAVAVQFKDENGRQHQAVLADGAGDGDVILSAGAIGSPQLLLLSGIGPKHDLEKLRIPVVLHNQHVGKGMSDNPMNSIFIPTKKPVRQSLIQTVGITKMGTFIEASSGFSQSSDSIQCHHGIMSAEIGQLSAIPPAQRSLEAAQKYARNKQNLPREAFRGGFILEKIDGPLSTGELSLLDTDVDCSPSVTFNYFSHPYDLKRCVYGIRTIEKIVRTKHIAKLSGVDEYPMEVLLNMSVKANLNLVPKHTNDTASIQQFCRDTVITIWHYHGGCHVGKVVDHDYRVMGVAGLRVIDGSTFVNSPGTNPQATVMMMGRYMGVKILRERLGRAAEV >Ma09_p14820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10136825:10161828:1 gene:Ma09_g14820 transcript:Ma09_t14820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRCPHRNMVGRVADGRPDAEEDPSPAAAPSEAGLPGVDLLAQARKALSFRCPFDAEESTPRVPTLPSGLASFLLRASEGHRKHKKSQGETSEKPLGNRKPSTVWDETEEFFRPVTLDDIDMLVPKLPSGPGLLDSCLAMQVTGIGTGDGQKYDNLVADAVELSSISLPKKEEVVSTQQVKDTENVQAAEEAQQMEVDKVVTVGGDTLSIHGGDDDHSSLHWILGSKERFVLTSERPNKKRKLLGGDAGLDRILLLPNSLSGASLCDFCCSPETRAESNKLLRCHSCNVLVHQKCYGVHEVPEGVWLCAWCKHLETTGEILERDGQEPGLRPCLLCPKEGGALKMVKGDSSLNTSGTTTKFMHLFCSLWTPEVHVVDTGSMELAMNMGGIEDRRRRLVCNVCKVKHGVCIRCSHGTCRTSFHPLCARESKHQMEIWGKFGCDNVELRVFCSKHYTIQAAKRLPKLRFTRKSRDKSMVQNEITNLNSEKLVQMDTDVEQNAVAGRIASEGNQAGSDAEMDSGGVIDSGNNRTPVDVAAVLRKLIDRGKISADEVAAEMGISLDSLQAALVGETTSFSPGLRLKIIKWLQSSIHMSALQQSRVRSSPAISSDIKGKDIDGSNAVAVKDPENKLAGDKMTDVEVLDAVLIKSLPPRRRTKSNIRILKNNKALHSSGVASVLENGNRKIVDETDDMPVVISEDVKGDINGRKCSNLVESLSNEQNLPEMIIEDMFKIEDSIFPLDPNGGQKRSPSNVGHSDLSDAEYKVDDAVEKVSSLDCKSNQADANTTIPYGSQRDCSKNFDSDNVLLSSKFDLENDGFCSISNIHPLIKKKLLHMQNSVFFKNNPRVPFFNDSANILCPSCTQQRLSCSCTGTNHSLDMTNLDQLSKAKRMGILGLSPEDEVEGEIIYLQARLLDNDVVVKHSYEDLLLKIVQNLSHELNASNKHKWDLILVNQFLREVREAKKRGRKERRHKEAQAVLAAAAAAAAASSRNSSLRKDSNDEIISATQESSIKVNAATGRAGFSTASVPRAREALRSSVAKLSSDKHSLAFQMPDLLKDNALSCEICMRTETILNRIFVCSSCKVAVHLDCYRRLRNPIASWKCELCEDMSLPSTSPKSQTDGKDRSAAIAQCGLCGGATGAFRKSADGQWVHALCAEWLLESKFRRGQENLVEGMDTILKEKDTCGICYFNFGACLKCSYGHCQYAFHPSCARAAGFYMNAKATGASLQHKAYCGKHSIEQREADNQQCGAEDLKSLKQIRVELEKLRLLCERIIKREKLKKELVLCSHDILASRRDCVAYSVLVRSSFFPTGTSSESATTSINNRSYSGTVQRSDEITVDSTVSGKNKIRFSLNNRDFDRNTDDSSTSQLSYKWKLANRESFAGKQLPRRSTSIASRRSAEDGEKNAKARKTETFQKELVMTSDQASMQNQRLPKGFFYVPVGSLSKEKPITQDLESCEPRELGG >Ma09_p14820.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10136825:10161828:1 gene:Ma09_g14820 transcript:Ma09_t14820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRCPHRNMVGRVADGRPDAEEDPSPAAAPSEAGLPGVDLLAQARKALSFRCPFDAEESTPRVPTLPSGLASFLLRASEGHRKHKKSQGETSEKPLGNRKPSTVWDETEEFFRPVTLDDIDMLVPKLPSGPGLLDSCLAMQVTGIGTGDGQKYDNLVADAVELSSISLPKKEEVVSTQQVKDTENVQAAEEAQQMEVDKVVTVGGDTLSIHGGDDDHSSLHWILGSKERFVLTSERPNKKRKLLGGDAGLDRILLLPNSLSGASLCDFCCSPETRAESNKLLRCHSCNVLVHQKCYGVHEVPEGVWLCAWCKHLETTGEILERDGQEPGLRPCLLCPKEGGALKMVKGDSSLNTSGTTTKFMHLFCSLWTPEVHVVDTGSMELAMNMGGIEDRRRRLVCNVCKVKHGVCIRCSHGTCRTSFHPLCARESKHQMEIWGKFGCDNVELRVFCSKHYTIQAAKRLPKLRFTRKSRDKSMVQNEITNLNSEKLVQMDTDVEQNAVAGRIASEGNQAGSDAEMDSGGVIDSGNNRTPVDVAAVLRKLIDRGKISADEVAAEMGISLDSLQAALVGETTSFSPGLRLKIIKWLQSSIHMSALQQSRVRSSPAISSDIKGKDIDGSNAVAVKDPENKLAGDKMTDVEVLDAVLIKSLPPRRRTKSNIRILKNNKALHSSGVASVLENGNRKIVDETDDMPVVISEDVKGDINGRKCSNLVESLSNEQNLPEMQIIEDMFKIEDSIFPLDPNGGQKRSPSNVGHSDLSDAEYKVDDAVEKVSSLDCKSNQADANTTIPYGSQRDCSKNFDSDNVLLSSKFDLENDGFCSISNIHPLIKKKLLHMQNSVFFKNNPRVPFFNDSANILCPSCTQQRLSCSCTGTNHSLDMTNLDQLSKAKRMGILGLSPEDEVEGEIIYLQARLLDNDVVVKHSYEDLLLKIVQNLSHELNASNKHKWDLILVNQFLREVREAKKRGRKERRHKEAQAVLAAAAAAAAASSRNSSLRKDSNDEIISATQESSIKVNAATGRAGFSTASVPRAREALRSSVAKLSSDKHSLAFQMPDLLKDNALSCEICMRTETILNRIFVCSSCKVAVHLDCYRRLRNPIASWKCELCEDMSLPSTSPKSQTDGKDRSAAIAQCGLCGGATGAFRKSADGQWVHALCAEWLLESKFRRGQENLVEGMDTILKEKDTCGICYFNFGACLKCSYGHCQYAFHPSCARAAGFYMNAKATGASLQHKAYCGKHSIEQREADNQQCGAEDLKSLKQIRVELEKLRLLCERIIKREKLKKELVLCSHDILASRRDCVAYSVLVRSSFFPTGTSSESATTSINNRSYSGTVQRSDEITVDSTVSGKNKIRFSLNNRDFDRNTDDSSTSQLSYKWKLANRESFAGKQLPRRSTSIASRRSAEDGEKNAKARKTETFQKELVMTSDQASMQNQRLPKGFFYVPVGSLSKEKPITQDLESCEPRELGG >Ma09_p14820.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10136825:10161828:1 gene:Ma09_g14820 transcript:Ma09_t14820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRCPHRNMVGRVADGRPDAEEDPSPAAAPSEAGLPGVDLLAQARKALSFRCPFDAEESTPRVPTLPSGLASFLLRASEGHRKHKKSQGETSEKPLGNRKPSTVWDETEEFFRPVTLDDIDMLVPKLPSGPGLLDSCLAMQVTGIGTGDGQKYDNLVADAVELSSISLPKKEEVVSTQQVKDTENVQAAEEAQQMEVDKVVTVGGDTLSIHGGDDDHSSLHWILGSKERFVLTSERPNKKRKLLGGDAGLDRILLLPNSLSGASLCDFCCSPETRAESNKLLRCHSCNVLVHQKCYGVHEVPEGVWLCAWCKHLETTGEILERDGQEPGLRPCLLCPKEGGALKMVKGDSSLNTSGTTTKFMHLFCSLWTPEVHVVDTGSMELAMNMGGIEDRRRRLVCNVCKVKHGVCIRCSHGTCRTSFHPLCARESKHQMEIWGKFGCDNVELRVFCSKHYTIQGMDSVKDPKNMLAVGDHSLEAEPSSAVLAAKRLPKLRFTRKSRDKSMVQNEITNLNSEKLVQMDTDVEQNAVAGRIASEGNQAGSDAEMDSGGVIDSGNNRTPVDVAAVLRKLIDRGKISADEVAAEMGISLDSLQAALVGETTSFSPGLRLKIIKWLQSSIHMSALQQSRVRSSPAISSDIKGKDIDGSNAVAVKDPENKLAGDKMTDVEVLDAVLIKSLPPRRRTKSNIRILKNNKALHSSGVASVLENGNRKIVDETDDMPVVISEDVKGDINGRKCSNLVESLSNEQNLPEMQIIEDMFKIEDSIFPLDPNGGQKKYKVDDAVEKVSSLDCKSNQADANTTIPYGSQRDCSKNFDSDNVLLSSKFDLENDGFCSISNIHPLIKKKLLHMQNSVFFKNNPRVPFFNDSANILCPSCTQQRLSCSCTGTNHSLDMTNLDQLSKAKRMGILGLSPEDEVEGEIIYLQARLLDNDVVVKHSYEDLLLKIVQNLSHELNASNKHKWDLILVNQFLREVREAKKRGRKERRHKEAQAVLAAAAAAAAASSRNSSLRKDSNDEIISATQESSIKVNAATGRAGFSTASVPRAREALRSSVAKLSSDKHSLAFQMPDLLKDNALSCEICMRTETILNRIFVCSSCKVAVHLDCYRRLRNPIASWKCELCEDMSLPSTSPKSQTDGKDRSAAIAQCGLCGGATGAFRKSADGQWVHALCAEWLLESKFRRGQENLVEGMDTILKEKDTCGICYFNFGACLKCSYGHCQYAFHPSCARAAGFYMNAKATGASLQHKAYCGKHSIEQREADNQQCGAEDLKSLKQIRVELEKLRLLCERIIKREKLKKELVLCSHDILASRRDCVAYSVLVRSSFFPTGTSSESATTSINNRSYSGTVQRSDEITVDSTVSGKNKIRFSLNNRDFDRNTDDSSTSQLSYKWKLANRESFAGKQLPRRSTSIASRRSAEDGEKNAKARKTETFQKELVMTSDQASMQNQRLPKGFFYVPVGSLSKEKPITQDLESCEPRELGG >Ma09_p14820.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10136825:10161828:1 gene:Ma09_g14820 transcript:Ma09_t14820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRCPHRNMVGRVADGRPDAEEDPSPAAAPSEAGLPGVDLLAQARKALSFRCPFDAEESTPRVPTLPSGLASFLLRASEGHRKHKKSQGETSEKPLGNRKPSTVWDETEEFFRPVTLDDIDMLVPKLPSGPGLLDSCLAMQVTGIGTGDGQKYDNLVADAVELSSISLPKKEEVVSTQQVKDTENVQAAEEAQQMEVDKVVTVGGDTLSIHGGDDDHSSLHWILGSKERFVLTSERPNKKRKLLGGDAGLDRILLLPNSLSGASLCDFCCSPETRAESNKLLRCHSCNVLVHQKCYGVHEVPEGVWLCAWCKHLETTGEILERDGQEPGLRPCLLCPKEGGALKMVKGDSSLNTSGTTTKFMHLFCSLWTPEVHVVDTGSMELAMNMGGIEDRRRRLVCNVCKVKHGVCIRCSHGTCRTSFHPLCARESKHQMEIWGKFGCDNVELRVFCSKHYTIQGMDSVKDPKNMLAVGDHSLEAEPSSAVLAAKRLPKLRFTRKSRDKSMVQNEITNLNSEKLVQMDTDVEQNAVAGRIASEGNQAGSDAEMDSGGVIDSGNNRTPVDVAAVLRKLIDRGKISADEVAAEMGISLDSLQAALVGETTSFSPGLRLKIIKWLQSSIHMSALQQSRVRSSPAISSDIKGKDIDGSNAVAVKDPENKLAGDKMTDVEVLDAVLIKSLPPRRRTKSNIRILKNNKALHSSGVASVLENGNRKIVDETDDMPVVISEDVKGDINGRKCSNLVESLSNEQNLPEMIIEDMFKIEDSIFPLDPNGGQKRSPSNVGHSDLSDAEYKVDDAVEKVSSLDCKSNQADANTTIPYGSQRDCSKNFDSDNVLLSSKFDLENDGFCSISNIHPLIKKKLLHMQNSVFFKNNPRVPFFNDSANILCPSCTQQRLSCSCTGTNHSLDMTNLDQLSKAKRMGILGLSPEDEVEGEIIYLQARLLDNDVVVKHSYEDLLLKIVQNLSHELNASNKHKWDLILVNQFLREVREAKKRGRKERRHKEAQAVLAAAAAAAAASSRNSSLRKDSNDEIISATQESSIKVNAATGRAGFSTASVPRAREALRSSVAKLSSDKHSLAFQMPDLLKDNALSCEICMRTETILNRIFVCSSCKVAVHLDCYRRLRNPIASWKCELCEDMSLPSTSPKSQTDGKDRSAAIAQCGLCGGATGAFRKSADGQWVHALCAEWLLESKFRRGQENLVEGMDTILKEKDTCGICYFNFGACLKCSYGHCQYAFHPSCARAAGFYMNAKATGASLQHKAYCGKHSIEQREADNQQCGAEDLKSLKQIRVELEKLRLLCERIIKREKLKKELVLCSHDILASRRDCVAYSVLVRSSFFPTGTSSESATTSINNRSYSGTVQRSDEITVDSTVSGKNKIRFSLNNRDFDRNTDDSSTSQLSYKWKLANRESFAGKQLPRRSTSIASRRSAEDGEKNAKARKTETFQKELVMTSDQASMQNQRLPKGFFYVPVGSLSKEKPITQDLESCEPRELGG >Ma09_p14820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10136825:10161828:1 gene:Ma09_g14820 transcript:Ma09_t14820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRCPHRNMVGRVADGRPDAEEDPSPAAAPSEAGLPGVDLLAQARKALSFRCPFDAEESTPRVPTLPSGLASFLLRASEGHRKHKKSQGETSEKPLGNRKPSTVWDETEEFFRPVTLDDIDMLVPKLPSGPGLLDSCLAMQVTGIGTGDGQKYDNLVADAVELSSISLPKKEEVVSTQQVKDTENVQAAEEAQQMEVDKVVTVGGDTLSIHGGDDDHSSLHWILGSKERFVLTSERPNKKRKLLGGDAGLDRILLLPNSLSGASLCDFCCSPETRAESNKLLRCHSCNVLVHQKCYGVHEVPEGVWLCAWCKHLETTGEILERDGQEPGLRPCLLCPKEGGALKMVKGDSSLNTSGTTTKFMHLFCSLWTPEVHVVDTGSMELAMNMGGIEDRRRRLVCNVCKVKHGVCIRCSHGTCRTSFHPLCARESKHQMEIWGKFGCDNVELRVFCSKHYTIQGMDSVKDPKNMLAVGDHSLEAEPSSAVLAAKRLPKLRFTRKSRDKSMVQNEITNLNSEKLVQMDTDVEQNAVAGRIASEGNQAGSDAEMDSGGVIDSGNNRTPVDVAAVLRKLIDRGKISADEVAAEMGISLDSLQAALVGETTSFSPGLRLKIIKWLQSSIHMSALQQSRVRSSPAISSDIKGKDIDGSNAVAVKDPENKLAGDKMTDVEVLDAVLIKSLPPRRRTKSNIRILKNNKALHSSGVASVLENGNRKIVDETDDMPVVISEDVKGDINGRKCSNLVESLSNEQNLPEMIIEDMFKIEDSIFPLDPNGGQKKYKVDDAVEKVSSLDCKSNQADANTTIPYGSQRDCSKNFDSDNVLLSSKFDLENDGFCSISNIHPLIKKKLLHMQNSVFFKNNPRVPFFNDSANILCPSCTQQRLSCSCTGTNHSLDMTNLDQLSKAKRMGILGLSPEDEVEGEIIYLQARLLDNDVVVKHSYEDLLLKIVQNLSHELNASNKHKWDLILVNQFLREVREAKKRGRKERRHKEAQAVLAAAAAAAAASSRNSSLRKDSNDEIISATQESSIKVNAATGRAGFSTASVPRAREALRSSVAKLSSDKHSLAFQMPDLLKDNALSCEICMRTETILNRIFVCSSCKVAVHLDCYRRLRNPIASWKCELCEDMSLPSTSPKSQTDGKDRSAAIAQCGLCGGATGAFRKSADGQWVHALCAEWLLESKFRRGQENLVEGMDTILKEKDTCGICYFNFGACLKCSYGHCQYAFHPSCARAAGFYMNAKATGASLQHKAYCGKHSIEQREADNQQCGAEDLKSLKQIRVELEKLRLLCERIIKREKLKKELVLCSHDILASRRDCVAYSVLVRSSFFPTGTSSESATTSINNRSYSGTVQRSDEITVDSTVSGKNKIRFSLNNRDFDRNTDDSSTSQLSYKWKLANRESFAGKQLPRRSTSIASRRSAEDGEKNAKARKTETFQKELVMTSDQASMQNQRLPKGFFYVPVGSLSKEKPITQDLESCEPRELGG >Ma09_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10136825:10161828:1 gene:Ma09_g14820 transcript:Ma09_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRCPHRNMVGRVADGRPDAEEDPSPAAAPSEAGLPGVDLLAQARKALSFRCPFDAEESTPRVPTLPSGLASFLLRASEGHRKHKKSQGETSEKPLGNRKPSTVWDETEEFFRPVTLDDIDMLVPKLPSGPGLLDSCLAMQVTGIGTGDGQKYDNLVADAVELSSISLPKKEEVVSTQQVKDTENVQAAEEAQQMEVDKVVTVGGDTLSIHGGDDDHSSLHWILGSKERFVLTSERPNKKRKLLGGDAGLDRILLLPNSLSGASLCDFCCSPETRAESNKLLRCHSCNVLVHQKCYGVHEVPEGVWLCAWCKHLETTGEILERDGQEPGLRPCLLCPKEGGALKMVKGDSSLNTSGTTTKFMHLFCSLWTPEVHVVDTGSMELAMNMGGIEDRRRRLVCNVCKVKHGVCIRCSHGTCRTSFHPLCARESKHQMEIWGKFGCDNVELRVFCSKHYTIQGMDSVKDPKNMLAVGDHSLEAEPSSAVLAAKRLPKLRFTRKSRDKSMVQNEITNLNSEKLVQMDTDVEQNAVAGRIASEGNQAGSDAEMDSGGVIDSGNNRTPVDVAAVLRKLIDRGKISADEVAAEMGISLDSLQAALVGETTSFSPGLRLKIIKWLQSSIHMSALQQSRVRSSPAISSDIKGKDIDGSNAVAVKDPENKLAGDKMTDVEVLDAVLIKSLPPRRRTKSNIRILKNNKALHSSGVASVLENGNRKIVDETDDMPVVISEDVKGDINGRKCSNLVESLSNEQNLPEMQIIEDMFKIEDSIFPLDPNGGQKRSPSNVGHSDLSDAEYKVDDAVEKVSSLDCKSNQADANTTIPYGSQRDCSKNFDSDNVLLSSKFDLENDGFCSISNIHPLIKKKLLHMQNSVFFKNNPRVPFFNDSANILCPSCTQQRLSCSCTGTNHSLDMTNLDQLSKAKRMGILGLSPEDEVEGEIIYLQARLLDNDVVVKHSYEDLLLKIVQNLSHELNASNKHKWDLILVNQFLREVREAKKRGRKERRHKEAQAVLAAAAAAAAASSRNSSLRKDSNDEIISATQESSIKVNAATGRAGFSTASVPRAREALRSSVAKLSSDKHSLAFQMPDLLKDNALSCEICMRTETILNRIFVCSSCKVAVHLDCYRRLRNPIASWKCELCEDMSLPSTSPKSQTDGKDRSAAIAQCGLCGGATGAFRKSADGQWVHALCAEWLLESKFRRGQENLVEGMDTILKEKDTCGICYFNFGACLKCSYGHCQYAFHPSCARAAGFYMNAKATGASLQHKAYCGKHSIEQREADNQQCGAEDLKSLKQIRVELEKLRLLCERIIKREKLKKELVLCSHDILASRRDCVAYSVLVRSSFFPTGTSSESATTSINNRSYSGTVQRSDEITVDSTVSGKNKIRFSLNNRDFDRNTDDSSTSQLSYKWKLANRESFAGKQLPRRSTSIASRRSAEDGEKNAKARKTETFQKELVMTSDQASMQNQRLPKGFFYVPVGSLSKEKPITQDLESCEPRELGG >Ma08_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3430085:3433241:-1 gene:Ma08_g04980 transcript:Ma08_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAVNPKAYPLADAQLTITILDLIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >Ma09_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4221026:4223295:1 gene:Ma09_g06600 transcript:Ma09_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNILQFSPSTKWGRTKEAESPETHHRPSAHLSLLLLSDRSRGRATSLPIHSDPSSLSGSIWWPSSVAPAPFLLWLFDSSRVEEAPPGEDGGVRSSDTRSGITCFWNSSRAWNCDGPHHMSVMMQSDVSC >Ma08_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1328545:1332779:1 gene:Ma08_g01550 transcript:Ma08_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKEDADSASPDAAAAADSTSGSDPIDAAPIQNAPISTATCTSPPKPAAIGPVLGRPMEDVGATYSLGKELGRGQFGVTHLCTHKATDEKLACKTIAKRKLANKEDIEDVRREVQIMYHLAGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRVIARGHYTERAAASLLRTIVEIVHTCHSMGVMHRDLKPENFLLLNKDEDAPLKATDFGLSVFFKQGEVFRDIVGSAFYIAPEVLKRAYGPEADIWSIGVMLYIFLCGVPPFWAESEQGIFNAILQGQIDFTSDPWPNISPGAKDLVRKMLKLDPRQRLTAFEVLNHPWIKEDGEAPDTPLDNAVLNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKNMDSDNSGTITLEELKQGLAKQGTKLSEHEVKQLMEAADADGNGTIDYEEFITATVHMNRMDREEHLYTAFQYFDKDNSGYITMEELEQALKEKGLYDEKEIKDIISEADVDNDGRINYDEFAAMMRKGNPEPNPKKRRDVVL >Ma03_p33620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34924386:34925481:1 gene:Ma03_g33620 transcript:Ma03_t33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWISYVKEGRKRAASSWFNMASLPPLQLAVLCLLLALTGRLRAEPSCIAVYWGQNGYERGLREACATGYYNDIISCQQDHNVTVMLSLGGAIGNYNLVSEEDAREVATYI >Ma08_p29980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41394295:41402076:1 gene:Ma08_g29980 transcript:Ma08_t29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFPPSAWGFAPTQLNSSSAKPAVLLFRTRPFSSFTPNRASSADQNSQEEGAPPDPVKLAFAKAKAYQKDKKSAPIAEPEPPPSPSAGIEGVGDDSPPEVPSAVKLAMERAKEYKKGKGAPGPQKMSLPYSRELLEEKSKKRELKVSSVDFLGLDFAEKKTYRGRPPGLNPVVEPISEGDLPEVELIVGDPSKFGESTPSVTVNPEENDDNMVFYKPKVSTWGVFPRPSNISKTFGGGRNIKPGEVLETAVGKAAKEKRTRELLAAYKSKMGLMIDAKTKAECEKALKEGDKLMDLGRLREALPFYEKIMKDVVFQSELHGQAALQWSICQDSLSRPNEARAMYEKLQSHPNVQVSKRARQFAFSFQAMEMMKASGSSILRKTGYETYFDAFVENNDDYSPTKEEQEESTLRQGLPYIMFLSSPVLFIIFLAARKSLGL >Ma08_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33257981:33264760:1 gene:Ma08_g19490 transcript:Ma08_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MEALKRAVIRVAATPDGRLQELPFLQRSLLLPLLSFASSLFRLSVALRRRLYRFGLLPRHRLPVPVISVGNLTWGGNGKTPMVEFIARICVEAGIPPLILTRGYASGDEAKMLERHLSGTSARIGVGANRTAVAASIFERHGYVKFENTLYSKKLSNPHEFGSASENGKVGVAILDDGMQVSDGQLKVIKSKMHTVDACHAVFFTRLAPSHFFEVKNQHSTLPLSVVLNRVVLCVSAIGFPNAFVQAVGKIGPLLVDRLDFGDHHSIQLNDIEMIKERLGKLKDRFNTEVVAVVTEKDYDRDALILREIHNFDVLVLCSSFQIMPSYGQGEDTFRRKLKELIISKFGG >Ma08_p19490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33257981:33264760:1 gene:Ma08_g19490 transcript:Ma08_t19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MEALKRAVIRVAATPDGRLQELPFLQRSLLLPLLSFASSLFRLSVALRRRLYRFGLLPRHRLPVPVISVGNLTWGGNGKTPMVEFIARICVEAGIPPLILTRGYASGDEAKMLERHLSGTSARIGVGANRTAVAASIFERHGYVKFENTLYSKKLSNPHEFGSASENGKVGVAILDDGMQHWSMLCDVEIVMLNGLMPWGNNHLIPRGSLREPLGALSRADIIVVHHADLVSDGQLKVIKSKMHTVDACHAVFFTRLAPSHFFEVKNQHSTLPLSVVLNRVVLCVSAIGFPNAFVQAVGKIGPLLVDRLDFGDHHSIQLNDIEMIKERLGKLKDRFNTEVVAVVTEKDYDRDALILREIHNFDVLVLCSSFQIMPSYGQGEDTFRRKLKELIISKFGG >Ma07_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2401138:2407072:1 gene:Ma07_g03080 transcript:Ma07_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLKYEVVGKFALFAFLLLDLLVGSAGGDVVLIGRNVSLSFPDIEATFAPSVKGSGECGVLYVAEPLDACAPLTNEVAKGLDSPFALIIRGGCTFDVKVINAQNAGFKAAIVYDNEDRGTLISMAGSSIGIYIYAVFVSKASGEMLMKYAGNTDLELWIIPTFENSAWPIMVISFIALLVISAVLATCFFIRRHFGRPEQTRAPNIREFHGMSSQLVKAMPSLIFTSVVEDNCTATTCAICLEDYSVGEKLRILPCHHKFHAFCVDFWLTTWRTFCPVCKQDARAGRSNLPASESTPLLSSGEVTVSSNVGLSSFRSSMAASPALQIFPVASGPESNSQPHLLSGACSPAIQIAPMTPHSQSSAYYTAHIPNPHRSYGHSPAFRTSRSSLDLRNASSQRSHAYLLSSHSLGFPRSPSINSILGSSYIPCSSNVSSSYLAASSSQQSYLRHCTESGASLSALASAQSLPGC >Ma02_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28778124:28781739:-1 gene:Ma02_g24010 transcript:Ma02_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:Projected from Arabidopsis thaliana (AT5G65110) UniProtKB/TrEMBL;Acc:A0A178UA38] MDQPTTTKTKAKAMMTAATTSQGNESPALRRLRLLSLHLYQPSLVSPGGGELALMPCSSGRGRGRKVEASGPELAAYLRGQHHEIQMRVFDFFRSRPDLQTPVEISTADHRELCMRQLAGLVRDAGIRPLRYVLEDPSVYFAIMEAAGAIDISLGIKMGVQYSLWGGSVLNLGTKKHKDKYFDGIDNLDYPGCFAMTELHHGSNVQGLQTTATFDPVTDEFVIDTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGDSADMGIHAFVVPIRDLNSHSVLPGIEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVALAYSSVGILKIAVTIAVRYSLLRQQFGPPKEPEVSILDYQSQQHKLMPMLASAYAFHFATLHLVDKYSEMKKAHDDAVVSDVHCLSAGLKAYVTSYTAKSISTCREACGGHGYAAVNRFGILRNDHDIFQTFEGDNTVLLQQVAADLLKQYREKFQGGTLAVTWNYLRDSMASYLSQSNPVTARWEGTDHLRNPSFQLDAFRYRTSRLLQSVAMRLQKHSQTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVQSCPDKKTKDVLKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLTEYLSFQVRGVARELIDAFDLPDIATRAPIGMSSEAYSHYTQYIGF >Ma03_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7182926:7186491:-1 gene:Ma03_g09640 transcript:Ma03_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFPSLLPLTLSLSLSLFFERSLHWLLGFLMTAKSSKNRRDGPPMDGAVASHSSGAAAVRAEIDTSAPFESVKEAVDRFGGSAVWKSQPKQIVNPEKHQCSEEVEVIKVVEQAAQLEKDLILKERETLDVLKELELTKKIVDGLKLRLQKETSDATAMPAIDSYDVYVHPIPEDEEYEHTDLEKNEDMDDPSIGVNQFPGLILVELEQAKATLNRTTSDMVGFRTSIKMLNMKIEEEKLLLENVQEKLTVRTSLISFLEEDLNQTTAKLRKVKDLESKRCEDPCSFLQEIKQLNSEIEQSKKTMEATKNEVTKLTSEIEQTKASIKTAEFRWFAAKKTEEAAKAAEDLSLADIKALMSSNNLITGLQDACGITLSVEEYIALTSKAQVADLASRKKIEVAMLQVDEANRSKSELLMKVEEATAEAKKCKKALENALNRVEAANRGKLTVEDALRRWTRSEHGQKRHSVQNSTKFKNYAAHHGKDSHVLDSNGSTLVSVMPSGGLLRAPLSIGQILSMKLMDPDSPEEYDQGVWEKENEKPKVSLGQMLNKRHGVLSHQGDTSVSPLKQFSAKRKKLGFVSLPLILAKQSKKNKKKGSL >Ma03_p29390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32310744:32318429:1 gene:Ma03_g29390 transcript:Ma03_t29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLTQSLGTSSTAFLHPPSTPLRSSSARALGFRPSFLPRPLLSRSSFPAAGLRCKVQRRERRMGPRCEAVVAEKEADEASGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSLLGDAGDLEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDVGTDNGLIGQFGVGFYSAFLVAERVVVSTKNPKSDKQYIWEAEADSSSYVIREETDPEKLIKRGTQITLFLREDDKFEFADPTRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEPKDGEETKPEEEKKKKKKTVTEKYWDWELANETKPIWMRNPKEVEKDEYNEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVLYVPGMAPLNNEDLINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQELSESENKEDYKKFWENFGKLLKLGCIEDSGNHKRLTPLLRFYSSKSEEDMISLDEYVENMGENQKAIYYLATDSLKSAKTAPFVEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFIDISKEDLELGDEDEVKEREEKQEYNLLCDWMKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRILEINPEHPIIKDLNAACKNDPSSTEAKRAVDLLYDTALISSGYTPDSPAELGNKIYEMMAIALGGRWGRSESDEVAEGANSEATSSEAGSSETTEGEVVEPSEVRPENDPWKD >Ma11_p24990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27781321:27789370:1 gene:Ma11_g24990 transcript:Ma11_t24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGGVGAGAWALDAERAEAEEREREAAEPPPLSSLLAGEPVQSFPSLKEATSSRQQKKKKPVALSLAQFNAGNFGGAGGGRRDLSFESKGLTPDEMLRLPTGPRERSQEEIEHGRLGGGFRSYGGGGGGPRGGFASNRAEEGDGSWGGPGGGRRGYGGFNDEQRRGPPARALDFDQPSRADEVDNWGSGKKSFVPPLSEGGRRDSYGSLGSHGPSRADGIDNWSTAKKPLPSKYPSFGSGFGDSRALSDSDRWGRSREGFIQNDQGRPKLVLDPLKKNADAPIEPARTRPSPFGTARPREEVLAEKGLDWRRMESEIEIRKTSRPTSSHSSRPSSAQSSRPVSPGVQSAAPAAEITVKPGPKVNPFGNAKPREILLQEKGIDWRKIDMELEHRSVARSETDEEKLLREEIDYLKLLTKETEGDLNGELTKLSSEELSRLCEEISRKEKDLELLAHQLDDKVRFGQKTTASIRPGSGAGRSDTSSTRPPSRSGMSEGSRSIESVDRPQSRGGTGDAWIKPMDDRRAFQGGRDRGFFDGRNGDRSSSRERW >Ma11_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25487419:25487682:-1 gene:Ma11_g21260 transcript:Ma11_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTTFVTTLILVLNGCPELGPVGSYLASSGFSTTSSVLVIKQHLPDTPRHETHSAFCFVCRVCRARRTACLLQWHVDKREQRMTS >Ma05_p28070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39157908:39158669:-1 gene:Ma05_g28070 transcript:Ma05_t28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAQLPVSSAASSASPSSTSSPCAACKFLRRKCQPDCVFAPYFPPDQPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISILQHQLRQLQMDLSCAKSELSKYRSAAAAAAATAAAGTSSASFGETIPVTSATGHGFINLNHTGITCLGFGRDQFFPSSARDPNHNLQMMLRNHDADLAARLGANGAYDAGLAAAVNATSAAAIGLFGGHFSKPSAAGGDERPGIGPL >Ma04_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8945115:8945852:-1 gene:Ma04_g12130 transcript:Ma04_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNIIKLLNQDFVRLDRFDGTNFTRWQDKMKFMLTALKIFYVLDPNLQPIPDPTDDNTDEVKVEQKKRIEDEVMCRGHILNALSYQLYDLYTVEPSAKAIWNALEFKYHAKEEGTKNFLISKYFDYKFMDDKPILAQVHELQVIVNQLKAEKIELPEPFHVGAIIAKLPSSWKGYRKKILHNSKDITLEQIQKHLRIEEESRMRKKSENSFGNIKANVVNIKTNVVNIKCCESFWPQKGSKKI >Ma02_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21905364:21908617:-1 gene:Ma02_g13530 transcript:Ma02_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMCSVHTLSPSQEESGDEELSVLPRHTKVIVTGNNRTKSVLVGLKGVVKKAVGLGGWHWLVLKNGEEVKLQRNALSVLEAPTGNEDDEIDCDTSFCSSSDMGEKDMDYTGSEIHKPRKSRIRQTRSWKSNGQSSIRNIHSHGFKPRTRVRLSKLETATLWRYLKHFNLVSSNPSPTKEQLVHDVQHHFLSQKLDETEVILGFIHAAKRLRTLYS >Ma10_p02760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9476232:9479730:1 gene:Ma10_g02760 transcript:Ma10_t02760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAPFRLRPTATPAILRANSLIHRYGRLGRIEEAERIFHAMPERTVVSFNALIAAYFLNHLPLCARHLFDRMPLRNTASYNAMLSGLLRCPRRLAEARALFDSIPHPNVVTWTSMIRGYVQHGLVAEAEELFLRMPERNVVSWTVMLGGLIQDCRINDARQLFDRMPEKDVVAWTNMVSGYCIAGRIAEARELFDEMPRRNVVSWTAMISGYLQNLQVDVARKLFEVMPERNEVSWTAMITGYTQAGRVGEAAELFWRMPEKLLAVCNAMILGFGQHGMVEEARKVFDGMVDRDDGTWSAMVKTCEQNGLEMEALDNFREMQMNGIQPNFASIISVLSVCASLAILDLGREVHAALVRSHFNDDVFVVSALATMYVKCGELVKARKVFDKFDAKDVVMWNSMITAYAQHGLGEEALNIFNDMRDIGMVPDEISYIGVLLACSYSGKIKEGREIFESISSNPLVELRAEHYACMVDLLGRAGLVDEAMNLIKKMPMQADAVVWGALLGACRTHRNTDIAEIAAKKLLHLEPGNAGHYVLLSNIYASSGRWEDVAELRKVMSSRKVSKSPGCSWIEFDKKVHMFTSGDMLAHPEHAILSGMLEKLDGLLKEAGYFPDGAFVLHDVDEEQKAHNLRYHSERLAVAFGILKIPEGLPIRVMKNLRVCGDCHSAVKLIAKITGREIILRDANRFHHFKNGSCSCRDYW >Ma10_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9476232:9479730:1 gene:Ma10_g02760 transcript:Ma10_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAPFRLRPTATPAILRANSLIHRYGRLGRIEEAERIFHAMPERTVVSFNALIAAYFLNHLPLCARHLFDRMPLRNTASYNAMLSGLLRCPRRLAEARALFDSIPHPNVVTWTSMIRGYVQHGLVAEAEELFLRMPERNVVSWTVMLGGLIQDCRINDARQLFDRMPEKDVVAWTNMVSGYCIAGRIAEARELFDEMPRRNVVSWTAMISGYLQNLQVDVARKLFEVMPERNEVSWTAMITGYTQAGRVGEAAELFWRMPEKLLAVCNAMILGFGQHGMVEEARKVFDGMVDRDDGTWSAMVKTCEQNGLEMEALDNFREMQMNGIQPNFASIISVLSVCASLAILDLGREVHAALVRSHFNDDVFVVSALATMYVKCGELVKARKVFDKFDAKDVVMWNSMITAYAQHGLGEEALNIFNDMRDIGMVPDEISYIGVLLACSYSGKIKEGREIFESISSNPLVELRAEHYACMVDLLGRAGLVDEAMNLIKKMPMQADAVVWGALLGACRTHRNTDIAEIAAKKLLHLEPGNAGHYVLLSNIYASSGRWEDVAELRKVMSSRKVSKSPGCSWIEFDKKVHMFTSGDMLAHPEHAILSGMLEKLDGLLKEAGYFPDGAFVLHDVDEEQKAHNLRYHSERLAVAFGILKIPEGLPIRVMKNLRVCGDCHSAVKLIAKITGREIILRDANRFHHFKNGSCSCRDYW >Ma05_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1711132:1717277:1 gene:Ma05_g02620 transcript:Ma05_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAISAFCQALAAFCHHVDTASKSLSDSIQRRPIPLDSAASAFLQSLDRRISCAGADLELLESMAFGTVSFEELLGHCNEVFKNHQSCIADLEDRMQSFGYVPGVELDDEVDEEIGDDPKFASPVNGHLKLPVDFGSVSVARSSRKRLEEDSLFEDSISLQNLGLSDACLATLASEGDGCLSITENFSGGTMSSYDGIMSGKVIAAGFQEPDLNASDAFSDESSVARNAGKKVTKDDYDGLPAFMKSLVSWEELQEAIVKINSFLSGKDRKKQSDAFNQDDLEIMGLGRKGRSYLLLLLRMNQLAAETNEGSIYYRVPAGCC >Ma04_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10558510:10559668:1 gene:Ma04_g13950 transcript:Ma04_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor 4 [Source:Projected from Arabidopsis thaliana (AT4G16500) UniProtKB/Swiss-Prot;Acc:Q84WT8] MRSLLPLLLCSLLASTVFATSHVLDPPLKGLDGGWTAIEDLKDPHVREIAEFAVSENNKLEKTNLTLRKVEGGETQVVAGTNYRLVLEVRDGSGASARYEAVVWEKPWESFKQLASFHKLVIVGGWTPIKNISDPDVGEIAEFAVAEHNHEAGTNLTLCKVVKGETQVVAGINYKLVIEAKDGGVGVVSEYEAVVWEKTWEHFRRLTSFKLLEAH >Ma06_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1447431:1460521:1 gene:Ma06_g01770 transcript:Ma06_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAARSDPSKKEKKKKKGKGAKRLPSGPAAAAMKAAKPPPTLNPFETIWSRRKFDVVGKRRKGEERRIGLSRSLAVEKRKKTLLKEYEQSAKSSVFLDNRIGEKDDTLQEFDKAVLRLQRERQAQKVKEKEEDESLMAKLDEDFSMLAQTEALQALSHPSKMNALKALLNKDIKRESLKEGSSGLSDNKLIEKGHPDAYDKLVKELGSDRRAHASDRTKTPEEIAQEEKERLEELEKQRRERMLATDDSTDEGSDDDNVEIQNSASIKIRPTSGDDLGDSFSIADDVTGKKGWIDGIYEQNDADNQDESDSSSQDSEGDEDNEGDGDGKDGSGDEHVNISTMKDWEQSDDDDDGLNMDGEETENIDEKEVAIGDKLTLDLHEVKTSSSHEMNAAGNLAPAKEKELPYVIEAPKNLTELCSLLDSRSDDEVVEIINRIRAYNSIRLAAENRKKMQIFYGVLLQYFAVLATQSPLNFKIINSLVKPLVEMSAETPYFAAICARQRLIHIRTHFLEDIKNPGKSCWPTLKTLLLLRLWSLVFPCSDFRHVVMTPALLLMCEYLMRCPINSGQDAARGSFLCSLVFSVCKQSQKFCPEAIIYLQTLLTSSIELKLGLQQHSQLNHLMEIRVLKPWLHITDQTCAIHPLDFFAVMEMQEESPFFATDTFRASVLSSVSETLKGFIIIYEGFSSFAEIFSPISNLLHEVLQNPNLPGLLRDKMQDVLDLIKKKTDEHHMFRKPLQMRKQKPVPIKLLNPKFEENFVKGRDYDPDRERAEMKKLKKLLKSEKKGAIRELRKDSQFVSGLKETGRLMQEEERAEKYGKAMAFLQEQEYAFKSGQLGKGRKRRR >Ma07_p28740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34669717:34673595:-1 gene:Ma07_g28740 transcript:Ma07_t28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQMEERGMGVAPGLESELVSIPATPRGVSTPETVTPTGLRSPRPPGGASVAGAGAAGSNSAKSWTPTPAMISPRFLSPSISSAVGTPMKRVLVNLRGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGIGFQALLLPVAFAFLGWSWGIVALTIAYIWQLYTLWILVKLHEAMPGRRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKMFFQIVCGPLCSSNPLSTVEWYLVFTILCIVLSQLPNLNSIAGLSLIGAVTAITYATMAWLLSVSQERPPSISYQPMASPSFGAAAFSILNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYLLIAMCLFPIAIGGFWAYGDLMPAGGMLNALYAFHSHDIPRGLLATTFLLVVFNCLSSFQIYSMPVFDSFEAGYTSRTNRPCSVWVRSGFRVFYGFISFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWIRIKKPERFSFNWYLNWSLGIMGIAFSLAFSMGGVWSMVNSGLKLKFFKPN >Ma06_p20660.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14828545:14838627:1 gene:Ma06_g20660 transcript:Ma06_t20660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGDPGAPDSWEMADLDASMSRLLLSSKNGSALSPSLEAEEEEEEEEAAAALDTTRASLASVDGAEGVPMDAVGQVDQFLREALEKPRERLAILRMEQDIVKFICDPTQQQLEFQAIPNSYLRLAAHRIAQHYYLQSVAIQDNSMPDVSGSRILMQKTSFSCRRPPVRLADIPVNFPQEDNNAIPKVAIKQRPQKHSQIMSNANTQSYKTNRQKSVEERKEEYNRARARIFNSNDMSSTISYSKDEVKLPDILQDCSISARSDEKTAIEGSEKNLGRSFSDSSSGNSILNRTKIEKEPIVSRHKTNNRVAIFRDREVDSKDPDYDRSYDRYMQRFEPGFGFNSGPGTIQPLYSPAVNYNTEFPQLGSGHGAQFPVDHQPQPIPQHLHGPWLSPSAPNVINYGPPAGMMPTYNSNHVHAHSTTSVYMHSSQYSVPPYPGMSFAHPNGHIQSFVQTHQQLPDTSFGLARPR >Ma06_p20660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14828545:14838627:1 gene:Ma06_g20660 transcript:Ma06_t20660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGDPGAPDSWEMADLDASMSRLLLSSKNGSALSPSLEAEEEEEEEEAAAALDTTRASLASVDGAEGVPMDAVGQVDQFLREALEKPRERLAILRMEQDIVKFICDPTQQQLEFQAIPNSYLRLAAHRIAQHYYLQSVAIQDNSMPDVSGSRILMQKTSFSCRRPPVRLADIPVNFPQEDNNAIPKVAIKQRPQKHSQIMSNANTQSYKTNRQKSVEERKEEYNRARARIFNSNDMSSTISYSKDEVKLPDILQDCSISARSDEKTAIEGSEKNLGRSFSDSSSGNSILNRTKIEKEPIVSRHKTNNRVAIFRDREVDSKDPDYDRSYDRYMQRFEPGFGFNSGPGTIQPLYSPAVNYNTEFPQLGSGHGAQFPVDHQPQPIPQHLHGPWLSPSAPNVINYGPPAGMMPTYNSNHVHAHSTTSVYMHSSQYSVPPYPGMSFAHPNGHIQSFVQTHQQLPDTSFGLARPR >Ma06_p20660.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14828545:14838627:1 gene:Ma06_g20660 transcript:Ma06_t20660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGDPGAPDSWEMADLDASMSRLLLSSKNGSALSPSLEAEEEEEEEEAAAALDTTRASLASVDGAEGVPMDAVGQVDQFLREALEKPRERLAILRMEQDIVKFICDPTQQQLEFQAIPNSYLRLAAHRIAQHYYLQSVAIQDNSMPDVSGSRILMQKTSFSCRRPPVRLADIPVNFPQEDNNAIPKVAIKQRPQKHSQIMSNANTQSYKTNRQKSVEERKEEYNRARARIFNSNDMSSTISYSKDEVKLPDILQDCSISARSDEKTAIEGSEKNLGRSFSDSSSGNSILNRTKIEKEPIVSRHKTNNRVAIFRDREVDSKDPDYDRSYDRYMQRFEPGFGFNSGPGTIQPLYSPAVNYNTEFPQLGSGHGAQFPVDHQPQPIPQHLHGPWLSPSAPNVINYGPPAGMMPTYNSNHVHAHSTTSVYMHSSQYSVPPYPGMSFAHPNGHIQSFVQTHQQLPDTSFGLARPR >Ma06_p20660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14828545:14838627:1 gene:Ma06_g20660 transcript:Ma06_t20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGDPGAPDSWEMADLDASMSRLLLSSKNGSALSPSLEAEEEEEEEEAAAALDTTRASLASVDGAEGVPMDAVGQVDQFLREALEKPRERLAILRMEQDIVKFICDPTQQQLEFQAIPNSYLRLAAHRIAQHYYLQSVAIQDNSMPDVSGSRILMQKTSFSCRRPPVRLADIPVNFPQEDNNAIPKVAIKQRPQKHSQIMSNANTQSYKTNRQKSVEERKEEYNRARARIFNSNDMSSTISYSKDEVKLPDILQDCSISARSDEKTAIEGSEKNLGRSFSDSSSGNSILNRTKIEKEPIVSRHKTNNRVAIFRDREVDSKDPDYDRSYDRYMQRFEPGFGFNSGPGTIQPLYSPAVNYNTEFPQLGSGHGAQFPVDHQPQPIPQHLHGPWLSPSAPNVINYGPPAGMMPTYNSNHVHAHSTTSVYMHSSQYSVPPYPGMSFAHPNGHIQSFVQTHQQLPDTSFGLARPR >Ma06_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14828545:14838627:1 gene:Ma06_g20660 transcript:Ma06_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGDPGAPDSWEMADLDASMSRLLLSSKNGSALSPSLEAEEEEEEEEAAAALDTTRASLASVDGAEGVPMDAVGQVDQFLREALEKPRERLAILRMEQDIVKFICDPTQQQLEFQAIPNSYLRLAAHRIAQHYYLQSVAIQDNSMPDVSGSRILMQKTSFSCRRPPVRLADIPVNFPQEDNNAIPKVAIKQRPQKHSQIMSNANTQSYKTNRQKSVEERKEEYNRARARIFNSNDMSSTISYSKDEVKLPDILQDCSISARSDEKTAIEGSEKNLGRSFSDSSSGNSILNRTKIEKEPIVSRHKTNNRVAIFRDREVDSKDPDYDRSYDRYMQRFEPGFGFNSGPGTIQPLYSPAVNYNTEFPQLGSGHGAQFPVDHQPQPIPQHLHGPWLSPSAPNVINYGPPAGMMPTYNSNHVHAHSTTSVYMHSSQYSVPPYPGMSFAHPNGHIQSFVQTHQQLPDTSFGLARPR >Ma06_p20660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14828545:14838627:1 gene:Ma06_g20660 transcript:Ma06_t20660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGDPGAPDSWEMADLDASMSRLLLSSKNGSALSPSLEAEEEEEEEEAAAALDTTRASLASVDGAEGVPMDAVGQVDQFLREALEKPRERLAILRMEQDIVKFICDPTQQQLEFQAIPNSYLRLAAHRIAQHYYLQSVAIQDNSMPDVSGSRILMQKTSFSCRRPPVRLADIPVNFPQEDNNAIPKVAIKQRPQKHSQIMSNANTQSYKTNRQKSVEERKEEYNRARARIFNSNDMSSTISYSKDEVKLPDILQDCSISARSDEKTAIEGSEKNLGRSFSDSSSGNSILNRTKIEKEPIVSRHKTNNRVAIFRDREVDSKDPDYDRSYDRYMQRFEPGFGFNSGPGTIQPLYSPAVNYNTEFPQLGSGHGAQFPVDHQPQPIPQHLHGPWLSPSAPNVINYGPPAGMMPTYNSNHVHAHSTTSVYMHSSQYSVPPYPGMSFAHPNGHIQSFVQTHQQLPDTSFGLARPR >Ma10_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17687811:17690936:-1 gene:Ma10_g06160 transcript:Ma10_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPAIVHRKCF >Ma03_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24589559:24596219:-1 gene:Ma03_g19230 transcript:Ma03_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKQIQSPQIQQEEIPPVPGVEVPAAVPGTPKQPKFPFYSPSPLPSSYKDSPANSSVKSTPLRFLKRPFPPPSPAKHIKALLARRHGSVKPNEASIPEGSEVELGLDKNFGFLKQIFSKFELGEEVGRGHFGYTCTAKAKKGDMKGEEVAVKVIPKAKMTTAIAIEDVRREVRILRSLTGHKNLVQFYDAYEDEDNVYIVMELCKGGELLERILSRGGKYSEEDAKAVIVQILSVVAFCHLQGVVHRDLKPENFLFATKDEKSTIKAIDFGLSDLVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPTFDEAPWPSLSSQAKDFVKKLLNKDYRKRMTAAQALCHPWLRNFEEVKIPQDIIVYKLVKAYICSSSLRKSALRALAKTLTVDQLYYLQEQFALLGPNKSGYISLQNLKTALSRNSTDTMKDSRVLDFANVVSALQYRKLDFEEFAAAAISVHQMEVLDTWEQHARNGYEFFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSLLGFIKLLHGFSSRTIPKA >Ma07_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1557585:1561016:1 gene:Ma07_g02000 transcript:Ma07_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASSQAHGTESDDIQAAIAKTAELRSLHAKLLQRRNLGGGPFVLGPPVGAASFLRHSNPHSTAEDYPVFTPSYEEESLPGFHYIRPETRSLSETWSAIQVEGKNDATINIDAQKNKQNICSRNEHLSKRSSCINHISFLQASLVTDTHISSSGRSSPGEHETIKKCNACKPVTISREPEREHRNLKTVSSTTSLHDTGTSKHVHTKHRGPVLSWLFPKSKRKPKPEMLPHPIESEEMSQFLNDWGLLSFESLKKELLEANKNKDAALAEVTKMRSLFGELQQKLVNLETYCEELKKALKQAAHVKSCQVTDRPNLPKRTKTSGCVKDELMPVSHEVMMEGFLQIVSEARLSIKQFSKMLIHHIEETECDMMEKLNLLLQHQQMSSSKKHSKVMLYHVEALINQCLYQDFENCIFQKNGSPKFLDPQQERRENYLAFLALRNLSWNEVLHKGTKYYSKNFSMFCDQKMSCIALLLNWSRLWPEQLLQCFFIAAKFIWLLHLLAFSFSPPLMILRVDENRIFDPLYMEDILLDKKRAHIAAQVKIMVMPGFYIQDKVLKCRVLCESLSLAK >Ma04_p33890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33312166:33313636:1 gene:Ma04_g33890 transcript:Ma04_t33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAILIRTTSFLGSPRRSLADGIQDSGAGISFLRRSRSASLSPRSMCAAALHSEAEEGRDGHLLRRVRSEADLVRSNPLTRSPIPERVVEEEEADTEEVKWCDLGVPVPGRPPAALVEQVEYSGGGIGKGKQVGGGRGGGDENDNRKIADYYQQMLRTDPSNPLLLRNYGRFLHEVEGDAKGAEECYGRAILASPGDGEVLSLYGTLVWETQRDEERAEVYFERAVEASPDDCYVLGSYAHFLWDAEEEEEGRKEASSPLVEAF >Ma06_p33020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33821342:33821813:1 gene:Ma06_g33020 transcript:Ma06_t33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNYAGMSLFTRGEPLMRVVQYAAQRLQLLHQE >Ma04_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2308658:2311901:1 gene:Ma04_g02820 transcript:Ma04_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVSSLGWGAGGLLLLACAVWALNWAWWRPRRQERALRSQGLHGTPYRLLRGDLKEDARLLAEARSKPMPLSHHIIPRVAPLLHAAMNEFGRTSFTWFGPVPRVTITDPQSIRGILSNKFGHMGRSNISPFRRLLATGVLSYEGEKWAKHRRILNPAFHFEKLKRMLPAFSVCCSDLVSRWEKLVGQEGSCELDVWPELQNFTGDVISRAAFGSSYEEGRRIFELQAEIAELIMQTGKTAVYVPGYRFLPILKNRRIKAISKEVRSLLRGIIRKREEAIKRGEASTDDLLGLLMESNTKHLHEGGNKNAGMTIDEVVEECKLFYFAGQETTAVLLTWTMVVLSMHPLWQARAREEVLQVLGKDKPEFDALSHLKIVTMILYEVLRLYPPLALIQRRTYKTVEIGNIAYPPGTLLALPIIFIHHDPALWGEDASEFKPERFAEGIAKTSRDQTAFFPFGGGPRVCMGQNFALLEAKMGLSTILQRFWFELSPSYAHAPRTVMTLQPQHGAQLRLHRL >Ma08_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2835078:2839617:1 gene:Ma08_g04020 transcript:Ma08_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTEAMRLDEVDRTLYSTFCSAANSLSQLYTQAMNQQKISFQAGERHAMEKLYQWIVRKHEEGSRVSVADIVTHIQNVMDYAGEDALVSPRSSFPHQHHTPMHATNSSAQPPSALFGQPTVGLASRSGHSDQTKNSVFSNALSSPVRRSLQPYHVAQGDGFYVNGVVPAGNTGSRNPDANQHRETNSLSFSDSSMDIHTDSPPHESY >Ma07_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8918036:8929854:1 gene:Ma07_g12010 transcript:Ma07_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MGGLQLVESPIALRLVSAASRPRRRLSLATFGHGLRPFRGCNGMICVSKARQTHVEVSSTYLYKLTSPKKVKFSTRSTSASVQPMTQDITEDMHKDYPISGDEIRTRFLNFYASRGHKILPSASLVPDDPTVLLTIAGMLQFKPIFLGKEPRSVPCATTSQRCIRTNDVENVGKTTRHHTFFEMLGNFSFGDYFKKEAIVWAWELTTKEYKLPPERLWISVFKDDDEAFSIWHDEVGVPKEHIKRLGEDDNFWTSGITGPCGPCSEIYYDFHPERGSESADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQQVPNNYETDLIFPIIQKAANLAMISYAKADDATKTNLKIVGDHMRAVVYLISDAVLPSNIGRGYVVRRLIRRVVRIGRLLGIKGAGEGNPDGAFLPGLAKIVIELSNQIDPDVTGRMPHILEELKREELRFVQTLERGEKLLDQFLASALLNASTNGDRPCLAGKDVFLLYDTYGFPVEITAEVAEERGVSLDMNGFHIEMENQRRQSQAAHSTVKLSVGNEVELAESVPDTEFLGYDMLSANAIIKGLLQNGEPVTEVAEGSDVEIMLDRTPFYAESGGQIGDNGFLRCKGVEDSKDTAIVEVKDVQKSLGNIFVHKGTIKEGKIEVGLEVNAIVDPRLRQRAKVHHTATHLLQAALKNVIGQETSQAGSLVAFDRLRFDFNFHRPLFEHELMKIEELINQWINDSTLLQTKVMPLLDAKKAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTSEIRGFKIISEQGIASGIRRIEAVAGEAFIEYVTTRDNSMRHLCSVLKVKAEDVTGRVENILEELRIARNEVSALRTRVAILKATALVNKAFIVGTTNIRVVVESMDDTDADSLRNAADYLVDSLQDPAAVVLGSCPGDGKVSLVAAFTPSVVKMGIQAGKFIGGIAKLCGGGGGGKPNFAQAGGRKPENLSNALEKARVELIAALDGKTS >Ma07_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7805732:7807201:1 gene:Ma07_g10500 transcript:Ma07_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVKRSEACGFIPLLLRAMEATPSLPVVRGEHVDVIRKPLSSLPAPRTVRFFCDDFDATDSSGDDDELPCQSRRVRRYVQEIRFEARPSSAGRSNKGMKAVPARKRKAPVAGEGAAGEGDGSVKRFRGVRRRPWGKFAAEIRDPWRRVRVWLGTFNTAEEAAKVYDSAAIQLRGPSATTNFSRPTAAAASPPKKNRSDNNLASVSDACDSSDESRNLSSPTSVLRGFSSSSSSSPSATCFKEETDTPTPPKAPDSAGTRSLLKELGDFPPFQEVPALFDEFLDTWDSEPSYFGDSAPIGNLAEEMTDALIGPKLDLDFLSSTWQNADYFEDIGDLFPIDALPAL >mito11_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000022.1:150491:154523:1 gene:mito11_g00080 transcript:mito11_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 2 [Source:Projected from Arabidopsis thaliana (ATMG00160) UniProtKB/TrEMBL;Acc:G1C2Q7] MEKEMILHSLSWRFLTIAPCDAAEPWQLGSQNAATPIMQGIIYLHHDIFFFLILILVFVSRILVRALWHFHEQTNPVPQRIVHGTTIEIIRTIFPSIIPMFIAIPSFALLYSMDEVVVDPAITIKAIGHQWYWTYEYSDYNSGDEESLTFDSYMIPEDDLELGQSRLLEVDNRVVVPAKTNLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNNTSIFIQREGVYYGQCSEIRGTNHAFTPIVVEAVSLKDYGSRVFNQLILQTN >Ma08_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8342013:8349493:1 gene:Ma08_g11280 transcript:Ma08_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQGGVFGCTGSSPSMESVRVGNEIEEASVETPVESEEDKVYVAVAAELEAGLTTLLWAIKNKHGAKAVVIVHVHSPTQMIPMMGGSFLASSLSAVQVSAYRQMERDKMNKRLDEYLAVCLRLKVRAEKLVIENDDVTRGLVELIAQFGITKLAMGAAADKRYSKKMKELKSKTATGVNQQADPSCMIWFVCKGKLIYTREASLHASWAENSPSKTPNQDRRLSKPGSSTNPCEEISSHSQRSDNLSVSNTDKEVIYSWSVSLPSDGESEAGSVSLSPVHDSEDLQFSYLHHELEDSDSHSDLFNKLQAVLKEVENLKREAFEESCKYRKAETQLMLSRQKAKASENLYAKEVRYRKEIEEALDREKLEVEKLKNQHAAVLKQLQNAIDENLELGHKLTESICTTRDFEEKISANCHLLHSLQEKCDTLQRERDGAVKEAEELRHKAVWTNAGISWPLSLEFSYLELEQATQNFNNSLMIGKGGFGKVYKGFLRNTTVAIKMLHPKSLQGQNEFNQEVAVLSKVRHPNLVTLIGACSDVLALIYEFLPNGSLEDCLACINSTPPLTWQVRTRIIGEICSALIFLHSNKPHAVVHGDLKPDNILLDSNLVSKLGDFGICRFLIESNTSATPYHKTHPKGTIAYIDPEFLATGELTPQSDVYAFGIIILRLLTGKPAPGIAREVQKALDDGYLHAMVDQSAGNWPFVQAKQLAHLGLRCSDTSRRNRPDLVAEIWRVVEPMMKAASLSVSSVSYNSFSEENYQIPSYFICPIFQEVMSDPLIAADGFTYEAEAIKGWIDSGHETSPMTNLQLPNCELIPNRTLRSAIQEWLQMQQRS >Ma10_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24210391:24210727:1 gene:Ma10_g10120 transcript:Ma10_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATMGGDLLGLLARRCTPTASGSSTTCDIRGNTSWGWGWGPSSSVSS >Ma04_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2193993:2195160:1 gene:Ma04_g02610 transcript:Ma04_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHLHLQDREIQSAHPNSPHSTTSGSCISNNNGATAAAATAGLAPPPPPLTPKPLSRSTDSNPYPTTFIQADTSSFKQVVQMLTGSAETTAKHVASAAAPAKNPLSPPTVAKSATAPKKPAFKLYERRGSLKNLKMIGPLIPTLLGSNPSSPVGNGKPFSPSRNQMPEILSPSVLDFPSLALSPVTPLIPDPFNRPPHPNPAAGMSAEDRAIAEKGFYLHPSPRTTPRDAQPPRLLPLFPVTSPKMSSASAAAGSST >Ma02_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17049893:17054220:1 gene:Ma02_g05940 transcript:Ma02_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRANLRRLWHGSQPTHSSLESKGKSAPASKHSPIKLVVAALVAILVIVFFISNSATFSWSEHGVHAESLKTQPSKAQAVPVTQPSKAQTVPVTQPSKAQAMPITLTCSNQTSPICKRSSNLVSALSLTTPQQSPTCPAYFRWIHEDLRPWKSTGITKEMVEGAQKLATFRLVVLDGRVYVEEYFGQSMTRNVFTLWGILQLVNRYPGRVPDLDLMFNCVDQPSVRSAEYSSSTLPPVFHYCKDDQTSDILFPDWSFWGWPETNIKPWVPLMNEMKAANEEVKWIDREPFAFWKGNPTMGSNRQELLKCNVTNEQDWNARIYSQDWNREEKQGFQQSNLAKQCSHRYRIYVDGLAWSVSQKYIMACNSPTLFVNTRWYEFFQRGLVPGHHYWPIPENNKCRAIKFAVDWGNQHQEEAQAMGKASSNFLTEKVKMDYVYDYMLHVLTEYAKLLRYKPTVPEKATEFCLESMACTAQDNVKRFLLESMEKSTHVSEPCTLPPPFTSLELQQLSQKTADAVKQVEMWEQKAWVEQGNEL >Ma02_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13083555:13097803:1 gene:Ma02_g02100 transcript:Ma02_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGPPARGSDLGAAGMAADAEEPRVYEAWKGSNIFFLQGRFIFGPDVRSLFLTIFLIVAPVSVFCVLVARKLMDDFSNTLGVPVMVVVVVFTLYDLTLLLLTSGRDPGIVPRNAHPPEPEIYEGNNEVGGVQTPQLRLPRTKDVNVNGVTVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVCIVKIKNAEQISIWRAMTKTPASIVLIIYTFISVWFVGGLSIFHLYLMSTNQTTYENFRYRYDRRANPYNRGVVENFKEIFFTSIAPSKNKFRARVPREQGFQPRSSGGGFMSPNMGKAVGDIEMGRKPVTWDELRAVTQVGDLEEGLSNRNIADDKDGELGEVSPDLSREVLASGSVEVQATTHHRLSSWGRGRSWETTPEVQAVAAAVGETSRMGSGSGSSIMAPGNL >Ma09_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1245539:1251483:1 gene:Ma09_g01680 transcript:Ma09_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDSCPSIKNILLLDSEGKRVAVKYYSDDWPTLSAKLAFEKSVFTKTQKTNARTEAEIVMFDGYIVVYKFIQDLHFFVTGGDDENELILATVLQGFFDAVGLLLRNNVDKRTALENLDLILLCLDEIIDGGIILETEASIIAGKVATHGLDGAASLSEQTITQALATAREHLARSLLK >Ma10_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28347151:28349078:-1 gene:Ma10_g16760 transcript:Ma10_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGLSKQPLASITWNIHLMGFNCVRLTYPTFLLTDESLASLTVRQSFERAGLDATIPLIALHNPTFVDLNLLQAYKAVVAKLGATNIMVILDNHISKPGWCCSNSDGNGFFGDTYFDPNVWYQGLIKMATMFKGTPNVVGMSLRNELRGRRQNVDDWFTYMQKGAEAVHGANQDVLVVLSGLSFDNDLSFLANRQVDVSFQRKLVFELHWYSFSNGDAWVKGNPNNVCGSISGSVNTRAGFLLDRQLPLFVSEYGVDQQGGNLNDNRYFGCILAFLADKDVDWALWTLQGSYYLREGVVDKGESYGMLTYDWAGVRNQTVLQKVRAVQQPFQGPGVSKTPPYIIILHPSTGLCVRQGSLTSHTLDFGPCDGNMQAFHYTQQQTLTSVDSSLCVSATGSGKPVKFDSCSDSGSSWDLVSDSGMHVSTTLPGDGSTLCLDVGQNGVVTNPCKCLRDDANCDPGSQWFKLVTSAKAIP >Ma03_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9426986:9427289:1 gene:Ma03_g12160 transcript:Ma03_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRTFYCLSNRLLCSTFTASRIGETERVC >Ma03_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27506929:27511922:-1 gene:Ma03_g22780 transcript:Ma03_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLHRRAASEKNLYYRKKRFYKVSASLVFVLWLVVFLLNLLISKGNGFRDADEQDAALSEFSLHEVPSYPDKVMMDSQDPLQSSATSSTDNQQKTVVLKGVDVRSDEEVLVSESSKLDTSPDQRDDVSYMGNNLEKETPPRSDRLSRITPPGLDEFKSKAISSKEKVVSRETGTVIHRVEPNGKEYNYASANKGAKVLAYNKEAKGASNILDKDKDKYLRNPCSAEEKYVVLELSEETLVDTIEIANFEHYSSNFKYFDLFSSLVYPTDSWVKLGNFTAANVKHAQRFSLSEPKWARYLKINLLSHYGSEFFCTLSVVEVYGVDAVERMLEDLISVENSRLEPEEQIAEQLNEHNDKEDTFEEILTDLDNQSPHDNLKSKRDAPKNTLTNPLLDTKPTQVGRMPGDTVLKVLMQKVQTLDLNFSVLERYLEELNSRYGRIFKDFDDDITNKDLLLEKIRFEIKNLQNSKEVFANDIGELLLWKSVVSSQLEQLIRENARFRSEIKGIQEHQIDMENKALAVIFVSFVLGCVAASKLLINMLLIICRFQTAQSFCQTSSGWFLLLLSSSIIASIMVL >Ma03_p22780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27506929:27511922:-1 gene:Ma03_g22780 transcript:Ma03_t22780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLHRRAASEKNLYYRKKRFYKVSASLVFVLWLVVFLLNLLISKGNGFRDEQDAALSEFSLHEVPSYPDKVMMDSQDPLQSSATSSTDNQQKTVVLKGVDVRSDEEVLVSESSKLDTSPDQRDDVSYMGNNLEKETPPRSDRLSRITPPGLDEFKSKAISSKEKVVSRETGTVIHRVEPNGKEYNYASANKGAKVLAYNKEAKGASNILDKDKDKYLRNPCSAEEKYVVLELSEETLVDTIEIANFEHYSSNFKYFDLFSSLVYPTDSWVKLGNFTAANVKHAQRFSLSEPKWARYLKINLLSHYGSEFFCTLSVVEVYGVDAVERMLEDLISVENSRLEPEEQIAEQLNEHNDKEDTFEEILTDLDNQSPHDNLKSKRDAPKNTLTNPLLDTKPTQVGRMPGDTVLKVLMQKVQTLDLNFSVLERYLEELNSRYGRIFKDFDDDITNKDLLLEKIRFEIKNLQNSKEVFANDIGELLLWKSVVSSQLEQLIRENARFRSEIKGIQEHQIDMENKALAVIFVSFVLGCVAASKLLINMLLIICRFQTAQSFCQTSSGWFLLLLSSSIIASIMVL >Ma03_p22780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27506929:27511922:-1 gene:Ma03_g22780 transcript:Ma03_t22780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLHRRAASEKNLYYRKKRFYKVSASLVFVLWLVVFLLNLLISKGNGFRDADEQDAALSEFSLHEVPSYPDKVMMDSQDPLQSSATSSTDNQQKTVVLKGVDVRSDEEVLVSESSKLDTSPDQRDDVSYMGNNLEKETPPRSDRLSRITPPGLDEFKSKAISSKEKVVSRETGTVIHRVEPNGKEYNYASANKGAKVLAYNKEAKGASNILDKDKDKYLRNPCSAEEKYVVLELSEETLVDTIEIANFEHYSSNFKYFDLFSSLVYPTDSWVKLGNFTAANVKHAQRFSLSEPKWARYLKINLLSHYGSEFFCTLSVVEVYGVDAVERMLEDLISVENSRLEPEEQIAEQLNEHNDKEDTFEEILTDLDNQSPHDNLKSKRDAPKNTLTNPLLDTKPTQVGRMPGDTVLKVLMQKVQTLDLNFSVLERYLEELNSRYGRIFKDFDDDITNKDLLLEKIRFEIKNLQNSKEVFANDIGELLLWKSVVSSQLEQLIRENARFRSEIKGIQEHQIDMENKALAVIFVSFVLGCVAASKLLINMLLIICRFQTAQSFCQTSSGWFLLLLSSSIIASIMVL >Ma03_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8154100:8154937:1 gene:Ma03_g10800 transcript:Ma03_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKEEAKLHAPETLTLCVNNCGFPGNPATNNMCQSCFQASTIAASPAPHSCSPRSALPIRSPERAAEERDARTSEAPAAAVSAPAPARQVSRCFSCRKRVGLTGFRCRCGDLFCGEHRYSDRHDCSYDYKAAARAAIARANPVVRAAKIVRV >Ma06_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5712577:5717574:-1 gene:Ma06_g08050 transcript:Ma06_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSLTCVVSPKPSLPVPVRSSNARWRWESVASRVVLQPETRRSRVVALVSQASRVVFRDLDADDFRHPLDKQNTLLLRTIPGLNELGKALLGPMTEQVMLLENIGTSVLVSENQLPGLHKLMIEAAKVLDTEAPDLYVRQNPVPNAYTLAISGRKPFIVVHTSLVELLTRRELQAVLAHELGHLKCDHGVWLTFANIITLGAYSVPGLGGLIAQSLEEQLCRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSLSDQLNVDAFLEQARSYDKASSNPIGWYIRNAQTRQLSHPLPVLRAREIDEWSRGQEYKYLLKHAIQLEDSLKPRNTFVR >Ma04_p36390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34790778:34792361:-1 gene:Ma04_g36390 transcript:Ma04_t36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGANVLLSRANRIRQKLQSGLEASVLEIEDVSYQHAGHAGVQGDANETHFNIKIVSSKFEGQTLVKRHRMVYELLGDELKSGLHAISIAAKTPQESGSANV >Ma05_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8784360:8786572:1 gene:Ma05_g12090 transcript:Ma05_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQAGQCGNQIGGKFWEVVSDEHGIDAKGNYVGSSPLQLERANVYYNEASGGRYVPRAVLMDLEPGTMDALRTGPYGQLFRPDNFVFGQNGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFTPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMIAVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSATFMGNSTSIQEMFKRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVADADEEEAYGDDVEEN >Ma06_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4240021:4245580:1 gene:Ma06_g05700 transcript:Ma06_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQRISIVRDEETAADDTPTAKKTLRAESRVPLTRWEAAAAAAVFLIFAVGLFCILFTMPEAEYDKILRVPRNLSDLRVLKDNLAVYARDYQAKFVLGYCSIYIFMQTFMIPGTIFLSLLAGALFGVIKGVILVVFSATSGASSCYFLSKLIGRPLVSWMWPEKLRFFQSEIAKRRERLLNYMLFLRITPSLPNTFINLASPIVDVPFCIFFLATLVGLVPASYITVRAGLALGDLKSVRDLYDFKTLAVLFLIGFVFIFPTILKRKRTYE >Ma06_p05700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4240021:4245563:1 gene:Ma06_g05700 transcript:Ma06_t05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQRISIVRDEETAADDTPTAKKTLRAESRVPLTRWEAAAAAAVFLIFAVGLFCILFTMPEAEYDKILRVPRNLSDLRVLKDNLAVYARDYQAKFVLGYCSIYIFMQTFMIPGTIFLSLLAGALFGVIKGVILVVFSATSGASSCYFLSKLIGRPLVSWMWPEKLRFFQSEIAKRRERLLNYMLFLRITPSLPNTFINLASPIVDVPFCIFFLATLVGLVPASYITVRAGLALGDLKSVRDLYDFKTLAVLFLIGFVFIFPTILKRKRTYE >Ma11_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1370602:1379087:1 gene:Ma11_g01900 transcript:Ma11_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAFRIAAPIISTLNAGALRLSRTPPALLLLERSPRSSFHRLFSGSLPMANRSPPPSTSTTASETLDSSSSSMEKQFEGFRVQLEESGNVRERIRAVVMEMEGAVRLMHSSLLLVHHTRPNPEVLEKAKVQIEVLKDLFGGLAEILRECPGQYYRYHGDWRSETQAAVSLIAFLHWLETGNLLVHAEAEKKLGLDNSEFGLDIEDYLIGICFMSNELPRYVVNQVTAGDYDCPKNVLKFLTNLHSAFRMLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLGSGDTMQGHATQA >Ma11_p01900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1370602:1379060:1 gene:Ma11_g01900 transcript:Ma11_t01900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAFRIAAPIISTLNAGALRLSRTPPALLLLERSPRSSFHRLFSGSLPMANRSPPPSTSTTASETLDSSSSSMEKQFEGFRVQLEESGNVRERIRAVVMEMEGAVRLMHSSLLLVHHTRPNPEVLEKAKVQIEVLKDLFGGLAEILRECPGQYYRYHGDWRSETQAAVSLIAFLHWLETGNLLVHAEAEKKLGLDNSEFGLDIEDYLIGICFMSNELPRYVVNQVTAGDYDCPKNVLKFLTNLHSAFRMLNLRNDFLRKKFDGMKYDLRKVEEVYYDVKIRGLGSGDTMQGHATQA >Ma04_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23469531:23472560:1 gene:Ma04_g20720 transcript:Ma04_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLLLLFLCLLRGGNSSPEEELQILLEFKASLSTTKNNTFESWTSEGRSTCSFAGIKCDSTGSVSELDLTDSGLSGTIPFRSLCRLPSLSRLSLGSNLLCGSITVGLLNCTSLRHLDLGFNYLAGAVPGLAPLNELRVLNLSDNALTGLFPWGSLGNLTDLEVLSLGDNLFDSSPFPEVVVNFARLTWLFLSDCNIRGEIPFSIGNLTHLVDLELADNFLTGGIIAEIARLRNLRQLELYKNSLTGSLPPGFGNLSNLAYLDASMNLLKGDLSELRSLNNLVSLQLFLNDFSGEVPLELGNFRYLTTLSLYSNRLTGMLPPKLGSVSEFEHIDVWDNFFTGEIPPDMCRKGKMRKLLTGDNKFTGKIPESYANCSSLVRLRVRNNSLNGVVPARLWGLPNLEIIDLAFNRFEGPVSAAIGEAKPLRFLFLNNNYFSGKLPDITGARWLYLQYMDVSYNQLTGTLSPHLGESRTLVYLLMAGNQLSGHIPDCWQRMENLTFLDLSSNNLTGRIPSTMGSMVSLHTLHLNNNSFTGRFPLSLRKCQGLVTLDIGENRFHGEVPPWIGDSFPALKILRLRANRFSGGIPPQLFRLAALQLLDLADNELTGSIPRSVGNLAAMAIRRQQRDDLDRNAFGYSDSVSLMWKGEMSFFKRTLSLVRGIDLSCNFLSQEIPSELTNLSGMVYLNLSRNDLTGSIPSAIGNLDLIESLDLSRNQLSGAIPPSIASLTFLDSLDLSSNNLSGRIPSGNQLQTLDPSVYAGNDGLCGPTLPKKCSDHWEWSTDVEENGDGNEIIWLYLGTGHGFVVGFWGFIGLLSFKTSWRLAFFRSMDKVLSRLSIG >Ma06_p33240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33941176:33941453:-1 gene:Ma06_g33240 transcript:Ma06_t33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSTVAAVPLTVYIRRCLTSMIWRRRG >Ma01_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9652712:9653520:-1 gene:Ma01_g13200 transcript:Ma01_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSPPITITAAFHEQRRQQVAEESLAASAGSLHAAMVDPFWATSPVLPRSRGWAYGEAPTRLYAALSQTGRRRGCQACGADQRERWVCGHIRLPVDIIKVHPCGSKF >Ma06_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15985153:15985392:1 gene:Ma06_g21640 transcript:Ma06_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSQSFNYTLTTSMLNVPGRLRLSHSTGTNDGSIASSARI >Ma06_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13403428:13405237:1 gene:Ma06_g19540 transcript:Ma06_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQQAKISAFFKPSPDRSDCDGGKQKNRGEAEAKASSGPATSSSESVGKVLNKKRSYAQYHLELGQSDFLLRSCSVCGMMYACGDEADEKFHRSFHKNYYDGIQFKGWRDERVISTTTSVDGGRILLVVDGDPPSHTRKVQEVLKTMEKELGFTDGHLLHKLCKVYLFIANHRIIGCLVAEPIKAAHRVIPSSLSGKSSNGNFEKTSSGKLTQNLERQCTNLQFGGFSFKRDVARRSGLTNKTRVDQWETGAVLCEEESVPALCGFRAIWVVPSQRQKRIASQLLDSARKSFLAGNILEPSQCAFSPPTSAGRALAFSFCCSNAYLIYREDDV >Ma06_p37610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36609899:36615817:1 gene:Ma06_g37610 transcript:Ma06_t37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAFGAPFAAVLLLPLLLLAHARPNTIRLPSDRAAGLHIGGDDDAVGTRWAVLIAGSNGFFNYRHQADICHAYQIMKNGGLKDENIIVFMYDDIAYSEDNPRLGTIINHPEGGDVYAGVPKDYVGDDVNVNNFFAVLLGNKTALTGGSGKVVDSGPNDHIFIFYSDHGGPGVLGMPTNPYLYADDLISVLKKKHASGSYKSMVFYLEACESGSIFEGLLPEDINIYATTASNAYESSWGTYCPGEDPSPPQEYYTCLGDLYSIAWMEDSDIHNLRTETLKQQYHLVKTRTAVSETYRFGSHVMQYGDLELNMKDLFLYLGSNPLNENATFVDDNSLLSFSKAAVNQRDADLVYFWHKYRRAPEGSSHKLNAQKDLLEVMSHRLHIDNSIELIGKLLFGSGKASELLKSVRTAGQPLVDDWSCLKSMVRTFESHCGSLSQYGMKHMRSLANICNAGVSKETMAEVAAQACTAVPSNRWSSLHRGFSA >Ma01_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7063248:7066447:1 gene:Ma01_g09840 transcript:Ma01_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRNYYGEFNQKIDYVFKVVLIGDSAVGKSQLLARFSRNEFSVDSKATIGVEFQTRTLTIDSKTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADRNIVIMLIGNKSDLGSLRAVPTEDAKEFAQRESLFFMETSALESTNVESAFQTVLTEIHRIVSKKSLAANDEAQSAGNSSLLKGTSIVVPGQDPPSANKATCCSSS >Ma09_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9382065:9384557:1 gene:Ma09_g13840 transcript:Ma09_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGAAAVEGDLEAAEKLIMRWDSTASSAGDERMLFDGGDRSDAERFLRAVDEIRRSIREPIATGSPRRSSSSAFHSSSENAIQIAMARLEDEFRNLLLTRPNEIEVDELVDLSTLSMKSSASGEGSGDLSDAEGGGGVDGGEEHAFLSETVEGSSSSSRIRRSSMRSTRSIREIDLLPSDAVDDLRSIAERMIAAGYGRECVQVYAGARKAAVDVCLRQLGVEKHSIGEVQRLEWDALEAKIRRWIRAAKICVRIVFSSERRLCERVFDGLGITDDAPFTETVRGAAIQLFGFAEAISIGRRSPEKLFKILDLHDTISDLLPDIAAVFLSKSAESIYTQAAEILSRLAEAVRGILSEFENAVLRDPPKTAVPGGTIHPLTRYVMNYISLISDYKPTLIELIITRPSASSRFSGDDQAAVGATPPEIEFPEAENQTPLAAHLIWIIVVLAHNLENKANLYKDTALAHLFLMNNVHYIVHKMKGSPELREMIGDEYLRKLTGKFRLSATSYQRATWVRILHCLRDEGIHVSGSFSSGVSKSMLRERFRAFNAAFEEAHKTQAIWFVPDTQLREELRISISEKLLPAYRSFLGRFRQHIESGRHPEMYIKYSVEDLEISLSDFFEGCPPSLNNRRRSH >Ma01_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10240778:10245582:-1 gene:Ma01_g14000 transcript:Ma01_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G68740) UniProtKB/Swiss-Prot;Acc:Q93ZF5] MVKFSKQFEGQLVPEWKEAFVDYWQLKKDLKRMQVLSNNQVPKNMTLQPPLGRRLLSSLRRLPLFDSIGHKEREIIQVHKKLTSSGSRGVLYETELLEQFADTDAAKEFFARLDLQLNKVNQFYKGKEKEFLERGGSLKKQMEILLELKAALKKQRGWASSTNDAKDDPSISCSITCEEDSTKGTVDPEERDQSQEILTTELERSEFQFSDCPQGQDDSGKLVKAPSGDGKLRSLSGRVFSCQGKNLKIKIPLTTPSRTLSALTDLFREDLGSQSRKCGTQGGKLNINKTKLHHAEKMIRGAFVELYKGLGYLQTYRNLNMLAFVKILKKFDKVTGKQILTIYLKVVESSYFNSSDMAMKLMDEVEELFIEHFADDDKRKAMKYLKPHQRVESHAVTFFIGLFTGTFIALFIGYCIMAHIAGMYTGQSDTIYMETVYPVLSMFSLLFLHLFLYGCNIFMWRKTRINYAFIFEFAPTKELKYRDVFLICTTSMTLVVGVMFAHLTLVARGHSSTQVQAIPGLLFLMFLVLLVCPFNIIYKSSRYHFLRVIRNIILSPLYKVVMVDFFMADQLCSQVPMLRSMEYVACYYITGSYRTQDYGLCMRSKHYADLAYAVSFLPYYWRAMQCARRWFDEGETSHLVNLGKYVSAMLAAGAKVAYEKEKTMGWLSLVVVVSSGATVYQLYWDFVKDWGLLQFNSNNPWLRNELILRRKFVYYLSMGLNLVLRLAWLQTVLHYNFGSLDYRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDDQD >Ma11_p23500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26813787:26822793:1 gene:Ma11_g23500 transcript:Ma11_t23500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVAIWALRRAAARSMRGQSHDIMLAHVCCMDSKLSRNSLVHEKGHCDISKGCILLKPYSSGCSYSVMSFLWCRKLSSDVGARQGQKDDDLEDGFSDKELPPEADAMVELEENENDEELVSEGEISEEDTKITANDSLGLLDCVSDFPMKKRRRGKRAQSPLFKIIMGAPSDSFNSTLDKWVKDGNRLGRDEISFTMLNLRRYRLYMKALQFLKWLEANKCIEFLEHDYASHLDLIAKVLGLQKAEDYLEKVPESLRGEVIYRTLLANCVATNDLQKSEAVFNKIKDLGLPITTFSCNQLLLLYERIDFKKIANVLSLMERENVKPSPFTYRLLIDMKGRAKDVLGMEKIIDMMKAQGVEPNLVIQAMVAKYYIFAGLKEKAEAILREIEGGDIEENHDACMALLPLYAALGKADEVGRIWKICKSDPLLDECLSAIKAWGILGQTENAEEVFENMLKRWKTVPSKYYNELLKAFVNNKLLSKGEEFARRMSDNGYFIDRTNLDALVKLYVDVGEVEKADSILYKAFKYNNTRPLYSSYVVVLDKYSERGDIHNAEKIFQQMRQFGYVDRLKPYLSLLQTYINAKSPAYGFRERMRADNVLSNKVVEAKLAAADPFRNPQLSGLFG >Ma11_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26813788:26822793:1 gene:Ma11_g23500 transcript:Ma11_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVAIWALRRAAARSMRGQSHDIMLAHVCCMDSKLSRNSLVHEKGHCDISKGCILLKPYSSGCSYSVMSFLWCRKLSSDVGARQGQKDDDLEDGFSDKELPPEADAMVELEENENDEELVSEGEISEEDTKITANDSLGLLDCVSDFPMKKRRRGKRAQSPLFKIIMGAPSDSFNSTLDKWVKDGNRLGRDEISFTMLNLRRYRLYMKALQFLKWLEANKCIEFLEHDYASHLDLIAKVLGLQKAEDYLEKVPESLRGEVIYRTLLANCVATNDLQKSEAVFNKIKDLGLPITTFSCNQLLLLYERIDFKKIANVLSLMERENVKPSPFTYRLLIDMKGRAKDVLGMEKIIDMMKAQGVEPNLVIQAMVAKYYIFAGLKEKAEAILREIEGGDIEENHDACMALLPLYAALGKADEVGRIWKICKSDPLLDECLSAIKAWGILGQTENAEEVFENMLKRWKTVPSKYYNELLKAFVNNKLLSKGEEFARRMSDNGYFIDRTNLDALVKLYVDVGEVEKADSILYKAFKYNNTRPLYSSYVVVLDKYSERGDIHNAEKIFQQMRQFGYVDRLKPYLSLLQTYINAKSPAYGFRERMRADNVLSNKVVEAKLAAADPFRNPQLSGLFG >Ma11_p23500.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26813788:26822756:1 gene:Ma11_g23500 transcript:Ma11_t23500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVAIWALRRAAARSMRGQSHDIMLAHVCCMDSKLSRNSLVHEKGHCDISKGCILLKPYSSGCSYSVMSFLWCRKLSSDVGARQGQKDDDLEDGFSDKELPPEADAMVELEENENDEELVSEGEISEEDTKITANDSLGLLDCVSDFPMKKRRRGKRAQSPLFKIIMGAPSDSFNSTLDKWVKDGNRLGRDEISFTMLNLRRYRLYMKALQFLKWLEANKCIEFLEHDYASHLDLIAKVLGLQKAEDYLEKVPESLRGEVIYRTLLANCVATNDLQKSEAVFNKIKDLGLPITTFSCNQLLLLYERIDFKKIANVLSLMERENVKPSPFTYRLLIDMKGRAKDVLGMEKIIDMMKAQGVEPNLVIQAMVAKYYIFAGLKEKAEAILREIEGGDIEENHDACMALLPLYAALGKADEVGRIWKICKSDPLLDECLSAIKAWGILGQTENAEEVFENMLKRWKTVPSKYYNELLKAFVNNKLLSKGEEFARRMSDNGYFIDRTNLDALVKLYVDVGEVEKADSILYKAFKYNNTRPLYSSYVVVLDKYSERGDIHNAEKIFQQMRQFGYVDRLKPYLSLLQTYINAKSPAYGFRERMRADNVLSNKVAEAKLAATDPFRSAQLSGLLD >Ma11_p23500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26813790:26822793:1 gene:Ma11_g23500 transcript:Ma11_t23500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVAIWALRRAAARSMRGQSHDIMLAHVCCMDSKLSRNSLVHEKGHCDISKGCILLKPYSSGCSYSVMSFLWCRKLSSDVGARQGQKDDDLEDGFSDKELPPEADAMVELEENENDEELVSEGEISEEDTKITANDSLGLLDCVSDFPMKKRRRGKRAQSPLFKIIMGAPSDSFNSTLDKWVKDGNRLGRDEISFTMLNLRRYRLYMKALQFLKWLEANKCIEFLEHDYASHLDLIAKVLGLQKAEDYLEKVPESLRGEVIYRTLLANCVATNDLQKSEAVFNKIKDLGLPITTFSCNQLLLLYERIDFKKIANVLSLMERENVKPSPFTYRLLIDMKGRAKDVLGMEKIIDMMKAQGVEPNLVIQAMVAKYYIFAGLKEKAEAILREIEGGDIEENHDACMALLPLYAALGKADEVGRIWKICKSDPLLDECLSAIKAWGILGQTENAEEVFENMLKRWKTVPSKYYNELLKAFVNNKLLSKGEEFARRMSDNGYFIDRTNLDALVKLYVDVGEVEKADSILYKAFKYNNTRPLYSSYVVVLDKYSERGDIHNAEKIFQQMRQFGYVDRLKPYLSLLQTYINAKSPAYGFRERMRADNVLSNKVVEAKLAAADPFRNPQLSGLFG >Ma11_p23500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26813787:26822793:1 gene:Ma11_g23500 transcript:Ma11_t23500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVAIWALRRAAARSMRGQSHDIMLAHVCCMDSKLSRNSLVHEKGHCDISKGCILLKPYSSGCSYSVMSFLWCRKLSSDVGARQGQKDDDLEDGFSDKELPPEADAMVELEENENDEELVSEGEISEEDTKITANDSLGLLDCVSDFPMKKRRRGKRAQSPLFKIIMGAPSDSFNSTLDKWVKDGNRLGRDEISFTMLNLRRYRLYMKALQFLKWLEANKCIEFLEHDYASHLDLIAKVLGLQKAEDYLEKVPESLRGEVIYRTLLANCVATNDLQKSEAVFNKIKDLGLPITTFSCNQLLLLYERIDFKKIANVLSLMERENVKPSPFTYRLLIDMKGRAKDVLGMEKIIDMMKAQGVEPNLVIQAMVAKYYIFAGLKEKAEAILREIEGGDIEENHDACMALLPLYAALGKADEVGRIWKICKSDPLLDECLSAIKAWGILGQTENAEEVFENMLKRWKTVPSKYYNELLKAFVNNKLLSKGEEFARRMSDNGYFIDRTNLDALVKLYVDVGEVEKADSILYKAFKYNNTRPLYSSYVVVLDKYSERGDIHNAEKIFQQMRQFGYVDRLKPYLSLLQTYINAKSPAYGFRERMRADNVLSNKVVEAKLAAADPFRNPQLSGLFG >Ma07_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27076163:27082501:-1 gene:Ma07_g19050 transcript:Ma07_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MATSSAPSINSAVCPSGNISVAPRRSLFPAAASLPLRRLDLRSMSSVPSGRFKAPAPISARSRSRISACASAVMEEATKRADSRVSTVVDVDLGSRSYPIYIGSGLLDEPDLLQRHVHGKSVLVVTNTTIAPLYLDKVVKALTHGNPQVTVESVILPDGEKYKNMETLMKVFDKAIESRMDRRCTFVALGGGVIGDMCGFAAASFLRGVNLIQIPTTLMAQVDSSVGGKTGVNHPLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGIAEVVKYGLIRDAEFFEWQEKNMQSLLARDPSALAYAIKRSCENKAEVVSLDEKESGMRATLNLGHTFGHAIETAFGYGQWLHGEAVAAGTVMAVDMSHRLGWIDDTIVKRVFSILQKAKLPTQPPEMMTVEKFKSVMAVDKKVADGLLRLILLKGPLGSCVFTGDYDQRALDETLRAFSKN >Ma09_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1595336:1599808:-1 gene:Ma09_g02230 transcript:Ma09_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKESRALRKGKSSLSPAPGAVPGGAMAPEVAPVAFTIDGADEDERLRLAGALAREEMLRRRSRRTKQLARCYRRQFWALMEEVRVKHRDYYWEHGLSPFDEGDDGCGKGRAEKEHGGRAATGALDGIEENGRARLGFGEGEGSGRTMTGERMRCAFPGCKSKAMPLTRFCHPHILADGKQKLYKACTYVTKSHSCGQSGPITCGKPVLRVAEPSLCHVHFLKVQRSISKVFKSGGLNISSSSRAAHKLSVLIAECVRQIQDTRREALQFYVD >Ma01_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22247122:22252334:1 gene:Ma01_g21950 transcript:Ma01_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRKSGLFNVSVPTSCFQEAERELSRDGSHYSLSSGILPSLGARSNRRVKLRSFIVSPYDRRYRAWETFLIILVIYSAWVSPFEFGFLEDSRGSLALVDNIVNAFFAIDIMLTFFVAYLDKATYLLVDDRKKIAWRYLHSWFILDVASTIPSEIARKMLPPKIRSYGFFNMLRLWRLRRVSALFARLEKDRNFNYFWVRCAKLICVTVFAIHCAGCFYFLLAAKHHDPSQTWIGASMPDFHEQSLWIQYVTSMYWSITTLTTVGYGDLHAENIGEMIFNTFYMLFNLGLTAYLIGNMTNLVVHGTRRTRKYRDTIQAATGFAQRNHLPERLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSISHYLFYSLVQKVYLFQGVSQDLLFQLVSEMKGEYFPPGEDVILQNEAPTDFYILVTGTLEVINHKDEKEIVQVAKKGDVLGEIGVLCYRPQLFTVRTRSLCQLLRLNRTVFHSIIQSNIGDGTIIINNFLQHLKEQVDDPLMEGLLRETESMLTHGRLDLPLTLCFAVIREDDLLLRQLLKRELDPNESDNNGHTALHIAASKGNEHCVCLLLDKGADPNRRDSEGSVPLWEAILGRHEQVAKVLRENGAQLLSGDMGLFACTAAEQNSLELLEDIIRYGGDVTAAAKRDGNTALHRAVCDGNLQLVEFLLEHRADMDKPDHQGWTPRRLADQQSHDEIKALFEGKKTSDPISGAPLSSELRRLSSEPIVPIVADAIRPPSQDGPPEKSERARRANFHNSLFGIISTANFGRTQGHSGMLSSVAGPPRPMLGGSGRGRGNQQRMNLLRVTISCPERGEAADKLVLLPDSLQELLDIGSKKFGFSASKVLTADGAEIDDVKLIRDGDRLVLVGGGSVAATRHD >Ma05_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5129268:5132047:1 gene:Ma05_g07000 transcript:Ma05_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVHVKAAAAESEEQQFLYECRSTSSIDEMTDAILGIHALQSHIQSLALLIRQRLLADPSLAESYPDVALALKRSLSEAETYVSKEQVAHKKFLSPHALRAHIKSLEKEVKVAQSKGFLDFDLPQLLSDCELHHGMQLWWAGKELVRGKKLCDYIGENEKTKIVIILKPSCSSA >Ma04_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5560998:5570320:1 gene:Ma04_g07680 transcript:Ma04_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSSAPSFCCSLIGAYPRTAPSKSSPRRCLLLPIRCAAQTPPRRSADYQPSSWSDEYIQSLRTDTKVEEDNARRMGKLTEDVKQLIYRKKGIEDQLQLIDHLRQLGAAYHIKEDIKDALWTIYDSMEDVSVLLKDNLHATALMFRLLREHGFAVSEGVFNRFIDEKGNLKASLRHQTEGLVSLYEASHLAKEGEHVLEEAINFTTKQLKSLMEGSLEPHLREHVTHALELPLNWRMPRLQTRWFIEACQREANINPVLLELAKLDFNRVQSIQQRELREVSRWWSNLGLAQRLPFSRDRLMESYFWTVGWAFEPQFARYREAQTKAICLLTIIDDVYDVYGTMDELELFTDAVDRWDVNTMDKLPEYMKICFLALFNTTNDTAYNVMKEKGLDIIPHLKKAWADLCKAYMVEASWYHQGYTPNLEEYLENALVSISGPLALTLAYCTSDDVTREALDGFQSCPEIARWSSMIFRLCDDLGTSKDELRRGDVPKSIECHMHERGVSEDAAREHIRRLIRGNWRAINGDRSFTSRFEENLKMMIINASRMAQCMYQYRDGHGKPDQVIEDRISDAEESFGNEQEWAKRVIERLQTDLQKFLDLETNVKDLKRKMESSQLGKLPASLRFNTIYVQLNDAEGAATELIDTNKKLENSDSMDTNSEDGGSGEDGKLQNKQEGKQIR >Ma06_p31120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32299314:32308606:-1 gene:Ma06_g31120 transcript:Ma06_t31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENYLYSCFAHTGEVVSVKIIRNKQSGQSEGYGFLEFVSHAGADRILQTYNGQVMPNTEQAFRLNWATCGAGERRGDGVDYTIFVGDLAADVTDYLLQETFKNHYSSVKGAKVVTDRLTGRSKGYGFVKFGDPNEQTRAMTEMNGVYCSTRPMRIGPAADKKSLGTQQQYPSNASYQTTQGAESENDPNNTTIFVGGLDPNVTDEHLRQVFSPYGEIVYIKIPVGKRCGFVQFGNRANAEEALQMLNGTLLGGQNVRLSWGRSPTNKQPQQDPNQWNGNYYGYTQSYDAYGYAPPQDPNMYAYATYPGYGNYQQQQPPQQPPQVTDC >Ma06_p11660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8120330:8125088:-1 gene:Ma06_g11660 transcript:Ma06_t11660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGDSRSNRLSAVEEMSTIEGPSTDSRLVDSLSNGWTDEKHTLFLNSIEASFVNDLYNGEYHSKAFLGQLSRIKTHKGSCGPYENDLKSGQFKVLQTGCRASLGFDGDNNHASMENGSLPLSANPWIQHFRSPPFMKEWQFKSSDRVDDVEFIKSSFQLANERCDGEATSSKHICHQDWVGSSIEVSDQNFIADELVAGKKSSRVWRKRRRGNAVVHEPINDQVKGCSI >Ma06_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8120332:8125088:-1 gene:Ma06_g11660 transcript:Ma06_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGDSRSNRLSAVEEMSTIEGPSTDSRLVDSLSNGWTDEKHTLFLNSIEASFVNDLYNGEYHSKAFLGQLSRIKTHKGSCGPYENDLKSGQFKVLQTGCRASLGFDGDNNHASMENGSLPLSANPWIQHFRSPPFMKEWQFKSSDRVDDVEFIKSSFQLANERCDGEATSSKHICHQDWVGSSIEVSDQNFIADELVAGKKSSRVWRKRRRGNAVVHEPINDQVVPSRKSLLPPTSDEDHTTAESIGRTSEISAGVLLAETQASVCGNQEVNS >Ma07_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8076293:8081546:-1 gene:Ma07_g10880 transcript:Ma07_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIPAPPPPSIFAVAASPGRPRPAPSYVAAPPPLCLFSGHRLLSPLRLAVSSRLHFSKPSSGANGSLEGSSSSSSSGSGGELHPALPPPPRSGQGARVFVTLPLDAVGTSGQMARKKAMRASFMALSAAGVEGIAVECWWGIVEREAPGVYDWGGYMDLVMLAQRCGLKVRAIMAFHQWGTGPGDPGWIPLPRWVLEEMDKEPNLAFADRFGRRNKEYISLGCDVFPVLRGRSPIQAYSDFMRSFRDTFRDFLRVVITEIQVGMGPAGELRYPSCPSEKLIRARAAAELGEFQCYDKYMLASLSACAQKVGMDEWGYGGPIGASNFPQNPEETAFFKSDGSWNTPYGQFFLEWYSGLLLLHGERLCMVADVIFLGTGVQISAKVAGIHWHYSTNSHPSELTAGYYNTLIRDGYLPIARMFSRYRMTLCCMCFDMRDSEESSNPRSSPEGFLRKLIYTARMCKLPLTGENSFARLDEASLDQVVKNSKLYYGGVYEASLSFNYVRMNRNLFDSHNWNRFTRFVKRMSDIQTFRARLDLRGTESFLSSTSFAEDVGRALVCH >Ma10_p26540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34187286:34196507:1 gene:Ma10_g26540 transcript:Ma10_t26540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGLLAVATMKVEQSCVENKQSAAASSSSLSEGSYGLSRMSPAVSSPRTSSPSKRRISGPIRRAKGGWTPQEDETLRKAVEAYKGRSWKKIAEFFPDRTEVQCLHRWQKVLNPELIKGPWTPEEDEKIISLVAKYGPKKWSIIAKSLPGRIGKQCRERWHNHLDPTIKKDAWTVEEELALMNAHRVHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKLDLFLVTGKLPPVPKPETHGGSKDMANSDSGRSLRSSSDGSDIITRAFSGSASSMDSGLPAKPCKLEDQKSWLALSTVQDSNREALANLTVRGVNDPDTGYSMRDPMSDVCPRSDPGTELAECSNTGENDQLDDTSQPALALPSESPHGCLSAASTLLTTYDSMQQSYCSAIVTSPSGYLTPSSVTGKNPVQSVESILKSAARSFPNTPSIFRRRKRDAEMSHASGSSPSQMDRIKFLDSSDSAEGKSRHNSEATNSLLSKFNSSPCDRGAILYNGKSFNESPPYRLRSKRTAIIKSVEKQLDFTLEKNECNGNVIPQSLAMHSSSHSSSTIIPTIQERELTERPIVL >Ma10_p26540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34187286:34196507:1 gene:Ma10_g26540 transcript:Ma10_t26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGLLAVATMKVEQSCVENKQSAAASSSSLSEGSYGLSRMSPAVSSPRTSSPSKRRISGPIRRAKGGWTPQEDETLRKAVEAYKGRSWKKIAEFFPDRTEVQCLHRWQKVLNPELIKGPWTPEEDEKIISLVAKYGPKKWSIIAKSLPGRIGKQCRERWHNHLDPTIKKDAWTVEEELALMNAHRVHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKLDLFLVTGKLPPVPKPETHGGSKDMANSDSGRSLRSSSDGSDIITRAFSGSASSMDSGLPAKPCKLEDQKSWLALSTVQDSNREALANLTVRGVNDPDTGYSMRDPMSDVCPRSDPGTELAECSNTGENDQLDDTSQPALALPSESPHGCLSAASTLLTTYDSMQQSYCSAIVTSPSGYLTPSSVTGKNPVQSVESILKSAARSFPNTPSIFRRRKRDAEMSHASGSSPSQMDRIKFLDSSDSAEGKSRHNSEATNSLLSKFNSSPCDRGAILYNGKSFNESPPYRLRSKRTAIIKSVEKQLDFTLEKNECNGNVIPQSLAMHSSSHSSSTIIPTIQERELTERPIVL >Ma06_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19313117:19314670:-1 gene:Ma06_g22690 transcript:Ma06_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLRLLILHRSRRLRCPTTIISPGTTAASNFSNHGESLALALRYPGFACTSSTFSTAGDARRRRRRRTKPPPVDQSLLDLAVSRLPPRFSAPDLADALACLPDPRLCPHLFSWAMDRHRRRLTADHSPFLAAVKRLGAARLYRDMDAVASLVLALPFSLPPAEPHLNTLLYFYAEARMLPKAVHVYTRMRASPDPAAHPTAATYNLLFAAMLGHDDASSYIHHVHMGTVRLLFRQMVDAGIAPDRLALNAIVKGYAQSLHLNDALRVFHQMGPVHGCEPDEHTYSLLVHGLCAQGRTRNARELFVEMRGKGMVPSRRACNSLVCALALAGETRDGEEVMWGVARVGRAPDLITCRTLLEEICRQGRVGEAMELLRKMGEEELVDGRIQRELQHGIEDEFGDLDA >Ma09_p25480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36926496:36929546:-1 gene:Ma09_g25480 transcript:Ma09_t25480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSLLASFLPLLLLLLPYCVLGDDPYRFFTWNVTYGDIWPMGVKQQGILINGQFPGPQIEAVTNDNLIINVFNSLPEPFLLSWNGVQQRRNSWQDGVYGTNCPIPPGGNFTYVMQVKDQIGSYFYFPSLAFHKAAGGFGGIRVLSRPLIPVPFPPPAADYTLLIGAWYKANHTDLRYILDSGRDLPFPDGILINGRGQYGNTFTVEQGKTYRFRVSNVGLATSLNVRIQGHAMLLVEVEGSHTLQNTYSSFDLHLGQSCSFLVTADQPPMDYYIVVSSRFTSTVLSTTAVLHYSNSGGQPVGPPPGGPTIQIDWSLNQARSIRYPLPHSAETVRHFTDRSLSMNHRWNLTASGPRPNPQGSYHYGLVNTTRTIRLASSAPVINGKQRYAVNSVSFIPADTPLKVADFYNIPGVFSLGSMPDNPTYGAGYLQTSVMAANFRDYVEIIFENSEDTLQSWHIDGYSFWVVGMDGGQWTSASREGYNLRDAVARCTVQVYPKSWSAIYMPLDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDENPIPRNALLCGRASGRRTRPL >Ma09_p25480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36926496:36929545:-1 gene:Ma09_g25480 transcript:Ma09_t25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSLLASFLPLLLLLLPYCVLGDDPYRFFTWNVTYGDIWPMGVKQQGILINGQFPGPQIEAVTNDNLIINVFNSLPEPFLLSWNGVQQRRNSWQDGVYGTNCPIPPGGNFTYVMQVKDQIGSYFYFPSLAFHKAAGGFGGIRVLSRPLIPVPFPPPAADYTLLIGAWYKANHTDLRYILDSGRDLPFPDGILINGRGQYGNTFTVEQGKTYRFRVSNVGLATSLNVRIQGHAMLLVEVEGSHTLQNTYSSFDLHLGQSCSFLVTADQPPMDYYIVVSSRFTSTVLSTTAVLHYSNSGGQPVGPPPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLASSAPVINGKQRYAVNSVSFIPADTPLKVADFYNIPGVFSLGSMPDNPTYGAGYLQTSVMAANFRDYVEIIFENSEDTLQSWHIDGYSFWVVGMDGGQWTSASREGYNLRDAVARCTVQVYPKSWSAIYMPLDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDENPIPRNALLCGRASGRRTRPL >Ma09_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26730404:26735476:-1 gene:Ma09_g19890 transcript:Ma09_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLHCFPSPPRAKPGDSPRPVSGRSLTCEWIPLLSTMEPKMQVLLTSHQLLCEKYAILSGFQDLIVSYMSDHQRITSFSFSKALTIVSMWGIILPPEVLAETCEADNSVFNMPLLFAIAMIGATVGGLLARQRRGELKRLNDQLRQINAALRRQAKIESYAPNLSYAPVGSRLEELEVVVDPRKEHLITRLRTGKNYLRNQNLEKAFEEFKAALELAQALGDHVEAKKAARGLGASLQRQGKYREAIKYHSMVLDISKKAGEDSGITEAYGAIADCYTELGELERAGKFYDEYIARLEND >Ma09_p19890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26730404:26735476:-1 gene:Ma09_g19890 transcript:Ma09_t19890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLHCFPSPPRAKPGDSPRPVSGRSLTCEWIPLLSTMEPKMQVLLTSHQLLCEKCKISPSSGLTVSKRDAQMTIFKEICYADAILSGFQDLIVSYMSDHQRITSFSFSKALTIVSMWGIILPPEVLAETCEADNSVFNMPLLFAIAMIGATVGGLLARQRRGELKRLNDQLRQINAALRRQAKIESYAPNLSYAPVGSRLEELEVVVDPRKEHLITRLRTGKNYLRNQNLEKAFEEFKAALELAQALGDHVEAKKAARGLGASLQRQGKYREAIKYHSMVLDISKKAGEDSGITEAYGAIADCYTELGELERAGKFYDEYIARLEND >Ma11_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3650617:3651708:1 gene:Ma11_g04680 transcript:Ma11_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIPRSEEEMELRRGAWTAEEDLVLMNYIALHGEGRWSSLARRAGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKHLHCDVHSKQFKDVLRHLWIPRLVERIQATSGDSPAVASAGTEAPTTFGGPVNAIPELAQVKMSPESSSTGGWSSVDSFGLDFSPPPVSNDFGAGMQGGEDISGVDGVTGCWSECGYADLGLPDFDQSIWEEELWSMEDTWLQQQF >Ma09_p24330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36010339:36012861:1 gene:Ma09_g24330 transcript:Ma09_t24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSVPFLGFREEDQSNTQHPQQKQQSSSSSAAAARPASPQKKKRTLPGNPSKFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKDVVRRRVYTCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARIPAAMSIGSHLYGDNGINLDLPQVNSQLSSLQDQIRLGGGATQFDHLTMPSFRPPPSASSFYLGGGSGHQDFNESTHGLLQLKICRFMPAPHLPPKESIPPHMSATALLQKAAQMGATSSRGTASSLLRGLGRSFDGVRESSASQQEDETQFQGLMSSLATGNSTGSFGGGGNESKLQGYPSATGRGASDRLTRDFLGVGSMMRNMGRGIARREQHSGMDIDELDSEMKSGSSTPSFVGGNLQ >Ma05_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1870677:1874416:-1 gene:Ma05_g02780 transcript:Ma05_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLLRSDTRVSSCNCHYPLVWCSKSLVCYYPPCLPKSQWEYAQNVTTRSAPVPIALEEKHSDDKVAIEQNSSEDGKVEIFLKSSLKKPRETDSEQVRKGNVKWMDLLGKELAEIKEFETDESEEPEDYTDGDTACICVIQ >Ma05_p02780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1870677:1874416:-1 gene:Ma05_g02780 transcript:Ma05_t02780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLLRSDTRVSSCNCHYPLVWCSKSLVCYYPPCLPKSQWEYAQNVTTRSAPVPIALEEKHSDDKVAIEQNSSEDGKVEIFLKSSLKKPRETDSEQVRKGNVKWMDLLGKELAEIKEFETDESEEPEDYTDGDTACICVIQ >Ma08_p32110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42812089:42812654:1 gene:Ma08_g32110 transcript:Ma08_t32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVAATMLCLIAAASAQEAADVRATYHYYYPEENNWDLMAVGAYCSTWDADKSLEWRARYGWTAFCGPVGPTGRDACGQMVQVTNTATGVQTTVRIVDQCGNGGLDLDWEVFSQIDTDGSGYEKGHLMVDYEFVDWGGDSSF >Ma03_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1248537:1262111:-1 gene:Ma03_g01830 transcript:Ma03_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQDPAAEAATVAPAGSGYLQKFRLYETRSKFYMVGSNKSRTLWKVLKIDRLEPSELSIREDPTTYSESECYELLKRVHEGNISTGGLKFVTKCYGIAGFVKFLGPYYMLLITRRRKIGTICGHTIYTVSKSEMVPLPNSAVQSNFAYSKDENRYKRLLCNVDLTKDFFFSYSYNIMRSLQMNLCNNETGIILYETMFVWNEFLTRGVRNHLKNTTWTVALVYGYFKQVKLSICGKDFWLTLIARRSRHCAGTRFLKRGVNEKGRVANDVELEQIVFEDISKGVPAEITSIVQNRGSIPLFWSQETSKLNIRPDIILHKKDRNFEATRLHFANLVKRYGNPIIILNLIKSREKKPRESLLRAEFANAIDFINKDLPEEKRLKFLHWDIQKHTRRKGSNVLELLGKVAAYALDITGFFYCQVTPALKIEDGPKSPEQLRHYSGELLCNSPDSSEVTVSSYDNSWKDEVHYSDVTGSEFSGDKTKQNEDSLADEVQNSASSQNKNSADKTRYKGDNFAKILKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGYQLHALGFMDLLKVDLDSPLADDLMALYERMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQELFRTIQRYYSNAYMDAEKQDSINLFLGHFQPQQGKPAIWELDTKQQRMIGQHHTFADENKRSFIIRSLSDGNIPCESHTPVPSSDTGPKSLVSSALPPRMQQVSDVKGLCDSTPEISTCENDISYSRYTPTISKRQLFSDGGHVCFSEHRFNDSNCSNFLDFDWLSSSGNSCEDEGYERSSLINSPTGNLSTENVIDEEINILSDEGPNIEEVEPKQGEPAIDAAQSSNLGEFSDGFAHWVAYGGTLCY >Ma05_p26660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38203142:38218027:1 gene:Ma05_g26660 transcript:Ma05_t26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCGYKAQYMDGQKEKFVRLEESSPTFSCTSDTGRMNRCAFNVEGLSRGSKSSTKSSKGGLRKGSEGFKLLGRSLRFGASKEVFPEDLKVSEKKIFDPQDKFLFHMNRLFLISCILAVSVDPLFYYLPIKDENEKSMCLGIDRKLAVVSTTLRTIIDFFYLIRMALQFRTAYIAPSTRVFGRGELVIDPTQIAKQYLRSNFVVDFLSVLPLPQIVVWRFLQRSKGSDVSATKNALLAIVLLQYIPRLLRILPLTSELKRTAGVFAESAWAGAAYYLLWYMLACHIVGACWYLLSVKREDDCWHSACKNNSTVCNINYLYCGNEHLDGYDKWKNASGQDLLNYCSPDDNNQSFNFGIFAQSLTSGVVASRKFFSKLAYCFWWGLQNLSTLGQGLQTSTFLEEVIFSIAIAVCGLILFALLIGNMQTYLQSITIRLEEMRVKRRDSEQWMHHRMLPSELRERVRRYDQYKWLETRGVDEEGLVQSLPKDLRRDIKRHLCLALVTRVPLFENMDERLLDAICERLKPTLYTENTYILREGDPVDEMLFIIRGRLESITTDGGRSGFFNRILLKERDFCGEELLTWALDPKSGGNLPTSTRTVKALKEVEAFALNADELKFVASQFRRLHSRQVQHTFRFYSPQWRTWAACFIQAAWRRYSKRKIAELRRKEEEAGLRKNLVRGTSSLAAAIYASRFAVNALRSVHRLRNRSVMELVRLQKPPEPDFTAEDAD >Ma08_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33857192:33860131:1 gene:Ma08_g19990 transcript:Ma08_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGCARQGMMVWILALATFLSCAVAVAGTGRLEAKQRLEVQRHLRRLNKQAVKSIESPDGDIIDCVHLSLQPAFDHPFLKNHTIQMRPAFHPEGGLLFDEREVASKKRTPSIAQLWHQKGRCPENTIPIRRTKRDDVLRASSVKRYGRKKHRSIPNPMSVDPDLLNENGHQHAIAYVEGDTYYGAKASMNVWEPKIQQPNEFSLSQLWILGGSFGADLNSIEAGWQVSPDLYGDNNTRLFTYWTSDSYEATGCYNLLCSGFIQVNSEIAMGATIYPISKYGGSQYDISILDPKEGHWWMQFGDGYVLGYWPSFLFSYLEDSATMIEWGGEVVNSEPDGEHTSTAMGSGHFPGEGFGKASYFRNVQIVDGSNNLRPPSEFGTFTEQSSCYDVQTGDGGRWGHYFYYGGPGRNSNCP >Ma08_p19990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33857067:33860240:1 gene:Ma08_g19990 transcript:Ma08_t19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGCARQGMMVWILALATFLSCAVAVAGTGRLEAKQRLEVQRHLRRLNKQAVKSIESPDGDIIDCVHLSLQPAFDHPFLKNHTIQMRPAFHPEGGLLFDEREVASKKRTPSIAQLWHQKGRCPENTIPIRRTKRDDVLRASSVKRYGRKKHRSIPNPMSVDPDLLNENGHQHAIAYVEGDTYYGAKASMNVWEPKIQQPNEFSLSQLWILGGSFGADLNSIEAGWQVSPDLYGDNNTRLFTYWTSDSYEATGCYNLLCSGFIQVNSEIAMGATIYPISKYGGSQYDISILVWKDPKEGHWWMQFGDGYVLGYWPSFLFSYLEDSATMIEWGGEVVNSEPDGEHTSTAMGSGHFPGEGFGKASYFRNVQIVDGSNNLRPPSEFGTFTEQSSCYDVQTGDGGRWGHYFYYGGPGRNSNCP >Ma09_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26998085:26999594:-1 gene:Ma09_g19960 transcript:Ma09_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKNGRFLLPAILVFFLLQKPIAASLQSKSSLQKSAATSKKSYIVYLGAHAHGPEPSLEEYDRATDSHHEFLGSFLGSKEIARDAIIYSYNKYINGFATVLDEKDAKRISIDSFCFLFTEEHAKVKSIFESKVKQLHTTRSWSFMGLERDNKVSKSSIWAKARFREGTIIATLDTGVWPESKSFDDEGMGPIPSRWKGEFQKDKSKACSLQQLIGARSFYKGYVANTGASSPRDFDGHGTHTLSTAAGRFVPGAAVLGNAYGTSKGGSPNAFVAVYKVCWSGC >Ma03_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26451323:26455451:1 gene:Ma03_g21480 transcript:Ma03_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLELPQQQHHHHRKKVNLTNPRSSFASFRSDLKETFLPDDPFRQLKHQSGCAAACSLAKYLVPMLEWAPRYTLAKFRSDLLAGITIATLAIPQGISYARLANLPPVVGLYVSFVPPLIYGIFGSSMNLAVGNVATVSLLLASMIGSQVSATESPDLYMNLFFTAAFFTGVFEVALGIFRLGILVDFLSRSTITGFMGGTAIIVIMQQLKGVLGLKHFTTKTDVVSVLHFVFSHTSEWRWESVLVGVCFIGLLFLSKYVKAKVPRLFWVPAIAPLLVVVLGGVFAYLVHGEEHGIHIVGPLKKGLNPISITHLKFHSKYFSVLLKAGLVTGFLALSEGIAVGRSLAMLKNEQIDGNKEMIAFGMMNIVGSWFSCYLTTGPFSKSAVNFDAGCKTAMSNVIMSICMMLVLLFLAPLFKYTPLVSLSAIITVAMIGLIEYEKAHHLFKVDKFDFVICMAAFFGVIFFSMIIGLMVSVGLSVIRALLYVARPNTCKLGNIAGTDMYRDIEQYPDCVGIPNMLILKMSSPLYYANASYSRERILRWIETEESIANKNGEELHYLILDMGGVTTIDNTGIGMLQEVYRNLERRQIRVVLANPRLQVAEKLVLAKYIELIGEEWVFLSVNEAVSACHFSLQESRTIDP >Ma07_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28109325:28111260:-1 gene:Ma07_g20190 transcript:Ma07_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKREERIMHIDLSLEPAEDEERTLEVGREDGDQQQEGKEEEEGEDKQESSNHEEDQEEEKDQSHEKPMKDELCMLQAEMNRMKEENKLLRNVIDRTWKDYHELQMQFAGMQQQDRHPKERQVSNSLGEERLLERKKPGESKEIKEQPSMVADDKELGLSLTLQTYSDPHEREDASFEEKGKCLRSCQPLDAKLQTGQLSMTTSQSINPANRKTRVSVRARCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATALASTATAASNFTLLNETNSSSSIPNHPPLSYLSPFLASPSPHLPTTNSFASFFGAASSNGHGQQQLGLLGPMNPWAVSSSNSAIVSGSSWLSSKGVWNSEDGKYSAEKSSATAADPKLTVTVAAAISGATLAGKDGESSSRVSNHWVLESASPH >Ma10_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25378932:25380464:1 gene:Ma10_g12120 transcript:Ma10_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKPSVVARHGVLRLPPGFRFHPTDEELVVQYLRTKVFSCPLPASIIPDINLSNFDPWDLPGGCEEERYFFNLREAKYTKGSRSNRAARSGYWKATGKDKQITSSRCSQVVGMKKVLVFYQGKPPTGTKTDWIMHEYRLTGPDTTACIFPQRKNSSQSCVAVPSGDWVLCRIFKKKRATKMEAETDDGGTNHGVGFIDFMGQRDRDRSHSASSISETSCVTELSYGSSSGEETSSGTSLP >Ma04_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3393715:3398667:1 gene:Ma04_g04450 transcript:Ma04_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGYGHEEEMIKRRVVVEEWRQPELQAFHLCSPPHSAANPEVHHQANQLVIEVAERGGGGLVAAADATSLAASLAVPVSSSSASGREMEAANGNVKVEDPQPATVASRQEDVKPAPEAPTVGFSQLFRFADGLDCLLMAVGTAGAIVHGSSLPIFLRFFANLVNSFGSNAGDPDTMVREVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTQMRIKYLEAALNQDVRYFDTEVRTSDVVYAINADAVIVQDAISEKLGNFIHYMATFVSGFVVGFTAAWQLALVTLAVVPLIAIIGGIHTFTLTKLSSKSQDALVRASNIAEQALAQIRTVQSFVGESSVLQAYSSALRVAQKIGYRSGFAKGLGLGATYFTVFCCYALLLWYGGHLVRHHHTNGGLAISTMFAVMIGGLALGQSAPSMAAFAKARVAAAKIYQTIEHEPSIDRKNDTGIELGAITGLVELNNVDFAYPSRPDVPVLCNFSLTVAAGKTLALVGSSGSGKSTVVSLIERFYDPTSGQILFDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENLLLGREDATQAEIEEAARVANAHSFIVKLRDGYDSQVGERGLQLSGGQRQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADFVAVLQRGSVTEIGTHEDLMANVPGGAAQGTTNSLNSVSSPIITRNSSYGRSPYSRRLSDFSTSEFSISIDPSHRTKKLAFRDQASSFLRLAKMNSPEWTYALLGSIGSMVCGSMSAFFAYVLSAVLSAYYAQDYNYMRREIGKYCYLMLGVSSAALLFNTMQHLFWDVVGENLTKRVREKMLTSVLRNEIAWFDREENGSARIAGRLTADAHNVRSAIGDRISVIVQNTSLMLVAFTAGFVLEWRLALVLIAVFPVVVAATVLQKMFMKGFSGDLEVAHAKATQIAGEAVANVRTVAAFNSEEKITQLFAANLQSPLQRCFWKGQVAGGSFGVAQFLLYASYALGLWYASWLVKHGFSDFSKTIRVFMVLMVSANGAAEALTLAPDFIKGGRAMRSVFEVIDRKTEVEPDDPDAAPVSDRLRGEVELKHVDFAYPSCPDMPVFRDLTLRARAGKMLALVGPSGCGKSSVISLIQRFYEPTSGRVLIDGKDIRKYNLKSLRQAIAVVPQEPCLFAATILENIAYGREAATEAEVVEAATMANADKFISGLPDGYRTWVGERGVQLSGGQRQRIAIARALVKKAPMMLLDEATSALDAESERSVQEALERSGVGRTTVVVAHRLATIRNAHVIAVIDEGRVVEQGPHSHLLKHHPDGCYARMLQLQRFTNGTTVGAPPPPSTDARETE >Ma04_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11385236:11390176:-1 gene:Ma04_g15030 transcript:Ma04_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEQEEEQRKKRVESLGWLTESSVMPKKHKAIEGVGASSIVELKAQLYRSQEEARKAKEAVPDAEFLRAKKKPLPSDLFTHKNAGVESRANKDKLELKAMEDGSAVYSALEKKAELYEKLARGELPDEEENEKYCVDFFHKTLEQDEPQQPEDHDTHNGVTPEKEDGNDDELLLNGRPFGLGRTSGNVDKDEHKRFVREIHEEASQARAKATTLKLRRQEQEASRREKLRQAYLRKQLEKLTAAKQMATSENCSQPVNAEVSQK >Ma04_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14952258:14954984:-1 gene:Ma04_g16220 transcript:Ma04_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHLKIPPWNPEELGRDAELSVGSAAGGSNSGCFGLPDKSNDQAAASTTTAAASPSGPTKRARARSRSPANGASCSVDGCTADLSKCREYHRRHKVCEAHSKTPVVVVRGQEQRFCQQCSRFHLLQEFDEVKRSCRRRLDGHNKRRRKSQPDSTNSGRLFSDRQGGVSTYPLVYPTTAAESNWAGDVTRTEKRTPPQLISHHNFSISYSFGGERKQLHIIQDGETGNKATQSQLNLCAVTPYDGRSSGSRMFDCALSLLSSATSDVNLSHMVPLSDTISMVQYGGFMQHTLSQAESDVGTPTGCSMGKNAEINCHSKFQVEGEDCLDGNSQSLPSYWP >Ma01_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:493695:494783:1 gene:Ma01_g00650 transcript:Ma01_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGKPAWLQALDSEKFFVPCSHHEHAKKNEKNICCLDCCTSICPHCVSSHRFHRLVQVRRYVYHDVVRLEDLEKLIDCSNVQSYTINSSKVVFLKKRPQNRQFKGSGNVCTSCDRCLQEPYIHCSLGCKVEYVLRQKKDLSPYLRPCKTLQLSPDFHIPHDDDEANETTHSTIVEGDEPMASSDSENLSLPCAKIIHTSRNGRRYICARSATSVTDEEYMTANMSRRKSIPHRSPLC >Ma09_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7074577:7080863:-1 gene:Ma09_g10390 transcript:Ma09_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESAGEVGPRRRRWSWAVGALIAVLLATAATSRNSPKSPLFGITNKLCPCTGSRKYTGIVEDCCCEYETVDALNKEVLHPILQELVTTPFFRYFRVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPEPFKKPFHGLSADDLKCQEGKPQATVDRTLDSKVFRGWIEVDNPWTYDDETDNAEMTYVNLQLNPERYTGYAGPSARRIWEAIYAENCPKDPSGEFCQEKRVLYKLISGLHSSISIHIASDYLLDETNNLWGENLELLYDRVLRHPERVRNLYFTFLFVLRAVTKAADYLEQAEYNTGNMEEDLKTQSLVRQLVYNPQLQIVCPLPFDEAKLWQGESGPELKQQIQRQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDNDNLINQQLQLHRNEVIALANLLNRLSESVKFVHEKGPYVERIMEKKISSYTSKSS >Ma10_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27031473:27036941:1 gene:Ma10_g14700 transcript:Ma10_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAASRPPRPFMGVKARRGASFRRDVKGDYLDVPSDPFLSAILSKQGDETLLFADKVLKCTGTGKMKRCILMITGSAIYLVDPDADVLKRRIMLASIDKICLSKLSDNFFAVIVPSEYDCLMASTRKTEIVTVLVEASKSRSEYEIGVVFSNRFEYRAAADMVKEVRFAEVDGGIKTRIVKKYR >Ma10_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27367686:27372914:-1 gene:Ma10_g15150 transcript:Ma10_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARAAAEDSDKEAFAKKPRHCNAEMPLADANDDSFLFPVEEIVQFPLPGCVAPTSISFSPDGRLISYLFSPDGTLHRKLFAFDVVSGRQELAFSPPEGGGLDETNLSVEEKLRRERSRERGLGVTRYQWKARSPSSSFFSPEKPTIMVPLPNGVYFQELCGSEPELKLPCSGSPIIDPHLSPDGSMLAYVGDDELHVLSLSPGEPKLPNQLTFGARANGKTHGLAEYIAQEEMDRKTGFWWSPDSKYIAFAEVDSAEIPLFRIMHQGKNSVGSDAQEDHAYPFAGAANVKVRLGVVPASGGEVTWMDLICGLQDDAGGDEEYLARVNWMPDNSLTAQVLSRSHSKLKIFKFDIQTGKKKVLFVEEHETWINLHDCFTPLDKGVNCSSGGFIWASEKTGFRHLYHHDNNGVCLGPLTQGNWMVEQIAGINENAGLLYFTGTVDGPLESNLYCTKLFPDWNLPLQQPVRLTQGRGRHAVVLDHQMQRFVDVHDSLNSPPRVILCSLHDRSVITPLFEQPLSIPCCRKLQLLSPEIVQISAKDGTVLYGALYKPDARKFGPPPYKTLINIYGGPSVQLVVDSWINTVDMRAQYLRNKGILVWKLDNRGTARRGLEFEGHIKHSFGRIDAEDQLTGAEWLVRQGLAKVDHIGLYGWSYGGFLSAMSLARFPDTFRCAVSGAPVTSWDGYDTFYTEKYMGLPNENPDAYEFGSIMHHVHKIKGKLMLVHGMIDENVHFRHTARLINSLIAAGKPYELLLFPDERHMPRRLRDRVHMEERIWEFIERNL >Ma04_p27010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28278662:28279825:-1 gene:Ma04_g27010 transcript:Ma04_t27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGYTPTYYSSFQDTITSLCKSILPFSLRSRRPPLPDQKLAERHSDSLKWQQESFHRILHLMGLRKEGIVPESDVVAFRTHILDTLIAAPKDQESAGVVRDKLLFLQELYYAKCISAEEYHSSKRPLLQRLAVQGVELDCRDVIIRCPTMSTEEEWSDIELRDKAPSTVAEKAKNQTPIKAFIGNAALWTGKGKKDGSCKAMKGPLGSVDVNVMDPRRPWMENQRSGKSSIQMPEGSPLIPIKSDKGKRKMFQDLFRKGNTDESENREPLLAEPEERSMKSTWGLDGLRKWK >Ma01_p04720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3216480:3227005:1 gene:Ma01_g04720 transcript:Ma01_t04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSWADNVKSSSGSTSQSSPHLVFPHVSHTNLKHRNVFQLLAWREISPRSKHKKQLWKEGSNWSADYVALRCEKLDARNALASWVEAESLRHLSAKYSPLVPPPRSTIAAAFSSDGNTIASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLHPEKLASGSLDHEVRLWDTETADCIGSHDFYRPIASIAFHAQGELLAVASGHKLYIWNYNKREEASSPTVVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSPDSPLTLATSSSYLHYPPPTVLFANLNSDLRQHQMDKLPLMPSPYFFWPTFVNDDGRRTLQHAGGTSSSASGQPKADSSMLSSQRQIPDAANQYDNLVAPMDISPGEPSDMNFMTDNRVSTMTGIDKASESAETNEGQSTPEFQARSSTGISERLDASGNVSLTTSAQIRERSDASGNVSSTTPQESGMVEREPIGLGIAVPPIISTSVSSDGNPILIPFHDPPCWELPHLQGWLMGQTHSSLHTRSVNGGLEGNSGMIRQIGSNSLTSELQYSHNAERLVASSMANLVGHSRVTARSGSRHRSNSRLLASTGVSQVSLSHNSQTDDAEPRRGPSGIGSEVPTSLAAAAAVELPCTVKLRIWPYDIQDPCAPLELETCRLTIPHAVLCSEMGTHFSPCGRFLVACVACLLPHVEGDPGTQSQMQHDASGAATSPTQHPISAQQVMYELRIYSLEEATFGMVLSSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRSIVADGETAIPIYTILEVYRVSDMELVRVLPSMEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDGSDGTSCMGPNTFIEENMLEVRGRALVQW >Ma01_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3216480:3230851:1 gene:Ma01_g04720 transcript:Ma01_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSWADNVKSSSGSTSQSSPHLVFPHVSHTNLKHRNVFQLLAWREISPRSKHKKQLWKEGSNWSADYVALRCEKLDARNALASWVEAESLRHLSAKYSPLVPPPRSTIAAAFSSDGNTIASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLHPEKLASGSLDHEVRLWDTETADCIGSHDFYRPIASIAFHAQGELLAVASGHKLYIWNYNKREEASSPTVVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSPDSPLTLATSSSYLHYPPPTVLFANLNSDLRQHQMDKLPLMPSPYFFWPTFVNDDGRRTLQHAGGTSSSASGQPKADSSMLSSQRQIPDAANQYDNLVAPMDISPGEPSDMNFMTDNRVSTMTGIDKASESAETNEGQSTPEFQARSSTGISERLDASGNVSLTTSAQIRERSDASGNVSSTTPQESGMVEREPIGLGIAVPPIISTSVSSDGNPILIPFHDPPCWELPHLQGWLMGQTHSSLHTRSVNGGLEGNSGMIRQIGSNSLTSELQYSHNAERLVASSMANLVGHSRVTARSGSRHRSNSRLLASTGVSQVSLSHNSQTDDAEPRRGPSGIGSEVPTSLAAAAAVELPCTVKLRIWPYDIQDPCAPLELETCRLTIPHAVLCSEMGTHFSPCGRFLVACVACLLPHVEGDPGTQSQMQHDASGAATSPTQHPISAQQVMYELRIYSLEEATFGMVLSSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRSIVADGETAIPIYTILEVYRVSDMELVRVLPSMEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDGSDGTSCMGPNTFIEENMLEIQKYALEC >Ma01_p04720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3216481:3230887:1 gene:Ma01_g04720 transcript:Ma01_t04720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSWADNVKSSSGSTSQSSPHLVFPHVSHTNLKHRNVFQLLAWREISPRSKHKKQLWKEGSNWSADYVALRCEKLDARNALASWVEAESLRHLSAKYSPLVPPPRSTIAAAFSSDGNTIASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLHPEKLASGSLDHEVRLWDTETADCIGSHDFYRPIASIAFHAQGELLAVASGHKLYIWNYNKREEASSPTVVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSPDSPLTLATSSSYLHYPPPTVLFANLNSDLRQHQMDKLPLMPSPYFFWPTFVNDDGRRTLQHAGGTSSSASGQPKADSSMLSSQRQIPDAANQYDNLVAPMDISPGEPSDMNFMTDNRVSTMTGIDKASESAETNEGQSTPEFQARSSTGISERLDASGNVSLTTSAQIRERSDASGNVSSTTPQESGMVEREPIGLGIAVPPIISTSVSSDGNPILIPFHDPPCWELPHLQGWLMGQTHSSLHTRSVNGGLEGNSGMIRQIGSNSLTSELQYSHNAERLVASSMANLVGHSRVTARSGSRHRSNSRLLASTGVSQVSLSHNSQTDDAEPRRGPSGIGSEVPTSLAAAAAVELPCTVKLRIWPYDIQDPCAPLELETCRLTIPHAVLCSEMGTHFSPCGRFLVACVACLLPHVEGDPGTQSQMQHDASGAATSPTQHPISAQQVMYELRIYSLEEATFGMVLSSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRSIVADGETAIPIYTILEVYRVSDMELVRVLPSMEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDGSDGTSCMGPNTFIEENMLEIQKYALEC >Ma01_p04720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3216481:3230850:1 gene:Ma01_g04720 transcript:Ma01_t04720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSWADNVKSSSGSTSQSSPHLVFPHVSHTNLKHRNVFQLLAWREISPRSKHKKQLWKEGSNWSADYVALRCEKLDARNALASWVEAESLRHLSAKYSPLVPPPRSTIAAAFSSDGNTIASTHGDHTVKIIDCQTGNCLKVLSGHRRTPWVVRFHPLHPEKLASGSLDHEVRLWDTETADCIGSHDFYRPIASIAFHAQGELLAVASGHKLYIWNYNKREEASSPTVVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSPDSPLTLATSSSYLHYPPPTVLFANLNSDLRQHQMDKLPLMPSPYFFWPTFVNDDGRRTLQHAGGTSSSASGQPKADSSMLSSQRQIPDAANQYDNLVAPMDISPGEPSDMNFMTDNRVSTMTGIDKASESAETNEGQSTPEFQARSSTGISERLDASGNVSLTTSAQIRERSDASGNVSSTTPQESGMVEREPIGLGIAVPPIISTSVSSDGNPILIPFHDPPCWELPHLQGWLMGQTHSSLHTRSVNGGLEGNSGMIRQIGSNSLTSELQYSHNAERLVASSMANLVGHSRVTARSGSRHRSNSRLLASTGVSQVSLSHNSQTDDAEPRRGPSGIGSEVPTSLAAAAAVELPCTVKLRIWPYDIQDPCAPLELETCRLTIPHAVLCSEMGTHFSPCGRFLVACVACLLPHVEGDPGTQSQMQHDASGAATSPTQHPISAQQVMYELRIYSLEEATFGMVLSSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRSIVADGETAIPIYTILEVYRVSDMELVRVLPSMEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDGSDGTSCMGPNTFIEENMLEIQKYALEC >Ma09_p08450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5575856:5590839:1 gene:Ma09_g08450 transcript:Ma09_t08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKEVNIYHLIHKVKHGYKILSANKALNLSQYHIVASILGIGIGMTGLYMALQERNIGSLYLPWMTGGNDSSEIIYVAGLKNLGNSCFLNVILQALASCSCFVSFLRNLLVMDVESIEEYMPLLVTLTTLLEDLCIIHDERTILDPRKVMLALSFYVSSFKLTRQQDAAEAFLHLLCSVEEEVLQCYAPHSSSLAEITGLPSRIHKPKSKSHTDYEQWRRYIYGPFDGTVGSILTCRSCSSMLSVDIEHFRSLSLSPALDGNADIMEGCSIIDCLERFTALEHLENFRCGRCWHIGALKYLSVSADKDEEKIDKLSHCVNLDCCDCKSLFHQEEIKWTGFSCALKQLCLTRCPKILCIHLQRASMNDNGDLIKLQGHISFPFILDLFPFMKAGKILAEEFPVQCTRSNVQRQQQPLDPRLIQINMQYKKQFLGHVYGTGRENLLNGFPINSFQRSSNELKSDDSSAETIIEDKVEVAEIDRLQQPNKYRKEKKNIL >Ma09_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5575856:5590839:1 gene:Ma09_g08450 transcript:Ma09_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKEVNIYHLIHKVKHGYKILSANKALNLSQYHIVASILGIGIGMTGLYMALQERNIGSLYLPWMTGGNDSSEIIYVAGLKNLGNSCFLNVILQALASCSCFVSFLRNLLVMDVESIEEYMPLLVTLTTLLEDLCIIHDERTILDPRKVMLALSFYVSSFKLTRQQDAAEAFLHLLCSVEEEVLQCYAPHSSSLAEITGLPSRIHKPKSKSHTDYEQWRRYIYGPFDGTVGSILTCRSCSSMLSVDIEHFRSLSLSPALDGNADIMEGCSIIDCLERFTALEHLENFRCGRCWHIGALKYLSVSADKDEEKIDKLSHCVNLDCCDCKSLFHQEEIKWTGFSCALKQLCLTRCPKILCIHLQRASMNDNGDLIKLQGHISFPFILDLFPFMKAGKILAEEFPVQCTRSNVQRQQQPLDPRLIQINMQYKKQFLGHVYGTGRENLLNGFPINSFQRSSNELKSDDSSAETIIEDKVEVAEVQAREVSSSCSSASFMYLLTSVVEHYGRSGSGHYAAYRRVTSKSGAGNSMGTQVTEQSQWFYVSDHEISDVSEESVLSAEASLLFYERIDGDFNTVT >Ma05_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21030569:21032616:-1 gene:Ma05_g17640 transcript:Ma05_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACSVPPDKHTFSCVLKVCSRLRALRDGKQVHAHAVKCGLPSEEFVVNSLIHLYASCGEVVVARKLFDGMPKRGIVTWNAMLAGYFKAGDWGEVVELFRGMLELGMAFDEVTLISVLTACGRMGVLDLGEWIGDYVEANGLKRCQNLVTALVDMFAKCGEVDKARRLFDEMPSRDVVAWSAMISGYSQANQCREALALFHVMQKAKVEPNEVTMVSVLSSCANLGALETGKWVHSYIKRKRLHVTVNLGTALVDFYAKCGCMESALETFEEMPNKNAWSWSVLIQGLASDGQGREALKVFSLMLEAEFQPTDVTFIGVLSACSHAGLVEEGQILFDSMSSEYGVQPRIEHYGCMVDLLGRAGLIEKAYYFIKSMPIEPNAVVWRTLLASCKIHKNVNFGEESLKQIIKLEPRHSGDYILLSNIYALVGRWEDAVSVRNQMKEKGITKIPGCSLIELDGTIFEFFAEDSSHPQSKEIFSKVNEIITKLKLAGYVPNVAEARLDAEEDEKEVSVSHHSEKLAIAFGLMKSPPGAVIRVSKNLRICTDCHLATKLISKVYKREIVVRDRNRFHHFKYGSCSCNDYW >Ma07_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6706074:6707408:1 gene:Ma07_g08960 transcript:Ma07_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPSAKPNPQPPSANNSGGGGRPPSFPPSKGQTYGATRQAYRPQPRKLPPPPRRRRGCCLWLTLIIVAFVFLAAIAAGIFYVIYRPRRPTFEVDGLRFSAFNVSGDGQLTSRLELNLTAFNPNRKIVYLYDAASISVFSGGVDVGDGSFPAFIQEAKNATLLAAALSAPGGQTLDSTAVSDLKKKTRLPLEIDVDTKVGVKMGGWKTKKMRIQVRCEGIDVGVPKGKAAPAVSSPTAACKVKLRVKIWKWTIP >Ma02_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28211682:28212924:1 gene:Ma02_g23040 transcript:Ma02_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIAVVLFVLLSPGLLFQIPGKSGRVVEFGNFHTSGISILVHAIIYFALITIFLIAIGVHIYTG >Ma02_p21250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26939941:26944967:-1 gene:Ma02_g21250 transcript:Ma02_t21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVMSSGGSPSKGNAALSPVVLNVYDLTPLNNYVRWLGIGIFHSGIEVHELEYGFGAHDFPTSGVFEAFIESIATEYHGDTYHLISKNCNHFTDDVSKRLTGRPIPLWVNRLAGLGAICNCLLPESLRLPAVKQIAEYQGLSEDGSEFLSVITATTHDPLESDDADQEKYLFLRSGGEQLTIMKSVPK >Ma02_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26939941:26944967:-1 gene:Ma02_g21250 transcript:Ma02_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVMSSGGSPSKGNAALSPVVLNVYDLTPLNNYVRWLGIGIFHSGIEVHELEYGFGAHDFPTSGVFEVEPKTCPGFLYRCSILLGHTSMPPSEFQAFIESIATEYHGDTYHLISKNCNHFTDDVSKRLTGRPIPLWVNRLAGLGAICNCLLPESLRLPAVKQIAEYQGLSEDGSEFLSVITATTHDPLESDDADQEKYLFLRSGGEQLTIMKSVPK >Ma04_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4156621:4174518:-1 gene:Ma04_g05580 transcript:Ma04_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPETAMGGNFDNDFERDIEVLLREQHQSRGFFDLNRDELNFRSGSAPPTVEGSRTAFRSLFEHDVFAETPRLVGGQDSGELLSEEDLRSHPAYLSYYYSNENLNPRMPPPAISKEDWRVQQRFRAGASLLGGVGDSRSRKESMGGDNRSSSLFSLQPGFLMHDGEREMLEPSRGVLPLNLSRQQSGEWLERSGDGFIGLPDVGLGMRRKSFADVLQEELSHPPSVIGHIARPVSRNAYDNVDPIRGLDSPLMQLHDGSDALGGMQSGTTSSSLTRVQSLGSSISHSFASALASSLSRSTTPDPQLIRRSPSPCLPPVGVRNSDIYRPNGLGGVSSHMADCGDVVAGLSDLNLSRRITLDGEGHVPGQPNEEFPNQSGLLYDIPGDDRQFLQQKIIDKSLSPMLKNPNNVVGYSDSSKKTGSSTDFGLPELSKQPSYNKVYKKVPSVGTTISRNLHPNADVPSIDFGGSSSKSYSSNHGLQTMLNNQLDAGQYLNTTGNQVASGFQGQIMDSLFSQHLQSASESLVHAAGSLNSYSGRNFLGVPQMDLPEYQNAYLGSLLAQQKLQYGMPLLSKSGDSDHGFYSSHFFGVGMPYPGSHLSTAIHTPTLGSGSPVRQGERLRISSNIRTATGGSIGSWTTENGAMKEGYMSSLLEEFKNNKTRSFELSDIVGHVVEFSADQFGSRFIQQKLETATEEDKNKIFPEILPKAHSLMTDVFGNYVIQKFFEHGTESQRKQLAGQLKGHVLPLSLQMYGCRVIQKALEVVDVNQQTDMVLELDGQIMKCVRDQNGNHVIQKCIECVPQEKIQFIIESFFGHVVALSTHPYGCRVIQRVLEHCDDPKTQSIMMDEIRESVCTLAQDQYGNYVIQHVLQHGRQEERSDIISQLTGQIVKMSQQKYASNVVEKCLTYGTPEERQLLINEMLGSTDENEPLQVMMKDQFANYVVQKVLETCDDKNRELILSRIKVHLNALKRYTYGKHIVTRVEKLVAAGERHIGVPSHSS >Ma04_p05580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4156621:4174529:-1 gene:Ma04_g05580 transcript:Ma04_t05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPETAMGGNFDNDFERDIEVLLREQHQSRGFFDLNRDELNFRSGSAPPTVEGSRTAFRSLFEHDVFAETPRLVGGQDSGELLSEEDLRSHPAYLSYYYSNENLNPRMPPPAISKEDWRVQQRFRAGASLLGGVGDSRSRKESMGGDNRSSSLFSLQPGFLMHDGEREMLEPSRGVLPLNLSRQQSGEWLERSGDGFIGLPDVGLGMRRKSFADVLQEELSHPPSVIGHIARPVSRNAYDNVDPIRGLDSPLMQLHDGSDALGGMQSGTTSSSLTRVQSLGSSISHSFASALASSLSRSTTPDPQLIRRSPSPCLPPVGVRNSDIYRPNGLGGVSSHMADCGDVVAGLSDLNLSRRITLDGEGHVPGQPNEEFPNQSGLLYDIPGDDRQFLQQKIIDKSLSPMLKNPNNVVGYSDSSKKTGSSTDFGLPELSKQPSYNKVYKKVPSVGTTISRNLHPNADVPSIDFGGSSSKSYSSNHGLQTMLNNQLDAGQYLNTTGNQVASGFQGQIMDSLFSQHLQSASESLVHAAGSLNSYSGRNFLGVPQMDLPEYQNAYLGSLLAQQKLQYGMPLLSKSGDSDHGFYSSHFFGVGMPYPGSHLSTAIHTPTLGSGSPVRQGERLRISSNIRTATGGSIGSWTTENGAMKEGYMSSLLEEFKNNKTRSFELSDIVGHVVEFSADQFGSRFIQQKLETATEEDKNKIFPEILPKAHSLMTDVFGNYVIQKFFEHGTESQRKQLAGQLKGHVLPLSLQMYGCRVIQKALEVVDVNQQTDMVLELDGQIMKCVRDQNGNHVIQKCIECVPQEKIQFIIESFFGHVVALSTHPYGCRVIQRVLEHCDDPKTQSIMMDEIRESVCTLAQDQYGNYVIQHVLQHGRQEERSDIISQLTGQIVKMSQQKYASNVVEKCLTYGTPEERQLLINEMLGSTDENEPLQVMMKDQFANYVVQKVLETCDDKNRELILSRIKVHLNALKRYTYGKHIVTRVEKLVAAGERHIGVPSHSS >Ma10_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33113886:33114991:1 gene:Ma10_g24590 transcript:Ma10_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPPPPLLLLLSLLSLLVLQSSAVIPRMLFLVPQQPLVLKYHKGPLLKGNYTVNLLFYGRFTPAQRAIVVDFVRSLSAPARSSRPPSVASWWGTTALYGPGGATRLSLGRVLLDDRCSLGKSLTDSDLLTLASRAPHRAAITVVLTAPDVLVDGFCMSRCGFHDSGRGGKHGKSRYTYLWVGNPATQCPGECAWPFAQPTYGPQTPPLLPPNGDVGVDGLIISLATLLADTVTNPYGDGFFQGPPTLPLEAVTACTGIFGNGAFPGYPGNLLVDPTSGASYNARGLAGREYLLPAMWDPKTKQCKALV >Ma08_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37439944:37443997:-1 gene:Ma08_g24160 transcript:Ma08_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSTSSLHYRSRFGDTTLTKVFVGGLAWETPTAELRRFFEQFGEILEAVIISDKITGRSKGYGFVTFRDPESARRSVVDPNPVIDGRRANCNIASLGRQRPSPPRGRSPEGSMYRQYSRVPAQTAVYNPQMASYYHQQLYGPTSPPTVGHPPYHCPPIGYLQTARAGFPSHYMQYPAPHMEGTFIPSSSQQYPFQLQPPPHARQPLNTTEGSQAPQQLSTPGADSDDNQDA >Ma08_p24160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37439944:37443997:-1 gene:Ma08_g24160 transcript:Ma08_t24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSTSSLHYRSRFGDTTLTKVFVGGLAWETPTAELRRFFEQFGEILEAVIISDKITGRSKGYGFVTFRDPESARRSVVDPNPVIDGRRANCNIASLGRQRPSPPRGRSPEGSMYRQYSRVPAQVAPAPRAPVVYPSPFGYVAYPAEYGYQQTAVYNPQMASYYHQQLYGPTSPPTVGHPPYHCPPIGYLQTARAGFPSHYMQYPAPHMEGTFIPSSSQQYPFQLQPPPHARQPLNTTEGSQAPQQLSTPGADSDDNQDA >Ma08_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6783521:6785064:1 gene:Ma08_g09370 transcript:Ma08_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKHRTARALVQRLDSSTAAEAIAEIRLLSKHDPEMRAPIADAGAVPLLAARLLDHHDSSDAETQENAAAALLNISISAREALMSTPGILDALAAALRLPLAAAAQHAAATLYSLLCVDAYRPIIGSKKPLITGLVDLIRTPGASTRSIKDALKALFGLALYPLNRAALVELGAVPPVLALVANDARTGVVEDATAVIAQVAGCYESVDAFRRVDGVRVLVDLVGASTGASGRARENAAAALLNLVMSGGHKAVGDVMEVEGWEAAITGLAGGGVTARGKSKAEALLRVLRSERQSQPPGRDDSETGFDFSAPQTLLYSSSNSNSIG >Ma11_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20359648:20360746:-1 gene:Ma11_g14620 transcript:Ma11_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVEQHDDNTFTVTFNDSDHIYTTVTASGSDVEEWIDEILRIHRRRLNRLVVGLDAEWRPSFSPTQNPVAVLQLCVGRRCLLFLLLHADYVPYSLADFLADHRFTFVGVGVDGDAERLDEEQNLQVANAVDLRTLAADKMGQRGLKNAGLARLAAEVMGLEVWKPKRVTMSHWDRRYLNYEQISYACSDAFLSFEIGRRLFAGEF >Ma04_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7601689:7610020:1 gene:Ma04_g10710 transcript:Ma04_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISALLTSAGINIGFCALFLSLYSILRKQPSNLYVYFGRRLEKENSRYQDPFTLERFVPSAGWIVKAWEVTEDEILSIGGLDAVVFLRIFVFSIRIFSITAVVCVFGVLPVNYFGQEMQHGRISSESLDVFTIANVKGGSKWLWVHCTALYIITCSACSLLYSEYKNISQMKLAHVTGSPPNPSHFTILVRAIPKSTEEYLTDTIRNFFTNYHGSSYLSHQIISRTGKFQKFMSNAKKVYKKFVRIRVTALDNKCRPSLYRCGLCGVVSNSFQFYHSDYNGKRTDLQHSDTRKRKECSAAFVFFKTRYAAVVASKVLQTSNPMQWVTGIAPEPCDVYWPNLWIPFGQLWIRRLATLLATIVFMFLFLIPVTFVQGLTQLDQLQQKFPFLNRIPNKAFVIQFVSGYLPSVILQVFLYSVPPMMMMFSTVEGPISRSGRKRSACCKILYFTIWNVFFVNVLSGSIISQLHIFSRPRDIPVHLAKAVPRQATFFITYVLTLGWASLSSEVLQTFSLSYNWMRKYIFRLKDDPNAVPSFPYHTEVPKVLLFGLIGFTCSILAPLIVPFLLVYFFLGHLVYRNQILNVYSSYYESGGRMWPIVHNTTVFSLLLMQIIALGVFGTKDAPVASGFTIPLLIFTLLFSEYCRHHFNPIFKNFSAQDFIEMDREDEQTGRMKDIHMQLLTAYCQLPPPTTNTEETYYDDSETGDARNQVTI >Ma05_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20328618:20330105:1 gene:Ma05_g17450 transcript:Ma05_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCSFATFSVSLAFFLLLSFILFYFNHAFATVNILLLSSACLVFISLLLGWSRFLKLRAARKDVSVRWFVGEDVVGRASNRGCMIGKSASEGVMFFGNGDTYEGELHKGWCHGSGVYCFNASGRYEGDWVDGKYDGHGIESWARGSRYRGQYRQGARHGFGVYRFYDGDSYSGEWVIGQSHGRGMQTCSDGSCYAGEFKCGVKHGLGRYRFRNGDTYSGEYFGDKIHGFGVYSFANGHCYEGSWHEGRRQGLGTYTFGNGDSRSGEWDCGILKNSFLATDPAVERAVEAAKKAAESCVLLPQAEEQVKHAVSAANKAAMAARVAAVRAAQNEKEDKFCDIYV >Ma05_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4236541:4238141:1 gene:Ma05_g05570 transcript:Ma05_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLSVLHDLNSIKRRRCTSLSAQPFSIPLTFTLSDTGYAKRGAPCTPPFHTLVYFFPVHPKSFNRSWRLLSATGSSAASSRAAAASVGNRQCIRSPSTGLVAPAPAVGKTSGNYQLSDSSVRGCGFPSLVPAKEKDQRYGDDDDCDEEEEVLDWTAEYGGSHLEVEPSIHDPRDESTADRWIERNPSLIRLTGKHPFNCEPPLARLMHHGFVTPVPLHSNTSPISPLCGRHTFTFTLNQQLFIIPCTTTCIIEISRSPALMNPGKRRVQCNLVAKKTVSHDVRLFRFALPSADQVLGLPVGKHIFLCATIDGKLCMRPYTPTSPVDEIGHFELLINVYFKGENPKFPNGGLMSQHLESLPIGSTLDVKGPLGHIEYAGRGNFLVDGKHRSTRRLAMIAGGTGITPVYQVIQAVLRDPENRTEMHLVYANRSEDDILLRDELDILLQKSYFLLAFR >Ma08_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17638607:17708890:-1 gene:Ma08_g16280 transcript:Ma08_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFDCFKEKSTGATIRRQRKLSLSEYRGAVSWSKYLVSSGGEIKVGSEERWSADMSQLLIGSKFATGRHSRIYHGRYKGREVAIKLMSQPEEDPALAAALEQQFTSEVALLFRLHHPNIITLVAACKKPPVFCIITEYMAGGSLRKYLHNHEPHSLPHSLVLKLALDIARGMSYLHSQGIIHRDLKSENILVGEDLSVKVADFGISCLESQCGSGKGFTSTHRWMAPEMIKEKIHTRKVDVYSFGIVLWELLTALIPFHDMTPEQAAYAVAHKNARPALPASCPLAFSHLMSRCWATNPDKRLQFYEIVAMLESYQESLEVDPTFFLSFKPEARMTRSSSSPIASTSDQLSGIMKTLEAMTQFKKLSPPSFNGEPDQMVAEQWMMRIEKIFDVLNCPDDKKVYLATFMLEGEAEHWWRTMKRIYEA >Ma09_p00830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:556383:560214:-1 gene:Ma09_g00830 transcript:Ma09_t00830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASAIPQFRCVSSSLLRSRKLISSDPHHIHCPKPISSLNLLSSSSSPPRRWRCGANSEGTGSRPEEEALSGMVDELLRREENKALLDGLEEASARVERAREALADIERRAADVLRAKEYMRQLQNREAEIAQSQRELLEARAKVEEAQRSLSANTDDSNNSDIVFEDINKEKERLESAKAAVVSSVVGTLASVPIYLYQATSVPQVILDLAVISISCALFGVTFRYTVRQDLDNLQLKTGTCAAFGLIKGLSAAETGRILKLDAETFISFSIDGAVYVSENIFIFLAAAVALDFCFKMRLLSPFPTRR >Ma09_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:556429:560214:-1 gene:Ma09_g00830 transcript:Ma09_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASAIPQFRCVSSSLLRSRKLISSDPHHIHCPKPISSLNLLSSSSSPPRRWRCGANSEGTGSRPEEEALSGMVDELLRREENKALLDGLEEASARVERAREALADIERRAADVLRAKEYMRQLQNREAEIAQSQRELLEARAKVEEAQRSLSANTDDSNNSDIVFEDINKEKERLESAKAAVVSSVVGTLASVPIYLYQATSVPQVILDLAVISISCALFGVTFRYTVRQDLDNLQLKTGTCAAFGLIKGLSAAETGRILKLDAETFISFSIDGAVYVSENIFIFLAAAVALDFCFKMRLLSPFPTRR >Ma03_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29189510:29192688:-1 gene:Ma03_g25050 transcript:Ma03_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSQGSAVSDNKNNAACMPNKPAPTANGATVKCNPCLSENKTSIRGVVAQLLAMVNPEKPLISLGVGDASSFPCFRKGKDFSDSLLAAVSSSMFDCYPPSYGFPFARRAVAEFLSKGVKHGIREDSVYLTVGGTQAIQVCLTVLASPGSNLLLPRPGFPPYETACELAGVEARYYDLAPRRGWEMDLSQLRSLADANTAGLVIINPNNPCGAVYSSTHLQQIAETARDLNIPIIADEIYGHMVFGGSRFIPMASFAHLTPVITIGALSKRWMLPGWRLGWLAICDPHGLIKQVKVAAEMLMNVTSGPASVIQAAVPGILSDSHEEFHRNVLTVLESSLDALYARIGQIEVLQCHSRPQGSMFMMVEINTTLVFGIENDMDFAKELIREESVLVLPGSVIGLKNWIRIFFGIPADVLREACDRIESFCKRRQIKSSSIL >Ma03_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:853941:854999:1 gene:Ma03_g01080 transcript:Ma03_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPLGKPALEASPSFGGASASASVDENEPASDASNSASRHDFSAHQVSPSEAEEKNADDEDDDDDDSGDEFEFTFAVRDADAFPSVTADEIFSGGRIIPTYPVFNRDLLLPLSAADEPTITEKDQADQIPFGRLQIVTGAESSTEEPAAAGEHFPGGADRCKKSASTGSSMQWRLRDMVGRSHSDGKEKFVFLEASAKKNITSPNTARAAAAGGKGAKEGGRLAEVDAVTGHRRFHGKGTSEKAVKGPRRSFLPYRQQLLGLFAPVNGLRRSHHPF >Ma11_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25684616:25686746:1 gene:Ma11_g21600 transcript:Ma11_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEALASAGLPPFFFYDTITATTSHHSGRASSSRFHNAGGDDVVGGYSGGTDERRQSAEVAGQGRRKRRRQRTHKNKEDAENQRMTHIAVERNRRRQMNEHLAVLRSLMPDSYIQRGDQASIVGGAIDFVKELEQLLQSLEAQKRTLQQRQAGKPESSPATADGGSRSSSSHSHGVDSPPFAQFFTFPQYGWRHAAAHDYPQPEDQLLPALADIEVTLIETHANVRILSPKRPHQLVKIVCGLQDLKLSILHLSLTTLDAMVLYSLSVKVEEGCNLATVDDIAAAIHRMLCLIQAEATAAAQ >Ma04_p25280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27057369:27059081:-1 gene:Ma04_g25280 transcript:Ma04_t25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC11 [Source:Projected from Arabidopsis thaliana (AT3G05870) UniProtKB/TrEMBL;Acc:A0A384LJP7] MKVKILKWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGACNHAFHLHCILKWVNSQTPQPHCPMCRREWQFKA >Ma06_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6331090:6335870:1 gene:Ma06_g08990 transcript:Ma06_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLLLLALFTVVSSVACAPLQNDALVLASVREGFQSSTPEVESWNTADLSSVCSWFGVQCEHGRVVAVDLSNLNISGSVSLDIFGLDSLVNLSLAGNQLQGAIRVSHLPSLRYLNISFNQFDGGLEWDYLGLPNLEVLDAYDNNFTASLPAGVASLKRIKYLDLGGNYFDGEIPASYGRLTTLEYLSLNGNDLRGRIPAELGNLTSLKQLYLGYYNVFDGDIPTELSKLSNLVLLDLSSCGLDGEIPRQIGKLINLETLFLHSNRLSGQIPPSLGNLTRLTLLDLSNNALTGEVPHELAALTQLNLLNLFMNRLHGSIPEFVAELPNLDTLQLFMNNFTGAIPERLGSGGRIRVLDLSSNKLTGAVPANLCPLNQLKVLILLNNFLFGAVPASLGRCSSLTRVRLGQNYLNGSIPLGLLYLPNLNLLELQNNYLSGPVPANDDNSGHSPTQLAELNLSNNLLAGPIPSSISNLSSIQTLLLGNNQLAGPIPSAIGGLRRMVKLDLSRNRLSGSIPPEIGSCTQLTYLDLSQNNLSGPIPPEIAGISILNYLNLSMNHLSDSIPRSIAAMRSLTAADFSFNDLSGELPEVGQLAYMNASSFAGNAGLCGPVLENPCSHTAGRVHAPRSAGDFKLIFALGLLLCSLVFAVAAAVRARSYRRGPGGAAWRLTAFQKVDFGVSDVLECMRDGNVIGRGGGGVVYMGRTRAGEAIAVKRLRAGGHDHGFRAEVRTLGSIRHRNIVRLLAFCTNQDTNVLVYEYMGNGSLGEVLHGKGGGFLGWDRRYRIAVEAARGLCYLHHDCSPMIVHRDVKSNNILLDTGFEAHVADFGLAKFLQDGGASESMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGEFGDGVDIVQWAKRVTDCSRDNVAAIADPRLSTVPIAEVMHVFFVAMLCVQENSVERPTMREVVQMLSEFPHHVPESHSPSSSATALKESSSGKKETGFCKLFPDLLT >Ma06_p08990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6331090:6335870:1 gene:Ma06_g08990 transcript:Ma06_t08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLLLLALFTVVSSVACAPLQNDALVLASVREGFQSSTPEVESWNTADLSSVCSWFGVQCEHGRVVAVDLSNLNISGSVSLDIFGLDSLVNLSLAGNQLQGAIRVSHLPSLRYLNISFNQFDGGLEWDYLGLPNLEVLDAYDNNFTASLPAGVASLKRIKYLDLGGNYFDGEIPASYGRLTTLEYLSLNGNDLRGRIPAELGNLTSLKQLYLGYYNVFDGDIPTELSKLSNLVLLDLSSCGLDGEIPRQIGKLINLETLFLHSNRLSGQIPPSLGNLTRLTLLDLSNNALTGEVPHELAALTQLNLLNLFMNRLHGSIPEFVAELPNLDTLQLFMNNFTGAIPERLGSGGRIRVLDLSSNKLTGAVPANLCPLNQLKVLILLNNFLFGAVPASLGRCSSLTRVRLGQNYLNGSIPLGLLYLPNLNLLELQNNYLSGPVPANDDNSGHSPTQLAELNLSNNLLAGPIPSSISNLSSIQTLLLGNNQLAGPIPSAIGGLRRMVKLDLSRNRLSGSIPPEIGSCTQLTYLDLSQNNLSGPIPPEIAGISILNYLNLSMNHLSDSIPRSIAAMRSLTAADFSFNDLSGELPEVGQLAYMNASSFAGNAGLCGPVLENPCSHTAGRVHAPRSAGDFKLIFALGLLLCSLVFAVAAAVRARSYRRGPGGAAWRLTAFQKVDFGVSDVLECMRDGNVIGRGGGGVVYMGRTRAGEAIAVKRLRAGGHDHGFRAEVRTLGSIRHRNIVRLLAFCTNQDTNVLVYEYMGNGSLGEVLHGKGGGFLGWDRRYRIAVEAARGLCYLHHDCSPMIVHRDVKSNNILLDTGFEAHVADFGLAKFLQDGGASESMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGEFGDGVDIVQWAKRVTDCSRDNVAAIADPRLSTVPIAEVMHVFFVAMLCVQENSVERPTMREVVQMLSEFPHHVPESHSPSSSATALKESSSGKKETGFCKLFPDLLT >Ma06_p08990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6331090:6335487:1 gene:Ma06_g08990 transcript:Ma06_t08990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLLLLALFTVVSSVACAPLQNDALVLASVREGFQSSTPEVESWNTADLSSVCSWFGVQCEHGRVVAVDLSNLNISGSVSLDIFGLDSLVNLSLAGNQLQGAIRVSHLPSLRYLNISFNQFDGGLEWDYLGLPNLEVLDAYDNNFTASLPAGVASLKRIKYLDLGGNYFDGEIPASYGRLTTLEYLSLNGNDLRGRIPAELGNLTSLKQLYLGYYNVFDGDIPTELSKLSNLVLLDLSSCGLDGEIPRQIGKLINLETLFLHSNRLSGQIPPSLGNLTRLTLLDLSNNALTGEVPHELAALTQLNLLNLFMNRLHGSIPEFVAELPNLDTLQLFMNNFTGAIPERLGSGGRIRVLDLSSNKLTGAVPANLCPLNQLKVLILLNNFLFGAVPASLGRCSSLTRVRLGQNYLNGSIPLGLLYLPNLNLLELQNNYLSGPVPANDDNSGHSPTQLAELNLSNNLLAGPIPSSISNLSSIQTLLLGNNQLAGPIPSAIGGLRRMVKLDLSRNRLSGSIPPEIGSCTQLTYLDLSQNNLSGPIPPEIAGISILNYLNLSMNHLSDSIPRSIAAMRSLTAADFSFNDLSGELPEVGQLAYMNASSFAGNAGLCGPVLENPCSHTAGRVHAPRSAGDFKLIFALGLLLCSLVFAVAAAVRARSYRRGPGGAAWRLTAFQKVDFGVSDVLECMRDGNVIGRGGGGVVYMGRTRAGEAIAVKRLRAGGHDHGFRAEVRTLGSIRHRNIVRLLAFCTNQDTNVLVYEYMGNGSLGEVLHGKGGGFLGWDRRYRIAVEAARGLCYLHHDCSPMIVHRDVKSNNILLDTGFEAHVADFGLAKFLQDGGASESMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGEFGDGVDIVQWAKRVTDCSRDNVAAIADPRLSTVPIAEVMHVFFVAMLCVQENSVERPTMREVVQMLSEFPHHVPESHSPSSSATALKESSSGKKETGFCKLFPDLLT >Ma10_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28949622:28950375:1 gene:Ma10_g17610 transcript:Ma10_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDMKLRSSSSLPNLLLSSLNLLLLVLASASFAPVFLLRTSPTSSGWALFTVSSATVLSSLLGFFSQLTCLCFVTHVSFILASSVGQALSFLALFLRPEPSLRLLGSDRSGKEQRVLMKVEEALLLGMFLVQSLALVSACAVQRWWLRQYEEVEAEREASARKSSRRMARVQEEAIANAEAVARELEEKTRRSKEGRWAKNDFEG >Ma07_p28660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34637573:34640919:1 gene:Ma07_g28660 transcript:Ma07_t28660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMPKFPVEEMDTTREVSTRHKRSKSDSDKRIKTGKLDTSVISFHHVRMDKEEVGQAEVKKRPSPNNVMESSLKKEIQQLEKCLEDQFVVRRALEQALQHKSSAIDTSADTFIPKSTKEVIREIAMLELEVVHLEQYLLSLYRRAFEQQMSGASHTAVEGATHAASFQKFRSAVRSNHILLPQKMANCREKRGCLLHRSHSSVMPPSSKYPTRALKHEQQFNSGVISLADHLGTTVADHVPETPNRLSEDMIRSMCAIYRKLTDHHVGHCGVASSPTSSFSSRNTFSPCYTGELQSPCRKRESMVDTWIENSCCTKRFREFSGPYSVMVEVSSICKSSQTSTDVEEMLHNYKTLVHRLETVDPRMMSNEEKIAFWINIHNAMLMHAHLEYGIPGSNIKKVSFLIKNMYNIGGRMVNAYIIQSSILGCRMHPPGQWLRLIISSKVKVKDGDEWKGYAIENPQPLLRFALCAGSHSDPAVRVYSPKRLSQLLEAAKMEYIHATVTIRRGQKILLPKIVDSFAKDSKFSDRELVDMIECCVPESLRLMVDGCRGSSSRKMIEWVPHNFTFRYLLSRELGNPRLN >Ma07_p28660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34637573:34640919:1 gene:Ma07_g28660 transcript:Ma07_t28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMPKFPVEEMDTTREVSTRHKRSKSDSDKRIKTGKLDTSVISFHHVRMDKEEVGQAEVKKRPSPNNVMESSLKKEIQQLEKCLEDQFVVRRALEQALQHKSSAIDTSADTFIPKSTKEVIREIAMLELEVVHLEQYLLSLYRRAFEQQMSGASHTAVEGATHAASFQKFRSAVRSNHILLPQKMANCREKRGCLLHRSHSSVMPPSSKYPTRALKACHALSFLEHEQQFNSGVISLADHLGTTVADHVPETPNRLSEDMIRSMCAIYRKLTDHHVGHCGVASSPTSSFSSRNTFSPCYTGELQSPCRKRESMVDTWIENSCCTKRFREFSGPYSVMVEVSSICKSSQTSTDVEEMLHNYKTLVHRLETVDPRMMSNEEKIAFWINIHNAMLMHAHLEYGIPGSNIKKVSFLIKNMYNIGGRMVNAYIIQSSILGCRMHPPGQWLRLIISSKVKVKDGDEWKGYAIENPQPLLRFALCAGSHSDPAVRVYSPKRLSQLLEAAKMEYIHATVTIRRGQKILLPKIVDSFAKDSKFSDRELVDMIECCVPESLRLMVDGCRGSSSRKMIEWVPHNFTFRYLLSRELGNPRLN >Ma06_p27170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29204619:29206130:1 gene:Ma06_g27170 transcript:Ma06_t27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDLALPAGFRFHPTDEELVTHYLCRKCAAMPISVPVVAEVDLYKYDPWQLPGMASYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGTPRTVAIKKALVFYSGKAPKGTKTNWIMHEYRLAHPDRSATKKTNNSLRLDDWVLCRIYHKKGEIDPGRRSIESKARPWVEPKREATWQVTAAAPPPMTDLLYLDAPESLPVLVGASSCSEHADLTCEREVQSLPRWGEDWESGRWFGINNEHVPLSPSCGDPLQDIFTYLQKPF >Ma09_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31829604:31831045:1 gene:Ma09_g21080 transcript:Ma09_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSTALCTFSSPLSLSRLSALLIRATLTCVFATVGVLLGALAGALIGVATASGVVRGSISGAISGALSSVEVVEDSLAIWRNNGSGQWSILYLLCALSAPFLEVLDDIFEAGGGGTKGMPKASVDKLPKINIHVEDCVDARSESISCAVCLQEFQAGEAARTLPQCQHIFHLPCIDSWLIRHGSCPLCRHNFSEAYLVQ >Ma04_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10483331:10496736:-1 gene:Ma04_g13830 transcript:Ma04_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGPKRRCPSAADCYPRVSPQPRKMDRVLEALLALPDPSVAFELSLEGLLESSLLEAEKDRLVESAIEAASAILEAAKRSARRRASKHNSSSWPLSSDLTIRVFSKLDTQSLCYAAATCSMFNKCATDPMCYVNIDLTAEMPKVNNTVVSTMIQRAGKNLQSLKLGIWPNAASTTELSRPMSYSTRNPMDTSGLSWTQKRPRQGKETSLLTRSCLLALSVDGGAAGNFLRSLHLYNIDKMDNFALCKALSSCPFLLDLEVVGLHVEPKRMLDAVSMNCHTIERLFFESSDTGRDYSLNTPTCTGLVHGCPNLMSLALRGFKLHDHKVSILIKGLRHLKFVDFSTCYSITGTFLRNLGSDMNAHMLEVLILCDCLHLKEVEVSHFLSALLAGDFKLLRYLDISNKDGLSADNDWNYRCYNPCALLISDFMKQRPEVCLLAKFPGGSLVDANLLSDGQTSCGMGLTVMHNVAFDPYWTNISENSYSSDQGSGNGGDSPYPIPFYDADSFYELEFPWEID >Ma11_p03120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2296136:2304441:1 gene:Ma11_g03120 transcript:Ma11_t03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLRYGYRIRRSNKLDRSREQKLRSQHPAILLGFREAILSWNSRRRVKTREETMDEGVVVGAPMGHEAMCSNGGAVDEPRRPPTSRAGKGAAGGELMDVEAYAALYTGRTKVARLLFIAERCGNEAMQLEALRMAHDEIKKAEDSHLHREVTAKIGGRLGPRYALDQSWADTVDRRADVRKEKLENELNGYKTNLIKESIRMGYNDLADFFYDHGQLGDALKNYVRTRDYCTTSKHLIHMCLSVILVSIELGQFMHVSNYASKAEQTPEQLDLVTHSKLRCAAGLAYLETKKYKLAARKFLETGPELGNNYTDMIAPQDVAIYGGLCALASFDRTELKNKVIDNINFRNFLELVPEVRELINDFYASRYASCLEYLEKLKPNLLLDIHLHDHVETLYTEIRHKAIIQYTHPFISVDLHMMAGAFKTNVAGLEKELKALITDNQIQLQARIDSHNKILYARHADQRNATFQRALQTGVEFERDVRAVLVRANLIKQESILKAAKKP >Ma11_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2296149:2304441:1 gene:Ma11_g03120 transcript:Ma11_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLRYGYRIRRSNKLDRSREQKLRSQHPAILLGFREAILSWNSRRRVKTREETMDEGVVVGAPMGHEAMCSNGGAVDEPRRPPTSRAGKGAAGGELMDVEAYAALYTGRTKVARLLFIAERCGNEAMQLEALRMAHDEIKKAEDSHLHREVTAKIGGRLGPRYALDQSWADTVDRRADVRKEKLENELNGYKTNLIKESIRMGYNDLADFFYDHGQLGDALKNYVRTRDYCTTSKHLIHMCLSVILVSIELGQFMHVSNYASKAEQTPEQLDLVTHSKLRCAAGLAYLETKKYKLAARKFLETGPELGNNYTDMIAPQDVAIYGGLCALASFDRTELKNKVIDNINFRNFLELVPEVRELINDFYASRYASCLEYLEKLKPNLLLDIHLHDHVETLYTEIRHKAIIQYTHPFISVDLHMMAGAFKTNVAGLEKELKALITDNQIQARIDSHNKILYARHADQRNATFQRALQTGVEFERDVRAVLVRANLIKQESILKAAKKP >Ma08_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20724394:20725390:-1 gene:Ma08_g16760 transcript:Ma08_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPCDKRGTNRGAWSKEEDRKLIDYIRLHGEGCWRSLPKAAGLLRCGESCRLRWINYLRPDVKRGNFKEDEADLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRKKLKSMGVDPDNHRLTQKAPLRRSRSSHSASLSTDAVTSHENMKKCSSTVLPDLNLDLTICTPSSLEGQRLDRTATPTLLLFH >Ma10_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17697994:17699058:1 gene:Ma10_g06180 transcript:Ma10_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSPASYIRMVQLLIEKCLIFHMNKDECVEALSKHAAIDPVITSTVWTELEKENKEFFEAYSKNREGSVMEMMREMVTEMASRDPEDDEG >Ma07_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31636890:31659079:-1 gene:Ma07_g24230 transcript:Ma07_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVVYIPVQNSEEEVMVALDHLPRDATDMIDILKAEQAPLHLWLIIAREYFKQGKLDQFRQILEEGSSPEIDEYYADVKYERIAILNALAAYYTYLGKIETKQRDKEEHFISATQYYNRASRIDAHEPYTWIGKGQLYVAKGELQTASESFRIALVEDPNCVPALLGQACVDFNIAEREEHYKKAMDSYRSSLEFYKRALQINPSCPASVRLGIGFCRYKLGQFEKARQAFQRVLQLDPDNVEALVALGVMDLQTNEAHGIKKGMEKMQGAFEIHPYCPMALNCLANHFFFTGQHFLVEQLTETALAVSSHGLMKAHSYYNLARSYHSKGDFEKALRYYMASVKETSKPQEFVLPYYGLGQVQLKLGDFKSSLLSFEKVLEVHPENCESLKAVGHIYSQLGEIDKAVDTFRKATRIDPKDSVAFTELGELLISSDAGAALDVFKTARNLIKKGGQEVPIELMNNIGVLYFEKGEFELAEQTFKEALGDGIWLSFLTRSIDRSAYYSFQYKDFSLFHQLEEDGSCIELPWNKVTTLFNYARLLEQVNDTEKASNMYRLILFKYPDYVDAYLRLTAMAIARNNIQLSIELITDALKVDDKCPNALSLLGDLELKIDDWVKAKDTFRAAKDATDGKDSYATLALGNWNYFAAIRNEKRGPKLEATHLEKAKELYTKVLMQHPSNLYAANGAAIVLAEKGHFDVSKDIFTQVQEAASGSVFVQMPDVWVNLAHVYFAQGHFALAAKMYQNCLRKFYYNTDTHVLQYLARTHYEAEQWQECKKTLLRAIHLAPSNYTLRFDAGVAMQKFSASTLQKTKRTADEVRSTVTELKNAVCIFSQLSAASIYHSHGFDEKKLETHVEYCKHLLDAAKVHCEAAEREEQQNRQRLEVARQVSLAEEARRKAEEQRKFQLEKRKQEDELKQVMQQEEHFERIKEQWKHSSNTAGKRRERSQVEDEEGGDRRRRRGGKRRKKEKKMKTHYEEEADMEDEHEDLEEDTNAMNEYEDDGVEKAQNDLIAAGLEDSDAEDDLGAHSTAINRKRRAWSESDEDDEPLGDRVTTAETDEETNGIKAKGVAGDDDEGDD >Ma07_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2451992:2452281:-1 gene:Ma07_g03180 transcript:Ma07_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRREKPFCSLSRATWLSSWHGEKEVMFISLGRQQDGSEDTASLFWDSRNHQSKSTMRSLS >Ma10_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1455447:1457078:-1 gene:Ma10_g00210 transcript:Ma10_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASIEIVMWPWIITMYIQLFPSNFTCVFMRLPVSNDRRENGRRDGWRRSEIATSTPPPPLPFTPFDPVTGAWSCLPSASGLPHCLSLFCHLAAVAQQLVVIGRWDSETWAAFDRVHVYDFMSSTWRHGARMPRSRWSFACTTSKELRGGVRRQRHDEEKNALRSAIAYDVTADKWALLPDMARERDECGGDFRR >Ma00_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:37724735:37727706:1 gene:Ma00_g04410 transcript:Ma00_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTPKRKRALSPIRSLETLKPSSEQYPDLPFPTPEQCRDVRDALLTHHGFPEEFAKYRRSTTSPLGAETAVDGVAGETVLDGLVSTLLSQNTTESNSRRAFESLKSAFPTWEHVLAAESKLVEDAIRCGGLAATKAARIKSILRALKDKRGQICLEYLRHLSVDEVKTELSMFKGIGPKTIACVLMFHLQRDDFPVDTHVYRITRDIGWVPMKADREQAYLHLNNRIPNDLKFDLNCLLVTHGRLCHRCAIRGGRQKTSRSFASCPLAEYQKSRRVS >Ma10_p26650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34238074:34245963:1 gene:Ma10_g26650 transcript:Ma10_t26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAASGVARLALRRNLARTPYHVARAGALPRFQPARCFHSTQLRRAAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLDLEEREMSDDLDLALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILERLEQAYCGTVGYEYMHIPNRDQCNWLRERIETVKPREYSQERRQVILDRLTWSTLFENFLATKWKAAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVEEGEGLYTGTGDVKYHLGTSYDRPTRGGKMIHLSLVANPSHLEAVDPVVVGKARAKQYYSNDIERTRNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKCGRSSQYCTDVAKALSVPIFHVNGDDVEAVVHVCELAAEWRQTFHSDVVVDVVCYRRFGHNEIDEPSFTQPKMYRVIQDHPSALELYEKELLESGRISKDDVDRMRNKVSTILEEEFINSKDYVPRKRDWLSAYWAGFKSPEQISRIRNTGVKPEILKRVGQAITTLPENFKPHRAIKRIFQQRAEMIETEEGIDWALAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVVHDQETGEMYCPLDHIIINQDEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFANGAQVMFDQFLSSGEAKWLRQTGLAVLLPHGYDGQGPEHSSGRVERFLQMSDDNPYIIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHRDFRKPLIVMSPKNLLRHKDCKSHLSEFDDVLGHPGFDKQGTRFKRLIKDRNDHKEIEEGIDRLILCSGKVYYELDEARKNTKRNDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYVNPRIYTAMRAISRGNIEDIKYVGRAPSAATATGFLSVHVQEQKEILHKAMQPEPIGFPF >Ma07_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30977199:30978474:1 gene:Ma07_g23280 transcript:Ma07_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRDAEAELNLPPGFRFHPTDEELVVHYLCRKIACQRPAVPIIAEIDLYKYDPWELPDKSLFGQREWYFFTPRDRKYPNGSRPNRSAGKGYWKATGADKPISPKGSNRTVGIKKALVFYSGKAPRGLKTDWIMHEYRLADTNRKSNKGSLRLDDWVLCRLYNKKNTWEKMQQQQKEETSFGLTMDSLDDTGSDSFRTPESDVENDDVLPDFDDLGYPSQASTGGQALSTSRAVGLQMVEKIEKEDEWFMDLKLDDLQSSFMGFGSTPSMDATNQDYYFQSFAPPMHRPSGTNMLPF >Ma11_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4799698:4803473:-1 gene:Ma11_g06020 transcript:Ma11_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWRERWYVLKEASRLYAASWVRDIGPDLRPNDYKKVTNDDGGLRQDNTTSARREQSTLEDLAVAARGGMETLRPALQRVYMMRASAYKDALKSFIQGYQEGIKQVMEGKEGEKSRAQENDVKKSS >Ma11_p06020.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4799698:4803473:-1 gene:Ma11_g06020 transcript:Ma11_t06020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWRERWYVLKEASRLYAASWVRDIGPDLRPNDYKKVTNDDGGLRQDNTTSARREQSTLEDLAVAARGGMETLRPALQRVYMMRASAYKDALKSFIQGYQEGIKQVMEGKEGEKSRAQENDVKKSS >Ma11_p06020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4801796:4803473:-1 gene:Ma11_g06020 transcript:Ma11_t06020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWRERWYVLKEASRLYAASWVRDIGPDLRPNDYKKVTNDDGGLRQDNTTSARREQSTLEDLAVAARGGMETLRPALQRVYMMRASAYKDALKSFIQGYQEGIKQVMEGKEGEKSRAQENDVKKSS >Ma11_p06020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4801796:4803473:-1 gene:Ma11_g06020 transcript:Ma11_t06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWRERWYVLKEASRLYAASWVRDIGPDLRPNDYKKVTNDDGGLRQDNTTSARREQSTLEDLAVAARGGMETLRPALQRVYMMRASAYKDALKSFIQGYQEGIKQVMEGKEGEKSRAQENDVKKSS >Ma11_p06020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4801796:4803473:-1 gene:Ma11_g06020 transcript:Ma11_t06020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWRERWYVLKEASRLYAASWVRDIGPDLRPNDYKKVTNDDGGLRQDNTTSARREQSTLEDLAVAARGGMETLRPALQRVYMMRASAYKDALKSFIQGYQEGIKQVMEGKEGEKSRAQENDVKKSS >Ma04_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21995025:22002141:1 gene:Ma04_g19400 transcript:Ma04_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILGSEFKSVGRIEERYVLARELGRGDFGVTYLCIDRNTRELFACKSISKRKLRTAVDVEDVRREVAIMRHVPKSPSIVSLREACEDDRAVHLVMELCEGGELFDRIVAKGHYSERAAAAIMRTIVEVVQLCHRHGVIHRDLKPENFLFVDKKDNSPLKAIDFGLSIFFEPGEKFSEIVGSPYYMAPEVLKRSYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGVIDFECQPWPSVSESAKNLVQQMLEPDPKLRLTAKQVLEHPWIQNTKKAPNVPLGNVVKSRLKQFSRMNGFKRRALMVIADHLSIEEAEDIKEMFRMMDTDNDGIISHDELKAGLPKFDSHLVESEMQMLIEAIDTKGKGTLDYGDFVAVSLHLQRMTNDEHLRRAFSYFDKDGNGFIESEELREALEEDGAPDSTGLANDILYEVDTDKDGRISYDEFVVIMKTGADWRKASWHHSRGSFNSSLKCQTNDGWILKFEQ >Ma04_p19400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21995025:22002141:1 gene:Ma04_g19400 transcript:Ma04_t19400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILGSEFKSVGRIEERELFACKSISKRKLRTAVDVEDVRREVAIMRHVPKSPSIVSLREACEDDRAVHLVMELCEGGELFDRIVAKGHYSERAAAAIMRTIVEVVQLCHRHGVIHRDLKPENFLFVDKKDNSPLKAIDFGLSIFFEPGEKFSEIVGSPYYMAPEVLKRSYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGVIDFECQPWPSVSESAKNLVQQMLEPDPKLRLTAKQVLEHPWIQNTKKAPNVPLGNVVKSRLKQFSRMNGFKRRALMVIADHLSIEEAEDIKEMFRMMDTDNDGIISHDELKAGLPKFDSHLVESEMQMLIEAIDTKGKGTLDYGDFVAVSLHLQRMTNDEHLRRAFSYFDKDGNGFIESEELREALEEDGAPDSTGLANDILYEVDTDKDGRISYDEFVVIMKTGADWRKASWHHSRGSFNSSLKCQTNDGWILKFEQ >Ma05_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:336485:338061:-1 gene:Ma05_g00600 transcript:Ma05_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEVRFMDGATESWELPAEMDSARVQLGSPPSGNRAAAFEVGRQWLVAMTNLSITADGDSKVQEADQICRSQERQQIASGDSKKCVIIHVTLADASLIHP >Ma08_p25300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38204528:38209930:-1 gene:Ma08_g25300 transcript:Ma08_t25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVVSPSFRRQKEGRVSPHHPSRRFQRSSVPQSNCSVYVLLKAKAFGKRSIL >Ma07_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30847800:30851638:1 gene:Ma07_g23110 transcript:Ma07_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSAKDLSTIGGIATVSLLHSFIPTHWLPFSVVGRAQKWTLPRTLLVTVFGAVLHVISTSLLGIAAITMANTIAGEETVHKLASLLLIVLGGSYILLFLFGKRGHNHSHNHSMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLLSTITVMTSLVALSFYGASQLKFHWVERNDKLLVGSVLVLVGMLTLIFHDHDDEKHSIGEHLHRKIIVL >Ma11_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1130903:1135997:1 gene:Ma11_g01600 transcript:Ma11_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAEDPAAPPKKQRRGIVSRLWGWIFGGRSEDYEKRLQHLSKEEAAVHARMKRRAQSSRRMIRNVIVFSVILEVVAVVYAIMTTRSANMNWQIRAIRVLPMFVLPGLSSVIYSTLVSFTRMFDRKDQKTLDKLRAERKAKIDELKERTNFYNTQQLIQKYDLDPAAKAAAATILASKLGADSGLTVYVGDEPNPCAPLVKSSDAKPVQTTGLRNRKQSHARSSSTVISQSVSETPNEFGVGAQEIPSQAQKAVEHYKGSSSYGGGWIARIASLLVGEDPSQCYALICGNCHMHNGLARKEDFTHITYYCPHCHALNTSRQSGEHDSGSRSGQGNSFSRSEVTNDPPKVPEQPVGKSDAKEHTSEATS >mito5_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:32879:33938:-1 gene:mito5_g00030 transcript:mito5_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFEPICIYLVMSLLVSLISLGVPFPFASNSSTYPEKLSAHECGSDPSGDARSRFDIRFYLVSILFIILDLEVTFSSPWAVPPNKIDLFGFWSMMAFLWILTIGSIYEWKRGASDRE >Ma06_p09830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6828934:6836350:1 gene:Ma06_g09830 transcript:Ma06_t09830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIIGSIAINFGTNLLKLGHDQRERHSMLSRDGTNSKLNVKSIIHFQTWRIGLLLFAFGNCLNFVSFAYAAQSLLAALGSIQFVSNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSLVFLLYCFTLLLVVIINQYIYRRGEAYLAVSNHDSLYWCTLLPFSYATVSGAVGSFSVLFAKSLSNMLRLTMSSVYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFNILRITMFIVGMAFVFIGISLLAPDESKGSDTKEPSFPSAAQSLPTNMNRLAKLPTEEPEINDVGSFAQAALSKAKIILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRETTFDRTKFIPLRNSRWSSPSIDDNSDDDVEIQASRSLLS >Ma06_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6828934:6836350:1 gene:Ma06_g09830 transcript:Ma06_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIIGSIAINFGTNLLKLGHDQRERHSMLSRDGTNSKLNVKSIIHFQTWRIGLLLFAFGNCLNFVSFAYAAQSLLAALGSIQFVSNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSLVFLLYCFTLLLVVIINQYIYRRGEAYLAVSNHDSLYWCTLLPFSYATVSGAVGSFSVLFAKSLSNMLRLTMSSVYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFNILRITMFIVGMAFVFIGISLLAPDESKGSDTKEPSFPSAAQSLPTNMNRLAKLPTEEPEINDVGSFAQAALSKAKIILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRETTFDRTKFIPLRNSRWSSPSIDDNSDDDVEIQASRSLLS >Ma06_p09830.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6828934:6836350:1 gene:Ma06_g09830 transcript:Ma06_t09830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIIGSIAINFGTNLLKLGHDQRERHSMLSRDGTNSKLNVKSIIHFQTWRIGLLLFAFGNCLNFVSFAYAAQSLLAALGSIQFVSNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSLVFLLYCFTLLLVVIINQYIYRRGEAYLAVSNHDSLYWCTLLPFSYATVSGAVGSFSVLFAKSLSNMLRLTMSSVYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFNILRITMFIVGMAFVFIGISLLAPDESKGSDTKEPSFPSAAQSLPTNMNRLAKLPTEEPEINDVGSFAQAALSKAKIILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRETTFDRTKFIPLRNSRWSSPSIDDNSDDDVEIQASRSLLS >Ma06_p09830.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6828950:6836350:1 gene:Ma06_g09830 transcript:Ma06_t09830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIIGSIAINFGTNLLKLGHDQRERHSMLSRDGTNSKLNVKSIIHFQTWRIGLLLFAFGNCLNFVSFAYAAQSLLAALGSIQFVSNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSLVFLLYCFTLLLVVIINQYIYRRGEAYLAVSNHDSLYWCTLLPFSYATVSGAVGSFSVLFAKSLSNMLRLTMSSVYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFNILRITMFIVGMAFVFIGISLLAPDESKGSDTKEPSFPSAAQSLPTNMNRLAKLPTEEPEINDVGSFAQAALSKAKIILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRETTFDRTKFIPLRNSRWSSPSIDDNSDDDVEIQASRSLLS >Ma06_p09830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6828934:6836350:1 gene:Ma06_g09830 transcript:Ma06_t09830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIIGSIAINFGTNLLKLGHDQRERHSMLSRDGTNSKLNVKSIIHFQTWRIGLLLFAFGNCLNFVSFAYAAQSLLAALGSIQFVSNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSLVFLLYCFTLLLVVIINQYIYRRGEAYLAVSNHDSLYWCTLLPFSYATVSGAVGSFSVLFAKSLSNMLRLTMSSVYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFNILRITMFIVGMAFVFIGISLLAPDESKGSDTKEPSFPSAAQSLPTNMNRLAKLPTEEPEINDVGSFAQAALSKAKIILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRETTFDRTKFIPLRNSRWSSPSIDDNSDDDVEIQASRSLLS >Ma06_p09830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6828934:6836350:1 gene:Ma06_g09830 transcript:Ma06_t09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIIGSIAINFGTNLLKLGHDQRERHSMLSRDGTNSKLNVKSIIHFQTWRIGLLLFAFGNCLNFVSFAYAAQSLLAALGSIQFVSNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSLVFLLYCFTLLLVVIINQYIYRRGEAYLAVSNHDSLYWCTLLPFSYATVSGAVGSFSVLFAKSLSNMLRLTMSSVYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFNILRITMFIVGMAFVFIGISLLAPDESKGSDTKEPSFPSAAQSLPTNMNRLAKLPTEEPEINDVGSFAQAALSKAKIILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRETTFDRTKFIPLRNSRWSSPSIDDNSDDDVEIQASRSLLS >Ma06_p09830.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6828934:6836350:1 gene:Ma06_g09830 transcript:Ma06_t09830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIIGSIAINFGTNLLKLGHDQRERHSMLSRDGTNSKLNVKSIIHFQTWRIGLLLFAFGNCLNFVSFAYAAQSLLAALGSIQFVSNIAFAYFVLSKTVSVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEELIAKYSSLVFLLYCFTLLLVVIINQYIYRRGEAYLAVSNHDSLYWCTLLPFSYATVSGAVGSFSVLFAKSLSNMLRLTMSSVYQFHSWFTYCMLLLFFSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFNILRITMFIVGMAFVFIGISLLAPDESKGSDTKEPSFPSAAQSLPTNMNRLAKLPTEEPEINDVGSFAQAALSKAKIILLKAKAAGSLSLGLGEESISASSVLVMPMVSSRTTGFRETTFDRTKFIPLRNSRWSSPSIDDNSDDDVEIQASRSLLS >Ma08_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19673529:19674797:1 gene:Ma08_g16610 transcript:Ma08_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP26-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74070) UniProtKB/Swiss-Prot;Acc:F4HTT6] MMITRLEDPNDSNKFKTFATMKQILQIPNPPPPIPRPALPPPDPYFHVAPPTPLRSCTLSRRDLAITASSLPLLVSSFWSRQAQAIEAPTARISTQEADSATGDCGNQPVAQKAFLDISIDGEPVGRIIVGLYGDTMPFGASRFATLVTGAAGISYRRKEFVKIVPSYIQHGGVRSYGVDAELAKRAGSNLEADNLVEEWEALCKKCPGTKNVAGSLGLVIRDPTKPPPKLKLVARKGKLEIDEEEVRTDPNGTEFVIAINDSPDLDASTLVVGRVLEGMDVVEKISRVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKVVVTNCGLAD >Ma04_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2490922:2491590:1 gene:Ma04_g03170 transcript:Ma04_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWGDTQFGLYGDKDEGPASMLFGAEFLSKLYTRSPPEDLTLARTLVRPSSVFLEDLASMPPFSPSRYGSVEKIYVVCAQDEIIREGFQRWMIENNPVKEVRVLEDADHMPMFSTPKQLFQCLSDVADACA >Ma04_p03590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2756643:2778888:1 gene:Ma04_g03590 transcript:Ma04_t03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFAFNTSLAGRAGGLILTQGHPKLCWPHHIRGPNACPPFRTSRFPLQSGRGRQNSHGFGTFFPFWCKRVELSPVVRSFDSDGRKEIPLDERARVFGVLGSLGFGSVIAMIACGMLVARSCRSLAAEGVVESGVAAFGSEKAVPMVVWPKLFQVLNVLREQGLVLAALLGLSAFFSLAETAITTLWPWKVRELAEKDPENGVFRLLRSDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGISAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSVVLYPVGRIATFLSMGILKMLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVKEVMTPLIDVVAIDASAKLIDFQKQWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEKVDKLQESTVKEIAHKPTYFVPDSMFVWSLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQRKTGYIVMKEDGTFDVDANTSIDQLSEELNVKLPEGHQYETVSGFMCEVFGYIPEEGAKIVVVLEKANREENSEYRNEESDGPSRNERHQKYEIEILEADARKVAKVHFKPLSNQGADLDAKGINRVVSKKVVKRKKQIDQLQRLEEDKPLQVTFDEKLKGSDCSLSDNKAEDLSCRNN >Ma04_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2760415:2778888:1 gene:Ma04_g03590 transcript:Ma04_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSVVLYPVGRIATFLSMGILKMLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVKEVMTPLIDVVAIDASAKLIDFQKQWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEKVDKLQESTVKEIAHKPTYFVPDSMFVWSLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQRKTGYIVMKEDGTFDVDANTSIDQLSEELNVKLPEGHQYETVSGFMCEVFGYIPEEGAKIVVVLEKANREENSEYRNEESDGPSRNERHQKYEIEILEADARKVAKVHFKPLSNQGADLDAKGINRVVSKKVVKRKKQIDQLQRLEEDKPLQVTFDEKLKGSDCSLSDNKAEDLSCRNN >Ma03_p26640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30306453:30312836:1 gene:Ma03_g26640 transcript:Ma03_t26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAEIVSDGGHEAAAAAAIVDTENGLVEEEKNMDVKVAPALISVHPFEKSVVVAVGSELRLFNLEGDCSVSLKDDSGGPLHSDAIRAITFGANGRLFVSAGDDKLVKIWATSSWHCVCSVSADKRVSAVAISHNGKYVAFADKFGVIWLITLDEDDANQSKVDNKAVPILGHYCSIVTRLEFSPDDRFIASSDRDFKIRITAFPKRPLKGALEIQSFCLGHKDYVSGLSFACPSGYDHGFLFSGGGDSTVRLWDFFSGLLLATCEVGAKAELLQSTGTKDSYPPVTDLCSSSDGTIIAVAIQSLHGIVLLNCDFSDRTLSVAKVVTLEENYFPTSLALSTFTQRMWTIMGASNDLAPSTTQLPTRIRVISGFCKDRSNDNGHDPIVLEDNEVPGGQKLLSHLQGSPDVTKEDAALAAAAEAVKVSMRNMLIKKEYTLDKRELRKRNRNDRKLR >Ma03_p26640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30306459:30313914:1 gene:Ma03_g26640 transcript:Ma03_t26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESAEIVSDGGHEAAAAAAIVDTENGLVEEEKNMDVKVAPALISVHPFEKSVVVAVGSELRLFNLEGDCSVSLKDDSGGPLHSDAIRAITFGANGRLFVSAGDDKLVKIWATSSWHCVCSVSADKRVSAVAISHNGKYVAFADKFGVIWLITLDEDDANQSKVDNKAVPILGHYCSIVTRLEFSPDDRFIASSDRDFKIRITAFPKRPLKGALEIQSFCLGHKDYVSGLSFACPSGYDHGFLFSGGGDSTVRLWDFFSGLLLATCEVGAKAELLQSTGTKDSYPPVTDLCSSSDGTIIAVAIQSLHGIVLLNCDFSDRTLSVAKDMPTVAFGHERLLSDLLLFSASNVNLLMRPRNIHCCL >Ma05_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9160741:9164826:-1 gene:Ma05_g12690 transcript:Ma05_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRETGEGDYAKDGSVDLKGSPVLRSKRGGWTACSFVVVYEVFERMAYYGISSNLVLYLTNKLHQGTVDAANNVTNWVGTVFLTPVLGAYVADALLGRYWTFLFSSAIYLSGMCLLTLAVSISPLKPESCKGNCEPASTLKLAAFFGGLYIIALGNGGTKPNISTIGADQFDEFDPKEKMHKLSFFNWWMFSIFVGTLFAHTFLVYIQDNVGWSLGYGIPTLGLLISVLIFLAGTPYYRHKLPQGSPFTRMARVIVAATRKWKLPIPNDPKELHELDLEVYSKKGKFRIDSTDCLRFLNKAAVKCSPTTPWTLCPVTQVEETKRILRLLPILAAMFIPCTLIAQINTLFVKQGTTLDLHIGPHFQIPPASLGAFVTVSMLVTVGLYDCYFVKVMRVWTKNPRGITLLQRMGVGLALQIVSMSVASLTEVRRLSIARNHGVDKTGGHIPVTIFILLPQFVLMGIADAFLVVGKIEFFYDQAPESMKSLGTAFSLTAYGIGNFFSSFLLKLVADITSKNGKGWILNNLNASRLDYYYALLTVLGVFNFIFFSYVAHIYSYNRELCESMDARREEQGVKCDQKETNGQVQCL >Ma11_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25858255:25859227:1 gene:Ma11_g21860 transcript:Ma11_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYGRRLPIHEDKHPTILIMEESESSSSSSSPMSGQTQIPINVEMGQMGNAAPHQQRNQEETAPQPQPQPQQDHGWDHNDSNTLLVVATLITALTYQLGTNLPGGYYQEDSNGHEAGDSILRDKHRKRYWLFMTASWMGFGNSILMTVALLTRVPVASRLVRWPFAVAYSNLVLAFISSQANTWLVMDLLIWVFVLVLLCAVISLRNRGPRWPAAVNKMRACFFRL >Ma04_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20742186:20742685:-1 gene:Ma04_g18660 transcript:Ma04_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAVATVALLCLTVVPSHAALQVGFYSGKCNGTDVEATIKSIVAARFARDRSIVPALLRLQFHDCFVRGCDASILLDGSGTEKTARPNLSVRGYDLIDQAKAALESKCAGVVSCADIIVVATRDAVVL >Ma07_p25860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32826071:32828753:-1 gene:Ma07_g25860 transcript:Ma07_t25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAQWSFVLLCALLSVLIASLNGTATESFGHTHHLGNGTSPGRREGASGISCNMFRGSWVYDQSYPLYDSSTCPILDPEFDCQRYGRPDKSYLKYRWKPDACELPRFNGLDLLRRWKGKKIMFVGDSISINQWQSLVCMLHAAVPDAKTTYKKNDTLSTITFTDYGVSVMLYHSTYLVDIVEERIGRVLRLDSIQSGAAWLGVDVLVFNTWHWWTHKGKSQPWDYVRDGDQVLKDMDRLVAFNKGLTTWAKWVDANINPAATKVFFQGISPTHSKGPEWGDKNAKNCYGQTQPVNGSTYPGGPVPAQGVVTSVLGAMSKPVYLLDITLLSQLRRDAHPSAYSGDHPGMDCSHWCLAGLPDTWNQILYAKLL >Ma05_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30990492:30990991:-1 gene:Ma05_g20030 transcript:Ma05_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILKVIFFNKIFYFHAYFLLCLLLRRPIRSLERSRKHSGRGSSSFVFLLPSSLTGRTNGSFHVQTPRGQPKAGIWNRFLYLATCKDFPSFLRILWLN >Ma10_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29914617:29922448:-1 gene:Ma10_g19340 transcript:Ma10_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAGVDPRRTPPPQPWAAVRYPAPPMVMQHPMMGPPPPYGHPFVPYHQPPTPPPLPPPMSKSIRYQQGGEAAAEDERRTIWVGDLQYWMDENYLHSCFGHTGEVISIKVIRNKQTGQSEGYGFVEFHSHATAEKVLQNFSNHPMPNTDQPFRLNWASFSMGDKRSDLASDHSIFVGDLASDVTDSILHETFATKFSSVKGAKVVVDANTGRSKGYGFVRFGDESEKKLAITEMNGVYCSTRPMRIGLATPRKSYGGFGQNGSPAAGSQSDVDSANTTVFVGGLDPDVSEDDLKEAFSQYGEIASVKIPFGKQCGFVLFIHRNNAEEAMNQLNGTVIGKQTVRLSWGRNPANKQSRAERGKRWNGMYYGGQMYDGYGLPPHYPGMYAVPYGAYAFYGNQQQVN >Ma02_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25862200:25864721:1 gene:Ma02_g19650 transcript:Ma02_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTERAKQKAAVDIVVNRGAWTAEEDQKLVDYVRDHGDKNWRTLPAKAGLNRCGKSCRLRWLNYLRPGIKRGNISDEEEDLIIRLHNLLGNRWSLIAGRLPGRTDNEIKNHWNTHLSRRPVTIDDLNLKLNQQVERTCERGPLVSPVASSRDLTTLVDHVEDEWVLDGTAELLHQSELDMDQLFALMPDPDGGASNGSEFGAEADGSGGEEGYRDINEGEISGAKTEGCSDAQAGWDVDLERFMGYDDDLAFYFLD >Ma10_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23821838:23826979:1 gene:Ma10_g09740 transcript:Ma10_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MSPIPPLPFLLLFLLVSAISAASHEDATRRTMEEFSGYPIHSHPSVLSLSPSSLSVDAVGLQRQIDELAAFSDTPKPSVTRILYSEKDVLARSYIKSLMKEAALSVREDAVGNIFGRWNGYEAGLPAVATGSHIDAIPFSGKYDGVVGVLGALEAINTLKRSNFQPRRSLEVIMFTSEEPTRFGISCLGSRLLAGSEAATDALKQAVDGQNISFFDAAKSAGYNICQEDLPNVSLTKESYSAFLELHIEQGPILEEEGVSIGIVTAIAAPASIKVDFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLASGSIDTVGTVGILELHPGAINSIPSRSHLEIDTRDIDEKRRNTVIEKIQHSASKIATDRGVKLSQFTVVNQDPPALCDESIIDAMEAASRQLNLSHKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASMEDIANGVKVLALTLAKLSLD >Ma07_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10354683:10358094:1 gene:Ma07_g13780 transcript:Ma07_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRDRENHVYVAKLAEQAERYDEMVDAMKKVANLDVELTVEERNLLSVGYKNVIGAGRASWRILSSIEQKEEAKGNEQHVKKIKEYKQKAEAELSGICSDIMTLIDEHLIPSSVAGESSVFYYKMKGDYYRYLAEFKTGNEKKEAADYSLKAYEAATSTAEADLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDTTKIGAGEDAQ >Ma07_p28380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34460385:34462432:1 gene:Ma07_g28380 transcript:Ma07_t28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCAESGNLMAIAQQVIQQQRQQQQQLAASANPFSTSTQPWGASHHHQQHMSEAAFVIPDAEAAFLEPFTPDRAAGFEAPPGGSGHLDQAPFRLCDFRSSSAAVTPEFDTEEWMESLIGESPADNCDLMSDPWQAAAGASGALFADVFPSCSADINHPFHPASASGINCVLFSEPSEIAHLPPIQHDHQYTAVVALNPPGLASETPSFEPPELNKDPGAAAFASVRDEVPESNTSSPPLLESLLDCARLADGDPDLAAKSLIHVRESASVLGDPTERVAFYFAEALNRRLLGDQKDHSHPSTVAVPLCSTSAFDSSPEDFTLCYKVFNDACPYSKFAHLTANQAIVEATESAARIHIVDFGIIQGIQWAALLQALATRPRGKPSRVRVSGIPAPMLGAAPAASLTATGNRLRDFAAILDLDFEFDPILTPIAELTVSCFRIDSDEVVVVNFMLQLYHLLADSPESVERVLGIAKSLVPRVVTLGEYEASVNRGRFVERFKAALAYYAAVFDSLDPAIRRDSAERAQMERVLLGPRILGAVGAGDGPNRRERMEAKEEWRAVMERCGFEPVPVSNFAVSQAKLLLWNYDYSYKYAVLDSAPGFLTLAWGDRPLLTVSSWR >Ma05_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3394707:3397992:-1 gene:Ma05_g04440 transcript:Ma05_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKRASQLLKDQACCENGQFTPFNSDLFDQVISECNEHSLQLQSLIRKIEEQNLDMQTTRNEDHFGAVIHHLSLVRNKRCLMAYMYNRAEIIQGLRWKVGPVLPQEMQEKLNYSEEEYFKNHSAAIEAYMSELDLDLTVDMVPPKDPYIRVRVLDDIGEVCLDDHSISLAKHSLHFLRRTDAEPFISQGLMEEFLE >Ma05_p04440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3394707:3397992:-1 gene:Ma05_g04440 transcript:Ma05_t04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKRASQLLKDQACCENGQFTPFNSDLFDQVISECNEHSLQLQSLIRKIEEQNLDMQTTRNEDHFGAVIHHLSLVRNKRCLMAYMYNRAEIIQGLRWKVGPVLPQEMQEKLNYSEEEYFKNHSAAIEAYMSELDLDLTVDMVPPKDPYIRVRVLDDIGEVCLDDHSISLAKHSLHFLRRTDAEPFISQGLMEEFLE >Ma05_p04440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3394707:3397992:-1 gene:Ma05_g04440 transcript:Ma05_t04440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKRASQLLKDQACCENGQFTPFNSDLFDQVISECNEHSLQLQSLIRKIEEQNLDMQTTRNEDHFGAVIHHLSLVRNKRCLMAYMYNRAEIIQGLRWKVGPVLPQEMQEKLNYSEEEYFKNHSAAIEAYMSELDLDLTVDMVPPKDPYIRVRVLDDIGEVCLDDHSISLAKHSLHFLRRTDAEPFISQGLMEEFLE >Ma05_p04440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3394684:3397992:-1 gene:Ma05_g04440 transcript:Ma05_t04440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKRASQLLKDQACCENGQFTPFNSDLFDQVISECNEHSLQLQSLIRKIEEQNLDMQTTRNEDHFGAVIHHLSLVRNKRCLMAYMYNRAEIIQGLRWKVGPVLPQEMQEKLNYSEEEYFKNHSAAIEAYMSELDLDLTVDMVPPKDPYIRVRVLDDIGEVCLDDHSISLAKHSLHFLRRTDAEPFISQGLMEEFLE >Ma01_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6835653:6838206:1 gene:Ma01_g09480 transcript:Ma01_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSSSWLTAVKRAFMSPSKDSERKTSGQGEEEEKRKREKRRWMFRKPSTLEQQQQARAPTVVTPEERHAIALAVASAATAEAAVATAQAAAEVVRLTRPSASFVKEHYAAVVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRAQARVREQRMRLAQESAAAVSRGSNISSFSCDTSFWDSKYLQELAERRSMSRDGSSFADDWDDRPRTMDEIQATLQRRKDAALRRERALSYAFSHKLLRSDRSSSRLLDEDVDGEVASAGEQRPSRWMDRWIASRSSFDNRASSRARASVGYRDPIKTLEIDTSRPYSYSAPANPRRQTPPSPQPVPLHRGHHHRHQTHSPTTPSPSEMRPLQVRSASPRCGRQDHRSISTAQTPTYHPAASVPNYMAATESAKARLRSQSAPRQRPGTPDRDRASSAKKRLSFPEPENLRSPSFKSAAARFAGEPRSNVSSSCNDSLVGEASPSSTTDLRRWLR >Ma04_p32930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32809575:32818549:1 gene:Ma04_g32930 transcript:Ma04_t32930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKGRRHFPGYEDPVLLASQTAFTVSEVEALFELFKIISSSVIDDGLINKEEFQLALSMSRKKENLFANRLFDLFDVKQKRVIDFGDFVRSLNIFHPNAPHEDKVDFSFKLYDLDGTGFIERKEVKQMLLALVSESEMKLADETVEIILDKTFQQADGNQDGKIDKTEWKNFVSRNPSLMEIMTLPYLRDITTTFPSFIFNSKVDDIAT >Ma04_p32930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32809762:32818549:1 gene:Ma04_g32930 transcript:Ma04_t32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKGRRHFPGYEDPVLLASQTAFTVSEVEALFELFKIISSSVIDDGLINKEEFQLALSMSRKKENLFANRLFDLFDVKQKRVIDFGDFVRSLNIFHPNAPHEDKVDFSFKLYDLDGTGFIERKEVKQMLLALVSESEMKLADETVEIILDKTFQQADGNQDGKIDKTEWKNFVSRNPSLMEIMTLPYLRDITTTFPSFIFNSKVDDIAT >Ma04_p32930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32809746:32818549:1 gene:Ma04_g32930 transcript:Ma04_t32930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKGRRHFPGYEDPVLLASQTAFTVSEVEALFELFKIISSSVIDDGLINKEEFQLALSMSRKKENLFANRLFDLFDVKQKRVIDFGDFVRSLNIFHPNAPHEDKVDFSFKLYDLDGTGFIERKEVKQMLLALVSESEMKLADETVEIILDKTFQQADGNQDGKIDKTEWKNFVSRNPSLMEIMTLPYLRDITTTFPSFIFNSKVDDIAT >Ma10_p28410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35291622:35292237:1 gene:Ma10_g28410 transcript:Ma10_t28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVLTSIRPAVIRASTGDGRGGRLAPAKAAGGGGNSWWVPLFGWSSEPDYIDGPATLEASGKRAAAETEGKSRRPAGRRFVAFTEEKARELRMRTMETEAFHDVMYHSAIASRLASDLPRRPPATRL >Ma05_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3880057:3883520:1 gene:Ma05_g05080 transcript:Ma05_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGAIPGGGGGGGRVRDYPPLPPAQPQLPVKCPRCDSTNTKFCYYNNYNLAQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKSKRSSMSKPSGGSERKDPPRRPSAAPRSSSGSGSGSGSGCSTLATADVPTSTTPFPNSALLTPPPDPNPDPAPSFDSATTMEVPLVQAADIFPDTSTAAAGSFPSQMSLASTPAILGFNRFPDPPPVPLPPQSKAAEEISAQTGFTNQAVAIDPRGAGSGAGCAIAGMDWPGASVDPAFFDLATAVDPASAYWSHGHWEDADPALYLP >Ma08_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10115887:10116843:-1 gene:Ma08_g12920 transcript:Ma08_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGSPCGACKFLRRKCVNGCVFAPYFHHQHGAAHFAAIHKVFGASNVAKLLMHLPMADRPEAAVTISYEAQARLQNPIYGCVAHIFALQQQVVNLQAQVASLEAQAARRRGNGIPVGSIGQLEDMLCNTGPPHRQDLQGFIDQAGDRKTPPQFASTLSMDVNWYPNSVWSLEESTPPTNFDMADEDFSFEAFSLTSCFDMEDEFWRSACHGNGDLQPAASAGAGRSWGRGIH >Ma05_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28082754:28085470:1 gene:Ma05_g19580 transcript:Ma05_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNVVPVEAVAAIAVAAVAGSSPLFPYPPPRAYGRRKYLSQLELGGGRIGAWVESMKASSPTHAKATAALPSGDAAVDEQSAWVVRHPSALNKFEQIMSASKGKQIVMFMDYDGTLSPIVDDPDSAFMSDAMREAVRDVARYFPTAIVSGRCLDKVINFVRLADLYYAGSHGMDIKGPTKPRHDKARAKPVLFQPASEFLPMIDAVHKALLERTKSTPGARVENNKFCVSVHFRCVDEKSWSSLFEQVRCVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTNVMPVYIGDDRTDEDAFKVLRDRGQGFGILVSKFPKETNATYSLQEPSEVKDFLVRLVEWKRLSMKACSKVQVA >Ma08_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10769415:10772638:1 gene:Ma08_g13630 transcript:Ma08_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTVGIGQQEPEEEEKRCLNSELWHACAGPLVCLPTAGTRVVYFPQGHSEQVAVSTNKEAEGHIPNYPSLPPQLFCQLHNVTMHADPETDEVYAQMTLQPLRLQEQKDAYFLIEMGVVSKQPVNYFCKTLTASDTSTHGGFSVRRRAAEKVFPPLDFSQQPPAQELVARDLHGVEWKFKHIYRGQPKRHLLTTGWSVFIGAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNGCFTVFYNPRQLPYSCTCNCLFLVHVQVFIDYSWLKFS >Ma06_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6668921:6671509:1 gene:Ma06_g09530 transcript:Ma06_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQGRLGSLLNNRWLVFVAGMWVQAVAGIGYLFGSLSPVIKSSLGYNQRQIASLGVAKDLGDSIGFLAGTLCEILPLWAALFIGVLQNFFGYGWVWLIVTGRAPRLPLWAMCILIFVGTNGETYFNTAALVSCVQNFPRSRGPIVGILKGFAGLSGAILTQIFAMMHTPDHAALIFMVAVGPSMVVISLAFIVRPVGGHRQVRPSDQSSFMFIYIVCLILAAYLMGVMLLEDLLDLNHIVIVLFTVVLMLLLLVPIAIPLLLAFRVDAAASPVQELLLPEPSKEETSKSGQQNEVVFSELEDEKPKDVDLLPALERQKRIARLQAKLFQAAADGAVRVKKRRGPHRGEDFTLMQALIKADFWLMFFSLLLGSGSGLTVIDNLGQMSESLGYDETHIFVSMISIWNFLGRVGGGYVSEIIVRDYAYPRPVAMAFSQVAMAIGHLFFAMAWPGTMYIGTLLIGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLIFSGLVASGIYDYEAEKQAHQHQSSEGLQLGKLLQLTALNAEEPLKCKGAICFFFSSLIMSGLCVIAVILSMIIVYRTRIVYLNLYGRNRT >Ma09_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11555136:11555585:-1 gene:Ma09_g16190 transcript:Ma09_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVATTADPASVGSVSAFLAMPGWNPGPSVAAGMERFANGAVRLLKHHRGIVEEDDLDRRWEVATGEHVHLSSNIRTRQVILERNVT >Ma10_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22479113:22563515:1 gene:Ma10_g08190 transcript:Ma10_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVSRYIGEVTLFLEGEICKVLGVKKEIKTLQEKLETIKCYLESAERKSRGDRGIEDWVMRLKAIMYDADDIIDLCMMEGGKLLEARGSASASGVSFLFSFVSSCFRCTKYRHEIAGEIEAINGRLKQIAEDTSILSNLQSSGSHQPQPEKPTVLETSPLEVEEDIVGGQIEVDDDTLINAMLEDTKQKCRIFGIVGMGGIGKSTLARKIYNDERIRVNYPIQIWLYISKNYSETKLLGELIRCAANKSEGREAKSESFEGQSRSELEPKLASLLTKNLFVVLDDVWSQKLWNDFLRKPLSKVVGSSTILVTTRNETVLNGMRASYTHSVDAKHRVLFPRCRGLFPVRPREMAMVLDTFVSRYVNDVAAFVEGEICKVLGVKKEIKVLETIGCFLQDAEQKSRSGDPGMEL >Ma03_p28510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31651337:31652362:-1 gene:Ma03_g28510 transcript:Ma03_t28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGRSCISLPLILATWLLVAAAFIGPAECIRRKRQLPGGSEDGKGGGVEQFKYSAAACRAHTASLSDFGGVGDGATSNTAAFQAAVSHLSQFASDGGGMLFVPAGRWLTGPFNLTSSFTLFLHRDAVILATQ >Ma06_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7143543:7143741:-1 gene:Ma06_g10330 transcript:Ma06_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQDSHKNDELIMSYGQTSYEVFIIDQ >Ma10_p25560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33637554:33638228:-1 gene:Ma10_g25560 transcript:Ma10_t25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREMENLSGLSEAPLQPSESYSGGPSSHAFCSAGASTSLLVGGAPSSSAFGGPQDLLAPVFSNSPAAAELALRGASHGCGRRPSVAAMREMIFRIAAMQPIHIDPESVRPPKRRNVKISKDPQSVAARHRRERISERIRILQQLVPGGTKMDTASMLDEAIHYMKFLKSQLQSLQRAAAAHRGAGAAGNADFSMHGTGSSDGSCSFFLKGDGAPEQGFTMNTF >Ma04_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8560324:8560832:1 gene:Ma04_g12000 transcript:Ma04_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLAPPFTLLPPPQLKQHVTQHRSIAGQKMGEVSPEMEQVFKRFDTNGDGKISLAELAEVLRTLGSTSADDVKRTMAEIDTDGDGNIDFKEFGAFCRANPGLMKDVAKVFL >Ma09_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3906182:3906328:1 gene:Ma09_g06050 transcript:Ma09_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLTFANTVGLDKHQVVAFLSDSDLSMLESVEFLDHQDALYTHSLR >Ma02_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15437067:15442623:-1 gene:Ma02_g03990 transcript:Ma02_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G30480 protein [Source:Projected from Arabidopsis thaliana (AT4G30480) UniProtKB/TrEMBL;Acc:Q9M0B2] MVVIEPEPDATETGEATDASHSRSVDAPQGGSRSDEESFEDALTEEQLREKALKQANDAKEEGNKLFRTGQYEDALLKYELALQIASEVTSSVDVCSMCHGNRAACFLKLDRYKETVQECTKALELNPSYIKALFRRAEAHEKLENYDEAIADMKKVLELDPANDQARKNIRRLEPIAAEKREKMKEEMIGKLKEMGNSLLGRFGMNLDNFKAVKDPNTGSYSISFQQ >Ma11_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18996930:18999369:1 gene:Ma11_g13850 transcript:Ma11_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVVVEEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSVDVDGKEVKAQIWDTAGQERFRAVTSAYYRGALGALLVYDISRCSTFDSIARWLDELNTHSDTTVARMLVGNKCDLETLREVSVEEGKALAEAEGLFFIETSALDSTNVKTAFEIVIKEIYNNISRKILNSDSYKAELSVNRVSLSSNGNDEQKQGVSKFSCC >Ma11_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3085144:3086914:1 gene:Ma11_g04000 transcript:Ma11_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLEEAAYAGDLTLLRRLLQEDRLLLHRQAIAAAHLSDSPLHIAASLGHSDLVREILTVNPELAHGRNREGLSTLHLAAAQGHLSVVKELLQYAAAANLCLATDNDGLMPAHTAALRGRLDVLTVLLDACPESARAVTSQGDSILHISVKSNSFETVQFLLNRAGENDELLNSGDAKGNTVLHLAVARKQLRTVKLLLGRRGIEVNATNMRGDTVLDMLLDSPCQHGDLLLGELIRAAGGRTAAEEGKTQPKSSPSDARASATVASHRSRPNRWHPFRRQARPSKDDCSPRKVWSESKERYNNKPATLMVVATLIATITFEAGLNPPDGVKQKDDGSSSEREAVKLFLLFDMFGLFASLSIILLLICCVPRQQKIVTGILKWILWLAVFSTAVAFSIAIVRMFSYQLSTVILLMSWFGILSLFMVWVCFRAIRFLLRKGGCWKKKDGEGESHGGPTRAVAIRTKIVVGVLIIILSGVFSFVNYLVFIYIVNRSIIE >Ma08_p33120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43580685:43581757:-1 gene:Ma08_g33120 transcript:Ma08_t33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGAVVNRSSASHRRELSVESFKCYKIYAPTRVCLFLVVLFMEVNLRAMESREQIDNEDGVAAAVDAFHRHLPPQLPIPPPPVIFLSVNMG >Ma04_p09390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6676847:6683055:1 gene:Ma04_g09390 transcript:Ma04_t09390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGDSGRGGGTSLLSAWSAAASRRYQHLLDKATPHVLRRWAGLAVLALVYAARVWIVQGFYIVSYALGIYVLNLFIAFLSPQVDPEIQDLVAGPGPSLPTRSSDEFRPFVRRLPEFKFWVQLHKVLSQGYDDTCWPLQTKNFKFAGEVKLIMHFQNALHKTPAHCAPSAPPYMSPPPVIAAYAPPYASPYTAGAYPGAASYASYPPPAAAPYQTSVYPTPLPQQFYAPQSYPPPSYPPQPYMQQYPPSPAQPYYPPGPYPGSYPPPY >Ma04_p09390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6681234:6683055:1 gene:Ma04_g09390 transcript:Ma04_t09390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSGIQGHILEVTVVGCTKLRDTELFSRQDPYVCLEYANSKFRTRTCTDGGKTPTFQEKFQIPLIEGLREISVAVWNSNTFTADDFIGSARVQLHKVLSQGYDDTCWPLQTKNFKFAGEVKLIMHFQNALHKTPAHCAPSAPPYMSPPPVIAAYAPPYASPYTAGAYPGAASYASYPPPAAAPYQTSVYPTPLPQQFYAPQSYPPPSYPPQPYMQQYPPSPAQPYYPPGPYPGSYPPPY >Ma04_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6676847:6680804:1 gene:Ma04_g09390 transcript:Ma04_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGDSGRGGGTSLLSAWSAAASRRYQHLLDKATPHVLRRWAGLAVLALVYAARVWIVQGFYIVSYALGIYVLNLFIAFLSPQVDPEIQDLVAGPGPSLPTRSSDEFRPFVRRLPEFKFWHSITKAFCIAFVLTFFSAFDVPVFWPILLFYWLVLFTVTMKRQILHMIKYKYVPFTFGKQRYTGKKAVSTDDATLPSD >Ma08_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1536424:1537355:1 gene:Ma08_g01860 transcript:Ma08_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLSIYVSKPRPQIDAKKETNLEEREREMPQSPSSRWCPTPEQLMILEEMYRSGVRTPSAQQIQQVTAHLSNYGRIEGKNVFYWFQNHKARERQKLRRRLSRHYQLLCSGHSLPHHQLYCMQDATAFPHSQHHATPTHSLHLESNGGLNLLEKMEISNAEEAPETNYEAFGHEWTSMMMLPNRRSLRTLDLFPTTSTGLKDECATSKPSSSTSIN >Ma01_p03920.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2586234:2591248:1 gene:Ma01_g03920 transcript:Ma01_t03920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNHLVESEDQEIKLAVVPRSSNVKTDEDNSLESQINQVTDQSLQEGCSEGSQDIHLWEDFVQMAKLRKNKSLGNILDKERSGSYGNIIEEDELSRGFSSTCQLDMNTLDNIDDISLYEDHMDHGSKSQNQNHKNNNMFEFHNVSLAEPVHRESLFSIGIDESDEKHHDNDDDHVYSGHARSCFLESHGVPNTSINDDSLKLDFIGSHSISFENLFSINDGRVQFLNGDRAADSRVSLNQHKAAPDAEYMSHESDIDDQGSTCHSELRGHLSNNSCRCNGLSDPENDCKYFIPKSVSDIQLVDSKDSLCNADSSVDGSDHNSNPGHGIELEQLGNNGAVTCKLNESSIQNKDESTPEGHNIGRVAAWINQLDVQNCDIVEELGKSSNPAQKKEQPKVAGRIESKKVDARSSIGMIVAYDYLSTLSPMSSTAQMANLGLVAIPILSAFVGLKMLNLSGNAIVRITSGAFPKGLHMLNLSKNKISVIEGLRELTRLRVLDLSYNRISRIGHGLASCLMLKELYLGGNKISEIEGLHRLLKLSVLDICSNRISNSKGLKQLAANYASLQAVNIKSNPAEKNVGNEELKKHLSSLLPHLAYYNKQVIRANGSKEALDRPRRSFSSHQFDRSFRSEGKDSHRGIRGTGLSKSSYSHGKSGNGLNTSIKSSKRSHRPLKSLWPKPTNDLPDAGRNMLLGLQPSSFLRRTQSEGAFGESYELQSYRLDPLVPVPDMEAI >Ma01_p03920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2586235:2591248:1 gene:Ma01_g03920 transcript:Ma01_t03920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNHLVESEDQEIKLAVVPRSSNVKTDEDNSLESQINQVTDQSLQEGCSEGSQDIHLWEDFVQMAKLRKNKSLGNILDKERSGSYGNIIEEDELSRGFSSTCQLDMNTLDNIDDISLYEDHMDHGSKSQNQNHKNNNMFEFHNVSLAEPVHRESLFSIGIDESDEKHHDNDDDHVYSGHARSCFLESHGVPNTSINDDSLKLDFIGSHSISFENLFSINDGRVQFLNGDRAADSRVSLNQHKAAPDAEYMSHESDIDDQGSTCHSELRGHLSNNSCRCNGLSDPENDCKYFIPKSVSDIQLVDSKDSLCNADSSVDGSDHNSNPGHGIELEQLGNNGAVTCKLNESSIQNKDESTPEGHNIGRVAAWINQLDVQNCDIVEELGKSSNPAQKKEQPKVAGRIESKKVDARSSIGMIVAYDYLSTLSPMSSTAQMANLGLVAIPILSAFVGLKMLNLSGNAIVRITSGAFPKGLHMLNLSKNKISVIEGLRELTRLRVLDLSYNRISRIGHGLASCLMLKELYLGGNKISEIEGLHRLLKLSVLDICSNRISNSKGLKQLAANYASLQAVNIKSNPAEKNVGNEELKKHLSSLLPHLAYYNKQVIRANGSKEALDRPRRSFSSHQFDRSFRSEGKDSHRGIRGTGLSKSSYSHGKSGNGLNTSIKSSKRSHRPLKSLWPKPTNDLPDAGRNMLLGLQPSSFLRRTQSEGAFGESYELQSYRLDPLVPVPDMEAI >Ma01_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2586235:2591248:1 gene:Ma01_g03920 transcript:Ma01_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNHLVESEDQEIKLAVVPRSSNVKTDEDNSLESQINQVTDQSLQEGCSEGSQDIHLWEDFVQMAKLRKNKSLGNILDKERSGSYGNIIEEDELSRGFSSTCQLDMNTLDNIDDISLYEDHMDHGSKSQNQNHKNNNMFEFHNVSLAEPVHRESLFSIGIDESDEKHHDNDDDHVYSGHARSCFLESHGVPNTSINDDSLKLDFIGSHSISFENLFSINDGRVQFLNGDRAADSRVSLNQHKAAPDAEYMSHESDIDDQGSTCHSELRGHLSNNSCRCNGLSDPENDCKYFIPKSVSDIQLVDSKDSLCNADSSVDGSDHNSNPGHGIELEQLGNNGAVTCKLNESSIQNKDESTPEGHNIGRVAAWINQLDVQNCDIVEELGKSSNPAQKKEQPKVAGRIESKKVDARSSIGMIVAYDYLSTLSPMSSTAQMANLGLVAIPILSAFVGLKMLNLSGNAIVRITSGAFPKGLHMLNLSKNKISVIEGLRELTRLRVLDLSYNRISRIGHGLASCLMLKELYLGGNKISEIEGLHRLLKLSVLDICSNRISNSKGLKQLAANYASLQAVNIKSNPAEKNVGNEELKKHLSSLLPHLAYYNKQVIRANGSKEALDRPRRSFSSHQFDRSFRSEGKDSHRGIRGTGLSKSSYSHGKSGNGLNTSIKSSKRSHRPLKSLWPKPTNDLPDAGRNMLLGLQPSSFLRRTQSEGAFGESYELQSYRLDPLVPVPDMEAI >Ma01_p03920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2586235:2591248:1 gene:Ma01_g03920 transcript:Ma01_t03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNHLVESEDQEIKLAVVPRSSNVKTDEDNSLESQINQVTDQSLQEGCSEGSQDIHLWEDFVQMAKLRKNKSLGNILDKERSGSYGNIIEEDELSRGFSSTCQLDMNTLDNIDDISLYEDHMDHGSKSQNQNHKNNNMFEFHNVSLAEPVHRESLFSIGIDESDEKHHDNDDDHVYSGHARSCFLESHGVPNTSINDDSLKLDFIGSHSISFENLFSINDGRVQFLNGDRAADSRVSLNQHKAAPDAEYMSHESDIDDQGSTCHSELRGHLSNNSCRCNGLSDPENDCKYFIPKSVSDIQLVDSKDSLCNADSSVDGSDHNSNPGHGIELEQLGNNGAVTCKLNESSIQNKDESTPEGHNIGRVAAWINQLDVQNCDIVEELGKSSNPAQKKEQPKVAGRIESKKVDARSSIGMIVAYDYLSTLSPMSSTAQMANLGLVAIPILSAFVGLKMLNLSGNAIVRITSGAFPKGLHMLNLSKNKISVIEGLRELTRLRVLDLSYNRISRIGHGLASCLMLKELYLGGNKISEIEGLHRLLKLSVLDICSNRISNSKGLKQLAANYASLQAVNIKSNPAEKNVGNEELKKHLSSLLPHLAYYNKQVIRANGSKEALDRPRRSFSSHQFDRSFRSEGKDSHRGIRGTGLSKSSYSHGKSGNGLNTSIKSSKRSHRPLKSLWPKPTNDLPDAGRNMLLGLQPSSFLRRTQSEGAFGESYELQSYRLDPLVPVPDMEAI >Ma01_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28226017:28227451:1 gene:Ma01_g23570 transcript:Ma01_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEETHGAVGKEDECSVESRGFLGGEGRVGSMGGGWSEVGLLEELPENWEAEETRIALYLAQVLGDSRSLVLRSQNKRYRPAFFRKEDLEDSLSRASRQQRQLNPALRKGDIQVCVLEDIIKAMKESSAASKWDDIVFIPPGFNVSTDLTESAQG >Ma07_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12970874:12993672:1 gene:Ma07_g16220 transcript:Ma07_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGVEHRGRLAQQKTSWTEDKHRLPLLPSKKNNDISATCKPKNVASTYKSGISSTPVLTPSSPRRHPSPNASHTSPAPAVSLPKRPQSAERRRPTTPSSRFSAPSSPSSSSTRSSPSSRSTTPAHDMVAEIHSTTRRLLSNRTPDGLWPSMRSLSSSFQSDSPIISVSEKEKVVVNSSEKTIKSPANIVIERKRTLLRGRNSSDQSENSKPLDNSNARVIDQCRWPGMLGGRSSANGLSRSVDLSDRSSRSASLTVASRGVSPKRTNPSSDSATRVPQPSLSEVTERVFNDGGGRAEQCVKSVVKSSSQISMRYSSITRSNKTQSSPVPGLRSLSSPSKVLSTSTSSYTARGMSSPSRTRPSTPVSSSSNVTSRMVGTSSVLNYSVDVRKGKKNRNHIEDAHQLKLLYNANLRWCFVNARADKTLLVQKMKTENVLHSVWNRISKLHDPVILKRIDVQCLQLEMKLRIILKEQMAYLECWVALEREHCSSLSGAVEALNASTLRLPITGGAKAAVVDVKNAVSSAVDVMQAMGSSIAHLLSKVEGTKSLVSELSTIAAREKSMLDDCRDLLASTAAMQVQESSLRTHIIQLKQDAHEPD >Ma07_p16220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12970865:12993672:1 gene:Ma07_g16220 transcript:Ma07_t16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGGVEHRGRLAQQKTSWTEDKHRLPLLPSKKNNDISATCKPKNVASTYKSGISSTPVLTPSSPRRHPSPNASHTSPAPAVSLPKRPQSAERRRPTTPSSRFSAPSSPSSSSTRSSPSSRSTTPAHDMVAEIHSTTRRLLSNRTPDGLWPSMRSLSSSFQSDSPIISVSEKEKVVVNSSEKTIKSPANIVIERKRTLLRGRNSSDQSENSKPLDNSNARVIDQCRWPGMLGGRSSANGLSRSVDLSDRSSRSASLTVASRGVSPKRTNPSSDSATRVPQPSLSEVTERVFNDGGGRAEQCVKSVVKSSSQISMRYSSITRSNKTQSSPVPGLRSLSSPSKVLSTSTSSYTARGMSSPSRTRPSTPVSSSSNVTSRMVGTSSVLNYSVDVRKGKKNRNHIEDAHQLKLLYNANLRWCFVNARADKTLLVQKMKTENVLHSVWNRISKLHDPVILKRIDVQCLQLEMKLRIILKEQMAYLECWVALEREHCSSLSGAVEALNASTLRLPITGGAKAAVVDVKNAVSSAVDVMQAMGSSIAHLLSKVEGTKSLVSELSTIAAREKSMLDDCRDLLASTAAMQVQESSLRTHIIQLKQDAHEPD >Ma08_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4252043:4252495:1 gene:Ma08_g06270 transcript:Ma08_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKAANGLFVLYISIILVGLNCGDDWEGLYESMTGYDFGGSLMPLFGRVGGGIYTKAADVGAFLVGKVERNILAVCQETLCDTEVDTAVVGSDLFASYAESSCAALSIA >Ma07_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9743395:9743814:1 gene:Ma07_g12940 transcript:Ma07_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSQLAFLANQALHDKNFDPSALEELLALFEQEAYASWAAEHQKAAADDAKVSIKDAEDHLQSLVADFSGFRRQADEPATEAEPSSSERDGDARQELGKSPCAAAASTASNKYADAAVASALATVKSAFASSKLQP >Ma04_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2341619:2342358:-1 gene:Ma04_g02880 transcript:Ma04_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSVCVCLSLSLPAARQDNLHSMDNHSTHGVFSSKHVNASLAERSHRIKMAAEMGLVRSSRGRHWSRALGRRLLQREGITGCGCKNAALSTSSNVFEDLGVREIEDDAAEIETRVRALQRLVPGGEELGLERLFEETADYIEALQGQVSAMRAMSCLLDGLEREKRMMGK >Ma07_p28130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34281026:34282285:1 gene:Ma07_g28130 transcript:Ma07_t28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLAFVEDKSTSTGTVTLARRGSRFRRHLLMPMKIQSGIVTHLEEHKTLRDLVMELQVLESTCHMFFE >Ma08_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9420011:9422289:-1 gene:Ma08_g12440 transcript:Ma08_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGAQHQHHRHRHSLSPPQISKTPFPLLAITLTLLSLLFLFPAVAGDLYSLVYKGCANQTLSGSGSAYMQPLAALSSALTARAASAKFYKTTTSLAGGGQPLFGLFQCRGDLPPSDCSACVGRVLLMWASLCGTAAAARVQLNGCYALYQASGFPQVSGTQMLYKTCGSGRGGGGFEVKRDTAFAQLQSGVAGGQGFFATSYASVYAMAQCEGDLSAGDCSECVAQAVQKSEVECGGAASGQVYLDKCYISYSYYANGVPHGDNNSGGGGQTEKTVAIVVGGAAGVGFLVICLLFARSVLKKRDDY >Ma02_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26162704:26168475:-1 gene:Ma02_g20130 transcript:Ma02_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMLVDCSGCRTPLQLPPGAKSIRCALCQAVTYIPDPRGAPAPAASQGYRPPAAPSPGAGTPWGPPPTPHGRKRAVICGISYRYSRHELKGCINDAKCMRYLLINRFDFPESSIIMLTEEETDPYKFPTKHNIRMALYWLVQGCQPGDSLVFHYSGHGSQQRSYHSDEVDGYDETLCPLDFESQGMIVDDEINATIVRPLPHGVKLHAIIDACHSGTVLDLPFLCRMNRSGQYVWEDHRPRSGVWKGTSGGEAISFSGCDDNQTSADTSALSRITSTGAMTFCFIQAIERGHGATYGSILNSMRTTIRSTGDAMGGGPVTSLLTMLLTGGSLSGGLRQEPQLTAWEQFDVYSKPFSL >Ma06_p37720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36706918:36712583:1 gene:Ma06_g37720 transcript:Ma06_t37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPAPPAAGILPALLILAVAMAVVMSPLASASVSYDHKAIAIDGRRRILISGSIHYPRSVPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGEYYFEGRYDLVRFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIVFRTDNEPFKAAMQKFTEKIVSMMKSEGLFEWQGGPIILSQIENEFGPLEYDQGEPAKAYAAWAAKMAIGLDTGVPWVMCKEDDAPDPVINTCNGFYCDYFSPNQPYKPNMWTEAWTAWFTGFGGPVPHRPVEDLAFAVTKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLKDLHKAIKLCESALVSGDPVVTSLGSSQESRVFRSNSGDCAAFLANYDTSSYARVAFNGMHYDLPPWSISILPDCRTTVYNTARVGVQTSQIRMDSVGGFSWASYNEDTNAIDEEAFTTDGLLEQVNVTRDTTDYLWYMTYVNIDQDEQFLLTGQYPVLTVMSAGHSLHVFINGQPTGTVYGSLDNPKLTYAGNVKLRAGSNTISILSVSVGLPNVGEHFETWNAGVLGPVMLKGLNEGRRDLTWQKWSYQIGLRGETLNLHSLSGSSSVEWQEASRNQPLTWYKAFFNAPDGNEPLALDMSSMGKGQVWINGQSIGRYWPGYMAYGSCGDCDYRGTYDEKKCQTNCGEPSQKWYHVPRSWLNPTGNLLVVFEEWAGDPTGISMVKRSVGSVCADISEWQPSMSNWHTRDYGKPKVRLFCGTAQNITAIKFASFGTPQGACGSFSEGSCHAHKSYDAFEKNCLGEQRCSVTISPEVFGGDPCPGTMKRITVEAVCG >Ma05_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7092797:7094359:1 gene:Ma05_g09880 transcript:Ma05_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSWSLSFLFSAEILFSAACLLLFSSYIYRSRKNQLPVDWPVVGMLPGLLSRIHGVHEYGVEILTASNWTFMFKGPWFLGMDMLVTCDPANVNHAFVAKCSNYPKGKEFTEIFDMFGNSLINTDGEEWKMQRRMTHSLMSNQNFRYYELNTVRDKVEGALLPLLRGVAERGNAVDLQDVFLRLTFDVSCSLILGVDPGCLAEGFPVVPFAKALDDALEVIFFRHTVPMSVWKAMRWLGVGKERKMAVAQKVMNHFAASTIAKRKEKINGERSHKDGGHEEGEAAGDMLTVYMHQPNGKNRLEFDRFIEDNAIDLLLAGRDTTAAGLTWFFWLLTLHPEAEQKILEELKANWPRTDLHANAPFDRDGLGKLVYLHAALCESLRLYPPGAIQHKGVAEPDTLPSGEKVRPGTKLLFHLYSMARMEGIWGKDCAEFKPERWITETGELRHEPAHKFFAFNCGPRICLGKDMAFTIMKTVAVAMLRSFRFEVVKGHVVEPRLSIVLHMKNGLMMKVRKRERSW >Ma06_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3107334:3112996:-1 gene:Ma06_g04320 transcript:Ma06_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWSGAVILVFLFTLLILRYGILENPLAERLLPSPLSRNSSEPLDWLDSALPAIQNPGNGFRVVSANAIVSRLFAPRNLSDAEQHSLQTWNHLDHLINYSNQLPQAIEAIREAGSAWEDLMAVFEDKRSNRSTQQKVKEKQCPYSIKKMNITEFGYDTFKLKLPCGLVQGSSITLIGTPGGLLGNFRIDLIGTAPGEPDPPIIFHYNVRLHGDKLTEDPVIVQNTWSVANDWGAEERCPSDTHPTSKKVDDLEQCSAIVGNDEKGIIASDKHHNVSRSVPLKKGGNKPRRYFPFKQGYLSITTIRVGIEGIQVTVDGRHISSFAFRETLEPWLVNEVRISGDMKLISVLASGLPTSEDLEHVIDLELLKSNPLPIHQAVKFFIGIISTANNFKHRMAVRRTWMQYDLVRTGAVVVRFFVGLHKNQMVNEELWNEASTYGDIQLMPFVDYYSLITWKTIAICIYGTNVVSAKYIMKTDDDAFVRVDEVLSALMTTNITSGLLFGRINSDSQPHRNVESKWYISPEDWPSEKYPPWAHGPGYVVSHDIAEAVYKQHNDGHLKMFKLEDVAMGIWIDKMNKNGSGIKYVNEGRIYTDGCEAGYVLAHYQEPREMLCLWRKLQETQRARCCGEKQ >Ma01_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1360937:1361288:1 gene:Ma01_g02020 transcript:Ma01_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSKGGVGHLNPGAPPGVHTMNWIACCLDKGQMFRFFSSPFIISDAANSCDPELPVVANMCSTLRPEKLWFRYD >Ma10_p30120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36486104:36487571:1 gene:Ma10_g30120 transcript:Ma10_t30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSQSTSSSPHPTNTTTATSPYLLHKVKPFPSCHLANMRSMSCSTSPKGIAAIVGVGPKLGRSVARKFAHEGYTVAILARDLAKLSRFADEIACEAKAQVFAIRIDCSDSKSVREAFEGVLSLGFVEVLVYNACEPPVTCPPTNFTAITVESFERSLAVSAVGAFHCAQQVIPGMVERGRGTIIFTGSSVSLSGFAGYCGLSCGKFALRGLSQSLAKEYQSSGIHIAHVVIHGDIGAPRSSRGDHSTTSMDPDALAQTYWHIHVQDKGAWTQEIDLRSST >Ma11_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10441968:10444794:-1 gene:Ma11_g10770 transcript:Ma11_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDVGRHHKKGRRRCRKFLAPPYLKQVLMSLMQPWLGLHKEQKCLKKAEMARFFNEHLVFFQENSLRVPAYACYLSTSAGPVIGTLYLSTARIAFCSDNPLCRNISNGQQEWAYYKVVVPLDQLRAVNPSANPRNPSDKYIQIVTMGNHEFWFMGFISYEKALKNLREALQFLLRVFHQCSH >Ma03_p15740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17014494:17018123:1 gene:Ma03_g15740 transcript:Ma03_t15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDQYVIFVRNRSSSKDATSRSVIAAILLLLYPIMIGRAKGLPESSSLVQLPRSADHKTKVVISVTEFGAKGNGFDDDTLISGTIIAPSDPDIWDGLDPRKWIYFHGIKQIVVRGGGTLDGKGQNWWSRSCKRNTTYPCRHAPTAITFHRSKHVAIRDLTVLNGQQMHIAFTSCSHVRASRLKVTAPAESPNTDGIHISGSIATLVEDSTIRAGDDCISIVGNSSNVRVINIICGPGHGISIGSLGKSNSYSQVHDVKVENSLISNTENGVRIKTWQGGSGYARKIVFRGIRMSNVSNPIIIDQYYCDSSRQCQNQTSAVKVHQISFIEIKGTSTTKDAIKFSCSDSSPCEKIYLQDINMSLESGGNASAYCWKASGFSSGLVNPPSCLTRAVVGLINQNVGSSKKVEVA >Ma03_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17014439:17018123:1 gene:Ma03_g15740 transcript:Ma03_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDQYVIFVRNRSSSKDATSRSVIAAILLLLYPIMIGRAKGLPESSSLVQLPRSADHKTKVVISVTEFGAKGNGFDDDTLAFKYAWDYACSSPLHVEIKFPARRVYLIKPINLAGPCNSKITVSISGTIIAPSDPDIWDGLDPRKWIYFHGIKQIVVRGGGTLDGKGQNWWSRSCKRNTTYPCRHAPTAITFHRSKHVAIRDLTVLNGQQMHIAFTSCSHVRASRLKVTAPAESPNTDGIHISGSIATLVEDSTIRAGDDCISIVGNSSNVRVINIICGPGHGISIGSLGKSNSYSQVHDVKVENSLISNTENGVRIKTWQGGSGYARKIVFRGIRMSNVSNPIIIDQYYCDSSRQCQNQTSAVKVHQISFIEIKGTSTTKDAIKFSCSDSSPCEKIYLQDINMSLESGGNASAYCWKASGFSSGLVNPPSCLTRAVVGLINQNVGSSKKVEVA >Ma02_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25420815:25421381:1 gene:Ma02_g19140 transcript:Ma02_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMQSLPNSNHHFYHLPDQIMNSSGFGRGDSVSMFSTDGIGGIGFGVGVSVGVLLLVITIALAFNSCARTNATSSRRPARRLAGAMADVETGLDEATLMRYPKVVFAQAKLVDEGGAASRCSICLSEYEDADVLRVLPECGHLFHLKCVDPWLRLRPTCPLCRTTPLPSPSPTPLAEVIPLGRQSR >Ma00_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8510867:8511172:-1 gene:Ma00_g01530 transcript:Ma00_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPFIKVFGPILWGSLTPTHMSFRRSYSQQVCREIYITIFCTAHIVSLLLASPRFQRTLISLGLQYLWLFLELHSTFWLPIIYNTLFLVHNYLLDLEQT >Ma03_p28100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31260837:31267068:-1 gene:Ma03_g28100 transcript:Ma03_t28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTSGGGDAFLSPLFIFLLVVVLQTLDGLLELVKRKGMKSAEEVQLRKEIKQLLKEASSLSTPSTFAQSAKLRRLAAAKEKELLKKQEEHNKEKSWSYELCGRALLVSKVLLYAALVLRFWGVPVAAVPQHLLQPFGKILSWRAGDAVTGQIMVGMVPWLVLTSRVSKFFWQKLSKSFLNY >Ma10_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26802818:26814402:1 gene:Ma10_g14290 transcript:Ma10_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDHAAQKDTEAAGTKVDEDRTMHEEQRFPEDPSISHGAERPSCSVDDFCIGGTSEEAENVESSLIRGEGLGRDAATEPERQRSPYFRQRSAVPGAEGNSIGKKYVPFDVVNGLHTTQSMLKLEEVERAAESSVSKVVVLKTLFYILVWYTFSTCLTLYNKTLLGHKLGKFPAPLLMNAFHFTLQAVLSKIVGCIQSRGADVGITMTWKDYFIRVVPTALGTALDINLSNASLVFVSVTFATMCKSASPVFLLLFAFAFRLETPSYKLLGIILIISFGVLLTVAKDTQFDFWGFVFVMFAAVMSGFRWSMTQILLQKEAYGLKNPITLMSYVTPTMAVATLVLSLVMDPWHDLDTNAYFDSPWHVMLSCLLMLTGGALAFFMVLTEYILVSATSAVTVSIAGVVKEAVTIMVAVFYFHDQFTLIKGIGLLIIITGVSLFNCYKYEKLKKGQPKENEETISPSPSGAAKYVILDDMDLLHYEN >Ma00_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1699311:1699796:1 gene:Ma00_g00500 transcript:Ma00_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRLHLVADPPPLPPPRLRPLLPRHLPRRRRTPPFQLVRMVLHGGDVGVMHADGYIEIKDRSKDVIIIGGENLSSVELESVMYGHPAVNEAAVVARPDEYWGETPCAFVSLKEWAGAAPTDKEVIEWCRERMPHYMAPKTVVFRAELPKTSTGKIQSTC >Ma03_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19031342:19031991:-1 gene:Ma03_g16450 transcript:Ma03_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSFRFPSNKKSHRPFEQLRMKPLIMMTSSQKKRNRNGYHHFTQKKMAPIQENILYRRMLA >Ma05_p26120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37849136:37853753:1 gene:Ma05_g26120 transcript:Ma05_t26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPESSSPLMAPIPVLDPNEIDLEAGPGEQFQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRSVKEGFAFSHCTTCKAPYYLRVHVHADRKWRTLKFRFFVTRDILSIFAVVQLIISSLAYLVFLVDSSQNSWLRLAWGFDSKISFYYVCGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESCASTAGECGCLGGAGEAGLPLLFIIGLIILGLFTIIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEGTDWCPPPLPAEHVQQLKTLGLL >Ma10_p26830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34330214:34335791:-1 gene:Ma10_g26830 transcript:Ma10_t26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLLGLLRVRVQRGVNLAVRDFISSDPYVILRMGNQKLKTRVIKRNTNPIWNEELTLSVEDPALPVRLEVYDKDTFSLDDPMGNAEFDIRPFVEAVRMNLQGVPNGTMITKVAPGRQNCLADESAIYWSDGKVLQDLVLRLKDVERGEVELQLQWVSIPGASGL >Ma10_p26830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34330214:34334696:-1 gene:Ma10_g26830 transcript:Ma10_t26830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLKVRVLRGVNLAVRDLRSSDPYVILKMGKQKLKTRVIKRNTNPIWNEELTLSVEDPALPVRLEVYDKDTFSLDDPMGNAEFDIRPFVEAVRMNLQGVPNGTMITKVAPGRQNCLADESAIYWSDGKVLQDLVLRLKDVERGEVELQLQWVSIPGASGL >Ma08_p33370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43698314:43700041:1 gene:Ma08_g33370 transcript:Ma08_t33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANRFLALLYVFSLVFLVMGTRIAGSAHGKQRPEHHYVNKLFVFGDSYVDTGNLGRLLGRLARSWFDPYGMTFPRKPTGRFSDGRVLTDYVASFLRIRSPIPYRIRKFGQKLLPYGMNFAVAGSGIFDTGNFQSNLTAQIDKFQAQIDDGVFSRHDLKSSAALIAVSGNDYQFLSQLDPDYLHHLHGFMHRLFAQLKVDLKRLSHIGVPKVIVTNLHPIGCIPSYTRPTNYTACYSNVSSAVAEHNRRVDELMQELGGGSDTTFLSLDVNTAFLNVLHRAKGAKEIKHPLVPCCVSRSNTTECGEIDAKGNRLYGVCRRPEEHFYWDSVHPTQAGWAAAFEFLRPSLREFLQL >Ma08_p33370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43698314:43700041:1 gene:Ma08_g33370 transcript:Ma08_t33370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANRFLALLYVFSLVFLVMGTRIAGSAHGKQRPEHHYVNKLFVFGDSYVDTGNLGRLLGRLARSWFDPYGMTFPRKPTGRFSDGRVLTDYVASFLRIRSPIPYRIRKFGQKLLPYGMNFAVAGSGIFDTGNFQSNLTAQIDKFQAQIDDGVFSRHDLKSSAALIAVSGNDYQFLSQLDPDYLHLKVDLKRLSHIGVPKVIVTNLHPIGCIPSYTRPTNYTACYSNVSSAVAEHNRRVDELMQELGGGSDTTFLSLDVNTAFLNVLHRAKGAKEIKHPLVPCCVSRSNTTECGEIDAKGNRLYGVCRRPEEHFYWDSVHPTQAGWAAAFEFLRPSLREFLQL >Ma01_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7586877:7590837:1 gene:Ma01_g10560 transcript:Ma01_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSDHYTSGFGDEKEDSDISHRLPRDPDISQASSYGSARSISLSHSLSQTTLRSSNSFRVKAQEFAAGFVTCFIPHRHATCEGAEHEDGSRDVSDFSTTSSSKMSTISSGSNYRFRTHGSYSKKETQQETIRFSIEEINKATSNFASENRIGQGGFGAIYKGKLKDGTLIAVKRARKNMYDVHLSVEFKSEIETLSKVEHLNLVRFLGCLETDNERLILVEYVSNGNLREHLDGTRGNGLEIGQRLNIAIDVAHAVAYLHTYADHPIIHRDIKASNILLTDKLRAKVADFGFARLAAEDPEATHVSTQIKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVEIVTGRRPIERNRDNRERVTTRWAIRRFKEGDAVMAMDPRLRRSPAAVGATQRVLGLAERCMEKDRRSRPSMRECAEVLWGIRRDSQSMLQSSSTTR >Ma10_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27642033:27647298:-1 gene:Ma10_g15590 transcript:Ma10_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGTSEIVESNEEPNLDNYFGGSKTLRIKLKPSVQDGKCQDVEDDLDRLLRAIDLRASSGVLGPSCQPGVDLMRKNALKKPVKVGGSRPSGIGISESVTLKQSLRRLCISQASEMAAMKRLSKPVSLSRTSEAGTIKRLYASVVVQSSDSSLPLNGEKTNLVEISIMPEKASADSSKKTNGFGQGQNSESCDRGAVSSLLSAVPNTPKVTRIRIQDIIKPTSEESCESQSAAVERKKGKSVSKASISTSQAVAASSKAIEKPCLTKPVNKNMTTKKKGKPEPASATTGSTKHSEVNKSGVTLPTTRSCCPKESVSPACTTPTTKSCRHKEPVSPACTTPTTKSCRHKEPVTPPCTTPTTKSCRPKAPLAPASSTVNPAALIDKASIDSGANKTFSTPNIHGSSRVVSVKASEFSRSREKGECSHSSKSSIGDYSSSTSISEESHQSGASVKGCRPHMSKDVRWVAIRQNLIQHGSLGLKNFKLLKRLGCGDIGTVYLAELVGSECLFALKVMDIDFLVSRKKMLRAQTEREILQMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQKQPCRSFSEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPILLRSASLGTQESEKKHSGPCAENSCIDPLCLQPSWVQVSCFTPRLVSSTEAKTRKLKSEVSGQVRPLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSAVDWWTFGILLYELLFGRTPFKGPGNEETLANVVSQSLKFPENPCMSFHARDLIRGLLVKEPENRLGSVKGAAEIKQHPFFDGLNWALIRCAAPPETPRGHDVGTPVVFRKKKEGRCLDFRANEEDVEFELF >Ma10_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23698213:23698797:1 gene:Ma10_g09610 transcript:Ma10_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESVKCECCGLQEECTEGYIRSVKASFDGKWLCGLCSEVVRDESSRERKKSNAVEEAIRDHMFFCSKSTSNPAVGVADGMRQMLRRRSGEYESTSKPSAVASPKKYGRMGNSSQVGENSALFY >Ma04_p37220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35240223:35240681:1 gene:Ma04_g37220 transcript:Ma04_t37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENMQAMDSMRSRRNAELQPPVIREESHKIRKPVVIHLVSPTVIHAEAGEFMALVQRLTGRDSSAADIVGGSSGPEKAACFQGRKRQLPVRVKARAPKPVGKETKTCLDQTSSSCQPSVPPALFLSDLSPPWSGVAELIAADHPLVYSSKF >Ma09_p10690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7246358:7277546:-1 gene:Ma09_g10690 transcript:Ma09_t10690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLRLKLFAMAMMAVLMASSMVQQSAAAEAPAPSPTSSAPAPGSRGVHYLAKCVLRGSAILHAVQGHIRSPYSSDIVFGKETSLELASINEDGIVQSIFEQSLFGAIKDLAILPWNNRFQEHAHQAQGKDLLVVLSDSGKLSFLTFCSTMHRFFAVTHIELSKPGNVVHQLGRMLAVDPRGCFVAVSAYEDRFALFDVSMISDSNIGYEKISYPSENKTEGSSGQDTLGTSIRGTIWSMCFISDGTYQIEGYHSILAIVMHRKGSFINELLLFGYNRHAHAIQVISWYSETGPLAINISAIPHFPGFAILFRIGEMLLMDLRDPQNICCLHKINLNIPSLVEDRSFVEESCRALDDDEGMFNVAACALLELRDSGPDMFKVDDPMSIDSGGGKGSSSSKLVCSWTWRPGNSMDPKLIFCLDTGELYMVEIYSHTEGININLSECLYRGSPCKALLWVKCGLIAGLVEMGDGFVLKLEHAKLFYKSSIQNIAPILDVSIADYHDEKQDQMFACCGMNPEGSLRIIRSGISVERLLRTAPIYQGVTGTWTLRMKQSDPYHSFLVLSFVEETRILSVGLSFNDVTDAVGFQSDVCTLACGLFADGVLVQIHRSGIRLCLPTTSAHPGGVPLSLPICASWSPGSRTISVGAVGQNLVIIATSNPCFLSIIGVRSLSTYNFEVYEIQHVRLQYEVSCISIPLKNTNNKHIASEARLANKDHEVSHFDNFDINMAFVIGTHKPSVEVLSFANEEAFRVLATGTIAVNNALGSPISGCIPEDVRLVSVDHPYVLAGLRNGMLLRFEWPAIPEFLQSDPSRQSCFPRSCFSDYESSSSIVANLNSYNSVTEQAKNCIPIFLQLIAIRRIGITPAVLVPLKDSLDADIIVLSDRPWVLHAARHSLAYTSISFQPATHVTPVCSVDCPKGVLFVAENSLHLVEMVHCKRLNVQKFSIDGTPRKVLYHSESKTLLVLRTGLGGGSCSSDVCRVDPFSGTLLSKFQCEPGETAKCMQIVKVGKEQVLVVGTSQSVGRIIMPSGEAESTKGRLIVLSLDSAQNYSEGSPLIYCSNMDVSSQAGSPFGEIVGYSAEQLSSSSHCSSQGDPCSDGIHLDEIGAGQLRLVSQATSSGAVLAVCPYLDQYVLASAGNTLNVFGFANENPQRLRKFAVGRTRFTITCLRTHLTRIAVGDCRDGILFYSYHEDVRKLELLYSDPVQRLVADCALMDCDTAVVSDRRGNISVLSCPSSLEVSEYPEKNLVLNCSFYMGETVMSIQKASISCKLPVDNVLNGSDGVERVLESSYNSVVASTLLGSVFVLIPITSEEHALLESVQARLAVHWLTCPVLGNEHKEYRGRGLPAGVPTILDGDMLMQFLELTSLQQESVLASPGSSTHASASDLHQSPLSVNMVVQLLERIHYALN >Ma09_p10690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7246358:7268505:-1 gene:Ma09_g10690 transcript:Ma09_t10690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDESAARGGHFGSAPRGSRGVHYLAKCVLRGSAILHAVQGHIRSPYSSDIVFGKETSLELASINEDGIVQSIFEQSLFGAIKDLAILPWNNRFQEHAHQAQGKDLLVVLSDSGKLSFLTFCSTMHRFFAVTHIELSKPGNVVHQLGRMLAVDPRGCFVAVSAYEDRFALFDVSMISDSNIGYEKISYPSENKTEGSSGQDTLGTSIRGTIWSMCFISDGTYQIEGYHSILAIVMHRKGSFINELLLFGYNRHAHAIQVISWYSETGPLAINISAIPHFPGFAILFRIGEMLLMDLRDPQNICCLHKINLNIPSLVEDRSFVEESCRALDDDEGMFNVAACALLELRDSGPDMFKVDDPMSIDSGGGKGSSSSKLVCSWTWRPGNSMDPKLIFCLDTGELYMVEIYSHTEGININLSECLYRGSPCKALLWVKCGLIAGLVEMGDGFVLKLEHAKLFYKSSIQNIAPILDVSIADYHDEKQDQMFACCGMNPEGSLRIIRSGISVERLLRTAPIYQGVTGTWTLRMKQSDPYHSFLVLSFVEETRILSVGLSFNDVTDAVGFQSDVCTLACGLFADGVLVQIHRSGIRLCLPTTSAHPGGVPLSLPICASWSPGSRTISVGAVGQNLVIIATSNPCFLSIIGVRSLSTYNFEVYEIQHVRLQYEVSCISIPLKNTNNKHIASEARLANKDHEVSHFDNFDINMAFVIGTHKPSVEVLSFANEEAFRVLATGTIAVNNALGSPISGCIPEDVRLVSVDHPYVLAGLRNGMLLRFEWPAIPEFLQSDPSRQSCFPRSCFSDYESSSSIVANLNSYNSVTEQAKNCIPIFLQLIAIRRIGITPAVLVPLKDSLDADIIVLSDRPWVLHAARHSLAYTSISFQPATHVTPVCSVDCPKGVLFVAENSLHLVEMVHCKRLNVQKFSIDGTPRKVLYHSESKTLLVLRTGLGGGSCSSDVCRVDPFSGTLLSKFQCEPGETAKCMQIVKVGKEQVLVVGTSQSVGRIIMPSGEAESTKGRLIVLSLDSAQNYSEGSPLIYCSNMDVSSQAGSPFGEIVGYSAEQLSSSSHCSSQGDPCSDGIHLDEIGAGQLRLVSQATSSGAVLAVCPYLDQYVLASAGNTLNVFGFANENPQRLRKFAVGRTRFTITCLRTHLTRIAVGDCRDGILFYSYHEDVRKLELLYSDPVQRLVADCALMDCDTAVVSDRRGNISVLSCPSSLEVSEYPEKNLVLNCSFYMGETVMSIQKASISCKLPVDNVLNGSDGVERVLESSYNSVVASTLLGSVFVLIPITSEEHALLESVQARLAVHWLTCPVLGNEHKEYRGRGLPAGVPTILDGDMLMQFLELTSLQQESVLASPGSSTHASASDLHQSPLSVNMVVQLLERIHYALN >Ma09_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7257396:7268505:-1 gene:Ma09_g10690 transcript:Ma09_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDESAARGGHFGSAPRGSRGVHYLAKCVLRGSAILHAVQGHIRSPYSSDIVFGKETSLELASINEDGIVQSIFEQSLFGAIKDLAILPWNNRFQEHAHQAQGKDLLVVLSDSGKLSFLTFCSTMHRFFAVTHIELSKPGNVVHQLGRMLAVDPRGCFVAVSAYEDRFALFDVSMISDSNIGYEKISYPSENKTEGSSGQDTLGTSIRGTIWSMCFISDGTYQIEGYHSILAIVMHRKGSFINELLLFGYNRHAHAIQVISWYSETGPLAINISAIPHFPGFAILFRIGEMLLMDLRDPQNICCLHKINLNIPSLVEDRSFVEESCRALDDDEGMFNVAACALLELRDSGPDMFKVDDPMSIDSGGGKGSSSSKLVCSWTWRPGNSMDPKLIFCLDTGELYMVEIYSHTEGININLSECLYRGSPCKALLWVKCGLIAGLVEMGDGFVLKLEHAKLFYKSSIQNIAPILDVSIADYHDEKQDQMFACCGMNPEGSLRIIRSGISVERLLRTAPIYQGVTGTWTLRMKQSDPYHSFLVLSFVEETRILSVGLSFNDVTDAVGFQSDVCTLACGLFADGVLVQIHRSGIRLCLPTTSAHPGGVPLSLPICASWSPGSRTISVGAVGQNLVIIATSNPCFLSIIGVRSLSTYNFEVYEIQHVRLQYEVSCISIPLKNTNNKHIASEARLANKDHEVSHFDNFDINMAFVIGTHKPSVEVLSFANEEAFRVLATGTIAVNNALGSPISGCIPEDVRLVSVDHPYVLAGLRNGMLLRFEWPAIPEFLQSDPSRQSCFPRSCFSDYESSSSIVANLNSYNSVTEQAKNCIPIFLQLIAIRRIGITPAVLVPLKDSLDADIIVLSDRPWVLHAARHSLAYTSISFQPATHVTPVCSVDCPKGVLFVAENSLHLVEMVHCKRLNVQKFSIDGTPRKVLYHSESKTLLVLRTGLGGGSCSSDVCRVDPFSGTLLSKFQCEPGETAKCMQIVKVGKEQVLVVGTSQSVGRIIMPSGEAESTKGRLIVLSLDSAQNYSEGSPLIYCSNMDVSSQAGSPFGEIVGYSAEQLSSSSHCSSQGDPCSDGIHLDEIGAGQLRLVSQATSSGAVLAVCPYLDQYVLASAA >Ma07_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28414967:28431507:-1 gene:Ma07_g20560 transcript:Ma07_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAAGSKLEKALGDQFPEGEHYFGLENFGNTCYCNSVLQALYFCIPFREQLLYYYSNNKNLGDVEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRVKKQNEYFRSYMHQDAHEFLNFLLNELADILEKESDAAKDSIETSSPSEKLANGPNHSLPNGVPKEPLLTWVHKNFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYVEQLGRYKKLSYRVVFPMELKLNNTVEDIDSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLLFDDENVEMIDESMVQTFFGSSQEYSGNTDNGYILFYESLGGKS >Ma11_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16416040:16421403:-1 gene:Ma11_g12480 transcript:Ma11_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQIWFAVGTLTMGLLFASALADGDVVVLTEANFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKSVLIGKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGPRTAEALVEFVNTEGGTNVKLATVPSNVVVLTSETFDQIVLDETKDVLVEFYAPWCGHCKHLAPTYEKVANAYKLEEEVIIANLDADKYKDLAEKYGVSGYPTLKFFPKSNKGGEDYEGGRDLDDFVKFLNEKCGTSRDANGQLTSQAGIVASLDALVKEFATASNDARKAILSQMEEEVGKLTGSSARYGKTYLKAAKSCIEKGADYAKKEIERLQRMLEKSISASKADEFIIKKNILSTFAA >Ma05_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25924640:25932834:-1 gene:Ma05_g19050 transcript:Ma05_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLHVLDLSKNNLSGSIPEEVSSMFNLERLDLSFNDSSGSIPSSITKLNFLSFFSVAYNHLQGLIPSGGQFLSFPCSSFEGNPGLHSDSKVFCNSTLGATYPSEGDNDEDKFVLEGLPFAIGLTLGELPLNIANITSIHHLDVSDNNFTGNIRDVFHGLQNLEVFSAKSNVFVGRLLTALSLCSMLTSLDLWNNSLDGSIDLDLGRLVRLTTLNLGYNILQGLIPEVLFSCKALNILNLSLDKLSGQVPKKFSNLRSLSYLNLNGNSLSNISEALQVLQECHNLTVLGLTQNFQGEEMPRHGIRGFPNLRSLTVGNCGLTGSIPSWLRNCRDLRGVDLSSNHLSGEIPSWFGGFDHLFRLILSNNSFYGEIPVSLTELKSLTSRVTLLQDDDFVAYNHLQGVIPSGVRFSSFPCSGFEGNPGLYSNSMLFCNSTLSAANRKEDDDYDEDKFVFFGAPFAVGLIVGFLPTLYLLMGWWDQN >Ma06_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3098716:3099726:-1 gene:Ma06_g04290 transcript:Ma06_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGREYTSGRLEQLMSGGRDWRTPGLVQVILGVVGPSQEVAGEEPSPPDRIVLVNPVTQGMVVLQGDPDLMAEILSERSVGDGGHGAGGPPPASKASIEAMRTVDVGEGEGVRQEECPVCLDGLGEGEKEESAAVVREMPCGHRFHEGCIVKWLGMHGSCPVCRFRMPPEEGVDPKKGDGEGDGAENEEQRRRRIRGMWLTIVYRRGRGEISDQALQSPGEEDGGGGYSGGDAAAVSAETDGGIERVDQ >Ma09_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:301574:309663:1 gene:Ma09_g00360 transcript:Ma09_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIACNAGSSFNLLNREAFKVSLKNHIQGSLKNNLSLEDFRTWNTFSLSSFRTKGPFLSSSLSSFRRVLRAPTCSNSDPGQSILTTNNNGDANSSERRLVVLVIGGGGREHSLCYALKRSPSCGEVFCAPGNAGIAHSGDATCISDLNILDNVAVISCCHTRGVELVVVGPEAPLVAGLVDDLTKAGIPTFGPSAAAAALEGSKDFTKKLCDKYGIPTAKYKTFADPLEAKEYVKEQGTPIVVKADGLAAGKGVIVAMNIQEAFDAIDSMLVANTFGSAGSRIIIEEYLEGEEASFFALVDGETALPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTSELQFLVMESIIHPTVKGMAAEGCKFVGVLYAGIMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGGVSLSWSPGSAMVIVMASNGYPGSYEKGTIIKNLEEAELIGPMVKIFHAGTALDSEGNFIANGGRVLGVTAKGKDLAEARDKAYKASEVIDWPEGFFRRDIGWRALSHIYTKTS >Ma04_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11692705:11708449:-1 gene:Ma04_g15420 transcript:Ma04_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSWCLVALFFAIAATIPAAHGQSPQAGFISIDCGNSKSDYVDHSTSLTYVSDDRFIDVGSDFYIQSNYINSSVPTLQLNLRSFPDGLRNCYTLKPVPQNSTYLVRATFMYGNYDGKNSQIQFDLHIGVNHWKTMNISDPSAIYTAEALSLATANSTSVCLVNTGGGTPFISSLELRPFENGDYREYVNASQSVVLVTRRNMGASDSVRFPDDPYDRVWKPLTDPSWSILSSISTVNNSGNMFEPPSAVMQTAVTPVSGSQLAFSWDSVSLDDELYTILHFTELQKLTGNATRVFNINRNGHLWYISYSPPYRSAGGMHDIVPFKGSSRYEYVLNATSDSTLPPIINAFEVYSLMQLTQAATDSGDVDAMMAIKSHYQLKRNWMGDPCAPKAYIWDGLNCSYNTDPPRITNINLTSTGLTGEIYISFAMLEAVKYLDLSHNNFSGAIPDFLGSLSSLVVLDLSFNNLTGTVPDSLENLVSLQILNLAGNNFNGSVPEKLCKRSDAGLLTLRLDKNGCNKASSSRSKIAIIVIIAAVSGLLLLVVILVTVVWNIRKQQGRSSNTSVQPRSVVVYSQQREHQISFESRQFTYTQLENITNKFTTVIGKGGFGMVYHGCLETGKQVAIKMRSVSSPQGMKEFLAEAQNLTKIYHRNLVSLVGYCMDGNCLALVYEYMKQGSLRDHLRVKAGCAKVLSWGQRLQIALDAAQGLDYLHKGCKPPIIHRDVKSSNILLSEELEAKIGDFGLSKSFHSDEQTYVSTGTVVGTPGYIDPEYHQNYQLTEKSDVYSFGVVLLELITGRPPIVPGPGNVHIVKLIATSLSRGCIEEIMDETLQGEYDATSAWKILDLALRCTADPGSQRPTMFEVVTQLKSCLKPEIASDRSDIIYIEGFNMSREISSEMGASLLGPTVPSIR >Ma06_p22860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19673336:19706107:-1 gene:Ma06_g22860 transcript:Ma06_t22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLQTAKEVEAIVRENGAVPATIAILDGVPCIGLNNAQLERLAKLGINAQKTSRRDIPHVVAAGSNGATTVSATMFFASKVGIPIFVTGGIGGVHRHGETTMDISSDLTELGKTPVAVISAGVKSILDIPRTLEYLETQGVTVAAYKTNEFPAFFSGTSGCKVPCRVDTPEQCARLIHANLKLGLGSGMLIAVPIPEKHVSAGNVIESAIQKSLEEAKLKNVTGNAATPFLLARVNELTGGVSLAANITLVKNNAFVGAKIAVALANLQQCSKNGRLRSAL >Ma06_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19673336:19706107:-1 gene:Ma06_g22860 transcript:Ma06_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMRVFDKREEDQNDFILGNLKIAPDIYEALLHGNPVVALESTIISHGMPYPQNLQTAKEVEAIVRENGAVPATIAILDGVPCIGLNNAQLERLAKLGINAQKTSRRDIPHVVAAGSNGATTVSATMFFASKVGIPIFVTGGIGGVHRHGETTMDISSDLTELGKTPVAVISAGVKSILDIPRTLEYLETQGVTVAAYKTNEFPAFFSGTSGCKVPCRVDTPEQCARLIHANLKLGLGSGMLIAVPIPEKHVSAGNVIESAIQKSLEEAKLKNVTGNAATPFLLARVNELTGGVSLAANITLVKNNAFVGAKIAVALANLQQCSKNGRLRSAL >Ma04_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4968252:4969605:-1 gene:Ma04_g06810 transcript:Ma04_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILVHSGASAALLPCLLRPASAPCGLTSATVAAVPQQSRQHHLNMCRRGVLPEHYCVEGNGDAWKESVVVVMGATGTGKSKLSVELATVFDGEVVNSDKIQVYRGLDITTNKMPLGERCGVPHHLLGELDPAAGELDPAGFRDMAARAIAGIAGRGRIPVVAGGSNSFIHAAMAGRYDPRQSPFTAGWPRRTTRKEAGALRYRCCFLWVDVDAAALAEQLDRRVEEMVAAGMVEELGRYFAEEAEAQAGKSRHPGLDKAIGVSEFREYFLGEGRGTEAAYEAAVVAIKANTRRLAEQQARKIEQLVELGWPLLRLDATPTVLARLSGTAAAAAEAEAAWEKHVAGPSAVAVEQFLNGDVEAHHHHHHHIIPSPLLYI >Ma08_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10389004:10390770:-1 gene:Ma08_g13070 transcript:Ma08_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKANVKKGPWSPEEDTKLKEFIGKHGTGGNWIALPQKAGLNRCGKSCRLRWLNYLRPNIKHGEFSEEEERIICNLFANIGSRWSIIASHLPGRTDNDIKNYWNTKLKKKFLGIVPSQKKQQHQLQLQQQQQHHNLFSSLFSLSQGGCNTTAPDLELVAGLGEFPSLISQRIPGFASTSTSATAASALLQVPYRQPLQMMERSHTTMIAFGNSDPSCNSSGGSCTQISYGTELEYDCDVGRGFEGEQISIDNYATYGGLEYNSRSLRPGGGGHVETPLDYTYEEIKHLLSSNSSGCNTINNDNPSLP >Ma07_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7361441:7362895:1 gene:Ma07_g09840 transcript:Ma07_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFLEGGIASIVAGCSTHPLDLIKVRMQLQGEAISPSVSALRPAVALHGATGMTTLRHHHPPLTPPRRPGPIAVGAQILRAEGPAGLFSGVSATLLRQTLYSTTRMGLYDMLKKRWSAPGDGGSIPLHRKVAAGLIAGGIGAAVGNPADVAMVRMQADGRLPPTVRAEGPMALYKGFVPTVSRQGPFTVVLFVTLEQVRKLLKDV >Ma05_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34702988:34714875:1 gene:Ma05_g22930 transcript:Ma05_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKHFPQMVSHVILDLDGTLLNTDGVMNEVLKVFLVKYGKRWDNKISQKIIGRTPLEVATAVVKDFSLSLTTEELMSAISPMFSDQWCNIKALPGANRLIKHLRSNGVTMALASNSSKSCIEAKISFHQGWKESFSVIIGGDEVTTGKPSPEIFLEAAKRMNVDISNCLVIEDSLPGVAAGKAAGMAVVAVPSLPKQAGLYSSADVVINSLLDLHPEKWGLPPFEDWIEGTLPIEPWYIGGPVIKGFGRGSKVLGIPTANLPAENFSTILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLAIVGYIRPEANFPSLESLIARIHEDGRIAKKALDLPIYAGSKDSPYLKNSMLRSNCHS >Ma05_p22930.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34705560:34714875:1 gene:Ma05_g22930 transcript:Ma05_t22930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKHFPQMVSHVILDLDGTLLNTDGVMNEVLKVFLVKYGKRWDNKISQKIIGRTPLEVATAVVKDFSLSLTTEELMSAISPMFSDQWCNIKALPGANRLIKHLRSNGVTMALASNSSKSCIEAKISFHQGWKESFSVIIGGDEVTTGKPSPEIFLEAAKRMNVDISNCLVIEDSLPGVAAGKAAGMAVVAVPSLPKQAGLYSSADVVINSLLDLHPEKWGLPPFEDWIEGTLPIEPWYIGGPVIKGFGRGSKVLGIPTANLPAENFSTILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLAIVGYIRPEANFPSLESLIARIHEDGRIAKKALDLPIYAGSKDSPYLKNSMLRSNCHS >Ma05_p22930.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34702444:34714875:1 gene:Ma05_g22930 transcript:Ma05_t22930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKHFPQMVSHVILDLDGTLLNTDGVMNEVLKVFLVKYGKRWDNKISQKIIGRTPLEVATAVVKDFSLSLTTEELMSAISPMFSDQWCNIKALPGANRLIKHLRSNGVTMALASNSSKSCIEAKISFHQGWKESFSVIIGGDEVTTGKPSPEIFLEAAKRMNVDISNCLVIEDSLPGVAAGKAAGMAVVAVPSLPKQAGLYSSADVVINSLLDLHPEKWGLPPFEDWIEGTLPIEPWYIGGPVIKGFGRGSKVLGIPTANLPAENFSTILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLAIVGYIRPEANFPSLESLIARIHEDGRIAKKALDLPIYAGSKDSPYLKNSMLRSNCHS >Ma05_p22930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34706084:34714875:1 gene:Ma05_g22930 transcript:Ma05_t22930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLPLHFFRRPCPPLSLSSRSPPSSSRPPVANQLHSFVEVWKMAATKHFPQMVSHVILDLDGTLLNTDGVMNEVLKVFLVKYGKRWDNKISQKIIGRTPLEVATAVVKDFSLSLTTEELMSAISPMFSDQWCNIKALPGANRLIKHLRSNGVTMALASNSSKSCIEAKISFHQGWKESFSVIIGGDEVTTGKPSPEIFLEAAKRMNVDISNCLVIEDSLPGVAAGKAAGMAVVAVPSLPKQAGLYSSADVVINSLLDLHPEKWGLPPFEDWIEGTLPIEPWYIGGPVIKGFGRGSKVLGIPTANLPAENFSTILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLAIVGYIRPEANFPSLESLIARIHEDGRIAKKALDLPIYAGSKDSPYLKNSMLRSNCHS >Ma05_p22930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34705618:34714875:1 gene:Ma05_g22930 transcript:Ma05_t22930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKHFPQMVSHVILDLDGTLLNTDGVMNEVLKVFLVKYGKRWDNKISQKIIGRTPLEVATAVVKDFSLSLTTEELMSAISPMFSDQWCNIKALPGANRLIKHLRSNGVTMALASNSSKSCIEAKISFHQGWKESFSVIIGGDEVTTGKPSPEIFLEAAKRMNVDISNCLVIEDSLPGVAAGKAAGMAVVAVPSLPKQAGLYSSADVVINSLLDLHPEKWGLPPFEDWIEGTLPIEPWYIGGPVIKGFGRGSKVLGIPTANLPAENFSTILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLAIVGYIRPEANFPSLESLIARIHEDGRIAKKALDLPIYAGSKDSPYLKNSMLRSNCHS >Ma05_p22930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34705689:34714875:1 gene:Ma05_g22930 transcript:Ma05_t22930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDRRPTTSVLPAVAANQLHSFVEVWKMAATKHFPQMVSHVILDLDGTLLNTDGVMNEVLKVFLVKYGKRWDNKISQKIIGRTPLEVATAVVKDFSLSLTTEELMSAISPMFSDQWCNIKALPGANRLIKHLRSNGVTMALASNSSKSCIEAKISFHQGWKESFSVIIGGDEVTTGKPSPEIFLEAAKRMNVDISNCLVIEDSLPGVAAGKAAGMAVVAVPSLPKQAGLYSSADVVINSLLDLHPEKWGLPPFEDWIEGTLPIEPWYIGGPVIKGFGRGSKVLGIPTANLPAENFSTILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLAIVGYIRPEANFPSLESLIARIHEDGRIAKKALDLPIYAGSKDSPYLKNSMLRSNCHS >Ma05_p22930.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34702439:34714875:1 gene:Ma05_g22930 transcript:Ma05_t22930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKHFPQMVSHVILDLDGTLLNTDGVMNEVLKVFLVKYGKRWDNKISQKIIGRTPLEVATAVVKDFSLSLTTEELMSAISPMFSDQWCNIKALPGANRLIKHLRSNGVTMALASNSSKSCIEAKISFHQGWKESFSVIIGGDEVTTGKPSPEIFLEAAKRMNVDISNCLVIEDSLPGVAAGKAAGMAVVAVPSLPKQAGLYSSADVVINSLLDLHPEKWGLPPFEDWIEGTLPIEPWYIGGPVIKGFGRGSKVLGIPTANLPAENFSTILSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLAIVGYIRPEANFPSLESLIARIHEDGRIAKKALDLPIYAGSKDSPYLKNSMLRSNCHS >Ma07_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30094263:30095648:-1 gene:Ma07_g21980 transcript:Ma07_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKEERVLHMVGGGGETSYAANSRHQEKAIYRTKPILETAIAEMYRRTPLPERMVVVDLGCSWGPNTFLVVSEVLGIVGDLCRRLEQKPPEIQFFLNDLPGNDFNNVFRSLERYEKKMEEEKGDQLVPHYVVGVPGSFYGRLFPCNTVHFFHSNFSLMWLSQVPQGLESEQGVPVNKGNIYIAENSPPQVVKAYQEQHRRDFSTFLKSRYVELSIGGGMVLTFLGRRNKHPANDELSYLYGLLAEALNTMVSQGIISEDKVDTFNLPIYGASMQEAKSVIEEEGSFDVEKAESFESSWDPFDDSDNVLNGKNVASTLQAVMEPLISHHFGDATPHALFSLVADNITARHLLKDKCYYTVLVFALRRKA >Ma09_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8369484:8379478:-1 gene:Ma09_g12450 transcript:Ma09_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLDIFKHSLAVLLRCCDIDLYNQAKGLEDPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Ma04_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16416637:16419783:1 gene:Ma04_g16670 transcript:Ma04_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIASPSLAAPPRFRCCEDTHGRRRHRPPPPLAPEAQFPERSMAAPCPRPRRIILVRHGQSEGNVDESVYTRVPDPKIGLTPKGWRDAEECGLRIRDLVAGDGADDWKVYFYVSPYRRTLETLRGLGKAFERSRIAGVREEPRVREQDFGNFQDREKMRIEKEIRHRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERSPNMNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFDNGGMLVMQTGSGGRYSLLVHHTVEELRAFGLTEAMLNDQMWQMTAKPGELNYDFVTDGSSFFTHLV >Ma04_p16670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16416637:16419398:1 gene:Ma04_g16670 transcript:Ma04_t16670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIASPSLAAPPRFRCCEDTHGRRRHRPPPPLAPEAQFPERSMAAPCPRPRRIILVRHGQSEGNVDESVYTRVPDPKIGLTPKGWRDAEECGLRIRDLVAGDGADDWKVYFYVSPYRRTLETLRGLGKAFERSRIAGVREEPRVREQDFGNFQDREKMRIEKEIRHRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERSPNMNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFDNGGMLVMQTGSGGSLLVHHTVEELRAFGLTEAMLNDQMWYRTVALDRENFLLMVKISVFLLFPLME >Ma04_p16670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16416637:16419398:1 gene:Ma04_g16670 transcript:Ma04_t16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIASPSLAAPPRFRCCEDTHGRRRHRPPPPLAPEAQFPERSMAAPCPRPRRIILVRHGQSEGNVDESVYTRVPDPKIGLTPKGWRDAEECGLRIRDLVAGDGADDWKVYFYVSPYRRTLETLRGLGKAFERSRIAGVREEPRVREQDFGNFQDREKMRIEKEIRHRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERSPNMNLVIVSHGLTLRVFLMRWYKWTVEQFEGLNNFDNGGMLVMQTGSGGRYSLLVHHTVEELRAFGLTEAMLNDQMWYRTVALDRENFLLMVKISVFLLFPLME >Ma04_p33030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32859948:32860397:1 gene:Ma04_g33030 transcript:Ma04_t33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASKPQEINGPRNPDGPAAAENPSTAEPAPPEVSLYFPRAPYLHAYSQRTMSAKSESVEVEESKETPLVDLSEPAGESSTATAAAAEELHPGISELKPLAEEEWVTHKAEKEKPVAAEERPLQ >Ma07_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31175002:31176327:1 gene:Ma07_g23580 transcript:Ma07_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSSLSNAVFLFSSLGFLVAGASGYSGWESAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGLSCGSCYELKCDDDPRWCLPGSIVVTATNFCPPNNALPSDNGGWCNPPRPHFDMAQPAFLQIAQYRAGIVPVAFRRVPCVKKGGVRFTINGHSYFNLVLITNVAGAGDVHSVSIKGSKSGWQVMSRNWGQNWQSNSYLDGQSLSFRITASDGRTITSFNVAPAGWQFGQTFEGGQF >Ma06_p38630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37332416:37332862:-1 gene:Ma06_g38630 transcript:Ma06_t38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNRNYSTISNLEPWFFLEFVLPFDAYKIKPWIIPIELLLSNIYFENNISQNQNKNNISKNKNKNNISQNQNEKNTSKN >Ma08_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34526788:34528064:1 gene:Ma08_g20480 transcript:Ma08_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESPQLRWAFIRKVYTIVALQIVITIGVAAAINLIAPVRDFLLDRSTASFAAGVAIMILPFLVMLPMMYFSERHPINFVLLVLFTVCIGFAVGLACTTRGGTAILEAATLTAAVVGGLTLYTFWAAKRGHDFSFLGPFLFAALLALTIFSLIQVLFPMGTITTRVFGCISAVVFAGFIIYDTDNLIKRHSYDQYVCAAISLYLDIINLFLALLSTMED >Ma04_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9208527:9208688:1 gene:Ma04_g12220 transcript:Ma04_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSQININFIDKTSSIVANILLRIIMITFREKKKYLPLQRWYNLKIFFFLSV >Ma09_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13242974:13247602:1 gene:Ma09_g17700 transcript:Ma09_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTALQSGGRSPKPLNGPSGAQQLKSGSESDHNTGPSLPSHSKSKKRDRSDQCTEPIKRERPSKPDDGDSIDLKSDNMIKAEIVKITEKGGLVSSEGVEKLVYLMQLDRTDKKIDVASRILVADVIAATDRYDCLNRFTCNIGKSVNHLRTHKNPEIQKKARSLIDTWKKRVDAEFAKISDAKSVASGQPVWQVKPGSSDVSHAGSRRAGSTDMTSKSPVTPTTLCKTLPSKPGHSDVIVKSETQGTLKMGSTLVTSVPAGSKDSLCKASANTGAEMLPTAVKEEKSSSSSQSQNNSQSYSSDHAKTVGSSWKEDTRSSSAGSINATKVAGGSSRHRKSSNGVMGTSTSGSQKETNSSKSGSLYRATAFEKSSQSGLTCDNPIDLPSWQSNDVKELPVGVGEAPRAVCSSFGNEKGILCTESKTSSFSSINALIDSCVKYSEASASLAVEDDGMNLLASVAAGEISKSDLISPTGSPGASPATEDPSTEAKSRLSSDDDAAQSNVKLDEAADADSIKQGKTVGSILTRDVSYLDGTNFSRNNGTDEERDGNFSVFKPAEVDKRDSDGASILEEKQMTDMQVLDHYTGCKLKETSLSAEESKPIEYAHQKIEDGSICTSEIVFNDGDDLDIAVSGIKSEKLVVEESQSCPTAKRIPEDATSSDQQQHLRTESAERSVDAGISLDSPDVTSSKDPDKSRICKPDDLSVSHLESNDKQGNNSLNSSKLDESVRPAISSCGAAVVVEDLKVKESHKSSSMESASQEPPSSFTAQETERRSKSAGPRVSGAVADVREDLASSVEASSLAVEAAVDVASKLDFDLNEGIIGDDGNQFETAVSVSTVCSSTVHLPNLSPFANSTSTGLPAPITVAAPAKGPFVPPENLLRSKGEPGWKGSAATSAFRPAEPRKVLEMPLNTPGMLSPSDFAGKQCRPLLDIDLNEPDEGVLEDMANLSSAKTMGSESGTTSNLDASPRISGGLDLDLNRVDEGMENGQFLACASHGMAVPLLSVGPASAEFPNRESNMLRDFDLNNGPGPEELCSEPITRSQNTKNTSSVPFLPPVANVRMNAVEAGSVSSWFPPGSSYPAVAIPSFLSNRGEQSYPIVAAQGAQRILGPVTASGPFGGDVYRGPVLSSSPAMAFTPAFPYAGFTFGSNFPLASNSYSGASASFGGAIGGSNNTRKWISSGLDLNSGPGNADMEGKDERLPLASRQLLVATSQAFTEEQLRMYAVVPGGGLKRKEPEGGWDADRSAYKQLSWQ >Ma05_p27640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38837135:38848884:-1 gene:Ma05_g27640 transcript:Ma05_t27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKKEEEKEAEAEAAGRSNAPQRKGKSYSQPLSRDAVLSTSARRKHSLDDDTLSSPSDNAAAAAPRALSCGHYNSSSPPSHHHRHKHSSSVEEIRFPLSQQLPPSVPSPPHHHHQHAPTAPVSFGNPFSIDCHRGGSSLSDSDGSLTLERVMSEYGGTPGTIPEFMGNGGGVGIFRVPHRSAIHPDRPPAIEVRPHPLRETQAGSFLRTIACTTSQLWAGQESGLRVWNRKDAFDSLGPSVTVKRGDEKSAPFSESCRTSPTLCLVVDVANGLIWSGHKDGKIRSWKMDQPTSANSSLDDGGVASAIGGAPPFREGLSWPAHQRSPVLSMVITSYGEIWSGSEGGVIRAWPWDAIGKALSLSVEERHMAALLVERSYIDLRSQVTVGGVCNLPAVDVKHMASDNCKSKVWSAGSLTFALWDSRTRDLLKVFGIDGQVVTRVELPSAQDPYVEDEMKIKFVSSSKKEKSQGSVSFFQRSRNALMGAADAVRRAAVKGTFGEDNRRTEALAISMDGIIWTGCTNGSVILWDGSGNRLQEVQHHSSSVQSICTYGPRVWVGYVSGKVQVMDLDGNLIGEWVAHGSPVIKMVVGGAYLFTLAHHGGIRGWNIRSPGPIDDLLRTEFANREQSYAKYENIKILTGTWNVGQERASHDSLIIWLGGAASEVGLVVVGLQEVEMGAGFLAMAAAKETVGLEGSANGQWWLGTIGKTLDEGTSFQRVGSRQLAGLLIAAWARKSLRPYIGDVDAAAVPCGFGRAIGNKGAVGLRMRVYDRIICFVNCHFAAHLEAVSRRNADFDHVYRTMAFSRPTTGLHGAAAGPTSVQLNRGVNVTGSQPDDGRPELSEADMVVFLGDFNYRLHSITYDEARDMVSQRCFDWLREKDQLRAEMKAGKVFQGMREGQIKFPPTYKFERHQPGLSGYDSSEKKRIPAWCDRVLYRDSRSISVAECSLECPVVSSITLYEACMDVTDSDHKPVRCIFSVEIAHADELIRREVWGQITMSLGKIRSSLEESRAIPDFSVGTNDIILKNQEIGTLRITNKSEKYKAIFQIICEGEYIVQGDENSPKLCARCSFGFPNWLEVQPAVGILKPGQTIDVSVHHEDVLTQEQSVDGVPQNWWTENTRDKEVELSVNITGTGTTRHNSHRVHVRHSFSFRSDSGDRRGTSRRSQPSNQQRSDVKD >Ma04_p36640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34917934:34919982:1 gene:Ma04_g36640 transcript:Ma04_t36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYFVRPSSPYLSLSPTRSSCLSYALRRASSMADQLLSTVTHHGSLPETYVRPESQRPHLNEVLRDADVPTIDLGSTDLSQTVAQVADACSTYGFFQVVNHGVPIELMLKMMAVALEFFRLPSEEKAKLYSDDPAKKMRLSTSFNVRKEKFRNWRDYLRLHCYPLEEFVPGWPSNPSSFKEVVSSYCREVRQLGFRLLGLISIGLGLEEDYMATVLGEQEQHMAVNYYPKCPAPELTYGLQAHTDPNALTLLLQDPDVAGLQVRKDGKWIAVNPQPNAFVVNIGDQLQALSNGRYRSVWHRAVVNADKERISVASFLCPCNNAIISPPEKLVADGSPAMYRSYTYDEYYKKFWSRNLDDEHCLQLFRS >Ma01_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8122088:8127256:-1 gene:Ma01_g11250 transcript:Ma01_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISHIFDYSKAGKSQKWAHKKHGDGFEAPRNSLDVSIDTSIGYYYVPEDNLFQYSFQVKHPSKMYYSPNGTPMKKLIDGEISKRTTESKVAPSVVARLMGMDSMPSEEGRKIHAKELEADRLRKAMEVNKIMECSLGLETSRSSTSSRQTKQNTLLNGNQRDPKAEKTRKPRKHPQEELLQKFKKEFETWQASKLREHPGSQKNHILGDVKDHQIIAQEILNKEKMAKYLDTKKILAEKKPTEAKDVVSTAKQNVDTQQGSSLQDHGHLNRQCQFISKNNMAMKLGTRANDSEFLTVTRTDKKQERSCSPTRIVILKPNFDRIDANEELLAASTNNFGKECAMEDFLEEVKERLKNEIQGKNRSNARGRGTGIGTSFGERTIDPKQIARDIAKHIRESVTKDIGSTLIRSESTRSIRSDLQVNSPDSPEFIRRDTRKYVSEKSKNVLKNEIVLGKSRRNHECSDASTINKEKAMPKLMSDFANKGKNMNLWKDKKAVTESIPRQKEKIVAPDAESVSQWNLVRSFSAPVSGTAFGKLLLEDQHITGTQICRKQEASQHGFSEFGKQRKDSFSLKGRVSSLKHNFNLKGKLFGKTARLIKEPTASGFNSAKEIPTAPSIIINSGITQENSTEVPPSPASVSSSTPDEFCKQDNPSPISPLEVMDHHTSPCVSEVLSSNAPEPHLLEHVEDFGSEMAVENQPHNQETTEKESEDGSEMEVEEQPHKKETIEKETGDAAYLQDILVTAGFYEDRSTDQATKLDALTRPISLQVFEQVEEACSKYGKLETESTIIHNDDPAIGHKLLFDLVNEALQSVLGPKINCSMFKRWILGPAASSSQGRSLLDDLWNQIQSYLNSPMDESDTLNIMVVQDLKMTTWPTILYEDIDVVARQIERVVLHDIIFEIAHDMCLCK >Ma03_p15310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15103246:15109828:1 gene:Ma03_g15310 transcript:Ma03_t15310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRRRHHPLRCPIPAASAVSLLSTAVAILLLALALLSYLAPPPFLDHPSVSGHRLRHSDPSPVRKPKISRDAAVRRDATTGESFRVPVRNGGGSSKNGLWGSKLSKYYVGCSNASIKFPAAEAVTRRDRYLMIGTSGGLNQQRTGITDAVVAARILNATLVVPKLDQRSFWKDASNFGDIFDVNWFISFLSKDVKIIKELPKKGGKPFRGPYTMRVPRKCTPRCYQNRVLPVLLKKHVVQLTKFDYRLANKLETDLQKLRCRVNYHALKFTHPIQDMGDKLIRRMKEKGKHFIALHLRYEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHISNPDKARRQGRCPLTPEEVGLMLRALGYGSDVHIYVASGEIYGGDESLAPLKALFPNFHSKETLASKEELEPFSLFSSRMAALDFIVCDGSDAFVANNNGNMARMLTGRRRYFGHKRTLRPNAKKLYSLFLNRANMTWEQFSLKVYSYQRGFLGEPKEVRPGRGEFHENPSACICETTKAMRGKHSGSLSQTNGDDQHRKSNRGHSISEPTYNHTDEEPDWSDMDYGEYTPLSKSLSNSTDMDYNPFTRQEDSELEDFLSD >Ma03_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15103246:15109828:1 gene:Ma03_g15310 transcript:Ma03_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRRRHHPLRCPIPAASAVSLLSTAVAILLLALALLSYLAPPPFLDHPSVSGHRLRHSDPSPVRKPKISRDAAVRRDATTGESFRVPNGGGSSKNGLWGSKLSKYYVGCSNASIKFPAAEAVTRRDRYLMIGTSGGLNQQRTGITDAVVAARILNATLVVPKLDQRSFWKDASNFGDIFDVNWFISFLSKDVKIIKELPKKGGKPFRGPYTMRVPRKCTPRCYQNRVLPVLLKKHVVQLTKFDYRLANKLETDLQKLRCRVNYHALKFTHPIQDMGDKLIRRMKEKGKHFIALHLRYEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHISNPDKARRQGRCPLTPEEVGLMLRALGYGSDVHIYVASGEIYGGDESLAPLKALFPNFHSKETLASKEELEPFSLFSSRMAALDFIVCDGSDAFVANNNGNMARMLTGRRRYFGHKRTLRPNAKKLYSLFLNRANMTWEQFSLKVYSYQRGFLGEPKEVRPGRGEFHENPSACICETTKAMRGKHSGSLSQTNGDDQHRKSNRGHSISEPTYNHTDEEPDWSDMDYGEYTPLSKSLSNSTDMDYNPFTRQEDSELEDFLSD >Ma05_p24040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36231346:36252092:-1 gene:Ma05_g24040 transcript:Ma05_t24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAEASARKRKASDDVGPEGEEPAMPEPSLLEVETKSGEEASNSNPNPRDNFLFEDDYGCASLCDDNVDIMQHYSDICAVDAVKIPYVGDKEPLSSLAIEFQSGSPILQEKIKLLAEQYAALRRTRGDGNCFYRSFMYSYLEHILETQDKAEVDRILANIEQCKKTLQVLGYADFTFEDFFSIFIEQLESVLQGSQTSISHEELLRRSCDQSISDYVVMFFRFVTSGEIRRRAEFFEPFVAGLTNTSVDQFCKASVEPMGEESDHVHIIALSDALGVPIRVVYLDRSSCDPSAPTVNHHDFMPTESSTSNVCLLPRPRVTLLYRPGHYDILYPK >Ma05_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36231346:36252092:-1 gene:Ma05_g24040 transcript:Ma05_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAEASARKRKASDDVGPEGEEPAMPEPSLLEVETKSGEEASNSNPNPRDNFLFEDDYGCASLCDDNVDIMQHYSDICAVDAVKIPYVGDKEPLSSLAIEFQSGSPILQEKIKLLAEQYAALRRTRGDGNCFYRSFMYSYLEHILETQDKAEVDRILANIEQCKKTLQVLGYADFTFEDFFSIFIEQLESVLQGSQTSISHEELLRRSCDQSISDYVVMFFRFVTSGEIRRRAEFFEPFVAGLTNTSVDQFCKASVEPMGEESDHVHIIALSDALGVPIRVVYLDRSSCDPSAPTVNHHDFMPTESSTSNVCLLPRPRVTLLYRPGHYDILYPK >Ma07_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7638941:7647255:1 gene:Ma07_g10180 transcript:Ma07_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MNVVGRVGSLISQGVYSVATPFHPFGGAVDVIVVQQEDGTYRSTPWYVRFGKFQGVLKGAEKVVTISVNGVEANFHMYLDNSGQAYFMREVVPGSDDAGSSSQVIGESDTLNSRPSIDFDSNVVDQKNDFDTKKGEIAISDEQSYMFQDEQASPNGSAGSSGFSNYHYGSLDEVEDMVKESNDSNSEMVLISVDGHILTAPISSNKETTDNVQLDTPQFHLGPGEGSTKEFSGRGDAWESGLFGDLDGSTVKDKDASEQQLEVPNARRENPISDKEAYKVGDVTDLVAGLRKNDTFQSCLDFMSHTEDVDFQDVSLDAKDESRSDAQKTLTTKTEDMKDNDNVDTTWKDDEVERHLAPQTQNQGYDLSCSQRLMVENIEYVISDEKILSIETTRQESATTSVHSVTNDEEQFQTSPSGSAAEEECHPTESHCMESNISGLGFEISLCGNLLHPGMGRNSAEEFFEAHRISEEEFEASGSSIIKNGNLVVRCGNKYLHWDKAAHIILGKAVFDLNISIEPKDCIPVEHEDAAKLKEDAIGVSPSSSRRWRLWPIPFRRYRSLQHSNSNSSNEDLFVDSESGLQSPNAEKVANGNMNQSPRKQYFRTNIPTNEQIASLNLKEGQNMIAFSFSTRVLGRQQVDAHIYLWRWNARIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAGLFSAIKENGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAINHCIDVKSYTSLHTLVNDMFPPTSLVEQEEYNSWNYWKMPLPNIEG >Ma10_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27493028:27500842:1 gene:Ma10_g15380 transcript:Ma10_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRAFPACLLLLTLVVCLPGSANGLVRIGLKKRPLDRNGRLAARLSHPEELGVLAARKYGPLLRDSLGLEHGPDGPAEPDDGNIVALKNYMNAQYFGEIGIGSPPQNFTVVFDTGSSNLWVPSSKCYFSVACFFHAKYKSGRSSTYQKNGKTANIHYGTGSVSGFFSQDHVTIGDIVIKDQAFIEATREPSITFLVAKFDGILGLGFEEISVGNAVPIWYNMVNQSLVKEPIFSFWFNRNANEGEGGEIVFGGTDREHYKGEHVYVPVTKKGYWQFDVEDVLVGGQTTGFCSGGCAAIVDSGTSLIAGPTTVIAEVNQKIGADGVVSQQCKAVVAQYGETIMNMILAKEEPAKICSKIGLCAFNGTQGVSLGIKSVVDNQVEKLDSGLSNGMCSYCEMAVVWMQSQLTQNKTLEQVLNYLDELCERIPSPMGESAVDCNSLSSMPVITFNIGGRNFDLRPEQYILKVASGGSEQCISGFTALDVPQGPLWVLGDVFMGAYHTVFDYGNLRVGFAEAA >Ma06_p33340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34020243:34022844:1 gene:Ma06_g33340 transcript:Ma06_t33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNSLSIVIAAWSLFLAVPFAKSQSFIGVNYGQVADNLPPPEETARLLKSTTISKVRLYGADPAILRALAGTDISVVIGAANGDIASLASDPSAATNWVSANVLPFVPATSISVVAVGNEALTSGDATLASQLLPAMQNMYNALSAAAPSAGIKVSTVHIMTILAQSDPPSSGAFHADLVPALKGVLAFLQKTGAPFMINPYPYFAYRSDPRPETLAFCLFQPNAGRHDAGSGVTYMNMFDAQLDGVGSAVAAVGFKGAEIVVAETGWPYRGDEGEVGATVENAQAYNGGLVSHLRSLAGTPMAPGKSVDTYIFALYDEDLKPGPTSERSFGLFHPDLTPTYDIGLARSSSSSTPAQGNGTSAPRAAAAKGWCVPREGATDAELQANLDYACGQPGVDCRPIQEGGACYLPNTVRSHAAYAMNQFYQASGRNSWECGFSDSAVLTTDNPSYATCVYAGGQ >Ma00_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:11802499:11804193:1 gene:Ma00_g01720 transcript:Ma00_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNAESCVPPGFRFHPTEEELLNYYLRKKVMSEKIDLDVIRDVDLNKLEPWDIQEKCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSSVTRIGMRKTLVFYRGRAPHGQKSDWIMHEYRLDDHSDLISHSNVQGTNQEDAWVVCRVFKKKNHHRAIDRPNNSASVTSDAKTQLLHHPGSGDDALDQILQYMGRSCKGESQWTTNSTSNNIEYLRPIEIASSSGLQEMFMKLPAPGSPTITPLPNSFPLDHSCDDQTSYGMSAAVDMGSAHRAETGSGDWAAFDRLLASQLNGPYEGPKPLDDDSGVGFRSSARVVHADDDDDDDDDDDDYDDDDQHGGDGDLWSLTRTSLSLSDRISHASL >Ma10_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30707982:30715619:-1 gene:Ma10_g20640 transcript:Ma10_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS45 [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/TrEMBL;Acc:A0A178WPE4] MVLITLVRDYIGRMLQDIPGMKVLILDSSTVSIVSVAYSQSELLKKEVFLVELVDSMSKETMTHLKAVYFLRPTSENIQKLRRQLANPRFGEYHLFFSNILKITQIQVLADSDEQEVVHQVQEFFADFCAIDPYHFTLNMQMNHIYMLPAVVDPPSSHSFCDRAVDGIAAVFLALKRRPVIRYQRASDIAKRIAQETAKLMYEQESGLFDFRRTEFSPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIEDNKVDLRNTPKVSKDQQEVVLSSEQDSFFKSNMYENFGDLGMNIKTMVDEFQQITNSNQNIQTIEDMMKFVNNYPEYRKMQGNVSKHVTMVTEMSRIVEERKLMIVSQTEQELACNGGQVAAFEAVTKLLNDETISDVDRLRLVMLYALRYEKESPVQLMQLFNKLASHSAKYKPGLVQFLLKQAGIDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMESIIKGRLRDVDYPFIGNHFQQGRPQDVVIFIVGGTTYEEARTVALHNAANSGTRFILGGSVVLNSKRFLKDLEEAQRISRTSNAV >Ma01_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5370467:5376878:1 gene:Ma01_g07430 transcript:Ma01_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPVTPGQVSFLLGLFPVIIAWLYSEILEYRKSSSPMKVHSDVNLDGLDNETVREDDRDALLEGGLSRPSSARLHNLSVRANLIRFISMDEPFLLEHREALRAMAEFGSILVYFYICDRTTLFSQSTKSYSRDLFLFLYILLIIASALTSLKKHHDKSTFTGKSIFYLNRHQTEEWKGWMQVLFLMYHYFAAYEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSVARFAQMMWRLNFFVSFCCIVLNNDYTLYYICPMHTLFTLMVYGALGIFNKYNEIAPVMAVKIICCFLVVILIWEVPGVFDLLWAPFTFLIGYRDPDPSKSNLPLLHEWHFRSGLDRYIWIVGMIYAYYHPTVERWMEKIEESDTKRRLYIKTSIVSASLLVGYLWYEYIYKLDKITYNKFHPYTSWIPITVYICLRNFTQQLRSSSLTLFAWLGKVTLETYISQIHIWLRSNMPNGQPKWLLCFIPSYPLLNFLLTTAMYLFISYRIFDLTNLLKATFIPSKDNKRLFHNLISGAIVSFCLYCISTVILHIPGISG >Ma06_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14849355:14852845:1 gene:Ma06_g20670 transcript:Ma06_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MVVVAALQFACSDSVSENVDTAERLVRAAHKKGANIVLIQELFEGYYFCQAQRADFFQHAKPYKEHPTILRMQKLAKELGVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFTTKFAKIGVAICWDQWFPEAARAMALQGAEILFYPTAIGSEPQDEGLDSREHWKRVMQGHAGANLVPLVASNRIGKEMIETEHGNSTITFYGNSFIAGPTGELVALANDKDEEILVAEFDLDKIKAQRLSWGIFRDRRPDLYKVLLTLDGAK >Ma03_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1468804:1471967:-1 gene:Ma03_g02160 transcript:Ma03_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNESKYEGGSMMSSPESSSKQPLSEMTTGITYLHSESEDEDVCPICLEEYTYENPRIPLKCTHIYHLSCIYEWLERSESCPICSKMVQFNEET >Ma04_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5688638:5689398:-1 gene:Ma04_g07850 transcript:Ma04_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVRLVQRWEGLMLVMDPPSCPALRSAQADLQHHERLPNLNLFR >Ma07_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22502780:22515378:1 gene:Ma07_g17870 transcript:Ma07_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGDDAVRRRNAVADYRKKLLQHKELDSRLRTLRENSRTSKKEFAKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGDIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKD >Ma07_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29265364:29266135:1 gene:Ma07_g21190 transcript:Ma07_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVVEIARVMSPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGDVEVPLE >Ma07_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:23295010:23305986:1 gene:Ma07_g17980 transcript:Ma07_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKRRFGRNGGRGHPRGSGSAVLFSDHAGISEMPRGRGYGAKKDGNRPMPARGNAFGYAYPAADIAGGRDDTSCPISLPCSENSQPLEVFVDPTPCLNPEVGIPSYEYDLSMGGVRLGFHGGEEEEEQEAAPDAVSLDTEFIGGVGLGFRRDAEEEEEEDERGYEEEEELLGFDSFCTPEVTREEKGRGFLSIGGIRVYTEDTSSPEEEMDLYEEDDTDDEDGDLLIENEIIGSAGESSQEDDEENEEDYSSEGDSFSSDDGSDVNDEVAQDYLDGIGGGVELLRADWMEAVNLDSSDEDDLLKSRNSVHKGGTKLGGIALMNASAEYGMKKPKSRKLKGNARYNMTGSPALDVGLLPMDDLLVMKDNRAALRKKKSSHLLQSWPREAQRNKYSNAPGGKKKQRKELIALKRRQRMINRGVDLDQIHYKLRQMVVNEVDMLSFQPMHTRDCSQVQRLASIYHLRSGCQGSGKKRFVTVSRTERTCLLSTRDKLRLDKGTKIKCLKQPKGRKNLGKGPASHEHQSAPSKLLKSSEASGSRKRIGKQRLASYAARPVSFVSTGVMEVDPAKETITVDSSASTSLETVATSHASIGAFEVHTKGFGSRLMAKMGFVEGTGLGKAGQGMVQPIQVVKRPKSLGLGVQFEDETSSAGAEIGRIGAFERHTKGFGSKMMVKMGFVPGTGLGKDSQGIINPLTAVKQPKSRGLGAKT >Ma07_p17980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:23295010:23305986:1 gene:Ma07_g17980 transcript:Ma07_t17980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKRRFGRNGGRGHPRGSGSAVLFSDHAGISEMPRGRGYGAKKDGNRPMPARGNAFGYAYPAADIAGGRDDTSCPISLPCSENSQPLEVFVDPTPCLNPEVGIPSYEYDLSMGGVRLGFHGGEEEEEQEAAPDAVSLDTEFIGGVGLGFRRDAEEEEEEDERGYEEEEELLGFDSFCTPEVTREEKGRGFLSIGGIRVYTEDTSSPEEEMDLYEEDDTDDEDGDLLIENEIIGSAGESSQEDDEENEEDYSSEGDSFSSDDGSDVNDEVAQDYLDGIGGGVELLRADWMEAVNLDSSDEDDLLKSRNSVHKGGTKLGGIALMNASAEYGMKKPKSRKLKGNARYNMTGSPALDVGLLPMDDLLVMKDNRAALRKKKSSHLLQSWPREAQRNKYSNAPGGKKKQRKELIALKRRQRMINRGVDLDQIHYKLRQMVVNEVDMLSFQPMHTRDCSQVQRLASIYHLRSGCQGSGKKRFVTVSRTERTCLLSTRDKLRLDKLLGVSVNDVDDFIVNQGTKIKCLKQPKGRKNLGKGPASHEHQSAPSKLLKSSEASGSRKRIGKQRLASYAARPVSFVSTGVMEVDPAKETITVDSSASTSLETVATSHASIGAFEVHTKGFGSRLMAKMGFVEGTGLGKAGQGMVQPIQVVKRPKSLGLGVQFEDETSSAGAEIGRIGAFERHTKGFGSKMMVKMGFVPGTGLGKDSQGIINPLTAVKQPKSRGLGAKT >Ma01_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3670419:3670766:-1 gene:Ma01_g05210 transcript:Ma01_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPHDSRYDGTAATVPWRGWHGVPREPTGPIEIPAKAQASFMHDDGNGNDSSTMRAMIPPHVTVAERIAGDKMAFSVFVGNGRTLKGWDLRRVRTSVLRMTRFLEG >Ma04_p22280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24628215:24644662:-1 gene:Ma04_g22280 transcript:Ma04_t22280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVITPGTEFMALLSSALRYYICLRINSDPGWRGIKVILSDASVPGEGEHKIASYIRSQRNLPGFDPNARHCLYGLDADLIMLALATHEIHFSILREDVRVARRTERTSKFENKNKSSSKEGKVWNHEVNHEVPRKKFQFLNIWVLRDYLQHDLKIFGAKMKIDLERLIDDFVFICLFVGNDFLPHVPSLEISEGAIDLLMTVYKKEFAAMGGYLTNSFEVNLERVEHFLQIVGSHESAIFRKRIQLQREMNSHLRDAVEVEQKIGSIWMKSFERSANFLGRSNPNSTASVLKGQVQQGEEEWKEEYYSEKFEVKSEDECQKLKRHAVEKYVEGICWVMHYYYQGVCSWQWFYPYHYAPFASDFLDLKDLEVQFKLGIPFKPFNQLMGVLPAASAHALPLRYRNLMTDPSSSIIDFYPADFELDMNGKRFSWQAVCKLPFVDESRLLAEVKRVEYTLTDDEKQRNSWSMDMLFVHFSHPLTSKIRSFYRRKKDHPKLPKTKLKKRIDPKISSGMNGFIYISDKTIFSPEIFSPIEGMTLITKNKTIFVYYKIPPIQTHISKIPSGVILPNKSISKKDVQPAPVLWHERSMFKRKNLERPLSHAIAGHRLSQLACSLVSNHYRERKQAVNVRKLDKNAINGQCKMQKMLEQRTIHESNGNGNVLGKRKHRGGSRRKKKVQVMSQESGQNTSNLGKRKRGGHGRHKRKRN >Ma04_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24628215:24645197:-1 gene:Ma04_g22280 transcript:Ma04_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAFYRWLVDRYPLSVVQVREEAPVVVNGVQVPIDSSRPNPNGVEFDNLYLDMNGIVHPCFHPEDRPPPKSYDEVYKAVFVYIDHLFSLVRPRKLLYMAIDGVAPRAKMNQQRARRFRAAKDRADAAAEAEKLRDDFESQPEKLCNPEEINKMDSNVITPGTEFMALLSSALRYYICLRINSDPGWRGIKVILSDASVPGEGEHKIASYIRSQRNLPGFDPNARHCLYGLDADLIMLALATHEIHFSILREDVRVARRTERTSKFENKNKSSSKEGKVWNHEVNHEVPRKKFQFLNIWVLRDYLQHDLKIFGAKMKIDLERLIDDFVFICLFVGNDFLPHVPSLEISEGAIDLLMTVYKKEFAAMGGYLTNSFEVNLERVEHFLQIVGSHESAIFRKRIQLQREMNSHLRDAVEVEQKIGSIWMKSFERSANFLGRSNPNSTASVLKGQVQQGEEEWKEEYYSEKFEVKSEDECQKLKRHAVEKYVEGICWVMHYYYQGVCSWQWFYPYHYAPFASDFLDLKDLEVQFKLGIPFKPFNQLMGVLPAASAHALPLRYRNLMTDPSSSIIDFYPADFELDMNGKRFSWQAVCKLPFVDESRLLAEVKRVEYTLTDDEKQRNSWSMDMLFVHFSHPLTSKIRSFYRRKKDHPKLPKTKLKKRIDPKISSGMNGFIYISDKTIFSPEIFSPIEGMTLITKNKTIFVYYKIPPIQTHISKIPSGVILPNKSISKKDVQPAPVLWHERSMFKRKNLERPLSHAIAGHRLSQLACSLVSNHYRERKQAVNVRKLDKNAINGQCKMQKMLEQRTIHESNGNGNVLGKRKHRGGSRRKKKVQVMSQESGQNTSNLGKRKRGGHGRHKRKRN >Ma04_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5589239:5591857:1 gene:Ma04_g07700 transcript:Ma04_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSSAPFFYCSLIGAYQRTASSKSSPRRCLLLPIRCTVKTPPPPRRSADYQPSSWSDEYIQSLRTDTKVEEDNAKRMGKLTEDVKQLIYMKKGIEVQLQLIDHLQQLGVAYHFKEDTKDALWTIYGSMEEMSMLLKDNLHATALMFRLLREHGFAVSEGVFNRFMDEKGNLKASFRHQTEGLVSLYEASHLAKEGEHVLEEANNFTTKQLKSLMEGSLEPHLREHVTHALELPLNWRMPRLQSRWFIEECQREANINPVLLELAKLDFNKVQSIQQRELREVSRWWSNLGLAQRLPFSRDRLMENYFWTVGWAFEPQFARIREAQTKANCLITTIDDVYDVYGTIDELELFTDAVDRWDVNTIDKLPEYMKICFLALFNSTNDTAYNVMKEKGLDIIPHLKKAWADLCKAYMVEARWYHQGYTPNLKEYLENALVSISGPLILNLAYCTSDDLTQEALDDFQSCPEIARWASMVFRLCDDLGTSTDELERGDVSKSIQCYMHETGVSEDAARGHIRGLIKGNWRAINGNRSFTWPFEENLKMMAMNIARMAQCIYQYGDGYGKPDGVIEDRIRSLLIEPIIM >Ma09_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3084705:3090728:-1 gene:Ma09_g04780 transcript:Ma09_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENPSDVKEEVSELAPFDPTKKKKKKKVVLQDSAEVVDKLAEKTENLTVADSGEPSFAGMKKKKKKQVDTNFLNDENGDVVDDQTGDQVGGEDEGEGIVLGVGRYPWEGTDRDYKYEELLGRVFNILREHNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQHEHVMNFLLAEMGTNGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEQARRLQSGLRILWERILMSSETEGNL >Ma09_p04780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3084683:3090728:-1 gene:Ma09_g04780 transcript:Ma09_t04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADENPSDVKEEVSELAPFDPTKKKKKKKVVLQDSAEVVDKLAEKTENLTVADSGEPSFAGMKKKKKKQVDTNFLNDENGDVVDDQTGDQVGGEDEGEGIVLGVGRYPWEGTDRDYKYEELLGRVFNILREHNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQHEHVMNFLLAEMGTNGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVALVGRRKAGT >Ma09_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5559918:5561642:1 gene:Ma09_g08400 transcript:Ma09_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVAPLDLAGVTIPHHFRCPISLELMRDPVTVCTGQTYDRASIESWVATGNITCPVTRTRLTDFTLIPNHTLRRLIQDWCVSHRSLGVERIPTPKQPADAPLVRSLLASAAVGSVASRVAALRRLCALARESEKNRAVISTHETRSALLEIAFEGGEQPGCSDADQPALEAMAVLSMLPLSEAESAVVATRPERLHRLGEIVKGHPSSEARINAAAVIESVATGTRSAETRATIGGMDGVMGGLVALVEQPGNPRAVRVGIRGLLAMCLAKENRARAVAAGAAAAVVRRMGELSSSDLERALATVELLCRGEGGREAVVAGWGGGAAAVAALVRLMAWKASGRAAEHAAGALVAVLGGSEALQREAVAAGVVAHLLLMVQGGCSDRAKRKAQLLLKLLRPAWPYRDFIANSDDFIQAF >Ma10_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31579461:31584639:1 gene:Ma10_g22070 transcript:Ma10_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEROXIN-4 [Source:Projected from Arabidopsis thaliana (AT5G25760) UniProtKB/Swiss-Prot;Acc:Q8LGF7] MQASRARLFKEYKEVQREKAVDPDIQLVCDDSNIYKWTALIKGPSETPYEGGVFQLAFSIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYRSMARMYTRLAAMPKKG >Ma07_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29184948:29206127:-1 gene:Ma07_g21100 transcript:Ma07_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVIDMPTETLDRGLDIQPQRQESHGGGSPSSPARPPKRRDRDMTEKRDEYRARSPSLPPPPPLGQPRDDRDREYRRRGSRSPPPYRDRRHSPPRRSPPPGSFKRARRDDGGYERRRGSPRGGYGPDGRRYGYDYGGGYDRGGSGSRGGYGDERPHGRYMNHPSGYDGYGDGSEVIQRGGLMTYKQFIQELEDDISPTEAEYRYEEYRSEYISTQKRAYFEAHKEKQWLKDKYHPTNLVAVIERRKEQARTLAKEFLLDLQSGTLDLGPGLTSSSASKSGNGSEPNSEDEAGPGGKRRRHGRGTEKENDLLSATPKVHPVSSEPRRIQVDIEQAQALVRKLDMEKGIEDNVLSSSGHGKLEVEKSHGGSMGPIVIIRGLNAIKGLEGVELLDTLITYLWRVHGLDYYGMSESSEAKGLRHVRADNKTHDGTDASGSDWEKKLDSFWQARLEGQDPLETLTAKDKIDAAATEALDPLVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHLDLVMELTSKVREDLYFQNYMNDPNAPGGTPVMQQSASKVKMQKKRLLDGRLRDERGNRREPNRNDGDDDRHDRTDNSPRDANDGSEVENHDKPLYDAYGGQGLHGAFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMRMLRDTSGPSSFEATGGRRGIKGRLGPQVSGSAPVLAMPPAFRHDPRRIRSYQDLDAPEDEVTVIDYRSL >Ma06_p04250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3081058:3084919:1 gene:Ma06_g04250 transcript:Ma06_t04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVSGGHVFSVVVTGPTQSPTPNAAQRRSLLRRLARHTAPTPRPAWRAISRAPPVTPSAGAEALLCPCRLCVGKRRLLLLGASTALLPVLPSTSLASGLSSDPAATVERIHPPRPDWYEEIYAQAMEKSMRSYEAEVAAYKEKLFPQLTEKVEKVLELGIGTGPNLKYYVGAGDRYIIGVDPNKQMEKYARASAEAAGLHSTKFSFIRGVGEALDVRDNTMDAVIGTLVLCSVSDVAMTLRVKRVLKPGGLYLFIEHVAARDGSLLRFMQGLVDPLQQFVSDGCHLTRETGKQISEAGFSRVSLQAAFLSTVPLVSPHVYGIAYK >Ma06_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3081058:3084919:1 gene:Ma06_g04250 transcript:Ma06_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVSGGHVFSVVVTGPTQSPTPNAAQRRSLLRRLARHTAPTPRPAWRAISRAPPVTPSAGAEALLCPCRLCVGKRRLLLLGASTALLPVLPSTSLASGLSSDPAATVERIHPPRPDWYEEIYAQAMEKSMRSYEAEVAAYKEKLFPQLTEKVEKVLELGIGTGPNLKYYVGAGDRYIIGVDPNKQMEKYARASAEAAGLHSTKFSFIRGVGEALDVRDNTMDAVIGTLVLCSVSDVAMTLREVKRVLKPGGLYLFIEHVAARDGSLLRFMQGLVDPLQQFVSDGCHLTRETGKQISEAGFSRVSLQAAFLSTVPLVSPHVYGIAYK >Ma07_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27746376:27748729:-1 gene:Ma07_g19820 transcript:Ma07_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHTYISVTRKPLSLKPFLRSPGASIYKLLLPSPLRAGRCLLPFLAFWALLSISQLHPSLPISCSPHLHHVRLSWRPRRGPVDEFWKWAQSEVPQLVPCTNYSEPHRHASISGKYMLVVVSGGLNQQRNQIIDAVVVARILEAVLVVPVFQVNQVWGDDSEFGDIFDVEHFKKTLKDDVVVVSSLPATHIRKRRVRAPSMPFNADEGWIRSNYSSKLDRDTVLLLRAFDSRLAKHLSVDLQKLRCKVAFEALRFKPWIESLANSFVERMEEEGPFLALHLRLEKDVWVRTGCHSGLGIEADLAIERIRSSKPHLLTSRSKLTAQERSHAGLCPLNANEISRLLKGLGAPRNIRIYWAGGEPFGGVKALQPLESQYPNLFNKWDLANPQELDGIKDKPSIMAALDYIVCLRSRVFLASHGGNMARSLQGHRTFIGSGKNIKPKKKLLVQLFLDGSLTDAEMRNRIQHIHRESTDLSSIMKNQSKIDVIAFPTPYCMCSGGRSSNVSASN >Ma06_p34440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34666737:34667679:1 gene:Ma06_g34440 transcript:Ma06_t34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPNGNSSHFSLSLSVSQIRRERSEAMPCLPGTRTCIEHQEEETEWERCTAHEVPEAVASYHEHAVGLNQCCSAVVQAVKAPVAAVWSVVRRFDQPQAYKQFVRRCDVVVGDGDVGTLREVSVVSGLPAATSTERLEILDDERHVLSFRVVGGEHRLANYRSVTTLHQDGGSGGGGGRTVVVESYVVDVPPGNTTEETRVFVDTIVRCNLQSLARTAQGLSSSAAATAAAGGQRIIK >Ma05_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4791156:4792666:1 gene:Ma05_g06420 transcript:Ma05_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPPLVQHESTCTVKPRVALPGTPCHLTPWDLCTLSMHYIQNGLLFSNRHSSLPADDAVRSLETALSIALLHFPPLAGRLVSEIAYDDEGQPAGMHAFVVCGDRGAEFTRAVAKHITVADVLSPSDDEPSFVEAFFPLNGVVNYDGRSLPLLAVQFTELADGFFLACSFNHAVGDGAAYWHFFNSWAEIARNAGAGGLSRPPVHERWFIDGRENTPLTLPYTHPDKFIERFASTAISSLVWRSITRARDLPPQKKTSCSLAIDNRHRLRPPLSPDYFGNSVDIIEATATAGELLAPGLGWGAWLIHQAVADHTDRAVREAMTAWMAGPAMYKLSGHDTCGVKMGSSPRFDVYRCDFGWGKPAAVRSGRANKYEGKVTFHPGREGGGSMDLEIRLEPECMKALQCDEEFTSAVCPPPELEII >Ma08_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36027527:36031717:-1 gene:Ma08_g22450 transcript:Ma08_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRALNPMRGNCNVVARCCRMNLHVASSSIDNGECHCEEAGINRSFIPSKSVSCGPISLRSFVWSRNLSSQVGQKSSDKEDDLEDGFSDLEEPPESAEVNNGSDKEDKELMSEGELSEESDEAADNSLGLLAVESTSSEVKGPQRRTLYSPLFKTIMDSPRQSLTSALNKWAEEGKPLGREEISSAMLNLRKRRLYVTALQFTEWLEANGHIDFIERDYASHLDLIAKVSGLQKAEKYIEKIPESFRSEVVYRTLLANCAGAVNVKKAEEVFNKIRDLGFPISAFACNQLLLLYKRLDRKKIADVLLMMEKENVKPTLFTYKILIDTKGRANDISGMEQIVETMKAEGMEPDIMTQAMVARYYIFAGLNEKAEAALKEMEGDDFKENRNVCKVLLPLYAALGKVDDVGRVWKVCEANPRLDECLAAIEAWDKLGQIETAEEVFENISKKWKLSSKYYNAMLKVYANNKLLTKGKELVKRMSNSGCRIGPLTWDALVKLYVEAGEVEKADSILQKAAQQNQNRLLLSSYMAVMDHYSNRGDVHNAEKIFHRLRQVGYIGRMRQYQSLLQAYVNAKTPAYGFRERMKADNMFPNKALAAQLAAIDAFKKTPFSELLD >Ma01_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13717413:13720877:-1 gene:Ma01_g18480 transcript:Ma01_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTRPSLSAAVAVVVLVSTAVVAATSVNVTTLAFDDGYAPLFGKGNLVRSADGRSVSLLLDRYSGSGFISSDMYHHGLFSASIKLPSDYTAGVVVAFYTSNGDVFEKSHDELDFEFLGNIRGKEWRVQTNVYGNGSTSRGREERYYLPFDPTADFHRYSILWTADNIIFYVDDTPIREVRRSEAMGGDYPSKPMSLYATIWDASNWATSGGRYKVNYKYAPFVSSFADLTLLGCRLDPIQQVPTTRSGCPAAAAEIAATGLAVMTPEKRRAMRAFRRQYMSYSVCYDRVRYPAPFPECDIVETEKKRFGETGRLRFRRHRRRVPRGGRVALPKPSTAPLFYSVGKGSAAAYLSSGAATSYFGVGDCSATSCPHKNLAYTTMVVVVLATAPWPAISPPHSHISLEREVLLSLSLLHNLVEVLDVDIAYPIS >Ma02_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23764598:23765577:-1 gene:Ma02_g16360 transcript:Ma02_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 41 [Source:Projected from Arabidopsis thaliana (AT3G02550) UniProtKB/Swiss-Prot;Acc:Q9M886] MSCNGCRVLRKGCSDGCTIRPCLQWIRSPEAQANATVFLAKFYGRAGLINLINAGPPHLRPAIYRSLLYEACGRIIDPVYGSVGLLWSGSWRVCQAAVESVLLRGEPATRFPADATDPKPHDIRCMTRIPSDIGAGANCDLHKATANSRVRFKRSANALRPPSSPSSDPFAEGMHQTEAEGKLPEETVQEDDADELDLTLGFEPAARGAAGGRGPVIRQNGEGTAWFSEGCPEDLRLRLR >Ma04_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17241539:17241976:-1 gene:Ma04_g17040 transcript:Ma04_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGDYKEDAGVKVDLPAEDVRMEGEEVVGA >Ma07_p28640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34621714:34622745:-1 gene:Ma07_g28640 transcript:Ma07_t28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSRISILDRCKEWFTSERLLHPRWILIRRPGLLRREPRRRVQRRRWGAPIVGGGNCRYTGCAADGGRYSQLFKAACAAAYSYAYDDVTSTITCAAGTAGYIVAFCPSYADFKS >Ma08_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10067906:10069081:1 gene:Ma08_g12870 transcript:Ma08_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHLASLPRLTSVFPGEKLGLYLAASLHAVSSVLVKESSGTQLPIYYVSHVLSGPEEHYPPIEKLALALVLSAWKLRPYFQAHSVEVVTDQPLRQILTKYDVAGRLLRWAVELGEHDISYAPRTAIKAQAVTDFIVELARVDKHLEGAPEAWTLHVDGSANSRGAGAGLVLLAPDGRSFERSLRFGFKATNNEAEYEALLARLRLALEMQVAAIHVLTDLQLVAEQLSDGYEARDATMAKYLARVRDLAVKFPHFTLSNVPREENGRADALAKLASRRTPEAWPEMEELPAPAIEVATTAPGGAPTTWVQELLRFKRDGTLPLDEGAARRLRRTHAWYTEESGRLYKRSFTYPLLRCLEPDKARTVLAKTHEGICGEHIGGRTLAHKILR >Ma03_p30720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33222186:33230021:1 gene:Ma03_g30720 transcript:Ma03_t30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTAAIGPIARHHPIRWRRVTGPPRRVAVAVAAARKAIEGVSDELNAVAAENLDFAPARRRVRAAFADVQRRLDHFLFKMAPTGIQMEERYKTNSKGMEIFWKSWLPKPGTTTKAALFFCHGYGDTCTFFFEGIAKRIADAGYAVFAMDYPGFGLSQGLHGYIPSFDGMVDQVIERYAAIRGTKEVRGLQHFLLGQSMGGAVALKVHLKQPEVWGGVLLVAPMCKIAEEVTPPGPILKALSLMSYVLPEAKLFPLKNIGDLAFRDPEKRKVAEFNVISYADQMRLRTAVELTKATRDIESQLEKVCAPLLILHGAADKVTDPNVSKFLYAKASTKDKTLKLYAEGYHSILEGEPDERISSVINDIISWLDSHTETY >Ma01_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10652843:10654657:-1 gene:Ma01_g14570 transcript:Ma01_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPDLSTVLPRVLIVSRRCVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHMLLDSFEPIHGVLLCEGEDIDPSHYETDISGLSPEELDEIRNLHTSDTAIDREKDSIELRLAKLCLERNIPYLGICRGSQILNVACGGTLYQDVERELSKKDDAGGSTATVHMNYADYDGHRHAARVVENTPLHSWFRESLDEDKMELEVNSYHHQGVKRLAERFVPMAFAGDGLVEGFYDPDAYNPEEGKFIMGLQFHPERMRRSESDEFDYPGCPRAYQEFVKAVIAYRRKVNLNRAPKMDQELEKKRKTIVRSFSIAKNLYDARLDMPPSEEQDLEAGAEFLESNTALSIQQEQRLKQMGATVRNASSYLQKLKMNEGREMVARNVMRKMSVEQLSELLSFYHMMGQICSDVLERKIKAA >Ma08_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35514955:35517636:1 gene:Ma08_g21700 transcript:Ma08_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRLVTGLPGPSGFGSASTAEQVTDGIDASHLTVIITGGASGIGEETARVFALRGAHVIIAARNMAAANDVQQHILQCTPMAKVDVLKLDLSSLKSVRAFADKFLSLDLPLNILINNAGVMFCPYQLSEDGIEMQFATNHLGHFFLTNLLLDKMKTTAEKTGIEGRIVNLSSIAHLNTYEGGIWFDKLNHKEVYLDKKAYGQSKLANILHANELSRRLKEDGANITVNSVHPGLIMTNLMRHSFHLMRVLKLFTYIFWKNVPQGAATTCYVALHPSLKGVTGKYFLDCNEEKPSYLARDETLAEKLWDFSEKLAASTK >Ma04_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1128968:1131870:-1 gene:Ma04_g01280 transcript:Ma04_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRTSLLPESSNLSPRRDHIMSLQGRRNEELQGSIRSKERLGLLFGALSAFGTLDLPTWSINCSADVPYASGIKPLLYLVLQIASCTHFFSFFLFFVELCTR >Ma08_p33360.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43691542:43695762:1 gene:Ma08_g33360 transcript:Ma08_t33360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSARLVAITYLLGRTTNPNPSLIRGSSGCYLYASLRAISSASIPLPLRNQWPFSRNPSNSWASSPWIRFGEICGRLTFALIIICSGQRRSLFIQTQSTPNPLSLMFYPGQPVMEVGSADFPNARSAMTSPLAKSMFEIDGVSRVFFGSDFVTVTKSEEASWDFLKPEIFAAIMDFYSSGKPLLLDSNVAAYMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPDSGIVTLRMQGACSGCPSSSVTLKSGIENMLMHYVPEDEAVKNLDGNRRSQITVTYHFVLLLISYI >Ma08_p33360.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43691542:43695762:1 gene:Ma08_g33360 transcript:Ma08_t33360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSARLVAITYLLGRTTNPNPSLIRGSSGCYLYASLRAISSASIPLPLRNQWPFSRNPSNSWASSPWIRFGGQRRSLFIQTQSTPNPLSLMFYPGQPVMEVGSADFPNARSAMTSPLAKSMFEIDGVSRVFFGSDFVTVTKSEEASWDFLKPEIFAAIMDFYSSGKPLLLDSNVAAYMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPDSGIVTLRMQGACSGCPSSSVTLKSGIENMLMHYVPEDEAVKNLDGNRRSQITVTYHFVLLLISYI >Ma08_p33360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43691542:43695762:1 gene:Ma08_g33360 transcript:Ma08_t33360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSARLVAITYLLGRTTNPNPSLIRGSSGCYLYASLRAISSASIPLPLRNQWPFSRNPSNSWASSPWIRFGGQRRSLFIQTQSTPNPLSLMFYPGQPVMEVGSADFPNARSAMTSPLAKSMFEIDGVSRVFFGSDFVTVTKSEEASWDFLKPEIFAAIMDFYSSGKPLLLDSNVAAYMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPDSGIVTLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVDQELDTDEGASSSSQTE >Ma08_p33360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43691514:43695762:1 gene:Ma08_g33360 transcript:Ma08_t33360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSARLVAITYLLGRTTNPNPSLIRGSSGCYLYASLRAISSASIPLPLRNQWPFSRNPSNSWASSPWIRFGGQRRSLFIQTQSTPNPLSLMFYPGQPVMEVGSADFPNARSAMTSPLAKSMFEIDGVSRVFFGSDFVTVTKSEEASWDFLKPEIFAAIMDFYSSGKPLLLDSNVAAYMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPDSGIVTLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVDQELDTDEGASSSSQTE >Ma08_p33360.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43691542:43695762:1 gene:Ma08_g33360 transcript:Ma08_t33360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSARLVAITYLLGRTTNPNPSLIRGSSGCYLYASLRAISSASIPLPLRNQWPFSRNPSNSWASSPWIRFGEICGRLTFALIIICSGQRRSLFIQTQSTPNPLSLMFYPGQPVMEVGSADFPNARSAMTSPLAKSMFEIDGVSRVFFGSDFVTVTKSEEASWDFLKPEIFAAIMDFYSSGKPLLLDSNVAAYMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPDSGIVTLRMQGACSGCPSSSVTLKSGIENMLMHYVPEDEAVKNLDGNRRSQITVTYHFVLLLISYI >Ma08_p33360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43691542:43695207:1 gene:Ma08_g33360 transcript:Ma08_t33360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSARLVAITYLLGRTTNPNPSLIRGSSGCYLYASLRAISSASIPLPLRNQWPFSRNPSNSWASSPWIRFGEICGRLTFALIIICSGQRRSLFIQTQSTPNPLSLMFYPGQPVMEVGSADFPNARSAMTSPLAKSMFEIDGVSRVFFGSDFVTVTKSEEASWDFLKPEIFAAIMDFYSSGKPLLLDSNVAAYMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGILG >Ma08_p33360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43691542:43695762:1 gene:Ma08_g33360 transcript:Ma08_t33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSARLVAITYLLGRTTNPNPSLIRGSSGCYLYASLRAISSASIPLPLRNQWPFSRNPSNSWASSPWIRFGEICGRLTFALIIICSGQRRSLFIQTQSTPNPLSLMFYPGQPVMEVGSADFPNARSAMTSPLAKSMFEIDGVSRVFFGSDFVTVTKSEEASWDFLKPEIFAAIMDFYSSGKPLLLDSNVAAYMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPDSGIVTLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVDQELDTDEGASSSSQTE >Ma08_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1243657:1245465:-1 gene:Ma08_g01380 transcript:Ma08_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEQKTACVTGGNGFIASTLVKQLLEKGYAVNVTVRDPDNASKVGRLKQLRSLGRLTIFRADLSEEGSFDAAVSGCHYVFLVAAPVNMAAEDAENELIKPAIQGTLNLLRSCVKAKTVERVVLTSSAASVSINELKGTGMVLDEEAWSDLTYLTSKKPPSWGYAVSKVLAEKEASKFALENGIDLVTMIPALTIGPALGAEVNLSLMLGVSLLSGNEELIDGFRIMQTLSGSISFTHVEDICRAHIFVAETESASGRYICCSINTSLPELAKFLSERYPRYKVPTDFSDLPEKPKLMLSSEKLIKAGFEFKYKQLEDIYDDTVQFAEAVGLLQPKSKGSHHQTTTGKGA >Ma09_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3395141:3397851:1 gene:Ma09_g05270 transcript:Ma09_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSREEVLPCLLKCVASKKVAGFDSIERGTGVASQAQLAAATNWQGETAGGKETGDAYGHLPADATSIPVNSALRHDTNLSYSIPKSLPASPCGFHVLQIESGPSEMARPVLQPANSAAVELEQPKQGKLRSQPIPLGKSRRASGIDNQRDKRFDPFKTWSGRFERQLSSFRGRPQEHDCEANDGCIVEVEPLPAVDRYFDALEGPELDTLRVSEVSVLPEDTKWPFLLRFPISAFGMCLGVGSQAILWKTLATSPSVGFLHVSLTVNLALWCMSLVLMSTVSLIYACKIIFYFEAVRREYDHPVRGNFFFAPWIACLFLVQGVPPSVAEKPPAALWYALMAPIFCLELKVYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALAGASVGLREGPIFFFAVGLAHYTVLFVTLYQRLPTNVTLPRELHPVFFLFVAAPSVACMAWATINGDFDYGSRIAYYVALFLYFSLAVRINLFRGLRFSLAWWAYTFPMTGFSIATIRYSMEVTNAFTQALSVGFSAISTFTVTALLVSTIVHAFVLRDLFPNDISIAITRKGPTFSKSIVHPRSINSDINEVEAAA >Ma03_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3365152:3368219:1 gene:Ma03_g05160 transcript:Ma03_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGERGISSLVAARRSLQASLEKSRTLGAALARAGPRLDEILQRLPSLEAAVRPIRADRDALAAVGGHIDRAVGPAAAVLKVFDAVHGLERSLLSDPRADLSGYLSVLKRLEEALRFLSDNCGLAVQWLDDIVEYLDDHALADSRFIEALKTSLASLKLSPYPLDGGLLAAALDKLESEFRRLLAEHTVPLPMPSDTVDAPTIAPSPIPVPVINKLRAILLRITANSRLDRCISIYVDVRGSNIRASLGSLDLEYLEITPAEFNDVQSIEGYVDKWSRHLEFAVKHLFEAELKVCIEVFERCGPRDVALSCFAEIAAQAGILAFLRFGKTVTETRKDPIKLLKLLDIFATLNRLRLDFNRLFGGKACVEIQNQTRDLIKRLIDGACEIFWELLHQVELQRQMPPPSQGSVPRLVSFVTDYCNKLLSEEYQPVLTQVLIIHRSWKQEKFRERLLTDAILDIFKALETNFETWSKSYGDTILSYLFAMNTHWHFYKNLKGTKLGKLIGEAKLKEHEQYKDYYAAIFLRESWGKLPSLLSREGLILFSGGRGKARDLVKQRLKAFNASFDEMYQKQSNWVISDKELREKICQWIVQTIVPTYRSYMQNYGPLVEQDASASKYAKYTAQSLEKMLGSLFQHKPGRTMSLNIRHSNGKLNSVMNNLSRSASTVS >Ma03_p18760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24279403:24280389:1 gene:Ma03_g18760 transcript:Ma03_t18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGCGCGSGCSCSCGGACGSCKMFPDLGGERGTTAAGIIDLGVATLKGHIEGFEVANGSEGGGCDCSKCKCGSSCSCACCGCN >Ma03_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24279405:24280389:1 gene:Ma03_g18760 transcript:Ma03_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGCGCGSGCSCSCGGACGSCCKMFPDLGGERGTTAAGIIDLGVATLKGHIEGFEVANGSEGGGCDCSKCKCGSSCSCACCGCN >Ma10_p26360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34093638:34098191:-1 gene:Ma10_g26360 transcript:Ma10_t26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDAAVLDDVIGRLLVAKGVQAAKSARVTDAEIRQLCVASKEIFVRQPNLLELEAPIKICGDIHGQYTDLLRLFEYGGYPPHANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPELKSLDQIRNIARPLDVPDQGLLCDLLWSDPDKDIDGWGENDRGVSYTFGPDKVAEFLRKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKPADKKKGFGNNLLKPGTPPRKGYVVI >Ma10_p26360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34093638:34098191:-1 gene:Ma10_g26360 transcript:Ma10_t26360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDAAVLDDVIGRLLVAKGVQAAKSARVTDAEIRQLCVASKEIFVRQPNLLELEAPIKICGDIHGQYTDLLRLFEYGGYPPHANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPELKSLDQIRNIARPLDVPDQGLLCDLLWSDPDKDIDGWGENDRGVSYTFGPDKVAEFLRKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKPADKKKGFGNNLLKPGTPPRKGYVVI >Ma10_p26360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34093638:34098191:-1 gene:Ma10_g26360 transcript:Ma10_t26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDAAVLDDVIGRLLVAKGVQAAKSARVTDAEIRQLCVASKEIFVRQPNLLELEAPIKICGDIHGQYTDLLRLFEYGGYPPHANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPELKSLDQIRNIARPLDVPDQGLLCDLLWSDPDKDIDGWGENDRGVSYTFGPDKVAEFLRKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKPADKKKGFGNNLLKPGTPPRKGYVVI >Ma04_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5943099:5943293:1 gene:Ma04_g08260 transcript:Ma04_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWSSMDGPRKSTACSWLDSRNLGRGIGEASPAASSSPQGPQPKWPVTLAYTRRRAALASLTR >Ma06_p33440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34079894:34083709:1 gene:Ma06_g33440 transcript:Ma06_t33440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGSSNSPPPFLTKTYEMVDDPSTNSIVSWSPSNTSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKTDPDQWEFTNDDFIRGQRHLLQNIYRRKPVHSHSLHNQGNTSEAERQELEEEIERLKQKKGTLLSEFQKQTQQRHGMEHQMQSLEDRLQVLENRQRSLMDFLAQIIQKPGFLSNIVQFSDLRSKKRRLPKIDFLGEDAIMEDNQIASFQPVAREKSDILPMYALDMEPFEKMESSLNSLENFFKSVSQASGDDVCYDSIVPCLPSNVILDEMNASSGETDVNLQLLFPKLHPPSPCPGDIHSSPEVAESTSDAETSVIPATEIQTDSQSKVSKIDMNLAPAAIDIDSSRGQTTGTVAQTGANDVFWEQFLTEIPGSSETKEVQSKRRDLDDKQSQGEMGERGNTWCDRKNVDHLAGKMENLTSAD >Ma06_p33440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34079894:34083709:1 gene:Ma06_g33440 transcript:Ma06_t33440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGSSNSPPPFLTKTYEMVDDPSTNSIVSWSPSNTSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKTDPDQWEFTNDDFIRGQRHLLQNIYRRKPVHSHSLHNQGNTSEAERQELEEEIERLKQKKGTLLSEFQKQTQQRHGMEHQMQSLEDRLQVLENRQRSLMDFLAQIIQKPGFLSNIVQFSDLRSKKRRLPKIDFLGEDAIMEDNQIASFQPVAREKSDILPMYALDMEPFEKMESSLNSLENFFKSVSQASGDDVCYDSIVPCLPSNVILDEMNASSGETDVNLQLLFPKLHPPSPCPGDIHSSPEVAESTSDAETSVIPATEIQTDSQSKVSKIDMNLAPAAIDIDSSRGQTTGTVAQTGANDVFWEQFLTEIPGSSETKEVQSKRRDLDDKQSQGEMGERGNTWCDRKNVDHLAGKMENLTSAD >Ma06_p33440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34079035:34083709:1 gene:Ma06_g33440 transcript:Ma06_t33440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGSSNSPPPFLTKTYEMVDDPSTNSIVSWSPSNTSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKTDPDQWEFTNDDFIRGQRHLLQNIYRRKPVHSHSLHNQGNTSEAERQELEEEIERLKQKKGTLLSEFQKQTQQRHGMEHQMQSLEDRLQVLENRQRSLMDFLAQIIQKPGFLSNIVQFSDLRSKKRRLPKIDFLGEDAIMEDNQIASFQPVAREKSDILPMYALDMEPFEKMESSLNSLENFFKSVSQASGDDVCYDSIVPCLPSNVILDEMNASSGETDVNLQLLFPKLHPPSPCPGDIHSSPEVAESTSDAETSVIPATEIQTDSQSKVSKIDMNLAPAAIDIDSSRGQTTGTVAQTGANDVFWEQFLTEIPGSSETKEVQSKRRDLDDKQSQGEMGERGNTWCDRKNVDHLAGKMENLTSAD >Ma06_p33440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34079894:34083709:1 gene:Ma06_g33440 transcript:Ma06_t33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGSSNSPPPFLTKTYEMVDDPSTNSIVSWSPSNTSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKTDPDQWEFTNDDFIRGQRHLLQNIYRRKPVHSHSLHNQGNTSEAERQELEEEIERLKQKKGTLLSEFQKQTQQRHGMEHQMQSLEDRLQVLENRQRSLMDFLAQIIQKPGFLSNIVQFSDLRSKKRRLPKIDFLGEDAIMEDNQIASFQPVAREKSDILPMYALDMEPFEKMESSLNSLENFFKSVSQASGDDVCYDSIVPCLPSNVILDEMNASSGETDVNLQLLFPKLHPPSPCPGDIHSSPEVAESTSDAETSVIPATEIQTDSQSKVSKIDMNLAPAAIDIDSSRGQTTGTVAQTGANDVFWEQFLTEIPGSSETKEVQSKRRDLDDKQSQGEMGERGNTWCDRKNVDHLAGKMENLTSAD >Ma06_p35680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35442849:35443754:1 gene:Ma06_g35680 transcript:Ma06_t35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRSVFGGSTSAAEDEGGVAFWSNPERAGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSHVTRASAPRGVIPVASCLTVKGAEDVLNRPFAFEISTRAETMYFIADTEKEKEEWINSIGRSIVQHSRSLADAEVVDYESTKRTAKAPDGDQI >Ma09_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32431090:32438425:1 gene:Ma09_g21440 transcript:Ma09_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATVAKGLEANPVLSPSCLGGVRRPTTLSYSLPVGSVAKPGSFGGMRAGGCGRRSAPLRVSASVAVSAEKPSAVPEIVLQPIKEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVRYMLAALRTLGLSVEDDVATKRAIIVGCGGQFPAGKASKEEVQLFLGNAGTAMRPLTAAVTAAGGNASYILDGVPRMRERPIGDLVAGLKQLGADVDCFMGTNCPPVRVNTTGGLPGGKVKLSGSISSQYLTALLMAAPLARGDVEIEIIDKLISIPYVEMTLKLMERFGVKVEHTDNWDKFFIKGAQKYTSPGSAYVEGDASSASYFLAGAAVTGGTVTVEGCGTTSLQGDVKFAEVLEKMGAKVSWTENSVTVTGPPQDPSKKKHLHGIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPDYFDVLERFTKN >Ma04_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7638099:7641632:1 gene:Ma04_g10760 transcript:Ma04_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRILVEKIVPPSKTSAGILLPEKTTKLNSGKVVAVGPGFHCSNGNLIPISVKEGDTVLLPEYGGTEVKLGDKEYHLYKDDDILGTLHD >Ma04_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21560343:21564151:-1 gene:Ma04_g19020 transcript:Ma04_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYGALCLSPQVQPKLINSTANVRTHRPSLPFRSHRRSLPLLPQATSITSSASDFPGEHVVGDPERKPTARRPKRRSVAGVDQEELVEPRELADADSFFCEFNGVEIHYKTCHHEEIVEEEEVRENDRRVSPKVAITFPIILLHGFGASAFSWDRVMKPLSRLVGSKVLAFDRPAFGLTARRTVRSGDDDDDSLARPLNPYSMTFSVLATLFFIDLLAAAGKKKEEEKAILIGHSAGCLVAVETYFEAPDRVAALILVAPAIVAPLTLRRIDKEKEMRKDSLKEDGDSNLLIASLNPFMRIWKALKNLCMHLLEGVLSILKGMRDMICSFYTKALSALLRSAFAAMLVRMIIDKFGILAIRYSWFDPSQVTDHVLQGYTKPLKTKGWEMALLEYTSALLTDSSSKPPLSGRLAQISCPVLIITGDSDRVVPSWNAECLSRVIPGSSFEVIKNCGHLPHEERVEEFLFVVENFIRRVFGVLDEQLILAAA >Ma04_p19020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21560504:21564061:-1 gene:Ma04_g19020 transcript:Ma04_t19020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYGALCLSPQVQPKLINSTANVRTHRPSLPFRSHRRSLPLLPQATSITSSASDFPGEHVVGDPERKPTARRPKRRSVAGVDQEELVEPRELADADSFFCEFNGVEIHYKTCHHEEIVEEEEVRENDRRVSPKVAITFPIILLHGFGASAFSWDRVMKPLSRLVGSKVLAFDRPAFGLTARRTVRSGDDDDDSLARPLNPYSMTFSVLATLFFIDLLAAAGKKKEEEKAILIGHSAGCLVAVETYFEAPDRVAALILVAPAIVAPLTLRRIDKEKEMRKDSLKEDGDSNLLIASLNPFMRIWKALKNLCMHLLEGVLSILKGMRDMICSFYTKALSALLRSAFAAMLVRMIIDKFGILAIRYSWFDPSQVTDHVLQGYTKPLKTKGWEMALLEYTSALLTDSSSKPPLSGRLAQISCPATALSHRGMLSVFHESYLVPALK >Ma03_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23586234:23588219:1 gene:Ma03_g17990 transcript:Ma03_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPDLYISSAPPPFLGRLLRFFSAFPRPKWNSNRNLVVTHPILSIMESCDSMAHLKQIQARLTTAGLMSQRFPASRVLAFCALFDPSDMAHAALVFRGISSPNPYIWNTMIRGYNRANFPLSGFSCFRRMVRDRVEMDGRSFVFVLKSCEQLPEASPGEGIHCVACKAGFISHLLVGNGLVRFYATQGPLASARRVFDALSERDVVSWTTMIDGYSESRKPHEALRLFYQMLMTGTQPNDITFIAILSAISQLGELKFGRLMHDNIGRSGIDASINLLNALVDMYGKCGSVADAKEVFDDMPIKDVFSWTSMMSAYAKCGNLDLARQLFDNMPEKNVVTWSSMIAAYSQSNQPKQAVQLFYEMIAAHVKPIDATLVSVLSACAMLGCLDLGRWIYEHYIDGKMIRLSVKLANAFIDMYAKCGDIAEAAKLFDEMPEKDVVSWNTMILAYAVHGYSKAALFLFEHMKNTQLMPDDITFVGVLSACCHGGLVVEGRRHFADMKSIFGIEPKGVHYACLIDLFGKFGLLKEAHELVKGMPVEPDGAAWGALLNACRMQGNVELGKFAGEMLLGLEPGDSGIYVLMANLYATRREWDDVKKVRKIMKERGVKKTPGCSSIELVGKFHDFHVADVSHLQSKEIYATLNNIYTQLKIEGYVPQTKC >Ma04_p08810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6289642:6293285:1 gene:Ma04_g08810 transcript:Ma04_t08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MDIEAAAVDKDFSAKERLNELCPLNGVDPKKARFPCCIVWSPLPVVSWLAPYIGHVGICREDGTVLDFAGSNFVNIDNFAYGAVARYLQLDREQCCFPPNLSAHTCEQSYKHAEQGTAISWDDALHSSTQHFSHKYYNLFTCNCHSFVANCLNRLAYKGSVWWNMLNLAALILWKGQWVDGMSVLRSFFPFVAVLFVGVLMAGWPFLIGMAAFSFLLIGWFVFGIYCTKNLIE >Ma04_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6289642:6293329:1 gene:Ma04_g08810 transcript:Ma04_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MDIEAAAVDKDFSAKERLNELCPLNGVDPKKARFPCCIVWSPLPVVSWLAPYIGHVGICREDGTVLDFAGSNFVNIDNFAYGAVARYLQLDREQCCFPPNLSAHTCEQSYKHAEQGTAISWDDALHSSTQHFSHKYYNLFTCNCHSFVANCLNRLAYKGSVWWNMLNLAALILWKGQWVDGMSVLRSFFPFVAVLFVGVLMAGWPFLIGMAAFSFLLIGWFVFGIYCTKNLIE >Ma00_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39114959:39116219:1 gene:Ma00_g04560 transcript:Ma00_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKQRSTLPLRVYGDFGPQYELLREEDEDTLIVNLAGFRKDQLKVEINRGGTLEMPGERPLAGTNSLNHIRAKFENGLLQIWLKILPK >Ma06_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6845245:6846459:-1 gene:Ma06_g09850 transcript:Ma06_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSLSLQVIPWCFHVVGGTWRPAAARRTNPAPPSQAGAGVRLIGCDGRVRVYHRPVAAAELMREHPSHLVCRSDAFFIGQKVPPLAAGDQLQPGQSYFLLPSHFFRSVLSFVTLATSLVTPTAGGARKSALRPFDIHKTATGTLQIRVSDEFLIEGVREEESCTVISRVVTTEALEKEYKLLMRCKSKQWRPKLETITESERRSRGVGLFGVFKSRRKKKNKNGSQ >Ma03_p28350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31477563:31482797:1 gene:Ma03_g28350 transcript:Ma03_t28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKPGVIALFDVDGTLTAPRKVITLQMLEFMRDLREVVTVGVVGGSDLVKITEQLGKTIMNDYDYVFSENGLLAHKNGELIGRQSLKSFLGDDRLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPQMVSVLREKFAHMDLTFSIGGQISFDVFPQGWDKTYCLKYLDEFQEIHFFGDKTYKGGNDYEIYGSERTIGHTVTSPDDTAAQCRSLFLEN >Ma05_p30260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40729955:40732480:1 gene:Ma05_g30260 transcript:Ma05_t30260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTHKEERTKKMTAHERLQNELCAVNDRHVMRDRCLDSQDFHSVQSWLEAENDEPVKSSLSSDAEFHSSSYDDQNGSPSDGLVTLEHIRMEILRKADELREEISEVFDRSEEGQGRFHLTEEKLPPKAKNVPRPHRFTNHLPRSPHVACLHCRHGECHRTSVKPTTKARIEHEEAGSRRRMKRHCRPVLGGAPFVVCYNCLQLLMLPLDFFIARRRLCKLQCGACSKVLMFSFRTRNRGVPFVPIEEEQPTSEVETSADATLGQEISNSPSNDSSRWDSLSCSDENGLSLGISYSTDVERNDKLPPFLQLHQLMGYGSATEFLYRHSDVMDEELEATEPSTPHRSSPEEEEAYVGDGIEETAIEGDESAGRSRTRKPPLHGLLKIMKLRIQKTGRKNSD >Ma05_p30260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40729955:40732482:1 gene:Ma05_g30260 transcript:Ma05_t30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTHKEERTKKMTAHERLQNELCAVNDRHVMRDRCLDSQDFHSVQSWLEAENDEPVKSSLSSDAEFHSSSYDDQNGSPSDGLVTLEHIRMEILRKADELREEISEVFDRSEEGQGRFHLTEEKLPPKAKNVPRPHRFTNHLPRSPHVACLHCRHGECHRTSVKPTTKARIEHEEAGSRRRMKRHCRPVLGGAPFVVCYNCLQLLMLPLDFFIARRRLCKLQCGACSKVLMFSFRTRNRGVPFVPIEEEQPTSEVETSADATLGQEISNSPSNDSSRWDSLSCSDENGLSLGISYSTDVERNDKLPPFLQLHQLMGYGSATEFLYRHSDVMDEELEATEPSTPHRSSPEEEEAYVGDGIEETAIEGDESAGRSRTRKPPLHGLLKIMKLRIQKTGRKNSD >Ma02_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7301672:7307846:-1 gene:Ma02_g00940 transcript:Ma02_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCSRDCKNLRAKEKKRLGDQGEELVKGDQVTGAVKRDQGTELINQQHPAKLDDGDYVNFEFDNMKAEIAKITEKGGLISYEGVEKLVNLMQRGRTKKKIDLAGRIMLADVIAATDKYDCLDKFVQLMGVTVLDDWLQEVHKGKPSDGRRHIDSDKVVEDFLLSLLRALDKLPVNLSALQTCNIGKSVNNLRTHKNLEIQKKARSLVDTWKKRVNAEISKTNDAKSVGSGRSVSCSVKPCSYDVSHSGNKRSGSTNVAAKMHSTQPSACRALSNKYGAPDSTKKETFPASIVVSLKDPHCKTVEDKSSDSSQSQNNSDQAKTMASSSKEEARSSTAGSTTTSKLSGDSYHHRRSSNGLLGTSIAACQRENHSGRSGSVNRVMTLEKASQCGQTSERPTDVPVDDHGNTNSLIIRLPNHGRSHRHSASGDSFEDPLVMSSRSSSPGIPDKQHHNNHKRQKNDVSQSHVATDANTEPLQCNDVKEDAGAEGVISPTDILDEEHGKFVDGSGKVADMIITACLSSGNENVVSLTRPRRRNSCSSIHALIESCAKHSEASTPLAVRDDMGMNLPATVAAGEISKSDLISSTDSLGTSPVAEDPSNGNGESKLGLSCDDNMVKRNIQYDEAADPNSEKQGKSVGSVLPMEPLQQENTNFTSNSSNAIQLQDNKLTNDPTTQSTVSCMKVEVNIGEERIHKCISSSKPAEVECDADGAFPLKDKRMTGGQVSDIYTDGKPNSTSSSMDENKFLECECEKIGDGSICTSDVKISYRCDLDIAVSGRNLEKLHVEKKTSSVVVKEVFGGTNSIDQQQALASDAVDGSVNVVVLLATDNVPSPKAADESRNRKSGNSGINHLESRHEANEPNSIPVSSIVEPVGSTVVSLVTAQIVGSLKEAHENCPLGSASQEPPSTLGTEDTENSAKSISSKISAFDSDGRKALASSAEPCSLIASAEPDVASKLEFDLNEGLPADDENDGQPAVSAAAASSSTIHLSNLSSCANFISNCSPTSITVSAPAKGPFVPPESLLKTKGEPGWKGTAATSAFRPAEPRKTLEMTPNDSDVSSFYGAGKQGQPPLGIDLNIADERVTEDMASQSSVQTAVPVLGTVTDDAPTRTVMGVYLDLNLVDDSMESGQFLASTSCRLEMPLLPVRPASEANMLRNFDLNDRPSPNEVGIEPVQRNQQANSARDVPFLAPVTDHRTSNSASSWFHPNSYPAVAVPYFLYDRQEQSNQIVGTLGSQRILGSVIGGGNLGNDSYRGPVLSSSPAMAYSPAAAFSYGSFPLASSFPTASTSFPDTSTQYVDMSSGGGSCFPTFPSMLLGPSGATSSHYPRPYLISFPEGSTASVSDNSLIRSSQVLDLNTGSGSEKMEGKGERLASASKQLLAATSQAFMEEQTRMYGLPGVGSKRKEPEGSWDGDRSAKHLRK >Ma02_p00940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7301672:7308191:-1 gene:Ma02_g00940 transcript:Ma02_t00940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGGGVGDNQIGKDARLCMGGKVRRRNGSGTCGSIAADPLAFPSLNPLDSFSKKHQEEVDKLLNKTRLEMHAAVQSGLQKSQSTSASTLQLKSGSDRVQNSDTSFPSQAKEKKRLGDQGEELVKGDQVTGAVKRDQGTELINQQHPAKLDDGDYVNFEFDNMKAEIAKITEKGGLISYEGVEKLVNLMQRGRTKKKIDLAGRIMLADVIAATDKYDCLDKFVQLMGVTVLDDWLQEVHKGKPSDGRRHIDSDKVVEDFLLSLLRALDKLPVNLSALQTCNIGKSVNNLRTHKNLEIQKKARSLVDTWKKRVNAEISKTNDAKSVGSGRSVSCSVKPCSYDVSHSGNKRSGSTNVAAKMHSTQPSACRALSNKYGAPDSTKKETFPASIVVSLKDPHCKTVEDKSSDSSQSQNNSDQAKTMASSSKEEARSSTAGSTTTSKLSGDSYHHRRSSNGLLGTSIAACQRENHSGRSGSVNRVMTLEKASQCGQTSERPTDVPVDDHGNTNSLIIRLPNHGRSHRHSASGDSFEDPLVMSSRSSSPGIPDKQHHNNHKRQKNDVSQSHVATDANTEPLQCNDVKEDAGAEGVISPTDILDEEHGKFVDGSGKVADMIITACLSSGNENVVSLTRPRRRNSCSSIHALIESCAKHSEASTPLAVRDDMGMNLPATVAAGEISKSDLISSTDSLGTSPVAEDPSNGNGESKLGLSCDDNMVKRNIQYDEAADPNSEKQGKSVGSVLPMEPLQQENTNFTSNSSNAIQLQDNKLTNDPTTQSTVSCMKVEVNIGEERIHKCISSSKPAEVECDADGAFPLKDKRMTGGQVSDIYTDGKPNSTSSSMDENKFLECECEKIGDGSICTSDVKISYRCDLDIAVSGRNLEKLHVEKKTSSVVVKEVFGGTNSIDQQQALASDAVDGSVNVVVLLATDNVPSPKAADESRNRKSGNSGINHLESRHEANEPNSIPVSSIVEPVGSTVVSLVTAQIVGSLKEAHENCPLGSASQEPPSTLGTEDTENSAKSISSKISAFDSDGRKALASSAEPCSLIASAEPDVASKLEFDLNEGLPADDENDGQPAVSAAAASSSTIHLSNLSSCANFISNCSPTSITVSAPAKGPFVPPESLLKTKGEPGWKGTAATSAFRPAEPRKTLEMTPNDSDVSSFYGAGKQGQPPLGIDLNIADERVTEDMASQSSVQTAVPVLGTVTDDAPTRTVMGVYLDLNLVDDSMESGQFLASTSCRLEMPLLPVRPASEANMLRNFDLNDRPSPNEVGIEPVQRNQQANSARDVPFLAPVTDHRTSNSASSWFHPNSYPAVAVPYFLYDRQEQSNQIVGTLGSQRILGSVIGGGNLGNDSYRGPVLSSSPAMAYSPAAAFSYGSFPLASSFPTASTSFPDTSTQYVDMSSGGGSCFPTFPSMLLGPSGATSSHYPRPYLISFPEGSTASVSDNSLIRSSQVLDLNTGSGSEKMEGKGERLASASKQLLAATSQAFMEEQTRMYGLPGVGSKRKEPEGSWDGDRSAKHLRK >Ma11_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5407731:5409196:1 gene:Ma11_g06740 transcript:Ma11_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRTVYCTNIDKKVSQADLKLFFESICGEVYRLRVLGDCQHSTRIAFVEFVMAESATAALNCSGVVLGSMPLRIKPLKDSACARVHLSVPCGLIFESSRRVADSSVYPRIQIFKVSGTLGL >Ma11_p06740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5406565:5409196:1 gene:Ma11_g06740 transcript:Ma11_t06740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRTVYCTNIDKKVSQADLKLFFESICGEVYRLRVLGDCQHSTRIAFVEFVMAESATAALNCSGVVLGSMPLRIKPLKDSACARVHLSVPCGLIFESSRRVADSSVYPRIQIFKVSGTLGL >Ma07_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3467011:3468140:1 gene:Ma07_g04720 transcript:Ma07_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFRVYDTSSSSPSVPPSENGQAPAAPLLDREHMFDKVVTPSDVGKLNRLVIPKQYAERFLPLDPSLAVKGLIMSFEDLAGKQWCFRYSYWNSSQSYVMTKGWSRFVREKQLAAGDTVSFLRSAGNAGQDRLFIDLQHRPPRPITQFSLPGRPVGQWSGFIASSFYYRSACPRHVGVQADAGRSDMVSMPLVSGSVPVVFGTAKPKQLRLFGVNLEWPDAEGSSNSQSPPSLQPARWWST >Ma11_p16290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21842573:21850906:-1 gene:Ma11_g16290 transcript:Ma11_t16290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNQSRVERTEGQQRKSGRSGSSGQQRGFVDGGRKGGVSAPPTISSSTSHAVPPPSHITPPLSANRSLKKSGNGQGSQARVNLANASSEASGAAPTTTVHRAVQNGAQPWAPSPDGPGPGVAKPVVVPIPRNTSRAIPKAPSSQSAAGASNPAAPSAPAKGDMSRDIILQFGSINAGMMNGLQIPARTSSAPPNLDEQKREQAHSESFGAVPTSSVPSAQKQQHHQQTMKDVGGARQSGGGESHSISQVKRDASASVPSAPIVPAPKASALPISGMPVPMPMPFQPQPPQVPPQYGGPSPQLQSPGLAANSLQMSMTLPVGNTSQVAQQIYVPSIQPHFVQQQTMMHQGQGLAFAPPIGHQLPPQLRSLGIGIAPQFPQQQPGNFGGQRKTTVKITHPETHEELRLEKRIDSFKDGVASGQRPLPNVIPQAHSLPTYTASHQTKYFSPMQQNSYSHSQLMFPATVPAASGQAPAISQAPIYGTYPVSQSGQHLNFMNSSMINAVSGGKPAPSPLRHISEGDKLEGLPASASLPSAVKVTMKPSISSQAERVGASLSTPPVVISVPVSKPEAPEVKKTAVADTVPNQRHRETTPDKPSQQLKSGSGSLHNVSLPSTGTTSVAAPVLSTQIVLTEASSAPKTPDGDSATVLAGIDGKKGEPVQISDSLKDNQRKTSKKDARNSHQQCQLDASSPEGAESSPSKDTKVSFVATQDGSTKTESMRIFSTFELPTSPTRTSPQAENRILPEVGANETFEGKAMPAASGTSGAIWENESSQDCSQGSVDSSGAAPDYVSIKENFPSEAPTLAPMVVGTNFKTFVANSSVVNTVLKEHGKSEVTNDSLRDPNSAELQSSSFTSKSSQLADESMLLKQDDGVGYYEKVKSSGSDEVDNKVLRGSNDDVVCKMQENRIQDKQNNSTDSENAVGNDLSSTHDVKDKFDTLSIKHETRDREDVGLTDFGVASSFPKPSLSQAEEKPELDVFDLPSDGLVSATSLGQNEKLLSETSKPKITAGRKKKRKEFLSKADAAGTSDLYNAYKGPEEKLEVVSNLESANSSTSDTKIVRVDYPGKDVAASEQNGQNKAELDDWEDAADLSTPRLKTSEHGQLTGGARKQHQGDNIESTGRKKYSRDFLMTLAHQFTELPGGFEFGSDVTDVSMNILVGKSPVSSPGRIIDRPSGASRVDRRTVGTMDDEKWIKSPGSFGPGPGHGVSIVSLRPGQGVSHGVLRNSRGQGGILSGPTQSMASQGGMPRGNPDADKWQRARGLMPSPQAPLQAMHKAERKYEVGKVSDVEETKQRRLKAILNKLTPQNFDRLFAQVEEVEIDNAVTLTGVISQIFDKALLEPTFCEMYANFCLHLSAALPPLSENNEMITFKRLLLNKCQEEFERGEREQAEANKVEAEGEIKQSKEEKEEKRLRARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQHQNPDEEDVEALCKLISTIGEMIDHPKAKDHMDAYFDMMMKLSKNQNLSSRVRFMLRDAIDLRKNNWQQRRKVEGPKKIEEVHRDAAQERQAQSGRLARGPVITNVPRRVQAVDYGSRGSTLLSSPSSQQVRGLPSQVRGHGAQDVRLEDRHQYETRTMSLPLPQRSTDDDSITLGPQGGLARGMSIRGHPSVPNVGAAEISPVVGEHHRMNSGPNGANHMIDRFSGATYEQLSPQNCSNNSGSRDLKVLDRTSERSATSIVPAGRTHGTPGSSLVTVSETKTFPEEVLREKSISTIKEFYSAKDENEVALCVKELNASSFYPSMISLWVTDSFERKDTERDLLTKLIINLCNSRERLISRVQLLQGFESVLASLEDAVNDAPRAAEFLGRLFAKIVMENVVPLGEIARLIQEGGEEPGRLREIGLAADVLGNILHTIGLQRGDSLLNDIRLEDFRPMLPTKSNKLDAFLQG >Ma11_p16290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21842573:21850906:-1 gene:Ma11_g16290 transcript:Ma11_t16290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNQSRVERTEGQQRKSGRSGSSGQQRGFVDGGRKGGVSAPPTISSSTSHAVPPPSHITPPLSANRSLKKSGNGQGSQARVNLANASSEASGAAPTTTVHRAVQNGAQPWAPSPDGPGPGVAKPVVVPIPRNTSRAIPKAPSSQSAAGASNPAAPSAPAKGDMSRDIILQFGSINAGMMNGLQIPARTSSAPPNLDEQKREQAHSESFGAVPTSSVPSAQKQQHHQQTMKDVGGARQSGGGESHSISQVKRDASASVPSAPIVPAPKASALPISGMPVPMPMPFQPQPPQVPPQYGGPSPQLQSPGLAANSLQMSMTLPVGNTSQVAQQIYVPSIQPHFVQQQTMMHQGQGLAFAPPIGHQLPPQLRSLGIGIAPQFPQQQPGNFGGQRKTTVKITHPETHEELRLEKRIDSFKDGVASGQRPLPNVIPQAHSLPTYTASHQTKYFSPMQQNSYSHSQLMFPATVPAASGQAPAISQAPIYGTYPVSQSGQHLNFMNSSMINAVSGGKPAPSPLRHISEGDKLEGLPASASLPSAVKVTMKPSISSQAERVGASLSTPPVVISVPVSKPEAPEVKKTAVADTVPNQRHRETTPDKPSQQLKSGSGSLHNVSLPSTGTTSVAAPVLSTQIVLTEASSAPKTPDGDSATVLAGIDGKKGEPVQISDSLKDNQRKTSKKDARNSHQQCQLDASSPEGAESSPSKDTKVSFVATQDGSTKTESMRIFSTFELPTSPTRTSPQAENRILPEVGANETFEGKAMPAASGTSGAIWENESSQDCSQGSVDSSGAAPDYVSIKENFPSEAPTLAPMVVGTNFKTFVANSSVVNTVLKEHGKSEVTNDSLRDPNSAELQSSSFTSKSSQLADESMLLKQDDGVGYYEKVKSSGSDEVDNKVLRGSNDDVVCKMQENRIQDKQNNSTDSENAVGNDLSSTHDVKDKFDTLSIKHETRDREDVGLTDFGVASSFPKPSLSQAEEKPELDVFDLPSDGLVSATSLGQNEKLLSETSKPKITAGRKKKRKEFLSKADAAGTSDLYNAYKGPEEKLEVVSNLESANSSTSDTKIVRVDYPGKDVAASEQNGQNKAELDDWEDAADLSTPRLKTSEHGQLTGGARKQHQGDNIESTGRKKYSRDFLMTLAHQFTELPGGFEFGSDVTDVSMNILVGKSPVSSPGRIIDRPSGASRVDRRTVGTMDDEKWIKSPGSFGPGPGHGVSIVSLRPGQGVSHGVLRNSRGQGGILSGPTQSMASQGGMPRGNPDADKWQRARGLMPSPQAPLQAMHKAERKYEVGKVSDVEETKQRRLKAILNKLTPQNFDRLFAQVEEVEIDNAVTLTGVISQIFDKALLEPTFCEMYANFCLHLSAALPPLSENNEMITFKRLLLNKCQEEFERGEREQAEANKVEAEGEIKQSKEEKEEKRLRARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQHQNPDEEDVEALCKLISTIGEMIDHPKAKDHMDAYFDMMMKLSKNQNLSSRVRFMLRDAIDLRKNNWQQRRKVEGPKKIEEVHRDAAQERQAQSGRLARGPVITNVPRRVQAVDYGSRGSTLLSSPSSQQVRGLPSQVRGHGAQDVRLEDRHQYETRTMSLPLPQRSTDDDSITLGPQGGLARGMSIRGHPSVPNVGAAEISPVVGEHHRMNSGPNGANHMIDRFSGATYEQLSPQNCSNNSGSRDLKVLDRTSERSATSIVPAGRTHGTPGSSLVTVSETKTFPEEVLREKSISTIKEFYSAKDENEVALCVKELNASSFYPSMISLWVTDSFERKDTERDLLTKLIINLCNSRERLISRVQLLQGFESVLASLEDAVNDAPRAAEFLGRLFAKIVMENVVPLGEIARLIQEGGEEPGRLREIGLAADVLGNILHTIGLQRGDSLLNDIRLEDFRPMLPTKSNKLDAFLQG >Ma11_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21842573:21850906:-1 gene:Ma11_g16290 transcript:Ma11_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNQSRVERTEGQQRKSGRSGSSGQQRGFVDGGRKGGVSAPPTISSSTSHAVPPPSHITPPLSANRSLKKSGNGQGSQARVNLANASSEASGAAPTTTVHRAVQNGAQPWAPSPDGPGPGVAKPVVVPIPRNTSRAIPKAPSSQSAAGASNPAAPSAPAKGDMSRDIILQFGSINAGMMNGLQIPARTSSAPPNLDEQKREQAHSESFGAVPTSSVPSAQKQQHHQQTMKDVGGARQSGGGESHSISQVKRDASASVPSAPIVPAPKASALPISGMPVPMPMPFQPQPPQVPPQYGGPSPQLQSPGLAANSLQMSMTLPVGNTSQVAQQIYVPSIQPHFVQQQTMMHQGQGLAFAPPIGHQLPPQLRSLGIGIAPQFPQQQPGNFGGQRKTTVKITHPETHEELRLEKRIDSFKDGVASGQRPLPNVIPQAHSLPTYTASHQTKYFSPMQQNSYSHSQLMFPATVPAASGQAPAISQAPIYGTYPVSQSGQHLNFMNSSMINAVSGGKPAPSPLRHISEGDKLEGLPASASLPSAVKVTMKPSISSQAERVGASLSTPPVVISVPVSKPEAPEVKKTAVADTVPNQRHRETTPDKPSQQLKSGSGSLHNVSLPSTGTTSVAAPVLSTQIVLTEASSAPKTPDGDSATVLAGIDGKKGEPVQISDSLKDNQRKTSKKDARNSHQQCQLDASSPEGAESSPSKDTKVSFVATQDGSTKTESMRIFSTFELPTSPTRTSPQAENRILPEVGANETFEGKAMPAASGTSGAIWENESSQDCSQGSVDSSGAAPDYVSIKENFPSEAPTLAPMVVGTNFKTFVANSSVVNTVLKEHGKSEVTNDSLRDPNSAELQSSSFTSKSSQLADESMLLKQDDGVGYYEKVKSSGSDEVDNKVLRGSNDDVVCKMQENRIQDKQNNSTDSENAVGNDLSSTHDVKDKFDTLSIKHETRDREDVGLTDFGVASSFPKPSLSQAEEKPELDVFDLPSDGLVSATSLGQNEKLLSETSKPKITAGRKKKRKEFLSKADAAGTSDLYNAYKGPEEKLEVVSNLESANSSTSDTKIVRVDYPGKDVAASEQNGQNKAELDDWEDAADLSTPRLKTSEHGQLTGGARKQHQGDNIESTGRKKYSRDFLMTLAHQFTELPGGFEFGSDVTDVSMNILVGKSPVSSPGRIIDRPSGASRVDRRTVGTMDDEKWIKSPGSFGPGPGHGVSIVSLRPGQGVSHGVLRNSRGQGGILSGPTQSMASQGGMPRGNPDADKWQRARGLMPSPQAPLQAMHKAERKYEVGKVSDVEETKQRRLKAILNKLTPQNFDRLFAQVEEVEIDNAVTLTGVISQIFDKALLEPTFCEMYANFCLHLSAALPPLSENNEMITFKRLLLNKCQEEFERGEREQAEANKVEAEGEIKQSKEEKEEKRLRARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQHQNPDEEDVEALCKLISTIGEMIDHPKAKDHMDAYFDMMMKLSKNQNLSSRVRFMLRDAIDLRKNNWQQRRKVEGPKKIEEVHRDAAQERQAQSGRLARGPVITNVPRRVQAVDYGSRGSTLLSSPSSQQVRGLPSQVRGHGAQDVRLEDRHQYETRTMSLPLPQRSTDDDSITLGPQGGLARGMSIRGHPSVPNVGAAEISPVVGEHHRMNSGPNGANHMIDRFSGATYEQLSPQNCSNNSGSRDLKVLDRTSERSATSIVPAGRTHGTPGSSLVTVSETKTFPEEVLREKSISTIKEFYSAKDENEVALCVKELNASSFYPSMISLWVTDSFERKDTERDLLTKLIINLCNSRERLISRVQLLQGFESVLASLEDAVNDAPRAAEFLGRLFAKIVMENVVPLGEIARLIQEGGEEPGRLREIGLAADVLGNILHTIGLQRGDSLLNDIRLEDFRPMLPTKSNKLDAFLQG >Ma07_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4339765:4340877:1 gene:Ma07_g06000 transcript:Ma07_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFYGGDGAAASSGSSSSSPSSGETWLYSTVSQAPPKRPAGRTKFRETRHPVYKGVRRRGAAGRWVCEVREPNKKTRIWLGTFPTAEMAARAHDVAAMMLRGRSACLNFADSAWRLRVPPSFSSSRDIARAAAEAAEAFRPSSDSSGDAASPPMTESSAHASPSLPTTATPPPTSPEEGAGSDSYRGGAFDMMNYDDMDLGYCYYSSMAEELLVEPLLYNWDDVDAGADLPLWSYSI >Ma11_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25631392:25633585:1 gene:Ma11_g21500 transcript:Ma11_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRGVKFESVSFSRHLSLPYFTNDKLVAFEERGAIEACKEKSQLSTFYCPVEVPKSPVEAMDFLSRTWSPSSSDFFQMLSSNGREHDQELEEKQTEESDVHFDEDDKLRLEQVLTLLSTGNLVQSAVGKHKQLHTSWMKVGEIKAWLGGELFSSLSRGCRKRRKERLRLHVAQVHAALSVTRLAAAISGIMASSRVEPRDSKGMSMMNVGGKSDEKISAVLASAAALVATVCAEAAESAGAHRECVASAITTGLATKTSADMATLTATAATCLRGAATLERRAAAGRHVSQDQNILARGAQLPVRMPEDRIFHAMEDLKGGFSKDAHGFYLITLGTTGGAIQVMFEDQMQYRIWRSTICHLLCDC >Ma07_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6182830:6188333:-1 gene:Ma07_g08290 transcript:Ma07_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITEGVNNLHVTAADSHKKNRIQVSNTKKPLFFYVNLAKRYMQQYNEVELSALGMAIATVVTITEILKNNGLAIEKKITTSTVDVKDESRGGRPMQKAKIEILLGKTENFDELMASAAEERDVGDGEEQS >Ma07_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26341407:26341655:1 gene:Ma07_g18580 transcript:Ma07_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHRTNVQVACKKLQDLVEDAWKDINEECLNPTLFPIALLERTVNFLRMVENIYKQVDGYTNSSTKMKYFISLLLVHPIPI >Ma01_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4454880:4459039:-1 gene:Ma01_g06220 transcript:Ma01_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSVTNHHHQSPLSMLPPRQHPRSSGLQTSLSLASSDPAASPDTQEPGSNSDQGQDSPTESASSRETWPIETNHPDVIGGHKIEKEKEGENEVAKLQVIRRVSNANRLSLHEIARDRVDVVAEKIKVMPDELLEELKGELRQILEGTGGSHQIEEFLYLQKLVQGRVDLTAKSLAGAHRVQLEILVAINSGIQAFLHPSVSIPQSRLIEVFLYKRCRNIACQSALPADECSCEICSSRNGFCNLCMCVICNKFDFEVNTCRWIGCDTCTHWTHTDCAMRVGQIGTGQSVKSGVGHTEMLFRCQACHRTSELLGWVKDVFQQCAPGWDREILMRELDFVSKIFQLSQDPKGRKLYRKCGELIEKLKSGKAESVACRMLLLFFQELELDSPKNSENEEVGRLISPHEACNKIAEVVQEAVRKMEMVAEEKMRMFKRARLALDACDRELEDKAREVQELKMERQRKKQQVEELESIIRLKQAEAEMFQLKANEAKQEADRLQSIAQAKSEKAEQDYASMYLKRRLEEAEAEKQYLYEKIKLQESQHAPQGNSSGGSGDHAQTLMLNKIQDLLKNVRSMPPKTEGQ >Ma10_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4781905:4788935:1 gene:Ma10_g01540 transcript:Ma10_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRAFEEPPLVFLMGPIVGGPIPTAVTSLGLLSGSIPKPSKEVTSGFWLSDMMSSSWLYKLKDMGRGERSQSTRHFKKKSHASAILHPMQQLKQHDCLPSRAFFNMPTKRRTEKFHRSTINSKASDTHFPIEPPKKPKPETRRKAFRPCASAATSVSCSCRHTSTSACKSDDSSTTFKSQLKRQETAARRPASGSHGIKQRAAATPSENLVVVKSSSDPMRDFMESMVEMIVENNIYDAKDLGELLACYLSLNSREYHEVIIKVFDHICFVLSTM >Ma05_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8681004:8685159:-1 gene:Ma05_g11900 transcript:Ma05_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVIQSFAVPCRSRAAAPRASASFSSPLGRRALGLLPQFSGLRCASVPLKVKLAAVAARSRVVRRGAVVCEVQETAVQLPDVTKATWQSLVLDSSVPVLIDFWAPWCGPCRMIEPTVAKLAKVYEGKLKCYKLNTDENPDIATQYGIRSIPTMMIFKNGEKKDTVIGAVPESTLVTSIEKFV >Ma10_p27500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34760577:34761286:-1 gene:Ma10_g27500 transcript:Ma10_t27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRTAAEAAAPRIQAAKPQFPEQDQNLRCPRCDSTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGALRNIPVGGGTRKNSKRSNSFSSSAASSCKRPNPPKPPSQLSEFPKTEPISLVYPPLDPDRHLLDMTGSFSSLLASDGHLESLLGSFDPVGGDAAVLPNSSNANRSCVGIQGLELQSSTGDSGNEIMTTPVIQNFERLEGDSGCWDAGWTDLAIYNPGSSMQ >Ma08_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7392254:7396118:-1 gene:Ma08_g10150 transcript:Ma08_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASPPRLLPLLLALSLLLGARRASGGCYSAIFSFGDSLADTGNAIRLGGLGGPTGTPPYGRTFFNRPTGRFSDGRLIVDFIAQGLGLPLLRPYLGGGSSEDFRQGVNFAVAGATALDLSFFREKGIQPTWTDKSLHVQIQLFKQLLPSIAAGRDPKDVLNSSLILMGEIGGNDYNQPFFQGIKLDEIRPFVPSVISAISSGINDLIELGAKTLLVPGNFPIGCVPVYLDIYKSYNVEEYESDTGCIKWLNELSKYHNRLLLAELDRLRKLHPNVMIIYANYYDAMISFFRAPEVFGFKAPLHACCGSDGPYSVNRNAPCGHRNAKVCSDPSSSVSWDGIHLTEAAYGTIASSLLEGPHANPPLTRACSSTRQNAVDDF >Ma11_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26284441:26286930:-1 gene:Ma11_g22530 transcript:Ma11_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTAETCFQWSRMSRANSLTPSPAAFPAAGPSLGCRKRQGSAVHVRDRVLASPFLGAVSAKLLRVRLLDIRRRRGEKRALRRAFSSSLQRFEAEGDDYQEENDELFIQKPQEFAIELQQQRGGGYEEGIEEAAFVSPASSSDSSPSSFSLSVSTKHEPLRLPVWPEPPDWLDQIVPAIVEKNANSVELPLSLRIIKRKKRWEDGWFREASESAFCSVNRAFSSMVFMIRELQSYTLQMREFISSDDLQGILARVQREMNSSFVWLFQQIFSCTPTLMVSVMLLLANFTVYSMGHLDASAMAAPNPPAQSLVETTVVVEDRRHLNHHDRSSIKTFSSIGRTASIGGSGPGGGGKAKPVSGATGDGRSDDERFSYRTSFADGTSTAPRVVDIEESGEGRRGDGALVAAPRAEEEVRVWRGILEEVSRLQASTRDEALMDQETLWRLVSPVTAEPEPDDYSEYLRTEIMYQQTLSQDPENALLVANFAQFLYLVLHDHDRAEYYFKRAAGLEPADAEALSQYASFLWLARKDMAAAEETYLQAIAADPSNPFHTANYAHFLWSTGAEDTCYPLDTDDAWQQ >Ma05_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33314668:33315495:-1 gene:Ma05_g21610 transcript:Ma05_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFCPGMPRTMLLGAERRKEEKGLDFEPSDDDNRRAGMAESCKNVESSISTEEEYAVEVPKFGAQDKDGSCRESLELQKSERAPPQRLASAMVVPFSRPAPSKWDDAQKWITGPASNRVGSKAGGGTMKKSRLAGNGGGRGTTAKVILEVGRQAKKEIGGVKGGNWAAELYAMVDSGMKPATTMENPATEIAGKKPLMMLSFFIVFDGNAFLNLPAICSSSHMRRLKSNE >Ma10_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3990556:3994653:1 gene:Ma10_g01090 transcript:Ma10_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCLPCLASPGQGDAADEVKEEEKKKKKNDEGGDGAVRKESSAAPTSRLGSLDKSKLRADSKKEPSVPKEGNPEHIAAQTFSFRELSAVTKNFRRDCFLGEGGFGRVYKGRLETGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHYIPANKEPLDWNSRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNARPTGEQNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALSYLASQNHDPIAASIQSTKVGPSIPSSRSGSGDQHAVQSPHQNSSELKQRGPLKGASKGAKIGRDGSSGGSGRKWSMEESETRESQMDSPVQVERARDSPKNIDRDFVRERAVAEAKEWGENWRERQRRNAQGSSDRT >Ma04_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25172518:25173849:-1 gene:Ma04_g22980 transcript:Ma04_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGEVRVLEQSRIFPTMGSAAAPPSLLLTFFDVLWSTSGPFRRLFFYDFPHPAAVFADSVLPKLKSSLSLALARFYPLAGNLRCSVSHDDVSEIGWTEGESLSFALAECDSGFHELSGDHARDVSKLQRLAPRPIWSGAAKPLLAVPVTVFPDQGFTIGVWVHHVACDDSSYTRFVKSWASACRAGEIVEPAAPLFDRMEIPNPLQLRSVNFIPGYENSGTREASTLASNLVTATFALGQEHIRRLKCWVMAKAGERNTTFHCSTVVVTCAHVWVCLLKTLGDAGDETAHFTFTADARDRLRSPVPETYFGNCIVPCFVEVKVSDLVGEDGIFAASEAIGKAIEDLKHGALKGVHGMCERWYHVTQKLPMTLTGSPKFKVYDTDFGWGRPVKVETVLQKTRAMYLQDSRSGDGVEIGLSFEQHQMDAFERHFLSGLKLLPE >Ma06_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8132384:8137479:-1 gene:Ma06_g11680 transcript:Ma06_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSQHHHHLQQPQQQQQAVPLRNFVPIDGQISAPIDFTPAAYPDPSHPAYVRVMGLAPGAAPAAAADGGSSGWEPRRKRLKEQDLLENSQISSIDFLQTGSVSTGLGLSLDDRRVAASSGESPLLLLPTVDKDIDREVQRMDAEMDQFIKLEGERMRKSILEKVQMKQFQTLATVEEKILRKIREKESEVEGINKKNLELEEQMKQVAMEVNVWQQRAKYNESMINSLKCNLEQLYAQSKDNKEGCGDSEVDDTASCCNGNTDLQLMCKQNKDVESMACKVCRVNEVCMLLLPCRHLCLCKECESKFSFCPLCNSSKFIGMEIYLP >Ma09_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1541172:1542002:-1 gene:Ma09_g02120 transcript:Ma09_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFKKDKDGHWKWFAGNLASGGAAGARSVVFVYSVDYARTHLANDAKAAKKGGERQFRFNISCVGIMVYRGLYFGMYDSSKPVVLAGNLQVKFWLSVQILLASYSTTFFGERFDNDYPIDTGRRRMMMVSERFKRNGFAEKYLVL >Ma09_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21376251:21377641:-1 gene:Ma09_g19210 transcript:Ma09_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petA MQNKNTFSWVKEEMTRSISVSIMIYVITRASISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVVRIPYDKQLKQVLANGKKGTLNVGAVLILPDGFELAPLDRISPELKEKIGNLSFQSYRPNKRNIIVIGPVPGQKYSEIVFPILSPDPATKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSAGIVSRIVRKEKGGYEITIVDASDGHQVVDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASVILAQVFLVLKKKQFEKVQLYEMNF >Ma01_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11669792:11675166:-1 gene:Ma01_g16090 transcript:Ma01_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRAEKGFDPELVRESQRRRFASVEVVEEIIHLDREWRQRQFELDGLRRDANRLTKEVKNLKIAGKDATEVISSTEETKKLIAAKELEVQEAKATLDSKLETIGNLIHDSVPVSNDEAENAVIRTWGEKRTEGKLKIHVDLVKLLDIVDLDKGADVAGGRGFYLKGAGVLLNLALINYGLAFLRSRNYQPMQTPFFMRKDIMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLNDRIYPQDLPVRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNGSDSWEMHEEMLKNSEDFYKELNIPYQVVAIVSGALNDAAAKKYDLEGWFPASKTYRELVSCSNCTDYQSRRLGIGYGQKKNDEQSKQYVHMLNSTLTATERTICCILENYQTEHGVEIPKVLQPYMGGIEFLSFKTEPEAKTKKSKAKENAGPQAGK >Ma04_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4447248:4449099:1 gene:Ma04_g05950 transcript:Ma04_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEREVAPFCLPAELGTWPETSTEMIGKQGSGEGCDSIPPTLEEYCPQFLSTSW >Ma04_p25310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27076589:27135820:-1 gene:Ma04_g25310 transcript:Ma04_t25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKHGGGEGAGVPKVVKAPSRKITRMPTMLDVQQEEDAPAPPDSELVPPSLNAIVPILRVANDIESLNPRVAYLCRFHAFEMAHNLDPKSDKRGVRQFKTYLLHRLEKDEEEVKPQLARSDPKEIQRFYQYYYNDFIKEGSAKSKPEEMTKHYQIVSVLYDVLKTIVPPDKVDDEIKRCAREVERKKSHFTPYNILPLHVSGGASAIMELPEIRAAVSALRKVDNLPRSRRQPSTSSQPEADGILVFDDGESSVHDLLDWLGLNFGFQKGNVDNQREHLVLLLANIDMKKKALEEYSLLDANTVESLKKKVFKNYESWCKYLQCESNIKTILPGSNDQRRLSDAESQQLELLYIGLYFLIWGEASNVRFMPECLCYIFHHMADELYGTIIGNVKSASGGVFEPAYKGERSFLRDIVTPIYNVLLKEVQRSKSSTLSHSRWRNYDDLNEYFWSKDCFDKLGWPMDTRADFFMDSVSIHPKIDDKFFADSEAIHRKTEVKFFTDSEATHPKTKNDQSLRGKRKPKTNFVEIRTFWHLFRSFDRMWTFFILAFQAMLIIAWSPSGSLTALFDPDVFKSVLSIFITAALLNFFQAALDIILSWKSWGSMQCSQIFRYLLKFAVATAWIIILPLSYSSSAQNPTGLTKFFSNWAGDWRSQYLYSYAIVIYMLPNILAAMLFMLPPLRRAIERSNRVVIIFLIWWAQPRLYVGRGMHEGMFSLLKYTLFWILLLISKLAFSYYVEINPLVEPTKTIMDLGAGTYEWHEFFPYLQHNIGVVITIWAPIVLVYFMDTQIWYAIFSTIFGGIHGAFSHLGEIRTLGMLRSRFESVPSAFTDRLMPSSENELKGNQEEIERRNIDRFSHIWNAFIDSLRDEDLISNKEKDLLLVPYSSGNISVVQWPPFLLASKIPIALDMAKDFKRKGQGELKKKIKYDNYMFSAVIECYETLRDILINLLNDEKERIIISQICSKVDSSIDDNSFLESFQMSELLQLSNKLEKLLNLLKLEYGEIESFKTQIINVLQDIMEIITQDVMINGHGISGVAYESDRKQMFTNLRLELMEDISWREKVVRLHLLLTVKESAINVPINLDARRRITFFANSLFMKLPDAPKVRNMFSFSVLTPFYKEEVLYSEEELRKQNEDGISIVFYLQKIYPDEWRNFCERIKSDPNDEELKNHMDDLRHWVSYRGQTLSRTVRGMMYYRQALKLQCFLDMVQDRGQLSSILGDRRTLSLEQHTDDQKNLHARSLAIADMKFTYVVSCQVYGLQKKSREAKDRSCYNNILNLMLKFPSLRVAYIDEIEEIVGGKPQKIYYSVLVKGTDKHDEEIYRIKLPGKPTDIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKIRNTLEEFGRKHRPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLKVRFHYGHPDIFDRIFHLTRGGISKASKTINLSEDIFAGFNSTLRGGNVTHREYIQVGKGRDVGMNQISLFEAKVSNGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILEDPRIQQNSKPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTAIGEFILMQLQLAPVFFTFQLGTKAHYYGRTILHGGAKYRATGRGFVVFHAKFADNYRMYSRSHFVKGLELLILLVVYEVYGYSYRSSTLYLFVTFSMWFLVASWLFAPFVFNPSGFDWQKTVDDWTDWKKWMGNRGGIGIPIDSSWESWWESEHEHLKHTSIRGRVLEIILALRFLLFQYGIVYHLNIAHHSKSVLVYGLSWLVMLTVLAALKMVSMGRRRFGTDFQLMFRILKGLLFLGFVSVMTVLFIVCGLTISDVFAALLGFMPTGWFLLLIGQACRPLFKKTGFWDSIKELGRAYEYVMGLLIFVPIVVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGRTDSVIRIA >Ma03_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25268075:25269349:1 gene:Ma03_g20070 transcript:Ma03_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHHPQARAWESAMLLHHRYYHHLYHSEQEEAPHEREHMFEKPLTPSDVGKLNRLVIPKQHAERYFPLDGGSAEGGLLLSFEDESGRVWWFRYSYWTSSQSYVLTKGWSRFVKEKKLDAGDVVLFERPRFGGRDHFYIGCRRQSPPPAHSMTVPDGPEPLSPVYHAAACSYPASNTVTQDCLLHEEERSNAERDEAIETLRRKFRLRAGAGATAYDLSLMVLARKCFQFSAIGGPSSPLPNGIVDLTCEGTGHWALGTGGGGQVEREASEKRRGGEKKSLDFRACDLCVPFHFLFNDSTVDDLSGLMDGISGDVSGNIRSNLPSMSLKKEEKNLMRLPVHVAVHLHFLFLSCMK >Ma01_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10620770:10621897:-1 gene:Ma01_g14510 transcript:Ma01_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQPEDGATKHVGCDRRRDLVQGEAGPSREATGKAPQESSIRDLCRLPSGAPGEPYLARAMGELPEGQPSDPLAARWTGLTRGDRVWADGEAAALFVRGGLHPDMARELYVLPSDVLLSKSAKSLLWVSTLLLGSFLYLGHSCPDSTSLQRQHYAPALMDRVRDAGRALGVLIDRNVKLRRQIEEVHAEAASEAVATAEQRASDLEAEVTRLKSEVQAAEQRASDLEAEGTRLKSEVKVAEERNNDLQAFLRTARTEVRLANKEAVALTQKLEEARAEAKRASEALAAEMQQRPERDKKLIEDYKESSGFQLGLVRSGQITYECGYRVALARFKARHPGSEVEENPFASCPEDASVDMPDEVPFDDSSEAPKG >Ma00_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28738824:28739405:1 gene:Ma00_g03420 transcript:Ma00_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKAYARVAAAEDSGCGPEPEVTFPPEGVMQPEHDDALVVAARIANTQVRRIMVDIGSSADILYPDAFQKLVVSHDALKPMNSTLTGFTGESISPLGTITLPLTLGEVPRTKTVMATFLVVDLPTAYNAILGRPTLNKFRTVISTYHRTLKFPTRAGVGVARGSPRESRRCYLTAISLHKRRGVELPLEDP >Ma06_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17957709:17971508:1 gene:Ma06_g22420 transcript:Ma06_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRFLSLLLLLLPAIAASTAAYSTSDGIEDPLIRQVVQDAEGELTALDAEVHFARFIKRFRKTYADTEERARRFAVFKANLLRARRHQLLDPTAVHGVTKFSDLTPAEFRRAYLGLRGPTFVSSSHDAPILPTNDLPENFDWRDHGAVTPVKNQGSCGSCWSFSAAGALEGAHFLTTGKLESLSEQQLVDCDHECDSSEPDSCDQGCNGGLMTTAFEYLLKSGGLEREEDYPYTGSDRGACKFDISKIAASVGNFSIVSIEEDQIAANLVKHGPLAVGINAVFMQTYVHGVSCPYICGKHLNHGVLLVGYGSAGYAPARFKEKSYWIIKNSWGENWGENGYYKICRGRNICGVDSMVSSVTAIRN >Ma06_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2327089:2328115:1 gene:Ma06_g03140 transcript:Ma06_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKGGTSLSSKTNDEEEDRSVLLLSLWPPGCQGTPSSCSSPASSSCSSSTSTSVASSRLHTSFIQQQQQYLSQSSTRGDDDSPVTIALSIGPPNASASASTSGVATTAAGFNPVPRQYWIPSPAEILIGTTQFSCTVCNKTFNRYNNMQMHMWGHGSQYRRGSESLRGVTRQVPSPSLLKLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGARPYACRRCGKAFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRSFGSGHAPHLVETMSVDEEEEDEVEEDGEDGDEEGNGDSVHRNRLH >Ma10_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29839596:29840429:1 gene:Ma10_g19190 transcript:Ma10_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAATLRLALLAAAVALLAVTSAAQGPSPAPVKPPTPAPTKPPAVAPVTQPPAPTKPPAVAPVTPPPSVAPPVSPPASTPPSPSVSTPPSEAHAPSPPAPPPAVPPSSAPTSPPSGNGAAPMTISWIAVAGPALALLAV >Ma04_p29760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30599131:30600555:-1 gene:Ma04_g29760 transcript:Ma04_t29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSMVLQEAGWSSSDATVPYDDDGSEMVAHLLGIYSSSSEQDCHDQLFCSWDNVDASFDVHQYGGFFFGSHCGYDSYDASDVINVGSTSMNNNGDSISPLGGPTRLDDGETSSDEIAELGGAQDQVLQPERKSGSRSKDDGSSQSSKKARASTTVVKTPKRGKKRRNRSCDGEEESNTTTMNCRLNSCSYSWEDDSRALQHLSTGKGADQEPSTNPQSQYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDQLWMYAPIAYNGINIGLEIDISPQQPQ >Ma06_p35940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35626572:35627467:-1 gene:Ma06_g35940 transcript:Ma06_t35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKTKLVLHREEVAVPDHLLQPAFSYNLSTSVYLSQPLPTLVSLQEKHKLLCSYPPLPPLLFDQKCTFFFSHDGRPHSLRVQSHTALRPWRGSSFEEFMARRVTLSFLHEIAW >Ma11_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25094109:25095626:1 gene:Ma11_g20710 transcript:Ma11_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGHELVIAHFSHPHPLELTSLHRQPATSCAGCSRDLSDRAYSCKTCGYALDVSCAQMPQRVRHPADASHSLTLLTAGGSFRCNACGADGSAFFYHCGECNLNLHCSCAAMPLSVVHAAHLHPLTLFFFPHYENRGFSCDICGHPGSYQWVYSCTMCGFDAHVGCATAEEAQANPPWHDSEGGGSAPHLALQKMETGVGVRKIDKRKAKTIAKRVAVTVGTSLICTIL >Ma08_p24080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37373635:37381082:-1 gene:Ma08_g24080 transcript:Ma08_t24080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGGDEAAEGKRNPRCYMDVSIGGEMEGRIVVELFADVVPRTAENFRALCTREKGVGPHTGVPLHFKGSCFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFILKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVLKGMGVVRSIEHTPVGDADCTTVDVVISDCGELPEGADDGVSNFFKDGDLYPDWPNDLDDKPTEVSWWMNAVASAKTFGNDFFKKQDYKMALRKYRKALRYLDICWEKEEIDEEKSSLLRKTKSIILTNSSACKLKLGDLKGALLDADFALREREGNAKAYFRQGQAHMALNDVDAAVESFKKALELEPNDGGIKKELAAAKKKIADRRDQERKAYSRMFQTSVKSVATNEDKNC >Ma08_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37373661:37381082:-1 gene:Ma08_g24080 transcript:Ma08_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGGDEAAEGKRNPRCYMDVSIGGEMEGRIVVELFADVVPRTAENFRALCTREKGVGPHTGVPLHFKGSCFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFILKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVLKGMGVVRSIEHTPVGDADCTTVDVVISDCGELPEGADDGVSNFFKDGDLYPDWPNDLDDKPTEVSWWMNAVASAKTFGNDFFKKQDYKMALRKYRKALRYLDICWEKEEIDEEKSSLLRKTKSIILTNSSACKLKLGDLKGALLDADFALREREGNAKAYFRQGQAHMALNDVDAAVESFKKALELEPNDGGIKKELAAAKKKIADRRDQERKAYSRMFQTSVKSVATNEDKNC >Ma11_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24686218:24687304:-1 gene:Ma11_g20090 transcript:Ma11_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRDRNGSIREHGLRGRTSTSLEKQEVRSLTHDIDDTLDRDFKKYFCSVLFEKDNQANS >Ma05_p28140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39234157:39236254:1 gene:Ma05_g28140 transcript:Ma05_t28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSTDDVIQDFCRCWCCADNYPLCEMSKFLEAKIVTVIG >Ma03_p28130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31278428:31285387:1 gene:Ma03_g28130 transcript:Ma03_t28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MAHHLHRNTSPPPYFLRFPSPALRLSLLLIITLALLYAASVFLLHPSSFSRRLLPPPPPCGAAAADLSAGRWARDSPRPLYDGSCPFHRNAWNCLRNGREGMDSINSWVWLPDRCGGQPIPRIDPAAFLGSMRGRKIGFVGDSLNENFLVALLCTLRSADGGAKKWKRKGAWRGGYFPKFDVVVAYHRAVLLAKYTWQPVDNSAHLGQDGVKGTYKVDIDVPADDWANVTKFYDVLIFNTGHWWGTDKFPNETPLVFYQGGKPLDPPLGIIDGLEVVLNSMISYIEKEVPEKTLKFWRTQSPRHFFGGEWDHNGSCVFGEPLKETELDSWFDTKRKGVNKEAREVNSLIQQALLGTNIRSLDLTHMSEFRADAHPAIWLGKKDAVAIWGQDCMHWCLPGLPDTWVDILTAQIIYNLELG >Ma03_p28620.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31745330:31760177:1 gene:Ma03_g28620 transcript:Ma03_t28620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRERALTELYDATERLSRPEITPILQGTCRIQGPVDECDYGPQRTDSVRHSTEGNFLLETKCLNILEDHHTGAEPGTCNVCSALCSSCLHFSRLSPPMESKIEGGPSGISSRKEDDSCSYIATSSHVVKSRVYDKQKSACSETSHLLSSSSSHDSSIENSEIKETFRESVEHDASENVVISSKVTLDTVEDNNYLQEQTSSTPGSPFSSNGSKPADLQQGKTSDITEEKHRRQCPLENDSHVYKDANSAIHSHLGESYDKVISRSTDGLLVKNDEKEIQKEAADDCNNSEVEEDKRSEGNGNYSVKISATCSLRDDILCQKADGIEDPHSSSNTSLKVQLTDSDSLKKGSLTQCSIDDEKFPINRKLVAGNVDVRKDISHGTSKEDNGDSQPQLVSSTADVFLGTEKGDNSRFQPHEEIKGITDVEQPDIPKPTSPISWQPLQPNSECEISAEIEDDVKVCDICGDSGQEELLAICSRCSDGAEHTYCMRIMLDKVPEGEWLCEECQLKEAENQMKGKSEAQFEAVEAPCLDTDRQNIESTSKSLPCVENKAVDPDIKIDNKELGSSISSKMKGENSEATSVTKEKIFEACSPSTGTSIPSKPNLLSHENSFSKPDFVQVKPSALITSCSQSEGISRPVAHSKTSSDPDASKPHAHIEPPRGPLSKSVSFNNLKVPKVKQLLVSIPQNKKMIKESNSSSSRKGPSQTITKSASFRNESSIVPSVKTMSKSQSLNSPQPDNPRGVQQVKERSVVDKKTSTSNCRFVNPSVSATSVFSPKINSKVQQYDDKLKRASDSSNTGNNRVSIDATSSANEVKQQPSSCLSRASGRTSSMRLCKNEDQKLFQLVPKPAELTHRDDKTKDHTSLSNSRQGASVGDRLQHFQRCKETDHSAQFCAVDKLRMSAVKPSSEQSLRDMDNRSIKSKDAVEVLSWKFGTKRSVRSPDQSEEVSLSGTDVNSESTSSDFTSNFLSSGNLPMVEGAADVHNFSKATNSIHMKQKMDDHKKTIICSREGASLDAADDLNMKPIIQILLDQASFPTHPLKASVIPELEYIWQGAFEVLRTAKPPALFDGIQAHLSAYVSPKALEVATHFPCKVQLEEVPRLISWPLQFYENSPKEENIALFFFAKDTESYDKYYWKLLENMLKNDLALIGNIDAVELLIFPSNVLPENSQRWNKLFYLWGVFRGRNKRSFTNLPDLEKKPSISNLKLEPTVRDLPTPAVSGLCSSIDISDENSQKLSRSDRSPKAKSSKFGNCIDLQNIPTSGDENEVLNSEQPLVQKTFHQAIADDKVLTEQASCSLPASCSLKNISQLPSVAIAYPEPNPQIPCVPLAYPELKPNINSGPVGYSEPKLQIDIERLPIEMENEPTSLDKLANDLDSKNDSEHHVHASGTKISNCEDPANLFSLNCCQGNETDLQRIKQKENFITSEVVLYSQRSENVVQVDSLSWESKPNRKRAQPSSVEMIRNSAGHMLKPTADAMQWKDEASCTSLSAEQHKKTRLDNGGHAACRLKEETLSSKLSSKIQPLPSGLINDSVYHENVSESLRNAERYFPIDLSRATSAKEDKLIYVLSSDDEDSPESSAPDLELALGGNRGPIKQDTLPLLSTQVVQGNLDKMPATAVDDGDGSTALLSLSLAFPSSDRAQTAKPISQTQQLLPDKPCINTSLLLFGGYADSEHI >Ma03_p28620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31745330:31760177:1 gene:Ma03_g28620 transcript:Ma03_t28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRERALTELYDATERLSRPEITPILQGTCRIQGPVDECDYGPQRTDSVRHSTEGNFLLETKCLNILEDHHTGAEPGTCNVCSALCSSCLHFSRLSPPMESKIEGGPSGISSRKEDDSCSYIATSSHVVKSRVYDKQKSACSETSHLLSSSSSHDSSIENSEIKETFRESVEHDASENVVISSKVTLDTVEDNNYLQEQTSSTPGSPFSSNGSKPADLQQGKTSDITEEKHRRQCPLENDSHVYKDANSAIHSHLGESYDKVISRSTDGLLVKNDEKEIQKEAADDCNNSEVEEDKRSEGNGNYSVKISATCSLRDDILCQKADGIEDPHSSSNTSLKVQLTDSDSLKKGSLTQCSIDDEKFPINRKLVAGNVDVRKDISHGTSKEDNGDSQPQLVSSTADVFLGTEKGDNSRFQPHEEIKGITDVEQPDIPKPTSPISWQPLQPNSECEISAEIEDDVKVCDICGDSGQEELLAICSRCSDGAEHTYCMRIMLDKVPEGEWLCEECQLKEAENQMKGKSEAQFEAVEAPCLDTDRQNIESTSKSLPCVENKAVDPDIKIDNKELGSSISSKMKGENSEATSVTKEKIFEACSPSTGTSIPSKPNLLSHENSFSKPDFVQVKPSALITSCSQSEGISRPVAHSKTSSDPDASKPHAHIEPPRGPLSKSVSFNNLKVPKVKQLLVSIPQNKKMIKESNSSSSRKGPSQTITKSASFRNESSIVPSVKTMSKSQSLNSPQPDNPRGVQQVKERSVVDKKTSTSNCRFVNPSVSATSVFSPKINSKVQQYDDKLKRASDSSNTGNNRVSIDATSSANEVKQQPSSCLSRASGRTSSMRLCKNEDQKLFQLVPKPAELTHRDDKTKDHTSLSNSRQGASVGDRLQHFQRCKETDHSAQFCAVDKLRMSAVKPSSEQSLRDMDNRSIKSKDAVEVLSWKFGTKRSVRSPDQSEEVSLSGTDVNSESTSSDFTSNFLSSGNLPMVEGAADVHNFSKATNSIHMKQKMDDHKKTIICSREGASLDAADDLNMKPIIQILLDQASFPTHPLKASVIPELEYIWQGAFEVLRTAKPPALFDGIQAHLSAYVSPKALEVATHFPCKVQLEEVPRLISWPLQFYENSPKEENIALFFFAKDTESYDKYYWKLLENMLKNDLALIGNIDAVELLIFPSNVLPENSQRWNKLFYLWGVFRGRNKRSFTNLPDLEKKPSISNLKLEPTVRDLPTPAVSGLCSSIDISDENSQKLSRSDRSPKAKSSKFGNCIDLQNIPTSGDENEVLNSEQPLVQKTFHQAIADDKVLTEQASCSLPASCSLKNISQLPSVAIAYPEPNPQIPCVPLAYPELKPNINSGPVGYSEPKLQIDIERLPIEMENEPTSLDKLANDLDSKNDSEHHVHASGTKISNCEDPANLFSLNCCQGNETDLQRIKQKENFITSEVVLYSQRSENVVQVDSLSWESKPNRKRAQPSSVEMIRNSAGHMLKPTADAMQWKDEASCTSLSAEQHKKTRLDNGGHAACRLKEETLSSKLSSKIQPLPSGLINDSVYHENVSESLRNAERYFPIDLSRATSAKEDKLIYVLSSDDEDSPESSAPDLELALGGNRGPIKQDTLPLLSTQVVQGNLDKMPATAVDDGDGSTALLSLSLAFPSSDRAQTAKPISQTQQLLPDKPCINTSLLLFGGYADSEHI >Ma03_p28620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31745300:31760177:1 gene:Ma03_g28620 transcript:Ma03_t28620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRERALTELYDATERLSRPEITPILQGTCRIQGPVDECDYGPQRTDSGNFLLETKCLNILEDHHTGAEPGTCNVCSALCSSCLHFSRLSPPMESKIEGGPSGISSRKEDDSCSYIATSSHVVKSRVYDKQKSACSETSHLLSSSSSHDSSIENSEIKETFRESVEHDASENVVISSKVTLDTVEDNNYLQEQTSSTPGSPFSSNGSKPADLQQGKTSDITEEKHRRQCPLENDSHVYKDANSAIHSHLGESYDKVISRSTDGLLVKNDEKEIQKEAADDCNNSEVEEDKRSEGNGNYSVKISATCSLRDDILCQKADGIEDPHSSSNTSLKVQLTDSDSLKKGSLTQCSIDDEKFPINRKLVAGNVDVRKDISHGTSKEDNGDSQPQLVSSTADVFLGTEKGDNSRFQPHEEIKGITDVEQPDIPKPTSPISWQPLQPNSECEISAEIEDDVKVCDICGDSGQEELLAICSRCSDGAEHTYCMRIMLDKVPEGEWLCEECQLKEAENQMKGKSEAQFEAVEAPCLDTDRQNIESTSKSLPCVENKAVDPDIKIDNKELGSSISSKMKGENSEATSVTKEKIFEACSPSTGTSIPSKPNLLSHENSFSKPDFVQVKPSALITSCSQSEGISRPVAHSKTSSDPDASKPHAHIEPPRGPLSKSVSFNNLKVPKVKQLLVSIPQNKKMIKESNSSSSRKGPSQTITKSASFRNESSIVPSVKTMSKSQSLNSPQPDNPRGVQQVKERSVVDKKTSTSNCRFVNPSVSATSVFSPKINSKVQQYDDKLKRASDSSNTGNNRVSIDATSSANEVKQQPSSCLSRASGRTSSMRLCKNEDQKLFQLVPKPAELTHRDDKTKDHTSLSNSRQGASVGDRLQHFQRCKETDHSAQFCAVDKLRMSAVKPSSEQSLRDMDNRSIKSKDAVEVLSWKFGTKRSVRSPDQSEEVSLSGTDVNSESTSSDFTSNFLSSGNLPMVEGAADVHNFSKATNSIHMKQKMDDHKKTIICSREGASLDAADDLNMKPIIQILLDQASFPTHPLKASVIPELEYIWQGAFEVLRTAKPPALFDGIQAHLSAYVSPKALEVATHFPCKVQLEEVPRLISWPLQFYENSPKEENIALFFFAKDTESYDKYYWKLLENMLKNDLALIGNIDAVELLIFPSNVLPENSQRWNKLFYLWGVFRGRNKRSFTNLPDLEKKPSISNLKLEPTVRDLPTPAVSGLCSSIDISDENSQKLSRSDRSPKAKSSKFGNCIDLQNIPTSGDENEVLNSEQPLVQKTFHQAIADDKVLTEQASCSLPASCSLKNISQLPSVAIAYPEPNPQIPCVPLAYPELKPNINSGPVGYSEPKLQIDIERLPIEMENEPTSLDKLANDLDSKNDSEHHVHASGTKISNCEDPANLFSLNCCQGNETDLQRIKQKENFITSEVVLYSQRSENVVQVDSLSWESKPNRKRAQPSSVEMIRNSAGHMLKPTADAMQWKDEASCTSLSAEQHKKTRLDNGGHAACRLKEETLSSKLSSKIQPLPSGLINDSVYHENVSESLRNAERYFPIDLSRATSAKEDKLIYVLSSDDEDSPESSAPDLELALGGNRGPIKQDTLPLLSTQVVQGNLDKMPATAVDDGDGSTALLSLSLAFPSSDRAQTAKPISQTQQLLPDKPCINTSLLLFGGYADSEHI >Ma03_p28620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31745330:31760663:1 gene:Ma03_g28620 transcript:Ma03_t28620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRERALTELYDATERLSRPEITPILQGTCRIQGPVDECDYGPQRTDSVRHSTEGNFLLETKCLNILEDHHTGAEPGTCNVCSALCSSCLHFSRLSPPMESKIEGGPSGISSRKEDDSCSYIATSSHVVKSRVYDKQKSACSETSHLLSSSSSHDSSIENSEIKETFRESVEHDASENVVISSKVTLDTVEDNNYLQEQTSSTPGSPFSSNGSKPADLQQGKTSDITEEKHRRQCPLENDSHVYKDANSAIHSHLGESYDKVISRSTDGLLVKNDEKEIQKEAADDCNNSEVEEDKRSEGNGNYSVKISATCSLRDDILCQKADGIEDPHSSSNTSLKVQLTDSDSLKKGSLTQCSIDDEKFPINRKLVAGNVDVRKDISHGTSKEDNGDSQPQLVSSTADVFLGTEKGDNSRFQPHEEIKGITDVEQPDIPKPTSPISWQPLQPNSECEISAEIEDDVKVCDICGDSGQEELLAICSRCSDGAEHTYCMRIMLDKVPEGEWLCEECQLKEAENQMKGKSEAQFEAVEAPCLDTDRQNIESTSKSLPCVENKAVDPDIKIDNKELGSSISSKMKGENSEATSVTKEKIFEACSPSTGTSIPSKPNLLSHENSFSKPDFVQVKPSALITSCSQSEGISRPVAHSKTSSDPDASKPHAHIEPPRGPLSKSVSFNNLKVPKVKQLLVSIPQNKKMIKESNSSSSRKGPSQTITKSASFRNESSIVPSVKTMSKSQSLNSPQPDNPRGVQQVKERSVVDKKTSTSNCRFVNPSVSATSVFSPKINSKVQQYDDKLKRASDSSNTGNNRVSIDATSSANEVKQQPSSCLSRASGRTSSMRLCKNEDQKLFQLVPKPAELTHRDDKTKDHTSLSNSRQGASVGDRLQHFQRCKETDHSAQFCAVDKLRMSAVKPSSEQSLRDMDNRSIKSKDAVEVLSWKFGTKRSVRSPDQSEEVSLSGTDVNSESTSSDFTSNFLSSGNLPMVEGAADVHNFSKATNSIHMKQKMDDHKKTIICSREGASLDAADDLNMKPIIQILLDQASFPTHPLKASVIPELEYIWQGAFEVLRTAKPPALFDGIQAHLSAYVSPKALEVATHFPCKVQLEEVPRLISWPLQFYENSPKEENIALFFFAKDTESYDKYYWKLLENMLKNDLALIGNIDAVELLIFPSNVLPENSQRWNKLFYLWGVFRGRNKRSFTNLPDLEKKPSISNLKLEPTVRDLPTPAVSGLCSSIDISDENSQKLSRSDRSPKAKSSKFGNCIDLQNIPTSGDENEVLNSEQPLVQKTFHQAIADDKVLTEQASCSLPASCSLKNISQLPSVAIAYPEPNPQIPCVPLAYPELKPNINSGPVGYSEPKLQIDIERLPIEMENEPTSLDKLANDLDSKNDSEHHVHASGTKISNCEDPANLFSLNCCQGNETDLQRIKQKENFITSEVVLYSQRSENVVQVDSLSWESKPNRKRAQPSSVEMIRNSAGHMLKPTADAMQWKDEASCTSLSAEQHKKTRLDNGGHAACRLKEETLSSKLSSKIQPLPSGLINDSVYHENVSESLRNAERYFPIDLSRATSAKEDKLIYVLSSDDEDSPESSAPDLELALGGNRGPIKQDTLPLLSTQVVQGNLDKMPATAVDDGDGSTALLSLSLAFPSSDRAQTAKPISQTQQLLPDKPCINTSLLLFGGYADSEHI >Ma03_p28620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31745330:31760177:1 gene:Ma03_g28620 transcript:Ma03_t28620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRERALTELYDATERLSRPEITPILQGTCRIQGPVDECDYGPQRTDSVRHSTEGNFLLETKCLNILEDHHTGAEPGTCNVCSALCSSCLHFSRLSPPMESKIEGGPSGISSRKEDDSCSYIATSSHVVKSRVYDKQKSACSETSHLLSSSSSHDSSIENSEIKETFRESVEHDASENVVISSKVTLDTVEDNNYLQEQTSSTPGSPFSSNGSKPADLQQGKTSDITEEKHRRQCPLENDSHVYKDANSAIHSHLGESYDKVISRSTDGLLVKNDEKEIQKEAADDCNNSEVEEDKRSEGNGNYSVKISATCSLRDDILCQKADGIEDPHSSSNTSLKVQLTDSDSLKKGSLTQCSIDDEKFPINRKLVAGNVDVRKDISHGTSKEDNGDSQPQLVSSTADVFLGTEKGDNSRFQPHEEIKGITDVEQPDIPKPTSPISWQPLQPNSECEISAEIEDDVKVCDICGDSGQEELLAICSRCSDGAEHTYCMRIMLDKVPEGEWLCEECQLKEAENQMKGKSEAQFEAVEAPCLDTDRQNIESTSKSLPCVENKAVDPDIKIDNKELGSSISSKMKGENSEATSVTKEKIFEACSPSTGTSIPSKPNLLSHENSFSKPDFVQVKPSALITSCSQSEGISRPVAHSKTSSDPDASKPHAHIEPPRGPLSKSVSFNNLKVPKVKQLLVSIPQNKKMIKESNSSSSRKGPSQTITKSASFRNESSIVPSVKTMSKSQSLNSPQPDNPRGVQQVKERSVVDKKTSTSNCRFVNPSVSATSVFSPKINSKVQQYDDKLKRASDSSNTGNNRVSIDATSSANEVKQQPSSCLSRASGRTSSMRLCKNEDQKLFQLVPKPAELTHRDDKTKDHTSLSNSRQGASVGDRLQHFQRCKETDHSAQFCAVDKLRMSAVKPSSEQSLRDMDNRSIKSKDAVEVLSWKFGTKRSVRSPDQSEEVSLSGTDVNSESTSSDFTSNFLSSGNLPMVEGAADVHNFSKATNSIHMKQKMDDHKKTIICSREGASLDAADDLNMKPIIQILLDQASFPTHPLKASVIPELEYIWQGAFEVLRTAKPPALFDGIQAHLSAYVSPKALEVATHFPCKVQLEEVPRLISWPLQFYENSPKEENIALFFFAKDTESYDKYYWKLLENMLKNDLALIGNIDAVELLIFPSNVLPENSQRWNKLFYLWGVFRGRNKRSFTNLPDLEKKPSISNLKLEPTVRDLPTPAVSGLCSSIDISDENSQKLSRSDRSPKAKSSKFGNCIDLQNIPTSGDENEVLNSEQPLVQKTFHQAIADDKVLTEQASCSLPASCSLKNISQLPSVAIAYPEPNPQIPCVPLAYPELKPNINSGPVGYSEPKLQIDIERLPIEMENEPTSLDKLANDLDSKNDSEHHVHASGTKISNCEDPANLFSLNCCQGNETDLQRIKQKENFITSEVVLYSQRSENVVQVDSLSWESKPNRKRAQPSSVEMIRNSAGHMLKPTADAMQWKDEASCTSLSAEQHKKTRLDNGGHAACRLKEETLSSKLSSKIQPLPSGLINDSVYHENVSESLRNAERYFPIDLSRATSAKEDKLIYVLSSDDEDSPESSAPDLELALGGNRGPIKQDTLPLLSTQVVQGNLDKMPATAVDDGDGSTALLSLSLAFPSSDRAQTAKPISQTQQLLPDKPCINTSLLLFGGYADSEHI >Ma03_p28620.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31745583:31760177:1 gene:Ma03_g28620 transcript:Ma03_t28620.7 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIEGGPSGISSRKEDDSCSYIATSSHVVKSRVYDKQKSACSETSHLLSSSSSHDSSIENSEIKETFRESVEHDASENVVISSKVTLDTVEDNNYLQEQTSSTPGSPFSSNGSKPADLQQGKTSDITEEKHRRQCPLENDSHVYKDANSAIHSHLGESYDKVISRSTDGLLVKNDEKEIQKEAADDCNNSEVEEDKRSEGNGNYSVKISATCSLRDDILCQKADGIEDPHSSSNTSLKVQLTDSDSLKKGSLTQCSIDDEKFPINRKLVAGNVDVRKDISHGTSKEDNGDSQPQLVSSTADVFLGTEKGDNSRFQPHEEIKGITDVEQPDIPKPTSPISWQPLQPNSECEISAEIEDDVKVCDICGDSGQEELLAICSRCSDGAEHTYCMRIMLDKVPEGEWLCEECQLKEAENQMKGKSEAQFEAVEAPCLDTDRQNIESTSKSLPCVENKAVDPDIKIDNKELGSSISSKMKGENSEATSVTKEKIFEACSPSTGTSIPSKPNLLSHENSFSKPDFVQVKPSALITSCSQSEGISRPVAHSKTSSDPDASKPHAHIEPPRGPLSKSVSFNNLKVPKVKQLLVSIPQNKKMIKESNSSSSRKGPSQTITKSASFRNESSIVPSVKTMSKSQSLNSPQPDNPRGVQQVKERSVVDKKTSTSNCRFVNPSVSATSVFSPKINSKVQQYDDKLKRASDSSNTGNNRVSIDATSSANEVKQQPSSCLSRASGRTSSMRLCKNEDQKLFQLVPKPAELTHRDDKTKDHTSLSNSRQGASVGDRLQHFQRCKETDHSAQFCAVDKLRMSAVKPSSEQSLRDMDNRSIKSKDAVEVLSWKFGTKRSVRSPDQSEEVSLSGTDVNSESTSSDFTSNFLSSGNLPMVEGAADVHNFSKATNSIHMKQKMDDHKKTIICSREGASLDAADDLNMKPIIQILLDQASFPTHPLKASVIPELEYIWQGAFEVLRTAKPPALFDGIQAHLSAYVSPKALEVATHFPCKVQLEEVPRLISWPLQFYENSPKEENIALFFFAKDTESYDKYYWKLLENMLKNDLALIGNIDAVELLIFPSNVLPENSQRWNKLFYLWGVFRGRNKRSFTNLPDLEKKPSISNLKLEPTVRDLPTPAVSGLCSSIDISDENSQKLSRSDRSPKAKSSKFGNCIDLQNIPTSGDENEVLNSEQPLVQKTFHQAIADDKVLTEQASCSLPASCSLKNISQLPSVAIAYPEPNPQIPCVPLAYPELKPNINSGPVGYSEPKLQIDIERLPIEMENEPTSLDKLANDLDSKNDSEHHVHASGTKISNCEDPANLFSLNCCQGNETDLQRIKQKENFITSEVVLYSQRSENVVQVDSLSWESKPNRKRAQPSSVEMIRNSAGHMLKPTADAMQWKDEASCTSLSAEQHKKTRLDNGGHAACRLKEETLSSKLSSKIQPLPSGLINDSVYHENVSESLRNAERYFPIDLSRATSAKEDKLIYVLSSDDEDSPESSAPDLELALGGNRGPIKQDTLPLLSTQVVQGNLDKMPATAVDDGDGSTALLSLSLAFPSSDRAQTAKPISQTQQLLPDKPCINTSLLLFGGYADSEHI >Ma03_p28620.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31745330:31760177:1 gene:Ma03_g28620 transcript:Ma03_t28620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRERALTELYDATERLSRPEITPILQGTCRIQGPVDECDYGPQRTDSVRHSTEGNFLLETKCLNILEDHHTGAEPGTCNVCSALCSSCLHFSRLSPPMESKIEGGPSGISSRKEDDSCSYIATSSHVVKSRVYDKQKSACSETSHLLSSSSSHDSSIENSEIKETFRESVEHDASENVVISSKVTLDTVEDNNYLQEQTSSTPGSPFSSNGSKPADLQQGKTSDITEEKHRRQCPLENDSHVYKDANSAIHSHLGESYDKVISRSTDGLLVKNDEKEIQKEAADDCNNSEVEEDKRSEGNGNYSVKISATCSLRDDILCQKADGIEDPHSSSNTSLKVQLTDSDSLKKGSLTQCSIDDEKFPINRKLVAGNVDVRKDISHGTSKEDNGDSQPQLVSSTADVFLGTEKGDNSRFQPHEEIKGITDVEQPDIPKPTSPISWQPLQPNSECEISAEIEDDVKVCDICGDSGQEELLAICSRCSDGAEHTYCMRIMLDKVPEGEWLCEECQLKEAENQMKGKSEAQFEAVEAPCLDTDRQNIESTSKSLPCVENKAVDPDIKIDNKELGSSISSKMKGENSEATSVTKEKIFEACSPSTGTSIPSKPNLLSHENSFSKPDFVQVKPSALITSCSQSEGISRPVAHSKTSSDPDASKPHAHIEPPRGPLSKSVSFNNLKVPKVKQLLVSIPQNKKMIKESNSSSSRKGPSQTITKSASFRNESSIVPSVKTMSKSQSLNSPQPDNPRGVQQVKERSVVDKKTSTSNCRFVNPSVSATSVFSPKINSKVQQYDDKLKRASDSSNTGNNRVSIDATSSANEVKQQPSSCLSRASGRTSSMRLCKNEDQKLFQLVPKPAELTHRDDKTKDHTSLSNSRQGASVGDRLQHFQRCKETDHSAQFCAVDKLRMSAVKPSSEQSLRDMDNRSIKSKDAVEVLSWKFGTKRSVRSPDQSEEVSLSGTDVNSESTSSDFTSNFLSSGNLPMVEGAADVHNFSKATNSIHMKQKMDDHKKTIICSREGASLDAADDLNMKPIIQILLDQASFPTHPLKASVIPELEYIWQGAFEVLRTAKPPALFDGIQAHLSAYVSPKALEVATHFPCKVQLEEVPRLISWPLQFYENSPKEENIALFFFAKDTESYDKYYWKLLENMLKNDLALIGNIDAVELLIFPSNVLPENSQRWNKLFYLWGVFRGRNKRSFTNLPDLEKKPSISNLKLEPTVRDLPTPAVSGLCSSIDISDENSQKLSRSDRSPKAKSSKFGNCIDLQNIPTSGDENEVLNSEQPLVQKTFHQAIADDKVLTEQASCSLPASCSLKNISQLPSVAIAYPEPNPQIPCVPLAYPELKPNINSGPVGYSEPKLQIDIERLPIEMENEPTSLDKLANDLDSKNDSEHHVHASGTKISNCEDPANLFSLNCCQGNETDLQRIKQKENFITSEVVLYSQRSENVVQVDSLSWESKPNRKRAQPSSVEMIRNSAGHMLKPTADAMQWKDEASCTSLSAEQHKKTRLDNGGHAACRLKEETLSSKLSSKIQPLPSGLINDSVYHENVSESLRNAERYFPIDLSRATSAKEDKLIYVLSSDDEDSPESSAPDLELALGGNRGPIKQDTLPLLSTQVVQGNLDKMPATAVDDGDGSTALLSLSLAFPSSDRAQTAKPISQTQQLLPDKPCINTSLLLFGGYADSEHI >Ma01_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26295718:26298250:-1 gene:Ma01_g22950 transcript:Ma01_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFLHRVIGDLTLGKPDLIEFSEVETLEAAARAIAGSSEGAIPVWHNRIPAAGAAPSSEERFVGMLNSLDVVAFLARAGGDRDRAMRTPVSEVVTPNPNLLREVDPCVRLIDALELMKLGVRCLLVRRSVACKGVSKPFSMLYNGKWLRNIETTKATSAATSSSPPSSSSLPQNNFCCLSREDVVRFLIGCLGALAPIPLSSITSLGAVDPHYSYVEALSPALEAIGKIPHGPCAIAVVETTSDGSHKILGDISAYELWKCDYVTTAWAMANLSAGQFAIGTDENGCSSPVDPPDQPIDLPVEVVTVGASPRLTKFSSRSIGFFDNQLKQVQTGRPRSMYKGRSKLLTCKHTSSLAAVMAQMLSYRATHVWVIDSESEDDILVGVVSYTDILDAVTRYASSVVPPTP >Ma08_p34660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44646794:44648231:1 gene:Ma08_g34660 transcript:Ma08_t34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSSSSSIAVCLISLSFVSVAVMAATPRRAIDVPFQKNYVPTWAFDHISYFNGGDEVQLCLDKSTGTGFQSRGSYLFGHFSMHIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTDQPYILQTNVFSGGKGDREQRIYLWFDPTKDYHSYSVLWNMHQIVFFVDDVPIRVFKNSKDLGVKYPFNQPMKIYSSLWNADDWATRGGLEKTDWSRAPFVASYRGFHIDGCEASADAKFCATQGMQWWDQKEFQDLDGPQYRRLQWVRQKYTIYNYCADQSRYPTMPPECHRDRDV >Ma03_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1203493:1206285:-1 gene:Ma03_g01720 transcript:Ma03_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLPSTTGNSLGLPSTLSQGFRIRFCGSLHLAFPNHSPSSLPLLRVEARNDSRKESAKTRNRRMQRKYNGSATKPRLSVFCSNKQLYALLVDDQNKKTLFYASTLQRSIRGDPPCTSIEAARKVGEELIKACKDLNIFEISSYDRNGFARGERMAAFEIPISRHGFLLR >Ma08_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26292260:26293579:-1 gene:Ma08_g17590 transcript:Ma08_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPRTIKDLQRLNGRLAAMSRFLARSGDRCLPFFKALKNPKNFQWTAECEKAFKQLPVYYVSHVLNGPEERYPPIEKLALALVLSARKLRPYFQAHPVEVITDQPLRQILSKFDVVGRLLKWVVELDEHDVRYIPRTAIKAQSVADFIAELTQIEDVDLEQPSEAWVLHVDGSANSKGAGARLVLLAPDGRSFECSLRFGFQATNNEAEYEALLAGLRLALEMQVVAIHVLTDSQLVAEQLNGEYEARDPIMVKYLAQVKNLITKFPHFTLSNVPRGENEQADALAKLALKLAPEARPEVEELPARAIEIAATASGGVPITWVQELLRFKRDGTLPPDEATARRLRCTHAWYSEVGGRLYKWSFTYPLLWCLEPDEARTVLAEVHEGVCGEHIGG >Ma05_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1861837:1866239:1 gene:Ma05_g02760 transcript:Ma05_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIATVTNPSLCSSPSLFRAQPTRRSPPSFLLPHFSCTRSYKHRGLVARADSSSSSSSSSSSAIESQPSKSDGGEDGAGEGEEYEVELEKPYGLKFVKGRDGGTYIDAIAPGSAADRSGMFTVGDRVIATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMKMQKRDGKLPDAGELTEKEIIRAERNAGFISDRVRQIQMQNYLRKKEQKERRQNDLREGLKLYKNGKYEEALEKFESVLGSKPEADESSIASYNVACCYSKLNQIQAGISALEDALVAGYDDFKTIRNDPDLANVRASEEFEPLMKKFDESFINENAINAIKSLFGIFNKD >Ma05_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9766948:9769641:1 gene:Ma05_g13440 transcript:Ma05_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIFYEQDWNPQVDKQALQRSHRIGQMNHVLSINLVTEHTIEEAIMRRAEKKLWLSNNVVHQEEETYAAGKDVGTDTGDMRSLIIGLQLFDPMDVNKDSIDADNMVELNEMTDKVVEIRNHETSDKDVRRFKINKKDMLESGDMFAKSYGSATFDPRVDEASYLSWLQKFKEASLSGEASYLEQGKRRHLSEEYQRKREADKKKAEEKQLAKWEALGYQTLAIKEPDLIVENSVLSDLGSVQFVYGDCTKPSKVFPSEPAIIFSCIDNSGRWGHGGMFDALASLSTCVPDAYHHAFECDDLHMGDLHLVKLNGCFLHLTILSSTSSSYFYSLF >Ma07_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22293809:22300517:-1 gene:Ma07_g17860 transcript:Ma07_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLIWRDYRGDVSAVQAERFFTKLIEKEADPESHSPVVFDDGISYMFIQHNNVFLMTAARQNCNSASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPPIWVEAQIERHSRSRIEIMVKARSQFKERSTATNVEIEIPVPSDATNPNIRTSMGSATYAPENDALVWKIKSFPGGKEYMCRAEFSFPSITAEEATPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Ma04_p28150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29256219:29257234:-1 gene:Ma04_g28150 transcript:Ma04_t28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHNYHEHELKPDNMRRPYRCDGCEEMGFGPRYACAEHCGYLLHEHCANTRDTLAHPFFPGCVFHFLRSGDPNRRCDACGGDINGFVYHCFDKGWDLHPCCANLETMIHVQAEEENMTLFLHEKETSKCCRCGKKKLNKRARSWMYVSGCKEYHFHVSCVKEDMIEHLEKSFLGGKGAAANGEVNESRDLEIKSLPGLQLARRRESGKKRRFAKFKKIVQIAISFIMAAFVGDPSAFVASLIVNLITNL >Ma10_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28994160:28995650:-1 gene:Ma10_g17710 transcript:Ma10_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGTVVGVKRVEAGMPSEEWDDSMPLPGDIVKGVAPADDDDDEESPIFASPKSRSEISSVLGRLGRRSESVWIKVQRGSSLLDLRARVVPYRGHKLYRRFTVMAARDDRHVAVLGDLTLERCAELQEMSRTVVNVDGIGFVRKKSVSYDWKKKVETYLPDRHSTLISSILFMPFPSERNFEATMTRSMAWFSSTVSSGAPLVFVNIQTEQIQKAASSDGGNCQSSWKEMSWLKQQSPKHTSTVDLLQAIRLWFLPGVAEVPVVLAPEEGERRFGMDIKRTEEGFICISSVFKGSAADRAGLRNLCGDASKTGHLVIVSRLQGKGVLPTEVSSDGRIVCCDHTSIKERLAAAIEEMEEVHLHIMRWPDQRPSSNNVGPAILVPPAEIDGSTLGHKLGAKSSHIIM >Ma03_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3607459:3609709:-1 gene:Ma03_g05520 transcript:Ma03_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVFCLCMEELNELETKKFY >Ma04_p39820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36839348:36841031:-1 gene:Ma04_g39820 transcript:Ma04_t39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRLVFVTFPGVGHLVAMVEAAKRLLQLEGNHFSATFLLLRLTAPSSGSAVASYLRSAAASDLDISFQELPPVELPADTEGPEDFISRYIEAHKTHVKVAVASLSSSAAVAALVLDFFATTLIDVAVDLGVPAYVYFTSGAVMLALMLHLPVLGEKNPASFEDVERDVDIPGVIPIPSQSMPSPLMRNDNRAYGWFVYHGRRFFEAKGIIINTFAELERGPLTAIEEGHCAPDRPAPLVHPIGPIVAVEEDTSKPGGEKHECVKWLDSQPPESVVFLCFGSMGSFDVPQVREIAAALERSDRRFLWCLRSPSAGKIRASVDATPKDVLPEGFLERTAGRGLVWPEWAPQAAILAHRAVGGFVTHCGWNSALESLWYGVPMLGWPLYAEQHLNAFQLVKMLGVAVELKVDRKRGNFVTADEVERGIRCLMDGCDEGNRVTAKAKEMSLASRKAIEKGGSSHIGLEKLAEEIKTSASSERGLHQS >Ma02_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28793362:28795144:1 gene:Ma02_g24030 transcript:Ma02_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHSGSIQSSSSGDNEEYESRGESISSFLNLPPPAPTTAATALQRHHPSSSSSSLFDPLSAYLASFPFPTPESNSLNLDSTWPKGIPSSSLLSYPTSTTPGPMMVARPSASASTRPSSVQPQVQADRPAVASRGSKKRSRASRRAPTTVLATDTSNFRDMVQQFTGFPTPPFTSSPFPRPRLDLFSMAAAAVPPYLLRPFPQKTQTAAFPPMASSSSALEAIASFARGTLSNINLPITTTATSSSSSSTSSSAGKCQLSIVDARPSKPVLDMQSANLVSQPLLQSQAAPKINSHALPAFGAAPHGTPDYTMGGLGCLIATEGTKSTDRVDALSGWVGESAQENAEQAGLKAVIRNYRNQD >Ma07_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22813733:22815376:1 gene:Ma07_g17970 transcript:Ma07_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTKAKQNVGFSERTDGCSSVIPESILDPSTELEDLLGLPPDNMFDTPLPDVIEADEFEAERLRIHIWNNINRMKHLKEHHKCKIIQQSSASLLDYSQEQSRRKKLARSQEKILNEMLELIEEGLAQGFVYGIVPYKGKPVIGASNNLRKWWKETVRFDRNGPAAISTYQRDSCTNVIGKENTSESSVPEALQELQDTTLGSLLSALMPYCDPPQRRFPLEKGIPPPWWPNMSEEWWREMSLPKDPSPPPYKKPHDLKKAWKVSVLIAVIKHLLPDVEKIQRLIEKSKGLQDKITAKEVDILNAVIRRELKKYFGLQHNAPPPPPSMEGKSCREAVGDAFTSNIVGQPISEAMQEESMNVTQYLAMDVNMFTRQNELGPQDQPRGSIHQDNFAHIHHCVASVSHGNQPLSNPYGSCLPVVDDNPVLPRGVIEMRHQGTSSSDMNLFETRLNEDQAPLPEAMKIEIDPVFTSSVQEDMMGTSVTEELANSVQPQIFPSNETVSFEPIFGQDFDIGSASYFSTMDQPSRLPDSFHELDEYDWSKDFGN >Ma05_p30420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40834638:40838519:1 gene:Ma05_g30420 transcript:Ma05_t30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARLLLFLVASFLASSSSFSRVAISTTSSPASPRNVSLALYYETLCPYCSNFIVNHLSKIFHDGLISIVDLDLIPYGNARLGSNSTMSCQHGPNECLLNTVEACAINAWPDLSIHFSFIYCVEHLVLEHKYTEWESCFSKLGLDSNAVLNCYDSGHGKELELQYAEKTDSLQPPHRYVPWVVVDGQPLYEDYEKFEAYICKAYHGEPPETCLGLLPKKDHKMKADNHVSYADEMISSSIAAVHGDAKIDMVV >Ma08_p22220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35877258:35881528:-1 gene:Ma08_g22220 transcript:Ma08_t22220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYDATRVVLARIQCLDAENAAKIMGYVLIQEHGDKEMIRLAFGPEALLHSVVLKARKELGLPAPTSPLSSSSAAAPAAPTPPAAAATSPSPLGLLARQNSSSRFSSLAVSSPTSFAPPPVFSRAGRANSALNGSLDELHGSDELLSPGSPSPSPFFNGGSTSGDPLVDEFHLQDQLSFLNDPAAGSGPDNSFHMGPRAAAAAGGCDFYPDVGCRSPSGSGDGLLFPYRMGWGVNGNHHRRSWSAADLPLRSDAAGLGWKPCLYFARGYCKDGTACRFLHGLPDENAAALEQQCPDLLLRSKSQRIGSGGATQLTASAFPYSPLGSLPSSPSSTSKCLDFLLQQKNDSQRAAAVAAALMRTRMERSDLAGMANPGSRQIYLTFPADSTFTEEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKCRKQQQTERGNFSGCSTPTGLESRDAYDLQQLVGGARTLYNNNSSSGQELLLLRRKLEEQQQVLELQQAIELHARRLMNLQLLDLKNRSLSSSTPSSINSPAIHAAPAVTIPTADTMSNGSGNSSSQEHSPTGGADQKMNSSNGFLEKKTVDPADKKESDAEANPNKDSDIHESAEHILPDSPFASPTKSSIALDPFSAAEADIAATSAAIVNNGSSSSAHLIPSTLLPSTSTIDMASHKSCFLQMPRFSSSHGAIGM >Ma08_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35877258:35881529:-1 gene:Ma08_g22220 transcript:Ma08_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYDATRVVLARIQCLDAENAAKIMGYVLIQEHGDKEMIRLAFGPEALLHSVVLKARKELGLPAPTSPLSSSSAAAPAAPTPPAAAATSPSPLGLLARQNSSSRFSSLAVSSPTSFAPPPVFSRAGRANSALNGSLDELHGSDELLSPGSPSPSPFFNGGSTSGDPLVDEFHLQDQLSFLNDPAAGSGPDNSFHMGPRAAAAAGGCDFYPDVGCRSPSGSGDGLLFPYRMGWGVNGNHHRRSWSAADLPLRSDAAGLGWKPCLYFARGYCKDGTACRFLHGLPDENAAALEQQCPDLLLRSKSQRIGSGGATQLTASAFPYSPLGSLPSSPSSTSKCLDFLLQQKNDSQRAAAVAAALMRTRMERSDLAGMANPGSRQIYLTFPADSTFTEEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKCRKQQQTERGNFSGCSTPTGLESRDAYDLQQLGGARTLYNNNSSSGQELLLLRRKLEEQQQVLELQQAIELHARRLMNLQLLDLKNRSLSSSTPSSINSPAIHAAPAVTIPTADTMSNGSGNSSSQEHSPTGGADQKMNSSNGFLEKKTVDPADKKESDAEANPNKDSDIHESAEHILPDSPFASPTKSSIALDPFSAAEADIAATSAAIVNNGSSSSAHLIPSTLLPSTSTIDMASHKSCFLQMPRFSSSHGAIGM >Ma08_p22220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35877258:35881526:-1 gene:Ma08_g22220 transcript:Ma08_t22220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYDATRVVLARIQCLDAENAAKIMGYVLIQEHGDKEMIRLAFGPEALLHSVVLKARKELGLPAPTSPLSSSSAAAPAAPTPPAAAATSPSPLGLLARQNSSSRFSSLAVSSPTSFAPPPVFSRAGRANSALNGSLDELHGSDELLSPGSPSPSPFFNGGSTSGDPLVDEFHLQDQLSFLNDPAAGSGPDNSFHMGPRAAAAAGGCDFYPDVGCRSPSGSGDGLLFPYRMGWGVNGNHHRRSWSAADLPLRSDAAGLGWKPCLYFARGYCKDGTACRFLHGLPDENAAALEQQCPDLLLRSKSQRIGSGGATQLTASAFPYSPLGSLPSSPSSTSKCLDFLLQQKNDSQRAAAVAAALMRTRMERSDLAGMANPGSRQIYLTFPADSTFTEEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKCRKQQQTERGNFSGCSTPTGLESRDAYDLQQLVGGARTLYNNNSSSGQELLLLRRKLEEQQQVLELQQAIELHARRLMNLQLLDLKNRSLSSSTPSSINSPAIHAAPAVTIPTADTMSNGSGNSSSQEHSPTGDQKMNSSNGFLEKKTVDPADKKESDAEANPNKDSDIHESAEHILPDSPFASPTKSSIALDPFSAAEADIAATSAAIVNNGSSSSAHLIPSTLLPSTSTIDMASHKSCFLQMPRFSSSHGAIGM >Ma08_p22220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35877258:35881529:-1 gene:Ma08_g22220 transcript:Ma08_t22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYDATRVVLARIQCLDAENAAKIMGYVLIQEHGDKEMIRLAFGPEALLHSVVLKARKELGLPAPTSPLSSSSAAAPAAPTPPAAAATSPSPLGLLARQNSSSRFSSLAVSSPTSFAPPPVFSRAGRANSALNGSLDELHGSDELLSPGSPSPSPFFNGGSTSGDPLVDEFHLQDQLSFLNDPAAGSGPDNSFHMGPRAAAAAGGCDFYPDVGCRSPSGSGDGLLFPYRMGWGVNGNHHRRSWSAADLPLRSDAAGLGWKPCLYFARGYCKDGTACRFLHGLPDENAAALEQQCPDLLLRSKSQRIGSGGATQLTASAFPYSPLGSLPSSPSSTSKCLDFLLQQKNDSQRAAAVAAALMRTRMERSDLAGMANPGSRQIYLTFPADSTFTEEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKCRKQQQTERGNFSGCSTPTGLESRDAYDLQQLVGGARTLYNNNSSSGQELLLLRRKLEEQQQVLELQQAIELHARRLMNLQLLDLKNRSLSSSTPSSINSPAIHAAPAVTIPTADTMSNGSGNSSSQEHSPTGGADQKMNSSNGFLEKKTVDPADKKESDAEANPNKDSDIHESAEHILPDSPFASPTKSSIALDPFSAAEADIAATSAAIVNNGSSSSAHLIPSTLLPSTSTIDMASHKSCFLQMPRFSSSHGAIGM >Ma09_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2096762:2096960:1 gene:Ma09_g03030 transcript:Ma09_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWPAGYETCSRLGKRAYLTSMWYLMSG >Ma03_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16132743:16145637:-1 gene:Ma03_g15580 transcript:Ma03_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDP1 [Source:Projected from Arabidopsis thaliana (AT5G04040) UniProtKB/TrEMBL;Acc:A0A178UB07] MDITNEATVEAFSIGPSTIVGRTIALRILLYGSLHHFRRRFVALLRAAVRRLRDDGLLPLAAWLHPRNAQGILAVVTLVAFALRRFTNVRSRAESAYRRKFWRNMMRSALTYEEWSHAAKMLDKEMMPKMNEGDLYDEELVRNKLQELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPKLIKEYIDEVSTQLKMVCNSDSDELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSIMCAIVATRSWPELESFFEDSWHSLQFFDQLGGIFTVVKRVMTHGAVHEIRQLQRLLRHLTSNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHAPFSLSPEDTPGTSARRWRDGSLESDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGNFAAKLAHLAEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYVELQKAANQGRRCTWEKFSAIKANCAIELALDECVALLNHMRRLKRSADRAAASHGHTNFMRLSASRRIPSWNCIARENSSGSLEEDILVDAAASIHQGTAPVWQLNRNNRTQQSIHDGSDSESESVDLNSWTRSGGPLMRTASANKFINFVQNLEIESEFNRSWSREEEINALVAHSNPMVSPTTGRDPYNNNSRVATPDRNSENTDPENSNRVPVAASTSIMVSEGDLLQPEKIQNGIVFNIVKKETLLVHRGSDSEQQQDSSREADVENLQMDICDVSTASEYVEDDAEPTLICDYK >Ma03_p15580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16132743:16145637:-1 gene:Ma03_g15580 transcript:Ma03_t15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDP1 [Source:Projected from Arabidopsis thaliana (AT5G04040) UniProtKB/TrEMBL;Acc:A0A178UB07] MISSNRVLSYNIKDFFWSLLHAIGVLCYNFFPFYQSVGAPSLKKVEEDPYFGKRTMDITNEATVEAFSIGPSTIVGRTIALRILLYGSLHHFRRRFVALLRAAVRRLRDDGLLPLAAWLHPRNAQGILAVVTLVAFALRRFTNVRSRAESAYRRKFWRNMMRSALTYEEWSHAAKMLDKEMMPKMNEGDLYDEELVRNKLQELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPKLIKEYIDEVSTQLKMVCNSDSDELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSIMCAIVATRSWPELESFFEDSWHSLQFFDQLGGIFTVVKRVMTHGAVHEIRQLQRLLRHLTSNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHAPFSLSPEDTPGTSARRWRDGSLESDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGNFAAKLAHLAEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYVELQKAANQGRRCTWEKFSAIKANCAIELALDECVALLNHMRRLKRSADRAAASHGHTNFMRLSASRRIPSWNCIARENSSGSLEEDILVDAAASIHQGTAPVWQLNRNNRTQQSIHDGSDSESESVDLNSWTRSGGPLMRTASANKFINFVQNLEIESEFNRSWSREEEINALVAHSNPMVSPTTGRDPYNNNSRVATPDRNSENTDPENSNRVPVAASTSIMVSEGDLLQPEKIQNGIVFNIVKKETLLVHRGSDSEQQQDSSREADVENLQMDICDVSTASEYVEDDAEPTLICDYK >Ma03_p15580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16132743:16145637:-1 gene:Ma03_g15580 transcript:Ma03_t15580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDP1 [Source:Projected from Arabidopsis thaliana (AT5G04040) UniProtKB/TrEMBL;Acc:A0A178UB07] MISRAPSLKKVEEDPYFGKRTMDITNEATVEAFSIGPSTIVGRTIALRILLYGSLHHFRRRFVALLRAAVRRLRDDGLLPLAAWLHPRNAQGILAVVTLVAFALRRFTNVRSRAESAYRRKFWRNMMRSALTYEEWSHAAKMLDKEMMPKMNEGDLYDEELVRNKLQELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPKLIKEYIDEVSTQLKMVCNSDSDELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSIMCAIVATRSWPELESFFEDSWHSLQFFDQLGGIFTVVKRVMTHGAVHEIRQLQRLLRHLTSNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHAPFSLSPEDTPGTSARRWRDGSLESDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGNFAAKLAHLAEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYVELQKAANQGRRCTWEKFSAIKANCAIELALDECVALLNHMRRLKRSADRAAASHGHTNFMRLSASRRIPSWNCIARENSSGSLEEDILVDAAASIHQGTAPVWQLNRNNRTQQSIHDGSDSESESVDLNSWTRSGGPLMRTASANKFINFVQNLEIESEFNRSWSREEEINALVAHSNPMVSPTTGRDPYNNNSRVATPDRNSENTDPENSNRVPVAASTSIMVSEGDLLQPEKIQNGIVFNIVKKETLLVHRGSDSEQQQDSSREADVENLQMDICDVSTASEYVEDDAEPTLICDYK >Ma01_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5435214:5440631:-1 gene:Ma01_g07520 transcript:Ma01_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MAALVSSLCRPSPATPRFPLPSHGGCFDARRRPLRLGFRCSASRSPRFDPDSEQKDKSFGLKESAISLALAIGLFTGVPALTSPAHASPARPVLPDLSVLISGPPIKDPGALLRNALPIDNKAIREVQRPLEDITESLKVSGVRALDLVERNIRQASRALEQGKTLILNGVAESKKEHGKELIEKLAVGMQEFQQIVEQRDRDAVAPKQKELLQYVGGVEEDMVDGFPYEVPEEYSNMPLLKGRATVDMKVKVKDNPNVQDCVFRIVLDGYNAPVTAGNFLDLVERHFYDGMAIQRADGFVVQTGDPEGPAEGFIDPSTEKVRTIPLEIMVIGDKTPIYGETLEELGRYKAQTCLPFNAFGTMAMARDEFDNNSGSSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLENLVNPSYKIVG >Ma05_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21097420:21100969:1 gene:Ma05_g17670 transcript:Ma05_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIYTLAEVLTHNSPKDCWLIINGKVFDVTKFLEDHPGGEEVLLASIGKDASVDFEDIGHSTTARAMMDEYCVGEIDVATIPKRVKYTPPKQPHYSQDKTAEFIIRLLQFLVPLAILGLAVAVRFCTKSS >Ma09_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5439478:5441996:-1 gene:Ma09_g08240 transcript:Ma09_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETRPDEPEAAPAKEVVVAEVLSAEKEVVDKETSPEAAIEADTPGKKPALEAALAAEAEEEAGEKKAAVQEVEAKESSVVAADREDPEKKALDELKQLVRAALSNKEFSPPPPPPAPAPPAPATADAAVVPTEELTSKAEEVKAEEETATTAVVESPKAVVEEPAPSIKEEEAEVPAPTLPTTEENAVVGDDDGAKTVEAIEETVVPVAAPPPAVDDAPAAESPKKEKENEKPAAPAAAAPSLPPAEEIFIWGVPLLGDERSDTVLLKFLRARDFKVKEAMTMLKNAVLWRKEFGIEELLNEDLGIPEMEKVVFMHGIDKEGHPVCYNVYGEFQNKELYAAAFADEEKRKQFLRWRIQYLEKGIRNMLDFNPEGVSTMVQVTDLKNSIGLAKKELRQALDLLQDNYPEFAAKQVFINVPWWYLAFNRMISPFFTQRTKSKFVFAGPSKSAETLFKYIAPEQVPVQFGGLSKENDPDFSTSDAATEITIKPSMKQAIEILVTEPCLLVWELRVLGWDVSYGAEFAPTAEDGYTVIVQKARKLVAINDPVVKDSFKIGDAGKVVLTVGNTSSKKKKLLYRYKTKSSTDSI >Ma02_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19628380:19628775:1 gene:Ma02_g09820 transcript:Ma02_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINNVAKAHGGFSVFAGVGERTREDNDLYREMIESGVIKLGDKQGESKCALAYGQMNEPLCTRWFDWVDGC >Ma05_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28131786:28132752:1 gene:Ma05_g19600 transcript:Ma05_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGVNNVLMTILLLLLFSCLATISHATRPSLVKVAVAGKDDEYKCVYTIYIRTGSIWKAGTDSVISLALAGSDGVGVLIEDLESWGGLMGANYDYYERGNLDIFSGRGPCITSWPPCWMNLTSDGSGPHHGWYCNYVEVTTTGPHMGCSQQQFTVEQWLARDASPYRLYATRNYCPNPDDVGGQGEGGDNKVTRRSHVAHVE >Ma07_p27470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33835480:33836582:1 gene:Ma07_g27470 transcript:Ma07_t27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLRLCLSRVLPSFHAFRSKDNGAASSSLNSDDGFDVDFHDHQPPLPLPLPRRPHPPLVSSSCCRPSRRRSRPARESVSDVPRPAPLPPRETPAYLWRKEEKWWHVVPCVSAHVYGNVPLIPSPEDRLRRRWRDNPNDGRAAATGRRKKVASRRRALLRRRARGRCYSSADDDSGWFSSDEETETLMSTTDVEPSDTVLRRRRRCRRKSVIRGDGRNSWPSPEGAAVVKRLIPCAAPAVRESFAVVKLSEDPREDFLRSMAEMVVEKEIFDAGGLEQLLRCFLSLNSRQHHPAIVTAFEEIWESLFPAVAAGTNAARRRSSPHSTL >Ma01_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11805733:11805933:-1 gene:Ma01_g16290 transcript:Ma01_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLLAVALSAAPLTLYVPSLRRLSPFMEAFEALLREAAESSSSAYLRFRLGVRRILVLVSYCLR >Ma02_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6004223:6019197:-1 gene:Ma02_g00680 transcript:Ma02_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKTGELCALLLLAVPRGLRVGADGTNHRYEEGDRVPLPLKAKRLEELAAEIKKRKEGSKKKQITDEDTKGKKVLDSNDEIGAEGSSVLPRGDMESGVSSQENQDELLAASLAAEEEMEINKNVLTSEGGLDEAEDDNDENEEMIFPMDNIHIDPAVLASLPPSMQLDLLVQMRESIMAENRQKYQKIKKDSHKQAGIVYNGGLVPLLKLLDSKNRCLQHNAAFALYGIAENEDNVSDFIKVGGVQKLQDGEFIIQATKDRVAKTMKRLEEKINGPVLKHLLYLMRVGEKVVQRRIALALAHLCLPEDQRTIFIDDDGTVYLGEQYVNSSTLLDGTFLVEGKCFYAHRIALFASSDAFCAMFDGVYRAFFSLLRSSHQAISGGTTIKTVESTFTEAATDTVTGDSFVGRKRNREESSTLSISK >Ma11_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22561646:22564905:-1 gene:Ma11_g17190 transcript:Ma11_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MGLSRTALLLLAVAALSLLSADAALSAPATKVCVLGSGIGGSSVAHFLKQYTCESVTTPCIDDIRIFERREKVGGRMATVTIGGDTFEAGASIIHPKNLHAVRFARLLNLNTKTGDDSDSDPKSNVSSSSSWFGIWDGSVFVFQTLPPPSPSSSAIYRKMYPLLNSLVLAWRYGFSLLRMDRFVKEMIERFILYYNDFESRPVFETVEEMLKWSGLYGLTHRTLQEELADAGLSSRLVSELVTVITRINYGQSVSISGLAGAVSLAGSDSGLWSVEGGNWQLAAGLISHTNATLHLHEGIDSISYAGGYYVLNSTKGNSYACDVTVIATPLDELNMTISPPISVPGRSLQHTFTTFVRGLLNPKYFGLNSASEIPDLVGTLELPDIPFSCISVLKKYSEEDMTYKMFSRAQLADVLLDQIFSKRKETVRINWPAYPHYEAPEVFAPIVLDGLHLYYINSFESAASTIETSAVAAENVARLIISRISSPSANAPLIISPHSAEGILHTDL >Ma05_p02790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1875715:1882691:-1 gene:Ma05_g02790 transcript:Ma05_t02790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNPQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGREAATGRGVLFATEALLAEYGKSIKDQRFVIQGFGNVGSWAAQLISAAGGKVIAVSDVTGAIKNNEGLDIEKLLKHSVENLGIIGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIMAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNAELKTYMTRGFKDMKEMCRAHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma05_p02790.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1875715:1884033:-1 gene:Ma05_g02790 transcript:Ma05_t02790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNPQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGREAATGRGVLFATEALLAEYGKSIKDQRFVIQGFGNVGSWAAQLISAAGGKVIAVSDVTGAIKNNEGLDIEKLLKHSVENLGIIGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIMAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNAELKTYMTRGFKDMKEMCRAHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma05_p02790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1875715:1882692:-1 gene:Ma05_g02790 transcript:Ma05_t02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNPQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGREAATGRGVLFATEALLAEYGKSIKDQRFVIQGFGNVGSWAAQLISAAGGKVIAVSDVTGAIKNNEGLDIEKLLKHSVENLGIIGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIMAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNAELKTYMTRGFKDMKEMCRAHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma05_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1875715:1884033:-1 gene:Ma05_g02790 transcript:Ma05_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNPQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGREAATGRGVLFATEALLAEYGKSIKDQRFVIQGFGNVGSWAAQLISAAGGKVIAVSDVTGAIKNNEGLDIEKLLKHSVENLGIIGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIMAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNAELKTYMTRGFKDMKEMCRAHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma05_p02790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1875715:1882547:-1 gene:Ma05_g02790 transcript:Ma05_t02790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNPQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGREAATGRGVLFATEALLAEYGKSIKDQRFVIQGFGNVGSWAAQLISAAGGKVIAVSDVTGAIKNNEGLDIEKLLKHSVENLGIIGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIMAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNAELKTYMTRGFKDMKEMCRAHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma09_p25240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36765395:36769578:-1 gene:Ma09_g25240 transcript:Ma09_t25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDVHRQVLLLGYGSGFQVWDVEQADDVRQLACRHDFPASFLQMQKKPIALKGLVDRFADVRPVLIVVGDGYEMESDNSLPTYAHFYSLRTHEYEHVLKFNSAILSVRCSPRVIAVCQTSQIQCLNAATLERENIIVTNPVASASVVSGSIGYGPLAVGTRWLAYSGIPVPVSSNCPVSAQDVSPATVTPSRGSLMAHFARESSKTLAAGLVTLGDKGLTTFSKYYTEFVGDNNGFTVHGNSNSNSNKIMHREPANMENDEMVIVEDIVSRSVIVQFRAHKSPISALCFDPSGTLLVTASIHGRNINVFRIMPSPHSKPEGSGAKGTCIHLFKLQRGITNAVIQDISFSDDSKWIVISSSRGTSHLFAICPSGGAADLNEQSLYGSGSPVTLSAVCRIKNGKPGFKSTVNGLAAAGTGKISPSPGAIASVFHYCKGTGLNANISSLRKMYYFLVFFSSGSIIQYVLHQSSREYCGTDQSGLSSIAHELSHEAYSRSDVEALQKWDVCHKRNRKDGCDNVDIYGDHGSGENTKFLCKDTRKEISVYNSASGVDLKTKLAAKQTPHLYISEFELHVHEAWVPLWSKSKISFNVLMDQNSKEAYSGNSVGEIEIERIPCRTIEAKLKDLVPVFDHLQSPTFQQPRTDDSDLIPHGPPTCLKTGLSEVGEHSHRSSCSSLDSVPENAVIAELPNSNSSGGWTRSSQDTDKGFLSNHTDCPNMESNLESVNNNEGLKTEASLEFVNNTEPEAGDTPRGH >Ma05_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12426846:12430706:1 gene:Ma05_g15830 transcript:Ma05_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMRVPPLPLHHRHYLLLHLPLLVTAAVLALGGAQAGKVPAIYVFGDSTADVGNNNYLQRSNAKANFPHNGIDFPFSRPTGRFSNGYNGIDFLAIHMGFRRSPPSFLSLTNKTNHQIFKGLKGVNFASGGSGILDSTGSTITMTKQIQYFSTLRSNIMTQIATEPTYHLLSKSIFLISSGGNDIFAYFTKNNSPNTTEKDQFVATLASQYENHLKALFTLGARKFGLVDVPPIGCCPYPRSLNPTGGCLDILNELSLGFNKAVHSLMLNLSSTLEGMKYSVGSSYEVVSGIIRNPEALGYKEIKTACCGAGKFNGQSGCTPNATYCSERHHYLFWDLLHPTHATSKLAGSAIYYGSQQFASPINFRQLVEDEN >Ma07_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10572610:10573145:-1 gene:Ma07_g14160 transcript:Ma07_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIRLQLALDHYLSPSSRFGAYLRPSSPHLILRTQALGGALGVVGEASSHIIKIAGKDI >Ma06_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3462607:3464108:1 gene:Ma06_g04650 transcript:Ma06_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRKCVTTRFASSSDLFLVSPTSISPLQHRLHEILGHWPPFSFCAVLQNPYHRRALANHLRSPLLWHPPAHRPLQSGASLPRHGAATHQVCHAVVVSTLLLIFLSIYSACVEKIHTFCNVV >Ma01_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8450252:8455165:-1 gene:Ma01_g11650 transcript:Ma01_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTNIVSGSHGVTVGDEDLASPMSIFLKRQVVVANFLPLHTARDKTTGKWCFEWDEDSLLLQMKDGFSPEIEVVYVGSLKVDVDAEEQEEVSQELLEEFKCIPTFLAPHLQRSFYHGFCKRHLWPLFHYMLPISAAQGELFDKSLFGAYISANRIFADKSVEAMNSDEDYIWIHDYHLMLLPILLRKRLSQVKLGFFLHSPFPSSEIYKTLPVRDQLLKGLLNADVIGFQTFDYARHFLSCCSRLLGLDYKFKHGYIGIEYFGRIVSIKIAPVGVHVGRLEKLLKCPTTIAKVQEIKQRFKGKTLFLGIDDMDIFKGMSLKLLAFEFMLQRNENLRGKMVLIQIANPPRTVGKNVMETRNEVISIVERINSVYGSPGYEPVVFMDYSIPYHMKIAYYVMADCCIVNAVRDGMNLVPYEYVVCRQGTEEIDRHRGINMVRCTSTLIVSEFIGCSPSLSGAIKVNPWSLQDVADALYHASELSENVRQLHHEKHYRYVISHHVAYWVQSFLQELERACQGNCNQKYYRLGIGLNFRVVSLSPDFRKLSVDELASSYKRTNRRAIFLDYDGTIMPAGSACKIPSMRLISILNDLCTDPQNTVFIVSGRERTALGEWFCSCTNLGIAAEHGYFIRWNVDSDWESSPPFGVSFEWRNTVERVMKSYTEATDGSFIESKESALVWNYQDADFEFGSCQAKELSSHLESLLANDPVVVRRGHYIVEVIPQGVGKGRAVDKILGQLVANGKPPELIICVGNDRSDEDMFQSINNATKKESSTAAPEVFACTVGQKPSSAKYYIDETSDVLLLLKALVQSQNKIVSP >Ma06_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8947579:8948893:-1 gene:Ma06_g13000 transcript:Ma06_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERWARGKPRSRHQNPSFSSTLLDAIYRSIDESDGGATRDRHSLITVPKRPPPPLRPAAEWRTAEAATRCRPLAPISTSSSSDKSSYGGFSSSSEPDSGVNRLRPIMTVGAPIRSIPPPPAAAVFDRREEEKKKKKTGSIRGRLRDARSSRSAAPASPGARLAGFLGSVLSAVSVIPRRPTPTAVTAAGGCDDSACSTASSLSRSCLIKKPSTREQPPSGEGEKRSVRFYPVSVIVDEDLRPCGHKSVYGADTAPRRPSAVAMKARRRVEELLRGMEDEEEEMSDSSSDLFELQNLTVIGRERGRGRGVGGGYGDELPVYETAHLDMNRSTSQSQRFLKIQERM >Ma01_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3511262:3515577:-1 gene:Ma01_g05020 transcript:Ma01_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEDDFDEGVVVGQLSTVMVPKHIKKRALRNKALTVGFNDKELRDFVTGFHKRKKKRRKEAQRQLQEKDRLKRIEARKKRKQEREMALYGRVLSSENPLAAVSEPDNAGDSEQDNQDMMASVSETKMYEDEDTTITVTTSAISHEKGDFNQTNTIPMVGSKAEKRQGLDVKKKPLKRVAKHRSNKKGGKKPTPRKHKKGRNK >Ma04_p27340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28529335:28542121:-1 gene:Ma04_g27340 transcript:Ma04_t27340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVIRDRDQTLRSWIDWYNGLPTSELDFSNLFYSDLSKNNLNGDIPYQLPPNVTHINLAGNGLTGGIPYSISQMSDLKYLNLANNQLSGQLIDMFGKLPSLSLLDLSFNRFSGSLPQSFGSLTHLKTLNLENNQFSGSLDVLSTLSLEDLNIQNNKFTGWIPNKLKSIHNLKVGGNSWSSRPAPPGMAKAADNNDTSSSAVEKGKQNSGLKGAVIAVIVISVLVVALILMALVKRRSSGSSHHIDEQLSQNRSFTPLVGNDFTGTKDSSSSIDIKTLEKSPMELKPPPADNKKVNNDNEFANKHTSRQSTDSISLTTYSSADLQAATGSFSFSRLLGQGNIGCVYKAKFNDGKALAVKKIETLNLSGSHSSDFMEVVSGISKLHHSNVAELLGYCSESGYQLLVYELQQNGSLHGFLHLSDDYSKPLTWDTRVRIALGTARAVEYLHEVCTPSVIHKNIKSSNILLDAELNPHLADCGLGVFFEDTSENLGPGYNPPECTKPSAYAMKSDIYSFGVVMLELLTGRKPFDSSNPRIEQSLVRWAAPQLHDIDALAQMVDPALRGLYPPKSLSRFADVIALCIQSEPEFRPAMSEVVQSLVRCVQRTSINKRLGGDLSTSRRSDDSDYGYY >Ma04_p27340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28529335:28542757:-1 gene:Ma04_g27340 transcript:Ma04_t27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYLPLLLLPLLLFLRLSNLVRGTTDSSDVSALNVMYSSLSSPSKLTGWSSGGGDPCGNDWKGIKCSGSSVTEIKLSGLGLTGTMGYQLASLTSVTYFDLSKNNLNGDIPYQLPPNVTHINLAGNGLTGGIPYSISQMSDLKYLNLANNQLSGQLIDMFGKLPSLSLLDLSFNRFSGSLPQSFGSLTHLKTLNLENNQFSGSLDVLSTLSLEDLNIQNNKFTGWIPNKLKSIHNLKVGGNSWSSRPAPPGMAKAADNNDTSSSAVEKGKQNSGLKGAVIAVIVISVLVVALILMALVKRRSSGSSHHIDEQLSQNRSFTPLVGNDFTGTKDSSSSIDIKTLEKSPMELKPPPADNKKVNNDNEFANKHTSRQSTDSISLTTYSSADLQAATGSFSFSRLLGQGNIGCVYKAKFNDGKALAVKKIETLNLSGSHSSDFMEVVSGISKLHHSNVAELLGYCSESGYQLLVYELQQNGSLHGFLHLSDDYSKPLTWDTRVRIALGTARAVEYLHEVCTPSVIHKNIKSSNILLDAELNPHLADCGLGVFFEDTSENLGPGYNPPECTKPSAYAMKSDIYSFGVVMLELLTGRKPFDSSNPRIEQSLVRWAAPQLHDIDALAQMVDPALRGLYPPKSLSRFADVIALCIQSEPEFRPAMSEVVQSLVRCVQRTSINKRLGGDLSTSRRSDDSDYGYY >Ma04_p27340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28529335:28541924:-1 gene:Ma04_g27340 transcript:Ma04_t27340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKYLNLANNQLSGQLIDMFGKLPSLSLLDLSFNRFSGSLPQSFGSLTHLKTLNLENNQFSGSLDVLSTLSLEDLNIQNNKFTGWIPNKLKSIHNLKVGGNSWSSRPAPPGMAKAADNNDTSSSAVEKGKQNSGLKGAVIAVIVISVLVVALILMALVKRRSSGSSHHIDEQLSQNRSFTPLVGNDFTGTKDSSSSIDIKTLEKSPMELKPPPADNKKVNNDNEFANKHTSRQSTDSISLTTYSSADLQAATGSFSFSRLLGQGNIGCVYKAKFNDGKALAVKKIETLNLSGSHSSDFMEVVSGISKLHHSNVAELLGYCSESGYQLLVYELQQNGSLHGFLHLSDDYSKPLTWDTRVRIALGTARAVEYLHEVCTPSVIHKNIKSSNILLDAELNPHLADCGLGVFFEDTSENLGPGYNPPECTKPSAYAMKSDIYSFGVVMLELLTGRKPFDSSNPRIEQSLVRWAAPQLHDIDALAQMVDPALRGLYPPKSLSRFADVIALCIQSEPEFRPAMSEVVQSLVRCVQRTSINKRLGGDLSTSRRSDDSDYGYY >Ma07_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2905109:2915416:-1 gene:Ma07_g03810 transcript:Ma07_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHERKTIDLEQGWEFMQKGVTKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFQEYITSMVLPSLREKHDEFMLRELVKRWLNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQEIKGKVKDAVISLIDQEREGEQIDRALLKNVLDIFVEIGLGNMDCYENDFEADLLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEQKLLEKVQHELLFAYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFCRIPRGLDPVSQIFKQHVTAEGTALVKQAEDAASNKKAEKRDVVGLQEQVFVRKVIELHDKYLSYVNDCFQNHSLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQSNFEDYLNINPQANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNLIGKFEPKTMELIVTTYQAAALLLFNASDRLSYSEIMAQLNLTDDDVIRLLHSLSCAKYKILNKEPYTKSISPNDVFEFNSKFSDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITREYLERDKDNPNLFRYLA >Ma07_p03810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2905109:2915416:-1 gene:Ma07_g03810 transcript:Ma07_t03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHERKTIDLEQGWEFMQKGVTKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFQEYITSMVLPSLREKHDEFMLRELVKRWLNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQEIKGKVKDAVISLIDQEREGEQIDRALLKNVLDIFVEIGLGNMDCYENDFEADLLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEQKLLEKVQHELLFAYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFCRIPRGLDPVSQIFKQHVTAEGTALVKQAEDAASNKKAEKRDVVGLQEQVFVRKVIELHDKYLSYVNDCFQNHSLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQSNFEDYLNINPQANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNLIGKFEPKTMELIVTTYQAAALLLFNASDRLSYSEIMAQLNLTDDDVIRLLHSLSCAKYKILNKEPYTKSISPNDVFEFNSKFSDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITREYLERDKDNPNLFRYLA >Ma04_p31950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32119623:32126156:1 gene:Ma04_g31950 transcript:Ma04_t31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGNQTALFSYSSNASTTANVEMARILTEIQVLELLFAISIFVTIHSLRQGRVRGLAVWPVVGMLPSLLLGLRKDMYEWITGMIDRQGGTFIFCGPWFTNLHCVITADPRNLEHILKTKFSNYPKGEYFRDTLRDLLGDGIFNADDETWRGQRKTASLEFHSSAFRSMTVQSLLELVHSRLLPVLAEAHEHRKPFDLQDVLLRLTFDNVCMIAFGTDPGCLRPGLPEIPFAKAFENATEAATIRFLTPTSIWKAFRYLDFGIERCLRQSIYRVDEFAYEVIRRRKKELASGEPEKATRSDILTSFTKLSDETGNPYSDKFLRDICVNFILAGRDTSSVALAWFFWLLSQHQEVEDRILKELREIIAEREGEGEGELVFKPEEVKKMEYLHAALRESLRLYPSVPVDHKEVVEDDVFPDGTVVKKGTKVLYAVYAMGRLESIWGKDCRDYKPERWLRNGRLVSESAYKFPAFNGGLRLCLGRDFAYYQMKFVAASILHRYRVEVAADHPVEPKIALTLYMKHGLLVTLRRRDEA >Ma08_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8111524:8116880:-1 gene:Ma08_g10990 transcript:Ma08_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGKDGEQDPRIGGIAASIRVVPDFPKKGIMFQDITTLLLDPKAFKNTVDLFVERYIGKDVSVVAGIEARGFIFGPPIALAIGAKFVPLRKPRKLPGEVISEKYVLEYGTDCLEMHVGAVQPGDHALVVDDLIATGGTLCAAMNLLERAGAEVVECACVIELPELKGREKLNGKPLYVLVESR >Ma07_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6065577:6066145:-1 gene:Ma07_g08130 transcript:Ma07_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSYSQSLQAAPPAPASQGRAPPYQAAIHAVQKPQGKPWRRPDQPPPPPRVYRVDPRDFRQLVQRLTGAPRSVPPPRPLNVVALPPFTQRRVVGGGPDAAVSINQSPAGFLGILPPRPLYSSLRPFPLLGPADPMGSMEQLIHSDL >Ma09_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2678028:2678785:1 gene:Ma09_g04090 transcript:Ma09_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma08_p29010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40781622:40782308:1 gene:Ma08_g29010 transcript:Ma08_t29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVVSAPVVEVPTDAPVAPVEAASVPAPPFEVAVVESEDATAKPTVEDPKDAPAETDPAPAAAVEQVVEEPEADPAVATEAAAEPEEKEAEETAFKPTEEGEQVAEADAVEEDAPAYVEVVEAEKAEEEKKPVA >Ma02_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19401452:19404798:1 gene:Ma02_g09370 transcript:Ma02_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGSSSGVETSRNASYASGTSTSKTSLSSFPSTLTCSTPSNLSAPHYKEHTASGALPTPRTEREILSSANLKDFTFSDLKSATRNFRADSLIGEGGFGCVYKGWIDEQSLAPSRPGSGVVVAIKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCSEGDNRLLVYELMPKGSLESHLFRRSVETLPWATRIKVAIGAARGLSFLNDECQIIYRDLKASNILLDTDFNVKLSDFGLAKDGPTGDRTHVSTQVMGTYGYAAPEYIATGRLNAKADVYSFGVLLLELLTGRRALDKIRVAAEQNLVDWTRPSLGDKRKLHRIIDPRLEGRYPKNGAHEFASLALQCIRNDAKLRPNMSEVLAALEKLQDPKYAALPPQSNQKKKSSNTMPRSPMRNPRPPLRPTPSGSSLKSYHA >Ma02_p09370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19401452:19404800:1 gene:Ma02_g09370 transcript:Ma02_t09370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGSSSGVETSRNASWTSTSKTSLSSFPSTLTCSTPSNLSAPHYKEHTASGALPTPRTEREILSSANLKDFTFSDLKSATRNFRADSLIGEGGFGCVYKGWIDEQSLAPSRPGSGVVVAIKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCSEGDNRLLVYELMPKGSLESHLFRRSVETLPWATRIKVAIGAARGLSFLNDECQIIYRDLKASNILLDTDFNVKLSDFGLAKDGPTGDRTHVSTQVMGTYGYAAPEYIATGRLNAKADVYSFGVLLLELLTGRRALDKIRVAAEQNLVDWTRPSLGDKRKLHRIIDPRLEGRYPKNGAHEFASLALQCIRNDAKLRPNMSEVLAALEKLQDPKYAALPPQSNQKKKSSNTMPRSPMRNPRPPLRPTPSGSSLKSYHA >Ma04_p32010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32168754:32171347:1 gene:Ma04_g32010 transcript:Ma04_t32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSLPPFRPKSRLLSLGQLFSLLFHSHGLALLLLPLTLLSSLAAAHQSSSSYGAAALTEWRSAHASYYAVFDPRDTVGGACGYGDLGKRGYGMATAGLSEALFEKGAACGGCYEVRCVEELRYCLPGTSIVLTATNFCPPNYGLPADAGGICNTPNHHFLMPIQAFEKIAIWKAGVMPIKYRRVKCIREDGVRFTIDGKGFFYTVLISNVAGAGDITAVKIKGSTTGWLPMGRNWGQNWHISADLKGQALSFEVTASDGVTLTSYNVAPKDWTFGKIYVGKQFPF >Ma06_p35670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35440021:35442461:1 gene:Ma06_g35670 transcript:Ma06_t35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNQMSGTLPPDIGSTLPNLHFLDMASNRFVGQIPLSVANATLLRQIDLSRNNFSGRIPANLGNLPYLMQLSLGRNRLEARDALDWEFVSSLANCSLLKELRLAMNNLSGALPASIANLSTQLNTITLGRNQISGSFPSGIKNFVNLVTLAMNENLFTGSIPDFLGELADLGSLILYGNKFSGNIPASLGNLTALNELILFDNYLEGSIPVSLGKCQGLNTLDLAGNHLSGSIPMEVFSIESLSNYLDLSSNHLNGTLPMEVGRLRNTPFLSVSNNRLSGELPTSLGDCQVLQYLNLSNNFFQGSVPKSLSNLKGLEKLDLSSNDLSGSFPDFLAGLPFLKLLNLSFNDLDGEVPVDKIFSNSSEFSVVGNHKLCGGISSLHLPSCSTQTSKKNRSLILKVTLPIVVLILLFALFMTCRYARKHKKNSPPAELTSDAPTKLSYLELMKATDDFSSENLIGVGSYGSVYRGVLGDGKTLVAIKVLNLVQRGAFKAFVAECEALRSIRHRNLVKILTTCSSVDLRGNEFRAIVFEFMPNGSLESWLHPDTDKKLYSKRLGLLQRLDIAIDVAAALNYLHDHCETPIIHCDLKPSNVLLDGNMTARVGDFGLARFLSNGTNRYLSSSSVIKGSIGYMAPEYGMGGQVSTHADVYSYGVLLLELFTGRRPTDDMFKDGLTLQNHVEEAFSKGAQVTGIADPSLFSDDKEGEDTSDLMVGMQASERITRCLESVLVVGLRCAKDSPRERITIKDAANRIETIKSLLRTAEMNKSPFNTPCLI >Ma09_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8897134:8898512:1 gene:Ma09_g13150 transcript:Ma09_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGTVPLRPGQHGVSSRARRRPDLTLPLPQRDPAIAVPLPLPPPSAPSTMGAPSAGPSIGPPSFTTTSSSSSSQPPSLSDLERVRRIGSGSGGTVWLVRHRTTGRHYALKVIYGNHEDAVRRQILREIEILRTADNPFVVRCHAMYDDGGEIQILLEFMDGGSLEGRRITSEPFLADVARQVLAGLAYLHRRKIVHRDIKPSNLLIDSGGRVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNHGLYDGYAGDIWSFGLSILEFCLGRFPFGDNIGRQGDWASLMCAICYADPPEAPPTASREFRSFISCCLQKDPARRLPAVQLLQHPFITNNHPSLTSSTHP >Ma03_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3237881:3239436:-1 gene:Ma03_g04880 transcript:Ma03_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWAFLASLMGLFAFFQSLINIVFPPDLRFASAKFLYRLCHCFSSSCYFDITEIDGVNTNELYNAVQIYLSGSASVAASRLSVSRPLNSSAFTFGLASNDRLVDTFGGATATWEHVVTQRQAQAFSWRPLPDEKRGFTLMIKKKDKPLILQAYLQHIMDTATLLRRSNQDRLLYTNSRGGAMDSRVHPWESVPFKHPSTFETLAMDPIKKEAIKADLKDFAEREVFYQRTGRAWKRGYLLYGPPGTGKSSMIAAIANYLNYDVFDLELTEVHTNSDLRKLLMKTTSKSIIVIEDIDCSINLTNRSSGWKKAMPLYDSTFGSDDANAAKTITLSGLLNFTDGLWSCCGNERIFVFTTNHIEKLDPALLRSGRMDMHICMSYCSYEALKTLMQNYLSPEDVELEHEGKNAELLKELEEVINGVDITPADVSEILIKNRRRKKLEAMAELLEVLKNRAKNKGRGGGSLKAFEKENEEEEQEKRALGSPKEGKEAANRCNGENEE >Ma06_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9849237:9849974:-1 gene:Ma06_g14390 transcript:Ma06_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLEGRERAETLARIANGCQEWGFFQLVNHGIPVELLERVKKVCSECYRLRAEGFKASKPVQLLNKLVEEEGDAADAERLDNVDWEDVFLLQDDNEWPANPPEFRETMKEYREELRKLAEKVMEVMDENLGFEKGSIRSSFSGNGE >Ma05_p27120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38543022:38543647:1 gene:Ma05_g27120 transcript:Ma05_t27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQGDWDCRSCQHHNFSWRDSCQQCGNLRPSTGDLSDYAGLGRSSVGFSVPSFRPGDWNCSCGGHNFASRTSCHSCGTSKDDSAVSFSRGLDNDDMPGSGGIGFGGGGWKSGDWLCTRSGCNQHNFASRKECYRCKAPKGCGKRRSSKIDSLFSFLDELSLMP >Ma06_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8345394:8347413:1 gene:Ma06_g11980 transcript:Ma06_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEQQQRANGGDRIGGSRPTPISESQFLIWKRRKDAETAARKAEVAQKRAEDIAAGTVQMNGRELFLREPWVFDNTRY >Ma03_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22014351:22015076:1 gene:Ma03_g16900 transcript:Ma03_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMIENYKAEAEVYHGDLALCKKKSTQLLQELGLPKGLLPLEDVQEFGYHRASGFMWLVQKKKIEHTFKKIKQHVSYATEVTAFVEQRKLKKITGVKTKELLLWLSVVEVFIGDPSSGKITFKTGTGLSDSFPVPAFEEEE >Ma04_p27710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28883393:28885409:-1 gene:Ma04_g27710 transcript:Ma04_t27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDWLAVWRERKGGVLISGAMPFQMKVQPIDAKGSFRIDAAKPAAKSRLKRLFERQFPSVLRISSAEKPGDGREKEREDDGCEVEPSSVCLDKMVVSFMEDGGNERPQRSRCNCFNVNYDDSSDDDFDFRGSGDPPGAGDAAEFIEGLVLCASIAERNLLADASKIMEKAKNWNGKSECRRIVVDGLQSLGYDAAICKSRWDKNPSFPAGEYEYLDVVVDGGERLLIDVDFRSEFEIARSTKSYRAVLQHLPSLFVGRSERLQQIVAAVSEAARQSLRKKGLHVPPWRKPEYMRSKWLSPYHRTSTAKPEAEPANDPRSTSAAEPIPELERAVEAPGGGDSKAGEEGKAEAVAVAQPWQPPPARPRSGVRVVAGLAAVL >Ma08_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35837960:35843931:-1 gene:Ma08_g22170 transcript:Ma08_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPYKRIINGFGRLYTHEFPASPAPRSPISWGQTRFLISNPFSSCRTSNLSPSSDSASPNRIFSRLFALNCNSRHRGPVTAAKLPSLGLGIKGLARINENGCGSRFFSFKSLNYGKYSSFGVGMGKSVVDKPLSAVSSAFSRYRQVVGLQMEAFWKRNHMILVWSGAVVVCIVLWRIMFGIASTFVGLSEGTAKYGFLALASAIVAFAGMCFRARFAINPDKVYRLAMSKLNASAGILEVMGAPLTGTDLRAYVTSGGQPKLKNFKLKFGDKRCFLLFPVRGSERKGLVSVEVKKKKGQYVMKLLAVDIPMASGPDQRLFVLGDEQEYRVGGGLISELRDPIVKAMSAEKEFQDLDQKEDEEDEIREREEAERRQQVEKQRALEQAEKNRHEEEKRSN >Ma03_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26123479:26128600:1 gene:Ma03_g21060 transcript:Ma03_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTDLVNLNLSETTEKIIAEYIWIGGSGLDIRSKARTLPGPVSDPSKLPTWNYDGSSTGQAPGDDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVKWPLGWPVGGFPGPQGPYYCSAGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRNDGGFEVIKSAIEKLGKRHKEHIAAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRETEKNGKGYFEDRRPASNMDPYVVTSMIAETTIIGKQ >Ma09_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12915226:12917166:-1 gene:Ma09_g17340 transcript:Ma09_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQATTEPVPSPNPATKRSLLSSLMEATAGATAAPTALHVSPSFKEDCYLLSSLKLAELKALQELQQLLAVSPKPISIWGVPLAPSSAAAGDERAVVVLLKFLRARDFDAGQAHAMLLRCVEWRREFGADEVAEEELVGFKELEGVVAYMHGWDRWGHPVCYNACGVFKDKDVYDRVLGDADKLHHFLRWRVQVMERGVRLLQLRPGGINSIIQVTDLKDMPKRELRAASQHILSLFQDNYPEMVARKVFINVPWYFTLLYAMISPFLTERTKSKFVIARESNVAETLYKCCRFIRPEFVPVQYGGLSRPGDLQNGPPKPATEFTIKGGERVNLEIDGIEGGASITWDIAVGGWDVDYGAEYVPSDGGSYTIIVEKTRRVPATADEPIHNVYTAREVGKMVLSIDNTNSRRRKVAAYRYFVRKPCT >Ma09_p17340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12915226:12917166:-1 gene:Ma09_g17340 transcript:Ma09_t17340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQATTEPVPSPNPATKRSLLSSLMEATAGATAAPTALHVSPSFKEDCYLLSSLKLAELKALQELQQLLAVSPKPISIWGVPLAPSSAAAGDERAVVVLLKFLRARDFDAGQAHAMLLRCVEWRREFGADEVAEEELVGFKELEGVVAYMHGWDRWGHPVCYNACGVFKDKDVYDRVLGDADKLHHFLRWRVQVMERGVRLLQLRPGGINSIIQVTDLKDMPKRELRAASQHILSLFQDNYPEMVARKVFINVPWYFTLLYAMISPFLTERTKSKFVIARESNVAETLYKFIRPEFVPVQYGGLSRPGDLQNGPPKPATEFTIKGGERVNLEIDGIEGGASITWDIAVGGWDVDYGAEYVPSDGGSYTIIVEKTRRVPATADEPIHNVYTAREVGKMVLSIDNTNSRRRKVAAYRYFVRKPCT >Ma10_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26479115:26480691:-1 gene:Ma10_g13840 transcript:Ma10_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSASNSQCFLLFLLLFLLQPFTSRSSSTTGGAKGSSLSLVLPLRVQKVPSLALRKPSNKLLFHHNVSLTVPLAVGTPPQNVSMVLDTGSELSWLLCNSSAAHSFDPNRSSSYHPVPCYSPTCRDRGRDLPMPPICDASSPGGRCHVYLSYADASTADGAIATDSFLVGSSPSLPTVFACVASAYSSSGGDTDAAGLLGMNRGSLSFVTQSGIRRFSYCIPDHDAFGLLLLGNAEPPFPLPFNYTPLIQITLPLPYFDRVAYSVQLEGIRVGHALLPIPKSVLVPDHTGAGQTMVDSGSQFTFLLGPAYDALKAEFSRQTRGALAPLGEPDFVFQGAFDLCFRVPAAREEPPPGLPAVVLLLRGGAEVAVGGETLLYRVPGEVRGADAVWCFTFGNSDLVPLSAYVIGHHHQQNVWVEYDLENARVGFAPARCDQASRQLGVASP >Ma06_p34390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34639711:34641714:1 gene:Ma06_g34390 transcript:Ma06_t34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTAKPNLVRNLIVRALLFFASMFLLRFVYVVTVYGGSCTAGDYCLFSPLAEPLTVAGTTGGASASAAVASAHAGHGSSATPAVRALWTSREWRKAVDFYSAVFQDLVAEGFLSPASKSLCVDAPAGYEVLALKEIGVAGAIGVARKSAPPLVVAGGNLLRLPFKNGTFDFVFAGQSLDRSKQPADLAAEIARTLRPHWFLVVLTASGGDAYSLHALAKLFPGCVAVRSREINSPDSSKSLREIVFQKEDGTHIVSADGNSDSKCPIPEHKLQILQSAEPLIEEEPLKPWITFKRNIQNVKYLPLIADISFKQRYIYVDVGARSYGSSIGSWFRKRYPKQNHTFEIYAIEADRAFHKEYATKKGVNLLPYAAWVCNETLTFEINHDPDNHDVGKGRGMGRIRPTGGSNGRVTSEDVHPIQGLDFAAWLKKTATERDYVVMKMDVEGTEFDLVPRLFKTGAICLIDELFLECHYNRWQKCCPGQRSPKYPNTYRECLNLFTSLRNAGVLVHQWW >Ma02_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27263478:27264550:1 gene:Ma02_g21700 transcript:Ma02_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYWKTKVLPKIKKVFDRNGKKAAAAEACKSFDESKEEITKELEEKKADLQPKVVEIYEASAVEIKTLVKQPTESGLKKKSAVVIKFIEELVKIEFPGSKPVSEAASKHGPALVSGPVIFIFEKVSTLLPAEAEPEPEPELEPAAAVETISKEVTPEGAEETKKEEAEKAEEGPAPPAPAEEAVAPPEPAPEPAKA >Ma10_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27921678:27928747:1 gene:Ma10_g16060 transcript:Ma10_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGARSLSSLPAAFHLFLLLFLAASASASESDHKYQAEDPVTLWVNKVGPYNNPQETYNYYSLPFCHPSKNLAHKWGGLGEVLGGNELIDSQIQIKFQRNVEKGSICALELDDAKVAQFTSAIENSYWFEFFIDDLPLWGFVGESDKNNKDKHYLYTHKNIVIQHNGNQIIHVNLTQESPKLLEVGMKLDMTYSVKWLPTDVAFSRRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRRLVLISALVGTGAQLAMLVLLVILLAIIGTLYIGRGAIVTTFIVCYALTSFISGYVSGGLYSRNGGKSWIKAMILAASLFPFLCFGIGFMLNTIAIFYGSLAAIPFGTMVVVFILWAFISFPLTLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTAVYVYLYSVYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >Ma11_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3523923:3526685:-1 gene:Ma11_g04520 transcript:Ma11_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVGFAAVSPLRAVYLSYSSHVSDGVSEEQTQPARVALPGPHKWRMVIAYDGTKFAGWQYQQSPPTIQCLIENALTCITKLDRKDLCLVGASRTDAGVHAWGQVAHFITPFMYDSLDTLHAALNGLLPPEIRVREISAACPEFHARFSTKSKTYQYKIYNYPVMDPFRSLYAYHSSYKLNPVVMREAAAYFVGNHDFSSFANASHNDRLGNPVKEIFRFDITELDALLLLEVEGTGFLFRQVRNMVALLLQIGREALPPDVVPKIMAARDRKELAKVALSAPPQGLCLMSVNYNNESLEPPEGCPAASFGRTHSVSKCKLAFY >Ma03_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6940141:6944955:1 gene:Ma03_g09400 transcript:Ma03_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPLDSINLFLNHLSLGESTINGNLEAFSCKQTGTDRKLSFSLEQEILDYLEQSSDSDSASPMQYLTSRSSRKTLIYLVLTLSHMYPDYDFSAVRSHLYFREEEWDSFKQIFETYLFEAAREWTIVNGESSFMDSMTNAIDEVVKLKDCEIYSYNPDFEGDLSLEKGAIWSFNFFFYNKKLKRVVSFRCCCFSNLAAESFLGDEMVKEEEEEEEEEDYLVGMDM >Ma03_p09400.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6940155:6944955:1 gene:Ma03_g09400 transcript:Ma03_t09400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPLDSINLFLNHLSLGESTINGNLEAFSCKQTGTDRKLSFSLEQEILDYLEQSSDSDSASPMQYLTSRSSRKTLIYLVLTLSHMYPDYDFSSAVRSHLYFREEEWDSFKQIFETYLFEAAREWTIVNGESSFMDSMTNAIDEVVKLKDCEIYSYNPDFEGDLSLEKGAIWSFNFFFYNKKLKRVVSFRCCCFSNLAAESFLGDEMVKEEEEEEEEEDYLVGMDM >Ma03_p09400.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6940036:6944955:1 gene:Ma03_g09400 transcript:Ma03_t09400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPLDSINLFLNHLSLGESTINGNLEAFSCKQTGTDRKLSFSLEQEILDYLEQSSDSDSASPMQYLTSRSSRKTLIYLVLTLSHMYPDYDFSSAVRSHLYFREEEWDSFKQIFETYLFEAAREWTIVNGESSFMDSMTNAIDEVVKLKDCEIYSYNPDFEGDLSLEKGAIWSFNFFFYNKKLKRVVSFRCCCFSNLAAESFLGDEMVKEEEEEEEEEDYLVGMDM >Ma03_p09400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6940036:6944955:1 gene:Ma03_g09400 transcript:Ma03_t09400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPLDSINLFLNHLSLGESTINGNLEAFSCKQTGTDRKLSFSLEQEILDYLEQSSDSDSASPMQYLTSRSSRKTLIYLVLTLSHMYPDYDFSSAVRSHLYFREEEWDSFKQIFETYLFEAAREWTIVNGESSFMDSMTNAIDEVVKLKDCEIYSYNPDFEGDLSLEKGAIWSFNFFFYNKKLKRVVSFRCCCFSNLAAESFLGDEMVKEEEEEEEEEDYLVGMDM >Ma03_p09400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6940036:6944955:1 gene:Ma03_g09400 transcript:Ma03_t09400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPLDSINLFLNHLSLGESTINGNLEAFSCKQTGTDRKLSFSLEQEILDYLEQSSDSDSASPMQYLTSRSSRKTLIYLVLTLSHMYPDYDFSAVRSHLYFREEEWDSFKQIFETYLFEAAREWTIVNGESSFMDSMTNAIDEVVKLKDCEIYSYNPDFEGDLSLEKGAIWSFNFFFYNKKLKRVVSFRCCCFSNLAAESFLGDEMVKEEEEEEEEEDYLVGMDM >Ma07_p27170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33653792:33654854:-1 gene:Ma07_g27170 transcript:Ma07_t27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLACLFAARPGLEPTLVLTPANAALVRPTLDRSAAAGRPVGLLLFPFPSVGLPDGVENLATAPASESWRVYKAVDLAQTAHDEILHIQERQVVAVPDLPGRPIEITRAELPEFLLEHNHMSDTWDRMKEAQLTCHGVVANTFYGFEPEYCDDYRRVDARQAWFVGPVALASCGGVERGGGTAAKEDGDRCMAWLDTREEGSVLFVCFGSWCHFTAAQLREMAAGLEASGQPFLWAVRKDGDGSEEESNWIPEGWEDRVAGRGLVVRGFAPQVAILGHRAVRAFLSHCGWNSVLEAVTAGKPMLTPALIPLIQLTCWFH >Ma05_p05000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3822833:3826669:1 gene:Ma05_g05000 transcript:Ma05_t05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFNLLSRWIWDGKGHETPNSSPSFTYDSYTGFREPDSLKSAANKGPRIRSSSRRTVKKRHNREERQIDKEYDAVLVPSDGGCMSGTESDDSDWSIGWSEPLDPEFHSEKEANDSFAVLVPCYGRRRLEQVVSSKKHVLGSVDLRDADHYDGKRDIEQWLAEQLST >Ma05_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3822833:3826669:1 gene:Ma05_g05000 transcript:Ma05_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVFNLLSRWIWDGKGHETPNSSPSFTYDSYTGFREPDSLKSAANKGPRIRSSSRRTVKKRHNREERQIDKEYDAVLVPSDGGCMSGTESDDSDWSIGWSEPLDPEFHSEKEANDSFAVLVPCYGRRRLEQVVSSKKHVLGSVDLRDADHYDGKRDIEQWLAEQLST >Ma02_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16803989:16805242:1 gene:Ma02_g05520 transcript:Ma02_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPSELSISHSTHDALPRSRVPSKSALISAMKVSSLLASSHDGRAVHARVVKAGLGTDRFVASSVISFYSSCSDLDSARKAFDGVLVKDVALQTALLIGYAQNGEITQARALFDGVMEKDVVAWNAMLSAYTHCGLPLDALQLFREMQMSNCRPNEVTLIGALSACSQIGCLALGEWIHGYLRRHPEVRRTTTLNNSLVHLYAKCGKINAALDTFLEHGSRDLESWNTMLTGFAINGCGTSALSLFSQMMKLGVRPDRISFVGVLMACSHFGMVDDARRCLDCMTRFYGIEPSAEHYGCLVDVLSRGGHLDEARSLLESMPCEADASAWGALLGGCFAHGKYELGIEAAVHLIQLQPLEEGRYVALQNLYAMVGRTEDALRVRKVMCDMGIRRSSGTSMIEVEGVVHEFLAGDL >Ma09_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34896948:34899960:-1 gene:Ma09_g23000 transcript:Ma09_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKPAWLEALDAQKFFVGCCLHENAKKNEKNICCLDCCTSICPHCVSSHRRHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQSRQFKGSGNICTSCDRSLQEPFIHCSLGCKVEYVLRQKQDLSPYLRRCKTLQLSPDYIIPHDVDEETTHSTIVEGDEHTASSDSERLSLPCTRFQIMNRKASWPCISATSPAAASDVHTSRNMSRRKGVPHRSPLC >Ma09_p23000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34896948:34898449:-1 gene:Ma09_g23000 transcript:Ma09_t23000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKPAWLEALDAQKFFVGCCLHENAKKNEKNICCLDCCTSICPHCVSSHRRHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQSRQFKGSGNICTSCDRSLQEPFIHCSLGCKVEYVLRQKQDLSPYLRRCKTLQLSPDYIIPHDVDEETTHSTIVEGDEHTASSDSERLSLPCTRFQIMNRKASWPCISATSPAAASDVHTSRNMSRRKGVPHRSPLC >Ma09_p23000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34896948:34898383:-1 gene:Ma09_g23000 transcript:Ma09_t23000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKPAWLEALDAQKFFVGCCLHENAKKNEKNICCLDCCTSICPHCVSSHRRHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQSRQFKGSGNICTSCDRSLQEPFIHCSLGCKVEYVLRQKQDLSPYLRRCKTLQLSPDYIIPHDVDEETTHSTIVEGDEHTASSDSERLSLPCTRFQIMNRKASWPCISATSPAAASDVHTSRNMSRRKGVPHRSPLC >Ma10_p31450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37575175:37579092:-1 gene:Ma10_g31450 transcript:Ma10_t31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRDYVFTSRSKGIEASWPFAAQFLQPYSKHGVNDLLPPFENPDTVRTQSLCKVAQLVQPAACSKPERIATHFDVLKPADAGPSDGALASVLQDPTINPSPEDGKSAFCRGFTVNELSHSDDGTSLTMRTPQQAKEISSQISGLPCLVSLSGSSSKAQFQPEVIDLGNTPEKLCEPLEKKNRLIPKLDTILETSKAEDLAEMHSIALNVCPVCRIFSCTLITTLNAHMDQCLSMGSNFKAATTSNVPEHRVKPRKRRLMMDIYATSCHCTLEDLDKRNGTSWASELSLIAAPVNSLCAAHTKRPEVSPTDSTNNGNGAVSADPNGVKLRILSKFKGQKLSKESFKLRKHAKEPKENKDKHFASKHLENKKLKAQKQQLISLNMLQSQIQAAAQVDCETENHQKSDKSPSHVSDSGDHEKRADILQKMNKKENCSTLEDMESITSELQSMNGNLTGSPGTERVNFRHNPVHSMDESKRDSPELPSSSRWPSEGTNLANGIILKLSRSSGSLICSSVMKSKETDMATWEDSDSNSNSKMVLSRSCCSLLKDETNTTSKKNTVVRSRVCLQPRKLGAIEKPFISKKFQKHRTDMKKGENSPSNSAGVCSPTVNIHLLRTRETGTMGSHQSYTPSSITMFGGEDMNEVSPSRRNDTEHLNIVEEQKSNTSNNLSSGAECSDTEIETSDSGDYATRISMIKAVPENSEKTELPFSTKLGSPSCVEHAQTTSEIEAHAEQLKQAFDEQQRFSGEGSSIEIGNQEIQAKKDCCTIQLKECQAETLSVQESSGCLTGHGDEGLEMPEKNSSIDSFRMKAIFFKNLSSEGEPSGSPVSTDSKSQVSEVEPLSIAVNTRESIHLATPSAESIEATQDRNLKNWKQENSNIRPPHQSVSVKSPLDFAVKISSPRPSPQSQIHSVSSPILRLMGKNLMVVNHEEIVQPQTTALDCTQYVNHCASSNNHLKREISPYQHNQLSSGSPAIGSGLPMGDHQMPLDLPSTSVGGFAWASLQNGRRDQQTQQRKSSTKLTSSHHTLDKVIVIDGSPKHEADLKGCLSNSATTLPNRPFSCYPLQHHIRDCPRILFPNAYSSVSASFMNQGITSEGHNLFLSSPTVFQSPTSQKGPLMYYSRILH >Ma07_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27925529:27926811:1 gene:Ma07_g20020 transcript:Ma07_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTTEEDKRLINFMLTNGQCCWRVVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKMVIELHSQLGNRWSRIASHLRGRTDNEIKNHWNTHIKKKLRKMGIDPLLHKPLIPSASGVPHQEQQQAGALAERMEEQEKPCSGSSSEKAAEGQVEENIPSTASDAFLSKSPGFCTDEVPMILPHDILASCASTPTASSSISTSSTCSSSSSSSKAAEIQFPCMEWPESTYLWGLDDLNGWDFIFDRRDEELGLDPFSQWQRTASDHES >Ma04_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5948136:5954728:-1 gene:Ma04_g08280 transcript:Ma04_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MSTEAVESQQVVVESDYDSPTWRQLSDFSVTVTGNNFPSHASYADLRLSSVRDMGSVARADRKVVQGEFGYVLEDVPHFADYLPDVPTYPNPLQDNPAYSVVKKYFVNEDDTVPQKIVVQKNSPRGIHFRRAGPRQRVYFEPEDVYACIVTCGGLCPGLNTVIREIVCGLSYMYGVKKIVGIQGGYRGFYSRNTIPLTPKSVNDIHKRGGTILGTSRGGHDAIKIVDNIQDRGINQVYIIGGDGTQKGASVIFEEIQRRGLEVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVESESVENGIGVVKLMGRFSGFIAMYATLASRDVDCCLIPESPFYLEGRGGLFEYIEKRLKDNGHMVIVVAEGAGQELIADSVRSMGHEDASGNKLLLDVGLWLSQKIKNYFTSRQRMTINLKYIDPTYMIRAIPSNASDNVYCTLLAQNAVHGAMAGYTGFTIGVVNGRHTYIPFYRVTEKRNKVIITDRMWARLLSSTNQPSFLSAEYIEEIKTPREPPK >Ma06_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21702184:21708041:1 gene:Ma06_g23610 transcript:Ma06_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFIGSPSKYRVSSTAKSESPKDQSPSVKEEQKNGSKLPSNPEEVEDLRGNTATNPLIAFTFDEVKTITGNFRQDYVLGGGGFGSVYKGFITRDLREGLQPLQVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEHRVLIYEFMSRGSVESNLFSMLLPLPWSIRMKIALGAAKGLAFLHEAEKPVIFRDFKTSNILLDQDYNTKLSDFGLAKDGPVGDKSHVSTRVMGTHGYAAPEYIMTGHLTAMSDVYSFGIVLLELLTGRKSLDKTRPVRQQALADWVAPLLSQRKRVLNIVDPRLGGEYPVKAVQKMAMLAHHCLNRNPKARPLMRDIVDSLEPLQESVEFPSIGFIYTVVYD >Ma07_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5579151:5589100:1 gene:Ma07_g07500 transcript:Ma07_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEFHQVRKEKKRKNRPKPDVGADAISVEGPVPVAEEEEVQHEEENEDKCHRDSDEEKDDAREARDGDDAGDGAEETAPSAKKKKKGFGILTSEPFSRLPLSDLTMKAIQEMGFENMTQIQARAIPPLLAGKEVMGAARTGSGKTLAFLIPAVELLHNIHFMPRNGTGVIIICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGAGRKGEAEHLAKGVNLLVATPGRLLDHLKNTKGFIYKNLMFLIIDEADRILEANFEEDMKQIFKCLPKARQTALFTATQTKQVEEFACLSFKEKPIYVGVDDGRSKVTVEGLQQGYCVVPSNKRFLVLYAFLKRNLSKKIMVFFSSCNSVKYHSELLRYIQIDCFDIHGKQKQQKRTATFFDFCKAQKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGSEGNALLFLLPEEVQFLLYLKEAKVPVKEYEFNEKKVPNLQSHLEKIVGENYFLSQSAKDAYKSYILAYNSHSMKNVFNVHHLNLKDVATSLCFVSPPKVNLDLESSASKFRKKTHKIDGGHHGISASNPYGRQKADDQRQFARY >Ma03_p33470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34806900:34812818:1 gene:Ma03_g33470 transcript:Ma03_t33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVENKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPRLLYESKLYRILQGGTGIPNVKRFGVEGDHNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQTINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRVTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESIGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCMGYPSEFASYFHYCRSLRFEDEPDYAYLKRLFCDLFIHEGYQFDYVFDWTILKYQQSMIAGAPPRAIGPSAGPSSGLVPADANNRQSGGEEGRTSGWLTTDPSCRGRVTPPAVTVGSLSKQKAHVRNDLSVSKDSIFSRSAYLERSSGSSRRAAVSSSRDMVGTEADQTCTRTAGGSPGTFCRVSSAQRRFPISSAEHKHTSGRNPSMLRNNESALKGIEALRFDGGERKQL >Ma11_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5826847:5827933:1 gene:Ma11_g07330 transcript:Ma11_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDKQLKEYIEKHGTGGNWITLPRKAGLRRCGKSCRLRWLNYLRPNLKLGDFSDDEDRVICSLFAAIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGLPPSHRKPHHHQQQPLFFPSTLETTASFSSSAPGLEGIPISPYLLSYSTPASAFPLPQIPAHQIGGRISHQQSCSSDDGISTQICNGGREHLVLDNSLYGGLEIEKLLLGERSLGCNSEEIKAIVCPSSVYLDGKDGARRGY >Ma11_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23078860:23096021:1 gene:Ma11_g17910 transcript:Ma11_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPKRLHEEGGHSTPLKRPLEEPGRFSTPPGKLSQPVGNEFHLPFEHGQQGRLAKIQRVEPSSRDIDKRSSLLHRLPVSSINSLDNPITSENRSELKCSKDARDVKSENREAKADIRDMYTDVRTDPQGSKADHDTRVDVRGDEKEHRVDRGSHGDLRSEFKFEKDTYTATSSHLTWKDTKEHHRNKRCYEPANDGLESWHGSRSGLQSTDEVVKDPSTAEYLDSVEAHEAVGENKVDLKGEEKCGDKDRKRKERDFGEKDKDRSDRPNNMQLSGASGDRKDLLREERDVEKSERERKDVQKDKEWNGKDPLKRELSAVNEKDNLHHEKEFVDGSVRNFEQDNVAFEPKRAKDDSWKAYDKDIKEKKRERDVDVGERQEQQSHDKELDDGFAEGDGVTEKDKGIQPRKRFLRPRGTQTPQRDARFRSRARDNEGSQGKPEASAIVYKAGECMQELLKSWKEFKASQDIKNDKTLQNGPILEIRIPAEYVTSANRQVKGAQLWGTDIYTNDSDLVAVLMHTGYISPTSSRPPSSIQELRATIRVLPSEDCYTSTLRNNVRSRAWGAGIDCSFRVERCCIVKKGGGTIDLEPRLTHTSAVEPTLAPVSVERTMTTRAAASNALRHQRFVREVTIQYNLCNEPWLKYTINVVADKGLKKPLFTSARLKKGEVLYLETHFRRYELCFNGEKAVMLTSYQPMESEHEKLQNHGSHVQNGDRNSTERESITDVFRWSCCKRALPEQLMRSSGIPLPVEHLEVLEDNLDWEDIQWSQTGVWVAGKEYVLARVHFLSPN >Ma11_p17910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23078860:23096021:1 gene:Ma11_g17910 transcript:Ma11_t17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPKRLHEEGGHSTPLKRPLEEPGRFSTPPGKLSQPVGNEFHLPFEHGQQGRLAKIQRVEPSSRDIDKRSSLLHRLPVSSINSLDNPITSENRSELKCSKDARDVKSENREAKADIRDMYTDVRTDPQGSKADHDTRVDVRGDEKEHRVDRGSHGDLRSEFKFEKDTYTATSSHLTWKDTKEHHRNKRCYEPANDGLESWHGSRSGLQSTDEVVKDPSTAEYLDSVEAHEAVGENKVDLKGEEKCGDKDRKRKERDFGEKDKDRSDRPNNMQLSGASGDRKDLLREERDVEKSERERKDVQKDKEWNGKDPLKRELSAVNEKDNLHHEKEFVDGSVRNFEQDNVAFEPKRAKDDSWKAYDKDIKEKKRERDVDVGERQEQQSHDKELDDGFAEGDGVTEKDKGIQPRKRFLRPRGTQTPQRDARFRSRARDNEGSQGKPEASAIVYKAGECMQELLKSWKEFKASQDIKNDKTLQNGPILEIRIPAEYVTSANRQVKGAQLWGTDIYTNDSDLVAVLMHTGYISPTSSRPPSSIQELRATIRVLPSEDCYTSTLRNNVRSRAWGAGIDCSFRVERCCIVKKGGGTIDLEPRLTHTSAVEPTLAPVSVERTMTTRAAASNALRHQRFVREVTIQYNLCNEPWLKYTINVVADKGLKKPLFTSARLKKGEVLYLETHFRRYELCFNGEKAVMLTSYQPMESEHEKLQNHGSHVQNGDRNSTERESITDVFRWSCCKRALPEQLMRSSGIPLPVEHLEVLEDNLDWEDIQWSQTGVWVAGKEYVLARVHFLSPN >Ma05_p29710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40308834:40313461:1 gene:Ma05_g29710 transcript:Ma05_t29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILFVVVAFPCTVGAMALAVLHIYRHLLNYTEPTYQRYIVRIIFMVPVYAMMSFLSLIRSDHSIYFNSIREIYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRSLKPSWYLMTCCFPAIPLDGRFIRRCKQGGLQFVILKPLLVVITFILYAKGKYKDGNFSVDQAYLYITIIYTVSYSMALYALALFYVACKDLLRPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIRNAEEAADFQNFVLCVEMLAAAVGHFYAFPYKEYAGANVGASGGLRGSLFHALKFNDFYHDTVHQFAPTYHDYVLYNNNESDEGATKYRSRTFVPTGQEMDTVRKNKHAYAGKLDDIQLSSVSTSGSSSPVISNTLQAQADLEAIKSSLLKESATASAQPYDLSVLADTDLSNYPAEVPAVDDSDKP >Ma10_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28045315:28057163:-1 gene:Ma10_g16300 transcript:Ma10_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLRWKKLRDTATRVARSAAAASATDRETPCRLSRRRPISAIAGDHHRRLLLHAPASPALATSRRSFGLLRQERHKWDGGADEFHTRRIRAEAQCPRCSHYMDILFSNASPFSIAGGGGGGYQALNLCPSCKTAYYFRPNKLVPLRGTFVEVGRVRDSGPGKEMKDDDDHGNRIKNSWEALRLSYGGEPPENWPPVPGPPETNGLAVHAPPGLPYPPNLNVVRVAGPGGSGGGGGSASSGGLGGKEGWGGSNLGKDLPTPKEICKGLDKYVIGQERAKKVLAVAVYNHYKRIYHASLQEGSEANLGNSDTEGDNDNNVELEKSNVLLLGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVAEFNVQAAQQGIVYIDEVDKITKKAESLNLSRDVSGEGVQQALLKMLEGTIINVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRSGGLTNAVVASSLLESVESSDLIAYGLIPEFVGRFPILVSLSALSEDQLVQVLTEPKNALGRQYKKLFSMNNVKLHFTDAALRLIAKKAMAKNTGARGLRAILENILIEAMFEIPDVKTGDERIDAVVVDEEAVGSVDKPGCGAKILHGDDALDHYLSENKRSDVIVEVSEGELEGDSELTSGAMGM >Ma07_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11792580:11798120:1 gene:Ma07_g15640 transcript:Ma07_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECASGVASSLMINRIFIGGLSTSVTAADLEKTFLSLGRVHNMEFVRSNGRSFAFVDFEPNSDKALAKLFAVYNGCTWKGGKLRLEKAKEHYLARLKREWFEEAKVNGPPDLDVVKSSDHSDKSGCLSQENANLRIFFPKLRKVKTLSYKGTGKHKYSFQRVVVPSLPIHFCDCEEHCGPSETANETYISALNSAAYEKERSIMTGVMNKLLEKEENEVPASGVKSIATQAIIVNTSDDDIKPKQTEEAQETDADFVTNIGVGESDDMLMQLLGKKAQSVDQVQESRTGIPQTFTEGLTQKKARSLKRQKITTTVTSEVASSQATSPTVVGTEDEFASILTRSEDLKVDKVNKILAEDSAGKNQAENATTTVISATSNTWIQKSSWRDLVGESDSSTFSISHVLPGISSIAPTVPNAKDSVTKPFAAPKKRKREPDGEGSTDVKVKQNTRLEKIISHSPPGVPGNIDRRKATTTDTTDGEHQNNLQQGRSIPKINIGEVCTFMRSAESEKEWLKAKATLSGYLKKKGGDTNASKEPKLKPSRR >Ma07_p11240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8362996:8371579:1 gene:Ma07_g11240 transcript:Ma07_t11240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQEQDLVVHDGTGNDGSEGPPRCLRCGISANATPHMRRGPEGPRTLCNACGIAWTKSLHKQGKMRRMVDPNGPLSEVTMAKLVPEIDMEFESEEKAYEFYNKYAGHVGFSVRKSSSDKSSENVTRSRTFVCSRQGFRKDKKGAKEVKRPRPETRIGCPARMTIKITPSGKYRITEFIADHNHQPAPPSTTHMLRSQRITIELQAAEADLSDDSGTTPKSTNETAPRPIGGPRNVMFLPADYKNNLRSKRMKAMQMGDAGAVLKYLQSMQLDDPSFFYAIQVDEDDRLTNIFWADSKSIMDFNCFGDVVCLDMTYKINGYGRPLAPFLGVNHHKQTTIFGAALLYDESIDSFKWLFETFKIGMRGKQPKTILTDQSMAISGAVASVWPGTNHRHCVWHVYQNAVRNLNHVFQGSKTFSKDFSKCIYDCEEEEEFMLAWRAMLDKYDLKNNEWLGKLFEDRDKWALPYGRDIYCADMKSTLQNESLSSVLKKYLSPQLDLLSFFKQYERVLDEHRYAELQADFHASQSFPRIPPSKLLKQAANLYTPVVFEIFRKEFEMFMDSMLFGCGEVESIYEYRVAVTDKPKEHYVRFDSRDCSAYCSCKKFEFVGIQCCHVLKVLDFRNIKELPLKYFLKRWKKDAKSSNEGNNWVITSESDPKTPTSSSLIVPVTSYSQQQGFHGSSQFSQDPSVSDLHQHSFPASTQLNQVIWTSTHRAI >Ma07_p11240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8362996:8371577:1 gene:Ma07_g11240 transcript:Ma07_t11240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQEQDLVVHDGTGNDGSEGPPRCLRCGISANATPHMRRGPEGPRTLCNACGIAWTKSLHKQGKMRRMVDPNGPLSEVTMAKLVPEIDMEFESEEKAYEFYNKYAGHVGFSVRKSSSDKSSENVTRSRTFVCSRQGFRKDKKGAKEVKRPRPETRIGCPARMTIKITPSGKYRITEFIADHNHQPAPPSTTHMLRSQRITIELQAAEADLSDDSGTTPKSTNETAPRPIGGPRNVMFLPADYKNNLRSKRMKAMQMGDAGAVLKYLQSMQLDDPSFFYAIQVDEDDRLTNIFWADSKSIMDFNCFGDVVCLDMTYKINGYGRPLAPFLGVNHHKQTTIFGAALLYDESIDSFKWLFETFKIGMRGKQPKTILTDQSMAISGAVASVWPGTNHRHCVWHVYQNAVRNLNHVFQGSKTFSKDFSKCIYDCEEEEEFMLAWRAMLDKYDLKNNEWLGKLFEDRDKWALPYGRDIYCADMKSTLQNESLSSVLKKYLSPQLDLLSFFKQYERVLDEHRYAELQADFHASQSFPRIPPSKLLKQAANLYTPVVFEIFRKEFEMFMDSMLFGCGEVESIYEYRVAVTDKPKEHYVRFDSRDCSAYCSCKKFEFVGIQCCHVLKVLDFRNIKELPLKYFLKRWKKDAKSSNEGNNWVITSESDPKTPTSSSLIVPVTSYSQQQGFHGSSQFSQDPSVSDLHQHSFPASTQLNQGYSAASMHSPAFLGSSHVNNRTNDEGH >Ma07_p11240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8362996:8370889:1 gene:Ma07_g11240 transcript:Ma07_t11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQEQDLVVHDGTGNDGSEGPPRCLRCGISANATPHMRRGPEGPRTLCNACGIAWTKSLHKQGKMRRMVDPNGPLSEVTMAKLVPEIDMEFESEEKAYEFYNKYAGHVGFSVRKSSSDKSSENVTRSRTFVCSRQGFRKDKKGAKEVKRPRPETRIGCPARMTIKITPSGKYRITEFIADHNHQPAPPSTTHMLRSQRITIELQAAEADLSDDSGTTPKSTNETAPRPIGGPRNVMFLPADYKNNLRSKRMKAMQMGDAGAVLKYLQSMQLDDPSFFYAIQVDEDDRLTNIFWADSKSIMDFNCFGDVVCLDMTYKINGYGRPLAPFLGVNHHKQTTIFGAALLYDESIDSFKWLFETFKIGMRGKQPKTILTDQSMAISGAVASVWPGTNHRHCVWHVYQNAVRNLNHVFQGSKTFSKDFSKCIYDCEEEEEFMLAWRAMLDKYDLKNNEWLGKLFEDRDKWALPYGRDIYCADMKSTLQNESLSSVLKKYLSPQLDLLSFFKQYERVLDEHRYAELQADFHASQSFPRIPPSKLLKQAANLYTPVVFEIFRKEFEMFMDSMLFGCGEVESIYEYRVAVTDKPKEHYVRFDSRDCSAYCSCKKFEFVGIQCCHVLKVLDFRNIKELPLKYFLKRWKKDAKSSNEGNNWVITSESDPKTPTSSSLIVPVTSYSQQQGFHGSSQFSQDPSVSDLHQHSFPASTQLNQGYSAASMHSPAFLGSSHVNNRTNDEGH >Ma07_p11240.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8362996:8370889:1 gene:Ma07_g11240 transcript:Ma07_t11240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQEQDLVVHDGTGNDGSEGPPRCLRCGISANATPHMRRGPEGPRTLCNACGIAWTKGKMRRMVDPNGPLSEVTMAKLVPEIDMEFESEEKAYEFYNKYAGHVGFSVRKSSSDKSSENVTRSRTFVCSRQGFRKDKKGAKEVKRPRPETRIGCPARMTIKITPSGKYRITEFIADHNHQPAPPSTTHMLRSQRITIELQAAEADLSDDSGTTPKSTNETAPRPIGGPRNVMFLPADYKNNLRSKRMKAMQMGDAGAVLKYLQSMQLDDPSFFYAIQVDEDDRLTNIFWADSKSIMDFNCFGDVVCLDMTYKINGYGRPLAPFLGVNHHKQTTIFGAALLYDESIDSFKWLFETFKIGMRGKQPKTILTDQSMAISGAVASVWPGTNHRHCVWHVYQNAVRNLNHVFQGSKTFSKDFSKCIYDCEEEEEFMLAWRAMLDKYDLKNNEWLGKLFEDRDKWALPYGRDIYCADMKSTLQNESLSSVLKKYLSPQLDLLSFFKQYERVLDEHRYAELQADFHASQSFPRIPPSKLLKQAANLYTPVVFEIFRKEFEMFMDSMLFGCGEVESIYEYRVAVTDKPKEHYVRFDSRDCSAYCSCKKFEFVGIQCCHVLKVLDFRNIKELPLKYFLKRWKKDAKSSNEGNNWVITSESDPKTPTSSSLIVPVTSYSQQQGFHGSSQFSQDPSVSDLHQHSFPASTQLNQGYSAASMHSPAFLGSSHVNNRTNDEGH >Ma07_p11240.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8362996:8371577:1 gene:Ma07_g11240 transcript:Ma07_t11240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQEQDLVVHDGTGNDGSEGPPRCLRCGISANATPHMRRGPEGPRTLCNACGIAWTKSLHKQGKMRRMVDPNGPLSEVTMAKLVPEIDMEFESEEKAYEFYNKYAGHVGFSVRKSSSDKSSENVTRSRTFVCSRQGFRKDKKGAKEVKRPRPETRIGCPARMTIKITPSGKYRITEFIADHNHQPAPPSTTHMLRSQRITIELQAAEADLSDDSGTTPKSTNETAPRPIGGPRNVMFLPADYKNNLRSKRMKAMQMGDAGAVLKYLQSMQLDDPSFFYAIQVDEDDRLTNIFWADSKSIMDFNCFGDVVCLDMTYKINGYGRPLAPFLGVNHHKQTTIFGAALLYDESIDSFKWLFETFKIGMRGKQPKTILTDQSMAISGAVASVWPGTNHRHCVWHVYQNAVRNLNHVFQGSKTFSKDFSKCIYDCEEEEEFMLAWRAMLDKYDLKNNEWLGKLFEDRDKWALPYGRDIYCADMKSTLQNESLSSVLKKYLSPQLDLLSFFKQYERVLDEHRYAELQADFHASQSFPRIPPSKLLKQAANLYTPVVFEIFRKEFEMFMDSMLFGCGEVESIYEYRVAVTDKPKEHYVRFDSRDCSAYCSCKKFEFVGIQCCHVLKVLDFRNIKELPLKYFLKRWKKDAKSSNEGNNWVITSESDPKTPTSSSLIVPVTSYSQQQGFHGSSQFSQDPSVSDLHQHSFPASTQLNQGYSAASMHSPAFLGSSHVNNRTNDEGH >Ma07_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8362980:8370889:1 gene:Ma07_g11240 transcript:Ma07_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQEQDLVVHDGTGNDGSEGPPRCLRCGISANATPHMRRGPEGPRTLCNACGIAWTKSLHKQGKMRRMVDPNGPLSEVTMAKLVPEIDMEFESEEKAYEFYNKYAGHVGFSVRKSSSDKSSENVTRSRTFVCSRQGFRKDKKGAKEVKRPRPETRIGCPARMTIKITPSGKYRITEFIADHNHQPAPPSTTHMLRSQRITIELQAAEADLSDDSGTTPKSTNETAPRPIGGPRNVMFLPADYKNNLRSKRMKAMQMGDAGAVLKYLQSMQLDDPSFFYAIQVDEDDRLTNIFWADSKSIMDFNCFGDVVCLDMTYKINGYGRPLAPFLGVNHHKQTTIFGAALLYDESIDSFKWLFETFKIGMRGKQPKTILTDQSMAISGAVASVWPGTNHRHCVWHVYQNAVRNLNHVFQGSKTFSKDFSKCIYDCEEEEEFMLAWRAMLDKYDLKNNEWLGKLFEDRDKWALPYGRDIYCADMKSTLQNESLSSVLKKYLSPQLDLLSFFKQYERVLDEHRYAELQADFHASQSFPRIPPSKLLKQAANLYTPVVFEIFRKEFEMFMDSMLFGCGEVESIYEYRVAVTDKPKEHYVRFDSRDCSAYCSCKKFEFVGIQCCHVLKVLDFRNIKELPLKYFLKRWKKDAKSSNEGNNWVITSESDPKTPTSSSLIVPVTSYSQQQGFHGSSQFSQDPSVSDLHQHSFPASTQLNQGYSAASMHSPAFLGSSHVNNRTNDEGH >Ma04_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3873973:3877221:-1 gene:Ma04_g05160 transcript:Ma04_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQLNDAVDSKPRVKATLHLGSETSSVCSNAGILSQQLVTMKDQSMAILKDYITKHNAPNDVPDEVIEGSSDESEEDVDNPPKKSKKQN >Ma02_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27024134:27024598:1 gene:Ma02_g21390 transcript:Ma02_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMVGFEDNPLMAALHHLMDVTAGGKAACGATCAYVRDCGATASTPADVKELPSKLVFEIDMPGVKPGDVRVQVEDDRSLVVSGERRRCEDGEGEYVSVERRVGKFMREFQLPEDADLDAITALCQDGVLTVTVEKPPPAEPKKPKTIDVKMG >Ma02_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18167719:18169451:-1 gene:Ma02_g07510 transcript:Ma02_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPMMGGGLLPGSATGILDLDPSVGQCHHHAPTHAHAHSHIHPVFGGGLDSDRQMASLFVPSSVGAATSDDEDHFPAVAGEEDGHDPDSLPASEAGGSKKASPWHRMKWTDEVVRLLISVVAFVGDHDDGSLEILNGSAAARRRHGASLQKKGKWKTVSKLMLEKGCYVSPQQCEDKFNDLNKRYKRLNEILGRGTSCQVVENPHLLDSMSHISPKAKEDVRKILSSKHLFYREMCAYHNGQRIPNCHDIDLQVCDVPKVATSKDGDGHANDEDEDDEKDGDDGDHDDRSDEMGNKGLESFRAEMDVVLHDTTKSPWEQRQWFKGRALQLEEERVEIEAEALELEKRHFKWQRFRGKKDRELERLMLENERLKLENERMALQVRQKELELDILRSMDREQDIQGN >Ma04_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9456672:9458580:1 gene:Ma04_g12520 transcript:Ma04_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTPSGPVMQKDMLTSSNRSTRCHQASIFSHSTSSVEKLLFLRLDDVSESQQFVPDILNGIFEIAIEMEKIEKNSLRSNMLEGYLMDPSEFKKCRSSPKQIEGPDGRNLQLHFRTRLSLSHFTGGKVEGEQGAEIHVVLIDANTGHVVTSGPESSAKLEVLVLEGDFNNEDNDDWTEEEFDSHVVKEREGKRPLLIGDLYVSWKEGVGTLGELTFPDNSSWIRSRKFRLGLKIASGFCDGIRVREAKTEAFTVKDHRGELYKKHYPPELKDEVWRLEKIGKDGSFHKRLNKYGLFTVEDFLRLVVRDPQKLRKVLGSGMS >Ma10_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20379161:20380155:-1 gene:Ma10_g06830 transcript:Ma10_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRAVCWPVTSLLFSSLLLVALGTDSSTMSIESLDSTIRDRAFDLLSRGRTGVAYEVPLPSSLSGVRASVQRMRSGNLWSRGARLGSLHVPPRTTTVPFVRRLVVVHQDLGNRSSSFFNVPGYSLLAPVVGCLAYDASGGSTSNATRKLELRVLGDPISITFPRVTLPAGLNATIECARLGPHGSVVQLADAASDNACAATRTGHFTMVVPSSVASSAPDTARETTWRVWAVACGSGVVGLLLVGLVGMGIMRLTRNRKMEEMEQQAEEGEALGTTWAGRSKMPSAAMSRTKAVMEDGTTAP >Ma02_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23748587:23753156:1 gene:Ma02_g16320 transcript:Ma02_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSESFSHLKPWKLSQAALFGCLLIMTVILVCLIKSGSNTIVTLNLQLSSYSNMALPMEEELKTGGQGGLPSTPPSFEEAQLSDESLQGGKEAAILPHALKEKQDEKEAHEDADAATSLTSTTMEDKELDEPATGGNQTVTLQLQHEDKHGGGDSNKDWTVIKLPPVPKEKQREEETTAERLIKESRVVCDFTAPRSDICSMDGDVRVLGRSSIIMLASPPSDRSPTENTTWKIRPYPRKWESTMELIKELTVTVAAEPEKAPRCMINHGVPAVFFSTGGFVGNYFHDFTDVIIPLFMMARRFNGEVQLVVTDFNYQFMAKYQQILRHLSHYPAINLDADDRVHCFPHAHVGLHSHRALGIDASKSPNGISMSDFRDFLRKCFSLKRKYSEGIDLQSRRKPRLLLILRRGSRSFVNERQVMRMVKGLGFKLITAGPEETKNISRFAQMVNSVDVLMGIHGAGLTNMVFLPSNATLVQIIPCCDLAKGCRYIFEEPAPDMGIRYVEYEIRVEESSLIEKYPRDDVLFRDPLSIQKQQGFNAFWNIFLNQQKVKLDVRRFRSILSGVLRSIKH >Ma01_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19665116:19668744:-1 gene:Ma01_g20850 transcript:Ma01_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQKSKSKESPSVDPAMASCRKKKSDDASFMEDLRDHIDEFIHASMDEHKSCFKKTIQKMFGMSKVVAQRASETKEVESSLPLQTTVAQEGM >Ma07_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30684143:30686837:-1 gene:Ma07_g22900 transcript:Ma07_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHQTVVPQQQRGGHVPGGKQKAGAAADGKNRRALGDIGNLVHAQVVEGKPESQINRPITRSFGAQLIANAQAVAAAAAANKKPVAVKANAAVARAGTKPAKKVAVKGKEKKTEEVKVIVISPDKNQEVPKQAADTSRSRTKSTRKKVTTLTSVLTARSKVACGLTDKPKELVDDIDAADTEDELAVVDYIEDIYKFYKSAEHHCRPHDYMDSQVEINAKMRAILADWLIEVHHKFELSPETLYLTFHIIDRYLSMETVLRRELQLVGVSAMLIASKYEEIWAPEVNDFICISDRAYTREQILGMEKAILNKLEWNLTVPTPYVFLVRFLKAAACDQEMERMVFFFAELAMMHYSMIMFCPSMVAAAAVHAARCTLRKSPLWTATLKHHTGFSEQQLLDCTQMLVNSHAAAPEGKLKVVYKKYSSEQFGAVALQPSAAKLVEELKAASL >Ma06_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7460721:7463046:1 gene:Ma06_g10700 transcript:Ma06_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDTDINVVPAGEGSSSAAGPSATASSSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Ma06_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12975043:12977770:1 gene:Ma06_g18960 transcript:Ma06_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77090) UniProtKB/Swiss-Prot;Acc:O49292] METTLCSSCSFSVTYAPRHQLHPKRCSAVAANGGGLRGDGNRATGLFGRRCALASSLSLVSGMVLGSPGDGSAVTQGLLAGRIPGLSEPDENGWRQYRRPDDKSGGHGVGWSPIIPYSFKVPDGWQEVPVSIADLGGTEIDLRFASSKEGRLFVIVAPVRRFADIEGEAEIEMIGPPDKVISAFGPEVIGENVEGKVLSMEVAEHSGRKYYQFELEPPHVLITATAAGNRLYLFNVTGSGLQWKRHYKDLKQIADSFRVV >Ma06_p18960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12975557:12977770:1 gene:Ma06_g18960 transcript:Ma06_t18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77090) UniProtKB/Swiss-Prot;Acc:O49292] MTGWRQYRRPDDKSGGHGVGWSPIIPYSFKVPDGWQEVPVSIADLGGTEIDLRFASSKEGRLFVIVAPVRRFADIEGEAEIEMIGPPDKVISAFGPEVIGENVEGKVLSMEVAEHSGRKYYQFELEPPHVLITATAAGNRLYLFNVTGSGLQWKRHYKDLKQIADSFRVV >Ma08_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4715447:4716855:1 gene:Ma08_g06920 transcript:Ma08_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSNKWVSSKWAKDAKGKRASDIISMSFFWNQIVYTLKVMSPLVRVLRLIYNEKKPAMGYIYKAMDRAKETIQKSFGGNEEKYKHIFAIIDKRWECQLHHPLHAAGYYLNSEFFYKDSSIKFNVEVVSGLYQCIARLVPDIEIQDKIINELSLYKNAEGLFGNPMAIRSRTTLSPAEWWNLFGNSTPNLQQLAIKILSLTCSTIGCERDFSIFENIHAQRRNRLEHQRLHDLVYIKYNQALKARYNLQNGIDPISSQDIDDSNEWLVGEAGANLQNVEDEFIFEDNNLTCGDVTRVSGVGELRTCTRQLTKAKLSARALNSSLVIVEEEDNYFDEAEPKEYKSTEEEEEDDDKFKNDEVNYDDY >Ma10_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31596713:31598814:-1 gene:Ma10_g22100 transcript:Ma10_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDALPEGTLACFDENDQLQQVSVHALAAGKKVILFGVPGAFTPTCSMKHVPGFIENAEELKSKGVDEILLISVNDPFVMKAWAKTYPENKNVKFLADGSGTYTHALGLELDLSEKGLGTRSRRFALLTDDLVVKVANIEEGGAFTISGAEEILKAL >Ma07_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3766725:3767372:-1 gene:Ma07_g05180 transcript:Ma07_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGVYNANGGATMAKAPSMAPGGPHSGWHSPVPYLFGGLAAMLGLIALALLILACSYWKLSSYLESGDGADQPNHEKPSDGSADKETAFVEESVLVIMAGNSAPTFLAIPTASGSVSLAGNSTAANTDLDESNKQEDTDMTRDSRPMEGGHSQHQSQNQEL >Ma06_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24194056:24199438:1 gene:Ma06_g24820 transcript:Ma06_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHRLQNSAIDRNQEESIDAVVDPGGHRNAAAAAVDLACVICSEVSAVLAVMRRNVRWGRRSASADEDDVIEHLLIRSLKSLRRQVFSWGDARRPWSSIEPSAYLRPFLDVVCSDETSAPITGVALSSVYKILTLDVLDPGAGTGLDTAMHLIVESVTSCRFEVTDPASEEAVLMKILQVLLAIMRSRASAVLSNQHVCTIVNTCFRVVHQAGTKGELLQRFARQTMHELIRCIFSHLPNVKDGGRPPSVKPELGAVDKDQAFGIKQVENGNGSVGPGMTSMADNNMPSPEAPIGAEIMVEPYGIPCMLEIFHFLCSLLNVADQIGISPAPNQIALDEDVPLFALGMINSVIELGGASICRHPKLLSLIQDELFRNLMQLGLSVSPLILSMVCSIVLNLYRHLRTELKLQLEAFFSCVILRVTQSRYGASYHQQEVAMEALVDFCRQTTFMAEMYANFDCDISCSNVFEELANLLSKSAFPVNCPLSSTHVLALDGLIAVIQGMADRIENAPPPSEQTPQELEEYASFWTMKCEDYSDPDQWVKFVHRMKNIKRKLMIVADHFNRDPKKGLEFLQGTHLLPENLDPNSVACFFRYTTGLDKNLVGDFLGNHDEFCVQVLHEFARTFDFKDMNMDTALRLFLDTFRLPGESQKIQRVLEAFSERYFEQSPQILVDKDAALILAYSLIMLNTDQHNVQVKKKMTEEDFIRNNRRINGGNDLPREFLSDLYHSICRNEIRTAPEQGFGFLEMYPSRWVDLMLKSKTASPYTVCDSRPFLDREMFSVMSGPMIAAISVVFDYAEHEEIFLTCVDGFLAVAKISAHHHLEEVLDDSVVSLCRFTTLLDSSFIEEPVTIFVDDTKARLALETVFSITNTYGDCIRTGWRNILDCILRLHKLGLLPAPVASDADDDSESSPDSIHGKPVPSPLSTSHVQIMGTRKSSGLIGRFSQLLYFDTEEPSLEPNEQQLAAHQRTLQIIQECHIDSIFTESKFLHADSLMQITRALIAAGHPQKITSSPDDEDMAVFCLELLIAITLNNRDRIGLLWQDVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEITRLVKANATHLKSQMGWRTIASLLSITARHPEASEVGFEALIFIMSEGAHISPANYVLLIEASRHFAESRVGQTDRSVRALDLMAESINCLARWSRETRDAGEEAEKISEGIREMWLRLVQALRKISLDQREVVRNHALLSLQKCLVAAEGMCLLLSTWLQAFDLVIFTMLDDLLEIVQNHSPKDYRNMEGTFLHAVKLLSKVFLQLLQQLSGQSSFCKLWLGVIGRMEKYMKIKVRGRKSEKLQELIPELLKNILFVMKSKGILAKGSIVGGDNLWELTWVHVNNIAPYLQSEVFPNQEMEQLHLGAQTDNSSPRLLAEPSVG >Ma03_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7082808:7088975:1 gene:Ma03_g09540 transcript:Ma03_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENPTERKEEVPELAPFDPTKKKKKKKVVIQDPAEEVEKLAEKTESLTVDAIGEPSFVGMKKKKKKPVETNFLNDNNGDSGEDLDGDQIGEDDEGEGIVLGVVRYPWAGTDRDYKYEELLGRVFNILHENNPDLAGDRRRPVMRTPKVLREGTKKTVFVNFMDLCKMMHRQPEHVMNFLLAEMGTSGSLDGQQRLVVKGRFAPNNFEGIIRRYVNEYVICNGCKSPDTILSKENRLFFLRCEKCGSSRSVAPIKAGFVARVGRRKAGS >Ma04_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24245594:24255241:1 gene:Ma04_g21790 transcript:Ma04_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADDNTNFEYGGGFPQSFSNRDAVCLPATVNRAMGMPSGRGMCSSGSSMAGMFLPANAGTLNNTSPVTPQSGNSSGNAILNHESPPESASTSAVNWSNDEVLVLRRGLEEYADKPSLTKYINIAGMLPNKTARDIALRCHWMMPEKMVNSSSVSSMNYVQPDNVTHNAPTMLDVHHGNQVSCEAYPTESETQRCLAENVKLLNLIMANFEMNKVEDNVNLLYYVRKNIVTILNSFSGASSVMSRMPPLCMSIGEDLFQLLFVLVNLVHALGGSHRKEEQRRG >Ma02_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26152351:26152542:-1 gene:Ma02_g20110 transcript:Ma02_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHDIFIQNKKIFEIPNKTNASLLHMLCPLKIRNYLKHSSRSIHLSCAQCIRPKKKKTMKVH >Ma06_p34350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34614650:34623140:1 gene:Ma06_g34350 transcript:Ma06_t34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIRYRIRNEYGLGASELYGAADKNDPEALLEGVAAAGLVGLLRQLGDLAEFAAEVFHNLYEEVINTASRGHKLLLQVQQLETDLKSIGFSSESASSCLSYKQGIDWHCNLQIDHNIITPGDMPHFIMETYRECRGPPQFFKLDKYDLAGAGACLKRYTDPSFFRIELASRLVEDNAQSEKRACKIKKKVSYSFNGESPEPFVMPCIDSRLQPAICDQSFVKVHLEHVKLKPRQLNESVIHRLKNYLEDHIDFHRVVQQLLSQSSVNQSVMMKSTDSGESVTEVHKMVADASYDIEKNWNPSTSKQEMTTASDLEKQETERKGLSGKESEPASELGIEHPIYSVMEDKRKFSKSGKRTERHSYDSQEVNSNILPAQEFIQNGFSPDAECRSENSSDGYLSDEISSELDNYMDALTTVESNRETDIENLGKLDSVLNSIESRETDSDTNEEQEELKAQYLEQYSIENSTSSPGFSTIFRKGSANPSFSDTLGHLAAQLLEENKNDSGFPLDSDVGLGETNEIHFDQPYDKQVTCEFSDHLLISGACDVMTLDNPTSAVHGEAYPSLCTTDSTLTISLVTVGMNETTPLEKVPDQVVIHLDGELQCIKKNSELSSQERSNMIHRELAKNPDVVSDSLHHMMDQNLSRKDDNTKEIDSDNSIKEQSSHDIASTKNGTVLHSQKQHETTLERGITGIEVSPASFSMNGVKFLELAKQDQGACFLVKPVILDPESGNVITSDDGLSSSKSVLILKHVDALTTGKTPISIMSHFEKDTLYGTDKSQQSCTGEEAFPDNMHFLSGKLKNDMMQGSMHTVDLRVVPHKSTMSDTQKNVENIKSCNGNTSCQNDVAHIYNENGDQSICSPDVCSFNLELQQQVVADGAYLGERTHKIIEQTCSEDATQLDYSSSTYICTSSDKQASSSPSLIPAETFGTKISSSLEPLQKSSPENLKEIIPEIVLVKTAETFEFFGKESSRDPDNVSLIQDEQQLKSSAPHSVEDSSAKQRSTGIYSTELADKKIPVDSSESECPIDSKASILCPAKSSNDYMVLAEPSGLSQNVSESQGDGELNLILLQQNCKHLEPFEEISSTENELLYVAHNPRESVPHINDSDVSFSEPNIPSSKEIISGRLYREGFFLFQCNCIADTIPQQASEQQPELASGSPLLENCATSENTEAISQIAHLPPIPWGLTKPPLISLVSSRNVAQPPSGSNLAISSISAVQNEPSQKLLATGIEIAESDYVVRSQKSCKVPASVKESYEHDTLDSDGKITRPALESLLEEDKQVYDHNATGEGIWKSTEVPSTGENKSSQDVPVLPSDETQHSKLSEVTPCLNEKTQDLDSSRSQNGAANSDRKSPIPFIVASAVEVHKFIHEVTGSAVPPRLPPLPMTKFKNPRHGFLSTEEKSSSTVHDSTTETSENEKPTTKIHPAIHRPNDPLIEAIVSHDRSKLRKAHELIRSSAMPKSDETGSFLEQIRNKSFSLKPTVAPAVRFKGGPPRNLKVIAILEKANAIRQACAGSDEDDDDDNDEDSWSDS >Ma08_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3413671:3415420:1 gene:Ma08_g04940 transcript:Ma08_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSREVELCDTGLSLELGIGGKSTTWSTDHRSMAQRQASLTLSLSDEGCGGEAVQEAEAYKGCVEGGQLRPSSPLSHSTVSSFSAAYPQTIKKEKEVVGGEEAEVELATFRGARDDDNDGSGRKKLRLTKEQSAMLEDRFKEHSNLNPKQKHALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDRELLKRCCESLTVENRRLRKELQELKALKFTPPLYMQLPAATLTMCPSCNRVGGSADSCNSGGAEEFAAAPPTPRFFNPFIHSATC >Ma06_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5245646:5245753:1 gene:Ma06_g07360 transcript:Ma06_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSSTPRVISFITVLFVSATCKREEISNISAAD >Ma03_p15700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16902768:16910882:-1 gene:Ma03_g15700 transcript:Ma03_t15700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MGAVNGPAPPPSAVRHLHSASWSPSSLPIRCSSLGLPRRPFLFLLRSPRRLGNNRVRCSAERHALFNRIAPVYDNLNDMLSLGQHRSWKRMCVSWSGAKRGNRVLDLCCGSGDLVFFLSQKVGTEGEVYGLDFSREQLSIASRREDLYWKACYKNIEWIEGDALDLPFSDGYFDAITIGYGLRNLIDRQKAMREIFRVLKPGSKVSILDFNKSTSSLVNMLQEWIIDNVVVPTASEYGLSQEYKYLKTSIDEFLTGKELEKLAKEVGFSKAEHYEIGGGFMGNLVATR >Ma03_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16903302:16910882:-1 gene:Ma03_g15700 transcript:Ma03_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MGAVNGPAPPPSAVRHLHSASWSPSSLPIRCSSLGLPRRPFLFLLRSPRRLGNNRVRCSAERHALFNRIAPVYDNLNDMLSLGQHRSWKRMCVSWSGAKRGNRVLDLCCGSGDLVFFLSQKVGTEGEVYGLDFSREQLSIASRREDLYWKACYKNIEWIEGDALDLPFSDGYFDAITIGYGLRNLIDRQKAMREIFRVLKPGSKVSILDFNKSTSSLVNMLQEWIIDNVVVPTASEYGLSQEYKYLKTSIDEFLTGKEVNITLQLLPCAETNTVLGSHN >Ma11_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3605033:3605134:-1 gene:Ma11_g04620 transcript:Ma11_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIYLDMAHHYLNISPEVQPVKQKHYKFAPKR >Ma08_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34024295:34025995:1 gene:Ma08_g20200 transcript:Ma08_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDGKSMKVRVERSKIVKPLHKGEPTSTCLHVPLSVFDKITYDTHMAVIYAFRPPTPSNTDIEKGLATVLSEYREWAGRLGEDARGEPVVLLNDAGAQVFQASSDAPLDVAGLLKPSPALLTLHPGIQGVEELVQVQLTRFACGSLVVGFTAHHLVADGHAASKFLVAWGAATRGLPIHPIPFRGRSALFVPREPPRVEFQHRGAEFTTKKMFNAKDDPLKDDIVVHKAHFTKPFLTALKTKASLGSKRQYSTFESLVAHLWRVISKARGLDERTTSDVRISVDGRRRLSPPVPDEYLGNLVLWAFPRTQVGELINSPLQYAAALIHEGIERVDDRYFRSFIDFAKSAAVEAEGLVTTADMNEWVVSPNLEVHSWLRFPFYDVNFGGGTPFCFMPTYSPVEGMLVLLPSTVGDGSIEVYVPLFNHNLATFKHLCCLLD >Ma07_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8830312:8831638:1 gene:Ma07_g11910 transcript:Ma07_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDEATAAAAEEPVADSAPSDPPMEDDADAAAEGAKQAKKRKAKAPRETKAKKKPAAPRKPPAHPPYADMITEAIVTLKERTGSSQYAIQKFIEDEHKGRLPGNFRKILLGHLKRLTDSGKLKKLKNSYKLAAAAPASSSSSSTAAPAKLKPKAKPKPPAKKPPTSAKTKPKAKPAAAAKPKPKPKPKAKAAAPAKPKAKPAAAAAKTRAKRKAPAPAKPKPAAVKPKAAATRPKPKAPTRPAKVAKTSAKGSPVKKASAAAAKKKAAAAAALKTRRAAAPTTRKAAAKRATRK >Ma04_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10977781:10978879:-1 gene:Ma04_g14510 transcript:Ma04_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNAGIQEPSPELQKVDQNGTPRQGEGLLPTHDLPLLKVKKLSDKAVLPSRASPLSAGYDLSSAVETKVPARGKALVPTDLSIAIPDGTYARIAPRSGLAWKHSIDVGAGVIDADYRGPLGVVLFNFSDVDFEVKEGDRIAQLIIERIMTPEVLQVDDLDSTARGVGGFGSTGV >Ma04_p38970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36257288:36261496:-1 gene:Ma04_g38970 transcript:Ma04_t38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALILDKKNRWRDGGSGKGSSEEGARRRPSMLRQLQENKLREALEEASEDGSLVKTQDMDPEAFGNPDEVSVSRSRSLARLNAQREFLQATALAAERTFHSADSIPALEEALSKFVTMYPKYHSSNRIDRLRLDEYCHLSETGAKVCLDYCGFGLFSYLQSFQNWESSAFSLSEITANLSNHALYGGAEKGTAEHDIKARIMDYLNIPENEYGLVFTFSRGSAFKLLAETYPFHTNKKLLTMFDHESQSVNWMAQSAREKGAKVHSAWFKWPTLKLCSTELRKQISSKRRKKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGLDPTGFGCLLIKKSVMGCLQNQNGGTGSGMVRIVPVFPQYLSDSVDGLDMLDGTEDETINGNDESFMPDTHRGSQLPVFSGAFTSSQVRDVFESEMDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPFGSDHSGQLNKGKPGSPAPPSWFTGRKTHKKASPKLASRMSKSPIFDDHVLSFDAAVLSVSQELDRVKEDPGEGPSENGPKYARFQHVSEIEEEHKLKEASGKRAVKFCSTNGSKIVSSSSVFGQHTGHENGLTSEIFPENHMEVKESAIRRETEGEFRLLGRREGNNNRFAGGKLLGVQENDRVLSMDQRVSFIVEDNKTTEVSYRSSDAGEVSGHALVDDDDDDDDVDAIRDGYDDDAEEWGRLEPEIVCRHLDHVNMMGLNKTTLRLRYLINWLVTSLLQLQLRDSAGDNSLPLVQIYGPKIKYERGAAVAFNVKDSSGAVIKPEIVQKLGEKNGISLGIGFLSHVRVMDNQKHLHGEVLTDTHHSANGHHDSKKNSKNTVARVEVVTASLGFLTNFEDVYRMWAFVAKFLDPAFIETDGLSTIAETSET >Ma07_p06610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4746861:4747172:1 gene:Ma07_g06610 transcript:Ma07_t06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKKQNKPPQTAVLRQILRRCSGSGREKEEEEGLPVDVPKGHFVVYVGKNRSRFIVPISYLDHPEFQALLRQAEEEFGFEHHMGLTIPCDEVVFRSLTSALR >Ma03_p25210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29337193:29339900:-1 gene:Ma03_g25210 transcript:Ma03_t25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNKLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHIQDATGHEFATRLGNVFTIGKATKPWVSLPKGKGIKLSIIEEARKRLAAANATATA >Ma01_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11830543:11833694:-1 gene:Ma01_g16320 transcript:Ma01_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPERVGPSSPSPASREDNGVMDYITDDSFSSLLELAANNDAGGFRRSLDRDPSAVDEVCLWYGREKGSNRMVLERHTPLMVAATYGSLDVLGLLLSSPSVLVNRASGRDRATALHCAASGGSPDAADAVRLLLSAGADPDLVDANGRRPADVVIVPPKLPDVRIALEELLGKRCDGSDGDHRRAALHVITGPSNSDSQPLSSSLDSDGSPSITTKASELQPPAVPEKKEYPVDPSLPDIKNSIYATDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDYRKGACRRGDMCEYAHGVFECWLHPAQYRTRPCKDGTSCSRRVCFFAHTNEELRPLHTATDSALPSPLSSASAAMEMAAALSLMPGSSSSVSSVMSPFTPPMSPSANGIGHSSFSWAHSNMPAVHLPGSNLQSSRLRASLSARDMPVDEFSAIPECDGHQLLNEMCHSRLSSSLGSPIARAKAFTLDDIFSAEPTSSPKYNSDQGAIFSPSHITAALNQFQRQQQSLLSPINTVFSPKSMDGQQVPGHSVLLQASLGASSPVRMSPRNMESISPVSSHLSLLAQREKQKLMLRSLSSRDAGSGTSPVVGSPVNHSWLKWASPTSSLDWEVNGEELGCLRQPSSFELQATGEEPDLSWVHSLVRDSPTENVVAASGAPAGLSSSPHANGIEGLNRDGQSDDLDQAALLGSWLDQLQLDQMVI >Ma04_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5745542:5746050:1 gene:Ma04_g07960 transcript:Ma04_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDLHAPFSPERGHASLVERSRRIKMAAEMGLARSSRHWSRALRRRLLLLRRGTASDGCGCQSEALTSSKEGGDLGVGANEEEAVEVEARVRALQRLVPGGEELGTERLFEETADYIEALQVQVSAMRALACLLDESREKRG >Ma09_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12140241:12142100:-1 gene:Ma09_g16720 transcript:Ma09_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSKLFQKCMPYLAMILLQFGYAGMNIITKVSLNHGMSHYVLVVYRHFFATISIAPFALVLERKVRPKMSFFVFMQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVLAVICRMEKVHLKKVRCQAKVVGTLVTVAGAMLLTLYKGPIMDMAWTKHAHPPHSNAPTAAAGDDSTDEDWLKGCICLIIATLAWASLFILQAATLKKYDAPLSLTTLICFVGTLQAIAVTLIMEHKPSAWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVMQDKGPVFASAFSPLMMIIVAIMGSFILAEKIYLGGVIGAVLIVVGLYSVLWGKNRESKEMEAMDIPVAVGRGDHGTG >Ma08_p00810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:754406:758715:-1 gene:Ma08_g00810 transcript:Ma08_t00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHAHIPKFGNWGSDGGLNYSQFFDKALRERSELEAKKLNHRYDNPNAFHTEMPTVHAPSLGTSPYSIANGVLHLRGEDGDYQRTGRVCDGSDYRVNHSPTRPNYDMKIGACSSSKGLSGGSRAVTLSSAGKLRMTGVQYDKIQMSKGSAIPKFGDWDESNPSTADGYSRIFNSVMEEKQRVSAEVSQVSNDKTYDKDHDSNHEPSGCWCFHWCRK >Ma08_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:754406:758714:-1 gene:Ma08_g00810 transcript:Ma08_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHAHIPKFGNWGSDGGLNYSQFFDKALRERSELEAKKLNHRYDNPNAFHTEMPTVHAPSLGTSPYSIANGVLHLRGEDGDYQRTGRVCDGSDYRVNHSPTRPNYDMKIGACSSSKGLSGGSRAVTLSSAGKLRMTGVQYDKIMSKGSAIPKFGDWDESNPSTADGYSRIFNSVMEEKQRVSAEVSQVSNDKTYDKDHDSNHEPSGCWCFHWCRK >Ma08_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:368337:378436:1 gene:Ma08_g00400 transcript:Ma08_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSQPITGGYRHRYASNRGAVGPPYGRIISIPGISNPAASSDPCRELPFRRRHQDFVLNDPYAAPGGPPPLKRRRMRSDGFTWDGRAGGNIASTSSSSFLQPPRRGVDARYGPPSAGQNHAPVRSEGENAAFVSRDEIERCSPSRKDGIDPLLETQLRYSYCSYLQNLGMRLELPQTTIGTAMVLCHRFFFRRSHACHDRFMIATAALFLAAKSEETPCVLNSMLRASCETSQELELSYYPYLHHKDWFEQYRERVIETEQKILTTLDFELEVEHPYVPLASVLNKLGLSQSVLLTVAWNFVSEGYRSSLCLQFKPRHIAAGAVLLAAKCLNYELALYPSFWHEFRTTPAILQDIVQQLMELL >Ma08_p00400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:368337:376852:1 gene:Ma08_g00400 transcript:Ma08_t00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSQPITGGYRHRYASNRGAVGPPYGRIISIPGISNPAASSDPCRELPFRRRHQDFVLNDPYAAPGGPPPLKRRRMRSDGFTWDGRAGGNIASTSSSSFLQPPRRGVDARYGPPSAGQNHAPVRSEGENAAFVSRDEIERCSPSRKDGIDPLLETQLRYSYCSYLQNLGMRLELPQTTIGTAMVLCHRFFFRRSHACHDRFMIATAALFLAAKSEETPCVLNSMLRASCETSQELELSYYPYLHHKDWFEQYRERVIETEQKILTTLDFELEVEHPYVPLASVLNKLGLSQSVLLTVAWNFVSEGVFFTRLMCYM >Ma09_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2637621:2642039:-1 gene:Ma09_g04010 transcript:Ma09_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRELQTQPGNKTCVDCAQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLKKMEAGGNDRLNAFVARYGVPKETDIVAKYNTRAAAVYRDRIQALAEGRPWQDPPVVKETLGSGARKPPLGRSGGKGGNTSSNGGWDSWDNDDFQSASFPDMRRNQSAGDFRAGSGGGVGGVQQPPRSRSTEDIYTRAQLEVSADNKESFFARKMLENDSRPEGIPPSQGGKYVGFGSTPPPSQRNNSQGDALRDTVSVVSQGLGRLSFVASSAAQSAANVVHAGTKELTSKMKEAGYDHKVNETVSVVTTKTTEIGQKTWGIMKGVMAMASQKVEEYTKEGINWKTDDSSWKESEKNSFYQKFSQDNNGWNSSQENSNKNYNSVGSWDDWDEKETKEQPRKGTQNGESWAGWDDDVKDDDGNDNYNYTWSNKVGNQNGKSGSSWTDGGFL >Ma10_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25568418:25574012:-1 gene:Ma10_g12530 transcript:Ma10_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMGPTEEGRGGESPTDAIEVESTGGRISFSGPLSGPLNKRGEKSGARVSFPGSPSSVGSVKGKEQEEEDDDDTYVEITLDVRDDTVAVHSVKAAGAGGDAAGDPEVAALARELERRSAFGASVMRTASWRFRQVSQELRRLASFGRRPGAGKFDRSRSAAAQALKGLKFISKADGVAGWAAVERRFDELAVDGTLDRSKFAQCIGMKESKEFAGELFDALARRRQIKGDTITKAELREFWDQISEQSFDSRLQTFFDMVDKNADGRITEEEVREIISLSASANNLSKIQDQAEEYAALIMEELDPDSLGYIEIYNLEMLLLEAPGYSAQLGTTNSRNLSQMLSQKLRPTREPNPLVRWYHEGRYFLEDHWKRVWVMVLWLCVCASLFAWKFVQYRRRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRTKTKLGKVLPFDDNLNFHKVIAVGVAIGVGLHAISHLTCDFPRLLHATDQEYDPMKHFFGDTRPNNFWWFVKGTEGWTGVVMVVLMAIAFTLATPWFRRNRLNLPWPLKRLTGFNAFWYSHHLFVIVYVLLIIHGTFIYLSKKWYKKTTWMYLAIPLILYASERLIRALRSSVRAVKILKVAVYPGNVLTLQMSKPQGFKYRSGQYIFVNCAAVSPFQWHPFSITSAPQDDYISVHIRTLGDWTRQLKAVFSEVCQPPTSGQSGLLRSDYDSNNNLIFPKVLIDGPYGAPAQEYKKYEVVLLVGLGIGATPFISIVKDIVNNMKQWDPEESSDGDDVRDASEGGSGGHSSSHRRPVTSSTSSFKTRRAYFYWVTREQGSFEWFRGVMNEVAETDKKGVIELHNFCTSVYEEGDARSALIVMLQSLNHAKHGVDIVSGTRVKSHFARPNWRNVYKRIALNHRDQRIGVFYCGAPTLTKELRQLATDFSRKTSTKFDFHKENF >Ma11_p05200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4000993:4003539:1 gene:Ma11_g05200 transcript:Ma11_t05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRRNSGLSDGSAMNVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGMMKGELENARAAVRWATDYLLKATAHPNTIYVQVGDPNKDHACWERPEDMDTPRTVYKVDNSNPGSDVAAETAAALAAASLVFRKSDPAYSKLLRNRAISVFEFADKYRGSYSNGLKNVVCPFYCSYSGYQDELLWGAAWLHKATKNPTYLNYIEANGQTLGADESDNTFGWDNKHVGARILLSKAFLVQNLKSLHDYKGHADNFICSLIPGTPFSQTQYTPGGLLFKMGDSNMQYVTSTSFLLVAYAKFLTYSSKVVSCGGTTVTPRKLRSIAKRQVDYILGDNPQKMSYMVGYGARYPQQVHHRGSSLPSVAAHPAKIPCSAGFTALYTSAPNPNPLTGAVVGGPDAMDRFPDQRSDYARSEPATYINAPLVGALAYLAHSSGQL >Ma11_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4000985:4003539:1 gene:Ma11_g05200 transcript:Ma11_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRPSFLLLSHFLLSLFASGAIGSPGHHGPANHNYRDALSKSILFFEGQRSGRLPANQRMTWRRNSGLSDGSAMNVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGMMKGELENARAAVRWATDYLLKATAHPNTIYVQVGDPNKDHACWERPEDMDTPRTVYKVDNSNPGSDVAAETAAALAAASLVFRKSDPAYSKLLRNRAISVFEFADKYRGSYSNGLKNVVCPFYCSYSGYQDELLWGAAWLHKATKNPTYLNYIEANGQTLGADESDNTFGWDNKHVGARILLSKAFLVQNLKSLHDYKGHADNFICSLIPGTPFSQTQYTPGGLLFKMGDSNMQYVTSTSFLLVAYAKFLTYSSKVVSCGGTTVTPRKLRSIAKRQVDYILGDNPQKMSYMVGYGARYPQQVHHRGSSLPSVAAHPAKIPCSAGFTALYTSAPNPNPLTGAVVGGPDAMDRFPDQRSDYARSEPATYINAPLVGALAYLAHSSGQL >Ma04_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3628965:3630322:1 gene:Ma04_g04750 transcript:Ma04_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELSPTLSKSIFEGAGGSYATWSGADLPLLTDAKLGGGKLVLKPLGLALPHYSDSSKVGYVLEGRAVVGLTLYGESKQRILLLEKGDVVALVMGSLTWWYNEEEDSDFSIAFLGDTATAVRPGDIAYFFLAGSLGMLHGFSTEFLSRACGIRDAEAEELFGSQPGALIITLQQKLPGLRASRADSEGIVVNAERVAAYIDVKSGGCAASVTRDELVALGGFRFSVDLTRLEPNAVRLPGFFVDAAVQLIYVAKGSGRVQIAGTDGNRALDAEVKEGYLFGLPKFFAMSVIAGGEGMEWFSIITSPRPAFEQLTGRTSELNMLPSQILESSLNVTPDLVKLLKTNGSAHDVFAPPSQTRN >Ma05_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35380217:35381707:-1 gene:Ma05_g23350 transcript:Ma05_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKVSIQNIGTSIPVDNVQALAASVADSGAVPPRYIRPEANAYPVAQDGDGELPVIDFARLLDLRFSQEESAKLHYACAEWGFFQLLNHQVPEEVIEQMKADIMEFFKLPLEEKKAFAQGPGGLQGYGQAFVMSEEQKLDWADVFFVITRPVHLREMRFWPTRPPTFRNTLSCYSAELKRVASTLMEVIAEALGIAPDRLLDIFDDMPQGVRINYYPPCPEADQVLGLSPHTDGGGGLTLLLQINDVQGLQIKNNGKWFPVRPLPGALVVNIGDILEILSNGKLNSIEHRAVINTEKERLSVATFLFPSEDAVIGPLPELVTKGCEEKYKTMSYRDFLKTFYARKLDGRSLLESLRL >Ma04_p39370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36540864:36542931:1 gene:Ma04_g39370 transcript:Ma04_t39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRSREKEKATRQEGATSDGKFPVSSRPWLGLRNPRIVRVSRAFGGKDRHSKVSTIRGLRDRRVRLSVPTAIQLYDLQDKLGVDQPSKAVDWLLAAAQHEIDKLPPLPFPPASFTQLGQSFPISTAFSYHHPITSQGLALTLHDKDVKCADETGDCPLALFSSAAENDGVLGNKAAELAKFPGFSLSSSVRTDVTTRQVIGEGKNDDNVRSYCAQVSEACDNSLTGSGSNLIPHASHYYHSNPANSNAYEHQFGGNSSSPPSVPGSQLVFYASGGTPPMFPTYMTPPNGSSSRQSIHIPSAASQDLRSSCETFLRSGSHVSVRPSQQRSSSGVHRPFDRHEN >Ma03_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24237584:24239981:1 gene:Ma03_g18690 transcript:Ma03_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKDVYTKDGTTDLHGNPVIKKNTGNWRACPYILANECCERLAYYGMSTNMVNYMKDHLHQENATAAKNNTNWSGTCYITPLLGAFIADAYLGRYWTIAGFMIIYILGLTLLTMTASVTGLKPPCQNGVCDPTTAQSAAFFVALYLIALGTGGIKPCVSSFGADQFDESDESEKKRKSSFFNWFYFSINVGSLVASSVIVWVQTNVGWGWGFGIPAVVMAVAVASFFFGTPLYRHQKPGGSPLTRIAQVVVASWRKFGAKVPDDKSLLYEITEKESAIRGSRKLDHTDQFKFLDKAAVETQADKLTGPVNPWGLCTVTQVEELKSILRLLPVWASGIVFSAVYSQMGNMFVLQGDTMDRHMGPHFEVPAASLSMADTIGVIMWVPIYDRIIVPAVRRWTGRERGFTQLTRMGIGLVISIFPMLAAGALEVVRLRTAARHNLYDDPDAYVPISIFWQVPQYFIVGVAEVFTFIGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSSLLVTIVAAVTTRNGKLGWIPDDLNRGHLDYFFWLLAVLSLLNFGVYLLIAKCYTYKKTIDDEMTTANDAELL >Ma03_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27624787:27627040:1 gene:Ma03_g22940 transcript:Ma03_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEILHLGQSLRGCRTQRSLPRGRQLHQVLLKCGYAASLYASNCLLQMYARCSADLDDARRVFDEIPHRNCFSWNSLIDAYLKSDEPCRARQLFDSMPRKNTFSWNAMITGLVRSGDLKNARQLFEEMPVVDAVACNAILHGYIRRGQVQEAFRLLKKVDLDSILGSALVDMYAKCQAFDSAHQVLELMSEPDEFSLSALISGYGGCGRLDDAIMIFNQKEKPSVVLWNSIINAYASSNQGDGTLELFKMMTGEGIMPNSSTFSSVLSACASIGIREHGVQMHACGCKHGILEDLVVASALIDCYSKCGIWEDACKVFRELRLYDTILLNTMINVYSNCGQIEEARKIFEMIPSKNMISWNSMIVGYSQNGHAVQALELFIDMHHLDLSIDKVALASAISSCASICALTTGEELFALATRIGLVTDLVVTSSLVDLYCKCGKVIDGRRLFDGMPNFDVALWNSMLMGYASNGYAIEVLELFESMGSAGVSPNEVTFIAVLSACCHSGLVEKGLMWFRRMKVDYCIEPTAEHYSCVVDLLVRAGRLEESINFIDTMPFKPDASMWTSVLGGCKAHGDEVLGSKVAERLIELNPQHSGPFLQLSSIYAAHGEWENSAQVRQMMNDRRINKNPGYSWIDGSQ >Ma07_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28236023:28237249:1 gene:Ma07_g20340 transcript:Ma07_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDDEIVYDTTPRLIRVFKSGRVERYLCTDATPASVDPATGVSSKDVLVSPETGVSARLYIPMLREGDRPEQKLPVLVYFHGGGFCLGSAFIPLFHNYLNSLVAQAHVVAVSADYRLAPEHPVPTAHDDSWTVLRWVAGHAGGVGGPAAEAWLAERADFERVFLAGESAGANIAHHMAMRAGIGGLPCGVTIRGVALIHPYFLGSDRVESAEIYPAATENLETLWRTMCPSSSGLDDPMINPVTEAAPSLAGLGCGRALVCVGGADALRDRGRAYYGRLRESGWEGEATLFEAEGKAHTFHLFETDCEEAIAQHRAVCSFLNL >Ma08_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3741814:3747054:-1 gene:Ma08_g05510 transcript:Ma08_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKLRWPLVPCVRDFPKGCGPHAVVVGWKPKEALPPLPPPPPAPESKELAACNEVAEPGVCGPPEGAPADIEGKACLTVGTMKPHVVDSSTMGSGNVAAVKVEQEEELDQGMEESSVHNRELELPVPLFLENGLEQSKASVVSRTDETLNADGDLVKQCSPKMYPPPSRKAVSAVRDYPIGCGVNAPRMSREEALKLAANASSKGKSPIEEKMPAVDQQTVAPKDFATVEVPADNKVAKGMEERTEIKKIEEETLQVEAKVSKSPLPSPKIKSLEAEKQSLSGENREKKLPIRAAPDERLGVQAIRQLNRDAQRSMTPDLDKVAARGERLSLGKSTDKMVTKYQKVSKSTKRKFLDATVDENDARIDHNLDVEKLEAHGERLIIQALMAAPRCPWKQGFKSGNSGSRSVAMPKHKVKREQTTLNMQLALKEVEDEDTVSGNYSSHSVVMHKRKAKRERTKLNMQLALRDVEDEDILSHGEENERAVTVYQGSYEQNVIDAPPLSVFDGSGELSVNIPPIVPSGWNYSGADSQDILVRHKVRRALRLFQVVCRKLLQTEEAKSKGLGKTKRVDLTAADILKQKGEWVNTGKQIIGIVPGVEVGDEFHFRVELSIVGLHRPFQGGIDALKKNGIYVATSIVASGGYNDDMDSSDVLIYSGSGGNPAGTDKPPEDQKLQRGNLALKNSIDTKTPVRVIHGIKEMKGGSSHDGRSKLVSTLTYAGLYLVEKYWQEKGPHGFFVYKFQLRRMPGQPELALQEVRKTKRSKVREGLCVKDISDGKEKIPICVINTVNDEHPPPFKYITEIKYPSWYVKNPPEGCDCVNGCSDSGRCACAVKNGGEIPFNFNGAIVQAKPLLYECGPSCKCPSSCHNRVSQHGIQIPLEIFRTKTRGWGVRSLYSIPSGSFICEYIGELLQDKEAEKRSNDEYLFDIGHNYDDHSLWEGLPSLIPGLKTSSQRETVDDVGFTIDAAEYGNVGRFINHSCSPNLYAQNVLYDHDDKRVPHIMLFAAENIPPLQELTYHYNYSLDQVRDADGNIKQKDCYCGSPECTGRLY >Ma08_p05510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3741814:3747054:-1 gene:Ma08_g05510 transcript:Ma08_t05510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKLRWPLVPCVRDFPKGCGPHAVVVGWKPKEALPPLPPPPPAPESKELAACNEVAEPGVCGPPEGAPADIEGKACLTVGTMKPHVVDSSTMGSGNVAAVKVEQEEELDQGMEESSVHNRELELPVPLFLENGLEQSKASVVSRTDETLNADGDLVKQCSPKMYPPPSRKAVSAVRDYPIGCGVNAPRMSREEALKLAANASSKGKSPIEEKMPAVDQQTVAPKDFATVEVPADNKVAKGMEERTEIKKIEEETLQVEAKVSKSPLPSPKIKSLEAEKQSLSGENREKKLPIRAAPDERLGVQAIRQLNRDAQRSMTPDLDKVAARGERLSLGKSTDKMVTKYQKVSKSTKRKFLDATVDENDARIDHNLDVEKLEAHGERLIIQALMAAPRCPWKQGFKSGNSGSRSVAMPKHKVKREQTTLNMQLALKEVEDEDTVSGNYSSHSVVMHKRKAKRERTKLNMQLALRDVEDEDILSHGEENERAVTVYQGSYEQNVIDAPPLSVFDGSGELSVNIPPIVPSGWNYSGADSQDILVRHKVRRALRLFQVVCRKLLQTEEAKSKGLGKTKRVDLTAADILKQKGEWVNTGKQIIGIVPGVEVGDEFHFRVELSIVGLHRPFQGGIDALKKNGIYVATSIVASGGYNDDMDSSDVLIYSGSGGNPAGTDKPPEDQKLQRGNLALKNSIDTKTPVRVIHGIKEMKGGSSHDGRSKLVSTLTYAGLYLVEKYWQEKGPHGFFVYKFQLRRMPGQPELALQEVRKTKRSKVREGLCVKDISDGKEKIPICVINTVNDEHPPPFKYITEIKYPSWYVKNPPEGCDCVNGCSDSGRCACAVKNGGEIPFNFNGAIVQAKPLLYECGPSCKCPSSCHNRVSQHGIQIPLEIFRTKTRGWGVRSLYSIPSGSFICEYIGELLQDKEAEKRSNDEYLFDIGHNYDDHSLWEGLPSLIPGLKTSSQRETVDDVGFTIDAAEYGNVGRFINHSCSPNLYAQNVLYDHDDKRVPHIMLFAAENIPPLQELTYHYNYSLDQVRDADGNIKQKDCYCGSPECTGRLY >Ma07_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10990195:10992510:1 gene:Ma07_g14550 transcript:Ma07_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPRGFTLLAVVPLLLVVAGAIESPQYTVVHSESDFEVRLYRESVWMSAPTDEISFEKATKFGFHRLFQYIQGANLNSSRIRMTAPVLTSIVPGAGPLHSSAYFVRFYVPVKFQASPPVPLPELNLHSDRWGSHCIAVRQFSGFARDSNVIKEAEKLAISLSQSSWANLTEFSDKNAYSIAQYNSPFHFIGRVNEVWVDVGGSEVPGCESSHAYTY >Ma09_p30370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40518491:40520907:-1 gene:Ma09_g30370 transcript:Ma09_t30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKRSAFSIISLEAKKYLFSSSSSAASLSAVLDPFLPATESAAQETPFSPAPLHPRSFVSALVRCRSPAEIKKVHALATTAAMIDNLGVANKHVYIYAQHGALADAYALFSRMKERDNVSWSVIVGGFVKVGYYANCLQTFRDFVRSGLRIDNFTLPFVLRACRDTVSLRLGVEIHHLVFKAGLQSDLSVSASLVDMYARCGDVDDARKVFDRMPKRHMVTWTVMMSGYAECGNPEESLALFDRMRGEGVVPDKIATVTVAFACAKLGVMHKAKMIHDYISSWNFSLNVILGTALIDMYAKCGSADDARVIFDRMKDRNVISWNSMISAYGIHGRGREALDLFPLMLQSGIRPNRITFVSILSACSHAGLVDEGRQFFHLMERDYSIEPDVKHYTCMVDLLGRAGKLYEALELSEKMTLEKDEGFWGALLGACRIHGDAVLAEKAAKSLLELRPRNSGYYVLLSNIYANAGRWEDVAEVRDLMASRSLRKKPGWTWIEINKETHRFGVGDKSHPRSKEIYETLKVLIEKLESAGYVPDTNFVLHDIDEELKAGFLYTHSEKLAIAFGLFATPESTTLRITKNLRVCGDCHTFIKLASSVMDREIIVRDAHRFHHFNGGGSCSCGDYW >Ma05_p31580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41440561:41443709:-1 gene:Ma05_g31580 transcript:Ma05_t31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEASGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPAIVHRKCF >Ma10_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24573643:24578550:1 gene:Ma10_g10840 transcript:Ma10_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEVVRAHRLKPTGRHGTTNPFVEVEFDGQRRRTATKLNDLNPSWNETLVFDVSDPLDLPDRTIDVSVFHERLSSSVDHRRRRRSFLGRVCLLGSSVASSRLDAALQLCPLDKRSLFFNVRGEITLRLYACQGGFPEGHDGRLLARRLMRRVSVGYDLSKAEDSGEQVAPRCRNHAVCDRGMRAGRAELRQTVA >Ma05_p26670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38220930:38224557:-1 gene:Ma05_g26670 transcript:Ma05_t26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEESIELKFRLFDGTDIGPNKHDPSTTVASLKEFIIARWPQDKEIAPGTINDVKLINAGKILDNNQTVAESTVSVGGLSGGVITMHVVVRPPVFDKNNEKKQVKSPKHNRCGCSIL >Ma05_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15678697:15681435:1 gene:Ma05_g16200 transcript:Ma05_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLLPSLSFGIRRISSSKPQTQTLALSYVSFSSIKNVSFPSYSPFPPVRKAPALDSGSVPKVAVSSDLEQEEEADLSSGEEVEFSPDLKLFVGNLPFSFDSSQLAGLFQRAGNVEMVEVIYDKQTGKSRGFGFVTMSTVEEVEAATQQFNGYTLEGRPLRVNSGPPPRKDEFPSRGFRAGGNLDMANRVYVGNLSWGIDDLALETLFSEQGKVLEAKVVYDRESGRSRGFGFVTYSSAEEVENAIQSLNGTDLDGRSIRVTVAETRARRQF >Ma04_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9516822:9522161:-1 gene:Ma04_g12610 transcript:Ma04_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYTISCVGQDHSFKILDTDCKVNPRLFKFRKNRFGKPEVEHQNGCLWVPPSLQFNISHTSSMIACGVTVDVPIGIDIEEKRRKPVNNILSLAHRYFSPYEVQYLASFMDPESRQSEFLRLWTLKEAYVKALGRGFSGAPFRDFTIRFEKSTDSVVPERPKSEEFRIMIDTASDSENLTANWQFALVELSGSHLAAICMEKDENMPGTEQVFLKLKVWKTLPFVEDKCMSQTDAVINISGLC >Ma04_p12610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9516822:9523300:-1 gene:Ma04_g12610 transcript:Ma04_t12610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLRGDMLPLPSNLVSRHLVVSSLPSLPPAPLPLRREAHLWYIVPNELEDTSLLQDYMELLSPCEKENVLSIKGEALKKCAILSRVLVRTTLSRYTDCKVNPRLFKFRKNRFGKPEVEHQNGCLWVPPSLQFNISHTSSMIACGVTVDVPIGIDIEEKRRKPVNNILSLAHRYFSPYEVQYLASFMDPESRQSEFLRLWTLKEAYVKALGRGFSGAPFRDFTIRFEKSTDSVVPERPKSEEFRIMIDTASDSENLTANWQFALVELSGSHLAAICMEKDENMPGTEQVFLKLKVWKTLPFVEDKCMSQTDAVINISGLC >Ma04_p12610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9516822:9523300:-1 gene:Ma04_g12610 transcript:Ma04_t12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLRGDMLPLPSNLVSRHLVVSSLPSLPPAPLPLRRLDTDCKVNPRLFKFRKNRFGKPEVEHQNGCLWVPPSLQFNISHTSSMIACGVTVDVPIGIDIEEKRRKPVNNILSLAHRYFSPYEVQYLASFMDPESRQSEFLRLWTLKEAYVKALGRGFSGAPFRDFTIRFEKSTDSVVPERPKSEEFRIMIDTASDSENLTANWQFALVELSGSHLAAICMEKDENMPGTEQVFLKLKVWKTLPFVEDKCMSQTDAVINISGLC >Ma07_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10721820:10722230:1 gene:Ma07_g14310 transcript:Ma07_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMIQDTDMPVKMQLQAMSSASQALDLFDVLDCNNLACYIKKEFDLRYGFGWQCVVGSNFGCCFTHTKGTFIYFCLETLHFLIFKCSAA >Ma10_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1926276:1928030:-1 gene:Ma10_g00640 transcript:Ma10_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARSCEEGGGWERSATEVGEFETKATEAGAREAVQPEEEERQVSGGWDEESGGAEEESHGEEQRRHFCSAIARVVIGGGDCSSRALGSTAAAASFLRKEQHRRSIRLRTRIPLPRDPSMGHPPLSPLAGNGPQLRLPSAAEDLIERICREKSLLPPDPVARKALAGLGEAAALDVVRKVSSRRTKNLSAPIMYMASRPDAAQASTHASACFPHSPSSGPNSVAEEPHSCTPRFEGSLPSGQMASPQLVALGRLE >Ma07_p28400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34465343:34466245:1 gene:Ma07_g28400 transcript:Ma07_t28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTRASAKPEISIEGKVN >Ma06_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20019742:20025646:1 gene:Ma06_g22960 transcript:Ma06_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRDCEELLKVLDSCLSRIKWRLRPLARRRLETDILALCTRLRPVILVDYDGIMPKLQENLSALLFLAQKESNDLQYLRIMILDDMAYIIHVKELAEHVLSSLGSEQQLTLLDLEQYPMKILSPREENEVASDFVLIQKLLSSAFPVEVEKGHMPSVLPSLGKPGVETSHLNAVGLSNLNGHSAPQPADVIDLSSFLQDVRVTLPSLNGWLLGYPVTYLFSKEHAEKASYNLSTKSLRIFKIFICRKTSGSQLYENELMSFTVPCDMSQRLDKEPWIEAFLVRLSEKLRRCEQIWASMRLEMEVKESHQQSVVL >Ma09_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10091545:10092908:-1 gene:Ma09_g14770 transcript:Ma09_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIECWGTADGGDGVVEGNRPVPAPFLTKTYQLVDDPATDHVVSWGDDRVSTFVVWRPPEFARDILPSYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKHLLCEIHRRKTASASSLFPLPSSPSLFPLYHHHAFDDQQLPSPHWREVASPRLLVLGTNSCVGGNDTATRGGGQGPATATALIEENERLRRSNAALMSELSHMRQLYNDIIYLVQNHVRPVAPSSAAAASANFLLSSAYNQRRAGLNSGSTTSSSSLTIAGSEPSPPSPLHRSAKNHHPDNGESSSARPRLFGVPLDGCSISSSKRGLQADEPTSPSTKPRLVPQNMDLGLNLMPC >Ma06_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6588384:6589983:-1 gene:Ma06_g09380 transcript:Ma06_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGYMVSLSTLICKEHETCFDVQETEEEEEEENAKVMLCEEDSDEYVEMLLNRESCFCSATPDAAAGNSVNSARSDAVRWILRTKAFFGFSMKTAYVAVIYLDHFFMHRTPDSIKGKSWAIRLLSVACLSLAVKMEERKVPALSEFQTEDYRFDTEAIQRMELLVLGTLEWRMSMVTPFSYLSHFASKLQQHASEGVLWKAIKLVFASIEVMNLVDYRASVIAAAAVLAASDEGLTQKSVKSKMSTVSSCRSLDTDHVFSCYSLLIQETQKEKLKTSKGLAPPDHSVADGLSVDSSVDTDGTISFVVASSKRRRLQ >Ma07_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2157274:2162942:-1 gene:Ma07_g02730 transcript:Ma07_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELSVRFTFFLASVFLLHLHPTIGIRFVIDREECFSHSVPYEGDTVHVSFVVIKAETPWHYGDEGIDLVVKDPSGTQIHDFYDKTSEKFEFMAQKSGLHRFCFTNRSPYHETIDFDVYIGHFSYFEQHAKDEHFGPLLEQIGKLEEALYNIQFEQHWLEAQTDRQAIVNEGMSRRAIHKALFESAALIGASVLQVYLLRRLFERKLGRV >Ma09_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35211204:35213534:-1 gene:Ma09_g23330 transcript:Ma09_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSMPEETIFRSKLPDIEIDNRRSLHDYCFEHLADFADRPCIIDGASGSVMSYAEVDVAARRAAAGLHGLGVGKGQVIMILLQNSPEFVIAFLAASHRGAVATTANPFYTPAEIHKQAAASGARVIVTESCYVDKVREFARECGVTVVCVDHPPEGCRAFSELLAADERNLAEVEINPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGDNPNLYFHQEDVLLCVLPLFHIYSLNSVLLCGLRAGAAILMMKRFEISAMLELVQRYRVTVAPFVPPIVLEFVKSPLVDCYDLSSIRTVMSGAAPMGKELEDKFMAKLPNAKLGQGYGMTEAGPVLSMCLAFAKEPFPMKSGTCGTVVRNAELKIVEPDTGASLGRNHRGEICIRGAQIMKGYLNDPEATKNTIDEEGWLHTGDIGFVDDDDEIYIVDRLKEIIKYKGFQVAPAELEALLITHLDVADAAVVPMKDEVAGEVPVAFVVRAHGSQITEEEIKQYVSRQVVFYKRINRVFFTEAIPKAPSGKILRKDLRAKLTSEFASA >Ma10_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16763074:16782153:1 gene:Ma10_g05450 transcript:Ma10_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHQVSPDGGRNKGLASSSHRDQNEYKEVADAAAEEGQGLSEEDESKINEAVGGLNHNQIGDAVPPEQQSGGLQKQQQQQSPGPIIRWERFLPIRTLKILLVEYDDSTRQVVSALLRNCSYEVTAVANGLQAWKILADLTNHIDLVLTEVVMPGLTGIGLLSKIMNHKTCKNIPVIMMSSNDSMGTVFKCLSKGAVDFLLKPIRKNELKNLWQHVWRRCHSSSSSGSESGIQTQKSVKSKSSDDSDNNSGSNDDDNGSVGFNARDGSDNGSGTQSSWTKRAAEVDSSQPISSSDRLADPPDSTCAQVIHATPKTFCKDLLPTSANRENQDDCMGKDLEIGVCRAPEMQNETNPSEQHCTKQTDTIVDKLSAKDPKNEGLLSTLCNNLFDDSSAQAANLTGAIDNSSDTQVATGAIQTLSGFSKISEGQNKINYTSKDLLPLELSLKRLRSIGESGTATQDDRNVVRRSDLSAFSRYHTSAASNQAPIGGGGSFSPLDNSSEAIKTVSTNNFISGSNVAFLKQGSNGSSNNNDMGSYTKNVFTKPASYKDKAASSLLVKCAQTSAFHPVQYRASESQEPVQENVENVTTASATGHLRDIHHQVPAQHHHHHHYHHHHHHVHNVQQHKPQLPQNCSDLSLKNNAGAAPQCGSSSVFNGPVERNAANYFINGSHSGSNHGSNGNNGSSTSIQTGGLNMESANGIAEQNGPGSGNGSGSGSGSGIDQNRLAQREAALKKFRQKRKERNYGKKVRYQSRKRLAEQRPRVRGQFVRQSMHEHTSLDAERWPS >Ma06_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:805816:809204:1 gene:Ma06_g00990 transcript:Ma06_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEKLLGSTELIRHLIILLALLGVLFACQYKAQPPISFASTARSLDALLQDYAYRAIVHPRTGISYNGTVPSNLTGIKIAAIRLRSGSLRKRGVHSFKEFSIPVGVVVQPYVRRLVLVYQSLGNWSSVYYPLPGYSYLTPVLGLLAYDAANLSATNLPELNIVVLESPISINFTNVTPVPSGTIARCVWFGLDGSPYFRDLVSSNVCSTYRQGHFSIVVNSSEIAPSPAPSLTPRPRPSPGGFKSSNKSKVWKIVVGAVGGFVALVFLALLVYFMQRYKQNKKVEQMEQHANAGVSLQTASVGDARVPVASVTRTQPVLENELVA >Ma03_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6650878:6662651:-1 gene:Ma03_g09050 transcript:Ma03_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCDAAHPYTLIGVLLRPPTSAHAGAAACPGRFFRDYTSRATNALLWIALISVTSLLFRRLARLVRLWVQGSRIPGPPSNPFLARSKLIAGCGSLGSLTGYLAELHETYGPIVRLWLSPTQLLVSVKDTMLIKEMLIKAEDKLPLTGRAFHLAFGRSSLFVSSFEKVRKRRESLAEYMNGKLAVGANNIPLKVVECVMGRVDTIMGKGILDCISVSRQLAFYILGSTLFGDAFLDWPKAGMYEEILMTIAKDGCFWASYTVPPFWSSTYWKYQHMCKRLKHLTKDIIQHCVERYDLLSQIHYNSHKENKGNEKKTRLDAPVLLDNATSGGLFQKEMEEYLISKEEPCGNILGLMFHGCLATASLISSILTRLVLHPELQEKLYSEIIEVREKTYKLDSHDVQKMNLLMATVHESARLLPAGPLLQRCSLKHDICLSSGVTAPAGAILVVPLQLVQMDNAIWGKDASQFNPCRFFSEATNHKGKEYEDFGGSHFFSEPKKKAAFLPFGYGTRACVGEKFATLGIATLIASLLQNYEIRFQPGLDNDPKPIMNDCILQLLPSPKVVFVKRSK >Ma10_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29393217:29400274:-1 gene:Ma10_g18500 transcript:Ma10_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTNEDRLREYFSNYGEVVEAVIMKDRTTGRARGFGFVVFADPAVAERVVMEKHLIDGRMVEAKKAVPRDDQQILNRNNSSIHGSPGPGRTKKIFVGGLPSTITESDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKVLFKSFHELNGKMVEVKRAVPKELSPGPNMRSPSAGYTYGLNRANNFLNGYTQGYNPSSISGYGMRMDSRLGPPTSGSNGFPSFGPGFGMGMNFEPSLMPSFGGNSNFSNSIGYGRGLSPYLGGNSTRYSSPIGYGGGSANTSSVFSSMARNAWGSGGLNYSTNSASSNAYMAPGSGSLGGFGNSTLNWGSASPISAQVGGNTASFASGNLNYGDGDNNFDLGGGNFGRSNGPSAMKTTLVASNSGFEGSYPELYGGSSVYGDPTWRSSSSELGGTSSFSYGLGNAPSDIIGQSSAGYVGDYNINNRQPNRGIATLVGTLRSKTMIGEQSCEPDA >Ma08_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14377315:14379889:1 gene:Ma08_g14680 transcript:Ma08_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLLCTLFLLFVHASDKKTWGNKEEDDFLKDCRTTRCGGITVRYPFRLKSSPPYCGVQGLELSCSGGDAILSLPPLGLCTVISIDYYYGEIRIKLGESWSPCPLQKLSSIDLTGSPFYETYLQALIFVNCSGKFTPDPAWITGPIPCLGNGSHYVYAADSSEPMGDLPSVCMVTSSTDGWIPYDSGSWEENVQKFIRTQEMNLRISVPETRICRECEEARKPCGFNRTGNQTYCITRHHGSNIKIIIVGTCTAGFVLVLLPALILFYVRRKSTKEEETRLKVENFLATYGDAKPTRYTFADVKKITRRFKDRLGQGGYGSVYKGELPNGIPVAVKMLERSKGEGEEFINEVATIGRIHHVNIVRLLGFCSERSSRALIYEFMPNESLEKYIFSREASGADKPPLNMEKLLNIAIRVARGIEYLHQGCEQRILHFDIKPHNVLLDHEFNPKISDFGLAKLCSREQSIVTMTAARGTMGYIAPEMYSRNFGTVSYKSDVYSFGMLVLEMVGGRKNHDPEIGKQNEIYFPEWVYDRLVHRQDLGLAMEMEGEEEEIVKKLAIVALWCIQWSPTDRPSMTRVLQMLTGTLQSLQMPPKPFVSSLDHV >Ma04_p30300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31010221:31010896:-1 gene:Ma04_g30300 transcript:Ma04_t30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKAATFYSLAVLLALLLTISSTEGRLTLVAGKTSLPSCKTVYGVKSGDTCFAVAQLFHLTAFEFSAINPNLDCDILFVGQWLCINGIA >Ma04_p33940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33331338:33333747:-1 gene:Ma04_g33940 transcript:Ma04_t33940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGASSMVLFVLLGLVTATEAFDVPTLTFGEGFSHLFGNDNLIRSADDRSVRLTLNRYSGRGRDVLVATFVVFFLLRGECVTKWCMWFSGSGFISSDLYEHGFFSASIKLPKDYTAGVVVAFYTSNGDVFPDTHDELDFEFLGNVRGKDWRIQTNVYGNGSTTRGREERYLVPFDPTEEPHLYSILWTPDYIIFYIDDVPIREVVRSDAMGGDFPSKPMSVYATIWDGSSWATSYGRIKINYKYAPYVSEFSDLVLRGCRVDPIQQVDSADRCAEAVEELMSADYALLTPRKRAAMRRFRERYMIYSFCYDQHRYGNVTFPDCDYVSSEHSRFGEWGDNKFPPKEVRRARRRSRKPSAVDDQPSK >Ma04_p33940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33331338:33333741:-1 gene:Ma04_g33940 transcript:Ma04_t33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTGASSMVLFVLLGLVTATEAFDVPTLTFGEGFSHLFGNDNLIRSADDRSVRLTLNRYSGSGFISSDLYEHGFFSASIKLPKDYTAGVVVAFYTSNGDVFPDTHDELDFEFLGNVRGKDWRIQTNVYGNGSTTRGREERYLVPFDPTEEPHLYSILWTPDYIIFYIDDVPIREVVRSDAMGGDFPSKPMSVYATIWDGSSWATSYGRIKINYKYAPYVSEFSDLVLRGCRVDPIQQVDSADRCAEAVEELMSADYALLTPRKRAAMRRFRERYMIYSFCYDQHRYGNVTFPDCDYVSSEHSRFGEWGDNKFPPKEVRRARRRSRKPSAVDDQPSK >Ma08_p33770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43976713:43982297:-1 gene:Ma08_g33770 transcript:Ma08_t33770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSDTQGHHSGSTQSEESALDMERNLCNHFHSYSASPLQPIASGGQHSECTAAYFSWPTSTLLHGAAEGRANYFGNLQKGVLPAHLGRLPTGQQATTLLDLMTIRAFHSKILRRYSLGTAIGFRIRKGELTSTPAILVFVARKVHRKWLNHDQCLPSALEGPGGVWCNVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIFAGTNPETFVRADGAFIPFADDFDVSCVTTTVKGLGEIGNVKVLDLQSPINSLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILSGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTREGLQDALREQRYTLVAAINSTVDESSPAVCTLPNEKMDEIYEPLGINPQHFPPEGASVSEIKPSFTGVEFQVDTIEVATNVEEHQFIPNFISMSPMHRNQEDSPERKNLSALVNSSDEDLSVSLYLGDREHKRQRPDPTLKIE >Ma08_p33770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43976713:43982297:-1 gene:Ma08_g33770 transcript:Ma08_t33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSDTQGHHSGSTQSEESALDMERNLCNHFHSYSASPLQPIASGGQHSECTAAYFSWPTSTLLHGAAEGRANYFGNLQKGVLPAHLGRLPTGQQATTLLDLMTIRAFHSKILRRYSLGTAIGFRIRKGELTSTPAILVFVARKVHRKWLNHDQCLPSALEGPGGVWCNVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIFAGTNPETFVRADGAFIPFADDFDVSCVTTTVKGLGEIGNVKVLDLQSPINSLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILSGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTREGLQDALREQRYTLVAAINSTVDESSPAVCTLPNEKMDEIYEPLGINPQHFPPEGASVSEIKPSFTGVEFQVDTIEVATNVEEHQFIPNFISMSPMHRNQEDSPERKNLSALVNSSDEDLSVSLYLGDREHKRQRPDPTLKIE >Ma08_p33770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43976713:43982035:-1 gene:Ma08_g33770 transcript:Ma08_t33770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSDTQGHHSGSTQSEESALDMERNLCNHFHSYSASPLQPIASGGQHSECTAAYFSWPTSTLLHGAAEGRANYFGNLQKGVLPAHLGRLPTGQQATTLLDLMTIRAFHSKILRRYSLGTAIGFRIRKGELTSTPAILVFVARKVHRKWLNHDQCLPSALEGPGGVWCNVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIFAGTNPETFVRADGAFIPFADDFDVSCVTTTVKGLGEIGNVKVLDLQSPINSLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILSGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTREGLQDALREQRYTLVAAINSTVDESSPAVCTLPNEKMDEIYEPLGINPQHFPPEGASVSEIKPSFTGVEFQVDTIEVATNVEEHQFIPNFISMSPMHRNQEDSPERKNLSALVNSSDEDLSVSLYLGDREHKRQRPDPTLKIE >Ma08_p33770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43976713:43982297:-1 gene:Ma08_g33770 transcript:Ma08_t33770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSDTQGHHSGSTQSEESALDMERNLCNHFHSYSASPLQPIASGGQHSECTAAYFSWPTSTLLHGAAEGRANYFGNLQKGVLPAHLGRLPTGQQATTLLDLMTIRAFHSKILRRYSLGTAIGFRIRKGELTSTPAILVFVARKVHRKWLNHDQCLPSALEGPGGVWCNVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIFAGTNPETFVRADGAFIPFADDFDVSCVTTTVKGLGEIGNVKVLDLQSPINSLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILSGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTREGLQDALREQRYTLVAAINSTVDESSPAVCTLPNEKMDEIYEPLGINPQHFPPEGASVSEIKPSFTGVEFQVDTIEVATNVEEHQFIPNFISMSPMHRNQEDSPERKNLSALVNSSDEDLSVSLYLGDREHKRQRPDPTLKIE >Ma07_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27454203:27454975:1 gene:Ma07_g19440 transcript:Ma07_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCVSGCNCGSGCGGCKMYADLGGERSTNTAGIVDLGAATQKGRIDGHEVADGSESGGCDCNKCNCGSSCSCACCGCN >Ma09_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9516118:9519015:1 gene:Ma09_g13990 transcript:Ma09_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAFNLLSRWLLGGKGHETPNPSLSSSSDSAAGFRELDSLKFVSGPRIRSSPRRIRRKWHSREERRLDKEYDVVLVPSDGGCMSGSESDDSDWSIGWLEPHAPEFGTENDTERSFAVLVPCYGRGRREVVDGSQKHVIGAVDLTDYDYSDSKRYIEEWLAEHLSA >Ma06_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15170898:15178345:-1 gene:Ma06_g21080 transcript:Ma06_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGRIDLKSQLEGTNQDYRAKGPLYIEGRAERVCDNHGSSGRFIFDMASSTGEETVPSENVLEWAQKDKHRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDIPEEKYSNAFLGFGPEDSHFVVELTYNYGVDKYDIGTGFGHFAIASEDVYKLVEDIRAKGGKITREPGPVKGGTTVLAFVQDPDGYTFELIQRGPTPEPLCQIMLRVGDLERSIKFYEKACGMKLLRSIDIPDYKYTVAMMGYAEEIESTVIELTYNYGVTEYTKGNAYAQVAISTEDVYRSAAVVDLVTKELGGKITRQPGPIPGINTKITSFLDPDGWKVVLVDHSDFLKELEV >Ma10_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24036649:24040538:1 gene:Ma10_g09910 transcript:Ma10_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPLPVVAALLFFVSSLVFRVSLATMQPLCPRLSVPFLDDLGSQCPRWIELPLRQEVSGETLERYLNCGDQYCSVLFGVSWCPFSRNIQPIFDALSSMFPQIKHLLVEESSIMPSVLSRNGIHSFPAIMLINQTSRIRYHGPKDLNSLVHFYKKSTGLNPIMYLAIDQSGSGNVKSPVLEVESASELITKEPYLTFGIIYIGLKIIICSFSAIYSCFKAFWVSHACHLNLSFLRESSQLLEQMLHVVEVNGLWSNIRFCNKTSNFQKGANNARAWASSFTSVSLGESSSSRLASSDSS >Ma07_p25470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32517093:32522001:1 gene:Ma07_g25470 transcript:Ma07_t25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPASLLLRTRPRRLSLPHPAAAAARPTLDGSQGLRRAPRRLFLGIGASFLDQVAHMASGSGGRSFVASARPQRGVSPVEQILKNVVWPETFPFKDEDFNRFDESPDSLFYSEPRFVTHIDDPAIRALTEYYSEVFPPSNSSGVCLVDLCSSWVSHYPAGYRQDRIVGMGMNEEELKRNPVLTEYIVQDLNVNPKLPFEDNTVDVITNVVSVDYLTKPIDVFKEMQRILKPGGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGGFEPPVAVDISPNPGRSDPMYVVYSRKQTADQPPLL >Ma05_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10216962:10220367:1 gene:Ma05_g14050 transcript:Ma05_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDCQVLSSMVGGNVVSPDSLFSSSIQNPSLSFMANMPPFHAFSSIIPKEEGMMLMGRGGSKEEEMESRSGSGPLDGVLSCGEDHDNELQQPPPPSQLQQTAAKKKRYHRHTARQIQEMEAMFKECPHPDEKQRMKLSHELGLKPRQVKFWFQNRRTQMKAQQDRADNVVLRAENESLKNDNFRLQAAIRNVVCPSCGGPAILGEMSFDEQQLRIENARLKDELERLSCIASRYSGRQLQPLGPAPPLLLPSLDLDMGIYSRHFHEPPVVSCTDLIPIPQISDEPSPFPGMLIMDQDRPLVLDLAMTAADHLVRMCNTNEPLWIRRGGSTVEVLNLEEHARMCPWPMDLKQQQGRFRTETSRDSAMVIMNGITMVDAFLDANKWMELFPSLVAKSRTVQVLSPGVPGHGNGCLHLMHAELQFLSPLVPAREAHFFRYCQQNSEEGTWIIVDFPVDGFRDGIQSPFPWYRRRTSGCVIQDMPNGYSKVIWVEHAEVEDKPVHQIFQQFVSAGEAFGATRWVSVLQRQCERLASLMARNISDNGVISSPEARKNMMRLSQRMITTFCTGVYASGMQSWTALSDSSDDTVRVTTKKNTAPGQPNGVILTAVSTTWLPSSHHQVFELLTDEQRRSQLDVLSSGNSLHEVAHIANGSHPRNCISLLRVNAASNSSHSVDLLLQESSTHPSGGSIVVYAAIDVDAVQVAMSSEDPSYIPLLPTGFVISPAARQPNAGTGSGSDGHATVGCLLTVGMQVLATAVPSAKLNLSTVTAINNHLCNTVQQVRAVIAGAGGTAMAEPAAVAPDQ >Ma08_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4263628:4266579:1 gene:Ma08_g06300 transcript:Ma08_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKEERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDALANVPFLILGNKIDIPYAASEDELRYHLGLNNFTTGKGNVNLNDSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >Ma07_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20229376:20235714:1 gene:Ma07_g17530 transcript:Ma07_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMPSDVACCMGLPRSLSQSTGSPLIPDAGATVAAAAGSFPSADGDLSIRVSPRGHRRHNSFSYFRLPTDPLLRLSVLKLDGSSFDVQIAKTACVGELKEAIEELFSQPSKDGSCIISWSHVWGHFCLSYNEYTLTNDKACLRNFGIKDGDQLHFIRHLSLNHGPSEGSLKNHGTDSIQQRKSLTGSKVHNEVAVKDKNRDHEGVASSQFVDEQNDDDDDDDDDDDDNQIGRMEFKLRRLFRGLFPYAKLGTSQDDEYSKAS >Ma11_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4171600:4173833:-1 gene:Ma11_g05420 transcript:Ma11_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLFDGSDSSTDEAELTTIKVDENYARRLEHNKRREALQRLQELKKRGLAGGSDGSEESSGDDDDEDEEPDKSGKNDLKFFETLVRVKKKDPFILSDNVKVYSSDEEEDEKPRAAKKERPLYLKDVNARHLIEEGPEFEEKPFKYDSKVYNKEQAEGIKAFLEAEKAAFAYVDDEDDILKMKEKAGEAEKDENTQKMDQNLDEYFGKDDDLSDNEKFLKEYLRNRMWIGEEKDKKPSFDDLFGVSEDEDELDKQDKFEADYNFRFEEGGADRVLGHSRVIEGSVRKKTSSRKLQRKSKEERMAQAEFERKEELKHLKNLKKKEIQEKLEKIRAIAGIGDDGTVKLDEDDLEEDFDPEEYDKKMKEMFGDVYYDAEDVDPGFGSQEDEDLEKPDFDMEDELLGLPKGWDTSGTEGFEATRKRILKQREEEEPEKEDGKRKKKRKISLKEKVELDKELEEYYKLDYEDTIGDLKTRFKYKSVPANRFGMRPEEILVANDKDLNQYVSLKKLAPYREKEWKVTYHQKLKKDLILHGEKSDQKSSKKSKPKGISVSTEPDKEKQVPEMEEPNDEEVNNSSRRSRRRRRQAELKLSRSRLMAYGKIPSKSQKKH >Ma03_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:571213:580767:-1 gene:Ma03_g00710 transcript:Ma03_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKPSVELCKGINGLDKVVLREVRGSSAEVYLFGGHVTSWKNEHGEELLFVSSKAIFKPPKAIRGGIPICFPQFSSHGNLEQHGFARNKFWSIDTNPPQLPSNSLIKTFVDLILKPTDDDFKIWPHSYEFRLRVALTPGGDLMLTSRIRNTNSDGKPFSFTFAYHTYFLVSDISEVRVEGLETLDYLDNLKERERFTEQGDAITFESEVDKIYLSTPTKIAIIDHEKKRTFVLRKDGLPDAVVWNPWDRKAKAMPDFGDDDYKHMLSVEAAAIEKPIILKPGEEWKGRIELSAVPSSYCSGQLDPQKVLQG >Ma08_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4937333:4937933:1 gene:Ma08_g07230 transcript:Ma08_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLLGLLVLDLAATLAVARPTPAESRVTALDLGGPHSAIAPSQSASPGVGESGVAGAPEGAARIGKRHRPFDKSIAGAEVILGGLATAIFAAIFAYIRVTRKRSSENKV >Ma07_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29498078:29498233:-1 gene:Ma07_g21370 transcript:Ma07_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQEVNYCRIIQKCALDSALAISSFNFCCCLMKVLQEFRPSFLQFGEFMG >Ma06_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8001511:8006229:1 gene:Ma06_g11450 transcript:Ma06_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLGRDGDATGIGGGGGEAVAEDAGKPVVVAVPPAMQAEAYHQARSRSQGGGGGRRVAPAACSPEENATAVEKALPNGDMYTGGFVGNAPHGRGKYLWADGCMYEGEWRRGKASGKGKFSWPSGATFEGEFRSGRMEGFGTFTGSEGDTYRGFWIADRKHGYGCKWYANSDYYEGGWRRNLQEGRGRYVWRSGNQYVGEWRNGVISGRGALIWANGNRYDGHWENGVPKGSGVFTWPDSSCYVGSWSKGDPKSFNGTFYPAVTTGRKEIGGKRSSFSLLDEAFMLPPLAPASRKTSSVDGVLGRRSSTAEKNFPRICIWESEDEAGDITCDIIDTIEAAMLYKDGLSFDQGERTLISAVQQRRNQYCLSTWEAKKPGQTILKGHKNYDLMLNLQLGISYSVGKPGSLRELGARDFDPREKYWTRFPPEGSKITPPHQSMEFRWKDYCPMVFRHLRKLFNVDPADYMVAICGNDALRELSSPGKSGSVFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVYRYENSLVTKFYGVHCVKPIGGPKVRFIIMGNLFCSDYHIHRRFDLKGSSYGRSTDKAEEEIDEMTTLKDLDLNYIFRLHRSWYLELLEQIKRDCEFLEAEGIMDYSLLLGCHFCDDVSASWAGSSPCSASTKPYRKSYSFQDRAAWSKLQLSKSTHQDMDLSMGGRKPFIGLGLNMPARAEHTTRSELMPTLNGKIHDVILYFGIIDVLQDYDITKKLEHAYKSLQVDPNSISAVDPKLYSRRFQEFIRRIFMEDD >Ma01_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5697092:5703044:-1 gene:Ma01_g07910 transcript:Ma01_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKEEGKIMGPLFPRLHVNDADKGGPRAPPRNKMALYEQHSVPSQRLNRSSSALPLPHQNACLLVPSNPLSQGCGQERNVLSPFFMPRNTPAYSSEKVDCQLSDGIDPNSRLEERSLKHVNSRNLNLMRSTAHCSLLRHPKSKSSHEKKMDDVDDFRVPTFDKSEPALCSNKDTSVMGSVKLTSFNTKSQQKSPNATLNSSIRYSTSYFKHFEQTDVSNMQSSKFGGSEKERQPEESLVIAEHREKSPPSARVEERPIVAKVSNGARDVTEKSRCGGVSSCQESYQNGDLIGPRTMNKVDVVENGDGSQKRQRTTNMVDNGNLENNVKENGSLGEHIAERKDEASEASIDDSISGLEISPDDVVGVIGPKHFWKARRAFMNQQRVFAIQVFELHRLIKVQKSIAASPHLLLEGNPYLSKSPVKAPSKVPLLDCNMNSQQDAVKQKDAERQKSDQKKDLQTENIARAPPPTCGERIDGGSHRQVLESGPRSAVPPSHSTPPDNNPSPWCLHPPANQWLVPVMSPSEGLIYKPYTGSCAPSSGFLAPVYGGCMPLGVPSLAGNFMNTAYGVPASHRPPDTGVLAGASAVATNYFPAYGIPSMNPIVSTSAVEQVTNLACSRPNGHIDQHSMISCNMSHSRSEAISGCFLKFQASKDTELQASSASSPCEKAQTEASDVLPLFPMAPKKENLVCPSRSSGRDSQAQAIKVVPHNARSAIESVARIFQSIQEERLQHD >Ma04_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4315798:4319716:1 gene:Ma04_g05780 transcript:Ma04_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQREQQPADGPASSPLVAVAIDRDKNSQGAFRWALDNVVTRGQTLTLVHVNTKPSTGSEDAANIIKEVFVPFRCFCTRKNVQCNDVILDDTDVAKAIVEFVLRSAVGKLVIGSSPKGGFVRSFRSTDTSSSISKGVPDFCTVFIISKGKVSSMRNAARPPPTSALIRAQIQSQPTARPDPLDYNNRNNVMRVAHVNPPVLKAGSCASNRSPFTRGTRATKSFESPRKSVGGYSSSNGVSSLSYESSSSPAMDEVEAEIRRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKTEEGKRLEEARLSEEAALALAEREKAKRMAAIETAEASKRIAELEAQKRISAELQAVKEAEEKIKAFDAMSHTNLRYRRYTIEEIEVATENFAENRKIGEGGYGPVYRGTLDHTPVAIKVLRPDAAQGRSQFQQEVEILCCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPPIPWQHRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSYQQTGMLGIKSDVYSMGILLLQLVTGKPPMGLTHYVERAIEKGTFEEMLDGSVPDWPVEDALSLAKLAVKCAELRRKDRPDLATGILPELNRLRNLAEENMQYSVLLGYSPLTSPMQSQVSTQDFMSAPSALQSGYESSRSRYSGSSVPGRT >Ma02_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11371151:11371369:-1 gene:Ma02_g01730 transcript:Ma02_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTDAYDLFCISLVTKLLGCIYSFDPNSKSPGTLPTCPLPSPAWPSVVPSLASSSSGGSATSSTARRCMA >Ma05_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9297297:9298299:-1 gene:Ma05_g12860 transcript:Ma05_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSLGSVLRSETAAELDWVKRVSIVKDVACALSYMHHDCTPPIVHRDITSNNILLDSEFKACVSDFGIARLLKPDSSNWTMLAGTRGYLAPELAYTMRVTTQCDAYSFGVVTLELLIGAYGEVLISILSSSPINDSFVKDVLDRRLPVPEGQVADEVVAILSLALRSVDNSPESRPTMKQVSDKLCAVRTPPPSLRSIDALKFSDLMSVEI >Ma09_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4628156:4633015:1 gene:Ma09_g07150 transcript:Ma09_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDGRSSDSRPSSPATGARRHRRGPRKRPRGLSEEEQQLHGIPGRMFLNGASSAASLFTQQGKKGTNQDAMIVWENFGNRSDTVFCGVFDGHGPNGHMVARKVRDILPLKLCASWEANTGNDEVIENNIGNPGSVISEETSSVFLNEESRALTGFEETDKDSETLTTLEDSFLKAFRVVDKELRLHPDIECIYSGTTAVTLVKQGQDLVIGNVGDSRAVLGTRDHNNSLIPVQLTVDLKPNLPREAERIRRCRGRVFALRDEPEVARVWLPNIDSPGLAMARALGDFCLKKFGLISVPEITYRRVTEKDEFIVLATDGVWDVLSNQEVVEIVDTAPTRSSAARYLVETAVRAWRIKYPTSKIDDCAVVCLFLDVESSNGSSIERTNEGDGPLDGMELAGSTSLDQSSTLGACTEIQQYKEDQEKDN >Ma00_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2014800:2015837:1 gene:Ma00_g00660 transcript:Ma00_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNMRTQEVSTSTPLIEDQIRNYRRNQRRIFNASRRLGQLISRNLDSNIQVLEQQIDPQAQLQLSMRERAAIAPAEILYHSRRDDAHHRVYTHRSEEAMLVTNNQEDRAFIQEQSFDQLIRSGMRYIHLGILQTRIQTLHRQEQGTLALLVFRDNRWADDRSIIATMEVDLTRGSQLVYVVPDTMMTVGDFYRNIQLSILTRGYDTWQNGEANLLITRGMVGRLSNTPNVAFAYEISGVVDYLTSHGIRALPGRRYSTAKIRGRDWMIRPTQVSIPMQPAELRSRNLIDGRISISFDNYLIAERFIIHSVIVDSAGCRCCFIRALKIGRKPKFVRASLQIQGNT >Ma08_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36140448:36145300:1 gene:Ma08_g22610 transcript:Ma08_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEKKRARAAVVVLGDIGRSPRMQYHALSLAEQANLEVDIVANGGSDPYVAIRENHSIHLYQMRSIHLRGLSKISSALTLVIKAALQFVILVWFLCVKIPRPDVFLVQNPPSVPTLAAVKLSSWLRRSKFIIDWHNFGYTLLGLSHGRSHIIVKTYHWFESYFGRMTDGSLCVTRAMQHELAENWRIKATVLYDQPPEFFHPTSMRQMHELFSRLQNDICRPNGVCDYVSAVAMQEGLDTDEAITELNQSSDTLFSSQIDSNIFLKPNRPALVVSSTSWTPDEDFSILLQAALMYDRRVAAALGENDSIVEEKLWMDISNGEQQLYPRLLFVITGKGPEKKKYEEQIKKLKLRRVAFRTMWLSAEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASYSCIKELVKVEKNGLLFSSPSELADEFMMLFKGFPEKCEALKSLKDGALATSSSSRWSTEWESHALPLISEASFLYN >Ma10_p29380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35980025:35984486:1 gene:Ma10_g29380 transcript:Ma10_t29380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRDLCRRIDRSDGFATLTLDLFSGSPNPNPCSYSRSAKRVRVSCASPAAAAAEENRSNPFDSLSEEVLFLILDRLESDPLDKKSFSLVCRSFYAAESRHRRALTPLRSGLLPAALARYPSVSRLDLSLCPSVTDAALASVGGALRSSLRSIDLSRSRGFSYAGIESVAVNCAALVEINLSNATDLSDAAAAAIGRARNLEKLWLARCKMVTDMGIGCIAVGCQKLRLLCLKWCLGISDLGVGLVAVKCKQLRSLDLSFVPQITEKCLPAVLQLPHLEDLALVGCLSIDDEGLMSVKQESKSLQVLDMSNCQHVNHAGFSSLLSKTPELREISLAYYCKVTHSLASSLQKLYNLQSIKLDGCEVTTSGLKTMANSCRSLGELSLSKCSGVTDEGLSFFVTKHKGLVKLDVTCCRNITDLSLASITSSCTSLTNLSMESCILVSREGFRLIGQHCHLLEELDLTDNDLDDEGLRAISGCHNLSVLKIGICLKISDEGLIHVAKGCPNLQELDLYRSVGITDIGVMAIARGCPLLQIINLSYCTEVTDYSLRSLSKCSNLNTLEIRGCQVSSSGLAVVAVGCRKLTKLDIKKCYFVDDAGMLLLARFSQNLRQINLSYCSVTDVGLLALASVSCLQNMTILHSGGLTSNGLAAVLVACGGLTKVKLHSSFKPLIPKPILEHVEARGCLFQWRDKPFQVELEPSEVWKQQSQEMHV >Ma10_p29380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35980026:35984486:1 gene:Ma10_g29380 transcript:Ma10_t29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRDLCRRIDRSDGFATLTLDLFSGSPNPNPCSYSRSAKRVRVSCASPAAAAAEENRSNPFDSLSEEVLFLILDRLESDPLDKKSFSLVCRSFYAAESRHRRALTPLRSGLLPAALARYPSVSRLDLSLCPSVTDAALASVGGALRSSLRSIDLSRSRGFSYAGIESVAVNCAALVEINLSNATDLSDAAAAAIGRARNLEKLWLARCKMVTDMGIGCIAVGCQKLRLLCLKWCLGISDLGVGLVAVKCKQLRSLDLSFVPITEKCLPAVLQLPHLEDLALVGCLSIDDEGLMSVKQESKSLQVLDMSNCQHVNHAGFSSLLSKTPELREISLAYYCKVTHSLASSLQKLYNLQSIKLDGCEVTTSGLKTMANSCRSLGELSLSKCSGVTDEGLSFFVTKHKGLVKLDVTCCRNITDLSLASITSSCTSLTNLSMESCILVSREGFRLIGQHCHLLEELDLTDNDLDDEGLRAISGCHNLSVLKIGICLKISDEGLIHVAKGCPNLQELDLYRSVGITDIGVMAIARGCPLLQIINLSYCTEVTDYSLRSLSKCSNLNTLEIRGCQVSSSGLAVVAVGCRKLTKLDIKKCYFVDDAGMLLLARFSQNLRQINLSYCSVTDVGLLALASVSCLQNMTILHSGGLTSNGLAAVLVACGGLTKVKLHSSFKPLIPKPILEHVEARGCLFQWRDKPFQVELEPSEVWKQQSQEMHV >Ma07_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16147808:16148847:-1 gene:Ma07_g17080 transcript:Ma07_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLFSSIGGKFQRAGAGAGNGWRERQLRKIADEAFDRIRTDSHDDRLTFQDLYIAVLYAYNDINKFLPGPHNDPPSKEKLKAMMEEYDINLDGLLDREEFAELMRKLTADTVRSVGQNLLIGLVLVPTIALLAKRATEGFPVVGKVAQRTPNFVYASIVALGVVLVQKPNC >Ma09_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11006297:11008743:-1 gene:Ma09_g15680 transcript:Ma09_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDIVAGGRSKKARRTAPRSDDVYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKINRPPISLKRLITFMNGKDDKIAVIVGTVTDDKRVYEVPAMKVTALRFTETARARILKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGKAPGVPHSHTKPYVRSKGRKFERARGRRKSRGFRV >Ma11_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9924388:9927064:1 gene:Ma11_g10500 transcript:Ma11_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPATLDLDKGKSDCGNSVVVFDPSVLQKQSNIPEAFIWPRCERPHQPEELEVPVVDLKGFLEGDAASTSRTAAAIRGACASHGFFQVMNHKVDAAALHDALRVADEFFELPLSTKLRARRRPGCTWGYVGAHADRFASMLPWKETLSFAYDYSGNRDGVVDYITSKLGEEFQPMGRVHRRYCAAMKDLSLSIMELLGISLRMGRDYYRNFFEDGSSIMRCNNYPPCQEPELTLGTGPHSDPTALTILHQDQVAGLQVFAEGKWRSVSPIAGALVINIGDTFMALTNGRYKSCLHRAVVNRDRRRRSLAFFVCPQADKVVRPAKELLVGRDGGGGVPRKYPDFTWAELLEFTQSHYRTDASTLQNFTQRFLTYSIHLSLSSNNNSNNKAIKTGMNKDASQMEVS >Ma10_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25914979:25916441:-1 gene:Ma10_g12960 transcript:Ma10_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNEQREMQRVAVITGANKGIGLEIARQLALHGVTVVLTARDEKRGAGAVESLRGSHQIPNVVFHQLDVRDESSVGALAEFVRTQFGKLDILVNNAGASGISVDVEGLKSLGIDPEAWLSGKATNLVQGVLRQTYDGAVTCFDTNYYGCKRVTEALLPLLELSTSGARIVNISSLRSELRRMPSESIREELTDIDNLTEEKIEGLLRRFLEDLKEGRLEATGWPMMLPSYSMSKTVLNAYTRVLARRHPSMLINCVHPGYVKTDINWNTGVITTEEGAKGPVMLALLPADGPTGCYFDQTTKAEF >Ma08_p33690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43938902:43939820:1 gene:Ma08_g33690 transcript:Ma08_t33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYILLLSALLALAASPAMAGDPSALQDFCVADNTSDVFVNGLACKDPKLVKVEDFFFSGLDKPRNTTNKVGSNVTLVNVNRIPGLNTLGISMARVDFAPYGLNPPHIHPRATEILTVLEGSLYVGFVTSNPDNKLFTKMLTKGDVFVFPQGLIHFQFNHGTKNAVALAALSSQNPGLITIANAVFGSKPAISDDVLAKAFQVDEKTVHRIQAQF >Ma08_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:768611:772697:1 gene:Ma08_g00830 transcript:Ma08_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGQEEDKKPADQSAHINLKVKGQDGNEVFFRIKRSTQLRKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGGGLLCTEITMFYLG >Ma04_p31250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31592712:31599804:1 gene:Ma04_g31250 transcript:Ma04_t31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRSQAISSKPRSETLAASPPPSSPAALLPSTTARTHPPMPPPATPSSFLGSGSEIVPSGGVPPCRRSLRLAYMSVAPTGSATSDAAESSVKRRRRSRASSTAGEAEGGDIGCKTCVHRGRNEVLEVRSVAEDAVGGKYMFLRSGAKIFRKGLGQTLLETDKVTVEKLVTDVQCVEEAFEKDKNVDADVVAERLEENTEEERFGSKEKGKGILRVPEPIELQFMKVNLTERKGKDKLVMEEDYFPVYASEFGMDADMINLKDAVEYSQKDDVSVKKRKPQKGKGRIDSRKESDRTRAMELAPKFAFFKPKEDGSLEEEEGHQEIEDLSPDADHDDWPGPFSTAMRIIKERCEKLRARESNSYVKKDETSQLKIPWMPSNNCKPFARPPPTLRDLCMKVLSDNAEEIESFDGIPDVLKHKLILMLCHSRKMSPRLIGLLVRGSPTEICLSDCSWATENLFQEVFSQCNTKCLKVVQLDLCGRCLPDSVLRGTLAQYPHSLPSLTTICLKGAYRLSDDGLNAIIHSAPSLRSVNLSQCSLLTSLGIINVAAKLDTVLTELYVDDCQNVDAMAILPALKKIIHLEVLSVAGIQSVCDKFVHQLIPVCGSHMKELIFARCQKLSSASVKTISAYCSRLCAIDLRNLNRLNDSAIGYLANGCRSLQKLKLRRSAFSDEAVAAFLEASGGSLIELSLNNVEKVAQQTALAISRQCSSTLYSLDLSFCRKLTDEALGLIVDSCSSLRILKLFGCTQATEVFFSGHSNSIVKLIGCKGQLLDEMEIPHFV >Ma05_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3551505:3559452:-1 gene:Ma05_g04680 transcript:Ma05_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAATSDEGMESLLRNFEQIYQGYKDALLEIQSLKTSRNYETRRYEALEATCNDLKTDNEQLRRLFNEPLSKFVDEMKYRTKCQSLTKELEKANSKLLSLEDDHRKMIKMLKHENEQKIHDLEKQVSCSLHKQASDQALMNQLQQDLATHETHIEILTSNFEQVTADLQSKFNSEIQELKDLLLAEQEEKNKLQVKLQNAENELQIIKKKQINQQRDSISLHHVETLKQKIMKLRKENESLKRQVSVGVGYF >Ma06_p16490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11162912:11168016:-1 gene:Ma06_g16490 transcript:Ma06_t16490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPLLSSGEAGGKAEESLVSYQYVGRSPSVHAPPSSVAAGKELSVEEIRAASVFSSDHYYPPSIHGALVGSPESDFSQDQAIIPQGGYDGYSGGYSSKEFGRQILDEVEVRQLLIDHVGHRCCWGSQPARRWKICSIEDCNVYVGTLETFIEERETIEEKEPYLGGKFDGKEKGQEFGVWELDLRSEFPILFVPQKETRVKIPHSEAVEKCPECDSRGDIVCPTCNAGQERGFYKENQMTQCAPCYGRGLIAHRDGSDTICTRCSGKGMLPCATCGSRGLVKCHSCEGQGSLITRNIALVKWKTLSNRKVSATSAAASVPDEVFHRARGVQLCNIQAYQCTPAFFADSYLLNRFSSEVIANRSPIPPAARVICERHMISVVPVTRVTMGHGNRSFSFYIIGYSREVFIRDYPKKFCWGLCCCFDWLKV >Ma06_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11162912:11168016:-1 gene:Ma06_g16490 transcript:Ma06_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPLLSSGEAGGKAEESLVSYQYVGRSPSVHAPPSSVAAGKELSVEEIRAASVFSSDHYYPPSIHGALVGSPESDFSQVVTDQAIIPQGGYDGYSGGYSSKEFGRQILDEVEVRQLLIDHVGHRCCWGSQPARRWKICSIEDCNVYVGTLETFIEERETIEEKEPYLGGKFDGKEKGQEFGVWELDLRSEFPILFVPQKETRVKIPHSEAVEKCPECDSRGDIVCPTCNAGQERGFYKENQMTQCAPCYGRGLIAHRDGSDTICTRCSGKGMLPCATCGSRGLVKCHSCEGQGSLITRNIALVKWKTLSNRKVSATSAAASVPDEVFHRARGVQLCNIQAYQCTPAFFADSYLLNRFSSEVIANRSPIPPAARVICERHMISVVPVTRVTMGHGNRSFSFYIIGYSREVFIRDYPKKFCWGLCCCFDWLKV >Ma04_p32020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32172888:32174048:1 gene:Ma04_g32020 transcript:Ma04_t32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPKTFANVFIAIVGAGVLGLPYAFRQTGWAAGALLLLAVAAFTFHCMILLIHTCRRVELDTFDIIASFGDLGLAVAGPVGRLAVDVMIVLSQCGFCVGYLIFISNTLTFLVPLSLPSLSSTAFYVLAMLPFQLGLNSIPTLTHLALLSIFADIVDIGAMGVVIAEDASILLSNPPPVRAFTGPSVLLYGIGVAVYAFEGVGMVIPLEAEAGDKANFGNTLGFSMALIAFTYGLFGVLGYAAFGEETRDIITTNLGDGVLSVLIQLGLCINLFFTFPVMMNPVFEVAERWLHGKSYCWWLRWAAVVAVSLVATVVPNFGDFLSLVGSSVCVVLGFVLPAWFHLKVFREELGWASTIADIAIVIAGLVLAIFSTWSSLVSIFSSV >Ma09_p27080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38168307:38169190:-1 gene:Ma09_g27080 transcript:Ma09_t27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVGVVAMTLSNLPLEFLHYYHGSSNDMGTLIEVRRAWDAYIRPGGRYIP >Ma03_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6438159:6440338:-1 gene:Ma03_g08740 transcript:Ma03_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLAVKRAGAAVPEALLPARTLGFRDPIKARTRTSCVGFQPPASGAWRKRAVWVARKGAVRSEAVLEEKAPPPMKKKEAGPVRLYVGLPLDAVSDCNAVNHGKAIAAGLRALALLGVHGVDLPVFWGVAAAGDWTSYLALAAMARDVGLRLRVSLHLHAQRRPRLPLPESVSRAAASNSDLLFSDRSGRRHPDGLSFAVDDLPVLDGKSPMEVYEEFFQSFRFAFSNFFGATIEDITIGLGPNGELRYPSFPPSGSHGFTGVGEFQCYDKYMLADLKRHAMEAGNPIWGHSGPHDAPEYNQSPAFGKFFKENGGSWETAYGQFFLSWYSGKLLSHGDRLLSVASQVFGDLPVALSAKVPLLHWWHKTRSRPSQLTAGFYNTDGRDGYEAVAEIFSSKSCTMIVPGMDLSDRDQPQGVKSSPESLLSQIMRACRKHGVRLAGENYSLVGVGTTGFRRIKENILAENSRLNSFTYHRMGAEFFSPEHWPLFTEFIRSMMQPEMDSDDIPSSGERFSVMDAVAADDREMQTV >Ma08_p28280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40275844:40276849:-1 gene:Ma08_g28280 transcript:Ma08_t28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWWDPCESPGWLSSCDSVGQLMGFKKGLNRRFPFEKEKQKRRPNGSLPSVCSPLDLLDLNQSSYGATVGFRSLCFQGARTREMDLGSKKRILEEGEQTVQWKGKEASTQEHAAPHFALAVVLGSRWGIDHVVKIADEMTARKGQELNLL >Ma01_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27686553:27688402:1 gene:Ma01_g23330 transcript:Ma01_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAKDKEKSFLLFSSSFSYREVKPSSLLRRFRFVVFVVLCYVLWLRLLFFTFPPESSSSSERSVAGGHRHCRLSRSLDACHPDIAPFYIHSIHPRFNAALMQRCLARPASCDVCPHVGHRGLGRPLLRLPLRADDVVGTSWYATHPLAAEMLFHHRAERHPCRTTDPEAALLFYVPFYAGLHAAANFRQKNHTRGDALAVDLAAHLSSLPSFRRHGGRDHFLTLGHASWNFMRSPAYPDLGTNRLLLLPEVANMTVLTVERHPWEGHNQFGIPYPSYFHPRTAAEVAEWQAELRRLRRTHLSAFVGGLRSDEDKGSIRSTIMNQCWKSSRCIPVDCEAARHECGDPDRVLDVMRRADFCLQPPGESFTRRSTFDAVLAGCIPVFFSEHAAYTQYEWYLPGRPENWSVLLQRDRWDRVEEELARIPRAEVERMRETVIALIPRMTYAHPEANRSELGFHDAVDVALVGLTRRIRASLRREGGVKAT >Ma07_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7101217:7107832:-1 gene:Ma07_g09510 transcript:Ma07_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRESGAGASAGEVIIPLEWEEAAEEISRASSPPPVVFICGPKNSGKSTFSRYLLNVLLPRYGKVGYLDTDVGQPEFSPPGCLSLTLVDKTIPDLMNPCIRATERCFFFGDVSSKSDPKAYLDCTFNLYDYFIRVHYQPNELNHPGKALPLIINTPGWVKGTGFDLLVEKLRYISPLQVVQIRISVESKNLPGSTFWLDSDQQEPHIYLLNSARHNYENQSILVRKDACRMRDQRLFDYFKQCFPSNLNILTNKELAHALAAIAPYVVPFAKIKVVHLHCQVPSSEIFHSLNATIVGLAVSSDVPAKSRSDTPWCVGLGIVRAIDAIRGLLYVITPVPLGILQKVDLLLQGFIEIPTGLLQVRGCLSPYMSTNVLHKISEEHL >Ma11_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5504819:5505826:-1 gene:Ma11_g06880 transcript:Ma11_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLIAHIRAHGEGGWRTLPRAAGLLRCGKSCRLRWINYLRPDLKRGNFTQEEDETIINLHRLLGNRWSLIAARLPGRTDNEIKNYWNTHIRRKLLSRGVDPITHRPFDERAPSTIAISSERKEEKQQQQQQQSSSDESSAQRQQAPKCPDLNLELCISPPLLRREPSFQLQLGITDSATE >Ma01_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15257726:15258307:-1 gene:Ma01_g19590 transcript:Ma01_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQQPRPMKAARRDQSKYCRFHRDYSHDTKDCHDLWNQIEALIRRGHLRRYLKSQEATPRPRGPPETQIDVISSGPAAGDNSTTTRKAYAYSTMEKRPRPEHEPKITFGVGKVERSHHDDTLVISIQITNARVKRVMVDTGSSVDVLYFDAFKRFDSIEGDLTLVASAFTGFTRDFISPLGTTVLPITIGE >Ma06_p29360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30757933:30763384:1 gene:Ma06_g29360 transcript:Ma06_t29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVTLPPKISLVLTPPKPNLGSPRVSMTSAIRASAAKIETSKKPFCPPREVHVQVTHSMPPQKVEIFRALEDWAENNILVHLKPVEKCWQPQDFLPDPSSDGFYEEVQELRERSKEIPDDYYVCLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLTGRVNMKQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFVSHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTMLAFADMMKKKISMPAHLMYDGHDDNLFEHFSAVAQRLGVYTAKDYADILEFLVVRWNLEKLSVLSGEASQAQDFVCTLAPRIRRLEERAQGKAKQSPRIPFSWINYREVQL >Ma09_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1542032:1542866:-1 gene:Ma09_g02130 transcript:Ma09_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCLYGEGTQLMSFVILPLRL >Ma11_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21936392:21937174:-1 gene:Ma11_g16410 transcript:Ma11_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSLGSPGPKPLVSAVAGGAEREEKRKSIGSAVVGATEADKGIRARPRAERLIHLIPVLTILCLLVLYLFSHDLSLPDSQTLDGAEMRLDSKVGVPGAENGGVALAIQQIGRGLNAAEERFRHRKLGKR >Ma05_p30660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40964058:40964975:-1 gene:Ma05_g30660 transcript:Ma05_t30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREASTRKDGSYPASTEAKAEPSSSTRRNIEERKGARGPSPEASILCFVVGGRRTKREKSDDRETNPRKDFNALSRRHQHEFNPLRLRFL >Ma02_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14358240:14359925:1 gene:Ma02_g02960 transcript:Ma02_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEATGYKTPPERDRLDAARTRAPRRRQIRKTFNNLKITVLCGVVTILVLRGTIGIGSLAGAGGEADDQKVVEDIDRILREIRSDSDPDDDDKIPFGFNSTAAALNYTSASVLAAAANYTLGPRISDWDEQRRRWLGENPGFPSRTFGLKPRILLVTGSPPNPCDNPIGDHYLLKGTKNKIDYCRLHGIEIVYNMAHLDRELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMAFEIPLDRYAAHNLVVHGYPDLIFEKHSWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGPIRDEAGKILTANLQGRPAFEADDQSALIYLVLSQQDRWGDKIYIENSYYLHGYWAGLVDRYEEMMDKHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLRMYGFAHGKLSSPKIKRTRKQTAKPLEFLDHLNLEARIETRG >Ma03_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:887941:889782:1 gene:Ma03_g01150 transcript:Ma03_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELDVRLLVDKKHERVVLAESSKDFVDTLLSFLTLPAGTVVRLLGKRASLGCMDHLYQSVEKLDTEHLQTRACKAMLLSPVSASHRRCENLKLRADDKWPQKFFSCPKCGFPPKGSGMFSLVPDTLCACGRIMVQQRDVHLGKKHSGANGVDGVFVKGGAMFLVTDDLRVAESSVENALAVFRRYGIQDGNGLEERFVKIGRSRILKLLERSLVSRTPLTDVFLETSSTSDFEDVIDLTYISEGGRPCRNDMASESKEMVVRLLRDKSNDDVIYAEGGEDFVDLLFSFLTLPLGSLVRLSGGSSSIGSVDNLYRSVEQLGDYIRSENCKLELIAPKLASSFSCDVLVSLLGLEEDNSCISSDVTGVEAKVFAVNPKSLLPSKELGGAYMKGPRKFLITDTMDVSPFNSREALDLVFSKDVYFENLREETVTLGEAEALKLLKACWVSSRTLTDAFAKFF >Ma10_p25990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33878351:33882351:-1 gene:Ma10_g25990 transcript:Ma10_t25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWDPKKGSLNKFQGQHPLRERARKIDQGILIIRFEMPFNVWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFSMKSACCKHEIVVQTDPKNCEYVIISGAQRKTEDFDIEDAETFALPADDERGKLADPFYRLEHQEEDLRKKKEAEPLLVRLQRVSDSRHADDYSLNRSLRGRLRNQKRKVAEEEEVSRRMGLGIRLLPLSQEDAASAAGIGFASKFERNRKDKRAAIKASSIFPESSGSASGSRRLELESKRRKIKAIAASALLSGRIKPLSWQQKAGFVKP >Ma11_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25807491:25808854:-1 gene:Ma11_g21810 transcript:Ma11_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKFSGTHRHCKGSSSSLGRSKSLHHHHYHHRSSYVDRDVASDAGSQSDCIGAAGSSSSTPAWDFSSYSNNKVGLHEEEGGEQDVVAPEEEAEPKEWMAQVEPGVHITFVSLPGGAGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVRSFSRQAFPIPPGSDDGEREWSSSFNSRDGPSDRDPLVKERFTTNTYVPPSTSGEAAYCPPVPDPSQHLLLPQYFNPAAFAAAASAVGVSGVKVESSSMDASRTTTSSRASISISNASDLDVTEWVENDEPGVYITIRELADGTRELRRVRFSRERFGEVRAKQWWEENRERIQAQYL >Ma09_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33514338:33516370:-1 gene:Ma09_g21670 transcript:Ma09_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCTKPPHLLFVQKNLNPTLSFVGRQPQSAFLMKMRMKPISVDDCVFFFLTLSVCVAARFLLSRLFTTNSSPQEQKSTSEAVLPIPPGPRHIPLLSPILWLRRSLFDAEPLLRRLRAEYGPIFAVRITSRPAIFIADRHLAHDALVHHGAVFADRPSSNQANNLLTSNRHNISSAPYGPLWRLLRRNLTSGILQPARVRLFADYRRWVLGILLGRLKARAENDAGVVVVMESFQHAMFCLLVLMCFGEKLDEKAIGEIEATQRHLLGSFAKFNVFSFFPRITKLVFRKLWNTLVAIRRRQEELFIPLIRKRRERYQQLQNQEEEGDFVYSYVDSVLGLRLPEEGGRELTDGEIVSLCSEFLSAGTDTTATALQWIMAHLVKDQHVQRKLFDEIKAVAGAQAEEIREEDLQRMPYLKAVILEGLRRHPPGHFVLPHTVSEDVSFNGYLVPKGAPVNFTVAEMGWDEKLWAQPMEFRPERFLAGGEGEEVDITGSREIKMMPFGVGRRICPGMGLAMLHLEYFVANLVREFEWKPVEGEEVDLSEALELTVVMKHPLRSRMTPRM >Ma03_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:312876:317193:-1 gene:Ma03_g00330 transcript:Ma03_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGKAHPDCINASNPYHECVEYCFKRIAEAKSHADEGENEDSSKEPEERTVHPDCVNASNPYHECSEYCFKRISEAKDQIERNESDIQEDDITSSFNGANQNDSGDAHHVQNDPESGNPNLTEKQKKLFEMRLKMNEARKANQMAMVAEKKKMEAPSEARGISKQKWLEERKKKIGKLLDSNGLDMSKAYMLDTQEMAEAKYKKWEKDPSPYGWDVFNQKTLYNAHKKRVKNIECDMEAYNEAKEADPEFYREASSLQYGKATKIPEENIDRMVKELQDREAKRKSFSRRRKFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Ma08_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7166309:7174169:-1 gene:Ma08_g09850 transcript:Ma08_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNSDNSQSATCSSDVDHLTEKVGPDAKSEQAEGKYDVSIEEIRNIMEVMAASGKFWHDWDMLKSLLSFWMKQVLAEYPEAQMASGDGLQKSSLVGETYTELVKRLDEALLSFTEGPPFTLQRLCEILLSPKSTYSNLSKLALALEKNLLVTSTLTMCTEPYPGELGQKHKPGRENEITKENPVPNGVETPVGDGDEEMTDAEASESTDTADTEMQEEKASETPPCKPETGSDSNTSSEACATSEQLTPSTQG >Ma09_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4426394:4432055:-1 gene:Ma09_g06940 transcript:Ma09_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTMARSFLQAVSSSEEIAPPLRVVQMEGLAVLKIIKHCNEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTIGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSRSSQGALALKALKLTDPFMDLHRKNNFTGEKLREKKLSWVDIFEEIPIKISNSALISAFMTELEPESPVAQCDYDRLKLSTAPYMERNLEFLIDCMEDLSSEQNKFQYYYRSLSRQQAQQQAWLQKRRVENMARKAAGEEPLPEEDPSNSIFKPIPEPSHLDSYLITNQTSNYCNQINGYASSTNNMSLVLLSTSV >Ma07_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31962797:31965366:1 gene:Ma07_g24680 transcript:Ma07_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQSKATEVAPMKVEEAAVTEATPPAPAPEPGEEEAEPKKTAEETKPEAEEPGPPAEAIVAPSVSFKEESNVVADLVDPEKKALDELKQLVQAALANNEFAPPPPPPVKEEEPKPEEPAAAAPAPEEPETEPEEPPKPAETDSPAPPPDVEPPKPTAEKEEPLPAAAEDDGGKTGEAVEETVAPIATAPAAASEEVTPAAGDEPPAETPAPPPAEPPEEVFIWGVPLLGDERSDTILLKFLRARDFKVKDALSMLKNAVIWRKQFGIEALLQEDLGLPELEKAVFMHAVDREGHPVCYNVYGEFQNKELYDKAFGDEEKRQRFLKWRIQYLEKGIRELLDFTPGGVSSMVQVTDLKNTPRLGKHRQATRQAVTLLQDNYPEFIAKKVFINVPWWYLAFSRMMSPFFTQRTKSKFVFAGPSKSAETLFKYIAPEQVPVAFGGLSNESDPEFTTADAVTEVTIKASSKQSIEIPATEATLLVWELRVSGWEVSYGAEFMPSAEDGYTVIVQKTRKLVATDEPVIKTSFKIGEPGKVVLNIENPTSKKKKLLYRSKAKSSAKPT >Ma03_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4274226:4275782:-1 gene:Ma03_g06190 transcript:Ma03_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALLFVVLMAILSCTACYKEIAMNRLEGLERLALRTVVGPESLAFDRHGRGPYTGVSGGRILMWQDKGRGWTGYAVNAANRRRECEGSDVSMERVCGRPLGLQFHHATGVLYVADAYFGLLAVGPTGGAAELLAASAEGVPFNFTNGVDVDQKTGVVYFTDSSTQYQRQDYILAVVTGDSTGRLMKYDPRTRKVTVLRRGLRFPNGVALSGDGSFLLFAETGTCRVIKYWLRGPKAATTEVFAELPRYPDNIRRTPGGEYWVALNGEKIDLNGAAKAEAATERPVAMRLSAEGKLVEALDGEELASVSEVAEESGSVWVGSVEMPYVDVYKL >Ma01_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2441775:2443829:-1 gene:Ma01_g03670 transcript:Ma01_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAPLLPHYSPSGAARKPPATSVGDTLESYIGGNCVLQLLQAFFVSFAWCFDAQQTFINVFTDAEPAWHCTGTDDAACATASSPCGLAPGSWAWDLPMHASVVSEWSLQCSGPAVVGLPASSYFAGCLAGGLLLATLADSVLGRKNMLFLSCLTMSLSATLTVVSPNLWAYSALRFLCGFGRATVGTSSLVLSTELVGKRWREKISIVGFFCFTMGFLSLPAMAYINRGSSWRALYLWTSVPSACYSVLLFFLIKESPRWLLVRGRRDEAIQTLKSIASTNDDVINSSFSKLIVAEDTGIVDIFSAMKILWQKKWALRRLMATMIAGFGIGMVYYGMPLNVGNLGSNLYLSVTFNALAELPSSLITLFLIGKLNRRCSTVTFTTMGGAFSVLCVLVTSKLWQMASEVVSFFSACTAFNVLLIYTIELFPTCVRNSAISMVRQALVLGGVFAPVLVAEGRKRSFLSFGVFGFVIGCCGLFIVSLPETRGRSICDTMEEEEVKQNASSDTP >Ma08_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29675583:29681129:1 gene:Ma08_g18300 transcript:Ma08_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGGPVRMSSDQQAHSDEPPAVRSHTTDATEEHPQQEGVRDERPTAISERYWRLFNDPGLSPPIANPGGPSPVPLEAFYDLTHQVRALTGVMQTIIPLVSPPTSSHSTLPPPRQRPAAQNPAPLPESPASPPGQSTQPGSRGAEDPATHPTPVAPHSDSTEGLWAQLHLVGRRLDEVQREVRRTTEDPGAEQHQGSPFTPEIQEQAIPPHFRLSSLDPYDGATDPADHVAAFRAQMALYGTSDALMCRAFPTTLRGPARAWYNNLKTATIASFDQLARDFELNFLAHAKPKPSVAMLLGLNQREDEPLSHFVNRFTTQIRGLSDAHPSLMMQAFMMGLRPTRFFWSLVERPPTSVPEMLQRANQFIAAEAWMVGRRDERKRRANQFIAAEAWMVGRRDERKRVKLEQSQQQQPATSRRRADGLNEAVPKSPPPGLNSSQTEIFLHIKEKGLLKDPHPMRSPRELADHSKYYRFHRQPGHDTEECRELKRQIEELIRRGHLGSYLRPDKDLSPRPEGPIERHIDVITGGPASGGNSMAGGKAYARASRAEASKHEKGPKVTFPTGGPEPTEHDDALVISARIANAQVRRIMVDTGSSADILYWDAFQKLGLVKENMKPVCSTLTGFTGASISSLGVITLPLTLGVFPRTKTVMTSFLVVDLPTAYNAILGRPTLNKIRAIISTYYQTIKFPTHDGVGEVAGNSWESRRCYLTAVSLNKRARVQSLLEDPREGKKPTPRPEPKESTIDLPLIKGRPDQTVKVGSGLPEQEQQQLVGLLQANADIFAWTPSDLVGVHPEVAQHHLNISSDARPVKQRPRRQAPDRQLALREEVSRLLAAGFIEEARYPQWLSNVVLVKKPNGSWRMCIDYTNLNNACPKHCYPLPKIDQLVDATAGHARLSFMDAFSGYNQIRMAPEDQEHTAFLTEQGIYFYKVMSFGLKNAGATYQRTVNRMFAHQIGRNMEIYVDDMIVKSRTAEAHSSDMAETFDTLRRFGLRLNPAKCAFGVTSGKFLGFIIHERGIDANPEKVQAIIDMQPPRTIRDLQRLNGRLVALSRFLSRSGDRCLPFFKALKDPKNFRWTTECERAFEQMKQHLANLPRLASVSPEEKLSLYLAASQHAVSSVLVKENSGDQLPVYYVSHMLSGPEGSYPPIEKLALALVLSARKLRPYFQAHPIEVITDRPLRLVLSKFDVAERLLKWAVELGEHDIQYTPRTAIKAQSVADFIAELIPSTGEELEPPRDTWTLHVDGSANAKGAGAGLVLVTPDGRSIERSFRFGFRATNNEAEYEALLAGLRLALEMRVTDIRVITDSQLVARQLDGEYEARDPTMAKYLAQVKNLATKFVHFELSNVPRSENQRADTLAKLASGSAPRARPETEGLPHRAIEVVTTVTDGAPATWVQEMLRFKRDGTLPDNETAARRLRRTQAWYSEEGGRLYKRSFSRPLLRCLELNEARTVLSDMHEGACGEHIGERTLAHKMLRQGYYWPTMRQDAKAFVRRCRSCQEHARTVQRPAVLFTLVDCAWPFAQWGLDILGPLPPASGQRKYIIVGVDYFTRWVEAEPLATITESQVERRFREFCAEHKIQLRFSSVAYPQANGLAEVTNRSIVDGLKRRVSAARSAWIDELPNVLWALRTTPKTPTGESPYSLTFGTEAVLPSEVAVPTPRTAGYSEEASGEGLRSNLDLLEERRASAHQKALSYKRAVARVYNRRVRPRSIKIEDLVMRKIEVSHPTQVRGKLAPKWEGPYRVIGVSRPGTFRLATMDGDPVPRTWNIQNLRKYFI >Ma01_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9111679:9128759:1 gene:Ma01_g12520 transcript:Ma01_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSRVSIPAGVRRTIQNIKEIAGNHSDEEIYAMLKDCGMDPNETAQKLLLQDTFHEVRRKRDKRKENVRDPNDARWRPGVQGRGGRGGRGKLNYSSHSLPSDDVAGRNVTSGRENGLNQGTDKANTSFSSTTTLDTDNNSDKFTSSKTDHPLSSQGSHVSGASGIAPWEENSGAVTTKSGTSGINSSDVIYGSASGQSVLGSDHSAENRTAAPVFEVSTSISDLKLVTSPVTCGTAELRTTKQVTGIQHSLIETATHESASRDISGRNFLNISGKGSSDMSNADTHGKKIQIKPQGSKTNELSEKSVSSFSSVINSRQSSTYNNRPHLSGSQKAPVPNKEWKPKSVQVNPAQASEMTDTSDILVMAEAVSQSLPASCSVTSEETTMKLEKKLDELKLSDRQHVIIPNHLQVPESERHGLSFGSFDENFELNMVFANGPTRDKIDTPPSESSQEVKETSEQPSLSIHMATSAGQEAEFMGHPQSPELVLDSYPTKEASDSASISTAVENDQCKQEASLAPDGSQNLVVQSAPSYPSLGLDTQVLGSQFAPFESSEPQACDTSHLPNFLVQQSYDPSTSYYTPFYRPVADADGRISPLLASSASSRYNGNTTVLPARTVQASQENTNSVVLPMVGSTPLATQAAAPMQGSVAIPQQPVPIFRQPPGLHISHYPPNYIQYSQYFSPFYVPPPTLHHFLSGAAFPQQPPTGNIYPTPGAASPVAAVKYTLPQYKPGANTGNSTIVGLQSVYGTYNATPAGYASGPAASSGNSTSNEDLGSSQFKENNVYIPGQQSEGSAVWIPAPGRDISALQASSFYNIPSQGQHMTFAPTQAGHGAFSGVYPPTPTVSAPVHPLLQQSQTLAGAVEMLGPSGGVYQQPQRAQMNWTNNY >Ma09_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36333733:36336172:1 gene:Ma09_g24700 transcript:Ma09_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESKGKAPPKVVKLDKALKLAEAWVNNMSRPAIYEQSEVEFEGRPSRLGLGAKITPKMKAAVSSDPVEQKLLGKLNSKKKLSENVEKASPVKENSPSDDDENEPESRTSAFVKKRPMPPATSLQSSKKRK >Ma09_p24700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36333721:36336172:1 gene:Ma09_g24700 transcript:Ma09_t24700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESKGKAPPKVVKLDKALKLAEAWVNNMSRPAIYEQSEVEFEGRPSRLGLGAKITPKMKAAVSSDPVEQKLLGKLNSKKKLSENVEKASPVKENSPSDDDENEPESRTSAFVKKRPMPPATSLQSSKKRK >Ma08_p27680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39851443:39857919:-1 gene:Ma08_g27680 transcript:Ma08_t27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRCGVVVIPLLLSILLLVSAVPASAEEDGFIRIGLKKKPLDESSRLASRIMDKERKSLMGRKYGLGDGLEDEGDADYISLKNYMNAQYFGEIGVGTPAQKFTVIFDTGSSNLWVPSAKCYFSLACYFHSKYKSSKSSSYKKNGKPAAIRYGSGSISGFFSQDHVTVGDLVVKDQVFIEATREPSVTFLVAKFDGILGLGFKEISVGNVTPVWYNIVEQGLVKEPIFSFWFNRNSEDGEGGEIVFGGVDPSHYKGEHTYVPVTQKGYWQFKMGDVMIGGQSTGYCAGGCAAIADSGTSLLAGPTTIIAEINHKIGASGVVSQECKAVVAEYGQQILDLLLAETQPAKICAQIGLCTFDGTRGISIGLESVVNDNGDISAGLQSDAMCSACEMAVVWMQNQLRQNQTKEHILNYINELCDRLPSPMGESAVDCGALASMPGVSFTIGGKVFELKPEQYILKVGEGSVAQCISGFTAFDIPPPRGPLWILGDVFMGAYHTVFDYGNLRIGFAEAA >Ma03_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24220974:24231624:-1 gene:Ma03_g18670 transcript:Ma03_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MWRAAAKLSVGAAVLGGGATAAAIATSDDPSTNFKICTTVPLRLLRDTLTVATIVGDYQYSLWNLKEGSIEWSKAKHEVHKRSAHRLQDLCFRNGGIYIKLGQHISQLEYVVPEEYVQIMRASMLKRCPVSSYDQVHNVVIKELGGPPEKIFAEFDPNPLASASLAQVHAARTHDGQKVAVKVQHTHLTDTAVADIATVDLLVNFLHWCFPAFDYRWLVDEIRESAPKELDFLFEARNSVKCLDNFRRLSPRTAHSIYAPKVYWNLSTTRLLTMEFMDAAEITDVTAIRSLGLEPVDVSKLVTRAFAEMIFKHGFVHCDPHAANMMVRPLPSNKWNIFGRRKPQLILLDHGLYRELDFSTRTNYAALWKGLVFADANAIKENSIKLGAGEDLCALFAGVLTMRPWKKVIDPSADHLVLEGNDGDRSELQMYASLYFPQISELLRRLPRVILLMLKTNDCLRAVNHVLLQGSSLDTFFIIGRIASEAVTDSKRVAVKISFLGSVCVWLEMMLLEARILGLRVALRFLQLMKSD >Ma02_p14750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22691251:22698170:1 gene:Ma02_g14750 transcript:Ma02_t14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLEDPSQGPRLGFGIPEKGGNNTAKFTIFPDSKELGGEPKTQQHFGHFSLQSLLPENSGFKGVGQSVVCPSQSYVDQFYGLYATYGAQAMHGRMLLPMDTTAEGPIYVNAKQFNAIIRRRKARAKAEKENNLIKVRKPYMHVSRHLHAARRARDCGGRFFNTKKEVIAQAGNTGHKVNDVVPRLPAASPSSEVLQSDSLNLNSARGGSSGSGSEVTSVCTLNDVDHFGAIQHLHPSVLHSLSSVMNGGQSTSVIHSKWGSAADGCCDLLNV >Ma02_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22691543:22698171:1 gene:Ma02_g14750 transcript:Ma02_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLEDPSQGPRLGFGIPEKGGNNTAKFTIFPDSKELGGEPKTQQHFGHFSLQSLLPENSGFKGVGQSVVCPSQSYVDQFYGLYATYGAQAMHGRMLLPMDTTAEGPIYVNAKQFNAIIRRRKARAKAEKENNLIKVRKPYMHVSRHLHAARRARDCGGRFFNTKKEVIAQAGNTGHKVNDVVPRLPAASPSSEVLQSDSLNLNSARGGSSGSGSEVTSVCTLNDVDHFGAIQHLHPSVLHSLSSVMNGGQSTSVIHSKWGSAADGCCDLLNV >Ma11_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4315898:4316080:-1 gene:Ma11_g05630 transcript:Ma11_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGLFISSSAPSSQPFVPLATGSHDLFIIYLKSQDKGLMCSDYAVSIIYMERVNYFEY >Ma02_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28174317:28175235:1 gene:Ma02_g22960 transcript:Ma02_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEVGRKEEEEEEEKMEVEPKTGVSFPAKLPDGKQLCATGLRRRKLLAFGINFYAFGMYVHIDARLKELLKAKLGEAAEKPCKELYEAVIDGDVGIAVRLVIVFKGLTMSMVRKSFDEGLVGSLKKLTGGQKNEELIKKVMAAAKDGTKLPPGSVIEITRLPGQVLQAMVKDELVSKVESELLCRAYFHLYLGDDPFDKEAKERFGRTLVSSLSAP >Ma04_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10185799:10187521:-1 gene:Ma04_g13470 transcript:Ma04_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYLDMVMVPLGLLLQATYHIWLFFTIVRHPNRTVIGVNAQVKHRWLRAMMTDPLGNGTLAVQTLRNDIMASTALATTAVTLASLISVFVSATTSTATSSLVYGNKSSVVRSIKYFALLLCFILAFLCNVQSVRYHTHAGFLMSQPVAGPVTPEHAARSLDRGSLFWSLGLRAFYVSFTLFLWVFGPIPMLASSVVMCCLLFFLDTTTELPRAFHVSSFTVEEDVKEEV >Ma09_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19606613:19607062:1 gene:Ma09_g19030 transcript:Ma09_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRERHPMEAAKDLIPEKGPTATQALTVAIMFPLGGLLLTLAGLTLTGSVIGLVVLAPVFLLFSPVLVPAALLMALAVAGFLASGAFGLTGLSSLGYTLKQARGVVQRAPEQIDYGKRRMAEAAEQMGQKTKDVGQAVQSRAEEAKRT >Ma08_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4270312:4272906:-1 gene:Ma08_g06320 transcript:Ma08_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLFLQLLDELFLVQERFEMLKKRKAPESFSEQDLDERLRKQQEEEEECLGRERKKEKKKEKAAQEETEDVDPDVAAMMGFGGFRSSKK >Ma04_p34070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33424364:33425842:1 gene:Ma04_g34070 transcript:Ma04_t34070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPATGGFAGGDAMIKVDKDSDEFVIIQHRFYTNMGSTVPHCPLVELHRILYSTPTGRARLEAFNRQVDAMKQKHGGNPNERFAFYEASKRNVQRIINDGFDVSAAPEDGGYFGIALYLSPEPCAINSVMSATVDEKGLRHVLLCRVILGGAEEVVRGSGQSRPSSGNFDSAVDNHRSPTRYIIWYPDVQSRVLPLYVLSVEVDFRNRVLHQALVSRPTSPWMSIGDLLSALSARLPHSTMCQLWRLHNDKMEKKITREQMVCSIREITGDQMLLDAMRTILGRFGCFLCRLFLSSQTMH >Ma04_p34070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33424364:33425803:1 gene:Ma04_g34070 transcript:Ma04_t34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPATGGFAGGDAMIKVDKDSDEFVIIQHRFYTNMGSTVPHCPLVELHRILYSTPTGRARLEAFNRQVDAMKQKHGGNPNERFAFYEASKRNVQRIINDGFDVSAAPEDGGYFGIALYLSPEPCAINSVMSATVDEKGLRHVLLCRVILGGAEEVVRGSGQSRPSSGNFDSAVDNHRSPTRYIIWYPDVQSRVLPLYVLSVEVDFRNRVLHQALVSRPTSPWMSIGDLLSALSARLPHSTMCQLWRLHNDKMEKKITREQMVCSIREITGDQMLLDAMRTILGRKQ >Ma04_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6167405:6168213:-1 gene:Ma04_g08640 transcript:Ma04_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGSKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVIFKYMPDEARLLKAYGELPENTRLNEGIGGLDEEEEGAADDYIEFEDEDIDKI >Ma07_p25790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32764092:32769230:-1 gene:Ma07_g25790 transcript:Ma07_t25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCDLSSNGIRIFYRRCGHGSTKVLLIIGLAGTHDSWGPQIKGLTGAVEPNDEEAPPTVTVGGESNTLGEEVREGDGIEVCCFDNRGVGRSSVPTEKSDYTTTIMAEDALALLDHLGWKKAHVFGHSMGAMIACKLAAMAPDRICSLALLSVTGGGFECFPKIDLQMLSLAFRFLRAKTPEQRAMVDLETHYTKEYLDECVGSRTRRDILYQDYVKAISSSGMQSNYGFEGQLNACWMHNMTSKELETIRSSGVLVSIIHGRDDIIAQLDHARRLAKKLQPVARMVELHGAHLVSHERPEEVNLALMELIKASKSKLEPEEWSQLAESESGCLVLKMHPPLNNRNKDDANSLIMYKLLGKLQLRFL >Ma11_p17240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22594049:22601588:-1 gene:Ma11_g17240 transcript:Ma11_t17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MTDADDPRAWIHGDESAKAMLARVLTERSFLLLPPLHRLPLRVGNVVEIAGPSPSAKSQVLLQAAVHCILPREWRGLRFGGLERMVIYFDLDCRFDVLRLSQILKHRIKEVLGSTNNFGHGVQEGSQEYDDELLLACMRRFLYIRCYDSYEFIAALKTMHSHAQTESEALGVGIQFLMIDSIGAFYWIDRASQPSPYGNCTRKIMSFQNLVESIVEEMRKFLEVQPLLVLASKSCIFFAGSSTTDVQRTPTTWSSEDRNQWRTSNKQIEKFLYRICYAPNTLASFR >Ma11_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22593320:22601588:-1 gene:Ma11_g17240 transcript:Ma11_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MTDADDPRAWIHGDESAKAMLARVLTERSFLLLPPLHRLPLRVGNVVEIAGPSPSAKSQVLLQAAVHCILPREWRGLRFGGLERMVIYFDLDCRFDVLRLSQILKHRIKEVLGSTNNFGHGVQEGSQEYDDELLLACMRRFLYIRCYDSYEFIAALKTMHSHAQTESEALGVGIQFLMIDSIGAFYWIDRASQPSPYGNCTRKIMSFQNLVESIVEEMRKFLEVQPLLVLASKSCIFFAGSSTTDVQRTPTTWSSEDRNQWRTSNKQIEKFLYREYMPSAWQAFVTHRIHLQVSDKCTYDRKYGTMPIYMSKWVQPPLNVNDQFAVGDGGIFLIT >Ma04_p28340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29401014:29408265:-1 gene:Ma04_g28340 transcript:Ma04_t28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTEIGGLASSRCVGRTFHKNGRGRRGARLNATVGLSVRSMRAAERRDDLTEEIGAPVCSDKLNALHEFQLSVPIGETRLPPDFHRKTKIVCTVGPSTNTREMIWKLAEEGMNVARLNMSHGDHESHQKIIDLVKEYNYQHKDNVIAVMLDTKGPEVRSGDLPQPILLKEGQEFNFTIKRGVSSEDTVSVNYDDFVNDVEVGDVLLVDGGMMSLAVRAKTPDTVKCTAIDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNEVDFFAVSFVKDAKVIHELKEFLRSCHADIHIIPKIESADSIPNLQAIISASDGAMVARGDLGAELPIEDVPLLQEEIIRTCRSMQKPVIVATNMLESMINHPTPTRAEVSDIAIAVKEGADAIMLSGETAHGKYPLKAVKVMHNVAIRTESTVLSDILHTTAAAIQTGDCGDVSQRHISAMFAFHATNMAKTLGTPIIVFTQTGSMPILLSHFRPSSTIFAFTNQERVKQRLVLYHGVLPIHMQFYENADETFSRAIKHLMNLKYLKQGESVTLVRSGTRPIWRSEFTHHIQVRKVQD >Ma09_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35035538:35036160:-1 gene:Ma09_g23110 transcript:Ma09_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSIDGKEEKKNKRPRSAEVAAPEEAVSAAELGEDLLLEVLKRADARTLGRAACVSRGWRRLAEDERLWEAVCTRDWVKVPCGKQQLRAVVLALGGFRRLHSLYILPFLAPSAALPSPMTGRPHPQQRWGKDEVQLSLSLLSIGFFEKMIPNNNRGGRGGA >Ma11_p10760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10397912:10434524:-1 gene:Ma11_g10760 transcript:Ma11_t10760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKAYGSGKEDSPLCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAGNESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQESAAINQHESIQKFIMGTVADGAPVVPISAQLKYNIDVVCEYLVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIKGGVAGGSILRGVLKVNQRIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGTEKQGKVSKLAKGEILMLNIGSMSTGARVVAVKTDLAKLQLTAPVCTGKGEKIALSRRVEKHWRLIGWGQIQAGVTLDVPPCPI >Ma11_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10397912:10434524:-1 gene:Ma11_g10760 transcript:Ma11_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAGNESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQESAAINQHESIQKFIMGTVADGAPVVPISAQLKYNIDVVFDEIKGGVAGGSILRGVLKVNQRIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGTEKQGKVSKLAKGEILMLNIGSMSTGARVVAVKTDLAKLQLTAPVCTGKGEKIALSRRVEKHWRLIGWGQIQAGVTLDVPPCPI >Ma11_p10760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10397912:10434558:-1 gene:Ma11_g10760 transcript:Ma11_t10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAGNESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQESAAINQHESIQKFIMGTVADGAPVVPISAQLKYNIDVVCEYLVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIKGGVAGGSILRGVLKVNQRIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGTEKQGKVSKLAKGEILMLNIGSMSTGARVVAVKTDLAKLQLTAPVCTGKGEKIALSRRVEKHWRLIGWGQIQAGVTLDVPPCPI >Ma11_p10760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10397912:10434558:-1 gene:Ma11_g10760 transcript:Ma11_t10760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAGNESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQESAAINQHESIQKFIMGTVADGAPVVPISAQLKYNIDVVCEYLVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIKGGVAGGSILRGVLKVNQRIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGTEKQGKVSKLAKGEILMLNIGSMSTGARVVAVKTDLAKLQLTAPVCTGKGEKIALSRRVEKHWRLIGWGQIQAGVTLDVPPCPI >Ma10_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23565723:23566809:-1 gene:Ma10_g09370 transcript:Ma10_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDEKLKSHIEQHGTGGNWITLPQKIGLKRCGKSCRLRWLNYLRPNIRHGGFSEEEDNIICRLYISIGSRWSVIAAQLPGRTDNDVKNHWNTRLKKKLFGKQCDDRRRHTHRITRPEQDNIDHGTGDLGIISTGVSQSDCYWAQQPALSYPPLRPVCEGSATPVAPPPQSVSCYEGYQSPTGFLTELDEMFQFNSVKLEGLDCFNEMNGGSASAGMNWNQVSPLLCVVHGMQQLPPLEEPVQLGTSVDL >Ma08_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1637735:1639583:-1 gene:Ma08_g02000 transcript:Ma08_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEDAEANRFDDLDHYHRIMRELREEKSLRFISPEAAESKRDARHESVVNKLIAAVYSGPTIGDVESALTLTTFPNGDAGGRCSSRSTVCPPDKGQGKMEHKYTLRLKTCRIGFADDGYKWRKYGQKSIKNSPNPRSYYRCSNPRCGAKKQVERSMEDPEMLIVTYEGLHLHCTYSHLLLPPPREDSKAGFHASKKLKRRSMAGAPDNTTIQPRLPSQPAVDGTVSPVLEEDALRSSEGLLEDVVPLVVRKPCISTTSSYDRRPSSYSNPSWTNSSSCFNLGILSTTL >Ma07_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16652935:16666187:1 gene:Ma07_g17220 transcript:Ma07_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGIEVSSLNFAYEGLPPLFTRFNLDVSPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNASAFHDTRLVCSGDLAYLGGSWSKTVGSAGDIPLQGDFSAEHMIFGVEGIDPARREKLIDLLDINLQWRMHKVSDGQRRRVQICMGLLYPYKVLLLDEVTVDLDVVTRMDLLEFFTEECEQRGVIIVYATHIFDGLETWATHVAYIQDGDLKRSGKLSDIIELKSANNLLSVVESWLRSESKLPKKELIKPISAVARSSTLSPFDSSPFRSSRHMAYYR >Ma01_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6025107:6025637:1 gene:Ma01_g08410 transcript:Ma01_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKVYGPAMSTAVSRVMACLLEKEMPFQLLPIHLPKAQHKSPDFLKLQPFGQVPAFQDGDGTTLFESRAICRYICDKFAGQGNRSLLGREGGGAADRARVDQWVEAE >Ma07_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6875610:6877309:1 gene:Ma07_g09150 transcript:Ma07_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMIGGEGGHPSDPTGHVPPWSSPFEDPTGGIGYHLGAAGGAEYGLGESALAAALQRYLPCNIDEPGAEEEEVDEPDAAVDVYSSDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGAACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPQQQQTPTKAAAAVESYDGSPLRHQAMESYLSKHIMSSSPTSTLISPPISPPSDSPPMSPSTAAIRRASWPMRSSLNEVVASLRQLQLNKVKSDLSSWGLQVGGGAFGSPRGSGAGFRAGFCSLPTTPTTASAAVSGGGVGWFDGMDSGFTEGEEPVERVESGRALRAKIFEKLSKECVTERANAAASTPAPDVGWVSELVM >Ma09_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7788563:7799763:1 gene:Ma09_g11540 transcript:Ma09_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSIIAMFPAIVSSSSLFPLLGFVLLILCHSSLTGAQNLDQICDTSGSFADNSTYQSNLKLYLSTLPSKGSVSGFLKEAVGEVPDKVYGVSLCRGDANASECRACLDKASLDIQQICPYNKGAMIYYDYCLLRFSSQNFLNSTDNQQVSKYNSSSVTQVARLSQLLHLLLSSATDWAANSTKRFATGEMSNFTSEFPTIYVMVECTPDLSPSDCSQCLQSLFDPLPTDMVGKQGARVLGVRCVIRYELSAFYRIPSMVKLTAPLEDATQLPSDGSEGKKKNAATTALAIAIPAFTALLILSLIYICYWRTRKRIVQSAYETGPELITGVESLLFDLSTLRLATANFSEENRLGEGGFGAVYKGRLPDGREIAVKKLLYAGRGLRELQNELLLVAKLQHRNLVRLLGVCFEDEKMIVYEYVPNRSLDKFLFDPKRSEQLRWGARYRIIRGIAQGLLYLHEESQLKIIHRDLKASNILLDAAMNPKISDFGIAKLFDADQTQDTTKRVVGTFGYMAPEYVKHGKFSVRSDVFSFGILVLEILTGIKNSNSYNSEYRESLLSYTWEKWRGGSVLEMVDPALDGHYQGSDLLRCMQIGLLCVQENPNDRPTMSTVITMLNSGIAFLQAPGRPAFYVEKEEKEDVNVEFSANVARTAIVVCDGESKPLPASSTQVSVTEQQFENLISVSIFVATTSSKSTPTMLPSISSTQFLLFYLILLFVPSRTVAYRWQICSTRGGNFTDNSTYESNLNLLLSSLVSNGSGSGFSTGTLGRIPNRVQGLILCRGDTNATTCGSCLSNGAVDIRQICAYYKDAVVWYDECLIRFSNLQFLSTFDNEPTVALVNYDLKDEVDRFNKVVNELLSSTADWAAYNSTKRYATGQAFNVTQAVPTIYGLAQCTPDMSTSDCRQCLEGVLQGLPQGRMGARNQGVRCNIRFETGPFYEGNPIIRLLSPLTNATTPADNATTPASAPTSHPAVGPTGKEGKTKKTTIAISVSAVSAILLISIFCTWYRRSRKRAAKSPYQTDSEQATQVIESLLFRLPTLRVATANFAEANKLGEGGFGAVYKGLLPDGRVIAVKRLLNSGQGLGELKNELLLVAKLQHRNLVKLLGVCLEEETMIVYEYLPNASLDKFLFDAARGKQLTWGIRYKIICGIARGLLYLHEESQLKIIHRDLKASNILLDADMNPKISDFGLAKLFDIDQTQGTTNRIMGTFGYMAPEYVMRGKFSIKSDVFSFGVLVLEILTGRKSNDSYNPEVTEVLLSYTWEKWQDGSASEIVDPALGGHYQQSDLLRCVQIGLLCVQENPSDRPTMSTIVVMLNSETVSLRAPSQPAFYVGNGDRVVTMSINQVSMSEQESR >Ma04_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5057380:5065308:1 gene:Ma04_g06950 transcript:Ma04_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVINARHRHAFRLDELGMEIMRIAFPAALALMADPVASLIDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPIVSVTTSFVAEEDATSKLASGDPESGECQGSFSEDSEMKELMPCTDHDEHEYTSPFSSKKSATMTEVENRKRIPSASSALLVGSVLGVLETLFLNFGAKPILIFMGVKHDSPMLTPACEYLTLRALGAPAVLLSLAMQGVFRGIRDTETPLYATVAGDAANIILDPIFIFLFRLGVSGAAIAHVISQYLIALMLLWKLLRQVDVLPPSIKNLQFGRFLRDGLLLLVRVIAVTFCVTLAASMAARQGSVPMAAFQICLQIWLATSLLADGLAVAGQAILASAFARKDHSGATSAASRVLQWGMVLGLLLCFILGIGLQFASKLFTKDAKVLQLVHVGIPFVALTQPMNALAFVFDGINYGASDFAYAAYSMVLVAIVSIVFLIILSSSHGFVGIWIALTIYMSLRMLAGFWRIGTGTGPWTFLWS >Ma08_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1186379:1187350:-1 gene:Ma08_g01260 transcript:Ma08_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEEPNNSLSSSEESAMTGGRPRLLHSSGTSLVSIARKSYGRIEELDGYVGLLARLVAFVAGPFVRPVERRWLSWLSFLDCIILTTEKILVFIFPPLEPVFTKIDELAPLVDSLPEKFDGVIDQLLLVMSGSSNEEEERDEEQETKQRSRCEGDHEWKRAKEEVPEEEDMREVEKSCEEILGALKKIGMVEEGGNADRDHDRGKGAAGRMKGAGGAEPQKENSDLMMGDAMLELFDEGWHQKRLTG >Ma10_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31836023:31839062:-1 gene:Ma10_g22470 transcript:Ma10_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNTSSSSASSSTSSVDEFVGRKNAKPSATTSSSSSFRPGYFSTVIPPPSAVIAKDLSHSDLCWTLNKQRGEGRIASARCANAAGSQSHGSPSRRQITQNKEGKPVYPNESIESPCFGSSVHYGARDFYTSSSSTHTSGTWKNFITDEGNYLGNPHAADRGEWWQGSLYY >Ma06_p32600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33484223:33502247:1 gene:Ma06_g32600 transcript:Ma06_t32600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGNLLLPLPAAHPPHRGDPSSSSSSTSSSPRHEILDAGDAGLDRKCSRPFKQERKTGKKAADGKASLADHVSAWREKKMAAGVPDRECFLPFLTNAPRMVDCHMCNRCIYPGEELRCSVLGCQEAYHLTCAKKLIGPSTSKPFKCPQHGCFVCKQKAYWRCVRCTMAAHTKCAPWPANVIYFKNRPGRAICWRHSSDWRLEKKHADLTSDVEEAFVRLPLPYVDEEFKMGTILKDVVENKTEPAPYVHIRRNVYLIKKKRDGAETGVGCSNCGSNSTCKENCECRGLSVSCSKACRCSDMCRNRPFRKEKTIKVVKTEYCGWGVVALEVMEKGDFVIEYIGEVIDDALCEQRLWDMKHRGDQNFYMCEIHKDFTIDATFKGNASRFLNHNCDPNCKLEKWQVDGETRVGVFALRSVDIGEPLTYDYRFVHFGPMVKCQCGASKCQGYLGSKRKLNQMPSSWGCKRKRSIALHGAK >Ma06_p32600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33484223:33501394:1 gene:Ma06_g32600 transcript:Ma06_t32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGNLLLPLPAAHPPHRGDPSSSSSSTSSSPRHEILDAGDAGLDRKCSRPFKQERKTGKKAADGKASLADHVSAWREKKMAAGVPDRECFLPFLTNAPRMVDCHMCNRCIYPGEELRCSVLGCQEAYHLTCAKKLIGPSTSKPFKCPQHGCFVCKQKAYWRCVRCTMAAHTKCAPWPANVIYFKNRPGRAICWRHSSDWRLEKKHADLTSDVEEAFVRLPLPYVDEEFKMGTILKDVVENKTEPAPYVHIRRNVYLIKKKRDGAETGVGCSNCGSNSTCKENCECRGLSVSCSKACRCSDMCRNRPFRKEKTIKVVKTEYCGWGVVALEVMEKGDFVIEYIGEVIDDALCEQRLWDMKHRGDQNFYMCEIHKDFTIDATFKGNASRFLNHNCDPNCKLEKWQVDGETRVGVFALRSVDIGEPLTYDYRCEWTK >Ma04_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9967992:9974747:-1 gene:Ma04_g13190 transcript:Ma04_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVMIALALSLVGGFSTSIGGLFVVLNHTPDLKMLGILQGFAAGLMLSISFFDLAHNALNTLGFLKGNVWFFVGALFFASIVNFIPEPSFVSRNEETEDDGSTKDMNRKHQHQILFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGINLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVIFVAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLAFEYAGHKQAVQAVFVGMALMSASLYFLEISLPEEMSM >Ma04_p13190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9968184:9974817:-1 gene:Ma04_g13190 transcript:Ma04_t13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVMIALALSLVGGFSTSIGGLFVVLNHTPDLKMLGILQGFAAGLMLSISFFDLAHNALNTLGFLKGNVWFFVGALFFASIVNFIPEPSFVSRNEETEDDGSTKDMNRKHQHQILFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGINLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVIFVAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLAFEYAGHKQAVQAVFVGMALMSASLYFLEISLPEEMSM >Ma07_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6433720:6437505:1 gene:Ma07_g08650 transcript:Ma07_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTETAEPMEAAERIPKEAKRILYDLASEWGDVADSEALEVVHLKGAMTNEVYQVNWPTLSKDGVSRKVLVRIYGEGVDVFFDREAEIRTFECMSRHGQGPLLLGRFATGRVEEFINARTLSAADLRDPEVSALIASKLKEFHNLDMPGPRMVFLWERLRNWLGQALILCPSEEVKEFRLDTLYEEIATLENILSTEDQSTGFCHNDLQYGNIMMDEESRQVTIIDYEYASFNPVVYDLANHFCEMAANYHTETPHILDFNKYPDVEERKRFIQIYLTTSGEPLKDTEVEKMLQAIEKYALASHLLWGLWGVISEHVNEIDFEYMEYARQRFQQYWLMKPKLLGSE >Ma04_p28380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29438553:29442197:-1 gene:Ma04_g28380 transcript:Ma04_t28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLQHFDDRVGDGIGHPRLDSESGEELLRVEPGVAIALGSRPLESRGTLYISTRRVIWLSDVDKVKGYAVDFLSVSLHAVSRDPEAFPLPCIYALIETEDREVSESSDSERHDNLELSNVTEIRLVPSGPGQLDTLFDALCQCAKLNPEPCQEGEEENSWFFGDEETADNGSDSEWQLSENHAKPIGYAYGDHDLAHAMHELQINDQRFEDADEAETESHNDHT >Ma02_p01900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12676227:12702969:-1 gene:Ma02_g01900 transcript:Ma02_t01900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWEERLLHKNWKVRNDANIDLAALCDSITDPKDPRLKEFGPLFRKTVADSNAPVQEKALDALIAFLRAADADAGRYAKEVCDSIVAKCLTGRPKTVEKAQTAFLLWVELEATEVFLEAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHQDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELVNVSGIARPTRKIRSEQDKEPEQEVVSETLGAGASEESTVDAPQEIDEYELVDPVDILTPLDKSGFWDGVKAVKWSERRDAVAELTKLSSTKRIAPGDFSELCRTLKKLVTDVNLAVSVEAIQAVGNLAKGLRNHFAASSRFLLPLLLEKLKEKKPVMTEALTQALQGMHKSGCLTLADAIEDVKLAIKNKVPHVRSLTLNWVTFCIETNNKATVLKLHKDYVPIFLESLNDGTPEVRDAAFAALAAVAKMVGMRPLERSLEKLDDVRKKKLSDLIGSSGGGENSTSGEVTILNSTTRISSNGGANSSFVRRSAVSMLSGRKPIQVGTATKKSVSVKTNVKKADGAGQSKALGSVETEDVEPGEMSLEEIEGRLGSLIKAETISQLKSGVWKERLEAVGLLKQEVENLQNLDQSAEILIRFLCAVPGWSEKNVQVQQQVIEVITYIVSTVKRLPKRCVVLCLLGISERVADIKTRSQAMKCLTTFSEAVGPGFIFDRLYKIMKDHKNPKVLSEGISWMVSAVEDFGVSHIKLKDLIDFCKDIGLQSSTAATRNATIKLIGTLHKFVGPDIKGFMTDVKPALLSALDAEYEKNPYEGAAAAPKKAVKALDSGSSISAAGSDGLPREDISAKITPNLLKDLGSPDWKVRLESIESINKILEEAHKRIQPSGTVELFGALRGRLCDSNKNLVMATLTVIGSLASAMGSPVEKSSKGILSDILKCLGDNKKHMRECTLNTLDSWVLAVHLDKMVPYITVALADSKLGAEGRKDLFDWLTRHLSKANDLSDASHLLKPTAAALTDKSAEVRKAAECCIGEVLRVCGQEAATKCMKDLKGPALALVLERIKPSSLTEEASESTRLISMGLASKPIIKNGKPGSNISNDRGSRPGTKTTSMRGVPTRASKLDPFVSAQDLAVQSQALFNIKDSNKEDRERLVIRRFKFEEPRPEQIQDLEYDFVKHFREDLHRRLLSTDFKKQVDGLELLQKVLATNKKEIIELLDILLRWFVLRFCESNTTCLLKVLEFLPELFGVLKDEGYTLTEAEAAMFLPCLAEKSGHNIEKVREKMREMMKQIVIIYSASKFLPYILEGLRSKNNRTRIECVDFIEYLIDHYGAEIGQLKCLQLVAGLTSERDGEIRKAALNTMATAYKNLGEDVWRYVGKLSDAQRSMLDDRFKWKAREMEKRKEGKPGDARAALRRSVRENGLDVAEQSGEVYSRSVSVPMAARENISYAHSDERNILARQFAGANGPTDWHEALDIIAMGLPEQSVEGMKVICHELTQVSNDPDSCVIEDLVKDADRLVSCLATMVPNTFNFSLSGASSRSCKYVLNTLMQTFQIKKLAHAVKESTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDSLRWPSPVSSEALVTRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDTEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDTASNSPTPTTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAVAGRTPSSLPMSTPPPIATLPSPKFGPLSPVHTKLINAKNESIHPNVAASYVDNDAVGSTASLRGQTDASEFRPHLGDDRMDRYPAVTMGTLDAIRERMKSIQAAAAAGSLDGSGRPLAHINGNVLHGERVDGETPTQTNILPMDEKALSGLQARMERLKSGSLEPL >Ma02_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12676227:12702969:-1 gene:Ma02_g01900 transcript:Ma02_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWEERLLHKNWKVRNDANIDLAALCDSITDPKDPRLKEFGPLFRKTVADSNAPVQEKALDALIAFLRAADADAGRYAKEVCDSIVAKCLTGRPKTVEKAQTAFLLWVELEATEVFLEAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHQDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELVNVSGIARPTRKIRSEQDKEPEQEVVSETLGAGASEESTVDAPQEIDEYELVDPVDILTPLDKSGFWDGVKAVKWSERRDAVAELTKLSSTKRIAPGDFSELCRTLKKLVTDVNLAVSVEAIQAVGNLAKGLRNHFAASSRFLLPLLLEKLKEKKPVMTEALTQALQGMHKSGCLTLADAIEDVKLAIKNKVPHVRSLTLNWVTFCIETNNKATVLKLHKDYVPIFLESLNDGTPEVRDAAFAALAAVAKMVGMRPLERSLEKLDDVRKKKLSDLIGSSGGGENSTSGEVTILNSTTRISSNGGANSSFVRRSAVSMLSGRKPIQVGTATKKSVSVKTNVKKADGAGQSKALGSVETEDVEPGEMSLEEIEGRLGSLIKAETISQLKSGVWKERLEAVGLLKQEVENLQNLDQSAEILIRFLCAVPGWSEKNVQVQQQVIEVITYIVSTVKRLPKRCVVLCLLGISERVADIKTRSQAMKCLTTFSEAVGPGFIFDRLYKIMKDHKNPKVLSEGISWMVSAVEDFGVSHIKLKDLIDFCKDIGLQSSTAATRNATIKLIGTLHKFVGPDIKGFMTDVKPALLSALDAEYEKNPYEGAAAAPKKAVKALDSGSSISAAGSDGLPREDISAKITPNLLKDLGSPDWKVRLESIESINKILEEAHKRIQPSGTVELFGALRGRLCDSNKNLVMATLTVIGSLASAMGSPVEKSSKGILSDILKCLGDNKKHMRECTLNTLDSWVLAVHLDKMVPYITVALADSKLGAEGRKDLFDWLTRHLSKANDLSDASHLLKPTAAALTDKSAEVRKAAECCIGEVLRVCGQEAATKCMKDLKGPALALVLERIKPSSLTEEASESTRLISMGLASKPIIKNGKPGSNISNDRGSRPGTKTTSMRGVPTRASKLDPFVSAQDLAVQSQALFNIKDSNKEDRERLVIRRFKFEEPRPEQIQDLEYDFVKHFREDLHRRLLSTDFKKQVDGLELLQKVLATNKKEIIELLDILLRWFVLRFCESNTTCLLKVLEFLPELFGVLKDEGYTLTEAEAAMFLPCLAEKSGHNIEKVREKMREMMKQIVIIYSASKFLPYILEGLRSKNNRTRIECVDFIEYLIDHYGAEIGQLKCLQLVAGLTSERDGEIRKAALNTMATAYKNLGEDVWRYVGKLSDAQRSMLDDRFKWKAREMEKRKEGKPGDARAALRRSVRENGLDVAEQSGEVYSRSVSVPMAARENISYAHSDERNILARQFAGANGPTDWHEALDIIAMGLPEQSVEGMKVICHELTQVSNDPDSCVIEDLVKDADRLVSCLATMVPNTFNFSLSGASSRSCKYVLNTLMQTFQIKKLAHAVKESTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDSLRWPSPVSSEALVTRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDTEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDTASNSPTPTTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAVAGRTPSSLPMSTPPPIATLPSPKFGPLSPVHTKLINAKNESIHPNVAASYVDNDAVGSTASLRGQTDASEFRPHLGDDRMDRYPAAPAVTMGTLDAIRERMKSIQAAAAAGSLDGSGRPLAHINGNVLHGERVDGETPTQTNILPMDEKALSGLQARMERLKSGSLEPL >Ma02_p01900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12676227:12702969:-1 gene:Ma02_g01900 transcript:Ma02_t01900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWEERLLHKNWKVRNDANIDLAALCDSITDPKDPRLKEFGPLFRKTVADSNAPVQEKALDALIAFLRAADADAGRYAKEVCDSIVAKCLTGRPKTVEKAQTAFLLWVELEATEVFLEAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHQDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELVNVSGIARPTRKIRSEQDKEPEQEVVSETLGAGASEESTVDAPQEIDEYELVDPVDILTPLDKSGFWDGVKAVKWSERRDAVAELTKLSSTKRIAPGDFSELCRTLKKLVTDVNLAVSVEAIQAVGNLAKGLRNHFAASSRFLLPLLLEKLKEKKPVMTEALTQALQGMHKSGCLTLADAIEDVKLAIKNKVPHVRSLTLNWVTFCIETNNKATVLKLHKDYVPIFLESLNDGTPEVRDAAFAALAAVAKMVGMRPLERSLEKLDDVRKKKLSDLIGSSGGGENSTSGEVTILNSTTRISSNGGANSSFVRRSAVSMLSGRKPIQTATKKSVSVKTNVKKADGAGQSKALGSVETEDVEPGEMSLEEIEGRLGSLIKAETISQLKSGVWKERLEAVGLLKQEVENLQNLDQSAEILIRFLCAVPGWSEKNVQVQQQVIEVITYIVSTVKRLPKRCVVLCLLGISERVADIKTRSQAMKCLTTFSEAVGPGFIFDRLYKIMKDHKNPKVLSEGISWMVSAVEDFGVSHIKLKDLIDFCKDIGLQSSTAATRNATIKLIGTLHKFVGPDIKGFMTDVKPALLSALDAEYEKNPYEGAAAAPKKAVKALDSGSSISAAGSDGLPREDISAKITPNLLKDLGSPDWKVRLESIESINKILEEAHKRIQPSGTVELFGALRGRLCDSNKNLVMATLTVIGSLASAMGSPVEKSSKGILSDILKCLGDNKKHMRECTLNTLDSWVLAVHLDKMVPYITVALADSKLGAEGRKDLFDWLTRHLSKANDLSDASHLLKPTAAALTDKSAEVRKAAECCIGEVLRVCGQEAATKCMKDLKGPALALVLERIKPSSLTEEASESTRLISMGLASKPIIKNGKPGSNISNDRGSRPGTKTTSMRGVPTRASKLDPFVSAQDLAVQSQALFNIKDSNKEDRERLVIRRFKFEEPRPEQIQDLEYDFVKHFREDLHRRLLSTDFKKQVDGLELLQKVLATNKKEIIELLDILLRWFVLRFCESNTTCLLKVLEFLPELFGVLKDEGYTLTEAEAAMFLPCLAEKSGHNIEKVREKMREMMKQIVIIYSASKFLPYILEGLRSKNNRTRIECVDFIEYLIDHYGAEIGQLKCLQLVAGLTSERDGEIRKAALNTMATAYKNLGEDVWRYVGKLSDAQRSMLDDRFKWKAREMEKRKEGKPGDARAALRRSVRENGLDVAEQSGEVYSRSVSVPMAARENISYAHSDERNILARQFAGANGPTDWHEALDIIAMGLPEQSVEGMKVICHELTQVSNDPDSCVIEDLVKDADRLVSCLATMVPNTFNFSLSGASSRSCKYVLNTLMQTFQIKKLAHAVKESTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDSLRWPSPVSSEALVTRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDTEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDTASNSPTPTTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAVAGRTPSSLPMSTPPPIATLPSPKFGPLSPVHTKLINAKNESIHPNVAASYVDNDAVGSTASLRGQTDASEFRPHLGDDRMDRYPAAPAVTMGTLDAIRERMKSIQAAAAAGSLDGSGRPLAHINGNVLHGERVDGETPTQTNILPMDEKALSGLQARMERLKSGSLEPL >Ma09_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1412002:1412983:1 gene:Ma09_g01890 transcript:Ma09_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSPPFIPNFKSYSFIEINPINHDGHPSSPRWKSKRLFSLLHHRHASSYAEPITPKAPSVSSTTTNLLSSSLSLSTISFCCNTSAICQQSLRRRLGGLFLVRTPHRKFEPKKPHINIGTIGHVDHGKITLTASSPWPSPPSVGPTSRSEGRARGITFNTASVEYETEYRHYAHVDCPSHADHVTKMITGAAQMDGVILVVSGADGTMLRTNERQWSYLETVSRWGWNSSCACEQGMRFDIREGGKTVGTGVIQFIME >Ma04_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14668518:14675972:1 gene:Ma04_g16000 transcript:Ma04_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPLKRSASSAQSLTRGGAPEACGLVREAVRASAAASLPSPPLVPTRPQPQPQKQTDRVQAEVRFGPPVEGLALDAAPAPGVLCWEEIDGRRWSYVVDVDGTPGRARRGSSVRAVPMQSPVAPLEELMSFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLSSVGVSKNKTTPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATATVPHLFLPLACAANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGECVGNIADLLGTGLSILISKRNPSLVASFAFLSCGYVFSAYQEVKSVVLNTLNRARFTVAVESFIKTGHVPSLKEGNSKESIFRPPWSKHTPVVIGPRFCDAFQESSSFFAIQPLFEKERYMVTYNPSKDKIYALLKDQAKSDDILKAAFHAHVLLYFIRLSSSNQALRKLMNSNQSTYGVPLLPTNADFLAHMEESCKIVSSSYAIFKRKAAEQGWIMSESLLNPGRARLLSTKEL >Ma06_p33890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34349292:34353724:1 gene:Ma06_g33890 transcript:Ma06_t33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKDPAIKLFGTTIPVVASSPTRIADAEDSEVEAADTEPVVSAAEGQKDASEGVTNVEVDNVLATETDEEDEGAPISSSGLTSSHKDEVNKTSIADEKIAEKGKSEDTKTETDCSTQEKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKHSVALQCRSLVIPSTGLQSTQPDTPNSTHHQRALPCVPTSPAGPLIGNGTVLRFGQEVPLCESVASVLGIRGEQGETADSGSTIRGDNREEPCRASSDMERSSVQVHCNGLATWAYPWRPTWNDVAAMEAHSCRPENGDWRSVPWGAGAVMAATLPFPLMAAPFWGFTAWPNGTWNVSSTSNRGCSGNDSPTLGKHSRDSNLESEAETMEKSLWVPKTLRIDDPEEAAKSSIWTALGIKPDMGGIIFQSKAEKRANKSDVARILHANPAALSRFESFQEST >Ma02_p13470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21848327:21858214:-1 gene:Ma02_g13470 transcript:Ma02_t13470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKQDERNEKIIRGLLKLPPNRKCINCNSLGPQYVCVNFWTFICVTCSGIHREFTHRVKSISMAKFTSQEVEALQKGGNQRAREIFLKDWDTEKMRLPSSSNLDKLREFIKYVYVEKKYAGGISSDKPPRDMQTHKNHEDHRRASSYHSFSQSPPYDGQYEERCNGKMSGMLARKPGSDRSLYEGKISSFMFSPGHQAKQLYEDRFANETPNSRNSDYSITSGGGSSIFYGQSPNLQDTDNSSPPLHQVRNILIEDTRPSVSNTYADANIKKNFNGFPHSQRTASSSSFGSFDSNSASLTPSSSSNIIDLVLESENSSPVKHPDISVTPSVMQDSAPTHARSQDLFGLPVMKQPIPSSNLLTDVFAVNHQRLSPVPSEEKELTKSFSDSVGWATFDLPPQANPASEQKDPTSVVSQAIKHQFPQNAAQDLFFLFADQHNEIIPSSETDKSSQTWDAFGFSDGNFQPTSSDKLLQTNEAKVLVHNPPATGVLYSSLQYQEVPVEVGSQNFSTDEFSALNVPYDGSSGSSFFSVPFLKGGTTQEQKSTNPFDLPYDSDVDKDNLFPDMHSLQTALPNQLLPTNLLGGQPQTWFSQNSVAACVMPVPQGSLAYNAGQGLNFTRSCCILWWKPFCLENCSISLSAKGIPTATSGGLYNICNLACFIYICAGLKDFPSGNRFIRALAIMLTWY >Ma02_p13470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21848326:21858213:-1 gene:Ma02_g13470 transcript:Ma02_t13470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKQDERNEKIIRGLLKLPPNRKCINCNSLGPQYVCVNFWTFICVTCSGIHREFTHRVKSISMAKFTSQEVEALQKGGNQRAREIFLKDWDTEKMRLPSSSNLDKLREFIKYVYVEKKYAGGISSDKPPRDMQTHKNHEDHRRASSYHSFSQSPPYDGQYEERCNGKMSGMLARKPGSDRSLYEGKISSFMFSPGHQAKQLYEDRFANETPNSRNSDYSITSGGGSSIFYGQSPNLQDTDNSSPPLHQVRNILIEDTRPSVSNTYADANIKKNFNGFPHSQRTASSSSFGSFDSNSASLTPSSSSNIIDLVLESENSSPVKHPDISVTPSVMQDSAPTHARSQDLFGLPVMKQPIPSSNLLTDVFAVNHQRLSPVPSEEKELTKSFSDSVGWATFDLPPQANPASEQKDPTSVVSQAIKHQFPQNAAQDLFFLFADQHNEIIPSSETDKSSQTWDAFGFSDGNFQPTSSDKLLQTNEAKVLVHNPPATGVLYSSLQYQEVPVEVGSQNFSTDEFSALNVPYDGSSGSSFFSVPFLKGGTTQEQKSTNPFDLPYDSDVDKDNLFPDMHSLQTALPNQLLPTNLLGGQPQTWFSQNSVAACVMPVPQGSLAYNAGQVPSSQLRDLTSQGPVASFGGNPFA >Ma02_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21848326:21858214:-1 gene:Ma02_g13470 transcript:Ma02_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKQDERNEKIIRGLLKLPPNRKCINCNSLGPQYVCVNFWTFICVTCSGIHREFTHRVKSISMAKFTSQEVEALQKGGNQRAREIFLKDWDTEKMRLPSSSNLDKLREFIKYVYVEKKYAGGISSDKPPRDMQTHKNHEDHRRASSYHSFSQSPPYDGQYEERCNGKMSGMLARKPGSDRSLYEGKISSFMFSPGHQAKQLYEDRFANETPNSRNSDYSITSGGGSSIFYGQSPNLQDTDNSSPPLHQVRNILIEDTRPSVSNTYADANIKKNFNGFPHSQRTASSSSFGSFDSNSASLTPSSSSNIIDLVLESENSSPVKHPDISVTPSVMQDSAPTHARSQDLFGLPVMKQPIPSSNLLTDVFAVNHQRLSPVPSEEKELTKSFSDSVGWATFDLPPQANPASEQKDPTSVVSQAIKHQFPQNAAQDLFFLFADQHNEIIPSSETDKSSQTWDAFGFSDGNFQPTSSDKLLQTNEAKVLVHNPPATGVLYSSLQYQEVPVEVGSQNFSTDEFSALNVPYDGSSGSSFFSVPFLKGGTTQEQKSTNPFDLPYDSDVDKDNLFPDMHSLQTALPNQLLPTNLLGGQPQTWFSQNSVAACVMPVPQGSLAYNAGQGLNFTRSCCILWWKPFCLENCSISLSAKATSGGLYNICNLACFIYICAGLKDFPSGNRFIRALAIMLTWY >Ma02_p13470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21848326:21858214:-1 gene:Ma02_g13470 transcript:Ma02_t13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKQDERNEKIIRGLLKLPPNRKCINCNSLGPQYVCVNFWTFICVTCSGIHREFTHRVKSISMAKFTSQEVEALQKGGNQRAREIFLKDWDTEKMRLPSSSNLDKLREFIKYVYVEKKYAGGISSDKPPRDMQTHKNHEDHRRASSYHSFSQSPPYDGQYEERCNGKMSGMLARKPGSDRSLYEGKISSFMFSPGHQAKQLYEDRFANETPNSRNSDYSITSGGGSSIFYGQSPNLQDTDNSSPPLHQRTASSSSFGSFDSNSASLTPSSSSNIIDLVLESENSSPVKHPDISVTPSVMQDSAPTHARSQDLFGLPVMKQPIPSSNLLTDVFAVNHQRLSPVPSEEKELTKSFSDSVGWATFDLPPQANPASEQKDPTSVVSQAIKHQFPQNAAQDLFFLFADQHNEIIPSSETDKSSQTWDAFGFSDGNFQPTSSDKLLQTNEAKVLVHNPPATGVLYSSLQYQEVPVEVGSQNFSTDEFSALNVPYDGSSGSSFFSVPFLKGGTTQEQKSTNPFDLPYDSDVDKDNLFPDMHSLQTALPNQLLPTNLLGGQPQTWFSQNSVAACVMPVPQGSLAYNAGQGLNFTRSCCILWWKPFCLENCSISLSAKGIPTATSGGLYNICNLACFIYICAGLKDFPSGNRFIRALAIMLTWY >Ma04_p02060.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1801223:1822769:1 gene:Ma04_g02060 transcript:Ma04_t02060.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLMQFQRLLFIIIVLFMTNMSNAISSDGEVLLSIKTAIIGSDGIFLNWRQEDPDPCNWKGVTCDTSNKRIIYLRLAYHKLIGSISPEIGKLNYLKLLALHGNSLYGVIPPELGNCTELQYLYLHGNYLSGFVPSELGNLLMLHILDISSNTLSGIIPQSLDKLTKLSTFNVSMNFLTGRIPSDGAFFKFNETSFVGNRGLCGKQIDVVCKDEIPSPSGDSQPIYAGPVKQPAKNSTKLVTSAVVTVGALLLVALMCFWGCFLYKKFGKSDVSGPAMDVSGGASIVMFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLSMDDGNIFALKRIMKTNEVLDRFFDTELEILGSIKHRYLVNLRGYCNSPLSKLLIYDFLPGGSLDDFLHERSEQLDWDARLNIIVGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLESRVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLKVENRQREIIDPLCEGVQIGSLDALLSVAIQCVSSSPEDRPTMHRVVKLLESEVMTPCPSDFYDSNSD >Ma04_p02060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1801144:1822769:1 gene:Ma04_g02060 transcript:Ma04_t02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLMQFQRLLFIIIVLFMTNMSNAISSDGEVLLSIKTAIIGSDGIFLNWRQEDPDPCNWKGVTCDTSNKRIIYLRLAYHKLIGSISPEIGKLNYLKLLALHGNSLYGVIPPELGNCTELQYLYLHGNYLSGFVPSELGNLLMLHILDISSNTLSGIIPQSLDKLTKLSTFNVSMNFLTGRIPSDGAFFKFNETSFVGNRGLCGKQIDVVCKDEIPSPSGDSQPIYAGPVKQPAKNSTKLVTSAVVTVGALLLVALMCFWGCFLYKKFGKSDVSGPAMDVSGGASIVMFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLSMDDGNIFALKRIMKTNEVLDRFFDTELEILGSIKHRYLVNLRGYCNSPLSKLLIYDFLPGGSLDDFLHERSEQLDWDARLNIIVGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLESRVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLKVENRQREIIDPLCEGVQIGSLDALLSVAIQCVSSSPEDRPTMHRVVKLLESEVMTPCPSDFYDSNSD >Ma04_p02060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1801133:1822769:1 gene:Ma04_g02060 transcript:Ma04_t02060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLMQFQRLLFIIIVLFMTNMSNAISSDGEVLLSIKTAIIGSDGIFLNWRQEDPDPCNWKGVTCDTSNKRIIYLRLAYHKLIGSISPEIGKLNYLKLLALHGNSLYGVIPPELGNCTELQYLYLHGNYLSGFVPSELGNLLMLHILDISSNTLSGIIPQSLDKLTKLSTFNVSMNFLTGRIPSDGAFFKFNETSFVGNRGLCGKQIDVVCKDEIPSPSGDSQPIYAGPVKQPAKNSTKLVTSAVVTVGALLLVALMCFWGCFLYKKFGKSDVSGPAMDVSGGASIVMFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLSMDDGNIFALKRIMKTNEVLDRFFDTELEILGSIKHRYLVNLRGYCNSPLSKLLIYDFLPGGSLDDFLHERSEQLDWDARLNIIVGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLESRVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLKVENRQREIIDPLCEGVQIGSLDALLSVAIQCVSSSPEDRPTMHRVVKLLESEVMTPCPSDFYDSNSD >Ma04_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1801133:1822769:1 gene:Ma04_g02060 transcript:Ma04_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLMQFQRLLFIIIVLFMTNMSNAISSDGEVLLSIKTAIIGSDGIFLNWRQEDPDPCNWKGVTCDTSNKRIIYLRLAYHKLIGSISPEIGKLNYLKLLALHGNSLYGVIPPELGNCTELQYLYLHGNYLSGFVPSELGNLLMLHILDISSNTLSGIIPQSLDKLTKLSTFNVSMNFLTGRIPSDGAFFKFNETSFVGNRGLCGKQIDVVCKDEIPSPSGDSQPIYAGPVKQPAKNSTKLVTSAVVTVGALLLVALMCFWGCFLYKKFGKSDVSGPAMDVSGGASIVMFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLSMDDGNIFALKRIMKTNEVLDRFFDTELEILGSIKHRYLVNLRGYCNSPLSKLLIYDFLPGGSLDDFLHERSEQLDWDARLNIIVGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLESRVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLKVENRQREIIDPLCEGVQIGSLDALLSVAIQCVSSSPEDRPTMHRVVKLLESEVMTPCPSDFYDSNSD >Ma04_p02060.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1802575:1822769:1 gene:Ma04_g02060 transcript:Ma04_t02060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLMQFQRLLFIIIVLFMTNMSNAISSDGEVLLSIKTAIIGSDGIFLNWRQEDPDPCNWKGVTCDTSNKRIIYLRLAYHKLIGSISPEIGKLNYLKLLALHGNSLYGVIPPELGNCTELQYLYLHGNYLSGFVPSELGNLLMLHILDISSNTLSGIIPQSLDKLTKLSTFNVSMNFLTGRIPSDGAFFKFNETSFVGNRGLCGKQIDVVCKDEIPSPSGDSQPIYAGPVKQPAKNSTKLVTSAVVTVGALLLVALMCFWGCFLYKKFGKSDVSGPAMDVSGGASIVMFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLSMDDGNIFALKRIMKTNEVLDRFFDTELEILGSIKHRYLVNLRGYCNSPLSKLLIYDFLPGGSLDDFLHERSEQLDWDARLNIIVGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLESRVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLKVENRQREIIDPLCEGVQIGSLDALLSVAIQCVSSSPEDRPTMHRVVKLLESEVMTPCPSDFYDSNSD >Ma04_p02060.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1801129:1822769:1 gene:Ma04_g02060 transcript:Ma04_t02060.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLMQFQRLLFIIIVLFMTNMSNAISSDGEVLLSIKTAIIGSDGIFLNWRQEDPDPCNWKGVTCDTSNKRIIYLRLAYHKLIGSISPEIGKLNYLKLLALHGNSLYGVIPPELGNCTELQYLYLHGNYLSGFVPSELGNLLMLHILDISSNTLSGIIPQSLDKLTKLSTFNVSMNFLTGRIPSDGAFFKFNETSFVGNRGLCGKQIDVVCKDEIPSPSGDSQPIYAGPVKQPAKNSTKLVTSAVVTVGALLLVALMCFWGCFLYKKFGKSDVSGPAMDVSGGASIVMFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLSMDDGNIFALKRIMKTNEVLDRFFDTELEILGSIKHRYLVNLRGYCNSPLSKLLIYDFLPGGSLDDFLHERSEQLDWDARLNIIVGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLESRVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLKVENRQREIIDPLCEGVQIGSLDALLSVAIQCVSSSPEDRPTMHRVVKLLESEVMTPCPSDFYDSNSD >Ma04_p02060.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1801133:1822769:1 gene:Ma04_g02060 transcript:Ma04_t02060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLMQFQRLLFIIIVLFMTNMSNAISSDGEVLLSIKTAIIGSDGIFLNWRQEDPDPCNWKGVTCDTSNKRIIYLRLAYHKLIGSISPEIGKLNYLKLLALHGNSLYGVIPPELGNCTELQYLYLHGNYLSGFVPSELGNLLMLHILDISSNTLSGIIPQSLDKLTKLSTFNVSMNFLTGRIPSDGAFFKFNETSFVGNRGLCGKQIDVVCKDEIPSPSGDSQPIYAGPVKQPAKNSTKLVTSAVVTVGALLLVALMCFWGCFLYKKFGKSDVSGPAMDVSGGASIVMFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLSMDDGNIFALKRIMKTNEVLDRFFDTELEILGSIKHRYLVNLRGYCNSPLSKLLIYDFLPGGSLDDFLHERSEQLDWDARLNIIVGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLESRVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLKVENRQREIIDPLCEGVQIGSLDALLSVAIQCVSSSPEDRPTMHRVVKLLESEVMTPCPSDFYDSNSD >Ma04_p02060.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1800944:1822769:1 gene:Ma04_g02060 transcript:Ma04_t02060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLTSTSMGRFLMQFQRLLFIIIVLFMTNMSNAISSDGEVLLSIKTAIIGSDGIFLNWRQEDPDPCNWKGVTCDTSNKRIIYLRLAYHKLIGSISPEIGKLNYLKLLALHGNSLYGVIPPELGNCTELQYLYLHGNYLSGFVPSELGNLLMLHILDISSNTLSGIIPQSLDKLTKLSTFNVSMNFLTGRIPSDGAFFKFNETSFVGNRGLCGKQIDVVCKDEIPSPSGDSQPIYAGPVKQPAKNSTKLVTSAVVTVGALLLVALMCFWGCFLYKKFGKSDVSGPAMDVSGGASIVMFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLSMDDGNIFALKRIMKTNEVLDRFFDTELEILGSIKHRYLVNLRGYCNSPLSKLLIYDFLPGGSLDDFLHERSEQLDWDARLNIIVGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNLESRVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLKVENRQREIIDPLCEGVQIGSLDALLSVAIQCVSSSPEDRPTMHRVVKLLESEVMTPCPSDFYDSNSD >Ma04_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6364074:6367891:-1 gene:Ma04_g08910 transcript:Ma04_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKQQQQVLAAAMTTKLPRCVFASSSTLLLFLLSFLSASGSSEAGDLDLLIAFKASISNPQILPSWSRGDLSPCSFAGVSCDAGGHVVAVALRGIPLNTDFHSVSSSLLALGSLQSLTLHAVNLTGTLAAAGEARCGGLLSELDLSGNILLGSLADVHALAEVCSGLKSLNLSGNSVGNHPAGGAAAVGFKLETLDLSFNKISRQDELRWLFSSLGGFRHIDLIGNRINGGRFPEITNCSALQHLDLSATGLSGELGVGALGRCPSLLYLNLSSNHLAGSLPSDLSFCTSLTSISLSNNNFSGDLPTDALASMPNLRFLELAFNNFSGSLGDSISKLPLLEVLDLSSNHLTGSIPSGLCPSPDFGLKELYLQNNQLTGVIPESLSNCTELVSLDLSLNYIGGIIPPSLGSLSSLRDLIMWQNSLEGEIPAELSNIRTLENLILDNNGLTGAIPPELVNCTNLNWISLSSNQLSGPLPSWIGQLRNLAILKLGNNSFSGPIPPELGDCKSLIWLDLNSNQLNGSIPPTLAKQSGNIAVGLVTGKRYVYLRNDGISSHCRGTGNLLEFAGIRPEDLNRLPSHRICNFTRVYMGSTQYTFNNNGSMIFLDLSYNQLSGQIAKEIGNMYYLMILNLGHNLLSGLIPTELGSLRFVAVLDLSHNALEGPIPSSFSGLAMLSEIDLSNNKLNGSIPELGQLATFPRYRYENNSGLCGFPLPSCEGNTGANSGNQHQKTHRRQAYLTGSIAMGVFVFVFCIFGLVIVAVEKRKKQRNGKGNSNNSRDFYIDSRSYSGAGISNWKLTVTKETLVINLATFEKPLMKLTLADLIEATNGFHDDSKIGSGGFGDVYKAQLRDGSVVAIKKLIHVSGQGDREFTAEMETIGKVKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLHERRKAGLRLNWAARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLLDEDLEARVSDFGMARLMSTVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGRSPTDSSDFGDNNLVGWVKQHSKVRISDVFDPELLKEGAAVELELLEHLKIACACLDERPLRRPTMLKVMAMFKEIQAGSMVDSNASATPAAAAASVDDAFAEVDMSLKEESKEEKC >Ma05_p28970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39781316:39787816:1 gene:Ma05_g28970 transcript:Ma05_t28970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRKDPRPKDDAAKAAHLRELQAQLLHNHRNRTYTKEALASCSKLLEINPEVYTAWNYRKLALQHNLDGVDDPDALKSAIEDELRVVEIALRTNPKSYAAWYHRKWVLSRRLMPVEFEREFRLLDLLLNADQRNFHGWNYRRFVAKLKNVPEEEELKFTKKMIDTNFSNYSAWHNRSALLSHLLKKKSQGFDSKKNTLTEEYELVHDALFTDQSDQSGWFYHLWLLDQTVCLDDPQLISSWPTHKSDLILSESNKIDGCQLFPSSNSRSFSLLHTGTFPIILYFNKAVKNIDSSTVIVSSVFVTNEDLNWRPLSTNNSGEACCWVTFLTVPEENCSSSTSYPVEVCLDRYKDIVSSDVSDCKIPSKFTFTVTFRSHSLEQTSKESVEENVVWKYVDICNPQESPCLMSFDQLSINEDHAEEGFKWNLQTLSNEIELFREFNDEDSKFVKLTLARLLVARDLMVPNGSHNHIKTHSGEVLTLYDDLMKLDPSHKRYYEDEQSVVLMDQLTSDKDSLTKHCWQFDEPTSSSFHCQYCLWLNELSLTRIGSVKNILWVQMLDLSHNKLRSVEGLEALQLLACLNLSNNQISSFTALEPLKLLSSLRVLDVSFNMIGAHAIDTTRYLCSSPLSHTLDAKQLNVGYEKENTEVRDHWEVTSLFRALRLTQLDIKGNAVLNEKFSVLAIELLPSLKWLDGKHVR >Ma05_p28970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39781316:39787803:1 gene:Ma05_g28970 transcript:Ma05_t28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRKDPRPKDDAAKAAHLRELQAQLLHNHRNRTYTKEALASCSKLLEINPEVYTAWNYRKLALQHNLDGVDDPDALKSAIEDELRVVEIALRTNPKSYAAWYHRKWVLSRRLMPVEFEREFRLLDLLLNADQRNFHGWNYRRFVAKLKNVPEEEELKFTKKMIDTNFSNYSAWHNRSALLSHLLKKKSQGFDSKKNTLTEEYELVHDALFTDQSDQSGWFYHLWLLDQTVCLDDPQLISSWPTHKSDLILSESNKIDGCQLFPSSNSRSFSLLHTGTFPIILYFNKAVKNIDSSTVIVSSVFVTNEDLNWRPLSTNNSGEACCWVTFLTVPEENCSSSTSYPVEVCLDRYKDIVSSDVSDCKIPSKFTFTVTFRSHSLEQTSKESVEENVVWKYVDICNPQESPCLMSFDQLSINEDHAEEGFKWNLQTLSNEIELFREFNDEDSKFVKLTLARLLVARDLMVPNGSHNHIKTHSGEVLTLYDDLMKLDPSHKRYYEDEQSVVLMDQLTSDKDSLTKHCWQFDEPTSSSFHCQYCLWLNELSLTRIGSVKNILWVQMLDLSHNKLRSVEGLEALQLLACLNLSNNQISSFTALEPLKLLSSLRVLDVSFNMIGAHAIDTTRYLCSSPLSHTLDAKQLNVGYEKENTEVRDHWEVTSLFRALRLTQLDIKGNAVLNEKFSVLAIELLPSLKWLDGKHVR >Ma11_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20134821:20158182:-1 gene:Ma11_g14500 transcript:Ma11_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PURA MTAASLTLDLADPVSLRRVSSHGGSRRWVGHHGPSSLRLPKGLRCSRRAPARVLVPAAALTAVEEVGAVDRIASLSQVAAVLGTQWGDEGKGKLVDILARNFDVVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETLCIIGNGAVVHLPGLFQEIDGLESNGVSCEGRILVSDRAHLLFDFHQVVDGLREAELGNSLIGTTKRGIGPCYSSKVIRNGIRVCDLRHMDTFVQKLDTLMRDAASRFEGFKYNVDMLDKEVDSYKKFAERLDPFISDTVHVINESILQKKSILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRCLGDIIGVVKAYTTRVGSGPFPTEILGKSGDVLRVAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSELSEIKLGISYRRKNDGEKVESFPADLHLMEQTQVDYEVLPGWQCDISSIRNYKDLPSMAHRYVERIEELVGVPIHYIGVGPGRDAIIFK >Ma02_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26864151:26866678:-1 gene:Ma02_g21160 transcript:Ma02_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDRDSLAVSRVIGDVLDPFTRSVHLRVIYSTREVTNGCEFKPSAVAEQPRVEVGGSDFRSNYTLVMVDPDAPSPSDPTLREYLHWLITDIPAKTEATYGQEVVCYESPRPQLGIHRYVFVLFRQLPSRQAVDAPGWRQNFNTRDFAELYNLGSPVAAVYFNCQRESGSGGRRM >Ma06_p24200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22657520:22660421:-1 gene:Ma06_g24200 transcript:Ma06_t24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGNCIRGFVRDGSKLAPSVAYSASSRLRWITVRAPFPTKKLGSGHAVLFRGQLIWRSYSSSLSAASSEVDRLTLDSYVEKADRYKTVHVRFVLQKECSFGQQFLLVGDDPMFGLWDPEKAVPLEWSSGHEWTAELDLPVGKQIQFKFILKGDAGEIKWQPGPDRCLQTWETSNTIMVSEDWEDAESQKISEEEPSLLILVEETRSVESKIGSNVGAVMDLTQNGEAQDKPRGVTDTTLLLVPGLVPIRALGSALGSPQETMPVKAAVDASHESDEAAEHYNSSAQEEKPEGSHENLLEEEETTILSQQPDSHEHEEMAVKQSNGSMLVEDKILPKEPNSEEIANVLQNDKQWGRRTLKQLLLNLGFNVTPTETS >Ma06_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22657520:22660421:-1 gene:Ma06_g24200 transcript:Ma06_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGNCIRGFVRDGSKLAPSVAYSASSRLRWITVRAPFPTKKLGSGHAVLFRGQLIWRSYSSSLSAASSEVDRLTLDSYVEKADRYKTVHVRFVLQKECSFGQQFLLVGDDPMFGLWDPEKAVPLEWSSGHEWTAELDLPVGKQIQFKFILKGDAGEIKWQPGPDRCLQTWETSNTIMVSEDWEDAESQKISEEEPSLLILVEETRSVESKIGSNVGAVMDLTQNGEAQDKPRGVTDTTLLLVPGLVPIRALGSALGSPQETMPVKAAVDASHESDEAAEHYNSSAQLSEKEEKPEGSHENLLEEEETTILSQQPDSHEHEEMAVKQSNGSMLVEDKILPKEPNSEEIANVLQNDKQWGRRTLKQLLLNLGFNVTPTETS >Ma10_p23890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32649070:32655634:1 gene:Ma10_g23890 transcript:Ma10_t23890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGGNPRLRFGLSSMQGWRASMEDAHAALPDLDNCTSFFGVYDGHGGKVVSKFCAKYLHSQVLKHELHLGGDLAASVRKAFFRMDEMMRGQRGWRELAILGDKMDKFTGLIEGLIWSPRGGYSNEHADEWAYEEGPHSDFSGPTSGSTACVAVIRNNQLIVANAGDSRCVLSRKGQAISLSTDHKPDLDEERERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQILTCNPDIKIVELCDDDDFLILACDGVWDCMSNQQLVDFINEQTETESRLSTVCERVLDRCLAPNTISGEGCDNMTMILVQFKKPQIQ >Ma10_p23890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32649128:32655634:1 gene:Ma10_g23890 transcript:Ma10_t23890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGGNPRLRFGLSSMQGWRASMEDAHAALPDLDNCTSFFGVYDGHGGKVVSKFCAKYLHSQVLKHELHLGGDLAASVRKAFFRMDEMMRGQRGWRELAILGDKMDKFTGLIEGLIWSPRGGYSNEHADEWAYEEGPHSDFSGPTSGSTACVAVIRNNQLIVANAGDSRCVLSRKGQAISLSTDHKPDLDEERERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQILTCNPDIKIVELCDDDDFLILACDGVWDCMSNQQLVDFINEQTETESRLSTVCERVLDRCLAPNTISGEGCDNMTMILVQFKKPQIQ >Ma10_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32649128:32655634:1 gene:Ma10_g23890 transcript:Ma10_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGGNPRLRFGLSSMQGWRASMEDAHAALPDLDNCTSFFGVYDGHGGKVVSKFCAKYLHSQVLKHELHLGGDLAASVRKAFFRMDEMMRGQRGWRELAILGDKMDKFTGLIEGLIWSPRGGYSNEHADEWAYEEGPHSDFSGPTSGSTACVAVIRNNQLIVANAGDSRCVLSRKGQAISLSTDHKPDLDEERERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQILTCNPDIKIVELCDDDDFLILACDGVWDCMSNQQLVDFINEQTETESRLSTVCERVLDRCLAPNTISGEGCDNMTMILVQFKKPQIQ >Ma02_p04970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16324953:16334449:-1 gene:Ma02_g04970 transcript:Ma02_t04970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVPICRLCWVSPAPTPSRDPGERWSFLVGQPLKTSFPSAVPGKEIFQRSCVIVSRKLSGLEEAMRIRRARELQSSVKVRKRPPLRRGKVSGKLLVPDHIPRPPYVDSGLLPELSSEHQVHNDEGIACMRAACELAAHVLDYAGILVRPSVTTNEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFLCGNVNEPTKRLVKVTEECLERAISVCKDGASFKKIGKRISEHAEKYGYGVVERFVGHGVGTVMTDLGVWLKVKHLQLSPF >Ma02_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16324953:16334449:-1 gene:Ma02_g04970 transcript:Ma02_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVPICRLCWVSPAPTPSRDPGERWSFLVGQPLKTSFPSAVPGKEIFQRSCVIVSRKLSGLEEAMRIRRARELQSSVKVRKRPPLRRGKVSGKLLVPDHIPRPPYVDSGLLPELSSEHQVHNDEGIACMRAACELAAHVLDYAGILVRPSVTTNEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFLCGNVNEPTKRLVKVTEECLERAISVCKDGASFKKIGKRISEHAEKYGYGVVERFVGHGVGTVFHSEPLILHHRNDRSGCMVEGETFTIEPILTMGSIESITWDDEWTTVTADGSRAAQFEHTILITGTGAEVLTKC >Ma02_p04970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16323894:16334449:-1 gene:Ma02_g04970 transcript:Ma02_t04970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVPICRLCWVSPAPTPSRDPGERWSFLVGQPLKTSFPSAVPGKEIFQRSCVIVSRKLSGLEEAMRIRRARELQSSVKVRKRPPLRRGKVSGKLLVPDHIPRPPYVDSGLLPELSSEHQVHNDEGIACMRAACELAAHVLDYAGILVRPSVTTNEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFLCGNVNEPTKRLVKVTEECLERAISVCKDGASFKKIGKRISEHAEKYGYGVVERFVGHGVGTVFHSEPLILHHRNDRSGCMVEGETFTIEPILTMGSIESITWDDEWTTVTADGSRAAQFEHTILITGTGAEVLTKC >Ma02_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15342653:15346608:-1 gene:Ma02_g03910 transcript:Ma02_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPRHGLLPVPLLTRPPPPSSSFSSYSIPWDPVASAVHDGILRARSFAHTKQAHALAAASGVLPRHLPSAAAILIAYADHGDPAASLRLFDDSPLRLRGAFLWNALVRALSSAAGLHSAALSAYNGMLRAGVRPDNRTFPFALTAAAAAVGDDARKGREIHGSVIKVGFFYDVFVGNTLLAFYGILGDLLAAKQVFGEMLHRDVVSWNSLISMFSSNGLYSDAVSLLLELKRSDLSVNSVSLISALPACAALQDEDCGKGIHGLAIKAGLDLVVTVGNAFIDTYGKCGDSDASMRAFQSMQEKNDVSWNSVIGSLVHAGLYEDALEKFKEMLAIKVKPNSITISSLLPALVELGCFDLGKEIHGYIIRNYMYSDVFVANSLVDMYAKSGCWNKGSDIFYRMDNWNVVSWNAMIANFAQNGAELEAIGLVKEMQDCGEFPNSVTYTNVLPACARIASLVKGKEIHARSIRVCSNCDLFVSNALIDMYVKCGRFDLAQNVFNVSQRDQVSYNTLIVGYSESPLCSEALDLFLDMRSVGIEYDVVSIVGALSACGNLSALKQGKEIHCLSMRKLFDTHLFVANSLIDLYTKCGRIALGKKIFDRISNKDVASWNAMILGYGMQGELEAAINLFDLMKDEGLEYDHVSYIAVLSACSHAGLVERGKRYFNQMLAQNIRSTQMHYACMVDLLGRAGLMTEAAELVRSMPFEADSNVWGALLGACRIHGNIELAQLAAEHLFKLKPGHCGYYILLSNMYAEAGRWNEADQVRALMKSRKVKKNPGCSWVQIGNKLHAFLVGEGSQGSELDLCYNELVESERMSSAYL >Ma02_p03910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15341806:15346608:-1 gene:Ma02_g03910 transcript:Ma02_t03910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPRHGLLPVPLLTRPPPPSSSFSSYSIPWDPVASAVHDGILRARSFAHTKQAHALAAASGVLPRHLPSAAAILIAYADHGDPAASLRLFDDSPLRLRGAFLWNALVRALSSAAGLHSAALSAYNGMLRAGVRPDNRTFPFALTAAAAAVGDDARKGREIHGSVIKVGFFYDVFVGNTLLAFYGILGDLLAAKQVFGEMLHRDVVSWNSLISMFSSNGLYSDAVSLLLELKRSDLSVNSVSLISALPACAALQDEDCGKGIHGLAIKAGLDLVVTVGNAFIDTYGKCGDSDASMRAFQSMQEKNDVSWNSVIGSLVHAGLYEDALEKFKEMLAIKVKPNSITISSLLPALVELGCFDLGKEIHGYIIRNYMYSDVFVANSLVDMYAKSGCWNKGSDIFYRMDNWNVVSWNAMIANFAQNGAELEAIGLVKEMQDCGEFPNSVTYTNVLPACARIASLVKGKEIHARSIRVCSNCDLFVSNALIDMYVKCGRFDLAQNVFNVSQRDQVSYNTLIVGYSESPLCSEALDLFLDMRSVGIEYDVVSIVGALSACGNLSALKQGKEIHCLSMRKLFDTHLFVANSLIDLYTKCGRIALGKKIFDRISNKDVASWNAMILGYGMQGELEAAINLFDLMKDEGLEYDHVSYIAVLSACSHAGLVERGKRYFNQMLAQNIRSTQMHYACMVDLLGRAGLMTEAAELVRSMPFEADSNVWGALLGACRIHGNIELAQLAAEHLFKLKPGHCGYYILLSNMYAEAGRWNEADQVRALMKSRKVKKNPGCSWVQIGNKLHAFLVGEGSQGSELDLCYNELVESERMSSAYL >Ma10_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27902971:27910682:1 gene:Ma10_g16030 transcript:Ma10_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSWLVNEGGGGTGKGGTSPIVGRVVHGAAAAALRWRVALLLVPLVYVALMLVLVGWWNLEAMPARVGVAILKRAPPPGSVYRSPQVFRKLWPFMQSDFNHSNALMMAWHQKTTQRWKPCLRKRLLRAELSPSNGFLIIEANGGLNQQRLSISDAVAVAGILNATLVIPIFHFNSVWRDSSQFKDIFDEEYFIETLKNHVRVVKELPLDILQRFDNNISNILNMRTKALSSKAYYLQKVLPKLLENGAVRIAPFSYRLAHSVPSSIQRLRCLTNYEALRFSPPIRTLAERMVDRMVKNSSSNGGKYISVHLRFEKDMVAFSCCTYDGGQQEKTEMDKARERGWRGKFNKPGRVISPEANRRNGKCPLTPLEVGMMIRGMGFGKTTTIYVASGKIYNAQKYMAPLRQLFPLLETKETLASADELAPFKGHSSRLAALDYTVCANSEVFVTTQGGNFPHFLMGHRRYFNGHSKTIKPDKRKLVLSFDNPNIRWDRFKHHMQEIFRHSDLKGVGLRKRDASLYSFPMPDCMCQQAEA >Ma05_p17980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22115587:22125936:1 gene:Ma05_g17980 transcript:Ma05_t17980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVQLTILPSALANRRQSQLRGSGKAKRGAKMMCQLQMHPLRLPGFAGLRRADNLEFFSRNRHDFHSLVSVYVSYAWGKASRGVAKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMVGESTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIVNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYEIHHKLRYTDEALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELDKELRQITKEKNEAVRSQDFEKAGELRDREMELKAQISALIDKGKERSQAESEAGDSGPVVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIELQVTERFKDRVVEEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEIKEGDSAIVDADSEGNVTVLNGGSSVPESMPPVVPV >Ma05_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22115587:22125936:1 gene:Ma05_g17980 transcript:Ma05_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVQLTILPSALANRRQSQLRGSGKAKRGAKMMCQLQMHPLRLPGFAGLRRADNLEFFSRNRHDFHSLVSVYVSYAWGKASRGVAKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMVGESTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIVNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYEIHHKLRYTDEALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELDKELRQITKEKNEAVRSQDFEKAGELRDREMELKAQISALIDKGKERSQAESEAGDSGPVVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIELQVTERFKDRVVEEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEIKEGDSAIVDADSEGNVTVLNGGSSVPESMPPVVPV >Ma10_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30020869:30021737:-1 gene:Ma10_g19500 transcript:Ma10_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPSPDGPNGRRKRKAGRPAAVDSPGGGAVASSSQRRAAVDDAVVLLRGALEFRRRTGLLPRPSNMPALYESVRGSLRSPVSQDQVYNKLRHLRHRLSHPVASGHGSHDDLLYELAAELLSAGVEEKYEKEDDKKQKDNEVEEESKDAETEGDDDLDKKQGRNGDEQRGGPESYPYLVHAAAEHWKAHSLSNSSLEAGLKLLNPLKAKALEDRWKKLVEDEMKFQADWFMACRDIFALLNQSHQGMNSLIRLDASMEDPFISL >Ma07_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3480182:3485029:1 gene:Ma07_g04740 transcript:Ma07_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRAKSLHLPLRSSLTAASSALFFGRAEPVFLFSPPLPLIRHYRGGGRSRDDFPFSRYEQPRLPINWGIRIVSEKKAYIVERFGKYLRTLGSGIHLLVPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPILASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNENIVRAINEAASDWGLKCLRYEIRDISPPPGVKAAMEMQAEAERRKRAQVLESEGERQANINIADGKKNSVILASEAAMMDQVNRAKGEAEAILARSQATADGLKILSEAMKAEGGAEAASLRIAEQYITAFGCIAKEGTTLLLPSSVGNPSSMIAQALAIYKKLNVGNISSLSLDNPQTETSDESKTDGDTRINEVRINALSDPSERAFSLQSPPKGFS >Ma10_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20170218:20170556:-1 gene:Ma10_g06730 transcript:Ma10_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLLAVIAAVWVGAAAAILPCERMTQMIVPCAPYLTSHVAVPGVGCCNGVRAMPGIAQTHKDRVVICKCLKIVAGHFPGIDNKRAMGLPRLCGVRLNFSFSPSTDCDK >Ma03_p30380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33032789:33039017:-1 gene:Ma03_g30380 transcript:Ma03_t30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSDTGGAGKKAPRRLGGMAEALAIASDLGFPIPPVQDDQQGLSNSIAADKGDDLIRVLRELTTVQRNIANLQVELQGRKDDKNVAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTAAVGDFQWCQNFKESPTVWGEMLRPIPAALASCTRFFEAMSAMRDSFATLQQFRVSNSSLPTTPSDSSGGDSKYVTPPHWREGMTSSDDSMVDGWRQQNAEGHPMEATDDIGQRRLSWPSPVKKGL >Ma08_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15223128:15231340:-1 gene:Ma08_g15200 transcript:Ma08_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACISSADPNSDTAAAASTEEQQGRPEANRGQQQQQRVRDGGKEKAAAGGLVPCGRRTNFGYDRDFASKYTIGKLLGHGQFGYTFVATDKAHGDRVAVKRIDKNKMILPIAVEDVKREVMILKALKGHENVVHFYNAYEDDSFVYIAMELCEGGELLDRILAKKNSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRTSGPESDVWSIGVITYILLCGKRPFWNRTENGIFKEVLKTEPDFQRKPWPSISNSAKDFVKKLLVKDPRVRLTAAQALSHSWVREGGDALEIPLDISVLSNMRQFVKYSRFKQFALRALATTLNEEELADLKDQFDAIDVDKSGAISLEEMRHALAKDLPWKSKESHVLEILQAMDSNTDGLIDFEEFVAAALHMHQLVELDSEKWRSLSQAAFDKFDVDRDGYITPEELRMHTGLKGSIDPLLEEVDIDKDGKISLDEFRRLLKTASMGSRNVPNRSTGH >Ma00_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1013466:1024505:1 gene:Ma00_g00380 transcript:Ma00_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MAVFSEEAAKYVWGGAIPLQIRLHESEVTTLPPPPPALVLGSRIGYFPLLVPLIKPYFSSTLPPGEDTVWFDYNGLPLKWYVPIGVLFDLLSAEPERPWNLTVHFRGYPGDVLTPCDSEDTVKWSYINSLKEAAYVINGNSKNIMNMAQTDQFDLWQSLVKGNMDGYLRISSRLKLGPVGEDCFLKQASSLQPRQAIDEPDPVGSSRPSRIPVRLYIRNVGESLEDLEDAPIIDSWDRISYINRAVEIHKEGGNYMTLKHAMRTLLPEIFGEEDPDNKYELREEGNHEAGSSFGDPETISMTRNDLVEETSFGCQRSSEASLIRIQGIEPDLNIPFSWVVNNLKNPDYFLHLCVFLRTSPRQAKAT >Ma02_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22045630:22046922:-1 gene:Ma02_g13820 transcript:Ma02_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDYEWGNPAAAAAAMLLFGEENGGHDGGQQHATLFDHFGGHGLGGSGFADFFPQPQPLTAAALFPPSPPSTCHQRYGMALPPLPARIGLNLGVRTYFSPAEEASVVVGRVCRRRTRIARCQAEGCGIDLTHAKHYHRRHKVCEFHSKASIAIVAGLSQRFCQQCSRFHALTEFDQGKRSCRKRLADHNRRRRKSQDLATSTVNQHNNNSSSDASADAKAPTSFTGCKPESDSPVAHMSPPQAQPLLPMPLTHTATTRTGLGLGCGSGVAGTGMALSSSAENSPPGAPFLVQLGEFRVREERFTAWGDEEEDRSSIN >Ma09_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29482554:29483560:1 gene:Ma09_g20520 transcript:Ma09_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDQICNFNKEKTRQIPRKQQEMIVEESIYGRESRSRVGELTRKKESYKNKHSHANNSSSPTSPSPTFSTKPCTHLEMSASPELARTTPPEFSLRPIKMAPPSKDDDEECHTPTAEESKLPSTTLSCPPAPRKPGTARRCKRRLWPEAELIEIGAEEMEQVFGSRSQCSHAKKRS >Ma06_p35840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35567942:35569851:1 gene:Ma06_g35840 transcript:Ma06_t35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLWPNLDREDGLDTVLEVPIPEETFLSDASSRSNKTLCSNIKAWMRSHVDRSPPSPIGRGAELQLMLGVIGAPLVPLPVQAYRSTLVRGMEKDPIEVSMAKYIVQQYIAASGGEQALNAINSMYAVGKVRMTASEFPKGHGGGGDTASNNRKVRKGGGFDGAGEIGGFVLWQKKPHLWCLELMVSGCKFSAGSDGKVAWRQTPWHQSHASRGPPLPLRRSLQGLDPRCTANLFARSVCLGEKRVNGEDCFVLRLDAEAATLRARSSSTVEIIQHTVWGYFSQRTGLLLQLVDSRLLRIKYSASKGSVYWESTMESLIEDYRPIDGVNIAHAGRTKVSLFRFGETSDGHTRTRMEETWNVEEVDFNIWGLSTDCFLPPADLKEDEEGADVGDGPVATAPPPSSVQPAATRIGPSQVAAVDVDESDSTSTEEEEEERLSSPNLCMSNYRCNVITDV >Ma06_p27120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29146397:29149374:1 gene:Ma06_g27120 transcript:Ma06_t27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVGNGLMDLCDKGKVEPLPKEFDTTDIVEPVPTLDLEGVGGEEGSAKTREIVLGRNVHTMCFTIKEPEVDDEVTGEREAYMASVLARYRRSLIERTKHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASKESHYSVFKAARMYRMECVKVDTLISGEIDCIDFKAKLLQNKERPAVINVNIGTTVKGAVDDLDMVIQTLEENGFKGRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLKHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCVRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDDEFVRRWQLACEGNIAHVVVMPNVNIDKLDSFLEELFQKRSCWYQDGKMPPPCIAADIGQDNCFCVLHKK >Ma09_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5859019:5862105:1 gene:Ma09_g08810 transcript:Ma09_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAPARRSIRELVIQSVMSNQTQQQQRRRTRKSLSWGGGGDRGGAAAVGDDGDTGFWEEEEGTMELAQIGADRTKNVLILMSDTGGGHRASAEAIREAFRIEFGDEYRVFVKDLFMEHAGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWVHNFYLAAIAAFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQNLQKRVVFVTVITDLNTCHPTWFRTGVNRCYCPSEEVATRASVDGLKPSQIRVFGLPIRPSFCRAVLVKEDLRKELEMDPKLPAVLLMGGGEGMGPVKKTARALGESLFDKELSKPIGQLVVVCGRNQTLSSTLQTIQWKIPVKIKGFVTQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVNNGAGVFSKSSKQTASLVAQWFGPESEELKKMSQNALKLAQPDAVFDIVKDIHELAQQQGPLSQISDSLTSSFLQPI >Ma01_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1035699:1046613:-1 gene:Ma01_g01500 transcript:Ma01_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDAVHKLEQESGFYFNMKHFEDLIQAGEWDEVERYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITVLLTLENFRQNEQLSKYGDTKSARSIMLMELKKLIEANPLFRDKLTFPPFKASRLRTLINQSLNWQHQLCKNPRSNPDIKTLFIDHACAASANGARAPPPTNGPLVGHIPKTGAFPPMGSHGPFQPIVSPPPSAIAGWMTNASPSLPHAAVAHGPPGLVQPPNAVAFLNHPRLPTSSPGIDYHTAESEHLMKRIRIGQADEVSFSGATYSRNVYSQDDIPKTVVRTLNQGSNVMSLDFHPMHHTILLVGTNVGDTGIWEVGSRERVAHKTFKVWDIGTCSLSLQAALMKDAIISVNRCLWSPDGSILGVAFSKHLVQTYTFSLNGELRQQSEIDAHVGGVNDIAFSHPYKSLSIITCGDDKTIKVWDVATRQRQYTFEGHETPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYSGFRKHSLGVVQFDTTRNRLLAAGDEFMIKFWDMDNTNILTTSDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANADGQRLVRMLESRAFEGSRGPFQLTNANVKAPVVSSLGAVSNVSSPVAATPERPDRVLPAVSMTSLAVMDSNRAADVKPRISEDTEKIKSWKLADVVDSAHIKALHLPDSTSTKSKVMRLLYTNSGLSVLALGSNAVHKLWKWTRNDRNPSGKSTASVAPQLWQPSNSIFMTNETSDNNPEEASACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHQKKITGLAFSQSLNVLVSSGADAQLCVWSIDGWEKKKSRFIQVPAGHASPLVGDTKVQFHNDQAHLLVVHQSQITIYDSKLECLCSWSPRDALPAPISSAIYSCDGVLVYSAFCDGAIGVFEADSLRLRCRIAPSAYISPPVSSPPGAAYPMVIAAHPSEPNQIALGMSDGAVHVVEPPDADPNWGSAPPQDNNGALPTISPNPALNSNQVSEPPSR >Ma06_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6454917:6472557:1 gene:Ma06_g09170 transcript:Ma06_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEPKINTYASFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDELKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNQFDGTDSHYFHPGPRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYIHHGLAVGFTGNYNEYFGYATDVDAVVYLMLVNEMIHGLYPEAVTIGEDVSGMPTFCIPIRDGGIGFDYRLHMAIPDKWIEIMKLNDEDWKMGEIVSTLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGVQHLPNGKVIPGNNNSYDKCRRMFDLGDADYLRYRGMQEFDQAMQHLEDKYGFMTSDQYISRMDEGDKMIVFERGDLVFVFNFHWTNSYFDYRVGCLKPGKYKVVLDSDDKLFGGFNRIDHTAEYFSTDGSYDNRPRSFLVYAPSRTVVVYALSVD >Ma01_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14419240:14423976:-1 gene:Ma01_g19020 transcript:Ma01_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEAASVLTQSIAEAARRSHGQTTPLHVAATLLAAPSGLLRQACIRSHPQSSHPLQCRALELCFSVALDRLPASDSAAAGGRAGAAVLAEPPISNALMAALKRAQANQRRGCPEQLQQPLLAVKVELDQFLMSILDDPSVSRVMREASFSSTAVKSTLEQSVSSSSSSSYAASSATSIASLPTVSPAPPASLVLGLTNRAAPCRNLYMNPRLNQHQENDGGSAPAAAEGGNDQPRTEDVKRVVDILLRSKKRNPILVGDCNLDAVMREVLQRIKSIDAPSPLRNTQVVPFAKEIDTATPDHSQVTVKIKELSSSIESMMRGGELGVILDLGDLKWLVESPSLSTGSGPIHPPKPVVSEAGRTVVEEMGRLLKKFEDGGRVWLVGAAVSATYLRCQVYHPTMENDWDLQVVPIAPRSSLTNMFPRLGSSGIPSRSVETLAPMKGLGPLRRPPENTDPPRRTTLCPVCTESYECELAKLVAKEFEKYSTKREASQALPQWLQLANLSNGGSTKSSSAPLQSKEEELRWKQCTEELLRRWCGTCSRLHPSFHQLHTKLPSITPALSKPLSVLRTHPPSEPKLNLSRSLSPLRLESNQDTPAAKLPTSPPGSPVKTDLVLGSSKVLNSSSDATRKDRLKDFTGCMPSTFSSQQKAKIGGILDIDEYKRLFKGLTERVSWQQEAASAVATVVLQCKSGNGKRRSGGTKGDTWLLLVGPDRVGKRKMASALSELMFGIGPTVINFGHISCTNGNDGESNLTFRGRTSMDRIVEAVWQNPFSVIVLEDIDQADMLLQGKIKQAIERGRLPDSYGREVSLGSVIFVLTADWLPEELKNYYSLLQSERKILESAYCGLELELTTGERPGKRRPTWVCDNDQLAKFRKESYVSTELSLDLNLAVGTDVEAGEGSWNSSDLTTEHEHDKRRLAMKCSTSSLTSELVELVDEAVTFKPVDFVLLKKNALESLSVKFTAIMGKGQAIRVDEDALDRIVGGAWLSGAAFDDWAERVLIPSLRQLRDNFKADGEVLVLRLSTRMENRAQRSNVKDWLPTTVAIYIDGGHDI >Ma09_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7142070:7144719:1 gene:Ma09_g10500 transcript:Ma09_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAAIKEAFRNHSNVSPLFDKDYVPQGPSYRDAYAFHRSYLEMEKLFKVYVYEEGEPPLFHDGPCRSIYSSEGRFIHGIDMDTWFRTRDPDLAHVFFLPFSVVKMVKLIYKPKSFDISPITRTIADYISVIAERYPYWNRSLGADHFMLSCHDWGPHASEAHSHLYGDSIRVLCNANTSEGFDPRKDVSLPEINLKTDVMADMMGGPSASRRPVLAFFAGGDHGPVRPLLLQAWKGRDPSVQVHEYLPRGVSYYAMMRRSRFCLCPSGYEVASPRVVEAIYLGCVPVTISHRYVLPFSDVLNWKAFSVQVSPEDIPDLKRILTAISPRQYIRMQRRVRMVRRHFEVNSPPRRFDVFHMILHSVWLRRLNVRLLRHE >Ma09_p29220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39721883:39724534:-1 gene:Ma09_g29220 transcript:Ma09_t29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIATGLEREELEAELEGKKRFDMDAPVGPFGTKEAPAVIQSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVIGEGGPPDGHGHDDDDDEDH >Ma07_p28320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34409474:34415629:1 gene:Ma07_g28320 transcript:Ma07_t28320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGRDGPPASASVDAAVAAAAAAPLLMAETLAEAPRRRASGSKRKASASSSSTPVKRQAKERNLLHHLFPVHNGPCTRARQSPHKHAAASHRSVEHAAASAWASEARGTDASASGGPIKAEEEEEVEEPLVDVEFEAVRSRGVDVHAVPTAAGWFSWKVIHPVEKHMLPSFFSGKSENRTPEVYMEIRNSIIKKFHSDPQTQVELKDFSELSVGDMDARQEILEFLDHWGLINFHPFPPSENEASKSDADDRDKTSTLVDKMYQFETIQSFPRLATKKEESLVPAVPPCLLPESALLDDLIRPVGPSVEYHCNSCSADCSRKRFHCQKQADFDLCADCYNDGKFGSGMSPADFILMESAEGPGLSVGSWTDQETLLLLEALELFGENWNEIAEHVATKTKAQCILHFLQMPIEDSFLEGGDDDDDVNESNPGSKDQILSTKESTATNTSESVEDDKKEAKEETSHTNAPDAEAKKSESSDIVDEPITSKTDRLVNKNTADVNICHETGASFAIDALKAAFQAVGYFPEQGGLGSFAEAGNPVMALAVFLSGLVESDAVITSCRSSLKAMSEDSPSIQLATRHCFLLEDPPIDRKDPHLSVSAVAETSNEEANKDGNKTQTLDATDESKEKNEISASADNDGNSSNLLPDFSSKQIDEKEVNDVIPSKAVPTTVQESVDQSLSGDQCMTSNVKDVTDASSPVDPMQSTMKETENLASQGEDSKSQEKEIIGSKSVGEKPNTMTNSEDLISADKVQQHTDIAKINTKVVLEEQECVQLGASANETKGKADEGERTESHSDDEKNSDPTGVADDHNIDRLKRAAVTALSAAAVKAKLLAKLEENEILKLVSLVIEKQLHKLEAKLAFFADIDSVVLRMREQTEKARQRLMLERSQIIAARLGVPTSSLRANPASLPANRLAMGYGATGIKPPNMAWQKPPPVRRP >Ma07_p28320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34409474:34415629:1 gene:Ma07_g28320 transcript:Ma07_t28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGRDGPPASASVDAAVAAAAAAPLLMAETLAEAPRRRASGSKRKASASSSSTPVKRQAKERNLLHHLFPVHNGPCTRARQSPHKHAAASHRSVEHAAASAWASEARGTDASASGGPIKAEEEEEVEEPLVDVEFEAVRSRGVDVHAVPTAAGWFSWKVIHPVEKHMLPSFFSGKSENRTPEVYMEIRNSIIKKFHSDPQTQVELKDFSELSVGDMDARQEILEFLDHWGLINFHPFPPSENEASKSDADDRDKTSTLVDKMYQFETIQSFPRLATKKEESLVPAVPPCLLPESALLDDLIRPVGPSVEYHCNSCSADCSRKRFHCQKQADFDLCADCYNDGKFGSGMSPADFILMESAEGPGLSVGSWTDQETLLLLEALELFGENWNEIAEHVATKTKAQCILHFLQMPIEDSFLEGGDDDDDVNESNPGSKDQILSTKESTATNTSESVEDDKKEAKEETSHTNAPDAEAKKSESSDIVDEPITSKTDRLVNKNTADVNICHETGASFAIDALKAAFQAVGYFPEQGGLGSFAEAGNPVMALAVFLSGLVESDAVITSCRSSLKAMSEDSPSIQLATRHCFLLEDPPIDRKDPHLSVSAVAETSNEEANKDGNKTQTLDATDESKEKNEISASADNDGNSSNLLPDFSSKQIDEKEVNDVIPSKAVPTTVQESVDQSLSGDQCMTSNVKDVTDASSPVDPMQSTMKETENLASQGEDSKSQEKEIIGSKSVGEKPNTMTNSEDLISADKVQQHTDIAKINTKVVLEEQECVQLGASANETKGKADEGERTESHSDDEKNSDPTGVADDHNIDRLKRAAVTALSAAAVKAKLLAKLEENEILKLVSLVIEKQLHKLEAKLAFFADIDSVVLRMREQTEKARQRLMLERSQIIAARLGVPTSSLRANPASLPANRLAMGYGATGIKPPNMAWQKPPPVRRP >Ma05_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6058313:6065114:-1 gene:Ma05_g08180 transcript:Ma05_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGGTAAAALDSIARSLAEICGDCDGGGDHRWDPPRRFSDFARRLEAVVRGLAGAPELLSSPAVRTALGGVAADLDASRPTLSVYRGRSPIYILIHCVPLSDALRGRVASLAAWLTLLDSPLASLPDLRKKATDLSRDMDQTDLKVTETEERVYSSLQREAKEVVQSSKAVQSATVMDLARALGIDPADHGKLGEQIKLLRADLSGLSSLAERRILISLEKILDDWSKEPCIADGLVTANFEEEAQIPPFKNFLCPLTKGVMKDPVVLESSQTYERAAICHWFDRCLEDGRDPTCPVTGKVLHSLELRPNIGLAGAIEEWVNRNVEIQINSALQYLAEESSCPVECILTVLDNVYRISEEHPSCRYKVRNAGIVALVVKLLKDQSSRMGSELRGKTLMAMHSMSKDGESKLIMLEQGITRLAIRSLTGRSEMEKEYALKLLLEFSIDTDCCTRIALEKGALVLLSSMAANSDHPTSSNLAEEVLKNLEKVEDNVQHLAMAGRFQPLVARLCNGTEDVRLEIATLVGKMSLTNNGKDYIARQGGRILINMLSSNQELQEASLHALYNLSTLDDNAAILVDFGILPTLMDILFATQQDAPSEIKELAASTVANIVSISGHWELSFGDKEGHQIQSEFIIRKLLDVLSHSPCKCQAAVLHILCGIASSPRASDMAASCIESSGGLKIVVQYIEYSEIDHRVNAFRLLNLLSEKLGQVLAEELRASNKIVSLKGKLLDAHSSLEERCEVAGLLANLPILDDEVKTVLGSDLLTWIISHIREEQSISSGRNSKKVRRMLEGLLGLLLHYAKTPDPTIITLVQENQFMRIFREQLNSRPHSRAKQRAVLGLKYLSELRVSVATDLLEPQPPRGLCSPLVLLCGMAPMVPILCPLHNVPCDGNSSFCLLKGNAVKPLIDLMNDENVDVQLAAVEALSTILSDVQNLKNAKEELEQLGLFRAAIYLFKEVRPGKLQEKVALMVERFFQVEALAQDYSTDQDLVMALVGAMKHGNANTKKHAQDALANLRVLSGVGGKPSSNHGKRSNR >Ma06_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1272360:1280843:-1 gene:Ma06_g01560 transcript:Ma06_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYQNLNFYLKKSRKELQKLCKQHDLPANRSHAQLAKSLVSLFKKRNASSASSLEKSTNSKDGISKKSFVLETKVKQTFISLDESAGGLFGRSNSSMVNIHGRSSSVSEIPGESRSLCQTGNQMKLIGHMVNLTSEKPQTWPANNKGTEGFGSSSEHCNMGTISCVAADIQEIATNRLHGLDCKEASFRSASGKLLDHRNPEEKLTQDAITNSRTCNTIPVPCEHNKPQEYSMESGFVSADEISTRTPSLQFFVMSEEGINLYVDLNSSPLEWINSLKDEVCVHQNAEHHESMTLSKDISGSPEDDHMKISPSVDSGMHLQCVGVDRNTGCTNSSLSSVVSENCNSEAYPPDTTVVTSGSSVLTSGSVPAGLSGLEENQVVSSSCAAYSVQNNVASDIASCPQEGTVLIQDSIDASFAMVKGNASLPDASTKSIDNKDVGAITPVTTDGFISKTACIDFVGVEDNAVSNTLSDVPDKSNLPMSKDIQNSTDTNHYGHLNNYSRACEDSIMHATDELPENASPHEGLPNSVQLIGPMVPDGPMADAQSEVGAADGLLYQPVCVNSGTVNPEDQTSTFQDESGHSTPLRGKDTSECSGVQTSLDNCSKRSSNLEFPEEIHVKRQHTCENMSGTIMDLKSTKSSAKEAMSDEVVIPRRSTRLVSK >Ma05_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:666194:666845:-1 gene:Ma05_g01120 transcript:Ma05_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASALSLGTPFALRQSAGVSLRALPKLGRSLFGVDAGRGRVTAMAVYKVKLLTPEGEKVIECPDDVYILDAAEEAEIDLPYSCHAGSCSSCAGKVVQGSVDQSDGSFLDDDQIGAGFVLTCVAYPTSDVVIETHKEEDLVG >Ma11_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21238092:21242836:-1 gene:Ma11_g15550 transcript:Ma11_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSLWFSPSFHALRGARPRSPDANPSRRKLAFLRSRASPSSSSPAPSLPKLLVLRGRRSPRPRAKESDDEGGCFGDDPYVPYPWESSVFSDGPTIEWVPEDRITLFTSEGLIQIGGSLIPRRFPQKRQGKSPVTKSVQRFQESDYMDPNQGLCLGALFDIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTIVEHGGEVVTAEKVSSEGLLEKLTMTVVVPLLWGVPPASDTLRLAVRSGGGIVEKVYWQWNFL >Ma02_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18110225:18113575:-1 gene:Ma02_g07440 transcript:Ma02_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MAVMLGIAIVPRPSKCLFVHPPLSSNSDWTPPATPFLLRPSNPSFSSSSFPISVARRFDASPKTVSGSRSWQPRPHPDANRELYHLSDKKMYKRHKQNVDLPSILPKNKKKPYPIPIQKMQRAARRDKRLAEMGIEKPLEPPKNGLLVPELIPVAYEVLDHWKVLIKGLSQLMNVVTVYGCSKCPEVHVGPVGHQIQDCHGTGSEQRRSYHSWVKGSINDVLIPIESYHLFDPFGRRIKHETRFDYDRIPAVVELCIQAGVDFPEYPSRRRTSPIRMLGKKVIDRGGYVEEPKPHLSENCMSLLAELDTYGAQFEQPPLSASDTRRLAERTLKAYSSVRRGVRQLMKKYSVKACGYCSEVHVGPWGHNAKLCGAFKHQWRDGKHGWQDAILDEVIPQNYVWHLRDPHGPPLQTALRRYHGKAPAVVEVCVQAGAEIPESYKPMMRLDIIIPDTEEARLVA >Ma06_p03980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2866843:2871629:1 gene:Ma06_g03980 transcript:Ma06_t03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQLLTDLEEVDEVNKQLDQMGYNIGVRLIDEFLAKSNVSRCVDFKETADVIAKVGFKMFLGITASVTSWDAEGTSFSLVLEDNPLVDFVELPDTCQGLHYCNILSGVLRGALEMVSMKTEVTWVRDMLHGDDAYELRVKLLKQVPEEYPYKDDE >Ma06_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2866805:2871629:1 gene:Ma06_g03980 transcript:Ma06_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAPKTGDAVFASVDRVNAELFTLTYGAMVRQLLTDLEEVDEVNKQLDQMGYNIGVRLIDEFLAKSNVSRCVDFKETADVIAKVGFKMFLGITASVTSWDAEGTSFSLVLEDNPLVDFVELPDTCQGLHYCNILSGVLRGALEMVSMKTEVTWVRDMLHGDDAYELRVKLLKQVPEEYPYKDDE >Ma05_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2980915:2997183:1 gene:Ma05_g03980 transcript:Ma05_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSGIIRLCREILFDNRIRKRLGCSSGRKSTRNVSSAAATYTPAKQCSSIMASPRHGACRSLMEGLSYSTKTMFARTLMTEGACMRSVQILKLCTAASQFISPTGADTIVGVYNVKDYAAVGDGQTDNTKAFESTWSAACAVEGTATIVIPEGEYLLGPIIFKGPCKGKMVMQVKGQLSASTHLEAYTQNWLDFQYINGLVISGGGIFHGQGASAWPYNQCPKTRKCKLLPANLVFSFVTNATISSISSIDSKLFHMQIFESRDITLDSIKISAPGDSPNTDGIHIADSTNIQVSNSVIGTGDDCISIGPGCTNLTIFNVLCGPGHGISVGSLGKNANEKDVSGLTVRQCTFTGTSNGLRIKTWPSSPSSLKATGFVFEDIIMNNVYNPIIIDQNYCPYANCPETDPSLVKIKDIKFRNITGTTASPEAIKLVCSKAVPCEGVELNDISLKCNGDDEQAKNMTSTCVHVYGSSNGNVKPDSCI >Ma11_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10818893:10826753:-1 gene:Ma11_g10870 transcript:Ma11_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGEDAVRRRNAVAEYRKKLLQHKELDSRLRTLRENLRASKKEFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGDIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >Ma01_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5364283:5366418:1 gene:Ma01_g07420 transcript:Ma01_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGTVPFNSDRWGPPETPASPLLSKHEGAAHPANIPFAPFSRSEKLGRVADWTRSYNFAANPSRSAGGGRDAVFDFALDESSALAGAATDDSCFRLVDGKPPPRPKFGPRWRFQQRPQLPQRRDEEVEARKREAEKERARRDRLYHLNHRFSSSAGGHGPRRDTPAPKSSVDIQPEWTMLDQIPFSTFSKLSFSVPDPPEDLLVCGALEFYDRSFDRVNPKNERRLERFKSRNFFKVTTTDDPVIRRLAADDKATVFATDVILSALMCSPRSVSSWDIVIQRVGNKLFFDKREGSQLDLLSVNETSQEALPDAKEDINSAYSLAIEATYVNQNFSQQVLVRDGNKVTFDEPNPFATEGEEVASVAYRYRRWKLDEDTHLVARCEVHSVTDVKGQRAFMTLNALNEFDPKYTGVDWRQKLETQRGAVLATELKNNTNKLAKWTAQALLAGADLMKLGYVSRVHPRDHFNHVILSVIGYKPRDFAAQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPVKPQVRIYEVPADAFENEYVEEPLPEEEQVQPPAEEDATGNAMDAAAEAEANSAAGAAEEEKDVSASTV >Ma09_p27760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38679607:38682315:-1 gene:Ma09_g27760 transcript:Ma09_t27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAGDFYNVMTAVVPLYVAMILAYGSVKWWKVFTPTQCSGINRFVALFAVPLLSFHFISGNDPYTMNLRFIAADTLQKLIVLAGLAAWGVLSRRGRLDWTITTFALGTLPNTLVMGIPLLRGMYGEVSHSLMVQIVVLQCIIWYTLMLFLFEYRAAKLLIAEQFPDTAGAIASISVDSDVVSLDGRDALETEAHVMEDGKLHITVRRSNASRSDVYPRRSMGFSAATPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVAGRGSNFGAADVYGVRAGAAAAAATTPRPSNYEEEHGAGNPAGAKPRIQNQFPVTTTAASPNYPAPNPAVFVQAASRTSGPKRANGQPHLSKTEDGGGKDLHMFLWSSSASPVSDVFGNGKEYGVPSTDPTGIKDVRVAVSPGKVDGRRENRDEYVGREDFSFGNRAAMDKDGTAQHEGDEKVKEVKKGGLREVTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGIIWALVCFRWNVQMPAIVLQSISILSDAGLGMAMFSLGLFMALQPRVIACGNKAAAFAMAVRFLTGPAVMAVASLAIGLRGVLLRVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGI >Ma04_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3960953:3964405:-1 gene:Ma04_g05300 transcript:Ma04_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQLATAEVCDANPHLILSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIVNGCIRDVDEINGCDIGVRALAPHPMKANKKGIDTDGILVSRMELTV >Ma04_p05300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3960873:3964405:-1 gene:Ma04_g05300 transcript:Ma04_t05300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQLATAEVCDANPHLILSGDLRALQPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGASMRCAILGGNPVQQAQNNGWAGIIVNGCIRDVDEINGCDIGVRALAPHPMKANKKGIGEKHVAINLAGTRIFDGEWLYADTDGILVSRMELTV >Ma05_p30350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40789123:40790873:1 gene:Ma05_g30350 transcript:Ma05_t30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAVKLHDVDQRRFVLRKAKFTTETLALESERPLPDLANGSRGGGELARLERPEVVVRELYEAINARDVAKLHRLLKPDLEWRFHGQPKHQHLKRLLTGEEETIAFKFEPQEVAAFGSTVVAEGCGLGALWVHAWTVEPEGVITQVREYFNTSLTVARVGADSPASSSEDHDRSTHCLPVWQSRLHRRARKSLPGLVLAI >Ma10_p31140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37345591:37353051:-1 gene:Ma10_g31140 transcript:Ma10_t31140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MPLMAIAVPSPFLPLSSPSPSSSSSSSRCSTFTAAASSSSSSAAAAVPAEPHPRPREFHYPRADPSVRWPHLKLDDFLGPPQFPAPSTPAPLSIPEEPDARPVPPEVPRTDSFETLESKQSRTRAKKMTKLALKRARDWRQRVQLLTDQILALPPSALVADVLDDRRIQMTPTDLAFVVKFVGRSSWARALEVFEWLTLRRRHAPGPRLLAAIISVLGRAHKDALAAEVFQRCNPDDGGAGADELSVQVYNAMMGVYARTGRFAKVQKLLSSMRDRGLEPDLVSFNTLINAKAKAGSLAPGLALELLQEVRRSGLRPDAITYNTLISACSRMSNLEDAVSIFKDMEASECQPDLWTYNAMISVFGRCGMILEAERLFRELGNRGFSPDAVTYNSLLFAFAKECDAEKVERLCDEMVRAGFKKDEITYNTIIHMYGKQGRLDLVVQLHDEMKNVGCNPDAVTYTVLIDSLGKANRITEAGKVMSEMADAGVRPTLRTFGALICGYAKAGMRVEAEHTFHRMVRAGIKPDHVAYSVMLDIMLRSKEMQKAMVLYRSMMRDGFRPDQGLYQAMFGILAKGDDDGKIDEIIKDMEVVCKMSPQEVSRILVRGGCFFQGAEMLKKSVSCGFEPDRECLLSILDAFAASGMQAGALSLLEFLREHAPDSSSLIMESSIVMLCKNHQLEDAMMEYNKMKMLNFGQFGQCCSLYEYMIACFEEAGFLWEASQLFSDMKFLGLEPSQGIYKSLISIYCKVGFPETAHNVVDQASRAGISFDDTSVSVTLIETYGKLKLWQRAESFVGKLRLHDFIDRSIWNALIYAYAESGRYEQARAVFNMMIKNGPSPTVDSINGLMHALVIDGRLDELFVVVEELQDMNFKISKSTILIMLDAFIRAGNIFEVKKIYNGMKAAGYLPTMNVYSSMITLLSRGKRVRDVEAMVAEMEEAGFKPDLNIFNSLLKMYTSIEDFRKTLEIYRRIQEAGIELDQDAYDTLLVMYSRDVRPEEGFTLLNDMRKKGLEPKLDTYKSLLAACCKEQLWEQAEELFKSMQSKGYRLDRSFYHIMMKVYRNSGDHSKAENLLFQMEEVGIKPTIATMHMLMVSYGSAGQPQEAENVLNNLRSSSQELTTLPYSSVIDAYLKVGDYNMGITKLMEMKKDGVEPDHRIWTCFIRAASLCEKTNEAMLLLGTLGNNGFDIPIRLLTGKAESLFMEVDHLLEELGSLEDNASFNFVNALEDLLWAFERRATALWIFQLAITRNIYRHDVFRVAEKDWGADFRKMSAGASLVGLTLWLDHMQDVASD >Ma10_p31140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37344201:37353051:-1 gene:Ma10_g31140 transcript:Ma10_t31140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MPLMAIAVPSPFLPLSSPSPSSSSSSSRCSTFTAAASSSSSSAAAAVPAEPHPRPREFHYPRADPSVRWPHLKLDDFLGPPQFPAPSTPAPLSIPEEPDARPVPPEVPRTDSFETLESKQSRTRAKKMTKLALKRARDWRQRVQLLTDQILALPPSALVADVLDDRRIQMTPTDLAFVVKFVGRSSWARALEVFEWLTLRRRHAPGPRLLAAIISVLGRAHKDALAAEVFQRCNPDDGGAGADELSVQVYNAMMGVYARTGRFAKVQKLLSSMRDRGLEPDLVSFNTLINAKAKAGSLAPGLALELLQEVRRSGLRPDAITYNTLISACSRMSNLEDAVSIFKDMEASECQPDLWTYNAMISVFGRCGMILEAERLFRELGNRGFSPDAVTYNSLLFAFAKECDAEKVERLCDEMVRAGFKKDEITYNTIIHMYGKQGRLDLVVQLHDEMKNVGCNPDAVTYTVLIDSLGKANRITEAGKVMSEMADAGVRPTLRTFGALICGYAKAGMRVEAEHTFHRMVRAGIKPDHVAYSVMLDIMLRSKEMQKAMVLYRSMMRDGFRPDQGLYQAMFGILAKGDDDGKIDEIIKDMEVVCKMSPQEVSRILVRGGCFFQGAEMLKKSVSCGFEPDRECLLSILDAFAASGMQAGALSLLEFLREHAPDSSSLIMESSIVMLCKNHQLEDAMMEYNKMKMLNFGQFGQCCSLYEYMIACFEEAGFLWEASQLFSDMKFLGLEPSQGIYKSLISIYCKVGFPETAHNVVDQASRAGISFDDTSVSVTLIETYGKLKLWQRAESFVGKLRLHDFIDRSIWNALIYAYAESGRYEQARAVFNMMIKNGPSPTVDSINGLMHALVIDGRLDELFVVVEELQDMNFKISKSTILIMLDAFIRAGNIFEVKKIYNGMKAAGYLPTMNVYSSMITLLSRGKRVRDVEAMVAEMEEAGFKPDLNIFNSLLKMYTSIEDFRKTLEIYRRIQEAGIELDQDAYDTLLVMYSRDVRPEEGFTLLNDMRKKGLEPKLDTYKSLLAACCKEQLWEQAEELFKSMQSKGYRLDRSFYHIMMKVYRNSGDHSKAENLLFQMEEVGIKPTIATMHMLMVSYGSAGQPQEAENVLNNLRSSSQELTTLPYSSVIDAYLKVGDYNMGITKLMEMKKDGVEPDHRIWTCFIRAASLCEKTNEAMLLLGTLGNNGFDIPIRLLTGKAESLFMEVDHLLEELGSLEDNASFNFVNALEDLLWAFERRATALWIFQLAITRNIYRHDVFRVAEKDWGADFRKMSAGASLVGLTLWLDHMQDASLQGSPESPKSVVLITGTAEYNMVSLEKTLKAYLWEMGSPFLPCKTRSGVLVAKAHSLRMWLKDSSFCLDLELKDTTSLPQTNSMKLTEGYFMRAGLVPAFKDIHERLGQIRPKKFARLALLSEESRDKVIQADLEGRKEKMEKLKEKAVVRSRKPTRFHRKYLRRQHKAQTTSN >Ma10_p31140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37341518:37353051:-1 gene:Ma10_g31140 transcript:Ma10_t31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MPLMAIAVPSPFLPLSSPSPSSSSSSSRCSTFTAAASSSSSSAAAAVPAEPHPRPREFHYPRADPSVRWPHLKLDDFLGPPQFPAPSTPAPLSIPEEPDARPVPPEVPRTDSFETLESKQSRTRAKKMTKLALKRARDWRQRVQLLTDQILALPPSALVADVLDDRRIQMTPTDLAFVVKFVGRSSWARALEVFEWLTLRRRHAPGPRLLAAIISVLGRAHKDALAAEVFQRCNPDDGGAGADELSVQVYNAMMGVYARTGRFAKVQKLLSSMRDRGLEPDLVSFNTLINAKAKAGSLAPGLALELLQEVRRSGLRPDAITYNTLISACSRMSNLEDAVSIFKDMEASECQPDLWTYNAMISVFGRCGMILEAERLFRELGNRGFSPDAVTYNSLLFAFAKECDAEKVERLCDEMVRAGFKKDEITYNTIIHMYGKQGRLDLVVQLHDEMKNVGCNPDAVTYTVLIDSLGKANRITEAGKVMSEMADAGVRPTLRTFGALICGYAKAGMRVEAEHTFHRMVRAGIKPDHVAYSVMLDIMLRSKEMQKAMVLYRSMMRDGFRPDQGLYQAMFGILAKGDDDGKIDEIIKDMEVVCKMSPQEVSRILVRGGCFFQGAEMLKKSVSCGFEPDRECLLSILDAFAASGMQAGALSLLEFLREHAPDSSSLIMESSIVMLCKNHQLEDAMMEYNKMKMLNFGQFGQCCSLYEYMIACFEEAGFLWEASQLFSDMKFLGLEPSQGIYKSLISIYCKVGFPETAHNVVDQASRAGISFDDTSVSVTLIETYGKLKLWQRAESFVGKLRLHDFIDRSIWNALIYAYAESGRYEQARAVFNMMIKNGPSPTVDSINGLMHALVIDGRLDELFVVVEELQDMNFKISKSTILIMLDAFIRAGNIFEVKKIYNGMKAAGYLPTMNVYSSMITLLSRGKRVRDVEAMVAEMEEAGFKPDLNIFNSLLKMYTSIEDFRKTLEIYRRIQEAGIELDQDAYDTLLVMYSRDVRPEEGFTLLNDMRKKGLEPKLDTYKSLLAACCKEQLWEQAEELFKSMQSKGYRLDRSFYHIMMKVYRNSGDHSKAENLLFQMEEVGIKPTIATMHMLMVSYGSAGQPQEAENVLNNLRSSSQELTTLPYSSVIDAYLKVGDYNMGITKLMEMKKDGVEPDHRIWTCFIRAASLCEKTNEAMLLLGTLGNNGFDIPIRLLTGKAESLFMEVDHLLEELGSLEDNASFNFVNALEDLLWAFERRATALWIFQLAITRNIYRHDVFRVAEKDWGADFRKMSAGASLVGLTLWLDHMQDASLQGSPESPKSVVLITGTAEYNMVSLEKTLKAYLWEMGSPFLPCKTRSGVLVAKAHSLRMWLKDSSFCLDLELKDTTSLPQTNSMKLTEGYFMRAGLVPAFKDIHERLGQIRPKKFARLALLSEESRDKVIQADLEGRKEKMEKLKEKAVVRSRKPTRFHRKYLRRQHKAQTTSN >Ma03_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25517512:25522565:1 gene:Ma03_g20360 transcript:Ma03_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25410) UniProtKB/Swiss-Prot;Acc:Q8RXE8] MAALPAFACGCLVAGPSLRRTPGGQASPSPSLAVTAPRPSSFPTLKAVRGRGRWGWASACSTSFPRLGRDGWQRREGSASLLSFGAGPGELLGGSGGGADQATGDPSQGLSLLLPFVVAATAVAALANPATFSWVSKEYYAPALGGIMLSIGIRLSIDDFALAFKRPLPLSVGYIAQYVLKPVLGLLIAKIFRAPSMFYAGFILTCCVAGAQLSSYASFLSKGDVALSILLTSSTTISSVLMTPLLTGLLIGSVVPVDGVAMSKSILQVVLVPVALGLVLNTYAKAVVNFIQPIMPFFAMVCTSLCIGSPLAINRNQILSSHGLMLLFPILTFHLVAFVLGYWFAKFPFFRQEESVCRTISLCTGMQSSTLAGLLATQFLGSTHAVPAACSVVLMAIMGLCLASFWGNGSRIRDIALLI >Ma07_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11419603:11420331:1 gene:Ma07_g15190 transcript:Ma07_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHYSPAAAYIRLVQHLIETCLLWHMSMEECMEALLLHADVSPAITSTVWKELEKENQEFFRAYRRVPPQYVGQSRR >Ma08_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7178978:7180605:1 gene:Ma08_g09860 transcript:Ma08_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVADPKAEVIALSPKTLMATNRFVCEICRKGFQRDQNLQLHRRGHNLPWKLRPRNGGSEARKKVYVCPEPTCVHHNPSRALGDLTGIKKHYCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTRQYKCDCGTIFSRRDSFMTHRAFCDALAQENNELSQPLMATMASSLQGQAPPRLVMPIQHTSNTSSSTTVPEFSYDMKNPPAIDALAASLASRDMAGGMFPSFLGIPSSSGPVSFDGLFEAQMPRPTAVSAHSSATSQLQNAAQIGATRRGMASVMAGRPYQLTSIHGGGLANLFYASSVRETGDGSLKEMMARCGSGDVMTVDFLGVGGQRRPHMQQQQQRERHNMEFGGVVLRTGMETPHHLQQQMAYGRSDEPENPVWDM >Ma02_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24630355:24631345:-1 gene:Ma02_g17900 transcript:Ma02_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSASYIRMVQHLIEQCLVFHMSKDECMEALAKHADIKPVITSTVWKELEKENKEFFQAYMKDQAEKAMEMEAAQRIQKMLSESAAKDSEKED >Ma04_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24710844:24712846:1 gene:Ma04_g22350 transcript:Ma04_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAAEPAVMDRERLTAEMAFRDSSIVIKIHRRLPDFLHSVNLKYVKLGLGYTSIPTSYLLVSLLVSAVAATLRLDRVLMATSVRLSVDPATGLASLAVALVLLGVYYFKRPRPVYLVDFACYKPDDEQKISKQGFLEMTECTGMFNDESLDFQTKITNRSGLGDETYLPPGVQFRPPRLCMAEARMEAEAVMFGCLDALFEATGVNPRRDIRILIVNCSLFNPTPSLASMIINRYKIREDVKSFNLGGMGCSAGLISIDLAKDLLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAMLLSNRRADAGRAKYKLVHTVRTHKGADDSCYGCVYQREDGRGAVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQLKFLATLVGRRVLRLRSVRPYIPDFRRAFDHYCVHAGGRAVLEEIQKNLGLSATDIEPSRSVLHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWWALRDVPPVDAGGRGRCNPWSDCVDRYPIKG >Ma06_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8392392:8392992:-1 gene:Ma06_g12070 transcript:Ma06_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSPRDRQSDAAYVGCCVFLRRPSTLSRLGYRALTLEDSSDGEESAVKLVVGKERRVFLVDRFVLEKEPLRVLMEMVARDERQGRGSRRKGAIFVDVDHILFEHMLWLVYNDSSSSSSSSSSLLQLNLKEIIDFYSQEN >Ma08_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27991024:27992725:-1 gene:Ma08_g18020 transcript:Ma08_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRQKCELLKALEALKKASKEIEANPVGAAEKGDDGCDSPSMKALLQLQSGSCDLLSGDPQLTNLSDLLNRLRCLASSIRSPSCRDDGECGRSCGGNGGILGSIRWLRSSHSHEISRVAGSIGAEIQSWIDRESADRLVSALRSISPFVARGEEVEEEEEEEGEALVRALQARISQGFDRGLQDVLLRSGAFAAVESALADVAAPKRVRECAATAVLALVRFNKAVFVGPVLMGPTVGALVSMSSASASATALRALNGLIRAIRSPLVDELHARGQIPRLVGLLGGSVAVEVRILALDWALEIGYFGRKEAIDAMIAEGLIKRLTALQRSDLGDALIEMDGGGRGAVPVGQLRWGRGVGKEERQFLEARPFASCVSRWAVQVEVGEGLRQREKREIKREVVARVREAAASEAEAATALAEVLWGSTLW >Ma03_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25401206:25404124:-1 gene:Ma03_g20240 transcript:Ma03_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESCNLDKDEAETLKHSTSSSPTTPPSSSPSPQSLFLKPCEEPPTTRLGTPAAETPIVPSSAPKLDDEVKPDEESKPPVRYSKRCSTCRKKVGLTGFRCRCGDLFCGRHRYSDSHDCSFDYKAFGREQIAKANPVVRPSKIIKI >Ma11_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16136147:16144836:1 gene:Ma11_g12250 transcript:Ma11_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILAKEASPPPTPSSLGSRRREKDRTVAPVASGRKSQATLPRNETVVDASATVTEVATRQEDAERPAGKRPARRRRQRPEPRLSNPPGHVHGELVAAGWPSWLSNVAGEAIKGWTPRRADTFEKIDKIGQGTYSNVYKARDMLTGKIVALKKVRFDNMEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMTCSLYLVFEYMEHDLAGLAASPTIKFTEPQVKCYMHQLLSGLEHCHNNGVLHRDIKGSNLLIDNEGLLKIADFGLATFFDPNHKHPMTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCILAELLAEKPIMPGRTEVEQLHKIFKLCGSPSEDYWKKSRLPHATIFKPQQSYKRCIKETFKDFPPSSLPLIETLLAIDPAERLTATAALNSEFFSTPPYACEPSSLPKYPPSKEMDAKLRDEETRRLKTAGGKGNINGTKKTRTRDRAMRAVPAPDANAELQVNIDRRRLITHANAKSKSEKFPPPHQDGALGYPLESLHHMDPAFDPPEASFGTVFPYQKGGMTAWSGPLIDSAAAGNPRRKKKSAGNSQIPTNSKQLAGASATKEA >Ma00_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:113641:114493:1 gene:Ma00_g00090 transcript:Ma00_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRLLCDSTSQLLFNPKRLLSSTTLMEKKSESNQMLLENVWASFINGSHRPAIGSATWEDLPNLESRNENLALIQRLPSLGRWISMGAETWEELLDGTCSVSNCSPTPATESARSTRPTAAGAERETTRHYRGVRRRPWGKFAAEIRDISRKGARVWLGTFNTAEEAAIAYDRAALRMRGPRAHLNFPLETAIRSSKSTRYEQPSTCALCDEACSVYGCTAAIHERADLDEERPACRRERSIEGMINNRRDVVELQDLGTDYLESLLSQE >Ma08_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27725129:27728602:1 gene:Ma08_g17980 transcript:Ma08_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLAGLAVAAAALAGRYGVQAWQAYKARPVVPRMRKFYEGGFQPTMTRREAALILGVRENAPPDKIKEAHKKVMVANHPDAGGSHYLASKINEAKDVLLGKTKGGGSAF >Ma04_p28980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29951818:29954701:-1 gene:Ma04_g28980 transcript:Ma04_t28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCSHFSYSYAYELLAIDRASHVFIHLHRRVANSGQPWAPCSRLPLQTYTSKSERVRSMASHDSRRRRRELAVLAFVASMIWLLASQSICDGRVLNYSIDPSLWFVLLQKRPSSAGESGCNAQGGSKKCDPPLGVSPVRVAVSTPP >Ma05_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21785849:21786474:1 gene:Ma05_g17860 transcript:Ma05_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHNTTMLFLGLLLAGAIAVAAQNCGCSADLCCSKYGYCGTGDDYCGDGCRSGPCYSSSTPSNDVSVADIVTQSFFDGIIGQADGGCAGKSFYTRDAFLTAAGSYPTFGHTGTADDSKREIAAFFAHATHETGHFCYIEEIDGASKNYCDEKRTEWPCVAGKGYYGRGPLQLSWNYNYG >Ma05_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3743501:3745988:-1 gene:Ma05_g04870 transcript:Ma05_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLSGPRITAAKRSLTHFDGSRLSSSTFRLSSSVGACGVAVDRRCFRGLVVKASAVVAPKYTSIKPLGDRVLVKINTSEEVTVGGILLPSTAQSKPQAGEVVALGEGRTIGNNKVQVSIETGSQVVYTKYSGTELEFNGSNHIILKEDDVVGILEAEDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEASKEKPSIGTVIAVGPGPLDGEGNRKPLTASPGSTVLYSKYAGNEFKSADGSLYVVLRASDVMAVLS >Ma05_p04870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3743501:3745993:-1 gene:Ma05_g04870 transcript:Ma05_t04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLSGPRITAAKRSLTHFDGSRLSSSTFRLSSSVGACGVAVDRRCFRGLVVKASAVVAPKYTSIKPLGDRVLVKINTSEEVTVGGILLPSTAQSKPQAGEVVALGEGRTIGNNKVQVSIETGSQVVYTKYSGTELEFNGSNHIILKEDDVVGILEAEDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEASKEKPSIGTVIAVGPGPLDGEGNRKPLTASPGSTVLYSKYAGNEFKSADGSLYVVLRASDVMAVLS >Ma09_p25660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37047836:37051211:1 gene:Ma09_g25660 transcript:Ma09_t25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAAPKLPVPSKKRPSSSPTPPQQPSDKPAELADLIRTPKRLEEHPPRASNRGFALSVKEVSQVALGLQSPTGQSDVDPLPAEEQLGPVSGPCSTPNSSEAETLLKIPEKYELLCEFLDSMESSIRLLRQKGLMSTFPNICTTIQSLMDRRFTYVHLARLKHIMPEAIMIKKVLLHDVATCSLKTELQVTLRVDAVARNMQGKSETGRSILPAVFRERLVEFFKIHPEGDEVPVERLPHPFNLTEISGHPRVNINTESSSNAAVQQQFLVPSHLSQSFNILNPHKTPLISPVSTTLSSIYGGGEKDAAGLSRTDYCSHEESKVQLETPSKLMFTPLTLMTDTPEIPVSKRCRTTAACDSTPSNKSVRRSTRTKLFMTPEESAEAGEKESGDRILCSSYDILSFLPETLLHSIKEKEQKTMQEREPGFAIAIRRKKLIASLPTIFDMILLIFQSWKRSVMTKQDLIHKLVSTHCKIVDQDEVEDQLKLLLEILPDWISKKIACDGDILCCLSNVSNIDEIRQRLSEAE >Ma06_p33420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34059493:34069728:1 gene:Ma06_g33420 transcript:Ma06_t33420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRPGGTPASRAVNASKTPATGGTPLGDDAIWKRLKESGLDEESVKRRDKAALISYITKLESEIYEYQHHMGLLILERKELVSKYEQVKASSDSAEIAYKREEAKRSSALAEARKRELNLEKLLGIQKECVANIEKALHDNLVESAERKLGYESKIAEAHAMMTAAQEKLDEAEKKLLAAESLQAEANRTRNTAIRTLDDVEAREDELRRRLATFKSQCDAKENEISIQRQALYESQKTLHQQQERFLEGQTLLNQREEYIFERTKELNRIEKELEESKANIEEESRTLKLERSNLDLEIAALRNREEVIVKRESMLDKRERELLILQEKIACREHDEIQRIMEEHQSILEKKKSELEADIEQRHLLLKNELEAKKIACEIREADLCSREISLQEKEHAIELQSSVLAKKQEDVANKLRLLEDKEHNLSSTKREAEIEVQNMQKEREIFLKMKVDLEKTKAVLEDEKKEIILAEEKFEITLGERNELLLLENKLKEEIDSLRAQKLALVAEADILKAEKEKFEIEWEMIDEKREDLQKEAERIDEERKTLAQYLKNEHDSIKLEKENLHNQFKRDVERLSCEREEFICEMDRQHSDWFTKMQQERENFTKDIGIQRNELENSINERREEIETYLREKEESFEKDKVKELQLINSQKDMIAKQLEHVASEMQKLNTERLEIAQDREQREREWADIKRFTEALDLQCEKLQKQRELLHAEREEINQKIQQLKKLEELQIESENRALSVMQTDKCDASVGKSCQCINGADRHIATPNGVSTMKLLPQGTPNPSTPTSVTKSWIKKCTEAMFKHSPEKDSDTGHEENVESKMLAKSRDFRFSEMDLQGHGNFAEGKEVSVQEMDNFTPKRTKSNRQEKVNGQEIKCVRCNFDEQNMISDARPVAKSAQSPSEVGANSIKFNQALEDSGQKSRTLFSSINSWISRRKRSNDMLSHDHADMDSEPNPKQQKRPRQNGNSDVEGDSSNGLAEQQPNIDDECEPVLRNQTSGCEQLHAVAFKDQQHENMVVPNAEPIESSQHKLAVSNFDIVENGNFCKFEHSPLAGVGAATSSDANEISMKDKQVFDKEHIARKPSQETSVSASDLIVEDNDKLKEQDRYNEVLDELEDEDDGSGLSVKEKIWNFLIT >Ma06_p33420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34059365:34069455:1 gene:Ma06_g33420 transcript:Ma06_t33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRPGGTPASRAVNASKTPATGGTPLGDDAIWKRLKESGLDEESVKRRDKAALISYITKLESEIYEYQHHMGLLILERKELVSKYEQVKASSDSAEIAYKREEAKRSSALAEARKRELNLEKLLGIQKECVANIEKALHDNLVESAERKLGYESKIAEAHAMMTAAQEKLDEAEKKLLAAESLQAEANRTRNTAIRTLDDVEAREDELRRRLATFKSQCDAKENEISIQRQALYESQKTLHQQQERFLEGQTLLNQREEYIFERTKELNRIEKELEESKANIEEESRTLKLERSNLDLEIAALRNREEVIVKRESMLDKRERELLILQEKIACREHDEIQRIMEEHQSILEKKKSELEADIEQRHLLLKNELEAKKIACEIREADLCSREISLQEKEHAIELQSSVLAKKQEDVANKLRLLEDKEHNLSSTKREAEIEVQNMQKEREIFLKMKVDLEKTKAVLEDEKKEIILAEEKFEITLGERNELLLLENKLKEEIDSLRAQKLALVAEADILKAEKEKFEIEWEMIDEKREDLQKEAERIDEERKTLAQYLKNEHDSIKLEKENLHNQFKRDVERLSCEREEFICEMDRQHSDWFTKMQQERENFTKDIGIQRNELENSINERREEIETYLREKEESFEKDKVKELQLINSQKDMIAKQLEHVASEMQKLNTERLEIAQDREQREREWADIKRFTEALDLQCEKLQKQRELLHAEREEINQKIQQLKKLEELQIESENRALSVMQTDKCDASVGKSCQCINGADRHIATPNGVSTMKLLPQGTPNPSTPTSVTKSWIKKCTEAMFKHSPEKDSDTGHEENVESKMLAKSRDFRFSEMDLQGHGNFAEGKEVSVQEMDNFTPKRTKSNRQEKVNGQEIKCVRCNFDEQNMISDARPVAKSAQSPSEVGANSIKFNQALEDSGQKSRTLFSSINSWISRRKRSNDMLSHDHADMDSEPNPKQQKRPRQNGNSDVEGDSSNGLAEQQPNIDDECEPVLRNQTSGCEQLHAVAFKDQQHENMVVPNAEPIESSQHKLAVSNFDIVENGNFCKFEHSPLAGVGAATSSDANEISMKDKQVFDKEHIARKPSQETSVSASDLIVEDNDKLKEQDRYNEVLDELEDEDDGSGLSVKEKIWNFLIT >Ma04_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26973449:26975892:-1 gene:Ma04_g25160 transcript:Ma04_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGNVKAQAKSCQFFSVLSAAVCEWYLIIFLLINAVLAYVATRFARLCNLPTPCLLCSRLDHILGSERRGFYRNLFCHAHKVEISSLVYCHGHGKLADFRDMCKACLLSSVATKKANSEACRMLGRNGEDPDNVALPNEDLEPASSGTGLCSCCSDSFNLHRLLQAKKKKKKKLEAGVPNRRAQLRKRRVGYNGLVITSDSESEMPMSDDDDDDGDDGHVTKDIKEGRVMRRRLPQGTATIVLEDTVPEKLIHPNPVASPHPILDPERRSDEADSCDKPFLKSTVAIGHGLDDLSRSHVVEAGLVDLSESTPEQAPREVTDRPSATYPGVRKVSSTNSLTSQIMNDSIVVTRSVLDHTDSFKHAINNKGIMLSPKFSEIIAGKESSRGQEDLKLRLSRAFDLPWSDIIASPRAEDLKSSDASSSIGLQNIAKRLSVERTNSSLETFDASIVVSDIEGETSVDRLKRQIELDRKCMSALYKELEEERSASTIAANEAMAMINRLQEEKAAMQMEAFQYLRMMEEQAEYDQEAIHKLNDVINERDKELLDLETEFERYRRRHRGAEPEEKRTVSVGDLESTGLSPATTPRFMESRELLSPASTRRRTRSLYRSMSEKIDMIPQEGDGHGAKDLELGFEEEKVHILACLRRLQNKFSMLPTDKVRADDTIVDVNLENSHSGVEVLPENGSSPSRTHSNVEATTTMDNQFSADQQHYTSDACRDMNSVQEEVAKLIKRVVELDADREFIGHTMNALNYGNDGVLLVQEIACQLKELRRIPLAAKEPNVA >Ma05_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28141902:28143134:-1 gene:Ma05_g19630 transcript:Ma05_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCHDEINGLKKGPWTPEEDQILVEYIQTHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPSTDFFAALPQLIALANLIDGRPWDDHTARLLAQAEATEAAAKLQCFQHLLQSASAMPNISTADLANMSLPSIPSPTPPQCLTNDHDHDQMNQLPSIFFEPSVSNETGQCSTMTGFSQGEDSSLPPLADVSAANQGDACSISSCNGDETPYIWPEFFLDDQFMTGFA >Ma07_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2992855:2994870:-1 gene:Ma07_g03980 transcript:Ma07_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVADIVVVGAGIAGLAVALGLHRSGLRSLVLESSDSLRASGFAITTWTNAWRALDALGVGDALRQQHVRLEQLVAFSASSGSVTTRLSLRARGKHIGKHEVRCLKRNLLVEALAQELPHGTIRYSSKVVSVEEAGTVKLLHLADGSTLRAKVLIGCDGVNSVVAKWLGLKVPAFAGRYAARGIATFPDGHAINPEFAQHFGTGYRSGMLPCDKKSVYWFFTWTSDGEDKEMRKDAARVREFVLSKMKTAKVPEEVLHVIERSELSGVASSPLRYRSPLNLLWGDISKGNVCVTGDAFHPMTPDLGEGGCAALEDGVVLAKCLAQALIGAGQERSEEDESRRIEAALRKYANARRWRNLDLVVTSFVVGFIQEGGNWVMNMMRDEVLSGLLAKKLLSEADFECGNL >Ma03_p05750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3947413:3962869:-1 gene:Ma03_g05750 transcript:Ma03_t05750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDGAEARIAGNPDASSSYLHKFRLYETRSKFYMIGRDKSRTLWRVLTIDRSDASELNIREDATTYTESECNELLKRIDEGNRLTGGLKFVTNCYGIVGFVRFLGPYYMLLITKRRQIGIIYGHAIFAVTKSEMIALPNSAIHSNMAYSKNENSYSYHVMRSLQKNISDSQRGEVLYESMFVWNEFLTRGIRNQLKNTLWTVALVYGFFKQAKLSVSGKIFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEEIPGGTPTHICSVVQNRGSIPLFWSQETSKLNLKPDIVLHRKDKDYEATQLHFENLVKRYGNPIIILNLIKSEEKKPRESILRVEFANAIEFLNKDVPSENRLMFLHWDLHKHSRSKAKGVLTLLGKVAAYALNLTGFFHCEVTPALEFDGALREPVILKDNVDPWSSSYLDNNDNSNNDNNTDGDIIHGDGFQEDNMMEDSSQEEKTVGDGSQEDNMVNHAISEQRTAENEDSNCGICLTKSIRFQKGVLRTNCIDCLDRTNVAQYAHGLAALGHQLHALGLIRIPRIDLDAPLADDLMSFYEKMGDTLALQYGGSAAHNKIFSERRGLWKAAVQSQEFFRTLQRYYSNAYMDAEKQAAINLFLGHFHPQQGKPAIWELDSDQHCNVGKSVHGFVDEYSRSFIKRSLSDGNILCESNMPFSGHPVGQRETSTLSGRNQQEHQKNLSDSTPEISTCESDISYSRYTPMMSGRQLFADSEHTFVYEHGDSNFLDLDWLSSSGNSCDEEICDRLNSPLENFSTENVNGINAEATPPLSENGSGTKGKQTLEKEMTYDPLRNYDTLGEFSDSFIRWVNYGETLCH >Ma03_p05750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3947413:3962869:-1 gene:Ma03_g05750 transcript:Ma03_t05750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDGAEARIAGNPDASSSYLHKFRLYETRSKFYMIGRDKSRTLWRVLTIDRSDASELNIREDATTYTESECNELLKRIDEGNRLTGGLKFVTNCYGIVGFVRFLGPYYMLLITKRRQIGIIYGHAIFAVTKSEMIALPNSAIHSNMAYSKNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNISDSQRGEVLYESMFVWNEFLTRGIRNQLKNTLWTVALVYGFFKQAKLSVSGKIFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEEIPGGTPTHICSVVQNRGSIPLFWSQETSKLNLKPDIVLHRKDKDYEATQLHFENLVKRYGNPIIILNLIKSEEKKPRESILRVEFANAIEFLNKDVPSENRLMFLHWDLHKHSRSKAKGVLTLLGKVAAYALNLTGFFHCEVTPALEFDGALREPVILKDNVDPWSSSYLDNNDNSNNDNNTDGDIIHGDGFQEDNMMEDSSQEEKTVGDGSQEDNMVNHAISEQRTAENEDSNCGICLTKSIRFQKGVLRTNCIDCLDRTNVAQYAHGLAALGHQLHALGLIRIPRIDLDAPLADDLMSFYEKMGDTLALQYGGSAAHNKIFSERRGLWKAAVQSQEFFRTLQRYYSNAYMDAEKQAAINLFLGHFHPQQGKPAIWELDSDQHCNVGKSVHGFVDEYSRSFIKRSLSDGNILCESNMPFSGHPVGQRETSTLSGRNQQEHQKNLSDSTPEISTCESDISYSRYTPMMSGRQLFADSEHTFVYEHGDSNFLDLDWLSSSGNSCDEEICDRLNSPLENFSTENVNGINAEATPPLSENGSGTKGKQTLEKEMTYDPLRNYDTLGEFSDSFIRWVNYGETLCH >Ma03_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3947413:3962869:-1 gene:Ma03_g05750 transcript:Ma03_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDGAEARIAGNPDASSSYLHKFRLYETRSKFYMIGRDKSRTLWRVLTIDRSDASELNIREDATTYTESECNELLKRIDEGNRLTGGLKFVTNCYGIVGFVRFLGPYYMLLITKRRQIGIIYGHAIFAVTKSEMIALPNSAIHSNMAYSKNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNISDSQRGEVLYESMFVWNEFLTRGIRNQLKNTLWTVALVYGFFKQAKLSVSGKIFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEEIPGGTPTHICSVVQNRGSIPLFWSQETSKLNLKPDIVLHRKDKDYEATQLHFENLVKRYGNPIIILNLIKSEEKKPRESILRVEFANAIEFLNKDVPSENRLMFLHWDLHKHSRSKAKGVLTLLGKVAAYALNLTGFFHCEVTPALEFDGALREPVILKDNVDPWSSSYLDNNDNSNNDNNTDGDIIHGDGFQEDNMMEDSSQEEKTVGDGSQEDNMVNHAISEQRTAENEDSNCGICLTKSIRFQKGVLRTNCIDCLDRTNVAQYAHGLAALGHQLHALGLIRIPRIDLDAPLADDLMSFYEKMGDTLALQYGGSAAHNKIFSERRGLWKAAVQSQEFFRTLQRYYSNAYMDAEKQAAINLFLGHFHPQQGKPAIWELDSDQHCNVGKSVHGFVDEYSRSLSDGNILCESNMPFSGHPVGQRETSTLSGRNQQEHQKNLSDSTPEISTCESDISYSRYTPMMSGRQLFADSEHTFVYEHGDSNFLDLDWLSSSGNSCDEEICDRLNSPLENFSTENVNGINAEATPPLSENGSGTKGKQTLEKEMTYDPLRNYDTLGEFSDSFIRWVNYGETLCH >Ma03_p05750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3947413:3962869:-1 gene:Ma03_g05750 transcript:Ma03_t05750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDGAEARIAGNPDASSSYLHKFRLYETRSKFYMIGRDKSRTLWRVLTIDRSDASELNIREDATTYTESECNELLKRIDEGNRLTGGLKFVTNCYGIVGFVRFLGPYYMLLITKRRQIGIIYGHAIFAVTKSEMIALPNSAIHSNMAYSKNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNISDSQRGEVLYESMFVWNEFLTRGIRNQLKNTLWTVALVYGFFKQAKLSVSGKIFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEEIPGGTPTHICSVVQNRGSIPLFWSQETSKLNLKPDIVLHRKDKDYEATQLHFENLVKRYGNPIIILNLIKSEEKKPRESILRVEFANAIEFLNKDVPSENRLMFLHWDLHKHSRSKAKGVLTLLGKVAAYALNLTGFFHCEVTPALEFDGALREPVILKDNVDPWSSSYLDNNDNSNNDNNTDGDIIHGDGFQEDNMMEDSSQEEKTVGDGSQEDNMVNHAISEQRTAENEDSNCGICLTKSIRFQKGVLRTNCIDCLDRTNVAQYAHGLAALGHQLHALGLIRIPRIDLDAPLADDLMSFYEKMGDTLALQYGGSAAHNKIFSERRGLWKAAVQSQEFFRTLQRYYSNAYMDAEKQAAINLFLGHFHPQQGKPAIWELDSDQHCNVGKSVHGFVDEYSRSFIKRSLSDGNILCESNMPFSGHPVGQRETSTLSGRNQQEHQKNLSDSTPEISTCESDISYSRYTPMMSGRQLFADSEHTFVYEHGDSNFLDLDWLSSSGNSCDEEICDRLNSPLENFSTENVNGINAEATPPLSENGSGTKGKQTLEKEMTYDPLRNYDTLGEFSDSFIRWVNYGETLCH >Ma06_p34590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34756355:34757915:-1 gene:Ma06_g34590 transcript:Ma06_t34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEEWPEPIVRVQTLADAEAVPERYIKPPSERPNLNPGTALAPSETGSLPVIDLAGLSGGAAERRATMLAVSDACRDWGFFQVVNHGVSPELMEGMREVWTAFFRLPMAEKQAYANSPKTFEGYGSRLGVKKGAILDWGDYFFLQLSPHSIRNYDKWPVLPASLRAMTEAYGEELEKLCGVIKKVLSATLGLDEEFLHRAFGEAGACLRVNYYPKCPQPDLTLGLSPHSDPGGMTVLLTDHHVKGLQVRKGDDWITVEPVPGALIVNIGDQIQVLTNATYKSVEHRVVVNAATERLSMAFFFNPNDDLPIQPAAELVTPEAPPLYKRLTFKEYKLFMRMLGPRGKSHVDFVKST >Ma04_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9474939:9480323:1 gene:Ma04_g12570 transcript:Ma04_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPSRYERQGTMTREKRGLDAGDDDSLHPEPKRPKVPALASVIVEALKMDSLQKICSSLEPILRRVVSEEVERALAKLSPPKIGGR >Ma11_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23589268:23592243:-1 gene:Ma11_g18560 transcript:Ma11_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQQRNASALPTPAQVSHLVVVEKERKSGFLHRAFPLLLLTLYAVGSVLRLALSSPFPSSFVQPSAASSFSAEPRQDVESLRTHIASETNHLQVHEENPGDPPLPCSARIDGRTEGAAAANRDGALVCCDRSHVRSDLCYARGDVRTDSRSSSILVYGAADGKSAAPATEEKIRPYTRKWDTEITRTIQEISIRPMPSAAINGSQTRACDVRHEGVPGLLLSNGGYTGNLYHEFSDGLVPLYVTAERFKGEVVLVVAEYRPWWLARYGPVLQRLTNYELVDFSRDTRVHCFSEMIVGLRIHGELIIDPWLMPNGNSIQDFQGLLREGYSSVVQPRTVPPETPPFLRPLLRSSRHDHSQQHGSSNHRPRIAIFVRKGCRVLLNLREVVRACQRIGFDVQLIEPKRSTPLDVIYRALAPADVMLAVHGAAMTHFLFMRPAAVLIQIVPLGLEKPAEEFYGGPARRLGLEYMAYNITPEESSLAKVYDRQSPVLLNTSVITSKGWMEMKKIYLDKQNVRVNVKRFTKLLAQAHTMSVDYRKGFSQQRVKD >Ma05_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16262474:16262930:1 gene:Ma05_g16360 transcript:Ma05_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVVKLLLFAALLLHISTTADCQGCDLSSIQVQQTNTGEKAGNDKVFEVEVKNVCSCTISSVFLRSVGFSSSIVVDPKQFRREGTDYVVNDGKGIPSSQSIKFHYAWDRAFAMSPASLKVNC >Ma11_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:307192:313605:1 gene:Ma11_g00450 transcript:Ma11_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLASSKSLLGGRRSDGVSGLGFRQPSDLRRLSSSAIQISIRRWASLPARRLEVRAGGDKFGKHFEVTTYGESHGGGVGCVISGCPPRIPLSEEDLQFELDRRRPGQSRITTPRKETDTCRILSGIHEGMTTGTSICVFVPNTDQRGHDYSEMSLAYRPSHADATYDFKYGLRAIQGGGRSSARETIGRVAAGAVAKKVLNMYMGTEILAYVSQVHKVMLPEGVVDNETVTLDQIESNIVRCPDPEYAQKMIEAIDAVRVKGDSVGGIVTCIARNVPRGLGCPVFDKLEADLGKAMLSLPATKGFEIGSGFAGTFMTGSEHNDEFYMDEHGNVRTRTNRSGGIQGGISNGETIYMRIAFKPTSTIGKKQKTVTRDRQETDLIARGRHDPCVVPRAVPMVEAMVALVLLDQLMSQTAQCGLFPVNPALQQQIAPDPNGTLLTPKLV >Ma09_p27850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38738656:38740677:1 gene:Ma09_g27850 transcript:Ma09_t27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSSSGSEGEEEMQMTPLQKHVAFFDRNNDGVIYPSETYRGFRAIGSGVALSAVSALFINGFLSPKTSPGKIPSPLLPIYVKNIYKGKHGSDSGVYDSEGRFVASKFEEIFQKHAKSNPNALTSKELMEMLRANRDPKDYSGWLASWTEWKVFYMLCKDKEGLLQKETIRALYDGSIFLKMEAERKSSKKKA >Ma04_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8090079:8091378:1 gene:Ma04_g11460 transcript:Ma04_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFKKFKESVELPLTHPELYENIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLCIVGSELIQKYLGDGPKLVREHFRVAGEFSPSIVFIDDIDAIGTKRDMMLILVVSMRHREPCWKLKSLIQPCFSLVVLIGRLNFHRQILKQDDVFFRYIPLE >Ma09_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7081863:7086968:-1 gene:Ma09_g10400 transcript:Ma09_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAPSRKSLSKIACNRLQKELVEWQVNPPAGFKHKVTDNIQRWVIEAIGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTAKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >Ma03_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3151164:3154903:1 gene:Ma03_g04780 transcript:Ma03_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTVLSPATLPPRIQLQAFKLGLGGREPPARPLRLAARPRFLRGWQPLRLKAVPRAVREEGLSPWDDKPYELLPGGRRSYLDEQDVVSFLNPPKEFIPIDPASYHPAAYLWKKIGDIPEERRHRLLSIVKGRLVSRCWELAGTRYQDAKLAKQNASALLTFENNSTALEFWNCRTSGGPLPVEWLNDFGKVIFHGKDGDTYGRIIIGGSIPFGLGKYYSPLYFKVTPLMEVMSTEQPCDVAYEFGNGLLDPWKIPAGFPKPAEHPWPFNDHLVIYVRHAGPGVMVGQAWQEGKELEQVPKKLCGEILMVKYFAAGGQWKEGCAESD >Ma05_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27932330:27940830:1 gene:Ma05_g19480 transcript:Ma05_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRILRPVIAVALFLLFLRLPPRATGQRPEVVTARHGAVATDDRRCSRVGRDVLREGGHAVDAAVAAGLCLGVVSPASSGVGGGAFMLVRAASGRAHAFDSRETAPLAASENMYAGNAAAKASGALSVAVPGEIAGFYEAWKQYGKLPWKRLVMPAANIAEKGFRISPYLYMQMNRTKLGIFTDKGLRDIFTLNGRSLLQQGDVCHNKRLAHTLKAISDHGPDVLYNGPIGIKLIRDIKKSGGILTIKDLQRYQVKVKEPISSEILGLNILGVPPPSSGGAGLILILNILAQYGIPSGVSGSLGLHRFIESLKHMFAVRMNLGDPDFYNVTAVLSDMLSPKFAEDLKKTINDNKTFPPNYYGGRWNLINDHGTSHLSIVDSDRNAVSMTSTVNSYFGSQILSPSTGILLNNEMDDFSIPNSSSANIPPPAPANFIRPLKRPLSSMSPTIVVKDGQLKAVVGASGGAMIIAATAEILLNHFAQRMDPLSSVLAPRSYHQLIPNVVQYENWTTVTGDHFEVPAATRAALQKKGHVLQALSGGTICQFIVVRSSLEKSATVGGELTAVSDPRKGGLPAGY >Ma08_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7640323:7640958:1 gene:Ma08_g10450 transcript:Ma08_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPIQIRSAIWSSFETAYPQAKYQAPKEAGAVVPTSYEAFETAIKETFEKLVEEGKISPVSEVKPPQIPEDLKSILYSS >Ma03_p29220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32196428:32202411:-1 gene:Ma03_g29220 transcript:Ma03_t29220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCYMPSQYLIRKTSNITVGCSVEDLKWGPVNHIFGHTDRKLVHRRTNELSHLNAASRHPSGSKSEASRWKSALTSLNAFYRFSRPHTVIGTITSIISVSLLAVESLTDISPSFLTGLLEAVIAALFMNIYIVGLNQVYDIEIDKVNKPNLPLASGEYSLRTGVAVILTSAAMSFGVAWVVGSLPLFWALFISFILGTAYSVNLPFLRWKRFAVVAAVCILAVRAVVVQLAFFLHMQTFVFRRSVSFSRPLIFATAFMSFFSVVIALFKDIPDIEGDRIYGIRSFSVRLGQKRVFWICVCLLEMAYSVAMVIGATSSCIWSKFVTVLGHAVLASILWKRARSLDLMSKAAITSFYMFIWKLFYAEYLLIPLVR >Ma03_p29220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32196429:32204544:-1 gene:Ma03_g29220 transcript:Ma03_t29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLRPFSFFPCRSSPGSRRGCYMPSQYLIRKTSNITVGCSVEDLKWGPVNHIFGHTDRKLVHRRTNELSHLNAASRHPSGSKSEASRWKSALTSLNAFYRFSRPHTVIGTITSIISVSLLAVESLTDISPSFLTGLLEAVIAALFMNIYIVGLNQVYDIEIDKVNKPNLPLASGEYSLRTGVAVILTSAAMSFGVAWVVGSLPLFWALFISFILGTAYSVNLPFLRWKRFAVVAAVCILAVRAVVVQLAFFLHMQTFVFRRSVSFSRPLIFATAFMSFFSVVIALFKDIPDIEGDRIYGIRSFSVRLGQKRVFWICVCLLEMAYSVAMVIGATSSCIWSKFVTVLGHAVLASILWKRARSLDLMSKAAITSFYMFIWKLFYAEYLLIPLVR >Ma06_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7094445:7096940:-1 gene:Ma06_g10250 transcript:Ma06_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTVYGDHWRKMRRIMTVPFFTNKVVQQNRQGWEEEAQQVVEDVKRDTQAATQGIVLRRRLQLMMYNNMYRIMFDYRFQGMDDPLFNKLKAANGERSRLAQSFEYNYGDFIPILRPFLRGYLRKCQDLKDSRLKLFNDHFVAEKRKKMEELGSKMELKCAIDHILDAERRGEINYDNVLYIVENINVAAIETTLWSIEWGVAELVNHPGIQRKLRQELDAVLGPGVPITEPDIPKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWWLANNPANWKNPEEFRPERFLEEEAKVEANGNDFRYLPFGVGRRSCPGIILALPILCITLGRLVQNFELLPPPGTDQVDTTEKGGQFSLHILKHSTIVCKPRA >Ma03_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29193602:29199459:-1 gene:Ma03_g25060 transcript:Ma03_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTFCYHGPLPLKLRLLTVRAVNSPEPAVDSIDSGSLRLQLLERVDEELKKGNERGALSLINETHGKPGGLRCFGTARQVPQRLYSLDELKLNGIDTSSFLSPIDVTLGSIERNLQLAAVLGGVSAWFALGFSPAQILYFSLGLLFLWSLDLIYFNGGVRNLVLDTIGHKVSQKYHHRVIQHEAGHFLIAYLLGVLPKGYTLSSLEALIKERSLNVQAGTAFVDFEFIEEINTGKVSAKMLNRFSCIALAGVATEYLLFGYSEGGLADIDKLDTLLKSLGFTQKKADSQVRWAVLNTILLLRRHEKARSQLAEAMSLGKSVGSCVDVIENSIEAADV >Ma09_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11627862:11628353:-1 gene:Ma09_g16270 transcript:Ma09_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLIFFIRHSALVRPLSESGRLRVARDMAKLELTVGQNLFPVGAPYQALGALRPVIFLETSQLGGSPLLKDLPSSMILHHLSYRAPDELQSPLQRNNLTPLQNSLWLDSQGEDQIWKGIKATLDDYEIKVRARGDQEFSPVYPLMLQISSSLAKSTSPKY >Ma07_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2791548:2801739:1 gene:Ma07_g03650 transcript:Ma07_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGNPNENNTSIFADRKPPKRLVLPFGVRPPVAEALTKVDASFCDPKNNNECINLGSQGGECAANCCLPIPPGAKIVDFKRPSRSTPLRVRPAAHLVDPEYLAKYKKAIELMKALPADDPRNFMQQSNVHCVHCDSIPDNDIQVHQNWFFYPWHRWYLYFNERILGKLIGDDNFTLPFWNWDSLGGMMLPSIYADPSSPLYDNLRDAKHQPPFLVDFDFNGTDPGFTDAQQIDHNLKIMYRQFFSNGKKPMLFLGSPYRGGDKPNPGGGSVENTPHNNVHTWTGDRTRPNFEDMGTFYSAGRDPIFFAHHANIDRMWSLWKKLSRKHRDFNDSDWLKTSFLFYDENADLVRVTVKDCFKTRWLRYKYQDVEIPWVKARPTPKLTKARKAASGSLKPTAEAQFPVTLESPVSATLKRPKVGRSRKEKEEEEEVLIVEGIEFDRDQFIKFDVIVNATEGDGITPADSEFAGSFVNTPHRHRHLKEENKGTTRLCLGITDLLEDIGGEADDGVLVTIVPKAGKGKVSVGGLRIDFTK >Ma08_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29893702:29900986:1 gene:Ma08_g18340 transcript:Ma08_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKKRNFKIEAFKHRVELDPNYAERTWRVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKYGEKLYSGLVNTMTGHLKEIARSIEAAQGGFFLEELNVKWGDHNKALQMIRDILMYMDRTFVPSNHKTPVHELGLNLWRDNIIHSSKIQTRLLDMLLDLIHRERTGEVINRGLMRNITKMLMDLGSSVYQEDFEKPFLGVSASFYSVESQQLIECCDCGEYLRKAERRLNEEIERVSHYLDVKSEVKITSVVEGEMIANHMQRLVHMENSGLVSMLVDDKYEDLSRMYNLFRRVPDGLSTIKDVMTSHLRETGKQLVSDPEKLKDPVDFVQHLLDEKDKYDKIIRKAFNNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGVSEEDVEVVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKSVSDDTERSMIVKLKTECGYQFTSKLEGMFTDMKTSIDTMQGFYSSQYSEIGDGPTLAVQVLTTGSWPTQPSAPCNLPAEILVICEKFRTFYLGTHTGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCILMLYNSTDQLTYREIEQATEIPPSDLKRCLQSLACVKGKNVLRKEPMSKDIAEDDAFYFNDKFMSKFFKVKIGTVAAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNTIVTEVTSQLQSRFLPNPVIIKKRIESLIEREFLERDKADRKLYRYLA >Ma03_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1954503:1958117:1 gene:Ma03_g02900 transcript:Ma03_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEGTPDQKTTLAKEEEEEGGATVPASEVAQEVVAEVIDEEEVTAVPVSQDAQDVSLELEQPEEREPEAPAAGVLEDLSSAAAVVPADGPPPANEEVVQVANAVAETSNTCIEAEPLSSETVEESVPALNSLVSQVPRDSTELCRETGDSEVVSATLLERRATWWNCCGLFDVLTGSRGNMRT >Ma04_p10190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7284723:7289070:1 gene:Ma04_g10190 transcript:Ma04_t10190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLVLYVDEVITPKTGAQRIEGANSSGLEATQGLACSALCTSAVDSIIEMDGKEESSVGEEEEPLLQIVECRICQEEDHIKNLETPCACSGSIKYAHRECVQRWCNEKGDITCEICNEQYRPGYTVVPRVPPVESVINISGGWTIRGSHLDLHDPRVIAMATARHGFLEAEYDEQAATNLMALLLLRQALSITDAIEEDDDDDASTYFSLFLLRAVSFLFPCYIMAWTISVLQRRRERQEAAALLSATEVASMLQQSGQGRHLQFATAPESPSTLEQETRR >Ma04_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7284723:7289070:1 gene:Ma04_g10190 transcript:Ma04_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLVLYVDEVITPKTGAQRIEGANSSGLEATQGLACSALCTSAVDSIIEMDGKEESSVGEEEEPLLQIVECRICQEEDHIKNLETPCACSGSIKYAHRECVQRWCNEKGDITCEICNEQYRPGYTVVPRVPPVESVINISGGWTIRGSHLDLHDPRVIAMATARHGFLEAEYDEQAATNVSSVACSFVVLLLMALLLLRQALSITDAIEEDDDDDASTYFSLFLLRAVSFLFPCYIMAWTISVLQRRRERQEAAALLSATEVASMLQQSGQGRHLQFATAPESPSTLEQETRR >Ma04_p10190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7284723:7289070:1 gene:Ma04_g10190 transcript:Ma04_t10190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLVLYVDEVITPKTGAQRIEGANSSGLEATQGLACSALCTSAVDSIIEMDGKEESSVGEEEEPLLQIVECRICQEEDHIKNLETPCACSGSIKYAHRECVQRWCNEKGDITCEICNEQYRPGYTVVPRVPPVESVINISGGWTIRGSHLDLHDPRVIAMATARHGFLEAEYDEQAATNVSSVACSFVVLLLMALLLLRQALSITDAIEEDDDDDASTYFSLFLLRAVSFLFPCYIMAWTISVLQRRRERQEAAALLSATEVASMLQQSGQGRHLQFATAPESPSTLEQETRR >Ma04_p10190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7284723:7289070:1 gene:Ma04_g10190 transcript:Ma04_t10190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLVLYVDEVITPKTGAQRIEGANSSGLEATQGLACSALCTSAVDSIIEMDGKEESSVGEEEEPLLQIVECRICQEEDHIKNLETPCACSGSIKYAHRECVQRWCNEKGDITCEICNEQYRPGYTVVPRVPPVESVINISGGWTIRGSHLDLHDPRVIAMATARHGFLEAEYDEQAATNVSSVACSFVVLLLMALLLLRQALSITDAIEEDDDDDASTYFSLFLLRAVSFLFPCYIMAWTISVLQRRRERQEAAALLSATEVASMLQQSGQGRHLQFATAPESPSTLEQETRR >Ma07_p25540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32580382:32589080:-1 gene:Ma07_g25540 transcript:Ma07_t25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGTDGRERGEDDTAFENQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTIFQVMMRLFSIALFDLSIKTVTKDPKRPESKDFIIPLHLVDLLGTDRVLFQLQDFHLALQQIWKVIKENRDLDLPAHKVMVATVRCEEIANENLAHMSADEKWVQLEEAVQHGIVPGFGKKLTAILNRCFSGFYFDETVRILKRQQLESKLLQLVHPHQIKLSHIQSKTLDNFKEVFDKSLEREELFAIAAFDCTQSLMLKFDKIYENFRLFEITYCIILFISKFNTLFSRDADSMPRVWTGKEDIKIIMKATCFVSLKLRSVMIAIHLDDKSYICIESASWLNKIKNLH >Ma05_p25880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37701700:37708047:-1 gene:Ma05_g25880 transcript:Ma05_t25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCFVGGKFSGKCRSSVKRIKSRIEAIGKKKQAVLRLLKNDVADLLAAGRDSDAFGRIDALISEINQSSCYEMIEQFCDLILNQLPSLRKKRECPDGVSEAVSTLIFAAARFPDLPELCDLRHVFTKRYGGQTESFVNAEFVEKVQKKEFSKEKKLQLLQNIVEEFSIRWDSWAFEQKSSNSPAVKYEQPKRAVLTRSANDATPPMLTKINKEETLSKKNHEPTPITVARTQVQEPKNNYMISTFSTDQLHGTVVKTRKVNANEIENVEPYQIDAVVPPYGNLKIKQEGSCGNDDHRYVPRQRTTKVQEQLDPRGSEKQVGIMNSWNDKPNVVPPYTKSNGNSNKSQVIEKSANGLEYDRSQRHEEWSDPNAKERLPIRSVNNKGTAINMIPPYVKPKVNDISVNGDRMIQRLGSAGHNKPCHTNDNYKDQVPSDERIMSNSLLRNSQKPAVMETNEKSIYDEKLTSQTPRSQRRHRSRRSAGANGDYFDEGKNITRHPRAPIDDESDNAIVYGKLVSQTSPWHGRNGGKHNVTTYDEEYEKEMVMDRLLIHYSKKGTTNERIKTRRRTRISSMDHVLDSDRSMDNQCSSRFQPGPGVSAAHPPERAVSLPPEPVRSAEALKLPTQAILMQSDLLSPSGRRVHSRLPEYDELAYRFAALKKD >Ma05_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36801708:36803902:-1 gene:Ma05_g24590 transcript:Ma05_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQRLSHIPPSFLITLPQGLLELDIADRIYVDPDTTTRFSTDFGRLTRAPPSAVLYPSSPDDIAALVRFSYFSLHPFTVAARGCGHSVRGQALAPGGVVVDMPSLGRGRDDRINVSRGDGSSFWYVDVGGEQLWIDVLHETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVYELEVVTGKGEMMICSHNENPALFYGVLGGLGQLGIITRARIAVEPAPERVRWARLIYTDFVSFTRDQELLISMSEEGFDYVEGSLLMMDHSLIGNWRSSFFSDRDWEKVKLLASQFGAIYCLEGAVYYELATASSVDQKLDSFLKQLSFVPGFAFAKDVSYMAFLDRVHDGEMKLRSMGLWDVPHPWLNIFVPKSRIRDFEIGVFKGILKPNNSMGPVLIYPLKKNKWDEKMSAVIPDEEIFYSIGLLRSAIMGDWEHLDDQNDEILRFCHREGIEYKQYLPHYTAQTDWMKHFGLKWNVFVDLKRRYDPKLVLSPGHRIFTSSLIEHVSEQEILFLKLY >Ma06_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4269248:4271659:-1 gene:Ma06_g05770 transcript:Ma06_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKPELQGNRKEGFSRCQSRRQKKIALQQDVDKLMKKLRQEENIHRALERAFTRPSGALPRLPPYLPSHTLELLAEVAVLEEEVARLEEQVVKFRQGLYQEAICISSSKQSKEGESNLCLDGRLFECSKTSKPSGMERFGLSFDHSANIKNTPEKQRFPLAFHEDKRGKENQWITNFSRNSKRSPVKHADAQTECAVTDRERDYASDEVIPNKLSEDIVKCLMNIFLRMSSKKIEDGMETSPSTSASCERSVEADFQDPYGICAEFGKRDIGPYKNFRSIEVSWNHQNLTASASLKRRLKVLLRKLESVDLSELTHQQKLAFWINIYNSCMMNAFLEQGIPTNPEMIAASMSKAVINVGGHFLSAITIEHIILRFPYYWKNVSPKEPKNGAMPIRGIFGLEWWEPLVTFALSCGSWSSPAVRVYTAAQVENELETAKRDYLQAAVGISTPNKLAIPKLLDWYLLDFAKDVWSLMDWICLQLPSELRSEAAKCLEMDRRIVPLAIQVLRYEFRFRYILAP >Ma10_p27450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34730009:34731270:1 gene:Ma10_g27450 transcript:Ma10_t27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRTVEQICFPVLLCPLVSFQVIDTLFREGLQRPYSYPLSPSYLSMSPFSLNQSPTVPVEEGERDPGQASSFSCPSFFGSRHDPRAYLCMDRQEPKERFLPHPVDSKDDGTLKLSLCDPYVTEEGVVADRAGQWMSSKMRFMRKMMNSSHIVVSKQPRGSMRITPDDQSRSHRLGYSGSNRSNNSPSGIIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQSKARRAMAAAAPNGGLIIPATSPAKAPRQEKIDIDRTLPFKKRCKIDTASSSSSSSSSSTTTTTTASSSRKHCFGNVTSSSNKSSAIQRVFPQEERDAAILLMGLSCGLIRS >Ma10_p27450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34729542:34731270:1 gene:Ma10_g27450 transcript:Ma10_t27450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSQPKERFLPHPVDSKDDGTLKLSLCDPYVTEEGVVADRAGQWMSSKMRFMRKMMNSSHIVVSKQPRGSMRITPDDQSRSHRLGYSGSNRSNNSPSGIIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQSKARRAMAAAAPNGGLIIPATSPAKAPRQEKIDIDRTLPFKKRCKIDTASSSSSSSSSSTTTTTTASSSRKHCFGNVTSSSNKSSAIQRVFPQEERDAAILLMGLSCGLIRS >Ma08_p17330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24470893:24480815:1 gene:Ma08_g17330 transcript:Ma08_t17330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRGGLTPPLDIEQILVEAQHRWLRPAEICEVLQNYRKFRIAPEPPRRPQSGSIFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENENFQRRSYWMLEGGLMNIVLVHYREVKDKPSLSRARDVEEVVQVIQMDSPVTSFSATTQSQPPSQLMGADSPSSAHISEYEDAESAYIKRNLMQHIFIKQVPDTTLSLRCGSMMIE >Ma08_p17330.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24470893:24480815:1 gene:Ma08_g17330 transcript:Ma08_t17330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRGGLTPPLDIEQILVEAQHRWLRPAEICEVLQNYRKFRIAPEPPRRPQSGSIFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENENFQRRSYWMLEGGLMNIVLVHYREVKDKPSLSRARDVEEVVQVIQMDSPVTSFSATTQSQPPSQLMGADSPSSAHISEYEDAESDHATCVSAYIKRNLMQHIFIKQVPDTTLSLRCGSMMIE >Ma08_p17330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24470893:24480815:1 gene:Ma08_g17330 transcript:Ma08_t17330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRGGLTPPLDIEQILVEAQHRWLRPAEICEVLQNYRKFRIAPEPPRRPQSGSIFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENENFQRRSYWMLEGGLMNIVLVHYREVKDKPSLSRARDVEEVVQVIQMDSPVTSFSATTQSQPPSQLMGADSPSSAHISEYEDAESDHATCVSAYIKRNLMQHIFIKQVPDTTLSLRCGSMMIE >Ma08_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24470893:24480815:1 gene:Ma08_g17330 transcript:Ma08_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRGGLTPPLDIEQILVEAQHRWLRPAEICEVLQNYRKFRIAPEPPRRPQSGSIFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENENFQRRSYWMLEGGLMNIVLVHYREVKDKPSLSRARDVEEVVQVIQMDSPVTSFSATTQSQPPSQLMGADSPSSAHISEYEDAESAYIKRNLMQHIFIKQVPDTTLSLRCGSMMIE >Ma03_p29790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32655233:32658829:1 gene:Ma03_g29790 transcript:Ma03_t29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGSFQDGRIASKRLMMLVALLLVSCCPGYGFGDDDHVEVEGISSSCIESERRALLAIKSEMYDPDNWFSTWTGKDCCGWRGVACDNTTGHVTKLDLRYPYTYELWDMFNDGETIGVSKVNPSLQELKYLKYLDLSMNNFSHAPVPTMIASLVHLEYLNLSNAMFDGLIPPQLGNLSNLHYLDLQGWYYDDFLHVDDLDWLSRIPSLKYLDMSYVNLSKATNWFYIINSIPTLEVLHLIYVDLPYVPSPLPPFNLTDIATLDLSLNSNITSAMLRWLSNATSLENLLLSGCGSLTIESVQVALGALLNLKELDLSFNSLKGEILEILNNVSSSGLKHLDLRWNQLSGDIPRGSLRDLEYLDLSTNLIVDVHILASLGNLTNLRHLDLGYNLISGEIPPTVGDAVRLEYLYLSYNGIIGKIPQSMGNLSNLLELHLSGNKIVGWIPPSIGNLSNLLELHLSGNKIVGWIPPSIGNLTNLVYLDLSRNNIVGWIPPSIVNLTNLVHLDLSMNNISGYIPETLGTLIHMEELYLFNNRISGQIPETIGDLQNLRILFLSNNHIFGQIPKKIGKLHYLQNLDMSYNNLSGQIPTTLGDLCNLTVLDLSHNNIGGDLTNLFYGLSTCSQGAFLSSLVLKGNNLSGIIPSSMGQLSRLQEVDLSSNSLAGNITEAHFLNLTSLSKLIIASNSLNVMLPNDWRPPFNASIIDMSFCHLRGKFPAWIRTQQQLQSLYLSGVGVSGSLPIWFSNFSKGENKLFGKIPKWIGRNLSSLKVLSLRSNLLNRVIPENIVNLTSLQVLDLSSNNLFGSLPSSLGNFTAMVEVQNDTRSLIEDYYSYIESILFTTKELIVEEHCSYSESILLTTKGEIADYTTILSLVTFIDLSNNQLSGEIPKDLTKLLGLRFLNLSNNHLTRRIPENIGDMKTLESLDLSVNSLIGEIPSSFSAMHFLERLNLSYNNLSGKIPTSGQLSTFDPSTYVGNKGLCGMPLPACPVYQTPPDARVKDDEKLDKLLEYTSIVVGFVVGFWLFIGTLIMKQAIRFAFFRRIDKTIDWIYVQFAVKLAKLKSKWQTTT >Ma06_p35430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35258465:35260108:1 gene:Ma06_g35430 transcript:Ma06_t35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFFFVAHLLFVVFLSGRSCQALDRATDFCFSFDGFEKNRSFESEFELFGDAEVSGSSAVRITRPANSSFGRIAYRKSIRFLGTTPGFSSYLSFSIPPGDGQVLAFFLMPSSVPLESDRFGLSTSLVAVKFGRSNREKYSNGSGTLIEIDVGGQALKKSSNLSGVEDKLQSWIDYDGKSKRIEVRLSHSRDSSRPMNSSISCSVDLSNALWREAVTVGMSCWNANSTKTSTLYRWNFTSKHGSPYLMHSEPLNPNSFLVRPTESPPPLLHPRRGGAYPWGVFMAMLFAAACGAMVAFFVVFMWLALVSRRPVAPVEYPAALPVGVACGKMVSAGSERVGDGKK >Ma04_p28310.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29379266:29386144:1 gene:Ma04_g28310 transcript:Ma04_t28310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRGSHRPTIIYRPIQPSDLEVLEQIHLALFPIRYERDFFLNVVHGHGIVSWAAVDGQNDELVGFITTRLISARESEIADLLRHRSSRKELMLVYILTLGVVEHYRNYGIATSLVREVIKYASSITNCRAVYLHVISYNLPAIKFYRKMLFKFIRRLQNFYYINGQHYDSYLFVYFVNGSHSPCSPLNIVAAVANYLRGLLKMLASKLWRNEETHIPVWSKCSETKTLLVTPNKRILGAENSACRVCTSQLT >Ma04_p28310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29381531:29386144:1 gene:Ma04_g28310 transcript:Ma04_t28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRGSHRPTIIYRPIQPSDLEVLEQIHLALFPIRYERDFFLNVVHGHGIVSWAAVDGQNDELVGFITTRLISARESEIADLLRHRSSRKELMLVYILTLGVVEHYRNYGIATSLVREVIKYASSITNCRAVYLHVISYNLPAIKFYRKMLFKFIRRLQNFYYINGQHYDSYLFVYFVNGSHSPCSPLNIVAAVANYLRGLLKMLASKLWRNEETHIPVWSKCSETKTLLVTPNKRILGAENSACRVCTSQLT >Ma04_p28310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29379266:29386144:1 gene:Ma04_g28310 transcript:Ma04_t28310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRGSHRPTIIYRPIQPSDLEVLEQIHLALFPIRYERDFFLNVVHGHGIVSWAAVDGQNDELVGFITTRLISARESEIADLLRHRSSRKELMLVYILTLGVVEHYRNYGIATSLVREVIKYASSITNCRAVYLHVISYNLPAIKFYRKMLFKFIRRLQNFYYINGQHYDSYLFVYFVNGSHSPCSPLNIVAAVANYLRGLLKMLASKLWRNEETHIPVWSKCSETKTLLVTPNKRILGAENSACRVCTSQLT >Ma04_p28310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29379229:29386144:1 gene:Ma04_g28310 transcript:Ma04_t28310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRGSHRPTIIYRPIQPSDLEVLEQIHLALFPIRYERDFFLNVVHGHGIVSWAAVDGQNDELVGFITTRLISARESEIADLLRHRSSRKELMLVYILTLGVVEHYRNYGIATSLVREVIKYASSITNCRAVYLHVISYNLPAIKFYRKMLFKFIRRLQNFYYINGQHYDSYLFVYFVNGSHSPCSPLNIVAAVANYLRGLLKMLASKLWRNEETHIPVWSKCSETKTLLVTPNKRILGAENSACRVCTSQLT >Ma04_p28310.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29380962:29386144:1 gene:Ma04_g28310 transcript:Ma04_t28310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRGSHRPTIIYRPIQPSDLEVLEQIHLALFPIRYERDFFLNVVHGHGIVSWAAVDGQNDELVGFITTRLISARESEIADLLRHRSSRKELMLVYILTLGVVEHYRNYGIATSLVREVIKYASSITNCRAVYLHVISYNLPAIKFYRKMLFKFIRRLQNFYYINGQHYDSYLFVYFVNGSHSPCSPLNIVAAVANYLRGLLKMLASKLWRNEETHIPVWSKCSETKTLLVTPNKRILGAENSACRVCTSQLT >Ma04_p28310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29379482:29386144:1 gene:Ma04_g28310 transcript:Ma04_t28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRGSHRPTIIYRPIQPSDLEVLEQIHLALFPIRYERDFFLNVVHGHGIVSWAAVDGQNDELVGFITTRLISARESEIADLLRHRSSRKELMLVYILTLGVVEHYRNYGIATSLVREVIKYASSITNCRAVYLHVISYNLPAIKFYRKMLFKFIRRLQNFYYINGQHYDSYLFVYFVNGSHSPCSPLNIVAAVANYLRGLLKMLASKLWRNEETHIPVWSKCSETKTLLVTPNKRILGAENSACRVCTSQLT >Ma06_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2062070:2063522:-1 gene:Ma06_g02700 transcript:Ma06_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVLMVKEEEEEEGEAMAAPQPLTGLDEVGPTPFLSKTFDMVEDPETDAVVSWSRARNSFIVWDSHKFAIALLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANADFLGGRKHLLKNIKRRRGVAQSSRQQHGSGACVELGMFGLEAEADRLRRDRNVMMLEIVKLRQRQQSSRAQLVEMERRMQGTERRQQRTMAFLARALKSPTFIRELVLRGQQQRQLGGAGKKRRLPANPSSKDLLELAISSEIDSVSLSSTETDGATPEPVDQSTAAISDLTWEELLNESILMEGDEEEGEHSEVEAEAEDLESAAEQLEWEEDMKDLVIQMDYMNSNP >Ma04_p32600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32558254:32580707:-1 gene:Ma04_g32600 transcript:Ma04_t32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQYTPSVIEKSWYTWWEVSGLFTTDSSISKAQFVMILPPPSVTGALHIGHGLTAAIQDTIVRWRRMSGYNVLWVPGMDHAGIATQVVISF >Ma04_p29700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30549360:30554058:-1 gene:Ma04_g29700 transcript:Ma04_t29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYPDLSLHISPPSVSVDGASMMGAFGGEAGTISGSSEGDMGHDQAFLQNDHGGELNHAEPRLSLGLQSPGSSLSNHHEKLHRPQICGFKRSSRSAHGGKRSVRAPRMRWTSTLHAHFVHAVKLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRGEAGQGQADMGISQRTGMEEVEGGLPCDKAGSEITSSYHSLSTPTPPTTQVKSPREMYPSAERHAWNPSIKQNGLAYPFFRSDDLLSNDYQALVEDQPQALTQSQEQRLNLVPNSIGAAHTKMPDLEISLGSHDASKMLVNYIA >Ma01_p18900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14258566:14264953:1 gene:Ma01_g18900 transcript:Ma01_t18900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMGRRTASGIIFSKSGCSITFREQNHHARSIRSCSRLGCGANFYSMKGTKVGEQDKAPFHTGSSKLLPASSFRRPQRGGRTRRSPEEADVAESSNSQRESDKIKCTSRSLDIKDSDSRRGLGKKEDSHSTTGEEESCSNKLRSKTSKEVTRQSRYHYKDNLSTFASTSMAHAYGLENPTRAGVSNVRPSGFNSPNSGSSRTANNIRKRSLYKASPSSSGKSMIPSSSGTNSGLDVPRRAPRRSRNQSPNGVRGVPQSSSRLSTDFNPLCHNVHGQPGSSTRIAPIGQIHEPVASSTHTFDASLEDRDGYPHLVMEEVAEQLSLLGNHLFLDSLSFNDQYRDMRMDIDNMSYEELLVLEEKIGTVSTALTEEALSRCLKRSNYMPASLISGFSGLDEAGAKCSICQEEFVVGDELGELACEHAYHVKCIHQWLGLKNWCPICKASVSPTS >Ma01_p18900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14258566:14264953:1 gene:Ma01_g18900 transcript:Ma01_t18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMGRRTASGIIFSKSGCSITFREQNHHARSIRSCSRLGCGANFYSMKGTKVGEQDKAPFHTGSSKLLPASSFRRPQRGGRTRRSPEEADVAESSNSQRESDKIKCTSRSLDIKDSDSRRGLGKKEDSHSTTGEEESCSNKLRSKTSKEVTRQSRYHYKDNLSTFASTSMAHAYGLENPTRAGVSNVRPSGFNSPNSGSSRTANNIRKRSLYKASPSSSGKSMIPSSSGTNSGLDVPRRAPRRSRNQSPNGVRGVPQSSSRLSTDFNPLCHNVHGQPGSSTRIAPIGQIHEPVASSTHTFDASLEDRDGYPHLVMEEVAELLLALERIEDEGLTYEQLSLLGNHLFLDSLSFNDQYRDMRMDIDNMSYEELLVLEEKIGTVSTALTEEALSRCLKRSNYMPASLISGFSGLDEAGAKCSICQEEFVVGDELGELACEHAYHVKCIHQWLGLKNWCPICKASVSPTS >Ma01_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14258690:14264953:1 gene:Ma01_g18900 transcript:Ma01_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSMGRRTASGIIFSKSGCSITFREQNHHARSIRSCSRLGCGANFYSMKGTKVGEQDKAPFHTGSSKLLPASSFRRPQRGGRTRRSPEEADVAESSNSQRESDKIKCTSRSLDIKDSDSRRGLGKKEDSHSTTGEEESCSNKLRSKTSKEVTRQSRYHYKDNLSTFASTSMAHAYGLENPTRAGVSNVRPSGFNSPNSGSSRTANNIRKRSLYKASPSSSGKSMIPSSSGTNSGLDVPRRAPRRSRNQSPNGVRGVPQSSSRLSTDFNPLCHNVHGQPGSSTRIAPIGQIHEPVASSTHTFDASLEDRDGYPHLVMEEVAELLLALERIEDEGLTYEQLSLLGNHLFLDSLSFNDQYRDMRMDIDNMSYEELLVLEEKIGTVSTALTEEALSRCLKRSNYMPASLISGFSGLDEAGAKCSICQEEFVVGDELGELACEHAYHVKCIHQWLGLKNWCPICKASVSPTS >Ma04_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26140464:26141000:-1 gene:Ma04_g24080 transcript:Ma04_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNFRIFIFYYNFDIVAKHKAGFDITTSPLTCQFLFQEKFPTSGRGHFTPTLKDHLYRCPSRISEEMVRCMASIYCWMCTDSSEMSGKLLSSFFSRSSSSVVLPPRGTTEKQEWPSRSTLEITSIKIDKQCPRASYVISDYMLLVEQL >Ma10_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14476480:14478797:1 gene:Ma10_g03880 transcript:Ma10_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQNEKLTWQTARSPKLPPKISADTSVLRIPPGYRFVPTAEELVVDYLANWVAGTPLPGRAVAFADVYGTEPWNLLGSDRQEGYFFAERQPKTSGGSRVDRTAGTGSWLLNRRQEPVKSIVDGREMVVGRRSYLSFKDGRRKNSGWTMYEYEMCSSTFETRVLCHVKKTSYQPISGGNFNKTVESTFTEAARETLTGGSFVGQKRNREESSTLSSAAPKKSCRGLVAHPNGAFHSDVSPSPTAVVQQLLLAPVVTTLESRLSSIDSVAPNEAGVPAATPSSTDVGGGDLEAFDLGITAEEIEAFLASSSSSVDLGGEQHCTDDAFFTREVDALLMSDATDTASTTIPKASPSGHVDVLLMPDDTRIDSTTVAEVSSSIDFVVCEQMYCTDGPFFSSLEEVHAFLMSDDTFIASTTIPGASLSSSTGLAAEQTELQACLRSDSTTVEKASMSSSNDSVGCAQTENIDDDDGLLQEIEAFMKDTAMDSTMISWLEQ >Ma03_p30680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33206991:33210874:1 gene:Ma03_g30680 transcript:Ma03_t30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEMDSALLQTHVFSYAELEEATNKFDASDKLGDGGFCTVYKGKLQDGRTVAVKRLYENNYRRVEQFMNEIQILSRLRHQRLVILYGCTSHQSRELLLVYEFVSNGTLAHHLHGSRESQCILTWPMRLRIAIETADALAYLHAVNPPVIHRDVKTNNILLDSNFHVKVADFGLSRLIPKDVTHISTAPQGTPGYLDPEYHQCFQLTDKSDVYSFGVVLFELITSKPAVDMTRNRSEINLANMAITRIQRGELEQLVDAALGYQSDEVTRKMITMVAEVAFRCLQSDGDMRPPIKEVLEVLQAIESDGNRPEKKEHGVAESRDDAELLKNTEPFSPDSVMNRWTSRSTTPQASE >Ma03_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18984307:18989170:-1 gene:Ma03_g16420 transcript:Ma03_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLSSMNNNNIPPVKDFFASPALSLSLAGVFRNNAAAAAAEVEEGDEGSGGGGQGEQAGISGENSGAAGRSEEDRESNESQDDNREVGNKRKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLSARQVKFWFQNRRTQIKAVQERHENSLLKSEIEKLQEENRAMRETIKKACCPNCGIATLSKDTTMTTEEQQLRIENARLKAEIEKLRRMQGSIPDGNTSPSSSCSAGADQNKSSLDCYSGFLGLEKSKILEIVNVALDELIKMATAQGPLWVRSVETGREILNYDEYVKEFSPDNSRNGCLRNIEASRETGVVFFDMTRLVQAFMDVNQWKDLFPCMISKAAIVDVIFNGQADSKDGTLQLMFAEIQMLTPLVPTREIYFVRYCKKLSPSRWAILDISIDKLEENIDASLMKCRKRPSGCIIEDQDNGHCKVIWVEHMECQKSVIPTLFRSIVTNGLAFGARHWMATLRLQCERSVFFMATNVPTRDCNGVSTLAGRKSILKLGQRMTSIFCQNIGASGHRTWTKVSTKSGDEIRFTSRKNMNDPGEPPGLIICAVLSTWLPVPTMTLFDFLRDESRRAEWDIMLTPGPTQTTVNLAKGQDRGNSVTMHTTTSSERTNIWVLQDSSTNAYESMVVFAPVDIDGMQSVMTGCDSSSLAILPSGFSILPDGLETRPLVITSRPEERTMEGGSLLTIAFQILANSSPMAKLTMESVETVNTLVSCTLQNIKKALGCEDG >Ma06_p27410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29432068:29434366:1 gene:Ma06_g27410 transcript:Ma06_t27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/TrEMBL;Acc:A0A178W1L1] MASEKVETIVAGNYVEMEREGEVKASKSKLSRYFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISALYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNAGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTAAALLHGQVEGVTHSGPSKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLVYLFATLYVLTLTLPSAAAMYWAFGDMLLDHSNAFSLLPRSGFRNAAVVVMLIHQFITFGFACTPLYFVWEKAIGMHKTKSVCKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPAMAHMMTFAPASARENAVERPASFLGGWVGHYCMNAFVVVWVLVVGFGFGGWASMLNFVHQVDTFGLFTKCYQCPPRH >Ma01_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6905594:6915775:1 gene:Ma01_g09630 transcript:Ma01_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLTSRSPAPTYSPSRPHFPHRRLALPSPLALLATPRIRIRTHARLLPPRPAAARSDPGAAEHADDTPVAAGGIDESDGSVVSVLRSDGAGSESPSIWKQIKEIAVFAGPASGLWICGPLMSLIDTMVIGQGSSLELAALGPGTVFCDYLCYVFMFLSIATSNMVATSLAKKDKRLVQHQISMLLFVAFACGLGMLLFTRLLGTQILSAFVGSENLHLVPAANSYIQIRSFAWPAVLVGMVAQSASLGMKDSWGPLKALAVASAVNGFGVIFLCCVCGYGIAGAAWATMLSQVVAAFMMMETLRKSGFSALSVSIPSLRDFLQILGIAAPVFMTMTSKVAFYSLLTYSATSMGTITIAAHQVMINVFFMCTVFGEPLSQTAQSFMPELMHGVNRSLEKARMLQKSLVVIGAIGGLTIGAVGTSIPWLFPYIFTTDNVVIGEMHKVLLPYFIALMVTPSTLSLEGTLLAGRDLRFFSLSMIACFCVAGLLLSLVCSKGFGLPGCWWALVGFQWARFSLALQRLLSPRGMLFSEEYYQHQLVKLKT >Ma00_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5074236:5084105:-1 gene:Ma00_g01220 transcript:Ma00_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKLILCYQRVACLLKKVVQEIERRISTQAEHIRNQNNLIKIREDKYHSRIRVLETLANTLNEETQMVMNQLQHLKTEKSIIEEKKKHAEEDVAKLRKEKENSDKIISELNQNLEAMKMSYNEQFHQLGQKLKVLTDAAGNYHSVLEENRRLYNEVQELKGNIRVYCRIRPYLSGENKKQSTIYYIGENGELILANPTKQGKDGQRVFNFNKVFGPTATQEEVFLDTRPLIQSILDGYNVCIFAYGQTGSGKTYTMTGPDSSSETDWGVNYRALNDLFQISQTRIETFIYEVGVQMVEVYNEQVRDLLANDSTQKRLGIMTTSLPNGLAVPDASMHTVQSTLDVLELMGIGQTNRAVSATSLNERSSRSHSILTVHVQGMDLKTGATLRGSLHLVDLAGSERIERSEVIGERLKEAQHINKSLSALGDVIFALSQKNTHVPYRNSKLTQVLQSSLGGHAKTLMFVHINPDVGSYSETLSTLKFAERVSGVELGAARSQKEGKDVRDLMEQVTSLKDTIAKKDEEIEQLKLKAFISRSPSIKNERNSNNFLRYNSFSPARTSTLAGTMQHKQRTSTGKLLNNNNEAARKSENCSEIGDHFEYGSQKSVDDNKNQDTFMQLKHTGGISAQASADIELLGFGDEDAEEHLSDISDGVLSMGTETDGSLGSVVEFSLFPEQKKSSEAPKVKMPKIPASIPKPPPKKTAHSTAFLPKTNNALRPPTSLTMPRSRKSTSQVTMSSPARPPRRWQ >Ma05_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28251182:28253580:-1 gene:Ma05_g19730 transcript:Ma05_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKSTLLDALAGRLGSGVSQKGDILINGQRQKLAFGSSAYVTQDDVLMTTLTVREAVYYSAQLQLPDSMSRSEKRERAEATIREMGLEGTMNTRIGGWASKGISGGQKRRVSICIELLTRPQLLFLDEPTSGLDSAASYHVMDRITRLARREGMTVVAAIHQPSSEVFELFHGLCLLAYGRTVFFGAASMANEFFASNGFPCPPLRNPSDHYLRTINKDFDKDIEEDYQSNPRSTAEAIEILVKSYRSSVSSQQVTTQIADIRGMGGALVKKRNPASFITQSLVLTRRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYHDVGHSFGSIQARGSMLMFTAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVTAFTIANTLSATPYLALISVIPGAIAYYLVGLQTSIDHFIYFALVLFMCMMLVEGLMMIVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPVWRYPMYHIAFHKYANQGFYKNEFLGLIFPNNQAGGPPTITGDEILRETWQVEMGYSKWVDLAILFGMVILYRLMFLGIVKTVEKLKPIFKSLMVKAPEKSKHVMEQSSFETMA >Ma04_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26018708:26022078:1 gene:Ma04_g23930 transcript:Ma04_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPETPNVSAPATPGTPGAPLFNSLRVDSLSYDRKSMPRCNRCLPLESWASSPHTCFIELPKPDVSLTRKLGAEFVGTFILIFGATAAPIVNQKYNGAETLIGNAACAGLAVMIVILSTGHISGAHLNPSLTIAFAMLRHFPWAHVPAYILAQVSASICASFALKAVFHPFLSGGVTVPSVSSPQAFFIEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYERIWIYLVAPTAGAVTGAAVYTAVKLKEEDGEMPRQVRSFRR >Ma02_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25238897:25240206:1 gene:Ma02_g18870 transcript:Ma02_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVAAQEARWSSFGAEDSEIMAQLLGPFSCTNEQAVKDLSFGLSSMSWSSDHAADSYDSSSENVTSFFGHCSGYESYYLSEPNAAPAINTSSASAFTAYCTVGDQLITPSLRLIPNPSFGDPTNANEETSSDDAGDSSFILSEPVPGMTLPKRKLNTYEDDSPDDVSKKKAKAGANAPKNAKKAQSKRPQKTTKSSDDEDKMNNTAANGRSSCSCLSEDDSLADLNGGGTTTSGSPALILAGKARAGRGSATDPQSLYARKRRERINEKLKILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDELWMYAPIAYNGMNIGLDLKISPPQ >Ma09_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35822711:35828750:-1 gene:Ma09_g24170 transcript:Ma09_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKPGVIALFDVDGTLTAPRKLITPQMLEFMRELKEVVTVGVVGGSDLVKITEQLGKTVIHDYDYVFSENGLLAHKNGELIGRQSLKSFLGEDKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERYEFEKYDKVHNIRPKMVSVLREKFAHMDLTFSIGGQISFDVFPRGWDKTYSLKYLGEFQEIHFFGDKTYKGGNDYEIYESERTVGHTVTSPDDTAAQCRSLFLGN >Ma07_p01560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1212237:1218628:1 gene:Ma07_g01560 transcript:Ma07_t01560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSRLQQLCQQRQWPLPEYAIYREGPDHDPHFRAMVTVNGANFHSPDDSRTIKEAQNKAAQVALEQLPETAPPPPTPSASLPPALEKQLSHKSHLQSYLQKNNKGLPTYQSVPDVKEAEQSAAKVALMSLCVAGNQQDYSGVYKMLLQELAQKRGLSLPKYTTTNYGESHMPTFSSKVEIKGELFQGDVAKTKKQAENNAAKVALSQLEECRANRFSSNLVSKWQVNVESEPPTSSVEPIVNMNSPKPSSLLIPASQIDAKRTVDIIAVDHGATASISNATPVSDQITNSTDEVMGIDGSYHHLTISTDEPEITAKKKDTVAAVQDPESADVMTNRETLRGAVSGGGSSALSSNYNFHKFHPTTTSGKLPTGSTSSLLRNRVQVYPRKSDLVLPEGAVPLPCSDDSWVAVSLDF >Ma07_p01560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1212237:1218628:1 gene:Ma07_g01560 transcript:Ma07_t01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSRLQQLCQQRQWPLPEYAIYREGPDHDPHFRAMVTVNGANFHSPDDSRTIKEAQNKAAQVALEQLPETAPPPPTPSASLPPALEKQLSHKSHLQSYLQKNNKGLPTYQSVPDGTPSRRFKATVKFDGETFESTGYFHTVKEAEQSAAKVALMSLCVAGNQQDYSGVYKMLLQELAQKRGLSLPKYTTTNYGESHMPTFSSKVEIKGELFQGDVAKTKKQAENNAAKVALSQLEECRANRFSSNLVSKWQVNVESEPPTSSVEPIVNMNSPKPSSLLIPASQIDAKRTVDIIADHGATASISNATPVSDQITNSTDEVMGIDGSYHHLTISTDEPEITAKKKDTVAAVQDPESADVMTNRETLRGAVSGGGSSALSSNYNFHKFHPTTTSGKLPTGSTSSLLRNRVQVYPRKSDLVLPEGAVPLPCSDDSWVAVSLDF >Ma07_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1212237:1218628:1 gene:Ma07_g01560 transcript:Ma07_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSRLQQLCQQRQWPLPEYAIYREGPDHDPHFRAMVTVNGANFHSPDDSRTIKEAQNKAAQVALEQLPETAPPPPTPSASLPPALEKQLSHKSHLQSYLQKNNKGLPTYQSVPDGTPSRRFKATVKFDGETFESTGYFHTVKEAEQSAAKVALMSLCVAGNQQDYSGVYKMLLQELAQKRGLSLPKYTTTNYGESHMPTFSSKVEIKGELFQGDVAKTKKQAENNAAKVALSQLEECRANRFSSNLVSKWQVNVESEPPTSSVEPIVNMNSPKPSSLLIPASQIDAKRTVDIIAVDHGATASISNATPVSDQITNSTDEVMGIDGSYHHLTISTDEPEITAKKKDTVAAVQDPESADVMTNRETLRGAVSGGGSSALSSNYNFHKFHPTTTSGKLPTGSTSSLLRNRVQVYPRKSDLVLPEGAVPLPCSDDSWVAVSLDF >Ma08_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3910455:3912499:1 gene:Ma08_g05760 transcript:Ma08_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEINANESKTVVPLNTWVLISNFKLAYNMLRRPDGTFDRHLAEFLDRKVPANVAPVNGVVSFDVLIDRPTSLLARIYRPATASAAVALLPDLCRPPSPDPFPVIIFFHGGSFAHSSSNSAIYDSLCRRFVSLCGAVVVSVNYRRAPEHKYPCAYDDGWAALRWASGEPWLRSGKDAKLRVFLAGDSSGGNIAHHVAIRAAKSGVEVSGNVLLNPMFGGNRRTESEKRLDRKYFVTIEDRDWYWKAYLPEGANRDHPASNPLGPNGAKLEGLPFTRSLVVVAGLDLVQDWQLAYAQGLKKAGHSVKLVYREQATIGFYLLPNTDHFYQVMDEIHDFVGSNL >Ma10_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21734722:21735201:1 gene:Ma10_g07310 transcript:Ma10_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPGVGSNTSNTATSAVPHSAWRSPVTYLFGGLAAMLGLVAFALLILACSYWKLSDYLSTDGADADGGEASGDAAKPPPLCDKSVVVVMAGDCKPSFLATPVAWHAAASGRKMEASVSSPRRDAIPIQRELDRNREESGNPQ >Ma04_p27260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28459931:28460519:1 gene:Ma04_g27260 transcript:Ma04_t27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVMLSVKVPHSTSFLIQECFIMEGRVDSLRFLLALRCSRKAPMLCSQTSMGGRTSGLPSTRRLRSSARHTMESGRTLMSTREGRSPME >Ma02_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20295235:20296501:-1 gene:Ma02_g10830 transcript:Ma02_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGEGDVPLITASPSFRVTFEEAFSAVHCESSQQEPPPPDDGGDDDSDVDVDDDDDDFEFAVVIKDPETGAAMYADEIFADGRIRPIYPVFNRALLDDDRLGSSDAPAPGEGEENTSALRGTLRRLLIEERAENPASALSSSSSSAAAEELEGIPPGTYCVWAPRSSATPSPSRCRKSRSTGSSLRWRLRDLVLGRSHSDGKEKFVFLAAAEKKGKESPSRGTKVEAGKGKDGGATKTRGKAGKGTEVDVVTAYRIYYGKGGQATRSGAARRSFLPYKKDLVGLFANVNGITRSHHPF >Ma05_p27880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39004189:39005088:1 gene:Ma05_g27880 transcript:Ma05_t27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPSPVSPPRPPPPLLPGTIRFDGGDDRRRGGGAWGVLAATGQDGIRSMSQLQRVVRCGGRGRCADRSPEVLSPGGRLRRAQLAEEPDHRCGGNRSRSRRAARRARPLKSPRLLLCEKQTPLHVYPAWIRSRHMKRLNREGVLLLSGLDSRLSKNFPPVLPKIRCKVTTAPSRVCPQTYPVAFHALRFSAPIGELGNKLA >Ma02_p00330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4451217:4454579:1 gene:Ma02_g00330 transcript:Ma02_t00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSDPSSNKQMQIDLEEPLVDEVKTKDDISESIENLRISESSSSSFKRKPVIIIVVGMAGSGKTTFLHRLVFHTQSSNIRGYVLNLDPAVMTLPFGANIDIRDTVHYKEIMKEYNLGPNGGILTSLNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRSANPVTFMSNMLYACSILYKTRLPLVLTFNKIDVAKHEFALEWMQDFEAFQAALDTDSSYTSTLSRSLSLALDEFYKNMRSVGVSAISGAGMEAFFGAIEESAKEYMESYKADLDKRRAEKERLEADRRKENMERLRRDMEKSKGQTVVLSTGLKDTESPKMDEDKEDGEEEEEELEDLRFSEEETEEDEGEDEEVAHFGF >Ma02_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4451207:4454579:1 gene:Ma02_g00330 transcript:Ma02_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSDPSSNKQMQIDLEEPLVDESNSKVKTKDDISESIENLRISESSSSSFKRKPVIIIVVGMAGSGKTTFLHRLVFHTQSSNIRGYVLNLDPAVMTLPFGANIDIRDTVHYKEIMKEYNLGPNGGILTSLNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRSANPVTFMSNMLYACSILYKTRLPLVLTFNKIDVAKHEFALEWMQDFEAFQAALDTDSSYTSTLSRSLSLALDEFYKNMRSVGVSAISGAGMEAFFGAIEESAKEYMESYKADLDKRRAEKERLEADRRKENMERLRRDMEKSKGQTVVLSTGLKDTESPKMDEDKEDGEEEEEELEDLRFSEEETEEDEGEDEEVAHFGF >Ma07_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32207942:32209993:-1 gene:Ma07_g25080 transcript:Ma07_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVASAMGAGDGHEHDLLMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAYAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRVIRNENNRSIGLKKTLVFYSGKAPKGLRTSWIMNEYRLPQSETDQYRKVEISICRVYKRPGVEDRPRLPGTLGSKPSSSRGTGMNRKHNTSHHQIGGDSQEVRDGSSTSLPSSSLQPKPTVHGSTTASVASLSSTTSTEEDGTSFLQSNNMSGVTPTCSLLPHTSPSMATQMIDELNRLVGFNQNRMNNPSQFLHPPSQTQLQLPFNALPMSLTTASDKLWEWSALQETGREYTDFE >Ma11_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22401454:22402447:-1 gene:Ma11_g17000 transcript:Ma11_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFVPFDNKKLDVSFFAFRPTGVFADELIDAVKYFSFHAEDLGCVYSSVLRSIHGNMIVWYGAWLRRSPDNRKMLNDALLSVLEEVSTMGVLLHHGFFEAFFGESKDGSSLAKFSSGDTIFLSAMASTPRDVADLSYACLALHKTFFAKTDGLSADVHLRCNDQPVVAALMVWKSLHACYSWLLRSDYRNTILPYFSHLSQDAQFDVFKVVYVNRDEILNVSPFPPRTIGGGDGEE >Ma08_p34290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44360292:44360798:-1 gene:Ma08_g34290 transcript:Ma08_t34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDGAVSSAGTSSSSPSRPPQTPRRAMEEVWKDISLSSLNQDVPSAPPPSSLHYHHASAPTAAASSYVSGITLQDLLSGAFTEADLIPPACTRRPAALSLGSSRLGPNSNFSNSGGSSSSGRAGIVMIKHDGCGNVADRRKKRMIKNRESAARSRARKQACTTCLNP >Ma04_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:731714:739116:-1 gene:Ma04_g00770 transcript:Ma04_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KATNA1 MAGTLAGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLSTLDDTEIRAKWMNCKKAISEEVGIVKQLDAELRAFKEIPGTRRSSSPPISVKSSFVFQPLDEYPASSGASDDPDVWRPPSRDTQNRRPTRTTQTGMRKSSQDGTWARGSSRAGTVGRGAKASSGKSSSTARSSAASGKKGKSSSSKPDAQNGDAEEAKPKKGQYEGPDADLAAMLERDVLETSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNSRGASGEHESSRRVKSELLVQIDGVNNSSTGEDGQKKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIKINLRTVEVAPDVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMAKEEISKDPVAMCDFEEALTKVQRSVSSADIERHEKWFSEFGSA >Ma10_p04300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14968876:14997415:-1 gene:Ma10_g04300 transcript:Ma10_t04300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDNTIIESPRRRHGLLRDQVQLVKRKDSDRYEIVPIQDPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKVLNFMPSIAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLENICGLREGKSIQVPIYDFKSSSRIGYRTVDVPSSRIVILEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKISNKFNPFTGFQNPTYVLKSPRSVSVDKIKAVLLKEHKEYSEQTYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDRVVVKIDWLEQLKRTYVQVQGKERPFVRYIAEQLGLEGSFIPRTYIEQIQLEKLVNEVMALPDDLRTKLSIDDDLVSSPREALSRASADRVAMRNKHLKSGMSHSFSTQRDKNIAKLTRLEVNSSRFDGRTPESPAVNREVVNQLSEQISTLNERIDEFSSRIKELSSKFSSQKTSVSQQNLALQNEACNGSVPTSVFVSNLGNGTILPSSSSSTQLNKDSPLMEELMLITRGQRQVMHQLDNLSNLVHDQLAVLTQQDRRDNRSRFLDLDLIGNPVLILLVGGISFFLLKNLSRN >Ma10_p04300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14968876:14997609:-1 gene:Ma10_g04300 transcript:Ma10_t04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDNTIIESPRRRHGLLRDQVQLVKRKDSDRYEIVPIQDPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKVLNFMPSIAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLENICGLREGKSIQVPIYDFKSSSRIGYRTVDVPSSRIVILEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKISNKFNPFTGFQNPTYVLKSPRSVSVDKIKAVLLKEHKEYSEQTYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDRVVVKIDWLEQLKRTYVQVQGKERPFVRYIAEQLGLEGSFIPRTYIEQIQLEKLVNEVMALPDDLRTKLSIDDDLVSSPREALSRASADRVAMRNKHLKSGMSHSFSTQRDKNIAKLTRLEVNSSRFDGRTPESPAVNREVVNQLSEQISTLNERIDEFSSRIKELSSKFSSQKTSVSQQNLALQNEACNGSVPTSVFVSNLGNGTILPSSSSSTQLNKDSPLMEELMLITRGQRQVMHQLDNLSNLVHDQLAVLTQQDRRDNRSRFLDLDLIGNPVLILLVGGISFFLLKNLSRN >Ma10_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14968876:14997609:-1 gene:Ma10_g04300 transcript:Ma10_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDNTIIESPRRRHGLLRDQVQLVKRKDSDRYEIVPIQDPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKVLNFMPSIAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLENICGLREGKSIQVPIYDFKSSSRIGYRTVDVPSSRIVILEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKISNKFNPFTGFQNPTYVLKSPRSVSVDKIKAVLLKEHKEYSEQTYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDRVVVKIDWLEQLKRTYVQVQGKERPFVRYIAEQLGLEGSFIPRTYIEQIQLEKLVNEVMALPDDLRTKLSIDDDLVSSPREALSRASADRVAMRNKHLKSGMSHSFSTQRDKNIAKLTRLEVNSSRFDGRTPESPAVNREVVNQLSEQISTLNERIDEFSSRIKELSSKFSSQKTSVSQQNLALQNEACNGSVPTSVFVSNLGNGTILPSSSSSTQLNKDSPLMEELMLITRGQRQVMHQLDNLSNLVHDQLAVLTQQDRRDNRSRFLDLDLIGNPVLILLVGGISFFLLKNLSRN >Ma08_p31460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42354503:42355160:-1 gene:Ma08_g31460 transcript:Ma08_t31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCSCFGPSKAERREADRLEAEEARARAAEAAQKRQEQFDKSAAGRAARAQIAATKKSSEPSKGEPVLKWQMG >Ma11_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1036527:1043077:-1 gene:Ma11_g01460 transcript:Ma11_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEETREAAEESFEIGGAEDASGSAHGGKAEEGMTPWEQHAAVINLPRYDYAAPSSLLHESRSGFLITCPIKREKSATKEAISLLEEFVSCTSRSSETCSTEIPAKKRKLSTGVDPENAESEEKNGVNSVLEASGEDLKGSNILSLMKLTRSGLLLFTFPNNISCPVVGVVSNIFQFLRSGKLKPPLWCHRIFPIQETCVLEEKDLQLVVSKLMREYLGKDQEKLEQTIKFAVGYNRRGIDETEMKSQKHTNKGSVGSTLLGREACFKVVAGAVKAVAKNSVVDLRSPEVAVLVELLPISGVPLGSLVVGVSVLPLEIVTTKPRLCVKSLVADINVTTRNV >Ma08_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8761642:8764264:1 gene:Ma08_g11760 transcript:Ma08_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMENDRRTAGVEEDESDEALEFLLEASKTPGGRAHLASTGALAAALRRVSSDTSSALLPSLRLVRNLCAGDAVHQDAFVELGGPDRIASVLLSTPPASPDVARVALQAIGNLACGGEAQRSAVWARFFPSLFLAAARYRDPAVCDPLCMVLDTCCSSEGGHRRLAELCETKTGLPIILEIVTTACRAGHQEEWLDWLLCKVCIEEAYLSRLFQGLASPSTDNSIDAQCRYSFFTREQAFLLGMLSEYLSNRPKDVSMISSPFALEVLKVLDIASAIVDFSSRGSSDVPTGFPAIDVLGYSLTILRHICAWENDIAHATEAPVDLLLSAGLLQLLLHLLGELEPPAIIRKSMTNADHFIQSSTALKVCPYKGFRRDLVSIIGNCLHGRKQVQVEIRQQNAIPLLLQQCVVDEDNPFLREWGLWSMRNLLEGNPENQHEVAQLQLQEPVNTPEIAGIGLRVEIDEKTGHPKLVNIV >Ma06_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23146018:23146663:1 gene:Ma06_g24630 transcript:Ma06_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKAETPTWEQRMHTLTHILTHPTTAPSLHSQLFVASHVPCFLSWDYPPFLCRPAPLAAGFPPPLLRWSFAIFLRRSSRLGLPASSWRAKCPFQQPPPLVLSSAVDPPPLRWGPEERRESVRKRLRRGRIGVRVSPVLAFAVPNLALLSLLFWEPLWRLPET >Ma10_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26085350:26086420:1 gene:Ma10_g13180 transcript:Ma10_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEEGYVGEEEAFHGVWRIASHVAAPANATLRPLAGPTMGPTGAPAPSYPPPSVRRPPIRESFRVRASQEGEGEGEGEGSGTGARHELANGKGESVEDRNKWAVLTLYEALNARDVERVQQLLAPDIEWWFHGPPEHQHMMRMLTGAEEEETAATFRFQPERVAAFGSTVVAEGRAPNAAWVHAWTVAPDGIITQVREYFNTSLTITRVAASSASSKEPAGSTHCLHLWQSRRPVPPEKSFPGLVLAI >Ma10_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22118792:22124110:-1 gene:Ma10_g07800 transcript:Ma10_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANNSVETVNAAAAAIVSAESRVQQVTVPRRRWTAWLSVYWCFGSHRNGKRIGHAVLVPEPAFPMSDTPAVQNPNHPPEVRLPFVAPPSSPASFLPSPSTMQSPAGSLSLSALSPSSYSPSGPASIFAIGPYANETQLVSPPVFSTFTTEPSTAPLTPPPEPLHLTTPSSPEVPFAKLLTSSLDANCKKSEAYEFPYYQLHPGSPIGRLISPSSACSGTSSPFPDPEYHSAVDVSFQSFPVCEPPKIVSAEEIAARKLLPQHARNGGSLLDGQISAAASFVDSAIVPKNNEHIMDHRVSFELTAEEVTRCLERKVAISGEGSSETLTARNDRALPTSEPDNSQSVGIDDTYTDLPEQVQPSVTLPSVKEFKFDNSDGVPSEHSVGSDWWANEKVAGTATEHRKNWAFIPMIQPGVS >Ma07_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2407754:2408941:1 gene:Ma07_g03090 transcript:Ma07_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGSEGWNSSFSKSSRGSRRWGRRRCQKEREEAMGWWGETGGGMVAKKRVMVVIDQSSRAKHAMMWALTHVANKGDRLALLHVVPHSGGGEDDVPNLATLQAVCKACKPEVEVEALVIQGPKLATVLSQVRKLEASVLVLGQAKPSPFSCLFRCRSEEFVEQCINKAECLTLAVRKQRKGVGGYLISTRWQKDFWLLA >Ma07_p24260.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31671348:31674250:-1 gene:Ma07_g24260 transcript:Ma07_t24260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGPPDQLPSCLLDLNWEQTMESTGHFEAALSSVDGMAVHKLVGRLPHSHHVGVRLNSPPRPNLSMAGHFLQPQQAMGGLPPSGTPMPLTHLDQFSADLGRFSSFGGRNYGHLATQFGFLDTEKLSRTASSQSLQTSRLPIGREIPAQNRLQLDVNNGESMNAREESSVSDPASREVSLGAPAWSSEKKRKAPPKGKAKEVGLANSAMDPPKTVMLQVAEEDAKRCRSNEKNGNEDDALKPKVEQNDGSSGNGNGGQKQGRNAAAKSPEPPKDFIHVRARRGQATDSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPHLDIDSLANLLPKDGASLHCVLPDGMETQSAVNLLDSTFHQGLSTHQPFLDGFESASSQLGTFWEDDLQNITHMDIGQN >Ma07_p24260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31671348:31674250:-1 gene:Ma07_g24260 transcript:Ma07_t24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGPPDQLPSCLLDLNWEQTMESTGHFEAALSSVDGMAVHKLVGRLPHSHHVGVRLNSPPRPNLSMAGHFLQPQQAMGGLPPSGTPMPLTHLDQFSADLGRFSSFGGRNYGHLATQFGFLDTEKLSRTASSQSLQTSRLPIGREIPAQNRLQLDVNNGESMNAREESSVSDPASREVSLGAPAWSSEKKRKAPPKGKAKEVGLANSAMDPPKTVMLQVAEEDAKRCRSNEKNGNEDDALKPKVEQNDGSSGNGNGGQKQGRNAAAKSPEPPKDFIHVRARRGQATDSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPHLDIDSLANLLPKDMHQTCVYAPPPCVDHSQQGASLHCVLPDGMETQSAVNLLDSTFHQGLSTHQPFLDGFESASSQLGTFWEDDLQNITHMDIGQN >Ma07_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31671348:31674250:-1 gene:Ma07_g24260 transcript:Ma07_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGPPDQLPSCLLDLNWEQTMESTGHFEAALSSVDGMAVHKLVGRLPHSHHVGVRLNSPPRPNLSMAGHFLQPQQAMGGLPPSGTPMPLTHLDQFSADLGRFSSFGGRNYGHLATQFGFLDTEKLSRTASSQSLQTSRLPIGREIPAQNRLQLDVNNGESMNAREESSVSDPASREVSLGAPAWSSEKKRKAPPKGKAKEVGLANSAMDPPKVAEEDAKRCRSNEKNGNEDDALKPKVEQNDGSSGNGNGGQKQGRNAAAKSPEPPKDFIHVRARRGQATDSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPHLDIDSLANLLPKDMHQTCVYAPPPCVDHSQQGASLHCVLPDGMETQSAVNLLDSTFHQGLSTHQPFLDGFESASSQLGTFWEDDLQNITHMDIGQN >Ma07_p24260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31671348:31674250:-1 gene:Ma07_g24260 transcript:Ma07_t24260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGPPDQLPSCLLDLNWEQTMESTGHFEAALSSVDGMAVHKLVGRLPHSHHVGVRLNSPPRPNLSMAGHFLQPQQAMGGLPPSGTPMPLTHLDQFSADLGRFSSFGGRNYGHLATQFGFLDTEKLSRTASSQSLQTSRLPIGREIPAQNRLQLDVNNGESMNAREESSVSDPASREVSLGAPAWSSEKKRKAPPKGKAKEVGLANSAMDPPKTVMLQVAEEDAKRCRSNEKNGNEDDALKPKVEQNDGSSGNGNGGQKQGRNAAAKSPEPPKDFIHVRARRGQATDSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPHLDIDSLANLLPKDMHQTCVYAPPPCVDHSQQGASLHCVLPDGMETQSAVNLLDSTFHQGLSTHQPFLDGFESASSQLGTFWEDDLQNITHMDIGQN >Ma05_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6869818:6871125:1 gene:Ma05_g09490 transcript:Ma05_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPTASELIRHHHAHPLDFESVREVPDSHAWPDLHDHPTASAQGAVVPVIDLSATATNVLEQLARACESWGAFQVTGHGVPPGLLRRVEAAARGVFALPTNRKLEAARPADGVSGYGRARISCFFPKLMWSEGFTIAGSPVDHARTLWPDDDALAFCDVIEEYKEEMKQLARKLMRMMLMSLGLTEAEAEAETETGWIGPGDEAGGMSAVLQLNSYPVCPDPDRAMGMAAHTDSTLLTLLFQSNTSGLQVLQVVGDTGRDRAARWVTVPPMPGALIVNVGDLFQILSNGRYRSVIHRAVVNRTSHRVSVAYMCGPPAASKVEPIVKLVGPGSGPVYRPVTWPEYLSVKGKLFDKALASVMRTEVSLEHT >Ma05_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:985816:986798:-1 gene:Ma05_g01620 transcript:Ma05_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTLETTVSTAIPLLSDETSDEELPHIVGWAKRKRSKRFFDHPTTEEEYLALCLVMLARGGGSGTHRLPALASDSAPPAKLEHRCSVCGKAFGSYQALGGHKSSHRKPSSGVEEASASGSSTASAAFVGARVHRCSVCLKTFPSGQALGGHKRCHYDGSLASGAAAAMTSSEGASSNHRAFDLNVPASPDSEFDNVKRWLATMKPEEEEEVQSPLAFKKPRLVIPA >Ma02_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27354407:27358216:1 gene:Ma02_g21820 transcript:Ma02_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDLLNCAVDTPFDRDRCLRFLDAPRSCVLEMKVKKFSVAEQGHAAEAPKRGKSLNTK >Ma02_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20876399:20878282:-1 gene:Ma02_g11960 transcript:Ma02_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSWLMDTKTFLQAYPDIVLAFVCFAFFFFFCYCYYDRICRSRSRIPVNWPVVGMLPALLVNLHRLHDWGTDVLREAGCSFWFRGPWFLGMNHLLTCDPANVHHVFGANFSNYPKGEAFLEIFDILGDGIFNSDEQSWKEQRTKAHSIMSGRRFRTFVADSARSKVEKGLLPLIHHIAQRGVAVDLQDVFLRLTFDTTCNLVFGVDPRCLSVEFPTIPFARAMDDAMAALLTRQTVPPAWWKLMRWLRVGEEKKLAMAWKEVDHFIAEHIAEKKRSGEANDDMLSAYINDNDDDNDRAMDGKRSTEFDKFLRDTAVNFMLAGRDTTGAALTWFFWLLSQNPMVEAKILKELEEATSLRKERPSSDELIVFGTDELGKMVYLHAALCESLRFFPPVPFEHKSVLQSEVLPSGHRVGQGTKILVSAYAMGRMEGVWGGDWAEVRPERWISEKGRMRYEPSYKFLAFNSGPRACLGKDVAFAQMKTVVAAMVYNFHVEVLRGPVAAPKLSIILQMKNGLMARIERRRDRK >Ma04_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22653080:22654808:-1 gene:Ma04_g19970 transcript:Ma04_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNANLRPEILCSGQQKQQNQVVLSEEAGWAVERGNIMGEAFSVDDLLNLGEFVEDEMEAAEEEAGRVCQIDAREAHNETTHSSSSSSSSSGLTFELPLPLSDICLPAHDAAELEWVSFIIDDSISEFPSCSGVASLSPPPSGAQSENLQARAAEPQGQGPSFLVPNVCALSTEAKVPVKAKRSKRLRSATATAAWSMSGPLSLADSSSCSSATTTSSASSCSSTSSSSPFLIYDPSAVAVDQSFLLYDHPPQPKKQKPKKRGRKPKTPLSTASGERRCSHCGAQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFVSHKHSNSHRKVLEMRRKKEAELLAPAASPPPPLLVAVSL >Ma08_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36485607:36486401:1 gene:Ma08_g23030 transcript:Ma08_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKPERQEEEPFIRSFLKHFFHTLSIITISLLFPASFLTLDRFTAASTPSIPPSSVLATVFLRAVLPVINGLVSVVCLAALVHSLTGRMVKLPAAVAWTLLCLFHVCLSLGTEVTIASRAETIDDALVYHSITWIKRAVLFVGLHRTMRVWARMVVKRVADDTIFGAEVQEDISDKVVVSVAFATLWITMLQNDIARMVFAAVEKGLTKDMDLDGYVGWFVSHAIVTTGMVRSVQGLLCVGNFLFCRCREADEDHLTADHKV >Ma01_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4420444:4423710:-1 gene:Ma01_g06170 transcript:Ma01_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQKITNFAEGEICKVLCVGAEVKTLERRLTRIKGFLRTAEQRRHVDSDMDTWVRELKDVMYDADDIVDLCIFEGGSLLKVSNPPFFRPIIDRHEISGRINSLNERLKEITGDRSIIPDVEIVEKALPLHPVRSRETSSIEVKADIIGTQIEGAAQNLINSIVEISEKPKCVVFGIVGMGGIGKTTLARKIFNDERIIQNYPIRAWLCVTKDYSETDLLKEMIRSVGGSVEGAESRAELEPKLVSLLSRNLLLVLDDVWSADVWEDLLKNPLILGATSNCRIVVTTRDENVARNLGDNVHHVEKMDEECGWELLWKTVWDNREKDDISRFKKIGNKLVQKCDGLPLAIKVLAGVLRSRRSPMEWERVIRSDLWRMKKFDENVLGVLFLSYEDLPSHLKQCFLHCSLFPDKADMYRKDLIRLWVAEGFTEENGELSMEEIAEGYYEDLIWRNLLQVDPTFVDGSRCTMHDLLRSLAQSLIQGEGVYVSDLQSLNSDPLTKLRRLSTSNIGERIQLPDRIVEEKCLRSLLIFDSPRARTIGDDLFKKLRKLRVLLLNDTSIESLPEQLHLFCMSQDRAQCTVEQTQRARKICHGLCPPPSLLYLSIKFFPGNWFPRWMMLSSLDTAFPRLSYLSIHDLPSCCELPPLGLLPELKLLSINGANAIRTIGAEFLGDRRSLQGFRAFPKLEVMQFFNMPNWTKWLTSVPEESTPSYFSQTAYLCAYCPGSVGQAKPNSRPGPRQPRSELDLVGSQDSQASNSDPSRHQNPVRLPTSESPADDSRRSSLRKLLPKLKELWLVDCPKLGALPEGLCTTNLKLLHMEGTHSFVEIKNLDFLTDNLTVKRNNSLRRISNLPSLKYLQVDDCPNLEYVGDLAGLQHLHLSCPPPAQSLPPWLSDLVEQQRSFRKLELQCSLPLLKRCLMGEVNWQIIRQITEVRIRAIDANEFIWYNKDPYMYDTNVGSA >Ma08_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18340251:18342958:-1 gene:Ma08_g16440 transcript:Ma08_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAGGYPDVAKCKNVPTEIRKLFQSKLKQAKEDTLKKKARVEEEYHRATQEPVYDQYEGCGDEVDPDLTTRIRASLEHQHTVDEAMRHRRPDSQLEHGNGSGIQRSTSMRQPTAPSQLGRTSSMRYGGLRGFMRGLGRRSAPDIVDIDPQAYPPQTAKQTRIDDAYTKEKKRDIGKAISKWFNFNRIPANTAQGPYYQSMISSIQKSGTGIQPPTPKEIYGVYLDEEVAELKDWIKSFKRQWDEYGMTLMCDSWIGPTRMSIINFLVYCNRKVVFHKSVNATEKIQDAKYIESLMDTMVEEIGPQYIVQIITDNGANFKKTGLQLMEKRKTLFWTPCAAHCIDLMLKDIGELNSVKNCVARAQSITKFIYNHHWQKRHGLKAMASSQEWSESRYSKLSDGKKTEKAILSSRFWETIAEIIKGVEPLYIVLRKVDMDKRPQMPYLKYMLISAREEVRKAFKDDFKADQYVRIIDRRTEVHMDQDIHNAAYYLNPAIRYRYALGTQNNFLTTLQNVIYRLLPNTTEAADALMEGRLFQETIEEEEEAQPQRVENPPRLQHGRSQTARGTTDTQRSHSSPQRAKAKGKAVASVASLEKIGSDDETPSQSHSLSRSVQRHDNNTDSSASTDDGGDAGQSLVSSTQLESSEWTEEQYFTHATQDSDHGTRQGTGQVYARKGKGKAVDKYEQIRQSIHDIDTKRGSSYYGESYMQQQYGDSWSSFSEQQHYTEQHQYMPQELPRTNIIHDDQSTISTTLMHQWHIVYQYTMS >Ma08_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34555187:34566293:1 gene:Ma08_g20520 transcript:Ma08_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGGGDPEALMRDFLRELGGCAVIDGGLATELEANGADLNDPLWSAKCLISSPHLIRKVHLDYLEAGANIIITSSYQATIQGFESRGFSAEESEALLQKSAEIACEARDIFYKGCSKGFENHNETKKHTVLVAASIGSYGAYLADGSEYSGEYSKEMTLEKLKNFHRRRVEVLAESGADLIAFETIPNKLEAQAYAELLEENNTRTPAWFSFNSKDGVNVVSGDSLIECASLADSCKKVVAIGINCTPPRFIQGLILSIRKVTKKPILIYPNSGERYDAEKKEWVTSTGVTDEDFVSYVRVWCEAGACLIGGCCRTTPNTIRGISKVLQK >Ma03_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9918163:9919353:1 gene:Ma03_g12880 transcript:Ma03_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKTSAVLLTFMILLSSVSSIVSCDICPPSKSKPPKYKQHHKPKPPSTGKPPITMPPITVPPITVPPITVPPVIGKPPITVPPITVPPVIGKPPITVPPITVPPVIGKPPITLPPITVPPITVPPITVPPVLGKPPITVPPITVPPVMGKPPVTAPPLRRGPPGVTPCPPRQSPPPPPPPTSPPASPTCPVDTLKIGACVDLLGGLVHIGAGDPAANQCCPLLQGLVEIEAAVCLCTTIKLKVLDMNVYVPLALQLLLTCGKTPPPGYTCTV >Ma09_p25690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37076915:37080529:-1 gene:Ma09_g25690 transcript:Ma09_t25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSWSLSAASLAHRPSPWPSRAPQKMAAAVGSLGRCGCLLLPPSSILRRSRRRGNCAVCMAPEEEKMTRRSPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPTPLPEDPPEEDEEEEEEKNEEEEEDPDQQPDENSRMQHGGWF >Ma08_p30470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41727515:41729409:1 gene:Ma08_g30470 transcript:Ma08_t30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNRLHLMIVILLLLNIHHANGSCFPAMFSFGDSLQDTGNFVNTYANTSVSKPPWGLTYFHRATGRFSNGRLILDFIAQAVGLPLVPPIRGGGDFSRGANFAFAGATAQDKSALAGLGLDVTGWGNYSLAVEIEWFKDLLRSEPSLAEPTFLGNSLFMVGEIGGNDYNAALAQGIPVDQITKVFVPSVLGAISSGITTLIKLGARNFIVPGNLPIGCVPEWLGKFYSTDSGDYDEHGCLVWMNDLSLYHNKALQDELNWLMELYPNVTIAYADLFGSGMRMFANPQQFGITVPFTACCGGHGHGCDETGPVCSNPLAYASWEGFHPTEATYRAISDGLIRGPFAIPLLNQTCSS >Ma06_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13311517:13315807:-1 gene:Ma06_g19430 transcript:Ma06_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDPFYIVKGEIQESIDKLQVTFHQWEQTPSNTGERVHLTKELLTSCESIEWQVDELDKAIAVAARDPAWYGLDEVELEKRRRWTSTAHNQVGRTRRTVETGKVKQNLFSSGANGVRKELMKLPNDHASQAGRSNHYVNQHNDDFISSESDQQLLLVKKQDEELDELSASVRRIGHVGLTIHDELIGQEKILDELGMEMETTSNRLDFVQKKVALVMKKAGAKGQIMMIAFLRPPY >Ma06_p19430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13312082:13315807:-1 gene:Ma06_g19430 transcript:Ma06_t19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDPFYIVKGEIQESIDKLQVTFHQWEQTPSNTGERVHLTKELLTSCESIEWQVDELDKAIAVAARDPAWYGLDEVELEKRRRWTSTAHNQVGRTRRTVETGKVKQNLFSSGANGVRKELMKLPNDHASQAGRSNHYVNQHNDDFISSESDQQLLLVKKQDEELDELSASVRRIGHVGLTIHDELIGQEKILDELGMEMETTSNRLDFVQKKVALVMKKAGAKGQIMMIAFLVVLFIILFILVFFT >Ma08_p26290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38888336:38890846:1 gene:Ma08_g26290 transcript:Ma08_t26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQIGLVMFAVVMLCSQCLIGVEARPVVERRNLLANGLGMTPPMGWNTWNYFYCDINETIIRESVTNILMSDALVSTGLAKLGYRYVNIDDCWAEHDRDSTGYMVPKRLTFPSGIKALADYVHGKGLKLGIYSDAGHRTCSQTMPGSLGHEQKDAETFASWGIDYLKYDNCNNDDLKPMKRYPEMTRALMRTSRPIFLSLCEWGDMHPALWANKLGNSWRTTFDINDSWESMVSRADQNEVYAEHARPGGWNDPDMLEVGNGGMSNDEYIVHFSLWAASKAPLIIGCDVRSMTKETLAILGNEEVIAVNQDPLGVQAKKVRMYGDSEVWAGPLSGYRTVVVLLNRSPEFRTITAQWDDIGLPPNTVVEVRDLWKHATLEKRFVNELRAGVHHHACKMFLLTPLTLSEEDEPLV >Ma00_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36574194:36575010:-1 gene:Ma00_g04370 transcript:Ma00_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQATYTIHMPLTLFCLVHQRSRKRQQNPCATMHACSTKQPFHRCLRPQSKALRYSLAHPTLYQQSHGRQYNLSLSLSLTFKCNTGKLHCSHFDGLLEHKATPAVCLGARHRLLRIYGIVFYPACSTTTESNLSDTKQSQSLELNSVLASDMYEDSSIHRLNVFAARMLFLSLQAHSFGNVESPVVPLLKLQQVPSRHIITSSNVLRFSSSSSIVS >Ma03_p27960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31177269:31186380:1 gene:Ma03_g27960 transcript:Ma03_t27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKLMNLLKACWRPSSHKYAHTGSDVVGWQDGLLWYKDSGQHVYGEFSMAVVQANNLLEDQSQIESGPLSSLEFGPYGTFVGVYDGHGGPETSRYVNDHIFQHLKRFATEQQLMSADVIRKAYQATEEGFTSLVTKQWPVKPQIAAVGTCCLVGVICGGMLHIANLGDSRVVLGRVVKATGEVLAVQLSVEHNVGIESVRRELQSMHPDDKQIVVMKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLHVKFRLREPFKKPILSSVPSITVQPLQPQDQFLIFASDGLWEHLNNQEAVNIVQKNSHSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRANSLRGPSLSVRGGGINVPHKSLAPCTTPTEV >Ma07_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2723173:2726331:-1 gene:Ma07_g03520 transcript:Ma07_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGSEGGSSGGSAEEMWGEAAGWEMRPGGLLVQQRAPVPGRPPPPQVRVRISYGAARYEVSVSSVATFGELKKLLTADSGLQPAEQRLLYKGKQRGDTEYLDACGVKNRSKIVLVEDSTSLERRYTEMRKNARMQRAHRAVSTISLDVDKLADQVTTMEKYIRNGNKVAEVQITTLIELLMRQAIRLDSISAEGDASSQRNLQAKRVQKCVETLDVLKVSNTKLKPVVVTTKWETFDPPTTTQWEYFE >Ma05_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5750563:5759010:1 gene:Ma05_g07830 transcript:Ma05_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLKKNFEVAAKNPSEEAQRRWRRAVGAVVKNRRRRFRMVPDLDKRSEVETKKRKIQEKIRVALYVQKAALQFIDAGAKTEHQLSDEVRKAGYFINPDELASIARGHDKKRLRNHGGVNGVAREVSVSLDYGIKTSDLSIRQDIYGINQYVEKPPRSFWMFVWDALHDLTLIILMICALISIVVGIATEGWPKGMYDGLGIILSIFLVVVVTSISDYKQSLQFRDLEKEKKKIFIQITRDGYRQKVSIYDIVVGDVVHLSIGDRVPADGLYVSGYALLIDESSLSGESEPVYVSHEKPFLLAGTKVQDGSARMLVTSVGMKTEWGKLMETLSQGGDDETPLQVKLNGVATIIGKIGLAFATLTFFVLLGRFIAEKLLSHTGFKWFPNDALTIVNYFAVFVTIIVVAVPEGLPLAVTLSLAFAMKKLMDEKALVRHLSACETMGSANCICTDKTGTLTTNHMIVDKIWICEVSKSFRGSETAAYLKSVISENVLVVLLQCIFLNSGSEVVRGKDGKNTILGTPTETALLEFGLELEGHVDSQHQDCKKLKVEPFNSVKKKMSVLIPLPGGGTRAFCKGASELILQTCDQIIDRDGNTIFLSKKKKEDMMNVINSFACEALRTLCLAFKDISEDADLEEIPASGYTLIAVFGIKDPVRPGVKEAVQTCKAAGIKVRMVTGDNINTAKAIAKECGILTEDGLAIEGSEFRSRSPEEMNDLIPKIQVMARSLPLDKHTLVTNLRKMFNEVVAVTGDGTNDAPALHEADIGLVMGIAGTEVAKESADVIVLDDNFTSIINVAKWGRAVYINIQKFVQFQLTVNVVALMLNFVSACITGNAPLTAVQLLWVNMIMDTLGALALATEPPNDNMMERPPVGRNESFITKIMWRNIIGQSIYQLIVLGVLMFVGKKLLRIEGPDSDTILNTFIFNTFVFCQVFNEINSLEMERINVLRGILSNWIFVTILASTVAFQVIIVEFLGTFASTVPLGWQLWLLSLLIGSISLIVAVILKCIPVESNRVHGQNGYEALPGGPEAV >Ma01_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13847883:13850219:1 gene:Ma01_g18550 transcript:Ma01_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKIIGAVVASFAVAYACDVLIADKKIFGGTTPKTISDEWWEATDKKFQAWPRTAGPPVVMNPISRQNFIVKSSES >Ma00_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31142625:31143044:-1 gene:Ma00_g03760 transcript:Ma00_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTEENNWRFFMLHLLSDDSDVCMICRQPGFLCREVCFTIRTTLLSRDISQADKHHNNALIFLACTL >Ma02_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14710955:14711805:-1 gene:Ma02_g03330 transcript:Ma02_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIIFLLFGNTTSVIKLMCLRQLWSHTMVPSLCINLLRMLMSVWSLTMKHCMISSFLTPHLAVNHFFMVGFALQP >Ma07_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30745305:30745735:-1 gene:Ma07_g22970 transcript:Ma07_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTIANPSLVVKKTIDEQAKDREEQERRKAGHWQTFASYVFPSRGRREEQKKIGFHLVAKKKGQKTERGMATNENSDREMNGDTRVQRGEGRH >Ma06_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24569381:24581722:1 gene:Ma06_g24890 transcript:Ma06_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like protein 8c [Source:Projected from Arabidopsis thaliana (AT5G37680) UniProtKB/Swiss-Prot;Acc:Q8W4C8] MGLWDSFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNAIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPISKSELHELLMKPSLSGIPLLVLGNKIDKSEALSQQALVDLLGLEHIKDREVCCHMISCKESVNIDMVIDWLIKHARKAK >Ma10_p07160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21509129:21520409:1 gene:Ma10_g07160 transcript:Ma10_t07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSLPIITFEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKKFLERLAEFESTPNNDLSTGENKTEAHLEAAEVPEKDSSPDELSECDGKEEVGSGKETTENHRGVHQAQIWAQIRPSLSAIEQMMSHRVKKKKFMEGGEQVAQRSRTNLAPIEESRASEDSDDEFYDVERSEPVHEASSGDEGNFDSNMNMASQGIPEETKEELECLVRGGLPMALRGELWQAFVGVGARRIDGYYSNLLGLESMTDAKEVDAPLKAGSENKPIRPLGTEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEDLVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFVNMLPWESVLRVWDVLLFDGTREMLFRTALALMELYGPAIVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQPINEVRLQDLRNKHRPSVIAAMEERSKGLRVWRDSKGLASKLYSFKRDNGPLVSEAKSKENVGEMNTNGDVQSMESDSAKLDGILSTLTVDAELDSLPDLKEQATWLKVELCRLLEEKRSATLRAEELETALMEMVKQDNRRILSAKVEQLEQELTELRQALADKQEQERAMLEVLMRVEQEQKVTEDARLFAEQEAANQRQAVNALQEKYEEAMSLLAQMEDRAVMAETMLEATLQYQSSQLKALSSPRTPTTDNSPVRTSQDSSQDIPPRKISLLARPFVLGWRDKNKGKQNNPEDSTDAKLNNDGEQNVQTPKRDMNGHQEPEK >Ma10_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21509129:21520144:1 gene:Ma10_g07160 transcript:Ma10_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSLPIITFEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKKFLERLAEFESTPNNDLSTGENKTEAHLEAAEVPEKDSSPDELSECDGKEEVGSGKETTENHRGVHQAQIWAQIRPSLSAIEQMMSHRVKKKKFMEGGEQVAQRSRTNLAPIEESRASEDSDDEFYDVERSEPVHEASSGDEGNFDSNMNMASQGIPEETKEELECLVRGGLPMALRGELWQAFVGVGARRIDGYYSNLLGLESMTDAKEVDAPLKAGSENKPIRPLGTEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEDLVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFVNMLPWESVLRVWDVLLFDGTREMLFRTALALMELYGPAIVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQPINEVRLQDLRNKHRPSVIAAMEERSKGLRVWRDSKGLASKLYSFKRDNGPLVSEAKSKENVGEMNTNGDVQSMESDSAKLDGILSTLTVDAELDSLPDLKEQATWLKVELCRLLEEKRSATLRAEELETALMEMVKQDNRRILSAKVEQLEQELTELRQALADKQEQERAMLEVLMRVEQEQKVTEDARLFAEQEAANQRQAVNALQEKYEEAMSLLAQMEDRAVMAETMLEATLQYQSSQLKALSSPRTPTTDNSPVRTSQDSSQDIPPRKISLLARPFVLGWRDKNKGKQNNPEDSTDAKLNNDGEQNVQTPKRDMNGHQEPEK >Ma09_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5511832:5515993:1 gene:Ma09_g08320 transcript:Ma09_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGMDVAHEMVLWKVEPQCSISEADDFERLSRLLDRPRVKIERKLSFDERSLSELSVTGNLRPVDSYDSLCSPGAGRSVLDTPVSLSRNSFEPHPMVGEAWDALRRSIVFFRGQPVGTIAAIDHASEEVLNYDQVFVRDFVPSAMAFLMNGEPDIVKNFLLKTLHLQSWEKRIDRFKLGEGVMPASFKVNHDPVRKTDTLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGIRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALAMLKPDVEGKEFTERILTRLNALGYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWIFDFMPSRGGYFVGNVSPAKMDFRWFALGNCIAILSSLATPEQSVAIMDLIEERWEELVGVMPLKISYPALEGHEWSIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLWKDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVSKMMLEDPSHLGMISLEEDKVKKPLIRRSASWTA >Ma09_p08320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5511832:5515993:1 gene:Ma09_g08320 transcript:Ma09_t08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGMDVAHEMVLWKVEPQCSISEADDFERLSRLLDRPRVKIERKLSFDERSLSELSVTGNLRPVDSYDSLCSPGAGRSVLDTPVSLSRNSFEPHPMVGEAWDALRRSIVFFRGQPVGTIAAIDHASEEVLNYDQVFVRDFVPSAMAFLMNGEPDIVKNFLLKTLHLQSWEKRIDRFKLGEGVMPASFKVNHDPVRKTDTLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGIRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALAMLKPDVEGKEFTERILTRLNALGYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWIFDFMPSRGGYFVGNVSPAKMDFRWFALGNCIAILSSLATPEQSVAIMDLIEERWEELVGVMPLKISYPALEGHEWSIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLWKDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVSKMMLEDPSHLGMISLEEDKVKKPLIRRSASWTA >Ma01_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5661518:5663095:-1 gene:Ma01_g07850 transcript:Ma01_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPVAGNKRVLIEGSRDNNLRFQKNMTIHQCTFVLGLLCCRSWRFLPFREHV >Ma05_p26230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37914164:37917132:-1 gene:Ma05_g26230 transcript:Ma05_t26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYMSPLWLTRSNSSSLCSRSSLFQRRHTVVSLSKSFRSSSTNIRRPRCRFPSFTLSKHKGSILQRNMDTSMRLSGGKFRLASTTRCRSGASLGLYLCFTTSGPVYAEPSRGRTNKNDGNSTGYSHGKKIYTDYSITGIPGDGRCLFRSVMHGACLRSGKLPPDEKLQRELADELRARVADEFVKRRAETEWFVEGDFDTYVSTIRKPHVWGGEPELFMASHVLEMPITVYMLDEDAGGLIAIAEYGQEYGKDDPICVLYHGFGHYEALQIPGRKGSRSRL >Ma05_p26230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37914164:37917131:-1 gene:Ma05_g26230 transcript:Ma05_t26230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYMSPLWLTRSNSSSLCSRSSLFQRRHTVVSLSKSFRSSSTNIRRPRCRFPSFTLSKHKGSILQRNMDTSMRLSGGKFRLASTTRCRSMSLSLSLPSHEKFSGVSWNTRNLPLVAGGASLGLYLCFTTSGPVYAEPSRGRTNKNDGNSTGYSHGKKIYTDYSITGIPGDGRCLFRSVMHGACLRSGKLPPDEKLQRELADELRARVADEFVKRRAETEWFVEGDFDTYVSTIRKPHVWGGEPELFMASHVLEMPITVYMLDEDAGGLIAIAEYGQEYGKDDPICVLYHGFGHYEALQIPGRKGSRSRL >Ma06_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15001788:15002622:1 gene:Ma06_g20890 transcript:Ma06_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETLVGYGGEGEGGDGAVGVEAAFQALNTIIQLHFEKILEKKRDVDTQKKELWRLFQLFFLFLAVVLAAQLGASPDRLQCRHCWAPIGLLSLAHLAFYVAVAQTLRCINGFKYQRRCHKLTLALATDRLKLLKLRFSSSSSAAAAGAEPPPVLPSDFEIHYQEPPESYLGKFKRSWALHFGFLMCTFGFMVSASVVLLCF >Ma09_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9946997:9947161:-1 gene:Ma09_g14580 transcript:Ma09_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFIVYIPTYSRYTKRDVRSNEATMKYLLIGRASSSISHGFSLLYGSYGGFKK >Ma02_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24038670:24043507:1 gene:Ma02_g16890 transcript:Ma02_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDEQDVPNSQSRKKRYHRHNPRQIQELESLFKVCLHPDEKQRLQLSRDLGLEPRQIKFWFQNRRTQMKGQQERADNCLLRAENDKIRCENIAMREALKNVICPSCGGPPPDDDSYFDEQKLRMDNTRLKEELDRVSNLASKYLGRPLTQLPPVQPVSLSSLDLSAGGYGDAGISPSLDLDLLCRSSSSAFPFQCPSTVSDLEKPLMVEMATGAMEEVIRLVQTDEPLWVKSSDGRDILQLETYDSMFQRLGRQLKFPGTRIEASRDSALVIMGAMTLIDMFMDASKWAELFPTIVSKARTIEVLAAGMAGSRSGSLLLMYEEIQVLSPVVPMREFCFLRYCQQIEPGVWVVADVSVDYPRDNRLALSSRSRRLPSGYLIEEMPNGYTKLTWVEHMEIEDKNPIHILFRDLINSGMLFGAQRWLAALQRMCERFACLNVAGLPARDIGVAPSPDGKRSMMKLAQRMLSSFCANVGASNGHQWNTISGLNDVGVRVTIHKTTDAGRPDGIVLNAATSMWLPISSEKVFGFFKDERTRSQWDVLSNGNTLQEVAHITNGSHPGNCISLLRGLNSGQNTMLILQECCTDAYGSVIVYSPVDLPAINIVMSGEDPSYIPILPSGFTILPDGRAAAGASSSSNPMVGSSGSLLTVAFQILMSGLPSAKLNLESVMTVNNLIGTTVQQIKSALNCPDI >Ma11_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25219328:25219723:1 gene:Ma11_g20820 transcript:Ma11_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLSLQGELEEEKGGSFSSLQRRWKLETWRACRGREREGEGGERWWRKGLMRRNLRRLKGWGWHLSLPTTRGGRQAAALILLRFSFFRNQVLPSDQRRPLQIQILW >Ma11_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24702758:24704687:-1 gene:Ma11_g20120 transcript:Ma11_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLPDSAALRPLPSASLSSSWRLDLYLSPIIPPAKRWRGNCRPPFSVRAARRSAASKGLQKDAKRDLSRILRTEAAVLGVERKAGSAKSTRLWPRAVLEALDDSISNNRWESALKIFGLLRRQHWYQPKPQTYAKLLTMLGKCRQPDHATSLFRVMLSEGLNPTLDVYTSLVGAYGHSGLLDEAIHTMDEMKTISDCRPDAYTYTILINCCCKLRRFDLVPSLLAEMSYLGIESNTVTHNTIIDGYGKVGMLEEMEDHLSNMLESGKCLPDIFTMNSFIWAYGSVGRVEEMERWYDEFQHMGVEPDLQTFNILIKSYGKAGMYGKMVLVMNYMKKRFFSPDAVTFNIIIECFGRAGNIEKMEYFFRLMKIQGVKPNSVTYCSLVSGYSKANLLEKVPSIIRQTENTDVVLDTPFFNCVISAYGQAREVKIMEEMFTHMKEKNCTPDTITFATMIQAYNAIGMYEAAKELENKMHITERRFLAPTNSKKHHEASYVDEDIGPSCEDSDAI >Ma03_p28210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31346809:31352389:-1 gene:Ma03_g28210 transcript:Ma03_t28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPAHALGDVLDWWEEVNNSTLWQDRIFHALAALFGLVSAVALIQLVRTEFRVPEFGWTIQVFHFLNFLVNGVRSLVFIFLRGVQQIKPEIAQHILLDLPDLAFFTTYALLVLFWAEIYYHIYLISTDGLIPCFYTINAMVYAIQVALWLLLWCKPIQAIVILSKIFFAGVSLFAAIGFLLYGGRLFFMLKLFPVESKGRRKMLQEVGYVTTVCFLCFMSRCIMVWFNAFDKAADIDVLNHPILNFIFYLLAEIIPSSLVLFILRKLPPKRKITQYHPLY >Ma02_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9758421:9759389:-1 gene:Ma02_g01370 transcript:Ma02_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLDGSWEAAEHLIPDVGLFHESQSEVADALLGFFSDPVEACRLPIDSLFDRPGDAYDDTGPTLLHSLVPHPCFSAPSVVSLPPELYAPSDEFDLYHRPKRPRSCNDLCRPWELMPEANSCNVAMPAPAVTGCQVPELSTEFMAPPLPAVVTPACDGERKAGGGFLSAQSVAARARRKRISEKTQELGRLIPGGNKMNTAEMFQAAYKYVKFLQAQVGILELMASIKVQKTRSQYIQSQSFASDGFIGLHMQGWNAPQQVEQKLRLLLASTVVQEKLSGEGRCLVPEQAIESMSTGRDIESDMLISKDLDRFIESVGRSN >Ma05_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10371988:10381154:-1 gene:Ma05_g14280 transcript:Ma05_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRYQIRNEYGLADPELHRTADKDDPEAILEGVAMAGLVGVLRQLGDLAEFAAEIFRDLHEEVMGTAARGHGLMLRVQQLEAELPSVEKAIFSQSSHSNFAYNDGIDWHSSIQMDQNLITQGDMPRFILDSYEECRGPPRLFTLDKFDTAGAGACLKRYSDPSFFKMELVSSGLVETEIPREKKSRKMRKKGSNWKKGQTLESLLSPLADSTSQTTVSDQVSSKSATRLVRLRYRNSNNTNGSKGCNLRKCLLALHSDEQKVVLDNSRRHSSLNVNLVDSGELTSVMHDTVMDVSANYPLVRDVSATETPTKEVVKLTYKFDHWKTGTEEFSEALHGPLGDMQNPQRNFNFVENKEKFADAENKSESSNCDGELSDTEKTTSVQVADHKLGDIEHILEDSNNGYKTEDGGSEQENFMDALNSMEPEVETDSENKDRPDLGVTQKEAYDMNFYTSETLDELLTQFSKQDMAAVSIVSIGLNHNLKSGISSNLCNLSETPATQEKEITSNSSANSEYLLGETNDESCEECLPHDEVANSFDMISDGASDIKSFDNPILRSEIGEEPCNSCDINSTSNLISTGPQQGFETLQFVKAYHVGTSADHEAQLGRDETIKCSDGSPHSNRSDPEIHQPPELVEELVLEGITEMLDMPNRLSSSPARTLPMEDHGNEGSPFITAPTEKETQDSMDQGTKSFAFKNDTTASLGGRSIITTAPHFDPDISIIQHDVALDINICQYPEESTIETSSDYLKGTDGVEYPEESTVEISSEYVKGTDDVVQTMNGPSIGSKDDIISENPYPPCNPVELILEEMESGSGMSDYLSESKYESSLTEVFEGHIEVVSHPSNASIEKSPQLTDQDAEVCSTESTPILISAIVPITDKDCTEKLENIDVENLEVGCEDTDDMTKQNLAMPEIPQPQLEHFSGTEETLQSPKVKLAEPQESHKTNSTEQVPLCFESETFFDRVINYPVLVGDGLKLANEYIQENLQSDVQGFEQITDVKDMSAENFASEDETNSYDSSPVYTKESSGLPLQLVEDSIDSAVSYQHQMKTRETFNPKMAKSLDSQSTPDMAVFSGVEQSAQDPVASHGLPVEDSSDANDDGLHGDICDMMPNVHVHGNLHPFVNDTCLEYPVESKQDFGPKYSAQGHHESAKQEMSLSANLLLDHASASLPEEAFTLQASNEVEIESSHQKGEYFELDIPINSVDVDEEPSEGPEQNHHAFMSNVKYDELDITPSSTTMTEKLGSALVSSECCSEISACQIASNVSDMTFSLSPSSVVFVTESTSRLSSEPQSDESTSCFPSQNSEEPPPLPPLPPLQWRTQKLQVSSLLPNANSSASLAVTNPFVTPSDIKPANKFITSPSGLSELPPIVVDQSHQSDAQCLEGNLVHSSNSLSLISSSLVYEKNMHAPDAQEVLRLPLADSFISQLWPGSDLEKFHYSEHNHLKLEKEVIQPQNLFLFESTLEDYNHHHNYGDFGGESAHLLKSSDLSLFSRLEMPQSGYVYSLEGSHSTPFGVVPTREDEWHSIKPHSIRNRPRNPLIEAVAAHDRSTLRKVPEQAKPSNESKADKKDAVLESNILQLRKVSEHMKPSNKPKADERDELLEQIRNKSFSLKPAVLSKTNNKGHSTNIKVAAILEKANALRQAMVGSDEEDGGDGWSDC >Ma05_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1152994:1154327:-1 gene:Ma05_g01880 transcript:Ma05_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGSWSPEEDAKLKSYIEQHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIIFRLYISIGSRWSIIASQLPGRTDNDVKNYWNTKLKKKFLGKQRKETSAADPKQETRGDGHGDLGITGWPHQPALTYPIDHVCHDVGQGQASMRNEHGVRDSDDGDASNSLVMPSTVPQQQIYDDPFSLAWSSLQQNSGAQALLCPTSFSTELDEILRFNLTKMEGADRPVDESNAATKSEENMNWNEVSPFLYPNIYDERRL >Ma07_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31476853:31480358:1 gene:Ma07_g24020 transcript:Ma07_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTSDMSSANAVLLGALASGVNDQTWFVLKATFLLLGLCLTSMLALAFSSSDFIIVGHVLLLVTIGAVLFLLLNRFLAQTGLVSVEQQMKEMGISDREQIEKDKSN >Ma07_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4219024:4219718:1 gene:Ma07_g05810 transcript:Ma07_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERYMASCQLSVALISRLSTLAFSAVSLDLPAAAAADSPSAVVSGSSETGTSRGAVRDGGAHQRRFASPGGEGTAATLAVAAIVRGSWIFAGRNVGGDGKVVAMG >Ma02_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14634256:14634658:-1 gene:Ma02_g03270 transcript:Ma02_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKIDSEGKARKVVGCSCVVVKDYGEESEGLHIVQEYVKSH >Ma11_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2590171:2591689:-1 gene:Ma11_g03490 transcript:Ma11_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGKSMIAETSNVIYLSSILNKEGLIPSHKCDKRCQNEQVFGNMYRCKLTGLTHICDKNCDQRILYDNHSSLCRVSGQVFPLSLAEEQAVRGLRRKLEVTNTDGCAFKRRRDAQLHPSPFERAFSAVSPICNPIGDGMDMS >Ma11_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27503760:27505430:-1 gene:Ma11_g24610 transcript:Ma11_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRASRKAQSSDGPATILAIGTANPRNLVDQLAYPDFYFRVTNADDRQELKDKFKRICKTSTVKRRFIHLNEEILKANPTVCTPGAPSLEARQDILIEELPKLGKEAAERAIKEWGRPKSMITHVIFCSTAGFDMPGADYQLIKLLGLSPSVRRVMLYHVGCFAGASALRIAKDLAENNRGARVLVVCAETTAITFQAPDEHNIYNLIASAAQTLLPESEGTIKGHLRDVGLDLHLRRDVPKLIAENIEETLVNAFEPLGISDWNSLFWIAHPGGPAILDQIESTLGLKPEKLRATRSVLRDYGNMSSATVIFIMDEMRKQSAKEERESSGEGLEWGVLYGFGPGLTVESVVLHSVPL >Ma07_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32032568:32034818:-1 gene:Ma07_g24820 transcript:Ma07_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIIQKVKSLRELKQIHLQIIVHSLGENSFILAKLMDLSSAFHSLNYAMRIFQNARSRNVVVHNTMIKCFVEKGCQNEAFSACNKMRALGIPPNNFTFSFLLKACESLGSLKWSKGVHCQILRFGFGCYIFVQNALLGTYARCTKDIDLARQLFDDMSERDVVSWNSIIGAYMERRDMARAMAIFELMPERNVVSWNSVITGLSRIGDMASARSVFDQMPTRNTISWNTLISGYVMSGDVSAAKSIFDQMEDKDVVSWTTMISAYTKIGDLECARKVFDEMPVKNVVSWNAMIAGYNENCRFNEALSMFQLMLLERRFPPDEATITSVISACAHLGSLEHGNWIHSYIKKNNIHLTIALGNALIDMFAKCGDIKYSESVFKQMPRKCIITWTTMISGLAYNGQCRDALALFERMSMEGMEVDDVIFIAVLSACTHGGFVEEGQIIYKQMVEKHGIRPRMEHYGCMVDLLGRAGKLEKALKFIQNMPMEPNAVLWATLLSSCVSHGAQELVEFVSQKIVDLEPLSSCYQVLVSNSGAVEGKWDSVVNVRAMMRKEGIKKVPGCSSIQVGGEVHEFLVKDTRHKRRKEIYDALDGLTELMRQLGYTPFRGQLGLLYANADL >Ma04_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2446807:2447010:1 gene:Ma04_g03070 transcript:Ma04_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYDYDHCDGVGDVHDTTARGVATGPGAGKERQLGQDLCDLNHGSTQTRWKRCPHCGSSRSTSVSL >Ma03_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10776428:10779559:-1 gene:Ma03_g13670 transcript:Ma03_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPVLVEGAGDGAAVGERLLVLWRQIRTPMVVPLLWALMYACAFMSVMLFVERVYMAIVIVLVKLLRIKRYTKYKLDSMRQEMEAEESHPMVLVQIPMFNEKEVYRLSIGAACAMSWPSNKMIVQVLDDSTDPVIKEMVEYECQSWASKGVNVEYEFRNSRSGYKAGALREGLEKSYAKDCDFVAIFDADFQPDPDYLWRTIPFLLTNPELALVQARWKFVNADECLLTRLQEMSLDYHFSVEQEVGSSTYSFFGFNGTAGVWRMQALVDAGGWKDRTTVEDMDLAVRASLRGWKFVFVGDLAVKNELPSTFKAYRFQQHRWSCGPANLFRKMLKEILCCKRVSAWKKFHVIYDFFFVRKIVAHFVTFFFYCVIIPACVLLPDVHLPKFIAVYIPSATTVLNAICTPRSFHLIIFWILFENVMAMHRAKATIIGLLEANRVYEWIVTAKLGNASRNKNSSRSHRRSRCRIVERIHLMEVLMGLFLLYCTIYDIMFGRDLFYVYLFAQSMAFFVVGFGYVGVYVSN >Ma04_p35880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34454980:34455887:1 gene:Ma04_g35880 transcript:Ma04_t35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVSDECKLKFLELKAKRSFRFIVFRIEEKIQRVMVEKLGQPEQSYDDLAASLPPDDCRYAVFDLDFITDENCQKSKIFFISWSPDTSRVRNKMLYASSKDRFKRELDGIQVDLQATDPSEMSFDIIKGRAL >Ma04_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1643450:1645211:-1 gene:Ma04_g01870 transcript:Ma04_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPYVSLLSKALAFVPCCRPADAALFLATHSYSATSGAPQSSLMAEYLVSSCGFDPDQAAKASKLLGGVESRHQPDSVLGLFKSYGFDNTQVKKVISANPRWLLLDVEKTLAPKFRALQDLGFSCSDITHLVRSNNDVTSDKSENILSKIQLWQDLLESNDFLVNLCKKNRRFLGYSIEKTIQPNIEILRDCGITDQKLSMIIRHRPLLITRNAETLKALISRVEGLGVPRTSGIFPLTLCVLQRITEKNFKAHLEFFKGFGWSEDDFLAAFRKVPTLVGLSLKSLQRKMEFLVNEAGCAPSHLALRPDILLCSLEKRLMPRHQIVTGLKSRGVCISNLSMSTYAKYPEKKFVEKFVNRYKEYPELIELYNGVPKNRTAFDRGNA >Ma08_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5247015:5249407:1 gene:Ma08_g07660 transcript:Ma08_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSPENLKPTRLRGGPSTRPAAAHDDEEESPKRSSSSSSSSRSPPSSCVSSEGEQKPSNSPEAPPMVLAGCPRCLMYVMLSEEDPKCPKCNSTVLLDFHHGATDDYDATIKAERLTFLVLVEGQSYTLKSG >Ma04_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1012620:1027303:-1 gene:Ma04_g01180 transcript:Ma04_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSQNSASEMAKIVDDGGHEVKGETADEPSSKPIHVIREPVRDEQVLNAVKFLSHPKVRGSPVIYRRSFLEKKGLTKEEIDEAFRRVPDPPSSVAAVEPTTTNQAVQEKSSGTLQPQVANQVSQQTPFASATTSLRQSRFHWSMALFVVGLAASGAGTAVLFKKKIVPKLKAWIRKVVAEENDLKFNKISKSNIAEEAAEAAKAAASAAAAVAIASQELLNAKNEERKYFEAFTRMLDVQVEEMKSMGNAIRKLEITRREFSEDKGIQEYMQSQSWKGTTNNPWRTNQVKQATSNFNSGSKHLEVNGSPDMDSGIAKPLTTPASVEPPHPKSYMEIIEMIQRGEKPPNIKPWEVTQQAEQRLSYGRHSQANGQGLNSEVRETNSQPNGSHSNGSEPWWRKKTIKISEVEPESEEQFYDAMRGNASPMKRGWVPPQPPTLLLPEAADAIRQLKPSIQKQQSVDETLTVGSSNGEDLDAKASDSTIEPEMSGSTGMDFSQAKDQEREVSVEIN >Ma11_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7573375:7574235:1 gene:Ma11_g09320 transcript:Ma11_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCLACNLDPFAHHNSHSGRAPADGALALEPREALRLLSRSASTTLGHVKLTHARIFRSGLYHNPALLPSLLRLYSSHCRLDLAARLLATFPDPPTIPWNLMIRAHAGAGAPLDAVLLYNRMLSRGLRPDKFTFPFVVKACSMLLEVSKGKEVHAFAIKSGFWPDTFLRNALIHLYLCCGDPVEAARTVFDTMPIRNVVTWTAMIDGYGRNGRPDEAFRLFRRMQDDDVMPNEFTVVALLIACAELGSLSVGRCA >Ma04_p33430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33054547:33055514:1 gene:Ma04_g33430 transcript:Ma04_t33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKKKLPCCIRSHILKTIHSRK >Ma03_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7453573:7460056:1 gene:Ma03_g10000 transcript:Ma03_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDAESNGELNGHEETTHDLQSLLASVGRDFLVRNTGDQVKISNLDGKIVGLYFSASWCGPCKRFTPKLVETYNELSSENGNFEIVFVSADEDEKSFTDYFSKMPWFAIPFSDSDIRDRLNDLFDVGGIPYLVIFDVNGKVLTSVGVQVVRDYGSNAYPFTDERIQKLKEEEEAAKQNQTLRSLLVSSSRDFVISKDGNKVPVSELQGKIVGIFFSISSFNSCSEFAKSLKEMYLKLKENGENFEVVLVSLDDEESSYEQGFADMPWLAIPFKDKICDKLVRYFELDSIPTLVVIGSDGKTLNSNVAELIEEHGIEAYPFSPEKLEEIAEKEKARIEAQTLESLLASGEQDYVIGNGGIRVPVSELVGKNILLYFSAQWCPPCRMFLPKLIEAYHKIKEKDDAFEIIFVSNDRDESSFHDFFSEMPWLALPFGDERKKFLARTFKIYGIPSLVAIGPTGKTVTTEARELVMTHGAAAYPFTKERIQEIEAEIEEMAKGWPEKLKHKLHEQHELVKSHRRSYICDACNEEGSGWSFYCDDCDFDLHPKCAFNDDEVANGVGDSHSDGPGEEQRENGKEGYICDGEVCYKA >Ma01_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6316735:6317517:1 gene:Ma01_g08810 transcript:Ma01_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFTSVFLSCLSFLLFVVLLPHVSSRPAAMPARRSIVGETCNQIARGDPNVDFTFCSQSLRSAAGSDGADLHGLAIISLKLAVANATSAATRAKALLKGNGLSRYYKSCLDACREVYADAASDLRDATGMIRSGRLVDARVYISAAVDAPVVCEDGFQEGGLASPLAEEDGDLMQLAVIALALAVRLG >Ma06_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2298098:2301845:1 gene:Ma06_g03100 transcript:Ma06_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVDHDQIYVFPMEKLWVLFSVLITGPLQVLSGFLSWYLAGKLKAFDRRGHVAKLCIVFLPLLCASLIAISRVDDYWHHWQDVFAGGFLGLVIASFCYLQFFPPPYDVDGWFPHAYLHAMADSRHNDQPTANPLQSRPSEMETVYVSSEGQDGIHLRDTILILNSMEAGRRQ >Ma03_p28410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31529071:31529866:1 gene:Ma03_g28410 transcript:Ma03_t28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAGSPCGACKFLRRKCTSDCIFAPYFGSDQSPAQFAAIHRVFGASNVSKLLAQVPVHDRCEAVATIAYEAQARIKDPVYGCVGHIFALQQQVMQVKAQLAYRAALSRVLEDQQCQENFSVFLQSDSEYGADGVLLMQQMGCREDVSAQEFCRKRTPHYEFGELQAMAQRMMRS >Ma03_p28410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31529071:31529866:1 gene:Ma03_g28410 transcript:Ma03_t28410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAGSPCGACKFLRRKCTSDCIFAPYFGSDQSPAQFAAIHRVFGASNVSKLLAQVPVHDRCEAVATIAYEAQARIKDPVYGCVGHIFALQQQVAVLQAQVMQVKAQLAYRAALSRVLEDQQCQENFSVFLQSDSEYGADGVLLMQQMGCREDVSAQEFCRKRTPHYEFGELQAMAQRMMRS >Ma02_p14260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22374303:22380821:-1 gene:Ma02_g14260 transcript:Ma02_t14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGTMAASTEATNDGGGGNVLSRRRHRNSSSVRDSPDEDGRVEMQETWRPRDWGAKKTWDRDRSSRSKKRRGERLSNRDEEDESSEESLDEDEEAEDDDLLLVPARLPPPSPPPSNPGAAASSSPKQNHHHCHQLLRKSFPPKAVKWRTDETIGVTVPRKARSASARRSHEAPALGGGGGGGRGRELMTRQASTTRIPSCSSNGSLPKKMKLINGEKPSDISKSASLVEDEIEIEVAEVLFGMTRQFECLSKHDSDNKLDSRDIDADSGNEAKSRASSPSLMSPSPASYPSDCPSALTSSNSCSNPASLPTVASKRKMQMHVESFANPVGLHNLSSFILPSGDKMDARNQIKAETSSPGSERHNASPATKNGSGLIDVFVSRSEISDVQQQKWAKTVQELRPLTGGSDDKEEIISPAKGSACTDLDTNICELIAQKIVPDIPKEQKFNIDLMAPPPGELSPERDDLNVFDSDRKPKGPDVEMALKEVENPTDGVLVGDKQQIEKPIQTNIDLRKQLVVKQNRDLCLDLEKPKSDDIGTGRVQVHKKQVKEPKVEPKQEKSASASSLHMPFNQTWPGSFPPYGYMGQGPTLQAVVPMDGTAGPSISLQPPPFQQMHPRPKRCATQCYITQMISNHQKFMRMSSFWTAASGAAPLYGANPYNLNVVPPSDVPLSGKTKEGSSLGKNMSTLQDTKGTPAYAMPYSGHTSQEKMPSANNTNMESAQGKQLILQQMTQSGSTNKMPQQATPTASAAAANRVGVAKSTTVSGAEVRVSGALGSAGGSSGGGGSATPVNLSFSSLPPNEAQYLAFVQNNAYPFPIPPQIAAAPPFPGTSNAQAMPFFYPSHMLHASQQRSQQQQLAGPPRQHGKQSHPNLSTLSGSSSQKYPQKSQCMGGNGAGAASDGGAISYVFSETHQQQDLLSQQACHSECSKDMKNDLPAADGTNFQSQKAICFQKGSTPIHPQNFVLMSTAAAAIAMGNSKGHGDKQPVYQQPQEKQNMTAELALPQAFTIPFASFGGAGTVPSDYDFSSMTQNHSILWSLPEPSRDGYHQMAKAAVTTTTQAAEQKKVHQVTEGKSAAWESDTSITVEEGRNIIAASKGLQHLFSFAKSDNEPPIQSGVSNSVLDLSSRSVNLIQAPGNCGISVDHAAGTSTAATSVLTSTNNVANSQQQKQQLIHSQKHQLQQLQMQHHLASSHAKSSGSRNNTNIHPESLTEGFTARFPQSLSGPPQALVHGGSPIQWPQGKTSRVGDITAVSSTPLPKKNLREGHQIQISFGLNSNEVVALGGQHLSGTCDSPSVSSVTIAVGSSSKSVSKIAGGSPRECASMKPGPSTSAIALTQQSAVKQSVTSSSSKPISMSSFNMPSILGRPQKVPAPSSNTKQQQQPQQLPDHQTFSQAQLFFSNPHMQQVPYSKSSAAAPTVVQYYEKPRSEQHTRQSQLQQQLSSAPSSTGMPCFFAPTAFTLAGVPTSDPAKAMAAASVVAANSIKGSPPSNFLNATQLALTTQSASGSHPPISATFPYMSSLPFSMKPSAEQKPVAGNGGIQAFWQSDKR >Ma02_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22374303:22380821:-1 gene:Ma02_g14260 transcript:Ma02_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRGTMAASTEATNDGGGGNVLSRRRHRNSSSVRDSPDEDGRVEMQETWRPRDWGAKKTWDRDRSSRSKKRRGERLSNRDEEDESSEESLDEDEEAEDDDLLLVPARLPPPSPPPSNPGAAASSSPKQNHHHCHQLLRKSFPPKAVKWRTDETIGVTVPRKARSASARRSHEAPALGGGGGGGRGRELMTRQASTTRIPSCSSNGSLPKKMKLINGEKPSDISKSASLVEDEIEIEVAEVLFGMTRQFECLSKHDSDNKLDSRDIDADSGNEAKSRASSPSLMSPSPASYPSDCPSALTSSNSCSNPASLPTVASKRKMQMHVESFANPVGLHNLSSFILPSGDKMDARNQIKAETSSPGSERHNASPATKNGSGLIDVFVSRSEISDVQQQKWAKTVQELRPLTGGSDDKEEIISPAKGSACTDLDTNICELIAQKIVPDIPKEQKFNIDLMAPPPGELSPERDDLNVFDSDRKPKGPDVEMALKEVENPTDGVLVGDKQQIEKPIQTNIDLRKQLVVKQNRDLCLDLEKPKSDDIGTGRVQVHKKQVKEPKVEPKQEKSASASSLHMPFNQTWPGSFPPYGYMGQGPTLQAVVPMDGTAGPSISLQPPPFQQMHPRPKRCATQCYITQMISNHQKFMRMSSFWTAASGAAPLYGANPYNLNVVPPSDVPLSGKTKEGSSLGKNMSTLQDTKGTPAYAMPYSGHTSQEKMPSANNTNMESAQGKQLILQQMTQSGSTNKMPHVPAFIFPINQQQATPTASAAAANRVGVAKSTTVSGAEVRVSGALGSAGGSSGGGGSATPVNLSFSSLPPNEAQYLAFVQNNAYPFPIPPQIAAAPPFPGTSNAQAMPFFYPSHMLHASQQRSQQQQLAGPPRQHGKQSHPNLSTLSGSSSQKYPQKSQCMGGNGAGAASDGGAISYVFSETHQQQDLLSQQACHSECSKDMKNDLPAADGTNFQSQKAICFQKGSTPIHPQNFVLMSTAAAAIAMGNSKGHGDKQPVYQQPQEKQNMTAELALPQAFTIPFASFGGAGTVPSDYDFSSMTQNHSILWSLPEPSRDGYHQMAKAAVTTTTQAAEQKKVHQVTEGKSAAWESDTSITVEEGRNIIAASKGLQHLFSFAKSDNEPPIQSGVSNSVLDLSSRSVNLIQAPGNCGISVDHAAGTSTAATSVLTSTNNVANSQQQKQQLIHSQKHQLQQLQMQHHLASSHAKSSGSRNNTNIHPESLTEGFTARFPQSLSGPPQALVHGGSPIQWPQGKTSRVGDITAVSSTPLPKKNLREGHQIQISFGLNSNEVVALGGQHLSGTCDSPSVSSVTIAVGSSSKSVSKIAGGSPRECASMKPGPSTSAIALTQQSAVKQSVTSSSSKPISMSSFNMPSILGRPQKVPAPSSNTKQQQQPQQLPDHQTFSQAQLFFSNPHMQQVPYSKSSAAAPTVVQYYEKPRSEQHTRQSQLQQQLSSAPSSTGMPCFFAPTAFTLAGVPTSDPAKAMAAASVVAANSIKGSPPSNFLNATQLALTTQSASGSHPPISATFPYMSSLPFSMKPSAEQKPVAGNGGIQAFWQSDKR >Ma10_p26140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33978820:33985677:-1 gene:Ma10_g26140 transcript:Ma10_t26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEVAPGDEGLKKLEYLSLVSKVCTELESHIGCGDKVLAEFITELGRDSETVDDFDAKLKANGAEMPDYFVRTLLTIIHAILPPRSKASMPLPSDPQSQSKKKASAFPALSRPDDPERAKDLRLEMEKDADRKSDAGRRQHDRERDRERDERRRSDRDRDRDRHRGEERDRYRDRGRDRDRDRDGDYRRDKYSDSRGHNVDEDDEDSKTRRNPNPNRRISDEPELYEVYKGRISRVMDTGCFVQLTDLRGKEGLVHVSQIASRRIANAKDVVKRDQEVYVKVISVSGQKLSLSMRDVDQKTGKDLLPMKKSSEDEAYRANPASRDGGLTRRLGLSGITIVEEDVEGSSRRPLKRMSSPERWEAKQLVASGVLGVRDYPMFDDDGDGLLYQEEGAEEEIEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQSALIKERRELREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYEMPEWKKDAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDESLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPETDYLDAALITVLQIHLTEPEGDVLLFLTGQEEIDHACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKQNVYNPKLGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGATVLNMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCSEEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Ma07_p03940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2971882:2974974:1 gene:Ma07_g03940 transcript:Ma07_t03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEPDLSNPEVFSTPGAGEDLPSSCSMDSFFDKILNDGHTCTHTHTCNPPGPNLSHTHTCFHVHTKILSSPPDETAESVEKSDSSKKRPCNNREAVRKYREKKKAHAASLEEEAAHLRAINQQLFKRLQNQAALEAEIARLKCLLVDLRGRIEGEIGPFPYHKPVKGSGDFVSNVTQGNMLAGAQVLNPCNFHCDDQVNWFYPGMHGKDVGETGVFDDQGLGVCEIGNMQCMGSSTSGSQDFASCKSKTAKPVDCSSNATRLEGARAPEDS >Ma07_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2971890:2974974:1 gene:Ma07_g03940 transcript:Ma07_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEPDLSNPEVFSTPGAGEDLPSSCSMDSFFDKILNDGHTCTHTHTCNPPGPNLSHTHTCFHVHTKILSSPPDETAESVEKSDSSKKRPCNNREAVRKYREKKKAHAASLEEEAAHLRAINQQLFKRLQNQAALEAEIARLKCLLVDLRGRIEGEIGPFPYHKPVKGSGDFVSNVTQGNMLAGAQVLNPCNFHCDDQVNWFYPGMHGKDVGETGVFDDQGLGVCEIGNMQCMGSSTSGSQDFASCKSKTAKPVDCSSNATRLEGARAPEDS >Ma07_p03940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2971882:2974974:1 gene:Ma07_g03940 transcript:Ma07_t03940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEPDLSNPEVFSTPGAGEDLPSSCSMDSFFDKILNDGHTCTHTHTCNPPGPNLSHTHTCFHVHTKILSSPPDETAESVEKSDSSKKRPCNNREAVRKYREKKKAHAASLEEEAAHLRAINQQLFKRLQNQAALEAEIARLKCLLVDLRGRIEGEIGPFPYHKPVKGSGDFVSNVTQGNMLAGAQGLGVCEIGNMQCMGSSTSGSQDFASCKSKTAKPVDCSSNATRLEGARAPEDS >Ma09_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6498544:6501621:1 gene:Ma09_g09790 transcript:Ma09_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] AIREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRFQIGGIDLTNLLTQELRKSNPLMNIDISEVEKLKEQYARCAEDQVAFEETQRTWPKETHTLPDGQTITIGREGYIVGEALFQPSILGLEEYGIVEQLVRSISSVSSENLRQLLENTMLCGGTASMSGFEERFQKEANLCSSSIRPSLIKPPEYMPENLSKNSAWMGGAILAKVVFPQNQHVTKGDYDETGPAIVHKKCF >Ma06_p33520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34129631:34132523:-1 gene:Ma06_g33520 transcript:Ma06_t33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAPRNGVEPHAAVLVAIAGSGGRSAAGGRDGDAETLPDAEKGACVVVDVGCGGQGTDVGGSDREDEKVCRICHLSPAGGEEGSGLIQLGCGCKGELGVAHRHCAEEWFRLKGNRYCEICGVNAKNIAGEEGSRSMEERHVRREPSIPHSHSLESDERGGCWRRQSYCNFLMACFIIASIFLWFFRVSMF >Ma05_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11528765:11530611:1 gene:Ma05_g15460 transcript:Ma05_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLKVLGALDVARTQLYHFTAIVIAGMGFFTDAYDLFCISLITKLLGRIYYYDPNSTSPGTLPPNVSAAVNGVAFCGTLSGQLFFGWLGDKLGRKTVYGFTLVLMVICSVASGLSFSSSPKAVVTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVAIVVSAGFKNRYNVPPFSVDPIGSTVPQADYVWRIILMFGAVPAGLTYYWRMKMPETARYTALVAKNTKQAAADMSKVLNMELEEDAENAQRLVADRANSFGLFSREFARRHGLHLLATTTTWFFLDIAFYSQNLFQKDIFTAIGWIPKAASMNAIEEVFRIARAQTLIALCGTVPGYWFTVALIEIMGRFRIQVMGFFMMTVFMLGLAIPYHHWTTHHIGFVIMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSRDPAKREKGYPAGIGVRNALFVLVVTNFLGLLFSLLVPESKGVSLEEMAKEHEREEVA >Ma08_p30720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41882275:41883819:1 gene:Ma08_g30720 transcript:Ma08_t30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHAQTEEISREGEGLKYLNFVHLAAMQAVVCLAMLYQFAKMSSGPLKPGVYLAEGTVKVVIGPVCERFRDVPFEFLKFLDRKTGESLTAVARNVAALLKSASAQAYKAIQKAPGVARSVAGGVRRAGAVAMASGVAKEVYVRCELVAERYAVAAWRSLNRLPLFPRVALVLVHMAAYWAAKYNSEVGTAAGRGYAVAQYLPTVPIERITNVFLEDSEKARRERTAKAVAAAE >Ma04_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7644365:7646807:1 gene:Ma04_g10770 transcript:Ma04_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MLPSSQSKRHLLLLLNPIPDSLLSISFFSSSSNFATQNQARAPPESDLPSLIAQLVRTHSGDPRALSRSLSRRLPDDAWPPPLVDRVLKLLWNDAPRALLFFRSLLLLPSFHPAASSFDHAVDLAARIHDHCSVRFFLGLRGRIALPPSPRTFAILFERHTSAGKPDRAVRAFLSMHHQGCPQDLHAFNTLLDTLCKSRRVRKAASLLKVFRDRFRPDAVTYNIIADGWCRIKCTSQALEVLREMVELGIEPTKNTYNILLKGYFRADQVKEAMRFFKQMKKRGRKDSPSDSECRPDVVSYTTMVHGLGLAGQLDNARKLFDEMVGEGCLPSVATYNALIQVICKKGCVKDAILLFDEMLRKGYTPNSITYNLVIRGLCHAGKMDEAMEFFSRMKLDGCEPNVQTYTILIRYWLEEGEFEKGLELFGRMGEQESCLPNLDTYNVIISAMFVRRRPEDMLVAGKMVMEMVERGHLPRKFMFNRILNGLLLTGNQEFAKELLRLQEKYRCLHREIRL >Ma05_p22130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33879471:33885679:-1 gene:Ma05_g22130 transcript:Ma05_t22130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSRSPPRDRKIRTERVSHRDAPYRRDFRRGSRNADLCKNCKRPGHYARECPNVAVCNNCGLPGHIAAECTTMALCWNCKEPGHVASNCPNEGICHTCGKAGHLARDCSAPHLPPGDLRLCNNCYKQGHIAADCTNEKACNNCRKTGHLARDCQNEPVCNLCNVAGHVARQCPKAGMLGERGGSVFRGGFQDVICRSCNQIGHMSRDCMGPLMICHNCGGRGHMAYECPSGRFLDRGFRRF >Ma05_p22130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33879471:33885619:-1 gene:Ma05_g22130 transcript:Ma05_t22130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSRSPPRDRKIRTERVSHRDAPYRRDFRRGSRNADLCKNCKRPGHYARECPNVAVCNNCGLPGHIAAECTTMALCWNCKEPGHVASNCPNEGICHTCGKAGHLARDCSAPHLPPGDLRLCNNCYKQGHIAADCTNEKACNNCRKTGHLARDCQNEPVCNLCNVAGHVARQCPKAGMLGERGGSVFRGGFQDVICRSCNQIGHMSRDCMGPLMICHNCGGRGHMAYECPSGRFLDRGFRRF >Ma05_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33879471:33885589:-1 gene:Ma05_g22130 transcript:Ma05_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSRSPPRDRKIRTERVSHRDAPYRRDFRRGSRNADLCKNCKRPGHYARECPNVAVCNNCGLPGHIAAECTTMALCWNCKEPGHVASNCPNEGICHTCGKAGHLARDCSAPHLPPGDLRLCNNCYKQGHIAADCTNEKACNNCRKTGHLARDCQNEPVCNLCNVAGHVARQCPKAGMLGERGGSVFRGGFQDVICRSCNQIGHMSRDCMGPLMICHNCGGRGHMAYECPSGRFLDRGFRRF >Ma05_p22130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33879471:33885589:-1 gene:Ma05_g22130 transcript:Ma05_t22130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSETSRSPPRDRKIRTERVSHRDAPYRRDFRRGSRNADLCKNCKRPGHYARECPNVAVCNNCGLPGHIAAECTTMALCWNCKEPGHVASNCPNEGICHTCGKAGHLARDCSAPHLPPGDLRLCNNCYKQGHIAADCTNEKACNNCRKTGHLARDCQNEPVCNLCNVAGHVARQCPKAGMLGERGGSVFRGGFQDVICRSCNQIGHMSRDCMGPLMICHNCGGRGHMAYECPSGRFLDRGFRRF >Ma02_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18150799:18193302:1 gene:Ma02_g07480 transcript:Ma02_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MEALRRITRRPIRRRFLSSQAFDPPPAAPRRRVVVTGLGMVTPLGCGVGETWRRLVDERCGVRALTPADLRMDGFDEATVMHTYDQLTAKVAAIVPCGKGEGKFDEEQWLQSKDHRSTSRFIAYALCSTEEALRDANWLPTEPEKKERTGVSIGGGIGSISDILDAAQLICDKGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFCRSRALATKYNLLPQVSSRPFDCDRDGFVIGEGSGVMVLEELNHAKERGAKIYAEVRGYGMSGDAYHITQPHIDGKGAILAMTRALEQVLLHLVRGKVIAPNDEIESPVNPVSAEHISSKTSLVSPSFWKASR >Ma01_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24808185:24822611:-1 gene:Ma01_g22430 transcript:Ma01_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLWTSGSLGRNKELVERLQLYGIIKSKKVAEVMEAIDRGLFVPPGSAPYVDTPILIGYNATISAPHMHAACLELLREHLQPGMRALDVGSGTGYLTACFAMMLGPEGRALGVEHIAELVDFSINNIKNSAASSFLKEGSLSMHIADGREGWPDLAPYDAIHVGAAAPEIPQPLIDQLKPGGRLVIPVGTVFQDLQVIDKKMDGSVSIRSETSVRYVPLTSKAAQLHSN >Ma10_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15841159:15845048:1 gene:Ma10_g05120 transcript:Ma10_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKNPTNPAPAEGEGEAGADPVPSSAPDDAPVQKAPIPTAAFTPPPKPTAIGPVLGRPMEDVRTTYSLGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLTNKEDIEDVRREVQIMYHLSGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIARGHYTERAAASLLRTIVQIVHTCHSMGVMHRDLKPENFLLLSKDEDAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYIFLCGVPPFWAESEQGIFNAILRGQIDFTSDPWPNISPGAKDLVRKMLNLDPKQRLTAFEVLNHPWIKEDGEAPDTPLDNAVLNRLKQFTAMNHFKKAALRVIAGCLSEEEIRGLKEMFKNMDSDNSGTITLEELKQGLAKQGTKLSENEVKQLMEAADADGNGTIDYDEFITATMHMNRMGREEHLYTAFQYFDTDNSGYITREELEQALKEKGLYDEKEIKNIISEADVDNDGRINYDEFAAMMRKGNPEPNPKKRRDVVI >Ma11_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3342342:3343155:-1 gene:Ma11_g04230 transcript:Ma11_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSFQCLGTSIDVPNVQALAASIANPADVPPRYVRPEAKADPVVSDGDSELPVIDFSRLLHHRFSREESAKLHHACADWGFFQLINHGVPDQAMENMKADIVEFFKLPLEEKKASAQLPNSLEGYGQTFVVSDDQKLDWADMLYLITRPLHSRNIDLWPAQPLTFRDSLSCYSMELKTVAGDRR >Ma09_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27930902:27932630:1 gene:Ma09_g20100 transcript:Ma09_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLKKDLRVSRVGPGGSLNAFFFINKNPFPNCTSFFQSIRLSRCI >Ma06_p30790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32025238:32025974:1 gene:Ma06_g30790 transcript:Ma06_t30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQAGSAAQECTAPSTTFVRADATTFKELVQRLTGPNERDGAHRPVVAPAPPSSPTKLSGLKRLHERRQRSRPKLTILKPGSTSKPPTPAVLSPSVTGASASPSADFAGLNICEHKGVDGADELDEEEEERAIKERRFYLHPSPRSRSRNPEPELLPLFPLTSPRSHQS >Ma06_p30690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31901981:31913243:-1 gene:Ma06_g30690 transcript:Ma06_t30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHEMEVEAAQVVAEATDDEAEALEATEAPKDDESPFAADETTIATAASGDHKRKLVDLEPRDGDEEAPLKKQEVSTEAPVPVVDEPGSGDGEVAASEEVKSENAESSEPRIKDTEAVDVKTDAQKPHPDGEINGSVAIDAGKELQESETQAVGNGKVPSGQDSQTTIVPPADLGTTSRKIEVPNNKVGVLIGKAGETIRYLQFNSGAKIQITRDAEADPHATIRPVELIGTLESINKAEKLIKDVIAEADAGGSPSLVARGFGTIQSGGEQFEIKVPNEKVGLIIGKGGETIKNLQTRSSARIQLIPQHLPEGDASKERTVRITGDKRQIESAKEMIKDVMNQTPRPSPLSGYSQQNYRPRGSTGMPHWGARATAPTQPAMGYEHQQRGTYPPTQTTQYPQSYGGYSQQPAPRGGFSNTGWDQRSVHNTSTGGYDYYGQGGPGAGSQAAMHNPMSGPSQAPVNYGQSQGPNYGHPTPYGQPAPTQQNYGQGYHEPRYDSQAPSQQFYGQQTMSSQPGVYGQGTAPQSGFGQQQSYIKPTYGVPPQEVPPSYGAPPPQDAPPSYGVAPRASQSGDSTYQGQAPSSYGPGTLTQQYPYGSNPPSQPAPSYNQTYGPASGAMDGYAQPPSAAYTQHGGQAAAPGYGQGGQPVAAYTQSTSQPAGYGQYASAQQGYGDPASGNVNYGYHGGSADAAYGNAIPGAGYGAPAQQPSNPSGYYDQSVAPQSGYGSQPGYTKSVSPQPGYGGQYDSAPMYAHH >Ma07_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27394742:27398977:1 gene:Ma07_g19360 transcript:Ma07_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFFSVCRFIITNCLIWLFGFLAMHLFRIRKGSTCQRENLKASSVHDSRRVEPCPEITDSSIEEKESPRLSFKFQYQFSAHHKLLDQVPLSQPVAKENESSVNTAMHNYRFLPEKDFSCFMAEPEAQTCRIQESYVDPAVLSSYDSKTAKAADHDLMHTIDKLDAIGDEKFPYVKFFEQEKHIRRAETNLSGEIGRTDPAKFLSDEFLVSDSDAESLSASDGYSVKGLIADLDSDGLLSEYEHEVDTRQASIDASRYKVKLSEDFRRLEAAQSRFVHDYDSESAAVIDQFSFVDNQRRYTHIRRDENLPENESDSSDPERKGLDQGEAESNQVQEFEEPKQPTAGVSRMENIDSSDDELHATKRHSSLRKSSNELVTPDSRQENLDDKETGNDADIDSTNSSSSNLNRDLEFTREFNVTRDEFSERSPGTEQEDSQLMAELDELAREEELERGKKEAKESKVVQQTYLEDSDDDDDELESLWEHQDLIEKLKLELKRVRAIGLPTISELSEGPKAVDDLKPWKIDSKFLHEDPMDELQKFYKCYRERVRKLDILNHQKVHAIGLLQLKDPHQSTGSQKSLLPTITSVLSQSLWTCSRESGISPSDKFIKELQNDLEMVYVGQTCLSWEFLRWQFEKARQISDSDPYRSHQYNQAAGEFQQFQVIMQRFIEDEAFQGPRLPNYIKTRSAFQNFLLVPVIREDSLKEKLEDQRKGNYVITSEILEDIMEESIRLFWEFVKADKDETPGILKGLMGTHVQPHDPSDSKFMADIHSKLHKKERKLKDILRTGNCLVKKFKKPKEDRSNQDIFFSQVDLKLVARVLRMSRITTDQLVWCHAKLSNISFIEGRVHREPSFLLFPC >Ma05_p30900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41080211:41083281:1 gene:Ma05_g30900 transcript:Ma05_t30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRGRREPLRQWRDRETSPERTKVWKEPKPRRVPVVYYLSRNGQLEHPHFMEVTLSSSHGLYLRDVIERLNFLRGQGMASLYSWSSKRCYKNGFVWHDLSEDDLIYPAHGHEYVLKGSELLQPVSSPSSQDTIAPFYASDKPLPIPKSVHEDPEILQIRKKRAPWSSLDLNEYKVYKTDLAVETGVKAADASTQTDDGRSRHRAAVARDDGRRAEIPMVEEAEPPTTELEREEISPPPSSSSPETLEILLKADVRASASAATTVGLDDHDPTVGGYASGRMRASAVLMHLLSCGSINVKDHHGISASPPSCKEKVAPRGGSDFGGAKVADGLMEGNSFSRIRLEDKEYFSGSLIETKKGGDGRADFPGLKRSSSYNADRCSKLELAEKEIEGVRAKCIPRKHKAVERREANAPISRSTLGSKRINDEPQDE >Ma03_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25193022:25198337:1 gene:Ma03_g19930 transcript:Ma03_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRRLLLRLISVCASLVCLTVAVTTVAAAHELPRDGTVIELDEGNFDSAIASFDHILVDFYAPWCGHCKRLAPELDTAAPVLAQLSEPIMIAKVNADKYRKLASKYEIDGFPTMKLFMHGVPVDYTGPRKAELLVRFLKKFVSPDVSLLETDSVIQNFVEMVGTDFPVFIGFGLDESVILDVARKYKKKAWFSVAKDFSEEMMVAYDFDKVPALVSLHPKYKEQSVFYGPFEGDFLEDFISQNQLPPTVPIRFETLKLMNDDKRKIVLTIMEDELDEKSLKLVKILRSAATANRDLIFGYVGVKQWGDFVDAFDITKSSKLPKLLVWDGNEEYHTVVDLEDLDDNDQGTQISRFLEGYREGRTIKRKLSSPSPFGFISSLTGIRTVYLIVFVVAVVMVIVLLCTRSADTPPVSQDGAEVAEASVSQAKSSSSYQPGDKED >Ma07_p06300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4537289:4549228:1 gene:Ma07_g06300 transcript:Ma07_t06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSKAVSSISPNSKNPLAHDQHLELSDNVVFSNSGRNDLGNPNLASRQRLRWTNELHDRFVDAVTQLGGPDRATPKGVLRIMGVSGLTIYHIKSHLQKYRLANYIPDSSADGAKSENKDSGDHTMRPENSSGMQMTEALRLQMEVQKRLHEQLEVQRQLQLRIEAQSKYLKKIIEEHQLLSGEPAELPGVGIYAYSNDNCLNSDDKTDPPAPAPTSVSPVQDKAASKPLTPDSTCHVSSPLESPKHERVKRQRFPGHETTDLMPAQHILESSSGSDFQQPCSVFLAGRGQFDASSASIFNEQFGNDSGSEL >Ma07_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4537289:4549228:1 gene:Ma07_g06300 transcript:Ma07_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGLTIYHIKSHLQKYRLANYIPDSSADGAKSENKDSGDHTMRPENSSGMQMTEALRLQMEVQKRLHEQLEVQRQLQLRIEAQSKYLKKIIEEHQLLSGEPAELPGVGIYAYSNDNCLNSDDKTDPPAPAPTSVSPVQDKAASKPLTPDSTCHVSSPLESPKHERVKRQRFPGHETTDLMPAQHILESSSGSDFQQPCSVFLAGRGQFDASSASIFNEQFGNDSGSEL >Ma10_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19078619:19079261:-1 gene:Ma10_g06420 transcript:Ma10_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIIPPHHYNCTERSENRVHNYGESALHAVRIITGIVFLLVIIVVISLPPFTCLGSPFPVRPVNNPGQQRIPRRTRFSPLRSPLIFSFFLLGCFPPFASQRPPFATRDTHPPVVIKQSPIDGRLRGREVQQPKAVGRLQRELRLSPSSSSSSSLVFPCNRSRWCWLDQDQQLMAES >Ma01_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4342876:4344659:-1 gene:Ma01_g06080 transcript:Ma01_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAREQRRENDRNKKEKEVVMQPSIWGRLPDELLERIIALLPLRTILALRPTCRRFSCLLSSPAFLSLLHAHNSLAFLLLSHPQFSHRCLPLYDPAADGWRSVPLPPSSTSGSASPSSLLLLSAASGLLLFALGRSSLLVANLLTNASKVLPLPTYSAAPSSASLVSLPSRSNSTHGYKIFLPCASDDDVFVYDSASLAWTRFPGFEPVLGRRNPHQGGAFFDGSLYFTTPEPFSTVGFDLRNGAWDVGVAPPMPEDLAFVRLVGGCSGSGEDHLYLVGGIGRDGISRSLKLWELVGRKEEKRGRAWEEIGRLPEMMCRKFMSVCYHNYSHVNCLWHEGLVCICCTTWPEVLFFKVSRGTWHWLPRCPMLQEKWSCGFRWFSFVPDLFALV >Ma10_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23468225:23479993:1 gene:Ma10_g09270 transcript:Ma10_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQMRFFIFLFAFVFSIITPGGGGLSYTNAAEKEALRNIQKRWRITTWETLLSIDPCDRFKPWRRDGENAWINCSCDPNNSCSIIELKVFEMNVSGRIPDALFNLTNLVNLNLSRNILNGSIPEDIHKLKRLRILSLDKNQFSGNITPSIGNLTNLTYLSLGTNRFSGGIPSTVGSLTLLEQLYIDSSGLSGPLPSDLANMTSLKTLWAFDNDFTGNLPESIGQLTNLTDLQIYGTFLEGPIPKKLSALTKLETLKLGDLSGADSNLSFLENMKSLVTLSLRNCQVADEIPPFLANFSNLTYLDLSFNKLHGSIPNLFGKLATLRYLFLGNNALSDLFPSEVFTENKNLTTVDVSFNNISGSLSSSDLREELSMNYIGTSMNKQSDR >Ma03_p30880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33326527:33335072:1 gene:Ma03_g30880 transcript:Ma03_t30880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAAAAHRSPEELETLISELQKKHQMDLLNLTLTTQPFKTLQFFIFATLQYLRRSLVYILAKGGWILVLSLPVFAVGLLLFSVDGPHEKHIQEFIHYTKFSLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMKCGRVDLKAAPYDTIQLKMSPSWLEKDCSEFGPPVFPPLPGSLVRVPLSSILPQVQLEAILWGLGTALGELPPYFIARAARLSGNKAGAVEELDAASSKQDGFLSFYLKKIKRWLLSHSQHLNFFTILVLASVPNPLFDLAGILCGQFGIPFWKFFLATLTGKAIIKAHIQLSILLLQTVSIISLCNNQLLEWMEKELIWVFGFIPGFSSVLPNLVTKLHMAQEKYLSASVPGSTLSDGMAKRWNLSFSLIWNTVIWLMLINFFAKIVAATAQRYLKKQQELELTRVKQMTIT >Ma03_p30880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33326527:33334523:1 gene:Ma03_g30880 transcript:Ma03_t30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAAAAHRSPEELETLISELQKKHQMDLLNLTLTTQPFKTLQFFIFATLQYLRRSLVYILAKGGWILVLSLPVFAVGLLLFSVDGPHEKHIQEFIHYTKFSLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMKCGRVDLKAAPYDTIQLKMSPSWLEKDCSEFGPPVFPPLPGSLVRVPLSSILPQVQLEAILWGLGTALGELPPYFIARAARLSGNKAGAVEELDAASSKQDGFLSFYLKKIKRWLLSHSQHLNFFTILVLASVPNPLFDLAGILCGQFGIPFWKFFLATLTGKAIIKAHIQTVSIISLCNNQLLEWMEKELIWVFGFIPGFSSVLPNLVTKLHMAQEKYLSASVPGSTLSDGMAKRWNLSFSLIWNTVIWLMLINFFAKIVAATAQRYLKKQQELELTRVKQMTIT >Ma03_p30880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33326527:33335072:1 gene:Ma03_g30880 transcript:Ma03_t30880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAAAAHRSPEELETLISELQKKHQMDLLNLTLTTQPFKTLQFFIFATLQYLRRSLVYILAKGGWILVLSLPVFAVGLLLFSVDGPHEKHIQEFIHYTKFSLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMKCGRVDLKAAPYDTIQLKMSPSWLEKDCSEFGPPVFPPLPGSLVRVPLSSILPQVQLEAILWGLGTALGELPPYFIARAARLSGNKAGAVEELDAASSKQDGFLSFYLKKIKRWLLSHSQHLNFFTILVLASVPNPLFDLAGILCGQFGIPFWKFFLATLTGKAIIKAHIQLSILLLQTVSIISLCNNQLLEWMEKELIWVFGFIPGFSSVLPNLVTKLHMAQEKYLSASVPGSTLSDGMAKRWNLSFSLIWNTVIWLMLINFFAKIVAATAQRYLKKQQELELTRVKQMTIT >Ma06_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10042119:10043292:-1 gene:Ma06_g14670 transcript:Ma06_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASTRSPMANCSAVAATLGRRLEGKVAVVTGGAAGAGEATARLFVHHGAKVVIADIRDELGLSVAASIGTDDVITYVHCDVAKEEDVGSAVDLAVAKYGGLDIMFNNAAIIDRNRPRITDVDIADFDRVLGVNVTGVFNGIKHAARVMVAAAAAGRQRRPGGSIINNGSVGSVIGGVAPHAYIASKHAVVGLTRSAAAELGQHGIRVNCISPFAYATALACDFVHMDAERLEEWIGGIGNLKGPVLRAEDVAHAAVYLGSDESGYVSGHNFVIDGGFTTANNAFGLFKQ >Ma04_p32670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32616369:32620499:1 gene:Ma04_g32670 transcript:Ma04_t32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARYSRTLLGRRSSSFTLILAALLTASVVLLMLLAFGIFSLPVGSDDRAKPDLRPRRPVHEAKDEMGQIGDQWTEMLSWEPRAFIYHNFLSKKECDYLIELAKPHMEKSTVVDSATGWSKDSRVRTSSGMFLRRGKDKIICAIEKRIADYTFIPVEHGEGLQVLHYEVGQKYDPHYDYFNDEFNTKNGGQRIATLLMYLSDVEEGGETVFPSVKVNSSSLPWYNELSDCAKQCLSVKPKMGDALLFWSMRPDATPDPSSLHGGCPVIKGNKWSSTKWMRMHEYRA >Ma09_p26960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38088606:38090686:1 gene:Ma09_g26960 transcript:Ma09_t26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRIRRAKPILSIRNASTRFVHSSSSHPSIASSMVSDLPHLAPDDEAVVSSAVSILRELRSKRRWTFLKSVHLAGFSTAQFAHILLRIRNNPRLALGFFIFSRRHSLCRHDPLSFAAAAHVLARHRRRPAALSLLQAAVRSLDPSSSPYTDVEGINGPPEIFRTLSRTYHAFDSAPFVFDLLVQAYLQVKRLDRAVQIVRILRSRGIQPSIGTSNALIRSVSRAKGSDAGFQIYNHIFKPEPAGCDGVGVKLRVSPNVGTFNTLLLALHREGNLERPKEIRDEMESVGCDPNVFTYSILMAGYCDEGMMDSARGLWEEMAAKGIKPDIMSFNTLISGYCKVEEMERAEDLYRQMILSEIEPTVTTFEQLIKGHCKIGDIDSGLLLYQDTRRRGFGMEVSVVDELLVAMCERRRVAEGLRILRDEMRREEFTPSRLSYEVLIRGLCEEGDIEQALKLQAEMAGKGFGNSFEVYSAFIHGYRKQGDIEKVERLKDEMIVMGVGKGE >Ma03_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23232644:23234197:1 gene:Ma03_g17630 transcript:Ma03_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH77 [Source:Projected from Arabidopsis thaliana (AT3G23690) UniProtKB/Swiss-Prot;Acc:Q9LK48] MGVPDNGKDASKSNPERMEMEMRSKLGGGMPPSSTSGRTMAGGAANNVSKRKAASKGRRKEAPLSSSNVNSPTAAEDEHPDAKRCKSAKNNGADEDSVVKQETEQNIGASQKKGKENNAKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISKRMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLATINPQLDFDLETLLQENMHQEHEPLPQQVYPLEGTSTAFSYAQRPQGSPLQSAITNGLGVGQQPQCPIDGLADATSQLGNFWESDLQYVVQLGFGQSQGAEFFSRSLNAE >Ma05_p31050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41158332:41158472:1 gene:Ma05_g31050 transcript:Ma05_t31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCQNGGCKCGSNCTYDPCNCKRDTARVRRGRHRAFLLSFVLSR >Ma06_p31680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32733135:32735740:-1 gene:Ma06_g31680 transcript:Ma06_t31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSNNTKYYEVLGVPKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGHDPFDIFSSFFGGSPFGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICRKCKGKGSKSGASMKCSGCQGIGMKVTIRQFGPGMIQQMQHPCNECKGTGETINEKDRCLQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIVFILQQKDHPKFKRKGDDIFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAIDDEGMPMYQRPFMRGKLYIHFTVDFPDSLTPDQCKALEAVLPPRQALQMTEMELDECEETILNNVNIEEEMRRKQAQSQEAYDEDDDVHGGARVQCAQQ >Ma11_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9452218:9455079:-1 gene:Ma11_g10170 transcript:Ma11_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSSIRIDIGLPSSAVPRETARKSGSGEGDEAITIPLRKWMMEVWQFAREDTNRVTFSLKVGLACLLVSLLILLRAPYQVFGANIIWSILTVAIMFEYTVGATFNRGFNRALGSLLAGVFAVVVIQVAMSSGHVAEPYVIGLSIFLVGSITSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGAIVAVLVNVLIFPIWAGEQLHRELVGHFDSVADSLEECVKKYLSDDGSDHPEFSKTVMDDFQDEPAFRKCRSTLNSSAKLDSLANSAKWEPPHGRFMQMFYPWAEYVKVGAVLRHCAYEVMALHGCLHSEIQAPYNLRYTFRTEILDATNQAAELLRSLAKDLSNMKHSLHTSLLKRVHASTERLQRSIDLHSYLLTLSHDVCDCSTKPPAKLSHVSSLNGTDADGKKAEAETYHETMKKQQRRLHSWPSREVDDFEEDMSGESIPRMRALESTAALSLATFTSLLIEFVARLDHLVEAADELAKLAKFKQEIAC >Ma10_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27955498:27958302:1 gene:Ma10_g16110 transcript:Ma10_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMGENGAAKYNQRPTFTPMEVSVELSHGNQLQGLGKCYDDDGRLKRSGTFWTASAHIVTAVIGSGVLSLAWAIAQLGWVAGPVVMLLFSFVTYYTSTLLADCYRSGDPDTGKRNYNYMDAVHAYLGGLKVKLCGCIQYANLFGVAVGYTIAASISMMAIRRSNCFHEKGHKNPCHTSSNPYMIMFGVVEIFLSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVQAIDNKGFKGSLTGISIGVISPTQKIWRSLQAFGDIAFAYSFSLVLIEIQDTIKSPPPSEAKVMKKASLLSIIVTTLFYMLCGCMGYAAFGDKAPGNLLTGFGFYNPYWLLDIANAAIVVHLVGAYQVFSQPLFAFIEKWALKTWPDATFISKEIAVPLTPTKRYKLSLFRLVWRSAFVVLTTVISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKRIPRWSTRWVCLQMLSSACLVITVAAVIGSVAGIVTDLKVYRPFKTSY >Ma07_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4514686:4516995:-1 gene:Ma07_g06270 transcript:Ma07_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLRSCNQMLFDVGRTRGVDEARALFDRMPRRDLVTHTTMITLFLKDGRLPQAEALFRLLPHRNVVVESAMIDGYAKAGRVDEAQRVFDAMAAPNVVSWTSLLSGYCLVGRIEEARRIFDRMPVRNVVSWTAMVLGYARNGSLTDARELFDQMPEKNVVSWTAMIKGCVEHGRITDARELFDSMPNRNLYAWNIMISGYLGDRRASEAIGLFQSMPHRNAVSWTIMVTGLARNGLTEEARQYFEQMPARDIAAWNAMITAYADEGLMSDARALFDSMPKKDVVTWNAMIDGYAKKGCRDEAWRLFLRILRLPMKLNEATLTSILVNCDSHIEVREIHGLASRVGFGSDTSLMNALVSRYSRTGDLTSARHAFDGLEAKDVISWSSMILAYSNHGCGHHALPVFAQMLRHGARPDGITFLGVLSACGHAGLVEKGKKMFSSMNSVLGSEPTAEHFSCLVDLLGRAGRIQEAMATVTEMPASERDEAVLGALLGGCKLHDKIEVASHVGEELIELDPLGSGGYALLANVYARHGRWHEVARVRKKMKERKVKKVPGVSQIEVGKKNHVFFAGDRSHPEAREIYDMLREELLPQMEDMGCSQVTSVLT >Ma05_p21230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32896040:32903919:-1 gene:Ma05_g21230 transcript:Ma05_t21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSQRLPPAEPPDDWGDGSWTVDCSCGVTFDDGEEMVSCDECGVWVHTRCSRYVRGEASFACHNCKAAARRLRSASAAAVGHFPLPVDTEETEVAQLLVELPTKTDVCPPPPAPPLQPADSAAGPHRRRLWAEIPLEDRVHVQGVPGGEPNLFGGLSSIFTSQLWKCTGYVPKKFNFRYREFPCWEEDEEKKEGEEEAENPANRGADVLFSLSKEIIPYVPVKKFDAAIKEGEGKVSSGSRSSLSCRKKDRSRLRTVGQANVAKKRREEPGETKDWTGKKKARSSAEKIAGDTKRRGSVPFTGMKKFEFQKDKDLQLEEASVPGPKSEDQTEELHSASCFAGQPKGMGHSDKPKHLLADSSMIAREVKVEKVDQLETVKTEKSDNSPKMDVASRGGTSQIAKESSTDEVSSRAMYCMKEPKDESNFEGRLAKVSSSVVMDSGTSKPASADLANGCKELLDKPVLSGSKDAVILSTKMDANEVKIEGADDLSTGNLNFTAPCTAAKLPAIDSQQHGQLLNQLSEGIQDQENKSSFPSHGHWSQDVSRECNEFKDSITSRTGELLKHCNQVENSPEQKGTLEIGHGTKNFNESTNSRLQDLNSLIPDAVNLAVGVIKDSSTSFSPVVTKLSVPCTNMSSITSTSSAGKASHLTKQARAKVSTNTAAKKEGAPTPAGENMGEILENPAKGQSKVSLFSGSRPSKTSRTSYDSASEHLVSDSKEELPFQSSKASGKEDRTAVLRTHDIAGSLQSQVASVQIKQTSTNLSQRTERSHQSAPYTSSKVLSTSIFMHPSASSNATTTLSDEELALLLHQELNSSPRVPRVPRVRQAAGIQSTPTTGMSVLSKRPSVSGGKDQVSVFRRKNKEDASKYVTRNSQELNDESRKKGRLSSFPDQRHQQSSISSEKKKESQIRPPDLALIKNISLASGEGEKSDPFSSSEVSEHITSIACSSPRDIPRDEGGVIVRTLPGLIDEIMSKDKHIRYEELCNAVRPHWHDLRKPNGERYAYPSYLHAVHDCLRNRSEWAHLIDQGPKTNSSKKRRKAEVDPDVATIESENEKVRTRASKEEDNAVDSHREDFPKRKRNARKRRRLELRGTGVMEEGRKRRSRAAVSDYYSAALSHSSNEGNESLFSEDESQVAGPHAGGTETSSSSSDDST >Ma05_p21230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32896040:32903919:-1 gene:Ma05_g21230 transcript:Ma05_t21230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSQRLPPAEPPDDWGDGSWTVDCSCGVTFDDGEEMVSCDECGVWVHTRCSRYVRGEASFACHNCKAAARRLRSASAAAVGHFPLPVDTEETEVAQLLVELPTKTDVCPPPPAPPLQPADSAAGPHRRRLWAEIPLEDRVHVQGVPGGEPNLFGGLSSIFTSQLWKCTGYVPKKFNFRYREFPCWEEDEEKKEGEEEAENPANRGADVLFSLSKEIIPYVPVKKFDAAIKEGEGKVSSGSRSSLSCRKKDRSRLRTVGQANVAKKRREEPGETKDWTGKKKARSSAEKIAGDTKRRGSVPFTGMKKFEFQKDKDLQLEEASVPGPKSEDQTEELHSASCFAGQPKGMGHSDKPKHLLADSSMIAREVKVEKVDQLETVKTEKSDNSPKMDVASRGGTSQIAKESSTDEVSSRAMYCMKEPKDESNFEGRLAKVSSSVVMDSGTSKPASADLANGCKELLDKPVLSGSKDAVILSTKMDANEVKIEGADDLSTGNLNFTAPCTAAKLPAIDSQQHGQLLNQLSEGIQDQENKSSFPSHGHWSQDVSRECNEFKDSITSRTGELLKHCNQVENSPEQKGTLEIGHGTKNFNESTNSRLQDLNSLIPDAVNLAVGVIKDSSTSFSPVVTKLSVPCTNMSSITSTSSAGKASHLTKQARAKVSTNTAAKKEGAPTPAGENMGEILENPAKDSKEELPFQSSKASGKEDRTAVLRTHDIAGSLQSQVASVQIKQTSTNLSQRTERSHQSAPYTSSKVLSTSIFMHPSASSNATTTLSDEELALLLHQELNSSPRVPRVPRVRQAAGIQSTPTTGMSVLSKRPSVSGGKDQVSVFRRKNKEDASKYVTRNSQELNDESRKKGRLSSFPDQRHQQSSISSEKKKESQIRPPDLALIKNISLASGEGEKSDPFSSSEVSEHITSIACSSPRDIPRDEGGVIVRTLPGLIDEIMSKDKHIRYEELCNAVRPHWHDLRKPNGERYAYPSYLHAVHDCLRNRSEWAHLIDQGPKTNSSKKRRKAEVDPDVATIESENEKVRTRASKEEDNAVDSHREDFPKRKRNARKRRRLELRGTGVMEEGRKRRSRAAVSDYYSAALSHSSNEGNESLFSEDESQVAGPHAGGTETSSSSSDDST >Ma05_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32896040:32903919:-1 gene:Ma05_g21230 transcript:Ma05_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSQRLPPAEPPDDWGDGSWTVDCSCGVTFDDGEEMVSCDECGVWVHTRCSRYVRGEASFACHNCKAAARRLRSASAAAVGHFPLPVDTEETEVAQLLVELPTKTDVCPPPPAPPLQPADSAAGPHRRRLWAEIPLEDRVHVQGVPGGEPNLFGGLSSIFTSQLWKCTGYVPKKFNFRYREFPCWEEDEEKKEGEEEAENPANRGADVLFSLSKEIIPYVPVKKFDAAIKEGEGKVSSGSRSSLSCRKKDRSRLRTVGQANVAKKRREEPGETKDWTGKKKARSSAEKIAGDTKRRGSVPFTGMKKFEFQKDKDLQLEEASVPGPKSEDQTEELHSASCFAGQPKGMGHSDKPKHLLADSSMIAREVKVEKVDQLETVKTEKSDNSPKMDVASRGGTSQIAKESSTDEVSSRAMYCMKEPKDESNFEGRLAKVSSSVVMDSGTSKPASADLANGCKELLDKPVLSGSKDAVILSTKMDANEVKIEGADDLSTGNLNFTAPCTAAKLPAIDSQQHGQLLNQLSEGIQDQENKSSFPSHGHWSQDVSRECNEFKDSITSRTGELLKHCNQVENSPEQKGTLEIGHVGVIKDSSTSFSPVVTKLSVPCTNMSSITSTSSAGKASHLTKQARAKVSTNTAAKKEGAPTPAGENMGEILENPAKGQSKVSLFSGSRPSKTSRTSYDSASEHLVSDSKEELPFQSSKASGKEDRTAVLRTHDIAGSLQSQVASVQIKQTSTNLSQRTERSHQSAPYTSSKVLSTSIFMHPSASSNATTTLSDEELALLLHQELNSSPRVPRVPRVRQAAGIQSTPTTGMSVLSKRPSVSGGKDQVSVFRRKNKEDASKYVTRNSQELNDESRKKGRLSSFPDQRHQQSSISSEKKKESQIRPPDLALIKNISLASGEGEKSDPFSSSEVSEHITSIACSSPRDIPRDEGGVIVRTLPGLIDEIMSKDKHIRYEELCNAVRPHWHDLRKPNGERYAYPSYLHAVHDCLRNRSEWAHLIDQGPKTNSSKKRRKAEVDPDVATIESENEKVRTRASKEEDNAVDSHREDFPKRKRNARKRRRLELRGTGVMEEGRKRRSRAAVSDYYSAALSHSSNEGNESLFSEDESQVAGPHAGGTETSSSSSDDST >Ma08_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6830978:6832048:-1 gene:Ma08_g09450 transcript:Ma08_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPCGFPISDYFSSFASNFKNPFPILRSFSFHHHRHLRPSLRTLVNLFAEEPKRPKLVLYTTSLRGIRRTFEDCCAVRAILRGLRVAVDERDVSMDVSFRIELQSLLGKGRPLALPQVFIENRWLGGVEEICQMNEAGELGRRLEGVAAQDPTFVCDGCGGARFVPCSYCHGSQKVFVEKEGRKRRCDECNENGLVRCLHCCS >Ma07_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8361763:8362437:-1 gene:Ma07_g11230 transcript:Ma07_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPALPVPSSVCRIGAKARDREDRRRPRVSTPPTMKPEGGKAAAGESERGGEVRYLGVRKRRWGKWVSEIRLPRSRERIWLGSYDAPEKAARAFDAAAFFLRGDAARVNFPDQLPRDGPAGGALSHDQIQAAAARHANGTPPAASASGASDGIVTDENQELDESFVRFMAMDDNADFPLLYEDLLYGVFPAAGAPMPPQDGTAGINEHDGNEVFDEFPALWSF >Ma06_p22260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17771759:17775787:1 gene:Ma06_g22260 transcript:Ma06_t22260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVIRLVRRPAAALLIARSCRHVRQLSHHMLPGGLVCSKPARELTMLLPRASPYQMWSRSFASENGDLVDAVVPFMGESITDGTLATFLKKPGDRVEVDEPIAQIETDKVTIDVNSPEAGIIQKFVAKEGDTVTPGTKVAVISKSYPSDTHVAPSDDKVVKDAQPPSPPTGAPQPTPPTEKIDKQVLKEEGSTKEKPKVPSAATLPKTSPSEPQLPPKERERRVPMPRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRNAGRLNFAGIEKEINNLAKKANNGTISIDEMAGGTFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVDGNIIPRPMMYVALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDL >Ma06_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17771782:17775787:1 gene:Ma06_g22260 transcript:Ma06_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVIRLVRRPAAALLIARSCRHVRQLSHHMLPGGLVCSKPASENCRELTMLLPRASPYQMWSRSFASENGDLVDAVVPFMGESITDGTLATFLKKPGDRVEVDEPIAQIETDKVTIDVNSPEAGIIQKFVAKEGDTVTPGTKVAVISKSYPSDTHVAPSDDKVVKDAQPPSPPTGAPQPTPPTEKIDKQVLKEEGSTKEKPKVPSAATLPKTSPSEPQLPPKERERRVPMPRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRNAGRLNFAGIEKEINNLAKKANNGTISIDEMAGGTFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVDGNIIPRPMMYVALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDL >Ma03_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6575885:6578108:-1 gene:Ma03_g08940 transcript:Ma03_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEDIKFLKIQTCILKVNIHCDGCKKKVKKLLHKVDGVYTTSIDAEQGKVTVSGDVDPATLVKKLAKAGKHAELLAPKGGNNSSSNQAQKSQLQQGKGQQKDNNAKPQKVGNGGGGGGGGGGGGGGGKDQKGQQPQQTPQQQQLLLQQQQQMLHQMKGSKDLQLPQLKPFNLPPPKEPKAVSFALPPKGSDDYDEEDDFDDDFDDDEMDELDCFDADVDDDFKNIKITPALGVPNGNAVKGNNKGGNGGGAKKAGEQNQGMCNNGVPKNGNGGGGKKGGGGNQNQCQGGGSASKNGGGAAPQDGLNVGSDIKSPPNGHGNGQAGKSGTANGAKKGCGKNEMGGGGGHPMINPGMIGQGFPGMAMGPQMGNVPVAATAAQGVPPHNYYQGGGTVAPPPDVVPMANPYQQQQYMAAMMQQQQQQQQQRMMMMNAHDRSFQPTMTGYARPPPPPVYLPPVPAAVPHHGEPYTTFFSDENTSSGCSIM >Ma09_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1388246:1394179:-1 gene:Ma09_g01850 transcript:Ma09_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTWLITGRGIARKVKNANCFDNDQIKDLGVGANRECPNCKHLIDNSDVTSVWPGLPAGVRFDPSDVELLEHLAGKIGLENSKLHMLIDEFIPTLDEDEGICYTHPENLPGAKKDGSSIHFFHRISNAYGTGQRKRRKINQHAFSEECVRWHKTGKTKRVLENGWKKIMVLYRSSKRGSRPDKANWVMHQYHLGTEEDEKKGELVVSKIFYQHSTSRLDKIEADPFNEESNVFTVKIGPRTPNTNTPQPPRQKKGSPHEVNGHSLPLLLGQEEHCPNSGVPLLPLSISPWTGEVETPARWAGESQAVDEPDPINLQESLLCHEVLDSFPHFEDSSLHVNGTNLNCGRNVPASAIDSTACEFSDLDNILIDTPPDFQLSDLQFGSQESSMSWLDRY >Ma04_p31990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32150367:32164582:1 gene:Ma04_g31990 transcript:Ma04_t31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/TrEMBL;Acc:F4INI6] MLQSKSFVKKTRQGRVVKVVREHYLRDDIYCGAPSCMTCNTSAARLSTSASTILIVDTNVVLNQIDLLENPAVDDVVVLSVVLEEVRNKNLAVYNRLKALCTNSLRKYFVFSNEHHKDTYVKEMVGESPNDRNDRAIRVAARWYQSHLGVNTQVLLITNDRENKRRAIEEGISAETVESYVRSLGKPDLLDLVVLSSSADVSMEDVEDLRPSKKKVIYSEHKPMSEITSGLLHGVYHQGKLRVNRYNPFEAYVGSESIGDEIVIYGRSNMNRAFDGDIVAVELLPQDQWRDGKSMIIADDEDDEEEDVHLVPSSADDAPRNENLVQPSTGSVPPVSGRPAGRVVGIIKRNWHSYCGSLEPMPMPAGNAGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDTWDCLSRYPSGHYVRTIGEIGDRDTESEVLLIENDINTRPFSSQVLACLPPLPWSLSSEDLAKANREDLRHLRVFSVDPPGCKDIDDALHCMPLANGNFEVGVHIADVTNFVLAGTPLDEEASQRGTSVYLVERRIDMLPKPLTEDICSLRSDVERLAFSVIWEMTPDTEIISTRYTKSAIKSCAALSYVEAQARMDDSRMMDPLTTDLRNLNSLAKIMRLRRIERGALTLASPEVKFQIDTETHDPLDIGMYQIREANQMIEEFMLAANVSVAEKILRHFPLCSLLRRHPSPTKEMLEPLLRTAAAVGLDLDVSSSKALADSLDRAVGDDPYFNKLIRILATRCMTQAVYFASGDLSPSEFSHYGLAARLYTHFTSPIRRYADVIVHRLLAAALGIAKLPPIFLDGPQLTGIADNLNNRHRNAQMASRASVELHTLIYFKKRPTDTQGRIVKIKSNGFIVFVPKFGIEGPVYLVPKGDKRADWVVDEAHQRVTKAGSNITYGILQTVRIHMEVVEPQPNRPKLELTLI >Ma11_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1659706:1660645:-1 gene:Ma11_g02310 transcript:Ma11_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKFGLGTLDNEGWKKGPWTAQEDKLLMEHVNLHGEGKWNSVSKLTGLRRSGKSCRLRWVNYLRPDLKRGNITTQEENIIQELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKSKPSKNVEKARARFLIRQRLEDQQHQDAEQQQADVRAFMEQAEEATPVEDMEEMTYLNSVTFMLPGGGFDGYLSDGSTEEGSWGTLWNLADAPDDT >Ma09_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10770566:10785319:1 gene:Ma09_g15460 transcript:Ma09_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDCDGDARTFKVNFTTDGLAKLRERVKEKLKEFMGDYTDDTLAEYVVVLLRNGRRKDEARKELNVFLGDDSATFVSWLWDHLSSNICWYVQQEQSFPHEVAKSNTSLNELSGRHNSQQEHLAQNVLSDSGDVREKSSKVSRIHHSREWKEAQDDHKIFPLRSVVTNIFRPEDRTHRPNNSRRSRSPRAQVQRKRNRDDEHLLTKRDSASHSVIDAPRRLLQFAVRDAVRTVQQLDSRNEPALKRLRSVVSTSTTDSLLEKRSQRITSGSRVPGALSMALKAVAEAVEDVNKARCSSSVFDRLGHDRIMTEPVNELSDTTAQEVEYRDSEDNNRVPELDHSDHPSRSEYDEEFDGNLTILDQETETAAPDYASNNDKHNIVKNVSLHDLGASQSASSAKRENKSVIVEYSIAQEPDEILRQRRLLDQEPFASSAAMTSNKTVNISVNVNTWKSPHYQVSRDATEVENRVAVGKSKNGVGNQNVRLPKENDVSSAQNVKAMELADVQQESPKPASAQGSYTTGRPLEDGDSRTLFVGNVHFAATKDTLSRHFNKFGDVLKVIIINDAATGQPTGSAYVEFLKKESADLALSLNGTSFMSRILKVVRRSSHEAAPMICWPRVSRASSFASRLGRIPYPRVAGAFPLRLPIKTGARSLQWKRDSSAIQPGEVAKSSLTSGNNILSPTARSLTYRRTESKSDGTSGTA >Ma09_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34267475:34268353:-1 gene:Ma09_g22290 transcript:Ma09_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTCYFLYYRAILYLEGNLATKVFSDPITGLVRRIREVAIRADGRLVFLGDVSKAAETEQGGLRSAGYY >Ma07_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9586207:9590044:-1 gene:Ma07_g12750 transcript:Ma07_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTRVRTALQAMKAPGKHNKETKTEETAEMQGNKSSGAKEGSNKRQSRREKKIALQHDVDKLRKKLRHEENVHRALERAFTRPLGSLPRLPPYLPSHTVELLAEVAVLEEEVVRLEEQLVNFRQGLYQEAVLISSSKKTKEIVPDTDSDGGCSQKLSGPSSNQSANGKRRLNKQNTSLNSSEDRRGKENQWITNFSRNQKQSPVKKVLKTQVTVFQKYFVSLMYMLIIISSFIQAECVVKDRNTDEMILWDASDEASLDKSTVPNKLSEDILKCLMNIFLRIKRDSQDPYGICAEFGKRDIGPYKHFRSVEVISKSQNFLMASSSLKCRLKVLLRKLESVDISELTHQQKLAFWINIYNSCMMNAYLEQGIPKNPEMIAAMMPKAVINVGGHLLSAMTIEHFILRLPCHMKNLSPKGLKSDTMIIRGIFGMEWPEPLVTFALSCGSWSSPAVRVYTAAQVEEELETAKRDYLQATIGILKPNKLVIPKLLDWYLLDFAKDVESLMAWVCLQLPSELRTEAVKCLELGRRSVIPQTIQFLTYEFKFRYLLAPHTTLSPTS >Ma03_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:734410:746086:-1 gene:Ma03_g00940 transcript:Ma03_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDRA [Source:Projected from Arabidopsis thaliana (AT4G05530) UniProtKB/Swiss-Prot;Acc:Q9S9W2] MDAKGRLEGKVAIVTASTQGIGFAIAQRLGLEGAAVVVSSRKQKNVDEAVEMLRSKGIEAMGVVCHVSNPQHRKDLIEKTVQKYGHIDIVVSNAAANPTVENILDTKEPVLDKLWEINDASSYLRKGSSIILISSIAGYLPQASMAMYGVTKTALFGLTKALAAEMSPDTRVNCIAPGFVPTHFADFLTKNAAIRKTIEDQTLLKRLGTTEDMASAAAFLASDDSSYITGETLVVAGGMPSRL >Ma03_p00940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:734410:746084:-1 gene:Ma03_g00940 transcript:Ma03_t00940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDRA [Source:Projected from Arabidopsis thaliana (AT4G05530) UniProtKB/Swiss-Prot;Acc:Q9S9W2] MDAKGRLEGKVAIVTASTQGIGFAIAQRLGLEGAAVVVSSRKQKNVDEAVEMLRSKGIEAMGVVCHVSNPQHRKDLIEKTVQKYGHIDIVVSNAAANPTVENILDTKEPVLDKLWEINVKSSILILQDASSYLRKGSSIILISSIAGYLPQASMAMYGVTKTALFGLTKALAAEMSPDTRVNCIAPGFVPTHFADFLTKNAAIRKTIEDQTLLKRLGTTEDMASAAAFLASDDSSYITGETLVVAGGMPSRL >Ma10_p28950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35674964:35677438:-1 gene:Ma10_g28950 transcript:Ma10_t28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQRRNDGLERPAGKYIVSTQRRNEALVSAGAVPKERTTSDKLVPEVASTGQRGNGGRALPVEKPVGSVQRRFEDPSPANCLENDNYKSNEVASCSSSVVQRTTNGMGRQAQIFSTNKNVDSIGLATKMDDRRESNKIIQNHDLMEQRRIDGMDRSVEKGADNRTEEGKAKNKEREADDRKEERHRDGDHDQKKIKDKDTHKGKKKEKAKIKERGEQKHKKQNEPQDGRKKDQLDSHNLEPLAPQTDDAKNYLINDNVKKRKEIHTNGFRNENNLLPNKFPRANTSSHLCEENGWAPDSSHVATAYPSVKPEAINTMLIGKPVDIKEQIKNGITKVQPSSIGFTQTVAAETGATSKICTSPHPDSMYLNQLYSIPKVDEWPEHDDQEWLFSSCHHLQQPKPKPETNEEPQVWSEALRIKSEDVVALPYVIPF >Ma01_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5287839:5289224:-1 gene:Ma01_g07310 transcript:Ma01_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKITLGSRNEAVEPDFVRSVFTELLLTFLFVFAGVGAVMTAEEVAGGEDRIMWVVAAPAAAQAMLVAMITAVGLDVSAGHLNPAVTIGFAAGGYVTVVRCVLYVIAQLLGSSMACLLLKYVAAGLDVLPVHALAAGMDPLQGVIMEAVFTFSMVFAIYALIMDPKKGAIAGSAPLLIGLTVGANSLAGGAFSGASMNPARSFGPALANWDWTNHWVYWLGPLVGSGLAGFAHHHLYVAGTHGVLLPKDDEVGF >Ma02_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28170686:28171737:-1 gene:Ma02_g22950 transcript:Ma02_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASGPSCGGDDEWEICNDNGFVYKRRRRRLIRPGESAEGPSIAEDPEVELRRHCRARRRRCLLDLRDRYRQELEQWDLLSSTLLDLAAPPPSAAASSSRPEPPSVELPDPPGGCIHQPLVDDLLHQVEAQEAILRKLSEICDYVESLCREKEEGLVESLIELPIWGSPRSLMTSFSDRLLDNIL >Ma07_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11017083:11017321:1 gene:Ma07_g14620 transcript:Ma07_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPIGLEEEFEEFVDLVDLKAYNFHGAIGERIVTADIPQLGGTGYRKAV >Ma09_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26016130:26021618:-1 gene:Ma09_g19720 transcript:Ma09_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKASSSDEGAGRASVDLGVPVAEEKATSDGGDLGRTAVDLEASMSDEKASSAGEKAGREAGGTSTLPSSDAEEHLPADRGAGPAAAAEGGAPDAAPPTPNTGGRSFSQLLAGAMASPTGSPHPAPIVTVPVVAVPCFLTPAALIESQGFAGQFAMTHQAVLATVTAQAQMQLQAVCSSSSPKPIADSFPQPMLSTASPIPLQQMPPPTPELNKTSGDVYNWRKYGQKQVKSSENARSYYRCTDSNCSAKKKVERCPDGTIIEVIYRGKHNHDPPHKHRYTKDRGSQSGGPPLENDGLEHPSIGHKESDPSSCKTEQKSSNETPKQQLYCSSDCLLDVGTKSEEDIVDEPDPKRRQVIKVFYFNFLFSPVLKTIREYIVQTEIDARHLTDGYRWRKYGQKFVKGNRNPRSYYRCTHSGCPVRKHVERVPHDAKALLITYEGEHNHEQPSSKYASETLSTTAKSNIAAGVASEQLGISGVQSVKKLSDKSHPNNVLKKVVSDPELELGGDRALESAQTLLSIGCSPTSAEGTAASNSECMKSPIFKENPAVVSVQNT >Ma04_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15047021:15050620:1 gene:Ma04_g16260 transcript:Ma04_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPTNPTKSSKAMGRDLRVHFKNTRETAHAIRKLPLAKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQVKGRHPNGQGRWPLKSARFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQRQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQIAPSKPKKSQAIRSGASS >Ma03_p27320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30751376:30756323:1 gene:Ma03_g27320 transcript:Ma03_t27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRVGSSGHLSSLDGALAEERSSPSPFRCPAFDPGGFNDPSFSDVLLHLQFDPDHDPHPDAYLTCLDLHVQSAALRRSDYFAALLSDRWQRPSASSGDAIPLRVTLKIPRDDDRHRQGPFDAHVAVIRLLHTLDFSGAVASVTDALEMMPVALEFLFEDCVRACVRFLEAMPWTEEEEERVLTLIPFLGEEESRELVARVSPVVAASGDWKSMSEEMLHGLIDVAIRRHPNVATVKAFVAKILKDFPYRESVQRVLDQAFLSSLETVKDYLGKYASPDVRVAEDSDEREAIQRLNLHAVMSNLKHLHWLVERIIEVRVADTAVREWSEQEALAADLMKTFRDDTWKNIAPGLPLLVTRCSYRLADAVASGATLAPRQVRMKIVRNWLPVLNVLRDTFSPLPSGYRTLYPDLEETFLKIISTLPLSDAQELLQQCLSFSTRNLDDCPHLTSAFKTWFRRANRPPHDYRTN >Ma07_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9196058:9201568:1 gene:Ma07_g12300 transcript:Ma07_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLECVLCLGCSRWAWKRLTYVGAYDSEAWPPAAAEDVEPIPRACRAVLAVYEEDLANPRYAPAGGYRMDPSDVVKRVPYDQIPDGCCPPYLIYVDRQHKEVILAVRGLNLIRESDYKVLLDNRLGQQMFDGGFVHHGLLQAATWLLNHEGDTLRDLLSKLGPEYKLVFAGHSLGSGIAALMTIIVVNHRNQFGGIPRNQIRCYAIAPARCMSLNLAVKYADVINSVILQDDFLPRTPTPLEHIFGSIFCLPCFLFLVCLRDTFIPEKKKLRDPRRLYAPGRMYHIVERKFCRCGRYPPVVRTAIPIEGRFEHIVLSCSATSDHGIIWIEHEAQKALDLLKDTEPKTPPPQQKMDRKQSFEQEHKAALEKAVSLNIPHAVAVTEESSEATITAPSECQQGATSGGGSKSSGRANWDQLVEKLFSKNESGNLVLKKDINIDS >Ma05_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32851436:32852550:-1 gene:Ma05_g21170 transcript:Ma05_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSAENATKAFLRTLKLGNKAKEPDLTEFVSALAAGSGARLMVEVCADSAGPTTLALIAAADQTGGRIACIVRGPDELHSSLEALGPDAGRVDLVVGDAQHLLLGEYRGADFVLVDCASEEHERVFRAAQLGAMEACGGVVVGHNAFCESSSPSVDALGGLRVDLLPIGGGLRVSRVPPAGKRSQWVVRVDECTGEEHVFRITSPRKKWIEA >Ma09_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10891741:10908983:1 gene:Ma09_g15560 transcript:Ma09_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MLLRPIGGQPDGGLGGRLFVSWRIASKSGLSSSTTASSSSKRIIDMAAREGELRVFMVAGEVSGDSIASRLMASLKKLSPFPVRFAGVGGSLMSKEGMQTIFPMEEIAVMGLWELLPHLNTFRKKLKETTEAVFLFRPHAVVTVDSKGFSFRLLKQLKAKSAQEESYPVHVHYVAPSFWAWKGGETRLKVLRQFVDHMLCIIPFEEQTCRLNGLSATYVGHPLLEDAIMLNLNSGPLSSKLRVQRSGDAFRRRHGLAPGATVFTLLPGSRLQEVTRILPIFLKTIELLKNSFSELSIFIPVAPNSHVEDFVSRTIQSSPLSAILVPGASLDQKYDAFSASTAALCASGSAVIELQLARLPCVVAYRAHLLTEWVIRYRTKLNFISLPNILLNSDIIPEVLFQECTPGKLATVFSKVVLDNNIQEKQTSAAEKVLQLLCPPSEDTYRLLLEKLGYTGAVCYPSMIAASSILFAEKQRS >Ma02_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4723207:4724747:1 gene:Ma02_g00370 transcript:Ma02_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLLPLGLLLLLILVPFALAAPSHCATPPDQRSTINIFRASDPCSPFRPSVRSSSWEDTMMNFMTYDESRLTYLASLATSHRPSGRSFVPIAPGRQLLQIPNYVVRASLGTPAQPMLVALDTSSDAAWFPCTACTGCASTSTSASFDPSSSTTYRPLPCGSPQCAQVPNPSCPEGAASCAFNLTYGGSSVQAGLAQDILALASEVVQSYTFGCVQKMTGNSIPPQGLLGLGRGPLSFLSQTKDLYASTFSYCLPSFKSLNFSGTLRLGPIGQPTKIKTTTLLSNARRSSLYYVSMIGIRVGRRVLDIPPSAFAFDPATGAGTIIDSGTMFTRLVAPAYAALRDEFRRRVKAAGPVTSLGGFDTCYNGAIKPPAITLMFMGMNVTLPPDNALIHSTAGSITCLAMAAAPDNVNSVLNVIANVQQQNHRVFFDVPNARVGFAREFCTAAA >Ma01_p17500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12805853:12814077:-1 gene:Ma01_g17500 transcript:Ma01_t17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPSPEIDGELFDEIYGKEYAGPLRSKSDSATPKGDTNKRPFSGSQSDEEDEPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKDEEMICKICGERGHFTQGCPSTLGANRKSTDFFERVPARDKNVRALFSDKVINQIEKDIGCKIKMDEKFLFVSGRDRLVLTKGVDAVHKVIQDGKDRKRSPSNSNRYDSRSLDGSPKGSQVRRSESQRSHSSPRSTSRIPGRGFNRERPANDNVRQELRKMSRGSPRAYFNGGGKDHPAPSKSPLHSTSGRDAFKSYDGRNHHTSMHDHSWDIERQRMDSHSEHKFDFSNYPRYLEELESEFKKEIMEIGRIHDQEEDEENYKHRECIRQLREDYMKKLAIMRGMHRKQWKEFLQLDIQRRQQARQTAYNQPSLAEYDLSTRNMQYLGSSLHMDSTNRYQYPGENYSAPRPHEAYGELQRQRHEDFGNAYGRY >Ma01_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12805853:12814077:-1 gene:Ma01_g17500 transcript:Ma01_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPSPEIDGELFDEIYGKEYAGPLRSKSDSATPKGDTNKRPFSGSQSDEEDEPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKDEEMICKICGERGHFTQGCPSTLGANRKSTDFFERVPARDKNVRALFSDKVINQIEKDIGCKIKMDEKFLFVSGRDRLVLTKGVDAVHKVIQDGKDRKRSPSNSNRYDSRSLDGSPKGSQVRRSESQRSHSSPRSTSRIPGRGFNRERPANDNVRQELRKMSRGSPRAYFNGGGKDHPAPSKSPLHSTSGRDAFKSYDGRNHHTSMHDHSWDIERQRMDSHSEHKFDFSNYPRYLEELESEFKKEIMEIGRIHDQEEDEENYKHRECIRQLREDYMKKLAIMRGMHRKQWKEFLQLDIQRRQQARQTAYNQPSLAEYDLSTRNMQYLGSSLHMDSTNRYQYPGENYSAPRPHEAYGELQRQRHEDFGNAYGRY >Ma01_p17500.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12805853:12814077:-1 gene:Ma01_g17500 transcript:Ma01_t17500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPSPEIDGELFDEIYGKEYAGPLRSKSDSATPKGDTNKRPFSGSQSDEEDEPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKDEEMICKICGERGHFTQGCPSTLGANRKSTDFFERVPARDKNVRALFSDKVINQIEKDIGCKIKMDEKFLFVSGRDRLVLTKGVDAVHKVIQDGKDRKRSPSNSNRYDSRSLDGSPKGSQVRRSESQRSHSSPRSTSRIPGRGFNRERPANDNVRQELRKMSRGSPRAYFNGGGKDHPAPSKSPLHSTSGRDAFKSYDGRNHHTSMHDHSWDIERQRMDSHSEHKFDFSNYPRYLEELESEFKKEIMEIGRIHDQEEDEENYKHRECIRQLREDYMKKLAIMRGMHRKQWKEFLQLDIQRRQQARQTAYNQPSLAEYDLSTRNMQYLGSSLHMDSTNRYQYPGENYSAPRPHEAYGELQRQRHEDFGNAYGRY >Ma01_p17500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12805853:12814077:-1 gene:Ma01_g17500 transcript:Ma01_t17500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPSPEIDGELFDEIYGKEYAGPLRSKSDSATPKGDTNKRPFSGSQSDEEDEPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKDEEMICKICGERGHFTQGCPSTLGANRKSTDFFERVPARDKNVRALFSDKVINQIEKDIGCKIKMDEKFLFVSGRDRLVLTKGVDAVHKVIQDGKDRKRSPSNSNRYDSRSLDGSPKGSQVRRSESQRSHSSPRSTSRIPGRGFNRERPANDNVRQELRKMSRGSPRAYFNGGGKDHPAPSKSPLHSTSGRDAFKSYDGRNHHTSMHDHSWDIERQRMDSHSEHKFDFSNYPRYLEELESEFKKEIMEIGRIHDQEEDEENYKHRECIRQLREDYMKKLAIMRGMHRKQWKEFLQLDIQRRQQARQTAYNQPSLAEYDLSTRNMQYLGSSLHMDSTNRYQYPGENYSAPRPHEAYGELQRQRHEDFGNAYGRY >Ma01_p17500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12805853:12814077:-1 gene:Ma01_g17500 transcript:Ma01_t17500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPSPEIDGELFDEIYGKEYAGPLRSKSDSATPKGDTNKRPFSGSQSDEEDEPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKDEEMICKICGERGHFTQGCPSTLGANRKSTDFFERVPARDKNVRALFSDKVINQIEKDIGCKIKMDEKFLFVSGRDRLVLTKGVDAVHKVIQDGKDRKRSPSNSNRYDSRSLDGSPKGSQVRRSESQRSHSSPRSTSRIPGRGFNRERPANDNVRQELRKMSRGSPRAYFNGGGKDHPAPSKSPLHSTSGRDAFKSYDGRNHHTSMHDHSWDIERQRMDSHSEHKFDFSNYPRYLEELESEFKKEIMEIGRIHDQEEDEENYKHRECIRQLREDYMKKLAIMRGMHRKQWKEFLQLDIQRRQQARQTAYNQPSLAEYDLSTRNMQYLGSSLHMDSTNRYQYPGENYSAPRPHEAYGELQRQRHEDFGNAYGRY >Ma05_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37010355:37016696:-1 gene:Ma05_g24870 transcript:Ma05_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLCDFCTEQRSVVYCQSDAASLCLSCDRNVHSANALSLRHLRTLLCDQCIVQPAVVRCIEENKSLCGNCDRKVHGGLAVASEHNRHLINCYSGCPSAAEFSRIWSFLEFLHMTDSDYEQGFMITNEDSVTNCGEPRVDSSDANIGNTWKMNDKMTIDKCNLWKGSSSASEIPMCYSADQPPNPVNSTTPKSYCPETNDTGFSKDDFDEGFTIGDVDMIFENYEELFGAANKQTKDLFDDAGIDSFYDRKKNSPACSLCHGELAAEASSAGQVKQMQIPCSDAVSADSVMSNPEEDPDCSPAFPECKVQSSLSFSFSGVTGESNAGDYQNCRKSDMLLMGKPPWYFAGSGSFSLPTSSRECALMRYKEKKKSRKFEKRVRYALRKARADIRRRVKGRFVKAGDAYDYDPLSHISC >Ma08_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1628639:1631074:-1 gene:Ma08_g01980 transcript:Ma08_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAPPSGLHFPMDDPDLDDAELWAVIDTAAASRSRNPLLPLKNPNPLAFSPSPMGSKSFRNPRQLRVTGEVARVPRDGEVVQEEHWDHLRPRKMARFVESGTVVDERRMVVVRHPQRPPVVAVSSPSYRSPDQARFVAKSGSPVVESPPPEGWKSEEKENMSHSLGGRFPSVSSFKHYQNTAMAILEKSDYTMIHGNPFIKKSGWRKISFFFNLSFEIRDKSIEFDENRNVQRAEFVVRAYMQCGRFADGWGSCDRREKKFLKPNHDIPSTAETRAKNKACQDLLGIGEYRPGVVNGLH >Ma05_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1694145:1698880:-1 gene:Ma05_g02600 transcript:Ma05_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MTSLVLIRAIYSGWVSCTRLSHFNSEMKFGQTFTEYLHGEQEAFLNKCSHVEYKRLKKFLKSCRVCRALSESDDGGNDRREEGKEMSEFCRCNSCALCDQMFFTELTKEASDIAGCFSSRVRRLLTLHVSSGLYRYMWRLRHCFMDDQQVMIQEGRMLLDYVTMNAIAINKILKKYDKIHGSADGRKFKTKMRAEHIELLQSPWLIELGAFYLNFSGSDFGEPGEFFHKFSCDLSDMQPVMTMTLSDSMKYEYSLVCAICLDTVFNPYALGCGHLFCKSCACSAASVLLFQGLKEAPQGVKCPVCRSVGVYKDAVHMAELDLLLKNRRKEYWKERMRRERAEMVKQAKEYWESQTITAIGI >Ma09_p07850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5149400:5157071:1 gene:Ma09_g07850 transcript:Ma09_t07850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDGEDTGWMCGRAGMVNLHRVSSIVREIGEPCRYHSSAKRNKMLKPEKWQSNFASDGKIIGFQKALKLIVVGGVDASIRAEVWEFLLGCYALSSTAECRRQLRMARRERYADLIKQCQMMHSSIGTGNLAYVVGSRVMDVRTLSKDNVNGKAVTTSEYASHDAADKLDTYTDMDIDTAYLYQKKSSDNSTDLASPRMSTDSAAFNYGSRNSESEINEPQYDSESLFDFPPLPVTNLFQKNGDDKRESKKHGNGTSVSEGTASKVQRMYSFQINNNVDPTTESDFPSASNNVSYFNSDSQKCVEGTKEKMLEKDVVNGLRISDIPEAAMVDKTTSNGLVTKEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLYEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQTLWKILELIDAEIFGHLRLIGAESLHFAFRMLLVLFRRELSFDEALRMWEMMWAADFDESLCQQLEKICLKPLFVQLRESSRGQMRSDETECSKKKLKARSRCSSGESFAQYSNGARPISVRPFCGLSSANCWAENDQLQPCSMSVSTRNNSDGLPVFCVAAILIINRQKIMRETHSIDDVIKMFNDNKLKINVKRCIRMAIKVRKKYFYKLIKKTSSGRLEQ >Ma09_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5149400:5157071:1 gene:Ma09_g07850 transcript:Ma09_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDGEDTGWMCGRAGMVNLHRVSSIVREIGEPCRYHSSAKFLMKQRNKMLKPEKWQSNFASDGKIIGFQKALKLIVVGGVDASIRAEVWEFLLGCYALSSTAECRRQLRMARRERYADLIKQCQMMHSSIGTGNLAYVVGSRVMDVRTLSKDNVNGKAVTTSEYASHDAADKLDTYTDMDIDTAYLYQKKSSDNSTDLASPRMSTDSAAFNYGSRNSESEINEPQYDSESLFDFPPLPVTNLFQKNGDDKRESKKHGNGTSVSEGTASKVQRMYSFQINNNVDPTTESDFPSASNNVSYFNSDSQKCVEGTKEKMLEKDVVNGLRISDIPEAAMVDKTTSNGLVTKEDRVSEWLWTLHRIVVDVVRTDSHLEFYGDSKNMARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLYEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQTLWKILELIDAEIFGHLRLIGAESLHFAFRMLLVLFRRELSFDEALRMWEMMWAADFDESLCQQLEKICLKPLFVQLRESSRGQMRSDETECSKKKLKARSRCSSGESFAQYSNGARPISVRPFCGLSSANCWAENDQLQPCSMSVSTRNNSDGLPVFCVAAILIINRQKIMRETHSIDDVIKMFNDNKLKINVKRCIRMAIKVRKKYFYKLIKKTSSGRLEQ >Ma01_p10400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7488354:7496211:1 gene:Ma01_g10400 transcript:Ma01_t10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWDDQHQVGESIWAEFPENEDDIVPFPKGAEESTLSILGNYGRKQRNEEASIGLRSNEQSSGSKNNFLESNQDNNSTFITNEELSAAQLDADSWPDLPSLSTALDREYNDPCNRGSLGTHLMLDISEATNLDKMRVQLDGPSEMFGNDKDEKDNESYLDCDWAHIGDIEDLDKIFSGNDSIFGHEMVGSAAEFLSASSDVVNSTIQSIPLPDMPLSKDQHFGLDPSSLHLDELSSGKEIPEDKTADSTLRTGSKYCEAQNLFSNKSNSQKRPLMSDKKAEEKEKAKHLKNISATWSCNTNESQQLPSSTMSTCSETPTKTFQTTVQRQVRAPANNGQLDSPNLFMFCGDGYAAYPFQHVYMKRNQEKPLSFGHKPLMYFPKSSNALDSPSDMASRQPKMTPQEKIEKLRRRQQIQAMLAIQQQQQQFAHPNTAIDSLVPQVCSSRKQTQESMTTSSAVDGSANKLTSPEQNILFDQEESWRVSTINEDHSLEEMIYYQLQDALGQLDIRIRLCIRDSLFRLARSAMERQSASDTSSSNKNSRDEEELPAYDETKRLCRSSVLSEAETHTNPIDRTVAHLLFKPSELFTRPVKEELPQSPVAYDPVPNASIHAQEDYPGNTGEMEMQPSA >Ma01_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7488354:7496211:1 gene:Ma01_g10400 transcript:Ma01_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWDDQHQVGESIWAEFPENEDDIVPFPKGAEESTLSILGNYGRKQRNEEASIGLRSNEQSSGSKNNFLESNQDNNSTFITNEELSAAQLDADSWPDLPSLSTALDREYNDPCNRGSLGTHLMLDISEATNLDKMRVQLDGPSEMFGNDKDEKDNESYLDCDWAHIGDIEDLDKIFSGNDSIFGHEMVGSAAEFLSASSDVVNSTIQSIPLPDMPLSKDQHFGLDPSSLHLDELSSGKEIPEDKTADSTLRTGSKYCEAQNLFSNKHLQSNSQKRPLMSDKKAEEKEKAKHLKNISATWSCNTNESQQLPSSTMSTCSETPTKTFQTTVQRQVRAPANNGQLDSPNLFMFCGDGYAAYPFQHVYMKRNQEKPLSFGHKPLMYFPKSSNALDSPSDMASRQPKMTPQEKIEKLRRRQQIQAMLAIQQQQQQFAHPNTAIDSLVPQVCSSRKQTQESMTTSSAVDGSANKLTSPEQNILFDQEESWRVSTINEDHSLEEMIYYQLQDALGQLDIRIRLCIRDSLFRLARSAMERQSASDTSSSNKNSRDEEELPAYDETKRLCRSSVLSEAETHTNPIDRTVAHLLFKPSELFTRPVKEELPQSPVAYDPVPNASIHAQEDYPGNTGEMEMQPSA >Ma07_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:23409998:23412657:-1 gene:Ma07_g18010 transcript:Ma07_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKWNLNEAATEEDEQEEKKWGVARTGSAETENDHFVSSDVVIEVSDEGQPVPGATKIFGFSISGSRDEIPSAERETDVVTHQFFPEGDVDGMAPELVVTERATEESQAAKKSRRGPRSRSSQFRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFDLDDYKDDLEQMSNLTKEEFVHVLRRESTSYPRGSSKYRGVTLHKCGKWEARMGQFLGKKYVYLGLFDTEVEAARAYDKASIKCNGKDAVTNFDPSIYKEELGTSTGQHEHNLDLSLEPTGTNCRAPMAFVSEWNHDARSKFEDKLELPKGTDSTISFPCSNGYTQSQPKVLPQPSTLSQIIPGQSNSSNCSQYPSSSNGSRPGGGSLYYNFMASNNGGIWVGEQAGRNPYRRRMFVQHHQDSHHK >Ma08_p30750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41896213:41898186:-1 gene:Ma08_g30750 transcript:Ma08_t30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQSSLPVWFPGVFHSSPSQPGPPLPSLSLVKPSPVPVLRTLNRGVRIQPPRAAREDSMSAIISDLELQKTAEQVDTRQPQDGLANRWRVIHGRDDWAGLLDPIDPLLRTELIRYGEFVQACYDSFDYDKFSRYCGSCKYSRRQFFYSLGMESAGYDVTRYLYATSNVKVPKFFAKSVMGSRTWSERANWIGYVSVSNDETTARLGRRDILIAWRGTVTRLEWIHDFMAKMQPVRSAGIPCPDTRVKVETGFVDLYIEKDSTCRFCKYSAREQVLTEVRKLVAQYTEAGEEVSITVAGHSLGSALATLNAYDIAEMELIKGADGKQVPMAVFSYGGPRVGNEHFKERCDKLGLKVLRVVNVHDKVPTVPGVLINEHMPEFMLRAMDGYVHVGVELLLDHKHSPFLKDSLDPSNYHNLEAHLHLLDGFQGKGRKFAPTTGRDPALVNKSCDFLHEHLMVPPNWRQDENKGMMRSQEGRWAQPERQKIDDHPEDIHHHYQQLGLDRFE >Ma05_p30630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40959656:40960468:1 gene:Ma05_g30630 transcript:Ma05_t30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANENQQRGEETAAARNEPRAPEHFAKFFECWLAEQERDLQVLRTAAASAGEELRLRPLVDRVLGHYEYYYCAKAASVRRDVLPMFNPTWTSSTENLFLWAGGWRPTMAFHLLYSKSGLQFEPRLLELIVGNPTRDLADLSPDQLERIDGLHRLTVRLEKEISEEEAQVQESVADARMVELTHALAESEEVEADAMEQEMKRKRDRMNEVLQRADQLRLETLKGLVEILKPVQAVHFLIAAAELHLKVHEFGKSKDAAAAAAATGRPE >Ma09_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1699328:1709096:-1 gene:Ma09_g02420 transcript:Ma09_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAKLKRGVSRQLSLGSLSKSRFSLRRQASLDPRLGSASRFTFGRQSSLDPNRRSPVRGELGLPENLDATMRLMFMACQGDARGVEDLLKDGLDVNNIDLDGRTALHIAACEGHVDVVRLLLSWRANIDARDRWGSTASADAKYYGNDEVYGLLKARGAKTPKTRKTPMTVYNPQEVPEYELNPAELHFRRGDELQKGTCQVAKWNGTKVSVKMLDQDAYSDPVAINSFKNELTLMQKTRHPNVVQFIGAVTQNLPMMIVSEHLPKGDLGSYLKNKGRLRMNKALRFALDIARGINYLHECKPDPIIHCHLRPKNVLLDDGGQLKVAGFGSIKMLKISPDRYKLVNPMADTNSSYIAPELYKNEIFDKSVDSFSFGLILYEMIEGIPAFRTHIKAPGDVAKMICLEGSRPILKTRSKNYPADLNELIEECWDPQPMVRPTFSEIILRLDKMYANCPKNRWKDNFRLPWR >Ma00_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:250401:253353:-1 gene:Ma00_g00240 transcript:Ma00_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGESGDVQLLEISGRARRLSYVVSSWFKVANLDGGSKNAGRGLPKTKEKAGFLGKGELVEGGGLQGSRRLAVPEEEKLCGSRSWRSCGAELKEVIRDSLYGRSLLLNSSDDEKASSSRSLGFHPRSGSNASRHEEEVNTRNPITASGQPMKMKAPSLIARLMGLEQVPAESIKRKQQVRAPEATRSMLQKIERSRRFDTDDEATSSRRGAKQEELGSCKTSGRQVAHESERKEVEISKSGMAAGSSACDGRQKSGSDRKQAQSRRDVKTAAAPVVHAKKTRTASTEARKQSIAFGRGRPQQPVSRSSTITASTEKKVTGSKPAQKTAKFKTTERKDGEGIIDPYRKAEDASAINIIIPAAQPPKQRRLHPRAAINEKIMCEIMPKPSTSPGKAKAAVAKLVGSEARNTAKREDKEGYLEALLLSLLSHAHEMSLAELKQVDEDAKLYWDCAEESVARKRSHRDLCAHPLLPACSRSSSADDAAMDKLVGEMSRGMRRLASYGKADEKVASTDGLYVRLERDLRCGDGWLNAMWDLGWRNGICVEEVDGVVGQVEERVMSALLEEVAMELKH >Ma00_p00240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:250401:253353:-1 gene:Ma00_g00240 transcript:Ma00_t00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGESGDVQLLEISGRARRLSYVVSSWFKVANLDGGSKNAGRGLPKTKEKAGFLGKGELVEGGGLQGSRRLAVPEEEKLCGSRSWRSCGAELKEVIRDSLYGRSLLLNSSDDEKASSSRSLGFHPRSGSNASRHEEEVNTRNPITASGQPMKMKAPSLIARLMGLEQVPAESIKRKQQVRAPEATRSMLQKIERSRRFDTDDEATSSRRGAKQEELGSCKTSGRQVAHESERKEVEISKSGMAAGSSACDGRQKSGSDRKQAQSRRDVKTAAAPVVHAKKTRTASTEARKQSIAFGRGRPQQPVSRSSTITASTEKKVTGSKPAQKTAKFKTTERKDGEGIIDPYRKAEDASAINIIIPAAQPPKQRRLHPRAAINEKIMCEIMPKPSTSPGKAKAAVAKLVGSEARNTAKREDKEGYLEALLLSLLSHAHEMSLAELKQVDEDAKLYWDCAEESVARKRSHRDLCAHPLLPACSRSSSADDAAMDKLVGEMSRGMRRLASYGKADEKVASTDGLYVRLERDLRCGDGWLNAMWDLGWRNGICVEEVDGVVGQVEERVMSALLEEVAMELKH >Ma06_p27310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29343944:29345569:-1 gene:Ma06_g27310 transcript:Ma06_t27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNNRAALTRFVPSSILLLLVLLLASYLDFSSPFRSSSSAAASFAAAARPIPDTPEAPTANLSSAAANDKPPEPFTDLAAAFARWDEEVGCDRFREKHRNWTADASAIQDAEAGDCSTLGARHVSVLVKRGGWIPDEMDNLYSCRCGLTCLWTKSDTLADKPDAELFEGWMRPPQTRRKGEPLRAYLDIEASRQRTGFEDIFVGYHSKDDVQCTYPASMFRKFRSYRVSPVKRNDTLVYWSSSRCFQFRNELAANFFANISHHSFGGCLNSVGGTDAALAFYPECKTEMMAEPHWWDHLHCAMSHYKFVLAIENTKTDSYITEKLYYALDAGAVPIYFGAPDVDSFVPPHSIIDGSKFASMEELASYVKAVGDDPVAYAEYHAWRRCGVMGYYGKNRATNLDALPCRLCEHVSRKGGREAA >Ma07_p28520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34535482:34537448:1 gene:Ma07_g28520 transcript:Ma07_t28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNPRFSTATRLAADVEPAPTDMASAAILLRQSSSLTIREFKNYKKSAAPSRFLFFRDGSWADFPPAVFDALREGFVAGKTAFELTVEGRPYFFDVLEMLQVDLETGTVNSIAWIDVHGRCFFPAVGVDERSISALPNPEPEIECNPVGFWQEQSGESSGESSDETSATSSLDQPRWPGAEAVRDGDKYFKIVEKLFLSGFRRFAPNTIVTSIHKCSQSGPLRASRLKTFRMHVEMTKAARGDANVRFGWYGASATEMATIVSHGFGQPNNRSLASGARGVGVHLSEPHSPYLSSLSTDADASGERHMVLCRVIVGRPEMVEEGSVQYHPSSEEFDSGVDDIAHPTWYVVWSTHMNTHILPESIVSYKPSTTQPQGPPQSVNSARNPALDISIPRLLEELGRCLPASSTAPLQMIFKQYMGRKMSKKTFFRCIRSITGDEVLISTIKRMRGH >Ma08_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4824915:4825722:-1 gene:Ma08_g07040 transcript:Ma08_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATDLLLLFVSLGSVDRCDTELSLSLSLSPGGVYHHGSDVSAGSSRSAEEKAAAQQPITIFYKGQMCVCDVTEVQARAIISAAERETEDAEAKKQSDRRPDSSSLPPPPAPVPPRVLNRSLSMKRSLQRFLQNRKTRAVDSSPPYDGAAV >Ma03_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29120424:29123060:1 gene:Ma03_g24910 transcript:Ma03_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKPTMNSHERPMCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDQSAKNAALELQRNSASSSAIMGRNMNDRNMHMNDAFRMQMEVHRRLHEQLEVQKHLQMRIEAHGKYMQNILERAYQTLAAESMVSGGYKGQASRGVPDMGAVKEMGSPVSYPSLQDLHIYGGDQLEMQHQMERPLDGLFPTDDSIMSKKRSNPYHSNGKGPLIWADDLRLQELGSTAACIVSQEEPPPSKSEQLQIASSVIDTGIDADSIANVYEAKPILSMDSSREKKYDGRTSKLDRPSPRRDPLPMEMMNPMITGGAMPQARNLSYGFC >Ma05_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4323976:4327560:-1 gene:Ma05_g05690 transcript:Ma05_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWLGSHQAFFAAVRSGDVDAVRCLVEELDPAAAAALATAQTEAGETALYIAAENNSEELFRYLLRFYDFEAAAIRSRVDLDAFHVAAKLGHVGIVKELLSLWPAFCRVCNSSNTSALYSAAVMDHLDVVNSILDVDESSARIVRKNGKTALHTAARVGYYQIVKALLQRDPGIVSIIDKKGQTALHMAVKGRSLDVVEALLQTDIAILNMRDKKGNTALHIATRKWRPQMVRLLLCYESIEVNAINNQNETAMDLAEKIPYGESQMDILESLSEAGAKHSRNIGKDDDELRRTVSDIKHDVHTQFIQNAKTNKRMSGIAKELRKLHREAVQNTINSVTMVAVLIASIAFMAIFNLPGQYLQDGGEVGKAYIADVRGFRVFCLLNATALFISLAVVVVQITLVAWETRAQKQVVSVVNKLMWTACLSTCSAFLSLAYVVVGKQASWMATTITAVGGPIMVGTLLTMSYLVLRQRFRIGEDSQRRIKRASGSKSFSWSLYSGYSDPDVFSDNEKKIYAL >Ma08_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36891113:36892012:1 gene:Ma08_g23540 transcript:Ma08_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMKRIVVRVGLQGDKDKIKAMKAVCSIEKVASVSADMKQKKLTVIGFVDPIVVVIKLRKSWCTEILLIGLANEPERGRTEQRRERRRRKELLMNRSPSLRRHNKNIRGLILLISQSKLTDNSLDTV >Ma07_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16227027:16229556:-1 gene:Ma07_g17100 transcript:Ma07_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVFGGCRTLAAAAARAAVAARAGTKASAAAPAVISSPSSTAAKPKHSGILKPIPVSLAMRKFLGVPEISRSQAVKKIWEYIKGHQLQNPANKKEICCDEKLKTLFEDRDKVGMLEIAKLISPHFLKSK >Ma03_p29700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32570719:32573615:1 gene:Ma03_g29700 transcript:Ma03_t29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein gamma response 1 [Source:Projected from Arabidopsis thaliana (AT3G52115) UniProtKB/Swiss-Prot;Acc:Q9ZRT1] MERDLVNAPKFDYSSDTDDLKYVSGLSTIFVATIQEVKDRVSQIELIFCSQLFPCLQSTSKLLQKKLADTRNAMEAEWKKKEHALLHQIEELLSEKKHCQDEIQQLCSSLEESRTKLMGTEQLVKKYELEKGQLMDEVESLTRNEVIIGELKRQLEQKTSEIAEGKELQQSLLEQSELNDKKLSIEQAKKRELLEDYNRLRTSYKQLKSQYLYLIRKLDNNLENEHHLDRKQEVKSSPMSYPKKRRLQDYEENEEEITSIASQTDETKSEGGSHEDPAVHHDLPLVKRLTNGSHVTHSSCRPSILPKYTVGTTKSEPIAGEKKGSSSWRDTRAKEARGLDLHDDFLDTPLEIVRNINKLPCKEAQDIAVPPPKDVDLNNYDDETQDMNTKTIPHQQCISVLGPNKGGFKYVEPVRKKTDRENLKGVECQQCKKFYDAVLLGDDGNPDHVNRRCEHHDGVSRHRYRYAPPMTPEGFWNIGFDSDM >Ma07_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5528590:5529803:1 gene:Ma07_g07440 transcript:Ma07_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WUSCHEL [Source:Projected from Arabidopsis thaliana (AT2G17950) UniProtKB/Swiss-Prot;Acc:Q9SB92] MEPQHYQQQQQQQQLLQEDGNIGSCSSGGGGSKASFLCRQSSTRWIPTSDQIRILRDLYYNNGLRSPNAEQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRLTVDITATTSSSNKSSAVVSPGIPTTSRSSGSYAVGLSGNDAYDAAAAAETSFREYCMSGSMSSVGTTPWRHHCLSDRVANTMSREIETLQLFPINTVKEEHEAEHYYHSLPHSNTKDCGDFDDSKNQYVPSFYHYSEGRLAEEQHQLYSLNSGNAATCDSLELTLNSYYYAPPDSM >Ma02_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17040571:17043039:1 gene:Ma02_g05920 transcript:Ma02_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCLKAMNVSLENKANSAVAAIRSSTKGVAVVFLTLVVLVVVISTHWIDGTTLTTISSKNEQVLSSQPRKPQSKTIILACGNQTTPVCQRSLSPSPSPSAISSVPQSSPSCPDYFRWVHEDLRPWKSTGITEEMVGRARKFATFRLVVLAGRVYVERYRRAFQTRDVFTLWGILQLVSRYPGRVPDIDLMFNCDDTPVVKLADHRSSPPPPLFRYCKDDRTLDIVFPDWSFWGWAELNIKPWETLANDLKQGNQRVKWRDRKPYAYWKGNPWVSDSRRDLIKCNLSKHHDWKARLYDQNWDREINQGFKNSNLANQCDHRYKIYIEGRAWSVSQKYILACDSPAFFVKTHFHDFFSRALMPGLHFWPIKEDDKCRSIEFAVDWGNEHQEEAQAMGRAGSNFVQEELKMDYVYDYMLHLLTEYAKLLRYEPAVPEKATELCSESMACPATGLVKEFLMASMAKSTHDTEPCAMPPPFDPEALQVVREKKADAVTQVELWQHQAWNKGV >Ma10_p14750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27091493:27096726:-1 gene:Ma10_g14750 transcript:Ma10_t14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKAAAAAIDEGEGDGAGKEAPLGVKLRRAISANRRGGLCTPVPSWKLEEPGLSDPDKAQPHRRSVSARKLGAGLWEIQDVLTMSAAGRRGARIRRHRRDGKALEDGIGPSHILGHEDLSVGSLRRHVEASSIKHQHLHEGNSHNLQPVSPASYTSSYKIAPFNLAITHSSATDLKDKFGDAGYGLRTSTELLKVLNHIWSLEEHNESNASLVKALKGELEHARARIQELMQEQHAYRGEMDHLMKQVTEDKIIRKEKEQQRIKAVVQSIRDELEDERRLRKRSESLHRKLGKELSEAKAAFMKAAKDLENVRKTNGLLEDLCDEFAKGIRDYEHEVRQLKQRSVKVCDHKVDRLVLHISEAWLDEREQMNIAEARGDIANKTMVADRLRSEIEAFIQASRSSVSNNGYLYENHEKREINLRRQSLESVHLNGAASAPQDADDDDDSVASDLHCFELNMGASNTVSIDQQQRNGHNVVEKFDSSRTKRSTFSVEERGSSEKSKNQISSSLHLKCREEKDETKSCGSQMQLSNRTQGKHPDSNPESEGRVADHIRINKPQVFSHFHALEVSQDMKMKWDNGRRLDHLIANSVNNVAENSECCKVDHNISHGDEHHSHSSSKDHFLVASEGIASGHFRNTSSNLNCIEQHKSPDVDISRSSSKLAEGVKENTLKARLLEARLEGQQARLKASDNEKKQVMPIRRYFGE >Ma10_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27091493:27096726:-1 gene:Ma10_g14750 transcript:Ma10_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKAAAAAIDEGEGDGAGKEAPLGVKLRRAISANRRGGLCTPVPSWKLEEPGLSDPDKAQPHRRSVSARKLGAGLWEIQDVLTMSAAGRRGARIRRHRRDGKALEDGIGPSHILGHEDLPQSVGSLRRHVEASSIKHQHLHEGNSHNLQPVSPASYTSSYKIAPFNLAITHSSATDLKDKFGDAGYGLRTSTELLKVLNHIWSLEEHNESNASLVKALKGELEHARARIQELMQEQHAYRGEMDHLMKQVTEDKIIRKEKEQQRIKAVVQSIRDELEDERRLRKRSESLHRKLGKELSEAKAAFMKAAKDLENVRKTNGLLEDLCDEFAKGIRDYEHEVRQLKQRSVKVCDHKVDRLVLHISEAWLDEREQMNIAEARGDIANKTMVADRLRSEIEAFIQASRSSVSNNGYLYENHEKREINLRRQSLESVHLNGAASAPQDADDDDDSVASDLHCFELNMGASNTVSIDQQQRNGHNVVEKFDSSRTKRSTFSVEERGSSEKSKNQISSSLHLKCREEKDETKSCGSQMQLSNRTQGKHPDSNPESEGRVADHIRINKPQVFSHFHALEVSQDMKMKWDNGRRLDHLIANSVNNVAENSECCKVDHNISHGDEHHSHSSSKDHFLVASEGIASGHFRNTSSNLNCIEQHKSPDVDISRSSSKLAEGVKENTLKARLLEARLEGQQARLKASDNEKKQVMPIRRYFGE >Ma06_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1669793:1670448:-1 gene:Ma06_g02070 transcript:Ma06_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIDVQKPILWVDDDDWETPEPESPVHCREQEKAERAATGRSAEPPPAKEKQAVVGSSEIKIKISKKQMEELLRQVDEKGLSIEKVLADLVVIGEVCLESRDGHWRPNLQSIPEVSE >Ma03_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6756572:6762241:1 gene:Ma03_g09170 transcript:Ma03_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSGRDEIEDGGDDDPSFRSRSDADPGSIHVSRIRSVDSAEGWPPESPGRSRSPLVFAPQIPVPPLPGATDAPRLFNQQWVNEPDQPLDGPFEKGIPTLITWNHGGNMVLVEGSWDNWTSRKHLQRSGKDHAILMVLPSGVYQYKFIVDGQLRYTPDLPFAADGMGSITNILDVHDYVPENVESISEFNLPPSPNSSYSWSFPTNEDFAKVPPAVPPQLHLTVLGMQNTDEASLKPQHVVLNHLFIEKGSSSQSMVALGLTHRFQSKYVTVVLYKPVNR >Ma11_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24902069:24903797:-1 gene:Ma11_g20410 transcript:Ma11_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCQGRASSRLNSPRDPRSQLYMCKTRKDVDAASPRPKPTSSPSASAASDAVRPSYYFAPVASSASTGGDRHARRLLYDSDFDSSAASVAANLRLSLSQESHPFHYSFIEILSATDRFLSPPLSPSADSWRCRLRGGDAVVFRRPFLGNTAVLPSRIAALCRSHHGSVVELLGASLADDYIYIVYSYVAGASLFRCLRNPQNPSFTPLASWFSRVQVAADVAQGLEYIHHHSETVHNWVKSSGIIITEPGLRAKICHFGAAELAGEVPANDDDIDGKVLDDSAAAAAELARSRSRGKKIEWTRGYMAPEVLAGGVVSRRSDVFAFGVVLLELLSGEEPVRYRFCQEKDAIEKVSVIETARAAMGPEGEDEAEEEEEEEGRRGRIRRWVDRRLGDSFPVDGAEEGMRVALRCVAEEAAERPQMVWVAGKLSKLLIQAKAWDDRLKTTPTRYLTSVGPR >Ma05_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1518184:1527588:-1 gene:Ma05_g02370 transcript:Ma05_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGLPQQTQEGEQKCLNSELWHACAGPLVCLPAIGSRVVYFPQGHSEQVAASTNKEVDGHIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSLEEQKEPYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNESNQLLIGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWPSGLPSLHGGKDDDIGLNSSLMWLQDGERGIQSLNFQGFGMTPWMHQRCNSSILGLQPDMYQAMASAALQEMRAVDFSKQATSTVMQFQQHQNITNASAPLLASQVLQQMQPQSQQTLLQNLQETQNQNQTLYPFVQHQMQHCNSFHDQEQLQPRLLQQQEQEQLQSRPLQQQEQEQLHPRSLQQQEQKPQKQQIQQQQLSNQQIPNAMSTLSQFASTSQAQTLSLQKMASLCQQQNLPETIINRMSTSGVSPLHGILHPFSAEETSGLVSMPKTTPLIATSPWSSKRLAVESIPVLQSMQPEVKQLGSQINFSQNAVTVPPSSGRDCSLNQECGMDRQSNLLSSVNIDSSSLLVQNGMSNLRNFDNDTVSTLMPFVSTTGTDYALHQALTSSNCIEDSEFLQSPNSVGVMNPQNGTFVKVYKSGSFGRSLDITKFNSYPELRSELGRLFGLEGLLEDPLRSGWQLVFVDRENDVLLVGDDPWHEFVNNVSSIKILSPQEVQQMGKEGVDLLNAATNRRIPSHTSDEYISRQESRNLSNGMASVGSLDY >Ma05_p02370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1517171:1527588:-1 gene:Ma05_g02370 transcript:Ma05_t02370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGLPQQTQEGEQKCLNSELWHACAGPLVCLPAIGSRVVYFPQGHSEQVAASTNKEVDGHIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSLEEQKEPYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNESNQLLIGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWPSGLPSLHGGKDDDIGLNSSLMWLQDGERGIQSLNFQGFGMTPWMHQRCNSSILGLQPDMYQAMASAALQEMRAVDFSKQATSTVMQFQQHQNITNASAPLLASQVLQQMQPQSQQTLLQNLQETQNQNQTLYPFVQHQMQHCNSFHDQEQLQPRLLQQQEQEQLQSRPLQQQEQEQLHPRSLQQQEQKPQKQQIQQQQLSNQQIPNAMSTLSQFASTSQAQTLSLQKMASLCQQQNLPETIINRMSTSGVSPLHGILHPFSAEETSGLVSMPKTTPLIATSPWSSKRLAVESIPVLQSMQPEVKQLGSQINFSQNAVTVPPSSGRDCSLNQECGMDRQSNLLSSVNIDSSSLLVQNGMSNLRNFDNDTVSTLMPFVSTTGTDYALHQALTSSNCIEDSEFLQSPNSVGVMNPQNGTFVKVYKSGSFGRSLDITKFNSYPELRSELGRLFGLEGLLEDPLRSGWQLVFVDRENDVLLVGDDPWHEFVNNVSSIKILSPQEVQQMGKEGVDLLNAATNRRIPSHTSDEYISRQESRNLSNGMASVGSLDY >Ma07_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28169549:28172241:1 gene:Ma07_g20230 transcript:Ma07_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKGKLIRTQSSLLRSPVARSSIQSIFSVTEANDDEEKPQRPRRRRNRHRHHLLLLLPLLVFLLFFYLRQDSPVFANLLLFAALISLASLAARHSRVFVTRRASSVDWFIGDDDGRGRHRSEKKENPNGRAVREGVEVYSNGDSYEGEFHLGRCSGSGVYRFFAAKGRYEGDWVDGKYDGHGIETWARGSRYRGQYRHGLRHGFGVYRFYSGDSYAGEWAGGQSHGVGVQTCSDGSRYAGEFKAGVKHGLGCYHFRNGDQYSGEYFGDKIHGFGVYHFANGHCYEGSWHEGKKQGFGLYTFRSGETRSGNWDCGVLNNSLPPSDPAVQGAVQAARKAAEKAVLIPQVDDQVTRAVTAANRAATAARVAAIKAVQNQMHGKLCDTDV >Ma03_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8540340:8544035:-1 gene:Ma03_g11290 transcript:Ma03_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVNAARALVGLAVFDHGSSSNARPDRVALPAGGSALSSHAAWSLTSSPRFQQCTSRRRPKPCSSRRAAPARAAAVETLEAAATDALVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMNYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKMEDLKQFRQWGSKTPGHPENFETPGIEVTTGPLGQGVANAVGLALAERHLAARFNKPDNEIIDHYTYVMLGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDAIDRFEALGWHTIWVKNGNTGYDDIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANTYSVHGSALGAKEVDATRQSLEWPYEPFYVPEDVKSHWSRHAPEGAALEAEWNARFAEYEEKYKEDAAELKAIISGELPAGWEKALPRYTPESPADATRNLSQQNLNALAKVLPGLLGGSADLASSNMTLLKMFGNFQKGTPEERNVRFGVREHGMGAICNGIALHSPGLLPYCATFFVFTDYMRAAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLISFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSVLALSRQKLPQLPGTSIEDVEKGGYTISDNSTGNKPDLILIGTGSELEIAAKAADELRKEGKTVRVVSLVCWELFDEQPDEYKESVFPAAVTARISIEAGVTLGWEKYVGSKGKAIGIDRFGASAPAGRIYKEFGITAESIIAAAKSL >Ma11_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2875250:2877255:1 gene:Ma11_g03800 transcript:Ma11_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENANTSSQLEEPMQQGEDSVSEVLVHNLSRRLEALEHSVRYLEDNMMTRLSAMEERVEELMRRMDGYNDLSRSWSQQVSLEVPTVSPNAPAILPSPPAAARPKKKQRTLIARRVIRRYQRLVKPSWDNKPFMRRVIKKIEKKRRQRS >Ma11_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10895420:10903767:1 gene:Ma11_g10920 transcript:Ma11_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVERPRPESVINENEIRITSQGVVRNYVSYATSLLQEKRGREIVLKAMGQAISKAVAIAEIIKKRFSGLYQDTTISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSTRELNKNSPGYQAPLQVEQPKRQQRYQQFQQSQQQQQFRPKQTQGQHNEDSYAQGHGRGRGGRGRGWGRGYSGFAGYENNQGGYGKYQGGYGYNQGGYGYNQARYGGYGHDQENGGWNSNWGRGGGRSRGNWNYHGGGYGGGRGGGSGRSGGRGYGRGRGRMGGRGRGNQF >Ma06_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23226533:23229055:-1 gene:Ma06_g24670 transcript:Ma06_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFSGASFSSAFLLPLFNVAIRSLSKSPLTSAPFAALRLYLLMTRASLHPGRFTLPFLLNCSAAIPSLPLGSELHSRALRSGLLAVLPVSNALVDMYGKCGSLLLARAAFLDIPLKDVVSFNALLGAHARLGADMPSALRLFDAMPHRNVISWNALIVGYANAGDLSSARAVFDRMPVQNTVSWTVMVVGYCKTGAVVAARELFDRMPEKNLVSSTAMITGYSQCGMPKEAFVLFRRLERQRIEPDAATMVGVISALAQLGSVELANWVGSYVDRKKIDRNERVLTALVDMHAKCGNVEKALHAFEEIHFPDAYSYTALINGLASHGHELKALDIFDRMQKEAIKPDPITFVGVLSACSHAGLVDKGLEYWESMFRVYGMDRRADHYACVVDMLGRAGRLKQAYEMIQSMPMGPHPGALGALLAACRTYANIEIAESVAKELFKLEPNNTGNYILLSSIYAERGQWEDAARVRAMIRGRKFNKLPGLSWIDEQQRGRRFQNKVLMHIK >Ma08_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19622476:19624131:-1 gene:Ma08_g16600 transcript:Ma08_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGGGLRKATAREMERQKVVVVVEEADAARTALLWAVRNFIRGGDSITLLYVCPSTRSKRKQRNYRLKGFQLALSFKDLCNGIAEAKVEIIVTEGDQGALVVSTVTNVGASTLVVGLHDKSFLYKAPITNIGTRSLNCRILAIKQHSTTQYGLLNTDFSQVETTRLCISESRNLFPIFPLSLRMFFGKSKRRKC >Ma09_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27767013:27768971:1 gene:Ma09_g20070 transcript:Ma09_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASSRLTDSPPAVVGGISTATDYTADLPDDCLALVFQSLGPGDRKRCSLVCRRWLAVEGRSRLRLALDARAALLDVAPAIFARFDAVSRLTLRCSSRTDSIGDEGLALVAARCPNLASLKLRACGALTDAGMAAVARYCSGLRKLSVGSCAFGAKGVDAVLRGCPLLEELSIKRLRGLHDPSATAELVAGAASLRSVCLKGLYNAQCFAPLIAGCPNLKTLKLIRCSGDWDPLLKNMAARVPGVVEIHLERLQVTDSGLAALSVCVDLEVLRLVKTPECTNAGLAAVADRCAHLRKVHIDGWRANRIGDEGLQALARRCAGLQELVLIGVNPTSRTLELMASNCRRLERLAFCGSDTFGDAEVACIASKCAALKKLCIKGCPISDQGMEALAAGCPKLVKVKVKRCSGVTPECADRLAASRNGKLAVNVEADEGSAAVQQAEGTVVFGEFGIAEDTGGAERPPLASVDRASAAGPLSSKSRRTARKKRAGFFASRRNLVASALRRWSHGSSYSRHSHR >Ma08_p33890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44054283:44059236:1 gene:Ma08_g33890 transcript:Ma08_t33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSKLRCITVDVTGTLIAYKGELGDYYCMAAKAVGLPCPDYKRMHEGFKAAYTDMVKEYPCFGHAAKMPNIDWWRACVKDSFIRAGYEYDAETFEKVFRRIYSSFGSSAPYVVFPDSQPFLRWARQKGLMVGIVSNAEYRYQDVILPALGINQGSEWDFGVFSGVEGVEKPDPRIYEIALEKAGNIAAEEAVHIGDSMRKDYLPASSVGMQALILDRFKTSDAENWRKSGAVVLPDLVATQEWLTKEENVTAMLA >Ma01_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27311603:27313648:1 gene:Ma01_g23170 transcript:Ma01_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFAPSRKVRSVFCAPSKKFKILTIPNIFASVKSYKLGFVKKRGSHEFCAKSRFDRFFTHRLKNSKN >Ma06_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20985648:20991492:1 gene:Ma06_g23230 transcript:Ma06_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICKKYEKYDVDKLNADNVSGDDAFARLYASVEADIESSLQKAEMASQEKNRAATVALNAEIRRTKARLLEEVPKLQRLALKKVKGLSKEELATRNDLVLALPDRIQSIPDGSTNGAKQIGSWKASASRTEIRFDSTSDGRFESEYFQHTEESSQFRQEYEMRKMKQDQGLDIISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKATSDLKNTNVRLKDTVNQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >Ma10_p02350.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7730554:7737154:1 gene:Ma10_g02350 transcript:Ma10_t02350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDSSYVSRPRAYGHTAPSYPWRRSRFMRWLCKFFKGTGCRVSNGRHSHLIGGESMLHRPVKPADDQSKDANEDLDHANALSLVEDAKKPNAPGYRGQGIDDDELAKAIQESLSMPSYQPYQPVQFLPRGYRICGGCHHEIGYGHYLSCMGTFWHPQCFRCYACGQLIRETEFSLSGTYPYHKSCYKELHHPKCDVCHEFIPTNRAGLIEYRAHPFWGQKYCPSHEHDHTPRCCSCERMESRNTRYIYLGEGRSLCLECLESAIMDAGDCQPLYHSIRDYYEGMNMRIDQQIPMLLVERQALNEAMEGEKYGHHHMPETRGLCLSEEQTVSSIHKRPRIGGNKILDMRTHPQKLIRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRDLSPEVEEGICQVLSHMWLESEIMPGSTSVPSSSSYAPSSSSAMPSSKKAAKSDTEKKLGEFFMHQIAHDTSTAYGEGFRAANAAVNKYGLRRTLDHIHFTGAFPV >Ma10_p02350.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7730554:7737154:1 gene:Ma10_g02350 transcript:Ma10_t02350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDSSYVSRPRAYGHTAPSYPWRRSRFMRWLCKFFKGTGCRVSNGRHSHLIGGESMLHRPVKPADDQSKDANEDLDHANALSLVEDAKKPNAPGYRGQGIDDDELAKAIQESLSMPSYQPYQPVQFLPRGYRICGGCHHEIGYGHYLSCMGTFWHPQCFRCYACGQLIRETEFSLSGTYPYHKSCYKELHHPKCDVCHEFSRNTRYIYLGEGRSLCLECLESAIMDAGDCQPLYHSIRDYYEGMNMRIDQQIPMLLVERQALNEAMEGEKYGHHHMPETRGLCLSEEQTVSSIHKRPRIGGNKILDMRTHPQKLIRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRDLSPEVEEGICQVLSHMWLESEIMPGSTSVPSSSSYAPSSSSAMPSSKKAAKSDTEKKLGEFFMHQIAHDTSTAYGEGFRAANAAVNKYGLRRTLDHIHFTGAFPV >Ma10_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7730554:7737154:1 gene:Ma10_g02350 transcript:Ma10_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDSSYVSRPRAYGHTAPSYPWRRSRFMRWLCKFFKGTGCRVSNGRHSHLIGGESMLHRPVKPADDQSKDANEDLDHANALSLVEDAKKPNGYRGQGIDDDELAKAIQESLSMPSYQPYQPVQFLPRGYRICGGCHHEIGYGHYLSCMGTFWHPQCFRCYACGQLIRETEFSLSGTYPYHKSCYKELHHPKCDVCHEFIPTNRAGLIEYRAHPFWGQKYCPSHEHDHTPRCCSCERMESRNTRYIYLGEGRSLCLECLESAIMDAGDCQPLYHSIRDYYEGMNMRIDQQIPMLLVERQALNEAMEGEKYGHHHMPETRGLCLSEEQTVSSIHKRPRIGGNKILDMRTHPQKLIRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRDLSPEVEEGICQVLSHMWLESEIMPGSTSVPSSSSYAPSSSSAMPSSKKAAKSDTEKKLGEFFMHQIAHDTSTAYGEGFRAANAAVNKYGLRRTLDHIHFTGAFPV >Ma10_p02350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7732844:7737154:1 gene:Ma10_g02350 transcript:Ma10_t02350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSCTSSYQDDQSKDANEDLDHANALSLVEDAKKPNAPGYRGQGIDDDELAKAIQESLSMPSYQPYQPVQFLPRGYRICGGCHHEIGYGHYLSCMGTFWHPQCFRCYACGQLIRETEFSLSGTYPYHKSCYKELHHPKCDVCHEFIPTNRAGLIEYRAHPFWGQKYCPSHEHDHTPRCCSCERMESRNTRYIYLGEGRSLCLECLESAIMDAGDCQPLYHSIRDYYEGMNMRIDQQIPMLLVERQALNEAMEGEKYGHHHMPETRGLCLSEEQTVSSIHKRPRIGGNKILDMRTHPQKLIRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRDLSPEVEEGICQVLSHMWLESEIMPGSTSVPSSSSYAPSSSSAMPSSKKAAKSDTEKKLGEFFMHQIAHDTSTAYGEGFRAANAAVNKYGLRRTLDHIHFTGAFPV >Ma10_p02350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7730554:7737154:1 gene:Ma10_g02350 transcript:Ma10_t02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDSSYVSRPRAYGHTAPSYPWRRSRFMRWLCKFFKGTGCRVSNGRHSHLIGGESMLHRPVKPADDQSKDANEDLDHANALSLVEDAKKPNAPGYRGQGIDDDELAKAIQESLSMPSYQPYQPVQFLPRGYRFDFVIHLGLYAILLWHITTQLCLCIVSCRICGGCHHEIGYGHYLSCMGTFWHPQCFRCYACGQLIRETEFSLSGTYPYHKSCYKELHHPKCDVCHEFIPTNRAGLIEYRAHPFWGQKYCPSHEHDHTPRCCSCERMESRNTRYIYLGEGRSLCLECLESAIMDAGDCQPLYHSIRDYYEGMNMRIDQQIPMLLVERQALNEAMEGEKYGHHHMPETRGLCLSEEQTVSSIHKRPRIGGNKILDMRTHPQKLIRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRDLSPEVEEGICQVLSHMWLESEIMPGSTSVPSSSSYAPSSSSAMPSSKKAAKSDTEKKLGEFFMHQIAHDTSTAYGEGFRAANAAVNKYGLRRTLDHIHFTGAFPV >Ma07_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11516346:11534784:-1 gene:Ma07_g15350 transcript:Ma07_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMAFLVASSSSSPSRVLSPTSATPNGNPPHSPRRKLRRSTAKPLLSDVRRDLTAVPHHTGHPSAAVAPSSSSTRNLLKYYARLASNLAKNGKLRDFLMIAESVLTSDAVAADSPQFVARIDPRLTAQGIAAVLRDGNLDEVTGFLSEAARLGFCPSSLFDEPALEALGLECRRLADKGKLEECVELMETLAGYGFSIKDIVDPDYILSKIIHTRDPDLAVRYASVFSHSQLLFCSILEEFGKKHDIGSAIKAFEIFKAKSGGMNMFAWRAMIDVCGLCGDCLKSRSIFEELLAQNITPNVYVFNSLMNANAHDMSYTFGVYKHMQNLGVTADVTSYNILLKACCNAKRVDLAQNIYGEIRYMASKGALKLDVFTYTTMIKVFADAKMWQMALSIKENMLSANVNPNIVTWSSLLSACANSGLVDRAIQLFEEMLMTGCEPNAQCCNILLHACVESCQYDRAFRLFYAWKETGFQIPFSTKDMKCDFRDVSLAIKSRDEYTSYESSVQDTKPYHVATVVPFRPTVFTFNILMKACGTDYYRAKALMDEMKTMGLTPNHISWSTLIGIYGAAQNIKGAMQAFRALRDVGIKLDVVAYTTAIKACVENNNLKVAYSLFEEMKRYQIRPNWVTYNTLLRARNKYGSLHEVQQCLGIYQEMRKAGYRSNDYYLKELIEEWCEGVLCSKDQSHNVLGSDNYSEANSRKPYNLLLEKVAIHLQRDAGDSQAIDIRGLTKVEARIVVLSVLQMIKENYMLGKSIEDDMIITTEISKEMMNSTGHEVDVRQSITQVLQDELGLDFRTRYGPPDHLLCNPLILPPDSNLQARRPQDLGVIKVTRESLLCWLQKRGRRSEADC >Ma08_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32643571:32649213:1 gene:Ma08_g18870 transcript:Ma08_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQRKKKDVIRLERESVIPVLKPKLIMKLAYLIELGTDRAEFLRLCKRVEYTIRAWYLLHFEDLMQLYSLFDPVHGARRLEQQHLSDEEIDVLELNFITYLFQVMEKSNFKLVTDEEIEVAQFGQYLLNLPIKVDESKLDKKLLPRYFKEHPHENLPGFSDKYMIFRRGIGIDRTTDYFIMEKLDMIISRIWMWFLRVTRLERLFSKTEKLISKKDTKKTDEISSDSEDQDIFVERIRIEKLELSIGNLIGQITIQEPTFDRMIVVYRRASTKTHIERGIYVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVLGLVTLVSSLEMPKADIWVVIAIISGLVGYCAKVYFTFQQNMTTYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISYFILMEQGKLTIKDLDHKCEELIREQFHEDINFEVEDAVRKLEKLGIVARDSIGRIYCVPLKRANEIIGTTTEELVIKAQQGLPS >Ma09_p25470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36915043:36919491:-1 gene:Ma09_g25470 transcript:Ma09_t25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVVRSAGSPVIDFRGLRARASTPIAAGLCCASVAVSRFWSCPPSKPFFASISASASTSPAAAAVKKEAVQTENAPAALGPYSQAIKANNLVFVSGVLGLVPETGKFVSEHIEEQTEQVLKNMGEILKASGATYASVVKTTIMLADLQDFKKVNEIYAKYFPSPAPSRSTYQVAALPMNARIEIECIAAL >Ma04_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8459820:8470953:1 gene:Ma04_g11860 transcript:Ma04_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIVKGRKYVLKHLSLPVRSCSSFSSLGHGRYASDTDTRVPTWISEQSCSEVESSGQKKHVAVTTKEDLIFFSAQGSFRRPSSIIYNSGFGYGRQEFVLPFAVRGLAQSVATASTDTAGRPEKHNEEEPRKDQGQKEFKEASPEECDQAVEGLSTAKAKAKAKQMQDSQKSAQSFIHKFWANLLGIGPALRAVASMSRADWAIKLRHWKDEFVSTMQHYWLGLKLLWADMRISSRLLLKLAAGKSLTRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLKARIEYAKFLQDTVKEMAKEVQTSHSGEIRQTAEDLDEFLNKVRTGAPVSNVEILSFAKLFNDELTLDNISRPRLINMCKYMGIPPFGTDNYLRFMLRRKLQEIKEDDKLIQAEGVESLSEEELRQACRERGHLGLLSKEEMQQQLRDWLDLSLNRAVPSSLLILSRAFTVSGKVKPEEAVVATLSSLPDEVVDTVGTGLPSEDSVSERRRKLEFLEMQEELIKEEEKKLVKEEKAKVKESEVIEEDLALKEMTGPTAREAQELASGKTLEKQEQLCKISRALAVLASASSVSRERQEFLSLVNKEIELYNTMLEKEGTDGEEEAKKAYRAAREKSDQAAEVAAADKVSSALVDRVDAMLQELEKEIDDVDAKIGDRWKILDRDHDGKVTPEEVAAAAMYLKDTIGKEGVQELISNLSRDKDGKILVQDIVKLASETEDADGSEAARL >Ma02_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28633167:28639039:1 gene:Ma02_g23730 transcript:Ma02_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRSLTRAHSVRERIGDSLSSHPNELVALFSRFIHQGKGMLQPHQLLAEYAAAFSEADKEKLKDGAFEDVIKAAQEAIVIPPWVALAIRPRPGVWEYVRVNISELAVEELTVPEYLHFKEELVDGSSQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLRKHNYKGMSMMLNDRIQSLSALRAALRKAEQHLLSIPSDTPYSEFHHRFQELGLEKGWGDKSQRVYENIHLLLDLLEAPDPTTLETFLGTIPMMFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRALENEMLLRIKRQGLDITPRILIVSRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTDNGIVRKWISRFEVWPYLETYTEDVANELAAELQATPDLIIGNYSDGNLVSTLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFEDQYHFSCQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADLSIYFPYTEKHKRLTSLHPEIEELLFNPVDNTEHKGVLNDKKKPIIFSMARLDRVKNLTGLVEFYGRSDRLKELANLVVVCGDHGKESKDLEEQAEFKKMYSLIEKYNLHGHFRWISAQMNRVRNGELYRYIADTKGVFVQPAFYEAFGLTVVESMTCGLPTFATVHGGPGEIIVDGVSGYHIDPYQGDKAAEIVTNFFDKCKEDPSHWDKISLGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLDRRETRRYLEMFYALKYRNLAVSVPLAVEGEAAVNGAK >Ma11_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12901986:12912428:1 gene:Ma11_g11430 transcript:Ma11_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF subunit alpha [Source:Projected from Arabidopsis thaliana (AT4G12610) UniProtKB/Swiss-Prot;Acc:Q9SU25] MSFDLVLKPCCDGCGSTSDLYGSNCKHTTLCLSCGKTMATNRGRCHLCGAFITKLIREYNVRASANIDKAFYIGRFVTGLPPFSKKSSENRWSLHKEGLQGRQLTDTLKEKYKNKPWILEDETGQHQYQGQIEGSQSTTATYYLLMMHGKEFLAFPAGSWYNFSKIAQYKQLTLEEAEEKMNKRRHNATGYERWMMKAATNGAAAFGEVKKNEEASKGEADGSSRLKKGKNSDDGEHSDKGEENEDEEEARKNRLGLSKKGVDDDEEGAKGGEFDLDDDDIEKGDDWEHEETFTDDDEAVGNDPEEREDLAPEIPAPPEIKQDDEEEDEEDGGLSKSGKELKKLLGRAAGLNESDGEEEDDDDDDDEIGMSPVLAPKQKDAPKDEPTENTPSKAAPPSGSARSTPTTSKSSKAKRKPGSDDVKNNNGLPVKKMKDNPSAKDELPTSSKSNVPPKSSPATTSRPGSTPSTAPVTEDEIRTVLLSATPLTTQDLVAKFKARLKSQEDKNAFADILRRISKIQKANGHNYIVLRDK >Ma09_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1523084:1535059:1 gene:Ma09_g02100 transcript:Ma09_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MEEGAKGGSESSGLETLVLEKVGEVSSAIDAAKHVDEVICALYSLAVRIFPVDYSALCDTIDPAQRSKVHEILSPAPAESGEWRQAFYYGPAFPTLARILIYNVAKDWLACFSSSTKKQVYDSFFVQGPPTEIVQVLVPALVHGYDDAIYSNIERLLVRCLLKSEGIRHIVGEFRIQCSSSKHDYALKPEMLAVMSRVAQLLTSVPDKARLQASSALSSHLFFQQMARQLLCGAEDCNFEMLNPRDASDANTVDGSLLFIGETFSRICRRGSTDILLIKVIPRILDHIRSCLSSNAGSVAFNMIESSPKSHFWLFIMEAMKDQYAIERFSEELLRQLATQNVSDVEAYWTLWLLFHRIVKQKTNIRYIFVDKFVFWKVFPICCLRWILQFSVFECPPNFDSEARAQRTSSFLDVLHRIISIWSRREFVQSSSMEQQAYVTYAVALCIEKMSKEELETTKDILHSILQGVSCRLESPIDLVRKMASSVALVFSKVVDPKNPLYLDDDCSEIIDWEFGFKRKDVVTTDETRKDKLNGTLSKDMEKDKLDATLSKVTESASHAKRLKDTKHHAVDGGKLISECRVNHCAGMPNNEHASAEEDDGEGKNSDASSSDSLEPYDLSDGDTDMKLFSQLGDIAAALRKPDDPDGVERALDSAEKLVRATPDELPHYSGDLVRALVHVRCSDVAVEGEEDSAEGKRQKALVALLVTCPFESLDVLTRLLYSPNVDVSQRILILDVMTEAAQELSESTIIRMKHQQRNLISNISGQPWFIPSSRGPRGAGPWKEVSDPGTSISWSHRYEREIPSRAGQIKSGKSRKWGLVKAKDPELESSRNRFPLYAAAFMLPVMQGFDKKRHGVDLLNRDFVVLGKLIYMLGVCMKCSSMHPEASALAPPLLDMIRFRELSHHAEAYVRRSVLFAASCILVALHPSHVASALIEGNQEISDGLEWIRTWALHIAESDPDAECSTMAMTCLQLHAEMALQTSRALESAKSVKARTNTLPLKLDDIIIPFSNMR >Ma09_p02100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1523084:1535059:1 gene:Ma09_g02100 transcript:Ma09_t02100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MEEGAKGGSESSGLETLVLEKVGEVSSAIDAAKHVDEVICALYSLAVRIFPVDYSALCDTIDPAQRSKVHEILSPAPAESGEWRQAFYYGPAFPTLARILIYNVAKDWLACFSSSTKKQVYDSFFVQGPPTEIVQVLVPALVHGYDDAIYSNIERLLVRCLLKSEGIRHIVGEFRIQCSSSKHDYALKPEMLAVMSRVAQLLTSVPDKARLQASSALSSHLFFQQMARQLLCGAEDCNFEMLNPRDASDANTVDGSLLFIGETFSRICRRGSTDILLIKVIPRILDHIRSCLSSNAGSVAFNMIESSPKSHFWLFIMEAMKDQYAIERFSEELLRQLATQNVSDVEAYWTLWLLFHRIVKQKTNIRYIFVDKFVFWKVFPICCLRWILQFSVFECPPNFDSEARAQRTSSFLDVLHRIISIWSRREFVQSSSMEQQAYVTYAVALCIEKMSKEELETTKDILHSILQGVSCRLESPIDLVRKMASSVALVFSKVVDPKNPLYLDDDCSEIIDWEFGFKRKDVVTTDETRKDKLNGTLSKDMEKDKLDATLSKVTESASHAKRLKDTKHHAVDGGKLISECRVNHCAGMPNNEHASAEEDDGEGKNSDASSSDSLEPYDLSDGDTDMKLFSQLGDIAAALRKPDDPDGVERALDSAEKLVRATPDELPHYSGDLVRALVHVRCSDVAVEGEEDSAEGKRQKALVALLVTCPFESLDVLTRLLYSPNVDVSQRILILDVMTEAAQELSESTIIRMKHQQRNLISNISGQPWFIPSSRGPRGAGPWKEVSDPGTSISWSHRYEREIPSRAGQIKSGKSRKWGLVKAKDPELESSRNRFPLYAAAFMLPVMQGFDKKRHGVDLLNRDFVVLGKLIYMLGVCMKCSSMHPEASALAPPLLDMIRFRELSHHAEAYVRRSVLFAASCILVALHPSHVASALIEGNQEISDGLEWIRTWALHIAESDPDAECSTMAMTCLQLHAEMALQTSRALESAKSVKARTNTLPLKLDDIIIPFSNMR >Ma09_p02100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1523084:1535059:1 gene:Ma09_g02100 transcript:Ma09_t02100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MEEGAKGGSESSGLETLVLEKVGEVSSAIDAAKHVDEVICALYSLAVRIFPVDYSALCDTIDPAQRSKVHEILSPAPAESGEWRQAFYYGPAFPTLARILIYNVAKDWLACFSSSTKKQVYDSFFVQGPPTEIVQVLVPALVHGYDDAIYSNIERLLVRCLLKSEGIRHIVGEFRIQCSSSKHDYALKPEMLAVMSRVAQLLTSVPDKARLQASSALSSHLFFQQMARQLLCGAEDCNFEMLNPRDASDANTVDGSLLFIGETFSRICRRGSTDILLIKVIPRILDHIRSCLSSNAGSVAFNMIESSPKSHFWLFIMEAMKDQYAIERFSEELLRQLATQNVSDVEAYWTLWLLFHRIVKQKTNIRYIFVDKFVFWKVFPICCLRWILQFSVFECPPNFDSEARAQRTSSFLDVLHRIISIWSRREFVQSSSMEQQAYVTYAVALCIEKMSKEELETTKDILHSILQGVSCRLESPIDLVRKMASSVALVFSKVVDPKNPLYLDDDCSEIIDWEFGFKRKDVVTTDETRKDKLNGTLSKDMEKDKLDATLSKVTESASHAKRLKDTKHHAVDGGKLISECRVNHCAGMPNNEHASAEEDDGEGKNSDASSSDSLEPYDLSDGDTDMKLFSQLGDIAAALRKPDDPDGVERALDSAEKLVRATPDELPHYSGDLVRALVHVRCSDVAVEGEEDSAEGKRQKALVALLVTCPFESLDVLTRLLYSPNVDVSQRILILDVMTEAAQELSESTIIRMKHQQRNLISNISGQPWFIPSSRGPRGAGPWKEVSDPGTSISWSHRYEREIPSRAGQIKSGKSRKWGLVKAKDPELESSRNRFPLYAAAFMLPVMQGFDKKRHGVDLLNRDFVVLGKLIYMLGVCMKCSSMHPEASALAPPLLDMIRFRELSHHAEAYVRRSVLFAASCILVALHPSHVASALIEGNQEISDGLEWIRTWALHIAESDPDAECSTMAMTCLQLHAEMALQTSRALESAKSVKARTNTLPLKLDDIIIPFSNMR >Ma03_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3896913:3899042:1 gene:Ma03_g05690 transcript:Ma03_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVKSFNGYGKVSEIADRHFRRTTRRRLALLAASAVVLVVIVVSVGVVAAIGHGDGSPSPTSIADSIKAMCNVTRYPDSCFSSISTARGANLTDDPEELFRISLAVAVDAIGKVSTVAGSFEIPAKDKRLEAALRDCDQLFDSAIDRLNDSLSLMQPLPGEPLLNASKIEDLTTWLSAAVTDQETCLDGFEGTTGNVRDKMEVAMVNSTQYTSNSLAILVGILGIMEKLDFPLHRKLLSVPSAVRYPAWISRAQRRALRQDTARREPNVTVAMDGSGQVKTIKEAIDLAPKKNAHPFTIYIKEGVYKENVVVDKSKWNVIVLGDGMYKTIVDGKLNFIEGTPTFSTATFTAAGNGFMAMDMGFRNFAGPEKHQAVALRSSSDRSIFFRCSFDGHQNTLYAHSLRQFYRECDVAGTVDFIFGDAAVVFQDCKIRPRQALPHQQTTITAQGKTDPNENTGISIQACTIESYDNVTVSAYLGRPWKDYSTTIIMQSEIGTVVSPTGWLPMEIGTEPPSTIRYAEYQNTGPGSTVAGRVKWPGYNPGINTEEASKYTVETFIGGGVWIPATGVQFQSSLGQ >Ma10_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7622625:7625921:1 gene:Ma10_g02300 transcript:Ma10_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46780) UniProtKB/Swiss-Prot;Acc:Q9STF2] MAPALTSNSFRIAPGGGRRRLCSTREHKSLKTVTAQARQNPFSFGKPQGDASSEEEAKGEGSANKANRFFIDFGKIPDAKSLVPVVTSPSTTLFASTRRKDPRTVFVAGATGQAGARISWALLRQGFSVRAGVPDLPSAQDLARVVSTYKIISPEESKRLNAVESSFSDAESIAKAIGLATKVVVTVGAAENGPSAEVTTDDALEVVRAAQLAGVGHVAVVYNSGPGGFSGQSTYNVLDGISSFFSNLFARSQPLTLGEFLSKVAELNVSYTLIKAAFTEDYSDESSYGLVVSEEGSTAGTASEFKVSKSQIAKLVADVFSDTSIAENKVVEVSTSPSATSKPTQELFGAIPEDGRRKAYAEAVAKAKAAEEAMRAAAKATDAEEAAKKLEEEVKKLSEKKARATSLNKEAQRRVVEAGSSLDSLFIKAKGLGNDFSWDKLSSQVTAAVSQINNNDTEDTEEKKARTQIATVRGLAKARNLPSKKAVVKKPPQKPKPKQPDPTPEVKNIFGGLFKQETIYIDDD >Ma10_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32220567:32221903:-1 gene:Ma10_g23150 transcript:Ma10_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMHPPHACTIPPALDCGPNLLRSHTFPSPSCIEWHFHSPTTSSARLFLLLVQIPRRCCPIHSASLSFFPPPCSSAEGRTTTTMMSEEDKQQTKPAAVGEDAMAVDSAEEGRTAEALLRVAPVGLCLAAMAIMLRNSQENEFGAVSYADLTAFKYLVFANGVCAAYSLLSAFCVAMRRPSTLSRAWSVFLLDQVLTYVILAAGTVAAEIVYLAYMGAEKVTWSKECSAFDGFCRRATTSLGFTFGSVACYVLLSLVSSYRLFSNYDTPITFLSSKGLEIAAYPC >Ma04_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1207799:1212211:1 gene:Ma04_g01320 transcript:Ma04_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRSVVVAAARSAAVRSKALLPKHSLPRRASPLVCGLAALASVPIDSLMPLHSAVASARLKSYIAVDSSCWSCLSQEFGVPR >Ma04_p01320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1207790:1208395:1 gene:Ma04_g01320 transcript:Ma04_t01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRSVVVAAARSAAVRSKALLPKHSLPRRASPLVCGLAALASVPIDSLMPLHSAVASARLKSYIAVDSSCWSCLSQGNWFPPGVPESNLV >Ma07_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3586047:3589705:-1 gene:Ma07_g04900 transcript:Ma07_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVMVVCVAVGFLGLLSAALAFAAEATRTKASDVQTTTGGECVYPKSPALALGLISALALMMAQVIINTVAGCVCCKKHPHPSGTNWTLGLISFVASWVSFIIAFLLLLTGAALNDQRGQERMYFGDYCYVVKSGVFSGGAVLSLASVALAIVYYVALSSKNAQSWGVDQNQGGIAMAHPQVPPAQANPVFVHEDTYNRQQFP >Ma10_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1532585:1542061:1 gene:Ma10_g00300 transcript:Ma10_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQYDAKMNELISQDGQDFFASYDEVFDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCAGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGLFSATMPPEALEITHKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPSNVADLI >Ma00_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3738219:3755137:-1 gene:Ma00_g01020 transcript:Ma00_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWCAIESDPGVFTELIQQMQVKGVQVEELYSLDMDFLDNLRPIYGLILLYKWRPGEKDDRPVIKDLSPNLFFASQVINNACATQAILSVLMNCPDIDVGPELSVLKEFTKNFPPELKGLAINNSEAIRTAHNSFAHNSFARPEQFVAEEQKVGGKDDGVYHFISYLPVDGVLYELDGLKEGPISLGLCSGGPGDLDWLHMAQPIIQERIDRYSEIEIRFSLMAIVKNRKEMYTAELKELQKKREHLVQQLNEHVGAANKHSPAVEALNKSLAEVTAGIEAVTEKIIMEEEKFKKWRTENIRRKHNYVPFLFNFLKILSEKKQLKPLIEKAKQKSSSPR >Ma00_p01020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3738219:3755067:-1 gene:Ma00_g01020 transcript:Ma00_t01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWCAIESDPGVFTELIQQMQVKGVQVEELYSLDMDFLDNLRPIYGLILLYKWRPGEKDDRPVIKDLSPNLFFASQVINNACATQAILSVLMNCPDIDVGPELSVLKEFTKNFPPELKGLAINNSEAIRTAHNSFAHNSFARPEQFVAEEQKVGGKDDGVYHFISYLPVDGVLYELDGLKEGPISLGLCSGGPGDLDWLHMAQPIIQERIDRYSEIEIRFSLMAIVKNRKEMYTAELKELQKKREHLVQQLNEHVGAANKHSPAVEALNKSLAEVTAGIEAVTEKIIMEEEKFKKWRTENIRRKHNYVPFLFNFLKILSEKKQLKPLIEKAKQKSSSPR >Ma00_p01020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3738219:3755139:-1 gene:Ma00_g01020 transcript:Ma00_t01020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWCAIESDPGVFTELIQQMQVKGVQVEELYSLDMDFLDNLRPIYGLILLYKWRPGEKDDRPVIKDLSPNLFFASQVINNACATQAILSVLMNCPDIDVGPELSVLKEFTKNFPPELKGLAINNSEAIRTAHNSFAHNSFARPEQFVAEEQKVGGKDDGVYHFISYLPVDGVLYELDGLKEGPISLGLCSGGPGDLDWLHMAQPIIQERIDRYSEIEIRFSLMAIVKNRKEMYTAELKELQKKREHLVQQLNEHVGAANKHSPAVEALNKSLAEVTAGIEAVTEKIIMEEEKFKKWRTENIRRKHNYVPFLFNFLKILSEKKQLKPLIEKAKQKSSSPR >Ma00_p01020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3738219:3755177:-1 gene:Ma00_g01020 transcript:Ma00_t01020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWCAIESDPGVFTELIQQMQVKGVQVEELYSLDMDFLDNLRPIYGLILLYKWRPGEKDDRPVIKDLSPNLFFASQVINNACATQAILSVLMNCPDIDVGPELSVLKEFTKNFPPELKGLAINNSEAIRTAHNSFAHNSFARPEQFVAEEQKVGGKDDGVYHFISYLPVDGVLYELDGLKEGPISLGLCSGGPGDLDWLHMAQPIIQERIDRYSEIEIRFSLMAIVKNRKEMYTAELKELQKKREHLVQQLNEHVGAANKHSPAVEALNKSLAEVTAGIEAVTEKIIMEEEKFKKWRTENIRRKHNYVPFLFNFLKILSEKKQLKPLIEKAKQKSSSPR >Ma03_p05930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4073810:4076158:-1 gene:Ma03_g05930 transcript:Ma03_t05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKPFWRFSNDHRSCTSASSTSPVSSITAAEMAVEFLCPISRSLMADPVIVPPSGHTFERSCVQACADLAFSPPGLSLELSPSPLVLIPNVALKSAILSWCQSIGVPPPQPMPPDAARALVRSLMPPSSNPRPSPPHAAGGDGSGGGGHGRRDRRGEFMRRFAAFSFDEAEEAEKGEASRSPHGYGGTERGKEKRGELSRAAAAADSYGSRYDEKGEAVRASSASSDGEGEIFGSRSTRPAQSLRGAMNPNAPSAFSVRTKNQAPSFSQHLTSSASSYQSSSSNSSITEAFVEEAPKEPPPPEVHNRTATSLPTSQTADVGVSEEEVLIKLMDTELSEQESAVVLLRQATKENRKRRIDLCTPRLLAALRSMLLSSSAAVQISATASLVNLSLEPENRVRIVRSGAVPPLVEVLEGGYPEARYHAAGALFSLAIADENRAAIGVLGAIPPLLDLFSVPSADGLRARRDAGMALYYLSLAGANRSKIARAPGVVRALLSVASEREVSPGGTPSTTQGPGLARLAMMVVCNLAGCNEGRAALMDGGAVTSVVSLMRSPAAAAVEEYCVAALYGMSRGSLRFRGLARSASAEPVLTRVAEGWVSGGEMRREMAKKTLRALRGDDEDDAEPSLSMGFPADDDGSIVSEGMMSIRRRPNYYANPPRMNSAEF >Ma03_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4073810:4076152:-1 gene:Ma03_g05930 transcript:Ma03_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKPFWRFSNDHRSCTSASSTSPVSSITAAEMAVEFLCPISRSLMADPVIVPPSGHTFERSCVQACADLAFSPPGLSLELSPSPLVLIPNVALKSAILSWCQSIGVPPPQPMPPDAARALVRSLMPPSSNPRPSPPHAAGGDGSGGGGHGRRDRRGEFMRRFAAFSFDEAEEAEKGEASRSPHGYGGTERGKEKRGELSRAAAAADSYGGTERGREKRGELSRAAAAADSYGSRYDEKGEAVRASSASSDGEGEIFGSRSTRPAQSLRGAMNPNAPSAFSVRTKNQAPSFSQHLTSSASSYQSSSSNSSITEAFVEEAPKEPPPPEVHNRTATSLPTSQTADVGVSEEEVLIKLMDTELSEQESAVVLLRQATKENRKRRIDLCTPRLLAALRSMLLSSSAAVQISATASLVNLSLEPENRVRIVRSGAVPPLVEVLEGGYPEARYHAAGALFSLAIADENRAAIGVLGAIPPLLDLFSVPSADGLRARRDAGMALYYLSLAGANRSKIARAPGVVRALLSVASEREVSPGGTPSTTQGPGLARLAMMVVCNLAGCNEGRAALMDGGAVTSVVSLMRSPAAAAVEEYCVAALYGMSRGSLRFRGLARSASAEPVLTRVAEGWVSGGEMRREMAKKTLRALRGDDEDDAEPSLSMGFPADDDGSIVSEGMMSIRRRPNYYANPPRMNSAEF >Ma09_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5922862:5927756:-1 gene:Ma09_g08920 transcript:Ma09_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAKESAFASTSEAAAPQELQLMPRRSNLSPPTPHVQPPVWAPETAAAAAAKGRAVPPVFLRAQMTPYPAPTIQERPLPQEEEERNESPASKAEGSQEKSGRVDRKSSASGKNSNSSYVDHDEWKGFLSSRKRRYEDIVTKGEALHLVTADQHNGVDIEASDVRGEHSVKTLSVSPESLLLRALPPGSETELNTEIDQCSYPSPLLGNDPVAHKQQRLQDDDEFRERRKQANRESARRSRIRKRQEYEDSARMMAVLKNENDVLKAKNEILMKRIKDLEAGSIRIMEILSGLYWPADTLDALGIRPS >Ma07_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7658876:7661273:-1 gene:Ma07_g10220 transcript:Ma07_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Ma01_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21896768:21898124:1 gene:Ma01_g21800 transcript:Ma01_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSLEDGCSEAEKQSPPQWPQPPPAPVGLQRLGSGTSVVLDLEVGVEAESRKLPSSRYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDVAVQRFRGRDAITNFKPLVEVDVNDAAEELSFLNSHSKAEIVDMIRKHTYQDELHQSSRSARADRRHNPPHGATEEWRSGPHREHLFDKAVTPSDVGKLNRLVIPKQHAEKHFPLQQAGAAAFKGVLLSFEDACGKLWRFRYSYWSSSQSYVLTKGWSRFVKEKGLRAGDVITIQRSTAPEKLLFIDRKTRALGRHAAAGVQAPRLPVQVVRLFGVDIVKIPVAVASDVTEGNGVDWNGKRMRDMELISSRELLRKQCI >Ma10_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9041697:9042077:1 gene:Ma10_g02690 transcript:Ma10_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLINQLIGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYYQALSWNLRMKIALGAAKGLAFLHSDKAKVIYRDFKASNVLLDSVYNHLENL >Ma04_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13604555:13604764:1 gene:Ma04_g15810 transcript:Ma04_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEPTEKKSGTCRNFRKFAEEIFRGSRRSPRRLGYSLKSSQVRELDGSKVWLKIFQTWKYHQRNVLSF >Ma04_p33220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32970353:32973509:-1 gene:Ma04_g33220 transcript:Ma04_t33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGAVDRNTRHVQTRVGAIIREQVGHKIANQTRIRSNRVNREPRSVSYIRQRRTESKTKEAGKRSCNARSKVVIDRIAGCGSNIEEFFDSPEFCITGPPMR >Ma11_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16548881:16549758:1 gene:Ma11_g12640 transcript:Ma11_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWSSPVLDPETVRHRRNRSLTKEEIEIYRSSHGRVEGEKGPYESRSAPNSPKRHQETDELDLRRSLLWHQLQNTEPAAEPLPDNPTNTGDWWTRSNSAFLNEPPREEADVAAHKYTAQFHVAELATKKARA >Ma05_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5677564:5689213:-1 gene:Ma05_g07760 transcript:Ma05_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGRREDGRQGLGFGGGGMDEDNELEEGEACSGQEDDPSIDPDALSYIDEKIQDVLGHFQKDFEAGVSAENLGAKFGGYGSFLPTHQRSPSILCQPRSPQKLPNQNVTRSPYKSTVEVTNQDNSVTMSSPFPRNNTVAVSLLDNSYKTDSCVNKPNVQEPSSECSSFNKTTNGTDHKTLKVRIKMVDNNLARNNAAIYSGLGLDYSPSSSFEDSPDGNEGVFPGFRDLPDESPGTIIQVMTCFAVPGGFLLSPLQDNLFHLTEKDISYIKQSKRHKSYKGLPETTIDFADSTTHSREVKGQMKQTKARGQQGRPSEIKDSEGKDNITFGREIESETHSGREPTSSSFNMPASSISKNAIKEARPIVGNAVKIDTKLLDQPSEMKKTSLKDQSSFTGSVKELFESTPNNDIDNSGNEVMNSRGQLNAKVSMSKKALEERNKDYLKDKKSDLQRDQRSNIEKDLDITDTHSSGHKRSNEQVSVPTDRFKPGSSPSRERILQQKEQRSDRKKKLKVSHTNSEPFGEILKDNVSGNVIATTKEKKKASHSKADNAQKKSKVLKPRKDLSGSSFSESHGNVIWDVKAEEFENGVGLLNRSKGKQKAMKCKHEKKPIVSTQASKEMSGCNKVEDTPISGAFVIEPILAPLACNAPVTDATVAPQPPVVIEEHWVCCDICQKWRLLPYGTNPGHLPTKWQCKLLNWLPGMNRCDVSEEETTNALHALYLAPAPENGASLDGRDVAAPSASLTSGVRLGQNLELHVQNVPITEKKKSALKDASSIPTHSTPTQIPNFAKKDEQAFVKSRSSNDTNLCLHSEIDSSSKGGLGNTSRLTDFGVEKHKPKQKDKHKNRRGNSDGGDHSGKNKKHSKSENQRGIDQDDLRTSKKAGKESLQYTNKSCTSDNVTAKAFEVTDVGGYSTKVITNDHTRWDNNPFLKDSKCDTKSNSSAPFKKSRDEVQSITNCESKDHVSASDVEKYSNLDVSVKKRKMKEWQESQQNQEGLVSRQLVEENGVIVKGALGENEPVKDRNFELLLSERKRSKTSKLNSKMDKNCTMTKMSLRASGEHIPDGMDEALYVVEKEHRFSQSQENAASLRELDFDSLKRDIAYAQPPVAANSSSSMVSGSHKSRSNLRETKGSPVESVSSSPLRILGIQKPSCKRTSEQKDYAINPDSSLLESPKRCSDGEVDGGNGHSGKFRKQISVQQQSFESHRVAGSGTLDSLGETFDYLEKEKIQLSVGKSEERLHAKNGASDDFSPTELGEQHPYKYDIQDLGKVNKDHLVNESSQRKSSKSSLSSKGKHRGSKSDLGKNKLRVSGPYTVNKDFHSTNNGSSCRFEATSGYCEDGKDDFDEKDEKDCLGKKEPTSRWTTSRQYNSTNFTVQDNMDANAPIMHSSQQKDIESKVPVCGSRHIKPEFQVKPSFHNGKELDHNHFDRIDFPELPSGLGKSQLKLTAGCKQDTQYRIPHMVSSPLKASRLDVGIVDAVNADASKVVKQHRQPEIHHRSHQTNMRHATPSGPDTSSPLRKEHYSAVMKEARDLKHSANRLKSEGLELESTGLYFEAALKFLHVAALMEPVNLDSVKQAEAAQMYFETAKLCEFVAHEYEKVKDTAAAALAYKCVEVAHLKSAYCKNPNASKDRHELQAALQFLPPGESPSSSASDVDNLNNQIILGKNASTKAVSSPQVAGSHVIAARHHHQVMRLLHYTNYLNCAFEATRKAHICLAAAVDSFGKDRVDCLSSVRKALNFNFHNVDGLLRHVRLSLDSIGR >Ma07_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7691975:7693274:1 gene:Ma07_g10310 transcript:Ma07_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFFHELRKQASYFLKQKIQTARLALTDVTPAQLLTEEATNASSSAPDAKTMRCISRAAFEIDDYWRIVEILHKRFDKLDRRQWREPYKALILLEYLLTHGPGSIADEFQSDTEAIRDLGNIEYIDERGFDWGVAAREKTVRVLKLLEKGPHLKEERERARKISRGIQGFGSFNLSWSSTAVHRPELVDSRAHDAIVNSEKENSKRDAGIDRQIRRAAGTARRRPLQENSGYKNLATEGTASPATTKMHLPEESKPLLHY >Ma05_p17460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20331819:20337779:1 gene:Ma05_g17460 transcript:Ma05_t17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRDTCTDLSGVSPTTMSFSTECLPTSAASLTSTVVEKNSDNSCLQGKGMHAFETKNEQYLSDPSPLDNYIPMSEYINTNSKQEGAVEDSVSSVQQNLLDITSQHVAIAENFDSHHQQQTVVEESEPLVLQDIPDASTMDKNKPNTMESLNISGTPTDDAVVVLPETVTYLPSHSLDASKAELENISKHENETFVKVDPIQISNDSSASTETRNTEGRPSLQPSDLSHIQVLRHTQKKTPESTKSSIHRKDDNANRGFVDTAAPIESVKEVVTKFGGIIDWKAHKAHNLEKQKHVQSELEKIREEIPECKRQSEASEEAKIQVLKELESMKRIIEELKLNLDRARKEEAQAKQDSELAQLRVKELEQGIANESSIVAKTQLEVAKERHEAAVAELKSVKDDLQALQREYDSLISERDIAMRKAEKAVSSAKDIEKTVEELTLELIAKKESLESAHVAHLEAEEHRIGAALARDQDCFTWAKELKQAEEEVQQLNQQLTLTKDLKLKLDASCTLLQTFKAELEAYMKAKLKQESESTDNEKIPDDVEETKGTTSSIQAIDSTRKALEEVKASIEKAKDEVNCLSVAASSLKTELDAEKAALSTLKQMEGMASIAVSSLEAELDRTRQELEVVRMKEKEAREKMVELPKLMQQAAQEADQAKSVAQMARDELRKAKEEAEQAKAASSTTQIKLHAVLKETEAAKASEKLAMARVKALQESEQAAGMGGADSPAGVTLPLDEYSTLCKTAHEAEELAHERVAAALAQIEVAKKSERSSIERLEQTYREMEQCKQALRVAIEKAEKAKEGKLGAEQELRKWRAELKQRRRATDAAKGTINPPLRSFEQSSEPKSSSKEATDVHVHPEPENAEHDAPARNKTKKKKPLFPRIVLFLARKKPQPVK >Ma05_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20331819:20337779:1 gene:Ma05_g17460 transcript:Ma05_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRDTCTDLSGVSPTTMSFSTECLPTSAASLTSTVVEKNSDNSCLQGKGMHAFETKNEQYLSDPSPLDNYIPMSEYINTNSKQEGAVEDSVSSVQQNLLDITSQHVAIAENFDSHHQQQTVVEESEPLVLQDIPDASTMDKNKPNTMESLNISELENISKHENETFVKVDPIQISNDSSASTETRNTEGRPSLQPSDLSHIQVLRHTQKKTPESTKSSIHRKDDNANRGFVDTAAPIESVKEVVTKFGGIIDWKAHKAHNLEKQKHVQSELEKIREEIPECKRQSEASEEAKIQVLKELESMKRIIEELKLNLDRARKEEAQAKQDSELAQLRVKELEQGIANESSIVAKTQLEVAKERHEAAVAELKSVKDDLQALQREYDSLISERDIAMRKAEKAVSSAKDIEKTVEELTLELIAKKESLESAHVAHLEAEEHRIGAALARDQDCFTWAKELKQAEEEVQQLNQQLTLTKDLKLKLDASCTLLQTFKAELEAYMKAKLKQESESTDNEKIPDDVEETKGTTSSIQAIDSTRKALEEVKASIEKAKDEVNCLSVAASSLKTELDAEKAALSTLKQMEGMASIAVSSLEAELDRTRQELEVVRMKEKEAREKMVELPKLMQQAAQEADQAKSVAQMARDELRKAKEEAEQAKAASSTTQIKLHAVLKETEAAKASEKLAMARVKALQESEQAAGMGGADSPAGVTLPLDEYSTLCKTAHEAEELAHERVAAALAQIEVAKKSERSSIERLEQTYREMEQCKQALRVAIEKAEKAKEGKLGAEQELRKWRAELKQRRRATDAAKGTINPPLRSFEQSSEPKSSSKEATDVHVHPEPENAEHDAPARNKTKKKKPLFPRIVLFLARKKPQPVK >Ma05_p17460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20334594:20337779:1 gene:Ma05_g17460 transcript:Ma05_t17460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNKPNTMESLNISGTPTDDAVVVLPETVTYLPSHSLDASKAELENISKHENETFVKVDPIQISNDSSASTETRNTEGRPSLQPSDLSHIQVLRHTQKKTPESTKSSIHRKDDNANRGFVDTAAPIESVKEVVTKFGGIIDWKAHKAHNLEKQKHVQSELEKIREEIPECKRQSEASEEAKIQVLKELESMKRIIEELKLNLDRARKEEAQAKQDSELAQLRVKELEQGIANESSIVAKTQLEVAKERHEAAVAELKSVKDDLQALQREYDSLISERDIAMRKAEKAVSSAKDIEKTVEELTLELIAKKESLESAHVAHLEAEEHRIGAALARDQDCFTWAKELKQAEEEVQQLNQQLTLTKDLKLKLDASCTLLQTFKAELEAYMKAKLKQESESTDNEKIPDDVEETKGTTSSIQAIDSTRKALEEVKASIEKAKDEVNCLSVAASSLKTELDAEKAALSTLKQMEGMASIAVSSLEAELDRTRQELEVVRMKEKEAREKMVELPKLMQQAAQEADQAKSVAQMARDELRKAKEEAEQAKAASSTTQIKLHAVLKETEAAKASEKLAMARVKALQESEQAAGMGGADSPAGVTLPLDEYSTLCKTAHEAEELAHERVAAALAQIEVAKKSERSSIERLEQTYREMEQCKQALRVAIEKAEKAKEGKLGAEQELRKWRAELKQRRRATDAAKGTINPPLRSFEQSSEPKSSSKEATDVHVHPEPENAEHDAPARNKTKKKKPLFPRIVLFLARKKPQPVK >Ma05_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19195238:19197067:-1 gene:Ma05_g17010 transcript:Ma05_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITVASPRPPRISPSSTFPKPASSSEHPSVLPCVSIPFRGFQSRVRTRSFFRCFCAGKDSADELFEAMPIPSPFPGISCLPFLSFLLYFSIISARLGFSVLPSDVPWENSDVWSICAAYFFVLHVPLSFGGLSVIAQILHEPNLDPLTMVVSITVLQVTEYVGVSTLLHFNAKPQYDVCRFFHAKWFWGGRSCITASIVGIGTLIGLVFLTSIVADMLVGPKDVNDPILKKILSDSPLSMMSCFFLYCLVSPLLEETVYRGFLLSSLSSTMKWWQAVIISSFVFSIGHFSGENSLQLFLIGCVVGSAYCWTGQLTPCFAIHSVYNAMILLITMMS >Ma02_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24803876:24813452:-1 gene:Ma02_g18240 transcript:Ma02_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSCKPVHKMRSLIFLGFLAVALQVNAQQGFVSIDCGMDGSTSYNDTVTGITYEPDAKYINSGVNYKINKKYLQEDTPVQAETLRSFPNGSRNCYTINGIIQGDKYLIRGLFFHGNYDGLTSVVFELHLGMNFWQLMNITEPSYPFWEEIITVAQNNSFSVCLVNINSGTPFISALELRHIGSTDVYKDVNQTNSLVLYARVNMGDAAKDIRYPDDAYDRIWQLYITPTVWADINSSQPIQTSPGDAFQVPAVVMATAVTPANDTYLKFYFGADTGVVYYVYMHFAEFDALSQTENRMFDVLVNDELKVSSFKPEYLLSTHISLSVTSALGTEVTYNFTLNSTSDSNLPPILNALEIYSVLPLQ >Ma06_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8769819:8772986:1 gene:Ma06_g12690 transcript:Ma06_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCHARDFLFCSMCGTLLSFDSLHFARCPLCGFKRAAGDIEGRETRYTVTAEDIRRELKIEPFVILESAPVDDEAVQRAVVNEECPQCRHPQLEYYTKQLRSADEGQTVFYECPQCRHKFSINT >Ma06_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8525698:8534880:-1 gene:Ma06_g12270 transcript:Ma06_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGIGGSSEAMSGDSKEGYATGGGEGASSGSSSGASSPPRAGPVGGKQRDKARVSQTSLILWHTHQNDVAAVRKLLQEDRGLVNARDYDSRTPLHVAALHGWIDVAKCLLDHGADVNAQDRWKNTPLADAEGAKQPSMIELLKSYGGSSYGQNGSHFEPKPVPPPLPSKCDWEIDPSELDFSTAAIIGKGSFGEIIKAYWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTERKPLMLVTEYLRGGDLHQHLKEKGPLSTVTAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSNADHLKVGDFGLSKLIRAQNAHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPFSNHEPYEAAKLVAGGNRPSFRAKGYVQELRDLTDECWAADMNRRPSFLVILKRLEKIKENVSQENHWSIFNQ >Ma03_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7621718:7623730:-1 gene:Ma03_g10180 transcript:Ma03_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 33 [Source:Projected from Arabidopsis thaliana (AT1G10550) UniProtKB/Swiss-Prot;Acc:Q8LC45] MVTLTQRLLFVLSFISCIAISMSMRHNTPIPPTTARLTDRFPRLVFDGAFSDLFGGQNIKKTSNGSQVSLMLDKTSGSGFKSNDAYYYGFFSAAIKLPMDYSAGVVVAFYMSNSEAYPKNHDEIDFELLGHEKRKEWALQTNVYGDGSTGIGREEKFFLWFDPTADFHEYSLIWNQHHIVFLVDNLPVREVNHSVAMARAYPSKPMSIYSTIWDGSQWATHGGKKPVNYKFGPFVASFKNFLVAGCAWNQTKAAPSCTRSGQSGRLALDPIEGDEFVKLSADQQRGMEWVRSKFMFYSYCNDLSRFPVLPPECKEGKQ >Ma01_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13616915:13617166:1 gene:Ma01_g18370 transcript:Ma01_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIASKLLRFSSNKVIGSGTNLDSSHFQFLLADHLEANAQDVQVGKELIEAVVSGELEQDVRNVEVDDEMVGPIDEEVKTEGR >Ma07_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29780677:29784660:-1 gene:Ma07_g21720 transcript:Ma07_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVDMVLGKLSEYALREVTLLLNVDDEIEVSKRKLRLLLSFLKDVDQTPRDQHSELVQEWVNQIRGLAYDIEDVVDKYTLRVGRSRQKRTLKCIANLLTRILARHQLTKSLQRINRNLQETSKHASELGIQGIPSASLAPIKDTNLTLRDDVADEVFGFDHDIQVITDQLCDLHVTRRAVISIVGMGGSGKTTLANKVYNSQAVKDHFQCRAWIVVSQSYTARELLTNIMKQTMNIGNGQTREMDEREMKNKIREHLKGTRYLVVMDDIWKVSDWETIKTAFPEEFTASRVLLTTRKMDVAETADPDRPPHHLKLLESEESWNLFSKKSFSNACCPPHLQHLQDKIIQKCGGLPLAIMVLAGLLRSKHGAYEWSQTLERISHVPNKTDDQTHKILALSYNDLPHHLKSCFLYFAAFPEDYDIDADRLMRLWIAEGFVGSDLEGQIMEDLAETYLTELINRCMIQLVERDSAGGVGSIRIHDLLLDVARYEATELNFCRSSSCRSISDIRGPTDLRRLSVTDDEGVHQYISLNFSVPKLRSFLFLLKYGVVMPSNFMIHRFKFLRVLDLQSVFIRSLPSEIGDLILLRYLNLSSDVEELPSSIGNLCNLQTFISFGHNFRIPSSFWKIQTLRYFMVDSPIEPKAGCCLKDMHTLLQVQSGEWVRDGSLERMRNLRRLVLLEISRSDVEGLANAIGRLNRLVWLYVVGEVLPANILCSSNHPHLRYLQFRGPLKRLHMDNIHHDAPFLPNLAILILDGTRLESGDVSSILVTLPNLERLTLEDEAVVGRVLVFPKGGFPRLRYLSLGTLQDLEEWRVEEGAMPCLREVRLWYCSNMRMLPEGLRGLTQLKLFELHGMQVIQRRIQKDIGEDYYKIQHVPSIKIQD >Ma02_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16391167:16396405:1 gene:Ma02_g05060 transcript:Ma02_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTPDLLFGLRNSFYLGAYQAAINSSDIPNLPADDALERDVLVHRSYIALGSYQLVVSEIDSSAPTALQAVKLLALYLSGDKESAISSLQEWLSDAAISNNPVLRLIAGIIYMHEQDYNEALKHTNSGGTMELHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDLAVGGSKIQEAYLIFQDFSEKYQMTGMILNGKAVCCMHMGRFDEAESLLLEALNKDAKDAETLANLAVCSLHLGKPSSRYLNQLKLSHPDHVLVARMASAEDNFDRALQSIA >Ma03_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7385310:7389024:1 gene:Ma03_g09930 transcript:Ma03_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGKRVDIENLLSLGDDLLGVLKNKKDVDGLMQSLEGAKLLHFSCSSDSNNTGKWIEDCQNKIQACEEKIDRSKDETSYDSELDHLQHELDEKLQEEHMLQKELRAVQDELIDLEHQRVSIEDRRVMIKKTEKDLIRARNCLSMCASVTNIIPDFEDWTRISGMVIDRNKKKVEKFEFERTESPLNVCNKLWKMA >Ma02_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17436412:17437975:-1 gene:Ma02_g06440 transcript:Ma02_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKDMPQLTPEEDEKASMFALQLVMGSILPITVNAAMELELLEIIVQAGPGAKLSPTDVVSRMPTENPEAVAMVDRILRLLAAYEVVSCSVETGHDGHPSCKYGAAPVCKYLTKNEDGVSLAALSLLNHNKINMESCYSLKEAVLESGVPFEKAHRMTLFERQHADPRYNKLFAEAMRGHSTMFMKKLVEIYRGFDDVKVLVDVGGGTGATIHKITSKHPHIKGINFDLPHVISNAPPYPGVEHVGGDMFERVPSGGDAIFIKWILHDWTDEQCIKILRNCWKVLPEKGKVMVVEYILPVIPESNMIAQGIFTLDMVMMIQTGGKERTQKEFEALAKEAGFIGLKATYISMCVWLMEFTK >Ma05_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9084148:9086360:-1 gene:Ma05_g12560 transcript:Ma05_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASDPSMGIPDEAGHGGEAAPADLSKEQEPGAHPDHRRADPVRGHGAARTRDPPPEEESPTSTSSTTTASSTARSSRTLSVAPAGTPAPGSSTKREMRHISSNHPLTMYRKYIAFDMQLGDGWGLRMPLSKRGESDCQRASGGGEKQFWQRYIYLCSAILIILHEELDAQDIDRSREVYRECLKLIPHKKFSFAKL >Ma09_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11596886:11602818:-1 gene:Ma09_g16240 transcript:Ma09_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLFESASGYSLFHAYGLDEIGQNTEAVRNSVLDLTRFGKVVKLVAFHPFSSALDALNQCNAVSEGLMTDELRNFLEMNLPKVKEGKKAKFSLGVAEPKVGSQIFEVTKIPCQSNEFVLELLRGVRLHFDRFIKDLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELMKIINDNYLYAKIAKSVENKSDLTEDHIPELADIVGDEDKAKEIVEAAKASMGQDLSPIDLINVQQFAQRVINLSEYRKNLYEYLVTKMNDIAPNLASLIGEVVGARLISHAGSLSNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRIARYLANKCSIASRIDCFSEVNTSIFGQKLREQVEERLDFYDKGVAPRKNVDVMKAAIESTLNNTSQEDADGLQKDEASTKKSKKKKSKRENVDGESMAEDKSLEVAADGDAPIEPETEKRKKKKHKLEEQQQEEVPPKVNGHDTEQNGTPKKNKKKKSHEDLDNDGDVQMRSEGTKKKKKKVKAQGD >Ma03_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11229456:11235431:1 gene:Ma03_g14070 transcript:Ma03_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNVLRVSRSVRVASSSDQQQADGRPTNVPPTVTFARRTPSGRYVSYSRDDLDSDFGSGDFDKEYTNYHVHIPPTPDNQPMDPVISAKVEEQYVSNSLFTGGCNSVTRAHLMDKVIESEASHPQMAGAKGSSCAMPGCDSKVMSDERGVDILPCECDFKICAECFSDAVKVGGGICPGCKEPYKTIELEEVVSNAVAGRPLSLPAPHGMSKMERRLSIMRSQKMTRSQTSDWDHNRWLFETKGTYGYGNAIWPTENKVDGGDGGNAQPTELTNKPWRPLTRKLKIPAAVLSPYRLLIFVRMAVLALFLAWRIKHKNEDAVWLWGMSVVCELWFAFSWLLDQLPKLAPVNRAADLAVLKEKFETPTATNPSGKSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHGVEPRNPESYFNLKKDPYKNKVRPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQREVAGDDLVESVKIPKATWMADGTHWPGTWMNPSSEHTRGDHAGIIQVMLKPPSHEPLFGNNEEGGPLDFTVVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSQALREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANNNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKERHGSCCSCCFPQKRKTHAAVASEETRALRMGDSDDDEMAISTFPKKFGNSTFLIDSIPIAELQGRPLADHPAVKNGRAPGLLTAPRDLLDASTVAEAISAISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKPDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTGQFIVQTLNVTFLTYLLIITLTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDENDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGSNSQIGGSFTFP >Ma03_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7987003:7997508:1 gene:Ma03_g10590 transcript:Ma03_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGSPNTSGNYDTQALFKPPNPGAAPRPPFSPPSAYPAPPSSYPTPPLPGAFSYPPATPPFHHHPFLHYPQEQLHRPPAIYPPAVASSHPPSPNPSPNPSPSPNTNPGARLMALLNPPAQLESAVSMPPPSSAPSEFLAPSTAATAMLHPIPSAPPAALVQSAPVRMPSNKLPRGRLLGAGERTVYDVDLRLPGETQPPQLEVTPITKYISDPGLVLGRQIAVNRNYICYGLKLGAIRVLNINTALRSLLKGHSQRVTDMAFFAEDVHLLASASIDGRVFVWKIDEGPDTENKPQITGKIVMAVQIVGEGESCHPRICWHSHKQEILFVGIGYWVLKIDINKVGRGKEFLAEEPIKCHVEKLIDGVQIIGKHDGEVTDLSISQWMVTRLVSGSKDGTVRIWDDRKMVPLAMFKPHDGHPVNSVAFMTSPHRPDHINLITAGPLSREVKIWASATEEGWLLPSDSESWHCTQTLELKSSLEHRTEEAFFNQIVVLPQASLIVIANAKKNAIYAVHVDYGPYPASTHMDYIADFTVAMPILSLTATHDFLPDGEQVVQIYCVQTQAIQQYALDLIQCLPPPTTNVGLVKDPLSRVFDTPSLEGAGVPEPSCGLTDSSLDGATSHAVASVSSEATRTNELSASSFEVQPSAPPLMKADADALQVAPSSVPLNVDFAGTLPAPKSPEKIEEAPSLGGCETDRSFSEYSDDRKVDSVVPSTFDVPMTKETTPKEESKAGHSDLSKLSNPRMMFKLGGNSTHLITPAEILSGAIPSSEGSRANQRIIEDVKLQNITTGDNIDGSDLEVKVVGEAREGRSGQQELDSQKVPPDYPDENKEISPETSLADFEVDNECSILTENSFEEESHPGEDTAIPGSKKHLPSTVEEVPDDTKDTTEEVTGSAVTAASQSLAADKGKKQKENKHQMLSPSSPSSSPFNSTDALNEPGSSANVPSADATFPQMPAMREMLNQIMSMQKEMQKQMGVMVAAPIAKEGKRVETMLSRSMEKIIKANADALWARIQEENAKHEKFEKERMQQMTNLITNYVNKDLPTILEKALKKELSAIGSTVARAITPVISTTIAESFQRGVGDKTVNQLEKSMSSKLETAVARQIQTQFQTTGKQVLQDSLRSCLESSVVPAFDNSCKVMFEQVENAFQKGMSEHTASAQQQLEAANTPLALTLREAINSASSITQNLTTELIDGQRKLLALVAAGNTKALSPLAMQQSNGSTPGLPEIVGAPVDPTKELSRLISERKYEEAFTMALQRSDVSIVSWLCTQVDLRAICSTVPLPLSQGVLLALLQQLACDLNNETSRKVGWMTDVAVAINPTDPMITMHVRPIFEQVYSMLGHQRALPTTAASETTNIRLLMHVINSVLMTCK >Ma06_p28450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30136286:30140937:-1 gene:Ma06_g28450 transcript:Ma06_t28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLEHDYIGLSEGPDKLSSANLKDTELRLGLPGSDSPERVDGRGTGLTLGLPKNFVSGSKRGFSDAIDEPREWGLTGVNRSEVEQGKGGVSFSAKGENAGGKPTIEGKDDGGAAKVAPLAKAQVVGWPPIRSYRRNTMAANPSKNKEDAEGKQGVDCLYIKVSMDGAPYLRKVDLKTYANYKELSLALAKMFTCFTIGQCGAHGMSSRETLTEGRVMDLLQGSEYVLTYEDKDSDWMLVGDVPWDMFTDSCRRLRIMKGSDAIGIAPRAMEKSKSQN >Ma11_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7446757:7448088:1 gene:Ma11_g09270 transcript:Ma11_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSPEHQVAGHRAQDGQLGPLVDGCGIFYKPLQGDGRGDAEVAFYTSLFSHPAVPPHILSFFPGFHGTLVLPASDASGPRPHLALDDLLAGLRSPSLIDLKIGGRTWPPDCPEDYFQKCIVKDRESTSVALGFRVSGVQIQDPAGADAFWRPSRAAVRRYMVEDVRRVLRQFVSANPPSPDQKPDCALASAVYGGPDGVLAQLMELKAWFEEQTLFHFYSASVLLVYEKDAAVAAAGQQAGKSSGVRMKLVDFAHVMEGQGVIDHNFLGGLCSLIKFMSDVLNDPEAGGRESIKVQLSCEDGSLENLGI >Ma03_p31520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33719042:33721278:-1 gene:Ma03_g31520 transcript:Ma03_t31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADLVLSLLLLFSSLPSSIVAKDDPGHVNVSVQADYVVARTDGNFICATVDWWPPEKCDFGDCSWGNTSIKNLDLSNKILRNAITAFGTMRVRLGGTLQDQVKYTSKPCHEFKAADGDSNYEFIDGCISLNRWDKIHEFFNTTGAFITFGINALHGRVKGHDGVYTVAEAPALQCMHESLSFSDLASSSLRQGNELCGNGGKSNFLVDGNQYGLDLIAFKAMLIDEYAKFGGPPKVAAPGGFYVEDWYKNMLSTSGYGVVDIVTHHVYNLGSGGDGNLVDKVTDPKVLDNIVPTYNEVVGTIKSAGPWSSAWVGESGGAHSSGGKGLSNTFANSFWYLDQLGMVSRHHHKVFCRQTLIGGNYGLLDHDTFVPNPDYYSALLWHRLMGTGVLEASHDGHAHLRSYAHCSKNTPGGVTLLLINLSDSITFEIEINGGTKSYEREIRSRPLAKDDKDQSNREAFHLTPKDGDIQSTVMLLNGKELMLDGKNSIPALDPMVVEASDPLRIAPRSIAFVKLKDFNAAACR >Ma07_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1219299:1220634:1 gene:Ma07_g01570 transcript:Ma07_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIQKRNSLMEEVPAAALRPRRYTDFKPQHRWFSDEKCKSLIVELPGFEQEKLILLIDTCHRLKLKGERHIEGDRWSRFDMVVRAPKHYNTGLVTAKFDPDNGLLCVTLPDSASKPPPAPATECSDRRRRRLQPKASFAVHYKLNKAWERLCKLFCLRIEVNDSFKRVDSVKR >Ma05_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3991044:3992448:1 gene:Ma05_g05230 transcript:Ma05_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLRSLVGALKDTASLSKAAATAAALSPSSAAQLAVLRATTHHPADEPPHPRHIQALLSFGHGSRLSAASAAGVLASRLRSTGDPAVAFKCLLALHHLLARGAFILRDQLPPALLRHPASGRNPLVLAAFRHGSSSAASWALASWVRWYARLLELLLSASVLLVSFPTAHRPFAKPDDDDRERVTSLLDQDLISELDALVGIVEEMAGVPEMVAVEGSRLVAEAVRLVEADRVAAEHEIEIRVTEMEGRLGSLRFADSVELVCLLRRLENCRYRPWDRKPTVGDWFWAGVRDLMDRAEKVVLRKEEEERRVKREKASASARASDRIPVGSNQAVRFGSTRWADR >Ma00_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1762286:1763798:-1 gene:Ma00_g00580 transcript:Ma00_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSSRSNPPRPRKRVEAETASTLKRARDGSAFTRCEACKKDVAVVLIDMHSCSLDSKIRMTLESQVVEKAVEAKKPVEKKRAVSSEGKERKAKKGKKSKDSSAPKRPATAFFLFMEDFRKTFKEANPDNKSVAVVSKEGGEKWKTMSDEEKKPYIERAAELKEEYAKAVEKHDQEDEEEEKEGSSKEEEEVEEENEA >Ma08_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5050753:5054851:-1 gene:Ma08_g07350 transcript:Ma08_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGSLHSGRSFTDRRWLLPFFASLLVSFTLFMATIFGLFSSQNSGDSLSLDVVTFTGLDDSELYSVELDRKKTVEQPVALGNEAPRIAYLITGTKGDSQRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKSDPLFSEVENVRVIAKANLVTYKGPTMIACTLHAIATLLKESLRWDWFINLSASDYPLMRQDDILHVLSSLPRNLNFIEHSPIAGWKLTQRARPIVVDPGLYLSKKFDVLVTSERRELPTPFKLYTGSAWVMLSRTFLEYCIWGWENLPRIVLMYYVNFISSPEGYFHTVICNSDEFRNTSISHDLHYISWDNPPKQHPLYLSTKDFNKMVKSGMPFARKFAKGDPVLDKIDRELLGRSKGEFTPGGWCNQGSDEAERCSSRGDDSKFLPGPGAERLQQLMKKLMSEEFRNGSCSSLQYDQTKRGWITS >Ma08_p07350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5050753:5054851:-1 gene:Ma08_g07350 transcript:Ma08_t07350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGSLHSGRSFTDRRWLLPFFASLLVSFTLFMATIFGLFSSQNSGDSLSLDVVTFTGLDDSELYSVELDRKKTVEQPVALGNEAPRIAYLITGTKGDSQRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKSDPLFSEVENVRVIAKANLVTYKGPTMIACTLHAIATLLKESLRWDWFINLSASDYPLMRQDDILHVLSSLPRNLNFIEHSPIAGWKLTQRARPIVVDPGLYLSKKFDVLVTSERRELPTPFKLYTGSAWVMLSRTFLEYCIWGWENLPRIVLMYYVNFISSPEGYFHTVICNSDEFRNTSISHDLHYISWDNPPKQHPLYLSTKDFNKMVKSGMPFARKFAKGDPVLDKIDRELLGRSKGEFTPGGWCNQGSDEAERCSSRGDDSKFLPGPGAERLQQLMKKLMSEEFRNGSCSSLQYDQTKRGWITS >Ma03_p31730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33835201:33836577:-1 gene:Ma03_g31730 transcript:Ma03_t31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRRLLLVLVLFLAFFSARSQSFIGVNYGQVADNLPPPSATASLLQSTTISKLRLYGADPAIIRSLSGTNISLVLGVPNADIPSLASDPSAAASWAAANVLPYVPATSISLVAVGNEALDSGDAALASQLLPAMRNLGSAVAASGVKVSTVHTMTVLAHSEPPSSGAFRPELSADLTGILGFLRDTGSPFMINPYPFFAYRSDPRPETLAFCLFQPNPGRFDAGSKLMYTNMFDAQVDAVRSALDGLGFPGVEIVVAETGWPYRGDPDEVGTTVENARAFTGNLVAHLRSLVGTPLMPGRSVDTYIFALYDEDLKPGPASERFFGLYRADQTMNYDAGLVKSASSSYTSPSPPAATTGSTPPAATTGRCVPGATPHTSADGGPTQPEEQCHSPDAVGSRAAVGADRLFFLSVALTLLL >Ma05_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36173027:36179026:1 gene:Ma05_g23990 transcript:Ma05_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLAVCSWRPAPTASVLSSRDPVRGIGDSSAAEDPTTVLDRSSRSVFQSPLLGAVRGYVSEIIVPRHQDLGLADLPATVAAVKNPSSRITYDEHNHERYPPGDPSKRAFAYFVLTGGRFVYASLLRLLILKFVLSMSASKDVLALASLEVDLSNIEPGSTVTVKWRGKPVFVRRRTADDIKLANSVDVSSLRDPQVDSDRVKNPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPFNLEVPQYSFLEENKLLIG >Ma09_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1001018:1002189:1 gene:Ma09_g01360 transcript:Ma09_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTDGSPRRSSSASSSPEFEFWAVGKPPQQLLTADELFADGVLLPLHLLSLRQPNRETDAPPGSQPEPEPAPDPSPPRPPPAADIAASISCSPASGSKRWKDIFRVGEKKAAEEKERRKERKAGAGAAELNINIWPFSRSRSAGSATAGGGRPRASVSGRRVSSAPCSRSNSRGESSKHPAAGGRRWAASPGRPGGVPVGRASPVWQIRRPELRDRDKVTGGKRASGPGLRVLNMNVNTCIGYRGQRSCRGDDKDGVTARARTAGDGGGTLFSLKALFTKKVY >Ma02_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25101431:25102932:1 gene:Ma02_g18680 transcript:Ma02_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSFPEAAPGDLKFVQGQFQDFNGKVKLQLFPIDEATRKALEKNNHNPYLELTLTTRKRISSVVKHLNFKWGSSKLASGELMLFPYNVYLDNLANSIRWTLEDSDVTAADVHASLGSPAMFRLRYGWFSNLEQTACPTSMTSHSYEEPNKSFEKHITSGDKLLCKSSKGHGPCHMDDSANQAVETHLLLEKTIQNGVDQKNNSRSVNMSWVDCLSNMSFGAILSEVSETPVNLCHPLPAPNSSLQQIPMTCDSFDAAIASVMARHQPSNPSNRLIHSSILEAEETCHAFPFAKVASSSHNHPASTRDPPATENCSDMT >Ma04_p08920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6370845:6375311:-1 gene:Ma04_g08920 transcript:Ma04_t08920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAPNTTPRPTSTSSIVFIVMFRRSSSILLLVNPAHHLRSATPTSSLYPTHSSKPICSSAGRIADLLEILAPLDHVFRGDFHVKGYVNSLRKCLRSGDHRMVSSLHARLITRGFDSDLLLCNVLMDMYAKVGLMGCSAKLFDGMTKRDLISWCTLISGFVRCGCILEAYGAFRLMQRAGWCPNHFVISSVLNACSASGILEMGVLVHGLVIKRGLGLDRFVEVGLVGVYAKCGDLDDALKVFYEIPVKTPVAWNAMISGYFFNGFLIHALELCRDMCRVGFVMDLVTLRVVTAAASALQILELCRNLHVYSVKVGKDADSFVVAELVKLLTALGEVDYIRKLHRKIRKPDATLYSLLISGYHLHGYREEAVKLAEELLTLNLSLNEGALVAILNLCSCKEDGTQIHANFLKSGHLSCLPVGNSLISMYVKFGDMVNAHITFNSMQIHDVVSWTAIIAGLIQNLQFAEAIEVFCAFRTSGILLDQHSVVTVVNACTGLRDVDKGKQIHCLALKLGFELSVFTSASMINMYAKCGNINSAVRLFSCISLPHSLILINVMLAGYCWNFQPEKAIDLFVRKHHLGFVPDQFSYSTILSACADMQLRRVGEQIHCCVAKSGFFSDVVTGNALVKLYVKCGCMASACKFFYNMKSWNAYSYAILVLGYVENRGSTAAHQVLFQMQQSGLHVKPVSFGKILRSCTDSAAIDLGRQIHASIVKMGLDSDVDMGNALVGAYAESEKTHNFREVTDDMSVREEGMPDYVFAGCLEDAIVRGKNLKAFGLYELEKVKKGTYSYECLVNPYLSAFATCEMYQNSALPHLDNEHTKYRIDDNSSDMIEDILESRVLLPNSIDNAYAKKVHRPSELHELINLEKSLGQCSYTGLVR >Ma04_p08920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6370845:6375311:-1 gene:Ma04_g08920 transcript:Ma04_t08920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAPNTTPRPTSTSSIVFIVMFRRSSSILLLVNPAHHLRSATPTSSLYPTHSSKPICSSAGRIADLLEILAPLDHVFRGDFHVKGYVNSLRKCLRSGDHRMVSSLHARLITRGFDSDLLLCNVLMDMYAKVGLMGCSAKLFDGMTKRDLISWCTLISGFVRCGCILEAYGAFRLMQRAGWCPNHFVISSVLNACSASGILEMGVLVHGLVIKRGLGLDRFVEVGLVGVYAKCGDLDDALKVFYEIPVKTPVAWNAMISGYFFNGFLIHALELCRDMCRVGFVMDLVTLRVVTAAASALQILELCRNLHVYSVKVGKDADSFVVAELVKLLTALGEVDYIRKLHRKIRKPDATLYSLLISGYHLHGYREEAVKLAEELLTLNLSLNEGALVAILNLCSCKEDGTQIHANFLKSGHLSCLPVGNSLISMYVKFGDMVNAHITFNSMQIHDVVSWTAIIAGLIQNLQFAEAIEVFCAFRTSGILLDQHSVVTVVNACTGLRDVDKGKQIHCLALKLGFELSVFTSASMINMYAKCGNINSAVRLFSCISLPHSLILINVMLAGYCWNFQPEKAIDLFVRKHHLGFVPDQFSYSTILSACADMQLRRVGEQIHCCVAKSGFFSDVVTGNALVKLYVKCGCMASACKFFYNMKSWNAYSYAILVLGYVENRGSTAAHQVLFQMQQSGLHVKPVSFGKILRSCTDSAAIDLGRQIHASIVKMGLDSDVDMGNALVGAYAESEKTHNFREVTDDMSVREEGMPDYVFAGCLEDAIVRGKNLKAFGLYELEKVKKGTYSYECLVNPYLSAFATCEMYQNSALPHLDNEHTKYRIDDNSSDMIEDILESRVLLPNSIDNAYAKKVHRPSELHELINLEKSLGQCSYTGLVR >Ma04_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6370845:6375311:-1 gene:Ma04_g08920 transcript:Ma04_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAPNTTPRPTSTSSIVFIVMFRRSSSILLLVNPAHHLRSATPTSSLYPTHSSKPICSSAGRIADLLEILAPLDHVFRGDFHVKGYVNSLRKCLRSGDHRMVSSLHARLITRGFDSDLLLCNVLMDMYAKVGLMGCSAKLFDGMTKRDLISWCTLISGFVRCGCILEAYGAFRLMQRAGWCPNHFVISSVLNACSASGILEMGVLVHGLVIKRGLGLDRFVEVGLVGVYAKCGDLDDALKVFYEIPVKTPVAWNAMISGYFFNGFLIHALELCRDMCRVGFVMDLVTLRVVTAAASALQILELCRNLHVYSVKVGKDADSFVVAELVKLLTALGEVDYIRKLHRKIRKPDATLYSLLISGYHLHGYREEAVKLAEELLTLNLSLNEGALVAILNLCSCKEDGTQIHANFLKSGHLSCLPVGNSLISMYVKFGDMVNAHITFNSMQIHDVVSWTAIIAGLIQNLQFAEAIEVFCAFRTSGILLDQHSVVTVVNACTGLRDVDKGKQIHCLALKLGFELSVFTSASMINMYAKCGNINSAVRLFSCISLPHSLILINVMLAGYCWNFQPEKAIDLFVRKHHLGFVPDQFSYSTILSACADMQLRRVGEQIHCCVAKSGFFSDVVTGNALVKLYVKCGCMASACKFFYNMKSWNAYSYAILVLGYVENRGSTAAHQVLFQMQQSGLHVKPVSFGKILRSCTDSAAIDLGRQIHASIVKMGLDSDVDMGNALVGAYAESEKTHNFREVTDDMSVREEGMPDYVFAGCLEDAIVRGKNLKAFGLYELEKVKKGTYSYECLVNPYLSAFATCEMYQNSALPHLDNEHTKYRIDDNSSDMIEDILESRVLLPNSIDNAYAKKVHRPSELHELINLEKSLGQCSYTGLVR >Ma09_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29389039:29394418:1 gene:Ma09_g20450 transcript:Ma09_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMMRRHASQASPERSKVWTEPALKQRLQERQRGGKIPVVYYLCRNRHLEHPHFIEVPLSSPEGLYLRDVIDRLNVLRGKGMAAMYSWSSKRSYKNGFVWHDLSEDDLILPSQGNEYVLKGSELLDQTPPDRNSHGISNGMTQNLKYPMQEPPAICYKGQEASCSSSSAMIMIREPKLPPPSPKQPTPPHSPAAQGYVLCPSACRSGSLGNFSPKPGARTSPPSALGSPNSMEYRICKPIGAQDASTQTDDRGSMSHGSITRIVGVPTDDRPRNEQTMCSKEELETKNVERSPPLTLPSDPSCGKMNTLESLIRDEVSRRNNFRIMEAEEVFLPNRSKFKATNMLMHLITCGSTSVKDHYGLGFMPTYRTRFTGTSFSSPLSANSMVLGGINGLPEGRREIGVSLKKKGHFSGSMIETNKYKEEIVEGVSSLKRSSSFGEERNHNMPHSRRNKEKEADSAQLKCLPSTMKISSCTQSRDNQNGTLMSQISEIMGNSSGKFSPLNSSYGENERIIDKGSSVRSEFYREEKEKVIKIEERLKSGARFIIESRSLGDDIEDTSE >Ma05_p28250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39323701:39324273:1 gene:Ma05_g28250 transcript:Ma05_t28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPLTSVKLSFSHITPVFSPPDPPEVPPPPAPADPPPAPPPPVQEPPTPPPEPAPQLPPTPPPGVPPTPISPAPLVLPPAGTTSQSAHDVIIIAVFFSLGGLLFLAFFTAALICYVRKAKKKMAAKRQAVDVHQAAVRGPHRQQPAAPTAIDDDNEIHELMEEGRVIGETSLREPARDAIFEQWGRRR >Ma07_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4881682:4891618:-1 gene:Ma07_g06800 transcript:Ma07_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MEANVASSSSSTFASRSLLLAVCGARINISKKNANPHHHRYSFPVRNPPGCPLVLWKLKAHSVNVGNFAVPLQCAAFGSKRRTKFYKTIRGAGETLLSSSILQTSWGLKKIHAWYVPSPFSFGNLVGVHAKIQTLISRKKEFKHNICIFFTRVVITAMLAISLSVTINKSPAWALTEENLLFLEAWRTVDRAYVDKSFNGQSWFRYRENALRNEPMNTREETYRAIKKMLSTLDDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPLVLNGSPTGLVVVSSAPGGPADKAGIVSGDIILAIDDESTEDMDIYDAAERLQGTEGSSVKLVIHSGPEIKDVVLRREKITFNPVKTRLCEITRSGAEKSRIGYIKLTSFNQNASGAVKDAIETLRSNGVKAFVLDLRNNSGGLFPEGIEIAKIWLDKGVIVYICDNRGVRDIYEADGSNTVAASEPLTVLVNKGTASASEILAGALKDNRRAVLYGEPTFGKGKIQSVFELSDGSGLAVTVARYETPAHTNIDKVGIKPDHPLPTPFPTDEEEFCSCLKDPSSPCNLSSSQLFSR >Ma09_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19700977:19704006:-1 gene:Ma09_g19070 transcript:Ma09_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGDRGGFGRGFGRGRGDRGRGRGDRGRGRRGGRREEEEKWVPVTKLGRLVKEGKITSLEQIYLHSLPVKEHQIIDTLLGGRLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDTDGHVGLGVKCAKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLMKTYGFLTPDFWMETRFSKSPFQEYTDLLAKPTKAIILENTERVE >Ma08_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7950052:7965272:-1 gene:Ma08_g10840 transcript:Ma08_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSGMGESDFAVSLSAPPPTTTAADGEPSVEKKGEPSPSYPPARLPSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLETNLFKLMLRRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSTPVWVRDFDSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMEEENKDSHTKDRESGTLVEDSAKPVENILDNATEQSQSASASDAENTMTYSVTEGTVDERDASQTEVTNCSRATSVAEIASGPQGEVHKDSELQSSNSYKKEKSATEPIIVPIVLKMSEFDHKALLEEWITTRTVKDKCLVQKTHLMVCE >Ma08_p10840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7944710:7965272:-1 gene:Ma08_g10840 transcript:Ma08_t10840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSGMGESDFAVSLSAPPPTTTAADGEPSVEKKGEPSPSYPPARLPSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLETNLFKLMLRRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSTPVWVRDFDSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMEEENKDSHTKDRESGTLVEDSAKPVENILDNATEQSQSASASDAENTMTYSVTEGTVDERDASQTEVTNCSRATSVAEIASGPQGEVHKDSELQSSNSYKKEKSATEPIIVPIVLKMSEFDHKALLEEWITTRTVKDKCLVQDQNKLISNLKIIQEYLCSFESQGMTVVNISATTFPQTLDRLHSYLLQCIEQGVSAVTSRSSRSEKN >Ma06_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12872658:12872819:-1 gene:Ma06_g18830 transcript:Ma06_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAVEVFRHSDGWNGSNSRCCQQRSAAKVADIPFSFYFLSARFCSSYSFSL >Ma10_p15900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27828498:27833918:-1 gene:Ma10_g15900 transcript:Ma10_t15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDKGEDNFFDAYDEIRTSIDSSSSENSFVASQELELRTLEYELWTREPMSVQDRRKRFFRGMGFDEIAPSPIVCSVDSVGCSMCTEESTVDLSVNQRDMERIMESSGPVSNGMSSPDVEASEDSLCCIRDLDSGRKFVVHELGQDGLPSTLKEVGSGKLITLHEFEDLLGLSWSAQKLLRREAAASGEKTVCSLDPKKNKYLNWWRSFRKRRQCVGASNYDISVKKSKLTRLIGTRVHRYRKSCKDLTALYMGQEIQAHKGLIRTMKFSPTGRYLASGGEDCVVCIWQIIQVESSCTCVAPEGSSTFVGKIKDTKLVPGKDSNLAPVLIPKKIFKIEETPLQELRGHTNDILDISWSKSNYLLTSSKDKTVRLWKVGCDGCLKIFQHKDYVTCIQFNPIEDRFFISGSIDGKVRIWEIPENRVTDWVDTKDIVTAICYQPDGKGFVVGSIKGDCRFYGCSAKMIQLDLQLSLCSKKKSSGKRITGLQFCPEDSRRIMITSADSRIRICDGVDVILKFKGHRKAKSQLSASFSSDGRHIISVGEDSNVYIWNYNGSGNLPCIGAKSIHSSEFFFSRGVSVAVPWPGVGYRETDVGNNTQISSLPHKILEPFPWLKNSDCFSLGTWLFSDSSSKMSATWPEEKLPSQTKPKIQPDHSCGRSSHLHHDYWSLAHMAGTWNLVIVTAGSDGTIRSFHNYGLPVQL >Ma10_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27828498:27834893:-1 gene:Ma10_g15900 transcript:Ma10_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDKGEDNFFDAYDEIRTSIDSSSSENSFVASQELELRTLEYELWTREPMSVQDRRKRFFRGMGFDEIAPSPIVCSVDSVGCSMCTEESTVDLSVNQRDMERIMESSGPVSNGMSSPDVEASEDSLCCIRDLDSGRKFVVHELGQDGLPSTLKEVGSGKLITLHEFEDLLGLSWSAQKLLRREAAASGEKTVCSLDPKKNKYLNWWRSFRKRRQCVGASNYDISVKKSKLTRLIGTRVHRYRKSCKDLTALYMGQEIQAHKGLIRTMKFSPTGRYLASGGEDCVVCIWQIIQVESSCTCVAPEGSSTFVGKIKDTKLVPGKDSNLAPVLIPKKIFKIEETPLQELRGHTNDILDISWSKSNYLLTSSKDKTVRLWKVGCDGCLKIFQHKDYVTCIQFNPIEDRFFISGSIDGKVRIWEIPENRVTDWVDTKDIVTAICYQPDGKGFVVGSIKGDCRFYGCSAKMIQLDLQLSLCSKKKSSGKRITGLQFCPEDSRRIMITSADSRIRICDGVDVILKFKGHRKAKSQLSASFSSDGRHIISVGEDSNVYIWNYNGSGNLPCIGAKSIHSSEFFFSRGVSVAVPWPGVGYRETDVGNNTQISSLPHKILEPFPWLKNSDCFSLGTWLFSDSSSKMSATWPEEKLPSQTKPKIQPDHSCGRSSHLHHDYWSLAHMAGTWNLVIVTAGSDGTIRSFHNYGLPVQL >Ma03_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14790764:14795334:-1 gene:Ma03_g15010 transcript:Ma03_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAATAAVVDPANSSKGGKQPRRGVVSRLWRGIFGADDDFQKKLEHLSKEEASVHARLKRRAKSSRKMTRNIIVSSVILEVVAVTCAIITTRSVDLDWKMRAIRVLPMFVLPGLSTIIYSALVSFTRMLDDKDQKTLERLRAERQAKIDELKEKTNYYNTQQLIQRYDLDPAAKAAAATVLASKLGADSGLKVHVGDESTSSTLGKSNDVELVQSSGLHNRKPMHRRGHSTGSSTTSQIIDKTLNEYVADTQEIGSPNQRVVEHFRGSALDDGGWLARVAALLVGEDPTQCYALICAHCHMHNGLAKKEDFAYITYYCPHCHTLNGGSRHSEEELGSSSGKDTPTSSLDGDAISRSKNNANSITRNAVVSSLATVEEIPGGGTDENVLDKHGSGSPASAQEIPEEVDEKELGKHSS >Ma03_p15010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14790764:14795334:-1 gene:Ma03_g15010 transcript:Ma03_t15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAATAAVVDPANSSKGGKQPRRGVVSRLWRGIFGADDDFQKKLEHLSKEEASVHARLKRRAKSSRKMTRNIIVSSVILEVVAVTCAIITTRSVDLDWKMRAIRVLPMFVLPGLSTIIYSALVSFTRMLDDKDQKTLERLRAERQAKIDELKEKTNYYNTQQLIQRYDLDPAAKAAAATVLASKLGADSGLKVHVGDESTSSTLGKSNDVELVQSSGLHNRKPMHRRGHSTGSSTTSQIIDKTLNEYVADTQEIGSPNQRVVEHFRGSALDDGGWLARVAALLVGEDPTQCYALICAHCHMHNGLAKKEDFAYITYYCPHCHTLNGGSRHSEEELGSSSGKDTPTSSLDGDAISRSKNNANSITRNAVVSSLATVEEIPGGGTDENVLDKHGSGSPASAQEIPEEVDEKELGKHSS >Ma07_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30356133:30356288:1 gene:Ma07_g22380 transcript:Ma07_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIVGNDSPISFLLAIATGSWVSNVIIIHDAAQDLKLRLIDFINHHTKHT >Ma05_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33086150:33087441:1 gene:Ma05_g21420 transcript:Ma05_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTVLAFFVLSLSILSATACDRCVHHSKAAYSPSASALSVGACGYGSMALSFNGGYIAAGSSALHREGFGCGACFQVRCKNRRLCSTGGVRVILTDLNKSNTTDLVLSPRAFTAMARDGTAQELKRLGILDVEYKRIPCEYKKQNLSIRVEESSRRPDRLVIKFLYQGGQTDIVAVDVAQVGSWNWRFMSREYGPVWSTRRAPAGALQFRMVVTGGYDGKWVWAEKAVLPAEWKTGSIYELGVQLTDIAQEGCYPCDTREWK >Ma05_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6849831:6855018:1 gene:Ma05_g09470 transcript:Ma05_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRVEAVTDEEGNGKVEAAVVDDERKKQKQPSWLRRGGSSGQIKSFAALSSSLLPAFGAGIDGNYPAIKKYVIAPYDPRYRWWQMFLMVLVFYSAWASPFELAFQQVGSGSLLIFDLVVDVFFAIDIVISFFVAYFNSSTYLLVDDRRKIAKRYLTRPWFVMDVASTVPFQIIYRVLTGKRNGGTVFGIVNLLRLWRLRRASKLFARLEKDIRFSYFWTRYVKLICVTLFAVHSAACVYYWMAIHYRVKDHTWIGSLVPDFEERSIWLGYTYAMYWSITTLTTVGYGDLHAWNTGEKVFTIFLMLFNIGLTAYLIGNMTNLIVHAATRTFLMRDTIQKVSRFASKHRLSDGLREQMMAHLQLKFKTMELQQEEVIADLPKAIRSTIAQHLFQRTVEGTYLFKGVSKEFIVQLVSEMQAEYFPPKVDIIIENEIPTDLYIIVSGAVDVLTTKNGSEKFLSTLGPADVAGEIGVIFNIPQPFTVRSKRLSQVVRISHRHFMQIVQPYSEDGKIVFSNYIQFLKELSKDLIEEVPFVPELLKQMNEHEEPLEESQDLESSMPNDAGVEGPPAAAMGPASCDLAKRVTIHGHHPDATNKPERHAAGKLIFLPDSMEELLKLAERTFEITATRVVAADGAEIEEICTIREDDHLFIC >Ma07_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10410409:10413359:1 gene:Ma07_g13880 transcript:Ma07_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRSALTRASAMAASAGPNQNALSNLAASGRSSHRLLRLAEQLRLYRPSPLSSDADETVVDDRGKVFSAMALLESAAAAAAAHLTGSGATEKLAPKRAAVLVCLFEGDRGEFRVILTKRSSNLSTHSGEVSLPGGKADEGDADDRETALREAKEEIGLDPSLVTIVAVLEPFLSKHLLRVAPVIGILPNKQAFRPAANTSEVDEIFDVPLEMFLKDENRRSEGRDWMGVNYLVHYFDYIAGNKKFVIWGLTAGILIRVASVVYRRPPSFIEQVSKL >Ma02_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28736526:28740866:-1 gene:Ma02_g23950 transcript:Ma02_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWLGFRRSSLQDSLDDCNCWFSERVVEAFGPKEKRPMGSFLSLPHSKARLLQHRKSFELEDCKRLRMSANYGSGNTRLIPCLPDEISLEILARVPRICYLNMKMVSRRWRAALSGAEVYQLRKELGRTEEWVYILTKVEDGKLAWHALDPRSGRWQRLPAMPNTQRGSLGLWPRILMDPSTKFADMVRSWLGTTDTSINMPFYGCGVGTVDGCLYVLGGLSSSSAIKSVWRYDPCANSWQESSPMTIGRAFCKTSVLDDKLYVVGGITRGKKGLTSLRSAEVYDPRTGLWAPVPSMLFSKFTMPVAAGATTYKGKLCVPQNLYYYPFYADVGGEMYDPKTNTWVDMPAGMGKGWPGKQAETSLSIGSNLSTVLNGELYALDPCDAAAEGAQIKVYDQEDDVWKAVMGRVPVHNWSNFGAPYLLVTLLGKLHVIAKEFNNETRVLRADPKHSCSSYSTWSSSSSAATIFSSRNPDKSPETERNLWEVIAAKNFGTAQFVACRVLAI >Ma02_p23950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28736526:28740872:-1 gene:Ma02_g23950 transcript:Ma02_t23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWLGFRRSSMQDSLDDCNCWFSERVVEAFGPKEKRPMGSFLSLPHSKARLLQHRKSFELEDCKRLRMSANYGSGNTRLIPCLPDEISLEILARVPRICYLNMKMVSRRWRAALSGAEVYQLRKELGRTEEWVYILTKVEDGKLAWHALDPRSGRWQRLPAMPNTQRGSLGLWPRILMDPSTKFADMVRSWLGTTDTSINMPFYGCGVGTVDGCLYVLGGLSSSSAIKSVWRYDPCANSWQESSPMTIGRAFCKTSVLDDKLYVVGGITRGKKGLTSLRSAEVYDPRTGLWAPVPSMLFSKFTMPVAAGATTYKGKLCVPQNLYYYPFYADVGGEMYDPKTNTWVDMPAGMGKGWPGKQAETSLSIGSNLSTVLNGELYALDPCDAAAEGAQIKVYDQEDDVWKAVMGRVPVHNWSNFGAPYLLVTLLGKLHVIAKEFNNETRVLRADPKHSCSSYSTWSSSSSAATIFSSRNPDKSPETERNLWEVIAAKNFGTAQFVACRVLAI >Ma07_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3016006:3023144:1 gene:Ma07_g04020 transcript:Ma07_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAESPKLIYIVVVNEGEDGRGGGSFRYTRPVLQSTLQLIGCKPRHAFKISRRAYEIVQSKCSADGSRSDAAGTSVSGLWDGSIQAEPLNLREANLQSSKGNVETRSSIPFELYKRQTTAFVTRDTFLNLVCDALSEYKYVGPNQRTDLVLACRIRERKESVTILLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGECLDPVAVAQAKAKRKAKKLAAPPHSLPKQELDGPSNQQHDDQLLDTSGEAEKIGKKQMAIEGYKAQSEMVIDSLDRLIFSWEDRKESAVVEGVHLSLNFVMGLMKKHPSVVPFMIYIANEDKHVERFAVRAKYMTLDPARNKYVKYIRNIRTIQEYLSNRANKHLVPKINNTNVDRSVALIHATVFSCLRRRLAGEELYDSTTNTVPIINEEYRKQCTANSMGSKGMFKLIQRQGSSRHLMALFNTDGSVAKAWPVESADGKIMSGNVGKNCLTIYGPLQVGKAEEVNLQFGTFGISAWPSDAGGTSHTGSIDDSKADCIDTGSRYFSSRCSSPRLSEGPAKEHKDDISVPDSEEEAEEESNDDQSDVDKNDIAEELEGSVDEGSTKSDEEFEDLAMQDSQENGYWTDDDEPTNIKKLTSEKKLTRDMSGKIVRGDLTEKKSQNLRSTHKIYTRASDPSCYHSLLAENLRSLSLKTKKHLPTNSLQSYRRSRSIPASAESGL >Ma03_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5907095:5907586:-1 gene:Ma03_g08220 transcript:Ma03_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLSHPSPGASGGRVVLSDGTVHEFERPIPAAELMLDHPRQVVAEVQLISGGSGSSAELTRPLPADHVLNPEKVYAMLPMARKKAGALSAEEVRRILASLGGTPRRSDMKDVVLTANEAVGRREWPVPEFLMRQHSSKRWKPSLLAIEEGHPMRKLPHWLF >Ma00_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:41708605:41708882:-1 gene:Ma00_g04850 transcript:Ma00_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIITLIPINKTLKSYRGRKRRKGNDNDCFEGIGLLDRFEGIGPP >Ma09_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4028335:4031866:1 gene:Ma09_g06280 transcript:Ma09_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERSPELEDERKQDSWMADLETKVGRAQEELNKFRDRLASAEVAMVDAEQALEKAKKQVSTVIRTSEVDRETLPLSLVLEQTSEPKSKPEPNDDVLEVVEPTEPVQEQNEHEEEEEVTFILEESMIFERRGEEDDSHLAVATRRKEEELKVKIRSMEEELEGSKEKAAHLAEQLAAVAGAKAALEVEMKKLRVQTGQWRKAAEAATALLAAGDGAAMDKHHGAYVRWGWPLMAGELEEDGVVGGRRKAAGFGMLGDLWKKVAQQRQAEPVSGMD >Ma08_p28650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40491334:40492695:-1 gene:Ma08_g28650 transcript:Ma08_t28650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEAHCSLVLSLFFIFLCSSAARDARDQSPSAVVVGTVYCDTCFHQEFSKFSHLISGASVAVECGDAASGRGYRKVVTTNRRGVFGVRLPPRISKHVHLIEACSVKLLESNEPFCAVASTATAAGLRLKSRRRGVHVYSVGFFSFKPLNEPELCYQKPVLEAEKQEQFAFFLPLPTITFQSSPPQGAGGFPLFRPPTLLPPNPFQPPASVLPPSPSFNLPTIPSSTPPPAWPFPRFPGVPSAFPSKTTSP >Ma08_p28650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40491334:40492695:-1 gene:Ma08_g28650 transcript:Ma08_t28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEAHCSLVLSLFFIFLCSSAARDARDQSPSAVVVGTVYCDTCFHQEFSKFSHLISGASVAVECGDAASGRGYRKVVTTNRRGVFGVRLPPRISKHVHLIEACSVKLLESNEPFCAVASTATAAGLRLKSRRRGVHVYSVGFFSFKPLNEPELCYQKPVLEAEKQEQFAFFLPLPTITFQSSPPQGAGGFPLFRPPTLLPPNPFQPPASVLPPSPSFNLPTIPSSTPPPAWPFPRFPGVPSAFPSKTTSP >Ma06_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5597254:5604671:-1 gene:Ma06_g07890 transcript:Ma06_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRNSQKLQYDLAETRNDGFGPANQTLKQSPLSSSHTNSRSAEFVASDSARHALNYSFQTGEEFSIEFMRDKAIPKRPYIPNPSRHQISSSNYVDLRRRRAISNAEPGSESDIPGLASENKAPSKKIEKKGFVETNDKSYLALTRTLSHAPAGNSSYRGFHPRYASSDPSPTGVKFLCSYGGKFLPRPSDGKLRYVGGATHILRINKSMSWEELMQRARRMYNQTFVIKCQLPGEDLDALISVHCNDDLQHMIDECTILEDSEASQRPRMFLFSSDDSDIMHFTLGTMEGDSEAQYVAAINGLEASVDTSSLVGLQSMSAGDFKKHPEFKDNNKRHNAEGPYSAIHHHDTYQSDGNGIPSSASTIETYAKPLNKLLNAHWSGTEDFSVGISSQDQNEENREAKPIVKGLSQQIDPAKENQSGHRFSSPVQQHGVSLSYLQLETPCGTSASDFETSSRHWMEILETQVSLPPDTSVSAGKSSMPQEHVQPYTSAGEVTTECSDYKPKITALVSEDSHPRVSRVSFKDQIPINQEELLIKLSNFNDQIGSHKSELDRFSHKKQQLVGLLVPVPVEDLSSESDILSIAANPLRSNPTSTRNDVKEIERCETSVSVIQRNQVGIVSIAQTPENSNCPCEPMSGSKPLTEHGACNHEEAVVPSSFHLASSQTVNARNRTSELHRKVEKPERDYTPSSLADEKNTLKKSRIWNESDMSGSRESSSFDGKIFMPDICSQDPLVEGSEATKPNSPSSSIGSILKQKENPTLLSSKLCKEEITTTVRKLDTARKHADTHLGRDAGIASQGNSSLPTMEHNDPLNYTNSQFLPDLVSNSFSKFKTSEEPSNSTTSSKHNVIIGLDMPSGESQYSLMNLFNDEFSSKDVTFMNQKQVHEEHFLTEIEGSHSGQLHFAPLEDEVMFGQKNPQIDFDEVDSSLANVGENLRTALLEYEECVSDKKEVNEPGTDVSMEVPHLRNVQIIKDEDLEELRELGSGTYGTVYHGKWRGTDVAIKRIKNSYFTGQSSQTDKMILGFWREVGILSKLHHPNVVAFYGIVKYEPGGTLATVTEFMPHGSLKHVLRRKDKYLDFRKRLLIAMDAAIGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVCDFGLSKMKLHTMVSGGVRGTLPWMAPELLYTSGNKVSEKIDVYSFGIVMWEILTGEEPYADMHYGEVIGGLLHNTLRPPVPVSCNKDWRNLMELCWAADPEQRPTFTQIASCLYSMYKASQTRASS >Ma03_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28953579:28961417:-1 gene:Ma03_g24700 transcript:Ma03_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MMLANWPIGVLNSVTYWVLPENSHSNLSSSHGRLPVPQKPLFGRALSLRLAKNWNFDAGSTKCRLCCALASEEDGSSSVASRFIEKELKFSPAFSDYVKVLESVRVDRSKDSGGDEDRYGSKQTSIAKGKSFRMKRQLHGSKDDDCEEGANVEENGLGREMFDYRRRNKDLKGGAGLTRNKSDGGWALIEGLLEKGSARRNGDMGKGKPEKNKYGSARRNGDMGKGKPEKNKYHSNSKTLRKFDSQSNVNDVGENLDNGHLENGKVRYSGRLKDMQQKERKAAWVDKLETFKGNNVKMERSNSRSLGRKFCHGRAMIAHGNHTKSNSRQLDDNNFSGDIAGDQIGHINDNHSYNSKEKKKMHEYETINCRERVYSIGNSVQRKSGEPAFTKDALKANRSYENNTSKKSFGYDLDKNNIEDESHLKMEHGERQTSSRRSRIEFEFKDDFDGQNIRVNKLVGKQTNHVDHSVKQNHFASFKISSEQDRNKWHVGMHNSNYGASKIHGKVNMDSNNITGDVDGYDFEDRAAFKTFEVFTDVRNRPRVLRMEMEERIEKLAKQLNATDINMPQWKFSKIIHSAKIKFTDHSILRIVQILGALGNWKRVLQVVEWLQSHARFESYKSRYIYTTVLDVLGKAKRPIEALNIFYTMRQGLSSYPDLAAYRCIAVTLGQAGLMKELFDVIDCMRAVPEKKFNLGPLQKWDPRIEPDLIIFNAVLNACVQKKQWEGAFWVLQQLKQQGVKPSNTTYGLVMEVMLACGKYNLVYEFFRKVEKKSIPGALNYRVLVQALWREGKIDEAILAVKDMERRGIVGTASLYYDLARCLCSTGRCQEALLQIDKICKVAKKPLVVTYTGLIKACLDSGSIENGAFVFNQMHKFCSPNIVTYNIMLKSYLNHGMFEEAKDLFQKILDGSHQIANRGDLSQKVVPDKFTFNTMIEACAQTQKWDDFESAYGQMLNHGYHFDTRRHLRMVLDAFRAGKVQVLESTWKHLVRFGRVPPPPIIKERFCIKLMEDDPVAAIACIDIHQEIDILAFSERSWLKLLNGNAHRFKSGIMLRLAIELDAFIAQTSESLPVYENLRKACEQFVAHANIIPSLPDHLEESHNS >Ma03_p24700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28953579:28961417:-1 gene:Ma03_g24700 transcript:Ma03_t24700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MMLANWPIGVLNSVTYWVLPENSHSNLSSSHGRLPVPQKPLFGRALSLRLAKNWNFDAGSTKCRLCCALASEEDGSSSVASRFIEKELKFSPAFSDYVKVLESVRVDRSKDSGGDEDRYGSKQTSIAKGKSFRMKRQLHGSKDDDCEEGANVEENGLGREMFDYRRRNKDLKGGAGLTRNKSDGGWALIEGLLEKGSARRNGDMGKGKPEKNKYHSNSKTLRKFDSQSNVNDVGENLDNGHLENGKVRYSGRLKDMQQKERKAAWVDKLETFKGNNVKMERSNSRSLGRKFCHGRAMIAHGNHTKSNSRQLDDNNFSGDIAGDQIGHINDNHSYNSKEKKKMHEYETINCRERVYSIGNSVQRKSGEPAFTKDALKANRSYENNTSKKSFGYDLDKNNIEDESHLKMEHGERQTSSRRSRIEFEFKDDFDGQNIRVNKLVGKQTNHVDHSVKQNHFASFKISSEQDRNKWHVGMHNSNYGASKIHGKVNMDSNNITGDVDGYDFEDRAAFKTFEVFTDVRNRPRVLRMEMEERIEKLAKQLNATDINMPQWKFSKIIHSAKIKFTDHSILRIVQILGALGNWKRVLQVVEWLQSHARFESYKSRYIYTTVLDVLGKAKRPIEALNIFYTMRQGLSSYPDLAAYRCIAVTLGQAGLMKELFDVIDCMRAVPEKKFNLGPLQKWDPRIEPDLIIFNAVLNACVQKKQWEGAFWVLQQLKQQGVKPSNTTYGLVMEVMLACGKYNLVYEFFRKVEKKSIPGALNYRVLVQALWREGKIDEAILAVKDMERRGIVGTASLYYDLARCLCSTGRCQEALLQIDKICKVAKKPLVVTYTGLIKACLDSGSIENGAFVFNQMHKFCSPNIVTYNIMLKSYLNHGMFEEAKDLFQKILDGSHQIANRGDLSQKVVPDKFTFNTMIEACAQTQKWDDFESAYGQMLNHGYHFDTRRHLRMVLDAFRAGKVQVLESTWKHLVRFGRVPPPPIIKERFCIKLMEDDPVAAIACIDIHQEIDILAFSERSWLKLLNGNAHRFKSGIMLRLAIELDAFIAQTSESLPVYENLRKACEQFVAHANIIPSLPDHLEESHNS >Ma04_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21489883:21496076:-1 gene:Ma04_g18980 transcript:Ma04_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNTQQNSVDSPKTPKAEIDTRAPFESVKAAVSLFGEVAFTSDRATVRKPKPPPIERALAKETQLHLAKKELNKYKEQLTNAETTRIQALGELEWAKRTVEELTNKLNSINELKELALKATEAAETQTKKLEDVSSVENTRQGGVWEQEFDNAREQYAVAITELDAAKQELRRIKKDFEISMESKLTAIEQEAEAKQLHDGNTEKVAQLSEEISAAQESLMHVKLATDQARQEESKIRIEKDAAKQSYKQALEDAEKKLASLKKEFDPEVHKNLEAKLAETTAKIGAVQKEIEDAQTSDVEFVTTVTAELDGAKEMLQKLAEEESSLRSLVESLKLELEAVKKEHTELKEKDAETESVVSNLHVKLQKCKAELEAAIVAESKATSASDDLVSALHQLSSESQNALQEAEEMKKSAEELRGEAEAARTTLDEAEKKLQVALKDAEEAKAAEARALELTKELFEKANVARASTSESGANITISKEEYESLTRKVVESEKLTEMKVAAAIAQVEAVRASENEAIKKLEAARKEMEDMETATEEALKRAEMAEAAKKAVEGEMRRWREKEQKRAAETASRILAETQMSTEALPPRPMVQSVKTAEKNEENRKVVRSTVSKKALLPNLSGIFHRKKSQVDGGSPSYLPGEKPV >Ma10_p16020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27890107:27899572:-1 gene:Ma10_g16020 transcript:Ma10_t16020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAVNSQSPSLKTYFKTPEGRYKLQYEKTHPAAVLHYSHGKAVSQLTIAYLKERPTTQASATPSIPSAGGVRSAAARLLGAGNGSRALSFVGGNGVSRPVSGSSRIGGSAGASTGSGSSLPVANFDDKGTYLIFNSADTLFISDLNSQDKDPIKSIHFSNSNPVCHAFDSEAKGGHDLLIGLHTGDVYSVSLRQQLQDPGRKLVAAHHYNKDGSINNSRCTCIAWVPEGDGTFVVGHADGNIYVYERNKDGTADCSFPAIKDQVQFAVAHARSPKSNPIARWHIYQGSINSLSFSSDGTCLATVGRDGYLRVFDFAKDQLKFGGRSYYGALLCCAWSSDGKYILTGGEDDLVQVWSTEDRKIVACGEGHNSWVSGVAFDSYWSTPNSEGTGENVVYRFGSVGQDTQLLLWDLAMDEIVVPLRCGPPGGSPTFNSGSPAAHWDSVCVVGSLQPSPSIRDVPKISPLVAHRAHVDPLSELIFTNESVVTISREGHIKIWMRPRHAESNESSSSEAVVPTASVKDRPTTSSIKNSSFSYKQSSSVLFS >Ma10_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27890107:27899572:-1 gene:Ma10_g16020 transcript:Ma10_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAVNSQSPSLKTYFKTPEGRYKLQYEKTHPAAVLHYSHGKAVSQERPTTQASATPSIPSAGGVRSAAARLLGAGNGSRALSFVGGNGVSRPVSGSSRIGGSAGASTGSGSSLPVANFDDKGTYLIFNSADTLFISDLNSQDKDPIKSIHFSNSNPVCHAFDSEAKGGHDLLIGLHTGDVYSVSLRQQLQDPGRKLVAAHHYNKDGSINNSRCTCIAWVPEGDGTFVVGHADGNIYVYERNKDGTADCSFPAIKDQVQFAVAHARSPKSNPIARWHIYQGSINSLSFSSDGTCLATVGRDGYLRVFDFAKDQLKFGGRSYYGALLCCAWSSDGKYILTGGEDDLVQVWSTEDRKIVACGEGHNSWVSGVAFDSYWSTPNSEGTGENVVYRFGSVGQDTQLLLWDLAMDEIVVPLRCGPPGGSPTFNSGSPAAHWDSVCVVGSLQPSPSIRDVPKISPLVAHRAHVDPLSELIFTNESVVTISREGHIKIWMRPRHAESNESSSSEAVVPTASVKDRPTTSSIKNSSFSYKQSSSVLFS >Ma03_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27217445:27218726:-1 gene:Ma03_g22470 transcript:Ma03_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGYVLGSADGGERGPGGVKGTRSLTDDDLEELKACLDLGFGFSYEGIPELCNTLPALELCYSMSQRFHLDDQQEQISHGDSLPFANWMISSPGDDPDEVKARLKYWAQTVACTLRLCS >Ma10_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27304382:27308677:1 gene:Ma10_g15080 transcript:Ma10_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEHDYIGLSEVPSAAVGGGEEGALNLKATELRLGLPGSVSPDRKDKVGLTLELLPRGFVSGAKRGFSDAIDGAGKWSFASGESGSEVDLGKGGGLFSPRGEAVAGASGGQLSGQGTGGKDAAAKAAGQERKAAVQVGSSVGNDRAMAPAAKAQVVGWPPIRSYRKNTMAPNPSKNKDDADGKQGLGCLYVKVSMDGAPYLRKVDLKIYKNYKELSSALEKMFSCFTIGQCGSHGIPSKDGLSESRLMDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKGSDAIGLAPRAMEKCKNRN >Ma10_p21680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31365671:31367602:-1 gene:Ma10_g21680 transcript:Ma10_t21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKGIGKRLPSPPSLPTSPSPCLFFKSLPPPSSSSLSLSLSLSPLLMAVSILLRSRIVSMFLLFNLLSSEFGFLRLGSSLGINYGQVANNLPSPEQVRLLLTSLRITKTRIYDTNPRVLTAFANTGIDLIVTVPNEAVGVMMDPRQALQWVMTNVQPYFPATKITGISVGNEVYTSDDPTLMSNLVPALLSIHSALVQLGLDSYIHVSTANSLAVLENSYPPSMGSFKPEMANLLVPFLQFLAATKSPFWINAYPYFAYKDSPAKVSLDYVLFNPNAGMVDPYTKLRYDNMLYAQVDAVIFAIARLGYGGVDVRVSETGWPSKGDPNEVGATVENARAYNRNLLLRQIRSEGTPVRPNQRLEVYLFALFNEDMKPGPTSERNYGLYQPDGTMVYNVGLAALTSSASVSLTSSATRDMRKEVGSLWYWILMFSLTFQAFMRMAI >Ma10_p21680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31365653:31367602:-1 gene:Ma10_g21680 transcript:Ma10_t21680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKGIGKRLPSPPSLPTSPSPCLFFKSLPPPSSSSLSLSLSLSPLLMAVSILLRSRIVSMFLLFNLLSSEFGFLRLGSSLGINYGQVANNLPSPEQVRLLLTSLRITKTRIYDTNPRVLTAFANTGIDLIVTVPNEAVGVMMDPRQALQWVMTNVQPYFPATKITGISVGNEVYTSDDPTLMSNLVPALLSIHSALVQLGLDSYIHVSTANSLAVLENSYPPSMGSFKPEMANLLVPFLQFLAATKSPFWINAYPYFAYKDSPAKVSLDYVLFNPNAGMVDPYTKLRYDNMLYAQVDAVIFAIARLGYGGVDVRVSETGWPSKGDPNEVGATVENARAYNRNLLLRQIRSEGTPVRPNQRLEVYLFALFNEDMKPGPTSERNYGLYQPDGTMVYNVGLAALTSSASVSLTSSATRDMRKEVGSLWKMERP >Ma10_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31365443:31367602:-1 gene:Ma10_g21680 transcript:Ma10_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKGIGKRLPSPPSLPTSPSPCLFFKSLPPPSSSSLSLSLSLSPLLMAVSILLRSRIVSMFLLFNLLSSEFGFLRLGSSLGINYGQVANNLPSPEQVRLLLTSLRITKTRIYDTNPRVLTAFANTGIDLIVTVPNEAVGVMMDPRQALQWVMTNVQPYFPATKITGISVGNEVYTSDDPTLMSNLVPALLSIHSALVQLGLDSYIHVSTANSLAVLENSYPPSMGSFKPEMANLLVPFLQFLAATKSPFWINAYPYFAYKDSPAKVSLDYVLFNPNAGMVDPYTKLRYDNMLYAQVDAVIFAIARLGYGGVDVRVSETGWPSKGDPNEVGATVENARAYNRNLLLRQIRSEGTPVRPNQRLEVYLFALFNEDMKPGPTSERNYGLYQPDGTMVYNVGLAALTSSASVSLTSSATRENGETMNSIHVMGLPLCPNTTKKKKKQRQYTSGGIPPSTILFI >Ma05_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10095515:10100129:-1 gene:Ma05_g13890 transcript:Ma05_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANQEMVVYCFDTLIAHYNSEQAPPPGFEEGQHPLFVTWKKAVNGAEPRLRGCIGTLEARCIVNGFKDYALTSALRDRRFPPIQAKELPYLECTVSILTDYEPALNYLDWEIGKHGLIIEFTDPDYNTRRSATYLPEVAAHEGWTKTEAIDSLMRKAGFNGVISESLRNRLRATRYQSTLYTMHYRDYASYVKMTRGIGPLVNGAKPVH >Ma03_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26623417:26626511:1 gene:Ma03_g21670 transcript:Ma03_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQEEEPHGFSTVVATPAFYDRPWNQSQTSNCNEMVSDANGTVSSQRAFSLSHGHSPASSQTIQNLGFHCEEGFFTYQLPVHQLHPTKITEFPDNSSFPKLNGFCKDFSSNDDCRPHEKLYVRRIDTGRQTDGLQPLPGNLSENSWCDSHGGGRESFSMGFSTAYLSHSSPRLPFLSGSSDMDLHAMDLLASARLGRSFCQTSFTGMVSLLGEDASSGFGHLPESFQGPFHHHRKMPSLASGAAEVRTVNSSWEHKPLQTEPTKPRFEQHSSFSPFKVRKEKMGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLLDQVEKLSLPYLRSSGNKRPRTMQEASNEERDEMGKRDLRSRGLCLVPLSRTSYI >Ma00_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:42799651:42803271:1 gene:Ma00_g04930 transcript:Ma00_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTEEAATPLDEADRTLYSTFRGAANSVSQLYTQSVHHRALSFHAGERHALDKLRQWILSQDEIGSRLTVADIATHIQNEMDFEVENPSTSPISEFQQQTQSTMHFMNSSAQPLFDPSSQASTGCVPPSGDSDQAKNCVFSNPLSGPARGSFQHCHLAQGCGVYANGGARNHDPNHNQELNSLRSNESSMDMNLDSPTHQSYC >Ma06_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25270647:25276362:1 gene:Ma06_g25070 transcript:Ma06_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSQRNAYSYGDALYWDARYLADGSASFDWYQRYSALRPFVRKYVPVSAGVLMVGCGNAVMSEDMVKDGYIDIMNIDISSVVTEMMRKKYTHIPQLRYMQMDVRDMSFFDDESFDCVIDKGTLDSLMCGVDATLSASRMLEEVNRLLRPGGIYMLITYGDPSVRIPRLNQPGCSWNIILYIIPRPGFQSHGGCSSQRSIMEPVPLTKCGQLPAGFVLEDPDSHYIYVCEKEHGLLGIAQRDCSSALK >Ma01_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:600800:605327:-1 gene:Ma01_g00850 transcript:Ma01_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSAAAPPSARRPTGPLLSFLLPSLRCSLNPSARISSALRREAPAKSLFLSSEVRYLRKRRGSPRGDVRTAASHLCEQERPAFERSFLSVGEAMSDDELWAAIRLRVRTFYNFNESYGIEDYKASLAKREFEGLKDRVAGKTMGFRKASCINATLPVSAYGGSIDELCSICKFSKKKGDRVVVGTLDINWCLQMPDELTGKRPEA >Ma01_p00850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:600597:605327:-1 gene:Ma01_g00850 transcript:Ma01_t00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSAAAPPSARRPTGPLLSFLLPSLRCSLNPSARISSALRREAPAKSLFLSSEVRYLRKRRGSPRGDVRTAASHLCEQERPAFERSFLSVGEAMSDDELWAAIRLRVRTFYNFNESYGIEDYKASLAKREFEGLKDRVAGKTMGFRKASCINATLPVSAYGGSIDELCSICKFSKKKGDRVVVGTLDINWCLQMPDELTGKRPEGLGADLTRAYLSNVCVAKELQRNGLGYALIGESKTVAHSWGITDLYVHVAVDNEAAQKLYNKSGFIYESEEPAWRARFLGRPRRYLLWTDLGKLS >Ma10_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16839718:16842394:-1 gene:Ma10_g05530 transcript:Ma10_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGICGKRLGLEEIFGSPAPSPPSAKRSRCALYGSEDKLSVLLRMFPSMDREVVETVLNSHDHKIDDAIKSLHALCLGDGSVSIEGVNLVLQSSDNALESVVSLQASEHKVEVSQNNSADSQPREAQNGSSWVDIFVQEMMSASDWDDVRGRAMKILEVFERNVVAQTTAAVEHEINSLKEQLQGLLRDNQILKRAVTIQHERNSDHDEKVKEVQHLKNVIGQYQEQVRALEMSNYTLKIHLQKAQGASSIPGHFHPDVF >Ma11_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22821588:22843520:-1 gene:Ma11_g17530 transcript:Ma11_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFLSTDIVGDKPILVRDFIRSALYDPNHGYFSKMSGSVGQLESSIRFNQLQGRVAYRQRLSNLYKQHEISWFTPVELFKPWYAYGIAEAIMRTANLSIPLTIYEIGGGSGTCAKCIMDYMMLNAPAKVYNNMTYVSVEISQSLAKKQLQTVGEVQSHLSKFRVERRDATDRSGWGNGDHEPCWVIMLEVLDNLPHDLVYSPNQVSPWMEVWLEKVKDSSQVSEVYKPIQDSLIAECVKIIGLDEDHAAGRNRLVSAASYILSRAFPKPRRSWIPTGCLQLLEVLHSALPKMSLIASDFSYLPEVSIPGDRAPLVSTKKDGRTTDHGNYLDAKGDADIFFPTDFWLLERIDHYCSGWSNELKTSNSLKSVKKRRTIILDTAAFMDEFGLPSKTRTRDGYNPLLDDFKNTKFYLSVPTHNMT >Ma01_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19401174:19401971:1 gene:Ma01_g20700 transcript:Ma01_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRQRSTLPQRVYEDFVPPHELLREQDDDTLVVNVAGTFFCYIFRSINTSNSSIFLENKKLFCSSFFLHIGFSKDQLKVKINRHGKLEMTGERPLTDTKWSRFHKEFQLPDRGTLDRIRAKFYNGLLEITLPKSSGMAAVQDETAEAVKQQDVKKNQESDAQKVEEDKKDQLKEPKGTEKVAGKDGDEDGEEGTERIDAGKKAAVTPASYCGGKRKLVKLKLKIGKLNSGTYQARQVILALVLTVVVSVGLGLYLHCKPSPKDS >Ma10_p26000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33886764:33889953:-1 gene:Ma10_g26000 transcript:Ma10_t26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGTTGVGLTLNTSGSFFVPSTLDHRGGGLGRHRSMGSDLNHLCSSSGGSEFTDMTRVAVNEMDFFSEERKKAFSKVENDLDLMLPSSCVKKEDLTIHTGLNLLTANAGNDESMVDNKLSPTKDHKESKTELAAMESELARVKEENQKLQEMVEQATTNYNALQKHLVALMRQQHQSNRHPPRDHEVADENVKATNQEHGGVLVPRQFMDLGAAVEADEPSHSSTASQDRPPGSTDHRLHGGSNKKEIAPPDHGSSFREEKPDHPNKAPKLTPAGVTEQAQEAIMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMASGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMSSPEGLMNPNFLARTMLPYSSSMATISASAPFPTVTLDLTHSPNAAQLQRPPTQFQVPFPGAAAGFLAPPQPASLPPQIFGQALYDPSKFSSLQSALPPSSLTDTVSAATAAITADPNFTAALAAAISSIIGGNHQAASHNDDTKSSANKTTSDGNDDDKTNNSKDDASNSASPNFPAA >Ma11_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25567386:25569233:-1 gene:Ma11_g21410 transcript:Ma11_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFYLKGLKMVSVERSFAEKHYADLSAKPFFAGLVEYIISGPVVAMVWEGKSVVVTGRKIIGATNPADSAPGTIRGDLAIEIGRNVIHGSDSIEGARKEIALWFPEGIAEWQNSLHPWIYE >Ma04_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6979109:6979916:-1 gene:Ma04_g09800 transcript:Ma04_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSHLVGSTEIREFDSDIHQANLYASSRRQSPKPPGVAAEKSSISSFVG >Ma02_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28262279:28263256:1 gene:Ma02_g23090 transcript:Ma02_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKAAVSVALPEVKLFDRWGFDGLEDTTCLFVCLFACFLSGSSEDASRIGSAGVVRRQAIVPLGTSRQLLNQCLYIGQTISKIFWSIDSYAIKKKDEIECVAKANR >Ma08_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32813081:32814161:-1 gene:Ma08_g19020 transcript:Ma08_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSSASYSSFGDVSVKSTGPCIKFLCSYGGKILPRYPDGKLRYVGGYTRVVAIGRSISFSELQVKLRELCGWGGTVSLRCQLPTEDLDTLVSVTSDDDLADLVEEYDVASRDRPSPLKIRAFLLLLLLQNQSHHPSEPTASAMSSCTRAGIFASTAMAAHEHQTVSCVTSAACCRCHLGKKEEHIKIDANGKRFLITDD >Ma03_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9258889:9260028:-1 gene:Ma03_g11930 transcript:Ma03_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSTDRSVFGRGRGMALTAEATAAAKAGYVTRRGEKGGGAAPLFRADYMFWWEQQGEFMERRQLFLRSYHFSRRQGPRERVRRTLLRVRRLVWARLRAARRLPRLLWAKLRAVLSGGGVGRRRRRSHRLGSVQFQRLRHRRSASNSSSGSSAEWSSW >Ma02_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23870775:23873892:-1 gene:Ma02_g16580 transcript:Ma02_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMEVRFHAAILPRIRLPDERYVPPLFADGGRLFLEPCVPDSAVTMPVRLCRSCGKPAPLGGIRWGGAVGDCFLSVSLSVKGSEGFVRESAGFLGKEVRRSEEAAWEGKDDGVMVVLEEHEKNRIKNENMNGRVQGVGAGAMNTTKHLWAGAVAAMVSRTFVAPLERLKLEYVVGGEQSNLFAVINKIATTQGLKGFWKGNFVNILRTAPFKAINFYAYDTYRKQLLKLSGNEETTNFERFLAGAAAGITATILCIPMDTIRTKMVAPGGEALGGVIRVFRHMIETEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKTDYLRSPEGRNRLALMKQQQGEEVNAFDQLELGPVRTLLYGAIAGACAEAATYPFEVVRRHLQMQVQANKLNAFATFMNIIDKGGAPALYAGMIPSLLQVLPSASISYFVYESMKIVLKVE >Ma01_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14326381:14342613:-1 gene:Ma01_g18950 transcript:Ma01_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISKVAKYKTTVKEPGIPGVLNMMEDVFTFTPHDPRSAMKLRVDFRNIKGHKFSKDGSKQALLNLTQDSEKGGGYIFEFNNFTERNDCRDFVGKVLGKFQALVSKQNDEPTSEKSAVSLEQLSTIEMERRMKLLREDSELQKLHKELVIGNVLTESEFWATRKNLLDDDSDRTLKQRTGFKSAMLADIRPSTDGRTNKVTFSLTPEIIHQIFAEKPAVHRAFLNFVPSKMTEKDFWTKYCRAEYLHRTKNSVAAAAEAAEDEELAVFLKHDDILADEARRKIRRVDPTLDMEADLGDDYIHLPDHGILRDGSKETADSENDLARRTLAQDVNRHAAVVLEGRALDIELGDTRAVAEALARSKQESSADTLDENSNLERQERVSRMTEIEDLQAPHSLPYAPLCIKDPREYFDSQQVNALKALGGTGAGSKTIDYSLNSEEAYSYLMHQISEVKAQGLHNPIVQSEVACKVLSGLTQQISSTRYHLGKNPQESVLDRLPKRTKDEIMLHWTSIQELLRHFWSSYPITTSYLYNKVLRLKSAMTDIYQKLKAIKDVQSDFRHQISLLVQPMLQALDAAFAHYDAEEHKHSKKNGAKPNGFVQ >Ma07_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32117743:32120095:-1 gene:Ma07_g24960 transcript:Ma07_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWLLLIFLHILCLSTSPSVLSSAFSTVAIAHFSDVTLVCALVPSLIADRRYELNCTSASSRRQWKYPARRMAYSAVAAGDGFLCGLTVPPDGSYATMRWWEFPGHGSERYGKRVYRGPAITALASGDKHVCGLIGGAHRPQCWRWTELAIPAGMSFSEIAVGRDFVCGLLGCGAIRCFGKDAAVVGKEPTGNFSMVAAGTRHVCGVSDSGKLSCWGAGAPEWDPTPFDIVSMALGENKTCVLRSNGTVLCWGKGSQPPDHLANEQFIVIQAKGDAICGVRLIDYSLVCWGNELFRKNHTVYDRVLPGTCSPIANCGCGVLPDSGTMCPSDDEGICKSCKLQLSPKPPSNPSSSQQASSNSNRGRVLLMVLGSVGFGLGLCTLLVCLAFKNRSNGRRRRPPLAAPSSQVEPTLGGLLDGANIEEVSIQFLLKVTDNFSEAHKIGSGSFGAVYRATLPGGCDVAIKRAEVPAAAATSMSRRNEQQRLRDAEQRERAFSSELALLSRINHKNLVRLLGFCRERGERVLVYEHMANGTLHDNLHRKPIAPPSPLSSWPARLRLALDAARGIEYLHAYAVPAIIHRDIKSSNILLDEEWTAKVADFGLSVTSPDDEGSVAAGTVGYMDPEYYRLRRLTEKSDVYSFGVVLLELVTGCKAIHRSQEAEGTEEEGEGSATPRNVVEMAVPWIEAEDIGRVMDRRVAPASAEEVAAVAYVGYVAAECVRAVGCDRPTMGQVVGALQRAVATCGEEKRPDRADPSQARRQVLRAHNSM >Ma09_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9264583:9266778:1 gene:Ma09_g13670 transcript:Ma09_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNASATSMNASSAKSRIQSLCQTTPYPAACLDSLKLSVSITINPSILSLALRSLQAAISQATKLSSLLSSAGRDGALVESQRSSLRDCQDLHQITAASLQRSAGLVEPDARKLADARAYLSAALTNRATCLEGLAGARGPLKDTLVDSWVAAYAHVSNSLSLVTRSGDRKGRRLSSSSSYNRRGSEGRGFPAWVRRRERRLLQDGHYGEVDPASVVTVAPDGTGNFTTVAEAVAWAPSYYDGRTIILVRAGVYEENVEIPGDKTNIVLIGDGRDVTVIRGHRSVGDGWTTFASATVAVSGQGFMARDIAFQNVAGPAKGQAVALRVNADLVALYRCAIDGHQDTLYVHSFRQFYRECDIFGTVDFILGNAAVVFQGCNIVAKRPASGQYNVITAQSRDDPNEDTGIAIQNCTILASDELASGNQVEVKTFLGRPWAIYSTTVYMESYMDGHVDPAGWVEWSGDQGLDTLYYGEYMNSGPGSATDSRVTWPGYHVMDYDDAYSFTVSQFIDGDEWLESTAFPYDNGI >Ma10_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31859605:31863994:1 gene:Ma10_g22510 transcript:Ma10_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVAALARSQMQTEARIEVVAEGGGAQRSLLSGGSVLHRHEQRQPQIETVARLLSGGVAGAVSKTCTAPLARLTILLQVQGMHSDVATLRKASIWREASRVVYEEGFRAFWKGNLVTVVHRLPYSSISFCSYEWYKHLLQLIPRLSRHRNSESADACVRLLGGGLAGITAASITYPLDLVRTRLAAQTNTMYYRGISHALYAICRDEGIKGLYKGLGATLLGVGPSLAISFSVYETLRSHWQLKRPHDSAVLVSLASGSLSGIASSTVTFPLDLVRRRKQLEGAAGRSRVYTTSLFGTFRHILGTEGVRGLYRGILPEYYKVVPGVGIAFMTFEALKSCLSGSPNER >Ma06_p33410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34052774:34057746:1 gene:Ma06_g33410 transcript:Ma06_t33410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFATTLVLVSLCFFFVFPCCRAQRGRPRLLERFARPGNRARAYQYEERYFRQSLDHFSFADLPPFDQRYLIANTGAWARPAGPIFFYCGNEGDIEWFADNTGFVWDIAPRFSALVVFAEHRYYGKSMPYGSQEKAYKNAESLSYLTTEQALADFSALLTDLKRNLSSEESPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPDTFYDLVSNDFKRESLSCFEAIKESWKVLETQGEDNDGLLKLSRDFRLCHHRNLNNTEELSDWLSSAYSYLAMVDYPYPSDFMMPLPANPIKEVCRKIDNYLDGTTVLERIFAGVSIYYNYTGNVDCFDLEDDPHGLSGWNWQACTEMVMPMSSSQENSMFPAYDFDYAAYQDQCLQDYGVRPRPRWITTEFGGHDIKTALKKFGSNIIFSNGLLDPWSGGSVLQNVSESIIALVTELGAHHIDLRASTKEDPDWLVEQRNTEINLIKGWLYDYYQEKASYYIL >Ma06_p33410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34052774:34057746:1 gene:Ma06_g33410 transcript:Ma06_t33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFATTLVLVSLCFFFVFPCCRAQRGRPRLLERFARPGNRARAYQYEERYFRQSLDHFSFADLPPFDQRYLIANTGAWARPAGPIFFYCGNEGDIEWFADNTGFVWDIAPRFSALVVFAEHRYYGKSMPYGSQEKAYKNAESLSYLTTEQALADFSALLTDLKRNLSSEESPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPDTFYDLVSNDFKRESLSCFEAIKESWKVLETQGEDNDGLLKLSRDFRLCQNLNNTEELSDWLSSAYSYLAMVDYPYPSDFMMPLPANPIKEVCRKIDNYLDGTTVLERIFAGVSIYYNYTGNVDCFDLEDDPHGLSGWNWQACTEMVMPMSSSQENSMFPAYDFDYAAYQDQCLQDYGVRPRPRWITTEFGGHDIKTALKKFGSNIIFSNGLLDPWSGGSVLQNVSESIIALVTELGAHHIDLRASTKEDPDWLVEQRNTEINLIKGWLYDYYQEKASYYIL >Ma10_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31869570:31870235:1 gene:Ma10_g22530 transcript:Ma10_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWKWATTEYSSSGPRIPGLVVDESWEDAAGRSGGCVWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQCSSLSGETTDDHRRPDPRSPPLVLHPAANPNPNSGVVPPKPAPPFSSSITRDYLTGSHLSTIRSPEDSTAPLHLLVVSESGVLGSWTDPEISDEETNCNKRRRIEPTPEGFFLAAFSGDRRYEVLDDNPVQELDLELRLGDAPKIK >Ma03_p31930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33967111:33971839:1 gene:Ma03_g31930 transcript:Ma03_t31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGEVSARHRRSRSDSDKRIRTDKLDVSVKSFHHVRMDVEEVGQAEIKKGPSPNCEVESSLKKEIQQLEKCLKDQFVVRQALENALRHKSSAIDTSIDGYIPKPTKELIREIAMLELEVVHLEQYLLSLYRRVFEQRISNVSPTAVEDVKKQQLISQSMPFPEAATHDIPFRKAESAFQSSHILLPRKSANKLCNEACPVNCQEKHGRGIHRSHSSLVPRSVCSAKGSPSAKNLARALGACHTLPLSFLKHGQDFNSGVTRLADHLGTTIADHIPETPNKLSEDMIKSMCAIYCKLSDDHVGHHCVASSPTLSFSSRNTFSPCYMKELQSPYCKREAVLDAWLENSCCAERLKDFSGPYSVMVEVSSICKHSRRSSDLDEMLQNYKLLVQRLEMVDPRSMSNDEKIAFWINIHNAIMMHAHLEYGIPGSNIKKASLLIKNTYNIGGRMINADIIQGSILGCRTHPPGQWLRLLLFSQVKIKDGDEWKTYAIKNPEPLIRFALCSGGHSDPAVRVYNPKRLFHQLESAKMEYIHATVTVRKGYKILLPRLIDFFAKDSNQSTHELLDMIKCYLPERLRLVINGCHESSCRKIIEWVPHNFSFRYLLPRELGNAQLN >Ma05_p18500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23975865:23990322:-1 gene:Ma05_g18500 transcript:Ma05_t18500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSDRTKVVVRRLPPSISQSVLMEQIDGRFAGRYDWVCFRPGKNSQKNQRHSRAYLNFKRPEDVVEFAEFFDQHIFVNEKGAQFKALVEYAPSQRVPKQCPKKDVREGTISKDPEYMEFLELVSKPLEHLPSAEIQLERKEAERAGSTKETPIVTPLMDFVRRKRATKNGPQKLSGGGKVSRRASGASAGISSPSKRSSEKRKIAASTYVIKDSTKKGSAKDKPTYILMSRRDQHLAVDKSVSVPSAVGKEASEDEFASGAIESGKSRLVLLKGKEKEVSNVSRSLVRQPSVRNSPTPTSRQNQPSGRIIRGILSKEGHVDQPYASESHPDLQTQMAKVKDKRLPRPPNASSNMKDYISHSSSLASVSDGDDKKYIDDKVAINNKHGSVSISEKYEKRTRNRDRPDRGVWAPLRRSDRSQSNDGVRPYSEAAQATNSLESIPIFQQATGKVGEVDMVVPNACVGHGSNSHTTYETSLGHGERKADLPSANRSEDMKIHGGGRVDFSSMENGSHRHVGRRGLARGLKEMDSSLNLSEGKSSKRASTVYSTHERQVWVQKSGSAS >Ma05_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23975860:23990319:-1 gene:Ma05_g18500 transcript:Ma05_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSDRTKVVVRRLPPSISQSVLMEQIDGRFAGRYDWVCFRPGKNSQKNQRHSRAYLNFKRPEDVVEFAEFFDQHIFVNEKGAQFKALVEYAPSQRVPKQCPKKDVREGTISKDPEYMEFLELVSKPLEHLPSAEIQLERKEAERAGSTKETPIVTPLMDFVRRKRATKNGPQKLSGGGKVSRRASGASAGISSPSKRSSEKRKIAASTYVIKDSTKKGSAKDKPTYILMSRRDQHLAVDKSVSVPSAVGKEASEDEFASGAIESGKSRLVLLKGKEKEVSNVSRSLVRQPSVRNSPTPTSRQNQPSGRIIRGILSKEGHVDQPYASESHPDLQTQMAKVKDKRLPRPPNASSNMKDYISHSSSLASVSDGDDKKYIDDKVAINNKHGSVSISEKYEKRTRNRDRPDRGVWAPLRRSDRSQSNDGVRPYSEAAQATNSLESIPIFQQATGKVGEVDMVVPNACVGHGSNSHTTYETSLGHGERKADLPSANRSEDMKIHGGGRVDFSSMENGSHRHVGRRGLARGLKEMDSSLNLSEGKSSKRASTVYSTHERQVWVQKSGSAS >Ma07_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31420710:31426455:-1 gene:Ma07_g23940 transcript:Ma07_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSNARACLAAEAGAALDDAVAIARRRAHAQTTSLHVVYALLLSSSPSPSPSPAAGRTAPGASSAPCSILRDALTRARSSAYSPRLQFKALELCFGVALDRLPSSSSTNRQVAEGSGGGDEPPVSNSLMAAIKRSQANQRRNPDTFHLYQQQQQSAAAAGGASSFSGVKVELQQLVLAILDDPVVSRVFGDAGFRSTDIKLAILRPPPPILRFPRAARCPPLFLCNFSAGDGFETALSPRGLVFPFATAAGQLRSDGSDENCRRIGEILARKSSGRNPMLVGVGAGEAARDFAQAVERQNWAVLPPELRGIKLVSIEKEVVELGTGGGDQLAVGTRLEELGNKAESPGAILNIGDLKGMVEGSSDCDEKESCLVSELTRLLEVYQGRLWVMGWSATYETYMKFLSKHPMLDKDWDLQLLPITSVRTGMGGSLPRPPSLMESFVPFGGFFPTAYDSKGMFSSVYPSGLHYEHCNDKYEQEVSVTLKGHSDSLDDQQNANLPFWLHEPNTVSLNDGFDIAKAKDDKTVFNANAMDLQKKWNDNSQCLHHGCQTTDTDDRPAVPGDIEPSCISNTERTCNHNSENPDDAQNQIGFGILFPISEGTKKITAASKSISLPSLLEPGDKDFFLKLEVRPSKSEQIQRESFQSLQGDDHASPSSVTSVMTDLVLGTLHEPLCNKGNPALQVQKDHSEDLPVCLPSMNVNMVKRNGPDVPVDSFSCVGHQGSPTNGTPQRVLTRSFSQVLNGCSSAYDKPSFISSSTLQKFDLSNYKSFCSSLVNKVGRQEEAISAISQAIVHCKSGERRRGACLRGDIWLSFCGPDKIGKKRVAVALAELIYGSKEDFVCIDLSYQDCVAHPKTICAQQVVNGNDVQFRGKMNVDHIAAELSQKLQSVVFLENVDKADFLVQNSLSQAIRTGKFPDSHGREFSVNNAIFILTSARIQGQTFSQTKECSSFSEETILAASCWQMKIILEPSRESISSSPRAPNVSFASSQKLRNNQVYRHSVFVCKRKLDVSHDCRIQYESLMSAKKAHKTAKVFLDLNLPVEEVDVNDNNYSSHEDYSKSETSESWMEDFFDLVDASVDFKPFDFDALADNMLKDINKIFRGAAGPDCLLEIDQKVMEEILAAAWSLEDRGALTKWFEQVLGRSFVELRRRRNLSGHSILRLVACEDAFAQDHAPGVLLPSRIIIS >Ma00_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:42210058:42210546:1 gene:Ma00_g04890 transcript:Ma00_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRSSADVLYFDAFERLGLTQGDLTPVTSALTWFIGDSISLLETTMLPITIGEEPRAKTVMTTFMVVDLPSAYNVILGRPTLNRIQVVVSTYHRTIEFPTSARVGEARNDSRESRRCYLTAIALPAKSHSTLVPEPHEAPVPQVTLEPPEPLIKVPLKRS >Ma01_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7033940:7035021:-1 gene:Ma01_g09800 transcript:Ma01_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVYNVKDYGAAGDGKTDNTKAFETAWSAACAAQGKATIVIPEGAYLLGPTIFKGPCKGIMVMQVKGQLLASTHLEDYNQYWLHFQYINGLVISGGGRFNGQGASAWPYNQCKKTNDCKPLPMNLVFSFVTNATIKSISSIDSKFFHIHVFESRNIIFDSIKISAPQDSPNTDGIHIADSTNIQVANSVIGTGDDCISIGPGCTNLTIFKVLCGPGHGISVGSLGKNAGEKDVIGLNVSNCNLTGTTNGLRIKTFQSSPSR >Ma09_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35002788:35004584:-1 gene:Ma09_g23070 transcript:Ma09_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASTPSARPLLSSSYSRRAKPPHSFASSSGASANRQPVAMTEGDATSPIGGASPARDHTSDLPDECLALVFQFLGAGDRKRCSLVCRRWLAVEGQSRHRLALDARAALLEAAPAIFVRFDAVSKLALKCDRRADSIGDEAIALIAVRCPNLTRLKLRACRAVTDAGMASVAKHCPGLRKLSVGSCTFGSSGIEAIVRGCALLEELSIKRLRGLPDTFATEGAVVGAASLRSMCLKELYNGQCLAPLIAGSPNIKTLRLIRCTGDWDRLLKDIADKVTGIIEVHLEKLQVSDRGLAVLSSCNNLEILHLVKTPECTDAGLATVAERCHRLRKVHIDGWKTNRIGDEGLIVVGRQCSNLQELVLIGVNPTARSLGLIASNCRNLERLALCSSDTFGDAEITCIASKCMSLKKLCIKGCPVSDQGMEALAEGCPKLVKVKVKKCRGVTPGCADWLMARRVGMLAVNLDVIGPTEQQEASLSESRILENNEQLIDQIGAVDLLPSSSNSRLPSWKTRIGFSTGGNLVVSALRRWSRGSSNSNST >Ma04_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1220604:1223966:1 gene:Ma04_g01340 transcript:Ma04_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKAKQIVSSNPVVVFSKSYCPYCTRVKQLLTQLGAKYKAIELDVESDGPQIQEALAEWTGQRTVPNVFVGGKHIGGCDNTMASHGKGELVPLLVDAGAVARAAYRVV >Ma09_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34577931:34579121:-1 gene:Ma09_g22700 transcript:Ma09_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCFIKRSMLKSLLLHLEVASCFQNSSNASVLRWLTNASSLAHIGNLQSLQVLHLSRNSVTGQLPETIGKLDLLQFLDISDQPLIRADAEVFRLDLSSNSLEGDITEAHFSQLLQLGIFGHISTAPSNWLPPFDASFIDMSFCHIGTRFPTWIRSQTNLRSLQLSGVGLTGKVPAWFSDMSTGIQYLSSSDNHSTGNIPSSFCTLTFLSYSSLILQQLVRKHCNKQSMVHVK >Ma09_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5136958:5145580:1 gene:Ma09_g07830 transcript:Ma09_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAELTTEEVLKMDIPWETYMTTKLITGTHLQLLRRYDKKSESQRAALLDDDGPAYVAVFINILRDISKEETVEYVLALIDEMLTANPKRARLFHDKSLSNEDTYQPFLRWLQEGNWFIQEKSCKILTLIVSARPKGQHGLVSNGESSHSKSKISAIDAVLEGLLDWLCLQLKAPTHPNRSVPLAVSCLATLLRERFVRVSFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSYYDAAIDYLATTRVMPRLVDVVKGSTKEKVVRVIVLTLRNLLPKAACGAQMIDLGLPQIVQSLKAQAWSDEDLLEALNQLEDGLKEHIKTLSSFDKYKQEVLLGHLDWYPMHKDPGFWRENITNFEENDFQILRVLITILDTSGDPTALAVACYDLSQFIQYHPAGRIIVSDLKAKDRVMKLMNHENADVTKNALLCIQRLFLGAKYASFLQS >Ma08_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33988951:33992138:1 gene:Ma08_g20160 transcript:Ma08_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAARGEKPQVTEMPENGTASETEKIEYTGWKAMPYIVGNEIFEKLGALGTITNLVVYLTAVFHLRSITTATIVNVFNGTTNLAPVLGAFLADTYLGRYATLGLASVASQLGLLIIMLTAAVSQLHPPQCDPGRLCSGPTPGQQAFLLFGLAFLVIGAGGIRPCNLAFGADQFDPRTEDGRKGIDSFFNIYYFTFNIAMMISATLIIYVQSNVSWSVGLAIPAVLMFFSCAFFFLGSRTYVKVRPEGSPFSSIAQVLVAAFRKRRLKLPDDHPVESLLDPPHLSSLISKLSYTNQFRILDKAAIVTPEDEIKPDGFAANPWQLCTLQQVEQVKCIVRIIPVWSTGILYQLAATQQQTYVIFQALQSNRHLGRSNFQIPAASFVVFPMLAMSLWIPIYDRIVAPRLERITKKEGGLTLLQRMGIGLVLSVVVMATAGVIEERRRSHALRHHQIVSPFSSLWLIPPLIILGVSEAFNVISQVEFYYKQFPENMRSIAGSLLFCGFALGGYLSSLMVTVVHRSTGRGQSKNWLAEDLNKGKLDLFYFSIAVLGVLNFIYFAACAKWYRYKA >Ma07_p09800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7336457:7338862:1 gene:Ma07_g09800 transcript:Ma07_t09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEQATSSLPSSSERSSSSAPQMEAKEGMESDEEIRRVPEFGFELAGPSTSGQEAGSGTGADRAQSAAQAGQRRRGKSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEAKLKDLETKNSELEERISTLQKENNMLRQILKNTTVSRRESSSSANGGGE >Ma07_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7336447:7338862:1 gene:Ma07_g09800 transcript:Ma07_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEEIRRVPEFGFELAGPSTSGQEAGSGTGADRAQSAAQAGQRRRGKSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEAKLKDLETKNSELEERISTLQKENNMLRQILKNTTVSRRESSSSANGGGE >Ma06_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10118493:10119408:-1 gene:Ma06_g14820 transcript:Ma06_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZPR3 [Source:Projected from Arabidopsis thaliana (AT3G52770) UniProtKB/TrEMBL;Acc:A0A178VBM1] MRTSYDSHLVGFLSWAKRGTFQFSRSEGRCGSCWEDMERLNAKLCLQNYYIMKENERLRRKAQLLSRENQALLHELREKLSNSNPNFTTVINSAPTTSEESNGSKP >Ma05_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7959516:7960178:1 gene:Ma05_g10930 transcript:Ma05_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTDGRFLPSMCPRIGSVVAREDESGGVQVKVVVTKKQLKQMVAAMGQERSARAAGHRLAASPTMEQVLRSLRRRHMKRGEKGQCRSRWRPELQSIPEEI >Ma02_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14466868:14470269:1 gene:Ma02_g03100 transcript:Ma02_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLAAPTGARSMMEEMLIAIRLRDEKPKDALPALPVRPTLRRRPPSSRNSLPMAFKGGSMAECSSSGPDPAKDKARPERREFSNGSSVKDRSEKVNHLEAEELVNYEEVPGKSIVSQSSMAGPVDEKLGHGTRNGFVEEEASKEDGQKVHPSRVDDLENLVLKTKAELRQKEEENVALLKQVQQCEKKWSLFEVKLKSMEEMYQTQIDTLKVNLAAAQNSIAAGDTVKQPLKFEGAMSAEAQTPEETPIKHHVAESTVLDGRNNVVHHLTKEFEQQKQVFEDEACVLSEVNSGQSGSVAKSIEELRNLTIRYEAWKKEYKVRLRDAKASLLKLGKPEGEKSRRRWWYKKKKRN >Ma04_p39050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36293787:36300960:1 gene:Ma04_g39050 transcript:Ma04_t39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNDNFGGVKSKNSSEDALRRWRKLCSVVKNPKRRFRFTANLSKRSEAEAMKKTNQEKLRIAVLVSKAALQFIQGITLHSEYVVPDVVKAAGFQIGADELGSIVEGHDVKKLKMHGGVDGIGNKLSTSTTNGLTTTEDRLKRRQEIYGINKFTESKVRSFWVFVWEALQDTTLIILAACAFISLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRDGFRQKISIYDLVPGDIVHLSIGDQVPADGLFISGYSLLINESSLTGESEPVCVNAEYPFLLSGTKVQDGYCKMLVTTVGMRTQWGKLLATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLAQSLVSRKYHDGLLLSWSGDDALEMLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICRNVMEVNSCEKVDDLSSYVPDSARKTLLQSIFNNTGGEVVTNQDGKLEILGTPTETALLELGLSLGGDFQAQRQETKLVKVEPFNSIKKRMGVVLQLSEGGYRAHCKGASEIILGACDNYVDPSGNVVPLDEAALNLLKSTIDSFAGEALRTLCLAYKEIGDNFSAEDKISFEGYTCIGIVGIKDPVRPGVKESVATCRAAGITVRMVTGDNINTAKAIARECGILTDEGVAIEGPEFREKNLEELMELIPKIQVMARSSPLDKHTLVKHLRTMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSIYINIQKFVQFQLTVNVVALVVNFSSACWSGNAPLTAVQLLWVNMIMDTLGALALATEPPRDDLMQRAPVGRTGKFINNTMWRNILGQSIYQFITIWYLQTQGKRLFQLDGPDTDLTLNTITFNSFVFCQVFNEISSREMEKINVFRGILQNYVFLAVLISTIVFQFIIIQFLGDFANTIPLTMSQWFVTVFLGFLGMPIAAVVKLLPVGSI >Ma04_p37360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35312388:35314306:1 gene:Ma04_g37360 transcript:Ma04_t37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSAPIAGLRSGVPGSRPIPTSCRGKLNL >Ma03_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17277094:17280363:-1 gene:Ma03_g15800 transcript:Ma03_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFSKRWQNGEISNFQYLMLLNTLAGRGYSDLTQYPVFPWVLADYESETLDLKSPQSFCKFDKPMGSQTAEREDEFRKWLGGQFDHADRLFNSVKDTWLSAAGKSNTSDVKEMIPEFFYIPEFLENRFNLDLGVKQSGEKIGDVVLPPWAKGSAREFIRKHREALESDYVSENLHCWIDLIFGYKQRGKAAEEAINVFYHYTYEGNVDIDPVSDPTMKASKLAQINHFGQTPKQLFLKPHIKRRTDRKIPPLPLRYSTNLMPQQVRQSSSSISQIVTFTEKIFIAKENSLLKSLTYNKFFSWGFPDRNSMHWSQSQFLVTGADDGVVAVWRFDKNNRLSLMRALCAHTGKITCLHVSQAYSLIETGSEDCSVILWDLTNLVFVKQLPLFLAPVSAVHVNELTGIVVMAAGIMRAVWSINGDCLVVVNTSQLPSDLILSVMSPMHSDWQDKLVRNGPPERNIEGVEHVQVDHAQSAQIPQASCYSTPSYERSEAVVER >Ma04_p22660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24933312:24939794:1 gene:Ma04_g22660 transcript:Ma04_t22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGATAKNYFVLNTGAKIPAVGLATWQHGGDLCAEAVATALTAGYRHIDCTHLYGNEVEVGKALAEAFESGAKREDLFLTSKLYCATNSHKRVENSVRVSLKSLGVSYLDLYLVHWPECSALGDATDPSWKSASEYRQFSQRLKPTWEAMESLVRMGLVRAIGVSNFNIQQISELLQFAKIVPAVNQVELHPFWRQDELVKFCQQKRIHVSAQTPLGVPISRGMATASPGLSDSGSEDEAGTPRITFRRSRSVHGPMMKLSVVVDIAERHNKTPEQVILRWGLQRGTSLLPCSLNPDRIRKNIDIFSWSLTDEEWNRMNRIEPQICLFGSGPVNTSETGFFSAAGPLQAVYEMEDDTE >Ma04_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24933319:24939794:1 gene:Ma04_g22660 transcript:Ma04_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGATAKNYFVLNTGAKIPAVGLATWQHGGDLCAEAVATALTAGYRHIDCTHLYGNEVEVGKALAEAFESGAKREDLFLTSKLYCATNSHKRVENSVRVSLKSLGVSYLDLYLVHWPECSALGDATDPSWKSASEYRQFSQRLKPTWEAMESLVRMGLVRAIGVSNFNIQQISELLQFAKIVPAVNQVELHPFWRQDELVKFCQQKRIHVSAQTPLGVPISRGMATASPGLSDSGSEDEAGTPRITFRRSRSVHGPMMKLSVVVDIAERHNKTPEQVILRWGLQRGTSLLPCSLNPDRIRKNIDIFSWSLTDEEWNRMNRIEPQICLFGSGPVNTSETGFFSAAGPLQAVYEMEDDTE >Ma04_p22660.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24933319:24939794:1 gene:Ma04_g22660 transcript:Ma04_t22660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGATAKNYFVLNTGAKIPAVGLATWQHGGDLCAEAVATALTAGYRHIDCTHLYGNEVEVGKALAEAFESGAKREDLFLTSKLYCATNSHKRVENSVRVSLKSLGVSYLDLYLVHWPECSALGDATDPSWKSASEYRQFSQRLKPTWEAMESLVRMGLVRAIGVSNFNIQQISELLQFAKIVPAVNQVELHPFWRQDELVKFCQQKRIHVSAQTPLGVPISRGMATASPGLSDSGSEDEAGTPRITFRRSRSVHGPMMKLSVVVDIAERHNKTPEQVILRWGLQRGTSLLPCSLNPDRIRKNIDIFSWSLTDEEWNRMNRIEPQICLFGSGPVNTSETGFFSAAGPLQAVYEMEDDTE >Ma04_p22660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24933026:24939794:1 gene:Ma04_g22660 transcript:Ma04_t22660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGATAKNYFVLNTGAKIPAVGLATWQHGGDLCAEAVATALTAGYRHIDCTHLYGNEVEVGKALAEAFESGAKREDLFLTSKLYCATNSHKRVENSVRVSLKSLGVSYLDLYLVHWPECSALGDATDPSWKSASEYRQFSQRLKPTWEAMESLVRMGLVRAIGVSNFNIQQISELLQFAKIVPAVNQVELHPFWRQDELVKFCQQKRIHVSAQTPLGVPISRGMATASPGLSDSGSEDEAGTPRITFRRSRSVHGPMMKLSVVVDIAERHNKTPEQVILRWGLQRGTSLLPCSLNPDRIRKNIDIFSWSLTDEEWNRMNRIEPQICLFGSGPVNTSETGFFSAAGPLQAVYEMEDDTE >Ma04_p22660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24933026:24939794:1 gene:Ma04_g22660 transcript:Ma04_t22660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGATAKNYFVLNTGAKIPAVGLATWQHGGDLCAEAVATALTAGYRHIDCTHLYGNEVEVGKALAEAFESGAKREDLFLTSKLYCATNSHKRVENSVRVSLKSLGVSYLDLYLVHWPECSALGDATDPSWKSASEYRQFSQRLKPTWEAMESLVRMGLVRAIGVSNFNIQQISELLQFAKIVPAVNQVELHPFWRQDELVKFCQQKRIHVSAQTPLGVPISRGMATASPGLSDSGSEDEAGTPRITFRRSRSVHGPMMKLSVVVDIAERHNKTPEQVILRWGLQRGTSLLPCSLNPDRIRKNIDIFSWSLTDEEWNRMNRIEPQICLFGSGPVNTSETGFFSAAGPLQAVYEMEDDTE >Ma03_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28903464:28906972:-1 gene:Ma03_g24610 transcript:Ma03_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSMVEARMPPGFRFHPRDDELVCDYLTKKVCGNSGIYGCPMMIDVDLNKCEPWDLPEMACVGGKEWFFFSLPDRKYATGQRTNRATESGYWKATGKDRQVIRGGLLVGMRKTLVFYQGRAPKGRKTNWVMHEFRMVAGADDPQKLSLEEDWVLCRVFHKSRALSGQPATEAGNGEDISSSSLPPLMNGYLTFEQVSCFSNLGPVHPASGRTHEANPSSSFARSLQARSSSAHAGGLPSLDSSDNVANYFTKLDSSNPWREAPRSSDGNVNSYMWNPF >Ma04_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24828376:24843208:1 gene:Ma04_g22540 transcript:Ma04_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRGLAAPPFDLGVRRVRSPPPLTAPPRRRTRAWGRGVGRAGVRCCCPAEKAGEWWLEPKKQGGGGGGARRTRAEALPSLPFPSPRSKRLFKQQDFSSRCSPRGPAPESRDTPPKRDTGIASEKEWGINILDEKVSESGTNEDGSTWYRESGEELGDNGYRCRWAKMGGQSHDGSSEWKETWWEKSDWTGYKELGAEKSGRNAEGDSWWETWKEVLYQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGFVLKWTDKWAETQLGTRWGDKWEEKFFAGIGSRQGETWHVSPAGERWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEGEPHYGWAEVVGDSTQLLSIQPQERPPGVYPNLDFGASERQKDDPPDEPLQQNHRFALISHYDSSQVNTSTGRFTVNYRLLLPNLQIREREEREREQWSHWISCSGSREKMRTAPAPLVLLLLVHGLASAASAAFTCNATGVAATCRSLVGFNPAQNTTYSAIASLFQVSNASLFGSNGLSLTTPADGAVAAGSTVRVPIPCRCANGTGWSDRTPVYTVQDGDVGLYNITVARFSNLTTYQDIGKANQLPDVNKIQVGQKLWIPLPCSCDEVDGAEVVHLAHVVAPGSSVEGIAMEFGTNASTLLRLNGMSDPKALMAYQVLDVPLHACSSSITNNSLDYGLRLANGSYATTANGCITCSCTATTYRLDCHQSVGQSKCPAAATCPGKLFIGNTSTSGCEVQTCSYTGYTNTSTPTGINISSTITTDRTNCGSDGGSPPGSDGGLGLRGFKWSAALVFLHMIWLSSLQ >Ma09_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2177616:2178050:-1 gene:Ma09_g03190 transcript:Ma09_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPRADEDDAELLLSLSCVATTAKTHSEPRAAVGVFECKTCSRQFPTFQALGGHRTSHKRPRTDRSASKRSVHRCFICGMEFAMGQALGGHMRRHKPTAEEYSKQTHQKKESSMAGLQIDVSDDDRIVAHTSGSYHQLLQLFV >Ma02_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28322437:28323116:-1 gene:Ma02_g23210 transcript:Ma02_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLMLRETLKREKRMEKMMHLPLVPGGPGERHKAAGLQIFDNLVANDGQYDHQNMVLKRRVDLYF >Ma10_p27720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34862360:34862530:1 gene:Ma10_g27720 transcript:Ma10_t27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCLQLILVFLQHQVIIINCPMILIQSQEKIWLVIAKFQLVEHPFAIMRRKMNLI >Ma01_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27556063:27557984:1 gene:Ma01_g23290 transcript:Ma01_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDYARFALTGPSRPQRDGSRESPSSVAYQKLLDDCILKNSSRILAFKTAPEAPASKLPQFDEPIRPQKKQQRRIPKEPERVLVIHGLLDDNVLNLLDWGSNNVLAIGLEDAVYLWDAANESTKVLQPVEDRGPITCVRWSPDCAVLAVAFGNSDLALIDPATGHFVDGMEDENQAPVLSLAWRSNSILTVGRFDGTIVDYDFRKDDMFICFYNGHRRGVCSLKWSVLSGRYLASGGQDKLVHIWDACMPVSRHHPRQRQWLHRISSHTSVVKAVDRCPTRSNLLASGGGCNDHCVKFWNTINGACLNSIDAGSEVCALLRDKNKSELLTSHGPPNNQLTLWNYPSMTRVAEVFDHSSRVLSLAGSPLGGVVASAAADETVRFWNIFETPKIIKPELPFAQFNVIR >Ma06_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1255788:1257937:1 gene:Ma06_g01530 transcript:Ma06_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRSPGSRLRPLVAPGYPSFSTSAATVEAERTIRDGPRNDWSRDEIKSIYDCPILDLLFHGAQVHRHVH >Ma06_p31080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32266447:32269285:1 gene:Ma06_g31080 transcript:Ma06_t31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQLITTSIPTTFSLSYSCPFPPRTTVSISGFKHLHHVSPISCSTRDHNQPLVDRRHVLVGIGSLYGASAALTSLREASAAPIAAPDLSACGLADLPPDATPTNCCPPSAGDATEFVIPDPSSPLRVRPAAHSVDKDYIAKFAKGVALMKALPADDPRNFTQHANVHCAYCDGAYSQVGFPDLELQVHNSWLFLPWHRCYLYFFERILGKLIGDDSFAIPFWNWDAPDGMRLPAMYVDPTSPLYDPLRDAQHQPPTLVDLDFGGIDPSSSDKQQIDHNLKVMYRQIVSNAPTPRLFFGNPYRAGDNPNPGGGSLENVPHGPVHVWTGDRSQSELEDMGNLYSAARDPVFFAHHSNIDRIWNVWKGLGSRRKDLADPDWLDASFVFYDENANLVKIRVRDCIDSDKLRYEYQDVGNPWLNTRPTVTSGVRPRVAGVAHANVVEPKFPIKLDSVVTAKVKRPKAARTKEEKEEKEEVLVVEGIELDRDVHVKFDVFVNVTDHGKVGPGGRELAGSFVNVPHRHKHDKMSKQLKTRLQLGLTELLEDLKADGSIMVTLVPRQGKGKVKVGSLKIELVD >Ma08_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12799124:12805913:-1 gene:Ma08_g14480 transcript:Ma08_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWSQRYPVAEPSDDWREGSWTVDCSCGVTFDDGEEMVSCDECGVWVHTRCSGYVRGEASFACHYCKAAARRLRFASGSASASAVGPHRRRLWAGIPLEDRVHVQGVPGGDPYLFGDLSSVFSSQLWRCTGYVPKKINFRYREFPCWEEEGKEGEENENPANRGADVQFSFSEKIIPYITVKKFDEDAKEEEANILLRSCRAKGARGLQVFGQANLDKKRKEEPGEAEDHGAKKKARTSPDKISFYAGQSEMKRSNTMKIQVKLETPDRLKTVNDESNLKMNLASQGGVSDSRKESSIDQVRGRAIYCFKQPKDESNFEGCIDKLLAIDKELALLLYQGLNSSHRVPRMPRVWQAAGLQPTSTIDSVQRKGRSFGVPKEKQSRCNERCYLQFSDLNGENRERGRMLGQKYQQSPDDLTIILASGEGGKSDLFSSFEVSEQTWSSPGDVP >Ma06_p38720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37368660:37373859:1 gene:Ma06_g38720 transcript:Ma06_t38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCVADRSPSSAGVTMAVLATAATAGEGSAARRFWISSIEEAIFASYSPFVVCLASGKLDMETFRNYIAQDVHFLKAFAQAYEMAEECADDDDAKAAISELRKAALHKLKIHDSVAQEWGIDTTKEIIPNPAILKYTEFLLAIASGKIEGGKGPGRIDTPFEKTKIAAYTVGAMTPCMRLDAFLGKELQLHLQYEGNGYPYKKWIETYSSASFEASAAQMEELLDKLCVSLTGKELEIIEKLYHQAMKLEIEFFNAQPIVQPVVVPFKKLHDASNNLVIFSDFDLTCTVLDSSAILAEIAILTASKAVQSGTDNLSALRSPSDVRDSWSAFSKQYTEEFEKCIESLLPSKQAETFDYESLCKNYEQLSYFEKQANSRVIESGLLKGINLEDIRRTGERLVLQDGCKEFFQKAIKMKGKLNAGFHILSYCWCADLIRSVFGSGSPNDLSIHSNEFNYEGSVSTGEIVRAMESPLDKVKTFRSILADVGREKEHMSVYIGDSVGDMLCLLEADVGIVMGSSLSLRRVGEQHGVSFVPLYPGLIKKQREVLREDSRVWKGLSGVLYTASSWTEIHAFVLGA >Ma06_p38720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37368660:37373859:1 gene:Ma06_g38720 transcript:Ma06_t38720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCVADRSPSSAGVTMAVLATAATAGEGSAARRFWISSIEEAIFASYSPFVVCLASGKLDMETFRNYIAQDVHFLKAFAQAYEMAEECADDDDAKAAISELRKAALHKLKIHDSVAQEWGIDTTKEIIPNPAILKYTEFLLAIASGKIEGGKGPGRIDTPFEKTKIAAYTVGAMTPCMRLDAFLGKELQLHLQYEGNGYPYKKWIETYSSASFEASAAQMEELLDKLCVSLTGKELEIIEKLYHQAMKLEIEFFNAQPIVQPVVVPFKKLHDASNNLVIFSDFDLTCTVLDSSAILAEIAILTASKAVQSGTDNLSALRSPSDVRDSWSAFSKQYTEEFEKCIESLLPSKQAETFDYESLCKNYEQLSYFEKQANSRVIESGLLKGINLEDIRRTGERLVLQDGCKEFFQKAIKMKGKLNAGFHILSYCWCADLIRSVFGSVGSPNDLSIHSNEFNYEGSVSTGEIVRAMESPLDKVKTFRSILADVGREKEHMSVYIGDSVGDMLCLLEADVGIVMGSSLSLRRVGEQHGVSFVPLYPGLIKKQREVLREDSRVWKGLSGVLYTASSWTEIHAFVLGA >Ma02_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7992782:7993771:-1 gene:Ma02_g01130 transcript:Ma02_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFCKQAQNYVETRPSYPEDLFRFIASKTPQHDLAWDVGTGSGQAAVSLARLYKRVVATDTSQEQLSFAPRLPNIDYRHTPPTLSPTDLHQHVAPPSTVDLVCVAQALHWFDLPTFYDGVNSVLAKPGGVLAVWCYTEPRVDPAVDTVFWRLYTESGRFWAAARQMVDDEYRSMLFPYDPVDGEESTGPFEFAAERAMDMGTYLTYIRSWSAYQTAKERGVELLTDEMVSDLEKAWGGDGKAVKVVRFPIFLRIGKVRT >Ma06_p27800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29688900:29691154:-1 gene:Ma06_g27800 transcript:Ma06_t27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVELRRTMLGARWLLLWAAFVSVRPEPQVPCYFIFGDSLVDNGNNNNIASLAVANYPPYGIDFPSGPSGRFTNGLTTVDVIAQLLGFDDFVPPYASTRGQALLTGVNFASAAAGIRDETGQQLGGRIPFGGQLRNYQSAVQEMVRILGDEDSAANYLSKCIFSVGLGSNDYLNNYFMPAFYSTGQRYTPEQYADELIQQYSQQLRTLYNYGARKVVLIGVGQVGCSPNELAQRSPNGVACVEEIDSAIRIFNAKLIDLVDEFNALDGAHFIYINGYGIFEDILRNPAANGLSVTNRGCCGVGRNNGQITCLPYQAPCPNRDEYLFFDAFHPTEAANIIIGKRSYSARSPSDAYPMDIRRLARV >Ma06_p34960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35031315:35031999:-1 gene:Ma06_g34960 transcript:Ma06_t34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLFPFHPCLLCLFFFFFILFLGADATSGFISIDCGASDSYTDADGIHWESDRAYISNGESRAVQSTESMATPLTTLRMFSSRKKNCYPILLPDARRILARVSFHYGNYDKKAAPPTFELQFDGNSWATVVTRMDGAVYFEAVYDVRMGRTSICVAQTIPKQLPFVSSIEVRQLENSMYDGEPTFAFFLLRRWSFGATDIVR >Ma04_p12960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9804272:9807120:-1 gene:Ma04_g12960 transcript:Ma04_t12960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPSHHFHLPHSTNSIIHLFPPPHLNHPLTPFIALMYSPRLPSAIGNMGSLKTEKTVTGWAARDSSGILSPYSYTLRKTGPEDVVIKVLFCGVCHTDLHQTKNDLGMSKYPMVPGHEVVGEVVEVGAEVSKFEVADTVGAGVIVGCCRECAPCKADTEQYCSRKIWSYNDVYTDGKPTQGGFASAMVVDQKFLVKIPPGLAPEQAAPLLCAGLTVYSPMKHFGLMETGLSAGVLGLGGVGHMGVKFAKAMGHHVTVISSSDKKRAEAVHHLGADSYLVSSDEAGMAAAADTLDYIIDTVPAFHPLEPYLSLLKLNGKLILLGVINQPLQFLTPMVMLGRKSITGSFIGSMQETREMLEFCEAKGVTSMIEVVKMDYANEAFKRLERNDVRYRFVIDVAGSNLDP >Ma04_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9804272:9806938:-1 gene:Ma04_g12960 transcript:Ma04_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKTEKTVTGWAARDSSGILSPYSYTLRKTGPEDVVIKVLFCGVCHTDLHQTKNDLGMSKYPMVPGHEVVGEVVEVGAEVSKFEVADTVGAGVIVGCCRECAPCKADTEQYCSRKIWSYNDVYTDGKPTQGGFASAMVVDQKFLVKIPPGLAPEQAAPLLCAGLTVYSPMKHFGLMETGLSAGVLGLGGVGHMGVKFAKAMGHHVTVISSSDKKRAEAVHHLGADSYLVSSDEAGMAAAADTLDYIIDTVPAFHPLEPYLSLLKLNGKLILLGVINQPLQFLTPMVMLGRKSITGSFIGSMQETREMLEFCEAKGVTSMIEVVKMDYANEAFKRLERNDVRYRFVIDVAGSNLDP >Ma03_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5866070:5866711:1 gene:Ma03_g08140 transcript:Ma03_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSWTLEIESSVEASRLFKAAVLDWHSLAPKIAPEIVVSGAVIEGEGSVGAVRQLNFSPALPFGYVKERLDFVDMDKFECKQTLVEGGHIGSKLETATSHFKFQPAAGGGCVLKVVTTYKLLPGAEDDQGETMKSKETVTGIIKAAEAYLLAHPDAYL >Ma03_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4271888:4273318:-1 gene:Ma03_g06170 transcript:Ma03_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSTFKNAAGAVHQPPLEGPGSGYLLLQDEELQPASTCCWGACKCDPDRIQQLPFPQNKILTLSYSEQRGETTDTYGSAALFIPVPNQPLSTNRYYVITAKGKHKGKAYTCSKEEDMTSCCVCQCINDVKPKEFDHRDVYQQMEIVCYKGRFTARPVASDAIPPSILRKEYWRLHQVEHEEYALGVAAGLDEALRSRLPELHAAGVVVGRWYTPFVFVKEEMDLRDQVKHSAFYEVTLEQFWEEVYACENRHGAEKVAEVKAVVRGEAAFLDGKEAKRYDTHDVDGLVWFKPLDSGGGAVKLSFPVWERMKWEQSRWGWTGDEEQKVEKIVEYGGEGGWKSLRCYVLVERFAVRRMDGSLVLMVDFRHSSHKVKCIWE >Ma07_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30393001:30393519:-1 gene:Ma07_g22460 transcript:Ma07_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSLAQTWIQDMKGSMHTLAWHPLSYNPLCRDRKRERILRTTSCDVPHIFTPSFFWSQEEHQMLVPSSCHSGLLMICLSISLLQSCNLLLINKFLSFRSTNDLFIYSTACHIYCSHAIYYSPTEKIHITAHHILASPEKILWWEYNNAANDKVLFPPHQESPFTSYTVES >Ma05_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11414571:11415848:1 gene:Ma05_g15350 transcript:Ma05_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHACKLCVRRFSNGRALAGHMRSHVIFAAGPPALPQLHRDSSASVSSDRPAATQEVVDEVEEEEKQVGVSYGLRMNPRKSFRLVDPEFSSSSSFAAVEPAGSGVVVQDLESETESPRGHRRRAKRLRRDAASPLDPEPASSVSDATPEEDVALCLMMLSRDSWADGVEEEVDPRPRPPPRRGRSRYQCGACKKVFRSYQALGGHRANHKKTNGCVPAVELRTCEADSADTNLDVKVHECPFCFRVFSSGQALGGHKRSHFITSPPTVTDNSPTSVPPPSMSPVTAATKSAGSIGLIDLNMPAPTDDDVELSAISGMDFVANPTTN >Ma04_p28820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29809066:29812678:-1 gene:Ma04_g28820 transcript:Ma04_t28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFQGNFTGIAAQCLQKLPSSNNRFTYNGDGHTFNYLVEDGYTYCVVAVESVGRQIPIAFLDRIKEDFNKRYGGGKAATARANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLERGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWMKNMKIKLIVLGIIIALILIIILSVCHGFKC >Ma05_p27760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38919330:38923462:1 gene:Ma05_g27760 transcript:Ma05_t27760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAMSSSLLLLAVRRAHASAQPPRLTRLALHPPKAVEVEFSDGSNFNLPAEFLRVYSPAVDSKIRTIGGEKVISGRRHVGIMSAEPVGNYGVRIIFDDLHKTGIYTWNYFYHLGANKFTLMRSYIKLLKKHSLSRDPQRRK >Ma05_p27760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38919330:38923404:1 gene:Ma05_g27760 transcript:Ma05_t27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAMSSSLLLLAVRRAHASAQPPRLTRLALHPPKAVEVEFSDGSNFNLPAEFLRVYSPAVDSKIRTIGGEKFILLEPGDIWPSACWHHVRRTSWKLWSQTGIYTWNYFYHLGANKFTLMRSYIKLLKKHSLSRDPQRRK >Ma05_p27760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38919330:38922685:1 gene:Ma05_g27760 transcript:Ma05_t27760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAMSSSLLLLAVRRAHASAQPPRLTRLALHPPKAVEVEFSDGSNFNLPAEFLRVYSPAVDSKIRTIGGEKVISGRRHVGIMSAEPVGNYGVRLAYTHGITFIIWVPTSSPSCEAISNC >Ma11_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2081950:2083791:-1 gene:Ma11_g02800 transcript:Ma11_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATALVPCRFALPPRVAGDCFLLFRPFPARNPSVRYSALSPWPRRPTLVSYVLKEVGKEEAHNGGYASKEDAHGGEGEREASEERMARKQSERRTYLIAAVMSSFGITSMAVASVYYRFSWQMEGGEVPLTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAISLMAYGFLNRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFDGVPYGLFLGPKELEEVGGLEELEKEISRRIKLYNNSNLSS >Ma04_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24800509:24809163:-1 gene:Ma04_g22500 transcript:Ma04_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARRTLSLVAGSLPPPHAVLDLLSVGVCVRCIFRLFGVHGRAYSCPLITNAILQSFLEEQDKFHGDNEENGVASDGIIRCAYTSRDTENVREYCSICLGILQLSLHQDREPTKRVPVDDFTAAIAELVKQEDYDIDGFSFEVSIPPVVVANERAIRLYMKNKYECEEWFSTKFLCERISVKDALRSSITASLEKHLDVISGVDSFHIRLTYSHLEASRSLQSSLANDLPCKRAKTGSKDNVVKADGSHEKPLRDSDEQSCSESDAAVLRALDGMQDHVFCERFKLPPEKTSKSCHLITTCYRVPMYVGGRYLKISRKVSQTRWVIDDERMGEASVEEIIGKNVLSVCRGDSYKFHAAGREDIDVRMLGSGRPFLIEISNARCIPSKVDIELIAEKLNRNDQKYVRVRNLTLIGSKAWTLMREGEAEKQKQYAALVWFPRPLTDDDLQKISSLENMDIVQRTPIRVLHRRSQLERKRTIHWMMIERISGCSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVVDVKMDLFA >Ma09_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8720392:8722231:-1 gene:Ma09_g12940 transcript:Ma09_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIDSPAAEDGLLLIDEFVWFGNVENGDNLQSRLVAEAQSNQGNPIPLVIVRQGALMNITVAPRPWHGPGSLGCHFRILWLSSCDDELVIFI >Ma10_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25682636:25684332:-1 gene:Ma10_g12650 transcript:Ma10_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSVFSADPVSPRDQHQSQPESPHRSPEADEQGDGAPGAGGDGDGGGGSGWRGLMKTFATKSESVIQTYRRDLEEFGSGLKKETASIREAAARAVLDLPGSLEAGASAAQESLESVGHAFDDFGGSVWRGTAEILSQGKEAILLMEAEAGGTDQYPTDHGSQSATPSSKRYSRFEAQVLAIQSDVNTFSEEPEDAQDFNNWRSGFDLAEKEEEIDKLCYENGALEGFLQKLVPRLVDYEAFWFRYYYRIHKLKQAEDARAKLVKRVISGEEEEDLSWEVDDDADEEVKKDDKRGEKLRDNKETEVEKEEKKDEINTVVQKEDMSPEPMELPKHVESPHVENLEASKEKDDEEVTSSAGKAENSELTTEGMKSKPDDKTNETTVPEWKTDAAGTCEDSGTSIVSSQTSVQEEDDFEWDEIEDLGEHNEKKSGDTSGSPLRVDLHKRLNVVEDDEDLSWDIEDDDDSTKH >Ma11_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6731608:6733135:1 gene:Ma11_g08450 transcript:Ma11_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANGDSAAGLVVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGSPANVAIAVARLGGLCAFVGKLGDDEFGRMLAAILRENGVDDSGVTFDTGARTALAFVTLRADGEREFMFYRNPSADMLLTEAELNLDLIGKAAVFHYGSISLITEPCRSAHLKAMEVAKETGALLSYDPNLRLPLWPSAAEARKQILSIWDQADIIKVSDVELEFLTGQDSVEDEVAMQLWRPTLKLLLVTLGEKGCKYYTEDFHGIVESFAVKQIDTTGAGDAFVGALLRRIVHDQSVLQDEKRLRELLRFANACGAITTTKKGAIPSLPNASEAMQLLESASDMLA >Ma02_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28341716:28345699:-1 gene:Ma02_g23270 transcript:Ma02_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISKLSSPAFTAVSSPPLRSEPKLSVDFRRRSGPVRSPIAPLRLSIRRDRSGRSALVVKSSLQDGNGVPSKRTTLHDLYEQQGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPTIFQKAISSSSAYDEQFRQLVTAGKDIESAYWELVVKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTNGTVEAAKYLHKVVDRPNVYIKIPATAECVPSVKQVISLGISVNVTLIFSLPRYEAVIDAYLDGLESSGLSDLSKVTSVASFFVSRVDTLVDKMLEKIGTPEALDLRGKAAVAQATLAYKLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALEAFMDHGVVSRTIDSNISEAEGIYSALEKLGIDWDEVGAQLEAEGVESFKKSFDSLLVSLKQKGDSLKALSL >Ma07_p00550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:470969:475039:-1 gene:Ma07_g00550 transcript:Ma07_t00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPVKKYRAPRCYLSENIDPNVAASTPPRSIRSPAILSAKTKKSVPKMSSSPSLANAKRTAAGEKDSVKGNRDFKSTKRRQVASDGLGTPQEKPFARIQADGTEKMKKNREEIWCSLAEEPGTGELEGSSKMRMMRRMMLEEAMSSLPEPGAGRVMYLVKTFERLFSISKETKGDGGGQSKRKVMTWALPALQLPTKADVTGVSCSPVASYSSSFHGEDDDGDSTMQSSVNSNGDRWNSESDGRANRCNKRTGSPGSSLNKKPKVTHQPLKLRTEQRGRCKEENFTKKIRGVLLEEEKRKPFTQRLTWNMEEPEAAEHVDFIKQTTLERERQDKVYDLNSEDLRIASEHKFKDQDKEIGRIRIQKRYSTVRPAHAVL >Ma07_p00550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:470969:475039:-1 gene:Ma07_g00550 transcript:Ma07_t00550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPVKKYRAPRCYLSENIDPNVAASTPPRSIRSPAILSAKTKKSVPKMSSSPSLANAKRTAAGEKDSVKGNRDFKSTKRRQVASDGLGTPQEKPFARIQADGTEKMKKNREEIWCSLAEEPGTGELEGSSKMRMMRRMMLEEAMSSLPEPGAGRVMYLVKTFERLFSISKETKGDGGGQSKRKVMTWALPALQLPTKADVTGVSCSPVASYSSSFHGEDDDGDSTMQSSVNSNGDRWNSESDGRANRCNKRTGSPGSSLNKKPKVTHQPLKLRTEQRGRCKEENFTKKIRGVLLEEEKRKPFTQRLTWNMEEPETTLERERQDKVYDLNSEDLRIASEHKFKDQDKEIGRIRIQKRYSTVRPAHAVL >Ma07_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:470969:475039:-1 gene:Ma07_g00550 transcript:Ma07_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPVKKYRAPRCYLSENIDPNVAASTPPRSIRSPAILSAKTKKSVPKMSSSPSLANAKRTAAGEKDSVKGNRDFKSTKRRQVASDGLGTPQEKPFARIQADGTEKMKKNREEIWCSLAEEPGTGELEGSSKMRMMRRMMLEEAMSSLPEPGAGRVMYLVKTFERLFSISKETKGDGGGQSKRKVMTWALPALQLPTKADVTGVSCSPVASYSSSFHGEDDDGDSTMQSSVNSNGDRWNSESDGRANRCNQRGRCKEENFTKKIRGVLLEEEKRKPFTQRLTWNMEEPEAAEHVDFIKQTTLERERQDKVYDLNSEDLRIASEHKFKDQDKEIGRIRIQKRYSTVRPAHAVL >Ma04_p35500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34260752:34263546:1 gene:Ma04_g35500 transcript:Ma04_t35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIFRSASRIPLVSIRSFAVQSKTVASPIRRLSSFSRVPVELGCWGGSFFPLHSAVAAAKLTSQLSSASRSARALSQGFVGRACPGP >Ma04_p35500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34260752:34263542:1 gene:Ma04_g35500 transcript:Ma04_t35500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIFRSASRIPLVSIRSFAVQSKTVASPIRRLSSFSRVPVELGCWGGSFFPLHSAVAAAKLTSQLSSASRSARALSQGFVGRACPGP >Ma04_p35500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34260752:34263543:1 gene:Ma04_g35500 transcript:Ma04_t35500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIFRSASRIPLVSIRSFAVQSKTVASPIRRLSSFSRVPVELGCWGGSFFPLHSAVAAAKLTSQLSSASRSARALSQGFVGRACPGP >Ma04_p35500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34260752:34263153:1 gene:Ma04_g35500 transcript:Ma04_t35500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIFRSASRIPLVSIRSFAVQSKTVASPIRRLSSFSRVPVELGCWGGSFFPLHSAVAAAKLTSQLSSASRSARALSQGFVGRACPGP >Ma01_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2607200:2609492:1 gene:Ma01_g03980 transcript:Ma01_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFPYRWRLIWNDWDLRSFILISLSLQIILIFSGSLRKRVVSSWISLILWSAYLLADWVATFALGILSNTQTDSGCTSSSHTQNDDLLAFWSPFLLLHLGGPDTITAFSLEDNELWLRHLLGLVFQVAVAFYVFVGSLPQTRLKSPAAMMFLAGILKYGERSWSLMCASMDCLRNSMVTPPDPGPNYAKFMEDYAAMSAAGLRTEIEMKKEPESRPRSLDTLVEEIRTVTMVTKAHQFFHTFKRLIVDLILSFHDRNESQSFFLKRSPIQAFKVIEIELSFVYEMLYTKSTVLHTVAGPVLRFTSFFFILTALLLFLFTEKHGYKEIDIIITYTLLAGALALEIYSVGLLIFSDWASLRLKDLGYPRLSNMVSAINSFFRPPNKPRWSNSMAQHNLISFCLQHRPSPFNRFLHFLTVKEAWDRYWHTSYCPVTDELKEFVFEDLKNKSIGADDSKGYKRFSTCRGRWALQQKGHLKELGWSVEVEFDESILLWHIATDLCFYSDDTNQSTDTLSHRRISKRASDYMLYLLVVRPFMLTAGIGQIRYGDTCAEAKNFFSRGVETPDLEQACEMLLCVETKVPPVQVKGDRSKSVLFDACMLAKDLLEVEEQRRWKLVSAVWVEMLCYAASNCRGYFHAKQLSAGGELLTMVWFLMAHLGIGEQYRIEEGHARAKLTIEK >Ma08_p34550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44553842:44555645:-1 gene:Ma08_g34550 transcript:Ma08_t34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVGGRMAASILTLLLLSSWCLMVASGFRQRGIEREEEEEAHGGGRGLRGRFLLARSRTVARTDAGEIRVVSRYRSKSEPCPMHIGFIQMEPNSLVVPQYVDANLVVFVHRGEVKVGWIHEDELVERQLRRGDVSVIPAGSTFYIVNTHSSDRSQIICSIDTTQSMGHSLHQSFFIGGGMNPTSVLAGFDTNTLTAAFNVTAEELERMMQSQRGGAIVYMSGESSERPDLNDNNDDSAWTKQKLVDYLLGKVDKKKAGNGEPVGALHAYNLYDNEPSYRNSFGWTTAIDEDDFSPLRSTGVGVYVVNLTAGSMLAPHMNPMATEYGVVLRGSGTIQVVFPNGSNAMNAEVSEGDVFWVPQYFPFCQIASSGAPMEFFGFTTSSRRNHPQFLIGASSVLRSMRGRELAAAFRISEERLERLVKAQRESVILPIRHHQQPEEDEEEEEVLQLKRGVFA >Ma03_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11417048:11419861:1 gene:Ma03_g14270 transcript:Ma03_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPTSTVVLGSVAFGIFWVLAVFPAVPFLPIGRTAGSLLSAMLMVIFGVISPDEAYAAIDLPILGLLFGTMVVSAYLEGAGLFVHLGTMLAWKSKGRKDLLCRICLVSAISSALFTNDTCCVVLTGFVLKIARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAAQSKISFGKFLLGLLPAMLVGVLVNAGILLAYYWKQLSVAKDVEANVDAEDVIAGEEVTSHRFSPATMSHVTSFNSEEWNAVDPNLRSPSMNGGIGHTETLRNRTGSTVDEVRAESTAVSNASSSLEVEAGDRLGVPPAEEEDGPAVKNSVKGKARWKMQIWKACVYLVTVGMLVALLMGLNMSWSALTAALALIILDFKDAQPCLEKVSYSLLIFFCGMFITVEGFNKTGIPSALWDLMEPHSRIDRASGVAVLSVVILLLSNVASNVPTVLLLGARVAASAARISAAQETKAWLILAWVSTVAGNLSLLGSAANLIVCEQAQRVQSLGYSLSFVAHLRFGFLATLVVTAVGLLLIRNY >Ma08_p30500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41738029:41738880:1 gene:Ma08_g30500 transcript:Ma08_t30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVLFLGPQTSSRMAPALEARSPVTELQVRMDCGGCVHKIKKAVHSVHGVHDIHVDFARQKLTVVGSADPEMVVKAIKKAGKFATICSHSEPAAEPGGSEPAADAADQPPSESPPETPEEPPKDAPQVTPSADAKDEVAEPEGAAEIHMVHHYPYSFVHREQWSHDPSGAHESRYEEPFVMHSYGISSGHRPHPYVSAARDMRYGSGDGSQIATMFSDENPNACTII >Ma03_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26316089:26324756:-1 gene:Ma03_g21330 transcript:Ma03_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSYSSRGAASFFTQANALLRKNLTFQKRNLKTNIGIVAFPIFLCAVIILIQKVVEEEINKYGHRCGCQGGVCGIQYSSLDQFDACPISSPFEWPALLQVPRPESRAVTSDHPTPAGLPDESCKVSQSCPASVLFTGGNQSFAQILAQSLFLSSSSALNLSDFPSSLFTVMLGTDTSTGNTQFMEPAFVSDKPLYLIQPQCASNAASPISFLIANRSIELELQCVQGLTLWRDNSSLINDELFKGYQQGNSEKKINEFIAAYDFLNSDENSFNLNIGYNSTYNDGNGYLVRVPRSVNLASNAYLKFHNGPGVMAMLEYLKEMPKTGTQLRFDISSLLGALFFTWIIELLFPVILTYLVYERQQKLKIMMKMHGLKDGPYWLISYVYFFSLSSLYMICFVIFGSLIGLKFFRLNDYSVQIVFYFFYINLQIAMAFLASTFFSAVKTATVTGYIYVFGSGLLGPYLLQFFIEDTSFPRAWIIVMELVPGFSLYRGLYELSQYSFKGDQMGTSGMQWRDLNDRQNGMKDVLIIMFVEWLVFLPVAYYLDKVLGGIRKDPLFLLNYFQKKSRSSQRKPGLQRQGSEVLVKIDSTDVSQEREVVEHLLLEPYISHAVISDNLKKVYPGCDGNPDKHAVRGLSLALPNGECFGMLGPNGAGKTTFITMMIGLITPSSGTAYVQGMDIRTNMDQIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAALLQAVEESLKSVDLFYGGVGDKQVAKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNRLWNVVKHAKRDRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGNAKELKARYGGSYVFTMTTSANEEEEVENLVRRLSPNANKIYHISGTQKFELPKQEVRISDVFRAVEIAKSKLTIQAWGLVDATLEDVFIKVANNISISFHD >Ma08_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3537407:3540538:-1 gene:Ma08_g05160 transcript:Ma08_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISISVWVRVGVEKKMTAKTFHALRVSSFVAFNRAHAFLYALAILALLRHRLACLISSPSLPLSALFLADLVLAVMWVASQVFRWRPVSRQEFPDRLLREVGSDGLPALDVFICTADPHKEPPISVVGTALSAMSFDYPTDRLSIYVSDDGGSAVTLFAFMEAARFARHWLPFCKQNGLLERSPDAYFRSNKGGDSEKMKMMYLTMKEKVETALERGYVSNDLLSSGEDDELFERWKGFTRHDHPSVIQVLLEGSKDSDISGNALPNLIYVSREKRRTSHHHFKAGALNALLRVSSTMSHAPVILTLDCDTYCSDPRSPLRALCYLLDPALSSNLAFVQFPQRFYGINKNDIYGGEIKRLYTITARGKDGILGPSYFGSGCFISRRCLQGIPSSPSLAQEARVPSSSESVLRKAHEVAGCTYELGTKWGSVMGFRYGSLVEDYYTSFRLHCEGWRSVFCNPVRPAFLGDAPKSLNDVLGQCKRWCVGLLEVGFSRYSPLTFGTTNASLLAGLGYAYDAFWGIWCIPVTTYGLLPQLALICQTPLFPKVSDPWFYLYVYLFTAAYGQDLVEFLADDGTIGRWWSDQRMWMIKGVTAYLFGSIQFALKKIGISAAEFNVTSKVMEDEQCERYERGAFDLGVQSPFFVALGTVAVVNLSSLVVGIARAATTEGFLHEQFAQLFLSGFVAANCWPIYGAMFLRSDGGRMPRTITVISLTVAGLLLMGFLVFDV >Ma04_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6554408:6559485:-1 gene:Ma04_g09190 transcript:Ma04_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLASSLEASVFEQQARERVLRLPGQSFDVSFAHYSGYVTVNEEAGRAFFYWFFEATEEPASKPLVLWLNGGPGCSSIGLGLAEEVGPFHVNADGKSLYPNPYSWNQVANILFLDSPVGAGFAYSNNSQDVLTNGDKRTAKDNLTFLKRWFKRFPQYKGRDFYITGESYAGHYVPQLAQAIVKSQKLTGTKSINLKGYMVGNALTDDFNDYHGVFQYMWTTGLISDQTYKLLNIFCDSQSFEHPSSECQKILDIASNELGNIDPYSIFTPSCTGSFTLSKNKLLKRLHSAGRIGKKYDPCTEKHSMVYFNLPEVQKVLHVNPAFAPSKWVTCSDFVDKNYKDSSRSVLPIYRELISHGLRIWMFSGDTDAVIPVASTRYNIDALKLPTVTPWHAWYDDGQVGGWTQVYKGLTFVTVRGAGHEISLLRPKSALVLIKAFLSGNPMPTTLPELDDS >Ma03_p28570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31699522:31701634:1 gene:Ma03_g28570 transcript:Ma03_t28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFNKISPEKEVSLEFVRESLMTISQSLPDVIHAPNGFPAKTPVAADAVGDQNVGSGAEEYRSKLISISYMQSPDVKPSPSLVENLGV >Ma04_p28330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29396082:29398284:1 gene:Ma04_g28330 transcript:Ma04_t28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGTADDSKGKNTKCKLLTKDSMEKMVRLPVKLWLSALEVGREDPRRLIHALKVGVALTLVSLLYLLEPLFEGVGRNAMWAVMTVVVVLEFTAGATLCKGVNRGIGTLCAASLAFVIEFMAEKSGRACRGVFIGVSVFLVGFFATYLRFVPYIKRNYDYGVVIFLLTFNLITVSSYRVQNVLRLTRDRLTTIAIGCGICLFMSLLVLPKWSGEDLHNSTVYKLEMLARSIEACVNEYFRDQIQDDGKSSKDQIYKGCRAVLDSKSSDESLALFGSWEPRHSRHCYSFPWQQYVKLGAVLRHFGYTAVALHGCLESEIQTPLSVRLLFRDPCSRVAGEVCKVFDELARSIRNRRHCSPNVLSDHLHEALQDLNSAIRSQPRLFLGSKKARPAANERAEDWRPQKNTSAGVALPSAMSDITSLQEWRGKRVESTERKVLRPTLSRIAITSLEFSEALPFAAFASLLVEMVARLELVIEEVEELGRAANFKEFSQADEIAIEMGFDDKKPCVNGKDFQSHVVHQAAE >Ma05_p13850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10072875:10077100:-1 gene:Ma05_g13850 transcript:Ma05_t13850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSCMEEDVLRCCGSKRFAKEMVSASPFTDLDHALRSARDIWLNKVDVVGWLEAFAAHPAIGTTSPSVSQWCKEEQSAAMATANDSALQELMEWNSRYREKFGFVFLICASGRGTPEILAELKKRYLNRPIVELEIAAQEEMKITELRLARLFNSDAGSNIPVTTRLPVSSPTKPEDRLGIIGAHIMAIPKVPSSKTTEISGNSHRTRPPITTHVLDVARGSPASGMEVHLEMWKGTQQHPSFTNRESTDWMLIGSSTTNTDGRSGPLMGIVDHITPGFYRISFDTGKYAPSGFFPYVSLVFQVRENQIAEHFHVPLLHSPFSFTTYRGS >Ma05_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10072875:10077100:-1 gene:Ma05_g13850 transcript:Ma05_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSCMEEDVLRCCGSKRFAKEMVSASPFTDLDHALRSARDIWLNKVDVVGWLEAFAAHPAIGTTSPSVSQWCKEEQSAAMATANDSALQELMEWNSRYREKFGFVFLICASGRGTPEILAELKKRYLNRPIVELEIAAQEEMKITELRLARLFNSDAGSNIPVTTRLPVSSPTKPEGNSHRTRPPITTHVLDVARGSPASGMEVHLEMWKGTQQHPSFTNRESTDWMLIGSSTTNTDGRSGPLMGIVDHITPGFYRISFDTGKYAPSGFFPYVSLVFQVRENQIAEHFHVPLLHSPFSFTTYRGS >Ma05_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9108604:9111995:-1 gene:Ma05_g12610 transcript:Ma05_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSLPSSSSALSGQSAPSPEVLMDQLKSQLAQAYAEEFFETVRSKCFVRCIAKPGTSLSGSESSCISRCVDRYIEATGIIGRALFSSPH >Ma07_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10142573:10144128:-1 gene:Ma07_g13480 transcript:Ma07_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVVVFDFDKTIIDCDSDNWVIDDLGGTQLFDELLKAMPWNSAMDRVMEELHSQGRTIEEISENLKRAPLPANAVAAIKSAYALGCELRIVSDANRFFIETILKHHGLMAYFSEIHTNPGYVDEEGRLRIFPHHDFKSSSHGCCLCPPNMCKSAIIERIQASAFLEGRKRFIYLGDGKGDYCPSLRLNEGDCVMPRKNYPLWQLIVDNPQALRAEIHEWSNAEELERVLLRLISESASADRSSPSQLISVDCKFQTLPPSSIEAFPKSLPVPN >Ma00_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:21592646:21593447:1 gene:Ma00_g02780 transcript:Ma00_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVKAEYLAAMFGGSRSGVFSLTKAFLNPSLPTRSAPLKPSRLCFPYARRSSQANSNESDYGDVREGEDAVKTRKAFQKAKDSMQEYLKEAKEKAEEAKEETGSVAAKAKETAEQGTSTMTEKSKATANAAREKASGAAEKTKETAETAKERAKSVGERAKERAEEGVERAQSIGERAKQTMQEAWEAAKETTHKIKETVVGKDQDTPKDAGNGGTKENVRVARESAEDDARKHGRDELKD >Ma07_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27650866:27651643:1 gene:Ma07_g19690 transcript:Ma07_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLLALVLASALVFLSFPAGLGRRVHVMRHYETWNSPAPFDTEETRIGRNMMETEMDYRDPGANTNPRNGALFNNLTPPPVH >Ma09_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2669128:2677418:1 gene:Ma09_g04080 transcript:Ma09_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRGSMRWSASIPRLLLFGCVLLYSIPGILSSRIVSLGSIVIFATHEWLPMKPIVYFHCQGENKTVLPDVKEKDILYTFRGEESWQPLTQLPEKKCKRCGFYEQDTFKADDVFDEWELCAGNFVDGKYVRKKNKEFNATFICPRCTASDGVDETLESKDEASGRRGKMVTVIIICVFAASFVMVAGIVALYKYWQKRKREQDQARFLKLFEEGDDIEDELGLGYII >Ma09_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10631173:10637916:-1 gene:Ma09_g15330 transcript:Ma09_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MGEEERSLAGEIARVLDECRLSHAVHPRKLKELSALRSASVADAHAGLCFFAAFTRAVTPLFDFPRRTVSSERAVRFVSAFAARRDEKDAVVCDAFLEEFLRFLLVAAAAAHRPARFRACQIISEIIMRLPDDAEVSDELWDEVLDSMKLRVRDKVPAVRAFAVRALSRFVNDGDDSDITNLFLQTLCQEQNTEVRKTIVLSLPPSNMTSEAIVGSTLDVSESVRKAAYLVLASKFPLHSLSIKHRNIILQRGLSDRSSSVRKECLKMLKDEWLAKCCSGDLIALMRFLDVETYESVGEAVMEALFKDGTIILKEYQSPRQFLASNCENTEGCTSNIQLMDAEAALYWKILCRHLQSEAEAKGNDAATTTGTEAAIYASEASDKNDLLDEILPATVSDFVSLVKVHLSAGPNYCFTSRQLLLFGAMLDYSDVANRKVASEFVNELLLRPLEHEVDDDGNKIVIGDGISLGGDREWARAILELAKKVHASMGEFEAVVTSVIKELSQPCRERTADFMQWMHCLALTGLLLENIPSLWSLQGKAIEPSELLHSLLLPGAKHSHIDVQRVATRCLSLFGILERRPTGELVSQLRQSFIDGATSVRIMASKSLIDLFTWHGPQEVDKAIGIDIKQPNNEKEGLVSINSSNLRDDESIGLLDLLYNGLNSDDSGEVGDADDDESVHSILGEGFAKILLLSENYPSISTCLSPLILHKLVNLYFCDETKELQRLKQCLSIFFEHYPALSCTHKRCISTAFIPTMRSLWPGVYGKSGGPAITVSKLRKRAVLAAHFMLQMMQIPLFSNERKEDELSSGNLSSSVQTSDDFDSGEEGIAIRIAAEVAGCPEKKTSAGKSYILALCRIAASINFRPSEQHAIKCMRGLLNGMITSITRDKELVKELNLMAARLRSLDVHPDEGLSEDQSTALFGKLGLEGNLNIDTSTVIPPTPAPQSGRTATTRRRRVRREVSSSDDDAQSVPLVPMTPSQTNVRSHRTSKTAAMSKITNKTAVESSDDEDGESDVTSDEFSE >Ma09_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4059320:4059667:1 gene:Ma09_g06340 transcript:Ma09_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTKSRAAQKLCAEHWRAHHIPIVELAFERQAMLPFLHSLNQVVLYSFSTIVPVDSGFGRISILDVTASKVVLSLLASFDILDSLDNCASTVGTASRHVRSSAMRVLPNNINNR >Ma11_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:464921:470012:-1 gene:Ma11_g00670 transcript:Ma11_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQVRASHILIKHEGSRRKASWKDPDGRVISATTRDAAVRQLLTLRDDIDSGKARFQDVAVLHSDCSSAKRGGDLGSFGRGQMQKPFEEATYALKVGDLSGIVDTDSGVHIILRTS >Ma03_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28324045:28327388:-1 gene:Ma03_g23930 transcript:Ma03_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLLLARYSSLVCEDCLAGEHCSLRKRDPKKRETESPLNSGYHATRNTDGKKTWWNLTKDKSNLWGKGMCFSWQQRFLASQMTLVGLIGLTARELRVRSTRLDDVGGPSKPASRIFVVQPSHRPSRSTRRRRSPIEQRRWRAAGSATALLSATKQLCGDVHTATSITGSGSIVSVPNQAFPGHVLFLEYALVNQEFNITLCCVHFLQHAVQGGAKRTWVSCRRCEFTIYGSLGTWCTSLLLDMSKHESCIWELFRCPCAGFMWSGGSDGRASLSSPRLVASGGGRRPELSVQPLLSSIIRRTTSRRVIISNLDVMAAFKVPRTATEALQSQTPRARRIRLQRCPLQPVLRFALCPRSPYLYTHTQI >Ma05_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5209368:5211985:-1 gene:Ma05_g07150 transcript:Ma05_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRIGSVDGAAEAKANGVVGCPPAAQCCSVGPAAPASVAAIAEATLGRHLARRLVQVGAHDVFAVPGDFNLTLLDHLIAEPGLRLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRVLHHTIGLSDFSQELRCFQTVTCFQAVVNNLDDAHELIDTAISTALKESKPVYISVSCNLPGIAHPTFSREPVPFCISPRLSNQMGLEAAVEAAAQVLNKAVKPVLVGGPKIRVAKAGKAFVELADASGYPIAVMPSAKGLVPEHHPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVVANGPAFGCILMKDFLLELAKRLNTNTTAHENYRRIYVPEGQPLECQPKEPLRVNVLFKHIQRMLSENTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDVSTMLRCGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGNCWTAKVTCEEELKEAIEAAMGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Ma01_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6768706:6768858:-1 gene:Ma01_g09360 transcript:Ma01_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPWLDGEQVVFGRVVAGMSVVKAIDLMGSMSGETKTEVLIADCGQLS >Ma08_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15869081:15872347:1 gene:Ma08_g15660 transcript:Ma08_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTVKISNVSRGASVQDIKEFFSFSGDIVYIEVQSVDESSQVAYVTFKDSQGAETALLLTGAKICDLVIDITPAVDYQLPATVLIPPASNDGDEGAGGIGSAVRKAENVVTTMLAKGFVLGKDALNKAKSFDEKHQLTSTASAKVSDLDKRMGLSEKISMGTAAVNEKVMEMDQKYQVSEKTRSAIAAAEQKVSTAGAAIMKNRYVFTGAAWVTGAFGKVARAASDVGTKAMDKAATEQERRKVEEQLAKGHDESGDPKDSTTSSKDSTTSDDSPTSKDSPTKHQDKPEPAQGTIL >Ma00_p04060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:34059038:34060491:1 gene:Ma00_g04060 transcript:Ma00_t04060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPNPESPPPDGLPTSPSTPPAAAATSSSSSPSRSLSRYESQKRRDWNTFGQYLRNHRPPLSLGRCSGAHVLEFLRYLDQFGKTKVHTPLCPFFGQPNPPSPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREIREMQAKARGVSYEKKKRKKPPQVPQPQPRPPHTLSPSPATGAAT >Ma00_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:34059038:34060491:1 gene:Ma00_g04060 transcript:Ma00_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPNPESPPPDGLPTSPSTPPAAAATSSSSSPSRSLSRYESQKRRDWNTFGQYLRNHRPPLSLGRCSGAHVLEFLRYLDQFGKTKVHTPLCPFFGQPNPPSPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREIREMQAKARGVSYEKKKRKKPPQVPQPQPRPPHTLSPSPATGAAT >Ma04_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1843125:1843786:1 gene:Ma04_g02100 transcript:Ma04_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSVVDPLREFAKDSLRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Ma11_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1987813:1989611:1 gene:Ma11_g02720 transcript:Ma11_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIPGDLATGLLLLFSLISTLSAVRFSPPDNHLIACGASSAADLDDGRAFLPDSGLSPPVLRSHGRQISLSNPSPDAVPLHRNARVFACPSSYAFEIKNKGIHRIRLHFYPFSTPEYDLSSARFHVLASDITLLSYFGTSSPVMKEYFINLNEGKLVISFSPADRSSFAFVNAIEVMSAPKDLILDAGRLVKPDEITEFHGLSKQALETLYRVNIGGPKVTPFNDTLWRTWVSDVEFLKLSSASKVVTYSGRIKYQRYGASREVAPDNVYNTARATSGATVPGSNYSMTWEFPVSSGYKYLVRMHFCDIASLALNQLYFNVYLNGYLAYQDFDLSDSTGQILASPYYVDFVVDVDVLELLSISIGPSNLSNPSWIRGLLNGLEIMKINNTMGSLDGKAPVILVSEDPVGRGFGAFLRSLTCGFAFMSLSVIAFMLFLRWRSESRSLMSWSRLPVDVSNGKLSKDSPVIPGKLVDF >Ma06_p37110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36277123:36281070:1 gene:Ma06_g37110 transcript:Ma06_t37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47910) UniProtKB/Swiss-Prot;Acc:O82258] MALTIRHLFSPLRHCALSSNASPFPSCKPIATPALTGCRARRGDVSLSVAFNPSGNFDLSLTGEDEAQQVAPSLPPTEGRYEIVINKDIIRRLDLSPVEAIAGKISSLCAEPRKLLDQTVGFTINYERDDPYDTRELSEFPDVRLWFVRLDAAYPWFPVVLDWRAGELARYAAMLVPHQISMRLGIVFNPEALELFVLNKAFTVYSWLKQQKFPKPKLKTRDMARMLGFGLEDSLFDLIDQHPLHPS >Ma03_p30180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32921563:32925371:-1 gene:Ma03_g30180 transcript:Ma03_t30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLMTTIIFFVAGVVASVLVRLCCNRGPSTNLFHLTLVITATVCCWMMWAIVYLAQLKPLIVPILSEGE >Ma02_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27012233:27017922:-1 gene:Ma02_g21370 transcript:Ma02_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAWDQQQLLLLLLRGRENRGRVWAGAILLWLLLMLATPRISHSHAFHLFADMRNFLGVPNTLNVLTTFPFLLVGVPGLVLCLSGCCFGISLRGEMWGWAFFYAATATAAFGSAYYHLRPDDDRVVWDKLPMMTSASSLLSILVIERIDERMGISCLSSLITLVLVSIACERTFDDLRLCMMFHIVPCIAIPALLFLFPPKYTHSRFWFCATGFYLLARFEAIADKKIYSASRYIISGHSLEHLCLAMVPIILTVMLWFRSIKIARDS >Ma05_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5215156:5216034:1 gene:Ma05_g07160 transcript:Ma05_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLHSPIEQHQLSFQSSSSTTSDNSNSSTNTTSSAAAAATVVGDAAAAAAGPSRRGKGKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFSTAEDAAKAYDRAALILYGPRAQLNLQTSSSAAATGHHSNSTTAASSATTTLRPLLPRPSVFPFPIPPPAGASTSYNYPPPFLYANMTDSTIMAPGPPMEPPSVEVGALGTAPGPVVASGPSSLGFSDPAMEEISSLAGSVSSSLSLSCPPVMTTESMGSAPLVSSPLWPYDDYDVAASCLWDETDPFFFDI >Ma04_p37180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35217790:35220608:1 gene:Ma04_g37180 transcript:Ma04_t37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQLFLLSRRRPQHLRLLHLAPRLGQRLEETMGFPWKCLHPRVGQNTACLRWPPETAWATADLLLFLWPCGHLLADS >Ma09_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7758914:7761145:-1 gene:Ma09_g11480 transcript:Ma09_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAHLSSYELASTRELKPDTSERETFDCFVAVDVDEISQQLVVNTKGHSSSSPRLTKKIPSRKGSHGSGVEKEKSKTVEGEELPGAGGHGWAEKSPLSVHVAMEGEAVGIPRAMAPTLDGARWRRVGARRPTSRWLDPSGIVVVFATLSCMGTLILLYLTLSMGKMNRGNETAR >Ma08_p03030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2270468:2273927:-1 gene:Ma08_g03030 transcript:Ma08_t03030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKEGDRVEVLRSKEEQYGSWFPAKITSLNGYTYTVRYELFHSSDGKPVVETVHEGDVRPSPPPQDRRELCLVVGGIAEVLDLCSWRVGKVMKVIKEDRIVIKLFGSIQLQEHSVSDLRVPQAWQNNQWLMIDMGIKGKQFGYDYIPSSSNSARKLDCGASQGIDKQASPGQRCKQKRASCNSPIKAGKRNLNSHCGFSPVNLVGRTKRKRKSSTDKSNKLSTRSLPKKVDCVSFSKDIVAEHFLHKSNKDRTSTLPQMNADKGFTDNHVVNSSSIPLAVTEEDIECSVASCSGNEYPGYSYQNLREHRKDTALDSFDDAVSSCPIEGKEYQSKSGDELAANVHELELQAYQYTMQALHASGPLSWEQESLLTNLRLSLNISNEEHLLHLRHLLSA >Ma08_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2270468:2273941:-1 gene:Ma08_g03030 transcript:Ma08_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKEGDRVEVLRSKEEQYGSWFPAKITSLNGYTYTVRYELFHSSDGKPVVETVHEGDVRPSPPPQDRRELCLVVGGIAEVLDLCSWRVGKVMKVIKEDRIVIKLFGSIQLQEHSVSDLRVPQAWQNNQWLMIDMGIKGKQFGYDYIPSSSNSARKLDCGASQGIDKQASPGQRCKQKRASCNSPIKAGKRNLNSHCGFSPVNLVGRTKRKRKSSTDKSNKLSTRSLPKKVDCVSFSKDIVAEHFLHKSNKDRTSTLPQMNADKGFTDNHVVNSSSIPLAVTEEDIECSVASCSGNEYPGYSYQNLREHRKDTALDSFDDAVSSCPIEGKEYQSKSGDELAANVHELELQAYQYTMQALHASGPLSWEQESLLTNLRLSLNISNEEHLLHLRHLLSA >Ma08_p03030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2270468:2273941:-1 gene:Ma08_g03030 transcript:Ma08_t03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKEGDRVEVLRSKEEQYGSWFPAKITSLNGYTYTVRYELFHSSDGKPVVETVHEGDVRPSPPPQDRRELCLVVGGIAEVLDLCSWRVGKVMKVIKEDRIVIKLFGSIQLQEHSVSDLRVPQAWQNNQWLMIDMGIKGKQFGYDYIPSSSNSARKLDCGASQGIDKQASPGQRCKQKRASCNSPIKAGKRNLNSHCGFSPVNLVGRTKRKRKSSTDKSNKLSTRSLPKKVDCVSFSKDIVAEHFLHKSNKDRTSTLPQMNADKGFTDNHVVNSSSIPLAVTEEDIECSVASCSGNEYPGYSYQNLREHRKDTALDSFDDAVSSCPIEGKEYQSKSGDELAANVHELELQAYQYTMQALHASGPLSWEQESLLTNLRLSLNISNEEHLLHLRHLLSA >Ma04_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21784248:21788137:1 gene:Ma04_g19200 transcript:Ma04_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVCVTGGSGFIGSWLVRLLLDRGYAVHATVMHLGDEAETAHLRALEGAAERLQLFEIDLLDPASLLAAIRGSAGVFHLASPCTVNRVHDPQTELLDPAVKGTLNVLRAAKESGVGRVVVTSSISAIFPSPGWPADVVKDESCWTDLEYCRQNELWYPASKTLAEKAAWEFAKGNGLDVVVVNPGTVMGPIIPPAINASMTMLMRLLQGCTDEYPDFYMGSVHVKDVALAHILLYENPSATGRHLCVEAISHWSDFASKVAELFPDYKVPRLPKDTQPGLLRAQNPAKKLIELGMEFTSMEQIIKDAVESLKSKGYI >Ma06_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13079772:13082715:-1 gene:Ma06_g19110 transcript:Ma06_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLIHGSGLRVRNGIRFGLVRPNMTRDLDSLMVAHFNVIGSVILEFGSDPDLVRSVLDGEGRGTARPIPPERMRVQGDLRGCRSRSSTVGANRTSSVSWLGSMMRPASTWTSSSRFRIMKVDIAFPSTLCLMKLVMLSATTFWQNMGLANNIAHAYICWQWFGRVEQQGDAVSFIRVVKAAQLLEDAEVKCQ >Ma01_p21920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22196308:22198735:1 gene:Ma01_g21920 transcript:Ma01_t21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRVQSLSFGRRSRAFPFSASSSSRKSLDRVESAKEIKEWEEALCPVCLEHPHNAVLLLCSSHDNGCRPFMCDTSYRHSNCLDQYCKALSGSKPPQDVDGMQQPTNLLCPLCRGLVSGWTVIEPARRHMNAKSRSCSTESCVFSGTYGDLRKHARKEHPSTRPSEADPERQQDWRRMEQQRDLGDLFSMFHSAVTEGQAEVSIDEDDEEDSNSMLLFPSVAAMVLVVHVRQAGGDDTDRSSQPRFYITRPSSGDSSGSRRGSGVISLAEAIGNSRSTSRETHDGGANDDSRSSEADTASQQNQG >Ma01_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22196308:22198735:1 gene:Ma01_g21920 transcript:Ma01_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRVQSLSFGRRSRAFPFSASSSSRKSLDRVESAKEIKEWEEALCPVCLEHPHNAVLLLCSSHDNGCRPFMCDTSYRHSNCLDQYCKALSGSKPPQDVDGMQQPTNLLCPLCRGLVSGWTVIEPARRHMNAKSRSCSTESCVFSGTYGDLRKHARKEHPSTRPSEADPERQQDWRRMEQQRDLGDLFSMFHSAVTEGQAEVSIDEDDEEDSNSMLLFPSVAAMVLVVHVRQAGGDDTDRSSQPRFYITRPSSGDSSGSRRGSGVISLAEAIGNSRSTSRETHDGGANDDSRSSEADTASQQNQG >Ma08_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10657257:10658020:1 gene:Ma08_g13510 transcript:Ma08_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPATVVLFLAVLSLLSAYASARPGIPFNTVFVSYTITTTASSDDALPGLHQTSVFVSVYRIIAPIRNFHPDSRPTRIARPALLPRREVVPAEPAALGFSSLHDRAKDVLVVVIGLLFGVGCGAITAATMCLAWSLVTHRHEICGSDEYSDEEEGADESPKAGYVRVPAADPVSVN >Ma03_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6975191:6977414:-1 gene:Ma03_g09440 transcript:Ma03_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRIPTSLPSSRCCRCCSSTRQARRTSEGEQRPPLNGRRAPDHMSRKPINPSHGNGLLQLRRRPCDVQLRSRRMRRGREGAQVAWATAFFHLFPPLLLLLHRIPAVGAEDPYLYFTWNVTYGTVSPLGVPQQAILINGEFPGPNINSTTNNNIVVNVFNHLDEPFLFTWNGIQQRKNSWVDGTAGTNCPIPPGQNFTYHFQVKDQIGSFVYFPALDMHRAAGGFGGLRVNSRLLIPVPFADPADDYTVLVGDWYTKSHKNLARLLDAGRTIGRPSGILINGRPGKDSTGKDDPPLFTMKSGKTYRYRVCNVGMKVSLNFRIQSHAMKLVEMDGSHTVQNVYDSLDVHVGQCLSVLVTAHQEHRDYYMVASSRFTKYALSATGVIRYAGSNTPPSPDLPAAPSGWAWSFNQWRSFRLNLTASAARPNPQGSYHYGSINITRTIKLVNSVGLVDGKRRYALNAVSHSDAATPLKLAEYYGIADKVFKYDTIADEPAASDAPIKIVPNVVNATYRTFIEIVFENPDRSMQAYHLDGYSFFAAGMGHGKWTPESRKRYNLADAVSRHTIQVYQRSWSAILLTFDNAGMWNLRSELWERRYLGQQLYISVVSPERSLRDESNMPDNALLCGAVAKLPRPPSYI >Ma04_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5538999:5539100:1 gene:Ma04_g07650 transcript:Ma04_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCMYQFGDGHGKPDQVIEDRIRSLLIEPILL >Ma10_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3789952:3792403:1 gene:Ma10_g00860 transcript:Ma10_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEDIKFLKIQTCILKVNIHCDGCKKKVKKLLHKVDGVYTTSIDAEQGKVTVSGNVDPATLIKKLAKAGKHAELLAPKGGGNNNSSNQAQKPQPQGGKGQQKDNGKAQKAGNGGGGAGGGGQDHKGQHPHPHPHPQPTPQQQLLLQRQLQQLQQLQQMKGAKDLQLPQLKEFNFPPYKDPKSVNTAFPPGGCDDYDDDDDFDDDFDDDEMDEFDCFDADFDDDFKNLKIKPPVAAPNGNAMNDKKGGSGDKAGGVPVQNKGMCNGNEPKNGNGGSGKKGGGGNPNQGGGGGGSATKNGGAGGGGGKNGGGAVPQDGKNGANSKKGIPSGSGNASGQAGNCNTNGNIINPANGARKGPVNSGVGAGGPPMANPGKMGQGFPGMGVGMGHQMGNMHAPMGQMGNIPTAAAAAAAAAAMQGLPAGGPPPGYYQGGMVAPPPEVIAAAAANANPYQQQYIAAMMQQQQQQQQQQRMMMMNAQDRAFQPMMGYARPPVPMYYNMPPPPPPAATPHHHSDPYTTFFSDENTSSSCSIM >Ma05_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4778339:4780643:-1 gene:Ma05_g06400 transcript:Ma05_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLQPQPDVDRLWADEIRYLHSLWRRGPPPNRNRNPRVSSGPDLLRATPVAFKRDSQRKEGIPSEKAASPRRPDEAGTSDPPLVDSWAKLASLTAPNPNPPTAWADVAPPPVVERPPVSAEEQARLAAAQLHHDGLKASQDFFSKIDESDDEEEAHEEGEEEEEDDKEEKDERQLEAFKFFMELFEKDDELTEYYKKNHDKGEFSCFACAAIGTKRVRKFGNCLGLVQHANSISKTRRRGAHRALARAVCQVLGWDTIRSAGVVLDLGDTGGQSSAGAAKTQNKDVIENEEHLPEDITQNTKVNDGNVTENLPEMASPPLQE >Ma03_p04620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3040390:3044090:-1 gene:Ma03_g04620 transcript:Ma03_t04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLSSQVYDDAEGMEENIRLAGEGVKQKEAPDEDFQDSNGGCRYPNWSPLRWFRMLAEEMHWSFVFGVITVYGISQGFGGAIAKVATDYYWKDVQMVQPSAAQVYHGIISIPWIVKPLWGLLTDVLPIAGYRRRPYFILSGLLGIISMLTLALHSKLHVVFALLAITAGSASVAIADVTIDACVAQNSINHPFLASDMQSLCGLSSSIGRLLGYSISGLLVHALGSQGVFGVLSIPSALVLSVGMVLKDMHIPNFAYGQVFEKLQQASQTMWTTLQSPYVWRPCVYMYVSLALSLNIHEGMFYWYTDKKSGPSFSQETIGFIFSFASVGSLLGVLLYQNILKEYPFRQLLFWSQLLTGLAGMLDLALVLRLNLKIGLPDYFFAVIDESVSHLIGRIKWMPLLVLSSKLCPSGIEGTFFALLMSIDNFGLLTSSWAGGLLLHLLKVTRTEFSNLWAAILIRNIMRVIPLVLLFLVPISDQNSTLLPADLLNGNDNMEAHERDIDDIKNIELVSLVDQIRY >Ma03_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3040390:3044091:-1 gene:Ma03_g04620 transcript:Ma03_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLSSQVYDDAEGMEENIRLAGEGVKQKEAPDEDFQDSNGGCRYPNWSPLRWFRMLAEEMHWSFVFGVITVYGISQGFGGAIAKVATDYYWKDVQMVQPSAAQVYHGIISIPWIVKPLWGLLTDVLPIAGYRRRPYFILSGLLGIISMLTLALHSKLHVVFALLAITAGSASVAIADVTIDACVAQNSINHPFLASDMQSLCGLSSSIGRLLGYSISGLLVHALGSQGVFGVLSIPSALVLSVGMVLKDMHIPNFAYGQVFEKLQQASQTMWTTLQSPYVWRPCVYMYVSLALSLNIHEGMFYWYTDKKSGPSFSQETIGFIFSFASVGSLLGVLLYQNILKEYPFRQLLFWSQLLTGLAGMLDLALVLRLNLKIGLPDYFFAVIDESVSHLIGRIKWMPLLVLSSKLCPSGIEGTFFALLMSIDNFGLLTSSWAGGLLLHLLKVTRTEFSNLWAAILIRNIMRVIPLVLLFLVPISDQNSTLLPADLLNGNDNMEAHERDIDDIKNIELVSLVDQIRY >Ma03_p04620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3040390:3044090:-1 gene:Ma03_g04620 transcript:Ma03_t04620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLSSQVYDDAEGMEENIRLAGEGVKQKEAPDEDFQDSNGGCRYPNWSPLRWFRMLAEEMHWSFVFGVITVYGISQGFGGAIAKVATDYYWKDVQMVQPSAAQVYHGIISIPWIVKPLWGLLTDVLPIAGYRRRPYFILSGLLGIISMLTLALHSKLHVVFALLAITAGSASVAIADVTIDACVAQNSINHPFLASDMQSLCGLSSSIGRLLGYSISGLLVHALGSQGVFGVLSIPSALVLSVGMVLKDMHIPNFAYGQVFEKLQQASQTMWTTLQSPYVWRPCVYMYVSLALSLNIHEGMFYWYTDKKSGPSFSQETIGFIFSFASVGSLLGVLLYQNILKEYPFRQLLFWSQLLTGLAGMLDLALVLRLNLKIGLPDYFFAVIDESVSHLIGRIKWMPLLVLSSKLCPSGIEGTFFALLMSIDNFGLLTSSWAGGLLLHLLKVTRTEFSNLWAAILIRNIMRVIPLVLLFLVPISDQNSTLLPADLLNGNDNMEAHERDIDDIKNIELVSLVDQIRY >Ma04_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1920729:1926552:1 gene:Ma04_g02200 transcript:Ma04_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYLFRVVSDKNSGEHAKDSDSSQVPSTPSPQTPSKRQRKNEHPSRLPIITNNLQPSDVEEALDNIRYCQNSACRATLNIQDAFCKRCSCCICRKYDDNKDPSLWLFCGSEAFSQGDLCGLSCHLECALKHERTGIMKSRQCTTRLDGSYYCTYCGKANDLLGCWKKQLLIAKDARRVDALCYRISLSHKLLSLTEKYQSLHEIVDTARKKLEAEVGPIDDLSNMARGIVNRLSVGAEVQRLCAHAVDLLDSMRGSSLSANSQLQQIGTVSSSFIKFEEILPTSLTVALDIEDNTPLAQELAGFTLWHRKTDNPEYPRKPSLSVFKPKKRLLLTELIPATEYMFKVVGFSKMRNLYTWEVGVKTKAISLDDSVGLALETTVSNPHCQISKTNSSGLSNPLEGDESNTNSSACADLNKLPEIDFDDCEKPQILETEKSFDHAQKDNSHQKSECKGSISGAEVLEPEDSHGHSDSALDEEPNSTIPIESTNSMENNQASDIPKSDNESNTPVVNEMVIVPFGQSDPTLPATPPCRLETGTEGSGRCIKGNNGFNIFEKGSLNPDVEPGSSSKKRGGGKFEGINIKDGSMEGLYEYCVKVIRWLECEGHIESNFRVKFLTWFSLRATPQERRIVSVYVDTLIDDPPSLAGQLVDTFLEAICSKRPPPAPTGFCTNLWH >Ma04_p02200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1920574:1926552:1 gene:Ma04_g02200 transcript:Ma04_t02200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFSGFVLDPSKCSKLSIEEKRELIRELSKWPESAPEKLQTWSRRDLLEILCAEIGKERKYTSLTKQKMIEYLFRVVSDKNSGEHAKDSDSSQVPSTPSPQTPSKRQRKNEHPSRLPIITNNLQPSDVEEALDNIRYCQNSACRATLNIQDAFCKRCSCCICRKYDDNKDPSLWLFCGSEAFSQGDLCGLSCHLECALKHERTGIMKSRQCTTRLDGSYYCTYCGKANDLLGCWKKQLLIAKDARRVDALCYRISLSHKLLSLTEKYQSLHEIVDTARKKLEAEVGPIDDLSNMARGIVNRLSVGAEVQRLCAHAVDLLDSMRGSSLSANSQLQQIGTVSSSFIKFEEILPTSLTVALDIEDNTPLAQELAGFTLWHRKTDNPEYPRKPSLSVFKPKKRLLLTELIPATEYMFKVVGFSKMRNLYTWEVGVKTKAISLDDSVGLALETTVSNPHCQISKTNSSGLSNPLEGDESNTNSSACADLNKLPEIDFDDCEKPQILETEKSFDHAQKDNSHQKSECKGSISGAEVLEPEDSHGHSDSALDEEPNSTIPIESTNSMENNQASDIPKSDNESNTPVVNEMVIVPFGQSDPTLPATPPCRLETGTEGSGRCIKGNNGFNIFEKGSLNPDVEPGSSSKKRGGGKFEGINIKDGSMEGLYEYCVKVIRWLECEGHIESNFRVKFLTWFSLRATPQERRIVSVYVDTLIDDPPSLAGQLVDTFLEAICSKRPPPAPTGFCTNLWH >Ma04_p02200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1920729:1926552:1 gene:Ma04_g02200 transcript:Ma04_t02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFSGFVLDPSKCSKLSIEEKRELIRELSKWPESAPEKLQTWSRRDLLEILCAEIGKERKYTSLTKQKMIEYLFRVVSDKNSGEHAKDSDSSQVPSTPSPQTPSKRQRKNEHPSRLPIITNNLQPSDVEEALDNIRYCQNSACRATLNIQDAFCKRCSCCICRKYDDNKDPSLWLFCGSEAFSQGDLCGLSCHLECALKHERTGIMKSRQCTTRLDGSYYCTYCGKANDLLGCWKKQLLIAKDARRVDALCYRISLSHKLLSLTEKYQSLHEIVDTARKKLEAEVGPIDDLSNMARGIVNRLSVGAEVQRLCAHAVDLLDSMRGSSLSANSQLQQIGTVSSSFIKFEEILPTSLTVALDIEDNTPLAQELAGFTLWHRKTDNPEYPRKPSLSVFKPKKRLLLTELIPATEYMFKVVGFSKMRNLYTWEVGVKTKAISLDDSVGLALETTVSNPHCQISKTNSSGLSNPLEGDESNTNSSACADLNKLPEIDFDDCEKPQILETEKSFDHAQKDNSHQKSECKGSISGAEVLEPEDSHGHSDSALDEEPNSTIPIESTNSMENNQASDIPKSDNESNTPVVNEMVIVPFGQSDPTLPATPPCRLETGTEGSGRCIKGNNGFNIFEKGSLNPDVEPGSSSKKRGGGKFEGINIKDGSMEGLYEYCVKVIRWLECEGHIESNFRVKFLTWFSLRATPQERRIVSVYVDTLIDDPPSLAGQLVDTFLEAICSKRPPPAPTGFCTNLWH >Ma03_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1038947:1040644:1 gene:Ma03_g01440 transcript:Ma03_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMRRRREREVSELSSAHKSDHLVHIQVMSLLHAPNSSSSHLGHRAYGQPIHEGRHRSIH >Ma10_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14605764:14605937:-1 gene:Ma10_g03960 transcript:Ma10_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSDLTQARGKLAPNWEGPYQVYDVVQEGTYRLETIEGNPLLRTWNTANLKKFYP >Ma11_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5347576:5351125:1 gene:Ma11_g06630 transcript:Ma11_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASVMVNRSSLEIFLDEIRQRDERPKDLPPALPLRPTSRGRLPSWRRPLPVHLNLERGAPRSLLSNSVEGEDEEDEQTRKNERDVEQLAESPCLKTPDLVRYEKRVQIDDGSDSPAFSLPPAVGGVIKYSMNEVSGMEDDRNQTSQGIIWVQKSFRGFRACSYYQQLKKGATVLQSFVRGESTRHNFEVLKKRWRAAILIQQHLRRCFARTVFNNQRKDIIFLQSVIRGRLARNHFTALKKIEVLKSGHTYLKKREVSMVSHVQLIKGGSARDSPELVKDTESEHPQLDPSVLAQFQSQVCKAEAALRDKEEENAVLKQQLREYEMRWSEYESKMKSMERTWQKQLISLQMNFAGARKSFILEEMTNRPRQQIAARINHHYEAEDKTPAVTVAKPVNASTVMPVRDNDSTRNGAIQLTIEFGQHRQVFHDDAGALVEGKSEQLGASMNRRKLKARFASWRKWLCIASAR >Ma09_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7764684:7766907:1 gene:Ma09_g11490 transcript:Ma09_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLSMLLASLALSLLLTGSAEAQGLSLGYYSKACPNAESIVFEEMAKVIKVAPSLAGPLLRMHFHDCFVRGCDGSVLLNSTKGNVAEKDARPNLSLRGYGVIDRVKAKLEKACPGVVSCADILALVARDAVVLSKGPYWPVPTGRRDGFVSMANETKQLPPPTANITTLISMFASKGLSVKDLVVLSGGHTIGISHCAAFNDRLYNFTEDFGDSMINMGNVGVLTGSAGEVRKKCSVVN >Ma00_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2016315:2016500:1 gene:Ma00_g00670 transcript:Ma00_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRMRTQEVSTSTPLIEDQIRNYRRNQRRIFNARRRLGQLISRNPDSNIQILEQQIDPQA >Ma10_p30630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36934396:36934821:1 gene:Ma10_g30630 transcript:Ma10_t30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHALHSVMNMSRSKCDATFATFKSFGWSQPDIVAILRKKPTVWTLSKNNISDKMTFLMKEAGCELQYIIRHSGILSYSLEKRLRPRHDVINFLEQNKLLDKGQGLAYVMRLTEQKFMNKFLFPYKEKFTALYNSYVAAVQ >Ma06_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9539904:9544582:-1 gene:Ma06_g13910 transcript:Ma06_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSVDLEDVPSLDLMTELLRRMKCASKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAIKKPSCQKGFILDGFPRTVVQAEKLDEMLTKQGTKIDKVLNFAIDDAILEERITGRWIHPPSGRTYHTKYAPPKVPGIDDVSGEPLIQRKDDTAEVLKSRLEAFHRQTEPVIDYYNKKGVVSQLHAEKPPKEVTSEIQKSLS >Ma04_p39570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36653988:36655381:-1 gene:Ma04_g39570 transcript:Ma04_t39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGARVVFFLSSIACLLSTWLIRDECKRERRISIGGAKSKKARLLWLSKELGLVNLDPCKKTSEWGTGSGEKSKGLREGAFGGGNTGVGRGIYLGSDDVDWTSGYGHILGLSLVQSIQIKFSFLIRQKEEEEEEEFDTCFDT >Ma09_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2795074:2798572:-1 gene:Ma09_g04260 transcript:Ma09_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAALSTALANGRFFTVGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYAAIAWLKLVPMQSVRSRVQFLKISALSLVFCASVVSGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTLRRESWITYITLIPVVTGVIIASGGEPSFHLFGFIMCIGATAARALKSVLQGILMASEGEKLNSMNLLLYMAPIAVIFLLPATIIMEENVVGITLALAREDFKIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISIMIFRNPVSFTGMAGYTLTIIGVVLYSESKKRNK >Ma10_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8917103:8917666:1 gene:Ma10_g02670 transcript:Ma10_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLFIFFSLSSSLLPPASATFSPGGHGPCKDAGDIASICSHTDYVSLCINAAHTYGHAYAVIDAASLFGMHIHMASDRTKTVKDLASHLAAKPSSSKSVKQALVICIKMYGDALDDLVKGSDAIKARDEGTANSMLSGVISYYSTCDDAFTEIPAPNPLCKQDGTLMHIVSNALALAHLILSKGP >Ma00_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45872501:45872704:-1 gene:Ma00_g05290 transcript:Ma00_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDTDIYKHYIKHPVSKFVRDSGARRWRASCPRPHRRYPLERTIRPGFGRRCSPHRSAPRAERRTG >Ma06_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1195558:1200511:-1 gene:Ma06_g01480 transcript:Ma06_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGSMIGTSLPSHTKFISMSPNCLTIRLASRDVLSHCKVPYRRQVLTKTFSGSEVVLQKARSKTWGFECRCSAQEMESYVATDNTSRSLTQRKRLAVFVSGGGSNFKAIHEAAKEGLVHGDIMVLVTDKPGCGGAEYARENQIPVIVFPRSMSSPDAVSAAELVATLRNFEVDFLLLAGFLKLIPIELVQAFPRSILNIHPSLLPAFGGKGFYGLKVHKAVIGSGARYSGPTVHFVDERYDTGRIVAQRVVPVLADDTAEQLAARVLHQEHQLYVEVVSALCEDRIVWREDGVPLICSRENPNKLY >Ma03_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23276890:23279566:-1 gene:Ma03_g17680 transcript:Ma03_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKHILALLTFITFGMIIGWIS >Ma08_p32540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43229992:43236289:-1 gene:Ma08_g32540 transcript:Ma08_t32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSICSAAATCSSHAQASLHAGAKAFFPLRKVIDDRHIFKDELLFYIQDGTYASDKSLKTHATKYMHSLVVERPQGSGTLDSFILSPYRNESDDIVHGFPNDYLDTLPDSSCYSESEIYGLYPVADDIPGPETLPTELGRGIAASTPMRLSDTSDVTNNGFSDLKENVENFITGINQSVDASLGRAEDAVQSTYNTLKMSFTDAIESFTKSTESVFSTSLSSVYNSKEQAGGKLTGFSSMFKENVHGVGGLAIDTLRRAIVMLEDSLGNAASFLVYSYGSAKSLLPPNIKESLDLSEEKAIQIIEPVGAAFQKVYAIIEGFEKILGLDPTDPIVQFVLFFGSSAAIGTSYWFSKYGGYSGDLTPETTFMLLKDEQDAVLIDVRPEDLRERDGVPDLRRGARSKYASVVLPEIDGSVRKLLKGGREVDYSLIAAVIRSLKIIKNGSKVIVMDANGGHSKAIARSLKKLGVKNPYLVQGGFQSWVKNGLRIKELKPETALTLLNEEAEAIIEDIKPTPTLVIGYVVGISAAIYALLEWEKTLQVIGIIGLGQSLYRRVASYDDSKDLKKDVGLLLSPVRLGAQAFSWAATRLEPNKFGLPTSPSSTAVRDRVVQAAAKHESQPSDTNEPKGLPQESVIQTNENQVSEA >Ma07_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11774661:11776983:-1 gene:Ma07_g15600 transcript:Ma07_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRLFALRPARLLSLPPSAPRCRQGPAQHCRGLAASTLIKGSKKKQKEASKPTTSPSPDRLKKRTRSGAKFDRGLYRRYADPARGHVPVMLGEVLDAFGTLHLRSFVDCTLGVAGHSAAIIEAHRELELYVGMDVDPAVHDEARARIERLLAEDSRGSSLEAYTHVRNFKYIKSVLGGVDENLLDVGVNGILMDLGMSSMQVDDSGRGFSVLSDGPLDMRMNPQASLTAEDILNSWPESEVGKILRDYGEESNWHFLQKQIVKARASVGLHSTSDLVDLVRRASGRSGGREGWIKTATRVFQALRIAVNDELKTLEDALYACFDCLSSGGRLVVISFHSLEDRIVKQTFLDMIEKPKGDDDKGEISSGLSLLATESEAETWSKNRVQGRQGIVLTKRPITPTKEEEKLNRRCRSAKLRVLQKI >Ma01_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18835248:18839426:1 gene:Ma01_g20480 transcript:Ma01_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNADGVLPGTEFGHRVPVPPSRPFLDTFRANLKETFFPDDPLRQFRNESGPRRVILGLKYFLPILDWAPSYSLSLFKSDLIAGATIASLAIPQGISYAKLANLPPIIGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLADEVSPTQEPALYLHLAFTATFFAGLFQAALGLLRLGFIVDFLSHATIVGFMAGAATVVCLQQLKGMLGLEHFTTATDLVSVLKSVFTQVHQWRWESAVLGCCFLFFLLLTRFFSKRRPRFFWVSAAAPLTSVILGTLLVFLTHAENHGVQVIGYLKKGLNPPSATSLIFTAPYLTVALKTGVVTGIVALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVVMAAAVMITLLFLTPLFHYTPLVVLSAIIMAAMLGLVDYEAAIHLWHVDKVDFCVCLAAFLGVVFGSVEIGLVIAVSISILRVLLFVSRPRTTVLGKVPNSSAYRRVDQYPVAQTVPGVLILRIDAPIYFTNASYLRERISRWIEEENDSSKGETSLQYLILDMGAVGSIDTSGISMLDEVKKIIDRRSIKLVLANPGSEVMKKMNSSKILEAIGHEWVFLTVADAVAACNFMLHTCKPGATANDNGACEAVV >Ma09_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25965548:25979581:-1 gene:Ma09_g19700 transcript:Ma09_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAAVPFWRAAGMTYITYSNICASLVRGCLKEPYRSEAAAREKVHFAVSKWANGKPEKSSIRSDSHAE >Ma08_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36152325:36158140:1 gene:Ma08_g22630 transcript:Ma08_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDWESLTEATSGAVGALVSTTVLYPLDTCKTKYQAEVQSHGQRKYRKLSDVLWEAISTRQFLSLYQGLGTKNLQSFISQFVYFYSYSYFKRWYLQKSGAKSIGTKANLVVAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLWETLSEGYWSEAYEGLGISLLLTANPAIQYTVFDQLKQRILRKQSSKVMPADTKSSPAVLSAFSAFVLGAISKSVATIVTYPAIRCKVMIQSADTEDESNKDTQSKTPKTMVGALSSIWGKEGFPGVFKGLQAQILKTVLSSALLLMIKEKISKYTWISMLALRRFLLISQKKIMSH >Ma08_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37047870:37050897:1 gene:Ma08_g23680 transcript:Ma08_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLLLLVFSSLASLTTSVADDYTGFVYAGCSQLKYTPDSPYQLNVESLLSSIANAATFSSYANYTSASAAASSPVYGLFQCRGDLSSSDCNSCVRFALSQLSAFCPFTAGAAVQLNGCFLRYGNDSFVGKPDTSVLRKNCGPVAGGGGYNSDVLGMRDAALASLASGFTGGSYRVGAAGSVQAMAQCVGDQGAKQCNDCVAAAVAQLKAACPFAVAGDAYLGKCYAKYWSSGVYHSDTNDHGDQVGKTVAIIIGLIVGVALIIFLAAFLRKAGNSGKD >Ma04_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23034794:23036799:1 gene:Ma04_g20350 transcript:Ma04_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVAVGIMEELEAQSEVWNHTFRFITSMSVKCAVELGVPDAIHAHGGAATLPQLAAALSLPPARVADLRRLMRMLVHAGCFAKQEDDVYALTPWSRLLVSSEHTAAAPFVVGMLHPLVVQSWHSLGAWFHGRAPTPFAATHGKGIFETAREQPGFAAVFNEAMASDCRLVGQVLVKKHAEVLEGARSMVDVGGGTGTLAAIVAEAFPQMKCTVLDLPHVVAAAATARTPNNLVVVGGNMFDHIPSADIMLLKWILHDWKDAECVKILKRCREAIPSKQNGGKVIVIDIVLQGDEASRCDKSRESQLFLDMLMMVVSGGMQREEHEWRKIFTDAGFSSYTIKGMGLRSLIEVYP >Ma02_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18068542:18072477:-1 gene:Ma02_g07350 transcript:Ma02_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHLVSGPSFCRCRCSPSRGRGVRTASNHLRPPASMASPSASSAIAFSGGEGGGSRTNEPVSGAVSAPEAAAGEDAEYGFQRRGFGKGPLVGTVHQYDRHLFLCYKSPEVWPPNVEGSESDLLPRSLAGEIKTWQSSIDKKTRLTICQGEDGTDFSNGDVLIFPDMLRYRQLTHSDVEHFVDEVLKKNSKWLPNPPEPLSGSYVFVCAHGSRDRRCGVCGPVLMQRFKEEISSRGLQGQVFVSPCSHIGGHKYAGNVIIYSPNDNGEVSGHWYGYVTPDDVPILMEQHIGKGKIVDHLWRGQMGLSIDEQKAAQNLRLQLDGGLDESTHKVSTDTTGVSVGGCCQGIGNTTCCQVMPKENPENHIAQEQEARDIAQKSSGKDSNAGNSKEACTGKLHAISTWFKSWEREETYAALAVVSAIASVAVAYSCYRQLR >Ma10_p30370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36690592:36700211:1 gene:Ma10_g30370 transcript:Ma10_t30370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSKKRPLPPDEFDSPPPEQRRARFPKGKKAKRGSEDLPFHGDGGDGNWMDPQLAAKERAKRRNQTRENEVLGDQVDVFSGEIQYEQDNVNFEDDGIQIEPFNLKQEREEGYFDANGNFVEYTRQNEIKDAWLDNVEVDTRFVGKFQPKSTAEEVYEDLSSDDIGKIKRRIADALQPGETIIQALKRLKGTSTDKKAKMLDATKQIFDQLTEDAMKLMENGDYNVYYEEQETFAREAEGYERLTRAKADTTGTKGIISESDCAEDIFSDGMQHGEQKSEIWDIHPRPSAVNVSVQQASPDDSGDKIDMFGDEENANENLPVPSAEQADQPTSGSLGSSQDLGSGAVSHGGDGNDYVFDETSGYYYSSSLGYYYDPTSGMYCCGATGTWYTFDEHSGTYTEIQSSTTES >Ma10_p30370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36690593:36700211:1 gene:Ma10_g30370 transcript:Ma10_t30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSKKRPLPPDEFDSPPPEQRRARFPKGKKAKRGSEDLPFHGDGGDGNWMDPQLAAKERAKRRNQTRENEVLGDQVDVFSGEIQYEDNVNFEDDGIQIEPFNLKQEREEGYFDANGNFVEYTRQNEIKDAWLDNVEVDTRFVGKFQPKSTAEEVYEDLSSDDIGKIKRRIADALQPGETIIQALKRLKGTSTDKKAKMLDATKQIFDQLTEDAMKLMENGDYNVYYEEQETFAREAEGYERLTRAKADTTGTKGIISESDCAEDIFSDGMQHGEQKSEIWDIHPRPSAVNVSVQQASPDDSGDKIDMFGDEENANENLPVPSAEQADQPTSGSLGSSQDLGSGAVSHGGDGNDYVFDETSGYYYSSSLGYYYDPTSGMYCCGATGTWYTFDEHSGTYTEIQSSTTES >Ma08_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2926052:2927291:1 gene:Ma08_g04170 transcript:Ma08_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPEPPSNESPDKIPCNDHKTIRHRRLQLRWIKAEASAGGCPTNRVKNRNRSFGSDAHKASKGIAPSLSHGSVSLIGRRREMEDAVTMAPGFASVGTAPSYDFFGVYDGHCGVSVAWACRDRLHVVLAETVAALGEWPTAEEQWREVMAASFSRVDGELALKEREVGSTASVAVVGPERIVVANCGDSRVVLSRGDKAVPLSSDHKADRPDEMKRVEAAGGIVINWDVYRVSGVLATSRSIGDHYLRPYVIAEPEVTVTNRTEEDELLVLASDGLWDVISNEVVCKVARQCLSGQLVRKVPDGAREHRAKEAAVILAELALSRGSRDNISVVVVEL >Ma01_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10337594:10342841:-1 gene:Ma01_g14130 transcript:Ma01_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIGLACALGGGGWDAEVTSIMERIRSADVGNRIQAAREIRRLTKTSPRHRRQLSGAVGPLVSMLQSDSLESGDAAILALLNLAVRDESNKIKIVEAGALDPLIHFLQSTNSSLQEYATAALLTLSASSINKPTISASDAIPLLVRILRDGNQQAKIDAVMALCNLSTIPENLIVILPLQPIPSLICLLKSCKKSSRTAERCSALLESLASFDEGRTALTAAEGGVLTVVQVLEEGSLRSREHAVGTLLTMCESDHCRYRELILKEGAIPGLLELTVQGTPKSQVKARSLLQLLRSSRHERAELHADALDSIVCNIVSNIDGDDQAGKAKKMLAEMVQNSMEQSLRHLQQRAFVRERERER >Ma05_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10665016:10668144:1 gene:Ma05_g14580 transcript:Ma05_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKQAYLDKIERNLHRMQQHKQRLRGERSSDPLLANSSSDGDAGSSSSKDRLQHETLSPLRFCSDILFVLSCCCCCFCCGACIDEKENQTPH >Ma08_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6842263:6843077:1 gene:Ma08_g09460 transcript:Ma08_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVASFFGSNSKKADKKKSKNRRDSSFGNNASTSSSSDESSTSSSLLHASPRSVLPFSVHDLFGVLDRDGDGKIVVRELEAVLRRLGPDPLTAEEAASVAAEVGLAGDGCITVEELEALGAKLQLPAAAEAEAELREAFAVFDADGDGRISAEELLGVFVTLGDDGCTLEDCRCMIQGVDTDGDGFVCFDDFARMMDGQR >Ma04_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7443172:7448581:-1 gene:Ma04_g10470 transcript:Ma04_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLLVNKQGQTRLAQYYAEHLTLDERRALEGEIVRKCLARTDQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLFVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILMPIQLMDKAS >Ma00_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9737840:9738917:-1 gene:Ma00_g01580 transcript:Ma00_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVFLLILLLHGAAAVKPPAKWQTLSGEAPVVVARGGFSGIFPESSQYAYQIAESTSLKNVVLLCDLQLTKDGGGICQSDLRLDNSTTISSVFPKGQKTYSVNGQPLTGWFSVDFTSDQIYNNVSCEYTSFCSFSGFYSVYLTGSSDKEEIYNNFWLIFMWL >Ma05_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7398549:7401476:-1 gene:Ma05_g10270 transcript:Ma05_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPVDMNKNTEWFMYPGVWTTYILILFFSWLVFLSIFGCNPGTAWTIVNLVHFAITYHFFHWKKGTPFSDDQGIYNSLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYRHPMLFLNTVAVIVLVIAKLPNMHKVRIFGINAGH >Ma07_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31081773:31092381:1 gene:Ma07_g23430 transcript:Ma07_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMVIDPRKPSVSALPRVDALLSPVPVADEEDDLYGRLKSLQRQIEFIDIQEEYVKDELKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHDLYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRANVKKPDTDFDFYK >Ma05_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32614279:32621050:-1 gene:Ma05_g20910 transcript:Ma05_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAKTVVWFRRDLRIEDNPALSAAAKDGCVLPVFIWCPSEEGQFYPGRVSRWWLKHSLAHLDRSLRSLGAPLTFIRSESTLAALLQCIGAIRATRLVYNHLYDPVSLVRDHKIKSQLVSLGISVQSFNGDLLYEPWGVYDESGLAFTTFDAYWGKCRSMPNELTMLLPPWKLVPPTGTESVESCSIEEFGLENEIEKSSNALLSRAWSPGWSNADKVLSEFIDEHLLEYSNNRMKVEGTTTSLLSPYLHFGELSVRKIYQNVRMKQIQWAKDGNCKAEESVNFFLQSIGLREYSRYLCFNFPFTYERSLLGNLKHYPWRADEGQFKSWRQGKTGYPLVDAGMRELWATGWIHNRTRVIVASFFVKILLLPWTWGMKYFWDTLLDADLESDILGWQYVSGSLPDGHELKRLDNPEVLGQKYDPDGEYIRNWIPELARLPTEWIHHPWDAPSTVLKAAGVELGLNYPRPIVEILTARERLDDAVDMMWELDRAAKVAKLSSSDEVVADNLINLNSLDIPKVVVKKEVYCTSSSLDQRVPSIHNMKDNSVNKKPRDPSGEKPCAVILSSHSNTVEKSKMDVDLLSTAESSSARKRSISESHCAVPTHFSSSPDINPVQEDGSTGQCHLNRSSSDYPWQGADGIGEGKEEEDFDAQSSFKGFRPCKKPACL >Ma04_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8432791:8435256:-1 gene:Ma04_g11830 transcript:Ma04_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDPLDNGIDGDDERKEDDDAEEEPRLKYQRLVGSVPSLLSNDAAASIAVAERMIALGTHDGTVHILDFQGNQHQHLWVCPRGAQVAIGG >Ma05_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8876830:8877913:-1 gene:Ma05_g12230 transcript:Ma05_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MASSRVLSPRLWSRLSPLLRAAVPVTRHSPPPDPPLLLRPFPTPPPPASPAALFLRHLSTVSRRHPSRSHTVDIGARARQLQNRRLWTYALTFSCVAGFIVIVLSNFQDQLVFYITPSDAMQKFAANPSKNRFRLGGLVLEGSVVQPTPTSPEIEFVITDLVTDILVRFEGSLPDLFREGHSAVVEGFLRPLNESGRSAPVGSVVSEKARSGDCFFKATEVLAKHDEKYMPKEVAEAIERNKKKIKAESIAKAAEKTS >Ma03_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3375441:3382762:-1 gene:Ma03_g05190 transcript:Ma03_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MRTPRTVVVTLRRLGDHLSPALRRSPPFPPFPSAADGSILAPLVSYASSLPHASSRDEDRSQEVAPARLDLLVFRSSSSARPPRIDSSAALTSFVRNYSLRVAKVKRAPFDDEHSQRAVTTALWCNFLVFSLKFGVWLSTSSHVMLAEVVHSVADFTNQALLAYGLNSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATVVHGFQNLWSSHPPENIHYAALVIGGSFLIEGASLLVAINAVKKGAAAEGMKVWDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVNTTGNAIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMEKVLKFLKSDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGREEWAKQFREAAKSEDNTALLNVMASYGEDVVEALGSEVDRLESEIQKIVPGIRHVDIEAHNPEGLSLYHHFRHDRLKSS >Ma09_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3907299:3907532:1 gene:Ma09_g06060 transcript:Ma09_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSSLLELGFANDIHRTLFCPIDHATIPPSSTQRHTKISMISVGNMGMAITWTIPTDELALVDAKLDKLQGGDAK >Ma11_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25778821:25791694:-1 gene:Ma11_g21780 transcript:Ma11_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRGGGGGGNRPAWLQQYELVGKIGEGTYGLVFLARTSLRKGCIAIKKFKQSKEGDGVSPTAIREIMLLRETCHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNHGINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGDGEEHGVVKIADFGLARIYQAPLKPLYENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEVKGTSNPFQLDQLDKIFKVLGHPTPERWPTLVNLPHWQNDQQHIQGHKYENHGLHNFVSVPQKSPAFDLLSRMLEYDPRKRITAAQALEHEYFRMDPLPGRNALVPSQPGEKIVNYPARPVDTTADFEGTVAVQPSQPVSSGNAASGNVAGASVAPPRSMPRPMHVVGMQRMPNTGMPAFNVATQTGVGAPTSGNIPMQRGAAAQAHQQQLRRKDPGLGMQNPGYPQQKRRF >Ma04_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17580925:17583258:-1 gene:Ma04_g17310 transcript:Ma04_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVLEVTRPAHEDVERPIVRELQREPVNNLDRLFRSQRVRHIIHLITSTTDVLIDEYEDKDNARRDDIAALGGQTASGTINLFSAFYDRIKELGIREYHRRHSTARVVDVIEEYEELPKEDPLTKFSGEEAFGQYLDMHE >Ma01_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11123465:11124646:1 gene:Ma01_g15370 transcript:Ma01_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERWGKERPRRGHENPSFSSTLLDAIYRSMDESDGCGEPNPSVAALASPRLPAPSYRSAAAVSGKAVAPPVRLPPISTSSSSDNSSYGGFSSSSEPESASSHRARFRPIRIGVMAPDRSPSVLRPPPPPPQPAVHHSEKTKSGSIRSNRSDPGRPKAPASPGARLGRFLNALFSAAAKNPKKSKTSTLTVAAAVHVGDPACSTASSHSRPCLVKTPSSRRAPGADDEGVKRSVRFHPVNVIMGEDLRPCGQKSVYACDRAAGAGTEIRRRSFATEAAKGKSRDEARRRVEELLRRFEDEEDDMSDASSDLFELENLTVMGAGGGGGGGYRHELPVYETTHPGTNRAISRGIVV >Ma03_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23355804:23364656:1 gene:Ma03_g17780 transcript:Ma03_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MSSPMEVSLENGSEVTDLSKPEGVSGRPAPLPPPISAADDKVLVSVEVCLKPSSTARAEDARAAVERMLEKRSMTYVDGPIPVPADDSFFVENVKRILVCDTDEWVENHKVLLFWQVRPVVHVYQLNEEGPGEEPSGDDMLSSFNEWVLPAREFDGLWESLIYETGLKQRLLRYAASALLFTERGVDPCLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRLKSRYSWCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARQAAVSGSEPSDSIRVVNALLTQMDRLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLEARYEILRSCLQELLRAGIVTYPQDQVHFQLLNYSTLKETLHPSEPTELEGPLHLSRILLEAAKACEGSSGRALRKLPFLAHAALSNPHACDPRRFLYTLTETARRELAELNA >Ma02_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20633825:20643150:-1 gene:Ma02_g11510 transcript:Ma02_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSSHDERKERRSDIENSEDERRTRIGSLKKKAINASTRFRHSLRKKNRRKSDSRVISVSIEDIRNIEELEAVDAFRQSLILDELLPAKHDDYHMMLRFLKARKFDVEKAKHMWAEMLQWRKDYGTDTIIEDFEYSELNEVLQYYPHGYHGVDKEGRPVYIERLGKVDPSKLMQVTTMERYLRYHVKEFERSFLIKFPACSIAAKKHIDSSTTILDVQGVSLKNFSKTARELIQRLQKIDNDNYPETLHRMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGARYQNKLLEIIEPSELPEFLGGCCTCAEQGGCLRSEKGPWKDPNILKMVLNGEAQCARQIVTVSNGEKKIIAYAKPQYPTIRGSDTSTAESGSEAEDITSPRAVRNHISHPRLTPVHEEAKMVKAASFSSGFPEYDEYVPMVDKAVDGGWKKEISNKRLYASKGQCSNPETHKSPQGVRAQIIQFLVTIIVYLFTLVCSVSSCVSKRLHNEVSERDQHDPMFSVDPMVKEEYCHPSPAPAFTEADLLSRLLIRLGELEEKVNILQAKPSEMPSEKDELLNAAVCRVDALEAELIATKKALHEALMRQDELLAYIDRQEEAKMRKKKFCF >Ma02_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26177004:26184802:-1 gene:Ma02_g20140 transcript:Ma02_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCRHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLTNYVPLHAREFFNSDELKVSKIKSISSKSKEQKLQKNKQSKSTLENECEIQYYDQNFLKIIEGDVSNRSSSESAISGSESCVHFGTTDASDITGSVQSNVEHSLVPSKKRTFTTRPKPSVEKLTKDLYSILHEEQSSNLSRTSDDDLLYESGTPLGSFEIGYGGVLIRHPNSKSVEEESEASSFPVYKSCITNEDFSGSASLSVNTKSKKRSYLNSGTDPTKSTAPVTQENPKRDLILNEKLNNLQNWNSCLSYADLNVFINFEVFMKYLTYEEQQRLMKYLPSTDAANPPESLKSMFTSPQFLDTLSCFQQLFQEGVLDLSFSGANAEECRTVERVALLNSTNLQWSEFYQDIKGSSSNKKRGNGKLNRQLLPEQKSSMRSPKRVCRSGGMNPPSGYSNQLESSVVSKVTDDTEDFVDHEAACIYSRKIFATAADRSYSLAPKQFIADSSDDDLLLDMPSSASFPEAELLYHPWRQIINQNDSPAESGLEASYHPSSSFCGK >Ma01_p03040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1982570:1992270:-1 gene:Ma01_g03040 transcript:Ma01_t03040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVQHQPRSAGTTRRRRCESTSMGITTFDLHPGRGFGPFTLGMPLHEAFAQVDHQPNIYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEVYDVKRLQMQYATNLIGGPSMLATFQAVYTCFGPTYPGTYDKDRGTYTLFYPGLSFAFPIPSQYAYCCRNVEAELPLEFPDGTTPVTSRVCVYDGSSDSKVGVGSILNKATVPPLPAGSLYMEEVHAKLREELWFTVGNEHIPFGASPQDVWTLLGTPCGIHQKQVDQMMIHSASDPRPRTTRCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNFPGHSDFNSYVKCNFVIYDADVEGIIQPGSTTKNCITPSTKWEQVKEILGDCGPAVIQTPGATNSPFGSTFVYGYQNVAFEVMKNGYIATVTLFRSQG >Ma01_p03040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1982570:1992270:-1 gene:Ma01_g03040 transcript:Ma01_t03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVQHQPRSAGTTRRRRCESTSMGITTFDLHPGRGFGPFTLGMPLHEAFAQVDHQPNIYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEVYDVKRLQMQYATNLIGGPSMLATFQAVYTCFGPTYPGTYDKDRGTYTLFYPGLSFAFPIPSQYAYCCRNVEELPLEFPDGTTPVTSRVCVYDGSSDSKVGVGSILNKATVPPLPAGSLYMEEVHAKLREELWFTVGNEHIPFGASPQDVWTLLGTPCGIHQKQVDQMMIHSASDPRPRTTRCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNFPGHSDFNSYVKCNFVIYDADVEGIIQPGSTTKNCITPSTKWEQVKEILGDCGPAVIQTPGATNSPFGSTFVYGYQNVAFEVMKNGYIATVTLFRSQG >Ma01_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1982570:1992270:-1 gene:Ma01_g03040 transcript:Ma01_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVQHQPRSAGTTRRRRCESTSMGITTFDLHPGRGFGPFTLGMPLHEAFAQVDHQPNIYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEVYDVKRLQMQYATNLIGGPSMLATFQAVYTCFGPTYPGTYDKDRGTYTLFYPGLSFAFPIPSQYAYCCRNVEAELPLEFPDGTTPVTSRVCVYDGSSDSKVGVGSILNKATVPPLPAGSLYMEEVHAKLREELWFTVGNEHIPFGASPQDVWTLLGTPCGIHQKQVDQMMIHSASDPRPRTTRCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNFPGHSDFNSYVKCNFVIYDADEGIIQPGSTTKNCITPSTKWEQVKEILGDCGPAVIQTPGATNSPFGSTFVYGYQNVAFEVMKNGYIATVTLFRSQG >Ma11_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5944798:5945975:1 gene:Ma11_g07460 transcript:Ma11_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYPELPKLFLPLFFLLAHLRRLINLVFYSLGLGSLLESETQWHDADMHRHRHHQTSLHLLAAEVIQESLPVVQFEDLLAEQDGGQCLPEGCAVCLYEFEGADEVRRMVNCRHVFHRQCVDRWLAHGQCTCPLCRAPLANSDAPFHHDEDYCYSLSLPPVYALSPLQLPSS >Ma04_p33930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33329586:33331044:-1 gene:Ma04_g33930 transcript:Ma04_t33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSARRITRSQAAAAANAQKSKQEESHPRSRNGGDREARAALLDITNDSPVVGLATGCLPVEKTPSSSAAKNPGRAGRTTASGEEVLRGQVRTLLQKVEEEAEFVGRPTSGQRLFPALLGVSIYPAQILAPTPANTPQIPSLSCSAGGGEGYDSMEVATPFVLSEEDHRKVVVASNPQDPLGPQECVINRALTFDSPEKSEMSGISTVTSSPAYQSGSVSSFQEKSPEDDNSSIWSIQANASAHSDGDDDELFEDVDEDEEEYEEEDDEELLGDLCEGMKKMSMLGDEFAGKHTRFVYNSDDEIQGEEEVARRSAVSPSALVLKGLPAPEGKHLRFQEEDD >Ma06_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7555893:7556249:1 gene:Ma06_g10850 transcript:Ma06_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSALPCGEASLHCTQVGEGHRDPYIGFVIRFINHNPIKYPSVYQILKSKSVSSTVGPQVHHKDLSSVEGNLCGMTRPQCIGPASMCVCVYIGPSRRLDYEECIPYCVHPAPSLSSG >Ma05_p05180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3956229:3966499:1 gene:Ma05_g05180 transcript:Ma05_t05180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVTITLRYFAGPDVPRYVILTVGYAWFCSLSIIILVPADIWTTITQHYNGGIAFFWSWSYWSTFLLTWAVVPTIQGYEDAGDFTVKERLKTSLHVNLVFYIVVGSIGLFGLILLIVMHKVWSGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPKSIWRNADWTYRQKVLSHRVAKMAVKLDNAHQEFSNAIVVTQATSNQMSKRDSLRPYMDVIDKMLLQMLKEDPSFKPSGGRLGENDMDYDTDDKTMAALRRQLRKAREEYYRYKSEYMTYVVEALELEDTIKNYERRDSNGWKYISSFRESRTGTLGSSLDIVELLWRCILRKQLQKILAVTLGCMSVAILLAEATLLPSGVDLSLFSILINAVGKQEVLVQIAAFVPVMYMCICTYYSLFKIGMLMFYSFTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGSNAKTIFERRMGNIDDAVPFFGKGFNKIYPLIMVVYTLLVASNFFDRMVDFFGSWKRLKFQSEEKDMDGFNPSGIIILQKERSWLEQGRKVGEQVVPLARNFNGTDVDVESGSSPPGKEVLEVKAASVLPKENSNGIPPKPPKQDKRKDTTSHEAIVNKYAMIREQQVKKPASPALKEKATSSASVSLLEAGNSQRQSDGPAVGATSGLTSTWASMKNGFQNFKTNLGAKKLFPLRQAQETPLHSRGSSSESLDEIFQKLKQRPSKNQDGDFDFDFDDNDMGITDMRSTR >Ma05_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3957724:3966499:1 gene:Ma05_g05180 transcript:Ma05_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEDAGDFTVKERLKTSLHVNLVFYIVVGSIGLFGLILLIVMHKVWSGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPKSIWRNADWTYRQKVLSHRVAKMAVKLDNAHQEFSNAIVVTQATSNQMSKRDSLRPYMDVIDKMLLQMLKEDPSFKPSGGRLGENDMDYDTDDKTMAALRRQLRKAREEYYRYKSEYMTYVVEALELEDTIKNYERRDSNGWKYISSFRESRTGTLGSSLDIVELLWRCILRKQLQKILAVTLGCMSVAILLAEATLLPSGVDLSLFSILINAVGKQEVLVQIAAFVPVMYMCICTYYSLFKIGMLMFYSFTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGSNAKTIFERRMGNIDDAVPFFGKGFNKIYPLIMVVYTLLVASNFFDRMVDFFGSWKRLKFQSEEKDMDGFNPSGIIILQKERSWLEQGRKVGEQVVPLARNFNGTDVDVESGSSPPGKEVLEVKAASVLPKENSNGIPPKPPKQDKRKDTTSHEAIVNKYAMIREQQVKKPASPALKEKATSSASVSLLEAGNSQRQSDGPAVGATSGLTSTWASMKNGFQNFKTNLGAKKLFPLRQAQETPLHSRGSSSESLDEIFQKLKQRPSKNQDGDFDFDFDDNDMGITDMRSTR >Ma05_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35768186:35771495:1 gene:Ma05_g23620 transcript:Ma05_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPSLAAEKKHWWLSNRKVVDKYLREARSLIATQELSNVAAAVGLLDATLVHSPRLEAALELRARSLLFLRRFREVADMLQDYIPSYKVGGGGGIDDSSSSLGVAGDRSSVASSAPLSRERVNLLSPGRERSDGDRSFRCFSVSDLKRRLMVGLSKRSDREGQWRYLVLGQACCHLGMMEDAMVLLQTARRLASAAFRRESVGQSDDSFASFAGEDGGMAAPPPSELESASQLLSHIKLILRRRAAAVAALDAGLPAEAVRHFSKVLDSRRGLPGSFAASCLIGRAAAYRATGRLAEAIADCNRALSVDPSSIPALRSRADLFEAVRALPDCLHDLEHLKLLYDAILRDRKLLGPPWRPHRDLRYRDIPANLRAVIARIQRLRGRIAAGEGNNVDYYALIGVPRGCSRPELERAQLLLTLKHKPEKAVAFVDRLDFTDDHRDLEAIRDQARMSASILYRMLQKGYASIMTSVMDEEAAEKQRAKEAATAAIAAIQATEKPPKTERNEGGKECGMGTDKAAAATAASVFQGVFCRDMAVVGSMLSHRAIPVKYEALSC >Ma10_p19560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30078826:30088571:1 gene:Ma10_g19560 transcript:Ma10_t19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFCCASPVPVSPVAAAASAFSSSAATHSLPALPPPPPPPPPPPSSSAAAGRSRDSFPHQNHINNGGSCRPSGPAGAAASDHGRHHRASGPAPEILASASLRSSFHDAPLEQREVRLNNIVGNGIAGILHKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRIEVSQESEKGSKVIGEESIRRISRRKNGRTHLPRKPIGEVHLKVSSIRESRSDDKRFSIFTGTRRLHLRAETREDRVAWMESLQAVKDMFPRNSNGEFTGSVDKVVVSTDKLRQRLQEEGVGEATIQECEQIMRSEFAALQNQLMLLKQNQNLLVDHLGQLETEKVDLENTVVDEGQRQSRQSKEYGSAARSRQEKYSEESASESDYDNEKPDAAEEETDEEDNTFFDTRDFLSSSSFKSTESDFHRSEFDSDDENDHGVDSVGSSDSSMQYVGYNYPYVKRRKKLPDPIEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLVDRAYEYGKKGNGLMRMLNVAAFAVSGYASTDGRTCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGKGWRFWGDSNLKSKFWGRSIQVDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGIVQDRSGKTVATLIGKWDESMHYVNGDCSGKGKGSDPFSEAHILWKRSKPPKYPTRYNLTRFAMTINELTPGLKEKLPPTDSRLRPDQRCLENGEFEMANSEKLRLEQRQRQARKMQERGWKPRWFAKDKGSDMYRYIGGYWEAREEGKWDGCPDIFGQVAIDQMID >Ma10_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30078826:30088571:1 gene:Ma10_g19560 transcript:Ma10_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFCCASPVPVSPVAAAASAFSSSAATHSLPALPPPPPPPPPPPSSSAAAGRSRDSFPHQNHINNGGSCRPSGPAGAAASDHGRHHRASGPAPEILASASLRSSFHDAPLEQREVRLNNIVGNGIAGILHKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRIEVSQESEKGSKVIGEESIRRISRRKNGRTHLPRKPIGEVHLKVSSIRESRSDDKRFSIFTGTRRLHLRAETREDRVAWMESLQAVKDMFPRNSNGEFTGSVDKVVVSTDKLRQRLQEEGVGEATIQECEQIMRSEFAALQNQLMLLKQNQNLLVDHLGQLETEKVDLENTVVDEGQRQSRQSKEYGSAARSRQEKYSESASESDYDNEKPDAAEEETDEEDNTFFDTRDFLSSSSFKSTESDFHRSEFDSDDENDHGVDSVGSSDSSMQYVGYNYPYVKRRKKLPDPIEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLVDRAYEYGKKGNGLMRMLNVAAFAVSGYASTDGRTCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGKGWRFWGDSNLKSKFWGRSIQVDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGIVQDRSGKTVATLIGKWDESMHYVNGDCSGKGKGSDPFSEAHILWKRSKPPKYPTRYNLTRFAMTINELTPGLKEKLPPTDSRLRPDQRCLENGEFEMANSEKLRLEQRQRQARKMQERGWKPRWFAKDKGSDMYRYIGGYWEAREEGKWDGCPDIFGQVAIDQMID >Ma02_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16304452:16305751:-1 gene:Ma02_g04920 transcript:Ma02_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVSVRCILICVVLSSLSCVRSDLELGFYDKSCPTAEKMIFGYVKRHVPNAPSLAAALLRMHFHDCFVRGCDGSVLINSTRKHQAEKSALPNQSLRGFDFLDRVKSLVEAECPGVVSCADIIALVARDSVVAIGGPYWNVPTGRRDGLISRSSEASKELPAPTFDFTALRSSFSSKGLNLKDLVVLSGAHTIGVSHCRSFSNRLYNFTGKGDEDPSLDSFYAADLRKNKCKTPKDTTTIVEMDPGSFRTFDLGYYKHLLQRRGLFRSDAALATDAATKSAIIQLVNSPMEVFFKEFGLSMEKMGRIEVKTGSAGEIRKNCAVINV >Ma08_p25330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38228100:38232325:-1 gene:Ma08_g25330 transcript:Ma08_t25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVDIAKALHRPATYTTKYFGCELGAQSKFDEKTCTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKASKDKKGMRRAEKERLKEGEAADEELKKLKKETKKKGTVSSKDGASSKRVASKKKPTGSDEERSSPPDSQADDNEVADDNDDDGVQWQTDTSAEAARQRIQEQLNAVTAEMVMLSTGNVPDEEEKKVNQEKKEEAPKIGPEVVEKPSTHNQLIIEIKAMLKKGSKPSELSSSLPGSHQEIMNALFEALFEGEGAGKGFAKEVDKKKKYLAAAVQDEESQMCLLRAMEAFCGKFGGDAVKEVALAAKSLYDGDVLEEECIMKWYKEGLTGASKSSAVWKNIKPFIEWLQNAESESEDE >Ma06_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9253530:9254530:-1 gene:Ma06_g13510 transcript:Ma06_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCRSLVSCLSLPSLPFKLSRGFSGCMEASLLPDNKRGIMDEEEELESMSSFYSEEDDSDRESMEDATSSSSSLSSCPPSSSSSSSLDDQLEEGSLFQMSSLISVLPIKRGLSKHFNGKSQSFTSLDNVRCLEDIVKPERPCNRRLSSCKSYGGGLDSHKALSPKASSRIITKKASSSSSSSLLSARRHSLSSNRPPVCPPHRPSSLSGQMKFLCT >Ma04_p26140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27702974:27705264:1 gene:Ma04_g26140 transcript:Ma04_t26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKIVIKINVICDRCRNKALKLASSVRGVESVAIQGRNRNRVVVTGEGVDSVCLTSILRQKMGYAEIIGISRASFDEVQECEVPGHQEVPQCYNNYCGPPQMMSNGVCNTYSNGYNHYYPPHPHTIHDGWYASRPSFCSIM >Ma02_p04570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15942855:15950945:1 gene:Ma02_g04570 transcript:Ma02_t04570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSDNQGNRLGSTQSEESALDTHRNLCSHLPYNRSPLQLIASAGQHPENSAAYFLWPTSTLMHGASEGRSKYFENLQKGVLPGHLGHLPTGQQAKTLLDLMIIRAFHSKILRRFSLGTAIGHRIRRGTLTNIPAILVFVARKVHRKWLGHNQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYSELADGLRGSDPCIGSGSQVASQGTYGTLSAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFANDFDMSCITTAVKGLGEIGDVKVIDMQSPINSLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKGICFLTDFLVVGEDQQTFDLEGDSGSLIILTGQDSEKPKPIGIIWGGIANRGRLKLKNVHEPENWTSGVDLGRLLDLLELDLITTSEGLKVGESSPIVGSLPPNEIFEPLGINLQQFPPEGGSGSGENVPFTDMAFHVETAEVANSVEEHQFIPNLISMLPMHRREDNLERKNLSAPTNLSDEDPCVSLQLGDREPKRQRSGQNED >Ma02_p04570.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15942850:15950949:1 gene:Ma02_g04570 transcript:Ma02_t04570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSDNQGNRLGSTQSEESALDTHRNLCSHLPYNRSPLQLIASAGQHPENSAAYFLWPTSTLMHGASEGRSKYFENLQKGVLPGHLGHLPTGQQAKTLLDLMIIRAFHSKILRRFSLGTAIGHRIRRGTLTNIPAILVFVARKVHRKWLGHNQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYSELADGLRGSDPCIGSGSQVASQGTYGTLSAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPVKGLGEIGDVKVIDMQSPINSLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKGICFLTDFLVVGEDQQTFDLEGDSGSLIILTGQDSEKPKPIGIIWGGIANRGRLKLKNVHEPENWTSGVDLGRLLDLLELDLITTSEGLKDALQEQRFALTATINSAVGESSPIVGSLPPNEIFEPLGINLQQFPPEGGSGSGENVPFTDMAFHVETAEVANSVEEHQFIPNLISMLPMHRREDNLERKNLSAPTNLSDEDPCVSLQLGDREPKRQRSGQNED >Ma02_p04570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15942855:15950945:1 gene:Ma02_g04570 transcript:Ma02_t04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSDNQGNRLGSTQSEESALDTHRNLCSHLPYNRSPLQLIASAGQHPENSAAYFLWPTSTLMHGASEGRSKYFENLQKGVLPGHLGHLPTGQQAKTLLDLMIIRAFHSKILRRFSLGTAIGHRIRRGTLTNIPAILVFVARKVHRKWLGHNQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYSELADGLRGSDPCIGSGSQVASQGTYGTLSAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFANDFDMSCITTAVKGLGEIGDVKVIDMQSPINSLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKGICFLTDFLVVGEDQQTFDLEGDSGSLIILTGQDSEKPKPIGIIWGGIANRGRLKLKNVHEPENWTSGVDLGRLLDLLELDLITTSEGLKDALQEQRFALTATINSAVGESSPIVGSLPPNEIFEPLGINLQQFPPEGGSGSGENVPFTDMAFHVETAEVANSVEEHQFIPNLISMLPMHRREDNLERKNLSAPTNLSDEDPCVSLQLGDREPKRQRSGQNED >Ma02_p04570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15944725:15950945:1 gene:Ma02_g04570 transcript:Ma02_t04570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSDNQGNRLGSTQSEESALDTHRNLCSHLPYNRSPLQLIASAGQHPENSAAYFLWPTSTLMHGASEGRSKYFENLQKGVLPGHLGHLPTGQQAKTLLDLMIIRAFHSKILRRFSLGTAIGHRIRRGTLTNIPAILVFVARKVHRKWLGHNQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYSELADGLRGSDPCIGSGSQVASQGTYGTLSAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFANDFDMSCITTAVKGLGEIGDVKVIDMQSPINSLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKGICFLTDFLVVGEDQQTFDLEGDSGSLIILTGQDSEKPKPIGIIWGGIANRGRLKLKNVHEPENWTSGVDLGRLLDLLELDLITTSEGLKDALQEQRFALTATINSAVGESSPIVGSLPPNEIFEPLGINLQQFPPEGGSGSGENVPFTDMAFHVETAEVANSVEEHQFIPNLISMLPMHRREDNLERKNLSAPTNLSDEDPCVSLQLGDREPKRQRSGQNED >Ma02_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15942855:15950945:1 gene:Ma02_g04570 transcript:Ma02_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSDNQGNRLGSTQSEESALDTHRNLCSHLPYNRSPLQLIASAGQHPENSAAYFLWPTSTLMHGASEGRSKYFENLQKGVLPGHLGHLPTGQQAKTLLDLMIIRAFHSKILRRFSLGTAIGHRIRRGTLTNIPAILVFVARKVHRKWLGHNQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYSELADGLRGSDPCIGSGSQVASQGTYGTLSAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFANDFDMSCITTAVKGLGEIGDVKVIDMQSPINSLIGRQVVKVGRSSGLTTGTVMAYALEYNDEKGICFLTDFLVVGEDQQTFDLEGDSGSLIILTGQDSEKPKPIGIIWGGIANRGRLKLKNVHEPENWTSGVDLGRLLDLLELDLITTSEGLKDALQEQRFALTATINSAVGESSPIVGSLPPNEIFEPLGINLQQFPPEGGSGSGENVPFTDMAFHVETAEVANSVEEHQFIPNLISMLPMHRREDNLERKNLSAPTNLSDEDPCVSLQLGDREPKRQRSGQNED >Ma07_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4306067:4309246:1 gene:Ma07_g05960 transcript:Ma07_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMSSDSGPEGVSGGLTGLGTLPEGCVAEIMLRLEPAEICRLARLCRTFCGAASADLVWETKLPRNYRYLLGKASGEDNSEGRRLSKKEIFALLCRRNAFGGANMEFCLEKKRGLICMWISSKALSITGIGDRRYWNFIPTAESRFQTVAYLHQIWWLEVRGEVEFCFPEGTYSLYFRLHLGRATKRLGRRVCSPEHIHGWDVKPVRFTLSTSDGQLAQSKCYLDEPGSWIHYHVGDFVSRSSDAPTGVKFSMTQIDCTHTKGGLCVDSVLIWPQGFVGLPTASCPLL >Ma11_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16706856:16719804:1 gene:Ma11_g12830 transcript:Ma11_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MEQLYPCFECLERQVHSDLSTDLFFRYGVSDTALPFGSSAVLQVYLTGEVDNLLSEEVSVQLVLMGLFNEKITSLGQNISSDSSGSLGDSAGGKDKQSPSEEGLVAVGHEISVSTHDQQYLLRVIAAITPDAYVGRASYATIRNLSLKYLSGALGNNSSSLVNFFREGRTTDYDVANFLKLVAYPASASNLIGSIRHPNIFPVLGILEAPVYSYLLHPKAPYTLENVLKYSPTVLKSDWHIRFLTYQILSALAYIHGLGFAHGNISPSSIHLNESLWACLNISEMACLKEASHSASRKACCFAEECPCQEIYADFGLSTSMTWSTSFRRWWAGDLSNYEYLLLLNKLAGRRWGDHSFHMVMPWVIDFSVKPDENSDVGWRDLTKSKWRLAKGDEQLDFTYSSSEIPHHVSDECLSELAVCSYKARRLPLSVLRSAVRSVYEPNEYPSSMQRLYQWTPDECIPEFYCDPRIFTSLHSEMSDLALPSWTTSPEDFILIHRDALESDRVSRQIHHWIDITFGYKLSGEASVEAKNVMLPTSNPSTPKSTGRLQLFTKPHPMRHGVTPHSQYHGLKESCFKCQLQHEGKEISSITNGSSHLDPEELLSGTRYLDNLETATLFCEQTRYLDSVYNYQEGFLDYTCSLKSQLSDLSIIGTLEKTSDTTSVPSDFDLGCLLECFEADDNLSMGFQESLNWRQKSSYLGVCSDKYAKDIFSYGCILAELYLKRPLFDTVSFAAYKESGVMPGAIQELPPHVALLVKASIHRDWRRRPSAKCFLESPYFSPSVRSAFLFLAPLQLLVNSGYCFQYAAKLASGGALKSMGASAAEMCASFCLPLMTSSLSDIETESALCLLKEFINCLSSPAIKALILPIIQKILQASQYSHLKVSLLQDSFVRVLWKQLGKQAYLEKMHSFVIANLVNPPNKVTACAASVALIGSSDELGYPITIHQTILPLIHSFGKGLCSDGIDALVRIGSLLGEAFISGQLLPLLRNIILSCINVSQINKPEPMRSWNVLTLIDSFSTLDGLITVMPKEAILKELIQDKVCLHVRVLMQTQLDLSVVQVAAAALISLCKRLGPDFTSLYVLPQLKNLFDELAFSQSATPRPDASGRNVRISKQKVEEDIRIESRMDLVLLLYPFLASLISIEKLRQCCSTWFLLEQILQRYYNWKWDIVGETHRSSGESFSAQRLSIGRISSSVYNPAKLLLNDVGWSVPQSQGAKSGTSLLSSNKDMNEFPYTEKFKLSEQHYGTTDLKNYMPWFWFPSPDASSDAPDFLGRSGSLKDEPPWKIKASVLYSARAHPGAVRSIAVCHDECTFYTGGVGPGFKGSVQKWELARMNCISGYYGHDEVVNDICTLSVSGRIASCDGTIHVWNGDTGKLISAYAESSISFPLPTANKVAIEQSNMLIANELTGGILSNAFSGSLYTCMHYLDSVNKLVAGMGNGSVRFIDVLQDRKLQLWKTDAAEYSFSSLVSAICSCGSENIQADGGSSLPSWIATGLSSGHCRLLDVRCGNIIARWRAHDGYITKLAAPEDYLLVSSSFDRSLRVWDLRRSLASQLNVFRVHSDAITSFAMWSQDVISISRNKIALTSLSTSADQQGGRYQLSPQTLYSADRGTRSQSVLSTISILPFSRLFLVGTEDGFLKVCC >Ma05_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24052063:24060580:-1 gene:Ma05_g18530 transcript:Ma05_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEDAPPGDAKSGHKIFQSKCAHCHTVEKGAGHKHGPNLHGLFGKQYGTTPGYSYSTASKNIDVIWEENSLYDYLLNPKEYIPLKKKFPGMKNPQERADLIAYLKEATTS >Ma02_p10340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19960436:19962547:-1 gene:Ma02_g10340 transcript:Ma02_t10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEENMSNPTTSASSQNPVPAKRKRGLPGNPDPDAEVIALSPNTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKQVRKKVYICPDASCVHHDPSRALGDLSGIKKHFSRKHGEKKWKCEKCSKKYAVHSDWRAHCKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARVTQANPVADHHQHLYSQPLSSHELAAGQSTTMQPQFPDGLRSQHADIRRSHGTYVTMKQEISSPWAACQGPEPQPAASTSQSQMDPLSSIYATMLESEFQREGQSSLPASYRTSTTFPFLSATALFQKEAQMGAVTARPRHIDQMAAHTSSATGFSLGWCSGGAPLHGVKTHSALLHEMACPPPPPADIAGFSEGKPQ >Ma02_p10340.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19960436:19962547:-1 gene:Ma02_g10340 transcript:Ma02_t10340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEENMSNPTTSASSQNPVPAKRKRGLPGNPDPDAEVIALSPNTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKQVRKKVYICPDASCVHHDPSRALGDLSGIKKHFSRKHGEKKWKCEKCSKKYAVHSDWRAHCKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARVTQANPVADHHQHLYSQPLSSHELAAGQSTTMQPQFPDGLRSQHADIRRSHGTYVTMKQEISSPWAACQGPEPQPAASTSQSQMDPLSSIYATMLESEFQREGQSSLPASYRTSTTFPFLSATALFQKEAQMGAVTARPRHIDQMAAHTSSATGFSLGWCSGGAPLHGVKTHSALLHEMACPPPPPADIAGFSEGKPQ >Ma02_p10340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19960436:19963067:-1 gene:Ma02_g10340 transcript:Ma02_t10340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSMRAFVLEEEENKAGLEVASSTMMKVEENMSNPTTSASSQNPVPAKRKRGLPGNPDPDAEVIALSPNTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKQVRKKVYICPDASCVHHDPSRALGDLSGIKKHFSRKHGEKKWKCEKCSKKYAVHSDWRAHCKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARVTQANPVADHHQHLYSQPLSSHELAAGQSTTMQPQFPDGLRSQHADIRRSHGTYVTMKQEISSPWAACQGPEPQPAASTSQSQMDPLSSIYATMLESEFQREGQSSLPASYRTSTTFPFLSATALFQKEAQMGAVTARPRHIDQMAAHTSSATGFSLGWCSGGAPLHGVKTHSALLHEMACPPPPPADIAGFSEGKPQ >Ma02_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19960436:19962524:-1 gene:Ma02_g10340 transcript:Ma02_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEENMSNPTTSASSQNPVPAKRKRGLPGNPDPDAEVIALSPNTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKQVRKKVYICPDASCVHHDPSRALGDLSGIKKHFSRKHGEKKWKCEKCSKKYAVHSDWRAHCKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARVTQANPVADHHQHLYSQPLSSHELAAGQSTTMQPQFPDGLRSQHADIRRSHGTYVTMKQEISSPWAACQGPEPQPAASTSQSQMDPLSSIYATMLESEFQREGQSSLPASYRTSTTFPFLSATALFQKEAQMGAVTARPRHIDQMAAHTSSATGFSLGWCSGGAPLHGVKTHSALLHEMACPPPPPADIAGFSEGKPQ >Ma09_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35400311:35404996:-1 gene:Ma09_g23570 transcript:Ma09_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMMDWNANASLLWDWDNHAPFGGNCKLSAAAAGGASSGSELGNGSSSKSTISASFDSSSKAGKEPEFVANPRNHDKNRFLQESDCPPVAALAAGLEESQIGLKLGKRTYFEDVSAESNAKNPFSSLDSASAATALAKKSRVTHQSAQSTFCQVEGCNVDLSEAKDYHRKHRVCVTHSKCPKVLVAGQERRFCQQCSRFHELSEFDQKKRSCRRRLSDHNARRRKPRPNLITFNSTDFSSSFYDDRHHMNLLWNKTLFGHMRSMASTTIEGSQNDKQTQMRGPWVKSTKELGIDEQLPLPNTQLSNGFFTLYHDVDKLLPLKGTAAEVLNQGSEASAGASNFDGAPDLRRALSLLSTNAWGSPDPGQPSSIVEFLDTNHHSTAEPMMPTLNSSGQFFPGQSLAQQAQLLPFTMHRDDSHPQGVQLQNRDTLFDPRQIH >Ma09_p23570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35400311:35404996:-1 gene:Ma09_g23570 transcript:Ma09_t23570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMMDWNANASLLWDWDNHAPFGGNCKLSAAAAGGASSGSELGNGSSSKSTISASFDSSSKAGKEPEFVANPRNHDKNRFLQESDCPPVAALAAGLEESQIGLKLGKRTYFEDVSAESNAKNPFSSLDSASAATALAKKSRVTHQSAQSTFCQVEGCNVDLSEAKDYHRKHRVCVTHSKCPKVLVAGQERRFCQQCSRFHELSEFDQKKRSCRRRLSDHNARRRKPRPNLITFNSTDFSSSFYDDRHHMNLLWNKTLFGHMRSMASTTIEGSQNDKQTQMRGPWVKSTKELGIDEQLPLPNTQLSNGFFTLYHDVDKLLPLKGTAAEVLNQGSEASAGASNFDGAPDLRRALSLLSTNAWGSPDPGQPSSIVEFLDTNHHSTAEPMMPTLNSSGQFFPGQSLAQQAQLLPFTMHRDDSHPQGVQLQNRDTLFDPRQIH >Ma09_p23570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35400311:35405394:-1 gene:Ma09_g23570 transcript:Ma09_t23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMMDWNANASLLWDWDNHAPFGGNCKLSAAAAGGASSGSELGNGSSSKSTISASFDSSSKAGKEPEFVANPRNHDKNRFLQESDCPPVAALAAGLEESQIGLKLGKRTYFEDVSAESNAKNPFSSLDSASAATALAKKSRVTHQSAQSTFCQVEGCNVDLSEAKDYHRKHRVCVTHSKCPKVLVAGQERRFCQQCSRFHELSEFDQKKRSCRRRLSDHNARRRKPRPNLITFNSTDFSSSFYDDRHHMNLLWNKTLFGHMRSMASTTIEGSQNDKQTQMRGPWVKSTKELGIDEQLPLPNTQLSNGFFTLYHDVDKLLPLKGTAAEVLNQGSEASAGASNFDGAPDLRRALSLLSTNAWGSPDPGQPSSIVEFLDTNHHSTAEPMMPTLNSSGQFFPGQSLAQQAQLLPFTMHRDDSHPQGVQLQNRDTLFDPRQIH >Ma06_p12430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8621742:8628425:1 gene:Ma06_g12430 transcript:Ma06_t12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTNEDRLREYFRNYGEVVEAVIMKDRTTGRARGFGFIVFADPAVAERVVMEKHLIDGRMVEAKKAVPRDDQQILNRNNSSVHGSPGPGGRTKKIFVGGLPSTLTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLFKSFHELNGKMVEVKRAVPKELSPGPNIRSPSPGYNYGLNRANSFLNGYTQGYNPSLISGYGMRMDGRLGPISARNGFTSFGPGFGMGMNFEPSLIPSFGGNSSFSNNIGYGRGLSPYYSANSTRYSSPIGYGGGSANASSGFSSVTRNAWSGGDLNYGTNSASSNAYMASGNGSLGGFGNSTINWGSATPISAQVGGGTSSYTSGNLNFGGGDNNFDLGGGSFGRSTGPSVVKTSLSPSSGGFEGSYAELYGGSSVYGDPTWRSSSSELGGTGSFGYGLGTVPSDIIGKGSAGYVGDYNATNRQPNRGIAT >Ma06_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8621742:8628425:1 gene:Ma06_g12430 transcript:Ma06_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTNEDRLREYFRNYGEVVEAVIMKDRTTGRARGFGFIVFADPAVAERVVMEKHLIDGRMVEAKKAVPRDDQQILNRNNSSVHGSPGPGGRTKKIFVGGLPSTLTESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLFKSFHELNGKMVEVKRAVPKELSPGPNIRSPSPGYNYGLNRANSFLNGYTQGYNPSLISGYGMRMDGRLGPISARNGFTSFGPGFGMGMNFEPSLIPSFGGNSSFSNNIGYGRGLSPYYSANSTRYSSPIGYGGGSANASSGFSSVTRNAWSGGDLNYGTNSASSNAYMASGNGSLGGFGNSTINWGSATPISAQVGGGTSSYTSGNLNFGGGDNNFDLGGGSFGRSTGPSVVKTSLSPSSGGFEGSYAELYGGSSVYGDPTWRSSSSELGGTGSFGYGLGTVPSDIIGKGSAGYVGDYNATNRQPNRGIAT >Ma10_p27280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34639888:34647541:1 gene:Ma10_g27280 transcript:Ma10_t27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRRPRAIFMAFGTRGDVFPIAAIAAAFACDQQQYHVVLITHLAHQSLSEHLAAKNVAYIPVSSPPVLSVHQFGSEQMPFSMHKKRIQAEHRQQCLSVVERVLGDYPSMKDDFIVINFFALEGWHLAEMFQIRCVIASPYVVPYSAPSSFERRFKQELPCLYNYFQESSPNTVNWKDVVHWMWPLFTEDWGRWRSEYLKLSPIPFMDPVTNLPVWHMHAGSPILLYGFSKEIVECPGYWPLNIHVCGFWFLPLEWQFSCNKCRDIMSASPNGSMTGMSELCTIHADIQQFLMKSSHPQLPLFIGLSSIGSMGFLKNPHAFLRVLKAVLDVTEHRFILFSSGYEPLDAAIQSISCESSNRANPPPSNIHGTLLFSDRLYCFSGSIPYSWLFSKCAVAIHHGGSGSTAAALHAGIPQILCPFILDQFYWAERLHWIGVAPEPLRSWHLFPDNDDATSISQAADCLARAIKLSLSPEIKAQASKVADRISSEDGLQEALKVLKERVLCPC >Ma08_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10475449:10480479:1 gene:Ma08_g13220 transcript:Ma08_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFQKFQEAVKALAKNRMFARDPRHLQFEADINRLFLYTSYNRLGNSAEENDADEIIEMANKASVKDQQKQVQENIHFQIKNMCKIMDEILRVDNKNIPDPPSSIPCSQNGPRRRSGLSFAIGKGTPSANEPVVPTTQPLTCSELSQCLMDRLGYAPDIKPSQIPHEEAGQGLFLNGEADVGAIIAFYPGMIYSPAYYRYIPGYPRVDASNSYLITRYDGNVINAQPWGTGGETRELWDGFYHPQYNPDTSEETGRGSDRMWRMLSKPLEASNRGTIGEVLERRNPLAFGHFANHPPKGIAPNVMVCPYDFPLTEKDMRVYIPNLIYGDEESITMRRFGTFWFRLGSSVDPDGDSPVLKTLVLVATRALCNEEVFLNYRLSNQKRRPAWYTPVDEEEDKRRWS >Ma08_p13220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10475442:10480479:1 gene:Ma08_g13220 transcript:Ma08_t13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFARDPRHLQFEADINRLFLYTSYNRLGNSAEENDADEIIEMANKASVKDQQKQVQENIHFQIKNMCKIMDEILRVDNKNIPDPPSSIPCSQNGPRRRSGLSFAIGKGTPSANEPVVPTTQPLTCSELSQCLMDRLGYAPDIKPSQIPHEEAGQGLFLNGEADVGAIIAFYPGMIYSPAYYRYIPGYPRVDASNSYLITRYDGNVINAQPWGTGGETRELWDGFYHPQYNPDTSEETGRGSDRMWRMLSKPLEASNRGTIGEVLERRNPLAFGHFANHPPKGIAPNVMVCPYDFPLTEKDMRVYIPNLIYGDEESITMRRFGTFWFRLGSSVDPDGDSPVLKTLVLVATRALCNEEVFLNYRLSNQKRRPAWYTPVDEEEDKRRWS >Ma04_p31050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31480710:31483995:1 gene:Ma04_g31050 transcript:Ma04_t31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILIIGGTGYIGKFIVAASARSGHPTFALVRSTTAPADQPAKANLLHDFQAAGVTFLQGDLHDPDSLVRAIQQVDVVISAVGSWQLKDQTKIIDAIKDAGGHIKRFIPSEFGNDPDRIHAVEPAKSMYAIKVQIRRSVEAAGIPYTFVSCNFFAGYFLPKLAQAGASGLPTDKVVILGDGNTKAIFLDEDDIGAYTINAVDDPRALNKILYSRPPANILSHNELVSLWERKVGKTFERVYVSEEDVLRQIQESPMPLNIILAISHSSFVNGDQTNFEIEPSFGVETSMLYPDVKYTTVDEYLDRFL >Ma05_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21856863:21867374:-1 gene:Ma05_g17910 transcript:Ma05_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSNKRVIFKDYVTGYPKEEDMILTSATVPSKVPQGSTAVLLKNLYLSCDPYMRGRMSKPINHSYTDAFTPGSVIIGYGVSKVVDSGHPDFSPGDLVWGMTGWEEYTLVTTPKGLTKIKYTDVPLSYYTGILGMPGLTAYVGFHEICSPKKGETVYVSAASGAVGQLVGQFAKLMGCYVVGSAGTKEKVDLLKAKFGFDDAFNYKEEEDLDAALKRCFPDGIDIYFENVGGKMLDAVLLNMRVHGRIAVCGLISQYNLTQREGIYNMTAIVTKRIKMQGFIEPDHKHLYPQFLEAVIQFIRDGKVVYVEDIAEGIEKAPSALIGLFTGCNVGKQVVLVARD >Ma08_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12808339:12812504:-1 gene:Ma08_g14500 transcript:Ma08_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGDSRGGFDGGVECDSQETEDGSKFYKEIEVFEEALEPPPQHFLPDSGVASGVEDGAATELGLSIESRDLQTVVHERELNEEEMIVEKFYGAEVEVQEGEEEEKLDTSTQLFQRHEQEDEEKEEQEEENEGVEVIVSGKLDASIDCQNINQNFEEHVVVVSEEGTRTCDECDCACSKPEDVQEPMSIQSNYPISSSEAAGGMGEVTNGSSFIVDDKELTVGDGIKEFAGNKFKFEEIERMKLDRPDGLPHEGPENGESLEVTSDECGKNNDTESNEQFVHQNGRQQMDITAQSVAVSEEPVKFQQLKENSAEPDDGFAPRNGHASVKKEDGGVATNGHAILEKDGSSCSHVPNTANGPLKEDKADIHVTTKKLQIESVEILSCSSSIIDDNKKNASPSPSPTPSIPNPENLGHPGFLSRPAGLGSSVPLLEPSGRSLQQPKANGSAPWRLSQPSEEPPADDGEVNDETHEKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLKRNTNRPGVFSFDRASVMAEQLEAAGRETLDFSCTIMVIGKTGVGKSATINSIVDEIKLPTDAFQMGTKKVQEVVGTVQGIKVRIIDTPGLVSSCSDQHRNEKVLHSVKRFINKTPPDIVLYFDRLDMQSRDYGDGPLLRTITSIFGASIWFNAIVILTHAASAPPEGPNGSPLSYETFVSQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRMNRAGQRVLPNGQVWKPQLLLLSFASKILAEANMLLKLQDSPPGKLFGSRPRVPPLPFLLSSLLQSRPQLKLPGEQFGDDDNLDEDVDGTSDSDEGSDYDELPPFKPLTRSQLAKLSKLQKKAYFEELDYRERLFYKKQLKEEKRHRKFAKKMADMAKDMQGGHSNGDMEEETSGPASVPVPMPDYVLPNSFDSDNPTHRYRFLDSSNQWLVRPVLDSLGWDHDIGYEGLNVERVFVIKDKIPMSVSGQLTKDKKECSLQTEVASSIKHSESKATSLCLDMQTVGKDIAYTLRGETSFRNIRRNNTAAGVSVTVLGDSVSAGLKFEDKLMISKRFRVLLTGGAMAGRGDVAYGGRLEATLRDKDYPIGRALSTLALSIVDWHGDLQLGCNLQSQLPLGRGTNVIGHANLSNKGTSQFGIRLNSSEHLQIALLAFVPILRNVNKILFGSSQFM >Ma10_p29950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36370426:36373896:-1 gene:Ma10_g29950 transcript:Ma10_t29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLNLAAEVVYDPSNSRTFKSRSGSYANERRNFVSGNLADMYRKPISKESDDQSDSENEKMDIRKILKDVEYLGASNMSWKERKQHENRKVVSLGGKPPKKHRTPLSVAKPAMKNQKKREEKKKEEELILGRFALKSNSKARKPKPEGRGLKATEGHFRKGVLNVKHLLAPTTSKSSTFDNKKGKKKVTGKKSKRKGR >Ma08_p32940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43483121:43485050:-1 gene:Ma08_g32940 transcript:Ma08_t32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSLSLCRCLHCSSSPVGSRRFRVSAVAAPPLRRDAVQHSMPPEKVEVFRSLEGWATGALLPLLKPVEQCWQPTDFLPDSSRPMEEFEEEVRALRARTAELPDDYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASGSPWAVWTRTWTAEENRHGDLLGKYLYLSGRVDMRMLEKTVQYLIGAGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKERGDSVLARVCGSIAADEKRHENAYARIIEKLLELDPDGAVLAIADMMRKKITMPAHLMADGRDPRLFDHYSAVAQRLGVYTAADYTSIVEFLVERWRLEKMEAGLSGEGRRARDFVCGLPARMRRLQERAEDRAKAAEPRRVKFSWIFDREVTI >Ma03_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27892073:27895039:-1 gene:Ma03_g23290 transcript:Ma03_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILAGLGWLGESFIRSLKDQIGDYLIRWLLEELGVVAGLGWLRDSIGSLMDNIRDSAIRGFLDDLGVGDDLGELMNTLTRTMHIIGEVENKWIEDEDTKKRLKEWMMELKDTVYDADDLLDEIQLWVLKQQIEQQGAQRDEASNQSSSSSGLPPRKKMKISVSKVASSLFGRGDDVNRARKIQMKLDEITTYIKDFITTLDADEKQMIASVVPRTTTSFPIETQVFGREEQLNHLLEQLMKSADGSGSSNSSISTLTIVGVGGVGKTTLAQQAYNHERVQDYFQPKVWLCVSDNFNVERLTKDIIEFITEEKCDLSNLDTLQEVVKEKLTSKRFLLVLDDVWNEDSLKWERFCAPLRSAVPGSKILVTTRSKKIAEMVGNPIPLEGLDEASCWKLFKKCAFGSEDAGEFPHLEAIAKKIEGRLKGLPLAARVIGELLKAQMNEKHWSNIAESEIWQLQQDEQGDLPVLQLSYQCLPSHLKRCFVFCSLFPKDHRFDGEHLVRLWMAEGYVAQDNNMTMEDTGSRYFLDLVNRSFFQETPWGSTYVMHDLIHDLAQFISEGEFCRIDDESKEIPNTTRHLSTTLTDGTKLIELSCYDKLRTLMINYKSHWFGFGVESPLFIQFEKLKNIRVLILQNCGLRELPEKISGLIHLRYLDISYNCQIWRLPESLCGLYNLQVLDLFQCPLQSFPHGMSKLINLMHLNAADEIIFKINDIGKLTSLQRLSSFIALKDQRHKVAQLDGLTQLHGELRITNLENVESKQEASKANLNNKQYLDALALQWTSDDGSNLNGNELVMSEEVLEGLQPHQALKRLTIRGYNGVRPPSWLQAQLLSNLITLELENCTAWEDLSCIGQLPNLKNLYVKGMPAGMSAVTKVGHEFFGCRDQGKCFPCLEELKFSDMPAWQEWSWADGGELFPCLRRLEIVRCPKLQRLPPLPPL >Ma06_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19550910:19550978:-1 gene:Ma06_g22760 transcript:Ma06_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTDVLAVMSSSSPNGTTPL >Ma00_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3917927:3918449:-1 gene:Ma00_g01110 transcript:Ma00_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSENLYTYLQVYKFQTNLFDGREYKWEGLTSEWANVSASSLTNFIEFVTSPNNPDGLLRQSVLCGSAVIHDHAYYWPHYSAIPAAADEDIMLFTNSKISGHASSRLGWAVIKDEKIYERVTRYMLLNTMGVSRDTQLRVLKR >Ma06_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1019481:1019978:-1 gene:Ma06_g01270 transcript:Ma06_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEEIQWVEENLPLACGIFRAGNVGNLDMSRFSHIVKCDSSKKQSFYRIFPKKGEIWAAYKNWNNNWKDFNFVGFLCQVVEILSDFSKESGTSICSLVEVEGCVTFFVRKLHEGFQLTKQLQRLEMLSFSHGIPTFTVVGIKNHAIPKGSWHLELDALPPRWSN >Ma04_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7786769:7789840:1 gene:Ma04_g11060 transcript:Ma04_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSVVAPNPEAEPDAEESNRNPNPVAPPAAGVVCLLRFAGDSAAGAFMGSVFGYGKGMMNKRGFKGSFADAGSSAKTFAILSGVHSLVSCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPHALLQSCLTFGAFSFIMEGLNKQQTALAKSFSSGNEDVDSPKNVLPPFTLPLPPSVMEGFSSFPLCLSKPKSSITW >Ma00_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:14239504:14239731:1 gene:Ma00_g02000 transcript:Ma00_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSDCRHFLAVEVPEEREAISRPFAMTGCSPLYGLALLSLRCRGRPKKGSSYHIAYEIVLEASLEARRGRSAS >Ma06_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23019765:23024490:-1 gene:Ma06_g24520 transcript:Ma06_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGGGNDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVDGIVWFLELFGYYISTDEQDVFSKELKLDSKAFYFDFGENKRGRFLKISEASVNRNRSTIIVPAGNSGEEGWTAFRNVLQEIHEEASRLFMVPNQQHMEPPEHLPGLSDDVGAGFISGHSAQSASGTELNADRMVDMHTHDDMGGMGMSKVIRADQKRFFFDLGSNNRGHFLRISEVAGADRSSIILPLSGLKQFHEMIGHFVEITKDRLEGMVGANVRTLEPAQR >Ma07_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3806870:3814317:1 gene:Ma07_g05230 transcript:Ma07_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTHFEIRVQPNDTIMAVKKNIEQIQGKDSYPWGQQLLIHNGKVLKDETTLEENKVKEDGFLVVMLSKSKAAGSSGSSSAQPSATSAVQHPTPKEAPTQVPVQAPPQVAAQAAPPTPVPASSSIGSQGSNLEVSADTYGQAASNLIAGSNLEQMVSQLLEMGGGNWDRDTVLLALRAAYNNPERAVEYLYSGIPATTEIAVPVDPLPLSQASSQGANPTDATAPGFLSGLPNSAPLNMFPQGNTNPGVGAGGGSLDFLRNNPQFLALRAMVQANPQILQPMLQELSKQNPQLLRLIQEHHAEFLQLINEPIEGLEGDMFDQPEQDEMPHTINVTAEEQEAIGRLEAMGFDRASVIEAFLACDRNEQLAANYLLEHAGDED >Ma00_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29817427:29817703:1 gene:Ma00_g03550 transcript:Ma00_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKIQGEVPVLMSGAGHDAMAISQLTKVGMLFVRCRGGISHSPDELVLDDDVGRLVLPSSISLTNM >Ma03_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29135530:29137505:-1 gene:Ma03_g24950 transcript:Ma03_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLSMGFSEDLASEALAATGGHSPLAAADWILTRSSSSSSVPPPPLPPSQSPSTQPCLERFFASSFAIPKTLNPSSSSSAPKTPNPSTSTSSSSPSSLPIKRRHPSAAAPLSDRMRPGTLDSIVGQDHLLGPSSLLRSSLLPSLVLWGPPGSGKTTLARALAASLPGSLYTFVPLSAVSAGVRDLRDAIDGARRARSHGRRTVLFVDEIHRFSKSQQDSFLPAIEDGSIILVGATTENPSFQLTTPLLSRCRVLSLQPLKPQHIEALLRRALSDPEKGLQVTTQAPVSVNQEAIDFLSLHCDGDARVALNALEIAAMLAASRKLHDNKGNLAVTLDQAKEAMQCKHLAYDRAGEEHYNLISALHKSMRGSDADAAIYWLARMLEGGEQPLYIARRLVRFASEDVGLADPSALSHAVACYQSCHFLGMPECDVCLAQCVTYLALAPKSVAVYRALGEAKRVVKESSGGNEGVPLHLRNAPTKLMKDMGYGKDYMYPPDHKDCSSQTYLPPSLLGHKFLDWPPQDE >Ma10_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30239689:30245200:1 gene:Ma10_g19830 transcript:Ma10_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVESLSCLKPFLSCVEMDSSLELMGQLEELNGSAMEIPDSGVVGFFSEDYLSHQSELGMPFPDHVSGLLPAECQTPAAPPQSKASVAEQSQGDRKRKGMEAPVAEQSQGDRRRKGMEAPVSNSEHASAALSESGLRVLEIKKNSSNRSGGGKRARGNSRKAEKLKEVVHVRARRGQATDSHSLAERVRRERINERMRCLQGLVPGCYKAMGMAGMLDEIINYVQSLQNQVEFLSLKLSAASSFYDCCFDMGMETLSTLQAGDGQETDEAAVRPVREEYGGCTTGFPL >Ma10_p19830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30239689:30245200:1 gene:Ma10_g19830 transcript:Ma10_t19830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVESLSCLKPFLSCVEMDSSLELMGQLEELNGSAMEIPDSGVVGFFSEDYLSHQSELGMPFPDHVSGLLPAECQTPAAPPQSKASVAEQSQGDRKRKGMEAPVAEQSQGDRRRKGMEAPVSNSEHASAALSESGLRVLEIKKNSSNRSGGGKRARGNSRKAEKLKEVVHVRARRGQATDSHSLAERVRRERINERMRCLQGLVPGCYKAMGMAGMLDEIINYVQSLQNQVEFLSLKLSAASSFYDCCFDMGMETLSTLQAGDGQETDEAAVRPVREEYGGCTTGFPL >Ma10_p19830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30239689:30245200:1 gene:Ma10_g19830 transcript:Ma10_t19830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVESLSCLKPFLSCVEMDSSLELMGQLEELNGSAMEIPDSGVVGFFSEDYLSHQSELGMPFPDHVSGLLPAECQTPAAPPQSKASVAEQSQGDRKRKGMEAPVAEQSQGDRRRKGMEAPVSNSEHASAALSESGLRVLEIKKNSSNRSGGGKRARGNSRKAEKLKEVVHVRARRGQATDSHSLAERVRRERINERMRCLQGLVPGCYKAMGMAGMLDEIINYVQSLQNQVEFLSLKLSAASSFYDCCFDMGMETLSTLQAGDGQETDEAAVRPVREEYGGCTTGFPL >Ma10_p19830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30239689:30245200:1 gene:Ma10_g19830 transcript:Ma10_t19830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVESLSCLKPFLSCVEMDSSLELMGQLEELNGSAMEIPDSGVVGFFSEDYLSHQSELGMPFPDHVSGLLPAECQTPAAPPQSKASVAEQSQGDRKRKGMEAPVAEQSQGDRRRKGMEAPVSNSEHASAALSESGLRVLEIKKNSSNRSGGGKRARGNSRKAEKLKEVVHVRARRGQATDSHSLAERVRRERINERMRCLQGLVPGCYKAMGMAGMLDEIINYVQSLQNQVEFLSLKLSAASSFYDCCFDMGMETLSTLQAGDGQETDEAAVRPVREEYGGCTTGFPL >Ma10_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7644348:7645968:-1 gene:Ma10_g02310 transcript:Ma10_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDTSSPSATSLRLPNSHISLHRRDQVDNSNSSGSNNNNNNHSDDDANGENYSAGAVDMAEAGSAGGFGRRPRGRPPGSKNKPKPPVIITRESAAALRPHVFEVSSGADIMDAVAAFARRRQLGVAVLSASGAVTNVTLRQRGPQPGGTVVAIPGRFEILSLSGTFLPTPALSDATGLTLFMVGREGQVVGGSVVGELVASGPVMIIAAAFTNATYERLPLPDAELDAAAAPSTAEHGARSNGDGGGSLSEADPSSMSLFGLPPHLLHGGQHDVFGSWASTGRRPPPS >Ma06_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11713546:11714153:1 gene:Ma06_g17210 transcript:Ma06_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSPCASCKLLRRRCTKDCIFAPFFPSDEPHKFAMVHKVFGASNVSKMLQELPLHQRADAVSSLVYEANARMRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQEPALPEPQMDADDKSFVVHNELSSMAQFMNHPSTSNVPQEALKREYPWT >Ma04_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23087237:23088130:-1 gene:Ma04_g20370 transcript:Ma04_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLSFHSHNQEHSSESSTYSPRSSATDGFGLVCPDKPLPFDENDSEEMLLLSMLAEASGKAASSSSSEVLDSRSSPRPKEEEVESRSKVGHDTKGEKPYRGVRRRPWGKFAAEIRDSTRRGIRVWLGTFDSAEAAALAYDQAAFSMRGTTAVLNFPVERVRESLRGVKYEEEEIGLSPVVALKRRNTLRRKSTSKKAKGREVRTAESVVELEDLGAEYLEELLSTSGFARPW >Ma07_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6576091:6581625:-1 gene:Ma07_g08790 transcript:Ma07_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELITLGCGRGLSTLLLLAVALVVVSSPWHSSPVDASVSYDHKAIIVNGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFGGNYDLVRFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGITFRSDNGPFKAAMAKFTEKIVAMMKSEGLFESQGGPIILSQIENEYSIQEYYDGAAAKNYLSWAAQMAVGLNTGVPWVMCKQDDAPDPVINACNGFYCDYFSPNKPYKPTMWTEAWTGWFTGFGGPVPHRPVQDMAFAVARFIAKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLTDLHKAIKSCEPALVSGDPTVTNLGKYQEAHVYRSKSGACAAFLSNFNSRSYAPVTFNGMKYHIPAWSVSILPDCKTAVFNTAKVGAPTSQINMTWVGGFSWESFSEDTHSLRDKSFSKDGLVEQISMTWDRTDYLWYTTYVNIDSNEQFLKNGRYPFLTVMSAGHSMHVFINGELAGTVYGSLDNPKIRFTGNVKLWAGSNKISILSVAVGLPNIGNHFETWNAGVLGPVTLDGLNEGKRDLSSQKWIYQIGLRGESLSIYTLSGSSSVKWGGASTRQPLTWYKAFFNAPAGNEPLALDMSSMGKGQIWINGQSIGRYWPAYKAYGSCDWCDYRGTYNEKKCQTNCGEPSQKWYHVPRAWLNPTGNLLVVFEEWGGDPTGISLVKRVAL >Ma06_p32580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33473649:33476119:1 gene:Ma06_g32580 transcript:Ma06_t32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTAMGKNQKKDTSSSSSSHSNGSDGVKVKRTKRSAARDSSRSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNKSQNKKGKQVYLGAYGDEEAAARAYDLAALKYWGHNTILNFPISTYQEELEKMEGQSKEEYIGSLRRKSSGFSRGVSRYRGVARHHHSGKWEARLGRVFGNKYLYLGTYATQEEAAAAYDMAAIEHRGLSAVTNFDLSRYVEWLRPSTDAHAAAFQSHQVADTRPEGAMTASPALGLLLQSSSKSERRSPERKSPPQPPPPYLSSSRPSKCSFPEDIQTYFECQDSEFYLEGEDSNFWGSTTFIDL >Ma05_p25690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37535207:37543563:-1 gene:Ma05_g25690 transcript:Ma05_t25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWSGGVILVFLFTLLILRYGMLENPLAERLLPSPFSRNSSERLDWLASGDPPAIQNPGTESLVISANTIVSQLFAPRNLSDIEQRSLQTWNHLDHLISHSSGLPQAIEAIGEAVSAWESLMASLEDKKINSSTQHKVKEKQCPYSIKRMNVSEFDSDTFKLKIPCGLIQGSSVTLIGTPGGLLGNFRVDLVGTALPGEPDPPIIFHYNVRLQGDKLTEDPVIVQNTWSVANDWGAEERCPSSDTDNNKKVDDLEQCSAIVGTDDKGIFASNKGHNISRSFPLKREGDKPRRYFPFKQGYLSIATIRMGIEGIQVTVDGKHISSFAYRESLEPWLVSEVRISGDMKLISVLASGLPTSEDFEHVIDLELLKSKPLPIHQPVDLFIGIFSTANNFKRRMAVRRTWMQYDVVRTGAGAVRFFVGLHRNQMVNEELWNEASTYGDIQLMPFVDYYSLITWKTIAICIFGTNVVSAKYIMKTDDDAFVRVDEVLTSLTAINITRGLLYGRINSDSEPHRNVESKWFISPKEWPDEKYPPWAHGPGYVVSYDIAKAVYKQHKEGHLKMFKLEDVAMGIWIDKMNKQGSDIKYVNEGRICIDGCENGYVLAHYQEPHEMLCLWQKLQETKRGICCGE >Ma05_p25690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37535207:37543563:-1 gene:Ma05_g25690 transcript:Ma05_t25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWSGGVILVFLFTLLILRYGMLENPLAERLLPSPFSRNSSERLDWLASGDPPAIQNPGTESLVISANTIVSQLFAPRNLSDIEQRSLQTWNHLDHLISHSSGLPQAIEAIGEAVSAWESLMASLEDKKINSSTQHKVKEKQCPYSIKRMNVSEFDSDTFKLKIPCGLIQGSSVTLIGTPGGLLGNFRVDLVGTALPGEPDPPIIFHYNVRLQGDKLTEDPVIVQNTWSVANDWGAEERCPSSDTDNNKKVDDLEQCSAIVGTDDKGIFASNKGHNISRSFPLKREGDKPRRYFPFKQGYLSIATIRMGIEGIQVTVDGKHISSFAYRESLEPWLVSEVRISGDMKLISVLASGLPTSEDFEHVIDLELLKSKPLPIHQPVDLFIGIFSTANNFKRRMAVRRTWMQYDVVRTGAGAVRFFVGLHRNQMVNEELWNEASTYGDIQLMPFVDYYSLITWKTIAICIFGTNVVSAKYIMKTDDDAFVRVDEVLTSLTAINITRGLLYGRINSDSEPHRNVESKWFISPKEWPDEKYPPWAHGPGYVVSYDIAKAVYKQHKEGHLKMFKLEDVAMGIWIDKMNKQGSDIKYVNEGRICIDGCENGYVLAHYQEPHEMLCLWQKLQETKRGICCGE >Ma07_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15567181:15568869:-1 gene:Ma07_g16820 transcript:Ma07_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSQSATKASQPISRHRSESSSASTAPSSSGAAASSSSSSGTRTDPGSRFRRQPPTSIPSGSSSSSKPYTSSSSSALSLASLRSSLPEAPALYSFPELCAATNNFLAKRLPGASSSAWRCTLRGRDAVVIQRPLRHRLRSGHPEVALRARLAALGRSHHSSLARLLGASLADDHVYLVYEFVPGASLADCLRNPRNPRFTPLATWASRVQVAADVAHGLEYIHQHSSAAAGVHNRVKSSAVIVTEPDFRAKICHFGAADLAGEVPDPDPAAEDAEITPVLSPSSTRKGSNERQRKIEGTTGYMAPEVLAHGAVSRRSDVFAFGVMLLEMVSGEEPLKYRYDKERKAFDVFSLIETAREAIGVASEGDEEEERRGRVRRWVDRRLRDSFPVEAAEKLIRVALRCVETEAAARPDMTWVAGKISKAYLESKVWAEKVRIPTDFSVSMAPR >Ma01_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2635468:2636749:1 gene:Ma01_g04050 transcript:Ma01_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTAASSRATTVVAPSPFLGQTRASSANPLRDAVPMGKGRITMGNELWYGPDRVKYLGPFSAQTPSYLKGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGAFGCITPEVLEKWVKVDFKEPVWFKAGAQIFSDGGLDYLGNPNLVHAQSILAVLGCQVVLMGLVEGFRINGLPGVGEGNDLYPGGTYFDPLGLADDPATFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >Ma09_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6063980:6064683:-1 gene:Ma09_g09130 transcript:Ma09_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAAAEAKGEIEKNTAAGGGYSSLVLKIWPPTQRTREAVLHRLVETLTSQSVLSKRYGSLPRDEAAAVAGTIEEEAFSAASAASVGGGADDDGIEVLNVYSQEISRRMVESAKARAAASAAAPNS >Ma07_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3339566:3341009:1 gene:Ma07_g04520 transcript:Ma07_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAMGTGTSLIAHHLLYQRPPSEDVSFKRRQLASCRLPVPSRLMDSTVKLLAKEKNPSRQRVSGDLAYTTDSTCLSPGRTWPPVSKADDPMIHNPLLRQERMGCGWLAVIFEWEGVIVEDDDPELEYRAWVALSQEEGKSPPLAFVLRRIEGMKSEQAISEVLCWSRNPTEIRRLASRKEDIRQSFKNGGLCHLRSGSREFMSTLANHKIPLAVASTRRRKALQGEIEAVGAQSFFEVVVAAEEVHRGKPDPEMFIHAARLLGFLPERCIVFGNSISTVEAAREAGMKCVAVASKQPVYELRAADLVVRRLDELSVVDLKKLADVDSPELEPGEDRGGSQR >Ma09_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1734388:1745130:-1 gene:Ma09_g02470 transcript:Ma09_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCIWRHCSWSRRLAHHRCLVFLLGFLTQMLVVTSDTDSQDAAALNALATLWKNIPSNWRSSDPCGDNWVGISCNNESRVTSISLSSLGLKGTLTGDIQYLTELQALDLSYNKGLTGGLPSSIGSLSKLVNLILVGCSFSGEIPPEIGSLSLLTFLSLNSNSFTGGIPSSIGNLSNLYWLDLADNKLSGTIPVSPGLDSLTYTKHFHFGMNQLSGTIPRNIFSSDMQLIHVLFDNNNLTGSIPPTLGLVKTLEVLRLDKNSLTGPVPSNLNNLTKVAELHLANNQLTGPLPNLTGMSALSFVDMSNNSFDESDVPSWFSTLPSLTSLYLEYSRIGGQIPPAIFNYSPLQTVRLKGNRFNGSFDISAIENSQLELIDLQDNNISSIVLGGGYNKKLILVGNPACDQHDDLSYCKIPQLSAPPYSTPQNCVPVACASDQNLSPNCSCAYPYTGTLYFRSPSYSDLQNTTYYHILERELKASFLKEVPVDSVSLNNSFVNSFNNLEMNLQVFPSGKTRFSEVDVSTLGSMLSNQIFKPPSKIFGPFYFIGQAYPAAPEIATSKKSNHLPVIIGAAAGAAALALVFIGCAAFVITHRRKVKRTAQRRQASGSWDFTKGSSSSSIPQIKGARHFSFNDVKKCTNNFSEANEIGDGGYGKVYKGVLSNGQLVAVKRAQEGSTQGVLEFNTEVELLSRVHHKNLVSLAGFCFDQDEQILVYDYVPNGTLKESLSGKSGVRLDWKRRLRVALGAARGLAYLHELASPPIVHRDIKSSNILLDENLNAKVSDFGLSKPLGDEKKGHVSTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLELVTAKKPIEHGRYVVREVRCTMDKSKDLYGLHELIDPALGLGNRLGGFEKFVELAMKCVEESGIDRPTMGEVVKEIENIMKLAGMNPNADSASNSMSYAGASRSSVRHPYSNEPFDYSGTAPSSRPEPK >Ma03_p31680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33804233:33811727:-1 gene:Ma03_g31680 transcript:Ma03_t31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIALLLDFLKRNPSLSTQSLHSYSLFSATVAASAAAASVAAGKPFASRAIFGDCDLPIAYCDAGASATWDAEYIPNVRSVSENILPISFKYRTKEYPLELKPLFSAFGFRSLTITSLRSFLLFYLPLLEPRPPVEENDDFLHEAPEEKPVDLVTPFRNSVKQIIRETSVVTIRRVLERLAVHHVSQRMAWKLLKDVPKSAKRKAARGMPTLSFLYSVSGTTFRGHLLGVAASWIVQVVIEIYRCFFRKNINGDEDISINEKIRLFRRKLYGTTVKCSASLVFASVGAGIGALFNPSTGQWIGCALGDFSGPIIAIVCFEKLHLQI >Ma08_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37642227:37642862:-1 gene:Ma08_g24490 transcript:Ma08_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACRPSSSLPLYLLFSFSFTFMAALGLSDDTDAISSTCNHTLYFDVCMSTLTSRPSSRRADVYGLAAISLDVGITHAKATISYTKSLSKQKGFAGGTYASVCIADCLEEYKEAVQSLRDSTGALRSGSYDTVNALVSGAMTNSDTCESAFGEKPGLQSPLTERNDYFFKLCSNSIAITNLLA >Ma01_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3898518:3918177:1 gene:Ma01_g05540 transcript:Ma01_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDGDAKPLDLFLSIGLDRRTAEGALVNQRVTSNLTAVIKEAGVDGCSKTIGNLLYMVATKFPANALIHRPTLVQYIVSSKIKNPAQLDVALLFVGAFGPESFSLDEFEQACGVGVDVSVEEIHSTVAAVLEENMDAILEHRYHINVGNLCGQVRKQQPWANAKIVKEVIDEKLHRILGERTAEDDKKPLKKQQQKIVKIEEQTDGAITPVLTLEEEVNPFLIFPEPAENYKVHTEIFFSNGDIWRAHNTKEKLERHLKVTGGRVFTRFPPEPNGYLHIGHAKALFINFGLAEERGGSCYLRFDDTNPDVEKREYIDHIQENIQWMGWQPFKVTYTSDYFQELYDLAVKLIHKGLAYVDHQSPEEVKEYREKKMNSPWRDRPIAESLKLFEDMRQGFIDEGKATLRLKQDMQSHNKNMYDLIAYRIKFTAHPRVGEKWCIYPSYDYAHCIVDSLENITHSLCSLEFEIRRPSYYWLLLALELYQPYVWEYSRLNVSNTMMSKRKLNRLVKEKWVDGWDDPRLMTLAGLRRRGVSSTAINSFIRGLGITRSGNSMIRVDHLEHHIREELNKTAPRTMVVLRPLKVVITNLDSGLIMNLDVKMWTDVSSDDVSSNYKVPFTSVVYIEQSDFRLNNSKDYYGLAPGKSVLLRYAFPIKCTEVIHGDSDTIFEIHAEYDPSKKIKPKGVLHWVAQPSPGVDPLKVEVRLFEKLFLSEDPAELEDWLSDLNLHSKEVIPEAYAVPSLANAVLGDRFQFERLGYFAVDPDSTPSKLVFNRTTTLRDSYSKGACK >Ma07_p26820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33437433:33439402:-1 gene:Ma07_g26820 transcript:Ma07_t26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNRDCYKQHCQRRCTGELIEVPGGHIVRSTGRKDRHSKVYTAKGVRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLMQNAKTAIDQLADLSRRHQPVDRCVVHELPLQDTSVGHDSIELPMSDAGAVASVGYGFGGSSGSLIPPSLDGDAIDDLVKPFPTVTATASSPSSHGMTYHDYTPDLLLHACGRPQDLRLSLQSFHDPTFRDHHHQSPESTQHFFCSGTTNLAHATTWATCPANKERLGWWNAADGSGAGEFSYNGALPPESAAPRLVNAQVQHCTRREPLGSGNLPSLRAWTSPTAFHPSLASAAADVFTSESYAGFSGFHIPERVQGEEPHNAIANDLQAASSASRQWQKWKENNQ >Ma11_p02290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1638800:1649955:-1 gene:Ma11_g02290 transcript:Ma11_t02290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSLSSFGDLPFPEQVAAATHASLLLFFLFFASARRVFACAIRRIPAPKDDVQSPHPVRGDSGCDRFVIVGYWFKITAFCCFFVFFLQAAVLGYETVTFLTRDAESRDYTLLYLPAVQGLAWLVLGSSVFHCKLKALAKFPFLIRLWWFISFIFCLYIGYLDTKGLITNLIILNSHILANYASSPALAFLLVASVRGVTSVELYRAHGDLREPLLAGEEEAGCLRVTPYSEAGLFSIATLSWLDSLLLLGAKRPLEIRDVPLLATKERSKTCYKILNSNWERLKAEYPENQPSLALAIFRSFWKEAAFNAIFAGLFTAVSYVGPYLISYFVDYLSGNIAFPHEGYILASIFFTAKLIETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSTSRQSHTSGEIVNYMAVDVQRIGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVATIVSIIVTIPLAKIQEEYQDNLMAAKDDRMRKTSECLRNMRILKLEAWEDRYRLKLEEMRNVEFKWLRKALYAQSVITFIFWGSPIFVSVVTFATSILLGGHLTAGGVLSALATFRILQEPLRNFPDLISMIAQTKVSLDRISGFLQEEELQEDATIVVPRGLTNNAIEIKDGEFCWDPSSATPTLSGIQLKVEKGMRIAVCGIVGSGKSSFLSCILGEIPKTSGEVRISGSAAYVPQSAWIQSGNIEENILFGSPMDKPKYKRVLHACCLKKDLELLLHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSALDAHTGSELFKEYILSALASKTVIYVTHQVEFLPAAGKILVLKDGRIIQAGRYEELLQAGTDFNALVSAHHEAIETMDILEDSSEPNRKRLTSSPSNIDQMKSEAPEDELPSERKAIKEKKKVKRMRKKQLAQEEERERGRVSLKVYLSYMAAAYRGTLIPLIVLAQIMFQVLQIAGNWWMAWANPQTRGDKPKTNSVVLLVVYMSLAFGSSLFVFIRSVLVATFGLAAAQKLFLSMLKTVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLFLFVPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESIAGAATIRGFRQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMALLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIRQYCQIPSEAPPVVKDCRPTSSWPETGKLELIDLKVVGALQPSLQLEEYLMKGYSSCIILDLVHKCYNLKQIHYGHI >Ma11_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1638825:1649955:-1 gene:Ma11_g02290 transcript:Ma11_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSLSSFGDLPFPEQVAAATHASLLLFFLFFASARRVFACAIRRIPAPKDDVQSPHPVRGDSGCDRFVIVGYWFKITAFCCFFVFFLQAAVLGYETVTFLTRDAESRDYTLLYLPAVQGLAWLVLGSSVFHCKLKALAKFPFLIRLWWFISFIFCLYIGYLDTKGLITNLIILNSHILANYASSPALAFLLVASVRGVTSVELYRAHGDLREPLLAGEEEAGCLRVTPYSEAGLFSIATLSWLDSLLLLGAKRPLEIRDVPLLATKERSKTCYKILNSNWERLKAEYPENQPSLALAIFRSFWKEAAFNAIFAGLFTAVSYVGPYLISYFVDYLSGNIAFPHEGYILASIFFTAKLIETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSTSRQSHTSGEIVNYMAVDVQRIGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVATIVSIIVTIPLAKIQEEYQDNLMAAKDDRMRKTSECLRNMRILKLEAWEDRYRLKLEEMRNVEFKWLRKALYAQSVITFIFWGSPIFVSVVTFATSILLGGHLTAGGVLSALATFRILQEPLRNFPDLISMIAQTKVSLDRISGFLQEEELQEDATIVVPRGLTNNAIEIKDGEFCWDPSSATPTLSGIQLKVEKGMRIAVCGIVGSGKSSFLSCILGEIPKTSGEVRISGSAAYVPQSAWIQSGNIEENILFGSPMDKPKYKRVLHACCLKKDLELLLHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSALDAHTGSELFKEYILSALASKTVIYVTHQVEFLPAAGKILVLKDGRIIQAGRYEELLQAGTDFNALVSAHHEAIETMDILEDSSEPNRKRLTSSPSNIDQMKSEAPEDELPSERKAIKEKKKVKRMRKKQLAQEEERERGRVSLKVYLSYMAAAYRGTLIPLIVLAQIMFQVLQIAGNWWMAWANPQTRGDKPKTNSVVLLVVYMSLAFGSSLFVFIRSVLVATFGLAAAQKLFLSMLKTVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLFLFVPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESIAGAATIRGFRQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMALLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIRQYCQIPSEAPPVVKDCRPTSSWPETGKLELIDLKVVGALQPSLQLEEYLMKGYSSCIILDLVHKCYNLKQIHYGHI >Ma11_p02290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1636705:1649955:-1 gene:Ma11_g02290 transcript:Ma11_t02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSLSSFGDLPFPEQVAAATHASLLLFFLFFASARRVFACAIRRIPAPKDDVQSPHPVRGDSGCDRFVIVGYWFKITAFCCFFVFFLQAAVLGYETVTFLTRDAESRDYTLLYLPAVQGLAWLVLGSSVFHCKLKALAKFPFLIRLWWFISFIFCLYIGYLDTKGLITNLIILNSHILANYASSPALAFLLVASVRGVTSVELYRAHGDLREPLLAGEEEAGCLRVTPYSEAGLFSIATLSWLDSLLLLGAKRPLEIRDVPLLATKERSKTCYKILNSNWERLKAEYPENQPSLALAIFRSFWKEAAFNAIFAGLFTAVSYVGPYLISYFVDYLSGNIAFPHEGYILASIFFTAKLIETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSTSRQSHTSGEIVNYMAVDVQRIGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVATIVSIIVTIPLAKIQEEYQDNLMAAKDDRMRKTSECLRNMRILKLEAWEDRYRLKLEEMRNVEFKWLRKALYAQSVITFIFWGSPIFVSVVTFATSILLGGHLTAGGVLSALATFRILQEPLRNFPDLISMIAQTKVSLDRISGFLQEEELQEDATIVVPRGLTNNAIEIKDGEFCWDPSSATPTLSGIQLKVEKGMRIAVCGIVGSGKSSFLSCILGEIPKTSGEVRISGSAAYVPQSAWIQSGNIEENILFGSPMDKPKYKRVLHACCLKKDLELLLHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSALDAHTGSELFKEYILSALASKTVIYVTHQVEFLPAAGKILVLKDGRIIQAGRYEELLQAGTDFNALVSAHHEAIETMDILEDSSEPNRKRLTSSPSNIDQMKSEAPEDELPSERKAIKEKKKVKRMRKKQLAQEEERERGRVSLKVYLSYMAAAYRGTLIPLIVLAQIMFQVLQIAGNWWMAWANPQTRGDKPKTNSVVLLVVYMSLAFGSSLFVFIRSVLVATFGLAAAQKLFLSMLKTVFRAPMSFFDSTPAGRILNRVSVDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLFLFVPMAIACLWMQKYYMASSRELVRIVSIQKSPVIHLFGESIAGAATIRGFRQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMALLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIRQYCQIPSEAPPVVKDCRPTSSWPETGKLELIDLKVRYKDTLPMVLHGITCAFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAEGKIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRVNLDPLEEHSDDEIWQALEKCQLGEVIRSKPQKLDAPVLESGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRREFEDCTVCTIAHRIPTVIDSDLVLVLSDGRITEFDSPHRLLEDKSSMFLRLVSEYSTRSSSMSDA >Ma05_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32170997:32171512:-1 gene:Ma05_g20490 transcript:Ma05_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVFLSLLLLLLLLLLLPFIRAISSSPIEDVCEYVQDSYVDKGYCIRTLKSDLRSSKADAKGLGVIAAELTIAKATVIRSHIKELLKNASNSYDRRRAQAALLIFSNVIPSLRWSADSIASKFYASAGAVLFVALDAASACVGVVELGDAADDYVNLTLLAQAITLHLR >Ma07_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32048278:32049399:1 gene:Ma07_g24870 transcript:Ma07_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNISSYSGSSSWDLEMMRMATQFQPHINSPCFAYARREAEGKQEEEEEEALGAVKEMMYRIAAMQPVDVDPATIRKPRRRNVRISDDPQSVAARHRRERISERFRILQRLVPGGTKMDTATMLDEAIRYVKFLKRQVQQLQPTSAEARASSSSPSSSAMGPPPGLGFGAHVQGGHRPMH >Ma05_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17832286:17833858:-1 gene:Ma05_g16650 transcript:Ma05_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPTFTVRRQEPVLVPPSEPTPHEFKRLSDIDDQDGLRTHIPVIQFYRNSVPSISTTGTGGGHRDPAKVIREALGRALVSYYPLAGRLREAPGRKLVVECTGEGVLFVEADADISLEQFGEALHPPLPFLGELLHDVPGSGGILHCPLVLMQVTRLLCGGFVVALRLNHTMADGAGLGQFMNAVGELARGAAAPSVSPVWARELLEARIPPRITCVHREYDPVPPSDARGPATTIVPLDDMVHRSFFFGRADVAALRRCVPPHLRDRSTFDILTACLWRCRTIAISPGDEEEVRVLFIVNARAKRFAGLGLPAGYYGNAIAYATAISTAGELCARPVGYALELVKEAKSMVTEEYMRSVVDLMVLRGRPHYKVRGSYLVSDATRSGLELVDYGWGKPLYGGPAWGRVASFHFRFRNSKGEEGVVVPHYLPRTTMDKFAMELQKLIEEAPPTMRSSL >Ma03_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25315031:25323410:1 gene:Ma03_g20130 transcript:Ma03_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIMDQTLEAIESCRSPPDSSTPSPSLSRALSSSRLNARAAEFVPRGAQPPAPAPAPIHHGHAPAANPVMHVFHQPQPISAYFGPGPGSFEYYGGGAAGGFGEHEGGGQSSVDPDPSYTARDGLSDEVVQKIIKQVEYYFSDANLATTEHLMRFITKDPDGFVPISVVAAFKKIKALVHNNFQLAVALRTSTKLVVSDDGKKVRRQQPFTESDLEELQSRIVVAENLPEDHCYQNLMKIFSVVGSVKTIRTCYPQPSNGTGAATNRPTKLEMLFGNRLHAFVEYETVEDAEKAVAELNDEKNWRSGLRVRLFPKFLTKHGQGRGRKGSETDFTGEEDVSTSNQSNEKQVEDVYHLSEVSHEHESGESYSDKDGALRRGRGRGRGGRGRGRGQHHSNNRGGGLAFGTPPSTHLNHPERDQSVVATKQPPGPRMPDGTRGFTMGRGKPVAPAGAV >Ma03_p20130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25315031:25323410:1 gene:Ma03_g20130 transcript:Ma03_t20130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIMDQTLEAIESCRSPPDSSTPSPSLSRALSSSRLNARAAEFVPRGAQPPAPAPAPIHHGHAPAANPVMHVFHQPQPISAYFGPGPGSFEYYGGGAAGGFGEHEGGGQSSVDPDPSYTARDGLSDEVVQKIIKQVEYYFSDANLATTEHLMRFITKDPDGFVPISVVAAFKKIKALVHNNFQLAVALRTSTKLVVSDDGKKVRRQQPFTESDLEELQSRIVVAENLPEDHCYQNLMKIFSVVGSVKTIRTCYPQPSNGTGAATNRPTKLEMLFGNRLHAFVEYETVEDAEKAVAELNDEKNWRSGLRVRLFPKFLTKHGQGRGRKGSETDFTGEEDVSTSNQSNEKQVEDVYHLSEVSHEHEMCSLEQSGESYSDKDGALRRGRGRGRGGRGRGRGQHHSNNRGGGLAFGTPPSTHLNHPERDQSVVATKQPPGPRMPDGTRGFTMGRGKPVAPAGAV >Ma06_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4703400:4718288:1 gene:Ma06_g06520 transcript:Ma06_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLPAGRMINKQLLLTYLYLLIYICLSSGVILYNKWVLSPKYFKFPFPITLTMIHMGFSGVVAFFLVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLMAVICGTDKLRCDVFLNMVLVSVGVAISSYGEIHFNVIGTAFQVTGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFLFLFIPWYVLEKPGMEISQIRFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIVFPESTITGLNIIGYAIALCGVVMYNYLKVKDVRTANQLPMESIAERASKEWKLEKKSDFYSDSSTNTRNIGSDAGVAASDSFVVDEEAPLLQLKISSLKST >Ma09_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34462406:34463340:-1 gene:Ma09_g22540 transcript:Ma09_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSQISVIGGPNFLLQSAGGCRAIVSCAGCSFSMRKVMAIDGLWVVTTNEEVVDTARAVLKSP >Ma11_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3315426:3316897:-1 gene:Ma11_g04190 transcript:Ma11_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSFQRLGTSIDVPNVQALAASIANPADVPPRYVRTEAKADPVVSDGDSELPVIDFSRLLHHRFSKEEYAKLHHACADWGFFQLINHGVPDQVMEKIKADIVEFFKLPLEEKKAFAQLPNSLEGYGQAFVMSDDQKLDWADMLSLITRPLHSRNIDLWPAQPLTFRDSLSCYSMELKSVAGTLLEVMAKNLGVAPEEFSSIFQDQTQAVRFNYYPPCPRADEVLGLSPHTDGSGLTLLLQVNDVEGLHIRKRGNWFPVKPLPGALIANIGDIIEILSNGVYKSVEHQAIINVKEERLSIATFHGPREYSAVVGPLAEIVKGCNPKYVSMSYGEFMKAYLSTKLEGRRLMESLKL >Ma10_p25550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33632434:33636623:1 gene:Ma10_g25550 transcript:Ma10_t25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFEEPPNSPFPGILSSSPSPVGSVEPASSMETLPRGSDSVEATRETRKIEMYSPLYYAACTFGGLASCGLTHMAITPLDVVKCNMQIDPEKYKSISSGFGVLLEEQGFRGFFKGWVPTLLGYSAQGACKFGFYELFKKFYADLTGPKHASKYKTLIYLAGAASAEVIADIALCPMEAVKVRVQTQPRFARGLRDGLPKFVKSEGVLGLYKGLLPLWGRQIPYTMMKFASFETIVEMIYKHVIPKPKEQCSKTLQLAVSFAGGYVAGVFCAVISHPADNLVSFLNNAKGATVTDAVRQLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVLVGLQTTGGLIPPTTSNQEHAKQS >Ma07_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6620060:6624240:1 gene:Ma07_g08850 transcript:Ma07_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDKQQDSVNLADLGAALSEEDRAGLVNALKNKLQSLAGKHTDVLETLRPAVRKRVDVLREIQSQHDELEAKFFEERAALEAKYQKLYEPLYVKRYEIVNGVVEVEGVKDEPTEETPSEDKASEEKGVPGFWLTAMKTNEVLAEEIQERDEEALKYLKDIKWCRIDDPKGFKLEFFFDPNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWFPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFNPPEVPDDDADIDEETAEQLQSQMEVDYDIGSTIKDKIIPHAVSWFTGEAVQDDDELEIEEEDDEEEDDDEEEEEDEDDDDDEDEDEDEEEQTKTKKKSATGHKKSGGEQADRPAECKQQ >Ma07_p08850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6620063:6624240:1 gene:Ma07_g08850 transcript:Ma07_t08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDKQQDSVNLADLALSEEDRAGLVNALKNKLQSLAGKHTDVLETLRPAVRKRVDVLREIQSQHDELEAKFFEERAALEAKYQKLYEPLYVKRYEIVNGVVEVEGVKDEPTEETPSEDKASEEKGVPGFWLTAMKTNEVLAEEIQERDEEALKYLKDIKWCRIDDPKGFKLEFFFDPNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWFPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFNPPEVPDDDADIDEETAEQLQSQMEVDYDIGSTIKDKIIPHAVSWFTGEAVQDDDELEIEEEDDEEEDDDEEEEEDEDDDDDEDEDEDEEEQTKTKKKSATGHKKSGGEQADRPAECKQQ >Ma05_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35764006:35764193:1 gene:Ma05_g23610 transcript:Ma05_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREHKDRPYRGEAGAAGHTDHVGRPQAVRTGVLEGG >Ma02_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23499710:23509046:-1 gene:Ma02_g16020 transcript:Ma02_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNFLQQQRSSRWRIKALVVVLLLTTCFLLILNHYHYSRIFTFGAPEAPPLVVVQKPKIAFLFIARNRLPLDFVWDAFFQGEKEGKFSIFVHSRPGFLLNEVTTRSAYFSGRQVNNSIQVEWGEASMIEAERILLRNALKDSSNHRFLFLSDSCIPLYNFSYTYHYIMSTSTSFIDSFADTKQGRYNPKMNPVIPVYNWRKGSQWVVLTRKHAAVMVNDDTVFPEFERHCRRRSLPEFWRDHPLPTDGSKEHNCIPDEHYVQTLIAHKGLEGEITRRTLTHTLWDLSSSKDRERRGWHPVTYKLSDATPTLIQNIKGIDNVYYETEYRREWCRSKGQPSTCFLFARKFTRAAVMRLLNTSAIILN >Ma04_p38600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36056081:36060673:-1 gene:Ma04_g38600 transcript:Ma04_t38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSDFAQKLLDDIRLRKEKLGYAASSGQPPSAEHQGSPRRSSRGTEAIKNCSSKNLVSTEVTEIWFSTIHNKTAAPKVGSRKIVPIGRATNSPNSVDASMALAFALSNRGKLHYTAKFGNELIPHSGSISYRESHNQYLLYNTRHHADRYPSLTNAQISEISKGVQKLNKILETCSDTSNYGKDSIQIGRELLKGATNLDDSLKMLVILQEGSDCMVSSQGRQARLLKGMEEDESSNVEVNKNTPMYKPRISFDGSTKHFAELAKASDDTIIQRQRYLTSSYIGSSMTNSNYKEISLDASIKFTTHRRSLSCGPGSMPDSPYSGIRHKHDHGDELGNFSITNGKSSTSKSIRQLDPRSPINGSVRMPNVVAKLMGLEELPTLKAEVKKVKGKKDKSEKEMAIGQVADAKARKQVISPQRHFMQNYGRNKILNEITIEETKAKSSKEKTNYMRNMTPLSNNAPKIHLEISRKTENIDISHSLKEEIAENNLVKLMERVDKREKRSRSESATQDIIQPHQERDQQRTKEKSSQCHYNAASSKDTLLNKKDEQDRLGLANNQTKTQLTQQKSTVHMVKPKYPFCEKKDHDVDSKLKVSTATKSDWKSMTSTDKLSTNMVLSGGTVVAREGSSVKVGNLEQPKERPKSSHPEMQNTMYITSDHMELGKIAYENSDDMKSLLTYPRTDKEKPVPQILVTALMKPVHIPTAKKVDRANMKVHRGESHKVQGDNSRYIKRPNEKNQQSSFLNDLERRWKERISKDKRATVCSHETNSEQHIDQETKSTLLSDDSLVDDGKDEAKEERSVAETNYDDNHKTAFKDSQEKEVPLGADSELRPFNSKEKHNLEKKQTEGGTEDRQLNNCNLLKSQNQAISETNGQGSLTEDEYILMQLLINNQHFRNTAQALFKIMIPNDVIQTSSQAGLKEENKPLLDCGYELLRRKGKREMIHAMTKPHARGKVRYLNALMKELNEDIESLKFPSETINNDSTAELLRMMLKRDIEDRKPDINCMWDIGWNNIIFASVEKDEIIRDTEKHVLSGLISELARELVNATITVS >Ma10_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28303333:28323273:1 gene:Ma10_g16690 transcript:Ma10_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKKPGKGKEKTERKTAKAEEKRVRRESKKNVSQEDDIDAILKNIQKEEAKKKEVHVEENVPAPSPRSGCSLIINPLKDTELILYGGEFYNGNKTFVYGDLYRYDVEKNEWKLISSPNSPPPRSAHQAVAWKDHIYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLLLKGCPSARSGHRMVLYKHKIIVFGGFYDTLREVRYYNDLHVFDLDQFKWQEIKPRPGCMWPSARSGFQLFVFQDEIFLYGGYSKEVVSDKHGSEKGIVHSDIWSLDPRTWEWNKVKKSGMPPGPRAGFSMSVHKRRAVLFGGVVDMETEGDLLMSLFMNELYGFQLDSHRWYPLELRKDKSTKDKFKGSKGNELRKDFNLSKETQDDPEISEDYDGNENMESYEEATDVGDGVNEVSNQMGKSLSLGTVTSKRVPDVKVQGLGGNSASEACTSSEAVRPCGRINSCMVVGKDTLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIIPASESEWLEVSDDDDDDIDDGTEESSSDDSDETDDDDDDEDDGNLGSSSEGNMILDMGSAVSVLKGEGKKLRRKEKRTRIEQIRISLGLSDSQRTPMPGESLRDFYRRTCMYWQMAAHEHTQHTGKELRKDGFDLADSRFKELKPILDELAILEAEQKAEEEEAESSSRKRGGKNNKLKASQK >Ma02_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22850304:22853131:1 gene:Ma02_g14950 transcript:Ma02_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSHSNASSGMGVADDCKHIFLELQRKKTHRYVVFKIDEKRKEVIVEKIGGATESYDDFMASLPENDCRYAIYDFDFVTEENCQKSKIFFIAWSPSVSRIRAKMLYAASKDRFRRELDGVHYELQATDTSELDLEILRERAH >Ma09_p31490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41188010:41189580:1 gene:Ma09_g31490 transcript:Ma09_t31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTETSTTSTTNDFSGSKTAPEYGYAVPSRPPPNLFFLDFGLRLLLFASAVTALVVMVTSKQTKLISVTVAPSSQILVSRDAKFQHSPAFIYLVAALSVTGLYSIITMLVSLFSIASPSPSPKTLFLLILFDTLMAGVMASATGNAGSVAYIGLKGNSHVNWNKICNMYGKFCRHVGSSTAVSLIASIVLVLLVVLSSYSLYRRSR >Ma03_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:566117:567235:1 gene:Ma03_g00690 transcript:Ma03_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDMEGVLRRDRCVALPTPPHGGASIGDTPHGDVPRPLEKTIPNYLKPTICSSHCTSCHYPKNQQQCSQPSTVPTAASSGKRLLSKPPMQKACPAPRAARTPPLSSEHKPAICKDPGSEKASKPRSLPKATTKAKPVDVQDHAKVAEAGALRSTLPKNRKVEDGPIKGSEASAEPADVVDASKKPRTRARSMSMSSIDLAAGPRKLNLQGGKKTAGNESKATAAEGAVSKRQEARMGKESPPAKNAVKEEAASKLAARRNKVKALVGAFETAMSLHETEKQPSTQQHEEVAEPVKSRSAAADDGRGLQETKVTPEEKGQNQEEEEEEEEEEEDTAGGRREVGEESKNGPSEEEEEEDNGREGVDSLETRH >Ma05_p31100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41180855:41181729:1 gene:Ma05_g31100 transcript:Ma05_t31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHLSSLKTKPQSLLQLSRPPPTCLSFCALTMEGLIPFVYKAIVRYKNGGQVSNIGSLLFHDSPSASYVRLPAGDSGRFLSSDVRFFPSSPRPLTAPAAPQSPRRRAAQWRPA >Ma11_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:612909:613913:1 gene:Ma11_g00830 transcript:Ma11_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPENAAKAYIDAVNALADRDLEETNVAELVAAMAGGWRAQLIVEAWSRDAGAATGVGLRAAARHARGRHVCVVPDEQSAAEYVEAMRRAGAAAEAGSVVVGEPEEAMRELEGVDLMVVDCRRRDAGRVLREVRPGPRGMVVVRKGADRRRGGAAAVFGSGTRVVRSTYLPIGSGVEVLHVGVGKGPSLGGDRPRWFRHFDHYTKEEHVFRRRR >Ma11_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24274366:24276110:1 gene:Ma11_g19390 transcript:Ma11_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTMGSLPVANVQALAAASRDVPERYIRPEAGAHPVVADCGVDIPVIDFSRFLDPNSSRDESSKLHLACQNWGFFQVINHTVPKEVIEKMKLDVREFFQLPLEEKMQLAQVTGDVQGYGQLFVVSKDQKLDWADVLYLNTQPAPERCLRFWPTQPLTFRAALDNYSAEVKNLADRLLEIMAKNLELNPDVVTDKFKVGIQSLRFNYYPPCPQADKVLGFSQHSDADLITLVLQVNQVQGLQIKRSGEWFPVKPLPGAFIVNVGDIFEILSNGRYKSIEHRVVVNTERERLSIATFHSPKSNAMIGPLQELVRGSGARYKTVNHEDFMKLFFSSKLDGKSFLDRMRC >Ma09_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2050397:2052221:-1 gene:Ma09_g02980 transcript:Ma09_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGTKAKIEFWRVWFVEASADCTLEDVNRLQRPLSIPAAELLPQAPTKADSEGLILLVQFRCGGFAVGIRFNHAIFDGVGAGQFFKAIGETARGLPQPTAKPVWCREAIPSPPKASPDDHDLPFFTPPRFVETVYDVSLDSINLIKNEYVKETGRKCSTFDAVAAALWQSRTRAIGVELHADVSVGLVADVRHLMGDVLPAEGGYYGNCVYPTGVTASGDMIVHASLVEVVDLIKEAKKCCLQRWQTGSFDRGGTPDRVGHLPQAAGAPPGFEGHGQLWQAKAEMSK >Ma10_p28500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35372730:35373661:-1 gene:Ma10_g28500 transcript:Ma10_t28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFKCVGVTISWVKITAPGNSPNTDGMFFSGCQNVDVSGSIIGTGDDCVAIGPDCSKININCVHCGPGHGFSIGSLGRDGAEQSVENIRVSDSSVMDALTGARIKTWQGGSGYVRGIVFENLRLYSVKTPIMIDQFYCNGNSCQNHTSAVAISDVQFVNFSGTTTTENPIKILCSKSVPCKGIHLENVKLSMVGGTTPVKSVCINANDNQFTRVDPDVQCVAG >Ma05_p31960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41640658:41641237:-1 gene:Ma05_g31960 transcript:Ma05_t31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSSGSPLSPIRIKQEGTFYARLLSKENSLSATPSFRVYHGVAAASVPFVWESQPGTPKATFAASQLPPLTPPPTYYHHPRKTMAAKKQRKSSGSFSYLATLFRRLTLRKPCMPHPPMPKSPVSSLPSSPFSRLGSSDSRQKHSFFSRVPDDEEAAGGSILCFRLQRIPRLSLFRRRRDRQKKSVLERFG >Ma01_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11425883:11428457:1 gene:Ma01_g15730 transcript:Ma01_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRRQMAQLLALCLSEFFLLFTFSAHTGVADDSLFRGQSLSGGQTMVSKAGKFELGFFAPGISSKYYIGIWYKVSKKTVVWVANREKPVASASSSELTLAEDGNLVLRLKDSKNQIWSSNSSGSLASNSTVAVLLDDGNLVLKDNITSDTLWQSFDHPTNTWLPGAKLGFNKLTGQDWFLSSWRNPEDPSPGMFTQEMDPNGTGQLYLLRDRRHRYWASGVWTGEMFTAIPEMKLNHLFDFSHVSNVNVNEFSYRVLNTSETDNLMLDFTGEMKRQKWDDEAKEMLQFCSLPWDPCDVDSRCGPFGSCDNFTSPPCHCLQGFNPRSRNEWALGDYTGGCVRRTPLRCGERDGFLELPNTQLPASPVRMSTIGGREECRIACLRNCSCTAYAFHSNCSIWQGDLVNLKYLGSSNGAESGAIYVRVDASELADNDHKNRKKTATIVVGALSGVAAIAVVVLLLALRYRKGATVGASEGVKGPLIAFDYKLIRKATKGFSEKLGRGSFGSVFKGELPDSGAIAVKRLESVRQGEKQFRMEVSTIGTIHHVNLVRLRGFCCEGDKRLLVYDYMPMGSLESVLFADGREALDWKKRYGIALGIARGLAYLHEKCRECIMHCDIKPENILLDMDMCPKIADFGMAKLLGREFSRVLTTVRGTIGYLAPEWITGSAITPKADVYSFGLMLHEIVSGSRNTETREEWNRFYFPLWAAIKLQEGDTLCLLDPRLKGKADEEELSRVCRIACWCIQDLECSRPSMGDVVQQLEGVLDVSIPPIPALLKKLVADESAENNHYYTTI >Ma09_p26040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37391557:37393419:-1 gene:Ma09_g26040 transcript:Ma09_t26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQMKVRPIDSEGAIAFAPRSDPWKAAAKSRLKRLLERQFPSVLRPSLAEKLAGSGDWKEKEREEDGGDVEPSSVCLDTMVLSFIENGNHEKPPRGRCNCFNASFDDSSDDGFDARDGDFLGSSSPTGDAAESIEGLVPCASVAERNLLADASKILEKARNSKNKDVCRRMVVDGLRSLGYDAAICKSRWDKSPSFPAGEYEYIDVVVDGGDRLLVDVDFKSEFEVARPSKSYRAVLHHLPSVFVGRPERLQSIVALASEAGRQSLKKKGLHVPPWRQPEYTRAKWLSPYQRTTAMEAKEESASGGVKGGRGSGIIPCSISAVNFSGEFEESGVGKLGDDSPVATAEAKEKTEVAASPWRPSPARPTAAGVKAITGLALVL >Ma11_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7346616:7348343:-1 gene:Ma11_g09180 transcript:Ma11_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNIETIAASLRSCSLGGSGGGAQSPPHLSEASDESTGGITVDLNSEPVLPYQWEQCLDMRTGEIYYINWETGVRTTEDPRATAAAASAYSSGYYYSDEDGTSDEYSCSNVGSGDDYEVEDEDEDEIDTADSSTLSSASPPQASSLPVEESSRGGGHILVAAGCKCCFMYFMVPKRTDACPKCGGGLLHLGRNGCV >Ma06_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14416808:14422108:-1 gene:Ma06_g20330 transcript:Ma06_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTIISSVLMIWFLSQSSQQEAMKQKEELKKEVSCLRSELQQIRDSRDNLSVQVQRLTSEFDNYTELTTKSIKNLDKITIKTIALEETCASQREKIQLLQHQLAASNEKLKRADLITIKTMTEYEKQKNTIIDLQDRLAEAELQIIEAEKLRKKLHNTILELTGNIQVFYRVRLLLLDTDSGGTDDVVSYPTSLEYLGRGIDLTHNAQKYSFTFDKVFNEASQEDVFVEISQLVQSALGGYKVCIFAYGQTGSSKTYTMMGNTEHAEQKGHIPRSLEQIFQTSQSLQCQGWKYKMQVSLILTFSLEASGGLSKQYRIKHDTCGNTIVFDLTIIDVCSIKAVSFLLQQASQSR >Ma07_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2626628:2629347:-1 gene:Ma07_g03400 transcript:Ma07_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAILFDQANYDKMLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGAIRMVSAHASQQIYTRATNT >Ma09_p13730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9300822:9304067:1 gene:Ma09_g13730 transcript:Ma09_t13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPATRSARRVTADYLWPDLRTGGANGATKKQKKSGHRRAVEEVEDDFETDFLEFEYEALESEVEDEVEIVEKPFAFACKADGPVALKPVKFNGSAARSAKKERKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPPSVQECPLKSNAPKAPKSNPQEMLNVNPYGGMDDPDLDFCSVFDYIDDKEPVKQSMNMDSSGMNLSPPIEGPAINLYSDQGSNTFDCSGYGWESEVKTPNITSFLAPTMNEAEGTPYLEQGGPLKKLKNNSGEVVAAKNSVAKLSEELSAFESYMKFLQVPYLEGGSDGSIESLLVSDVPQDVSEVDLWSFDDLPPVAVGSY >Ma09_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9300822:9304067:1 gene:Ma09_g13730 transcript:Ma09_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPATRSARRVTADYLWPDLRTGGANGATKKQKKSGHRRAVEEVEDDFETDFLEFEYEALESEVEDEVEIVEKPFAFACKDGPVALKPVKFNGSAARSAKKERKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPPSVQECPLKSNAPKAPKSNPQEMLNVNPYGGMDDPDLDFCSVFDYIDDKEPVKQSMNMDSSGMNLSPPIEGPAINLYSDQGSNTFDCSGYGWESEVKTPNITSFLAPTMNEAEGTPYLEQGGPLKKLKNNSGEVVAAKNSVAKLSEELSAFESYMKFLQVPYLEGGSDGSIESLLVSDVPQDVSEVDLWSFDDLPPVAVGSY >Ma10_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22563461:22566562:1 gene:Ma10_g08250 transcript:Ma10_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDADDVIDLCVMEGGKLLEVRPSASASGVVSLPFSFVSSCFRCIKYRHEIAGQIEAINDRLKRIAAENSILRNLQPASQQLHPKKPPPPRETSPLEVEEDIVGAQIEEAADDLINLMLENTEQKCRVFGIVGMGGIGKTTLASKIYNDERIKANIPILVWLYISKDYSEIKLLRVLIRCAGDETKAESFEGESRAELEPKLASLLTENLFLVLDDVWNPNVWTDFLRKPLSKGAGSSTILVTTRIETVLSGMKASYMHRAEKMDDNSGWRLLGKTVFEAGEEDDMRRLEEVGRKIVRKCDGLPLAIKAIAGVLISKDRSTAEWEQVLENDAWSPNRQIDEEVPRALHLSYEDLPSHLKQCFLYCSFFICELFHYKDIIRFWVAEGLIVEAGGRLMEDIAEEYYWELVSRNLLQLDPSFVDRSVFCMHDHDHLRALATYLMKEEGLSITVGQRLDIKANAKIRRLLISNMGIKLVLSDHILEEKCLRTLVIRDSLPTITIEDNVLEGLPNLRVLDLCDTSIERIPNCIGDLLHLRYLDLDRTKIHEIPESIGRLVNLQTLNISGCKHLHRLPMTITRLYNLRSFVIKDTPLTHVPKGIEKLININRLEGFVIGHDNSTNEVDEVGCGLEELQHLSKLRYLSIYRLERAVTAASALAEKRSLRELILSWMPPVDGEDGDATDSGEDRRANRRKEEQIQMRAEKICIELSPPSNLRTLVIGQFPGRQFPNWIMSSSLGKSFPNLVQLELLVFPSCTEFPPLGMLPLLKILRIKGATVVKTIGPEFLGHSFPRTCAFPKLEHLNINDMPNWEEWSLCGVEEGGHRTHLKLFPNLTKCFLQDCPKLRALPEGLSHATNLKELYIWRAHNLREVTKLRLSDLLVRDNKMLSRISNVAMKYLKVMDCPSLEYVDNLDKLQHVVLICPEHMDQLPQWLSRLIDQQHPNSAQWGFRKLEVHCNIVLLRSCLEGNEHWNIIQRIPDVKFQTFSKEYMRYIKDPYKYDTNVPPE >Ma04_p33400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33043541:33044224:-1 gene:Ma04_g33400 transcript:Ma04_t33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACLQRGLQKLLSPSSSPPPHPTSMASKTTTWLFLIVSMALPQAFACDSCSQPSLPWPPALRRPPRVLPPVEGGGRLPGASPPATCSLDHLKLGLCLDVLGGLVHLGAGNPAENVCCPVLHGLLELEAAVCLCTAIKLRILNLDIYIPLALQLLITCGKNPPSGSLCPLN >Ma08_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1973683:1975311:1 gene:Ma08_g02630 transcript:Ma08_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMVEMESVIKVESGGHKIYGQAPLMENNSHSEDRDDASVELIGRREVYPATPPPNRQNLGFTTSSYQSEEPDSILGCQTPTKNIFDPFAPGSEELVVAPKKKIVKGKMQIPSRRQLNFDDYHSDSSRKDAAKEDEDLLESVFRSFLELIVSNHIQEISAGKVPFGECIRTPISLPWLTGIAGTCPPAPRRQPGRSRQFGPEICRKLDFETDSS >Ma09_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12418630:12421893:-1 gene:Ma09_g16930 transcript:Ma09_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWATVIQLFSLATYVGPVVEKITEKAVEGGVNGLQWVWANLEALFDVEAELDKLRRTAKRISAILKDAEETRFIEDQTVREWLSDLKDFAFDVEDLVDEFPTVLDIAKLEKARLSPGSRKRRRPWDILPPCLTWVYRKWTISVKIKEIKEKYEEIKQRRNDFQLREGESRRRRQDSTQQTPQHSGSLRGDSLVLGLEEKKNDILKHLSQGGGVSGAPNSSQREGRSVPVVAIIGPGGIGKTTLARLVFSDEEIERSSFLKIWVGVSRDFDVCRITKEIIKSITEKSCCGLSLDLLQRKLRELVLGKKFLLVLDGLCNNDLSFWDTLQAPLMVGGEGSRVLVTARSEQVLTYMRPRPSSIIWLNELGENDSWLLFCSYAFRQAPTDHDVGREIVRRCHGLPLAIISIGGLLYSRTEEEWRSILSDIPDPEDEAHGILSTLKVSYDYLPLHLKQCFAFCSIFPNGYEFDKEELVEFWVAVGLAKPRDGRSAEYIGYKYFDSLLRWSFFQGCNGRHHQKQKYKMPGLIHELAQSVSAHECLRIENDASFSESENARYALSCNFPMELPIFQKLYQNKKLRTFILLGENGTPTKQVPRDLYTNLRCLRVLDLRRNELTELPDSIGNLIHLRYLNLLGTQIERLPESLSSLYNLQLLELGDCKKLVELPRGMSNLINLRYLGLHLDWENCRHRWSDIVMPPGLGRLTSLHTLSRFSVSSESGGGISELKGLKLRGEICISKLEIVDVRDAMEASLGTKQHLETMMLQWTNCRSPASQSGGGRDVIEQLCPNSNLKHLWIENYKGAEFPSWLGDQSMNLETLRLYGCERSERLPPVGRLRNLKRLFLEGLHTVTDLGSLSGDGNFAGFPSLEMITISNLKNLERGFELVQDEMPCLRKLVISDCSNLLQLPQLPNSLEDIEIRECPALSTLPMLSSLQQLVLTKCGLEIIESIHQFTSLSSLTISQFPRLGSITREHLQDLRSLRKLKIDHCDNFVSLSSQHLVSLETLEISQCHAFSSLAGEGLPASLKDLRLQSCGNLTSLPEEGMSASLQHLAIGGCPTLEQRCQVGGGDWHKIQHIPLREIGENSTV >Ma08_p31240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42221236:42222711:-1 gene:Ma08_g31240 transcript:Ma08_t31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSPFSRRLCSETHLLSSLLSRLLSSTTFAAIDDTASGFWRLLRSNSGHPSLERTLSRSLSNTKLTAPVVESVLRQSSAAATKDDDDRALALRFFVWAGLQPNHHHSAAAYVAACDALHLPRHPYFLSQLLDSYRAVAFPVCVKTFKILLNLCRHGNLPDEALALLRRMPEFNCRPHNASYNAVLRLLADAGRGGAVAALLEEMTEARLIPDVITYVTAVRGLSASGQIGAARGLHGQMRANGCVPNAVAYSALLDGACACGDLKSAMELLVEMERELEAACAPNVVTYTCLIKCLCDKGQLGDALGILDRMGQRRCSPNLVTIRTLVDGFCAQGHVNGAHELIGRVAGEGAVSTADCYSVLVVCLLRIQDIEGAEKVLGMMLEKGDRPSGLACNSLMRELCERRRFVDTCRVLEMEEKGLVCIDSDVYSRLLLGFCEEGHLNEALRLAAKVVERETPLQADCVDAVVEVLLESGEHALASHIMGLKQP >Ma10_p28430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35312524:35316261:-1 gene:Ma10_g28430 transcript:Ma10_t28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDGDDIIVGDWLHCGSTLVLRQRKRQRHL >Ma03_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6036919:6050854:1 gene:Ma03_g08370 transcript:Ma03_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAYGEPSYWDQRYQSDPGTFDWYQKYPSLAPLFDRYLLRHHRLLLVGCGNSALGESMVDDGYQDVVNIDISSVVIEAMQMKHMDKQGLKYINMDVRDMSLFQSSSFDAVIDKGTLDSLMCGHDAMQNATKMLLEVDRVLKDKGVYILITYGGPSYRLYMLRSIQRWAINLHVIDRVEKSPGPKTWTLTNPVPLNTDGSSIATLLGSNPEVHYIYVCTKLESQRPPQNETDDVTAEQ >Ma09_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1317456:1321812:1 gene:Ma09_g01770 transcript:Ma09_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGKQRWAGAVKQVTKSNFAAALQQLRTDVDSADFVAISCRKTGDSVASSRRHPWHRVLPIDTPEVAYLKARLAAESYEILQFAVCPFRLRGYKVLAYPYNFHLFPRDEINLVAPSYSFSCQTSFLTSMAQEGFDFNACIYDGISYLSRVQESIVKERNPIPQIQPVSSFSSLSVADSIFMSRIKSRVVHWWNVCKESSNTIDEDLIKSLRKLISGTELYGSRPSMSISVCSDRQVQLALQTVSHIHDNIVPLVVPDKSGGPKAVRIVLTSSEEDKNLLMTEIQNLENEQNLRVRGFREVIDVISSSNKPVIGYNCLHDFTFIHSKFIAPLPPTLFEFMCSLRLVFTNIIDINHLSKEIGPLRKAKNLPATLSYLKRQFFMPIDIELPPKAAEEKSNKAIHGNNVLQITYLFAALSALLKLNPDGQLPQGQNIMPIEDYSNIFYPICTSLQEPDDELNYLMEHAKKSSTGNLVFLWGFSHLISSKELKRELQQIHEVFTEDFELQLMDETCAAVVFSRSGLAEALLMEMGSGKFCSDALSTKTSGLRAAGYDAYRKVCMSGLWEAYLADSLENILSGSTNSLSASSEKDSSEVYWRSESTIDLSDL >Ma10_p28840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35611565:35611702:-1 gene:Ma10_g28840 transcript:Ma10_t28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVGKYSQSTRIKMLNGSFFFFWRPPSLLKFFFNMMSDPDVDEN >Ma08_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6324810:6330154:1 gene:Ma08_g08900 transcript:Ma08_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPRGPDLAAAGAAGAEAPRVYQVWKGSNIFFLQGRFIFGPDGRSLFLTIFMIVAPVSIFCALVAKKLMDDFSTTLGLPVMVAAIVFTLYDLTLLLLASGRDPGIVPRNAHPPEPEIFEGNTEIGGVRTPQLRLPRTKDVNVNGIIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNAEQISIWRAMTKTLASIVLIVYTFLSVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRANPYDRGVVKNFKEIFFTSIPPSKNKFRARVPQEQRFQPRSTGGGFMSPNMGKAVSDIEMGRKPVTWDELRAITQIGDLEEGLHNANIEDDKVRELGEASTDLSREAVSTRSMEVQAALHDRRSSWGRGGSWERTYELQPVEAARGETNRMASGNGSSNIATGN >Ma07_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28330102:28330917:-1 gene:Ma07_g20450 transcript:Ma07_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDEGEEVIRMPVGSSYDSPMENSGRGGGAKMGGGESAATMAAGGSGHRKAYGCGGGVRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGTLDALRCAACGCHRNFHRKEPEGGGAGVGGATGGGGAMEVAGYHPQFSPYYRTPAGYLHHHHYAAAAFASQQHRPAPLALPSTSGGHSRDEQEDVSNPMMGGGGGGMVASGSGSRKRFRTKFTPEQKDKMLAFAERLGWRIQKHDEAAVQEFCEESCVKRHVLKVWMHNNKHTLGKKP >Ma03_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10178054:10199106:1 gene:Ma03_g13160 transcript:Ma03_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQKKIPFHRHREAEEARKKREEDEAARVYAEFVESFKGDNTPGSKTFVRGGVIDPNEKLKAGPEGGKSKDGVSVPKKGSRYVPSFLPPPSQGRELEKKDEEKPREKEKGKTRHIDKFLEELKFEQELREKRNQEREGRHIDNSAPLSRFDELPDDFDPSGKFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPAQALPAPPPGHMAIRNKEGNTVIISGPDGAPVTTTQSSELVLTPNIPDIVVAPPEDDHLRHVIDTMALYVLDGGCAFEQAVMERGRGNSLFDFLFDLRSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPPLPANRSPEHDKESTSTFAAGRSKRVDLERALTDPQRDEFEDMLRALTLERSQIREAMGFALDNADAAGEVVEVLTESLTLKETPVPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSGNSGVLPFHSICGDAPEIENKTSYEETYEGGKVNQDAALAVGKGAAMKELLGLPMAELERRCRHNGLSLCGGREMMVARLLNLDEAERERGYDREDDMKYGQNQLYRYTKDDSSWNVSNAGLRETNVETEKSPPALNQFGRGATSWHDKGSISLETVVAPNKKEKSDPVLVSKWNREDDGSDDEDKSSQGLGLSYSSGSENAGDLGKTGAEVNMDPNVHTHPDTSINEEKRQKLRRLEVAVVEYRESLEERGLRNAEEIDKKVAVYRRQLESDFGLSDTTDDLGSKKSSSERRSSERREKRDDVRDATRKRRRSRSHSHSPERKPSERARERHRDRDRSHEIEIGREGSREKSGSRERDDHHNRDKTRDREKDRRRNEK >Ma03_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11332217:11335060:-1 gene:Ma03_g14190 transcript:Ma03_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 2 [Source: Projected from Oryza sativa (Os02g0820900)] MSRAFCHGLLILSSLPLATAAEIDLPLCDCDGDGLWSIDSIRSWQKVSDFLIAAAYFSIPLELLYFVTCSNLFPFKWILFQFSAFIVLCGLTHLLNVFTYKPHSFLLVLALTVSKFLTALVSFLTAITLLTLIPQLLKVKLRENFLRRKARELDREVGLMKRQEEASWHVRMLTQEIRKSLDKHTILYTTLVELSKTLQLQNCAVWMPNENELEMNLTHELNGRRASSDLDTLSIPMDDPDVAHVKKCDGVEILDPESMLGRASSGMVGGSGAVAAIRMPMLKVSDFKGGTPEVLQACYAILVLVLPKNETRAWSHHELELVEVVADQVAVALSHAAVLEESHMIRDKLVEQNRVLLQARKNVMMANEAWNLFRKIMNQGIRSPIHSILGLLSIMQQGNLTPEQRLIVNTMAKTGGVVSALINDTLEINSEHFTLEMKPFQLQPLIKEAACIARCLCDSRGFGFGFQVENKFLDQVIGDERRILHVLLHIIGGLLNGSNGGCMTLRVWSDSELENLRDQRWVWRSKFSNVNSCVKFEMAIRRSLCSNSSSSVQHDRKQNGEGFDTGFFSLGMCKKLVQLMQGNICIIPSCHGLPECVTLLLRLPLQPPMPISDLEGPLERRHHFSSSSSSSLLEGLQVLLVDDDGINRKVTHKLLEKLGCCVSPLSTGAECLSSIGTSGTQFQLVVLDLNMPMMNGYEVATKVRDMRTGCRPLIVALTASTEEHVWERCVKSGMNGLIRKPVVLPELERELLRALTT >Ma08_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10975919:10979036:1 gene:Ma08_g13840 transcript:Ma08_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSLPPGFRFHPTDEELVVYYLKRKINGRELELEIIPEVDLYKCEPWDLPEKSFLPGKDLEWYFFSPRDRKYPNGSRTNRATQAGYWKATGKDRRVSSQRRAVGTKKTLVYYRGRAPHGSRTDWVMHEYRLDEKECENAAAGLQDAYALCRVFKKTAPGPQIVVDHSQWMSTDRSPTVDLSSDGRGDEFESREMMQGASLDGSACEDGKWMQYLTEEAFGGGVPCHDPGSFTYMPSKVDVALECARLQHRLSLPPLEVEDFPRMDLTQSKAMRSGSFQEGSNQVDILQEILSVASASNELIDDSSYADMWVGTTNPHLDEFASFLEPTKLIEISDLEEELKEEEKKKVENLRGIVVESEERSVRLGSIPTYQFGEAVGIEGEADGRTRSNGVDDDDTKPIFPESGPDDLAVGFLGVHHRHGGDEGRADHEYEKVEFQRGLFVSHAGMIETFFHRIEPSKKVSFHLSPVARGDMAAETHGDAARSRGRFPFFGRVKAFIQDKLIRNEACQGNGRASEVVEIAALVLSSRTNRSDEAKGQVMEQEREGGCTTWKKKEENKKSRRGGDCESNVWFPDMRAGRGICRMFLLGKLPFLLSSALPPHGFRS >Ma08_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1404569:1405062:1 gene:Ma08_g01670 transcript:Ma08_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYTKGSVDLSFLGSELERILRFSSVFPSLVCSWIRHLRQGLKDCALFNAASLHGAVAAAVSHSTHPLTLFFFFAYNFLSKIFLVKNMVLVLSISKHP >Ma03_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5003022:5006293:-1 gene:Ma03_g07240 transcript:Ma03_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLADFYHVMTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYEMNMRFIAADTLQKLMVLAVLAAWCRLSRRGSLEWTITIFSLSTLPNTLVMGIPLLKGMYGSYSGTLMVQIVVLQCIIWYTLMLFLFEYRAARMLISEQFPDTAGAIASITVDSDVTSLDGHRDMLETEAEIKEDGKLHITVRRSNASRSDIYSRRSMGFSATTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVGRSSNFGAADVHGMRGVATPRPSNFEEEHGVANAAGAPNASNAAAKSKPNYQLPVTAATQNYPAPNPSVFSVAAPPTAPKASAAAAAIGPKKANGQAPLFKAEDGGTKDLHMFVWSSSASPVSDVFGGNNTNHHEYGLPAADPSAMKEVRMAVSPGKVDGRKEYREEYLDRDNFSFGNKGMEDGEGGGHEDGGDKVQGAAKGGLMRATAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGVVWSLVSFKWHVNMPDIVSHSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGVLLRIAIVQAALPQGIVPFVFAKEYNLHPDILSTAVIFGMLIALPITLVYYILLGL >Ma04_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3596903:3607243:-1 gene:Ma04_g04720 transcript:Ma04_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MLLCSSSPIFLARASSHPRKDSRSHVLAKLQSALVANSSIRFSVKYPFCCPHRLSINLKAERDFAISSVLGENQAMTTTEEDVQHCGIFDLDPSLEKFKDHLIYRSKKYVEQKSLIEKFEGSLEEFSQGYLKFGFNREQDAIVYREWAPAAQEAQLIGDFNGWDGSNHKMEKNEFGVWNIKIPDAGGKPSIPHNSRVKFRFKHGNGIWVDRIPAWIRYATVDPTRFAAPYDGVHWDPPPSERYIFKYSRPPKPAAPRIYEAHVGMSSSEPCVSTYREFADTVLPRIRANNYNTVQLMAILEHSYYGSFGYHVTNFFAVSSRSGTPEDLKYLIDKAHGLGLRVLMDVVHSHASNNVTDGLNGFDVGQGTQDSYFHNGERGYHKLWDSRLFNYANWEVLRFLLSNLRWWLDEFNFDGFRFDGVTSMLYHHHGINMVFTGNYTEYFSEATDVDAVVYMMLANHLVHNLLPDATVIAEDVSGMPALCRPDYEGGVGFDYRLAMAIPDRWIDFLKNKMDSEWSMKEIVWSLTNRRYTEKCVAYAESHDQAIVGDKTISFLLMDKVMYSGMSDLEPASPVIERGIALHKMIHFLTMALGGEGYLNFMGNEFGHPEWIDFPREGNSWSYDKCRRQWNLVDTDHLRYKHMNAFDRAMNLLDDRFHFLASEKQIVSSISEEDKVIVFERGDLVFVFNFHPENTYSGYKVGCDLPGKYRVTLDSDAFEFGGHGRVGHDIDHFTSPEGIPGVSETNFNNRPNSFKILSPARTCVVYYKVDETLQQPDEDTTGTETTVTQVLQDSQVDKTAESPSEETISTEETTVRQVLQDSPDLASDEVLVSSGIRDEKEIDTEDSTASD >Ma04_p04720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3596851:3607243:-1 gene:Ma04_g04720 transcript:Ma04_t04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MCHGYELLEDWNLTSQEKDSRSHVLAKLQSALVANSSIRFSVKYPFCCPHRLSINLKAERDFAISSVLGENQAMTTTEEDVQHCGIFDLDPSLEKFKDHLIYRSKKYVEQKSLIEKFEGSLEEFSQGYLKFGFNREQDAIVYREWAPAAQEAQLIGDFNGWDGSNHKMEKNEFGVWNIKIPDAGGKPSIPHNSRVKFRFKHGNGIWVDRIPAWIRYATVDPTRFAAPYDGVHWDPPPSERYIFKYSRPPKPAAPRIYEAHVGMSSSEPCVSTYREFADTVLPRIRANNYNTVQLMAILEHSYYGSFGYHVTNFFAVSSRSGTPEDLKYLIDKAHGLGLRVLMDVVHSHASNNVTDGLNGFDVGQGTQDSYFHNGERGYHKLWDSRLFNYANWEVLRFLLSNLRWWLDEFNFDGFRFDGVTSMLYHHHGINMVFTGNYTEYFSEATDVDAVVYMMLANHLVHNLLPDATVIAEDVSGMPALCRPDYEGGVGFDYRLAMAIPDRWIDFLKNKMDSEWSMKEIVWSLTNRRYTEKCVAYAESHDQAIVGDKTISFLLMDKVMYSGMSDLEPASPVIERGIALHKMIHFLTMALGGEGYLNFMGNEFGHPEWIDFPREGNSWSYDKCRRQWNLVDTDHLRYKHMNAFDRAMNLLDDRFHFLASEKQIVSSISEEDKVIVFERGDLVFVFNFHPENTYSGYKVGCDLPGKYRVTLDSDAFEFGGHGRVGHDIDHFTSPEGIPGVSETNFNNRPNSFKILSPARTCVVYYKVDETLQQPDEDTTGTETTVTQVLQDSQVDKTAESPSEETISTEETTVRQVLQDSPDLASDEVLVSSGIRDEKEIDTEDSTASD >Ma09_p20720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29942675:29946422:1 gene:Ma09_g20720 transcript:Ma09_t20720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATLICCADEAALCASCDVEIHLANKLASKHQRLRLDCLSNKLPRCDICQEKAAFIFCVEDRALFCRDCDEPIHVAGTLTGNHQRYLATGIRVAANAMCNKDFNDNTEPPNQGPATPAAKVPETPQTISSFMDSAWAADEFLQLYDQETGYKGSPVGYEELDWFADIGLFHDQLPKATQTAAQVPELPTTQASNTGFYRANKFPVPQASDTGFYRGNKCGTLFKKPRLEISDEEEYFTVPDLG >Ma09_p20720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29942524:29946422:1 gene:Ma09_g20720 transcript:Ma09_t20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATLICCADEAALCASCDVEIHLANKLASKHQRLRLDCLSNKLPRCDICQEKAAFIFCVEDRALFCRDCDEPIHVAGTLTGNHQRYLATGIRVAANAMCNKDFNDNTEPPNQGPATPAAKVPETPQTISSFMDSAWAADEFLQLYDQETGYKGSPVGYEELDWFADIGLFHDQLPKATQTAAQVPELPTTQASNTGFYRANKFPVPQASDTGFYRGNKCGTLFKKPRLEISDEEEYFTVPDLG >Ma09_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29942613:29946422:1 gene:Ma09_g20720 transcript:Ma09_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATLICCADEAALCASCDVEIHLANKLASKHQRLRLDCLSNKLPRCDICQEKAAFIFCVEDRALFCRDCDEPIHVAGTLTGNHQRYLATGIRVAANAMCNKDFNDNTEPPNQGPATPAAKVPETPQTISSFMDSAWAADEFLQLYDQETGYKGSPVGYEELDWFADIGLFHDQLPKATQTAAQVPELPTTQASNTGFYRANKFPVPQASDTGFYRGNKCGTLFKKPRLEISDEEEYFTVPDLG >Ma05_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34373954:34376372:-1 gene:Ma05_g22620 transcript:Ma05_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKTPKTHRAKREIEKRAPKFVENGKKTLILHGTKTSDVLNTVLTQIYHLKRDNAVKYTKKNENIRPFESGGETSLEFFSLKTDCSLFVFGSHSKKRPNNLVLGRMFDHHIYDLVEVGVENFRPIESFEYDKKLTPRIGSKPFFAFIGEGFESVEELKHLKEVLLDLFRGEVVENLNLAGIDRVFVCTALSSSTVFLTHCALRLKRSGTAIPRMELVEVGPSMDLAVRRHRLPNDSLKKEAMKTTSEQPKKKIKNVSSDVLQGKIGKIYIPDQKVGGITLSSDVKGLKRERREAKKRKVGIENEAKKRKTASD >Ma05_p22620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34373954:34376409:-1 gene:Ma05_g22620 transcript:Ma05_t22620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKTPKTHRAKREIEKRAPKFVENGKKTLILHGTKTSDVLNTVLTQIYHLKRDNAVKYTKKNENIRPFESGGETSLEFFSLKTDCSLFVFGSHSKKRPNNLVLGRMFDHHIYDLVEVGVENFRPIESFEYDKKLTPRIGSKPFFAFIGEGFESVEELKHLKEVLLDLFRGEVVENLNLAGIDRVFVCTALSSSTVFLTHCALRLKRSGTAIPRMELVEVGPSMDLAVRRHRLPNDSLKKEAMKTTSEQPKKKIKNVSSDVLQGKIGKIYIPDQKVGGITLSSDVKGLKRERREAKKRKVGIENEAKKRKTASD >Ma06_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5653235:5654364:1 gene:Ma06_g07960 transcript:Ma06_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRASMAAMGITVLFMVVSFTTSAGDSPPAPPYTNHTVGGAAGWFFNSTSNSSVTNYSDWASTQNFFLGDYLIFNTDSSNTVIQTLNATTYALCNFTDDNGDDTTFYYDGGAVPEAGVTVPVALTEEGVTYFFSAADGGAQCLQGMRFRITVAHGRGLPPSLNQPPPPPFIEVAPPPPEIMVSPSISRGHAFHARAPLVLGIFVGILCFLRSA >Ma11_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26990916:26994605:-1 gene:Ma11_g23760 transcript:Ma11_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTKSSMGAMEGPVLDDVIHRLLEGRQGGRQVQLSESEIRQLCIEAKHVFRAQPNLLELHAPIKICGDIHGQYLDLLKLFEKGGFPPHSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKTFCDSFNYLPMAALIDKKILCMHGGLSPELNSLDQIRDIKRPTEIPDYGLLCDLLWSDPDPKIQGWGESDRGVSVTFGADKLAEFLDQHELDLICRGHQVVEDGYEFFANRRLVTLFSAPNYCGEFDNAGALLSIDKNLFCSFEILKPYDFMSTPGSSNALKTAPKKSETGKV >Ma04_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25537855:25541750:1 gene:Ma04_g23340 transcript:Ma04_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIREMAVLSVESFPLGFRFRPTDVELVNHYLKGKITGRIKSEDEVISEIDICKCEPWDLPDISLIKSSDSEWFFFSPKDRKYPNGNRSNRATKAGYWKATGKDRMIRSISRVPTIIGTKKTLVFYQGRAPSGVRTTWVMHEYRTTEKEFDSGEQGGFVLCRLFKKPEEKTQISDIDDCTEGNVGEMESGDPSPAPAPAPGMLSPVEMQQGVEATVEVVSPLDHKLPGSYLQENLQPLPPTSDMQSSGVHELLEESHCSETVVSDPPCETLVGNEFGLRLDDLPQYLNPDFDKLGGVDQEFPEFDYHSNSPRITYTGHAFDAGVDWEFSSGLNQCDSVEDDSVTEFLDAVLCDPYECSPEVSYVCRSLNTEFEPQGQICVSTDETPWDSLSGKKSKRGSDKDDKATVLDDSIGFSGEIYKLPVCSSTLSQKNSCIVPTRQHRILVFPNHNLSQNVSLMDSASALHQETARMSNCDKAGIQISTHNNLQELDCLIEPQSLLMRRLRLQKFVRKGSVPSTDQVLSTRNDVMKSAANEVREILKHQFSEEQPVFSRIASTTSEGFSSHEIKSSSLEIYERKCYTPAQESRINDFNPKSSLRTRWKHKDDDRISSQSLDAMASKDGSHFSVRIILILSVILLFLILGLNWCLRS >Ma05_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11681081:11684539:1 gene:Ma05_g15530 transcript:Ma05_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVIPRLPFLFLLVISFVGGGDICPSRVVAAAAMAQGSLRATASNGSSSTMAQGPAGDVVVGAVDDPEFIATEVEMQIGNITARRSLGYLSTCVTGNPIDDCWRCDPEWHLHRKRLADCGIGFGRSAMGGRNGRFYRVTDPSDDDPVNPRPGTLRYAVIQDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDAISIFGSSHIWVDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQSNRYLAPTNPFAKEVTKRVETSSDVWESWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGSITAGAGALPCRKGSLC >Ma05_p15530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11681081:11684539:1 gene:Ma05_g15530 transcript:Ma05_t15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVIPRLPFLFLLVISFVGGGDICPSRVVAAAAMAQGSLRATASNGSSSTMAQGPAGDVVVGAVDDPEFIATEVEIRQIGNITARRSLGYLSTCVTGNPIDDCWRCDPEWHLHRKRLADCGIGFGRSAMGGRNGRFYRVTDPSDDDPVNPRPGTLRYAVIQDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDAISIFGSSHIWVDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQSNRYLAPTNPFAKEVTKRVETSSDVWESWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGSITAGAGALPCRKGSLC >Ma06_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4896332:4897102:-1 gene:Ma06_g06830 transcript:Ma06_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVAEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVHRASEGEDAAAAHSSSKALAEVLSAKLRKCCKTTPSPSLTCLRLDTEKSHIGVWQKRAGTRADSSWVMTVELGSVGSGGQETSEATMAPSPLAGEGTSSQEAVGEMDDEERLALQMIEELLSRNRPTSSSSHAGMEGEDHSFFL >Ma02_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16170388:16170916:-1 gene:Ma02_g04760 transcript:Ma02_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAKVLAVCLFLLLSLLISLDFHASGDPDEWTSGRKLGERQPKTGPPSPIKNQGRSSYWSPGGVGDRPRPAPDHPGSSAVPTPPIQT >Ma00_p04400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:37449912:37455523:1 gene:Ma00_g04400 transcript:Ma00_t04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPSPDIDDELFNEIYGKEYTGPLQSAETTTPKGDTSKRPLSGSQSDEEDEPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICRESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVINQIEKDVGCKIKMDEKFLIVSGKDRLVLTKGVDAVHKVIQDGKDRKRSPSSSNRNDSRSPDGSPKGSHLRRSESQRSHSSPRNTSRLPSRGFNQERPADNHVRQESSRMSRGSPRAYANDGGKGHATRSKSPLRTAFGRDAYKSYDGHDHYTGMHDNNWDVARLRSDSHSECKVNFPSYPQSLEELEMEFKKELMELGKLHDQEEDDENYKHRQCVRQLREDHTKKLAIMRGMHIKQWEEFLQLDVRRRQQACQTVFNQPSLPEYDQSARNMQYVGSGLPMDSTNIYQYAGENFSAPRPHDAYSEFQHQRHEDFGNAYGRY >Ma00_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:37449912:37455523:1 gene:Ma00_g04400 transcript:Ma00_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPSPDIDDELFNEIYGKEYTGPLQSAETTTPKGDTSKRPLSGSQSDEEDEPRDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICRESGHFTQGCPSTLGANRKSADFFERVPARDKNVRALFSEKVINQIEKDVGCKIKMDEKFLIVSGKDRLVLTKGVDAVHKVIQDGKDRKRSPSSSNRNDSRSPDGSPKGSHLRRSESQRSHSSPRNTSRLPSRGFNQERPADNHVRQESSRMSRGSPRARAYANDGGKGHATRSKSPLRTAFGRDAYKSYDGHDHYTGMHDNNWDVARLRSDSHSECKVNFPSYPQSLEELEMEFKKELMELGKLHDQEEDDENYKHRQCVRQLREDHTKKLAIMRGMHIKQWEEFLQLDVRRRQQACQTVFNQPSLPEYDQSARNMQYVGSGLPMDSTNIYQYAGENFSAPRPHDAYSEFQHQRHEDFGNAYGRY >Ma05_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:171594:173045:1 gene:Ma05_g00230 transcript:Ma05_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANSDSSGNSGAANGTGKPSRYESQKRRDWNTFRQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPQPPAPCPCPLKQAWGSLDALVGRLRAAFEENGGQPEANPFAVRAVRLYLREVRDSQAKARGIAYEKKRKRPPPPPQGHSPQPPLPMVAAPPAAAYARQAADLNLVNGAYTHHVHGHLMIPATDAVGDVVVTDAHPGGMIPLSVLN >Ma06_p32250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33185525:33187169:1 gene:Ma06_g32250 transcript:Ma06_t32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRGLNAETNSIQVTWDRPQQRWLLPAYFNAGRMRFLFMEAPATKEGLLHAKQAGIQFSKVETDAEYKINSDFVRPPRSWILGGSMENKGGDGEVSARGPGRVTSNGVRRILLAANYTALFLGTLASSLLSRFYFVHGGSSRWVSTLVQSAGFPLLLPLIYFSPRPPRTAFTPRLVLYCVLIGLFLGVNNLFISWGVSYLPVSTSSLILSSQLAINLLLSVVLVRQPLYFTNLNCVLLLTLSSILLALNHSHERPSGVTSSQYIIGVLTTLGAAVMFAVYLPIAQIVYRGVTAFRTVMEMQVVMEAVATGFALVGMAATGGFKQMKREAAVEFDLGRNRYWVTIGATIASWQLCFMGTAGMVFLTSSLNSGICSTALLVVNVVGGLVVFHDEFGVQKAVSTVLCVWGFASYLYGEHRRKARADEEELIV >Ma08_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5810178:5811875:1 gene:Ma08_g08330 transcript:Ma08_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQESKLLKTQACVLKVNICCDGCQKKVKKLLQKIEGVYNTTIDAEERKVTVTGNVDPAVLITKLRKAGKHARLWSAKAGNHHTLTDQLELAKAQQQKEYWKTQKKCQQPQQQAKGFKDLKFPNLKGLKLPFRKGNRTVKFDLPPKEDVDDGSEYSDHDYDEFEDDDGLDDMDGFEEDVRGKVLGKDTKGAAGGGGGGGGRKGGVQAQNKAMGGSNVNGGGSHSQGGGGKNVHGARDSKHEGKHNKGGNGNPPQGGKKGGGGGSNDGVGGDGQLNMMGQMAHIPAVRRPSAGGGMPGYFQGGNVPPEMMMAGTNPYHQQQQQRMMMMMMLMNGQDRAAFPPPVGYGYGYGRPVYALPPPPTQPQAEPYTMFSDENPNSCSVM >Ma07_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3274667:3278838:1 gene:Ma07_g04390 transcript:Ma07_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) UniProtKB/TrEMBL;Acc:Q8L8C2] MRVKKQKRHRKVVRFYSACFGFREPYKVLCDGTFIHHLLHHRLTPADDVISHLLGARALLFTTRCIIGELRSLGESHSEALEAAQQLFTARCDHEKRVGATACVESVIGETNSEHFFVATQDADMRKKFREVPGVPVIYGLRNSLFIEQPSAQQRQYAKSTEEKHLHMGESEYLKLYKSDLKGVITNDSTNRVTEGTQLTRNISTTKRMLGVAEKSKFKRKRAKGPNPLSCKKKKKDDTSAPQNQDGVDSGATKKKIRKRKRAKEIGS >Ma05_p17260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19677980:19696741:-1 gene:Ma05_g17260 transcript:Ma05_t17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRINLGHGNAGPRSSLGEAFDGGFASPAPFFPSPYLPSVSAPGKPSTAAGLSRPRLVKSRKQFASPRVRAAVITDAEDGSGFNPFRSSMGVTPSGAPERNEGGGLQGLHEKLHGCKPFDPKAGEKVPGNTKSGSFDSVKHEIHTGASVFESGEEKFSGTGRSTGEDSMKLEFAGYESKPVMSNLGYGSTVDCAYHFGRDDAGVFVSGSAMKENAISGQNLPEDLCVPSLDNFVSVESGSSGFAFGRVSLSNLSMENIGSQNKASNSGVFPFGCDVKRSTKSHQISTAGTSYPELGGFEFGRSESVPFVSELTDGSTNTGSDKISGNPSTFKDERCVDSVSSLYASVSGLNKSDTLSWNKPQSSGVPSMGSFLPAKPENAFVFGANSGNSDSRNSVSMSNTGTFTDERSGSSDAYDTGVQRSSNSRKKSFNVSDEVLFSGLHGEMTKLNLHNSGDEDASKGRKQAYERAKDNVGNSFLFGGNMTTLSSLGGCDVNTLSEEMKKATIGSTMPSHNVRNGSLDNPEGFFVFGSNMKKSFTSSQTSTMSEKSFPSKIINVMQKLNIEDFEDDGNFSRNKDADCQFKVVVMANDPDKVLYTGDTNVSASFDGNAVNTLYNDIKMLNIRDKTGNSDANAERTRNVFPNASASSKHTFVVSNQEKNSIGDDFRSSKVDSDFRSREYAEPTFHRSSSGHDPRSVEIGFNFTSMQEEVGMSHMEFRSPKSVAPGLSKESLFTGPRSNMTFGGRKVESKGTKTKKRNGKPKHSIPLQQTFAQTFVSVEKVLEGKDPESLDGYSPMDYSPYQENLVADQTSREASIPSNESIHIASPSASIATENPIPVYESEFLVSATEHLYIAEDDLTHEKPNHNNSRCHDESEYLVSATQRLDINEDDLTHGERDHNDSRDHVDEEFGLECSDDGQRCEPDGKTVVSKSEYEDLACGSSSTLMETETHLFGSEIVTQAGENGTSLTSASSLAGFGGSNFAFGAISFAQDPLSVSKRQLRRKSRTKVGQEMLNPSLNADVPFVSPFANMLPATCSSVRPDPAGDLKSIQSGPQNVVDTRVETGTKPEVLRDPVTTDSEIVAEQEACERWRLRGNQAYAKGLLLKAEEYYTQGVNSVSRKEISLSYNRALMLCYSNRAAARMSLGRMREALNDCMLAAAIDSHFLRVQVRAANCHLALGEIDEAMKYFKKCLLSERDGNLDQKILIEASDGLQKAQQVAGYVVQCEELLLKRTSNEVAKALHMISDSLSICTHSEKLMEMKAEALLLLRRYEEVIQFCEQTMEHAERNVLSGTNVQLSKVDNFEDIQVISVRLWRWCLISESNFYLGRLEEALDLLKKQDKMKNIVENSGPSGASTSLFVTVRELLRLKAAGNDAFKEGRHVDAIEHYSAALACSTESRPFAAICFCNRAAAYQAMGQIADAIADCSLAIALDPSYLKAISRRATLHEMIRDYGQASNDLHKLISLLEKQPKDNDNQDGALERSISNNGDLSQARLRLSTVEEESRKEIPLDMYMILGIEPSSSAADVKKAYRKAALRHHPDKAGQLLARSENLDNGVWREMAEEVHRHADRLFKMIGEAYSVLSDPSKRLQYDAEEEMRTALKRGYATTSTPKAPADNCVSQFDKNMNRRQWQTYRSSHHRWSESSQSKR >Ma05_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19677980:19696741:-1 gene:Ma05_g17260 transcript:Ma05_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRINLGHGNAGPRSSLGEAFDGGFASPAPFFPSPYLPSVSAPGKPSTAAGLSRPRLVKSRKQFASPRVRAAVITDAEDGSGFNPFRSSMGVTPSGAPERNEGGGLQGLHEKLHGCKPFDPKAGEKVPGNTKSGSFDSVKHEIHTGASVFESGEEKFSGTGRSTGEDSMKLEFAGYESKPVMSNLGYGSTVDCAYHFGRDDAGVFVSGSAMKENAISGQNLPEDLCVPSLDNFVSVESGSSGFAFGRVSLSNLSMENIGSQNKASNSGVFPFGCDVKRSTKSHQISTAGTSYPELGGFEFGRSESVPFVSELTDGSTNTGSDKISGNPSTFKDERCVDSVSSLYASVSGLNKSDTLSWNKPQSSGVPSMGSFLPAKPENAFVFGANSGNSDSRNSVSMSNTGTFTDERSGSSDAYDTGVQRSSNSRKKSFNVSDEVLFSGLHGEMTKLNLHNSGDEDASKGRKQAYERAKDNVGNSFLFGGNMTTLSSLGGCDVNTLSEEMKKATIGSTMPSHNVRNGSLDNPEGFFVFGSNMKKSFTSSQTSTMSEKSFPSKIINVMQKLNIEDFEDDGNFSRNKDADCQFKVVVMANDPDKVLYTGDTNVSASFDGNAVNTLYNDIKMLNIRDKTGNSDANAERTRNVFPNASASSKHTFVVSNQEKNSIGDDFRSSKVDSDFRSREYAEPTFHRSSSGHDPRSVEIGFNFTSMQEEVGMSHMEFRSPKSVAPGLSKESLFTGPRSNMTFGGRKVESKGTKTKKRNGKPKHSIPLQQTFAQTFVSVEKVLEGKDPESLDGYSPMDYSPYQENLVADQTSREASIPSNESIHIASPSASIATENPIPVYESEFLVSATEHLYIAEDDLTHEKPNHNNSRCHDESEYLVSATQRLDINEDDLTHGERDHNDSRDHVDEEFGLECSDDGQRCEPDGKTVVSKSEYEDLACGSSSTLMETETHLFGSEIVTQAGENGTSLTSASSLAGFGGSNFAFGAISFAQDPLSVSKRQLRRKSRTKVGQEMLNPSLNADVPFVSPFANMLPATCSSVRPDPAGDLKSIQSGPQNVVDTRVETGTKPEVLRDPVTTDSEIVAEQEACERWRLRGNQAYAKGLLLKAEEYYTQGVNSVSRKEISLSYNRALMLCYSNRAAARMSLGRMREALNDCMLAAAIDSHFLRVQVRAANCHLALGEIDEAMKYFKKCLLSERDGNLDQKILIEASDGLQKAQQVAGYVVQCEELLLKRTSNEVAKALHMISDSLSICTHSEKLMEMKAEALLLLRRYEEVIQFCEQTMEHAERNVLSGTNVQLSKVDNFEDIQVISVRLWRWCLISESNFYLGRLEEALDLLKKQDKMKNIVEKNSSGPSGASTSLFVTVRELLRLKAAGNDAFKEGRHVDAIEHYSAALACSTESRPFAAICFCNRAAAYQAMGQIADAIADCSLAIALDPSYLKAISRRATLHEMIRDYGQASNDLHKLISLLEKQPKDNDNQDGALERSISNNGDLSQARLRLSTVEEESRKEIPLDMYMILGIEPSSSAADVKKAYRKAALRHHPDKAGQLLARSENLDNGVWREMAEEVHRHADRLFKMIGEAYSVLSDPSKRLQYDAEEEMRTALKRGYATTSTPKAPADNCVSQFDKNMNRRQWQTYRSSHHRWSESSQSKR >Ma06_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15288186:15289948:-1 gene:Ma06_g21150 transcript:Ma06_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKGRPLPKFGEWDVNNPASAEGFTVIFNKARDERKTPIVNSGAPASPRRNDVGGLNPDPTYQYPRKSSKWFCCG >Ma01_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11462211:11463585:1 gene:Ma01_g15780 transcript:Ma01_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLALCLSEFFLLYTFSAHTGVADDSLFRGQSLSGGQTMVSRGGKVELGFFAPDSKNQIWSSNSSSSLASNSSVAVLLDDGNLVLKDNINWFLTSWRNPEDPSPGIYRVRDSAATHYIMLDFTGELRRQRWDNDDKVMLHVCRDSTHGLRMNGHWEITPEGASEEPLYAVGKEIDSSSYPTRSRPPTLCACQPSAVEKSVELLACGIVRQNQELFIFVLTLQNWQIIIIWTGKRRRRLSSVQCQVLLLSLSSSCFWP >Ma01_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10713400:10717486:1 gene:Ma01_g14670 transcript:Ma01_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVEKTAEDLRKEIQELHRQQREITERLRDPRGIRRGGLAPRGARLAAAAAGPLNRGIVRPADESEDQPPPKRRLSSAVVKLEDGEVKEDVGAAKDAKEEDPLAEASAAASGERNEQKTSYSQSNGGGFRRDGNSRMRKTDIDMSLPEPTPREPKLIQDASLMKRNKRMLGQLLGTLEKFREEDEKLSSTDAYMRRSDSLRRAEEKVREESERLRQQEREQIAEKRKRDLTLRARVAAKAQEKKLELLFLSWSEHHKKLSNFLRTKAEPPIYYMPAKPLVDDPALVEQQREQVFLEWKTTRRAELSEYQRLMEEQYLSNVEQQLERWHNARNARKADNLVNLQETMDRELETHKLEHGPKTRRIPGGNDDEEDVEDIAAEDELMDEVLEVHERIDGDTPKPSDIGNGS >Ma01_p14670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10713400:10717486:1 gene:Ma01_g14670 transcript:Ma01_t14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVEKTAEDLRKEIQELHRQQREITERLRDPRGIRRGGLAPRGARLAAAAAGPLNRGIVRPADESEDQPPPKRRLSSAVVKDGEVKEDVGAAKDAKEEDPLAEASAAASGERNEQKTSYSQSNGGGFRRDGNSRMRKTDIDMSLPEPTPREPKLIQDASLMKRNKRMLGQLLGTLEKFREEDEKLSSTDAYMRRSDSLRRAEEKVREESERLRQQEREQIAEKRKRDLTLRARVAAKAQEKKLELLFLSWSEHHKKLSNFLRTKAEPPIYYMPAKPLVDDPALVEQQREQVFLEWKTTRRAELSEYQRLMEEQYLSNVEQQLERWHNARNARKADNLVNLQETMDRELETHKLEHGPKTRRIPGGNDDEEDVEDIAAEDELMDEVLEVHERIDGDTPKPSDIGNGS >Ma01_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8680581:8684637:-1 gene:Ma01_g11990 transcript:Ma01_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLGLVNLLPKRNGNRSSGLKPFPNPRPPCLPRLPSAPRPHLPSPALPLLSDAPSILPAAAAVAFSLPLFFHPQDALALDGEFGILEGRTFALVHPVVMGGLFFYTLWAGYLGWQWRRVRTIQNEINELKQAAPAAPMTIAAGSDAEASPPASPTPAEAKIQQLTEERKALLKGSYRERHYNAGSILLGFGVLEAVGGCLNTWIRTGQLFPGPHLFGGAGITALWALAASLVPAMQKGNDAARNLHIALNTLNVLLFVSQIPTGIDIVFKVFEFTNWP >Ma04_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7690449:7696375:-1 gene:Ma04_g10900 transcript:Ma04_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFKSDGKDIRFVATDLAADIIIHVGNIKFHLHKFPLLSKSYYMQKLLMTTNNEATDEICISDIPGGVAAFEICAKFCYGMTVTLNAHNVIAARCAAEYLEMHETVEKGNLIYKIEVFLRASIFHGWKDSIIVLQTTRSLLPWAEDLKLISHCIDSVASKASTDASKVEWSYTYNRKKLPSENGPNEQQWNGVRKENSVPKDWWVEDLCELEMDFFKRIIVAIKAKGRVSSEVIGEALKAYTYKRFTSLGKGPARNGIDATKSSSWLETIISLLPSEKGSVSCSFLLKLLRAESLLNCGETCKKELVKRIGRQLEDASVSDLLIHTTSEKTVYNVDTVFNIVEEFIMQADNEDHPGPTATDETALASGSSKISVAKLVDNYLAEIAKDPNLPLSKFIDLAELVPSDSRPVHDGLYRAIDTYLKEHPGLSKSERRKLCSLMDCKKLSVDACAHAVQNEHLPLRSVVQVLYFEQMRVSTSAASRAESGGSYGSSRSAITTNTEDDCDGVATGDLNSVKGHECSRSSDSKVKGTTTPKKMLRNLISNKAQAGESSSSSDTSGSPNSANPEKEKPKSTR >Ma11_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22182763:22186389:1 gene:Ma11_g16750 transcript:Ma11_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPALLLSPPAANPNHPPSSLSPHRVLWSPRRNLRPLGCSLSTSSGRATRRPPLGSPRVVSEMASSTGGESYMTLLEYVGKGGADVGDDLVVLLCHLQYACKRIAALVASPFNAELGRDGGGGVASSSSSSKRDAPKPLDIVSNDIILASLRKSGKVAVMASEEDDVPVWITDHSPFVVVVDPLDGSRNIDVSIPTGTIFGVYNRLAELDHLPIEEKAQLNSLQSGKRLVAAGYILYSSATIFCISFGSGTHAFMLDHSTGEFVLTHPSIQVPRRGQIYSVNDARYFDWPDGLRRYIDTVRQGKGQFPKKYSARYVCSLVADFHRTLIYGGVVMNPRDHLRLVYEANPLSFLIEHAGGRGSDGKTRILSIQPVELHQRLPLFLGSVEDMHELESYDSIQQKINPGYDV >Ma06_p30630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31857331:31858930:1 gene:Ma06_g30630 transcript:Ma06_t30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSSSTGVPPGFRFHPTDEELLLYYLKKKVSFEKFELEVIREVDLNNVEPWDLQERCRIGSAPQDEWYFFSHKDRKYPTGSRTNRATGAGFWKATGRDKCIRNSYERIGMRKTLVFYRGRAPHGQKTDWIMHEYRLDDSDDARGEAGGGSDDGWVVCRVFKKKCFFKGSGEGSTSQAMENHMSVAASHDQSPSLSSNYIHPNLGHHHRHLHHHNGLYYSQMPTGPYSHGQVQDLMTNHRPSGYDFGVLPGDSAPVVKPYDGALAAAAACVGMQSERDPGPNEWAVLDGITAQQQQMNQMASQRGGEMDLWGYGK >Ma09_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36703403:36704139:1 gene:Ma09_g25140 transcript:Ma09_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannose synthase subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G74340) UniProtKB/Swiss-Prot;Acc:Q9CA79] MELADKAVGFLLSAVSLSIFSYYTFWVIILPFVEKDHFVHGYFLPQEYAILIPVFAGVALLSFLCVFVGYVMIKSNKKKKSA >Ma04_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7539573:7540097:-1 gene:Ma04_g10610 transcript:Ma04_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTSPVAASPAMHEVMRRYHSRHKAPGQCGSANVQYFAAPLLVVWSLLRRFDRPQDHKRFHKGCRLRAGDGRVGSVREVTLVSGLPAGTSTEWHVISFSVLGGDHRLSNYQSTTSLHEGGEGEGTVVVESYVVDVPPGNTEEDTCVFVDTIIRCNLISLASVAERMAVYELP >Ma09_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1281040:1284643:1 gene:Ma09_g01730 transcript:Ma09_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKGDAPVHSGSCFLQPPERMMRLPDVLLLQILLLPVFACAVDLPAPLNDEVMGLIVFKAALEDPTAALASWNEADATPCGWAHVRCDPRTSRVVRLALDSLSLSGPLPRGLDRLPALASLSLSNNNLSGPVPPGLSLLPALRSLDLSRNSFSGSLPGDLAFLPSIVSLDLSSNALSGPLPDSLFSAATCGSLRFLSLAGNRLEGPFPSALSRCSFLLYLNLSNNRFSGSPDFETGLWSLSRLRVLDLSHNSFSGPVPDGIANLHRLRNLQLNGNRFSGTIPAGVGLCRHLSILDLSYNSFEGALPSSMRYLGSLTSLKLSDNQLSGDVPAWIGNLTTIQQVDLSNNKLAGNLPASLGGLKEVNYLSLSNNLLTGAIPDTVAGCTKLSELHLKGNRLNGSVPLGLFDLGLQVLDLSSNELTGTVPAGSTWISETLRSLDLSDNKLNGTIPPEMALFFGLTYLNLSWNDLRTQLPPELGLFRNLSVLDLRSSALYGSIPGDLCESGSLSVLQLDGNSLTGPIPEEIGNCSSLYLLSLSHNSLNGSIPASLSQLKNLEILNLEFNNLSGEIPEQLGGLDNLLAVNISHNQLIGRLPMGGIFQSLDSSALQGNLGLCTPLVMEPCKLDVPKPLVLDPDAYARGNGNGVPAVTNPAMPMRHRRFLSVSAIVAISAAVVIFLGVMAVTLLNMSARRRAGLMENALERVCSSSTRSGGSDLGTMVVFGPGSNLRPEDLAIGTEALLAKATKLGRGVFGTVYRASVGEERVFAIKKLSTANIVQHQEDFDREVQRLGKVRHPNLMQLEGYYWTPELQLLISDYAHHGSLHSRLHKRTESTPPLPWRDRFKIALGTAKGLAHLHQSVRPPIIHYNLKPTNILLDENCDPKISDFGLARLLQKLDKHIISSRFQSAMGYMAPELACQSLRVNEKCDVYGYGVLIAELVTGKKPVEYGDDDVVILIDHVRALLEQGRVLDCVDSSMGEFPEEEVLPVLKLGLVCTSQIPSTRPSMAEVVQILQVIKAPL >Ma10_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13626725:13629626:-1 gene:Ma10_g03400 transcript:Ma10_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPATHARSHRFRAPPPSPIATGKGLRSAAVDDLVLTEYLEDSLRVPDLNLPESYFPSRSPLKALAEVDLHSLVSGDGLAVRQVLAAAAEIGAVRVAGGGAPLAEEARAAIEACGVVFETPEGEKSKGALGQQCFGWPDALAEEFYWYRSRSPEMEQLLQRTWPDSYRTLRERMENVAARLETVAECIANILSKHFVSQTPSRVGEIHSILCLRKYESHRSRSNMREFSDAKSLSSHALSLHISGDDHEFCIRCPEGSAIFEMSAGDIVVTIGKPLQEWSNGELNIASGEALFQPTDNPFPSFTLEYMCSPLVLSHEPEHGTKTISLIDQLLIVLVLSLIYSLWSCVFS >Ma10_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31375792:31377482:1 gene:Ma10_g21710 transcript:Ma10_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDSLTVGRVIGDVLDPFTRSVPLRVTYNSREVTNGCEFKPSAVVEQPRVEVGGTDLRIFYTLIMVDPDAPSPSEPTLREYLHWLVTDIPATTEASFGQEIVCYERPRPQLGIHRYVVVLFQQLGRETVYAPGWRQNFNTRDFAELYNLGSPVAALYFNCQRESGSGGRRMLW >Ma05_p22490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34210167:34211697:-1 gene:Ma05_g22490 transcript:Ma05_t22490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEDLVTRFRDLEVSQARLREQLQLILGKGRKQQAHDLGPGTSRRGRGGGEVGSTIPGRFAHGPYRSVLKHIGHALHIYRPDTGEIIFWNQSAENLYGWKDHEALGKRVGDLLIQEGSNPHLGKVMEQLNRGQPWSGQLALKKKSGEMLTAMVTKTPLYEDGSFVGVIAVSSDAAVLNAKYSETMEGSGDQVRDKPRVLKRVHWCQRPQLASSVSNLALRVFSRSHSCSDSEHEASREGNAKPKKKHQHWRRSS >Ma05_p22490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34210167:34211697:-1 gene:Ma05_g22490 transcript:Ma05_t22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEDLVTRFRDLEVSQARLREQLQLILGKGRKQQAHDLGPGTSRRGRGGGEVGSTIPGRFAHGPYRSVLKHIGHALHIYRPDTGEIIFWNQSAENLYGWKDHEALGKRVGDLLIQEGSNPHLGKVMEQLNRGQPWSGQLALKKKSGEMLTAMVTKTPLYEDGSFVGVIAVSSDAAVLNAKYSETMEGSGDQVRDKPRVLKRVHWCQRPQLASSVSNLALRVFSRSHSCSDSEHEASREGNAKPKKKHQHWRRSS >Ma05_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34210167:34211697:-1 gene:Ma05_g22490 transcript:Ma05_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEDLVTRFRDLEVSQARLREQLQLILGKGRKQQAHDLGPGTSRRGRGGGEVGSTIPGRFAHGPYRSVLKHIGHALHIYRPDTGEIIFWNQSAENLYGWKDHEALGKRVGDLLIQEGSNPHLGKVMEQLNRGQPWSGQLALKKKSGEMLTAMVTKTPLYEDGSFVGVIAVSSDAAVLNAKYSETMEGSGDQVRDKPRVLKRVHWCQRPQLASSVSNLALRVFSRSHSCSDSEHEASREGNAKPKKKHQHWRRSS >Ma04_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10568975:10575055:1 gene:Ma04_g13970 transcript:Ma04_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPESAAGDLLCGAAESRPPAPELRFEGSGDGKEGRPDPGASPLAGANGARYKSMSPARLPIARAPCLTIPPGFSPSALLESPVLLTNMKAEPSPTTGTFNMCSIMDKTVCIEVLSSTRDTSDVNAYDGGNSGDFEFKPHGRASYNPDLSSLKPSGSVGLIQEENMPSMQIPSQSQGQCRGTSKNEPTLLASAPNSVTEMSNLTASLPSEAGSGELQQAKSLEQSTQMLQSDPSEPTPSSILERSAEDGYNWRKYGQKHVKGSEYPRSYYKCTHPHCQMKKQIERSQDGQITEIIYKGRHDHPKPQPNRRSAIGAVLSSQEEEKPAEFSSLMGAEDKSANVPFHITHQVDPNGTTELSPALVSENDVEIGGGQSNNCNEVVGDGDPESKRRKMENANIESASIGKMNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNSGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKTISHEMITTGESSLSSHSTAALGGMMRNCGTSTRTFPHPFTQIEESDTVSLDLGVGIIPCQSNISNEQQQPLEIEQLQHYQPQSMDCGKLVIQTTSLSSLNGSSHTTRIYESGEVEGEGFTFKATPIDPSSNLYYTTAGNLVMGP >Ma04_p13970.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10569017:10575055:1 gene:Ma04_g13970 transcript:Ma04_t13970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPESAAGDLLCGAAESRPPAPELRFEGSGDGKEGRPDPGASPLAGANGARYKSMSPARLPIARAPCLTIPPGFSPSALLESPVLLTNMKAEPSPTTGTFNMCSIMDKTVCIEVLSSTRDTSDVNAYDGGNSGDFEFKPHGRASYNPDLSSLKPSGSVGLIQEENMPSMQIPSQSQGSGELQQAKSLEQSTQMLQSDPSEPTPSSILERSAEDGYNWRKYGQKHVKGSEYPRSYYKCTHPHCQMKKQIERSQDGQITEIIYKGRHDHPKPQPNRRSAIGAVLSSQEEEKPAEFSSLMGAEDKSANVPFHITHQVDPNGTTELSPALVSENDVEIGGGQSNNCNEVVGDGDPESKRRKMENANIESASIGKMNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNSGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKTISHEMITTGESSLSSHSTAALGGMMRNCGTSTRTFPHPFTQIEESDTVSLDLGVGIIPCQSNISNEQQQPLEIEQLQHYQPQSMDCGKLVIQTTSLSSLNGSSHTTRIYESGEVEGEGFTFKATPIDPSSNLYYTTAGNLVMGP >Ma04_p13970.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10569016:10575055:1 gene:Ma04_g13970 transcript:Ma04_t13970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPESAAGDLLCGAAESRPPAPELRFEGSGDGKEGRPDPGASPLAGANGARYKSMSPARLPIARAPCLTIPPGFSPSALLESPVLLTNMKAEPSPTTGTFNMCSIMDKTVCIEVLSSTRDTSDVNAYDGGNSGDFEFKPHGRASYNPDLSSLKPSGSVGLIQEENMPSMQIPSQSQGQCRASLPSEAGSGELQQAKSLEQSTQMLQSDPSEPTPSSILERSAEDGYNWRKYGQKHVKGSEYPRSYYKCTHPHCQMKKQIERSQDGQITEIIYKGRHDHPKPQPNRRSAIGAVLSSQEEEKPAEFSSLMGAEDKSANVPFHITHQVDPNGTTELSPALVSENDVEIGGGQSNNCNEVVGDGDPESKRRKMENANIESASIGKMNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNSGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKTISHEMITTGESSLSSHSTAALGGMMRNCGTSTRTFPHPFTQIEESDTVSLDLGVGIIPCQSNISNEQQQPLEIEQLQHYQPQSMDCGKLVIQTTSLSSLNGSSHTTRIYESGEVEGEGFTFKATPIDPSSNLYYTTAGNLVMGP >Ma04_p13970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10569016:10575055:1 gene:Ma04_g13970 transcript:Ma04_t13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPESAAGDLLCGAAESRPPAPELRFEGSGDGKEGRPDPGASPLAGANGARYKSMSPARLPIARAPCLTIPPGFSPSALLESPVLLTNMKAEPSPTTGTFNMCSIMDKTVCIEVLSSTRDTSDVNAYDGGNSGDFEFKPHGRASYNPDLSSLKPSGSVGLIQEENMPSMQIPSQSQASLPSEAGSGELQQAKSLEQSTQMLQSDPSEPTPSSILERSAEDGYNWRKYGQKHVKGSEYPRSYYKCTHPHCQMKKQIERSQDGQITEIIYKGRHDHPKPQPNRRSAIGAVLSSQEEEKPAEFSSLMGAEDKSANVPFHITHQVDPNGTTELSPALVSENDVEIGGGQSNNCNEVVGDGDPESKRRKMENANIESASIGKMNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNSGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKTISHEMITTGESSLSSHSTAALGGMMRNCGTSTRTFPHPFTQIEESDTVSLDLGVGIIPCQSNISNEQQQPLEIEQLQHYQPQSMDCGKLVIQTTSLSSLNGSSHTTRIYESGEVEGEGFTFKATPIDPSSNLYYTTAGNLVMGP >Ma04_p13970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10568975:10575055:1 gene:Ma04_g13970 transcript:Ma04_t13970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPESAAGDLLCGAAESRPPAPELRFEGSGDGKEGRPDPGASPLAGANGARYKSMSPARLPIARAPCLTIPPGFSPSALLESPVLLTNMKAEPSPTTGTFNMCSIMDKTVCIEVLSSTRDTSDVNAYDGGNSGDFEFKPHGRASYNPDLSSLKPSGSVGLIQEENMPSMQIPSQSQGQCRGSGELQQAKSLEQSTQMLQSDPSEPTPSSILERSAEDGYNWRKYGQKHVKGSEYPRSYYKCTHPHCQMKKQIERSQDGQITEIIYKGRHDHPKPQPNRRSAIGAVLSSQEEEKPAEFSSLMGAEDKSANVPFHITHQVDPNGTTELSPALVSENDVEIGGGQSNNCNEVVGDGDPESKRRKMENANIESASIGKMNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNSGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKTISHEMITTGESSLSSHSTAALGGMMRNCGTSTRTFPHPFTQIEESDTVSLDLGVGIIPCQSNISNEQQQPLEIEQLQHYQPQSMDCGKLVIQTTSLSSLNGSSHTTRIYESGEVEGEGFTFKATPIDPSSNLYYTTAGNLVMGP >Ma04_p13970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10570102:10575055:1 gene:Ma04_g13970 transcript:Ma04_t13970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIMDKTVCIEVLSSTRDTSDVNAYDGGNSGDFEFKPHGRASYNPDLSSLKPSGSVGLIQEENMPSMQIPSQSQGQCRGTSKNEPTLLASAPNSVTEMSNLTASLPSEAGSGELQQAKSLEQSTQMLQSDPSEPTPSSILERSAEDGYNWRKYGQKHVKGSEYPRSYYKCTHPHCQMKKQIERSQDGQITEIIYKGRHDHPKPQPNRRSAIGAVLSSQEEEKPAEFSSLMGAEDKSANVPFHITHQVDPNGTTELSPALVSENDVEIGGGQSNNCNEVVGDGDPESKRRKMENANIESASIGKMNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNSGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKTISHEMITTGESSLSSHSTAALGGMMRNCGTSTRTFPHPFTQIEESDTVSLDLGVGIIPCQSNISNEQQQPLEIEQLQHYQPQSMDCGKLVIQTTSLSSLNGSSHTTRIYESGEVEGEGFTFKATPIDPSSNLYYTTAGNLVMGP >Ma04_p13970.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10570123:10575055:1 gene:Ma04_g13970 transcript:Ma04_t13970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIMDKTVCIEVLSSTRDTSDVNAYDGGNSGDFEFKPHGRASYNPDLSSLKPSGSVGLIQEENMPSMQIPSQSQGQCRGTSKNEPTLLASAPNSVTEMSNLTASLPSEAGSGELQQAKSLEQSTQMLQSDPSEPTPSSILERSAEDGYNWRKYGQKHVKGSEYPRSYYKCTHPHCQMKKQIERSQDGQITEIIYKGRHDHPKPQPNRRSAIGAVLSSQEEEKPAEFSSLMGAEDKSANVPFHITHQVDPNGTTELSPALVSENDVEIGGGQSNNCNEVVGDGDPESKRRKMENANIESASIGKMNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNSGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKTISHEMITTGESSLSSHSTAALGGMMRNCGTSTRTFPHPFTQIEESDTVSLDLGVGIIPCQSNISNEQQQPLEIEQLQHYQPQSMDCGKLVIQTTSLSSLNGSSHTTRIYESGEVEGEGFTFKATPIDPSSNLYYTTAGNLVMGP >Ma04_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1771243:1775637:-1 gene:Ma04_g02010 transcript:Ma04_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLSSQVSEDTEVMEENIELAGAQMKRKEAPEEGSRDSGEGYRFSDWSPLRWFRMLSEEMHWSFVFGVIAVYGISQGMGGSISRVATDYYWKDVQMVHPSAAQVYQGITSIPWIVKPLWGLLTDVLPVAGYRRRPYFILSGLLGILSMLTLSLHSKLHVIFALLAMTIGSAGVAIADVTVDACVAQNSINHPSLAADMQSLCGLSSSIGRLLGFSISGLLVHAIGSQGVLGLLSIPSVLVLSVGIMLKEMHIPNFAYGEVFQKLQQAIRTMRTTLQCPSVWRPCVYMYMSLALSLNIEEGMFYWYTDKKSGPSFSEETIGFIFSIGSVGSLLGVLLYQNILKDYPFRGLLFWSQLISGIAGMLDLVMVLRLNLKFGLPDYFFAVIDESVSRMVGQFKWMPLLVLSSKLCPPGIEGTFFALLMSIDNVGLLTSSWAGGLLLHLLKVTRTEFSNLWAAILIRNIMRIVPLVLLFLVPKSDQNSTLLPADMLDADDNTEVLEEDVDDIEDIELVSLVSKT >Ma01_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5539683:5542538:1 gene:Ma01_g07640 transcript:Ma01_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARPPYAKGGDVESGAQPLYPAMLERPELRWAFIRKIYSILVVQMLLTVAVASVVVAVRPVSQFFVSSGAGLGLYIFLIILPFIVLCPLYYYYQHHPWNFLLLGVFTVSISFAVGLTCAFTSGKVILESAILTTVVVVSLTLYTFWAASRGHDFNFLGPFLFSAMLALMVFAFIQLLFPMGRISVMIYGVLAAIIFCGYIIYDTDNLIKRYAYDEYIWAAVALYLDVINLFLSLLTVFRASDS >Ma08_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9372585:9377031:-1 gene:Ma08_g12370 transcript:Ma08_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRETTKINLSPDTLHDTLDYPDNNFSLSNQILGNSTMVSNDPSKQKEWWTDIIDEDCKETAVESQPKVVHPTSSQSSSSLSVHQPPIHHSVPSNSGEVCAVTSAAKPRMRWNPELHECFVNAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTTWYRPDSSEGPSNKKTTLKEELPSLDLKTSFDLTEALRLQVVVQKQLHEQLEIQRNLQLRIEEQGRFLQMILEKQCKSNIDKLQITSTVEGLSTTTSDIMHSIDKFELPENDRYIAGSSNGSAETKEGSWQVSIRHKMPEAEPSAEKEADAVDGSHSSACKPAKGQVGETKTPP >Ma09_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9937401:9938195:-1 gene:Ma09_g14550 transcript:Ma09_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVATGEMVHETRTAGETRAVRSHSEAERRRRQRINGHLATLRSLLPAATRLDKAALLGEVVRQVRELRVRVEEVAVMVPGEGDEVGVEEEEEEGGGGGGRGRVVRAWVCCADRPGLMGELSRAVRSVRARAVRAEMVTVGGRTRSLLELEVSEAAERGEGRSALQAALWAVLLTNRTAPAENYSKRARTSTRFSKT >Ma10_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26903496:26906054:-1 gene:Ma10_g14460 transcript:Ma10_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQSHRGGLGFHQLAQQQQQQEQEQEQPQQQAQVHSRFRRLRGVGGEIVEVQGGHILRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKVAIDQLAVLPAWTPTATVTATSKSTFHHPPPANRSPAAEQPSGQSGKKPVPVAEPDVTTAFSFGDSVGGAGSNSFLPLSLDSDAIANTIKSFLPMAAGATSTSPSSSSPIGFQNYRPDFLCLTSSQNQDLRLSLQSFQDPIFHHSKSSHHHHYQHQHQSPTSSSHNSLLAGSTHLGFDTASAGWAEQNRRTIPWNVAETNRGGGFGGFAFSAPPPQALPLHSVLGPSQYFSQREPLQSSNSASVHGWAEAIAPTGEHQMHPVFHPSVSSTGFASETGFSGLGIPARIQGDEDHGSISNKLPSASRH >Ma07_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29460882:29477704:1 gene:Ma07_g21340 transcript:Ma07_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSVPSQFDLQAALLRPENPHRSRRSPRIREAVLPHNKPRGRRRRVEVRVRSSLDGFLQDLVVAFPSLTSLDLLFAPAIGFAAGAAFYFSSLRRGKPSDVDAVVGDWVLFTSPTPFNRSVLLRCPSVSFEDGGELLEGVNESLLRDERHYVNLSRGKIPFARDEGEEGPEEEISYQRACVGTDDGGVISLDWPENLDLGKEHGLDTTVLIVPGTAEGSMDSYVRSFVSDALQHGYFPIVMNPRGCAGSPLTTPRLFTAADSDDVFTSLQFISTVRPWTTVMGVGWGYGANMLTKYLAEVGESTVLTAAVCVDNPFDLAEATRSFPHHIALDQKLVSGLIDILQDNKELFQGKAKSFDVGKALSTKSVRDFDGAISIISHGHDTIEDFYSKTSTRQSIQSLKIPVLFIQSDDGTVPTYSIPRSSIAENPFTSLLLCSYLPSSFMETRHSAIFWCQHLAIEWISAVEFTLLKGRHPLLKDADVTINPSKGLAFIDERAPEQNISNGVEGRYNSSPLHLSHKIVDGYINRKLTQPNSVNGLLDDPANSVLKQTDAAAQGKVNGNVDSRSEVQQIESEDDDGTKHINAIDFQNSSADTEMDEEENKVLQTAAVVMNMLDVTMPGALDDEQKEKVLSAVGQGENLVRALQGAVPEDVRGKLTTAVTEIMQTQGKNLNLEGLNRIGWIPNVTSKVNSRIQDTVKISVNENGNDENNSGVGHEGRVQGDLEQLNSVNISISENVEPSEQRTSQSPGLTDDGCEPSQGNSMERVDHVIDETGGEKHKFSQRLGIADKHTEDDNFLNDASYIHYSEEKSTDHNKEQNMPISISNSEESLSSSVSVSDHQVVQKECYEFQKNEDRVNQELHQNSHSSTTSNEALQCSSKPPSLSVTQALDALTGFDDSTQMAVNSVFGVLENMIDQLEKSNNEGDDDEVKKSKDEISQILSPDLPTVNGDDYKRTEQRSNRSSKVSNINLSPRHPDNYVNKEDIQPDNTVEDKLGSNCIANSLESSTETRTGGSELNTLGLNPSNKIGKVGPLQNYSLDKAIDPYYWGSPYEAYLQRYISSWFPRSKSSDLDSTTDLFLDPEKGQWKMLDQAGSFSGNIEEGWQNQIINGDTENQHQSSTQSDADSIIETSYAILDSELPEIEQQLTETFDTKGGWDTKEEEMLCLIRNNLLDSLKVEVDRRLSTSNLKELEGDLVDDMKQVADAVTKAIVLDNHLDLKSLSEDSHLEMVNGTLDGEHTVKIISSAIEETRYLKKVLPLGLIVGSLLASLRKYFKIAALHYVDQNKDIEKSGNIQEKHSEEEVYTRNEHLDDEKIQVYNDLTGVDENSATTNYSKDVVMVRAVTAALGATALLAHHQQKDTYKSSQVMEVPSSVTYIEGSQNEEQTKSEEATQEKNPITIVSSLAEKAMSVAGPVVPTKDDGEVDQERLVAVLAELGQKGGLLRLVGKVALLWGGLRGAMSLTDRLISFLHIAERPLFQRVIWFGCMVLVLWSPVVIPLLPTLVQSWTTRTSNKIAEYACVLGLHVSSMILVVLWGKRIRGYDNPLEQYGLDLTEPRVLGFVKGLIGGMAIVMSVHSINGLLGYASLSWPSGSTSLSLKSFINMLLLGVRGIITATGAALAEELLFRSWLLEEVAVELGYYRAIMISGVAFSLIHRSLPSIPGFLLLSLALFGIKQRSNDKIYVPIGVRSGIMITNFTLQTGGFIRYECGTPSWLINTHPLHPFDGVVGLSVCVLLAILFFPRQPQQAKCSKDIQEQNG >Ma11_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22253726:22261905:-1 gene:Ma11_g16820 transcript:Ma11_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MAAVAMLKRCLLRNRRPFSALSPSPPSSASPPIRGSLSRFVSTLVLAEHEGGLVKQSSLSAIAAAAVVNKEKSVTVLLGGSGLALRKAASHAASCHPSVSQVLVADADILVHPLAETWAELVNFVQHQGNYSHIITTSNSFGKNVLPRAAALLDVSPVTDVVEIAEPHLFIRPIYAGNALCTVRYAGKGPCMMTIRSTSFTLPSCSTETKSVEAPISQVDLSTFDEGSVPKSRWLKLVSQESERPDLGNARVVVTGGRGLKSAENFKLLEKLAEKLGAAVGATRAAVDAGFVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAINKDADAPIFQVADYGLVADLFEVIPELIEKLPDKK >Ma03_p26810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30404764:30405613:-1 gene:Ma03_g26810 transcript:Ma03_t26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKEPVATEGREKMTVLVAVDESEGSLYALSWALDNLFVAAVGGAPNKPQPLGRLVLVHAQQPLQQFIMHPIGPAVYATSSVIDSVKKAQEQNSRDVIERAKGICRRRLVEAEAVVVAGDPKETICQAAEQMQTDLLVVGSRGLSKIRRAILGSVSDYCAHHANCPVLIVKPPKTSH >Ma11_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18609460:18623169:1 gene:Ma11_g13610 transcript:Ma11_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRVSFSDGKQCKGGRRWSNPLVVLFVLCCVGCSICLLWGLEVYGILERKVRVRALGDEREKTLLDQYNLSKDRVQALALLISSLNQGRFYECMSKSVADERLGSSLLQALREQHPEIDQSQDQQHWDRENMSHEKVSVEIMQETHQFFPEPLLNILYLVIVEMVVFSMGFALGIFFKSSCQQFQQQKHYKPQNSKGRGKWSKKFLFLGVLLGLLVAVWIFLSMKADITERRKETLVNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQKTFAEFTARTAFERPLMSGVAYALRVLHREREEFEKQHGWKIKKMKTEYQCLVKDDYNPEKLDPSPVQDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARANGKGVLTSPFNLLKSNHLGVVLTFAVYNTNLPPNATPKERIEATVGYLGASFDVPSLVEKLLHQLASKHTIVVNLYDTTNVSAPIRMYGPDLASASEMHISNVDFGDPTHKHEMHCRFKHKPPPPWSAITTSLGVAVIVLLVGHIFHAALDRIEEVEDDYRQMRELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLRMLMDTDLDATQEDFAMTAQSSGKALIALINEVLDQAKIESGRLELEAVPFDLRDVLDNVLFLFSDKPQAKGIEMAVCVSQQVPDILIGDPGRFRQIITNLVGNSVKFTREGHIYVSVHLVEDAKSVKVGQCETLSGFHVVDKRKIWENFSMVKYSNEANDAVSLMVTVEDTGVGIPQDAQIRIFTPFMQADSSTSRTYGGTGLGLSISKCLVELMGGEIGFISKPGIGSTFSFTAVFRDRCKSSDDIKRHHSDPALSDFQGMRALVTDGRSIRAESTAYHLKRLGIHVHVAIDQDSAINTILDVCSNSGKERLDMVLVDKDAWGGGSGISFPCLLLERRKNGAVVHQESLPKMFLVATFLSPTEVHDLKSAGYVDSILKPLRLSMIAACIRKALGVGSKRQQLKGQPMALHKLLIGKNVLVVDDNAVNRKVAACALKKFGATVTCAHSGKEAIRMLQPPHNFDACFMDVQMPEMDGFEATRQIRLMEDRAKELINSGDASLEMYGNIAHWHVPILAMTADIIQATHEECLRCGMDDYVLKPFEEQQLYSAVARFFEFDVVDGVS >Ma11_p13610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18609460:18623169:1 gene:Ma11_g13610 transcript:Ma11_t13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRVSFSDGKQCKGGRRWSNPLVVLFVLCCVGCSICLLWGLEVYGILERKVRVRALGDEREKTLLDQYNLSKDRVQALALLISSLNQGRFYECMSKSVADERLGSSLLQALREQHPEIDQSQDQQHWDRENMSHEKVSVEIMQETHQFFPEPLLNILYLVIVEMVVFSMGFALGIFFKSSCQQFQQQKHYKPQNSKGRGKWSKKFLFLGVLLGLLVAVWIFLSMKADITERRKETLVNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQKTFAEFTARTAFERPLMSGVAYALRVLHREREEFEKQHGWKIKKMKTEYQCLVKDDYNPEKLDPSPVQDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARANGKGVLTSPFNLLKSNHLGVVLTFAVYNTNLPPNATPKERIEATVGYLGASFDVPSLVEKLLHQLASKHTIVVNLYDTTNVSAPIRMYGPDLASASEMHISNVDFGDPTHKHEMHCRFKHKPPPPWSAITTSLGVAVIVLLVGHIFHAALDRIEEVEDDYRQMRELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLRMLMDTDLDATQEDFAMTAQSSGKALIALINEVLDQAKIESGRLELEAVPFDLRDVLDNVLFLFSDKPQAKGIEMAVCVSQQVPDILIGDPGRFRQIITNLVGNSVKFTREGHIYVSVHLVEDAKSVKVGQCETLSGFHVVDKRKIWENFSMVKYSNEANDAVSLMVTVEDTGVGIPQDAQIRIFTPFMQADSSTSRTYGGTGLGLSISKCLVELMGGEIGFISKPGIGSTFSFTAVFRDRCKSSDDIKRHHSDPALSDFQGMRALVTDGRSIRAESTAYHLKRLGIHVHVAIDQDSAINTILDVCSNSGKERLDMVLVDKDAWGGGSGISFPCLLLERRKNGAVVHQESLPKMFLVATFLSPTEVHDLKSAGYVDSILKPLRLSMIAACIRKALGVGSKRQQLKGQPMALHKLLIGKNVLVVDDNAVNRKVAACALKKFGATVTCAHSGKEAIRMLQPPHNFDACFMDVQMPEMDGFEATRQIRLMEDRAKELINSGDASLEMYGNIAHWHVPILAMTADIIQATHEECLRCGMDDYVLKPFEEQQLYSAVARFFEFDVVDGVS >Ma05_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10681374:10686251:-1 gene:Ma05_g14610 transcript:Ma05_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGSRAGGGGAGLVGGRRRNSGRHHHPPPFGPAPSPPAPQPQQPPPPPPEVTANRYVFAAATPYPPQYPNPNPPQYFQYGYYPPPPPAMPAPLPAPFDHHHRGGGGGAHVEYPANHPGWVGTGRYPPCVPPPPPPPHYVEHQKAVTIRNDVNIKKETLRVEPDEQNFGRFLVAFTFDATAAGSITVFFFAKEGTACNLTATREDLLKPVTICFKEGLGQKFRQPSGTGIDFSVFDGAQLIKEGGELDLYPLAVKAEAFPSSNQGPVDENSNLGTSNSQITQALFEKKENGEYHVRVVKQILWVNQTRYELQEIYGIGNSVDNDTDGNDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRFQTHRCPICRQPVERLLEIKVNDRSEQQQEAQG >Ma02_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25853808:25859517:1 gene:Ma02_g19640 transcript:Ma02_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVNLCAGKQWKEKQIRKITDRVFDHIRDESSDSRDGLTFEDVYIAVLCVFNDINKHLPGPHHDPPTKDKLRSLMKEYDVNLDGLLNREEFAEFIRKLTAETLTAISQSLIIALILAPTIALMTKRATEGVPGVGKMVQKLPNSIYASIITLGVLLAQKSTEGCE >Ma02_p19640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25853579:25859517:1 gene:Ma02_g19640 transcript:Ma02_t19640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILAVVQEKFHGKQWKEKQIRKITDRVFDHIRDESSDSRDGLTFEDVYIAVLCVFNDINKHLPGPHHDPPTKDKLRSLMKEYDVNLDGLLNREEFAEFIRKLTAETLTAISQSLIIALILAPTIALMTKRATEGVPGVGKMVQKLPNSIYASIITLGVLLAQKSTEGCE >Ma03_p27650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30952970:30956213:-1 gene:Ma03_g27650 transcript:Ma03_t27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHRCNGDGKESFKPPEIKFTKLFVNGQFVDSVSGKTFETVNPATGEVIAKVAEGVEADIDLAVKAAREAFDHGRWPRMSGFERGRIMSKFADLIEQNIEELAALDSVDAGKLLASGKTVDIPHCLHILRYYAGAADKVHGETLKLAGEYQGYTLLEPIGVVGHIIPWNYPSTMFLMKSSPALAAGCTMVVKPAEQTPLSALFYAHLAKQAGIPDGVINVVNGFGPTAGAALCSHMDVDAISFTGSTETGRLVMEAAAKSNLKTVSLELGGKSPLIIFDDADVDMAVALARIAIFYNKGEICVAGSRVYVQEGIYDEFVRKAAESAKSWVVGDPFDPNVQQGPQVDKTQFERVLSYIELGKTEGATLLTGGRRCGDKGYYIEPTIFTDVKEEMRIAQEEIFGPVMSLMKFKTIEEAIEKANATRYGLAAGIVTKDLNTANRVTRSVRAGTIWINCYFAFDPGCPFGGYKMSGFGRDLGMHAINKYLQVKSVVTPLHSSPWL >Ma10_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30336357:30336699:-1 gene:Ma10_g20010 transcript:Ma10_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASIVCDPRAFARSDSYTIILGLLCQAASTGCLFFLDDPAVNGLGDGFPSDSFPSHGNFYSLAVGIRTHN >Ma11_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1294523:1312804:-1 gene:Ma11_g01820 transcript:Ma11_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSAVYYENSFCGEVQIYPQNPNIGSRIREIRISHLSPSSERCQPLALLHTVASGGVCIKVESTSTSTDDSPLFRLHTTLARENKTAVVQQGKEELHLVAMTSRKNPMPYSCFWGFSVPSQLYESSLLMLNLRCLGIVFDLDETLLVANTMRSFEDRIDALQRKINNETDPQRIAGMLMEIKRYQDDKSILKQYAENDQVNENGRLFKVQSEMVPPLSDSHQLIARPVIRLQEKNIILTRVNPSIRDTSVLVRLRPSWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSSLINSSKLLDRIVCVKSGSRKSLLNVFQDGICHPKMALVIDDRLKVWDEKDQSRVHVVPAFAPYYAPQAEANSTIPVLCVARNVACNVRGGFFKAFDEGILPRISEILYEDEMKDIPPAPDVGNFLISEDDTSTSNVNKDQSCHNGMADAEVERRLKEASCSVQAVHPMVTNFVQRPVSSQHVASSFGTSLTAMQMMVPLPNDQGSQSVAVGRPLGQLAFPEPSFQGSPSREEGEVPESELDPDTRRRLLILQHGQDTREPPTSFPMNPPLHVSIPSVQPQGSWFPSEEEIKPRQENTASKEFIRELDHARYKKQFRHPSFMHGGENSVPYDRVHEPRRSPLNNGGDCVWQNNSLSNFNSFKGDKVPMGRNFSSYKDAQFETRQATIKQAESPAGILQEIAMRCRNKVEFRSTLCDTAELQFSIEVWFVGEKLGEGVGKTRKEAQHRAAEISVRNLADKYLSNALTGPNTVHGDLPKLPQAKENGLLSELNSYGYQPCSRNDLLLVASTSENSHSMDQRLEGSRRTSSITSLKELCVMEGFDLVFRAEPSTSNGSVSKGEVSAQVEIARQILGRGVGTTWVEAKLQAAEQALGTLRSMLGQFTQKRSSLPGSMSITANKRSKPIFTDAA >Ma02_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20539883:20540245:-1 gene:Ma02_g11330 transcript:Ma02_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDEADVLWPDDARGADELAEQQHARRGSRQKASSPVEIPSRPRAAHRTIRDGRDDAKSSSGDGDDDGSGDHRVPPHIIVARRKTTFSVCVGDGRTLKGRELCHVRNSILRMTGFLER >Ma08_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37668268:37671611:-1 gene:Ma08_g24540 transcript:Ma08_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLVAQIPVESSGEAEAEAEAENTETKSEESNSSRMSRVPMPTMANLFDPTQLTIFYNGAVNVYNGIPSEKAQAIMLIAAAAATAPNKISPTTSATTAGSTVLTRTLSLQSSSVFVTGAVPHAQIVLSASSPLCKLQAELPIARRHSLQCFFEKRRNRLASKAPYASAKPLEGIKMASEEKLT >Ma07_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3103866:3111983:1 gene:Ma07_g04120 transcript:Ma07_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGVSKLDEEAAVAHCRERSLLLADAIRHRYALADAHVAYTLSLRSVGAALEGFLNVARALPPASPVLPLPAQRKGDPPPPLTPSPPPAAAAFLDRTHSRSHSGSHIHFRPSDSESDDVDDLPLHSDGAPPVYPLYADDAPVGQTYVNLNYSRNRPAESSVAYELQPPSSETIRFGSVDERPPTAFPYYGYPYPPQSANLYSYPANSYTSYDGGMGGGIFGFSSPHRNIPPPAVAAGGSSTTTPEVPPPPSPPRTSTWDFLNPFDSYDNYCASYTPSRSSKELRDEEGIPDLEDEGHEVIKGAYGDPKFVPSSLAAADGEYTGKAATESKEGVIDSGGEDPSQKSRLVEAGGSSEHEVHVVEKNVVTEPADRRGAVGFTTSRSYQDVSEVMQEIKTQFDRAFETADQVAKMLEAGKHLYHQKNSVYKASARMICGFPLLLTSKNEDLLVFEEEKAMGCGNLSSTLQKLYNWEKKLLEEVMAEEKIRVLYDRKCEYLRRLSERGAEAEKLEAVEISIRKLSTKIRIAIQVVGTISSKINQLRDEELWPQINELIQGFKRMWTVMSECHHIQCQAISEAKNLDSIVNGVKLDDIHMDAIKQLELDLVDWITNFSAWVTAQRSYVKSLNGWLVKGIHYVSEETDDGVPPFSPGRIGAPPVFIICNYWSQSMDMISEREVIDAMQAFAHDVFNIWQQRKFEQQQRLMANRDMDSKLRLMESQEQLMLRQRKKLMLMSSEDGISIPEHEVRHGSTANSLHLSLKQIFEAMENFTANSVKAYEVPHICCEEEK >Ma06_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20966988:20967483:-1 gene:Ma06_g23220 transcript:Ma06_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKTSLKQSGTVIRNDSSPLSLSLSLASIYHRLLQVLIWLRRSPFISFLLLAPTTVLLMETPTNGIHMEKA >Ma04_p30350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31055965:31059293:-1 gene:Ma04_g30350 transcript:Ma04_t30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKASVLLCLLNASILCSPTIGDDTVTPARPLVDDGGTTLISASGSFELGFFSPAGSSNRYIGIWYHRIRDKTVVWVANRQRPVTGRSGKLSLETDGALVITDGKNSTVIWSSGSLALGNPVARLLDNGNFVVEEEGSDDDPSGFAWQSFDFLTDTLLPGMKIGWNLTSGLDRNLTAWRSVSDPAPGVYANGIDKHGNPQIFLWSGSHPYWSGGSWNGRQFSGIQDMKTDNVIHMVFVVDAREIVYSFYMRDPSVVSRLVMNQSGILQRLVWLEDRERWSVFWSAPQDQCEDMLSPCGPYGVCYPNEFAMCKCLQGFHPKNRSRWSPREGTDGCVRNTELDCRNGTDGFITLSSVKIPDTSTLMVDRSMSLQECEALCRRQCSCIAYASANISGSGSGSGCIIWTTALTDIKMYDSGSGQDIYVRLAAADLGSESSQSHRNHVVVIIIVVSALATFFLLSVACFVWRRKKRRNRYIDEETHEQDLDLPLYDLDTIEGATGNFSMDNKLGEGGFGLVYKGKLRELQEIAVKRLSETSTQGLAEFKNEVTLIAKLQHRNLVRLLGCCIQAGERMLIYEYMPHGSLDSILFDKTKGALLDWHKHQYTLTRIARGLLYLHRDSRFRIIHRDLKASNILLDKDMNPKISDFGMARMFGGDDTDARTRRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIVSGKKNRGVYQSAHHLNLLAHVWSLWNEGKGLELADASMGPSSLPVAEVMKCIKVGLLCVQDRPEYRPTMSSVVEMLGGDCALLPQPRQPGFIVAKDPSETNSSTSKQDSSTNHVSMTMLEGR >Ma05_p30870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41073495:41074026:1 gene:Ma05_g30870 transcript:Ma05_t30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIYRERDRETEKNKNKNKKKAGEGRDNIEHGASVPAHGGVGTVLASLGGCIHRHRPGSRLQSATRCVL >Ma01_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8854360:8857252:-1 gene:Ma01_g12220 transcript:Ma01_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g56550 [Source:Projected from Arabidopsis thaliana (AT3G56550) UniProtKB/Swiss-Prot;Acc:Q9LXY5] MCGAAKLLRLLQGCNSMDRLRKIHAQVLLQGYHGHPAVSAKLLSFCATSAAGDLAYARLLFSGILRPTTDHWNALIRGSSRSPAPLDALSLYNAMMRRASSRPDAFTFSFLLKACALAKADPKCREAHASIVHSGLSSHVIVGTNLVRAYADNGSIDEARRVFDEIPDKDLVAWNSMISSFCHAGLHEDALKVYDRMRQSGVGLDEFTAVGLLSSCAHLGALGFGVRICKFAEENGFLRRNVFVGNALIDMYAKCGRLDEARRVFDGMRRRDNFTWNSMINGLGIHGHADKAILLFQRMLMAGVRPNSISFLGLLTACSHHGLVDDGLKYFQRMGSAYNIKPDLKHYGCMVDMYGRAGKLDEALEFIHSSPFKDDPVLWRMLLSASRIHGSVVMGEIAIQNLIEMSANNAGDCVLLSDIYEHAGDSQGVARMRKMVKDQGIKTTPGWSWIEIHGEVRKFVVGDTSHAESEEIYKKLEEMISRAASLGYAMERSAEWLENFVDSTTDYHSEMLAIALGLLRTPKGTSLRIVKNLRVCKACHSLTKYASRIYDREIVVRDRVRFHHFKEGICSCNDYW >Ma06_p32500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33429233:33430641:1 gene:Ma06_g32500 transcript:Ma06_t32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVILESRRVVAAHTRHFLALSVLFLLPLSSLLVAAPSILFPSAAAAAISFSSSPSPALLRFHHHHRRPSAPGVVALYSSAALLLLLSASAAVSSSVHRGFYGRPVKLLPALRSLPAPLARLLLTLAAALLPLTALALLLASLLILSLKALAVLRLPPSFSSFAYLLFVAVAILSLIILQLNWSLAGVIATLESCWGFAPLRRSVDLIKGMRLASLCLHLFFATAIGLTLSGFSLVKSGRPEGGWREVVPVVARTVFGSGITAVLLLCWMVTGAVLYMYCKALHGELAGEIAEEFSSEYVFLPFDEHNVPHVVSVIHQ >Ma08_p26160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38756027:38758277:-1 gene:Ma08_g26160 transcript:Ma08_t26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 93, subfamily D, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G06900) UniProtKB/TrEMBL;Acc:Q9FL56] MVCAMEGEEELIKPITGLFLILVLSFLLFFVRPRRRLRLPPSPIGLPIIGHLHLLAPIPHQALHKLSTRHGPLIHLRLGSVRCVVASSAATAKELLRTHDLSFSDRPPSKAVSYLTYGLSDFSFAPYGAYWRFMKKLCVSELLGGRTLDQLLPIRREEVVALVQTLHDKSQERRAIDMGGELIRFTNNVISRMTMSRRCSGSEGESGEVRKLVEEISELTGKFNLADYIGLCKNLDLQGFDRRLEDVRRRFDGMMEKILKDKEAARAKRTEIGGGAKDLLDMLIDISEDASAEARLTRDNIKAFILDIFVAGTDTSAITMEWALAELINHPEILHKAREELDAVVGKNRLVEESDIPNLPYLQSIVKETLRLHPTGPLILRRSNNDSKIDGYDVPANTTVFVNVWAIGRDPERWSDPLEFYPERFMEKKGEEAMDVRGQHFELIPFGSGRRGCPGASLALQLVQSTVGAMIQCFEWKVGDGGTVVDMAEGPGLTLPRAKPLRCTPLSRLNPLPLPSIPAATSLCS >Ma08_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3929326:3930135:1 gene:Ma08_g05790 transcript:Ma08_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLYRTVHALLGLPHRDDRRRQPSPGHRCRNIPPPYGEGLRVVVDDQPRPPNVLECSAIGRLPNRVDPGARGLHELDRRGGLRFLTEGLGSESCDGGMEAEEMTGNADAWGWRGPPRQRRVAESRRRPPLPPPLPWLAGRRSWFMRAVREGGRIRLTEVWFERPPKILRASRGGGRLRLDLISESDRKEDDRHVAPGQEEREGATIIADEGEEDPYNVDVEEEEVRAPAVSPEKTVTLTRKGKERRCLMMVSGNGDPTWWSRRPVTTA >Ma04_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18003167:18004790:1 gene:Ma04_g17550 transcript:Ma04_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATPRRFVVGYALAPKKQRSFIQPSLVGLARERGIDLVPIDTSQRLADQGPFDCVLHKLHGEDWKAQLEDFSSRNPDVPIVDPPLAIRRLHNRISMLQVVCELDIPQERETFGIPRQVVIYDSAALTNSGVVGVLRFPVIAKPLIADGSAKSHKMSLVFCQDSLRKLKPPLVLQEFVNHGGVIFKVFVVGDYVQCVKRKSLPDVSQEELECSEGSFTFSQVSNMTTQDPVGVDYYMNLDKAEMPPLSFVTEIARGLRRLMGLHLFNFDMIRDLKAGGNHYLVIDINYFPGYAKMPFYEKILTDFLWNIIHENNEHDDVDSAVNSHYKESKLLIDSH >Ma08_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10482219:10483417:1 gene:Ma08_g13230 transcript:Ma08_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDTIGDDVNVVVKPSPMTIRFALIVTSPASMRSTSLTSSSPALRCSASASMLSGWRTSDRYRGESATHVIDGEDKATMTAMDEAEAPSKVELSLPRLCYQWRGRHPRLHICHLSWPPPYCPQIPPPIGADKDAKRRKGHSTRGGAGTDVRVTQAPTTSALSQDEEQHEMSGDEAGKTLLVEVGGIMRRVDLIIIKDDQTTALVSTPTTLSFTTKC >Ma04_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23567242:23569189:1 gene:Ma04_g20890 transcript:Ma04_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDGGAVGPGGGVCPPFHRQQVYTLELSESKFTAFSSSAKHVISLYGSLSHSCKKREVS >Ma07_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:605488:607080:1 gene:Ma07_g00720 transcript:Ma07_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSSSCPPRDVSAGPSLSSGPGSSKHCPGRQEELVSLPSSSPPVEVASGLSSSSAALTVQGKLFVGGISSETGEALLVEHFAEYGELREVTVIRDRITGNSRGFGFVRFVNPDNAESALKEAMHVIDGKTRIIHANLITQEKSKKILFSSVSSEQKVINYCFFGIISLLAVVMYNNITQRPRGFGFVTFSSEDSVAMVLKNNYHELKGKFVDVKVAIPKNDNNYTNNRNNNNYGSSSIGGGGGRRWPIYDAYQGCYHATHGYCGPGSCGITLYGYQFVSPLNDPRWMPTLVTYYPYVMGGSGSNMPAHDHLLFHASDDSNGDYCYTNGDTLK >Ma04_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9902007:9907918:-1 gene:Ma04_g13090 transcript:Ma04_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGEGRLFETRVRRGRTWYKLYAASVCGGVCLTWVYRATNIPEIGEKGRWAWMGMLVAELCFGFYWVLTQSFRWCPIYHRTFKERLSQKYGNELPPVDIFVCTADPTIEPPVLVMNTVLSVMAYDYPPEKLSIYVSDDGASELTFYALLEATDFVRHWISFCKRFNVEPRSPAAYFSSPEQHDLRYASELDRIKEMYYAMENRIKVATDFGRVASSVNKQHKGFSEWNSQITPGNHQAIVQILIDGRDQNAIDIEGNTLPTLVYLSREKRPRYPHNFKAGALNALIRVSSEISNSPVILNVDCDMYSNGSESVKNAMCFFLDEESGQQIGYVQFPQNFNNLDKNNIYGDYISIVNEVEMPGLGDSIYLGTGCFHRRESLCGCKYSEHRRMLRDMCNQVKMRKPEESTSFLEERAKDLASCTYEQNTNWGKDIGIKYGCLVEDVVTGLSIQMNGWRSIYYNPSRKGFLGISPTTLSQLLVQHKRWSEGLFQTFLSKYCPFLYGYGKIELRLQMSYATYMLWAPMSLPTLYYVIIPSLCLLKGIPLYPRISSSWFPVFVYVIIGTQAYSLGEALWCQQSFRSWWNMQRMRLMRRTCSYFFSLLDTTMQSLGLGKSSFDITAKIADHEALERLKKGVMEFGSSSPMFSVLAAIAMLNLLCLVASVIMAVVREGFKDQMALQFLLCGMLVMLNLPIYHGMFLRKDRGRLPTFLALESCLIAALACLLSLY >Ma07_p27250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33696741:33699104:1 gene:Ma07_g27250 transcript:Ma07_t27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLSLNGTCLLNNSTVYLGSSTCSSSASLAGAICSVHETATVFLCQTTQVILTGRLLVHYSLCIVALTEISALLPCLEPMFNIFPFLEELELFSNVVDRWVVNAIDKIPGYMKLCFPVVFDIGKRCRI >Ma06_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12694108:12698686:1 gene:Ma06_g18570 transcript:Ma06_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARMDLDGNPILPMTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYSDKIKHLLDPPSAAEGQQARHPWDGRIQFHRLNIKHDSRLEGLIKMSDLTINLAAICTPADYNTRPLDTIYSNFIDALPVVRYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRKEPEFYVLKEDASPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVLLMTENPARANGHIFNVGNPNNEVTVKQLAEIMTQVYSKVSGEAPLEMPTLDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIRRAMAKPVASS >Ma00_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40790572:40791774:-1 gene:Ma00_g04730 transcript:Ma00_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFMIGLRPSRFFWSLVERPPTTVPEMLQRASQFVAAETWMTGRPRGHRGTKSEPPRQQQPPTSRRRSDRSDPTALRPPLPALNSSQTDIFLHIRGKGLLKEPYPMSDPRALENQSKYCRFHRQRGHDTEQCRELKRQIEELIRRGHLDQYLHPNKESSPPPEGPVERRIDVISGGPAYGGDSMARKKAYARAASAEAPRHAPGPSVTFPAGTYKQAEHDDALVISARIANAQVQRIMVDTGSSADILYLDAYRKLGLPRDSMKPVSSVLTGFTGDSVSPLGAVTLPLTLGVPPKSKTTMTTFLVIDLPAAYNAILGRPTLNKVRAVVSTYYQTVRFPTSAGTGEITGSPRESRRCYLTAVSLPKKPRVEPSLTDPREMQRSAPHAEPKGTTVAMSLQE >Ma11_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25403269:25404087:1 gene:Ma11_g21140 transcript:Ma11_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEQNCTRRCGSIDVPYPFGIEPGCYRDGFDLSCDVTESKLYAGNIQVIGVDVPNGQARVYKRISWDCDDSVFPAKVSIPMDLTGSHYTFSDTRNKFTAIGCDTIALFVGATDQSYSTGCVSICNDNTTFSNGSCSGAGCCQTSILRGLQFFNITFSSTGHEEISWDNPCSFAFLVDGSWYSFRTTDLNGTDFFYRNGGRVPMVLDWSIGDDVGCEEAPQNLTSYACRSNNSSCLNATNGIGYLCNCSGGYHGNPYVEGGCEGLPLSSLTM >Ma01_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13371884:13372744:-1 gene:Ma01_g18070 transcript:Ma01_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRTSRVLKTTKTVIEETVEVVSIGGDSQNGVEVLPGDSKLVEVVVEAKDAQGPKEDLGEGKEAEPEGRQQAEEEEPSREKEAEPPFEKSIIQQEETAGEGKEAESITDKETQEEAAAVDKVKDKEAVPRTPKKVEQTPQRDMEEPKDGGEGMEAEKGRNKQGRAVGRRRRRKKRFSGGDGGEMDGTGRGYKRYVFRVLKHVHPGMGISSRAMVVLDGMMGDMFERLAGEASRLSTYTGKATLSSREIQGAVRLVLPGELGKRAISEGTKAVSNYMAADRHEQQ >Ma03_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1176102:1178119:-1 gene:Ma03_g01690 transcript:Ma03_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEVWRSVRPYLAMVFLQFGYAGMYVVSVVSLKQGMSHYVLVVYRNAIAAAVIGPFALWFERKGRPKMTLPIFLKIMALALLEPVLDQNFYYMGTKNTSASFSSALYNILPAVTFVNAIILRMEIIDIKKRRSQAKIFGTAVTVMGALIMILYKGPIMEFVWNRGRHHPADAAAQSDAHWLAGTFMLLFSCFCWSAFFILQSHTLKAYPAELSLSTLICVLGAGQGGAVALFMERGVKPWSIGFDMRLFTAIYSGIMCTGMAYYLQAVVMKERGPVFVTAFSPLCMIIVAFMGSTILAEEIALGRVIGAVVIVIGLYSLIWGKSADHLIQSTDNSGGKKHGALELPKSVDDAMAANSVDFVAIVDIPPAKKP >Ma10_p21430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31237970:31246757:-1 gene:Ma10_g21430 transcript:Ma10_t21430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDADVALIVFSSRGRLYEYATNSVKATIDRYKKACNGTTNTGFASEDNAQYYQQEASKLRQQINNLQSTNRSLMGESLGSMSLRDMKQLETRLEKGINKIRNKKNELLFAEIEYMQKREMELQNDNMYLRNKIAENERAQQQMSMLPSARTTEYEIMPPYDSRNFLQVNAVQPTQHYTHQQRTALQLGYGPTFS >Ma10_p21430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31237970:31246757:-1 gene:Ma10_g21430 transcript:Ma10_t21430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDADVALIVFSSRGRLYEYATNSVKATIDRYKKACNGTTNTGFASEDNAQYYQQEASKLRQQINNLQSTNRSLMGESLGSMSLRDMKQLETRLEKGINKIRNKKNELLFAEIEYMQKREMELQNDNMYLRNKIAENERAQQQMSMLPSARTTEYEIMPPYDSRNFLQVNAVQPTQHYTHQQRTALQLGYGPTFS >Ma10_p21430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31237975:31246757:-1 gene:Ma10_g21430 transcript:Ma10_t21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDADVALIVFSSRGRLYEYATNSVKATIDRYKKACNGTTNTGFASEDNAQYYQQEASKLRQQINNLQSTNRSLMGESLGSMSLRDMKQLETRLEKGINKIRNKKNELLFAEIEYMQKREMELQNDNMYLRNKIAENERAQQQMSMLPSARTTEYEIMPPYDSRNFLQVNAVQPTQHYTHQQRTALQLG >Ma10_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31237970:31246757:-1 gene:Ma10_g21430 transcript:Ma10_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDADVALIVFSSRGRLYEYATNSVKATIDRYKKACNGTTNTGFASEDNAQYYQQEASKLRQQINNLQSTNRSLMGESLGSMSLRDMKQLETRLEKGINKIRNKKNELLFAEIEYMQKREMELQNDNMYLRNKIAENERAQQQMSMLPSARTTEYEIMPPYDSRNFLQVNAVQPTQHYTHQQRTALQLGYGPTFS >Ma06_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6429710:6431757:1 gene:Ma06_g09120 transcript:Ma06_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNHLAFLFFTFVVGFVLFSALLLLLRKRPWCSCHVCEAYLSSSWAAEFDNLCDWYAHLLRESPTGTIHIHVLGNTVTANPANVEYMLRTRFDNFPKGKPFSALLGDLLGQGIFNVDGDAWRFQRKMASLALGSVAVRSYAFGIVSDEIRRCLLPLLSSVADRGDGVVDLQDVFRKFAFDTICKISFGLDRGCLELSMPMSEFAAAFDTASRLSARRGTATAPVVWKLKRLLNVGSERELKRAIRMINMLAEEVIRQRRKQGFASSHDLLSRFMGSVEEEDKYLRDIVISFLLAGRDAVASGLTSFFLLLSRHEDVAAAMRDEIASVTKGDGDDVVSYERLKEMHYVHAAIYESMRLFPPVQFDSKFCLEDDVLPDGTFVSRNTRVTYHPYAMGRMESIWGPDWGEFKPERWLRGGVFTPESLFKYPVFQAGQRVCLGKELAMVEMKTVIVSMVTKFDFKVLQGGRTIKFVPGLTATISGGVPARVTRCVSRRLP >Ma11_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18768832:18783718:1 gene:Ma11_g13700 transcript:Ma11_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGRDEPTGKEMSGGGGGGSGGHGGSPSPNLEWRFNQTLRNVQGLLKGRSFPGKVLLTRKSEPLNAHASPEHSQEFARRYSENDHEDEYASSSFEAEVQGSGRTTSNTNLQTNILTPNNANAAPDAQGSVSGARATDSARVAKFTKELSRPAVILEKLRELSWSGVPPYMRPDIWRLLLGYAPPNSDRRDGVLARKRLEYVDCVSQYYDIPDSERSDDEINMLRQIAVDCPRTVPDVTFFQHSQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLIVSLSEHLNGSMENWSISDLSPQKISNIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFRLRELVRRIDEPVSKHMEEQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDSLPEFLVYISASLLLTWSDQLQKLDFQEMVMFLQHLPTEDWTHHELEMVLSRAFMWHTMFSSSPSHLAS >Ma06_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10962179:10963292:-1 gene:Ma06_g16200 transcript:Ma06_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLVRRTGGSNPPPLSSLLSPAPEEDGSHVLHLFDLYWFHHQILSSSPPPPPRPPPDPLHTSPIQPTTRTPLFHRRHRRSLSDESVHAPALAPAPVPSASLTIRPPKLCTILSGKEAPSEDPFAGAEPVAPAGGGSETKSYGRWGQRGGRRRRKKKEKSKSLTDLEFEELKGLVDLGFTFSDAETDPRLLEIVPALQRLGLGRNRASEEEAPPAAPVDAAVSRPYLSEAWEVAEAKPEERLLMNLIPAGVAGADLKGQLRSWAHAVASTVR >Ma02_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19195628:19197787:-1 gene:Ma02_g09010 transcript:Ma02_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDQKLIRSSSRVKCRKLHLAFVTTCCCLVSVTLLVGYSVSSTKLSSWLWNHAVPAAAPMAGGRIGSQNLDKRELKPVCDFADARSVVCELEGDIRIHGNSSSILFASSSPASWQMKPHPRKDDPNALSRVTQISVTSFTADGDAPRCAVSGSTPAIVFSTGGYMGNPFHDFTDVLIPLFITSFQFDGEVRFLVGDIAPWWIQKYEPILTGLSRHDIIDLNRDDVVRCFPHVIVGLRFHKEMSIDPSRTQNGLSMLDFGRFVRRSFALRRESATKLGADRDKKPRLLIIARRATRVLENVDQVARAARGLGFEVVVAEAGRKTNLTAFARLVNSCDGMMGVHGAGLANFVFLPMNTTLIQVVPLGQLDELARVDFGTPAEDMEMKYLQYGISEEESTLIERYPRDHTVFKDPTAITKQGWTARRSVYLLNQNVKIDVVRFRDVLIQALEFLRQE >Ma03_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19370606:19371742:-1 gene:Ma03_g16700 transcript:Ma03_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPKRSSRSQLGHESGGGDAADSAVAVSETVNQRSRSNTLLLAANYMILFLGSLSSSLLSRFYFVHGGSNRWVETLVQSAGFPLLLLPIYLTPSPSSRPFSGFSRRLVYVSLLLGLLLGVNNLLFSCGVSYLSVSTSSLLLSSQLGFTLLLSALLVRHPLTFSSLNCVVLLTLSSVLLALNSSGDRPPGVDRGHFFLGFAATLGAAGLFAVYLPVTQLVYRGVSGYRMVVEVQLLMEAAATALAATGMAASGGWRKEGAWDLGGARYWTVVAATVVGWQFCFMGTAGMVFLTSSVNSVICMTALLSVNVLGGVLVFGDEFGGGKAVALVLCLWAFSSYLYGEYKKKKDEAVMAMEEGKETERRESSEVTGGDNSA >Ma11_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9943258:9946604:1 gene:Ma11_g10520 transcript:Ma11_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28605) UniProtKB/Swiss-Prot;Acc:Q8VY52] MAMASSLPCGGSATAPPPPPTSVRRPLKLSPIHPPVTKNETPAVVTISRRSSLLLPFPFFFSSSSSAAASSPSLEPYIDPAQGFTLLRPSSWIQVEKAGATALFEEGKGSNSIGVVVNPVRLASLKDFGTPEFVADKLMRAERKKESTKDAELISVDERLGHSGLPIYEFEYQVDSTRGGMKRIFSAAFVTSRKLYLLNITYSDRPENPLDNSTRLVLEQVLHSFDTL >Ma09_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6126096:6127343:1 gene:Ma09_g09230 transcript:Ma09_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESTWSSFDATMLAEEESRMIAQLLSNYQCFGEQDRDVGCCELPPSSYCSSHAADSCCCWSANENSNPSLCYWSQSGDESDGAHAIGTVPVFTNHCLVGDQVAVNQTLSLHEPTAAHAEMPKRKRESHASEDDFRRQSCKKKLQAPTNQRRERINARLRTLQNLVPNGTKVDISTMLEEGVRYVKFLQLQIKLLSSDELWMYAPVVHSGMIDGRVNSEIFVSANTCNEWF >Ma08_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7646916:7650311:-1 gene:Ma08_g10470 transcript:Ma08_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMCQSSKFDLCQDKSVMVKAQGQICLRHVSQKNSTCIKINPLQRSFKNKCAQDKYTIFVYSRNPL >Ma03_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8545801:8547284:1 gene:Ma03_g11300 transcript:Ma03_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITSSRFLLVTLVALAIALPLGVAAWRPWPHHLLGNATANTMSVGGGGSGTTAAAAAAGIGASKKYEGSSEFVKLRYHMGPVLAANITVHPIWYGAWAPAQKHILRAFLRSISAPSAPHPSVAAWWRTVRLYTDQTGANVSATVFLGAERSDRRYSHGRALSRLAIQSVIRDAVNSPRRPLPVNPRGGLYLVLTSSDVAVQDFCVQVCGFHYFTFPAIVGYTLPYAWIGNSATQCPGICAYPFAVPPFALGPRTADRPPNGDVGVDGMVSVVAHELAEMASNPLINAWYAGEDPCFPTEIADLCEGIYGTGGGGAYTGQMLLDGHSGATYNMNGLGGRKFLVQWIWNPYLSYCSGPNALDH >Ma04_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21073896:21145462:-1 gene:Ma04_g18840 transcript:Ma04_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MSRKKRVMLPVAEVDISNIKYTPQHIQAPHLTGFGFMLFTWLMEAPLIGSLITSFLKHKNGVTEMLRNTVIPERPMFRPEFPPQDPETGVVVLDEDRHPIARLEAAMECLPLYDPSRHWNPDRPSNFLYWTIRDYAHAYRSDITTPLIVAERIISAVDEFNGKKPPVPLLISFNADEVRKQAADSTERFRAGNPLSIIDGVFIAIKDDIDCYPHPTKGATTWFHEVRTVKQDAVCVSRLRSCGVILVGKANMHELGLGTTGNNPNYGTARNPYSFERYTGGSSSGPAALVASGICSAAVGTDGGGSVRIPSSLCGIVGLKTTFARTDMTGSLCDCGTVEVVSPLAATVEDAMLVYAAMAGSSKADRISLNPSPLCCPNLSSSNNLNILQSVRLGKYTEWFNDVSNNDISNKCEDAVNLLSANFGCQIIEITLPEIEEMRTAHVVSIGSESLCGLNAYRGERKYSELTLDTRTSLALFRSFSAADYVAAQRLRRRIMYYYMEAFKKVDIIVTPTTGITAPKIPLSSLQYGESNYETSGYLMRFILAGNLLGLPAISVPIGYDKQGLPIGLQLIGRPWSEASILRVAFAVEELYLGHRKRPSQFYDVLQAT >Ma04_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3696078:3701947:1 gene:Ma04_g04850 transcript:Ma04_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MAAHGDDLDLLLSLRDERVLETPPASPSSRPGYTSDDGSPRSSRPANMSVFRDAVKDYMDDNPVTVEAVPHKSTKPRRSDEVEVEKFSGLRIRSSLVSSVELANRFSDVRFVRMPTIRNLLAGDTISGCWATVGVLTENGAPKLSSTGKSYCIWKMGCLNETDVSVFLFGDAYKMNCKERVGTVFALFSAGVRKDAGGKGFSLSVYSASQMLKMGTSADYGICKGKRKDGMACTMVINKCQGIYCKFHSSKASQMYTSKRSELRGGNLQTAFKREAEGIYMVDPLAERSNSRKPLQPVKVMSIDGLRKALSKADKVTTISHSQGIRFLTHVTVAQETKDSNKASVRNHQSERRPEKRSSLPKEKVSKAPIQHELQTKRRKVDHASQNMIELDIVSSDDEH >Ma05_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11963982:11965208:1 gene:Ma05_g15630 transcript:Ma05_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKKMILVTYIREHGAGSSWKDLSKNAGLQRSGRSCCSCWLNYLQPGLKHGNFTEEEDKIIHSPQQHWELLVCDCFYAAWKNSPSYKELLEHQDEKAAKEKKTYQEQLTHHK >Ma01_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17777075:17777936:-1 gene:Ma01_g20410 transcript:Ma01_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPLLFSAAKRLEGKVALITGAASGIGEATAKLFARHGARIVIADVQDDKGHALCSALGPSVASYVHCDVTNESDVQQAVDATVSHHGKLDVMFNNAGIIGEPCLRLLESEKSDFEHVVATNLVGSYLGTKHAARAMLPARRGSIVITASVASVIASITPVAYTCSKHAVLGLMKSAALELGQFGIRVNCVSPYALPTPLAMKAFGMGEEEHATLKGVRLKAEDVAEAVVYLASDESRYV >Ma10_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32049833:32051778:1 gene:Ma10_g22860 transcript:Ma10_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLLFVSAHLIVGSEESGNQVKINKQIEQALDLSESPEYNKTKTGKCNLRKSLAWDSAFFTSEGVLNHEELAIVNSTFKKTQACSLPIILEDARKSTESTSTLDNDSWALENLEVDLFENVRASIQMTFGTGEKALNVAQPIKKNNPARRGELSLGYKNVLRYSKHLVCTPVASERHGVSNHLRESATRAATVVTNVGADGVTNSKMLKPPRVLSRGTLPAMPTKTNLVSGNNQIKTSSKKDIPGNTATQKSAVVSKKIKGGSCDTIKSSRSPKPTPKLVDNSRDTARKSPSETTRSRSTSRAINRSLSGSITNKASMRTSGSKISRNTSNSAVSPNSSVKLSSIASPSSSFDSVTSGSSSSTFSAVKPLIDGIEPGADNEEVHPPGLQSNLSSEDIGQSNGLLVTKVHPKSCPNDSSGAKCYKPSGLKMPTPKIGYFDAVRPHFLS >Ma05_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18247420:18269278:-1 gene:Ma05_g16780 transcript:Ma05_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQSQKDEDTSSSTSRRASKKRKDIIDSSISGSTTNASPKTKVSSGKAKDSRTLTTTSSAATDSSNLRSARETTTKKASVLGPILRKSERLEKQNMSTPLQKRGSDMEVKNHSPLRRSKRIERFCASSSSGSKLSGKSSTPLVKKKVNEVKNEKTKSVSGTTGTNKSKKLSSGSSRLLKNRTRMDARSYRALFTPLTKKAKISDSTEPLHDCVSRAADSAAVVEHGDECSGRKCDEPIVNPCDKELKETAEGSSSVSRELEELVQGVDRASTSCFNVKRKLTELSESKYADNSTSSLQPSLAGNIAKEYDNGMFQRETDIDRDEIDACKLNTEDFGLLQPAEHAFQNRPFTADVIQQSGDTSASPRSAKLTCDLDLNTSLTLQGEHMLIQTISMADACLSSPSLTRRDNLQQCTKCFELQRVQDMQVKEQCSYIARSHDLSLELSEEAHHKVAPDKHGNAAICLQEDKCKGNSLSEAESGLAGAEKSNCFVASACTVSLNMLMESREIGARPVDCNLMDISKEHDNSTHLRKSLFEIKTDGGANACVVCKHPETELSCDGKGCNRSYHLSCLDPPLQNYPGAWLCIFCIKKKIEIGVHSISEGIDSIWNFKEELQNGKHYFVKYKGLAHIHNQWISETQMLQEAPTLLSKFKRKYYKERAIKWKQEWAEPHRLLLKRLLMPQKLTDDLFNGLANSFPKCYHEWFVKWKGLGYEDATWELETSPVLCTPEAMTLMKDYEARIEAKTAFDSSKAEKVFYDME >Ma03_p26650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30315335:30319005:1 gene:Ma03_g26650 transcript:Ma03_t26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVENSDAVFPSGTVDGVELTGHVPIPPSRSFLATFRANLKETFFPDDPLRQFKNVPGSRRFLMGLKYFFPVLEWLPSYGHSTFKSDLVAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAMMGSSKDLAVGTVAVASLLIASMLGKEVPPSQNPTLYLHLAFSATFFAGVFQTSLGLLRLGFIVDFLSHATIVGFMAGAATVVCLQQLKGMLGLQHFTTATDLISVMESVFTQTHQWRWESAVLGVSFLFFLLLTRFLSKKGPKFFWVSAAAPLTSVILGSLLVYFTHAENHGVQVIGYLKKGLNPPSLTNLVFSPPHMAVALKTGIITAIIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGMMNIAGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVVMAVAVAITLLFLTPLFHYTPLVVLSAIIVAAMLGLINYEAAMHLWQVDKIDFCVCMCAYLGVVFGSVEIGLVIAVAISILRVLLFVARPRTTVLGNIPNSSIYRRMDQYSEAQSVPGVLILRVDAPIYFANASYLRERISRWMDEEEEKLQSKGEIGIQYVILDLGAVGSIDSSGIDMLKEINKSMDRKGVQLVLANPGSEVMKKLDKSKALETIRQQWIFLTVAEAVAACNSFSLHPCKSDLANHETDCDSVV >Ma04_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1928923:1931295:1 gene:Ma04_g02210 transcript:Ma04_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKRLLSLGIGRRSRGQANAEENASPVRESQPIHHLFDAEPPCKPTWRCFSYEEIHRATGGFRQENLVGRGGYAEVYRGVLEDGQAIAVKRLTRASSDEQRTKDFLTELGAVGHVRHPNVSALLGCCVDRDLHLIFEFSSRGSVCSNLYDESSPPMAWKLRHDIAVGTARGLHYLHKGCQRRIIHRDIKASNILLTANFEPQISDFGLARWLPTEWTHRAVARAPIEGTFGCLAPEYFMHGIVHEKTDVFAFGVFLLEIISGRKPVDGSHNSLLSWAKPYLRDGTVQALVDARLGDEYDIDQLRKLTFAASLCIRTTPTQRPSMTEALDILEGRKILQDQWKMPEGEEEEQEFWGFDDLDDDDDDECDTASSSSSTGRSQS >Ma09_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7233450:7234746:1 gene:Ma09_g10670 transcript:Ma09_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQALRRPFFPSLLICQSIVGRDGTFHTDEAVEYGAEMIMAFLWWPLGLWLKPLKRTTIFEGGRKPPLLCVCVSPTAAQTTVALFLLLLLLNIAI >Ma01_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1237594:1242350:1 gene:Ma01_g01840 transcript:Ma01_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQHGTRLLEELDLLEKPKVSEPASRRNVFCFWKWKVLRFLLDGFRRRGSHCVVCLQVHQIDGLPSAMDDRVLVVGWKTKGCKGEHTLPVHVCGGVASFDEIFLHYCISDVQSMLGSFTVWASLVDAADCDLGTFHVDLSEFAAATENSNSAFAGKTVSFVLGGVAGGGALRLSVYCRTLEDEAGDRIGQKQAKSKCFSCLPDLGGCIRSSPMTFCARRIPSLRSDHGFITFENLIAGCPPINDEDGGFITIEKGGVGSRSRRPPSDHLANTDDDESGGPEDEQPCLMTELNEELDDDDDDDDDDEGVENEFLKMLEANDEPWKKEAGKSLSLSLDLSADLDLDSLIKEAEMELKKAAQAWNSGTGAALLLEKEEHEELMRRWGSNEHRSSPGCSGLHAFGSPL >Ma01_p01840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1237594:1242350:1 gene:Ma01_g01840 transcript:Ma01_t01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQHGTRLLEELDLLEKPKVSEPASRRNVFCFWKWKVLRFLLDGFRRRGSHCVVCLQVHQIDGLPSAMDDRVLVVGWKTKGCKGEHTLPVHVCGGVASFDEIFLHYCISDVQSMLGSFTVWASLVDAADCDLGTFHVDLSEFAAATENSNSAFAGKTVSFVLGGVAGGGALRLSVYCRTLEDEAGDRIGQKQAKSKCFSCLPDLGGCIRSSPMTFCARRIPSLRSDHGFITFENLIAGCPPINDEDGGFITIEKGGVGSRSRRPPSDHLANTDDDESGGPEDEQPCLMTELNEELDDDDDDDDDDEGVENEFLKMLEANDEPWKKEAGKSLSLSLDLSADLDLDSLIKEAEMELKKAAQAWNSGTGAALLLEKEEHEELMRRWGSNEHRSSPGCSGLHAFGSPL >Ma07_p26870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33470606:33473949:1 gene:Ma07_g26870 transcript:Ma07_t26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEGKSRGAMADPSSHHPQQAQPQPQPQPQPLQFQSPYGTFGVPDYSQPAIGFPQPVAPPGVGVGVAPSAPAYPPYSAAPQYYAHGYQVVPGYAGVAEGWPLRLRRLPCCGLGLGWFLFITGFFLAAVPWYIGAFFLLFVRVDYREKPGFVACTIAAVVFAIAVILGLTKETDAW >Ma07_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3390687:3396117:1 gene:Ma07_g04610 transcript:Ma07_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALKLLYIVVVDEVERGGGDGVGGKRSLSFRYTRPVLQSTLQLMGCKARHAFKISRRVFEVMRDENSGGRLPPDGRSSDCWKIPSSEKNRHDIDGLGQANMTNRLTPENVDMSSGMPFELYKRLTTVVVSRERFLDIVCDALTLYKYVSPHQRTDLLLACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSYVDEEQNPLLWASTYHAGECLDPVAVAEAKAKRKAKKLAIVSHAVVKGEISDGTLNVKPDGRSHDVVLGTELIGKKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHIERFAVRAKYMTLDPAKNKYVKYIQNIRAIQEYLCNRADKHLVPKIKNTNVDQSVAAIHATVFGCLRRREAGEQLYDPSTNTVSVIHEEYRNQCAANSLGSKRMLQLIQSKGSSRHLMALLNTDGSVAKAWPFEMVDYDGKLDRNGNEKCMGNPMYGPLQIGKAEPVNLQFGNFGISAWTNDTCGTSQTGSIDDSRAEGTDTGSRYFSSCCSSPKALDGPAKELKEEFFVSGSEEEADYPYDKDSDEDLSDIDDKEIHDEIEGSVDEDSTKSDEEYEDLAMRDDLENVYWSDDDESANGKKAADDKRPTDEGDVSTADKYQHNLELFLKMSEGIMEPPFSYALLHGQSKTSTDMGARRRSLSDPMRFRDRAQSIPAVTELRAL >Ma10_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27374009:27386838:1 gene:Ma10_g15160 transcript:Ma10_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLLPSKRTFEQNPLEHTGRGKWKKTGPSITLQNQVKVPLGAIIFRILCPASKSGSVIGKGGGIVARIRHKTGAKIRLEETVPGCDERVIVITGLEKDAELGSKHSKEDDEGAGAVDGVESAKENTDNIEGAEDSAAADNSKLDGVPSSAVKALVLVFERLIEGESENDDEDDTNKKHSTVSARLLVLSGQVGCVLGKGGSVIKQMSADSGAQIRILPRDKLPLCASQQDEIVQVTGGVESVKKALHLVAQQLLDNPPREHDLFPSLGSSGPSSDPFASIPRAEGLPPPNFHYPPQVPPFSNRPHDITDFHPGIGPPFPKFHESGPLLQPQVSPEPITYRLLCSNDKVGSVIGKGGNIVKGLKNDTGCEIKVLETTPESEDRIIVISGLALPSDRIAPVQDAVLRVQHRLVMAVPDTKESTVLSRLLVASNQTGCLLGKGGSIIAEMRKLSGAHIRILGREQIPRGVLENDEVVQISGEFGAVQEALLQITARLKLHVFRDKLPAMNPNMPPAFVEQLPPYGLYMGRRESSPPRLHPYLPPFQKDPVGHPFQERSVFSHPVHGSGIPLGVERPASWPPQGMRDVGGPMLLPDYPGDPQRRKGRFASGSQPAPITSVDVFVPRSLVPSIYGEDGGCLKRIREISEAKIIITEPRPEATETVIIISGTPEQTHAAQSLIHAFVLSETVPLESTKS >Ma10_p15160.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27374009:27381733:1 gene:Ma10_g15160 transcript:Ma10_t15160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLLPSKRTFEQNPLEHTGRGKWKKTGPSITLQNQVKVPLGAIIFRILCPASKSGSVIGKGGGIVARIRHKTGAKIRLEETVPGCDERVIVITGLEKDAELGSKHSKEDDEGAGAVDGVESAKENTDNIEGAEDSAAADNSKLDGVPSSAVKALVLVFERLIEGESENDDEDDTNKKHSTVSARLLVLSGQVGCVLGKGGSVIKQMSADSGAQIRILPRDKLPLCASQQDEIVQVTGGVESVKKALHLVAQQLLDNPPREHDLFPSLGSSGPSSDPFASIPRAEGLPPPNFHYPPQVPPFSNRPHDITDFHPGIGPPFPKFHESGPLLQPQVSPEPITYRLLCSNDKVGSVIGKGGNIVKGLKNDTGCEIKVLETTPESEDRIIVISGLALPSDRIAPVQDAVLRVQHRLVMAVPDTKESTVLSRLLVASNQTGCLLGKGGSIIAEMRKLSGAHIRILGREQIPRGVLENDEVVQISGEFGAVQEALLQITARLKLHVFRDKLPAMNPNMPPAFVEQLPPYGLYMGRRESSPPRLHPYLPPFQKDPVGHPFQERSVFSHPVHGSGIPLGVERPASWPPQGMRDVGGPMLLPDYPGDPQRRKGRFASGSQPAPITSVDVFVPRSLVPSIYGEDGGCLKRIREISEAKIIITEPRPEATETVIIISGTPEQTHAAQSLIHAFVLSETVPLESTKS >Ma10_p15160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27374009:27386838:1 gene:Ma10_g15160 transcript:Ma10_t15160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLLPSKRTFEQNPLEHTGRGKWKKTGPSITLQNQVKVPLGAIIFRILCPASKSGSVIGKGGGIVARIRHKTGAKIRLEETVPGCDERVIVITGLEKDAELGSKHSKEDDEGAGAVDGVESAKENTDNIEGAEDSAAADNSKLDGVPSSAVKALVLVFERLIEGESENDDEDDTNKKHSTVSARLLVLSGQVGCVLGKGGSVIKQMSADSGAQIRILPRDKLPLCASQQDEIVQVTGGVESVKKALHLVAQQLLDNPPREHDLFPSLGSSGPSSDPFASIPRAEGLPPPNFHYPPQVPPFSNRPHDITDFHPGIGPPFPKFHESGPLLQPQVSPEPITYRLLCSNDKVGSVIGKGGNIVKGLKNDTGCEIKVLETTPESEDRIIVISGLALPSDRIAPVQDAVLRVQHRLVMAVPDTKESTVLSRLLVASNQTGCLLGKGGSIIAEMRKLSGAHIRILGREQIPRGVLENDEVVQISGEFGAVQEALLQITARLKLHVFRDKLPAMNPNMPPAFVEQLPPYGLYMGRRESSPPRLHPYLPPFQKDPVGHPFQERSVFSHPVHGSGIPLGVERPASWPPQGMRDVGGPMLLPDYPGDPQRRKGRFASGSQPAPITSVDVFVPRSLVPSIYGEDGGCLKRIREISEAKIIITEPRPEATETVIIISGTPEQTHAAQSLIHAFVLSETVPLESTKS >Ma10_p15160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27374009:27386837:1 gene:Ma10_g15160 transcript:Ma10_t15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLLPSKRTFEQNPLEHTGRGKWKKTGPSITLQNQVKVPLGAIIFRILCPASKSGSVIGKGGGIVARIRHKTGAKIRLEETVPGCDERVIVITGLEKDAELGSKHSKEDDEGAGAVDGVESAKENTDNIEGAEDSAAADNSKLDGVPSSAVKALVLVFERLIEGESENDDEDDTNKKHSTVSARLLVLSGQVGCVLGKGGSVIKQMSADSGAQIRILPRDKLPLCASQQDEIVQVTGGVESVKKALHLVAQQLLDNPPREHDLFPSLGSSGPSSDPFASIPRAEGLPPPNFHYPPQVPPFSNRPHDITDFHPGIGPPFPKFHESGPLLQPQVSPEPITYRLLCSNDKVGSVIGKGGNIVKGLKNDTGCEIKVLETTPESEDRIIVISGLALPSDRIAPVQDAVLRVQHRLVMAVPDTKESTVLSRLLVASNQTGCLLGKGGSIIAEMRKLSGAHIRILGREQIPRGVLENDEVVQISGEFGAVQEALLQITARLKLHVFRDKLPAMNPNMPPAFVEQLPPYGLYMGRRESSPPRLHPYLPPFQKDPVGHPFQERSVFSHPVHGSGIPLGVERPASWPPQGMRDVGGPMLLPDYPGDPQRRKGRFASGSQPAPITSVDVFVPRSLVPSIYGEDGGCLKRIREISEAKIIITEPRPEATETVIIISGTPEQTHAAQSLIHAFVLSETVPLESTKS >Ma02_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21383702:21387841:1 gene:Ma02_g12770 transcript:Ma02_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVELLLLLLTLSSMGLTNAESASTKEPFTLPSNCTKRCGNISIEYPFGIGNGCYRPGFNLTCTNYTNQPPRLFLGDGKFEITRIDLDNGTVSVMTPIITMGVDEEFINVTLIDLQNLPCSLKSLDLRNYYPRYTYNRLYVSGCSVVADLVDPTTNRTIGTCITRCTSNDNSQCKLDLYDWNSTSLEVRLTRLNQSDFHLLDASIIKVFVYDYYNSTIYDLQRIVKGRSSEVETALSWYIKDYHTCEEAKKNMKTYACISPNSDCYDTSDGQNILGYRCNCSTGYEGNPYLSNGCTDIDECKFPDRYICNGVCNNTMGSYSCTCPRGTTGDPKRACIPDKKQTVLLGVIIGVSNGVGLFLLSTSLIILRRKWKKRKQKRIREKHFRQNHGLLLQQLISSREDVAERTKIFPLEEVEKATNNFDETRVIGRGGHGTVYKGILSDQRVVAIKKSKIVKKSEIDQFVNEVAILSQINHRNVVKLFGCCLETEVPLLIYEFISNGALSDHLHTSDGSSALSWEARLRIAAETAGALAYLHSAASISILHRDVKSSNILLDDHFTAKVSDFGASRFIPLDQTHIVTGIQGTFGYLDPEYYQTSQLTEKSDVYSFGVILLELLTGKKPIFSIEHENKQNLSMYFLQELKEKRYFDLVEDRVMKEGTKQELIEVIQLVATCLKFKGSERPTMKEVEYKLQSLRRIRKNGVRHIAEGNEETECLLSDPSYTLSDSVDQATEGTSRNYSLENEFMWSHYNPR >Ma11_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4252271:4256880:1 gene:Ma11_g05540 transcript:Ma11_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKILDEGKLDKVEDLWKEFATSESARKIVKLKAFNKFENTSDALSAATLLIDSKPSKGLRKFLRTHCDGETLAVADSKLGNAIKEKLKIDCLHNNAVMELMRGLRNQLTELISGLAVQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNIQYAKVVKLMGNRTNAVNLDFSEVLSEETEAELKEAAVISMGTEVSDLDLANIKELCDQVLALSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIFHASLIGQAAPKIKGKISRSLAAKTALAIRYDALGDGQDNTMGLENRAKLEARLRVLEGRELGRSAGSTKGKPKIEFYDKDRKKGAGALITPAKTYNPSADLVFGQTTDLAPSKQAAEQEATLRKKRKHEEAELEPTGEASEDPPKEEGEGEGEKRKKKKKKIELGSADDQISISEAEEQTKKKKKKKKDKAPGPELVNQDNIAAPESEEELSKKKKKKKKQAEEEQAAGDASNRKKDKKKKDKGL >Ma10_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26203800:26211813:-1 gene:Ma10_g13380 transcript:Ma10_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNVERTFQLSRSDKELLQRPEYDLQVWCLLLNDKVPFRMQWPQQAELQVNGDSVRVVTRPGSQLLGINGRDDGPVITTFSKEGINKIVLSRCDARIFCFGIRLAKRRTVPQVLSLVPKEAEGETFQDALARVCRCIGGGAATENADSDSDIEVVAESVAVNLRCPMSGSRIRIAGRFKPCVHMGCFDLGTFVELNRRSRKWQCPICLKNYSLENIIIDPYFNRISSMLQSCGEDVTEIDVKPDGTWRVKNELESTDLSKWHLPDGTLCAITDADVKPHLEILGYMNEKSPSDRRLRLDLGMKPTPNGRLEDSRLLSSESPILGRLDNHHQNIINMSSSATGSYKDGEDPSVNQDVGGPLGLSLNNGHEFDSFSLNLDPTYGVQERSPAPVKDTDVIFLSDSDEDNLTLISPEPAYDSHPVGNDTIPFPNHPGVSERYSENTGPETSGTSFLELFNNTDEFGIPIWPMQTCPQSGPGFQLFGTEVADVLADTHSSLGCAPVNDYGLTPTNDIEDTCRVPDLSNCHTGTGMQGSLVDNPLAFANDDPSLQIFLPNQPVGVTLQDDLIDGTDLPNGINSDDWISLRLAAGGGHGDSVPSNGLTSRQQVTSKETRMDLLDDAASLLLSMNNNEGKKANSKNQRSVSPGQPRSVRPRLYLSIDTDSD >Ma10_p13380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26203800:26219234:-1 gene:Ma10_g13380 transcript:Ma10_t13380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVASCRDKLAYFRIKELKDVLTQLGLAKQGKKQDLVDRILALLLDEQVHKPQVWGRRNFIGKEAVAKIIDDTYRKMQNPGATDLASKSHSGSEFPHVKPKEEVDSYKPDMKVHCLCGKSSDSESMVQCEDPQCRVWQHISCVIIPEKPLEGVSLEVPSHFYCEMCRINRADPFWVTMGHLLHPVKFMYSGIADGTITVQNVERTFQLSRSDKELLQRPEYDLQVWCLLLNDKVPFRMQWPQQAELQVNGDSVRVVTRPGSQLLGINGRDDGPVITTFSKEGINKIVLSRCDARIFCFGIRLAKRRTVPQVLSLVPKEAEGETFQDALARVCRCIGGGAATENADSDSDIEVVAESVAVNLRCPMSGSRIRIAGRFKPCVHMGCFDLGTFVELNRRSRKWQCPICLKNYSLENIIIDPYFNRISSMLQSCGEDVTEIDVKPDGTWRVKNELESTDLSKWHLPDGTLCAITDADVKPHLEILGYMNEKSPSDRRLRLDLGMKPTPNGRLEDSRLLSSESPILGRLDNHHQNIINMSSSATGSYKDGEDPSVNQDVGGPLGLSLNNGHEFDSFSLNLDPTYGVQERSPAPVKDTDVIFLSDSDEDNLTLISPEPAYDSHPVGNDTIPFPNHPGVSERYSENTGPETSGTSFLELFNNTDEFGIPIWPMQTCPQSGPGFQLFGTEVADVLADTHSSLGCAPVNDYGLTPTNDIEDTCRVPDLSNCHTGTGMQGSLVDNPLAFANDDPSLQIFLPNQPVGVTLQDDLIDGTDLPNGINSDDWISLRLAAGGGHGDSVPSNGLTSRQQVTSKETRMDLLDDAASLLLSMNNNEGKKANSKNQRSVSPGQPRSVRPRLYLSIDTDSD >Ma11_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24760707:24765422:-1 gene:Ma11_g20210 transcript:Ma11_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSVSASRRPSGTDGSDFSYRMVVDSRYTRVAKGKSRLGALLAAQTASQVVWTSLMLLSASHEKKFETFAAISLSVGFISLVIGELGRRRSHITLLRLYAIISSIATVLSVASIIRSDLHLKVIKYQSTADMTYYELLEIGRTLIGVMLQILIIITTVSLVHNMSPKRIS >Ma01_p14710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10747343:10751124:1 gene:Ma01_g14710 transcript:Ma01_t14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRFFFNKDALVIKGPKKSHALRMFMLAAVMICGVYICSVCLTQLGIQSRPRKLKMEIMEAPCKNPAIPYYEIPYVHYPEPNTYSRDECACTPVRFFSIVSMQRSGSGWFETLLNSHVNISSNGEIFSSKERRGNISAIIRALDKVYNLDWYSSAAKNDCTAAVGLKWMLNQGLMENHVKVAKYFSRRGVSLIFLFRRNLLRRLVSQLANDHDRNTKQLNGTHKAHVHSTNEANILARYKPRINTSELISTLRHTHKFITDAMGHFRNTRHIVLYYEDLVQNRTKLMDVLEFLRVPPRKLVSRHVKIHTRPLPKLVENWDDVYRSLEGTEYRSFLNANYDL >Ma01_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10747343:10751124:1 gene:Ma01_g14710 transcript:Ma01_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQRFFFNKDALVIKGPKKSHALRMFMLAAVMICGVYICSVCLTQLGIQSRPRKLKMEIMEAPCKNPAIPYYEIPYVHYPEPNTYSRDECACTPVRFFSIVSMQRSGSGWFETLLNSHVNISSNGEIFSSKERRGNISAIIRALDKVYNLDWYSSAAKNDCTAAVGLKWMLNQFLWILQGLMENHVKVAKYFSRRGVSLIFLFRRNLLRRLVSQLANDHDRNTKQLNGTHKAHVHSTNEANILARYKPRINTSELISTLRHTHKFITDAMGHFRNTRHIVLYYEDLVQNRTKLMDVLEFLRVPPRKLVSRHVKIHTRPLPKLVENWDDVYRSLEGTEYRSFLNANYDL >Ma08_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29805644:29817200:-1 gene:Ma08_g18320 transcript:Ma08_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEPPSGNGSDLESGFLKEDHGKSQAGPESMDIDSPTKGSLDSDLNIGNLEKFCKEAARAFFKEWGLINHQISSYNDFVEHGIQDLFDTLGDVVVEPGYDPSKKGDGAWRHASITFGKVRLEKPEFWPEKNDIEEGSLKLLPRHARLQNMTYSSQMKVKVRVQVYVQEKSDKAKIGNSSYIQKRVQNDDEGEITIGRLPVMVQSNLCWLSALGKSDCLFDSGGYFLIKGMEKTFIGQEQRCLTRLWVIDKPVATVSYLSEIKRKRIYVKLVEAPKAEGFHGGKVISVYFLYATMPIWIMFFALGASSDKEVLEMIDLKDCDAGMINIILATIKDADEQFEGFRSLDKAREHVNALIKNAKFPPSESFDEYVAKYLFPNIIGHRTKAHFLGYMVKCLLLSSFGKRKCDNKDDFRNKRLDLAGELLARELRAHIRHAEKRMVRAMQRDLSGDRDLQPIERYLDASIITNGLNRAFSTGAWTHPYKKTERLSGIVATLRRTNPLQMMSDMRKTRQQVAYAGKAGDARYPNPSYWGKLCFLSTPDGENCGLVKNLAVTAVVSSKIMEPILDKLIACGMEKLDEISLSSLSQMHKVFLNGDWVGVCPDMSSFADRLRSMRRAKLIHPQVEIKRDKHQKEVRIFTDAGRILRPLLIVENLKKIRNLKGGVCSFQSLMDQEIIELIGVEEEEDCQTAWGIKYLLAVNEGISAPNYSHCELDPSFLLGLSCGIIPFASHNFARRVLYQSEKHSQQAIGFSTTNPSIRVDTLSHHLYYPQTPLFRTVIADCLVRADYSLGRKDSMTRPEYFNGQNAIVAVNVHQGYNQEDSLVMNRTSLERGMFRTEHFRSYKADVDNKELTKRIKLKDKVAFGKIESKKGRVDSLDDDGFPYIGASLQSGDIVIGKVAESGDDHSIKLKHTEKGMVQKVVLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVIGFLETQENFPFTHQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIACEGSKRYATPFSTASVDDITAQLHRAGYSRWGSERVLNGRTGEMMHSMIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFFQMHICQKCQRAVNVILRPVPGGKKIRGPYCGFCQSGENIVRINVPYGAKLLYQELFSMGICLKFETEVC >Ma08_p18320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29805644:29817200:-1 gene:Ma08_g18320 transcript:Ma08_t18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEPPSGNGSDLESGFLKEDHGKSQAGPESMDIDSPTKGSLDSDLNIGNLEKFCKEAARAFFKEWGLINHQISSYNDFVEHGIQDLFDTLGDVVVEPGYDPSKKGDGAWRHASITFGKVRLEKPEFWPEKNDIEEGSLKLLPRHARLQNMTYSSQMKVKVRVQVYVQEKSDKAKIGNSSYIQKRVQNDDEGEITIGRLPVMVQSNLCWLSALGKSDCLFDSGGYFLIKGMEKTFIGQEQRCLTRLWVIDKPVATVSYLSEIKRKRIYVKLVEAPKAEGFHGGKVISVYFLYATMPIWIMFFALGASSDKEVLEMIDLKDCDAGMINIILATIKDADEQFEGFRSLDKAREHVNALIKNAKFPPSESFDEYVAKYLFPNIIGHRTKAHFLGYMVKCLLLSSFGKRKCDNKDDFRNKRLDLAGELLARELRAHIRHAEKRMVRAMQRDLSGDRDLQPIERYLDASIITNGLNRAFSTGAWTHPYKKTERLSGIVATLRRTNPLQMMSDMRKTRQQVAYAGKAGDARYPNPSYWGKLCFLSTPDGENCGLVKNLAVTAVVSSKIMEPILDKLIACGMEKLDEISLSSLSQMHKVFLNGDWVGVCPDMSSFADRLRSMRRAKLIHPQVEIKRDKHQKEVRIFTDAGRILRPLLIVENLKKIRNLKGGVCSFQSLMDQEIIELIGVEEEEDCQTAWGIKYLLAVNEGISAPNYSHCELDPSFLLGLSCGIIPFASHNFARRVLYQSEKHSQQAIGFSTTNPSIRVDTLSHHLYYPQTPLFRTVIADCLVRADYSLGRKDSMTRPEYFNGQNAIVAVNVHQGYNQEDSLVMNRTSLERGMFRTEHFRSYKADVDNKELTKRIKLKDKVAFGKIESKKGRVDSLDDDGFPYIGASLQSGDIVIGKVAESGDDHSIKLKHTEKGMVQKVVLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVIGFLETQENFPFTHQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIACEGSKRYATPFSTASVDDITAQLHRAGYSRWGSERVLNGRTGEMMHSMIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFFQMHICQKCQRAVNVILRPVPGGKKIRGPYCGFCQSGENIVRINVPYGAKLLYQELFSMGICLKFETEVC >Ma04_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3233848:3239394:1 gene:Ma04_g04220 transcript:Ma04_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKHDTDEGNPNPIPTPPPLAGDAPLSGEATSPPLPPPSARRPFTSLSQVDADLALARALQEQERAYALLRMNGVDGSDYESSDADSYDYEEEEVEEGVGDEPDHVIEEDAGNIEGSDYDHDAFDANDPDVDDEAFTMALQDSEEQEVAVRLMALAGLNEWVSDDHDDYGNNSQDAWQEVDPDEYSYEELIALGEVVGTENRGLSVDKISALPLVSYTANNVQDSNAEQCVICRLEYEDGDSLMLLSCKHRYHFECINKWLQINKVCPACNAEVSTSENK >Ma10_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23603401:23603991:1 gene:Ma10_g09440 transcript:Ma10_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGHKIYDPKGVGALYIRRRPRVRVEPQMSGGGQERGIRSGTVPTPLAVGMGAACEIAEKDMEYDSRRISLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMARTSIKFGIGRFTPEAEIDKAIWLTVRQVEKLREMSPLYEMVEEGIDLKSFQWAQH >Ma05_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5138911:5145937:1 gene:Ma05_g07020 transcript:Ma05_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKNDSRVSKMGVDSSPGSRGSASSQEDDEEPRARSGKAVSDASDSSDVDSGMESDEFDPAELGEPETQLCQVGNQSCSILLELFDLPDLGSVLSLDTWNECLSEEERYALAEYLPDMDRETFGFTLKELFLEQNFHFGSPLGNLFNRLKGGLCDPRIVLYCRGLSFLQQHEHYHCLCKYQNSMVRNLVFIKNALRNCPLYSIEERLRLLNIQRAQKPLSYGGNGDVDIETDSESGDSDDWYLNKRFKMGQSFAKPSFDVTHRGTSMAWELVKFGKEYSKGVLKVTTPSVSALENPGALGKHSSALKHGLDSKPRVVMPLLDLLQQDKFEGCDVGAAKRTKHNISDDHGDMDEGCVGSQVDWIAGCRRAVARNTLLRTGKKQEPQKRYDMGMDSDEDPEGYSGSSHSQGKSRDRDQVVTIALYGRESAECTRNAKYSERDWVHPTTGRAQKHILTNPMQKNEHGEPISSGHSVKSDDWNGKVKNCKVGNEYKAGKSGAGYDLKNKAYKPVLGQMGDSFLSKDPGARLLLGKVKNNFTQYEGMTRDYSKGLTMISQSEETESDSSDQVEDDGSLDSMVKKLEHQNGDVGGHHSGVVRSIYDSKKPNKLMKVDRKSYSDLRDVGRSICTPDVESCSVKGKNSRLVKKALVPRPSEKSTYIEKRHKRMANVSDSLQQSFYTHDYGSGMMDEYMENLDEISKSQGDKNMINRVGNMMEVSDVLTINPTQERSNMPLEGCNSVSKKPKRKVDGHLSNELDISLHLLPSQKQQIDDLNVVRKGKRKADAETDTLTEVTSDMVISEKDTEDVEPKPKLQKKPFTLITPTIHTGFLFSIIHLLSAVRKAMITPHIEDTSLTASHLEDGRSKQKTEEHNKMHQVANGTHLSQSHENMDKHSPGYAGQNSLPSLTVQEIVDQVRSNPGDPFILETQEPLQDLIRGVLKVFSSKTAPLGAKGWKPLALYEKSNKSWLWAGPVTSSSSDNDNAEEETSSEAWGIPHKMLVKLVDAFANWLKSGQVTLQQIGSLPPPPPSLLSNLDEKERFKDLRAQKSLNTISPSSDEVRVYFRREEFLRYSVPDRAFSYTAADGKKSIVAPLRRGGGKPTSKARDHFMLKPDRPPHVTILCLVRDSAARLPGSIGTRADVCTLLRDSQYIVENISDAQVNQVVSGALDRLHYERDPCVQFDSERKLWVYLHKDREEEDFEDDGTSSTKKWKRQRKDSIDPSDIGAVNDVDTGVLAIGGSSVGLDHDHDHDLIVETSSSRVGEKVELVCEDMGPNMENVQSLMASTTVSKSHSNWEDLGSNPLREKRLICQENSTDEDFDDETFSQERPMRLQYDRIMKNGLY >Ma05_p07020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5138911:5145936:1 gene:Ma05_g07020 transcript:Ma05_t07020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKNDSRVSKMGVDSSPGSRGSASSQEDDEEPRARSGKAVSDASDSSDVDSGMESDEFDPAELGEPETQLCQVGNQSCSILLELFDLPDLGSVLSLDTWNECLSEEERYALAEYLPDMDRETFGFTLKELFLEQNFHFGSPLGNLFNRLKGGLCDPRIVLYCRGLSFLQQHEHYHCLCKYQNSMVRNLVFIKNALRNCPLYSIEERLRLLNIQRAQKPLSYGGNGDVDIETDSESGDSDDWYLNKRFKMGQSFAKPSFDVTHRGTSMAWELVKFGKEYSKGVLKVTTPSVSALENPGALGKHSSALKHGLDSKPRVVMPLLDLLQQDKFEGCDVGAAKRTKHNISDDHGDMDEGCVGSQVDWIAGCRRAVARNTLLRTGKKQEPQKRYDMGMDSDEDPEGYSGSSHSQGKSRDRDQVVTIALYGRESAECTRNAKYSERDWVHPTTGRAQKHILTNPMQKNEHGEPISSGHSVKSDDWNGKVKNCKVGNEYKAGKSGAGYDLKNKAYKPVLGQMGDSFLSKDPGARLLLGKVKNNFTQYEGMTRDYSKGLTMISQSEETESDSSDQVEDDGSLDSMVKKLEHQNGDVGGHHSGVVRSIYDSKKPNKLMKVDRKSYSDLRDVGRSICTPDVESCSVKGKNSRLVKKALVPRPSEKSTYIEKRHKRMANVSDSLQQSFYTHDYGSGMMDEYMENLDEISKSQGDKNMINRVGNMMEVSDVLTINPTQERSNMPLEGCNSVSKKPKRKVDGHLSNELDISLHLLPSQKQQIDDLNVVRKGKRKADAETDTLTEVTSDMVISEKDTEDVEPKPKLQKKPFTLITPTIHTGFLFSIIHLLSAVRKAMITPHIEDTSLTASHLEDGRSKQKTEEHNKMHQVANGTHLSQSHENMDKHSPGYAGQNSLPSLTVQEIVDQVRSNPGDPFILETQEPLQDLIRGVLKVFSSKTAPLGAKGWKPLALYEKSNKSWLWAGPVTSSSSDNDNAEEETSSEAWGIPHKMLVKLVDAFANWLKSGQVTLQQIGSLPPPPPSLLSNLDEKERFKDLRAQKSLNTISPSSDEVRVYFRREEFLRYSVPDRAFSYTAADGKKSIVAPLRRGGGKPTSKARDHFMLKPDRPPHVTILCLVRDSAARLPGSIGTRADVCTLLRDSQYIVENISDAQVNQVVSGALDRLHYERDPCVQFDSERKLWVYLHKDREEEDFEDDGTSSTKKWKRQRKDSIDPSDIGAVNDVDTGVLAIGGSSVGLDHDHDHDLIVETSSSRVGEKVELVCEDMGPNMENVQSLMASTTVSKSHSNWEDLGSNPLREKRLICQENSTDEDFDDETFSQERPMRLQYDRIMKNGLY >Ma05_p07020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5138911:5145936:1 gene:Ma05_g07020 transcript:Ma05_t07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKNDSRVSKMGVDSSPGSRGSASSQEDDEEPRARSGKAVSDASDSSDVDSGMESDEFDPAELGEPETQLCQVGNQSCSILLELFDLPDLGSVLSLDTWNECLSEEERYALAEYLPDMDRETFGFTLKELFLEQNFHFGSPLGNLFNRLKGGLCDPRIVLYCRGLSFLQQHEHYHCLCKYQNSMVRNLVFIKNALRNCPLYSIEERLRLLNIQRAQKPLSYGGNGDVDIETDSESGDSDDWYLNKRFKMGQSFAKPSFDVTHRGTSMAWELVKFGKEYSKGVLKVTTPSVSALENPGALGKHSSALKHGLDSKPRVVMPLLDLLQQDKFEGCDVGAAKRTKHNISDDHGDMDEGCVGSQVDWIAGCRRAVARNTLLRTGKKQEPQKRYDMGMDSDEDPEGYSGSSHSQGKSRDRDQVVTIALYGRESAECTRNAKYSERDWVHPTTGRAQKHILTNPMQKNEHGEPISSGHSVKSDDWNGKVKNCKVGNEYKAGKSGAGYDLKNKAYKPVLGQMGDSFLSKDPGARLLLGKVKNNFTQYEGMTRDYSKGLTMISQSEETESDSSDQVEDDGSLDSMVKKLEHQNGDVGGHHSGVVRSIYDSKKPNKLMKVDRKSYSDLRDVGRSICTPDVESCSVKGKNSRLVKKALVPRPSEKSTYIEKRHKRMANVSDSLQQSFYTHDYGSGMMDEYMENLDEISKSQGDKNMINRVGNMMEVSDVLTINPTQERSNMPLEGCNSVSKKPKRKVDGHLSNELDISLHLLPSQKQQIDDLNVVRKGKRKADAETDTLTEVTSDMVISEKDTEDVEPKPKLQKKPFTLITPTIHTGFLFSIIHLLSAVRKAMITPHIEDTSLTASHLEDGRSKQKTEEHNKMHQVANGTHLSQSHENMDKHSPGYAGQNSLPSLTVQEIVDQVRSNPGDPFILETQEPLQDLIRGVLKVFSSKTAPLGAKGWKPLALYEKSNKSWLWAGPVTSSSSDNDNAEEETSSEAWGIPHKMLVKLVDAFANWLKSGQVTLQQIGSLPPPPPSLLSNLDEKERFKDLRAQKSLNTISPSSDEVRVYFRREEFLRYSVPDRAFSYTAADGKKSIVAPLRRGGGKPTSKARDHFMLKPDRPPHVTILCLVRDSAARLPGSIGTRADVCTLLRDSQYIVENISDAQVNQVVSGALDRLHYERDPCVQFDSERKLWVYLHKDREEEDFEDDGTSSTKKWKRQRKDSIDPSDIGAVNDVDTGVLAIGGSSVGLDHDHDHDLIVETSSSRVGEKVELVCEDMGPNMENVQSLMASTTVSKSHSNWEDLGSNPLREKRLICQENSTDEDFDDETFSQERPMRLQYDRIMKNGLY >Ma05_p07020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5138911:5144893:1 gene:Ma05_g07020 transcript:Ma05_t07020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKNDSRVSKMGVDSSPGSRGSASSQEDDEEPRARSGKAVSDASDSSDVDSGMESDEFDPAELGEPETQLCQVGNQSCSILLELFDLPDLGSVLSLDTWNECLSEEERYALAEYLPDMDRETFGFTLKELFLEQNFHFGSPLGNLFNRLKGGLCDPRIVLYCRGLSFLQQHEHYHCLCKYQNSMVRNLVFIKNALRNCPLYSIEERLRLLNIQRAQKPLSYGGNGDVDIETDSESGDSDDWYLNKRFKMGQSFAKPSFDVTHRGTSMAWELVKFGKEYSKGVLKVTTPSVSALENPGALGKHSSALKHGLDSKPRVVMPLLDLLQQDKFEGCDVGAAKRTKHNISDDHGDMDEGCVGSQVDWIAGCRRAVARNTLLRTGKKQEPQKRYDMGMDSDEDPEGYSGSSHSQGKSRDRDQVVTIALYGRESAECTRNAKYSERDWVHPTTGRAQKHILTNPMQKNEHGEPISSGHSVKSDDWNGKVKNCKVGNEYKAGKSGAGYDLKNKAYKPVLGQMGDSFLSKDPGARLLLGKVKNNFTQYEGMTRDYSKGLTMISQSEETESDSSDQVEDDGSLDSMVKKLEHQNGDVGGHHSGVVRSIYDSKKPNKLMKVDRKSYSDLRDVGRSICTPDVESCSVKGKNSRLVKKALVPRPSEKSTYIEKRHKRMANVSDSLQQSFYTHDYGSGMMDEYMENLDEISKSQGDKNMINRVGNMMEVSDVLTINPTQERSNMPLEGCNSVSKKPKRKVDGHLSNELDISLHLLPSQKQQIDDLNVVRKGKRKADAETDTLTEVTSDMVISEKDTEDVEPKPKLQKKPFTLITPTIHTGFLFSIIHLLSAVRKAMITPHIEDTSLTASHLEDGRSKQKTEEHNKMHQVANGTHLSQSHENMDKHSPGYAGQNSLPSLTVQEIVDQVRSNPGDPFILETQEPLQDLIRGVLKVFSSKTAPLGAKGWKPLALYEKSNKSWLWAGPVTSSSSDNDNAEEETSSEAWGIPHKMLVKLVDAFANWLKSGQVTLQQIGSLPPPPPSLLSNLDEKERFKDLRAQKSLNTISPSSDEVRVYFRREEFLRYSVPDRAFSYTAADGKKSIVAPLRRGGGKPTSKARDHFMLKPDRPPHVTILCLVRDSAARLPGSIGTRADVCTLLRDSQYIVENISDAQVNQVVSGALDRLHYERDPCVQFDSERKLWVYLHKDREEEDFEDDGTSSTKKWKRQRKDSIDPSDIGAVNDVDTGVLAIGGSSVGLDHDHDHDLIVETSSSRVGEKVELVCEDMGPNMENVQSLMASTTVSKSHSNWEDLGSNPLREKRLICQENSTDEDFDDETFSQERPMRLQYDRIMKNGLY >Ma11_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22264299:22264629:-1 gene:Ma11_g16830 transcript:Ma11_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKARSIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVQHLDQKPTSF >Ma03_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23798930:23805656:-1 gene:Ma03_g18220 transcript:Ma03_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVACGRPFYPLRSFHGQPNRLVVRRSRASIVERGSPCRPWRSYSSGSLPPSSSSSRSRWNARPPGTDGDPAVESRVGSGSPSTSFLSLLCPLLKFFGGGDPSQERNEFLEVYPCPKGSLRHRELVRSIGGKEQFPFLVDLNTGVSMYESNDIVKYLFRQYGQGRNPSFGLLESTVFTGWVPTLLRAGRGMTLWKNAGKEPSMKLELYSYENSVYSRIVREALCELELPYILQNVGEGSTKSKLLFETSGSNKVPYLVDPNTGLQMGDYKKILSYLFQTYSASN >Ma03_p18220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23798930:23805656:-1 gene:Ma03_g18220 transcript:Ma03_t18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVACGRPFYPLRSFHGQPNRLVVRRSRASIVERGSPCRPWRSYSSGSLPPSSSSSRSRWNARPPGTDGDPAVESRVGSGSPSTSFLSLLCPLLKFFGGGDPSQERNEFLEVATSSLSSLARSPWGSKSLNNINNLESATSPQPYLQIYEFEACPFCRRVREAMTELDLTVEVYPCPKGSLRHRELVRSIGGKEQFPFLVDLNTGVSMYESNDIVKYLFRQYGQGRNPSFGLLESTVFTGWVPTLLRAGRGMTLWKNAGKEPSMKLELYSYENSVYSRIVREALCELELPYILQNVGEGSTKSKLLFETSGSNKVPYLVDPNTGLQMGDYKKILSYLFQTYSASN >Ma10_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15372798:15378422:1 gene:Ma10_g04730 transcript:Ma10_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVQSKPPLPAHPNLSRSPSNKKENLGETTPIDKRRKMVSPASNGRAPRQVLSSVNAGPNPGDQASDGGSSSGVEFGSREDVEKLLGEKMKGKNKNDYKGKSEQMIEYIKKLRICIRCYMELEDGYLAEQEKLRNMLDFEEKRHGEIETQMKFKIDELDSTLKEIQNQYSLLQKNFQKEESEKLDAIKSYVNERGTRVAVENSRDALSAGLERVTQEKKCVVDQLKMLQETNRRLQDYNASLQQYNSNLQTEANKNGETISRLQKEKSAIMESLTSARDHSNSLKSQLDSSRSSQQEAMKQKEELKKEVSCLRTELQQVRDDRDHLLERVQSLTVDVVNYREISGKSSKDLDEMTTKNVALEETCSSQREQIQLLQHQLGISNEKLKRADLTATETMTEYEEQKKTVKDLQECLVDAELQIVEAEKLRKKLHNTILELKGNIRVFCRVRPLLLDNDSSDTDGAVVSYPTSFESLGRGIDLTHNAQMYSFTFDKVFNHEASQEDVFGEISQLTQSALDGYKVCIFAYGQTGSGKTYTMMGNTECPEDKGLIPRSLEQIFQTSQSQQCQGWKYKMQASMLEIYNETIRDLLSPSRPCSVDTSGGPGKQYSIKHDSNGNTVVSDLTIVDVCSIKEVSFLLQQAAQNRSVGRTQMNEQSSRSHFVFTLKIFGVNERTEQQVEGVLNLIDLAGSERLAKSGSTGERLKETQAINKSLSALSDVIFAIAKKDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPETYFVGESLCSLRFAARVNSCEIGIPRRQTQSRLTYS >Ma04_p37150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35203430:35207155:-1 gene:Ma04_g37150 transcript:Ma04_t37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAENLLKSGEVSAMIRQGFISSPGLSPTSSPPPASLSPASPSPPRPSFPSPKTSTLFEMMSQEGVPHRTYPPPRQPSGDQNRLRLQEKIAAILAREGPGDMELSVSSSDGFRVTVTAHRRVLAARSRFFAEKLAGVGGRPGRPVAVEICECDDAEAYVEAVGLMYSGDPRRSLAGEDVDKVLGLLKVSVDIQFDDGILACLDYLEAIPWSEAEEEKVVSTLGKLQIQQVCEPIRGILQRVSVEPSTSANTDSIYLGILDGVLQAKDKKARRDMKALIARLLREDLDQNNMHYNKLEISRETLYHLCHKCLDCLLQLLSEAANFGEGHGDRASLMGEVAREADNVQWLVDILINKRIADEFVALWADQSELSTWHSKIPCMYRFEISRITAQLCIAIGRGQILVTKDAKISLLRTWLEALYEDFSWMKRACRMFDKKLIEDGLSATILTLPMAEQQEILLRWFDCFLSKGDNCPNIQRAFEVWWRRAFFRQFTGDQDHLQPQIVVSDEQT >Ma08_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12919747:12920017:-1 gene:Ma08_g14560 transcript:Ma08_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFSFTLSPQENDTIATFYETFHGGNISIQVLSLK >Ma10_p28100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35091407:35109882:-1 gene:Ma10_g28100 transcript:Ma10_t28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKRPIDSADGEGSPVTAKKQKHEPEEFEPRSHQLAVYEVALRTNTIAVLETGSGKTMISVMLVKHFGEELKKRGDQRLILFLAPTVHLVVQQYETIKVHTDVDVQYCCGAKAVDAWSIASWQKEVSTYQVMVMTPQILLDVLRKGFLNLDMVHLMVIDECHHALGDHPYNKLMKEFYHKSVLKPHIFGMTASPILRKARNKITHFAWYVLDLLEKLGLVCAIEATKICIDAVSSSNSTDRHDFVMVNVAQCKSFLEEVLQKLAERLPADFELLLKTENDCAAAVQKGYISSKLYELIQIIRSLGMPSQVVCLIFVERNITAKVLERFIKKVCFLSHFTVSYLAGGSSSVDALTPKTQKDTLDSFRSGKANLLFTTDVAEEGTDVPDCSCVIRFDLPKTARSYIQSHGRARQAGSHYVIMLERGNLQQRDLLFDIIKNKHSTVDIALNRDQDSLVSIVSINEDLGAYYVDSTGASVTADSSVSLINTYCQNLPRDKYFTPKPIFRFTLDGGYYECTITLPPNAAIQTIVGPANQNSHVAKKLACLEACKRLHQSGALNDHLLPCVQEHLDDVKAEKTGESAKGAGTTKRKELHGSNFVPKRSNGKNVSLNHVHMPPELLVDIDVPYEVLKSFYLLPSLMYRVESLMLACQLRKEISFCSSNPIPSFLILEAITTLRCCEDFSMERLELLGDSVLKYAVSCSLFLKFPGKHEGKLSSDRIKIIRNATLHSLGTKRGIQGYIRDAAFEPRRWVAPGHISIHRVPCKCGLNDNEVPNIILDTISDKSIVIGKACDRGHRWLCSKTISDCVEALIGAYYVGGGLPAALAFIKWLGIDTEFEPDMVEEAIRTASGWTYLPKIHEIETLESKIGYKFTVKGLLLESITHASQQELGVFFCYQRLEFLGDSVLDLLITWHLFQRHKDIDPGELTDLRSASVNNENFAQVAVRHKLQQHLQHNSGLLLEQITEFVKRLEDSDENKYMLLSNGSSKVPKVLGDMVESIAGAILIDTKLDLDKVWEIFEPLLSPIATPENLELPPLRELTELCSHHGYFLNTTCTNEGDMNVAVLEVQLEDVLLVREGREKNKKAAKGQAAYLLLKDLEEKGFLHSRYASKGTQAEEKIASHKESVEKSGSLMLDIEIPTPAKHGEVVNSKNVSVPSPVALTVKMQKGGPRTALYELCKRCQWPMPSFETLEWKPSGDQMNECTEGGDANRHMFVSGITLHIPNSTIIKRKGDRRPDKKSSQDSAALTMLYELEKLGRCQIEAQQPAERIS >Ma07_p27710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33978826:33980898:1 gene:Ma07_g27710 transcript:Ma07_t27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVMESRPEIGPQMSRSCSDGGFSDCNSDRSGEFSPTGGAFSLRRLLVSSSSSEYSDEVVRGLISELESPSVESQCRAATQLRFLAKHSPENRIRIARAGAVAPLVALLSHPDPQLQEQGVTAVLNLSLCDENKATIAAAGAVGHLVRTLCFGTPAARGNAACALLRLAQLDDLRAAIGGSGAIPALVALLETGGSRGKKDAATALFTLLASKENSSRAVEAGVVRPLLDLMADPESGMVDKAAYVLHRVLALREGRVAAVDEGGLPVLVEMVDVGSQRQKEVAMLSLLQICEESSAYRRMVVREGAIPSFVALSQSSSKKIREKAEALIALLRQPTSPSTKRPMALA >Ma01_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2849504:2861266:1 gene:Ma01_g04320 transcript:Ma01_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MSSSTVSSGNPWVPRLASPPAPRKSYLRAPKKLSSGSWPVGRRIACACSAPPRNWGDGRSAFESHTSPLSKGWEAGTEFKEEPKVLIECRNVHKSFGDKQILKGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLSPDKGEVLICGRQRHGLVSDGEISGLRIGLVFQSAALFDSLSVRENVGFLLYENSKMSADQISQLVTRNLEAVGLKGVEDRMPSELSGGMKKRVALARSIIFDDTKEVIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHSMGQDALGQTGKIASYVVVTHQHSTIRRAVDRLLFLYDGRIVWEGKTDEFNTSMNPTVRQFASGSLDGPIKY >Ma01_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12978286:12979000:1 gene:Ma01_g17700 transcript:Ma01_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKVAALPGRAWRQLRLALLWVRKGGVFKRGIFLGLRVVPGYLKSLKPGGRRSDRLHFGEREFSVEETPAFHFKTPSMRLLRIPCINPDADLDTDDDDLIFAKLDRNAYLPDKHETKAASEIGCEDDDDDDNAVLECEDHAEMEEEDEIDRKAEQFIAKFYQQMKMQRQISLLLYNEMLQRGVN >Ma10_p27590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34805996:34809392:-1 gene:Ma10_g27590 transcript:Ma10_t27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESIAAASSFFFFSSSLLLVHASLLLWLPLLFISGATVAHGNDQEELLLLNLKRQWSSVPALGSWNDSSPHCDWPGIECSDGSVTQISLSNINITKPIPPFLCNLTSLAYLDLSNNYIPGGFPTSLYRCSILEHLNLSQNLFVGELPSDIDNMSSQLAYLDLSGNNFSGDVPPAIGRLSSLGDLRLQFNLFDGSFPAELGNLSMLERLQLAHNPFASQRLPAEFGNMTRLKILWMTQVNLVGDIPEALGKLTELEHLDLAWNHLNGSIPAAIWSLEKLETLYLFSNNLTGEISGKIAALNLEEIDVAINQLKGSIPEEFGNLSHLRLLFMYYNRLSGEIPRGIGLLRNLSDIRLFNNHLVGILPPELGKHSNLKNLEVSNNRISGSLPQGLCTNGALRSLVVFNNNLTGELPASLSDCHRLANIQLYNNNFSGEFPLRLWSAAENLTVVLIHHNRFTGVLPDKLQWNLTRLEINDNRLSGKIPSFAPKLAVLEASNNTFSGEIPAELSGLSSLQVLLLGGNRISGVIPAGISNLKFLTQLDLSDNYLSGGIPAALGSLEVLTMLDLSHNRLSGSIPPEIGNLKLNLLNLSYNQLSGEIPLQLQNQAYEQSFLSNAGLCTSKAIVNLNICGHRSSGADKFSERLIIIFLVLGGVTFLMIVVVGTLMCRRRPDSGDLPPYKLTSFHQLDFTERNIIRGLTEGNLIGSGGSGQVFRINLGLRTGEAVAVKKIWNNRKLDWKMEKAFEAEVKILSSIRHANIVKLLCCISNAESKLLVYEYMENGSLDQWLHGERRTRTGSSGHGEPLDWPKRLGIAIDAARGLCYMHHHCTPPVIHRDVKSSNILLDSDFGAKMADFGLARMLVKVGELESASAIAGTFGYMAPECGYSKINEKVDVYSFGVVLLELTTGRKARDGGENEGLAGWAARRFKEDGRLTEMVDEELSEDVNYMDDIEAVLRLGIECTRRTPVFRPSMKEVVRHLMDCDRRNGCRLNIEVAPLLQMKSWSRNKSLSDASEEHSMAMGAI >Ma02_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23530035:23559971:-1 gene:Ma02_g16060 transcript:Ma02_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGVEEAILEEKLQHQSGDLGYVQNPSSFIEKEVIDSKEHQNPISVVFYYSQTIGPSQTYGAEAATGEGITIDEKAKNENLHSIAIGILDKSEGEVFVEAPSRDQIPFSNDADVDLHGNNSVEGKKEDLESAILVKKERENEVQERKEESATENNLSLSEKMHEIEKMESPEAEQVQNHGTLEDQIENREQESAVAMTSPEKIDTREITENEVARKEGKIEDSLDKKLEESCKVPESENVRQDVPRPVSVNELHSSTADDKTVQDQEITHTSNEKETVIQKEDENDARNFNASPYSHLRNQIESITEERKAEELQETSEVVQNKDQLQERGISQEEMEPHRVNSDMQLSQAQNEEVDEQDEAKITQTNQNESHDMEVSLGLLGQKFIHEMKEKIRDMEMIASGGETNEAEAIMTDEADARMNVDEEQKRMEEHNEFNEMKQEKQTFEDNMQIDTCHGTLTKHSPDNVLEDDKCQEKFEISNNEIPEKIIMSKIGVSIEEAAGEPPEKTQVPQEEGEEVVTAEETIAKIITEDPSSIPHCEDEKVPEMVNAYYNTETSQEDNLKKDAKDTPTNDTPNLHKVYDPAVRKLEETPSCVAEELIQQTTTTKDNVDIQLYKESTEPENLAVISNSMNKDQNGKSEELRLDNSRKDDKPQDEGGIEKTAGDIPVTVCKKQEDSHSVAEEQNNQTKDFDDNKKDDVNREKMESENLEIAPDLVHEEKMQQSVNELEAEGTHNFTNDVNHNDMASQIHVVFHDNETAKAKNDSILDNKMDENFNKYELVMDDLIEKNEQPLNSDRKTDTLQVSREILEETLHIINEKHEDDKHEVRRNMDLIDQDPISETVEEICRMTSGEQIHEAIADKNIMNFANSQGIVYMNKEVGSSSFTQNPLEENTLGAAILEESDKNIYDETSVEVALEDTHETKEANKRIEGFVSTDELAYKVRGVTFGEEQKEGEELNKIDELKGGEETIKEISSAISPPNTMDEYLDHVHQNDKSEKEHENTEAEVLETTILAEINSSTRELVEEKDLPNQQEESFEEKAKIWTPQEEALVGSNIAKASDSRENEDAKISDIIHVNINKEPTRDNDKDMNNSISDVPDTDDLVGQYIQAVNNNQEIVLDFKCKEKIEEASHTKESTVADNNIEEACQKLESISELVNQSKTPEGTSEVMMSQNSKNDANLSADIIDASEITENKEFAKMEQPQVSQGNVDILATTEASIIQDQMISKYDEGICSMQHKEDSLQSEDGIQNTNMDKGEDEKDTIEVSHEEENLGGDCHIAGSVNTRDNIPRAVSVDESHPTPTAEDKTFQDQEITDTEGKKKNVIWTEDESEDSNFDAFYAQLPRQEDSGVEEENHENLELTFELEKNKDQDQETLDVLDEMGLHSVESDMQIFNTQKEEVNDQDEADDNHMQMNQTECHDVEVSSEVIVHEVVLEDKTDEAEARMNLDEENKKYNQDNELIQGKEASEDNMQVNACDGAPINQTLNDTLEIDKCKENIEISSIEITEKTIEYEIYASIEEDAAAAEKEHSVKSKLPQEESEAVLTTEKAVARISIEDPSSIPDNEDEKVSDVIHINNDKEPTRDTDKDKNNSISDEPDTDDLVGQHIQAVNNNQEIVLDFECKEQIEEASHTKESTVADNNIEDACQKLESISELVHQSKTPEGTSEVMMSQNSKNDANLSADIIDASEITENKDFAKMEQPQVSQGNVDILTNTEASIIQDQMISKYDEGICSMQQKEDSLPSEDGIQNTNMDKGEDEKDTIEVSHEEENLGGDCHIAGSVNTRDNRPRAVSVDESHPTPTAEDKTFQDQEITDTEDKKKNVIWTEDESEDSNFDAFYAQLPRQEDSGVEEENHENLEVTFELEKNKDQGQETLDVLDEMGLHSVESDMQIFNTQNEEVYDQDEADDNHMQMNQTECHDVEVSSEVIVHEVVLEDKTDEAEARTNLDEENQKYNQDNELIQGKEASEDNIQVNACDGAPINQTLNDTVEIDKCKENIEISSIEITEKTIEYEIYASIEEDAVAAEKEHSVKSKLPQEESEAVLTTEKAVARISIEDPSSIPDNEDEKNPEILNAYDTETAKEVHLKKSLEDNPTNNVPILEKVYDPVVREPEGTPCCVAEELAYQSTTTKDNMDIQMYQDTTMAEKIAIISNSMSENQNGKSEETSFDNSSKDDKPQDEEGLEKNIGEVPETWITNDEILNNMASEIHVVNNDQETATAIQEDSISYVANEQINQTTDTDDNKKDEAYKERVEYEKIELTTDMAHENQMQQLETDDNLNNMEASEIHDANNDQETATAKNDNILEDKMNENYSKHELIMDCFIEKNEQALISGNNADTSPVPRKMIEETLQITNEMHEDNKDELCKVMEPVEQDPISENGEEICRTFLATILAEVNAFAGELVEGKDLSEEQGEKLQKKTEIPQEEAPAGTSIAEASSDTKNEDAKISDAVHVNDHKEPTRENDEDMNNSISDVPDTDQLVGQYIQGANNNQEIVLDSECKDSTVEESCQKLESISEVVHQSITHKGTSELIMSENSENHANLSPEIVDASEIIENKESRIEQPQVSQGNIDILADTEAPIIQKDQVMTKYEEGTCSMPHEENSLPTEGDIQDSNLDKGEDEKDTIEVLHEKENLEGDCQVAESVNTRDNIPRAVSVDESHPVPTAEDKTFQDQEITHTENKKENAIQKVEKSDVSNSDASYYLQLPKQEDSTTEERNSEKLQEIFELVPKTDQFQEKVALNEMELHTVKSDVQIFHTQNEEVNDQDKADDKYTQKNQNQNEETENNRSKIMIALEDETDEAGARMNLDEEKKKHDEDNELKQEKQASEENMQMKTCDETPTDQTLDDVQEKEVCKANVEVSSIEIPDKILKYEIGTSILEVAAAAEKEHSEKSELRQVDEAVLTSEREVTRTNTEDPSSIPDFEDEKVPEMASIYHDNETTKVMDGGNLEQNVEAAPTSDIPVLQSIHDQVAKETEEKLNCVAEELIHQTTTTQDNIDIDTYKGSAEAEKLFISNSVKEECNYKSEEIDLDNLRKDDTTQVYEIHDRENDQETAKAKDDNILENNMEDDSNKYEMVMDSFIQKNEQAANLDKNIGTSLMPKKFIEETVQIRNEMHEDGNDSVSENMDLIEQHLVSRSVEELYKAFSGEQNHEAIADTEITDVVNSLDNVNMDRIVGSLCSTQTPLEKTTGKASSPQESLDADLEDKHEKVEANKGMECVVSNDEQTNKLMVEGTSIEEQKEGEEINKKNELNQQEDSLMMVEGTSIEEQKEGEELNKKNELNQQEETIKEDMDSEIFLENTTKQFPQNVIKNDTAEVLDRTISAEVNASTRELTEQKDPTKEQEKSLQEKAGVCTPQEEAQVGIGVAHLSDNPDKKDEKASFNLTSVQQNLEAITETDPAKVVIAQDDDCRMTQKMEFQATESELTTTSQHVVRKTTKDQEAVKNIDEKVELQHANFKHTESSAHGKLETTTETGLVEVEPSCDENISDTREDSLCTMEASDMNTIQINIAEVTSEAHQNVSREDNETKETALLENENRKEQEVDKCLPSNKTKNEEEERNYLNPPEVKVSGKIYTNECIEDRTQEKKIKESGSQVESMKDVETENVLNIFYAMDEINKENKSVMDAENNEDQEDETRLESEEDEDKEEDNIQPDMKVYEAATTSEYNEENIKEKTTVKSVSESQKLEDVEAKNPIYMVPDELEIADKDTCTDEQRQGEQLNRADLEEKFEKNKDRARKAVEEVGPFSSNEQIHACDATDNLSPQEQITKIVDSDMTIEDNHRKMVDDPCITAEFHEEMKNVAKFLPQMETLDFKSLKETETSENFVSQDVRGSGQNEISGLNFQDYPRKVMKLQQQSDEEVDGLKIEYPIVENENIIPDDNNVPEPEVSGVKDAASEHVKENNDESNDMEPKDMLEAKRSVTIPQQSQKKMINKSDNISSDQGPIIMDPSEKRGTTTLIDEEERNGSFVIIETDGEVIKYGDKDLDEVSEFLFRESTEGNIETQAMHVEAANDVGINETGEKCHDETSISLLPSEAIVTRDQDDRVNLEETLKSESDVSIAEKDDHSVEFRENKELLGNLSTTQATETSILEDEKDRLEEAEGPSCEVAGLNRSKSEEYELIDHDDLPVSHLMEGILQGKRAKLGGVEAEAKVEENISVQSHDTSIPKQDSILVSRSTEHVREDTSTKEDKVGKKFEDSSGLMLECSHDNVIGNNTKELIITEDDRPERNTNDDSPASKVGGNVYEDFSKADSLHHDEKIQTSIIGKTSGIPMHENKENKMDIFSKEGGIEDMRIFKGVEKIDQDSNALLHEQLAFTSEPDEDAKILDNGEQSQLNLQTDEIGKYDSLIIDNTIEGTKIQSKGVKIESSREDQDAINELRIQNVKSDLNEQYMSIDSHEVQKLDTFVKSTDKVVQEEKFKEEISPKVLMDERQTNKTTDTQLDDDKTDEENDEKEDEDDHKIMESSHDSAVPVEARDAVLKPAHKKSHNILSGVTVAVQHRCQWQSQQPRCVRPLERICWRQRMHTAAATATARGEPYAAIDRGSCAGLDAHRGSRTGGSAHTRRPHRGGRARVEAASRQQPRTGGGSARAAAASKRQPRAGRGSAQAAVAQAVTGRRQWPPWRRPPARRGGSSRQADAPYTFF >Ma09_p29010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39617312:39618287:-1 gene:Ma09_g29010 transcript:Ma09_t29010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKGPWSEQEDLQLVRIVGLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPDLKRGRITPQEERLILELHSRLGNRWSRIARKLPGRTDNEIKNYWRTHTRKKAQERKRKPCPSSSLTYISAAQIELPPEVVAESSSTSALGEISDDAVEDYSMDQIWNEIAMPDMISGLSFGECKDEVYDGMCSAIPSPLWEYCSYPLWKMDDEDFRAYH >Ma09_p29010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39617312:39618287:-1 gene:Ma09_g29010 transcript:Ma09_t29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKGPWSEQEDLQLVRIVGLFGDRRWDFIAKVSGLRGEDRLRWVNYLHPDLKRGRITPQEERLILELHSRLGNRWSRIARKLPGRTDNEIKNYWRTHTRKKAQERKRKPCPSSSLTYISAAQIELPPEVVAESSSTSALGEISDDAVEDYSMDQIWNEIAMPDMISGLSFGECKDEVYDGMCSAIPSPLWEYCSYPLWKMDDEDFRAYH >Ma11_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9091467:9094260:1 gene:Ma11_g09820 transcript:Ma11_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYRAPPPCLACPAPAARGTVASVPPFRLRNSFPHGLRGPGIRTPSSFSSVSVARRRDAPETITASAWDVTVLQNGLPVLVEFWASWCGPCKMVDRLLDEIARDYAGRIKIYKLDADDYPQIADFEGIDRVPTVLLFKNGEKLKSLTGTMPKSLYVEAIEQLLSH >Ma02_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20713585:20714271:-1 gene:Ma02_g11630 transcript:Ma02_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYRKSTAAEVVLWWGENEEVAVGADETGWKCWKHPSQPRYGVCPACLRDRLLRLCPDCANVRPCRCFPSSNSSSSSSFSSLSSTELARSSGQGGDGAGIGTVGPVSRLIESEPAFRRSRSVGFQFLSSRSAASSVSDVAPLPRPGGGKRSALLRALWRVPAREEPADGKLCRSRSVAAGRAQDAGGGEDGERGKGRRWHFPSPIKAFRHRKSTTKVVQERSPLWRG >Ma08_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9028253:9028690:-1 gene:Ma08_g12040 transcript:Ma08_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFCYFSYPTLYVLLLDLLGLVRYAIFVVSKHLGFLFRRSDAESPTGGITRPVPHTETRPLLSPTSLKARLPVVKFESLVERWAAQEERREHVCVICMRSFEGSHEVRELSNCAHAFHTACLDSWMDEGRWTCPLCRSYLSSS >Ma10_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22321464:22324299:1 gene:Ma10_g08000 transcript:Ma10_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYTTLLDVNRAVLSIAFSHTSAMLREQWGDESGADGGEEMERVTEDLIAGLPGEDQEIILPYWLEDFAASDSDWPNLSRFLNVNTKVKISVSMSKLSTYGVVDAIYTFSKYKTKRNTIAL >Ma03_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1382835:1384314:1 gene:Ma03_g02030 transcript:Ma03_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKQGKTNFAVTCNLLSQYIKEKGRIADLGLGMAPRPQDATKGKSEAFRPPTTMSLLPGADVSSGEGEQEEGVFMEPFPERAGFGPSLAAVPENAREQERAPLTIFYGGKVLVFDNFPLEKAKDLFQLASRGNSTAQNFGNLPRTAQPNLSYLPIARKVSLQRFLEKRKDRIHSRAPYQVSSSPGMVTPVKQENRSWLALGPQEAKL >Ma00_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46114126:46114467:1 gene:Ma00_g05360 transcript:Ma00_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAAIAPAEVLYHSRRDDVHHRVYVHRSEEAMLVTNNQEDRSFIQEQSFDQQELDKITVGTRGGLSLNKMGLSERRLGAIQKEASRQAVSALQQFINIHSIKTKEYKKRSG >Ma10_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15446641:15449768:1 gene:Ma10_g04780 transcript:Ma10_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSAANRLPGILFSRALAPPLDSDLQPSLKPQLRRRVRSPALPRAAAGARPRRCGAASGGRRSGPATPLLRWKFSEKPPSEPGRKVQDVGPGVPPPPTRISARKLAAGIWHLRPLGADGGGRGGGGERRRVPLGPELVPGYQEVQLFYNPLSTDLHVNKNRKNGHASPVSVLSPKYGDFHKSSAMEKATKWDPGSSMTTEEVYRFYRHLKLLEDQELNTVSTVSSLRTELERARARISELERERKLAKKKLDQFLNRLAEEKESWRSREHEKFRAIIEAMKADLGKERKKRQRTEIIHGKLVSELAEAKLTAKQLLQDYEKERKARELVEEVCDELAKEIGEDKAEIESLKMEVMKIQEEAEDEKKMLQMAEVWREERVQMKLIDAKLTLEEKYSELRDLKAELEAFLAARMTKDSDFASMKEAELLKGKADLVNIEVIQEFSYQPPLASEDIYAVIEELQPRQETNARDIKPCCVYSPRSHASKVNTASPGTDVFLEHPTKQHAHEMIDSNDDEDESDWETVSQAEEQGSSNSHDGSEPSVNDYCKQSYASVSETELKENGNNKLNNEIIEVSTTNAKSRKKVSSICRLWRSSAHDNVEDLKKRSLSNGRISTGTPLASNNCEEYKKLSAEYTNGRPDGRISNGNLSPDMGLVEAGLSPRSIGHLNSPDLLNSHIPRGTKGCIEWPRSDQKHGLKAKLLEARMGSQKIQLRHVLKQKT >Ma10_p04780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15446641:15449768:1 gene:Ma10_g04780 transcript:Ma10_t04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSAANRLPGILFSRALAPPLDSDLQPSLKPQLRRRVRSPALPRAAAGARPRRCGAASGGRRSGPATPLLRWKFSEKPPSEPGRKVQDVGPGVPPPPTRISARKLAAGIWHLRPLGADGGGRGGGGERRRVPLGPESSAMEKATKWDPGSSMTTEEVYRFYRHLKLLEDQELNTVSTVSSLRTELERARARISELERERKLAKKKLDQFLNRLAEEKESWRSREHEKFRAIIEAMKADLGKERKKRQRTEIIHGKLVSELAEAKLTAKQLLQDYEKERKARELVEEVCDELAKEIGEDKAEIESLKMEVMKIQEEAEDEKKMLQMAEVWREERVQMKLIDAKLTLEEKYSELRDLKAELEAFLAARMTKDSDFASMKEAELLKGKADLVNIEVIQEFSYQPPLASEDIYAVIEELQPRQETNARDIKPCCVYSPRSHASKVNTASPGTDVFLEHPTKQHAHEMIDSNDDEDESDWETVSQAEEQGSSNSHDGSEPSVNDYCKQSYASVSETELKENGNNKLNNEIIEVSTTNAKSRKKVSSICRLWRSSAHDNVEDLKKRSLSNGRISTGTPLASNNCEEYKKLSAEYTNGRPDGRISNGNLSPDMGLVEAGLSPRSIGHLNSPDLLNSHIPRGTKGCIEWPRSDQKHGLKAKLLEARMGSQKIQLRHVLKQKT >Ma03_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27561652:27563684:-1 gene:Ma03_g22820 transcript:Ma03_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGDDDDSSSPSSMSPRNRLKFLCSYGGKILPRPYDGLLKYVGGDTRVLGVPRSISFSELKERIQGMFRRCELIKYQLVSEDLDTLVTVTCDQELVHMLEEYDRLDALHPCSPSFASSPRFRLFLFASPSATSASGCHRPAATLDQRYVDAINTAAPTSPRRPMFTVSSASSAFTSPTSTIDGPAALLALRPNAPLGGSMQRVQSTPNLSGGGMHRVSSTPNLGQNSGTGPQHHHNHHHHHPHHLHRQYTSAAAAYGAQAAGMGGVWRNSGARRHEAGGFGSISWVPPAAPRGGVPYGGGSVCFGHADEAPSGRQSRSGSPFRAPPREPIIWE >Ma04_p30590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31210366:31212126:1 gene:Ma04_g30590 transcript:Ma04_t30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGVSALILIAVVVAATVSAASRRSDDRGPDDTGNTFSSSIKAACGATLYQEQCVRSLGPLANGSTLDPVKIFQLAVQITLDELSKVSAALDSVALNESLDSYTAAALTECKDLMGFAIDHLNDTLSVTNFTAKDTVDDLKTWLSAAITDQYTCIEDMEGALPAVKTVVVESLANSTKFASNALAIFNEFTNFFRRFKLRRLMSFSNDDRDAFPAWLSSKDRKLLWSTDVRKDADIVVAKDSSGRYKTIKAALAAVPKKSRKRTVIYVKKGVYKENVKVDKTLWNVMMVGDGKAATIITGSRNVVDGTPTFQTATLAVFGKGFIARDMGIRNTAGAVKHQAVAMMSKSDQSVFYLCSFDAFQDTLYSHSLRQFFQECDITGTVDFIFGNAAVVYQRCNILPRAPMAGQPDTITAQGRVDPNQNTGISIHSCSIKPNGNVAGVRIYLGRPWKPYAVAVYMKSAMAGIIDPKGWLPWSGNSAPDTIFYSEYRNTEPGSSTKNRVKWKGVKTMTAAQANEFTVTPFVGGNLWLPKTGVPFTPGM >Ma06_p37630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36624042:36624803:-1 gene:Ma06_g37630 transcript:Ma06_t37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >Ma06_p32040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33014369:33029216:1 gene:Ma06_g32040 transcript:Ma06_t32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGGLNTAAAGNVNPNKSLEVLPSPSDSVSSLSFSPKGNYLVATSWDNQVRCWEIMAGSSQPKASISHDHPVLCSTWKDDGTTVFSGGCDKTVKMWPLMSGGQPTTVAAHDAPVKEIAWIQQMNLLVTGSWDKTLRYWDTRQAQPVHTQQLPERCYALTVQYPLMVVGTADRQLQIFNLQNPQTVFKTITSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHREGNEIYSVNSMNFHPVHHTFVTAGSDGAFNFWDKDSKQRLKAMARCPHPIPCSTFNHDGSIFAYAVCYDWSKGAENHNPATAKTYIYLHSPQESEVKAKPRLGTGSRK >Ma11_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12889428:12890222:-1 gene:Ma11_g11400 transcript:Ma11_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAPPSTPPQPAADAPPDPATAADPPADPNPHPESYPQLGTYVVQVPKDQVYRVPPPENAYLAERYRNKDKTRRKSPCVGLLKWILGAALLIFFLLIVIVVVFFVTVKPATPTFAVERLSVKSSGTSHRKPEYDLTMRVHNPSQKMGYAYEAGGTAVMAHSNVDIAAGKTPGLHQGYQNTTTFRLVLHGSNTDGLPKTIDRSLNGSKDVVPLEVTARFVVTPRAMGLDLWSTSLDMACAVRASGLGKEARIVSQECKSNLKL >Ma02_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24389648:24395174:1 gene:Ma02_g17530 transcript:Ma02_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMPPRSLSLSQSDDISRKPRQVPPVVAVDKDKNSQQAMKWAVDHLLINTNSIILVHVRTQPDLLNTNQEMSKEQVEAELTQLFVPYRGFCARKGLQLTEVILEDFDVSKAIIDYITSNNIQNVVVGASSRNALRKFMNPDVPTCLTKGAPEFCGVYVIYKGKPLTIRTAKVPAPVNTFPPKQQMALDSPYRQAEHFDHSIRSATENAVITKYRNSERPPDYMRGNKPLPTPRMTSNDAYIDILDSSVRSSRTNYHDSYTGNIDFPASTSFRSDLSDAFELGYTESPRGFLPPAISSMREAEAEMRRLRLELKQTAEMYNAACKEAIMAKEKARELQKLKIEEARRIEELRQSEEAALVLVELEKAKCKAAMEAAEAAQKIAELEARRRYNAELKARREAKERKKATESVLRSEIHYRKYSIDEIEVATNFFSSALKVGEGGYGPVFRATLDHTQVAIKVLRPDASQGRKQFQQEVEILSCIRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLLRRGNTPPIPWATRFKIAAEIATALLFLHQTKPEPLVHRDLKPANILLDHNYVSKISDVGLARLVPASVADSVTQYRITSTAGTLCYIDPEYQQTGMLGVKSDIYSLGIMLLQIITAKPPMSLTHHVERSIEKGNFADMLDPAIEDWPVEETLAFAKLALKCAELRRKDRPDLGKVVLPELSRLRSIGQEYKASRSNNSRHLGAAPKTFDSISKGAMSLIHQEQISDSNVSESWTYSTDG >Ma04_p05800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4325335:4335365:1 gene:Ma04_g05800 transcript:Ma04_t05800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPQAWQFRNGNVQIIPVQFRRSRSRRPHWIIILICLVCVSLIGAYVYPPRRYSACYFFSSSICSPLKDLLPPTARVLTDDEFASNVLIKNILSMPSVELKNSKIAFMFLTPGSLPFERLWEKFFLGHEGRFSIYVHASREKPVHVSPLFDGRDIQSSKVVWGKISMVDAEKRLLANAIQDPENQHFVLLSDSCVPLHNFDYVYNYLMGTNVSFIDSFRDPGPHGNARYTEHMLPEIEEDDFRKGSQWFSMKRRHALIVLADNLYYTKFKLYCKPGFDGRNCYADEHYLPTLFNMVDPIGMANWSVTHVDWSEGKWHPKAYRAQDVTYELLKNITSIDENYHITSDEKKVATQKPCLWNGMKRPCYLFARKFYPEALDNLIHLFSNYTVI >Ma04_p05800.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4325332:4335365:1 gene:Ma04_g05800 transcript:Ma04_t05800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPQAWQFRNGNVQIIPVQFRRSRSRRPHWIIILICLVCVSLIGAYVYPPRRYSACYFFSSSICSPLKDLLPPTARVLTDDEFASNVLIKNILSMPSVELKNSKIAFMFLTPGSLPFERLWEKFFLGHEGRFSIYVHASREKPVHVSPLFDGRDIQSSKVVWGKISMVDAEKRLLANAIQDPENQHFVLLSDSCVPLHNFDYVYNYLMGTNVSFIDSFRDPGPHGNARYTEHMLPEIEEDDFRKGSQWFSMKRRHALIVLADNLYYTKFKLYCKPGFDGRNCYADEHYLPTLFNMVDPIGMANWSVTHVDWSEGKWHPKAYRAQDVTYELLKNITSIDENYHITSDEKKVATQKPCLWNGMKRPCYLFARKFYPEALDNLIHLFSNYTVI >Ma04_p05800.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4325374:4335365:1 gene:Ma04_g05800 transcript:Ma04_t05800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPQAWQFRNGNVQIIPVQFRRSRSRRPHWIIILICLVCVSLIGAYVYPPRRYSACYFFSSSICSPLKDLLPPTARVLTDDEFASNVLIKNILSMPSVELKNSKIAFMFLTPGSLPFERLWEKFFLGHEGRFSIYVHASREKPVHVSPLFDGRDIQSSKVVWGKISMVDAEKRLLANAIQDPENQHFVLLSDSCVPLHNFDYVYNYLMGTNVSFIDSFRDPGPHGNARYTEHMLPEIEEDDFRKGSQWFSMKRRHALIVLADNLYYTKFKLYCKPGFDGRNCYADEHYLPTLFNMVDPIGMANWSVTHVDWSEGKWHPKAYRAQDVTYELLKNITSIDENYHITSDEKKVATQKPCLWNGMKRPCYLFARKFYPEALDNLIHLFSNYTVI >Ma04_p05800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4325321:4335365:1 gene:Ma04_g05800 transcript:Ma04_t05800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPQAWQFRNGNVQIIPVQFRRSRSRRPHWIIILICLVCVSLIGAYVYPPRRYSACYFFSSSICSPLKDLLPPTARVLTDDEFASNVLIKNILSMPSVELKNSKIAFMFLTPGSLPFERLWEKFFLGHEGRFSIYVHASREKPVHVSPLFDGRDIQSSKVVWGKISMVDAEKRLLANAIQDPENQHFVLLSDSCVPLHNFDYVYNYLMGTNVSFIDSFRDPGPHGNARYTEHMLPEIEEDDFRKGSQWFSMKRRHALIVLADNLYYTKFKLYCKPGFDGRNCYADEHYLPTLFNMVDPIGMANWSVTHVDWSEGKWHPKAYRAQDVTYELLKNITSIDENYHITSDEKKVATQKPCLWNGMKRPCYLFARKFYPEALDNLIHLFSNYTVI >Ma04_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4325400:4335365:1 gene:Ma04_g05800 transcript:Ma04_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPQAWQFRNGNVQIIPVQFRRSRSRRPHWIIILICLVCVSLIGAYVYPPRRYSACYFFSSSICSPLKDLLPPTARVLTDDEFASNVLIKNILSMPSVELKNSKIAFMFLTPGSLPFERLWEKFFLGHEGRFSIYVHASREKPVHVSPLFDGRDIQSSKVVWGKISMVDAEKRLLANAIQDPENQHFVLLSDSCVPLHNFDYVYNYLMGTNVSFIDSFRDPGPHGNARYTEHMLPEIEEDDFRKGSQWFSMKRRHALIVLADNLYYTKFKLYCKPGFDGRNCYADEHYLPTLFNMVDPIGMANWSVTHVDWSEGKWHPKAYRAQDVTYELLKNITSIDENYHITSDEKKVATQKPCLWNGMKRPCYLFARKFYPEALDNLIHLFSNYTVI >Ma04_p05800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4325400:4335365:1 gene:Ma04_g05800 transcript:Ma04_t05800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPQAWQFRNGNVQIIPVQFRRSRSRRPHWIIILICLVCVSLIGAYVYPPRRYSACYFFSSSICSPLKDLLPPTARVLTDDEFASNVLIKNILSMPSVELKNSKIAFMFLTPGSLPFERLWEKFFLGHEGRFSIYVHASREKPVHVSPLFDGRDIQSSKVVWGKISMVDAEKRLLANAIQDPENQHFVLLSDSCVPLHNFDYVYNYLMGTNVSFIDSFRDPGPHGNARYTEHMLPEIEEDDFRKGSQWFSMKRRHALIVLADNLYYTKFKLYCKPGFDGRNCYADEHYLPTLFNMVDPIGMANWSVTHVDWSEGKWHPKAYRAQDVTYELLKNITSIDENYHITSDEKKVATQKPCLWNGMKRPCYLFARKFYPEALDNLIHLFSNYTVI >Ma04_p26130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27696578:27701278:1 gene:Ma04_g26130 transcript:Ma04_t26130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRLVLALLCLTVLSPIVLYTDRLSASSNPSSNNEVGDEASSLSFGTSDAGELRALAQELTNSVKEPIGVVYSDNSNNSNQILTTPEDGSKDSTAKELPLGKSEEHKSRVLSAAVDERSLPEEGVIKEVTDGVTGNNSLEKPESVEENKEETGSQHTIPITVPEKEENKSEEKVKQVPPRNNTEKYHIVPSEKKSSSTTIPDARVRQLKDQLIRAKVYLSIGPIRSNPHFVRELRLRIKDVQRALGDATKDSDLPRNAYERLKAMELTLAKGKQIQDDCAAVVKKLRAMVHSSEEQLRVHKKQSLFLAQLAAKTIPKGLHCLPLRLSAEYFSMNSSQRQFPYEEKLEDPDLFHYALFSDNVLATAVVVNSTVSNAKNPADHVFHIVTDRLNYAAMRMWFLANPPGKAAIQVQNIEEFTWLNDSYSPVLKQLRSQSMIDYYFRTHHATANSDANLKYRNPKYLSILNHLRFYLPEVFPKLHKVVFLDDDVVVRRDLTGLWEINMKGKVNGAIETCGQSFHRFDKYLNFSNPLIAKRFNPRACGWAYGMNVFDLDEWRKQKVTEVYHYWQNLNIDRQLWKLGSLPPGLITFYNRTLSIDRRWHVLGLGYNPHVDHKDIEQAAVIHYNGNMKPWLDIGIPQYRGYWSKNVDYNHIFLRECNINP >Ma04_p26130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27696578:27701279:1 gene:Ma04_g26130 transcript:Ma04_t26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRLVLALLCLTVLSPIVLYTDRLSASSNPSSNNEVGDEASSLSFGTSDAGELRALAQVALEFSPKFSASVRLLDLRVPELTNSVKEPIGVVYSDNSNNSNQILTTPEDGSKDSTAKELPLGKSEEHKSRVLSAAVDERSLPEEGVIKEVTDGVTGNNSLEKPESVEENKEETGSQHTIPITVPEKEENKSEEKVKQVPPRNNTEKYHIVPSEKKSSSTTIPDARVRQLKDQLIRAKVYLSIGPIRSNPHFVRELRLRIKDVQRALGDATKDSDLPRNAYERLKAMELTLAKGKQIQDDCAAVVKKLRAMVHSSEEQLRVHKKQSLFLAQLAAKTIPKGLHCLPLRLSAEYFSMNSSQRQFPYEEKLEDPDLFHYALFSDNVLATAVVVNSTVSNAKNPADHVFHIVTDRLNYAAMRMWFLANPPGKAAIQVQNIEEFTWLNDSYSPVLKQLRSQSMIDYYFRTHHATANSDANLKYRNPKYLSILNHLRFYLPEVFPKLHKVVFLDDDVVVRRDLTGLWEINMKGKVNGAIETCGQSFHRFDKYLNFSNPLIAKRFNPRACGWAYGMNVFDLDEWRKQKVTEVYHYWQNLNIDRQLWKLGSLPPGLITFYNRTLSIDRRWHVLGLGYNPHVDHKDIEQAAVIHYNGNMKPWLDIGIPQYRGYWSKNVDYNHIFLRECNINP >Ma04_p26130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27696580:27701279:1 gene:Ma04_g26130 transcript:Ma04_t26130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRLVLALLCLTVLSPIVLYTDRLSASSNPSSNNEVGDEASSLSFGTSDAGELRALAQVALEFSPKFSASELTNSVKEPIGVVYSDNSNNSNQILTTPEDGSKDSTAKELPLGKSEEHKSRVLSAAVDERSLPEEGVIKEVTDGVTGNNSLEKPESVEENKEETGSQHTIPITVPEKEENKSEEKVKQVPPRNNTEKYHIVPSEKKSSSTTIPDARVRQLKDQLIRAKVYLSIGPIRSNPHFVRELRLRIKDVQRALGDATKDSDLPRNAYERLKAMELTLAKGKQIQDDCAAVVKKLRAMVHSSEEQLRVHKKQSLFLAQLAAKTIPKGLHCLPLRLSAEYFSMNSSQRQFPYEEKLEDPDLFHYALFSDNVLATAVVVNSTVSNAKNPADHVFHIVTDRLNYAAMRMWFLANPPGKAAIQVQNIEEFTWLNDSYSPVLKQLRSQSMIDYYFRTHHATANSDANLKYRNPKYLSILNHLRFYLPEVFPKLHKVVFLDDDVVVRRDLTGLWEINMKGKVNGAIETCGQSFHRFDKYLNFSNPLIAKRFNPRACGWAYGMNVFDLDEWRKQKVTEVYHYWQNLNIDRQLWKLGSLPPGLITFYNRTLSIDRRWHVLGLGYNPHVDHKDIEQAAVIHYNGNMKPWLDIGIPQYRGYWSKNVDYNHIFLRECNINP >Ma06_p11880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8278958:8294638:-1 gene:Ma06_g11880 transcript:Ma06_t11880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRFSLTPQLDIEQILLEAQHRWLRPAEICEILRNYQKFRIAPEPPNKPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEDFMHIVLVHYLEVKGHKPSYSRTRDVEEVPRVNHMDSPVCSNSITSQSQLPSQGTDVDSPNSAHTSEYEDAESADNHPTSSRYYPFLRMQQYDAGQMMSVQLLDPYVSDPSVDSNRDFQGTHDAEPKSDFYSVTQEDISRVFDETGLGLSFRGSKTQYDLTSWDEVLEHCATSFQMPSFQSSVGFTEPPVVENNNKLESSILGDLYDGNHSTRPDGSGVLDKPAWQLSNPDSESAVTSNINVESGTSVSESVDCPSIVKQPSLDLSIIEGEGLKKYDSFTRWMSKELGEVDDSHMKSNSGVYWSAVGSDNVVEDSSISNHEHLDAYIMSPSLSKDQLFSIIDFSPNWAYTGLETKVLITGTFLKKKEDLGKCRWSCMFGEVEVPAEVVGDGILRCHAPPHKSGRVPFYVTCSNRLACSEVREFEFRGSNAHPVENIGSCIYNTNEMLLHIRLDKLLSLGPIDYQKIDPEIFRRKAHVRSKISSIMMDAADECSSLLKLAEREGCTADYVKDQLLETLLREKLVTWLLHKVAEDGKGPSMWDTEGQGVIHLSAALDYYWAIKPIVTAGVNINFRDVHGWTALHWAAFCGREWTVGTLIAMGAAPGLLTDPSPEFPSGRTPADLASANGHKGIAGFLAESSLTSHLLALTIDTKESDLPEIASLTGIEDDAERSALEVAEGDMQAGLSLKDTLSAVRNASQAAARIYQVFRVQSFHRKKIVEYGDDKSGISDEHALSLISIKSHKSGHYDTPLHAAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKRYRKIVWSVGIVEKAILRWRRKGSGLRGFRSEGLLEGTTMQCQPKKEDDYDFLQEGRRQTEARMQKALARVKSMVQYPEARDQYRRLLAVVTDFQESKAMEESVDNESEENADGDFMVELEEFLEGDTLMPSA >Ma06_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8278958:8294638:-1 gene:Ma06_g11880 transcript:Ma06_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRFSLTPQLDIEQILLEAQHRWLRPAEICEILRNYQKFRIAPEPPNKPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEDFMHIVLVHYLEVKGHKPSYSRTRDVEEVPRVNHMDSPVCSNSITSQSQLPSQGTDVDSPNSAHTSEYEDAESDNHPTSSRYYPFLRMQQYDAGQMMSVQLLDPYVSDPSVDSNRDFQGTHDAEPKSDFYSVTQEDISRVFDETGLGLSFRGSKTQYDLTSWDEVLEHCATSFQMPSFQSSVGFTEPPVVENNNKLESSILGDLYDGNHSTRPDGSGVLDKPAWQLSNPDSESAVTSNINVESGTSVSESVDCPSIVKQPSLDLSIIEGEGLKKYDSFTRWMSKELGEVDDSHMKSNSGVYWSAVGSDNVVEDSSISNHEHLDAYIMSPSLSKDQLFSIIDFSPNWAYTGLETKVLITGTFLKKKEDLGKCRWSCMFGEVEVPAEVVGDGILRCHAPPHKSGRVPFYVTCSNRLACSEVREFEFRGSNAHPVENIGSCIYNTNEMLLHIRLDKLLSLGPIDYQKIDPEIFRRKAHVRSKISSIMMDAADECSSLLKLAEREGCTADYVKDQLLETLLREKLVTWLLHKVAEDGKGPSMWDTEGQGVIHLSAALDYYWAIKPIVTAGVNINFRDVHGWTALHWAAFCGREWTVGTLIAMGAAPGLLTDPSPEFPSGRTPADLASANGHKGIAGFLAESSLTSHLLALTIDTKESDLPEIASLTGIEDDAERSALEVAEGDMQAGLSLKDTLSAVRNASQAAARIYQVFRVQSFHRKKIVEYGDDKSGISDEHALSLISIKSHKSGHYDTPLHAAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKRYRKIVWSVGIVEKAILRWRRKGSGLRGFRSEGLLEGTTMQCQPKKEDDYDFLQEGRRQTEARMQKALARVKSMVQYPEARDQYRRLLAVVTDFQESKAMEESVDNESEENADGDFMVELEEFLEGDTLMPSA >Ma07_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32180186:32182769:-1 gene:Ma07_g25040 transcript:Ma07_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGESEDEFDYLFKVVLIGDSGVGKSNLLYRFTRNHFSLDSKSTIGVEFATRTLQVEGRMVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPKSFENANQWLKELRDHADSNIVIMLIGNKTDLKHLRAIASEDAQSFAEKEGISFLETSALEAINVEKAFQIILAEIYRIISKKPLSSSTNLRPRPIESIKEGNTIVVSGSDVNNSQSRCCST >Ma07_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9726272:9727780:1 gene:Ma07_g12900 transcript:Ma07_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCWGGGGGGAAAAGVAAAVSEPHKPVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPSEFARDVLPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKELLCEIHRRKTCSSSSSSFPLASSLPLFPPFHHLEDYRLASPHWHDASSSRFLLLGGNDVNSTNAIRIGGGCGGGGQPTAAALLEENERTTSAPSPPAPPQLLPTSSCPQPINGEGVTTPAVPPPAARSPLPRIHRLLHSTTQQMTRTLAATKRAAVRDPSSLGCHFTAVLAAATSEDFISTSQPRPSPDRVWC >Ma07_p12900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9726272:9727780:1 gene:Ma07_g12900 transcript:Ma07_t12900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERCWGGGGGGAAAAGVAAAVSEPHKPVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPSEFARDVLPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKELLCEIHRRKTCSSSSSSFPLASSLPLFPPFHHLEDYRLASPHWHDASSSRFLLLGGNDVNSTNAIRIGGGCGGGGQPTAAALLEENERLRRSNAALLSELFHMRKLYNDIIYFVQNHVRPVAPSSAAASANFFLSSAYQRRGCHNSGSPTSSSSLTIAEDPSPSPLDNATNDKDIGCNEESSSARPKLFGVSLHGCSSSSNKRGFHLHEPTSPFTRPRLVLDKEDLSLNLTPSSPPAC >Ma05_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25933067:25934254:-1 gene:Ma05_g19060 transcript:Ma05_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIATLTYPSNCVLSLLFILFLQTDAFNSHNHSCSPGDLRKLYGFARGLDAQINHWPVAVANSTSCCSWPGVHCALLSSSPTSSSSSTLNSTLFTAIRVVGLDLPGRGLEGVLSRSLAGLDKLSFLNLSHKSFRDPIPPELFHLKLLKVLDVSRNQLTGEFPPGIGNLSGLQHLDVSGNRFTGIIPDEFHGLAKLEVFSATSNEFVGPLPSSLSSCSMLTLLNLWNNSLEGNIDLDCRRLVHLATLNLGWNRLQGVVPEVLSSCKALQILNLSLNKLSGQVPDKLQDLLSLSYLNLHGNSLSNISGALKVLQECQNLTVVILTKNFVGEEMPINAIRGFRNIRALAIINSALTGSLPSWLRNCRELRFLDLSWNRLTGEIPRWFGEFDHLFFMNL >Ma01_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24511692:24517147:1 gene:Ma01_g22380 transcript:Ma01_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G37055) UniProtKB/Swiss-Prot;Acc:Q9FHW2] MDEENTGPFRRTSSRTKKIAAKMAAALASTDNRTQAALARLESLENDNAGAENVEINDDDDASLDDDDQAYVQKKQAKNMKRKTRQAKALENAKKAPRTFTELLQEANLEALPPHVPSYLRAAVGPPSTSSRRHFCTVCGDAANYTCVRCGVRFCSCRCQVIHNDTRCLKFVA >Ma04_p36080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34584153:34584596:1 gene:Ma04_g36080 transcript:Ma04_t36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSDAAQQYVLF >Ma08_p29730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41208655:41228324:-1 gene:Ma08_g29730 transcript:Ma08_t29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCCCCSAPHRPSAFLGSPLRHPGNPLCRKGSLVMVSTFKVTALFWGPRRIKEPRELNPSLGAYKLLKSSSEGVSVDDKTPREISLSVVSSISDISSNDWDACATDATSPEKFNPFISHAFLSSLEESRSAIKETGWLPQHIVAQDEYKNVIGVVPLYLKSHSYGEYVFDHSWADAYYRYGSQYYPKLQSCVPFTPVTGHRILVRNTWYTDQVFDMLVMALKDLTTKLQISSLHITFPSENEWHKLKQSGFLPRIGIQYHWKNRNYKNFDDFLMDMKQSKRKNIRQERKKVLTHNLKMKRLFGDEIKAKHWDSFYKFYRNTTDNKWGSAYLTRDFFHILGSKMGDHILLIAAEDEGELVAGALNLIGGDTLFGRLWGCLPHVYFPNLHFEACYYQVEAGAQGEHKIQRGYLPVTTFSCHYILDDDFRKVIDNFLVYETEQVKHVMQLLHESGPFKEGII >Ma08_p29730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41208655:41228324:-1 gene:Ma08_g29730 transcript:Ma08_t29730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCCCCSAPHRPSAFLGSPLRHPGNPLCRKGSLVMVSTFKVTALFWGPRRIKEPRELNPSLGAYKLLKSSSEGVSVDDKTPREISLSVVSSISDISSNDWDACATDATSPEKFNPFISHAFLSSLEESRSAIKETGWLPQHIVAQDEYKNVIGVVPLYLKSHSYGEYVFDHSWADAYYRYGSQYYPKLQSCVPFTPVTGHRILVRNTWYTDQVFDMLVMALKDLTTKLQISSLHITFPSENEWHKLKQSGFLPRIGIQYHWKNRNYKNFDDFLMDMKQSKRKNIRQERKKVLTHNLKMKRLFGDEIKAKHWDSFYKFYRNTTDNKWGSAYLTRDFFHILGSKMGDHILLIAAEDEGELVAGALNLIGGDTLFGRLWGCLPHVYFPNLHFEACYYQRLELKESIRFSVVIFPSQHSAATTFWMMISEKL >Ma08_p29730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41208655:41228324:-1 gene:Ma08_g29730 transcript:Ma08_t29730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATCCCCSAPHRPSAFLGSPLRHPGNPLCRKGSLVMVSTFKVTALFWGPRRIKEPRELNPSLGAYKLLKSSSEGVSVDDKTPREISLSVVSSISDISSNDWDACATDATSPEKFNPFISHAFLSSLEESRSAIKETGWLPQHIVAQDEYKNVIGVVPLYLKSHSYGEYVFDHSWADAYYRYGSQYYPKLQSCVPFTPVTGHRILVRNTWYTDQVFDMLVMALKDLTTKLQISSLHITFPSENEWHKLKQSGFLPRIGIQYHWKNRNYKNFDDFLMDMKQSKRKNIRQERKKVLTHNLKMKRLFGDEIKAKHWDSFYKFYRNTTDNKWGSAYLTRDFFHILGSKMGDHILLIAAEDEGELVAGALNLIGGDTLFGRLWGCLPHVYFPNLHFEACYYQAIEAAIDLNLTKVEAGAQGEHKIQRGYLPVTTFSCHYILDDDFRKVIDNFLVYETEQVKHVMQLLHESGPFKEGII >Ma08_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34546634:34553885:-1 gene:Ma08_g20510 transcript:Ma08_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPERAKIAGTPLSKFEDSPIYNFINNLSPIQPVKSIDSVPIAHTHQPLNFASLSSIFSSPHDNPPRETRFLTRHPFTDYFKQENFSDNVGESSLCPEVSDAGRPSGFTSSSQENCTMTCSLNEATVDPPDECPALPSTFPQSTQYYSGSPDHNVEPCLGIKMDLKLDVGHTPVEFHFVQNGVERRKVLFAMEDGVQENLPLELNKDKGVGCVWESLIPDDGQSLLIFDSSTESEAHKGVSEKAVDNDGHSIVSLLSNCTENADHLQKTKPDISHGPCVHNVNQDPSQNCSKVFEKEDETDHATKMLSDMCHDQVDSHQQRGMRRRCLVFEVASVSKRNMYSDSKLNPLTSLSFKGKSVCDTKNLKPEIRESLYALPGIGLHLNALATTSKDRMVNKETLASEKQIISNPCSVGSLTSTTAGRNSLRKSSAVEKDLCPSGSEVDLQIVSDDAPKDATPNICEELSQGSPKKKRRKSGNGGESDGCKRCNCKKSKCLKLYCECFAAGVYCSEPCSCQGCFNKPIHEETVLATRRQIESRNPLAFAPKVIRTSESGLEMGDDDKKTPASARHKRGCNCKKSNCLKKYCECYQGGVGCSIGCRCEGCKNLFGRKDGFLPRFEELEQAEKEANACEKENESPGDDQQNTSIQIDEHHSSGHILPITPYQSCRLSVELPFSSIASSTTSTKLSIGRSPALYGSHMLRKCEMVLPPPKLENNVSTVVEDDTPAILRPTVSPTTTGIRIASPNQKRVSPPHGGVGLSPPSRKGCRKLILRSIPSFPPLGSDVSAEHPVNYSNSSFGSSTVI >Ma08_p20510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34546634:34553885:-1 gene:Ma08_g20510 transcript:Ma08_t20510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPERAKIAGTPLSKFEDSPIYNFINNLSPIQPVKSIDSVPIAHTHQPLNFASLSSIFSSPHDNPPRETRFLTRHPFTDYFKQENFSDNVGESSLCPEVSDAGRPSGFTSSSQENCTMTCSLNEATVDPPDECPALPSTFPQSTQYYSGSPDHNVEPCLGIKMDLKLDVGHTPVEFHFVQNGVERRKVLFAMEDGVQENLPLELNKDKGVGCVWESLIPDDGQSLLIFDSSTESEAHKGVSEKAVDNDGHSIVSLLSNCTENADHLQKTKPDISHGPCVHNVNQDPSQNCSKVFEKEDETDHATKMLSDMCHDQVDSHQQRGMRRRCLVFEVASVSKRNMYSDSKLNPLTSLSFKGKSVCDTKNLKPEIRESLYALPGIGLHLNALATTSKDRMVNKETLASEKQIISNPCSVGSLTSTTAGRNSLRKSSAVEKDLCPSGSEVDLQIVSDDAPKDATPNICEELSQGSPKKKRRKSGNGGESDGCKRCNCKKSKCLKLYCECFAAGVYCSEPCSCQGCFNKPIHEETVLATRRQIESRNPLAFAPKVIRTSESGLEMGDDDKKTPASARHKRGCNCKKSNCLKKYCECYQGGVGCSIGCRCEGCKNLFGRKDGFLPRFEELEQAEKEANACEKENESPGDDQQNTSIQIDEHHSSGHILPITPYQSCRLSVELPFSSIASSTTSTKLSIGRSPALYGSHMLRKCEMVLPPPKLENNVSTVVEDDTPAILRPTVSPTTTGIRIASPNQKRVSPPHGGVGLSPPSRKGCRKLILRSIPSFPPLGSDVSAEHPVNYSNSSFGSSTVI >Ma04_p27310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28495112:28510839:1 gene:Ma04_g27310 transcript:Ma04_t27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPLRFIENNGADSWLLDEDTSEFASTSNLVSEELGLLLKGQKYHGSKNVNGLSRSGSAPPSMEGSRAAFDILRNQTADLGVSLENLSNAVQNCGSEEQLRAHPTYLAYYCANVNLNPRLPPPLISRENRHLMQHIGGFGDNRRMPSFDDNSKSSILSSRPALPTHNEEPEDDRSPTAEYSDWTDKKTVIFPGHSTHVQGRHMYPTDLEQTSYPVYRDHSHHSSHTIIEQAAIQNALSNHLNDYSNGMTNSETRTPGAHSCTPHLGSHSVGFVLNGDTGAVAVPGSASTDRTVNRHQGQKKLSSGDTSIDKSVPPSNIICLDRDNIEDEMNNLRLSTDDHRSHHSWQNSQQVGLYTTSPSSHAQIGQSQIIAQGVTHSQNTGDHVSHGQPKLPSVEMQPLLQSAGTVPSLYVPGAGYGAPYYHNLQFPSVLPLQFGIGGYTLNPSLVSPLVTAYPPHHSAMPMPFDNVVGPNFSARASGISTGGNAVPGVDMQQLYKIYGQLGLAIQPPFSDPLYMPFYHHYSTDALAAAGQYDSKISRGSAVGSPAGTYDLQKGPGSSAYLPEQRPQVMGVGGVNTLNAIKGVTISPGYYGNPPNMGLLMQFPGSPLASPVSQGSPVARTSFSGRKNDNTKFPFGSERITGSSGCSFQRGGEKVDDPISYSFLEELKSNKAHRYDLSDIAGRIVEFSADQHGSRFIQQKLETCSADEKASVFREVLPHAYSLMTDVFGNYVIQKFFEHGNPEQRKELANKLVGHVLPLSLQMYGCRVIQKALDVIELEQKTQLVQELDGNVMRCVRDQNGNHVIQKCIECVPTEKIGFIISAFRGQVANLSTHPYGCRVIQRVLEHCTDESQSHCIVDEILQSACLLAQDQYGNYVTQHVLERGKPHERSQIIHSLSGQVVQMSQHKFASNVVEKCFEYGNTEERDYLIKEIVGQTEGNDNLLVMTKDQFANYVVQKILDTCTDKQREVLLNLIKVHLQALKKYTYGKHIVARVEQLCGEDVAPES >Ma04_p27310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28495112:28510839:1 gene:Ma04_g27310 transcript:Ma04_t27310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPLRFIENNGADSWLLDEDTSEFASTSNLVSEELGLLLKGQKYHGSKNVNGLSRSGSAPPSMEGSRAAFDILRNQTADLGVSLENLSNAVQNCGSEEQLRAHPTYLAYYCANVNLNPRLPPPLISRENRHLMQHIGGFGDNRRMPSFDDNSKSSILSSRPALPTHNEEPEDDRSPTAEYSDWTDKKTVIFPGHSTHVQGRHMYPTDLVQEQTSYPVYRDHSHHSSHTIIEQAAIQNALSNHLNDYSNGMTNSETRTPGAHSCTPHLGSHSVGFVLNGDTGAVAVPGSASTDRTVNRHQGQKKLSSGDTSIDKSVPPSNIICLDRDNIEDEMNNLRLSTDDHRSHHSWQNSQQVGLYTTSPSSHAQIGQSQIIAQGVTHSQNTGDHVSHGQPKLPSVEMQPLLQSAGTVPSLYVPGAGYGAPYYHNLQFPSVLPLQFGIGGYTLNPSLVSPLVTAYPPHHSAMPMPFDNVVGPNFSARASGISTGGNAVPGVDMQQLYKIYGQLGLAIQPPFSDPLYMPFYHHYSTDALAAAGQYDSKISRGSAVGSPAGTYDLQKGPGSSAYLPEQRPQVMGVGGVNTLNAIKGVTISPGYYGNPPNMGLLMQFPGSPLASPVSQGSPVARTSFSGRKNDNTKFPFGSERITGSSGCSFQRGGEKVDDPISYSFLEELKSNKAHRYDLSDIAGRIVEFSADQHGSRFIQQKLETCSADEKASVFREVLPHAYSLMTDVFGNYVIQKFFEHGNPEQRKELANKLVGHVLPLSLQMYGCRVIQKALDVIELEQKTQLVQELDGNVMRCVRDQNGNHVIQKCIECVPTEKIGFIISAFRGQVANLSTHPYGCRVIQRVLEHCTDESQSHCIVDEILQSACLLAQDQYGNYVTQHVLERGKPHERSQIIHSLSGQVVQMSQHKFASNVVEKCFEYGNTEERDYLIKEIVGQTEGNDNLLVMTKDQFANYVVQKILDTCTDKQREVLLNLIKVHLQALKKYTYGKHIVARVEQLCGEDVAPES >Ma11_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2702725:2705123:1 gene:Ma11_g03620 transcript:Ma11_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAFSLDHLSPPPSEHLCYVHCNFCDTDLAVSVPYTSLFKTVTVRCGHCTNLLSVNMRALLLPAANQLLHLSHPCFTPPHHNLLDELQCPHPSLLLDPSLLCNGNNSNGSLINSPTTVNHSNSINSSCNATAPVKGVEEELPRTPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKASVRQPEGDDVLPKEGFYATAAANMGVAPF >Ma10_p28690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35495156:35498940:-1 gene:Ma10_g28690 transcript:Ma10_t28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFYHLGDSGSGGAFTTSPTPPQRAAVCRQSFRTPPLKTEAGPSQSQKRTIATQEQGENSPSDVEEDIKAKIMSHPHYSTLVAAFTDCQKVGAPPEVVARLSTIARELASGPSCHNGTSDPDPELDQFMFSTDENCEGFGSSEEDQNATGDYQVDPQDIDPHADKELKHHLLKKYGGYLSRLRQELTKKKKKGKLPKEARQKLLNWWQLHYKWPYPSETEKVELAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDGFYPQNASALYMEGQFMGDGIYRLGP >Ma04_p37620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35437581:35439771:1 gene:Ma04_g37620 transcript:Ma04_t37620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSIFFFFLLLFPCFHLSGSQSYNALFSFGDSLSDTGNVVVAGLPYGMSFFGRPTGRCSNGRLVIDFIAEALGLPLLPPSTAKGKSFLKGANFAYTAATTLGFRFFHRLGLSSGLWVNASLSTQIRSFEQMMPSLCNSSQECKEYLSKSLFVVGEFGGNDYNTPIFAGRSLKQVYTFVPKVIHAIHSGVERLIGHGATEIVVPGMLPIGCFPMFLTLYSTSNTNDYTDIGCLNKFNDLTSYHNSLLRRRLRGLQRRYSWTRIRYADFFDPTIQFITSPTQYGFSDGGALKACCGAGGRGDYNVNLEAKCAEPGSDVCSDPSMYVSWDGIHLTEAAYRLIANGWLKGPYANPPILQQN >Ma04_p37620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35437527:35439771:1 gene:Ma04_g37620 transcript:Ma04_t37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSIFFFFLLLFPCFHLSGSQSYNALFSFGDSLSDTGNVVVAGLPYGMSFFGRPTGRCSNGRLVIDFIAEALGLPLLPPSTAKGKSFLKGANFAYTAATTLGFRFFHRLGLSSGLWVNASLSTQIRSFEQMMPSLCNSSQECKEYLSKSLFVVGEFGGNDYNTPIFAGRSLKQVYTFVPKVIHAIHSGVERLIGHGATEIVVPGMLPIGCFPMFLTLYSTSNTNDYTDIGCLNKFNDLTSYHNSLLRRRLRGLQRRYSWTRIRYADFFDPTIQFITSPTQYGFSDGGALKACCGAGGRGDYNVNLEAKCAEPGSDVCSDPSMYVSWDGIHLTEAAYRLIANGWLKGPYANPPILQQN >Ma07_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16281142:16294856:1 gene:Ma07_g17140 transcript:Ma07_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAWRYTSSNGGSYGASDLEKKLDSKIQESEPPTPYSVTKMGLRDRGNVGDPDGTISSVAQCIEHLRQVSSTDQEKENSLKELLDLVVTRDSAFGAVGSHTQAVPVLVALLRSGSLGVKMLSASVLGSLCKEEEVRVKVLLGGCIPPLLALLKSSSAEGQTAAAKSIYAVSQGGARDHVGSKIFSTEGVVPALWDQLRNSARNGSMVDGLLISALKNLSKNAEGFWSATIEYGGVDILIKLIVSGQISTLANACCLLGCVMMEDASICSRVLAAEATKQLLKLLGPGNEASVRAEAAGTLKALSAQCKEARREIATLGGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSFVISSLGESLESCSSPSQVADTLGALASALMIYDINADSIRPSDPLVIEKILVKQFKPKMAFLVQERTIEALASLYGNNILSRTLENPDAKRLLVCLITITMNEAQEDLVKSLVILCNKECSLWHALRGRDGVQLLISLLGLSSEQQQEYAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSSKAKEDSAMILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASRTINYLIQKSDTGTISQLSALLTSSEPESKVHILDALRSLLSVAPLNDILREGSAANDAIETMIKILSSAREETQAKSASALAALFHCRKDLRETHFALKTLVSIMNLLNVESEKFLAEASRCLAAIFLSIMQNKEVAAVARDALAPLVILASSPILEVAEQATHALANLLLDYEISTQASPEEIILPITHVMQDGTMEGKTHAAAAISHFLQCHFVDQTLADIVNRAGTVLTLIDTLESASIQDAATSEVLDALVMLSRSKNSIDLVNPPWSILAENPHKIAPLVSCIAEGTSLLQDKAIEVLSRLCYDQHSILGVLVSGASVCMPSIARRVVESNSFKIKVGGSALLICAAEAQSQKLVDALHETSLCMPLIHSLVKMLHSTSSSFDHRNDEWPTGIIINRHPKRHPITGEVELSTALISGNMIVLWLLSKLAIHDNHTKAIIMEAGAVEILADKISCHGLLSIQGDSREDNGMWVSALLVALLFQDRDIIRSNATMRSIPVLASLLRSEQSVNRYFAAQALASLVSGGSRGTLLSVANSGAASGLISLLGCADNNIADLLELAEEFSLVPNPQQVALERLFRVDEIRIGTTSRKAISALVDLLKPIPDRPGAPFLALGLLAQLAVDCPSNKLVMVDSGALEALNKYLSLGPQDTTEEAATDLLGILFSTAEVRHHESAHSSVNQLIAVLRLGGRNSRYSAAKALESLFSSDHIRHGESARQAIQPLVEILSTGSAREQHAAIAALVRLLHDNPSRAFNIVDVELSAVDVLLRILSSNSSLELKGDAAELCAVLFGNTRIRSTTVAARCVDPMVSLLVGEFSSAQHSAVRALDKLLDEDQLAEVIAAHGAVVPLVDLLSGKNYSLHEAVASAFIKLGKNRPDCKLELVKCGVIERILDILHKAPDFLCVAFAELLRILTNNASIVKGPSAAKVVDPLFLLLTRSEFGADGQHSTLKVLVNILEQSQCRANYNLTPQQAIEPVIALLDSPSQVVKQLAAELLSILLLEEHLQKDPVTQQAIIPLIELLGSGICILQQKAIKALVKIVMIWSNTIAKQGGVHEISKVILQSDTPLPHAIWESAASILSIILQYSSEYFLEVPVAVLVQLLHSGTETTVIGALNALLVLESDDSTSAEAMAESGAVEALLELVRSHQCEESAARLLESLLNNVKIRDSKAAKSAILPLSMYLLDPQTQSQQGKLLAALALGDLFQNEGLARTTDAVSACRALINLLEDQPTEEMKVVALCALQNLVTYSRSNKRAVAEAGGVQVVLELINSSNPDTSAQAAMFVKLLFSTQTIQEYASSETVTTITAAIEKDLLASGSVNEEYLKALNALLSNFPRLRATEPVTLSIPLLVKCLKTGSEVSQEAALDSLFLLRQAWSVCPAEVFKAQTVAASEAIPLLQYLIQCGPPRFQEKAELLLQSLPGTLTVIIKRGNNLRQSVGNPSVYCKLRLGSNPPIHTKIVSTGPTPEWDESFTWAFDSPPKGQKLHISCKNKSKFGKKSFGKVTIQVDQVVVLGSAAGEYILLPESKSGPPRHLEIEFQWSNK >Ma06_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14759990:14765277:1 gene:Ma06_g20620 transcript:Ma06_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRLMEFLKGKSILVTGSTGFLAKIFVEKVMRVQPDVKRLFLLVRAADASSAEKRVQSEILGKELFKVVKDKHGDGFHSFVSSKLFPVAGDIVQQNLGIRDSNIREKLWEEVDIVVNVAATTSFGERYDVALGINVLGAKHVLEFAKQCVKLQVLLHVSTAYAAGEQRGLILEKRFRMGEALKGDSYLDIEGELRLVDERRRQLGAQNATKEAEAQAMKELGINRARLFGWPNTYVYTKAMAEMLLGELRGNLPLVILRPTIITSIHRDPVPGWIEGTRTIDSLIMGYVKGKITCFFGDPETVADMIPGDMVVNAMIATMAAHSRQPSEFIYHVSSSVRNPVQYSTLQQCGFRYFLENPRVGKDGRVIKTKKLPVFRNMFLFRVYMTLRYKLPLEGLHLVNLLSCGRFARRYDELNRKYKFAMHLVDLYEPYAFFKGCFDDLNLERLRMAMGKDDAEAGMFDFDPKHIDWKDYFYNIHIPGVLKYACK >Ma08_p33740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43956237:43961165:1 gene:Ma08_g33740 transcript:Ma08_t33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGISSFWGPVTSTTELCEENYAHSSYIAEFYNTISNIPCILFALIGLTNALRQRFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEMLLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVAHSLVRFGIGFKIHYVGLCLLCIPRMYKYYIQTKDASAKRLAKLYVATIFLGTICWLLDRMFCKKLSHWYINPQGHAWWHVLMGFNSYFANAFLMFCRAQQLGWEPQVVHLFGVFPYVKIHKPKKQE >Ma02_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18779939:18783512:-1 gene:Ma02_g08410 transcript:Ma02_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLIWRDYRGDVSAVQAERFFTKLIEKEGDHESHSPVVFDDGISYMFIQHNNVFLMTAARQNCNAVSILLFLHRVVDVFKHYFVELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGTFDLMTYRLSTQVKPPIWVEAQVDRHSRSRIEMMVKARSQFKERSTATSVEIELPVPSDATNPSIRTSMGSAAYAPEHDALVWKIKSFPGGKEYMCRAEFSLPSITAEDAIPERKAPIRVKFEIPYFTISGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Ma05_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36909052:36913346:1 gene:Ma05_g24740 transcript:Ma05_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELFLWLLAFAAAISLIGFSAYQLICLSDLEFDYINPYDLSSRINAVVVPEFMVQGTLCILFLLTWHWFPFLLMAPITYYHTKLYMKRKHLIDVTEIFRLLNEEKKYRIIKLAFYCSIFIIVIYRLVTTAVLLLMEDDYRTMESGIF >Ma07_p26980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33527029:33527295:1 gene:Ma07_g26980 transcript:Ma07_t26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVCRHDECRALEVAVPVVLALGLVVEVHGDGSVGHESIRVEASPAPLQYAAVHLRASKVQVCSQGRFIRRRLGNAPGFLQHHQTATFF >Ma11_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24489066:24490462:-1 gene:Ma11_g19750 transcript:Ma11_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLRKAKLSGEAAVMEVSHHSSLGVRTRARSLAAATGQDSSRAYLELRSRRLEKPLSPPPSCNSEKPGPDARVDFRSPGSVSTSRCSAVAADTEVSFRETILESGARDSYDRETIPCSLIRDRDPTSRSTISAVTVKQSSPTAHEMEEFFAAAEQLQQRIFLERYNFDPVTEHPLPGRYEWVKDDL >Ma03_p27670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30965893:30971109:1 gene:Ma03_g27670 transcript:Ma03_t27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTEATRLDEVDRTLYSTFCSAANSLSQLYTQAMNQQKISFQAGERHALEKLYEWILRKHEEGGRVTVADIVTHMQSEMDYAGEDALVSPRSPFQHQSTMHVTNSGIQPTSGIFGQPTVGLAPRSGHSDQAKNSVFSNALSSPVRRSLQPYHFAQGGGFYGNGVLPTGNAESRNDHGPNENRGTNSLGSNDSSMDIHTDSRPHESY >Ma06_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12236164:12237935:-1 gene:Ma06_g18050 transcript:Ma06_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPSAVARHGVLRLPPGFRFHPTDEELVVQYLKRKAFSCPLPAAIIPEINLARLDPWDLPGGCEEERYFFNLREAKYPRGSRSNRAARSGYWKATGKDKQITSSWCSQVVVGMRKVLVFYRGKPPTGTKTDWIMHEYRLAGPETRPCIFPQRKNSTHSSVDPSGDWVLCRIFRKKRATKMEVGTDEAGEEDEDPITRDGFIDFMGQRGGDQSHSTSCSSGSSCVTDHSDGSSSTGEETSSSRSLP >Ma05_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6055741:6057225:1 gene:Ma05_g08170 transcript:Ma05_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRQWPEPVVPVQSLSDGGLTSIPEKYVKPPSDRPSLEANLDRGLTMPVVDLRGIYDGSVDSRAAMVAIWDACKEWGFFQVINHGVRPDLVEEMKGVWREFFRRPLDEKQRYANSPATYEGYGSRVGVEKGAVLDWGDYYFLHLLPLSIKSHDRHWPARPSTLRKVTEEYGGEVFKLCGVLLRVLSMGLGLDEEYFRRAFGGDGTASCVRVNLYPKCPQPELTLGLSPHSDPGGLTVLLADDHVEGLQVRKDGAWLTVRPVPGAFIVNVADQIEVISNGIYKSVDHRVIANSKDERLSIAFFYNPEGDVAIGPAPELLTPQLPPLYPCITFNEYRMYVRKRGLSGKSQMKSLKLY >Ma04_p37450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35353936:35356863:1 gene:Ma04_g37450 transcript:Ma04_t37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAQHFNSSSSPIFLGVSELNSSDPFEVITRGSTLIPLVSWISCFFVANGMHACVCGFAAKDLISWGADAIIGIGTWPEVATVLSLAATPTWSSTMPFVVQMSYPATGEVRCLAAIIRSFNWRRVIVIYEKDIYGSSSGVLALFSDALRDSGSQIDYHIAFPPLRTVSNATDMIRRTLTGIPRQLSKVFVLIRSSLELTVELFEQANDMGMMAKDHVWIVNDDVTALLDSTLTPSFISSYMQGVIGISTYFNTTTSSYHAFSSDFRRRFKQEYELKGEHLFEPGRHAVGAYDAVHAIANAAAAIATKAETGSVTTLQDGKLQPTGFCMDVFREILKHLDYDLIYEFEAFNGSYDDLVNKVFLQEVDAVVGDITILAKRAVNATFTEPFLSSGLSMLVPVKPNHTPWMLTKPFTKEVWFLILATLVYTGGVVWYLERESNPEFHGTPWVQLGATLWLILSSIFFAHDRVYSYYTKTVVIVWLVVVLILTTSFTANLSSILIIEKLEPVPPGGRVGCDGDSFVLKYLQQVLLYNNSRIETIGEPEEYVKAFKSGNITAAYLETPYLRVFLSQHQDFSVTGETHRLGGLGFVFPKHSLLADDFSEVILQLAENGRLKELENKWFTFTLSNSPPLDDKRERDSLGLDCFWALFLFTGCTSTIVLLLNGTGTSVAVLRRSFMVLWRSTRNLSPREGLELPKLVPISSHQEDNHHANTTDIV >Ma11_p04590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3581396:3587683:-1 gene:Ma11_g04590 transcript:Ma11_t04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCEEDDIHRTTASGGPYVTSNSTGNDGAFHAANPPSKGAQTVKPQPIAVPAIPVEEIKEVTKNFGDEALIGEGSFGRVYFGILKNGRSTAIKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELVGYCIEGNLRLLAYEFATMGSLHDILHGRKGVKGAQPGPVLSWQQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSLGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCIDSRLGGDFPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNTRSGHPGEALGS >Ma11_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3581396:3586274:-1 gene:Ma11_g04590 transcript:Ma11_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCEEDDIHRTTASGGPYVTSNSTGNDGAFHAANPPSKGAQTVKPQPIAVPAIPVEEIKEVTKNFGDEALIGEGSFGRVYFGILKNGRSTAIKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELVGYCIEGNLRLLAYEFATMGSLHDILHGRKGVKGAQPGPVLSWQQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSLGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCIDSRLGGDFPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNTRSGHPGEALGS >Ma01_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13105468:13108195:1 gene:Ma01_g17820 transcript:Ma01_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQAFAATARLASWRRRFSVSTAVGSELRRSVEEHFREVSNITPPSKKKLIPPPPYSVVEGALDRSEGPVLRRAYGEEEISISVRRRAFAIPSRGGGNEDEVDRGHDFISELFLYVNVSRPGRSNSLLFLCGLYPDAVGILSVCLISKQTPDLSQGRVFLALDQQLRDAFCIYLEERGVSKRLFPFLQSWLYLKDHHNIMNWFRKVSDFINDIKPA >Ma01_p17820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13105468:13108195:1 gene:Ma01_g17820 transcript:Ma01_t17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQAFAATARLASWRRRFSVSTAVGSELRRSVEEHFREVSNITPPSKKLIPPPPYSVVEGALDRSEGPVLRRAYGEEEISISVRRRAFAIPSRGGGNEDEVDRGHDFISELFLYVNVSRPGRSNSLLFLCGLYPDAVGILSVCLISKQTPDLSQGRVFLALDQQLRDAFCIYLEERGVSKRLFPFLQSWLYLKDHHNIMNWFRKVSDFINDIKPA >Ma07_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:923617:925231:1 gene:Ma07_g01200 transcript:Ma07_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCTNYLECLNRDIEITNVMEYEAIAKQKLPKMVFDYYNSGAEDQWALKENREVFSRILFRPCILIDVSKVNKTTTKISMPIMIAPTAMQKMAHPEESMQPREQHQQPIPICIEEVASTGPGTRFFQLYPSMLSFYVYKDRNVVAQLVRRAEEQDSRQ >Ma09_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:22822679:22829878:-1 gene:Ma09_g19320 transcript:Ma09_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGELGPKPLQQLSGQICQICGDDVGLTVDGDLFVACNECAFPICRTCYEYERREGNQVCPQCKTRFKRLKGCPRVAGDEEEDDVDDLENEFNFVGGDQQDPKYMAEVMLQGHGSYGRRVDINTPHVAHAVPQVPLLTNGEMVDDIPPDQHALVPSFIGGGGKRIHPLPFPDPNIPVHPRSMDPSKDLAAYGYGSVAWKERMENWKQKQEKMHMTRNNGGDKGWNNDGDEPDLPLMDEARQPLSRKLPISSSQINPYRMIIIIRLVVVGFFFHYRITNPASDAYPLWLISVICEIWFALSWILDQFPKWLPIERETYLDRLSLRYEKEGQPSQLSLIDIFVSTVDPMKEPPLITANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKMDYLKDKVHPSFIKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNVDCDHYFNNSKAIREAMCFMMDPLVGKRVCYVQFPQRFDGIDRHDRYANRNIVFFDINMKGLDGIQGPIYVGTGCAFRRQALYGYDAPKSKKPPTRTCNCWPKWCCCGCCCSGRRKKKNEKAKQEKKKNSSRRGDSGAPVFALEGIEEGKQGNESEKPNLMSEQKLEKKFGQSPVFVASTLLENGGILKGATPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCVPTRPAFKGSAPLNLSDRLHQVLRWALGSVEIFLSKHCPLWYGYGGGLKWLERMSYINATVYPWTSIPLLAYCTLPAVCLLTGKFITPELSNVASLWFLSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTTKAGDDDEFSELYTFKWTTLLIPPTTLLIVNFIGVVAGVSNAINNGYESWGPLFGKLFFSFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLPKSDGPLLEECGLDCN >Ma01_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6668663:6675383:-1 gene:Ma01_g09230 transcript:Ma01_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPFLSAALLLLFFFAASAAPDMSIVAYNKEHGVRGLERSEEEMRRMYEGWLAKHGRANNALGQNEMRFDIFKDNLRFVDAHNAAADAGRHRFRLGLNRFADLTNEEYRAAYLGTRARGVARRSRVPGDRYRYEDGEELPESVDWRAKGAVAAVKDQGSCGSCWAFSTVGAVEGINQIVTGELITLSEQELVDCDNAYNQGCNGGLMDYAFEFIINNKGIDTEDDYPYRARDGTCDQDRKNAKVVSIDGYEGVPQNDEKALQKAVANQPVSVAIEAGGREFQLYRSGIFTGRCGTKLDHGVVAVGYGTQNGEDYWIVRNSWGGDWGEAGYIRMERNLNTSTGKCGIAMEASYPIKNGENPPNPGPTPPSPVSPPTVCDNYYTCPSHTTCCCVYEYGGTCFAWGCCPLEAATCCEDHYSCCPHDYPVCNVEAGTCQMSKDNPLVVKAFARTPAKPYWAYSGLAEENRNA >Ma03_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3070848:3077404:-1 gene:Ma03_g04660 transcript:Ma03_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKYPIRAEDYQLREPVGQGVSASVYRALCIPLDEVVAIKVVDFERNNSDLNNICREAQTMILIDHPNVLKAHCSFVNDHNLWVVMPYMEEGSCLHIMKSAYPNGFKESVIATVLREVLKGLEYLHHQGHIHRDVKAGNILVDARGGIKLGDFGVSACLFDSGNRQRSRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPIKALLMNLQNAPPGLDYESDKKFSKSFRDMIAMCMVKDPSKRPTAHKLLKQPFFKQARSQDYIVRKILDGLPTLGDRHQALKEKEEDLLAQKKMLDSDKEELSQREYKRGISAWNFDVEDLKAQASSILENNETTGSNGCQMFGIHASQERISESASCSSKDDDDDVKKEVLDESTLSSPYQPACYQRIVNELILVSPKKQNQHENIGNYNGEFQKATDIPTEVAPKSSKSTALCRKPPP >Ma04_p13390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10134726:10147708:1 gene:Ma04_g13390 transcript:Ma04_t13390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVVLRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRATIIKHNMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIIHHGKLSEAESRRYFQQLIDGVQYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAMPVQGVSLLRTTCGTPNYVAPEVLSHKGYNGAIADIWSCGVILYVLLAGYLPFDEVDLTTLYSKIEKAEFSCPSWFSIGAKSLLCKILDPNPETRMRIEEIRNDDWFKKNYVPVSEVETEDINLDDVFAAFDDPEQASEQSRNEELGPLNLNAFDLIILSQGLNLSALFDRRQDYTRHETRFLSCKSARVVLSSMEVVAQSMGFKTHIRNYKMRVEGLTANKATHLSVMIEIFEIAPSFLMVEIQRAAGEAADYLKFNRNFCSKLDDIIWKSAIEKSKSHITRMPKR >Ma04_p13390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10134726:10145510:1 gene:Ma04_g13390 transcript:Ma04_t13390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVVLRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRATIIKHNMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIIHHGKLSEAESRRYFQQLIDGVQYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAMPVQGVSLLRTTCGTPNYVAPEVLSHKGYNGAIADIWSCGVILYVLLAGYLPFDEVDLTTLYSKIEKAEFSCPSWFSIGAKSLLCKILDPNPETRMRIEEIRNDDWFKKNYVPVSEVETEDINLDDVFAAFDDPEQASEQSRNEELGPLNLNAFDLIILSQGLNLSALFDRRQDYTRHETRFLSCKSARVVLSSMEVVAQSMGFKTHIRNYKIVGCLLPNL >Ma04_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10134726:10147708:1 gene:Ma04_g13390 transcript:Ma04_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVVLRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRATIIKHNMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIIHHGKLSEAESRRYFQQLIDGVQYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAMPVQGVSLLRTTCGTPNYVAPEVLSHKGYNGAIADIWSCGVILYVLLAGYLPFDEVDLTTLYSKIEKAEFSCPSWFSIGAKSLLCKILDPNPETRMRIEEIRNDDWFKKNYVPVSEVETEDINLDDVFAAFDDPEASEQSRNEELGPLNLNAFDLIILSQGLNLSALFDRRQDYTRHETRFLSCKSARVVLSSMEVVAQSMGFKTHIRNYKMRVEGLTANKATHLSVMIEIFEIAPSFLMVEIQRAAGEAADYLKFNRNFCSKLDDIIWKSAIEKSKSHITRMPKR >Ma04_p13390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10134686:10147708:1 gene:Ma04_g13390 transcript:Ma04_t13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVVLRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRATIIKHNMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIIHHGKLSEAESRRYFQQLIDGVQYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAMPVQGVSLLRTTCGTPNYVAPEVLSHKGYNGAIADIWSCGVILYVLLAGYLPFDEVDLTTLYSKIEKAEFSCPSWFSIGAKSLLCKILDPNPETRMRIEEIRNDDWFKKNYVPVSEVETEDINLDDVFAAFDDPEQASEQSRNEELGPLNLNAFDLIILSQGLNLSALFDRRQDYTRHETRFLSCKSARVVLSSMEVVAQSMGFKTHIRNYKMRVEGLTANKATHLSVMIEIFEIAPSFLMVEIQRAAGEAADYLKFNRNFCSKLDDIIWKSAIEKSKSHITRMPKR >Ma00_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3756202:3758009:-1 gene:Ma00_g01030 transcript:Ma00_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTVPAEAVVAQEVKKLQAEQFKPSEQVTLEPFEQDHACVVGGYRMPKKQKVVTES >Ma09_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11879712:11884644:1 gene:Ma09_g16520 transcript:Ma09_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAVRYAVIDAFTRSPFKGNPAAVCLLDSSSPEVEVGDEWMQSVASEFNISETCFLSRAISAVGAGSDGPSNGASPPRFSLRWFTPVAEVKLCGHATLAAAHFLWTSGLVSSTVIEFVTESGILTAKKVIRSNLLGAPNVALNEAGEKFSIELDFPVVPVGGCNAAEMPSITETLNGASIVNVQKTETSHDLIVELSSGKEVVDLHPNFDEIQKCAGRGLIVTAPAPPGSEYDIFTRFFCPKFGINEDPVCGSAHCALAPYWSKKLGKTSLIAYMASPRGGRLDLQLVEETQRVCIQGEAVTVMVGSLLV >Ma06_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7499145:7502105:-1 gene:Ma06_g10770 transcript:Ma06_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRSTRLWLTSILLFVLTTTASAKGCTEVERDALLTFRTRIVDPSHRLSSWRRLVDCCRWNGVVCDDTTGRVVELNLQNSEDMTVEANQAALRGEISPSLLSLTHLDRLDLNHNDFGGSPIPTFLGSFPKLTYLNLSWSNFSGSIPPQLGNLSSLRSLDLYSYGLSSDGLHWLSRLSSLRYLDMSGVNLSMASHDWLHSVNMLSSLVVLHLPYCGLTDLPSSLSHVNLTTLTILDLRGNLFNSTFPNWLLQLRSLSNLALSDSKLHGELPAGIGRLTRLIQLDLSANSLSGPLPAEIWSSRSLTSIDLSFNSFRGPVQVEAGNRISLSHVYLINCSLTGRIPAAIGSLTRLKELHLSHNRLTGPIPAEIGNLTALTTLDLGHNSLSGSVPPEIGKLSNLTSLDLSLNSLKGTMSELHLANLAKLDVLYLYRNSLDIAIGHDWIPPFQLETIGLDSCKLGPSFPGWLRSQGSIVDLNLSNTSIEDTLPDWFWNSSSSFSMVINLSQNKISGTLPASLESLTNLMFLNLSSNLFQGLIPVSPPLLQALDLSSNALSGPLPSTFAPVSEFLFFSNNHINGSIPSYVCTLQLLFALDLSNNQISGEIPRCWQETNELLFIDLANNKLGGKIPNSIGNLTELKFLHLNNNSLHGYLPPSLQNCSQLAVIDLGRNHFWGNIPAWIGQSFRSLEVLLLRSNMLSGNIPPQLGQLSNLQIIDFADNKLSGIIPRSFGNFSAIISISKSMSSTITTDTNFELSSFVASESIALIIKGHERNFSSILHLVKSIDLSKNSLTGAIPTEIGYLYALQTLNLSRNSIGGMIPSTIGGMKSLETLDLSFNDLSGSIPQSLSGLNYLSDLNLSYNNLSGAIPSGYQLQTLPASSYIGNAYLCGPPVSKSCLEKTNINATYEEEEEGFHTFSFYFGITLGYLVGQWCVFMIMLFKEDWRMFYFRMIDRLYDKACVAIKIKINGWRINKGERRTQK >Ma08_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5500470:5505580:1 gene:Ma08_g07930 transcript:Ma08_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRWPRSLTSALAPRGDALLLLLAPLFVLSLVTHELSRHSALAAHFEGFDSDDLDEAEDLSSSDEVPLAASPPPPPATSLSRSASPESHHGPPSAPKPPPSSDLWDEDEFEGIPVAVQSADPAAAPADQTRAPSLPLPSPAPPSVRSYTTEIVCISFLICFLINYFTGKRQNEEIALAWAAKFATKDSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRYCQSMLATMEMRSRHDLISRALDLVFRKRDVITFEVVMNEDAMDHVVLALAKRKMAKAMHKEERDLERFATLGVMPLSGRKWVADEVLVVAESKEVAGDLITEVALDQVFGDKAFEKFGKWFISLHFSDQHPGSHKKRLIFKFALPDANNMADMSRLVALVPYYIDLIGRYKLSSHAQSKTEAARAKATKEEYKEQQNLRQEVMQKKKAEKKKSLEEAEMKLTAQAIRKKEEKDRARQLKKSMPRVKMLRSH >Ma08_p28110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40148472:40152272:-1 gene:Ma08_g28110 transcript:Ma08_t28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEAGLHLPVFSQGFFQDHHGHYSHLPFSQEDFLSRFHVCFPDGAPSMGNMAQAPTVSEYDMGGEGDLFKAPEPILEGPALDPMAAAMSIITGGADIIAETIKVADVEAIQNDQLNDIYYECKKDLLEKSEIADSVSELLDVKIPAVQMDGVPILEKLSYAERAMQKSELDFEAALGLRRAYSEADIQNLGNKNTSTGNATAITSSVKQLPSISDLKTEQRKQKLSRYREKKSKRNFGRKIKYACRKALAESQPRVRGRFAKTEEPNMSIQILN >Ma08_p28110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40148472:40152272:-1 gene:Ma08_g28110 transcript:Ma08_t28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEAGLHLPVFSQGFFQDHHGHYSHLPFSQEDFLSRFHVCFPDGAPSMGNMAQAPTVSEYDMGGEGDLFKAPEPILEGPALDPMAAAMSIITGGADIIAETIKVADVEAIQNDQLNDIYYECKKDLLEKSEIADSVSELLDVKIPAVQMDGVPILEKLSYAERAMQKSVSSGCLNSVEWVPSCTMRPDLLNFQELDFEAALGLRRAYSEADIQNLGNKNTSTGNATAITSSVKQLPSISDLKTEQRKQKLSRYREKKSKRNFGRKIKYACRKALAESQPRVRGRFAKTEEPNMSIQILN >Ma03_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5883948:5884475:-1 gene:Ma03_g08180 transcript:Ma03_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYKGGLKGYWKRRGYHHADGGQGRRRLCKAELGGGGRRRRRFWRIKISPRLGFLRAASPRRILARIRDAYVRMMLGFANCVAYGGYGYGGVEVTGLARPALKEYDEKVLVEIYKSLVVRGPVVAADGAVALRR >Ma02_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21347929:21351131:1 gene:Ma02_g12710 transcript:Ma02_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAVLLLLFLTLGPMGSTGAENTSTDITFPLPSNCAKSCGNISFEYPFGIGSGCFRPGFNLTCISHSTDPPTSSLFLGDGTVEVIDFDMDNGNVYVKTPIVTMEVHEEYINDTLIDLRNFPFSFNLMGNFTADVTFRPSPPNNCSTKCGDIDIFFPFGVEEDCYRDQSFFLTCNRTTEPHTLLFHDHFIVINLSLEEGTLEVKIKNFRYYFPFADENQPFIGLGERFILNWIITDQSCEDAKLNMTTFACVDQHSSCNDDKISSNGQKILGYRCQCKAGYEGNPYLRNGCKDINECRNPQKYVCYGTCTNTNGSYSCTCPPGSSGDPTQKACIPGKKHTLVLGVIIGVSNGVGLLLLSTSLIILRTKWKKRKQKRIREKHFRQNHGLLLQQLISSREDAADRTKIFPLEEIEKATNNFDETRVLGRGGHGTVYKGILSDQRVVAIKKSKIVKKSEIDQFVNEVAILSQINHRNVVKLFGCCLETEVPLLIYEFISNGALSDHLHTSDGSSALSWEARLRIAAETAGALSYLHSAASISILHRDVKSSNILLDDHFTAKVSDFGSSRFIPLDQTHIVTGIQGTFGYLDPEYYQTSQLTEKSDVYSFGVILLELLTRKKPIFSIEHENKQNLSMYFVQALKEKRYFDLVEDRVMKEGTKQELMEIIQLVAMCLKFKGSERPTMKEVEYKLQSLRKIRKNGGRRIAEGNEEIECLLSDSSYTFSDSIDQATEGTSRNYSLEKEFMWSHYNPR >Ma03_p29780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32618430:32621803:1 gene:Ma03_g29780 transcript:Ma03_t29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGSFQDGRIASKRLMMLVALLLVSCCLGYGFGDEDHVEMEGIGSNCMESERRALLAIKSDMYDPGDRFSSWTGKDCCGWRGVACDNTTGHVTQLDLHYPYPNDTEVIYDSVEFEIVGASKVNPSLQELKYLKYLDLSMNNFSHAPVPKMIASLVHLEYLNLSNAIFDGLIPPQLGNLSNLHYLDLQAWNYDLLHVDNLDWLSRIPSLKYLDLSYVDLSKATNWFYVINSIPTLEVLRLSHADLPYVPSPLPPFNLTAIATLDLSGNSNITSAMLRWLSNATSLENLLLSGCRSLTIESVQVALGALLNLKELDLSDNSLKGEILEILNNVSSSSGLKHLDLRWNQLSGDIPPGSLRDLEYLDLSWNFNVDVHILASLGNLTNLRHLDLGGNSISGEIPPIVGDSVRLEYLDLSSNGIIGKIPQAIGNLTNLVYLDLSRNNIIGCLPKTLRTLIHMEELNLFNNSISGQIPETIGDLQNLRILILSNNHISGQIPKKIGKLHRLQYLDMSYNNLSGQIPTTLGDLCNLTLLDLSRNNIDGELINLFYGLSACSQGASISFLVLKGNNLNGIIPLRMGQLSRLHEVDLSSNSLTGKIPTSGQLSTFDSWTYVGNKDLCGTPLPACPVYRTPPDVRVKDDEQLERLLEYTSIVVGFVVGFWLFIGTLVMKQAIRFAFFRWIDKASDCIYVQFAVKLARLKSKWQTMT >Ma09_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17947636:17950578:1 gene:Ma09_g18490 transcript:Ma09_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQIPSEAPRGLPIPDVFPDPTEPNTKMLTIKIAGPSSENGETSNPISPSISISPHLNSPSPPSSAFVSALQSPYISPRALELPCENNNTTPSATIPSPVSYSCSHSDDIPSTSYTPPSERYGSLADQIDQKPKFSDAAAPRISFSFPVPRISFTKCSDSPSANAKLRSCDVYIGFHGQNNNLIRFCKWLKSELELQGIASFVADREKYSDTQRHEIADRVICSATFGVIAVTPSSFLNPLSVEEVRFFAQKRNLIPLLFDTELSEIASLLDGRLEGKECREAFEGLTKCNEFKLETNHSNWRSCISKAVAILKSKLARKSSTDKENDGFEELPFPRNRHFVGREKEMTEIEATFFGCCEVHEMEHPKQPLVNGGSSDGFADEESDTVRTSGKYISLEMRKCKEPTLEAWIEPVIELTSKGRSLQKQRSKHKKSRSGGNKGYGNANVFCVNGASGIGKTELALEFTYRYAQRYKMALWIGGEARYFRQNILNLSMNLGLDVSSEGEKERGRIRSFDEQEFDAFQRVKRELFRDIPYLLVIDNLETEKEWWEGKDLHDLIPRNTGATHVIITTRLPKVMSFEPMQLPLLSSADSLLLLRGRRKDYSAEEIEVLKKFDERLARLSFGLSVIGSLLSEMAISPSELLEAIDRISLSDSSVPLVGSEDGFCGNNAFLIKVLVFCFAVLDRAKGRSLASRMVLTGAWFATAPVSSALLAAASNNLPTKGSFHQWGKGLTAAFLLCGSRCYLPPQAQKIEVESALLLVKLGLAKGTARQPGCWIQFHPITQTFARRRGGLPPAMATVHGMMKVGNATANFDHLWASAFLIFGFKSEPPLVQLKAADMVFFIKKTALPLAIRSFMTFSRCSSALELLKVCTNVLEEVEKSFVSQIQDWNQGSLCWKKRLHSDQKVDEYVWQDVTLLKATLLETRAKLLLRGGHFDNGEELCRTCISIRTVMLGHNHAQTLAAQETLAKLVRYRSKI >Ma10_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24611510:24614680:1 gene:Ma10_g10930 transcript:Ma10_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEVVRAHRLKPIGRHGTTNPFVEVEFDGQRRRTATKLNDLNPSWNETLVFDVSDPLDLPDRTIDVSVFHELLSSSVDHRRRRRSFLGRVCLLGSSVASSRLDAALQLCPLDKRSLFFNVRAVFNNGHVDGNNDAAKDDPLGKIRIRLSTLEIDRVYTHFYPLLAVHPSGLKKTGELHLGLRFTCTSWLNMFFLYVKPLYPKMHYMQPIPFKQMQYLRYQAKQTVAAKLARAEPPLGRDVVAYILDDASNTFSLRKGKTNWHRIRMLFSDVQAYLQWFDAVRSWTRPAVTTLVLLLFCVVAWTPSLILPSVFLHLFIVGAWNSRRRPRHPPHLDTNLSFAESAVPDELDEELDSYPSTRTNEMVMSRYDRLRVVASRVQSVVADLANHAERIQALLSWRDPRATAIFVVFAIRMAAVFYFLPFQLVVMLLGLYFMRHPKFRSKRPSTAYNFFRRLPSNADTFL >Ma04_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5551458:5552042:1 gene:Ma04_g07670 transcript:Ma04_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLCDDLGTSTDELERGDVSKSIQCYMHETGVSEDAARGHIRGLIKGNWRTINGDRSFTSPFEENLKMMAINIPRMAQCIYQYGDGYGKPDGVIEDRIRSLLIEPILM >Ma05_p30140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40648885:40652506:1 gene:Ma05_g30140 transcript:Ma05_t30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVNNCVVCILLIVLYVKICCSCYYHIYNLTELCRFSGAKIYPGNGIRFVRSDSPVFLLANSKCKRCFHNCLKPAKLTWTAMYRKQHKSDFCMHILVCIFCQEKTSYHSRSIVEATLEVIKKRRTEKAEVRDAAREAALREIKERIKKTKDEKKG >Ma01_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14122866:14127783:1 gene:Ma01_g18790 transcript:Ma01_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTAETGHLSAFPAPTKVLKKAPLMASIPPPLSPSSSSSSSYSPSNYSSSSITQMGSDHIISILLLLPVESILSFSMTCRRFRSVASSDSVWEPICRREWGSGGVDALVASLSQQERQRLSWKRLYAQVSRPSSLSCRRLSSKSGAFPNPRASHSLNFISDWLVLFGGGCEGGRHLDDTWVAYIGNGHNRVICWQHVNSGVPSGRFGQTCTLLSKFLVLFGGINDNGERLNDTWIGEVLYEGQIDLRIAWRLLDVGTLVPPPRGAHAACCAGDQRVMIHGGIGSYGLRFDDTWILDLSDDFKSGRWHQVMNAQSSPPPRSGHSLTWIGGTYMVLFGGRGSGYEVLNDVWLFDVGGDGPEWKELKLSGLPSEMPLPRVGHSATLILGNKILIYGGEDSERHRKDDFWVLDIGALRSFQTAGSKKTPRKLWKRLSIEGHSPQYRSFHGACTDRSGHYVYVFGGMVDGVVHPAEAYGLSFNGELYQVKLVLQL >Ma08_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4689129:4695083:1 gene:Ma08_g06870 transcript:Ma08_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDDDEPPAKRVKASSAELRSLLNTSSSLLHIGCLGGPMAKPLPFHGEQDMIGSKGVIKRAEFVRIITKTLYSLGYEKSGAILEEESGISLHSSVVNLFRKQVLDGNWDGSLETLHKIGLVDENLLKYSSFLILEQKYFELLETNDFMDALKTLRCEITPLGINKKRVHELASCIVSPSHHVLLRFANLGTETSNPGLKLLEELQKLLPPTVMIPERRLEHLVEQALGLQRESCYFHNSLDSSLSLYADHNCGKDQLPSYTAQVLQEHHDEVWFLQFSNHGKYLASSSNDKSAIIWEVHEDEGLSLKHKLIDHQKAVLMIAWSPDDSQLLTCGMEEVVRRWDVHSGECLQVYEKTGLGLISCGWFPDGKRLFSGVTDKSICIWDLDGKEIDSWNGLRTSMTSDISITKDGRHIINTCKGNVIMLLDREMKMEKLVEEEQPITSFTLSGDDNFLLVNLINQEIHLWCIKDDPRLITRYKGHRRSRYLIRSCFGGIKQAFIASGSEDSQVYIWHRGSGDLVEALPGHSGAVNCVSWNPSNPHMLASASDDHTIRVWGLSKKVDLKPTSEGHSNGVVAHRQCNGHCK >Ma02_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14916756:14917202:1 gene:Ma02_g03480 transcript:Ma02_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFNFIGAKLWHSSPLEYLAAEVLELAGNVARDNKKNRIIPRHIQLTVGNNKEMSKLLRTVTIASYSVLPIIHQTLLPTKAGKEKGDNGSASQEF >Ma11_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23771252:23774305:-1 gene:Ma11_g18740 transcript:Ma11_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLFIINKSGGLIFYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTTGCAGIELLQAHTFDLHCFQSLTGTKFFVVCEPGTLHMEALLKVIYELYTDYVLKNPFYEMEMPIRCELFDLNLSQVIQKDRVALLGR >Ma11_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20385599:20386631:-1 gene:Ma11_g14670 transcript:Ma11_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHGSITPQSVEEMDLRRGPWTVEEDLLLMNYIAAHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHSRWGNRWSKIAQFLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDVMRYLWMPRLIERIRVASGDPTAVEPAAHWTPELAPVNPSPDNSSTAGSPSLQFSPQPVPDICADCSTRQGDGNGGDVIQPGEFAASWSASALSPGGDAHLGLLDFDQIQWGDSLWTVEDIWLQQQF >Ma02_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10937081:10937590:-1 gene:Ma02_g01690 transcript:Ma02_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNSFFSTPHSTNCLFSLIRLKHVPFYTGRIRTKLGIVQKRGKAECCQDSIDHPFFLRQRSTHFPRNRSYISFPFPFQSSYVFPHPFVDLGKNPILANSFS >Ma11_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23242317:23242904:1 gene:Ma11_g18110 transcript:Ma11_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAEPWRGEARPPQAEPSRYESQKRRDWNTFVQYLRNHKPPLVLSRCSGAHVIEFLRYLDQFGKTKVHVPRCAFYGHPSPPGPCACPLRQAWGSLDALVGRLRAAYEESGGSPDTNPFAARAIRIFLHDVRESQAKSRGIPYDKKKRKRAAAAAGQGHAGGKPSSSSSTALSGDSSDSGTGEGPGASLLGSFTS >Ma04_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2815913:2824993:1 gene:Ma04_g03640 transcript:Ma04_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 48C [Source:Projected from Arabidopsis thaliana (AT3G01610) UniProtKB/TrEMBL;Acc:A0A1I9LNC6] MSDMGKRLRRDSGRSPSSFSAALLRRRIASSKVLSEEDGAFVDVDVVVQRLRSLYPDYSRVKLQPFTLHVRKTLDSFSRRPSSATAVPDDDDSDEGTRTSLASAGCSRRTPGRSEAEEKRLLRAESEHLRRRIGKRIPVSNSSDDDSATSSTDDSVFEAKVEPEFDLMKSMLRDSYGKGPKRVDKEERNVEMEVEKPRNMQPVVDGGSGVETPMSVEKGSSGGGSVMVDDVREQGPRFRDLGGMKPVLEELMMEVIVPLCHPELPQRLGVRPMAGILLHGPPGCGKTKLAHAIANETGAPFYKISATEIVSGVSGASEENIRDLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHQSLRSTDTNSEPETSDRKPGYVLVIGATNRPDAVDQALRRPGRFDREIVLGVPDENARLEILSVLTRKLKLEGQFNLFKIARSTPGFVGADLAALVNKAGNLAMKRIIDKRRSQISCELKEKDNDDWWRQPWDKEEVESLSITMVDFEEAVKMVQPSSRREGFSSIPNVTWEDVGGLTSLRKEFDRYIVQRVKHPEAYEEFGVNLEAGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTIFSRARTCSPCILFFDEVDALTTKRGREGGWVVERLLNQLLIELDGADRRQGVYVIGATNRPEVMDPAVLRPGRFGKVLYVPLPSSDERQLILKALAQKKPISADVDLEALACTEQCNNLTGADLAALMNEAAMAALEEKQDSIDQGIENINPWLIKTSHFEHALQKIKPSVSEKERKNYEELSRKLGAS >Ma07_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30397276:30399769:1 gene:Ma07_g22480 transcript:Ma07_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSKQLRRDSGRSPSPYSRSHSLPIRYAGVAGGRSGDGHNAVVLSSTTLGSLVLDRGDLSSDDETMVKSATNLQMATAVIAKELGRARTWSEITDRRIPKTPTMTPPDDPEVINAWELMAGLEDASPLNLPPPAALDRSSSSHTSHDSRRSPPDSELSSGSSSPKPQWMQLSPGDSVIYDFDPEILSSFRQALDELSPQRQSYSIDQPIEPDNVEEEEEEEMKKPSHARTRALEPAKGPPYQSHSIPQPPESEDEEEEVEEPSHARRRTVEPAERPHHQSNSIYQLPEPEEEEEVEKKLSHPRTRTAESVDSRQVPTHTSIVRARINEFQRKIDARKTNINANSAKVAPSSKCPPGGEGKVVLYFTSLRGIRKTFEDCWAVSMILKGYGVRVDERDVSMHAGFKEELIDILGPVYGGHRLPRIFADGNYLGGAEEVRHLHEAARLGKFLECCETMPSRGKGGSVISSCEGCGDVRFVPCETCSGSCKVYVEEEEDDVGGFRRCSDCNENGLVRCSLCC >Ma08_p25610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38378265:38383041:-1 gene:Ma08_g25610 transcript:Ma08_t25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (carboxymethyluridine(34)-5-O)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G36310) UniProtKB/Swiss-Prot;Acc:Q94A09] MILRSVGSASNYYQGLRTSLSCSRAALQLYPETPVGDTCFGMSESLQCQRESHSSTNFSSANANGSSETTEPVSPHDDSTCSLSIQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVASFLNSLRQASVILDAGCGNGKYLGFNPDCFYIGCDISPSLIEICARRGHDVMVADAVNLPYRNNFGDAAISIAVLHHLSTEMRRRKAIEELVRVVRKGGLVLITVWAVEQEDKSLLTKWTPLFHKYDEEWVKPSSPVRNNPVNKLESIPELDESCRQDTKQTCNELSDDKMESMDSIMVDASISSATTGSHLYPISGQTETQQEYFVPWHLPYHRAEISGASASAVETGLAKKDDKKGAMVYNRYYHVFVEGELQRLVSGIDNASIVDQFYDKSNWCIILEKS >Ma05_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7218151:7229602:-1 gene:Ma05_g10040 transcript:Ma05_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRAAGRSPSDPNRMAAELPLVVSLNCLEDPSLEREALAGAAAVEYVGLPGLSSGRIESATAVLIHSLALLPRAAQRRLRPWQLILCLGSPDRAVDSALAADLGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHSSSSSAASGWLGSIQPLCRGMRRCRGLVLGIIGRSASARCLATRSLAFKMSVLYFDLHHEVNGKSRRSSIAFPAAARRMDTLNDLLAASDLVSLHCSLSDDTMHILNAECLQHIKPGAFVVNTGSSQLIDDCALKQLLIDGTIAGCALDGVEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFIFEGVVPEIAVSDEDDMSEAGYEDVQSEKRVKESPFQVQDCEQQTDESHLSSEYTKTKGIQQFKESQGSGISQNTGSRSEGRRSRSGRKGKKRPARRRSQQKSDDFSAVESDTNYNSHRGDDTAVSGRDQLLSSSSRFASPEDPKSKQMCLFEPMMETKSGKQLALSTEINSKSPERLKDGFIVALHARGNSGFHVARQRVPGGGWFLDTVTNVTKRDPAAQFVVAFKSKDILGLRSFAAGGKLLQINRKMEFIFASHSFDVRESWMLEGSVLEQCKIVNCRNPLAVLDVSIEIIAVLNEDGITRWLD >Ma09_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36330327:36331283:1 gene:Ma09_g24690 transcript:Ma09_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNEIALELAPIIRIYKDGRIERLDADVQLPPSVDTATGVVSKDVPIAAGVTARLYLPAVDAPSKLPVFVYYHGGGFCIGSASSSIYHVFLNTIVSTASVIAVSVDYRLAPEHLIPAAYEDAWCALRWVASHAEGGPEPCLAKDRTDFTRLFLAGDSAGANIAHNVAMRVAAEGLGVGGARVESMVLLHPYFWGTTRLPCEVGRVDHPVIPPHTVDSMWQLITGGADNDDPRLNPLADGAPSLAGLGCRRVMVAVAEKDTLRDRGRAYFEALRKCGWSGEAQLWETQGEDHVFFLFKPGCNEVSLLVQKLVSFLKE >Ma02_p09780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19615286:19618329:1 gene:Ma02_g09780 transcript:Ma02_t09780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRGPSGKIWDVELVDSSNGLRFESGWKEFVVDHAMEIGDFLVFRLEGCSCFSVLVFDATACEKEVAFLAMPSCGNVATVRQFMHGKEEAVIDRPHLQDIDTVIDVNNDWEEVQDSKPLVLHPSDVPVVEKKKMRSVDCLAFQGSPLKEACIYPDSLKSKQSKTGPHVKAVAKTSTYSQSSRTQSLSKKHAGFSPSKQSNELLKCLRLLKSSEVCRRGEVVAKVQKMPALLSQRRPVTKEEVYNALRKAKSFKSKNPFFHVVMYDSHVYTGFFLNVPSSFARLHLPKISQRITLWDENGKPWKVTYVCCSNCGGLSGGWGAFSFTQNLEKHDVCVFELIKRSLMKVHIFRVVDKITPLIQNFRGES >Ma02_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19615256:19618329:1 gene:Ma02_g09780 transcript:Ma02_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTPMARSSPHFVKVFFPELCSEYLKIPPAFRVHLENESLGMVSLRGPSGKIWDVELVDSSNGLRFESGWKEFVVDHAMEIGDFLVFRLEGCSCFSVLVFDATACEKEVAFLAMPSCGNVATVRQFMHGKEEAVIDRPHLQDIDTVIDVNNDWEEVQDSKPLVLHPSDVPVVEKKKMRSVDCLAFQGSPLKEACIYPDSLKSKQSKTGPHVKAVAKTSTYSQSSRTQSLSKKHAGFSPSKQSNELLKCLRLLKSSEVCRRGEVVAKVQKMPALLSQRRPVTKEEVYNALRKAKSFKSKNPFFHVVMYDSHVYTGFFLNVPSSFARLHLPKISQRITLWDENGKPWKVTYVCCSNCGGLSGGWGAFSFTQNLEKHDVCVFELIKRSLMKVHIFRVVDKITPLIQNFRGES >Ma06_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4630371:4634190:1 gene:Ma06_g06380 transcript:Ma06_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDFSTGDAVMKRQNRGSPIKFLVPLIYAPILPLIRIGLRHNPVMRERLFFGVLAGAFAHGAYLVTDLYDIESK >Ma05_p14930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10947662:10973502:1 gene:Ma05_g14930 transcript:Ma05_t14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALATLTKWIPEDDFLLKNAVEAGASLESLAKGAVCFSRRFTLRELQDRWYSLLYDSDTSAEASARMIEFEIEVSMSNPSKGNRNCNLKGKYSVSGKRKGDSIRSHYHARRKRIRSEPCNSGNPGFLAHYPHVASDSIYGGGDRLNLQDQQQADNICPGERILSCYGYQETGYDNEHIFPEMLKFGSATASGNNCHHAFHTEYVGSVEDECPDGIVDKEYLYDFKENISLELVDKEQLNAAEQSFENDYIQKSPPPQFLRDVQKDPSHFLEENLPSLDASEDINENKPIQPLPINDSCGDKVIEVKPLSSPASENENYDGVQKINNTSLHVPKCGDIVHQLGCSSTTTYPLSGTADISSQSMLMNMHLEDKKVLAIDDHINKAGCHNMSSEANIGEGISNVGLNSPTMISETDLMDFSGAFLEFADDEDIIFMDMDEKDIGDKSSLNGLSSILLSSPSDTHEDDQANCDIKEMENVDTSAVIFEGDQSEGTNKNCDQIGSFCDNDLTVCVRENVPTASSAVSHTVKPLEGLLICTLNTEDPEIPCNDDVLLPTQLLPQFPAPIKLPSTDGKCPLLHVTKVKEEHMPIAQPLVSSFTKVPSALLPKGGLLNSTDDCRLEANSFERVGVSRDASLAAKDKKSCMLQSIALHSVAGALMKEEIVTDSEKQYKFDNSVNPSLGTAAPLSDHAKLYTSNTADGCKSELDMQGISTSDQELQISDSEDDVPNFSDVEALILDMDLGPHDQESCLFTKEVSLYQPVHSKKAIMRLEQGARAYMNRKILSHGAFAVFYGRRMKYFIKKTEVSLGRGTDDVEVDIDLREEGHANKISRRQAIIKMDKDGSFLLKNTGKCSIFVNSKEVAARKRIVLSSSSLIEVRGLKFIFEVNQSAVKRYIATSARGTSKGENTEFNWLNSRNA >Ma05_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10947662:10973502:1 gene:Ma05_g14930 transcript:Ma05_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALATLTKWIPEDDFLLKNAVEAGASLESLAKGAVCFSRRFTLRELQDRWYSLLYDSDTSAEASARMIEFEIEVSMSNPSKGNRNCNLKGKYSVSGKRKGDSIRSHYHARRKRIRSEPCNSGNPGFLAHYPHVASDSIYGGGDRLNLQDQQQADNICPGERILSCYGYQETGYDNEHIFPEMLKFGSATASGNNCHHAFHTEYVGSVEDECPDGIVDKEYLYDFKENISLELVDKEQLNAAEQSFENDYIQKSPPPQFLRDVQKDPSHFLEENLPSLDASEDINENKPIQPLPINDSCGDKVIEVKPLSSPASENENYDGVQKINNTSLHVPKCGDIVHQLGCSSTTTYPLSGTADISSQSMLMNMHLEDKKVLAIDDHINKAGCHNMSSEANIGEGISNVGLNSPTMISETDLMDFSGAFLEFADDEDIIFMDMDEKDIGDKSSLNGLSSILLSSPSDTHEDDQANCDIKEMENVDTSAVIFEGDQSEGTNKNCDQIGSFCDNDLTVCVRENVPTASSAVSHTVKPLEGLLICTLNTEDPEIPCNDDVLLPTQLLPQFPAPIKLPSTDGKCPLLHVTKVKEEHMPIAQPLVSSFTKVPSALLPKGGLLNSTDDCRLEANSFERVGVSRDASLAAKDKKSCMLQSIALHSVAGALMKEEIVTDSEKQYKFDNSVNPSLGTAAPLSDHAKLYTSNTADGCKSELDMQDQELQISDSEDDVPNFSDVEALILDMDLGPHDQESCLFTKEVSLYQPVHSKKAIMRLEQGARAYMNRKILSHGAFAVFYGRRMKYFIKKTEVSLGRGTDDVEVDIDLREEGHANKISRRQAIIKMDKDGSFLLKNTGKCSIFVNSKEVAARKRIVLSSSSLIEVRGLKFIFEVNQSAVKRYIATSARGTSKGENTEFNWLNSRNA >Ma01_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5147747:5149442:-1 gene:Ma01_g07140 transcript:Ma01_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQEKSLEKHQQPAAAMEVEVEAEVEGGVDLSPHVVMLSSPGMGHLIPLAEFARRLAVHHGFSVTLIATADFASSSVHRVFVDSLPPGVAFVTLPPVDVPDGMDITATISLTIVESLPALRRIVSGLKRTARLVAFVADLFGTDTFDLASEFGIPPFIFFASNLFLLSLSLHLPALHETMPRLPDPVTLPGCLPMHWADLPIVVKDWTTEEFMWLLYHLKRYEKAEGILVNSFQEMEPETAAVLKEKKPGQQPIHLIGPLVQNGGSSWSPEESLCLKWLDEQPDASVVYVSLGSLGVLSREQIKEMALGMEMSGHGFLWVVKSPAAEEGAAGGSNADPESYLPECFLERTKGTGLVVSFWAPQVRILSHRAVGGFLTHCGWSSTLESAVHGVPMIAWPLYAEQPMNALMLADRLKVALRVKAGEDGVVRREEISAAVAELMEGEEGRAARERVRQLQVAATAAAAVGGTSHEALAEVVAKWKDSS >Ma04_p29710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30556407:30558744:1 gene:Ma04_g29710 transcript:Ma04_t29710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISGSLLAAARSYSPRSPHAVRRLPCPPVAGPRLQRRRLPLSPPRSLGELGCAQSFLPLYSVVAAPRLTSHLSVSARACCELSQGTFRRTCQDR >Ma04_p29710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30556407:30558744:1 gene:Ma04_g29710 transcript:Ma04_t29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISGSLLAAARSYSPRSPHAVRRLPCPPVAGPRLQRRRLPLSPPRSLGELGCAQSFLPLYSVVAAPRLTSHLSVSARACCELSQDLIGKNGKDG >Ma04_p29710.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30556407:30558744:1 gene:Ma04_g29710 transcript:Ma04_t29710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISGSLLAAARSYSPRSPHAVRRLPCPPVAGPRLQRRRLPLSPPRSLGELGCAQSFLPLYSVVAAPRLTSHLSVSARACCELSQGKNGKDG >Ma04_p29710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30556407:30558744:1 gene:Ma04_g29710 transcript:Ma04_t29710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISGSLLAAARSYSPRSPHAVRRLPCPPVAGPRLQRRRLPLSPPRSLGELGCAQSFLPLYSVVAAPRLTSHLSVSARACCELSQGTFRRTCQDR >Ma04_p29710.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30556407:30557136:1 gene:Ma04_g29710 transcript:Ma04_t29710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISGSLLAAARSYSPRSPHAVRRLPCPPVAGPRLQRRRLPLSPPRSLGELGCAQSFLPLYSVVAAPRLTSHLSVSARACCELSQGTFRRTCQDR >Ma04_p29710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30556407:30558744:1 gene:Ma04_g29710 transcript:Ma04_t29710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISGSLLAAARSYSPRSPHAVRRLPCPPVAGPRLQRRRLPLSPPRSLGELGCAQSFLPLYSVVAAPRLTSHLSVSARACCELSQGT >mito3_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1910485:1910802:-1 gene:mito3_g00260 transcript:mito3_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQSSRPNQINILIIGPVPGQKYSEFVFTIIYPEPATEERQVWVIYIYIIMWNRGMGSISPDGSKSHNTV >Ma03_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26435421:26437550:1 gene:Ma03_g21460 transcript:Ma03_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLGAAINVYANKHKERPDRLIDMLISSHRKVLACVVCGRLKSAFQIASRSGSVADVEYVAHQASVANALPVVDMCRQWLSKYKFGV >Ma08_p31220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42207426:42210719:1 gene:Ma08_g31220 transcript:Ma08_t31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGVFTEASSTASPDLSLQIGPPSIAPSAISDAGSGSRACVDLSLSSTMSSSEGNIFQGFRGPPPFHESIIPLTGTPTYVDGRSPFNQMSSSSLSSSSSSTGCWSAIYSTASYLHPHNGSHSSVSSYTWTPPLPTWIMGSSSDSFSCYHRLPCGVGSWEASQNLMRSRFLPRYPTKRSTRAPRMRWTSSLHTRFVRAVELLGGHERATPKSILELMDVKDLTLAHVKSHLQMYRTIKSTDKPAASSGQSDDSGEEDSAPRDRDQPLLMEHKVSDPPKQDHCLETSSGISREDWLPINSNYLDSTGLRSAPLSSQIEHDSSGSNGTNDSYEEHRIPSLEFSLGRSDWYDKRPLC >Ma08_p31220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42207426:42210719:1 gene:Ma08_g31220 transcript:Ma08_t31220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGVFTEASSTASPDLSLQIGPPSIAPSAISDAGSGSRACVDLSLSSTMSSSEGNIFQGFRGPPPFHESIIPLTGTPTYVDGRSPFNQMSSSSLSSSSSSTGCWSAIYSTASYLHPHNGSHSSVSSYTWTPPLPTWIMGSSSDSFSCYHRLPCGVGSWEASQNLMRSRFLPRYPTKRSTRAPRMRWTSSLHTRFVRAVELLGGHERATPKSILELMDVKDLTLAHVKSHLQMYRTIKSTDKPAASSGQSDDSGEEDSAPRDRDQPLLMEHKVSDPPKQDHCLETSSGREDWLPINSNYLDSTGLRSAPLSSQIEHDSSGSNGTNDSYEEHRIPSLEFSLGRSDWYDKRPLC >Ma06_p32620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33515350:33526015:1 gene:Ma06_g32620 transcript:Ma06_t32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MGESKTVHSALVTYTSVISLLTLCPPFVILLWYTMVHADGSVLQTFEYLKQHGLQGLKDILPAPSPIAWKLIACFGAFEAILQLALPGKRVEGPISPNGNIPVYKANGLQAYAVTLVTYLSLWWFGIFNPAIVYDHLGEIYSALVMGSLVFCLFLYLKGHVAPSSSDSGSSGNFIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGQVSDSMLVNTVLMLVYITKFFWWEAGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVSLGTQLALFILVSGLLCIYINYDCDRQRQEFRRTNGKCLIWGKAPSKIVASYRTEKGETKTSLLLTSGWWGVSRHFHYVPEILAAFFWTVPALFNHFLPYFYVLFLTILLFDRAKRDDDRCASKYGKYWKMYCNKVPYRVIPGIH >Ma05_p30250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40718139:40723122:-1 gene:Ma05_g30250 transcript:Ma05_t30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase AtPK2/AtPK19 [Source:Projected from Arabidopsis thaliana (AT3G08720) UniProtKB/Swiss-Prot;Acc:Q39030] MVSSEMNSVTKITGRKNLNMEILLPTGPPDVAVSENVEFDFSDVFGPGPVQTSVNVNVMNPDNQAPESVPNETVYDDPVVIYKRSHSLVGPSTPVSQSLQLSKLTIHETDNSLELLECASEVTGDLESSTSFTETHDSISEKCEVIGLSDFEVLKLVGKGAFGKVFQVKKRGTSEIYAMKVMRKDKIMEKNHAEYMKAERDILTKVDHPFIVQLRYSFQTKYRLYLVLDFINGGHLFFQLYNHGLFREDLARTYAAEIVSAVSHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFDENTRSNSLCGTLEYMAPEIVLGKGHDKAADWWSVGILLFEMLTGKPPFFSSNREKMQQKIMREKIKLPAYLSSEAHSLLKGLLQKEASKRLGSGPGGSNEIKNHKWFKSIYWRKLEAREILPSFRPNVAGKTCIANFDERWTSMSVLDSPVASPVAGENDFAGFTYVRPAPFLQKPSPLS >Ma06_p27060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29091011:29092148:1 gene:Ma06_g27060 transcript:Ma06_t27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVVVTLCMALMAMLSPRCTATSPYYGNPPPTPVAKPPSASPPPYHHHHLPVPPEHHPPKYHHRAPPTPPSHGAQPPVYYHPPPTAGAPPPHHHHHHHHHHHHHQPTPSPPTHVGHPPYDHSSHPPPPSHAHMPPYGRHPPPPSHGYPVPPTHKPQTPPPSYKSPRPPPAPSVHHPCPPLMPPHHPTYPPSHPTPTPPPVHKPLPPPVYHHNSPPPPVYVYPPSPTHMPHHQQPPPPPPPYKTIPEHSPPPRHDHSSPPPPPPPYN >Ma06_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2115091:2116218:-1 gene:Ma06_g02810 transcript:Ma06_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTGRNTRSQAATECTVASKQEESHSRSRNGEERAGLIDVTNDSPVVGLAAGRLLVEKTASSSSVESRVPASRTPVSGEEVLRGQVRTLLQKVEEDAELVDKLPVGHPTPLFSSILDLARSPMRLLAPTPANTPQIPDLNGSKEGFASVGFASPCVYPEQDDQIKFVHVVSAFRAEERLGPQELLINRTLTFDSPEKSDVSSGDEEEEELDGLCDGLKKMRVQDVKHRLAEFTGKHTRFIYNSDDEIEGEEEVMAASPSVLVLKGLPTPEGKHLRFQEEDEED >Ma03_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6839055:6843464:1 gene:Ma03_g09290 transcript:Ma03_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVIEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Ma10_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29075897:29085068:-1 gene:Ma10_g17870 transcript:Ma10_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGNAVVESEPMQFPMGGGAGEAPRQWYVDERDGFISWLRGEFAAANAIIDLLIHHLRVVGEPGEYDHLAGCIHQRRFHWTPILHLQQYFPVADAMYALQQVEWRQRQQNLQRHSYGPKEKDGRKSGFGHRYGHRSNGVRDSHGSPAPGAATSDGGIADGREDKPETYKDPTQKSDAQTSEAKDSPTQAESDGICDVPSSKSYCSLKDGGNPVETNPDESEPAIVGDSQALDCRGTCNGSVNGDSDITSNQDENQKAISMPKEFLAKEISDGMMVNVVEGLKLYEDLLDSSEITRLVSLANEMRAAGHRGELPGQTLAILKRPMRGHGREMLQFGIPISEGPAEDENTTVTSGERRVEAIPSLLQDVFDNLVQLQVLPVKPDYCIIDFFNEGDHSQPRTWPPWYGRPVCNLLLTECDIVYGRAVESDHRGDYNGSLKLSLTAGALLVMQGKSADLAKRAIPALRKQRFLLTFGKSRPKNTLPTVHPASGLSSVRSPNFYRHPSGRKYYGDIPTTGVLQALPFHSQNMPPPNGAQPVFVTPPVVASAAVPYPTPTMMAPTTAGWTVAAPPRHTAPQVPVPGTGVFLPPGSVHLPPSQQFSVAPISVAASYTPHTFASPESNGVEKPSCNNDASPKISPKTSPNSITDLTGPKLENNGCLSAGNAAPDEEQQAVAKKFVNKLTENVAKSMNE >Ma04_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26925550:26927174:-1 gene:Ma04_g25090 transcript:Ma04_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAREAEGEISPATWTPGPIIVGAGPSGLAAAACLADVGIPSTVLEKSSCVASLWQHRTYDRLRLHLPKQFCELPLMGFPEGFPKYPSKQQFVSYMESYAAAFGVRPRFRTEVLAAEFDGAIGAWRVRTRDGGELVSRWLVVATGENAEPVIPEFPGMDRFGGRVVHTCAYKCGADFKGEKVLVVGCGNSGMEVSLDLCRHGARPYMVVRNTVRVLPREMLGLSTFGVSMALLRWLPLRLVDQFLCAMAHLLLGDTDRLGLRRPKTGPIELKNLTGKTPVLDVGALAQIKSGNIKVMQGLKEMTTGGAKFVDGTEMQFDSIIMATGYKSNVPSWLKGDCGLFTKEGMAKDPFPGGWKGEKGLYCVGFTRRGLLGASHDALNIARDVLLRWKEPRHMAMR >Ma05_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16821922:16826689:-1 gene:Ma05_g16510 transcript:Ma05_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREQQQQAAYTVEQLVAVNPYNPDILTDLENYVNEQVSSQTYSLDANLCLLRLYQFEPGRMSVPIVVRILIKALMAMPATDFSLCLFLIPEQVQMEEQIKTLIVLSHYLETARFRQFWEEAAKNRSTLEVVPGFEQAIQGYAIHVLSLTYQKVPRPVTAEAINIEGLSLDKFLEYHVANSGWVLEKGHSRSQLMVLPRNEFNHPELKKNTVDGMPFEHVTRIFPILS >Ma03_p29520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32406945:32411498:-1 gene:Ma03_g29520 transcript:Ma03_t29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLRPPVRSTAAQLLPLASSSSPPLPPRRSGTLGLTTPLYHRRPDLSSAPSKTLSPSPALAFPVFPSPFPEPKRRILVKAASSFPSSDEESERAKLAQVSKRLENTSRYFKRLGSLGFWGQLVCTVVAAVILSFSTVVTGKITSPVTFYTTAGGIAAAFISVFWSFGYIRLSDRLRRTANEPAKAPPRADVVKTLKNGIVLNLVGMGAAILGMQATVGLLVAKALTTSAVPYYQGISPGQSPVLALDVFLVQASANTILSHFLGLVFSLELLRAVTLPNLEAVPIPKAA >Ma01_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3092459:3103480:-1 gene:Ma01_g04580 transcript:Ma01_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAATKFEYSLSLSWKSYNVGQINARELSDAVWKNFFQGKLTFSHWNKGEEMTPTLVGDGGTLLVRKLPFPSPTQVFVGDVVMLKDPEKTADYVVRRLAATEGYELCSTDDKDEPFILEKDQCWVLADNESLKPKEAKDSRLFGPVPMTNIVGRVIYALRSAVDHGPVQNSHMAMRQDSSVLAVELDIEEMVKKMKS >Ma11_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26299233:26302959:1 gene:Ma11_g22560 transcript:Ma11_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASFTPSKSLCRSHSPSSSRSSPPSISSSIGFSDGRRGSWARIVSGGLDLAGRSHAPAEMLVGSTSKEASSGIDGWRRRRRRRGGVGAIMCSADGIGSGLEIEQQQGLAAVVIPERAKVLALVALVMCLCNADRVVMSVAVVPLASQYGWSSSFMGIVQSSFLWGYPISNIAGGALADRYGSKRVMAWGVVFWSIATFLTPWAARHSTAMLLAIRALFGLAEGVAIPSMSTHLFRWFPCNERASAVGIAMAGFHLGNVMSLLVSPIILSSVGINGTFAFFASLGFVWLSAWTGRIPNDPRDSPDISHSELQLIRAGKIDSKTESSQLPPLRHLFSKLPALAVVLANVANNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAVPFGVMALSGYLAGASSDLMIKSGSSIIHVRKLMQTIGFIGPAVSLLLLRYAQTPTSAAVLMTMALSFSAFSQAGYFLNIQDIAPKHAGFLLGITNSVGTLAAIISTVGAGLFVQWMGSFQAFLTLTAAIYFVIAIFYNLHATAEQVFF >Ma02_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7134459:7135512:-1 gene:Ma02_g00870 transcript:Ma02_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCFLHRGASFRIVLAAALLLLLGPQNGCWCYEYKVGDLDCWGVPPPSNSLLYSAWSQNHHFRLGDSLLFLYPPSQDSVIQVTERAFNSCSLGEPVLNLDDGNSLFNLTVPGYYYFTSGVADHCKKNQKLVVAVPSANGTFFPPPAFDSTAPPAESQSYPIVFGPTPAQGSSGTQAAVVEVSTAVGSVAAAVVFLGFTLM >Ma06_p35960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35637574:35639532:1 gene:Ma06_g35960 transcript:Ma06_t35960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELPFVPRERLLKHQQYFQHVHRHTYLKGRFDKITSVAIPLALAGSCLALIGRGVYNMSNGIGKQA >Ma06_p35960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35637640:35639536:1 gene:Ma06_g35960 transcript:Ma06_t35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTELPFVPRERLLKHQQYFQHVHRHTYLKGRFDKITSVAIPLALAGSCLALIGRGVYNMSNGIGKQA >Ma11_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3906572:3910338:-1 gene:Ma11_g05070 transcript:Ma11_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAWSRLSPAASKRHQTAPHSRYADASRDLSLRGWRDLYLGFEELDGGEDDPRAEFPCPFCSEDFDIVGLCCHIDDEHPVEAKNGVCPVCAASVGLDLVGHLTTQHGSFFKMQRRRKCRRGSFAPRTMLSLLRKDLRDGNLQALLGGSSYGAPPPPMATPDPFISSLIYTLPLDESLKDARPESFDEGTLVSKSSDEKVVDRIEPSLSDKDQKERAQRSEFVQGLVLSTIFDDAL >Ma05_p16080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15222306:15226833:1 gene:Ma05_g16080 transcript:Ma05_t16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGFWECLLKLLNFLLTLTGLAMVGYGIYLLVIWNKASSSGVGEDLAFPTGGDPEFLKLGRPMLVAVSLSSSFLDHLPKAWFIYLFIGVGIIIFVISCFGCIGALTRNGCCLSCYAFLVILLVLVEVAAAAFIIFDHSWKDLIPDDKTGNFETIYNFLEDNWKIAKWVALGAVILEAFVFVLALIVRAANRPAEYDSDDEYIAPRSTIRQPLMNRQGTPATGVPVLGTLDHRPSRNDAWSQRMREKYGLDTSEFTYNPSDPNRYQPATAPQVEERGRCIIM >Ma05_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15222302:15226833:1 gene:Ma05_g16080 transcript:Ma05_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGFWECLLKLLNFLLTLTGLAMVGYGIYLLVIWNKASSSGVGEDLAFPTGGDPEFLKLGRPMLVAVSLSSSFLDHLPKAWFIYLFIGVGIIIFVISCFGCIGALTRNGCCLSCYAFLVILLVLVEVAAAAFIIFDHSWKDLIPDDKTGNFETIYNFLEDNWKIAKWVALGAVILEAFVFVLALIVRAANRPAEYDSDDEYIAPRSTIRQPLMNRQGTPATGVPVLGTLDHRPSRNDAWSQRMREKYGLDTSEFTYNPSDPNRYQPATAPQVEERGRCIIM >Ma09_p30780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40722611:40727154:-1 gene:Ma09_g30780 transcript:Ma09_t30780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLAMWFLLGLHGAVCQIGNGPSSSSKPTMVNIGALFTYNSTIGRAAKIGIELAAEDVNADSTILAGTTLNVIAQDTNCSGFVGTVEALQLMEKKVVAVVGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSPLEFPYFIRTTHSDYFQMNAVADLVEHFGWREVTAIYVDDNYGRGGVIALGDALARKRSKISYKAGFPPGADSDVIRDLLAGVNLMESRVYVVHVNPDSGLSVFSLAKNMGMMGTGYVWIATDWLASDLDSFGTEDPSTMSLVQGVIVLRHHTPESDLKRRLTSRWKSMIQEGNTTASLNSYGLYAYDSVWLVARAIDRFLSAGQIINFSVDPRLHDAKGSSLRLATLRVFDGGERLLHQLLLTNFTGVSGRLQFDSDRNLVRPAYDILNIEGTGPRLIGYWSNYSGLSVLSPEVLYMQPPNASTPVQRQLHGVVWPGETTTQPRGWVFPNNGKPLRIGVPNKASFKEIVWGSSNSTDPSGFCIDVFNAAVKLLPYPVPCKFIVIGDGSQNPNYDDIVSMVARNELDAAVGDIAIVRNRTRIVDFTQPYIESGLVIVTRVRESSSNAWAFLKPFTVKMWCVIGAFFFLVGAVVWILEHRSNPEFRGAPRKQIATMFWFSFSTMFFAHRENTVSTLGRFVLIVWMFVVLIINSSYTASLTSILTVQQLSSGIKGLDSLLSSSEPIGYQKGKFSRNYMIEELNIPQSRLVPLNSPAEYARALRLGPKGGGVAAIVDEIPYVEIFLSAYCQFKIVGQEFTKNGWGFAFQRDSPLAVDLSTAILALSENGDLQTIHEKWLSRAGCPSQGVEEEANRLSLSSFWGLFLLSGIVCFLALILFCIKVCYQYAMYSSAEADKPKETEPSNGSQHALCKLKSIKALIRFFDMKEEEINKVITKKPSGTQNGPQTSDDGHSLPSS >Ma09_p30780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40722611:40727132:-1 gene:Ma09_g30780 transcript:Ma09_t30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLAMWFLLGLHGAVCQIGNGPSSSSKPTMVNIGALFTYNSTIGRAAKIGIELAAEDVNADSTILAGTTLNVIAQDTNCSGFVGTVEALQLMEKKVVAVVGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSPLEFPYFIRTTHSDYFQMNAVADLVEHFGWREVTAIYVDDNYGRGGVIALGDALARKRSKISYKAGFPPGADSDVIRDLLAGVNLMESRVYVVHVNPDSGLSVFSLAKNMGMMGTGYVWIATDWLASDLDSFGTEDPSTMSLVQGVIVLRHHTPESDLKRRLTSRWKSMIQEGNTTASLNSYGLYAYDSVWLVARAIDRFLSAGQIINFSVDPRLHDAKGSSLRLATLRVFDGGERLLHQLLLTNFTGVSGRLQFDSDRNLVRPAYDILNIEGTGPRLIGYWSNYSGLSVLSPEVLYMQPPNASTPVQRQLHGVVWPGETTTQPRGWVFPNNGKPLRIGVPNKASFKEIVWGSSNSTDPSGFCIDVFNAAVKLLPYPVPCKFIVIGDGSQNPNYDDIVSMVARNELDAAVGDIAIVRNRTRIVDFTQPYIESGLVIVTRVRESSSNAWAFLKPFTVKMWCVIGAFFFLVGAVVWILEHRSNPEFRGAPRKQIATMFWFSFSTMFFAHRENTVSTLGRFVLIVWMFVVLIINSSYTASLTSILTVQQLSSGIKGLDSLLSSSEPIGYQKGKFSRNYMIEELNIPQSRLVPLNSPAEYARALRLGPKGGGVAAIVDEIPYVEIFLSAYCQFKIVGQEFTKNGWGFAFQRDSPLAVDLSTAILALSENGDLQTIHEKWLSRAGCPSQGVEEEANRLSLSSFWGLFLLSGIVCFLALILFCIKVCYQYAMYSSAEADKPKETEPSNGSQHALCKLKSIKALIRFFDMKEEEINKVITKKPSGTQNGPQTSDDGHSLPSS >Ma04_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26674768:26676785:1 gene:Ma04_g24720 transcript:Ma04_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRGSIFIRLVSAAGTGFFYVKRKNPGKMTEKLEFRKYDPRVNKHVLFTEAKMK >Ma04_p24720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26674768:26676785:1 gene:Ma04_g24720 transcript:Ma04_t24720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRGSIFIRLVSAAGTGFFYVKRKNPGKMTEKLEFRKYDPRVNKHVLFTEAKMK >Ma07_p05950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4299770:4302929:1 gene:Ma07_g05950 transcript:Ma07_t05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSTLLSPRHKLRIDASVQLQACHFQLQEKHCPPQQQKMGTQRLDLPCGFASRKDPLRVALSVEKPAAEARGTSCSFRRNPVTHSSSSSMVARTSSWGGTGEIDGGHGGPWERRRSSKRFHERGSCDDYRAKRTRTGGIAGLVDVEGEVWLPQSTQEPPLVEGEKVFLVPTAASFPLPSSSSHTLVGGSSGPDNDLSTGENPNGKSQSDSSSSSSSAYVSSPEPTKDSSGNTASNGSRIPCSSGVADAGGDGDGSLTEQQGLELVRLLTSCAESISTGNYEAMNFFLARLGEMATPQGTPIHRVVAYYTEALALRVARLRPHMFSVAPPRSLLDPTEDDNAIALRLLDCVSPIPKFLHFTLNERLLKALEGRDRVHIIDFNIKQGLQWPSLFQSLASRRPNPPSHVRITGVGESRQDLQDTGIRLARLAESFNLAFEFHAVVDRLEDVRLWMLHVKREECLAVNCVLTMHKALYDESGKAFMDLLDLIRSTHPEIVVMAEQEAKHNEPNWETRLARSLSYYAAIFDSMDDALPKDSQARIKVEEVFAKEIRNIVACEGDERTERHESFDGWSKLMEDGGFRCLGIGEREMLQSRMILRMYSCEKYSIEKQGEGDGLTLMWLDQPLYTVSAWAPRDVAGSSSMSQPS >Ma07_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4299770:4302929:1 gene:Ma07_g05950 transcript:Ma07_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSTLLSPRHKLRIDASVQLQACHFQLQEKHCPPQQQKMGTQRLDLPCGFASRKDPLRVALSVEKPAAEARGTSCSFRRNPVTHSSSSSMVARTSSWGGTGEIDGGHGGPWERRRSSKRFHERGSCDDYRAKRTRTGGIAGLVDVEGEVWLPQSTQEPPLVEGEKVFLVPTAASFPLPSSSSHTLVGGSSGPDNDLSTASNGSRIPCSSGVADAGGDGDGSLTEQQGLELVRLLTSCAESISTGNYEAMNFFLARLGEMATPQGTPIHRVVAYYTEALALRVARLRPHMFSVAPPRSLLDPTEDDNAIALRLLDCVSPIPKFLHFTLNERLLKALEGRDRVHIIDFNIKQGLQWPSLFQSLASRRPNPPSHVRITGVGESRQDLQDTGIRLARLAESFNLAFEFHAVVDRLEDVRLWMLHVKREECLAVNCVLTMHKALYDESGKAFMDLLDLIRSTHPEIVVMAEQEAKHNEPNWETRLARSLSYYAAIFDSMDDALPKDSQARIKVEEVFAKEIRNIVACEGDERTERHESFDGWSKLMEDGGFRCLGIGEREMLQSRMILRMYSCEKYSIEKQGEGDGLTLMWLDQPLYTVSAWAPRDVAGSSSMSQPS >Ma08_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11173484:11175846:1 gene:Ma08_g14030 transcript:Ma08_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) UniProtKB/Swiss-Prot;Acc:Q84WE9] MEEAEEVKEMSELLSIPKTPRPPVSGPPSQLHSPSLTRSPMLHSPTPQTPRPSELHSIPTTPRPPVSGPPSQLHSPSLTRSPLLHSPTSQTPRASELHSIPATPRPPVSAPPSQLHSPSLTRSPLLHSATPRTPRTPRFARTPLASPMRRAIVNMRAYLEDIGHLTKLDPHDAWLPITESRNGNAYYSAFHTLSSGIGFQALVLPLAFTFLGWTWGILCLSVAFAWQLYTLWLLVQLHESVPGTRYCRYMHLAEATFGAKLGKWLALFPIFYLSAGICTAIIIVGGGAMKLFFEIVCGATCDSKPLTAAEWYLVFTCLAGVLSQLPNLNSIAGVSLIGSVTAVTYCTLIWVISVSKGRLPGVSYHPVEAKSDVDRVLGILNALGIIAFAFRGHNLVLEIQATMPSSLKHPSRVPMWRGVKAAYLIIAFCLYPIAIGGFWAYGNMIPSNGMLTALYAFHGKDTSRILLGLTALLVVVNCLSCFQIYAMPIFDSMEAGYTKKKNRPCPRWLRSAFRAFFAGISYFMAVAFPFLSDLAGLIGGMALPVTLAYPCFMWILVKKPERYSAMWYINWVLGSAGMVLSLILTISGVWNLVKTGIDVRFFKPQ >Ma04_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2535714:2539370:1 gene:Ma04_g03260 transcript:Ma04_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSISQPECKIATTSKGPSPTSQLHVHRRGLQVDVRDQYDTRHVVVSWSLFLLLGVFVLTASHFVLSCALTRYAYDVVAQLSLTSASGLSYLCLSAFVHHFLFLDKLVGETKRVREGYMDQLNRSFRLLFIFVMSCCAGEVAYKVWWHSSGSELVLFTVAGNRVVGNMVACALELASDIRKLLSSSLVRERLHAYDALLGNNDSVLLLVVASMTTPPFAIDGYLSFFLT >Ma04_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24652538:24653265:-1 gene:Ma04_g22290 transcript:Ma04_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGVFAASLAAVSSAALSTTNPSSSTSTSSSSKEGLGSSRKQDAAGSGSRRDAEDKFAPRFDGLRFIETLVTAHR >Ma11_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24966693:24975314:1 gene:Ma11_g20500 transcript:Ma11_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYATGVNVMLAVQEKKTVAVDLYRPLRQYIAVTYGEQEAQAVEDDLDAVRQLRLDLEKPPNAAASPSLRRDNLLSYYRALAVIEPRFPISPDRDHVHTLTFTWFDAFKPAKKAAQTSIHLEKAAVLFNLGAVHSQIALSADRADAAGLKQACNAFQTAAGAFAYLRDNAAGRATTAGGATVDLSVECAGMLEKLMLAQAQECFFEKVIGDAKPPALCSKVARQVGLYYEEAYAVLNAPPLNQHFERTWISHVQLKAAQFYAEACYRYSLDLHEKEEIAEEICRLRIGISALADAKKSARGVAQPLLDAVNKLETNMNQNLETATKENDRVYLMRIPAASSLTALPAASLVKPTSMAEVLDASKESLFSRLVPDSSTKALSRYTDMVDDIIRTQAEKLQQGSEITRVKLKEMDLPDSILALEGNFSLPLDLKEDVEVVQISGGPSGLEPELQQLKDLRRVNQELLVQTEELLKKEADEDAQFRTQFGTRWTRTQSSVLTKNLQDRLNKFAANLKQAADSDARIERAMRDNFNLMAMLDHRPIESALPSLSRPIMSLDGNEDAIVGALKQSLRQLENLGAQRAGLEDILKEMKRKDDILPKLMASTGSQEDLFKKEISKYDQICEEIAQNIEAQEQLLLQIQAQNDDFSSVFNLEDYKVAREKSYKQISAAIAKYREIKDNINEGLKFYVTLQDAITNVKQQCSDFAMTRNIQCREMIEDVQRQIAGLNFPDGRAGYNHPSVGQSNLQRNASQQPDPQSAQPYPQPTYHHPPGEHPRPGYSHPYPPYATPPQVPFQAPTSQYPGYRGPYYNAPQQQPGSHPRPPYTIPSPYPPSHQGGYHRPQ >Ma07_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31459641:31461724:1 gene:Ma07_g23990 transcript:Ma07_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPACPKTLAYNMRLQQREKKIEKERRIKTIRERERERALRRGMHVLFCWFGISNLSECQ >Ma09_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29962923:29968436:-1 gene:Ma09_g20760 transcript:Ma09_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSIASSGCPEYCMWASSAVVRRQLFLIRSLGGYCCRIHSHRMRGIQGANVEASEADAESIIRRVTPALDPIRYKGQAGKIAVIGGCREYTGAPYFAAISALKLGADLSHVFCTKDAATVIKSYSPELIVHPVLEESYSVRDDERDSVSAKVLSEVGKWMERFDCIVVGPGLGRDQFLMGCVSDIMRHARQSSIPIVIDGDGLFLVTNNLDLVRGNPLAVLTPNINEYKRLVEKALDCEVNDEDGTNQLQLLARRIDGVTILRKGKSDFISDGEAVTSVSTFGSPRRCGGQGDILSGSVAVFSSWARRHLLSTKEATGKSLSNPMVLGCIAGSALLRRAAALAFQNKKRATLTTDIIEYLGKSLEDICPAE >Ma11_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6224903:6232716:1 gene:Ma11_g07800 transcript:Ma11_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFTRLFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNVKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRLVTAKDEFHAILEEEELKSAVVLVFANKQDLPGALDDAAITEALELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >Ma02_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7632021:7633152:-1 gene:Ma02_g00960 transcript:Ma02_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQEEMLIQKISEIYGDISNLPSLCPSKEVDMLFTELVLTCIPPVSTDVSKLSKEVQDMRCKLIKLCGEAEGLMESHYSDMLACYDNPLDHFDLFPYYSNYLKLSQLEYTLLATYVPSSPPTRVAFVGSGPLPLSSFVLAARHMQEAQLHNYDLDAAANARARLLVRGDPDMAARMEFHTADILGVTHELRGYDVVFLAALVGISHDDKVRVIEHLAHHMAPGAVLVARSAHGARAFLYPVVDPAADLRGFEVLTVHHPTDEVINSVIIARKPHGGHAAGAAVMRPCKCCEMMQGFHHFGQGSIMEEITLEELPS >Ma04_p27440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28600926:28604390:1 gene:Ma04_g27440 transcript:Ma04_t27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGDGMAAAEEMLVTVRQGKKKGSGEMKEDDKEEEEEANPSVDADKLSYEIFSILESKFLFGYEDQKLWLTKEPPLPVSDPGTTAAVATPSVKTQRGKVRVLCIDGGGGGGMRGVLPGKALAYLEQALRSRSGDPDARISDYFDVAAGTGIGGVFAAMLFATRDGSRPLFHADDTWRFLVDHGELLFRKASSSSSSSSSSPAFFRRVFRGGVGGGTAAATAAMEKVMKEAFGERLTLRDTVKPVLIPCHDLRSSAPFVFSRADALESESFEFRLWEVCRATWAEPGRFEPAEILSVDRATVCVGIDGGLAMSNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGATGAATVVPEADQRRLRRWGAKEWTRPVARIAADGAADLVDQAVAMAFGQCRSSNYVRVQPSSMGRCVVDVDSDPSPANVKVLLEVAEEMLKQKNVESVLFSGKRIGEQTNMEKLDRFAGELVLEHQQRSCRIAPTVAFKQAASRST >Ma09_p29140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39677219:39680621:-1 gene:Ma09_g29140 transcript:Ma09_t29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVKYGGNDDICPGPFYSNLTDSSLLLSLGQKADVYGPPCKRYRVTAPLIFRAGEKVIDEEKQPRSIDTLPDECLFEILRRLPGDKERSNSACVSKHWLMLVSSIRSSELAACNKACDESVKNPSLFLNNDVIVDEQESENNGYLTRCLDAEEATDIRLASIALGTCSRGGLGKLSIRGSNSTRVTDVGLSAIARGCPSLRVLSMWKVPFVTDVGLSEIANGCPLLEKLDLCQCPLISDKGLIAVAQKCPDLTSLSIKSCSRIGNEGLQAIGRCCPKLKSVTINDCLQVGDKGIASLVSSASSSLERIRLRTLNISDIALAVIGHYGKNVIDLSLTELQDVNEKGFWVMGNTHGLQKLKSISINCCNGLTDTALQAIAKGSPFLKQLFVCKSCYLSDAGLIAFAGTAKALEGLHLEDCNHITLMGILGALLKRSAELKSLSLVRCLGLKDISFEFSQLPSCMSLRSLTIQDCPGVTSASIQVVGRICPQLQNIDLSGQVGVTDESLIPLIESSEVGFVEINLTGCVNVTDDLVTMLIKVHGSSLKMLNLHGCRKITDRSLLAITESCSVLDDLDLSSCSVSDYGVALLASARQLNLHILSLASCSQVTLKSLPFLGNLGLSIVGLNLQDCSLISAHGLRLLEEKLWWCDIIS >Ma01_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16495068:16496139:1 gene:Ma01_g20030 transcript:Ma01_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKISAILITFMLFLSSVSPVVSAGTCPPTKHKPPKSKHHKPKTPTHKPPITVPPVVGKPPITVPPVIGKPPITVPPVIGKPPITVPPVIGKPPIIVPPITVPPVIGKPPITEPPVVGVPPVVIPPTLPPRNTPCPPPPPPPAPPASPSCPVDTLKVGACVDLLGGLVHIGIGDPVVNRCCPLLQGLVEIEAAVCLCTSIKLKLLNINIYLPLALQLLLTCGKTPPPGYTCTI >Ma04_p01920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1673369:1676956:-1 gene:Ma04_g01920 transcript:Ma04_t01920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSNREMPGMASSLNGISHTSAAAAAHVALRELQNGHGLQAPFDHAGGGVGHDDFLDQMLSNLPSAWAELGNPRPAWVPPSDAPTAGQRLFSAGRAGESAEEMQYDESLLLANRLRQHQISGGSSPTGEALMLQLSRSGQQQMLSGLLPLPLTLGSAGSADSPNPTGGDGLYNGFGGSLQLAESVNQQSFHHPQSAPMPGQNFGAAPPAAGAGQTPAASTLASASAGGGSGPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMASEVGQSGAGRDGANGGAAGSSDSLTVTEHQVAKMMEEDMGSAMQYLQGKGLCLMPISLASAISSATAGHLGHGLVPGVLPPPNPSGDAPSSPGVSALTAQSTMAAEATKDGVAASKSLSSK >Ma04_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1673369:1676956:-1 gene:Ma04_g01920 transcript:Ma04_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSNREMPGMASSLNGISHTSAAAAAHVALRELQNGHGLQAPFDHAGGGVGHDDFLDQMLSNLPSAWAELGNPRPAWVPPSDAPTAGQRLFSAGRAGESAEEMQYDESLLLANRLRQHQISGGSSPTGEALMLQLSRSGQQQMLSGLLPLPLTLGSAGSADSPNPTGGDGLYNGFGGSLQLAESVNQQSFHHPQSAPMPGQNFGAAPPAAGAGQTPAASTLASASAGGGSGPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMASEGQSGAGRDGANGGAAGSSDSLTVTEHQVAKMMEEDMGSAMQYLQGKGLCLMPISLASAISSATAGHLGHGLVPGVLPPPNPSGDAPSSPGVSALTAQSTMAAEATKDGVAASKSLSSK >Ma06_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2854222:2855549:-1 gene:Ma06_g03950 transcript:Ma06_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAPVRDLHFASTYTSPSVSAPSSPKRVGHHFDFFHHYTSAPTSPSRASAIYSHFNTMDPLPPPPSAGGVPFDWEETPGTPKSRGSPAKDEKGDVFDFAFDFGDKEGLPMLTTADELFEEGKIRPLKPPPRLQYPAAGDRSSVASSPRARGLWSPRHRGRSAGGEEFDPFTAAMVEATKDRRRERTPTPPPVSSSTSPTLKSGGGGSKKWRLRDLLLFRSASEGRATGNRSKDPLRKYTLLSSTSSKKVVAEDAKHSSFRSTETPLPYRQGLFGCLRFNPAVLSISKGFGSHSFGRRR >Ma01_p10440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7520585:7526901:1 gene:Ma01_g10440 transcript:Ma01_t10440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVPNESDRRMVPKDEIDSTSIEEDSSGGSLNGGNQVLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHTGLSRCGKSCRLRWANHLRPNLKKGAFTPEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPNINFQVSDENQQSKNVNEYSYSDKQPNELMQGSNFDIPDITFENFNANYGSLSYAPPFPDIAASNMLNQRIGSLHYPFTNPMSCVKHLRDSENLIPDLHGTDSDGLSTYEHFLFKPSGKIKQTFGLGYPYDPDPNGESLTPLESAVPGSHALLNGTFSASSPIDGTVKLELPSLQYTETDGNSWLACSSTPLEAADTYIESPPTTVSLQSECISPRNSGLLEALLHEAQTLGSAKKKPSDKSSSSTITPGNVAECSGVSISEKLEEYNDPISPLGRSASSVFNEYTPPISGSSLDEFPHSMAPSTSDNILVTAKHVSSPNVVDKGISPCRPDALLGSVWLDDGSQSAKDQSVFNDAIAILLGQDLCNEYKHLPSEPPSMLVQGFGLDSDPWNNMPSACQMP >Ma01_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7520585:7526901:1 gene:Ma01_g10440 transcript:Ma01_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVPNESDRRMVPKDEIDSTSIEEDSSGGSLNGGNQVLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHTGLSRCGKSCRLRWANHLRPNLKKGAFTPEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPNINFQVSDENQQSKNVNEYSYSDKQPNELMQGSNFDIPDITFENFNANYGSLSYAPPFPDIAASNMLNQRIGSLHYPFTNPMSCVKHLRDSENLIPDLHGTDSDGLSTYEHFLFKPSGKIKQTFGLGYPYDPDPNGESLTPLESAVPGSHALLNGTFSASSPIDGTVKLELPSLQYTETDGNSWLACSSTPLEAADTYIESPPTTVSLQSECISPRNSGLLEALLHEAQTLGSAKKKPSDKSSSSTITPGNVAECSGVSISEKLEEYNDPISPLGRSASSVFNEYTPPISGSSLDEFPHSMAPSISAASDNILVTAKHVSSPNVVDKGISPCRPDALLGSVWLDDGSQSAKDQSVFNDAIAILLGQDLCNEYKHLPSEPPSMLVQGFGLDSDPWNNMPSACQMP >Ma00_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44823657:44825156:1 gene:Ma00_g05140 transcript:Ma00_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVSSCRQLLSSPSLPTFLRRPPSPPLPRRFFSCSGRKKRQQWQPRRSSAGFRARCGLLPVDPWAPTVDSQSVASQLFAASLFPYLGFLYYITRSNTAPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFVVLGLREALRKIKEAKKSTPPVVSEVKEKSSV >Ma07_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5104533:5107229:-1 gene:Ma07_g07030 transcript:Ma07_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVTVPSRYVKLTKDQDVPLEEIRPGELNQPVRVPQLGVHRCAECGQPLPESYEPPADEAWTTGICGCAEDPESCRTGLFCPCVLFGRNVERLRDDTPWTTPCMCHALCVEGGIALAVATAVFHGIDPETSVLIGEGLVFTWWMCGIYTGIFRQSLQKKYHLKNSPCDPCMVHCCMHWCAICQEHREMKGRLSDNHLMPVTVVNAPPVQEMSSHNSADSEAGPQQSQLAELKTQAL >Ma09_p30080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40390178:40392439:-1 gene:Ma09_g30080 transcript:Ma09_t30080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYHGKLLFRALLIVFLLEAAEGARVFTIINYCKTTIWPGITPGNSFNGGGFPLKPGESVVFTAPVGWSGRIWGRTGCDFDRDGNGSCQTGACGSVLKCSASGQTPATLAEFTLAPLDFYDVSLVDGFNLPITVTPVNGQGGNCSSAGCDGDLRDNCPSELAVKFDGKTVACRSACDVFNTDQYCCRGVFGNSVICQPTYYSKIFKQACPGAYSYAYDDPTSIFTCVGGDYIVSFCSKSRKQRVCTYHINKLICSGSPGRGASSTGKWLAVLLLGLASMWWSSWL >Ma09_p30080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40390178:40392440:-1 gene:Ma09_g30080 transcript:Ma09_t30080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYHGKLLFRALLIVFLLGAEAAEGARVFTIINYCKTTIWPGITPGNSFNGGGFPLKPGESVVFTAPVGWSGRIWGRTGCDFDRDGNGSCQTGACGSVLKCSASGQTPATLAEFTLAPLDFYDVSLVDGFNLPITVTPVNGQGGNCSSAGCDGDLRDNCPSELAVKFDGKTVACRSACDVFNTDQYCCRGVFGNSVICQPTYYSKIFKQACPGAYSYAYDDPTSIFTCVGGDYIVSFCSKSRKQRVCTYHINKLICSGSPGRGASSTGKWLAVLLLGLASMWWSSWL >Ma09_p30080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40390178:40392445:-1 gene:Ma09_g30080 transcript:Ma09_t30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYHGKLLFRALLIVFLLGAEAAEGARVFTIINYCKTTIWPGITPGNSFNGGGFPLKPGESVVFTAPVGWSGRIWGRTGCDFDRDGNGSCQTGACGSVLKCSASGQTPATLAEFTLAPLDFYDVSLVDGFNLPITVTPVNGQGGNCSSAGCDGDLRDNCPSELAVKFDGKTVACRSACDVFNTDQYCCRGVFGNSVICQPTYYSKIFKQACPGAYSYAYDDPTSIFTCVGGDYIVSFCSKSRKQRVCTYHINKLICSGSPGRGASSTGKWLAVLLLGLASMWWSSWL >Ma09_p30080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40390178:40392443:-1 gene:Ma09_g30080 transcript:Ma09_t30080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGTAGASRTCLVPWERSQRSRGESRERWRRGGRRIHTLLLPSLKQGAEAAEGARVFTIINYCKTTIWPGITPGNSFNGGGFPLKPGESVVFTAPVGWSGRIWGRTGCDFDRDGNGSCQTGACGSVLKCSASGQTPATLAEFTLAPLDFYDVSLVDGFNLPITVTPVNGQGGNCSSAGCDGDLRDNCPSELAVKFDGKTVACRSACDVFNTDQYCCRGVFGNSVICQPTYYSKIFKQACPGAYSYAYDDPTSIFTCVGGDYIVSFCSKSRKQRVCTYHINKLICSGSPGRGASSTGKWLAVLLLGLASMWWSSWL >Ma09_p30080.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40390178:40392444:-1 gene:Ma09_g30080 transcript:Ma09_t30080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYHGKLLFRALLIVFLLEAAEGARVFTIINYCKTTIWPGITPGNSFNGGGFPLKPGESVVFTAPVGWSGRIWGRTGCDFDRDGNGSCQTGACGSVLKCSASGQTPATLAEFTLAPLDFYDVSLVDGFNLPITVTPVNGQGGNCSSAGCDGDLRDNCPSELAVKFDGKTVACRSACDVFNTDQYCCRGVFGNSVICQPTYYSKIFKQACPGAYSYAYDDPTSIFTCVGGDYIVSFCSKSRKQRVCTYHINKLICSGSPGRGASSTGKWLAVLLLGLASMWWSSWL >Ma11_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:624956:634598:1 gene:Ma11_g00860 transcript:Ma11_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADFASFSVPLLSTIVGGVLILLFFADYFRRKRAEISTIAAAQSPQQPDATKPPPSSSSSAVASKKTHRQRHHSHSATDKDQNRKHHHLDVNTLKGHGDSVTAICFSSDGHSLATACADGAIRVFRLDDASSKSFKFLRLNLPAGAHPTAIAFSEGSSSVVVAAQMLSGSSLFMYGDVGPKPSAEGKQQAKLPLPEIKWEHQKIHDQGSVLNLSAVPATYGTADGSIIIASCSEGTSIKLWHGKSGKELGTVDTNQLKNNMATLSPNGRFLAAAAFTADVKVWEIVYSKDGSVKEVLKVMQLKGHKSAVTCLCFTHNSEQIITASKDGSLRVWNINVRYHLDEDPKTLKVLPIPLHDSKGAVSNYNHISISPDGKILATISGSTLQWLCAGTGSVLDTADKAHEGDITGIAWAPQSVPVGGAPTMVLATAGADKKVKLWLAPESNPSR >Ma04_p30270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30989618:30996502:-1 gene:Ma04_g30270 transcript:Ma04_t30270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTETSVPTLTERVRIDGAPAKASLAADGTLRWSSGEGGERCLALESEGLGLDVVGRRITIRAFVGALKGLSSCGGRRGAGKRVRRDHVLEMPTEELASRWSERMRAYIDSLGRPKRLLIIVNPFGGNKSARKIFDTEIKPLLADAEVLYTLKETKYQLHAQEIANTMDLIKYDGIVCVSGDGVLVEVVNGLLHREDWDTAIQVPLGVIPAGTGNGMAKSLLDAAGEVYSVSNATFSIIRGYRRTLDVVTISQEKTKFFSVLMLTWGLVADIDIESEKYRWMGSARLDFYSLLRIINLRKYNGHVQFVPAPGYEAYGEPINQTNCCSSNTMLYKDDQGDEANVRPCGYQGPSISLDGCEWRSIDGPFISVWINNVPWASEDIMPAPNAKFSDGYLDAVIIKECPKSALLSLMLKMSDGSYCKSPYVVYLKVKAFRLEPGKQVGHPTKGGIIDSDGEVIARGDDLHCEDQQQNLMMAYGPPIQMTVDQGLATIFSPR >Ma04_p30270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30989618:30996054:-1 gene:Ma04_g30270 transcript:Ma04_t30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLDAAGEVYSVSNATFSIIRGYRRTLDVVTISQEKTKFFSVLMLTWGLVADIDIESEKYRWMGSARLDFYSLLRIINLRKYNGHVQFVPAPGYEAYGEPINQTNCCSSNTMLYKDDQGDEANVRPCGYQGPSISLDGCEWRSIDGPFISVWINNVPWASEDIMPAPNAKFSDGYLDAVIIKECPKSALLSLMLKMSDGSYCKSPYVVYLKVKAFRLEPGKQVGHPTKGGIIDSDGEVIARGDDLHCEDQQQNLMMAYGPPIQMTVDQGLATIFSPR >Ma06_p27940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29789558:29796308:-1 gene:Ma06_g27940 transcript:Ma06_t27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSMGRRCFSSRNMAVIPCSILLLFSVVAVQVGGQFTDGLGFISLDCGYGRDAQAYYVGTISGITYVSDAPYIDSGEPHNISVEDASVNLFQRYLTVRSFPSGARNCYTFKSMTPRLKYLIRASFFYGNYDSKNSSSVQFDLHLGVNFWKTITVTSRSNVYFAETIMEATTDLISVCLVNTGRGTPFITSLELRPLNRTLYPVVNASLSLILLSRFDMGRIGGYLRFPSDRHDRIWNPFDDTTSLTKTSTNLPVENPVDDHFEAPLDVMNTAVVPVNSNKLELSLATEPGGLDEYYAVLYFSELKPLLQNESRQFFVYLGGTLLNDAKPFTPDYLSSSAVYSTNPTSAPTHYNISLVSTSDSTFPPILNAGEVFSTMQNTIVPSDSRNGQPPILIGSENIHIVEWVYERLAKGNVEDVVDKSLQGEYDVNCAWKIAYVALNCAMQSSTKRPTMTEVVMQLKERLALQSNLDETKLEDRNTLKRLKEHVEMHQISTFEIECASISDKDGPSAR >Ma03_p30820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33289985:33294309:-1 gene:Ma03_g30820 transcript:Ma03_t30820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRIPATRHRLFAVISPSSPAQKRCLACKHSASLPTTDAPTKPGERAPPLSRKRATADHRTLTALIDSFLHAKNLKSAFSAVGLMFKSGHASDAFLLNLLMKGLCKGHSVSEAVKLFREIEHKKWIPADSVTYNTLINGLCKEKRMEEAMELWREMARAGPCCSPNAITYSTIMDGLCKVGRVEEAMSLLDDMKRSGLDADVFVYTSLVSGWCNAGSVDRGMDLFHEMLQKGAHPNLVTYSCLIHGLSKLGRWQEADDMLRSMADRGIHPDVFTYTSLIDGLCKDGKSAEAMRLLDLMVEKGEEPSTVTYNVLINHMCKDGLIEDAFGFLEKISEKGNVPDVVTYNTLILGLCNVGKIDEAVKVFGKMLESSSNVKPDLMTFNTLIHGLCKIGRAQQALNLRKMMVRRGHPCNLVTYNILIGGLLKAKNVRKAMMLKSAMADVGLKPDSFTYSIMLNGHCKMRDVEAAERLLGEMKNNGISPTSYDYNSLMRLHSKTDRMEQALSLFDEMDDNHKPDLVSFTILIDGACKTGDLEFAKDLFADMLHRGITPDLVTYSVLINRLAESGKLDEAMEVLDGMKAKGLSPDVVVYDSLIRQFGAKGETNKIIDLLHEMAANDVALDAKFVSTILDNLPFDGEDKVLLENLPNFSKEISEGTSLSCHELIKKIQRTCPELQIQAS >Ma03_p30820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33289985:33294309:-1 gene:Ma03_g30820 transcript:Ma03_t30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRIPATRHRLFAVISPSSPAQKRCLACKHSASLPTTDAPTKPGERAPPLSRKRATADHRTLTALIDSFLHAKNLKSAFSAVGLMFKSGHASDAFLLNLLMKGLCKGHSVSEAVKLFREIEHKKWIPADSVTYNTLINGLCKEKRMEEAMELWREMARAGPCCSPNAITYSTIMDGLCKVGRVEEAMSLLDDMKRSGLDADVFVYTSLVSGWCNAGSVDRGMDLFHEMLQKGAHPNLVTYSCLIHGLSKLGRWQEADDMLRSMADRGIHPDVFTYTSLIDGLCKDGKSAEAMRLLDLMVEKGEEPSTVTYNVLINHMCKDGLIEDAFGFLEKISEKGNVPDVVTYNTLILGLCNVGKIDEAVKVFGKMLESSSNVKPDLMTFNTLIHGLCKIGRAQQALNLRKMMVRRGHPCNLVTYNILIGGLLKAKNVRKAMMLKSAMADVGLKPDSFTYSIMLNGHCKMRDVEAAERLLGEMKNNGISPTSYDYNSLMRLHSKTDRMEQALSLFDEMDDNHKPDLVSFTILIDGACKTGDLEFAKDLFADMLHRGITPDLVTYSVLINRLAESGKLDEAMEVLDGMKAKGLSPDVVVYDSLIRQFGAKGETNKIIDLLHEMAANDVALDAKFVSTILDNLPFDGEDKVLLENLPNFSKEISEGTSLSCHELIKKIQRTCPELQIQAS >Ma08_p12180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9197463:9200733:1 gene:Ma08_g12180 transcript:Ma08_t12180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDEGGIVEQKRCEGVSEPILDSTPTRNGDDIRGAVIVSQEDPVDGSMPPLALSITIFQPEEVSKANMSASKSTASEGGEKISGMAALKKGFLSRSGSYQEQCRVCQQETEEPLIDLGCRCRGELAKAHRSCIDTWFLTKGSNKCEICQQIAANVPFPESRPSVNNCLWRINSPYVMGQEHERGCFNPLWVAFAILIGGLLLDVLVSVSLGVSALPANIIIGVLIVLGLGTSFRLALECCQGQGARRNLQTTNVTFNPGYHPTV >Ma08_p12180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9197137:9200733:1 gene:Ma08_g12180 transcript:Ma08_t12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDEGGIVEQKRCEGVSEPILDSTPTRNGDDIRGAVIVSQEDPVDGSMPPLALSITIFQPEEVSKANMSASKSTASEGGEKISGMAALKKGFLSRSGSYQEQCRVCQQETEEPLIDLGCRCRGELAKAHRSCIDTWFLTKGSNKCEICQQIAANVPFPESRPSVNNCLWRINSPYVMGQEHERGCFNPLWVAFAILIGGLLLDVLVSVSLGVSALPANIIIGVLIVLGLGTSFRLALECCQGQGARRNLQTTNVTFNPGYHPTV >Ma08_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9196568:9200733:1 gene:Ma08_g12180 transcript:Ma08_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDEGGIVEQKRCEGVSEPILDSTPTRNGDDIRGAVIVSQEDPVDGSMPPLALSITIFQPEEVSKANMSASKSTASEGGEKISGMAALKKGFLSRSGSYQEQCRCRGELAKAHRSCIDTWFLTKGSNKCEICQQIAANVPFPESRPSVNNCLWRINSPYVMGQEHERGCFNPLWVAFAILIGGLLLDVLVSVSLGVSALPANIIIGVLIVLGLGTSFRLALECCQGQGARRNLQTTNVTFNPGYHPTV >Ma08_p12180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9196568:9200733:1 gene:Ma08_g12180 transcript:Ma08_t12180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDEGGIVEQKRCEGVSEPILDSTPTRNGDDIRGAVIVSQEDPVDGSMPPLALSITIFQPEEVSKANMSASKSTASEGGEKISGMAALKKGFLSRSGSYQEQCRVCQQETEEPLIDLGCRCRGELAKAHRSCIDTWFLTKGSNKCEICQQIAANVPFPESRPSVNNCLWRINSPYVMGQEHERGCFNPLWVAFAILIGGLLLDVLVSVSLGVSALPANIIIGVLIVLGLGTSFRLALECCQGQGARRNLQTTNVTFNPGYHPTV >Ma09_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34470764:34479551:-1 gene:Ma09_g22560 transcript:Ma09_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSSHDEKKERKSDVENSEDERRTRIGSLKKKAISASTRFRHSLRRKSRKKLDTRVSSVSIEDIRDIEELRAVDSFRQSLIQDELLPSKHDDYHMMLRFLKARKFDTEKAKHMWSEMLKWRQDFGADTIIEDFDYTEIEEVLKYYPQGYHGVDKEGRPVYIEMLGKVDANKLMQVTTMDRYIKYHVKEFERCFLLRFPACSIAAKRHIDSSTTILDVQGVGLKNFTKAARELIIRLQKIDNDNYPETLCRMFIINAGSGFKLLWNTVKSFLDPKTTSKIHVLGTKYQNKLLEVIDSSELPEFFGGCCTCTEYGGCLRSDRGPWKDPNILKMILNGEAQCARKIVTVSNSEGKIIAYAKPRYPAIKGSDTSTAESGSEPEDIGYSRRPINYIHKPQLTPVHEEVKNMQAKMLGKARVSGGSSEYEDCVPMVDKAVDAAWKNQNSSSVQPSSEGRFRLFDMSLPSNGIHVQVITWLTAFIMTLYAMFCSVTSRVTKKLPEKTHEFDLYSPEYDLDHMPKEEFRPPSPSPFYKEVDLLSSVLKRLGELEEKVDELQAKPSKMPYEKEELLDAAVRRVDALEAELIATKKALYEALIGQEELLAYIDQQRQAKFRKKKFCF >Ma09_p22560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34470764:34479533:-1 gene:Ma09_g22560 transcript:Ma09_t22560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSSHDEKKERKSDVENSEDERRTRIGSLKKKAISASTRFRHSLRRKSRKKLDTRVSSVSIEDIRDIEELRAVDSFRQSLIQDELLPSKHDDYHMMLRFLKARKFDTEKAKHMWSEMLKWRQDFGADTIIEDFDYTEIEEVLKYYPQGYHGVDKEGRPVYIEMLGKVDANKLMQVTTMDRYIKYHVKEFERCFLLRFPACSIAAKRHIDSSTTILDVQGVGLKNFTKAARELIIRLQKIDNDNYPETLCRMFIINAGSGFKLLWNTVKSFLDPKTTSKIHVLGTKYQNKLLEVIDSSELPEFFGGCCTCTEYGGCLRSDRGPWKDPNILKMILNGEAQCARKIVTVSNSEGKIIAYAKPRYPAIKGSDTSTAESGSEPEDIGYSRRPINYIHKPQLTPVHEEVKNMQAKMLGKARVSGGSSEYEDCVPMVDKAVDAAWKNQNSSSVQPSSEGRFRLFDMSLPSNGIHVQVITWLTAFIMTLYAMFCSVTSRVTKKLPEKTHEFDLYSPEYDLDHMPKEEFRPPSPSPFYKEVDLLSSVLKRLGELEEKVDELQAKPSKMPYEKEELLDAAVRRVDALEAELIATKKALYEALIGQEELLAYIDQQRQAKFRKKKFCF >Ma03_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3086316:3086991:1 gene:Ma03_g04710 transcript:Ma03_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRASAVALLLFSVSLAMVATESRPARKDLGLALGGGLGLGLDLGLGGGGSASGSGSGSASASGSGSASGSGSGSYAGSYAGSYAGSGSSGAGSSAGSGAGSGAGQGGGRGGGSASGYGEGHGYGEGHGNGSGSGYGEGYGYGSGSGSGDGRH >Ma09_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5533372:5541937:1 gene:Ma09_g08360 transcript:Ma09_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHAVHPPKRNNLPSRRGQPAVKKSVPSENGGVATDSSLSVAAPNGRPTKPTSPKQASGERTVKKLRPSKALIIPEGTTVSDACRRMAARKVDAVLLTDANGLLSGIVTNKDIATRVISEGLKLEQTIVSKIMTRNPVFIMADTPVMEALQKMVQGKFRHLPVVENGEVIAMLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNLSAPNAFVETLRERMFKPTLSTIVMENAKVVVVSPSDPVYVATKKMREFQVNSVIIATGSKIHGILTSKDVLLRVVAQNLLPELTPVEKVMTANPKCVTLDTAILEALHIMHNGKFLHLPVLGRDEHIVACLDVLQLAHAAISMVEGDSGAVNDMANTMMQKLWYSACSFEPADEEYDTHSELSALMTSEYAETVKYMYPPHSGNSFAFKIEDGKGHIHRFNCGTDNLDELVSAVMQRIGLDADANKLRLLYEDDEDDKVLLTTDSDLVGAVYHARFAGWKVLRLHIDDSETKKEDPRSSDLTEVSRSRVTSLQVGILGATVALAGIGIMVYLKRSRM >Ma01_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12621562:12624265:-1 gene:Ma01_g17260 transcript:Ma01_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHTCCYKQKLRKGLWSPEEDEKLIKHITKYGHGCWSSVPIQAGLQRCGKSCRLRWINYLRPDLKRGSFSKQEENLIIELHAVLGNRWSQIAARLPGRTDNEIKNFWNSCIKKMLKQRGIDPNTHKPLAEDKVGEAKASRTSEGTSGSVDLKVPAAALESLNDAARGPASSSMPVFDTCAVERKASPMTKCFFLDQFITSQSSSDPVSVFPLAQLSFATDCSSSETALADLSACPNPLWLSHNSRLLEMNQDFHRNTISTCLPSVPTTILSTSMDNSAQICAGIDGMQYSDAVYSGNSSRSSMNSSDTVEMQNSSSFHNDIFLWPESTPDKDAQVQFEKEPEDLKWSQYLDGAFPVSAATQSQSLSQPLHCDVKAESPSVFSDLVSWHQIQQQLQSSDIYGKDFQMLSVGFGQV >Ma04_p28800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29790085:29792722:-1 gene:Ma04_g28800 transcript:Ma04_t28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFPNAPSFFLLLLVPLLFLLSPASGAGSPSSLSNGTTVYDLLPEYGLPPGILPDTVKSFSLASGGRFVTKLYGACYVDFEYVVYYEPRVSGVVKYGAIEEVQGVQIRRYLVWFNVDTIRLDPSSSDFIYFEGSWISLKLRIDQFQTVHSCRGSLSLLGRAKEVARSMFESIFAPQL >Ma05_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19565885:19566505:-1 gene:Ma05_g17220 transcript:Ma05_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGPGGPGGPGGPGGPGGPFGPGPGWGPLPGGPAGWGPGPGGPFWGTGFGGFFPSCLYFLCCCCLLQECCGPFFGGPRGPPGPPGPPF >Ma06_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14680760:14682946:1 gene:Ma06_g20560 transcript:Ma06_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCFNWRLVIAFVLSMGFQGELVGAHGRRQLVESLEAADDGVCTAVVNPQGYECREYEVKTQDGYILTMHRIPQGRGGGSAGKRQPVLLQHGVLSDGMTWLLNPPQQSLVFVLADKGFDVWITHGRGTRWSRRHESLNTSDAAYWAWSWDELASYDLPATVGFVFQQTGQKLHYVGHSMGTLTALSAFSEGKLVDKIKSAALLTPVAYLTSMTTTIGRAAASAFAGEMLGALGVAEFDPKGAVGTMFLEFVCAMPGVKCYDLMASLTGPNCCLNDSTVDMYLKYELQPTSVRTLDHFSQTFRRGVITKYDHGSSTANMAAYGQSSPPEYHLSNIPHHLPLLLCYGGGDMLSDVKDVQLLLNDLSNHDVDKLVAQLVKEYAHLDFVMGVNAKQVVYDGLIAFFDKHS >Ma09_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6089554:6094257:1 gene:Ma09_g09180 transcript:Ma09_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSDRSPDEPVTKPSTDFEKKGSVIEAPTSTTSGCGRKIGGSKDSGHSILNTVNKSTSQIKKPPHRKNVSPLNWFPRKKTDSFLKRKIKHLQEIEGMNLSLDETLGNANPHYTRIAREKIAAHEAAQKAMEARKSAMVEASWCRILGAARIKSKEAEARLEKAEKCAEEAFEAARALGVMMYDRPDCQRRTCEIETSLGIGGRSTHTVTASFETAFEVDKEVAAAVKKAFIQLANCPSSLEKEEFRDLLYKITQNPDGNRTMEDVPEITSECDTGHGAEREKDSHISGDTDMKHATKMKQRKSKNSLLPTDSGSSISMSPTELIGRMLERLKGLHEDELASLAVIVATCGLNAALCEMEHDKDNDLEAISSCTSKLRTGMRRDSSITSIVGHTVQEKEALTEIPSLDKSLVKHVSRLEREVQEARKNNRDLINQRTSETSETHVVVESKPSNKNERHVDSTLDLGSVLMKHVTKLERDVLEFKKHNYRSNSLVEDRKGVERNVESEFQSEIAETKCNVDAPTCDSIPTLKGKRTNTTDELLGGKYGISINNGCRQLSSSQGLTEDIEHHGVMVIHEEVPRPPSACRQQGKENIDFNPVDEMHQASKRMSRVERAKIEVLKTFSYQERNRGGGDALETMGLDKILVKPIHRLEKEKMQALEQRRDEISLRDQKKQTTDVKDTESLDMILVKHVSRLEKEKLILAADEGAKTVKRSKQQPKAYAQSLDEILVKHQSKLEKAKLATTQQSADYIKHESRREARERELQEAWRGMSLGNSLKPHLSRIERDKAAWRKAEEEEHNREMQL >Ma02_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20900281:20901670:1 gene:Ma02_g12030 transcript:Ma02_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTHGIRDRLSTTDRREEQVEVSTHLPFIPSYPLPSSSSTAFRWHDDSSGAGDCASTEKEHMFYKVVTPSDVGKLNRLVIPKQHAEKYFPLDTSANEKGLLLSFEDRTGKPWRFRYSYWSSSQSYVMTKGWSRFVKEKGLQAGDTISFGRGVGDAGRHRLFIDWKRRPENRDPPRIPLPELSFARSAGPWSGRLFVPPEAGCGHTWQGHTYPAAGLGTGGGQCLYYSLPAARPPQMEVQHAGRSGFPMVLGSPLPLVRNQPAVKRVRLFGVTLDCPESGGSVNYNGHSHSVRVPQLHPGAALPLLQSPGTAGESTPSGSSSVYKKQHSQPDL >Ma06_p30680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31884481:31898896:1 gene:Ma06_g30680 transcript:Ma06_t30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGNKKGMKDPLLSDPYSRADDPLVKVDPGQPPPLTWQRKASNKGHQLSEFTLTMGEKLKLAPLGIRLVKQIVEEAARGQVAVIDPLKERVGTSCQGVPLGGIGVGSIGRSYKGDFQRWQLFPGICEDKPVLANQFSAFISRSDGKKYSTVLSPGNPELIKQNSISGAGSWDWNLNGRNTTYHALYPRAWTVYDGEPDPDLKIVCRQISPFIPHNYKESSYPVAVFTFTLTNLANSSAAVTLLFSWANSVGGTSEFSGHHSNSKMIEKDGVRGVLLHHRTGDGLPSVTYAIAAEETTDVHVSECPCFIISGGSNAFTARDMWCAIKEHGSFDHLDPVEMPLHSEPGSSIGAAVAASVALASQTTRTVTFSLAWACPEVKFPSGKIYHRRYTKFYGTHCDAAASLVRDAIIEHGSWETQIEEWQNPILQDRRLPSWYPVTLFNELYYLNAGGAIWTDGSPPIQSLATIEERKFFLDMSNGDFDSLSEVIAGKNTAVNILNRMTSILEKLYAPIQSNSATGISLLEGEENIGQFLYLEGIEYCMWNTYDVHFYSSYSLIMLFPKLELSIQRDFAAAVMMHDPEKVQTLSDGKWSARKVLGAVPHDLGLNDPWFKVNAYNLHNTDRWKDLNPKFVLQAWRDTVATGDKRFAKAVWPSVYMAIAYMDQFDKDKDGMIENEGFPDQTYDVWSVTGVSSYSGGLWVAALQAASAMAREVGDRASEELFWDKYLKAKSVYHKLWNGSYFNYDNSGSKTSSSIQADQLAGHWYARACGLMSIVDKEKAKSTFQKIFSFNVLKFKDGKRGAVNGMRPDGTIDKSTMQSREIWPGVTYSVAAAMIQEGMLEEAFRTAQGIYEAAWSQEGLGYSFQTPEAWNNEDQYRSLCYMRPLAIWAMQWALSLPPNVCKEPETVLDGEADSKHTVAFSRVAKLLKLPEEETSKSILRVIYEITCGRLRS >Ma07_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3421845:3429557:1 gene:Ma07_g04650 transcript:Ma07_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MAAGAAELFNGERAVVLLFVSRVLFSVPHSLLYEALALFLLVAGGLLVEISAEGSTASHRFKTRPGASSGILLGTVTLPAAMLSRLIQLSRVLPENDVTSEEVEGLNMQYWIASASCFGVLIFFCLALYCSAKNTGSFQLRSSEALKYGLLQTVLYVAVCSLSLTTKSDSGSLILIKLLWLICHALATVVLIQHILLTFPSCASLGEALLVSSGLVLYFSDMLAHTLTKTFMFVTLRTLKFVGYGTHSEIATVIQGVMLGLFLLPAFYKIVLRGWFHLKKLRKPEASIANGGPHSAVESSIIFYASLSVMLTILIPIWMCVVQDFYVHPIKWVLTFVFTDPLKRLALCLYWICVICASVLRFYNISKHSKIERILLRKYYHLVAVLMFLPALLFQPTFLDLAFGAAFAVFLILEMIRVWKIWPLGDIVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGLNDRPLAPFAGILSLGIGDTMASVVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLPLLASTGYVLSQHWLSLLMAVTVSGLLEAYTAQLDNAFIPLVFYSLLCL >Ma07_p04650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3422044:3429557:1 gene:Ma07_g04650 transcript:Ma07_t04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MLSRLIQLSRVLPENDVTSEEVEGLNMQYWIASASCFGVLIFFCLALYCSAKNTGSFQLRSSEALKYGLLQTVLYVAVCSLSLTTKSDSGSLILIKLLWLICHALATVVLIQHILLTFPSCASLGEALLVSSGLVLYFSDMLAHTLTKTFMFVTLRTLKFVGYGTHSEIATVIQGVMLGLFLLPAFYKIVLRGWFHLKKLRKPEASIANGGPHSAVESSIIFYASLSVMLTILIPIWMCVVQDFYVHPIKWVLTFVFTDPLKRLALCLYWICVICASVLRFYNISKHSKIERILLRKYYHLVAVLMFLPALLFQPTFLDLAFGAAFAVFLILEMIRVWKIWPLGDIVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGLNDRPLAPFAGILSLGIGDTMASVVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLPLLASTGYVLSQHWLSLLMAVTVSGLLEAYTAQLDNAFIPLVFYSLLCL >Ma02_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20060490:20060924:1 gene:Ma02_g10540 transcript:Ma02_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGSRKGNKLLRYIKAPYRALLRARDLYVNSMAGCAGRAQRGPTIIAMPRAQSRSFHRPQMSSGEDDINELIRAASRIRQRSVEGAAVPRSHSVAAMTIDEDKPCNFEGHVKVALGPRSRSCDVVSKRRAGFTTSAVSSIQSF >Ma08_p31580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42448683:42449563:1 gene:Ma08_g31580 transcript:Ma08_t31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGVASESCMIHEEGEMMHFSALSASELHPQMPRGARRARRRRKKAKGDAKKRRLSEEQVKLLEMRFGEEKKLELGRKLRLATELGLDPKQVAVWFQNRRARDKSKQVEEAYLKLKPVHEAAVVEKCHLENEVMQLKGKLSEAQEEIRKLSLSMKEAVAGSRPSSSTSSHQPLVADLGVAAEEAELMYIQEFDFGNCMMEWAYFYGL >Ma09_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2962280:2962384:1 gene:Ma09_g04550 transcript:Ma09_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAPHFLRDQETSDDCAPTAGRRRTARRTRRWG >Ma02_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4681844:4682116:1 gene:Ma02_g00350 transcript:Ma02_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTESAKAKLRGQVSPRFGSDSLERNNITRRHSLPSSMNGKLSSQSPRTHKLIQASCKDGIRNDRSFSSSRDGSGMTPFLVILLGHILI >Ma01_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4674348:4677186:1 gene:Ma01_g06500 transcript:Ma01_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MAEQQLSMGILIDIVDEEWMRDTLPDDDIPLPPVMVAKTDDAEEAHQESQPVERDVWRDLALENV >Ma02_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16498669:16498860:1 gene:Ma02_g05170 transcript:Ma02_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQWKNSPCNLAIASIGRATNALVNSKSSLISRRAGLKFRIRRAISRMLSLLAYRIGYIRMM >Ma03_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6601363:6607499:1 gene:Ma03_g08980 transcript:Ma03_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMMVSPMQCQMGFPSNSLQGRPMITSKMWNSLLLNAQRPKTRRGISAFKVLAVKSENGVVSRLEDLLNLDTTPFTDKIIAEYIWIGGTGIDIRSKSRTISKPVEHASELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDSYTPSGEPIPTNKRHRASQIFSDQKVIDEVPWYGIEQEYTLLQTNVQWPLGWPVGGYPGPQGPYYCAVGADKTFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWCSRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKTMREEGGYEVIKKAILNLSLRHMDHISAYGEGNERRLTGKHETANINTFSWGVANRGCSIRVGRDTEKQGKGYLEDRRPASNMDPYVVISLLAETTILWQPTLEAEARAAKELQLQV >Ma04_p32630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32570313:32570650:1 gene:Ma04_g32630 transcript:Ma04_t32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHITIFTLITFLNRWLENICDWCISRQLWWGHRVPAWYVTLEKDQFKDMDCTRSRRVRYMVFSCSFTIICARLARSHTRF >Ma06_p25850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26391410:26394484:1 gene:Ma06_g25850 transcript:Ma06_t25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPTHMKLLYHHVISHALYLCLGPLLVLHLPRLSISMQSDLRELAEQPWFNVRTIVAGATLLIAMAAVYVTSRPRPVYLVDVYCYKPSEAYMGARSMIIKQFESSGAFASDTVAFQRKMLERCGIGDSSYFPASLLCRPINMSHKTAREEAEMVVFGAVDELFRTTGLKAKDVRILVVNCSTYNPTPSYTAMIVNRYKLRGDVISYNLGGMGCSAGLVSIDLAKNLLQVHPNSYALVVSTENITVNAYFGNNRSMLLSNSLFRVGAAALLLSNIRSDRRRSKYQLLHTVRGNKAADDCSYSCVLQEEDADGKIGIALSKELMAVAGDALKENITTLGPLVLPMSEQLLFLAAVIMKKVFKFKINSYVPDFKLAFEHFCIHAGGRAVLDELEKNLHLTEWHMEPSRMTLYRFGNTSSSSLWYELSYCEAKRRIRKDDRVWQIAFGSGFKCNSAVWRALKTVSHVQKNPWIDEIDKFPVQVPKEAPLTP >Ma01_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12985352:12989572:1 gene:Ma01_g17720 transcript:Ma01_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) UniProtKB/TrEMBL;Acc:F4K3M1] MARFKNKNKKALARPVKNQPSVDHITGQKIPKSFVFSRGKLPGPLRDLELDLRKLMLPHTALKLKEKKRNKLKDFLNVAGPMGVTHFLMISNPKSVPHLRVARTPQGPTITFEIQEYALAADIARSQARPSCPKELFSNSPLIVLSGFGSGGQHLKLTTIMFQNIFPAIDINTVKLSSCRRIVLLNYNNETKLIDFRHYSIRLQPIGVSQRIRKFVQKHEVPDLRNLQDVSDFVTKAGYGSESEADEESATVSLVSDLGRVNRASTKSAVRLQEIGPRMTLRLVKIEEGLCSGAVIFSEFGKEADDAHEEEDHED >Ma07_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9774462:9774806:-1 gene:Ma07_g13000 transcript:Ma07_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSVQTFRRSGSSGMVWHERFLSEEKEEGGGRGGSEFRELGHSQSVGSSGTTEHRRIDGRQAFRAGAVPPAVDPPSPDVSGCLLCGIFGKPRSSDPSKPRRRQLRRTAQT >Ma04_p36710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34958980:34965238:-1 gene:Ma04_g36710 transcript:Ma04_t36710.4 gene_biotype:protein_coding transcript_biotype:protein_coding METHSALPVGNLNMQQFCNSGSSGVMSSSLPVLPNTLEEKFPELPDPQHVPLEREIQRNPLPSQRPLFSSPSGFSPDLTFSSTLAHERHTNNTPFVSRSLNAGVSLPSTYLSNMEIFQVPNNFPKDPTEITWCPDSVQGMLNCSDGVIMGNNQIQNSSNKVSNDLNKQNEWWSDIMNVDWKDLFDDTTISESQPKVVYPPAQSSSNISKQQPQTDQSVPCHSGEVCAVTGASSSATTAAAKPRMRWTPELHECFINAVNQLGGSEKATPKGVLNIMKVEGLTIYHVKSHLQKYRTARYKPDSLEGMSEKTATQSEELPSLDLKTGIDFTEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQYKSTMDKTHCSSTVEKPTTISSDQTHSTAKIDLPEAQNSSTDSKITEGFRQVSNKWKMSEVEPSNEKETDALTSSLPPSKHSRINDEDS >Ma04_p36710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34958980:34964952:-1 gene:Ma04_g36710 transcript:Ma04_t36710.3 gene_biotype:protein_coding transcript_biotype:protein_coding METHSALPVGNLNMQQFCNSGSSGVMSSSLPVLPNTLEEKFPELPDPQHVPLEREIQRNPLPSQRPLFSSPSGFSPDLTFSSTLAHERHTNNTPFVSRSLNAGVSLPSTYLSNMEIFQVPNNFPKDPTEITWCPDSVQGMLNCSDGVIMGNNQIQNSSNKVSNDLNKQNEWWSDIMNVDWKDLFDDTTISESQPKVVYPPAQSSSNISKQQPQTDQSVPCHSGEVCAVTGASSSATTAAAKPRMRWTPELHECFINAVNQLGGSEKATPKGVLNIMKVEGLTIYHVKSHLQKYRTARYKPDSLEGMSEKTATQSEELPSLDLKTGIDFTEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQYKSTMDKTHCSSTVEKPTTISSDQTHSTAKIDLPEAQNSSTDSKITEGFRQVSNKWKMSEVEPSNEKETDALTSSLPPSKHSRINDEDS >Ma04_p36710.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34958980:34965207:-1 gene:Ma04_g36710 transcript:Ma04_t36710.5 gene_biotype:protein_coding transcript_biotype:protein_coding METHSALPVGNLNMQQFCNSGSSGVMSSSLPVLPNTLEEKFPELPDPQHVPLEREIQRNPLPSQRPLFSSPSGFSPDLTFSSTLAHERHTNNTPFVSRSLNAGVSLPSTYLSNMEIFQVPNNFPKDPTEITWCPDSVQGMLNCSDGVIMGNNQIQNSSNKVSNDLNKQNEWWSDIMNVDWKDLFDDTTISESQPKVVYPPAQSSSNISKQQPQTDQSVPCHSGEVCAVTGASSSATTAAAKPRMRWTPELHECFINAVNQLGGSEKATPKGVLNIMKVEGLTIYHVKSHLQKYRTARYKPDSLEGMSEKTATQSEELPSLDLKTGIDFTEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQYKSTMDKTHCSSTVEKPTTISSDQTHSTAKIDLPEAQNSSTDSKITEGFRQVSNKWKMSEVEPSNEKETDALTSSLPPSKHSRINDEDS >Ma04_p36710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34958980:34965207:-1 gene:Ma04_g36710 transcript:Ma04_t36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHSALPVGNLNMQQFCNSGSSGVMSSSLPVLPNTLEEKFPELPDPQHVPLEREIQRNPLPSQRPLFSSPSGFSPDLTFSSTLAHERHTNNTPFVSRSLNAGVSLPSTYLSNMEIFQVPNNFPKDPTEITWCPDSVQGMLNCSDGVIMGNNQIQNSSNKVSNDLNKQNEWWSDIMNVDWKDLFDDTTISESQPKQPQTDQSVPCHSGEVCAVTGASSSATTAAAKPRMRWTPELHECFINAVNQLGGSEKATPKGVLNIMKVEGLTIYHVKSHLQKYRTARYKPDSLEGMSEKTATQSEELPSLDLKTGIDFTEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQYKSTMDKTHCSSTVEKPTTISSDQTHSTAKIDLPEAQNSSTDSKITEGFRQVSNKWKMSEVEPSNEKETDALTSSLPPSKHSRINDEDS >Ma04_p36710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34958980:34965207:-1 gene:Ma04_g36710 transcript:Ma04_t36710.2 gene_biotype:protein_coding transcript_biotype:protein_coding METHSALPVGNLNMQQFCNSGSSGVMSSSLPVLPNTLEEKFPELPDPQHVPLEREIQRNPLPSQRPLFSSPSGFSPDLTFSSTLAHERHTNNTPFVSRSLNAGVSLPSTYLSNMEIFQVPNNFPKDPTEITWCPDSVQGMLNCSDGVIMGNNQIQNSSNKVSNDLNKQNEWWSDIMNVDWKDLFDDTTISESQPKVVYPPAQSSSNISKQQPQTDQSVPCHSGEVCAVTGASSSATTAAAKPRMRWTPELHECFINAVNQLGGSERMSEKTATQSEELPSLDLKTGIDFTEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQYKSTMDKTHCSSTVEKPTTISSDQTHSTAKIDLPEAQNSSTDSKITEGFRQVSNKWKMSEVEPSNEKETDALTSSLPPSKHSRINDEDS >Ma07_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11955945:11957015:-1 gene:Ma07_g15740 transcript:Ma07_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVSAFRAQMALYGTSDALMCREFPTTLRGLARTWFSRLRQSLVSSFDQLAGEFEQNFLTSASPRASMTTLLVLYQCEDESLSQFVLCFATENWGFSDVHPSLILQAFLMGLKPSRFFWSLIEKPPATTSEMLQHANQYIVAEALVAGRRMEGKRPRAELSRGTTSVALATPHRGLGRQELSLPRPRPLPLNMSHTEIFLQIKEAGLLQQPRPMKATHKDRSKYCRFHRDYGHDTEDYRDLHNQIEALIRRGQLGHYLKSPEVTPHPKGPIERQIDVISEGLAAGGSSSATRKAYAHSTVEKRPWPKVTQGSQGDATSPRLLSQESSAQLQFPILVKNPYRKCRWSLPSHSLRYL >Ma05_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19218142:19222418:-1 gene:Ma05_g17020 transcript:Ma05_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRIALQKELLRQPSLCGLLSSKQVLPLFFRHQSFRTDNGNSGRNSDNSFESSDDFERRLFGDISNNEINDSFYRKLDKLEKAHGRSGIGSKLNSDDSRIIDGISDSFNSLSDGMDEKLKEAARTFVFSDEIHDENYKFRPDVTFYPGMTYTTRDLDLTKPGVQKRFKRNEFETTTQEVLKKADFRNVRFLSNFLTEAGIIIKRSKTRISAKAQRKVAREIKTARAFGLMPFATMGTKPFIFGRSMKDEAEDYEHQHNTASTATDP >Ma07_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28380309:28383362:-1 gene:Ma07_g20510 transcript:Ma07_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGREESVYMAKLAEQAERYEEMVEYMEKVAGAAGEGEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNHDHVAAIRGYRSRIESELDSICGGILRLLDARLIPVAAAADSKVFYLKMKGDYYRYLAEFKTGSERKDAAENTFSAYKAAQDVALAELAATHPIRLGLALNFSVFYYEILNSPDKACDLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEDGLDEIREASKLEDGDEH >Ma08_p31170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42165520:42166405:1 gene:Ma08_g31170 transcript:Ma08_t31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRDNVDSHLDQPKTKMEVTQSETEICDDKVDSHLDQSEAKMKVTQSETEIRDDKVDSHLDRSEAKMEVTERWTSDSNTKSPEKMMTNLPTISEEADEEAELVPTVDGKTVDAKLVLLGYKYTCVCGMIEVQ >Ma07_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8423384:8431742:-1 gene:Ma07_g11340 transcript:Ma07_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MAITSSTNTIGLLNLQKNGKHSNMDLPLFRSSHLKVRRFKRIFKCASQVNDISVEVVKPEIDFKDPDWKKHFQEDFDNRFRLPHLTDILDVKPRPTTFSLKSRGPLDDGNGAPMDTWYGYVNDDDRALLKVIKFASPNSAGAECIDPDCSWVEQWVHRAGPRKQIYYEPKEVKAAIVTCGGLCPGLNDVIRQIVFTLEKYGVKNIVGIQFGYRGFCDENLREVPLSHQVVQNINLAGGSLLGVSRGGPSISDIVDSIQAKAIDMLFVLGGNGTHAGANAIHDECRKRKMKVSVVGVPKTIDNDIQLMDKTFGFDTAVEEAQRAINSAYIEAQSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDICLIPEVPFKLEGPNGLLQHLEHLIKTKGKAVVCVAEGAGQELLQKSAALDASGNVVLGDIGVHIQQQIKRHFKNIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITTGICNIHYVYLPIPEVIRSPRRVDPNSRMWHRCLTSTGQPDFR >Ma05_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10269753:10273553:-1 gene:Ma05_g14120 transcript:Ma05_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPPPRKCEVCREAQSKYKCPNCLVPYCSLACFKKHKENPCKKPLPSVEETPNLMLPERSYEVNDPSWVVDKERLQLIANSSEIREALRNGDLRRIIQKIDGSDDPEDQLTNAMEEQIFHDFTEKILSIISPQE >Ma06_p36610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35936526:35938659:1 gene:Ma06_g36610 transcript:Ma06_t36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAEFGLDFKLCAMRTVGGFLKEAAAAVQSSDGGVAKLENSVRSLEEERRKIEAFKRELPLCMLLLTDVIEGLKKELERCRGDRFVHVFQELMPIRSRCEEEGGAKLEVDCKDKKKWMSSAQLWSDYSSDDNRNDDDQSVADERDGGPDRQEEEENLCLESKSGADTFVPFKGMAALVMSSKQVTPTAGLSDLSLRSPAAGSASFPVSVVAGNHPGSGSVSKCVGRAPTSTAGAHLSLQLQQQRKARRCWSPELHRRFVFALQQLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRKMPNASPPASRPVVVLGGLWVPPENHTAPPQKSVSQSGSPQSPLQLADARRAISPTAGDSCEEEGGKSECHNWR >Ma10_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24352886:24354739:-1 gene:Ma10_g10390 transcript:Ma10_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVNNTNTSTPSSPPTLPQRRFGFGWRSSLFYAAALLAVFVAVSLKVSTPLHRFVLPNLGLHPALRPGLLHKLQGLISITRQQPGAQHISDRPPCVLWMAPFLSSGGYSSEAWSYITALRAHAGDSQLRLQIDHHGDLQSIEFWLGLPEESRRLAHELHATECRIDETIVVCHSEPGAWYPPLYETSLCPPTGYKDPLFVVGRTMFETDRLNPEHVARCNRLDAVWVPTEFHVSSFRRSGVDPAKVVKLVQPVDVEFFDPAKHEALVLPSKAPVLGSNSRASTGREFVFLSVFKWEQRKGWDVLLKAYLEEFSKADGVALYLLTSAYHSDKDFSSKIKVFVERSGMKEPTDGWAPIYLLDAHIPQSDLPRIYKAADTFVLPSRGEGWGRPIVEAMAMSVPVIATNWSGPTEYLTEDNAYPLSVARMSELAEGPFKGHFWAEPASDQLKVLMRHVVDNPEEARRRGRKARSDMVERFSPQVVARLVVDQIVNIVRNRSEKTSKRD >Ma06_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11918812:11922899:1 gene:Ma06_g17560 transcript:Ma06_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFIMPSFPFFLLLSKSLPLQPLPPWAGEVRLLSVLFWQELLSSLLHLFDKCKPISARKSGALSSVTTPPRKICGQRVENAEEMSVLDLPELALECILGKLSPAGLSNMAAVCSSLRERCRSDHLWEKHMKEKWERVIGDAARRDWKLYLASREDSSAGIAGSDSSKKWVVSVLSRVWHISWLKSRIDGGSKTKNPLPDDSIMSWYQSLESGKFWFPAQVYNREHGHVGFMLSCYDAAVRYDCQTDTFHARYPPHGRRTTVVEEGVQWDRLRPPPVETPAHDLHISDCLDDLRPGDHIEIQWRRSKEFPYGWWYGVVGHLEPCDGNEHFCWCHLSDTVVLEFNQYTPGSRWRRASINPKDHREGGNETDGFFGGIRKLKSKDEISKWRQLWPADALE >Ma04_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24211461:24212934:-1 gene:Ma04_g21740 transcript:Ma04_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSAARRHLSLLGCLPRRLSTTAPATRTGISAAVAKSRLQKEFDPDRAVTILSSVADSTSARYALELTVRRLARSRRFSDVEALLEYRMSAAAGLTEHYVATVILSYGTAGMLDHALRTFDEVPVLISAPHSLVSFNAFLSACIRAKKPNRVPGLFAELSEKHAITPDVVSYGVLVKALCLTGKTDKAIETLKEMVTVKHLEPTTVIYTTLLDSLYKKGKSDEAEALWKEMVGNGCAPDLTAYNVRVMHRAGQGKPEDVLELIGEIEAAGIKPDTITYTYLLTCHCNAGQFEEAKAVYRGLREKGCRPNATMFKIYLSRLCENGDVDTGLEVFEDSLKSNKVPGFRTMKLLVEGLVKGTKLEEAKAVVDKVKKRFPENLVGGWNKVEKELGLSADEQVWDQLEVF >Ma05_p12020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8739114:8747794:1 gene:Ma05_g12020 transcript:Ma05_t12020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGRFPPPGMGNGRGGGASTNPGFYARNPQQHQHQHQQQYVQRNPGNGQPNRQFQHQHQQWSRRNWVGGDSGAGEVMKSVQSEATDSSLQDWKAQLKIPPADSRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDCNAIQVVILVPTRELALQTSQVCKELGKHLRIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLIMDEADKLLSPEFQPTIEQLIQFLPANRQILMFSATFPVTVKDFKDKYLPKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAINLITYEDRFNLYRIEQELGAEIKQIPPQIDRTIYCT >Ma05_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8739114:8747794:1 gene:Ma05_g12020 transcript:Ma05_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGRFPPPGMGNGRGGGASTNPGFYARNPQQHQHQHQQQYVQRNPGNGQPNRQFQHQHQQWSRRNWVGGDSGAGEVMKSVQSEATDSSLQDWKAQLKIPPADSRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDCNAIQVVILVPTRELALQTSQVCKELGKHLRIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLIMDEADKLLSPEFQPTIEQLIQFLPANRQILMFSATFPVTVKDFKDKYLPKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAINLITYEDRFNLYRIEQELGAEIKQIPPQIDRTIYCT >Ma07_p23610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31191303:31195772:1 gene:Ma07_g23610 transcript:Ma07_t23610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAGQSPNALKKNSWKATLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIKHSESNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGQGGTFALYSLICRNVNVSLLPNRQAADEELSTYRYESHPESSYKPSIKTWIEKHKNLHVVLLIVVMLGTCMVIGDGVLTPAISVFSAVSGLELSLSKTHHEYAVVPITCFLIVCLFALQHYGTHRVGFLFAPIIVTWLLCISGLGIYNIVKWNPLIYQALSPYYMLKFLRKTRKAGWMSLGGILLCMTGSEAMFADLGHFSYRAIQIAFTSCVYPALVLAYMGQAAYLSKHHIISNSYQIGFYVYVPESVRWPVLVIAILASVVGSQAIISGTFSIVSQSQSLGCFPRVKVVHTSNKVHGQIYIPEVNWALMILCVAVALGFRDTKHMGNASGLAVITVMLVTTCLTSLVMILCWHNSPLLALAFFVIFGSIEALFFSSSLMKFLDGAWLPILLALILMAIMFVWHYATIKKYEFDLQNKLSLDWLLALGNSLGISRVPGIGLVYTDLVSGVPANFSHFVTNLPAFHQILVFVCIKYVPVPFIPASERYLVGRVGPPEFRSYRCIVRYGYRDVHQDVNSFESELITSLADFIHAEALHNCDPSNDEAEGGFTVVGSSPYNSSTKLNLRDANMTVQISDERNSEGKEAMGKAKRVRFLVEESESSTEGVIDEELQELLVAQESGTAFILGHSHIRSKPGSSMIKKVAIDLVYNFLRRNCRGPDVALRLPPESLLEVGMVYLL >Ma07_p23610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31191280:31195772:1 gene:Ma07_g23610 transcript:Ma07_t23610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAGQSPNALKKNSWKATLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIKHSESNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGQGGTFALYSLICRNVNVSLLPNRQAADEELSTYRYESHPESSYKPSIKTWIEKHKNLHVVLLIVVMLGTCMVIGDGVLTPAISVFSAVSGLELSLSKTHHEYAVVPITCFLIVCLFALQHYGTHRVGFLFAPIIVTWLLCISGLGIYNIVKWNPLIYQALSPYYMLKFLRKTRKAGWMSLGGILLCMTGSEAMFADLGHFSYRAIQIAFTSCVYPALVLAYMGQAAYLSKHHIISNSYQIGFYVYVPESVRWPVLVIAILASVVGSQAIISGTFSIVSQSQSLGCFPRVKVVHTSNKVHGQIYIPEVNWALMILCVAVALGFRDTKHMGNASGLAVITVMLVTTCLTSLVMILCWHNSPLLALAFFVIFGSIEALFFSSSLMKFLDGAWLPILLALILMAIMFVWHYATIKKYEFDLQNKLSLDWLLALGNSLGISRVPGIGLVYTDLVSGVPANFSHFVTNLPAFHQILVFVCIKYVPVPFIPASERYLVGRVGPPEFRSYRCIVRYGYRDVHQDVNSFESELITSLADFIHAEALHNCDPSNDEAEGGFTVVGSSPYNSSTKLNLRDANMTVQISDERNSEGKEAMGKAKRVRFLVEESESSTEGVIDEELQELLVAQESGTAFILGHSHIRSKPGSSMIKKVAIDLVYNFLRRNCRGPDVALRLPPESLLEVGMVYLL >Ma07_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31191603:31195772:1 gene:Ma07_g23610 transcript:Ma07_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAGQSPNALKKNSWKATLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIKHSESNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGQGGTFALYSLICRNVNVSLLPNRQAADEELSTYRYESHPESSYKPSIKTWIEKHKNLHVVLLIVVMLGTCMVIGDGVLTPAISVFSAVSGLELSLSKTHHEYAVVPITCFLIVCLFALQHYGTHRVGFLFAPIIVTWLLCISGLGIYNIVKWNPLIYQALSPYYMLKFLRKTRKAGWMSLGGILLCMTGSEAMFADLGHFSYRAIQIAFTSCVYPALVLAYMGQAAYLSKHHIISNSYQIGFYVYVPESVRWPVLVIAILASVVGSQAIISGTFSIVSQSQSLGCFPRVKVVHTSNKVHGQIYIPEVNWALMILCVAVALGFRDTKHMGNASGLAVITVMLVTTCLTSLVMILCWHNSPLLALAFFVIFGSIEALFFSSSLMKFLDGAWLPILLALILMAIMFVWHYATIKKYEFDLQNKLSLDWLLALGNSLGISRVPGIGLVYTDLVSGVPANFSHFVTNLPAFHQILVFVCIKYVPVPFIPASERYLVGRVGPPEFRSYRCIVRYGYRDVHQDVNSFESELITSLADFIHAEALHNCDPSNDEAEGGFTVVGSSPYNSSTKLNLRDANMTVQISDERNSEGKEAMGKAKRVRFLVEESESSTEGVIDEELQELLVAQESGTAFILGHSHIRSKPGSSMIKKVAIDLVYNFLRRNCRGPDVALRLPPESLLEVGMVYLL >Ma09_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21845288:21845558:-1 gene:Ma09_g19270 transcript:Ma09_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPETPTASAPATPGTPGAPLFNSLRVDSLSYDRKSMPRCNKCLPVVAWTSPHTCLTEFPKPDVSLTRK >Ma07_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30687949:30694011:1 gene:Ma07_g22910 transcript:Ma07_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVRDRLFFGDIKAAAEVLKKGSAEITHVLSLLSSASISFFSGWRADMCIPAEEIKKVFVGAEGSPRKSLAPEKLLYSLEHAGPELKLVRMAVPLKDTEDEDLLDYLDACLDFIDQGRKEGSVLVHCFAGVSRSAAVITAYLMRTEQKSMEDALESLHEVCDLVCPNDGFLDQLSLFEEMGFKVDTESPIYKRFRLKILGHSYKQGEKIDSFVFGADPGLPPSEFNPSEEALEGNQRSTAYRCKKCRRIVALKENVVSHVAGEGETCFEWQKRKASNRANNRFQEQQCSSLFVEPLKWMTSVEEGALEGKLSCVHCEARLGYFNWSGIQCSCGSWITPAFQIHKSKVDISTV >Ma01_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12573264:12576667:1 gene:Ma01_g17190 transcript:Ma01_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYTVLAAVVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFVAADTLQKLLVLGALAAWSNLLPHRRPLPGGAAPLDWSITVFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARLLIADQFPDTAASIVSFRIDPDVVSLDAGATEAAAEVSGDGKIHVTVRKSTSSRRSAMMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHAEFFAMVGGGAPAQTFRPSSFGAADIYSLQSSRGPTPRPSNFDDEPHRHLHHPPPEISAAGKKPYPQPNHQHHHNRAGAATATATGAAADAKELHMFVWSSSASPVSEVAGLHAFGGQDLSASDLGGRADHGAKEIRMLLPAELPLNGPTTKAGEDYRAEEFSFGDGRRGADDGREEKEVITPEVLQKLEAKVVGGQGEERPGGAVHHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVAFRWHVAMPKIVEKSISILSDAGLGMAMFSLGLFMALQPRIIACGNTAAAFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >Ma03_p30310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32992525:32997857:-1 gene:Ma03_g30310 transcript:Ma03_t30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLLTTESGGGREGKGWASRLGWGDGGRELMEEARRLGYVAAPMVAVTMSQFLVQVVSSMMVGHLGKLDLASAAIATSLTSVTGFSLLLGMASGLETLCGQAYGAEQYHMLSIHTYRAIFSLLVVCLPISLVWASMGKLLQLIGQDPLISQEAGKYAVWMIPGLFAYAIAQPLMKFLLSQSLILPMLLSSLMTLCLHIPLCWVLVFKSGLRNVGAALSISISYWLNVFILGMYIRYSASCRATRAPISKEAFRGVNEFLRLALPSALMICLEWWSFELLILLSGLLPNPQLETSVLSICLNSITLLYCFPYGLGCAASTRVSNELGAWNPKGAQLVVRVAMFLAISEAVLVSGTLFAMRRILGYAYSNEEEVMNYVTDMVPLVCFSVVFDSLQGVLSGIARGCGWQHLGAYVNLGSFYLFGIPIAVVLGFLLHIGGKGLWIGIVCGSTTQTILLSLITIFTNWQNQATMARERIFHERLPLQNMLK >Ma01_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16836823:16838019:1 gene:Ma01_g20130 transcript:Ma01_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVPRRAMWAGLRSQLVKLTSQFFTSVNDYLRFRAVCKSWRCAVPHRPDHLPTQLPFLLLVSTTEPKIGPAYRLIAASAGNVRSLPNTANMLCIGSSFGWLILLSENDCLINLFNPVTAEDIRLPRLDGPTFADVVPPGDEVVIVVEKAVLSSDPTLDRDFVAVVFMLGVNIRWLTWRHGDESWTANANPDVQLSSGMRDVVPYGNRILCAIYGDNDDWAVLQVDPGPPARATIAAWYAMPSCVPRTKGLTYLVVSAGELLLAAPHDNDNRTANLNITPGYRVFRLEPGGISRPAVAVEVEDIHDRILFLSPSSSVSVSAEDFFGFPGNFIYFVGKDWREKRENRRVWLVVVQSLESGETTVVADSNISDQRPLRWRGRRSDAARWVTPNLRSYNR >Ma07_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3633028:3637164:-1 gene:Ma07_g04980 transcript:Ma07_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKQEQANGSRRHGSAQDARQHHHHNHHHHHHHHQPHRDHHDEEEEEEEEEEEDEEAESEEEVGEKDCFFEPLDRIPSSVSFLVDLPSDSDEDEDDDVRFSFASAIAPPTDLRCVTFSREEFLVEHNDNPDLGGYDYDVWMAEPTSIKERRSRLLQGMGFASSKDLAAALRNRSSNLKAVSTAPAASGEHALESGLPPPYPAPSQNQLAIVKCRSDNELVTTRGAPPSAEPALPRAASAPPTLWGHSAQQKTGAGGGAAGVKEAKEGGSLVSANGGVCRVKNLDTGREFVVSELGKDGTWRRLNDVQTGSQMSMEEFEQFLGNSPIVKELMRRANLRGSGREPQSNDQPVPSLDGSKSSKSGYRKKRGWLKNIKFVASSVTGLKTEKEKGDAGWRAAGKSSADSSSELMKVRQHGKSYKELTGLYMTQEIHAHQGSIWSIKFSWDGRHLASAGEDRVVRVWQVQECDILSSPLRRQEARSSRLSMADGSPERSPLLGTQPSKSTKKSKSRKRSVPDYIVMPEVIFSLSEKPVCSLEGHLDDILDLSWSKSQHLLSSSMDKTVRLWDIESKQCLKLFAHNDYVTCIQFNPIDDRYFISGSLDSKVRIWSVPERQVVDWNDLHEMVTAACYTPDGQGALVGSHKGSCRFYKTSDCKLSQQGQLDIRIKKKKSHAKKITGFQFAPGNPSEVLITSADSRVRVFDGPNMVHKFRGFRNTSSQISASYASEGKYVVSASEDSYVYVWKREAGRVVGAAGKGKSWTTTRSHEYFYCKDVSVAIPWPSAGSQCSPLSLPSPTSGRFDQRPPQQDPLGCTDSRRSTASLEDIFHSGRRPAAALSKKSFSERGPSSHREEFRSVSRWGIGSDSFASGGAASSVVSDPGTLSSSFSSWGWYSGGSTRTSSTDPPNAWGLVVVTAGLGGHIRIYQNFGLPLRLGRQTNLF >Ma07_p25400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32465338:32466423:-1 gene:Ma07_g25400 transcript:Ma07_t25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEIDDNLFLFGDQQEEYVMLSPLEHQIAEANDDDVNQNKPLDHKNGNKAKGSVTGRSSSMRTRVAFRTKSEIEKLDDGYSWRKYGKKMMKNSQNPRNYYHCSSEGCNVKKRVERESEDSRFVITTYEGIHNHRAPLPAIPTPHPTIGRPHPRSFSR >Ma10_p29920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36357844:36359318:1 gene:Ma10_g29920 transcript:Ma10_t29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFEKQRSPEVVASSAVAGKTARACDGCARRRARWYCPADDAFLCQNCDFSVHSANPLARRHRRVRLRAADVDSEESSPSWLRGFKRKARTPRAHQHEKTTSGARRLRPTTVPELIETSVDETEEEEEQLLYCVPVVDPALAEFCSPPMLDDSNTCSGDETKPTMDSTDGTPASTSTVDTANRLAPFLPSVTDLAEFAADMESLLGGGLDSRDGSFSMEKLGLVNSMEDNVDYCLDSAGRLKTEQPDDTEQCPVELDIDKSRETLELDLDWPGSSTAKEEELEEENMEVASEQQQVTKKAKLRLDYEAVISAWSSYGSSPWTDGERPQINLDYYWPDYMGVRVEVGGGGRGETPGTGHGSDTGVGFVDVGRQARVTRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRAPGSAFAH >Ma07_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4332116:4336205:1 gene:Ma07_g05990 transcript:Ma07_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSVLSLLLREEKPLLSVIFLFALASFAFLKNKKKGSGVAVATASVSGRHVSQPPQQNDPVEDQRDQHHQQQQRVMVEETEHNTSDGNVAAMPVEDWLSRARLLVPTALEKARSARGFAGRWKSIASKIERVPTCLSDLSTHPCFARHALCRELLESVAATLSEAVELADRCCGGDGGKLRMQSDLDALSGKLDLNLRDCGLLVKTGVLGEAGPPPASTPARPGEAEFSRSKLCELLARLQIGHAEAKHRALDGLLEAMREDGKSVMAVLCRSNVSALVHLLTASSPKVREKAATAVYSLTESENCGNLLVSEGVLPPLIRLLESGSLVAREKAVISLQRLSISADTARSIAGHGGILPLIEVCQVGDSTSQSAAAGALKNLSAVPEVRQSLADEGVVRVMINLLDCSVVSGSKAHAAGCLQQLTSSNESLRRWVVSEGGVRSLLAYIDGPSPQEAAVGALKNLVCSAPMDRLISLGLLPRLVHALKDGSPGAQQAAAAAICKITSSAEAKRTVGESGCTPLLVKMLEAKSNGAREAAAQAMASLMTHPHNAREAKKEEKGVANLVRLLDPTPQNTAKKYAVACLLILSSSKRCKKMMVSHGGIGYLKKLAEVDVPGARKLLERLQRGRLRSLLFARR >Ma07_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4779135:4780914:1 gene:Ma07_g06670 transcript:Ma07_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEVVGKRQAYYKGRITAFVILSCTVAATGGILFGYDLGISGGVTSMEPFLKKFFPDVYAEMHEKNSHATSNYCKFDSQLLSTFTSSLYAAGLFASLCASWVTQAFGRRTSMLLGGAFFMAGAVLGALAINVYMLILGRVLLGIGVGFTNQSVPLYLSEMAPPEHRGAINNGFFFFVGLGTLSANLINYGTQKIHSGWGWRISIGLAVLPATILALGTLFLPETPTSLIQHTDSVHKATATLRKIRGTDDVQAELDHLIAAGEISKAAQHPLRIIMRRKYRPQLVMAILIPFFKHMTGIAAITFYSPLIFRSMGLEESSSLLSAVITGVIDVGFILIAMTVVDRVGRRTLFIVGGVQMLATHVTVGGILAKQLGDHGGVGKGSAYVVLVLVCVYVAGFGLSWGPLAWLVPTEMFPLEIRSVGQSIVVAVVLLLASVVGQTLLPMLCHLRSGVFFFFGGWTLIMTVFVILFVPETKNLPMEKMDQIWREHWFWKKVVGEEEGEEEEETKDTVPNDMMI >Ma04_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5806962:5808055:-1 gene:Ma04_g08090 transcript:Ma04_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIWCCISCILPCGALDVIRIVHANGRVEEISGTVSAGEIMQAYPKHVIRKPPSPSSSDGADIPKAVVLPPTAELQKGKIYFLVPVTSAAPEKARSQTPGTRRRKKKKEEAANVDVAATDKTRLLLSDQYLSEILSEKVSTQRERRRGRVGVWRPHLESISEVSNDL >Ma00_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1236072:1237945:-1 gene:Ma00_g00440 transcript:Ma00_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATDQNALALLHHHHHPPPAQPHPLVSPSSAGAIVRDYRKGNWTLHETLVLITAKRLDDERRAGVSSSPAGGGGALRSAEQRWKWVENYCWRNGCLRSQNQCNDKWDNLLRDYKKVRGYESRVSAAAGASGELPSYWTMERHERKERNLPTNLAVEVFEALTDVLTRRAARRANATTVPSRPPPPLPSPVLALPPANPLPPPPPPPPPPPPPPPSSAHPSVSAEMSESEENEGPATTTTTEEPDTKRRRLRRLGSSVVRSATVLARTMLACEEKRELRHRELVELQERRLRLEEDRTEVRRQGFAGLISAVDNLSGAIHALVADRRSGDHR >Ma06_p02510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1949173:1957418:-1 gene:Ma06_g02510 transcript:Ma06_t02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRERERDTSDGEQNGGPPCGQVRVLVVGDAGVGKTSLVHLILKGTSIARPSQTVGCSVGVKHISYGSASSSSNSIKGDAQRDFFVELWDVSGHERYKDCRFLFYTQIDGVIFVHDLSQRRTKTNLHKWAAEITANVGTRVMSSGNLVDVARQWVEKQGLLPSSEQLPLTDSFPGNSDLLAAAKEARYDKEAVIKFFRLLIRRIYISDELPDPSPWSISPLQNPIYYTGENLSDDDHYHRRLSSSSESYKYDVLPLLPAHRSLAPAPTLYPQQPMSMPENYSFHRLSATGLPRISSTKLNKADTNI >Ma06_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1949152:1957418:-1 gene:Ma06_g02510 transcript:Ma06_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRERERDTSDGEQNGGPPCGQVRVLVVGDAGVGKTSLVHLILKGTSIARPSQTVGCSVGVKHISYGSASSSSNSIKGDAQRDFFVELWDVSGHERYKDCRFLFYTQIDGVIFVHDLSQRRTKTNLHKWAAEITANGTFSTRLGSGGPGGLPVPYLVIGNKTDIVAKVGTRVMSSGNLVDVARQWVEKQGLLPSSEQLPLTDSFPGNSDLLAAAKEARYDKEAVIKFFRLLIRRIYISDELPDPSPWSISPLQNPIYYTGENLSDDDHYHRRLSSSSESYKYDVLPLLPAHRSLAPAPTLYPQQPMSMPENYSFHRLSATGLPRISSTKLNKADTNI >Ma07_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24676551:24677755:1 gene:Ma07_g18170 transcript:Ma07_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKAARPARVAVGERKEPAKAGDGAPKAPVAKRAPKKSKKKPRELKKKAKSSKPSAKN >Ma01_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6968762:6975162:1 gene:Ma01_g09720 transcript:Ma01_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLFGKPKEQTSTLATLDKLNETLEMLEKKEKVLLKKVSAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDDDELEAELEELEGAELEEQLLQPATTAPSAPVHVPAGRVPARLAPQKNTAEEDELAALQAEMAM >Ma01_p09720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6968746:6975162:1 gene:Ma01_g09720 transcript:Ma01_t09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLFGKPKEQTSTLATLDKLNETLEMLEKKEKVLLKKVSAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDDDELEAELEELEGAELEEQLLQPATTAPSAPVHVPAGRVPARLAPQKNTAEEDELAALQAEMAM >Ma11_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8906049:8917485:1 gene:Ma11_g09650 transcript:Ma11_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLIGKIICRILMLAIWFCDFQHVVSQKTDFKPKPHVPDKFVLSDPPIGLFDPIEISPAVIPRNPYPVEPLPPMYPSFPSTYEPVLTGKCPVNFSSMSDIIDKTASDCSAPLAALVGNVICCPQVNSLMHIFQGAYGSESNMLVLNQATATYCFSDLISILASRGANSTIPTLCSVKLSNLTGGSCHVKDLVTFEKIVNTSKLLDSCSTVDPLKECCRPLCQPAIMEAALQISLGGASMLDNSRIPGTAAGITVVNDCKGVVYAWLSRKLSSEAANTAFRILSGCKVNKVCPLEFEEPSSIIKACGDMAPSSLSCCSSLNTYIASIQKQMLITNRQAINCATFFGSMLQKGGVTTNIYELCNVDLKDFSLQSYGQQGCLLRSLPADIVFDNVTGFSFTCDLSDNIAAPWPSSSSLSTFSLCAPEMSLPALPIPEASISSGSYNTARIILSIVHVISSLLL >Ma04_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17431281:17432169:1 gene:Ma04_g17220 transcript:Ma04_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGALLVLALALLLGTAPHVTQAITCGQVTSDLSPCIPYATGKGSLTSGCCNGVKSLNSAAKTSADRKTACTCIKSLVSRFSSANTGVVSGIPGKCGVSIPYTISPSTDCSKVN >Ma10_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31338056:31340240:1 gene:Ma10_g21630 transcript:Ma10_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNLAHVELNAIDLAWDTVVRFSHLRDELGDEFFADFARVADDESRHFAWCSQRLNELGFRYGDMPAHNFLWRECEKSSEDVAARLAVIPLVQEARGLDAGPRLTQKLVGFGDHRTSHIVAKIAEEELAHVAVGLYWFLQVCKKMGRIPRAIFKDLLEEYGVELKGPFNYAARDEAGIPRDWYDGASEQEAKSELSEEHDRLACIVAMERENSESNS >Ma03_p30110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32887714:32890217:1 gene:Ma03_g30110 transcript:Ma03_t30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCGDGGATFHSLLADYRSLLARGLPPPAHTFPPLLRACAASSSVLLPLGLALHLHALLLGHFPADPFVCSSLLHLYSSSGSLPFARRVFDDSPLKSSVVPWSALIGAYSRAGRPGIAFSLLHDMRQSDVRPNSVTFLSLLPLDLVPLQCLHASVVRHGFESDLVLANSLVSAYGRCSVALARRLFDSMPLRDVISWNSLLSGYSRIGCVREAFDLFAEMRSDGIHADHPTYASLLSSVVNSSDGGGREGLVRLGKLAHAALLTSGHELDAHVETALTGMYLKFGVYGDAFLLFERSSDRRDVVSWTAMISGLVQSGAADKALIVFHQMLRSGPAPAASTLASAFSACAQLGSSKLGASIHGHVFRQGLHLDVPAQNSLVSMYAKGGRLRQSLYVFQAMEDRDLVSWNSVISGCAQNGHLVEAFFLFGRMRVESQKPDTITAVALFQVCAAMGALHHGKLVHCFVIRHEIDPSIALDTSLVDMYAKCGDLRAALRCFSSMPEQDLVSWGAIIAGYGSHGMGELALRVYKDFRNRGMEPNDVIFLAVLSACSHAGLVSEGLRILKSMTEQFSLKPSLEHWGCVIDLLCRAGRLEEALGFANTMTPRPNADILGMLLDACRTNGLVSLAEAVAKQIAALRPDSANSYVQLAHSYAAMRRWDGVGEAWVQMRERGLKKAPAWSFVELNGIITTFFAEHQTHSQQDEILFLLKMLNGEMREISGSSAYRRWESSMLDFGGDSGEIGDSCWSAVA >Ma05_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10572667:10573765:-1 gene:Ma05_g14480 transcript:Ma05_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIANPDSPHSNNSGGSSPNGSPRHLSASSSAAATGAGAASSASPPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSQCSSAHVLEFLRYLDQFGKTKIHTHVCPFFGHPNPPSPCPCPLRQAWGSLDALIGRLRAAYEENGGKPESNPFGTRAIRLYLREVREVQSKARGISYEKKKRKKPQQNQHHPPPPPAAA >Ma05_p14480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10572667:10573657:-1 gene:Ma05_g14480 transcript:Ma05_t14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIANPDSPHSNNSGGSSPNGSPRHLSASSSAAATGAGAASSASPPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSQCSSAHVLEFLRYLDQFGKTKIHTHVCPFFGHPNPPSPCPCPLRQAWGSLDALIGRLRAAYEENGGKPESNPFGTRAIRLYLREVREVQSKARGISYEKKKRKKPQQNQHHPPPPPAAA >Ma03_p13490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10594677:10604566:1 gene:Ma03_g13490 transcript:Ma03_t13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATENPLRFIGNNGAGNWTLNKDTSQFASSDNIVSEELGSLLKGQRFHGNNSITGLSRSGSAPPSMEGSRAAFDILEDRTADLDGSLENLSNAAQNCNSEEQLCAHPAYLAYYCSNVNLNPRLPPPLISRENRHLMQHNSGFEDNRRMPSFDDSNKASFFVSRPALSTHDEEPEDDRSPRVEHTDWMKADVVSGHFTPSPGHLAQKDFPQTSSPVYADHSHPSSHIMLEQAPNQGAFLNPLQESSMGITDPETRSPSIAANACIPHLGSHSVQSMLNDNLGGTPVTCSASTDKTVNLHQGCGNIEDDLKNLRLSSDGHRRHQPQQYMQQVGIYPQSSSSHAQIGQSQVIVQGHYPQSTGDRFSHGQPKLPSVEVQPLLQSSGIAPLYVTAAAYGTPYYHNLQSSSLLPSQFGISGYALNPSLVPPLVTAYPHHRSGIPVPFENAVGPNFSARASGVSDGGNVSGIDMQHLHKIYGQLGLAIQPPFPDPLYVPFYNYPSLDAYAAAGQYDPTISRGGSVGSSPGTYDPQKAPGPAHLPDQRPQVMRVGGVNTLNLNAITGGTGSPSYYGSSPNNSVLMQFPSSSLASPVFQGSTVAGTSFSGRKNDNIKFPFGSERNAGSLSGWQNQRGREKVDEPKSYSFLEELKSNRARRYELSDIAGHIVEFSADQHGSRFIQQKLETCSVDEKASVFMEVLPHASSLMTDVFGNYVIQKFFEHGSPEQRRELANKLVGNVLPLSLQMYGCRVIQKALEVIELDQKTQLVQELDGNVMRCVRDQNGNHVIQKCIECIPTEKIGFIISAFHGQIATLSTHPYGCRVIQRVLEHCTDESQSQWIVDEILQSACLLAQDQYGNYVTQHVLEREKPHERSQIISKLSGQIVQMSQHKFASNVIEKCLEYGNTEERDHLIKEILGQTEGNDNLLVMMKDQFANYVVQKSLETCTDNQRQILLDRIKVHLQALKKYTYGKHIVARVEQLCSEVQIFRNHEM >Ma03_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10594673:10602519:1 gene:Ma03_g13490 transcript:Ma03_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENPLRFIGNNGAGNWTLNKDTSQFASSDNIVSEELGSLLKGQRFHGNNSITGLSRSGSAPPSMEGSRAAFDILEDRTADLDGSLENLSNAAQNCNSEEQLCAHPAYLAYYCSNVNLNPRLPPPLISRENRHLMQHNSGFEDNRRMPSFDDSNKASFFVSRPALSTHDEEPEDDRSPRVEHTDWMKADVVSGHFTPSPGHLAQKDFPQTSSPVYADHSHPSSHIMLEQAPNQGAFLNPLQESSMGITDPETRSPSIAANACIPHLGSHSVQSMLNDNLGGTPVTCSASTDKTVNLHQGCGNIEDDLKNLRLSSDGHRRHQPQQYMQQVGIYPQSSSSHAQIGQSQVIVQGHYPQSTGDRFSHGQPKLPSVEVQPLLQSSGIAPLYVTAAAYGTPYYHNLQSSSLLPSQFGISGYALNPSLVPPLVTAYPHHRSGIPVPFENAVGPNFSARASGVSDGGNVSGIDMQHLHKIYGQLGLAIQPPFPDPLYVPFYNYPSLDAYAAAGQYDPTISRGGSVGSSPGTYDPQKAPGPAHLPDQRPQVMRVGGVNTLNLNAITGGTGSPSYYGSSPNNSVLMQFPSSSLASPVFQGSTVAGTSFSGRKNDNIKFPFGSERNAGSLSGWQNQRGREKVDEPKSYSFLEELKSNRARRYELSDIAGHIVEFSADQHGSRFIQQKLETCSVDEKASVFMEVLPHASSLMTDVFGNYVIQKFFEHGSPEQRRELANKLVGNVLPLSLQMYGCRVIQKALEVIELDQKTQLVQELDGNVMRCVRDQNGNHVIQKCIECIPTEKIGFIISAFHGQIATLSTHPYGCRVIQRVLEHCTDESQSQWIVDEILQSACLLAQDQYGNYVTQQMDWKVHQFTWLIVGKVTTLMDSFSTSQWITHFILFTWFTCLTRDKAEE >Ma08_p34500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44469795:44471379:1 gene:Ma08_g34500 transcript:Ma08_t34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGVSTPFFPTLPSIRRTRRSVPSLKTFIPASKLQAGYQQASSNKRPSFFRHGIGSTATYWNQARVVPTSVPVRVAHELLQAGHHYLDVRTVDEFNAGHATGAINIPYVLKVGSGMTKNPKFLVEVSSVFGKDDEIIIGCRSGKRSLMAAAELTSAGFTGITDIAGGYSAWVQNRLPTER >Ma06_p32270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33200485:33202713:1 gene:Ma06_g32270 transcript:Ma06_t32270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVKDASSLEEMQVLLMLLTLPYHGVLLRFILSAQLTLSSLKPVLGKISSYSCLQFPYDGAVDSPAGSTEAGRGVGLNRFLRAQGSASSSLFGTIHAELGRLTAQEIMDAKALAASKSHSEAERRRRERINGHLAKLRSMLPNTTKTDKASLLAEVIQHVKELKRQTTEITEESPLPTEVDELTVDSTCDEDGKFIVRASLCCEDRPDLLPDLINALKALRLRVLKAEITTVGGRVKNVLAISEEQNSGDDDHQRLVTAIQEALKAVVEQTAKHDPSAGGTKRQRTASFPTIIEHSSI >Ma06_p32270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33200485:33202713:1 gene:Ma06_g32270 transcript:Ma06_t32270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVKDASSLEEMQVLLMLLTLPYHGVLLRFILSAQLTLSSLKPVLGKISSYSYSPAGSTEAGRGVGLNRFLRAQGSASSSLFGTIHAELGRLTAQEIMDAKALAASKSHSEAERRRRERINGHLAKLRSMLPNTTKTDKASLLAEVIQHVKELKRQTTEITEESPLPTEVDELTVDSTCDEDGKFIVRASLCCEDRPDLLPDLINALKALRLRVLKAEITTVGGRVKNVLAISEEQNSGDDDHQRLVTAIQEALKAVVEQTAKHDPSAGGTKRQRTASFPTIIEHSSI >Ma06_p32270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33200485:33202713:1 gene:Ma06_g32270 transcript:Ma06_t32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQIEVPYHMVHGYGGGEGCFFSGGDASAADAVNPALPWCLTSIHSISPAHPQFAETSSGQDQQLFMYPALPPFAPPLYGDLYDNKTLTGLQFPYDGAVDSPAGSTEAGRGVGLNRFLRAQGSASSSLFGTIHAELGRLTAQEIMDAKALAASKSHSEAERRRRERINGHLAKLRSMLPNTTKTDKASLLAEVIQHVKELKRQTTEITEESPLPTEVDELTVDSTCDEDGKFIVRASLCCEDRPDLLPDLINALKALRLRVLKAEITTVGGRVKNVLAISEEQNSGDDDHQRLVTAIQEALKAVVEQTAKHDPSAGGTKRQRTASFPTIIEHSSI >Ma09_p26340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37644932:37654666:1 gene:Ma09_g26340 transcript:Ma09_t26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPK20 [Source:Projected from Arabidopsis thaliana (AT2G38910) UniProtKB/TrEMBL;Acc:A0A178VWI7] MGNTCVGPTLGRHSFFQSVSAAVLWRPRSSSAAAAASDESPSAAPAPDRPPEPVTISSDDLKSSGSPPPADEYPPQSPPRHLKRLPSAGAVLPRKTDNLKDLYTLGRKLGQGQFGTTHLCVEKATGKRFACKSIAKRKLATYEDVEDVRREIQIMHHLSGHPNVISIIGTFEDSVAVHLVMDLCAGGELFDRIIKRGHFSEKAAAGLARVIVGVVEACHSMGVMHRDLKPENFLFVNRNEDSPLKTIDFGLSVFFKPGETFTDVVGSPYYVAPEVLLKYYGPEADVWSVGVIIYIILSGVPPFWDETEQGIFEQVLGGELDFSSDPWPSISESAKDLVRRMLVRDPKQRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMAIRVIAESLSEEEIAGLKEMFKMIDADNSGQITYEELKVGLKKVGANLKESEISALMAAADIDNSGTIDYGEFIAATLHLNKIEREDNLFSAFSYFDKDGSGYITQDELQKACDEFGIEDVRIEEIIQEIDQDNDGRIDYNEFATMMHKGNAAFGKKGQRNNYSFGLREALRVG >Ma02_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28188239:28189679:-1 gene:Ma02_g23000 transcript:Ma02_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPRFTGNGVLRLPPGFRFHPTDEELVLQYLKRKVYSSPLPSSIIPEVDLSKYNPWDLPACGGCGCEEVKYFFGLREARNPNRSRRNQAAGSGFWKATGKEKPVTLSWCHRVVGMKKVSVFHRGKPPTKTEWIMHEYRLAGPHATTTSDATHGTMAARWDWVVCRVFKKKRAKIEIGEDHRQESSCVTELSDGSGDGEEASSGSMSLTP >Ma04_p30370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31066520:31067851:-1 gene:Ma04_g30370 transcript:Ma04_t30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRMTKASVLLYLLTASILCSPAIGGDTVTPNRPLVDDGETGLISAGGSFELGFFSPVGSTNRYIGIWYHRIPIQTVVWVANRQRPVTGRSGKLSLETDGALVITDGKNSTVIWSSGPLALGNPVARLLDNGNFVVEEEGSDDDPRSFAWQSFDFLTDTLLPSMKIGWNLTSGLNRNLTAWRSVSDPAPSEYGTGFDVHGIPQIFLWSGSRRYWRGGSWNGRQFSGIQEMKTDNVFDMVFVGDAREIVYSFYMRESSVVSRLVISQSGMLQRLVWIEESEMWSVFWFAPNDHCDNMLSPCGPYGVCYPNESPKCKCLQGFHPKNPRSWDLRDGTDGCVRNTALDCRNGTDGFITLSSVKIPHTSTSMVDRSMSLEECEALCRRNCSCKAYASANISGSESSSGCIIWTTELTDIKMYDSGSGQDIYVRLAAADLGTFDQFSW >Ma08_p23430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36831595:36836647:1 gene:Ma08_g23430 transcript:Ma08_t23430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRRGNLHHDPTINPGLGSARCPRCLSLINPNSEQEEWTINSVLHDATFVAGSGAGVMLSAVHGMNIGIPFVQKHVKGPKWLHFLFVIPPLLLYSGASASLGAYVVPRFAQLTVTSYYAASSASHYAVSRATRCIEESHSSQSSRDV >Ma08_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36831594:36836647:1 gene:Ma08_g23430 transcript:Ma08_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRRGNLHHDPTINPGLGSARCPRCLSLINPNSEQEEWTINSVLHDATFVAGSGAGVMLSAVHGMNIGIPFVQKHVKGPKWLHFLFIPPLLLYSGASASLGAYVVPRFAQLTVTSYYAASSASHYAVSRATRCIEESHSSQSSRDV >Ma10_p29350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35968260:35971271:1 gene:Ma10_g29350 transcript:Ma10_t29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSQAVVSQQQRGGAVPAGKQKANAAADGKNRRALGDIGNLVNVRVVEGKQEPQINRPITRRFGAQLLAKAQAAEAAATAANKKPVATQPDAAAGRGGAKPPKKAVIKSKKEKITKEEKIIVISPDKNEESKQASCSRSSRKKITALTSVLTARSKAACGLLTDKPKDLMIDIDSADTEDQLAVVDYVEDIYTFYKSAEQSSRPHDYMGAQVEINAKMRAILADWLIEVHHKFELTPETLYLTFHIIDRYLSMETVLRIELQLVGVSAMLIASKYEEIWAPEVNDFICISDRAYTREQILGMEKAILDRLEWNLTVPTPYVFLVRFLKAAASCHRDEEMERMVFFFAELALVEYSMALHSPSMVAAASVYAARCTLKKTPLWTETLKRHTGFTERQLLDCTQTLVNSHAVAPKGKLKVVYKKYCGEQFGAVALQQPATELVKEMMKAGK >Ma10_p29350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35968290:35971271:1 gene:Ma10_g29350 transcript:Ma10_t29350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSQAVVSQQQRGGAVPAGKQKANAAADGKNRRALGDIGNLVNVRVVEGKQEPQINRPITRFGAQLLAKAQAAEAAATAANKKPVATQPDAAAGRGGAKPPKKAVIKSKKEKITKEEKIIVISPDKNEESKQASCSRSSRKKITALTSVLTARSKAACGLLTDKPKDLMIDIDSADTEDQLAVVDYVEDIYTFYKSAEQSSRPHDYMGAQVEINAKMRAILADWLIEVHHKFELTPETLYLTFHIIDRYLSMETVLRIELQLVGVSAMLIASKYEEIWAPEVNDFICISDRAYTREQILGMEKAILDRLEWNLTVPTPYVFLVRFLKAAASCHRDEEMERMVFFFAELALVEYSMALHSPSMVAAASVYAARCTLKKTPLWTETLKRHTGFTERQLLDCTQTLVNSHAVAPKGKLKVVYKKYCGEQFGAVALQQPATELVKEMMKAGK >Ma04_p38910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36230664:36238271:1 gene:Ma04_g38910 transcript:Ma04_t38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLMVVLSAAESADYSFADEYKGPPLPYDIPRAVPIDVDRIPLAAVAPASAGLPDLPVVHPLPSPSSSPLKKPPPPHATPAPPLPAASPTSVIENHAAMDRPGAEVSGALGSFGFPDRSTDLSEVVDSSGAIGFSDELGNGAAWSNEMMPNRLSTESALSSEFSFLSSASGDGDEDEAVALQAKKALLVTFQESGQSSCSMSPAVGVTPRARSEDLEMKTKKRACHRCLKGSRFTEKETCLACDAKYCSGCVLRAMGSMPEGRKCISCIGSPILESNRERLGRSSRVLKKLLSSREVQLVMKAEKDCEANQLRPEDVCVNGTKLSLEEMVLLQSCSCPPNLKPGLYWYDKVSGYWGKEGHKPDRIITPHLNVGGTLMQNASNGNTGILINGREITKVELQMLKWAGVHCAGNPHFWLNADGTYLEEGQKNVKGQIWEKPIMKLLCPVLSLPFPSKVANPSGEEVNNLFSRAVPDCFDTKALQKLLLVGHHESGTSTIFKQAKFLYSSVPFSEDEREDIKLMIQTSIYNYLAILLEGRERFEEESLDEQRDKQHLDSSGDVAFDKQNKVTEYSISPRLRAFSDWLLKIMASGNLEAIFPAATREYAPLVEELWKDSAIQATYSRRNELQSLPSYASYFLERVVDISRAEYEPSDMDILCADGINSSNGVTYADFPFPCLACVGSSIDDDDQQEALLRYQLIRVHTMGLGENCKWLGMFEDVRIVIFCVAVTDYDEYYEDANGMVRNKMIESRRIFEGIASHPTFEQMDFLLILSKIDLLEQKLDMAPLTLCDWFDDYNPVVSYHPDNKNRRGAQIGATKSQQAFHYIAVKFKRLFFSITGRKLYVAQANGLDGDSVDAALRYAKEIIKWEERLVCGIPESMYSTEPSSYSH >Ma05_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37089443:37089998:1 gene:Ma05_g25030 transcript:Ma05_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMECYCLSLLVPRLFSECAVLLSLVVRWLFLPCCCWWPASSPSASEVAGAEASDRHCVAAQAVRDSLHVSSYGALTGAAAEGVTTCAVCLSEMRTRDRVWELRNCAHVFHKACLDRWLDHDKHLTCPLCRAPLLSEPACLPSASASAASEPSWAVERLVYLFGDDFLFAPAC >Ma07_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2999450:3001750:-1 gene:Ma07_g03990 transcript:Ma07_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPLAALVLLLTASLLVAGADATSLEIRHRFSDRMREWAEAHGVAGMRWPQKGTVEYYASLARHDRALRGRSLASNFSELALADGNATFLEQNLEFLYYAVVALGTPKKHFLVALNTENGWFWVPCDCLQCAPTSNPIKYGQNVTFNVYNPTNSSTSRSVLCSDSICGNTCPGSNATCPYSVDYLYVNTSSSGTLVSDTLYLVTDDAAQQPVKAPVVFGCGRNQSGALLDTFAPNGVLGLGLGEMAVPSVLAAAGLVPDSFSLCFGADGVGRLDFGDRGGAGQPRTPLNMDGSSFYNISFTGIAVGNRSTAASFTAIVDSGVAFTYLSDPTYSTLTDSFHAQVQDTPHKPDPNLPFEYCYDVSGSTQSPNIPSVDLTTAGGSNFPVNYPLILVQGTTYCLSVVKNDGFNLIGQNFLEGLRVVFDRERLNFGWEKSDCYQASNSVAVPASAPAPAPAPAPAPAPAPAADSSPASSPDLPPDIEAPAASPPRSGAWRLSTMRRSFFLIILLLLTMAKL >Ma09_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5670565:5678250:-1 gene:Ma09_g08550 transcript:Ma09_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 100 [Source:Projected from Arabidopsis thaliana (AT5G22640) UniProtKB/Swiss-Prot;Acc:Q8LPR8] MADENPNEPAGEKNEGQQLRGVDEEEDEKSDGLEVDDSSSSSSSSDYDSDDSSDGYGGGGGYDSDEEEEEEEKKDKNDPETNFRKFSEALDGRYNRKREEAAERAYVYHEDRFDFPRDSEKWREEDLRELWADAPVEMTKTGWDPAWADREDLEVVRNEFEAGHDPPIAPFYVPYRKCYPAIPDNHHDISNPKSVVEELDRIEEFLRWVSYVFEDGSSYEGTVWDDLAHGKGVYVAESGLVRYEGEWLQNQMEGHGVVEVDIPGVEPIPGSKLEAKMRAEGKIISRDFMSPEDKKWLEMDIEDTLLLAGRRREIPFYERNEWIKIFGEKPEKGRYRYAGQWKHGRMHGCGVYEVNEQMISGRFYFGKHLEDSSGCEHDVSALHAGIAEVAAAKARMFVNKPDGMLREWRGPYGDPQHPYFYEEDDVWMAPGFINQFYDVPNYWKTYVQDVDQERELWLNSFYKAPLRLPMPAELEYWWSRDDEDPEFVLVNKEPEPDPEDPSKLVYTEDPLILHTRTGRLINYVEDEKYGVRLFWQPDLEEEEEDVDPDKAQFLPLGFDDFFGRSAPVVKEGKVRGLITFLQNAVKSLFDRLERWAVEKKKSGEMNLKLIENELEFIEAEISLEEAIEDLELELKRKQEEEEEKQVVAEMDQDDSSAAAVQDEAVADETETEDEDEDDDEEAPTSFGTVNQGKADDDTNPKESKPGKSPFSSLSLSLSSPGLFSLQVPAQLQESFLSWRSKRPSGAEQSGYQRLEVISVQKRFNPGKFHCRISQRANLGVVQQRQSYLRRKDCSSLFSLASILSAQTACQSRRDQTKERSAGMNNLSILSLHIPVTDALA >Ma09_p08550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5670565:5678248:-1 gene:Ma09_g08550 transcript:Ma09_t08550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 100 [Source:Projected from Arabidopsis thaliana (AT5G22640) UniProtKB/Swiss-Prot;Acc:Q8LPR8] MADENPNEPAGEKNEGQQLRGVDEEEDEKSDGLEVDDSSSSSSSSDYDSDDSSDGYGGGGGYDSDEEEEEEEKKDKNDPETNFRKFSEALDGRYNRKREEAAERAYVYHEDRFDFPRDSEKWREEDLRELWADAPVEMTKTGWDPAWADREDLEVVRNEFEAGHDPPIAPFYVPYRKCYPAIPDNHHDISNPKSVVEELDRIEEFLRWVSYVFEDGSSYEGTVWDDLAHGKGVYVAESGLVRYEGEWLQNQMEGHGVVEVDIPGVEPIPGSKLEAKMRAEGKIISRDFMSPEDKKWLEMDIEDTLLLAGRRREIPFYERNEWIKIFGEKPEKGRYRYAGQWKHGRMHGCGVYEVNEQMISGRFYFGKHLEDSSGCEHDVSALHAGIAEVAAAKARMFVNKPDGMLREWRGPYGDPQHPYFYEEDDVWMAPGFINQFYDVPNYWKTYVQDVDQERELWLNSFYKAPLRLPMPAELEYWWSRDDEDPEFVLVNKEPEPDPEDPSKLVYTEDPLILHTRTGRLINYVEDEKYGVRLFWQPDLEEEEEDVDPDKAQFLPLGFDDFFGRSAPVVKEGKVRGLITFLQNAVKSLFDRLERWAVEKKKSGEMNLKLIENELEFIEAEISLEEAIEDLELELKRKQEEEEEKQVVAEMDQDDSSAAAVQDEAVADETETEDEDEDDDEEAPTSFGTVNQGKADDDTNPKESKPGKSPFSSLSLSLSSPGLFSLVPAQLQESFLSWRSKRPSGAEQSGYQRLEVISVQKRFNPGKFHCRISQRANLGVVQQRQSYLRRKDCSSLFSLASILSAQTACQSRRDQTKERSAGMNNLSILSLHIPVTDALA >Ma02_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25886198:25888829:-1 gene:Ma02_g19680 transcript:Ma02_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIRRISSLDPKQGLGMAARFGKQQEEKLLASNNGEGGGGGGLVSGTPQAFEGNGMVRVSKDSEEFILLRHRFYSSIGSLVPHCSLVDLHRVLYSTPTRRTRWEAFHRSLEAVAQKHDGNANDKFAFAEASKDRIIQIVNDGFDVSGTPEDGGYFGLGLYVTPEPVAINSVMSSVVDRDGLRHVMLCRVILGRTEEVVRGSGQSQPGSVDFDSGVDDMKFPTRYVVWYPEVNSRVLPLYVLSIKVDFRSRGLHQEPISRPTSPWISIRNLICVLSKCLPRSTMCQIKKIHCEFMERKFSRQQLVSRIRQVAGDKILLAAIRSFRAKRAAAASLASRRRD >Ma02_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9496322:9500520:1 gene:Ma02_g01320 transcript:Ma02_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSPFLGVRGEELHSEMKQQQKQPSSAPPPPATKKRRNQPGNPNPDAEVIALSPKTLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRLKSSKEVRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDLKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCNALAQERSRLPTAGLNNLGSHLYGNNTMALSLSQVSAQLSSLPDHRGSGSQFEHLVSAGNPSPFPPPQLPPQAAFYPGNNGSNQDFSEEHQPHHSLLHSKAFHGLMQLPDLQGNTEASSSTAAANLFNLSFFSNNSSARSIGDGNNDGNQNNQILIANDFNGANGSSEPTTLFNGDLMSDHVGGEMNSLYNTSMQNESILPRMSATALLHKAAQMGLTTYGGSSLSRGFGSSTSGSLKPPSLGGGMGGGGGDGEDGLQAQMENEAHLQNLMNSLANGSSSLFVCTGGVAALGGSCTTGGLGSFAAGLRDADETKFSLSSSGSLGVSDRLTRDFLGVGGMVRSISGGISRREQNHGIGMNALDPK >Ma02_p01320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9496322:9500520:1 gene:Ma02_g01320 transcript:Ma02_t01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSPFLGVRGEELHSEMKQQQKQPSSAPPPPATKKRRNQPGNPNPDAEVIALSPKTLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRLKSSKEVRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDLKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCNALAQERSRLPTAGLNNLGSHLYGNNTMALSLSQVSAQLSSLPDHRGSGSQFEHLVSAGNPSPFPPPQLPPQAAFYPGNNGSNQDFSEEHQPHHSLLHSKAFHGLMQLPDLQGNTEASSSTAAANLFNLSFFSNNSSARSIGDGNNDGNQNNQILIANDFNGANGSSEPTTLFNGDLMSDHVGGEMNSLYNTSMQNESILPRMSATALLHKAAQMGLTTYGGSSLSRGFGSSTSGSLKPPSLGGGMGGGGGDGEDGLQAQMENEAHLQNLMNSLANGSSSLFVCTGGVAALGGSCTTGGLGSFAAGLRDADETKFSLSSSGSLGVSDRLTRDFLGVGGMVRSISGGISRREQNHGIGMNALDPK >Ma11_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12913230:12918177:1 gene:Ma11_g11440 transcript:Ma11_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHPPQNCSAAIRESERERERERESRLERNSRASTVASRPRQLSDWILDLEGGGRRRGGRGRHRSKEVELLRAQPPIIEFMDLAGPELKEMIGSGIFAVNNDDVQLESENSFLTSSYGTYSHNYQFCFNGKGTILPEKPMLLAGVAKQRELSGTLLSESEPRLKKRLSDAKSRELSGHGIFARPREVPLRPLAAQYLELNDKGLGRTTPRKSPPTVNIAQRTAGPNNFLFGDEIPARTSKKMHAKKIADLIGNGIFKDVAPPGFSEKTRSKAKLKELIGNDIFADRKDPPRYYFGGIRKPPGGESSITLA >Ma07_p00670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:568747:573556:1 gene:Ma07_g00670 transcript:Ma07_t00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPDLSLHISPPAISVDGSSVTGVFFGEAATSISGRSEGDMGHDQAFLHHDQGREFDHAEPTLSLGLEAPGSSVADRHDLHHRDHHHHHHHDQLRHPQTYGFKRNSRSAQGGKRSVRAPRMRWTTTLHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRGAAGQGQAEMCFNQRRGMEEVEGGLSCDKAGNEITPPCSSLSTPTPPTPQSKSPRKLNPSGEGCAWNIARLLNNDNKVLLMEDQPQELRPTLVPPSMPPRMPNLEITLGRQSWQMAYAETSNEMTLLRCL >Ma07_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:568745:572530:1 gene:Ma07_g00670 transcript:Ma07_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFPDLSLHISPPAISVDGSSVTGVFFGEAATSISGRSEGDMGHDQAFLHHDQGREFDHAEPTLSLGLEAPGSSVADRHDLHHRDHHHHHHHDQLRHPQTYGFKRNSRSAQGGKRSVRAPRMRWTTTLHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRGAAGQGQAEMCFNQRRGMEEVEGGLSCDKAGNEITPPCSSLSTPTPPTPQSKSPRYY >Ma05_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4967593:4972131:-1 gene:Ma05_g06750 transcript:Ma05_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SETH2 [Source:Projected from Arabidopsis thaliana (AT3G45100) UniProtKB/TrEMBL;Acc:A0A178VAH7] MDQQRKHRILMVSDFFYPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTNGLKVYYVPWRPFLMQNTLPTFYGTLPITRTILVREKISIVHGHQAFSTLCHEALMHARTMGYKIIFTDHSLYGFADVGSIHMNKVLQFTLADISQAICVSHTSKENTVLRSGITPERVFVIPNAVDTAMFSPAPIRLSCDEIVIIVVSRLVYRKGADLLVEVIPEVCHLFPNVRFVVGGDGPKRVRLEEMREKYSLQDRVEMLGAVPHSQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPGDMVHAIGKAIHMLPNINPHVMHSRMKKLYSWHDVAKRTETVYNCALQSTDENLLQRLPRYLKCGTWAGKLFGLVMIVNFLLWRLLELWQPAESIEEVPDLVLFQNEHEEPMQDFVEARD >Ma04_p34620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33710829:33714789:1 gene:Ma04_g34620 transcript:Ma04_t34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMAETLIPNCTRGIPSNFSRLRPISATRRQLPSFICYSLEFCDLKGRFDYPIGSFRARKWVTRAAATSSSMVEDAKEDDEPMSIGNLRRFIDLNVGKWNGSFYQFDGDGNLLQTVSTKLSASSYGEDELISLIQTLYIKQPSSSTSIAGYDDEPEWAEYKIKETNMFTVDKYQQVLFYYLDGVCLLIAGEVIILVNLQIGFFPREKAFSLRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSKWPSVVCENCLYSLEKYMRTRAFHIMDPKGILEMLVIFIEEQGNEMPAFSTWNSEISDFPDRIAPWLGRWKGHSMTKRSGVYGATIAKADTVVLLEMDDKGRLIQDITSTNNESNTTTNVRWTGTMSNNLVTFDGGFQLTLLPGGMYMGCPCDIGKNVAQSQSFHLEFCWMESPEKRQKLVRTFDMEGLVVSSTYFYEIKV >Ma04_p34620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33710829:33716213:1 gene:Ma04_g34620 transcript:Ma04_t34620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMAETLIPNCTRGIPSNFSRLRPISATRRQLPSFICYSLEFCDLKGRFDYPIGSFRARKWVTRAAATSSSMVEDAKEDDEPMSIGNLRRFIDLNVGKWNGSFYQFDGDGNLLQTVSTKLSASSYGEDELISLIQTLYIKQPSSSTSIAGYDDEPEWAEYKIKETNMFTVDKYQQVLFYYLDGVCLLIAGEVIILVNLQIGFFPREKAFSLRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSKWPSVVCENCLYSLEKYMRTRAFHIMDPKGILEMLVIFIEEQGNEMPAFSTWNSEISDFPDRIAPWLGRWKGHSMTKRSGVYGATIAKADTVVLLEMDDKGRLIQELLQVP >Ma04_p34620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33710829:33714789:1 gene:Ma04_g34620 transcript:Ma04_t34620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMAETLIPNCTRGIPSNFSRLRPISATRRQLPSFICYSLEFCDLKGRFDYPIGSFRARKWVTRAAATSSSMVEDAKEDDEPMSIGNLRRFIDLNVGKWNGSFYQFDGDGNLLQTVSTKLSASSYGEDELISLIQTLYIKQPSSSTSIAGYDDEPEWAEYKIKETNMFTVDKYQQIGFFPREKAFSLRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSKWPSVVCENCLYSLEKYMRTRAFHIMDPKGILEMLVIFIEEQGNEMPAFSTWNSEISDFPDRIAPWLGRWKGHSMTKRSGVYGATIAKADTVVLLEMDDKGRLIQDITSTNNESNTTTNVRWTGTMSNNLVTFDGGFQLTLLPGGMYMGCPCDIGKNVAQSQSFHLEFCWMESPEKRQKLVRTFDMEGLVVSSTYFYEIKV >Ma07_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26911401:26915602:1 gene:Ma07_g18960 transcript:Ma07_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKQPIYAGAAWVRRQPPKVKAFLCVVTGMAALVFLRFIVHDHDNLFVAAEAVHALGISVLIYKLTKERTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTLLDSATLATTLWVIYMIRFKLKSSYMEDKDNFAIYYVVVPCALLAFAVHPSTSHNIFNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLAEIVQTFILADFCYYYVKSLIGGQLVLRLPSGVV >Ma10_p24180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32876289:32883023:1 gene:Ma10_g24180 transcript:Ma10_t24180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPHFMVEYLVNSCGFSSSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKTRWFFSSSVEKTLHPNLKFLRDECGIPEERLSLVLRSHPKLISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSQERFEAKVELMRSFGWSESEFSSAVRKAPTFLGISLDMFRRKVEFFINVVGYTPSFIASQPSILLFSLQKRIPNSWRSLFSHTKKMFLSCLIF >Ma10_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32876289:32883023:1 gene:Ma10_g24180 transcript:Ma10_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPHFMVEYLVNSCGFSSSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKTRWFFSSSVEKTLHPNLKFLRDECGIPEERLSLVLRSHPKLISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSQERFEAKVELMRSFGWSESEFSSAVRKAPTFLGISLDMFRRKVEFFINVVGYTPSFIASQPSILLFSLQKRIPNSWRSLFSHTKKMFLSCLIF >Ma10_p24180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32876289:32878557:1 gene:Ma10_g24180 transcript:Ma10_t24180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPHFMVEYLVNSCGFSSSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKTRWFFSSSVEKTLHPNLKFLRDECGIPEERLSLVLRSHPKLISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSQERFEAKVELMRSFGWSESEFSSAVRKAPTFLGISLDMFRRKVEFFINVVGYTPSFIASQPSILLFSLQKRVILRFRVTEMLKSKGLWTGQAKFPYILALSDTKFLEKFVLPHEENVPELLDILRVDGVCKGK >Ma10_p24180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32876289:32878192:1 gene:Ma10_g24180 transcript:Ma10_t24180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPHFMVEYLVNSCGFSSSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKTRWFFSSSVEKTLHPNLKFLRDECGIPEERLSLVLRSHPKLISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSQERFEAKVELMRSFGWSESEFSSAVRKAPTFLGISLDMFRRKVEFFINVVGYTPSFIASQPSILLFSLQKRVILRFRVTEMLKSKGLWTGQAKFPYILALSDTKFLEKFVLPHEENVPELLDILRVDGVCKGK >Ma06_p31930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32916666:32917954:1 gene:Ma06_g31930 transcript:Ma06_t31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLSSTLLLLLAVLLSSSYCATAAAAEKHGAASGMPSFREAPQFYNAPSCPPPLPAGPGAACSPNALVHVAMTLDVAYLRGSMAAVLSVLRHTGCPQSVFFHFVASSAADYLRATVAGSFPSLGFQIYPFADEPRVAGLISTSIRAALDRPLNYARSYLARVLPECARRVVYLDSDLVLVDDVASLASIPLPDGVALAAPEYCNANFTSYFTATFWANPALSVVFEGRQACYFNTGVMVMELGRWRDGGYTEQIEEWMELQKRMRIYELGSLPPFLLVFAGRIAAVEHRWNQHGLGGDNYRGLCRDLHLGPVSLLHWSGKGKPWARLDAGRPCPLDALWAPYDLLLRAPFAIDDS >Ma04_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1450708:1451733:1 gene:Ma04_g01620 transcript:Ma04_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVIFSGISFSPARGISAQRRVVAQVATAAGRSRAPASLYQVLRVGETATAREIKAAYRAMAKRFHPDAAPAGEGPDFLEIRSAYETLSDPAARARYDRSIVGRIPRAGFVVSDRQRFTKWETDQCW >Ma08_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8467230:8467605:-1 gene:Ma08_g11470 transcript:Ma08_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVGCSGHITRDNLFCQDLSLFPLEEVLQQEAERRNSSKR >Ma04_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8231518:8235162:1 gene:Ma04_g11650 transcript:Ma04_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid 5-alpha-reductase DET2 [Source:Projected from Arabidopsis thaliana (AT2G38050) UniProtKB/Swiss-Prot;Acc:Q38944] MDQFDDSKLFWAALVSLYVICPLTIASLQFLVAPYGRHAQPGWGPPLPAALAWFLMESPTLWLTLILYPRGHYWSHPIPLIILTLYLLHYTNRTVIYPLRLHFSKSKKMKPKDFPLYIALIAFAFNLLNAYLQSRSISHYTDYPTTFSNGWWWICLRVAAGMLLFFWGMAVNISSDSVLLRLKSEGGGYKIPRGGWFELVSCPNYMGEMMEWLGWAIMAWSPASLGFFLYTCSNLGPRAKAHLQWYRQKFGDEYPKSRKAFIPFVY >Ma04_p11650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8231701:8235162:1 gene:Ma04_g11650 transcript:Ma04_t11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid 5-alpha-reductase DET2 [Source:Projected from Arabidopsis thaliana (AT2G38050) UniProtKB/Swiss-Prot;Acc:Q38944] MDQFDDSKLFWAALVSLYVICPLTIASLQFLVAPYGRHAQPGWGPPLPAALAWFLMESPTLWLTLILYPRGHYWSHPIPLIILTLYLLHYTNRTVIYPLRLHFSKSKKMKPKDFPLYIALIAFAFNLLNAYLQSRSISHYTDYPTTFSNGWWWICLRVAAGMLLFFWGMAVNISSDSVLLRLKSEGGGYKIPRGGWFELVSCPNYMGEMMEWLGWAIMAWSPASLGFFLYTCSNLGPRAKAHLQWYRQKFGDEYPKSRKAFIPFVY >Ma08_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23261835:23262756:-1 gene:Ma08_g17140 transcript:Ma08_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNILGDPSPVPNPAHKLWLRQDRLILQAIQASVAGSIALLISSCVTAVNAWSTLQTTLANHSRHSLCDEEVVIHTLNGLDTDYKELAAAIRARDSPVSFEDLYDKLTDYEMYLKRANKLPGSTVTAQVSHKSKRKSTRYSPNITQGLANAPLDSVSSMQHPSYPPSHHFSQSGNSSHHPSWRPTLPSHQRRVVCQLCDKVGHSAKVCRSRPRLPAPSHWPQANLLTSPTPSQSNWIIDSGASHHITADLQNLSLHNPYGGAEDIIIGD >Ma03_p29850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32698407:32701469:1 gene:Ma03_g29850 transcript:Ma03_t29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPDDWFSSWTGEDCCGWRGVACDHTTGHVTKLDLHYPYTYDKWDISDIMETMGGSKVNPSLQELKHLKYLDLSMNNFSHAPVPKMIASLVHLKYLNLSYAMLDGLIPPQFGNLSNLHYLDLRGWYDDHLHVNDLDWLSHIPSLKYLDMSLVDLSKATNWLCIINSIPTLEVLHLKNADLPYVPSPLPTFNLTTIATLDLSRNSNITSAMLRWLSNATSLEYLLLSGCKSLTIESLQVALGALSNLKELDLSHNFLKGEILGILNNVSSRGLKQLDLSRNYLSGDIPQTLWNLRHLEYLDLSRNANVTGHIPAVPGNLMSLRYLYLRRNLITGEIPPSIGNLTNLVFLDLSFNNIVGSIPETIGALIHMEVLYLDHNQVSGEIPATIGVLQNLHELHLGGNSIIGQIPNTIGRLHSLEYLDISNNNLSGQIPRTMGGLCNLTRNHLNGTMPSSMGRISQLRDLYLSSNSLNDTKSVLRIYDYYYGESILIATKGSMVEYTTILSLVTCIDLSNNHLSGEIPKELTKLLGLRFLNLSNNHLTGMIPENIGDMKQLESLDLSENSLTGEIPSSFSAMYFLAHLNLSYNNLSGKIPTSGQLSTFDSSTYVGNKDLCGTPLADCPVYQTPPDARVKHEDDEKLDKLLEYTSIVIGFVVGFWLFIGTLVMKQAIRFAFFRWIDKASDWVYVQFAVKLAKLKSKWRTMT >Ma11_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:518764:521207:1 gene:Ma11_g00720 transcript:Ma11_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRPLVLIHLLAALLLMALTSLLPAAAPTSHHHHHSDDEHERKKKKKKPPPTPRTRTLSPPSSSSSSWEQFKSLLSCRSTAATQVHDPSSTAARLGRAACGSSICAIRDVVHGNTRVVHRSDTDLSSEASSIAQHETAPLARATRSARHQPPVSSLGCGSHSRGGIQLRKLSGCYECHAVSIEPSSRRYPRPRTTLCACSECGEVFTKPDSLELHQAIRHAVSELAPEDSGRNIVEIIFKSSWQKKDRPICKIERILKVHSAPRTVARFEDYRAAVKSRALPHLSSFSASGAAARHHPSRCAADGNELLRFHCTSLSCPLGARGSTSLCPNSSSSSPSSSCGVCTIIRHGFARAQYPHGVRTTASSGRAHDSGPSAAAEDGRGERRAMLVCRVIAGRVRRTPDDPAAEEAYDAVAVGDGCGAYGNLEELIVANPRAILPCFVVIYRAVS >Ma06_p28460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30145703:30148704:1 gene:Ma06_g28460 transcript:Ma06_t28460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIHLLFACFFGALQKAMVSAHDAAVPVNVGVILDISSSAGKKSWTSISMAVDDFYATHGNCTTRVVLHLRNSKNDVVGAAAAAVDLLKNFQVQAIIGPETSTEASFVINLGNQSQVPVLSFSATSPSLSPARAPFFVRTTLNDSSQVGAIAAIVRYFGWREVVPVYEDSEYGVGVIPFLVDALQAVDSGVPYRSVIPSAAADEEMDKELYKLMTMQTRVFIVHMLPALGARFFQRAKNLGMMSGGYVWITTDGITDVLEELDHILRITEAMQGVIAVRPLVERSEDVVNFTARFRSRFRQENPTIKAADPSVFQLWAYDTTWATTMAVENLGPKRSSFRRPQSGDHSTDLDVIGSSESGPALLKAILNTRFKGLAGDFRLLGGQLQSSAYEIVNVIGNSARVIEFWTPKLGISKQLDTAVGAGLNSIIWPGISAAVPKGWEIPTGGKKLRIGVPVKKGFNQFVNVGWEPSTNRTVVTGYCIDIFKAVMEALPYAVTYEFFPFRPSANSYDHLIYQVYLKNFDAVVGDTTITAERTLYVDFTMPYTESGVSMVVPVKEDPRKMWVFLKPLTPNLWLVSLAFFVFMGFTVLVIEHSNAEFGRQPSERLGKVFYFVFSVLVFSQTESLRSNFSRIAMVVWMFVVLILTSSYTASLTSRLTVQQLQPTAADLKQLLSTGAYIGYQDGSFAAEILKRMGFKSSKLRHFSTSDQYAEALLQGGAKGGVDAIFDEIPYLKLFLSEHCNGFTMLSWTYKTDGFGFVFQKGSPLVPDVSRAVLNVTEGDKMVAIQNKWFRDTICPSQNNAVTSASLNLYQFSGLFLITGVVSTLAALIFLFLHSRKSTIRGPSIRNILHGPQNPNQAHP >Ma06_p28460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30145703:30148704:1 gene:Ma06_g28460 transcript:Ma06_t28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIHLLFACFFGALQKAMVSAHDAAVPVNVGVILDISSSAGKKSWTSISMAVDDFYATHGNCTTRVVLHLRNSKNDVVGAAAAAVDLLKNFQVQAIIGPETSTEASFVINLGNQSQVGAIAAIVRYFGWREVVPVYEDSEYGVGVIPFLVDALQAVDSGVPYRSVIPSAAADEEMDKELYKLMTMQTRVFIVHMLPALGARFFQRAKNLGMMSGGYVWITTDGITDVLEELDHILRITEAMQGVIAVRPLVERSEDVVNFTARFRSRFRQENPTIKAADPSVFQLWAYDTTWATTMAVENLGPKRSSFRRPQSGDHSTDLDVIGSSESGPALLKAILNTRFKGLAGDFRLLGGQLQSSAYEIVNVIGNSARVIEFWTPKLGISKQLDTAVGAGLNSIIWPGISAAVPKGWEIPTGGKKLRIGVPVKKGFNQFVNVGWEPSTNRTVVTGYCIDIFKAVMEALPYAVTYEFFPFRPSANSYDHLIYQVYLKNFDAVVGDTTITAERTLYVDFTMPYTESGVSMVVPVKEDPRKMWVFLKPLTPNLWLVSLAFFVFMGFTVLVIEHSNAEFGRQPSERLGKVFYFVFSVLVFSQTESLRSNFSRIAMVVWMFVVLILTSSYTASLTSRLTVQQLQPTAADLKQLLSTGAYIGYQDGSFAAEILKRMGFKSSKLRHFSTSDQYAEALLQGGAKGGVDAIFDEIPYLKLFLSEHCNGFTMLSWTYKTDGFGFVFQKGSPLVPDVSRAVLNVTEGDKMVAIQNKWFRDTICPSQNNAVTSASLNLYQFSGLFLITGVVSTLAALIFLFLHSRKSTIRGPSIRNILHGPQNPNQAHP >Ma09_p27250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38289874:38291672:-1 gene:Ma09_g27250 transcript:Ma09_t27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGTTFLFASFLVLLLLLLRKHSYSRRGNARLPPGPFKLPLIGSLHHVLGPLPYRSLAALSEKFGAVMLLKLGEVPTLVVSSPEAAAEIMKTQDVSFASRPMISSVRIIGYGDKSPAFAPYGSYWREIRKMSILELLSVKRVLSFRSIREEEVLNFVRSTDLSSNSGSTVNLSSKFALMTNDIAARAIIGRKCKYQKEFLQVLNRGLEASGGFSLVDLFPSSSLVSLLSGMSLKLPRLHREMDAILSSIIQEHRERNSTEQVEEDLVDVLLKVQREGSLPFAFTDVAVKAIILDLFGAGGETTATTLEWIMSELMRNPGAMKRVQQEVRETVGGKGRVREEDINEMNYLRMIIKETLRLHPPLPLLLPRECQEPREILGYQIPEKTRVLVNVWALGRDPRHWDDAAMFKPERFDRGSSTVDFKGNNFEFIPFGAGRRMCPGIAFGMASVELPLASLLYHFDWELPERDGVKPNELDMTENFSLTCHRRSELCLRAVRRNPCPMH >Ma10_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23077480:23081957:1 gene:Ma10_g08790 transcript:Ma10_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATQTFKGNLKKALAGLRRIDLEGLRWRVFDAKGQVLGRLASQIATVIQGKDKPTYAPHQEDGDMCIVLNAKDLSVTGRKMTDKFYRWHTGYIGHLRERSLKDQLVKDPTEVVRKAVLRMLPRNKLRDDRDRKLRIFAGSEHPFVDRPLEPYVMPPRKVREMRPRARRALIRAQIKAEKGSAGPIVKKKK >Ma11_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22383715:22386355:-1 gene:Ma11_g16980 transcript:Ma11_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQEKGRPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTSSSSSAAPASPRRNDVGGLKPEVTYQYPRKSSKWFCCV >Ma04_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26751885:26753564:1 gene:Ma04_g24850 transcript:Ma04_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSRAPPHIAVMPSPGMGHLIPLSELAKLFVLRHGFTATFIVFSDFSDHAQTAFLDSLPSGFSYVVLPPLPLDDVPLDAHVETRLSIMVERSVPHVRDVLRTLRRTTRLAAYVIDLFCGETLPVAKELGVPHYLLFTTSFMVLSLLLHLPALDQATSCEYRDLPEPVVLPGCFPLRGEDLLHPMQDRTDDAYRCMLNIARRIREVDGILVNSFVDLEPAAYAALKEAEPEVGRPNVHAIGPVIHRGSHGGAKGKECLRWLDEQPPGSVLFVSFGSGGTLSTEQIQELARGLEASGRRFLWVVRCPSDRVASGAFFHLQGPDDPLRYLPEGFLERTRGAGLVVPLWVPQVEVLAHAATGGFLTHCGWNSLLESFVHGVPIIAWPLYAEQRTNAVMMADGLGVALRPKTAADGSGLVRREEVAEVARELMEGEAGKKAREKAGELKQAAAQAVAGRDGSSCKALAEVMQYWKDNAVTGEV >Ma08_p33470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43764596:43767044:-1 gene:Ma08_g33470 transcript:Ma08_t33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHSPTLFFLLFFVCVNATLAFAVDYISSNHSLSGNQTITSPGGNFVLGFFQVGEQSPSRHYIGILFKKVSKLTPIWVANRNNPVFDPATSQLKISDDGNLVLLGQSDAQVWSTNLTSTASNATVAEILDSGNLILRDWSDPSKLLWQSFDHPTDTWLPGVKFVMNKVTGKGPVLTSWRNSDDPAAGIFSVELDPTGLTQFILLWNRSKQYWSTGPWNGHFFSSVPEMTAYRQDPTVVNVSVEFFSNSTTNYFVYELRGDMITRTILDISGQLTQLAWVEEAQEWIRFLALPKKQCDVYALCGPFGSCNENGLPFCSCIKGFSEKSPVDWQLGDRRQGCARNTPLQCGKDDRFFAISGTQLPDDPRSLAAASVEECELLCLQNCSCTAYSYDGGCSAWYGDLLNLQELSDGSRRETVYVRLAASELPMPGRETRRTLKLVVVGAAVALALLASALVLFLRQLGKRELGTDEATEGNLVVFRYGDLQRMTRNFSERLGGGGFGSVFKGTLPDSTLIAVKKLRSLVHQGEKQFRNEVSTLGMIQHVNLVRLRGFCSEANKRLLVYDYMTNGSLNTHLFSKGSPAMAWLTRYNIAIGTARGLAYLHEQCRDCIIHCDVKPENILLDESFHPKLADFGLAKLVGRDFSKVLTTMRGTVGYLAPEWISGEAITPKADAYSYGMTLLELISGRRNTEQSREDDPFFPVLAATKLVEGDILSLLDPRLHGNADITELERACRVACWCIQDDEAHRPSMAQVVQILEGALDVDKPPTPRKLQALLDDSNTKCFSSDSSSGQGSTKALSTSLIETTSLISSEG >Ma10_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31346368:31349916:1 gene:Ma10_g21640 transcript:Ma10_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVKAAMGFQRSPATPKAETPRRSSSSPASHHKPSAASAGGHQPPPPPPPSSNGKASASFARSFGVYFPRASAQLLEQKLLKETVAIVPFLEKEIAARGEELARAAEKIERLEAENRALRDEVETLSSKMRSGEEESRRREKRIRDLETELGELKKALVAASVRRVPEVVEFYHSLMRRDSKREPGGVAPDVAPASSAAAANARDMIGEIENRSAHLLAIKTDVETQGDFIRFLIKEVEHAAFTNVEDVVAFVKWLDDELSFLVDERAVLKHFEWPEHKADAMREAAFGYCDLKKLVSEASSFRDDPRQPCASALKKMQTLLEKLEQGVFNLSRVREGATKRYRGFGIPWEWMLESGYVNQIKLASVKLAMKYMKRVSSELENIAGSPEEEELMLQGVRFAFRVHQFAGGFDVETMRAFQELKDKAHSLRLQSPHHHHHKLYCRSTSR >Ma04_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4320568:4321421:-1 gene:Ma04_g05790 transcript:Ma04_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKANKITEIVRLQQMLRKWKKLAVTPKSSSKSMKFLKRTLSFSDGASTTSSSSSGDVPKGYLAVCVGEEMRRFVIPTEYLGHRAFAALLREAEEEFGFQQEGVLRIPCEVAAFESTLRVVEKKKKKEGFCYCSAEAELACSHLPPKPVCR >Ma02_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15390273:15393308:-1 gene:Ma02_g03950 transcript:Ma02_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFLAWSFLFTSILGLHTVCSSGTLVGFSYDAREENTAPLLPGVALLKMLVANQGAYLDSVPITSISVNLCVSQFEVKKLLESKTSATSWPRTHLMDTLIKLNIDRIIVSSNGERLPLLLSTLTSVRASLKTSNLDRTLRITVMFSLLDLKTLHRTHPKSIQRMMHVLKDWESHVVVETVVDEDMNLDDDFLRSTIELASSACTYLPYLDIPIILIVKSSLIPTGVEIAKFSDRMMKFLRSDALLRRRIAGLFIDISHLRQYGKKTFDWQEKLMVPSLQKELLNHGRKLTVATKTTLYDTFTPITNPVTTPITIPSTNPAPAIVTVPSTNPVTVLPTIPTMSPVNIPPMNPVSTPITVPATDPFSTPVTTPVVPVTNPATTPTTYPTNPPVTNPVTSYPFTPPVSTPSILPPVTVPSTVPITPATSGQTWCVAKAGTPDAALQLALDYACGIGSADCSAIQPTGSCYNPDTLQAHASYAFNSYYQKNPVASSCDFAGTAMLVNANPSTATCIFPSSSPTSTGAGSTPSSGSSVLNTYNPTGSNSVFGSDNPTGTVSNAISLSVGWTFLLFVLTIACISCNV >Ma02_p03950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15390273:15393308:-1 gene:Ma02_g03950 transcript:Ma02_t03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFLAWSFLFTSILGLHTVCSSGTLVGFSYDAREENTAPLLPGVALLKMLVANQGAYLDSVPITSISVNLCVSQFEVKKLLESKTSATSWPRTHLMDTLIKLNIDRIIVSSNGERLPLLLSTLTSVRASLKTSNLDRTLRITVMFSLLDLKTLHRTHPKSIQRMMHVLKDWESHVVVETVVDEDMNLDDDFLRSTIELASSACTYLPYLDIPIILIVKSSLIPTGVEIAKFSDRMMKFLRSDALLRRRIAGLFIDISHLRQYGKKTFDWQEKLMVPSLQKELLNHGRKLTVATKTTLYDTFTPITNPVTTPITIPSTNPAPAIVTVPSTNPVTVLPTIPTMSPVNIPPMNPVSTPITVPATDPFSTPVTTPVVPVTNPATTPTTYPTNPPVTNPVTSYPFTPPVSTPSILPPVTVPSTVPITPATSGQTWCVAKAGTPDAALQLALDYACGIGSADCSAIQPTGSCYNPDTLQAHASYAFNSYYQKNPVASSCDFAGTAMLVNANPSTATCIFPSSSSVPGYSPTSTGAGSTPSSGSSVLNTYNPTGSNSVFGSDNPTGTVSNAISLSVGWTFLLFVLTIACISCNV >Ma06_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9002144:9007319:-1 gene:Ma06_g13120 transcript:Ma06_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKASGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYLGLLATGITADARSLVQQARNEAAEFRFKWGYEMPVDVLAKWIADKSQIYTQHAYMRPLGIVAMILGIDEEKGPQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKNDPAFSYEETVQIAISALQSVLQEDFKATEIEVGVVRKEDTVFRVLSTEEIDEHLTAISERD >Ma10_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14350880:14352397:1 gene:Ma10_g03740 transcript:Ma10_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHPIPSTARSRSERDRRLAEPNRASTRLHLSQIQHSVRVGEGWGRGGFSQRPLLLPLLSPNPSSLSSPCGRREARTRRERALVAPGAPSASEDTALADPDVMEKDEQKEKQPGEEQSGDAEEKMNRKLSCTTVDEDGGDEDEEEKGPVELGPQIGLKEQLEMDKDDESLRKWKEQLLGSIDLNEVGETLEPDVKIQDLTILAPDRPDVVLPIPFVPDAKGFAFALKDGSRYRLKFTFTVSNNIVSGLRYTNTVWKTGMKVDSTKVMLGTFSPQKEPYTYELEEEATPAGYFARGSYSAKTKFVDDDGKCYLDMTYYFEIRKDWPTPA >Ma04_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13126533:13126930:1 gene:Ma04_g15750 transcript:Ma04_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYYRGCHVGNVVDGEYRVLGVFKLRVVDSSTFHRSPGTNPQETVMMMDRYMGVKILRERLGRAAGDL >Ma07_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26364464:26365614:1 gene:Ma07_g18610 transcript:Ma07_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECASFVGMGEIATKEAFEWITSFPKIVQASAIIGRIMNDITSHELEQTREHVASTVQCYMKEYGTDVHVACKKLQGLVDDAWKEINEECLNPTFSIALLERIINYSRISENTYKYIDGYTNSSTKTKEYISLLLVHPIPL >Ma05_p29330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40032443:40039500:1 gene:Ma05_g29330 transcript:Ma05_t29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVAKEASPPPTTASLDRRRRGQKKQPAGPTPAASGRKAQDSLPGAESATDAPDAGVRKEETERSPGERRLRRRRQRPDPRLSNPPGHVRGEQVAAGWPAWLSHAAGEAIKGWTPRRADTFEKIDKIGQGTYSNVYKARDVLTGKIVALKKVRFDNMEPESVKFMAREILILRHLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASLTTKFTEPQVKCYMHQLLSGLEHCHNNRVLHRDIKGSNLLLDNKGLLKIADFGLATFFDPNRKHPMTSRVITLWYRPPELLLGATDYSVGVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPRQPYKRCIQETFKGFPPSSLPLIETLLAIDPAERQTATAALNSEFFNTAPYACDPSSLPQYPPSKEMDAKLRVEEAKRLRAPGGKINANGSKKMHTRERASRAVPAPEANAELQVNITRRRLITHANAKSKSEKFPPPHQDGALGYPLDSLHHNDPSFDNSDASFSTVFPHQKGPATAWSGPLIDPAAAGHTKRKKQIAVDALNQAVSKQFGGANPTRETLKDKGSARFR >Ma02_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16962107:16966806:-1 gene:Ma02_g05740 transcript:Ma02_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGPGSDFQEYFCHQCQRNVAIFPSSTLDITCPICRGGFLEEVDPTPPSPDPAPFPIRNAIFPLSIPRGSYDSFLLSPSPLPFLLSPTSNFELRSPRDLADLLGPVRSSEPSPTPGPAPFNPMLFLQDYMRQLLSGGANIQVVLEGGPVVGAGNLGDYFIGPGLERLIQQLAENDPNRYGTPPAAKSAVESLPDIRISAESLASDEAQCAVCKDAFEMGDEAKLMPCKHIYHKDCILPWLGLHNSCPVCRYELPTDDTDYEQRRGAPAARTLSGVGNSGGSTAGASVEGNSPGSGMLQRSFSISLPWPFMPFATQGLDGHVVGVGDGGNGNARGNSNDANSGQQGYRQSEAEQEDLD >Ma02_p21320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26978023:26979729:1 gene:Ma02_g21320 transcript:Ma02_t21320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWASMATTNCASVEAVEECLDEEAALWLKLAAIGAILIAGAVGVAIPLVGGKGRLVRTDGGVFVCVKAFAAGVVLATGFVHMLHAAESSLTNPCLPDSPWRKFPFAGFVAMAAALGTLVVDFVGTQFYERKHREDATAASAAADLEEKGITAALLTPEADSAGRGKDPMHIVGMHAHAAAHRHSHSHAHGACDGAAVPVRSHGHAHEEEGEGSSNARHVVVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCISQARFRSMAAAMMACFFAITTPAGIALGAGVSSSYNPNSPRALVVEGMLDSMSAGILIYMALVDLIAADFLSQTISCNVRLQVASYLALFLGAGAMSALAIWA >Ma02_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26978017:26979729:1 gene:Ma02_g21320 transcript:Ma02_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASMATTNCASVEAVEECLDEEAALWLKLAAIGAILIAGAVGVAIPLVGGKGRLVRTDGGVFVCVKAFAAGVVLATGFVHMLHAAESSLTNPCLPDSPWRKFPFAGFVAMAAALGTLVVDFVGTQFYERKHREDATAASAAADLEEKGITAALLTPEADSAGRGKDPMHIVGMHAHAAAHRHSHSHAHGACDGAAVPVRSHGHAHEEEGEGSSNARHVVVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCISQARFRSMAAAMMACFFAITTPAGIALGAGVSSSYNPNSPRALVVEGMLDSMSAGILIYMALVDLIAADFLSQTISCNVRLQVASYLALFLGAGAMSALAIWA >Ma01_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28732750:28733913:1 gene:Ma01_g23640 transcript:Ma01_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEVMAACVQSCCAKSAMIKSVAFDATRSLIHGTGLCQLMVDLSLVDEVWCRIQEEARAVAEEEPLLHKLYDDLVLSHETLESALSANLASKLAVPALLSTSVLRDLFSAVLGNDPEIRRAIRVDLRAARDRDPACDKMVHCFLYYKGFMALQAHRVAHRLWIEGRRSVALLLQSRVSEVFAVDIHPGARIGAGVLLDHATGLVIGETAVVGDDVSFLHGVTLGGTGKEIGDRHPKIGDGVLIGAGTQVLGNVRIGKGAKIGAGSVVLKPVPPRTTAVGNPARLIGGKEKPVRLESRAGLTMDHTSWSACTT >Ma04_p38870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36216834:36217635:-1 gene:Ma04_g38870 transcript:Ma04_t38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSEAAAVVAASGTAKVILPDGGLREYTRPVTALRVLGKDAARFFVCDADGMEFEGLVSTVGAHEELRPGQLYFVLPRKMLSRPLHAEELAALAVKASAALVGAVAPLVFPAGTAEEEKGRRTRTGGGGGGKFAPDLSVIPE >Ma06_p28790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30372392:30374302:1 gene:Ma06_g28790 transcript:Ma06_t28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFRFHQYQVVGRALPSATDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERKPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRYHCIQIIKTATIPSKLCKRESTKQFHDSKIKFPLVFKKVRPPSRKLKTTYKASRPNLFM >Ma08_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6219224:6220161:1 gene:Ma08_g08760 transcript:Ma08_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEGAKGWSGRRWRCGGEACPRKGGDGGGVTLQGYVVDTASGGERWPGGIAETRSLTEEDLEELKGCLDLGFGFNYEGIPELRNTLPALELCYSMSQMFHLNDQRQPMPPEDSPPIANWKISSPGDDPDEVKARLKYWAQAVACTLRLCS >Ma05_p27590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38821303:38822579:-1 gene:Ma05_g27590 transcript:Ma05_t27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASATPPPATAGRQRQHPPYKEMIVRAIKSLKEKRGSSARAIGKFIRDTYSDLPGRHAALLKLHLRRLRSQGGLRMVKKSYKISASGPESPAAGEKRKRGRPPKTAEAAAVDGKRKRGRPPKVNAAAPASGSGATVSTPKRRPGRPPKGGAAASPAVQKRKPGRPSKASLASAQSGETRKRGRPPKASVAAAQSGGTRKRGRPPKAATKDASSALKRKPGRPPGSAASTPKPGGTPQKRRGRPKKPQPLDQQQGVPSQNNVARGTETVTPTEKRRGRPPKKKVS >Ma03_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16548026:16550092:-1 gene:Ma03_g15630 transcript:Ma03_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSYLDHYHQEELHGFSSLATVPAFYDLASNREWNQNQLLNCGDFVSNSNGVLSGHRDFSSSHEISPASSLMVHDLGVHHWASNTEEGFMNQLPAHQLNVAKVKELSENSFPTLNLDHQLHQKLLARALASDRQIDGLQPLLGHLSESSSFAPPTANFSRSSPHPPLVAGSLDMDLHELDLLASARLGRSFCQTTLTGMALFGEDATSALDHLQESVLPGPFHHHHLKRSLFSCSSFQKSYTDAIEYKALFSFTE >Ma08_p25440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38272526:38273348:1 gene:Ma08_g25440 transcript:Ma08_t25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAEEEKVQAEEKDLIEILEPSSGPIDLARYVDYVRDPAAGAIATFEGTTRDTFEGKRVVELRYEAYVPMAARRLAAVCAEARAAWPVLRVAVAHRLGTVGVGEASVFVAASAVHRAEAMEACRYVIDEVKASVPIWKKEVYENGEVWKENREFLERREAAVGPVPERKKAACCCGSKVRVDEAATDGKISAV >Ma08_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27664981:27688575:1 gene:Ma08_g17960 transcript:Ma08_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVSTHFHHELSAGKNNLHRGGGGGTAAGHSKRLTVLSRDVRSAGGIEEKYVLDRELGRGEFGVTYLCMDRDTRELLACKTISKRKLRTAVDVEDVRREVAIMRHLPRSPSVVTLREAREDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHLHGVIHRDLKPENFLFASKKENSPLKAIDFGLSIFFKPGESFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGLIDFKREPWPSVSENAKNLVRQMLEHDPKLRLTAKQVLEHPWLQDAKKAPNVPLGDVVKSRLKQFSRMNRFKRRALRVIADHLSTEEVEDIKELFKTMDVDNDGIVSYEELKTGLAKSSSHLVESEVQMLIEAVDATGKGTLDYGEFVAVSIHLQRMANDEHLRRAFSYFDKDENGYIEPEELQEALAEDGAADSIDVANDILQEVDTDKDGRISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSVRLMKDGSLNLGNE >Ma07_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:341868:346822:-1 gene:Ma07_g00410 transcript:Ma07_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPQERRERDSEGEGEEEAVASVRHAVGLGRGTGGPSSSRPRLSAEAVWPEHFVEAVAARVAIDAARNGGRLAAAPAIVAVFQVCATWRAVSRSELLWKDLAGRIWSRQRSSLPSWRDEFVRLHRTAANFRVRRSAYSRLLPPSAALSCRRLTLSDRHLAAGYRDGSVRLFDLPAGRPVATYRADPHRDRLGRFSQAVSGVVFLAEPDERLAFASQDGDIHVVSLDVSGTVRRAHAGNLVEDGTLVDFTGDDRRWVGLFAGVPGRSWHVWDAATEQLVYVGGTLTDPDAVLGWHMLTDLSGPVVGRVRVAEPEVAVGCTTSSMEVVDLDDTVTTLNRLDLQHGAAVDSVDACEGRVMVVDARGLAKVHDVLTLQEICRFSTLRRAEEQQQQQRAVGVVGCMNWGYALVFAARGFRVWDATTGEYLYSFRETISEAAAVAASDRYVVAWADDTGLHLWDFGTM >Ma05_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35863097:35872483:1 gene:Ma05_g23710 transcript:Ma05_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFIVLCGATHLINLWTFALHSRTLAIVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRDTVLKTTLVELGRTLDLKDCMLWMPSHSGTSLQLSHTLHHQIPTGSAVPINLPVVNQIFSSNHAMIIPHTCRLARIWPLSVRHVPPEVAAVRVPLLHLSNFQISDWSELSTKSYAVMVLILPSDSGRKWHVHELELVEVVADQVAVALSHAAILAESMRARDLLTEQNVALYLARQEAELAIRARNDFLAVMNHEMRTPLHATITLSSLLLETELSPEQRSMVETVLKSSSLLATLINDILDLSRLEDGSLELEIGDFNLHSVFREVMNFIKPIAAVKKLQVSLMLSPDLPLYAIGDEKRLMQIMLNVAANAVRFTKEGDISLTASVARTDYLRDFRALDFYPVASDGHFYLRVQIKDTGCGISYQDLPNLFTKFSQSQNVSSQGYSGNGLGLAICERYVSLMQGHIWVESDGIGKGCTATFVVRLRLCENPDGSQQKILPLPWKKQTQKFSSSRGMPTDPGGLIRLKTRYQKSV >Ma00_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3015127:3015648:1 gene:Ma00_g00770 transcript:Ma00_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYCSSGRFNASKILERRGTTRSYLQGIPSAETSGSPSCACWPKLCRTSPASTSSTGTPSPSTKASCPWSSIACGRIGTRNEDLCDQMGVKKKIKVEALPWDAAWKLFTETAGKEMIDSHPEIGRQAEILVRKCGGLPLALIAVGRALASKRSPLERYRPRAPPTPSLVCRP >Ma06_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15994:36386:1 gene:Ma06_g00020 transcript:Ma06_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHREPPEHPVLQDILAKKLSDWELIEELGIYIVGFDRARYGESEPNPSRSLRSEASDIAELANALELGPRFYLIGFSLGGHAVWASIKYIPDRIAGAAMMAPVINYRWPGFPRHLSEEAYRKQQPGDQRALRVAYYAPWLLHWWMKQSWLPSSTVIKGTTHLPNRLDAQVREYAMKNSGMFEERRKLATQQGMLESFYRDMMVMFGKWEFDPMDLSQPPFPVHLWHGDEDGLVSVTLQRYICSRLSWINYHELKETGHYLGGVQSLVDVVLKTLLVVSVSA >Ma06_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17892404:17894622:-1 gene:Ma06_g22310 transcript:Ma06_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGNMTHLMSVLVLLLKIHTIKSRYVFPSPHKSFMPLFLPLDTWIFLLILSQSIIRR >Ma03_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:475796:477004:-1 gene:Ma03_g00570 transcript:Ma03_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPFISECNSPAIDLPMANNNGDPDITIAHDLRPWFPPQATAASPPTSPWNPFCGPKKGDSFLDASTDETVAYLNSLLTTADAEPSSQPSAEHDEEEPPKREARRQRRPGLASGGSEDDEEQRPGKRHHSKNLVAERKRRQRIRDRLHALRSMVPKITKMDKASILGDAIEYVKELQNQVRDLQDGLEKNEEEDEGNVPVPNGMINRGKEPMSDEDDDEHQQMEPQVEVKQLTANELFLMVLCEHRQGGFARLMEAMNALSLEVTNASVTTSRTVVLNVFRVEKGNNNLVVRAEEVRDMLLETARGREEGQLQI >Ma11_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20310559:20311907:-1 gene:Ma11_g14580 transcript:Ma11_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSLLLFFVLLLFFFLVFSSTSKLSGAASIVRTIVVDPNHKGDFTSIQEAIDSIPNNNTHWIKIHVAAGVYREKVNLSQSKRYVFLEGEGAHRTSIEWGDHSPDRRGHGGKATATFTSSASSFVAAGIAFKNTYDGSVNIRQALAAAISGDKSSFYNCSFIGFQDTLFDRKGRHYFKDCYIEGVMDFIFGNGQSIYEGCTISLIQSAMKPGFVTAQGRGSPKDPGGFVFKSCNVTGFQETYLGRAWGAYSRVIFYRTFMSGIIIPQGWYAWNAIGHEGNITYAESECVGPGSNHSRRVEWERKLSNIELRYFIHMTYIDREGWLNEQPKSSWSY >Ma11_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8688257:8692171:-1 gene:Ma11_g09630 transcript:Ma11_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFAHWGGRGAAAKAAQQMIPFADYGSEASQRLVEAAHLGDSVAASECLVDPAADVNYAGAVCLRARRAVVALREEAADEVRVEFEELRTDASALFLASHAGDLALVRLLLEKGADVNQKLFRGHAITAAVREGQAEVAALLLKAGASQHACEEAVMEASLHGRARLAELLMGSDLVRPPVAAHALALAASRGFVDVVDTLIECGADPNATSRLLLRSLKPSLHTHVDCTALIAAIVGRQAAAVRRLLQAGVREDAKVRLGAWSWDADTGEEFRVGAGLAEPYSVAWCAVEYFESTGTILRMLLQHHSPNAPHHGRTLLHHAILCANPRAVDTLLARGADCELPVRTSRKIEFRPIHMAARLGLASVLQILIDKGCDLNPRTDTGETALMLCARYKRDECLRILVSAGADLGLVSSAGVSAATVAASGHWSFSFQHAVLDTIRQGTFPRSSDRNVFSPIMFAAQCGDVGSLEVLLTRPDIDVDEQDENGQSPVMAAAGEGHVNAFRVLLVAGANMKLRNKSGETAVELSRSNENRDLFEQAMLEFTLERGNAGGFHALHFAARRGNMAAVHLLIKRGSDVDAIDGDGCTPLMLAAREGHAETCEFLILRGAKCDIMTRRGETALSLARSNAKLGMEAENVILDELARALVLHGGRVKKHTECGKGSPHKKVLRMEAAAGVLRWGKASHRNVVCTEAAVGGSSAFQKNRKGKADANEAGLFRVVTTGMKEVHFVCEGGEEVAELWVRGISLVTRAAFGGK >Ma06_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7863109:7864063:-1 gene:Ma06_g11200 transcript:Ma06_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRPESAPPSAKITAKAPRRQKASTPRSAAAGRARTPDSKENAPQKGEEGDNHPVAVAGSQQASSSLPSALAEEEAVGWGDGAAELGERWCLWGVEEEKLLGWFPFVDEDFLCSDSGGGEGWWSGLLWEEADHDIWQLQHIHEIPHTAAK >Ma05_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4762090:4768961:-1 gene:Ma05_g06380 transcript:Ma05_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDKLFPAIEPEEPEPHRPDAVIRSVAAVDSRVSDARVFHSSPEVSDEMEDSYSTSSSSKNGNCCSSELIQASNPGIVCPQISAKRKSRKYFYYDSPLYEETGIWIPVSVPPMSESDHDEWSRGFGCNGGYFPEGEFSWDQSLGVDKEMTMWDVVSEMLLVVRHKLSGLASSDLKSCGMSWISIELLEETWKEMANSLAETNYGHSMEILETDPPKWLPDSAAASCMLCNVRFHPFMCFRHHCRFCGGIFCGDCSTGRSLMPPKFRIADPQRVCDVCYVRLKSVQHYLMDQVSNASQLLTQDLTDLSTLRSWLNFPWGRTMEYEIYKAANIVRSYSKVGSLRPEKSIPDAILKQAHGLAILTVVNVGLMVTYKVGTGLVVARREDGSWSPPSAISSFGLGWGAQAGGELTDFIIVLRNKAAIRTFSGNAHLSVGAGLSAAAGIVGRAAEADIRGGDGGYAACYTYSCSKGAFVGCALNGNIVIARTSENSRFYGAPLKASDILLGSLPRPPAAAVLYNALSDLFLKLKR >Ma10_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29111075:29115636:1 gene:Ma10_g17930 transcript:Ma10_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSPRRSAELLLAAAAAANVLLLLLLASAAPWATADTDPGDLAAMLAVANALGADRALDWSPSADPCSDWAGVACSGGRVTTIQVGNRNLAGSLPADVRNLTSLSRLELQNNRLSGPLPSLAGLSSLQSLLLHHNLFSSIPPDFFSGLSSLQSAYLDENPFAPWPLPATLSDATALVNFSANAANVSGPLPDFLATSFPGLDHLGLAFNLLSGPVPSAFAVAPFRSLWLNNQRGRSRLSGGIDFVENMTALEELWLHSNEFSGPLPDFSGHTSLRDLQLRDNQLTGVVPYSLTELKSLSKVTLTNNLLQGPVPIFPDSATVDLVPQSESFCLNTAGECDHRVTILLSIAKSFRYPSGFAENWKGNNPCGWLGISCDAGGNITVINFSRMDLNGTISPDFSLFTTLQRMMLSNNNLTGTIPSTLTNLTSLKELDVSNNSLRGQVPSFSQNVLLKTDGNVNMGKPAIAPPGSDSDSAHNGSDSNPAGSVDGSSGSSGKSSSGSISVIVGLVVAGVFSVSLAGLLGFCYYKRKLQNSGRVQSPNTTVIHPRLSGSDQDMVKITVVGSSMNGGMAASESYSRTSSGPSDVHVIDAGNMVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMEASIIGTKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERLLVYEYMPQGTLSRHLLDWKEEGLKPLEWKKRLSIALDVARGVEYLHNLAHQSFIHRDLKPSNILLGDDMKAKVADFGLVRLAPDGKGCSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDESQPEESVHLVTWFRRMQLNKDTLPKAIDPMIDLDEETFASMSTVAELAGHCCARELYRRPDMGHAVNVLSSLAELWKPSDPDLEDSYGIDLDMSLPQALKKWQAFDDSSHFDGATSSFLASVDNTQTSIPTRPPGFADSFTSADGR >Ma03_p30490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33101585:33115315:-1 gene:Ma03_g30490 transcript:Ma03_t30490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARTQVNKAHKSRFASKASRQIHRTSRTDKSRIAKPDSHRAAVKGARAARIQRSKTIRNEKRAALLKEKRASTGSASPPRVVVLFDLSSSTNLVKVATDLLTLSSEEDTKPFSNTVAFPTYKFRLTVLEAPHGDLLSCMEMAKCLSIFRAIGLPSTAVLIRDLPMDMKRKQELKKICISHLASELPEDCKFYPADSKEDLHKFMWLFKEQSLSCPHWRNQRPYLMSQEASLEPDESNPGTCSLLLSGYVRAHSVSVNQLVHVSGAGDFQLGRIDVLKDQCPMSERKSSNSMDADDMRDFQVIQTLLPDPMKQEPLVVENVPDPLAGEQTWPTEAEIAEADINNKEQKLIRKKLPQGTSDYQAAWILEDTDDEDSDNSDEESDGMVLDVQKDQTTQDGSDNSDVDECQLISENFDDRTEADTEMADEESLTKEQIEAEIKKIKEAYADDQEFPDEVETPIDVPAKKRFARYRGLKSFRTSSWDPKESLPPEYARIFAFDNFARTQKNALAKVLDIDEGSVDDCAPVGSYVRLHLKHVPLDLATRLCQPSKKSPVVACGLLQHESKMSVLHFSIKKHDSYDAPIKSKEMFSFHMGFRQFFARPLFSSDDLNCDKHKMERFLHPGRFSVASVYAPISFPPLPLIVLKTQHGEPPALAAIGSLKCVDPDRIILKKIILTGYPQRVSKSKAIVRYMFHTPGDVRWFKPVEIWTKCGRRGRIKEPVGTHGALKCVFNGVIQQHDTVCMSLYKRAYPKWPEQCYRSCDTISQSGMVSA >Ma03_p30490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33101585:33115315:-1 gene:Ma03_g30490 transcript:Ma03_t30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARTQVNKAHKSRFASKASRQIHRTSRTDKSRIAKPDSHRAAVKGARAARIQRSKTIRNEKRAALLKEKRASTGSASPPRVVVLFDLSSSTNLVKVATDLLTLSSEEDTKPFSNTVAFPTYKFRLTVLEAPHGDLLSCMEMAKVADLIAFVVSAKSLYDGYETSSLIDPFGEQCLSIFRAIGLPSTAVLIRDLPMDMKRKQELKKICISHLASELPEDCKFYPADSKEDLHKFMWLFKEQSLSCPHWRNQRPYLMSQEASLEPDESNPGTCSLLLSGYVRAHSVSVNQLVHVSGAGDFQLGRIDVLKDQCPMSERKSSNSMDADDMRDFQVIQTLLPDPMKQEPLVVENVPDPLAGEQTWPTEAEIAEADINNKEQKLIRKKLPQGTSDYQAAWILEDTDDEDSDNSDEESDGMVLDVQKDQTTQDGSDNSDVDECQLISENFDDRTEADTEMADEESLTKEQIEAEIKKIKEAYADDQEFPDEVETPIDVPAKKRFARYRGLKSFRTSSWDPKESLPPEYARIFAFDNFARTQKNALAKVLDIDEGSVDDCAPVGSYVRLHLKHVPLDLATRLCQPSKKSPVVACGLLQHESKMSVLHFSIKKHDSYDAPIKSKEMFSFHMGFRQFFARPLFSSDDLNCDKHKMERFLHPGRFSVASVYAPISFPPLPLIVLKTQHGEPPALAAIGSLKCVDPDRIILKKIILTGYPQRVSKSKAIVRYMFHTPGDVRWFKPVEIWTKCGRRGRIKEPVGTHGALKCVFNGVIQQHDTVCMSLYKRAYPKWPEQCYRSCDTISQSGMVSA >Ma07_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30641886:30646284:1 gene:Ma07_g22860 transcript:Ma07_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSKPTSSEVEKENQHEVEIKGSTEDSKNIDDYHMEGNNGTVVEQKNNDDTGVAYTDVAISADDVIRAGGLGARDDISSFLPVAIDSTDFEASLRDARDFEEPQGEMPRPGLGWTESIDEK >Ma07_p22860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30641930:30646284:1 gene:Ma07_g22860 transcript:Ma07_t22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSKPTSSEVEKENQHEVEIKGSTEDSKNIDDYHMEGNNGTVVEQKNNDDTGVAYTDVAISADDVIRAGGLGARDDISSFLPVAIDSTDFEASLRDARDFEEPQGEMPRPGLGWTESIDEK >Ma03_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8077814:8080216:-1 gene:Ma03_g10710 transcript:Ma03_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTAIFCASTLLALLISSSTTAQSPPAPFLPPSPAPAPAPAPAPHLVNLTDLLSVAGPFSTFLNYLLQTKVIDTFQNQVNSSKQGITIFVPKDSAFAALEKSDLGNLTQNQLRTLMLYHAFPKFYSLSQFKNLSNSNPVSTFAGGQYALNVTDTSGLIRVVSNWATSKISSSVWSTAPVALYQIDKVLLPLAIFSTDPPLAPAPAPAPETKKPSDLSPTQSGIASAPKSSESSTTNGSSFGTSICLFNYLVLVLSGVLMLIM >Ma08_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33449981:33450451:1 gene:Ma08_g19680 transcript:Ma08_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYFYTGKASKESDVYGFGIVALEIACGRRTIEPMEHPNKVRLAEWVWELYGRQAILEAADEKLKGDFDEKQMESLMVVGLWCAHPDYNLRPSIKQAISALNSETPLPVLPASRPVLTYSVLSMDDTSVATVYSVNDSPSDRCTHSCERSSSPT >Ma01_p00180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:158430:163011:1 gene:Ma01_g00180 transcript:Ma01_t00180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTVSKPFVEQQHKIPDVQVLEIAALQPPPLRVPNTNKLESDTPRKLPKSVLQSTSEHKTEEVLVDQILNHQPKESYNISTTKVTIKVAKSLDHGVLVASSNNPKQSGRQADSVDGTVTLDASRSQEKKNLEQCSEKNSSSSAKISDGTCSLTKTSGSAKISDRIDSGKSSMCRASTSSDVSDDSTCSSISSSISKPHKANDSRWEAIQAMRARDGVLGLSHFRLLKKLGCGDIGSVYLSELCGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHILRQRQPGKYFSEQATKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSHLNSDSKRSNPLYCVQPACIEPSCVQPSCVALSPRLFSLKSKKEQKQKVETGKQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEFPVVSFGARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPYEIFQPPGVVSSAIQKDTTASEKSSDNYLEFDFF >Ma01_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:158429:163011:1 gene:Ma01_g00180 transcript:Ma01_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTVSKPFVEQQHKIPDVQVLEIAALQPPPLRVPNTNKLESDTPRKLPKSVLQSTSEHKTEEVLVDQILNHQPKESYNISTTKVTIKVAKSLDHGVLVASSNNPKQSGRQADSVDGTVTLDASRSQEKKNLEQCSEKNSSSSAKISDGTCSLTKTSGSAKISDRIDSGKSSMCRASTSSDVSDDSTCSSISSSISKPHKANDSRWEAIQAMRARDGVLGLSHFRLLKKLGCGDIGSVYLSELCGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHILRQRQPGKYFSEQATKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSHLNSDSKRSNPLYCVQPACIEPSCVQPSCVALSPRLFSLKSKKEQKQKVETGKQVSALPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEFPVVSFGARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPYEIFQPPGVVSSAIQKDTTASEKSSDNYLEFDFF >Ma04_p37710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35484619:35485539:1 gene:Ma04_g37710 transcript:Ma04_t37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPSSRIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFCEGTQAAPRKKPAAAA >Ma04_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:398034:398993:-1 gene:Ma04_g00440 transcript:Ma04_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRFSAFLLLLPLSAVASTAHDFDFFYFVQQWPGSYCDTRQSCCYPSTGKPASDFGIHGLWPNYNDGSYPSNCDSDWPYDASEMNWPTLACPSGDGSSFWSHEWRKHGTCSESLLDQRSYFQAALYLKKQVDLLKVLQDAGIRPDGGFYSLRGIAGAIREAIGYTPGIQCNVDESGNRQLYQIYLCVDTWGKELIECPVFPRSKCSSRVEFPPF >Ma04_p00440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:398034:398993:-1 gene:Ma04_g00440 transcript:Ma04_t00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRFSAFLLLLPLSAVASTAHDFDFFYFVQQWPGSYCDTRQSCCYPSTGKPASDFGIHGLWPNYNDGSYPSNCDSDWPYDASEMSDLMGMMQMNWPTLACPSGDGSSFWSHEWRKHGTCSESLLDQRSYFQAALYLKKQVDLLKVLQDAGIRPDGGFYSLRGIAGAIREAIGYTPGIQCNVDESGNRQLYQIYLCVDTWGKELIECPVFPRSKCSSRVEFPPF >Ma09_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6746856:6756865:-1 gene:Ma09_g09870 transcript:Ma09_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMGGLGLFKQGWKWIQSQKQAFVSVRVAVICVGEKLVLVIDRHWPLVYSWCMVAGRLLFRFILQWKDCVVGGLRSMFTLGSAALFVILWSCFLRLTSTTSLVCVLLSLGAAATAVHYLGFTPGLLMIGLYGILIMWIYGYFWITAMLFIAGGYMFSLNHARFLILMATTYAVYCINTRDGLHGVFLLLNLSFISNDILNKLLQVYDDTSEGIHIGEQKEWEQFKEDFSVDSECSPPTEDAEEVVSSLSSCTTPKASYLTGIHKDASSSKVVIAESTSLVEMKRIMNSSNHYEILGFLRNKIVDPQILKKEYHKKVLLVHPDKNMGSPLACESFKRLQGAYEILSDLTKKKNYDEQLRKEECGRVCQRSSVASQKGGVEYCSEESRRIECTKCGNSHIWICTNRSKGRARWCQNCSQYHQAKDGDGWVESGSSPVIIRHRKVDIPRAFVCAESKIFDVSEWAICQGMACRPNTHGPSFHVNMIGLERTGLRSNPSRYPWGLDAEMIVEDDEFELWLKQALASGIFSESPKRRKSWPFKFNQKGMKPWRKSP >Ma09_p09870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6746856:6756865:-1 gene:Ma09_g09870 transcript:Ma09_t09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMGGLGLFKQGWKWIQSQKQAFVSVRVAVICVGEKLVLVIDRHWPLVYSWCMVAGRLLFRFILQWKDCVVGGLRSMFTLGSAALFVILWSCFLRLTSTTSLVCVLLSLGAAATAVHYLGFTPGLLMIGLYGILIMWIYGYFWITAMLFIAGGYMFSLNHARFLILMATTYAVYCINTRDGLHGVFLLLNLSFISNDILNKLLQVYDDTSEGIHIGEQKEWEQFKEDFSVDSECSPPTEDAEEVVSSLSSCTTPKASYLTGIHKDASSSKVVIAESTSLVEMKRIMNSSNHYEILGFLRNKIVDPQILKKEYHKKVLLVHPDKNMGSPLACESFKRLQGAYEILSDLTKKKNYDEQLRKEECGRVCQRSSVASQKGGVEYCSEESRRIECTKCGNSHIWICTNRSKGRARWCQNCSQYHQAKDGDGWVESGSSPVIIRHRKVDIPRAFVCAESKIFDVSEWAICQGMACRPNTHGPSFHVNMIGLERTGLRSNPSRYPWGLDAEMIVEDDEFELWLKQALASGIFSESPKRRKSWPFKFNQKGMKPWRKSP >Ma09_p09870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6746856:6756865:-1 gene:Ma09_g09870 transcript:Ma09_t09870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALMGGLGLFKQGWKWIQSQKQAFVSVRVAVICVGEKLVLVIDRHWPLVYSWCMVAGRLLFRFILQWKDCVVGGLRSMFTLGSAALFVILWSCFLRLTSTTSLVCVLLSLGAAATAVHYLGFTPGLLMIGLYGILIMWIYGYFWITAMLFIAGGYMFSLNHARFLILMATTYAVYCINTRDGLHGVFLLLNLSFISNDILNKLLQVYDDTSEGIHIGEQKEWEQFKEDFSVDSECSPPTEDAEEVVSSLSSCTTPKASYLTGIHKDASSSKVVIAESTSLVEMKRIMNSSNHYEILGFLRNKIVDPQILKKEYHKKVLLVHPDKNMGSPLACESFKRLQGAYEILSDLTKKKNYDEQLRKEECGRVCQRSSVASQKGGVEYCSEESRRIECTKCGNSHIWICTNRSKGRARWCQNCSQYHQAKDGDGWVESGSSPVIIRHRKVDIPRAFVCAESKIFDVSEWAICQGMACRPNTHGPSFHVNMIGLERTGLRSNPSRYPWGLDAEMIVEDDEFELWLKQALASGIFSESPKRRKSWPFKFNQKGMKPWRKSP >Ma07_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25530154:25531192:-1 gene:Ma07_g18460 transcript:Ma07_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRGSTAAAAPHPATCSPPKFGPWPTSPLPSVTFYNQEDTVTNQLRNGVRGLMLDMYDFENDIWLCHSFQGQCYNFTAFEPAINTLKEVEEFLTENPLEIVTIIIEEYVRAPKGLTKLFTDAGLVKFWYPISEIPMNGMDWPSVTDMVAKNHRLLVFTSDASKEANEGIVYQRRYMAENENVSS >Ma02_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20438822:20444201:-1 gene:Ma02_g11110 transcript:Ma02_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20020) UniProtKB/Swiss-Prot;Acc:Q9SL79] MALRVAAPIPLFYPNLTPSRRPASSTELRFSRWNNANAEPFLRRRREQKEIEDDIRRHRRHQSALRIAEDADFEENRAAIESPPPSADFRSRGTPSAPSRPSIPGKASKYSKPPLDHKAPPSPSHPAFRRVARARIPPKPDEESGISVGENGIAYRIKGAPFEFQYSYTETPKVKPLALRESPFLPFGPTTMPRPWTGRAPLPPSKKKLPEFDSFQLPPPGKKGVKSIQAPGPFLAGSEPKYHAASREEILGEPLTTEEIKVLIKGCLRTKRQLNMGRDGLTHNMLENIHAHWKRRRVCKIKCKGVCTVDMDNVRQQLEEKTGGKIIYTKGGVIYLFRGRNYNYRTRPRYPLMLWKPITPVYPRLVQRVPDGLTLEEATEMRKKGRQLPPICKLGKNGVYCKLVKQVREAFEACELVRINCKDMNPHDCRKIGAKLRDLVPCVLLSFEYEHILMWRGKNWKSTLLPQEDNSNEAAEHITTDPTAAPSRSSNNTLSTDQDIMDQVVGTSPNKEPCISLSTKDAAFDEHPREVETECMSKSEEIDQLSRETANRLNDVVHQTSNSSTVIDQDASIAICHDISSSGAEYSSKELFQDESKHLSYLGEKAEHSAVHVGPTRHDDMDRCTRLDNASGESVGLEMEESDCLPSGSCLEGVMLLLRQAVDSGTAVILDDSCLDANIVYERSVALAKTAPPGPIFQHRIKKVSVQTTEQENSDKSEEQDIEVEVISDSNTRISGKKNFRSCRRDNLQDILPDVVPHGSLGVDELAKLLA >Ma08_p25900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38584139:38584945:-1 gene:Ma08_g25900 transcript:Ma08_t25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHSWLAKSSLEPSLAYEYGLLFMDNELEEEDIAYFDHDFLQSMGISVAKHRLEILKLAKNDGRATPVPVAKLAAVISKSKNCLTRYVRAMGCTNGPAILVVANATYGDRWSGAAMRRKAKLALLKQGRLMIADRGMSVAADLPSRSHSASPMVRGCHGNSNAAADDGYRGPAVGAMRWDSMFDNLKPT >Ma05_p19530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27975265:27988274:1 gene:Ma05_g19530 transcript:Ma05_t19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCIWELSSRRATSRIHRRIAPQISVYLSSRSQFSSAPPQNSLQGSATADNASHSRSYISKLVLGSVVIGAAITAAYKTGYIDIQVKDDKSSPNSSKLNAAKDSKDLELSVEQAVLLSNEETSTLEPDIEIVEKSDEPQGQEFEIKGEAILERVPLEETAPLKENEPTEVDSKIPSEVSSSVADEQKADSEVSSEGTTLDDILVSTEVTVEQNKSNETSKENIGEESQVSEEAVLKEAPIKVAMDSADTEEGPYKSLSESYSLQDEGSQKISREEINTDAVATFSTIKEGYIGATEQVRDEESSKDGKIVLDLIEAIHAAEKKQAESDAFVFAEEKRVLKEKYEKQLKDAKARALMYAEEAAILEKELNREKAKAAAAIKSLQEKSENKLREELQRKDEETDTQLKKVKELSKAELAAAIAKEKSSQIEKIAEADLNINALCMAFYARSEEARQTHSVHKLALGTLALEDALSRGLPIRAEVDALLKSLEGIDKDSLVELALSCLPEEILNNGTSTQMQLNQKFESLKGTLRHFSLIPAGGGGILAHMVAHVASSIKMKEQSGDGIEPVISKVENLLVDGNFVEAADVLEGGVRGSEAEEVVIEWVRQARNRAVAEQALTLLQSYAMSITFT >Ma05_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27975277:27988274:1 gene:Ma05_g19530 transcript:Ma05_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCIWELSSRRATSRIHRRIAPQISVYLSSRSQFSSAPPQNSLQGSATADNASHSRSYISKLVLGSVVIGAAITAAYKTGYIDIQVKDDKSSPNSSKLNAAKDSKDLELSVEQAVLLSNEETSTLEPDIEIVEKSDEPQGQEFEIKGEAILERVPLEETAPLKENEPTEVDSKIPSEVSSSVADEQKADSEVSSEGTTLDDILVSTEVTVEQNKSNETSKENIGEESQVSEEAVLKEAPIKVAMQDSADTEEGPYKSLSESYSLQDEGSQKISREEINTDAVATFSTIKEGYIGATEQVRDEESSKDGKIVLDLIEAIHAAEKKQAESDAFVFAEEKRVLKEKYEKQLKDAKARALMYAEEAAILEKELNREKAKAAAAIKSLQEKSENKLREELQRKDEETDTQLKKVKELSKAELAAAIAKEKSSQIEKIAEADLNINALCMAFYARSEEARQTHSVHKLALGTLALEDALSRGLPIRAEVDALLKSLEGIDKDSLVELALSCLPEEILNNGTSTQMQLNQKFESLKGTLRHFSLIPAGGGGILAHMVAHVASSIKMKEQSGDGIEPVISKVENLLVDGNFVEAADVLEGGVRGSEAEEVVIEWVRQARNRAVAEQALTLLQSYAMSITFT >Ma08_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23786626:23813045:1 gene:Ma08_g17220 transcript:Ma08_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKMAEEVWLTCLTHALTTETEEIMGLLLGDIQYSNSGNATALIWGASPQMRSDRRKDRVETNPELLAAASAQAERMTLTTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLEHGFIGLIFSCFSEDAQKVGKIQVIAFQSMDGKQRQAPPVTNSSIIEVESSWSSSDNTFLVSTPALTESFEQDTGDSRASKASKVGGKSSDLGELFSYADVNYLGKQRMRENAGADSDSSDMTPSMQEALHRSNMDMSGAEYVRKEVPLQVLPARHMLKLNSPLKSFTDMQHVLFEEERSAYKQAIFENMCNGRIHPLNYVHHTSTYQASLCKLMEYCLNPTISALQGRLKENEIRLNMLMEEAQSLEVEARGRKSGMHSESPRSHVARGFRGSPSVGTRDLHASVDSAVTRAPSGSASRRKAP >Ma11_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24767576:24772564:-1 gene:Ma11_g20220 transcript:Ma11_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDAKAKGNAAFAAGRFEDAIRHFSEAIDLAPANHVLYSNRSAAYASLQRYDVALADARKTVELNPGWAKGYSRLGAAHLGRGDADQAVAAYEKGLEIDPANEALEAGLADARAAAARSRGPIPPQEASPFGKIFQGPELWAKLTADTTTRGYLQQPDFIKMIQDIQKNPNNINMYLSDPRMMQVIGVLLNVKMRAPTDEMERDFMEPEQVKPQPEPVKKASEPEPVPEPMEVPEEQKESKEKKAEAQKKKEAGNAAYKKKDFETAIQHYTRAMELDDQDISYITNRAAVFLEMGKFEECIKDCDKAVERGRELHSDFKMIAKALTRKGTALVKLSKCSKDYDIAIETFQKALTEHRNPDTLKKLNDAERAKKELEQQEYFDPKIADEEREKGNELFKQQKYPEAVKHYTEALRRNPKDPKVYSNRAACYTKLGALPEGLKDAEKCIELDSSFSKGYTRKGAIQFFMKEYDKALETYQEGLKHDPNNQELLDGVRRCVEQINKTNRGEISPEELKERQAKAMHDPEIQNILTDPVMRQVLIDFQENPKAAQEHLKNPQVMHKIQKLVGAGIVQMR >Ma07_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22612481:22613224:-1 gene:Ma07_g17940 transcript:Ma07_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPRPLGLKTIADASFDGPRESNCVKRNFVASSRSPCLPPPSSAEDGKAMGRGEDGDDDAEEDVVEDDDGACWATYGRRRPGRRFPPPIPLLARTGKLTCRLPWVLKRTYEDDGRLVIQEVRVKHHEYFRARRRDGRLTLQLVKLDDHQPTEEDIVVDTDKKQDTSSSLSSSSSSSSSSEEEKWIQRMPASDKAATSTPRLPLSSKMVLSSSMPEPMCGKASFEKGGPTPPLHLQFAMSRMRLVHG >Ma07_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2475892:2480232:-1 gene:Ma07_g03230 transcript:Ma07_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPKDDPRKPCKLTAFLGYKSGMTHIVREVDKPGSKLHKKETCEAVTIIETPPMVVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKSWCKSKKKAFTKYSKKYESEEGKKEIQVQLEKMKKYASVVRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDFAYSFFEKQIPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYKVGKTGDESHSAITEFDRTEKDITPMGGFPHYGIVKDDYIMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTEEKRKFYGRMKA >Ma00_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12573801:12583337:1 gene:Ma00_g01860 transcript:Ma00_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVVMVCAAVGFLGLLSAALGFAAEATRIKVSDVQTTTLGVCTYPRSPALALGLIAAVALMIAQAIINTVSGCICCKKYPNPSDTNWTIGLISFIASWVTFIIAFVLLLSGAALNDQWGQERMYFGEYCYVVRSGVFSGGAVLSLASVALGIVYYVSSSSPKNMQPWSPQQNQGIALGQPQIPPQVQTTPVFVHEDTYIRQQFP >Ma03_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2622009:2626888:-1 gene:Ma03_g04020 transcript:Ma03_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX15 [Source:Projected from Arabidopsis thaliana (AT5G56090) UniProtKB/Swiss-Prot;Acc:Q9FKT8] MTGGRLGSLIRRGKASTLISQLKNSSPRVPYGGSSSPALPPPPRFGGSGSHREGFLARFASFYGFKSMPKSLYGQSSRNMSTSIPLASSSKMDLSNSGLRLLVTKGPHAQKVVGIWLFGCAAWVFSLVVLGGVTRLTRSGLSMTDWKFTGGLPPLSEDQWLLEFEKYQQSPEYKRVNKGMTLEDFKFIYWMEYAHRMWGRGLGMVFAFPFMYFLAKGFITRQLGLRLSALFAIGGAQGLVGWWMVKSGLEEPPSEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPSGTLEWIRGAARVQKWAVPVSLLVGMTAISGAFVAGNDAGHAYNTFPKMGDSWIPEDIFDMDPLIRNFFENTSMVQLNHRILATATLASIGGLWFAARKVNIHPAVQSLIGSTLGMAALQVTVGITTLLTYVPVSLASAHQAGALTLLTLMIILTHTLRRPSPALLKSLTSIRKMKS >Ma04_p27280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28470177:28478288:-1 gene:Ma04_g27280 transcript:Ma04_t27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQLPTRLLERTSRSSSIVLPRPLRLRVSPSMAWLLSARTVAGASPSLTTAARPFSLRRLSGRPDEKVDLVQPEIEAEDPSSEIEVFGMLRLEEAIHTMIVRRSAPDWLPFIPGSSYWVPRRGRPPGVVELVSKFTNPMTVDEILSFTIDPVLSPSAYFVEGTAPQPMRKIEGRTKVFSDDEKS >Ma03_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24145458:24149871:-1 gene:Ma03_g18570 transcript:Ma03_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKEKEQQKQQKQQHNIKAWESAVRKAQQLHSHQSGSRRRVCQISPMSVAPADDNFEASSPRGSDGNSAAEEDGKVCHAERLFPGGDSYTGQWSGGVPHGTGKYVWTDGCIYEGEWRRGKTTGRGKFSWPSGATYEGEFKAGFMDGFGTYTGASGDTYRGSWSMNLKHGHGKKFYANGDYYDGEWRSGLQDGHGRYVWSNSAEYVGQWRAGVIHGRGSLIWANGNRYDGGWDNGSPRGNGNFRWPDGSLYVGVWTKENAGIQQKGVYYPSPSASSPTARDPQEAFTADLRGCKISPGDTLSILPSQKTLNWSGTEVSRGSVDNADPGLPPRRLNGRTNTIIGSSDILGGERWSDRIGICKSNGDISFDIVDRGSAASRGDADVKLSLNPRWPPPRAAKRQGETITKGHKNYELMLNLQLGIRHAVGKQGPSHVELKSSAFDPKEKVWTKFPPEGSKHTPPHQSCEFRWKDYCPLVFRTLRRLFKVDAADYMISLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKVLLRMLPAYYNHVRAFENTLVTKFFGLHCVKVTGASQKKVRFVIMGNLFRSEYSIHRRFDLKGSSHGRMTRKPESEIDEYTTLKDLDLNFIFRLQQSWFQEFQRQVDKDSEFLEQERIMDYSLLVGVHFRSSRETPLPEGSFQCGDADIQRESTLRLSRDMDQFLGDQNRRAKIRIGLNTPARAELTVRKSESDSQLIGEPTGEFYDVILFFGIIDILQDYDISKKLEHAYKSIQHDPTSISAVDPKQYSKRFRDFIYRAFTEDA >Ma06_p32740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33602511:33603094:-1 gene:Ma06_g32740 transcript:Ma06_t32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLEVSLGAVVSRLYSLCGFAWACLALVAAAATALGLWAVRVAGSKFIHPPTPTSPVPEVGSACVVNSAAAEAAIIAKARFTAYYSAAAAGSFHIEDDDVDEEEEDEDEDVSNVGLRVRAPRDGGWGMDWIAVMTREDLGWHRYQDMTALNGSVVKLWDARHGGLTATHGGCLRRRSAGGV >Ma01_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8850845:8853567:1 gene:Ma01_g12210 transcript:Ma01_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAGYVTMRAEKGVGAPPSLFGADYMFRWEQQGEYMERRQNFLRSYHFCRKQGPREKALRVRRLAWARLSGVRRLPRLLWAKIRSALALVRGGRMRRPSQRMASVSSFQRLPHRRSASNISSSSSAESPRW >Ma07_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7372092:7375838:-1 gene:Ma07_g09880 transcript:Ma07_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKRLQPFEKKTDGLFLLPFPELSFSWNLHEGFYPTGGLFASVGQMGVGFGVSPNTSNPTNNSVKVSGTDLYMKYVSPEVGYRALETPAADPTETVAEATDVAEEVVVKKEKKKGGLKIRIRIGNPHFRRLFSGAIAGAVSRTTVAPLETIRTHLMVGSNGNSTTEVFQSIMNTEGWKGLFRGNFVNVIRVAPSKAIELFAYDTAKKALTPKDGEQPKLPLPPSLVAGAVAGVSSTLCTYPLELLKTRLTIQRDVYDNLLHALLKIIQEEGPSELYRGLTPSLIGVVPYAATNYFAYDTLKKLYKKTFNTDDIGNVATLLIGSAAGAISSSATFPLEVARKHMQVGAVGGRQVYKNMLHALVSILEKEGVGGLYKGLGPSCMKLVPAAGISFMCYEACKKILIDEEDA >Ma11_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1441945:1444253:1 gene:Ma11_g02020 transcript:Ma11_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSVAAAAATKKKKKKNDGPKPAPSAATVGILSFEVASAMSRAVSLYRSLSESEMARFRSQILASHGVRHLVSSDEHFLLSLALAEKLDDLNGVATVASRLGCRCSHPALLGFEHVYSDLLAGRIDPSSLGFLSKDMDGTIRKMERFVSATASLYSELEVLTELEHAAKKFHQNPVHDASRRAVDQKIQWQRQDVKHLRGSSLWNQTYDKVVLLLARAICTIHSRISLVFWETICSSDCLVADQSCQLSDQLIVSAHHPIHSGSLRSGSVNSISGQITKTTPDAEAGAKLRREGLRFHCGASPGRLFMECLSLGSSALLKESDEQFSNERCLSRPAFGAPVPFSGEVVQHMSGRRSKFGPRSKLTMLAAPSTVGGSALALHYANIIIIIEKLLKYTHLVGDDARDDLYQMLPSTLRAALRRSLKSCVKNLAIYDAPLAHDWKEALEKILSWLSPMAHDMIRWQTDRNFEQQQITSTTNVVLLQTLYYADREKTEEVVCELLVGLNYICRYEQQQNALLDCTSSLDLDECMPSWHMQYVS >Ma06_p20810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14956728:14965665:-1 gene:Ma06_g20810 transcript:Ma06_t20810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDRYEIMEQIGRGAFGAAILVNHKQEKKKYVLKKIRLARQTERCRRSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMGELMKRSNGTYFPEEKLLKWFVQLLLAVEYLHSNFVLHRDLKCSNIFLTKDHEVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCVYEMAAHRPAFKAFDMGGLISKINKSSMGPLPACYSSSLKAITKSMLRKNPENRPSASEILQHPYLQPFVNEHRSCPDRIINQPPEKPISTSQSNQNNMSESQNDSISSSDKDSLQSGDCNTSGSVVDCHQKALGRDSPLTDDGVSSNKYTPTEDGTHGTGISKAAMERTGSTKFIHIDQQPKVESNQSKAINKMTVAMKEEGIIRGSSSLVRATRVKVVTGSNHKTSPEPIPKLSKPIGTSSTLKSNSEGQADEPAKTNNDPAKGDSSHKSGTHASHRASEPISAILISKSNAERQHDEPAKTNSDSEKQVQASQRNKQLLPVSETSPKTKARYDGASPPAPVKQITEDYMPLKTRQRTPPSSMSRKQTVPSKKLSGVDHSPVENGIKCVPAKLTQEPDIGLSKDCCHPLEVNGLPEEVPLDPSRGMKTDAANSAEEGLLPEISESNLPMSSLSSCTYPRLDYSSAESHENGGRPTLNLEVSTVDLQNSTSSNDMSSSSLLERNFSISEKDVVYKDDVSLNKLEHNPIVMRTGVDKFTVRELLSSMTDIGSFVSSAPKNASLGSLPATNQTLEKPAASHLNPVFDDVIHVIRHSSFRVGVDQPISDNKEESVQNIGLGKLLNVVQDEVEMRSISNVLKPTGFVETVTLKTNASESSINDKPDSSETVRSSSTETRSASSEQTIVSKEEETPAKETLDVNSFRQRAEALEGLLELSAGLLQHNRLEELAVVLKPFGKDKVSPRETAIWLVKSFKGMMSDDASHAA >Ma06_p20810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14956728:14965672:-1 gene:Ma06_g20810 transcript:Ma06_t20810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDRYEIMEQIGRGAFGAAILVNHKQEKKKYVLKKIRLARQTERCRRSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMGELMKRSNGTYFPEEKLLKWFVQLLLAVEYLHSNFVLHRDLKCSNIFLTKDHEVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCVYEMAAHRPAFKAFDMGGLISKINKSSMGPLPACYSSSLKAITKSMLRKNPENRPSASEILQHPYLQPFVNEHRSCPDRIINQPPEKPISTSQSNQNNMSESQNDSISSSDKDSLQSGDCNTSGSVVDCHQKALGRDSPLTDDGVSSNKYTPTEDGTHGTGISKAAMERTGSTKFIHIDQQPKVESNQSKAINKMTVAMKEEGIIRGSSSLVRATRVKVVTGSNHKTSPEPIPKLSKPIGTSSTLKSNSEGQADEPAKTNNDPAKGDSSHKSGTHASHRASEPISAILISKSNAERQHDEPAKTNSDSEKQVQASQRNKQLLPVSETSPKTKARYDGASPPAPVKQITEDYMPLKTRQRTPPSSMSRKQTVPSKKLSGVDHSPVENGIKCVPAKLTQEPDIGLSKDCCHPLEVNGLPEEVPLDPSRGMKTDAGNQNVPSVSTQESPKIVVKMQKQFSSNTAANSAEEGLLPEISESNLPMSSLSSCTYPRLDYSSAESHENGGRPTLNLEVSTVDLQNSTSSNDMSSSSLLERNFSISEKDVVYKDDVSLNKLEHNPIVMRTGVDKFTVRELLSSMTDIGSFVSSAPKNASLGSLPATNQTLEKPAASHLNPVFDDVIHVIRHSSFRVGVDQPISDNKEESVQNIGLGKLLNVVQDEVEMRSISNVLKPTGFVETVTLKTNASESSINDKPDSSETVRSSSTETRSASSEQTIVSKEEETPAKETLDVNSFRQRAEALEGLLELSAGLLQHNRLEELAVVLKPFGKDKVSPRETAIWLVKSFKGMMSDDASHAA >Ma06_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14956728:14965665:-1 gene:Ma06_g20810 transcript:Ma06_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDRYEIMEQIGRGAFGAAILVNHKQEKKKYVLKKIRLARQTERCRRSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMGELMKRSNGTYFPEEKLLKWFVQLLLAVEYLHSNFVLHRDLKCSNIFLTKDHEVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCVYEMAAHRPAFKAFDMGGLISKINKSSMGPLPACYSSSLKAITKSMLRKNPENRPSASEILQHPYLQPFVNEHRSCPDRIINQPPEKPISTSQSNQNNMSESQNDSISSSDKDSLQSGDCNTSGSVVDCHQKALGRDSPLTDDGVSSNKYTPTEDGTHGTGISKAAMERTGSTKFIHIDQQPKVESNQSKAINKMTVAMKEEGIIRGSSSLVRATRVKVVTGSNHKTSPEPIPKLSKPIGTSSTLKSNSEGQADEPAKTNNDPAKERQHDEPAKTNSDSEKQVQASQRNKQLLPVSETSPKTKARYDGASPPAPVKQITEDYMPLKTRQRTPPSSMSRKQTVPSKKLSGVDHSPVENGIKCVPAKLTQEPDIGLSKDCCHPLEVNGLPEEVPLDPSRGMKTDAGNQNVPSVSTQESPKIVVKMQKQFSSNTAANSAEEGLLPEISESNLPMSSLSSCTYPRLDYSSAESHENGGRPTLNLEVSTVDLQNSTSSNDMSSSSLLERNFSISEKDVVYKDDVSLNKLEHNPIVMRTGVDKFTVRELLSSMTDIGSFVSSAPKNASLGSLPATNQTLEKPAASHLNPVFDDVIHVIRHSSFRVGVDQPISDNKEESVQNIGLGKLLNVVQDEVEMRSISNVLKPTGFVETVTLKTNASESSINDKPDSSETVRSSSTETRSASSEQTIVSKEEETPAKETLDVNSFRQRAEALEGLLELSAGLLQHNRLEELAVVLKPFGKDKVSPRETAIWLVKSFKGMMSDDASHAA >Ma06_p20810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14956728:14965665:-1 gene:Ma06_g20810 transcript:Ma06_t20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDRYEIMEQIGRGAFGAAILVNHKQEKKKYVLKKIRLARQTERCRRSAHQEGCYVCIVTGYCEGGDMGELMKRSNGTYFPEEKLLKWFVQLLLAVEYLHSNFVLHRDLKCSNIFLTKDHEVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCVYEMAAHRPAFKAFDMGGLISKINKSSMGPLPACYSSSLKAITKSMLRKNPENRPSASEILQHPYLQPFVNEHRSCPDRIINQPPEKPISTSQSNQNNMSESQNDSISSSDKDSLQSGDCNTSGSVVDCHQKALGRDSPLTDDGVSSNKYTPTEDGTHGTGISKAAMERTGSTKFIHIDQQPKVESNQSKAINKMTVAMKEEGIIRGSSSLVRATRVKVVTGSNHKTSPEPIPKLSKPIGTSSTLKSNSEGQADEPAKTNNDPAKGDSSHKSGTHASHRASEPISAILISKSNAERQHDEPAKTNSDSEKQVQASQRNKQLLPVSETSPKTKARYDGASPPAPVKQITEDYMPLKTRQRTPPSSMSRKQTVPSKKLSGVDHSPVENGIKCVPAKLTQEPDIGLSKDCCHPLEVNGLPEEVPLDPSRGMKTDAGNQNVPSVSTQESPKIVVKMQKQFSSNTAANSAEEGLLPEISESNLPMSSLSSCTYPRLDYSSAESHENGGRPTLNLEVSTVDLQNSTSSNDMSSSSLLERNFSISEKDVVYKDDVSLNKLEHNPIVMRTGVDKFTVRELLSSMTDIGSFVSSAPKNASLGSLPATNQTLEKPAASHLNPVFDDVIHVIRHSSFRVGVDQPISDNKEESVQNIGLGKLLNVVQDEVEMRSISNVLKPTGFVETVTLKTNASESSINDKPDSSETVRSSSTETRSASSEQTIVSKEEETPAKETLDVNSFRQRAEALEGLLELSAGLLQHNRLEELAVVLKPFGKDKVSPRETAIWLVKSFKGMMSDDASHAA >Ma11_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1220988:1222669:1 gene:Ma11_g01730 transcript:Ma11_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMADRFTGLLELDLSQSAWRSFYPGFRNFRVIDLQNCKGVTDVGITTLGNGL >Ma09_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13500672:13502406:1 gene:Ma09_g17880 transcript:Ma09_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGKKVTHDFLSLYSSDSSYQVQDPRSSSQGFFLKTRDFLQPLERGERGGEAAEGGGVATERPAERVLPGGVGACGVGHVAGEVKPERSGWGPGRGFSIGLETKPEPDYGSRSTTTSFGSYAGAACYTQWDEKDTASRGQRPSTLAAARGSGSYGVICTTPAATSSGRFVSFFFSLRLSFI >Ma02_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28444064:28446150:-1 gene:Ma02_g23450 transcript:Ma02_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPLPEFSSSVRLKYVKLGYQHLVDNFVTFLSIPVMVAVGLELVRRGPQEIVGLWRALELDVIHILCSVFVIIFVATVYFMSRPRPVYLVEYACFKPPSNCRVPFSTFMEHTRLINSDEKSVQFQMRILERSGLGEETCLPPANHYIPPHPTMEASRAEAQLVIFSAIDDLIRKTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISIDLARDLLQVHPGSNALVISTEIITPNFYAGNQRAMLLPNCLFRMGAAAILLSNRRRDAGRAKYRLVHVVRTHKGADDRAYRCVYEEEDAEGHTGISLSKDLMAIAGEALKSNITTIGPLVLPMSEQLLFFLTLVARKLINPKRKPYIPDFKKAFEHLCIHAGGRAVIDELQKNLELSAEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMRRGDRVWQIGFGSGFKCNSAVWKCMRTVKTPIDGPWSECIHRYPVHIPEVVKL >Ma08_p28450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40359778:40360957:-1 gene:Ma08_g28450 transcript:Ma08_t28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPQHSTNDSFSYSWLMNVVKSPLDSAQEDGGCFIEVDPKVFSRRWKTDSLDFDFHLPTPQSSALVHADQIISDGLLLPLHLVHPPTAAAISESPVDPVLLRSLSVDSSKTLLSRSNRFRSCYDCAAPRRPMSSTSSPLCGPLQSLPASSSSGSSKSEFSTSTKRNLPLFGASAGSSKRFLGKYLPFLLPFCRKVKGLVSIRKPRRSRLSSAASCMESIGSSSRHSDAFSSCESYRGKTTVDAGTETAIHDAVLHCKNSFNMSR >Ma05_p28710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39626842:39631408:1 gene:Ma05_g28710 transcript:Ma05_t28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHHALPDPDRPARLLPSASAGSRRRIAIAVDLSDESAHAVSWAVRNYLRPGDAVVLLHVRPTSVLYGADWGAVDLSLPAGDDDAENGLPASEESQRKMEEDFDAFTTSKAQDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLRPSAVIMGSRGFGAARSVSKSRLGSVSDYCVHHCACPVVVVRYPDDGAGAGSGLDNGEAKKLDEGIELHLAPEEEQEYHDAAEEQKDA >Ma03_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:950168:950863:1 gene:Ma03_g01270 transcript:Ma03_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLESSAWAGVSPRISFSYEPPQAGISDSTEGGDRGVDASLPLVMPVSSDFDFGLDAGAILSHDSSLADVLFSHGKLLPVPIRNPPSSSSASAAEAGRKRSSLREIMASSDEDDAGIRGPSRGRSFWRFRRSNLCPLPLLRSKSSVSSAERYCNMPTINTTAGVIPWTESRTRTYYYCSGNSRGSQGNAVRISPILNVPTPSSLSIFGFLLCKRGDKAMAKSSAVTCYP >Ma02_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25298391:25304183:1 gene:Ma02_g18970 transcript:Ma02_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWARSLVNSLRGGDDEDDESEEFEEEKAAARNEKSRVGSPSIAQEQGQIEEEEDEEEGETPTRGVKDDLSELTETLTRQFWGVASFLAPAPPGPDHGDRALAGQPGEVDLPPPAADSPRIAGIRSDFAEIGGKFKSGISQVLSQSKAVTEISKFASSLLPFGSDEEELDEDEENDGVGVDPVGVNEQVLAFARNIANHPETWLDFPLPHYEDDFDDFEMSDAQLKHASMVELHAPEFAVLKTELCPSQMSEGYFWKIYFVLLHSRLNRRDAELLSTPQIVEARARLLQDMHSQTKPISERLWIEASRSKDDVTTIPIEENVMGPLDAVNVTSAPATLPCEEPASDSMKDIEIPSDAMEEVETEKHPVQTTEVKVVDKAVVEEGPASKNISSLTSKDATPMKYEDGDEWLEDDSGETSSARGVAIPLGQEEDVSFSDLEDVDDDQGPTRSSKTVSVDSQTTDSTGLVRPNKVSGGSVKGSNSTSPKSEEYNNWMDIEDFDVE >Ma01_p17530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12836428:12847074:1 gene:Ma01_g17530 transcript:Ma01_t17530.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MAGVSRKPDRDRSMEFKGFLNDLKDWDDLLKDKDEKLKGHARRNKKPDSLQNPGIIDGYKEPPRKVPAVGVKPKSDVVFDFSSDDHSAPYDYSKYTDAIGQIYSSNLNDEALPDAASEKELGNEYFKQKRFLEAIECYSRSIALSPTSVAFANRAMAYLKLRRFEEAENDCTEALNLDDRYVKAYSRRATARKELGKLKASLEDADFAVRLDPNTQELRKQYSEIKALYEKEVANRKSGALKEASKESQRADGLQVESKIIQDDYLISNRVHNAAASSHKSSVVHELKASLHDVASRAASRAVASAAKNITSPKSAYEFEVSWRALSDDSAQAQLLKMIPPYTLPQIFKNALSAPILVDIIKCIGTFFKEDTELAISLLDNMVKVPRFDMIIMCLSAKDHSEVQQVWEEIFSTRYIPVAHTEALARLRPKYCYREQQEKN >Ma01_p17530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12836428:12847074:1 gene:Ma01_g17530 transcript:Ma01_t17530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MAGVSRKPDRDRSMEFKGFLNDLKDWDDLLKDKDEKLKGHARRNKKPDSLQNPGIIDGYKEPPRKIYSSNLNDEALPDAASEKELGNEYFKQKRFLEAIECYSRSIALSPTSVAFANRAMAYLKLRRFEEAENDCTEALNLDDRYVKAYSRRATARKELGKLKASLEDADFAVRLDPNTQELRKQYSEIKALYEKEVANRKSGALKEASKESQRADGLQVESKIIQDDYLISNRVHNAAASSHKSSVVKNERVQHKHELKASLHDVASRAASRAVASAAKNITSPKSAYEFEVSWRALSDDSAQAQLLKMIPPYTLPQIFKNALSAPILVDIIKCIGTFFKEDTELAISLLDNMVKVPRFDMIIMCLSAKDHSEVQQVWEEIFSTRYIPVAHTEALARLRPKYCYREQQEKN >Ma01_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12836428:12847074:1 gene:Ma01_g17530 transcript:Ma01_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MAGVSRKPDRDRSMEFKGFLNDLKDWDDLLKDKDEKLKGHARRNKKPDSLQNPGIIDGYKEPPRKVPAVGVKPKSDVVFDFSSDDHSAPYDYSKYTDAIGQIYSSNLNDEALPDAASEKELGNEYFKQKRFLEAIECYSRSIALSPTSVAFANRAMAYLKLRRFEEAENDCTEALNLDDRYVKAYSRRATARKELGKLKASLEDADFAVRLDPNTQELRKQYSEIKALYEKEVANRKSGALKEASKESQRADGLQVESKIIQDDYLISNRVHNAAASSHKSSVVKNERVQHKHELKASLHDVASRAASRAVASAAKNITSPKSAYEFEVSWRALSDDSAQAQLLKMIPPYTLPQIFKNALSAPILVDIIKCIGTFFKEDTELAISLLDNMVKVPRFDMIIMCLSAKDHSEVQQVWEEIFSTRYIPVAHTEALARLRPKYCYREQQEKN >Ma01_p17530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12836428:12847074:1 gene:Ma01_g17530 transcript:Ma01_t17530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MAGVSRKPDRDRSMEFKGFLNDLKDWDDLLKDKDEKLKGHARRNKKPNPGIIDGYKEPPRKIYSSNLNDEALPDAASEKELGNEYFKQKRFLEAIECYSRSIALSPTSVAFANRAMAYLKLRRFEEAENDCTEALNLDDRYVKAYSRRATARKELGKLKASLEDADFAVRLDPNTQELRKQYSEIKALYEKEVANRKSGALKEASKESQRADGLQVESKIIQDDYLISNRVHNAAASSHKSSVVKNERVQHKHELKASLHDVASRAASRAVASAAKNITSPKSAYEFEVSWRALSDDSAQAQLLKMIPPYTLPQIFKNALSAPILVDIIKCIGTFFKEDTELAISLLDNMVKVPRFDMIIMCLSAKDHSEVQQVWEEIFSTRYIPVAHTEALARLRPKYCYREQQEKN >Ma01_p17530.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12836428:12847074:1 gene:Ma01_g17530 transcript:Ma01_t17530.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MAGVSRKPDRDRSMEFKGFLNDLKDWDDLLKDKDEKLKGHARRNKKPNPGIIDGYKEPPRKVPAVGVKPKSDVVFDFSSDDHSAPYDYSKYTDAIGQIYSSNLNDEALPDAASEKELGNEYFKQKRFLEAIECYSRSIALSPTSVAFANRAMAYLKLRRFEEAENDCTEALNLDDRYVKAYSRRATARKELGKLKASLEDADFAVRLDPNTQELRKQYSEIKALYEKEVANRKSGALKEASKESQRADGLQVESKIIQDDYLISNRVHNAAASSHKSSVVKNERVQHKHELKASLHDVASRAASRAVASAAKNITSPKSAYEFEVSWRALSDDSAQAQLLKMIPPYTLPQIFKNALSAPILVDIIKCIGTFFKEDTELAISLLDNMVKVPRFDMIIMCLSAKDHSEVQQVWEEIFSTRYIPVAHTEALARLRPKYCYREQQEKN >Ma03_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18500520:18503024:-1 gene:Ma03_g16250 transcript:Ma03_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLDNVERLRTDLEETKAQEIAKLQDILHEMQLQVEQAKSTVIKEQEAARKTIEEALPIIKETPVLVQDTEKIDSLNAEIENLKVLLLIEKQATDSANKAFAEAQNRNIELVKKVEDSEMRADQFQDTVQRLEERVSKLESENQVLCQQAVAISPTSRASCSKTSIFQRSTENENILNGESKLALDLSPGSPNSRELQNDDKPQKSLNEKQQENQDLLIECISKDLGFSGGRPIAACVIYRCLLHWRSFEAERTTIFDCIIQTIGSAIEAQDNIDVLSHWLSNSSTLLLLLQRTLKASGAASLTPQRRRTVDMASS >Ma10_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9915935:9916706:1 gene:Ma10_g02880 transcript:Ma10_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKVGEDIAKETAKEWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVVEIARVMRPRSMAKDLAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGDVEVPLE >Ma04_p27570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28748925:28768003:1 gene:Ma04_g27570 transcript:Ma04_t27570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSVNNLYETASQPDTSGDAYTFLEFNTQDDFDDYPEFQELSQPSRSTAWPPPSPPPEPVPDTPAADLQAPDSASPSPARSPSASSSSKVRGASGGQAASAGVVDALAAGMSGLNFEETGDENYEFGKGDFTEHACRYCGLQNPACVVRCNIPTCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLPWLVKVPSEQEQLRARQISAQQINKLEELWKTNPDASMEDLEKPGVDDEPQPVSLKYEDAYQYQNVFAPLIKLEADYDKLMKESQSKDNVSVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDTGHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYLYHHLLGHEVEHQVVRNVLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLADKISSTGLKVVRLCAKSREAVMSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKFKALKRATEREILQSADVICCTCVGAGDPRLENFRFRQVLVDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGHKTFRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVIPSQIGVITPYEGQRAYIVSYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNSRLFYGGGPAMVQTDNYGPVSSSSPLAEKRGGRIKGHSYVPFGPSNGTQKPGMHPPGYPLPCVPLPPFAGGPHSQPYAIPTRGAVHGPLGAVPQVPQPGNRGFGAGRGNAGGPIGGHLAHQQNSQQTLGIGSAFNFPPFDDSNSQPSVGAPSSQTGLMTQMPVQGLGQAFRDGLSVGGMSQDFIGDDFKSQGSHVAYNVADFSAQASQSGFGVDYVSQGPHAGFPGSYLNQSTQPGYSHLGTGNDFLFQQEYMPHGSQGLFTQAGFNDPSQDESSQSHFGMGIAKPSVFTTLCSL >Ma04_p27570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28748928:28768003:1 gene:Ma04_g27570 transcript:Ma04_t27570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSVNNLYETASQPDTSGDAYTFLEFNTQDDFDDYPEFQELSQPSRSTAWPPPSPPPEPVPDTPAADLQAPDSASPSPARSPSASSSSKVRGASGGQAASAGVVDALAAGMSGLNFEETGDENYEFGKGDFTEHACRYCGLQNPACVVRCNIPTCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLPWLVKVPSEQEQLRARQISAQQINKLEELWKTNPDASMEDLEKPGVDDEPQPVSLKYEDAYQYQNVFAPLIKLEADYDKLMKESQSKDNVSVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDTGHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYLYHHLLGHEVEHQVVRNVLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLADKISSTGLKVVRLCAKSREAVMSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKFKALKRATEREILQSADVICCTCVGAGDPRLENFRFRQVLVDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGHKTFRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVIPSQIGVITPYEGQRAYIVSYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNSRLFYGGGPAMVQTDNYGPVSSSSPLAEKRGGRIKGHSYVPFGPSNGTQKPGMHPPGYPLPCVPLPPFAGGPHSQPYAIPTRGAVHGPLGAVPQVPQPGNRGFGAGRGNAGGPIGGHLAHQQNSQQTLGIGSAFNFPPFDDSNSQPSVGAPSSQTGLMTQMPVQGLGQAFRDGLSVGGMSQDFIGDDFKSQGSHVAYNVADFSAQASQSGFGVDYVSQGPHAGFPGSYLNQSTQPGYSHLGTGNDFLFQEYMPHGSQGLFTQAGFNDPSQDESSQSHFGMGGPSSLQSQALLNPLYSQPFAHYNAQPQNLQSPPQQNQGSPNQKLHYNG >Ma04_p27570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28748927:28768003:1 gene:Ma04_g27570 transcript:Ma04_t27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSVNNLYETASQPDTSGDAYTFLEFNTQDDFDDYPEFQELSQPSRSTAWPPPSPPPEPVPDTPAADLQAPDSASPSPARSPSASSSSKVRGASGGQAASAGVVDALAAGMSGLNFEETGDENYEFGKGDFTEHACRYCGLQNPACVVRCNIPTCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLPWLVKVPSEQEQLRARQISAQQINKLEELWKTNPDASMEDLEKPGVDDEPQPVSLKYEDAYQYQNVFAPLIKLEADYDKLMKESQSKDNVSVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDTGHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYLYHHLLGHEVEHQVVRNVLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLADKISSTGLKVVRLCAKSREAVMSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKFKALKRATEREILQSADVICCTCVGAGDPRLENFRFRQVLVDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGHKTFRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVIPSQIGVITPYEGQRAYIVSYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNSRLFYGGGPAMVQTDNYGPVSSSSPLAEKRGGRIKGHSYVPFGPSNGTQKPGMHPPGYPLPCVPLPPFAGGPHSQPYAIPTRGAVHGPLGAVPQVPQPGNRGFGAGRGNAGGPIGGHLAHQQNSQQTLGIGSAFNFPPFDDSNSQPSVGAPSSQTGLMTQMPVQGLGQAFRDGLSVGGMSQDFIGDDFKSQGSHVAYNVADFSAQASQSGFGVDYVSQGPHAGFPGSYLNQSTQPGYSHLGTGNDFLFQQEYMPHGSQGLFTQAGFNDPSQDESSQSHFGMGGPSSLQSQALLNPLYSQPFAHYNAQPQNLQSPPQQNQGSPNQKLHYNG >Ma04_p27570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28748927:28768003:1 gene:Ma04_g27570 transcript:Ma04_t27570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSVNNLYETASQPDTSGDAYTFLEFNTQDDFDDYPEFQELSQPSRSTAWPPPSPPPEPVPDTPAADLQAPDSASPSPARSPSASSSSKVRGASGGQAASAGVVDALAAGMSGLNFEETGDENYEFGKGDFTEHACRYCGLQNPACVVRCNIPTCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLPWLVKVPSEQEQLRARQISAQQINKLEELWKTNPDASMEDLEKPGVDDEPQPVSLKYEDAYQYQNVFAPLIKLEADYDKLMKESQSKDNVSVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDTGHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYLYHHLLGHEVEHQVVRNVLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLADKISSTGLKVVRLCAKSREAVMSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKFKALKRATEREILQSADVICCTCVGAGDPRLENFRFRQVLVDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGHKTFRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVIPSQIGVITPYEGQRAYIVSYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNSRLFYGGGPAMVQTDNYGPVSSSSPLAEKRGGRIKGHSYVPFGPSNGTQKPGMHPPGYPLPCVPLPPFAGGPHSQPYAIPTRGAVHGPLGAVPQVPQPGNRGFGAGRGNAGGPIGGHLAHQQNSQQTLGIGSAFNFPPFDDSNSQPSVGAPSSQTGLMTQMPVQGLGQAFRDGLSVGGMSQDFIGDDFKSQGSHVAYNVADFSAQASQSGFGVDYVSQGPHAGFPGSYLNQSTQPGYSHLGTGNDFLFQEYMPHGSQGLFTQAGFNDPSQDESSQSHFGMGIAKPSVFTTLCSL >Ma05_p29970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40489526:40493890:-1 gene:Ma05_g29970 transcript:Ma05_t29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGLVMCDAAMSFMWVWAGALVKLLVYDALGLGHRPGGEALKMALVVGYMFLFAWLGHVTRGGAYNPLTVLSYAFSGGPEGFLFTALGRIPAQVIGSATGVRFIKQTFPSIGHGPRLSIDIHRGAWTEGFLTFMIVMASLMLKKKDPGSFFMKTWISSIFKLALNVLGSDLTGGIMNPASAFAWAYARGDHITLDQLIVYWFAPIQATLLAVWTFGLLTEPKSKVQKAEENKVKSE >Ma04_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10047220:10048089:-1 gene:Ma04_g13270 transcript:Ma04_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHYTKMRRNLQGSRKKLHCSTAALKVEYAHSIHFYLLQIVLVLQKMLLFHCPFPTIGAVVCLLAKGALIQILYQIVQ >Ma08_p30300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41611181:41619233:-1 gene:Ma08_g30300 transcript:Ma08_t30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGEKDFGTVADAERTQEQGSCSNSASDKPTASSTENQDVEARENNKDQDKTKYSVPFYKLFSFADSTDVVLMVLGSLGAMGNGLALPIMTILFGNLIQSFGGASNLDDVIDEVSKVSLKFVYLAIGAGVASFLQVACWMATGERQSARIRNLYLKTILRQEIAFFDKETNTGEVVERMSGDTVYIQDAMGEKVGKFIQLTSTFFGGFIIAFAQGWLLTLVMLCTIPPLVIAGGAMANVVTKMASRGQAAYGDAANVVEQTIGSIRTVASFTGERQAVKKYDKSLVRAYNASVQEGLVAGLGLGTVMLFMFAGYSLGIWYGAKLILQKSYTGGKVINVIFAILTGSFSLGQIAPCMTAFAAGQSAAYKMFETIKRKPEIDAYDAKGKILDDIHGDIEFRDVCFSYPARPDEQIFRGFSLFIQKGTTVALVGESGSGKSTVISLIERFYDPNAGEVLIDGINLKEFQLKWIRGKIGLVSQEPVLFASSIRDNIAYGKDNATVEEIRAATELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMANRTTVIVAHRLSTIRNADTIAVIHRGSMIEKGSHTELLKNPDGAYSQLIRLQEVNRDADNVNGHDSEKSDVWIGSARSSSKKMSFHRSISQGSSGRQSSSHSFQAAVGLPVGIDVQDITSEKMDPEIPNERSNEVPLRRLAYLNKPEIPVLMLGSFAAIVNGVIFPMYAILLSNVIKAFYEPPHKLRKDSNFWSLMFLVFGGISLIALPARSYLFGIAGSKLIRRIRLMTFQKVVNMEVEWFDMPGNSSGAIGARLSADAATVRSLVGDALALIVQNITTLIAGLLIAFIANWQLALIILALVPLLGLNGYVQMKFVKGFSKDAKIMYEEASQVANDAVGSIRTVASFSAEEKVMEIYKQKCEGPTKKGIRQGLISGAGFGISFFLLFCVYAASFYAGARLVESGKATFDKVFRVFFALAMAAIGISQSSSLAPDSSKARSASASVFAILDQKSKIDPSDESGMTLERLKGNIEFRHVNFKYPTRPDIQIFQDLCLTIQSGKTVALVGESGSGKSTVISLLQRFYSPDSGEILVDGIEIQKIQLRWLRQQMGLVSQEPALFNDTIRANIAYGKEGKATEAEIIAAAELSNAHKFISSLQKGYDTLVGERGVQLSGGQKQRVAIARAIVKEPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKGADLIAVVKNGVIIEKGKHEKLIKIKDGAYASLVALHMSAAS >Ma02_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24796494:24796677:-1 gene:Ma02_g18230 transcript:Ma02_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLSSAEPPIKRRAGLRRKQAGRGSYRGS >Ma04_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3486824:3489813:1 gene:Ma04_g04560 transcript:Ma04_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQSASNVKANGSPNGDRDLAKYGRGSAVWLKEHGGEDDGNGLIRSKQDRPLSRKINVSVAILAPYRLLIFFRIVVLGMYLAWRIKNRNEDAIWLWGMSVICEIWFTFSWLLEQLPKLSPVKRTAELAVLIDKFETVSPQNPLGRPDLPGIDVFITTADPDKEPPLVMANTVLSVLAADYPVENLACYLSDDAGSILTFETMAEVASFATVWVPFCRKHNIEPRGPESYFSLKKDPYRNKMRPDFVKDRRRMKREYDEFKVRINALLDTVRWRSDAYTAREESRALRRHRELYGDEPIERPKVPKAIWMADGSHWPGTWMNSSPHHTYSNHAAIVQVMLKSPSNESVQGKNEESRCLDLSGVDVRLPMLVYVAREKRPGYEDNEKAGAMNALVRASAVVSNGPFFLNLDYDNYVCNSRAFREGMCYMMDRGGERVCFVQFPRRFDGGDPSDGYAGYTNVVFEVNMRALDGIQGPVYLGSGCLFRRMALYGFDPPPPQERHGGCCRCCFPRKPKNPGGVDSDEERQDSRREDEKILSTYQKRFGNSASLIDSIPMAEFQRRPLADHPTVKDGRPWGALTTPHDPVTQSMVADAVSVIACSYEDKTQWGRRVGWIYGSVTEDIVTGYRMHNRGWRSVYCVTNGDAFRGTAVINLTDRLNQILRRATGFVEFFFSRNNPLFITPGMKILQRIAYLNLSTFPFTSLFVTVYCLLPVLCLFSGQFIVQTLSVTFLAYILILTVTRCILAILEIRWSGIQLVDWWRNEQWWVIGGTSACLAALFQGVLKVTTGVDVSSNGTKTSADGDESSEPHGLKWTWLMIPPITIMLVNLIAIAVGVSRTINSSSPEWSKLLGGLVFSVWVLIHLYPLAKGLMWSRSGGKTPTVVFIWSGLIAITISLLGVVLHTPSGDHRIGGSITFP >Ma11_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23479740:23480599:-1 gene:Ma11_g18420 transcript:Ma11_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDVDLLNPPAELEKRRHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >Ma04_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6648151:6651889:1 gene:Ma04_g09310 transcript:Ma04_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATKQRLPEGRGGGGGENGQGNDAGAASSNRQGTAHRRVLRSRYLAVKNMISDKREDITKVDSDKFNSIITEVESLHELVQKPREQVADAEALLDIACTLVTSVKSQTNEGVTPSDFVTTLLRNFGEQNGGSDLGSTLNNLHWSDVGHAVSHVFRSAPGYHTMIGPMNTELKQRKVVAQRKRTRPTESTHPEELADAGTEVKTDTDKNMSTMFDILRRKRSVKLENLVLNRESFAQTVENIFALSFLVKDGRAEIIVNDSRHHIVSPRNAPVATAVASGDVSYSHFVFRFDFKDWKLMIDNVDVGEELMPHRIRANTSGSEVGGVESAAPATPIRKLTRNRGLVIQEESIVEDSPETDTGVQNVKKKRLFG >Ma10_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24206675:24208175:1 gene:Ma10_g10100 transcript:Ma10_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSRGRNHVCSVQPLGPLMEGPDPEAHGEGAKKERYWEVIRTWLRLHMDKAMSGAHSSTPFHARSASKRTDLRLILGVLGCPLAPIPVTIDAPTHIFCIKDSPMETCSARYIIQQYLAATGCLKQCMKSMYAAGTVKMVCHEPPRTGGERGCFVLWQKSPGMWSVELVVAGHKVVSGSNGKVVWRSVPWLGTHAARGPQRPLRRLVQGLDPKSTARMFAEARCVGEKRIRGDNCFVLKVSADRAAVAERCDGPAEVIRHVLHGYFSQRSGLLTYIEDSHLARVEAPGSDAMYWETTIGSVIEDYREVDGVLVAHQGRSAATVLRFGDASARRSRIRMEEAWRIEDVVFDVAGLSVDCFIPPKEIMAGFRGK >Ma05_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1126466:1131375:-1 gene:Ma05_g01810 transcript:Ma05_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGKASRPAWKAVPATDDEPEAAVAAGGFRGSGAGARKKWAGPRAWLAVDAAGVARVVEAGKHDIMRRTGLPGRDLRILDPQLSCPSTVLGRERAIVVNLEHIKAIITAHEVLLLNYHDPSAAPFVHELQHRLSRRHRGRDQDGGMGSSADLTKVYDLEDPKTRTDGPYHVSQDLSGSGGLLAEHDEDEKGKEEPVSSHGPRDLPFEFIALEACLEAACSCLETEAATLEKEAHPALDKLTSKISTLNLEHVRQIKSRLVALSGRVQKVRDELEQLLDDDDDMAEMYLTQKLVQQDPSDGSSNSSLYNHGNIMDDYRACAEIISDNDIENNRDGDSQNINLHYRASEPNIINVDSHGTRTYSTRSSSKHLDVEELEMLLEAYFIQIDSTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVALVGVFGMNIQVDLFDKKKSGMLEFLWTIGGGTFGSVFLYVIAIAWCKRRRLLE >Ma10_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30882026:30885297:-1 gene:Ma10_g20800 transcript:Ma10_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASPPCPSPNRSSGPTPPPPLPVHEDHHHHHPTAGHPRWLDDPCIQELDHFSKTLTGIEAKGDRPELLSFILSHYSSRWLPELSGRAADDPSPESPTAAWLKKRFFIETLASILPTEKRSVSCDVLLTLLRTASMVGAAASCVRELEARAAAQLDEASLEELMIPAFSHTCGTLLDVGLVLRLVRRFAGNNDDGGAAVRSGAALARVAKLVDSYLAEAALDAGLTVAEFEELANSLPAHARAMDDGLYRAVDTFIKAHPSTSKQERKTLCRLIDARKLSAEASLHAAQNERLPVRSAIQVLFSEHTKLHRLTEHWSGSFGGPRSPNPEAAAARCPSKREVLAHQQEICGLRDDVARLRVHCQGLQAQIDKLASSGKKKRGFFRWSSFLLFRTTDDDAAEDSRWGAGRPMPERSVPQANKWRNSLS >Ma08_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34509700:34513890:-1 gene:Ma08_g20450 transcript:Ma08_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVKEEYQDYSEVSSGSNEIVVDPPRPLEGLHEAGPPPFLTKTYDMVDDPSTNQVVSWSQTNNSFVVWDPHAFAMTLLPKYFKHNNFSSFVRQLNTYGFRKMDPDRWEFANEGFLRGQKHLLKTIKRRRPHNHPSLQQSLSAYIEVGEFGLERKVDRLKRDRHLLMQEVVKLRQEQQNTRAHLKAMEERLQLTEQRQQQTMAFLARLMQNPSLLQQLLDQKERMKELEEAISKKRRRPIDTAPEPDDVGTSGYQETYLPVKIEAQGTQDFYVEEVLSSDNLAGQFHSEEHLAPHENNTDGELNDNFWEELLNEGLGDEKGTFGIEGVDTEDVNVLADQLGFLTSTSPK >Ma08_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33654455:33663088:1 gene:Ma08_g19770 transcript:Ma08_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSFVEPFHDSFEFDHGSNTTSSGVNQQFMWNNMLFNPVEIQSMTDRIVSSANSNRPCLNMANQDGARLSIWNTGGSSSSEHAQNQGGHEENKLDTGWTPSATITSQGGPRIEENRFEASHVLSLENVNISHGTTQIDGIQSLPRNNSHSNNIHQNSEHAALQDGIGNEISEPRQSHHPYMLRFLDPETVPSSIGSSNPSGSVSESVGFLREDDERPENSLDGRRSSCKRKNSDGFPGQSSASGNASSSHQSETSLLHSRSYNPMTGLNISSTSSYPSAAHFTEEYPAGFANVIRGTASNCYPSANAAGNAESSRRNHRIRTNPAQAQDISLRNSVDTVGQLEAWLQNRPPSHSISLNHSLGPTPLLTTASSQNQPGPPIVPGVPPIAYSFPWNGTSNSRIGISSVSFSTEDRMIAAREGNNLRNMPGTSILDVVPVTDIRDMIQDQANWSMGSRNISMVPSSQAVTNSGLHPTLGSTWVSHQNPPAQYPQPLPENIHASLLSSGSFESGGQRANFPMQHSGHSFSSQEVAQQIRASLHGPQTPPHIRSTHLIRRRYDGLLGASLTMRSLTAAGEERSRMLSEIRHALESLRRGDGLRFETLILAGSDMHDRHRDMRLDVDNMSYEELLALEERIGDVCTGLSEETILKHLKQQKHSLGTLGVSMEHEPCCICQEEYVEGEDLGTLACGHDFHTVCIKQWLMHKNLCPICKNTALVT >Ma03_p25800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29762318:29771968:1 gene:Ma03_g25800 transcript:Ma03_t25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLESGIKPVYVFDGQPPELKKQELAKRYSKREDATKDLNTAIETGDTEGIEKYSKRTVKVTRQHNDDCKRLLRLMGVPIIEAPCEAEAQCATLCKSDKVYAVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEFEVSKVLEELELSMDQFIDLCILSGCDYCDSIKVQYRAISGSVLEVPAAIIIRGPIYSLYHCDKCIGGQTALKLIRQHGCIENILENINKERYQIPEDWPYQEARHLFKEPNVIMDVPELKWTAPDEEGLVNFLVTENGFNNDRVTKAVDKIKAAKNKSSQGRLESFFKPVVSASTPPKRKVLEKVAKETSTNKKSKAVGAKKKK >Ma03_p25800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29762318:29771968:1 gene:Ma03_g25800 transcript:Ma03_t25800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLESGIKPVYVFDGQPPELKKQELAKRYSKREDATKDLNTAIETGDTEGIEKYSKRTVKVTRQHNDDCKRLLRLMGVPIIEAPCEAEAQCATLCKSDKVYAVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEFEVSKVLEELELSMDQFIDLCILSGCDYCDSIKGIGGQTALKLIRQHGCIENILENINKERYQIPEDWPYQEARHLFKEPNVIMDVPELKWTAPDEEGLVNFLVTENGFNNDRVTKAVDKIKAAKNKSSQGRLESFFKPVVSASTPPKRKVLEKVAKETSTNKKSKAVGAKKKK >Ma08_p31590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42451143:42457986:-1 gene:Ma08_g31590 transcript:Ma08_t31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATHISSNKLLRFSVELLFREGQTGLFQSHSFSSLVDSVQTFPNIDRYTSSQSIVGKLSRLVPFPSLAGSSCQSRIHNIGSLVSVFPQRSFIHFERGVTSTCTSLPGGATWAVHHDSRDTRGSFLRADAFFPNRSLDYWKRVCKTLRRKEVLGSYLVYKQFLSETIGKSLSCNFFAQGGIELFSLFFTSCTTGAAPVLSLDQSLREEQLDNPSSESDQKKPSNRALKLLSGSCYLPHPDKEETGGEDAHFLWDEQAIGVADGVGGWANHGVDAGQYSRALMSHSVDAIEEESKGSIDPLRVLEKAYTRTKAEGSSTACIIALTDQGIRAVNLGDSGFIVVRDGCTIFRSPVQQHDFNFTYQLESNNASDLPSAAQVFSFPVESGDVIVAGTDGLFDNLYNSEITAVVVHGIRAGLGPQVMAQKIAALARQRAQDKNRQTPFSAAAQEAGYRYYGGKLDDITVVVSYITAFGNQAPSCLCT >Ma08_p26400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38960506:38960757:-1 gene:Ma08_g26400 transcript:Ma08_t26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKIACAVLVAAAAATTALATEAPAAAPGPASASFAATPAVGAVIGASVLSFFAFYLQ >Ma00_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:23844078:23845136:-1 gene:Ma00_g02990 transcript:Ma00_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLDLERGNLQAMASVSVGSSIARRLEGKVAVITGGASGIGECTVKLFVRHGARVVVADIKDEKGRSLCAALGLDVASYVHCDVTSEADVKNAVDTAVSLHGKLDIMFNNAGVALGRDHGFLNGEHSASLFERMMAVNVLGVYLGTKHAARVMAPARSGCIVTTASSVSALGGMAPAFYTCSKYAVVGLMRSAAAELGKFGVRANCVSPHLLATPMTSAALGMTDEEVERLLDAGANLKGVTAKPEDIAEAVLYLASDESRYVSGHNLFVDGGFTVTKSM >Ma09_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8397709:8398930:-1 gene:Ma09_g12490 transcript:Ma09_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVFLSQFFPATFTNHFGKYGDIIDAVIMMDKNTSRLRGFGFVTFANPSLVDNVIEDTHFINGKKCLASFKESVIY >Ma03_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24416372:24420190:1 gene:Ma03_g18930 transcript:Ma03_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGIVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFAQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKITKAAVKKK >Ma04_p36270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34714117:34722694:-1 gene:Ma04_g36270 transcript:Ma04_t36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDEFKRATLIDLENWPYSLYLEEQMTRDSYEELTSNQFFVVGCSAMGSLVDLTINKTISTCFSLCAASDRSQYSEWSDVNSGYCRLDLTFENTTALEIQLTRLNQTELHLVNTSSIKIIIFNWNGYDDYDLEGVLNGSRTNVEATLTWYMNDHLSCEEANNTNSYACLSQNSLCYDAVYDTFPSSNSIGYLCRCSASYHGNPYVPGGCQGVIIGVSNGIGLLLLCSSLLILRRKWKKKKQKKIREKYFHQNHGLLLQQLISSSEDISERTKIFSLEEMEKATNNFDETRILGRGGHGTVYKGILSDQRVVAVKKSKYVKQSEIDQFINEVAVLSQINHRNIVKLFGCCLETEVPLLIYEFIPNGTLLDHLHIPDSNSILSWDDRLRIAIEAAGALAYLHSAASISIFHRDIKSSNVLLDDHLTAKISDFGSSRLIPLDQTHLVTGVQGTFGYLDPEYYHTSQLTEKSDVYSFGVILVELLTGKRSIFHAEDGENMNLSMHFLQAVRDDRLIEFLEARIVKEGMEEGLHEVIQLAQMCLQLKGNDRPTMKEVEFRLQSLRRMKKQRRNNWTDKGHGESECLNAVSTFLGKNIYSNSDNRAIQEASRNYSLEKEMMSSLNYSR >Ma04_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1873146:1876963:-1 gene:Ma04_g02130 transcript:Ma04_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSGWWAKDKRKGTPVVVTMENPNYSVLEIDGPDAEAFPSMDKGRGKNAKQFTWVLLLKAHYAVGCIASVAAVLWALPRVVKRRLVFRRAAATQSDEPDKGRLMLRFIRGFLLFSLVALAFELVAYLNGWHFQKPDLRLPESLHIPEATEIHGWMHSAYLSWLSFRADYIAYPIQLLSYMCIILFVIQSADRLILCLGCFWIKFKKIKPRVESDPFNSDDGSEYVYPMVLVQIPMCNEREVYEQSISAACQIDWPKDRLLIQVLDDSDDEAIQLLISTEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCEYVRDYEFVAIFDADFQPNPDFLKLTIPHFKGNPELGLVQARWSFVNKNENLLTRLQNINLCFHFEVEQQVNGVFWNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPAIITSKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVIMSFLNILPALRSFPFVVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSESDLLTAAEREAKIVSLPLFHKGASESELSELNRLKEQHEKAPSPARKANKIYKKELALSLLLLTAAARSLLSAQGIHFYFLLFQGMSFLLVGLDLIGEQMS >Ma04_p02130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1873146:1876963:-1 gene:Ma04_g02130 transcript:Ma04_t02130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSGWWAKDKRKGTPVVVTMENPNYSVLEIDGPDAEAFPSMDKGRGKNAKQFTWVLLLKAHYAVGCIASVAAVLWALPRVVKRRLVFRRAAATQSDEPDKGRLMLRFIRGFLLFSLVALAFELVAYLNGWHFQKPDLRLPESLHIPEATEIHGWMHSAYLSWLSFRADYIAYPIQLLSYMCIILFVIQSADRLILCLGCFWIKFKKIKPRVESDPFNSDDGSEYVYPMVLVQIPMCNEREVYEQSISAACQIDWPKDRLLIQVLDDSDDEAIQLLISTEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCEYVRDYEFVAIFDADFQPNPDFLKLTIPHFKGNPELGLVQARWSFVNKNENLLTRLQNINLCFHFEVEQQVNGVFWNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPAIITSKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVIMSFLNILPALRSFPFVVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSESDLLTAAEREAKIVSLPLFHKGASESELSELNRLKEQHEKAPSPARKANKIYKKELALSLLLLTAAARSLLSAQGIHFYFLLFQGMSFLLVGLDLIGEQMS >Ma04_p02130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1873146:1876963:-1 gene:Ma04_g02130 transcript:Ma04_t02130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSGWWAKDKRKGTPVVVTMENPNYSVLEIDGPDAEAFPSMDKGRGKNAKQFTWVLLLKAHYAVGCIASVAAVLWALPRVVKRRLVFRRAAATQSDEPDKGRLMLRFIRGFLLFSLVALAFELVAYLNGWHFQKPDLRLPESLHIPEATEIHGWMHSAYLSWLSFRADYIAYPIQLLSYMCIILFVIQSADRLILCLGCFWIKFKKIKPRVESDPFNSDDGSEYVYPMVLVQIPMCNEREVYEQSISAACQIDWPKDRLLIQVLDDSDDEAIQLLISTEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCEYVRDYEFVAIFDADFQPNPDFLKLTIPHFKGNPELGLVQARWSFVNKNENLLTRLQNINLCFHFEVEQQVNGVFWNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPAIITSKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVIMSFLNILPALRSFPFVVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSESDLLTAAEREAKIVSLPLFHKGASESELSELNRLKEQHEKAPSPARKANKIYKKELALSLLLLTAAARSLLSAQGIHFYFLLFQGMSFLLVGLDLIGEQMS >Ma04_p02130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1873146:1876963:-1 gene:Ma04_g02130 transcript:Ma04_t02130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSGWWAKDKRKGTPVVVTMENPNYSVLEIDGPDAEAFPSMDKGRGKNAKQFTWVLLLKAHYAVGCIASVAAVLWALPRVVKRRLVFRRAAATQSDEPDKGRLMLRFIRGFLLFSLVALAFELVAYLNGWHFQKPDLRLPESLHIPEATEIHGWMHSAYLSWLSFRADYIAYPIQLLSYMCIILFVIQSADRLILCLGCFWIKFKKIKPRVESDPFNSDDGSEYVYPMVLVQIPMCNEREVYEQSISAACQIDWPKDRLLIQVLDDSDDEAIQLLISTEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCEYVRDYEFVAIFDADFQPNPDFLKLTIPHFKGNPELGLVQARWSFVNKNENLLTRLQNINLCFHFEVEQQVNGVFWNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPAIITSKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVIMSFLNILPALRSFPFVVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSESDLLTAAEREAKIVSLPLFHKGASESELSELNRLKEQHEKAPSPARKANKIYKKELALSLLLLTAAARSLLSAQGIHFYFLLFQGMSFLLVGLDLIGEQMS >Ma04_p02130.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1873146:1876963:-1 gene:Ma04_g02130 transcript:Ma04_t02130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSGWWAKDKRKGTPVVVTMENPNYSVLEIDGPDAEAFPSMDKGRGKNAKQFTWVLLLKAHYAVGCIASVAAVLWALPRVVKRRLVFRRAAATQSDEPDKGRLMLRFIRGFLLFSLVALAFELVAYLNGWHFQKPDLRLPESLHIPEATEIHGWMHSAYLSWLSFRADYIAYPIQLLSYMCIILFVIQSADRLILCLGCFWIKFKKIKPRVESDPFNSDDGSEYVYPMVLVQIPMCNEREVYEQSISAACQIDWPKDRLLIQVLDDSDDEAIQLLISTEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCEYVRDYEFVAIFDADFQPNPDFLKLTIPHFKGNPELGLVQARWSFVNKNENLLTRLQNINLCFHFEVEQQVNGVFWNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPAIITSKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVIMSFLNILPALRSFPFVVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSESDLLTAAEREAKIVSLPLFHKGASESELSELNRLKEQHEKAPSPARKANKIYKKELALSLLLLTAAARSLLSAQGIHFYFLLFQGMSFLLVGLDLIGEQMS >Ma11_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24990863:24994037:1 gene:Ma11_g20540 transcript:Ma11_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYEKGVAEQEEGGEGTAVERAFEWQRVPPLREQLTARALAVSFLLSVMFSVIVMKLNLTTGIIPSLNVAAGLLGFFFVKLWTKALQRAGLLRTPFTRQENTVIQASVTAASGLAFSGGFGSYLFGMSSVIAKQATKLNDSRNNIKDPNLGWMIGFMFVVSFLGLFTLVPLRKIMIIDYKLIYPSGTATAYLINSFHTPQGEKLAGEQVGTLCKSFAGSFLWGFFQWFYTAGKDCGFRAFPTFGLKAYENRFYFDFSATYVGVGMICPHIVNLSVFTAVALILGDGLYNFLKVLRRTTSSISAVLKGLPISDDERAPKNLAISFDDERRTEVFVRDRIPPWVAYGCYVVIATLSISTVPLIFPPLKWYHILVAHVFAPVAAFCNAYGFGLTDWSLVSTYGKLAIFVFGAWAGADHGGVLAGLAACGLMMIVVATASDLMQNFKTGYLTLASPRSMFASHVIGTAMGCVIAPCVFWLFYKAFDDIGISGSQYPAPNAPIYRDMAILGIDGFSSLPKHCLSLFYLFFALAIAINLAKDVSPNRIARFIPIPMAMAIPFYIGASFAIDMCIGSVILYVWTKMNKGKASAFGPAVASGLICGDGMWGVPQGVLALAQVNPPMCMKFVHGE >Ma08_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1225242:1225469:-1 gene:Ma08_g01330 transcript:Ma08_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLDTGVGLNSGLYTMQPLFSPVVNYNTEFPQLESGHKAQIPVDLQTWSILSRTWTLAIAFSTYCSQLWPFGSS >Ma05_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1967852:2005212:-1 gene:Ma05_g02880 transcript:Ma05_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSKFSQGRFLSLLALNLPSPPKPFSPSPSLILLLRRRLPLSPSPPVPRRFFGASSTPMAHRGKQTTQWKEKQRPAAVGSPPSSPVTASAVADGVGRLSIADNPAARSLPMQLGGAPLSNQGSVKSQQKGLWKPKSYVTATSAVAAPVESTISSVPTEEETGSSANNLMKLFKGPIGAHFNVDNNTFSHAQIRATFYPKFENEKSDQEIRIRMIEMVSHGQATVEVSLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLSRMFLEAWGTEAGKMQAQFNNFLEENRICISMELVTAVLGDHGQRPLDDYVVITAVTDLGHGKPKFYSTPELIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDALCEEGTATTVCKALNEVADISVPGSKDHIKVQGEILEGLVARVVSCDSSKHMEKVLKDFPPPALDGVGLDLGPTLREVCAANRSDEKQQVKALLQNAGTSMCPDYADWFGIEESGVHSRQADRSVLSKFLQAHPTDYATTKLQEMIRLIRQRHFPAAFKCYYNFHKVNSLSSDNIHYKMVIHIHSDSVFRRYQQEMRRNRGLWPLYRGFFVDVNLFKVDKERATDFAKDSNSLLKNINGNLEASSLVADGLADEDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPSAYKTYYLRQMKIWGTSAGKQRELSKMLDEWAVYIRRKYGNKQLSTSTYLSEAEPFLEQYATRSPQNQVLVGAAGNLVRTENLLAIIEAGRDEEGDIHHDVEAPSSPTHAAKDTVLKDEGLIVFFPGIPGCAKSALCKEILNAPGGLGDNRPVHSLMGDLIKGRYWQKVADERKKRPYAITLADKNAPNEEVWRQIEDMCRSTKASAVPVIPESEGTDSNPFSLDALAIFIFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYDGKNRREFESELYERFGSLVKMPLLKPDRNPLPDPVKDILNEGINLYRLHTNRHGRMEPAKGSYAKEWARWEKRLREILFGNADHLNSIQVPFDYAVKQVLEQLKDVAKGEYKTPDTEKRKFGNIVFAAVTLPVGEIKNLLDKLANEDPKAKSFLEDKSLVNNLMKAHVTLAHKRSHGVTTVASYGVFLNQNLPVDFTALLFSDKVAALEAQLGSINGEKINSKNEWPHATLWTAPGTAPKEANTLPQLVSEGKATRIDIVPPVTVSGELDFY >Ma06_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5691536:5692210:-1 gene:Ma06_g08020 transcript:Ma06_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDPRELQLLHLPSTHILSEAPMSLVGNDPSDHPLLDLNLSMSVGTQRSYFEESNSFAKNLQLLKQQTAEQIQLTAVEKAYAERIRELTRKEIDSAKKEFAQARLVWEQAREEVDKVERMKEIATRNMNPSCLEFTCHSCHQRFRP >Ma04_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24623685:24624452:-1 gene:Ma04_g22260 transcript:Ma04_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHSLSSSQFLGLRVALPPRPNAGAAVSPQSLTVAAALSSSAASSLYEVLGVPASASGQEIKAAYRRLALECHPDVGASADQFLRVHAAYSTLSDPDKRADYDRRLVDSAASAAAAALDRRRRSTYSRSTSFSCGGRRTWETDQCW >Ma01_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8300303:8304834:-1 gene:Ma01_g11440 transcript:Ma01_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFRAFSRGLPVVRPAWVVDSVAANKLLSWVPYQLNELENGTFKQQKLSSFFAPKCTSSPKIANAESHIAPLVRSASGLLLHKDEKMKQLLLDEEEKCSKFREQSMNNRTLEESLDPLNFGHCNQQEENLGAHHCLEDYAKGAMESDIHQIQLSTISHPRHDDLHINTCADNHPDIVPQSLSQLDFSVLHELPEELKIGILEALPPHRAASFPGNGSGSTRKKFPCDIKDENSEKLDIFLWEGTPPSWVEKFQHSSCLLLNIIATQYSRSDMNGLLSLTLQSFSHILSTFTDLSAMESDELISSLFELFKQYIELKVKSDIEELYVCFRILRRFATDIKFLQQVYDLILPFLQASISENYGGILNLPIAKE >Ma07_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8432871:8433050:-1 gene:Ma07_g11350 transcript:Ma07_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFWNNTAYHDVHHQFHGNKYSSSLPFFVTRDKILGTHTPYILLKRAGGGLEARPPKD >Ma04_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3491092:3502886:1 gene:Ma04_g04570 transcript:Ma04_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADPSAKAPKTSAAQEQTPATSSTPAVTVYPDWSSFQAYSPIPPHGFFHSPVASNPQPHPYMWGPQHLMPPYGTPPPPYVMYPPGGLYSHPSMPPGAHPFNPYAMTSANSNVEASGGAPGVEMDGKNNSEPGKTSAQPVNGAFSQSGESGSESSSEGSDANSQNDSHPKTTGGHESFGEASQNGNSASGSQNGVTQMPSQTTLSHPLSMVPMSATVPGAIVGPTTNLNIGMDYWGAPSSSPVPVHGKIPATAVGGAAVPGAPSDLWLKDDRELKRQRRKQSNRESARRSRLRKQAEYEELAQRADTLREENASLRAELTRIKEEYEQLLSQNTLLKEKIGEVKQGAEDQSLDGKEQCSGDDKPKRNLDSDAQAAETEQGQSGV >Ma04_p04570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3491088:3502886:1 gene:Ma04_g04570 transcript:Ma04_t04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADPSAKAPKTSAAQEQTPATSSTPAVTVYPDWSSFQAYSPIPPHGFFHSPVASNPQPHPYMWGPQHLMPPYGTPPPPYVMYPPGGLYSHPSMPPGAHPFNPYAMTSANSNVEASGGAPGVEMDGKSSEGKERSPIRRSKGSLGSLNMITGKNNSEPGKTSAQPVNGAFSQSGESGSESSSEGSDANSQNDSHPKTTGGHESFGEASQNGNSASGSQNGVTQMPSQTTLSHPLSMVPMSATVPGAIVGPTTNLNIGMDYWGAPSSSPVPVHGKIPATAVGGAAVPGAPSDLWLKDDRELKRQRRKQSNRESARRSRLRKQAEYEELAQRADTLREENASLRAELTRIKEEYEQLLSQNTLLKEKIGEVKQGAEDQSLDGKEQCSGDDKPKRNLDSDAQAAETEQGQSGV >Ma04_p04570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3491084:3502886:1 gene:Ma04_g04570 transcript:Ma04_t04570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADPSAKAPKTSAAQEQTPATSSTPAVTVYPDWSSFQAYSPIPPHGFFHSPVASNPQPHPYMWGPQHLMPPYGTPPPPYVMYPPGGLYSHPSMPPGAHPFNPYAMTSANSNVEASGGAPGVEMDGKSSEGKERSPIRRSKGSLGSLNMITGKNNSEPGKTSAQPVNGAFSQSGESGSESSSEGSDANSQNDSHPKTTGGHESFGEASQNGNSASGSQNGVTQMPSQTTLSHPLSMVPMSATVPGAIVGPTTNLNIGMDYWGAPSSSPVPVHGKIPATAVGGAAVPGAPSDLWLKDDRELKRQRRKQSNRESARRSRLRKQAEYEELAQRADTLREENASLRAELTRIKEEYEQLLSQNTLLKEKIGEVKQGAEDQSLDGKEQCSGDDKPKRNLDSDAQAAETEQGQSGV >Ma08_p31380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42309103:42311568:-1 gene:Ma08_g31380 transcript:Ma08_t31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSLNRTARRLEPLAIPHSRHPLPDSSHPRQLSWSSPPAATPPRRQQPLDDLNSSVLPRSTPRHYDDYLNLLRSFVSRKALEPGKQLHARLLLSGLGYNTILATKLVDLYCLCDHVTYAHKLFDRIPKRNLFLWNVLIRAYAWTGPHEVALSLYYQMLDCGVAPDNFTFPFVLKACSALSALEAGRGIHELVVSTGWETDVFVGAALIDMYAKCGCVDHARELFDRIPNRDVVLWNSMIAAYAQNGHPSEALLLCRDMVARGFTPTLATLVTVISASADAAALPRGREIHGLSWRRGFDSQDKVKTALVDMYAKSGWVKVARVLFEQLAEKRIVSWNSMICGYGMHGHADEALALFDRMRDEDQVLPDHITFVGLLSACNHGGLVDEGRSFFNSMLSDYRIPPAVQHYTCMIDLLGHSGRLAEAYKLIQDMTMATDSGVWGALLNACKIHRNVELGELALQKLIELEPDDAGNYVVLSNIYAQAGKWQEAAEVRKLMTDRGLKKSIACSWIEVKNQVHAFLVGDLSHPSSGAIYAELERLEGLMAEAGYEPDTMPVFHDVGDDEKRNMVRSHSERLAIAFGLISTPPGTTLLVTKNLRVCEDCHTAIKFISAIVGREIIVRDVNRYHHFKDGECSCRDYW >Ma09_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6253518:6255052:-1 gene:Ma09_g09510 transcript:Ma09_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNVTLASIQMAKPQKPLLSAIIDLSSVLTGPMYVGFSSSTGSLLTSHYILGWSFNMNGVAQVLNYSLLPSLPRVRPKRGSKALTISLPLASAGLVLIVAGVVAFIVRWRIKYAEVLEDWELQYGPHRYSYKDLYEATKGFEDEDLLGIGGFGKVYKGVLQTSKSEIAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKFLYGQDKPTLDWATRFRIINGVASGLLYLHEDWEQVVIHRDIKASNVLLDHELNGRLGDFGLARLYDHGTVPLTTRVVGTMGYLAPELVRTGKAATITDVFAFGIFLVEVACGRRPVDPTADEEKLILSDWVLKNWQKGSILETTDPRLGEAYAVEEVELVLKLGLLCSHPLPTERPSMRQVVRYLEGHAPLPELSPTYLSFGAFVQRRNDGVDGRIMSNTSQVATTSVLSGGR >Ma08_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3163262:3166749:1 gene:Ma08_g04550 transcript:Ma08_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREMALLGVHLLLCWAAVSGAEYAKYKDPNQPVKWRIRDLMQRMTLAEKIGQMTQIERKVATPQIMKDFFIGSVLSGGGSVPAPRASAEAWVDMINEFQRGSLSTRLGIPMIYGIDAVHGNNNVYNATMFPHNIGLGATRDPELVKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCFESYSEDHRIVQAMTDIILGLQGDVPENHAKGFPYVSGERKVVASAKHFVGDGGTQKGINENDTIIDPNGLFGIHMPAYVDAIAKGVSTVMISYSSWNGVKMHTNRDLITGVLKNKLGFKGLVISDWEGLDRITSPPGANYTYSVEAGINAGIDMVMVPKRYKEFIGNLTFLVKNKFISMSRIDDAVRRILRVKFALGLFDKPLADHSLADQLGKKEHRELAREAVRKSLVLLKNGNSDDGPLLPLPKKAPRILVAGSHAHNIGYQCGGWTIEWYGGSGRITAGTTILEAIRSTVDPATEVAFSENPDADLLRDHDFSYAVVVVGEHPYAETFGDSLNLTLAAPGPTTIQTVCGAVKCAVVLVTGRPVVIEPYLPGMDALVAAWLPGSEGQGVADVLFGDYEFTGRLPSTWFRSVDQLPMNAGDAHYDPLFPLGFGLTTESRISDM >Ma03_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4327800:4329523:-1 gene:Ma03_g06250 transcript:Ma03_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPNLFLRLTDDRDHQEPPARFPPPRSFWPSQSAAGSAIADRDPFVPVFSSGPTFGELDSGSDYSGYSDDDDDDDHATLSLDLFRRPPPSVSGAAMDPFPEPFGSPVFRVSEGPEEIGPPCSLDIGLGLGLGSGFERDDDNDAGEDVDREVVVPDWAADDFFIGRRSSPSESTEFSRARPVGSGGLRVVGFDSDSDSDGQIVAMGNEQIAGISDDGEVDRYRISDDLGLPLCWDTLQLSDGRRDANEGFDWEEIDGQDVERDVLSIMVLGDEERSDDIGGSGRDEVEPEDVVRNVNWEILLAMNNLGRSPFDPDDVEAYFEDQDGLVYTSDYESYEVLFGHFTEQDGNTKGSPPAAKSVVENLPSAVMTKEDAADIDADCAVCKDGIVAEDRVKRLPCLHHYHEECILPWLGIRNTCPLCRFELPTDDPEYEKQKAWRAGGSVIPGDEAPLRYDFEVLPEA >Ma09_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9016011:9016091:1 gene:Ma09_g13360 transcript:Ma09_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIPSEGYSWRKYGRKPIKGSAYPS >Ma01_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8365592:8374465:1 gene:Ma01_g11580 transcript:Ma01_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEGEVGASSDGSGSPDEKRQKIRFHRVVEEAMQKHKIQKCLAAMEPLIRKIIREEVDLALSKHLASITRQCEKQVVCSTTRSLQLQFRSKLSLPIFTGSRIEGEDTSANFVDLIDALTGQVVTTGPESSLKVEIVVLEGDFEFGEDGNWTFDEFKNNIVREREGKRSLLTGDVFVDLNEGIGILGEFSFTDNSSWTRSRKFRLGARVLDGHYNGIRVREAKTEPFMVKDHRGELYKKHHPPALSDEVWRLEKIGKDGAFHKRLSNENINTVQDFLFLLCKDSTRLRNILGSGMSARMWEVTVEHARTCILTDQVHVYYPDSQRKTGFIFNDVGEVLGVLSEQRLVSVNDLPDNERAAALDHVKQAYEHWNDVTTYETADVLGISSPAPQTSSLGLENMYSNFPSPVKGDGFGFTYSSIPSPDIFSVGGMRGLDAYTLEAIGSMEPRYEQDSQSTSLYDGCSSQALFSSMPQYNSSFSSPSIGFISESPADFGVAYKGFIDPQLAAAAPVKPRRGWRKLSCVFKFISKIVMSMKSRVHEA >Ma04_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10522091:10522780:1 gene:Ma04_g13870 transcript:Ma04_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASFLGGAVSIPGRPSIRRRTGQGLAVAQAAAKNRDVAQPNPEARGDGEREGRRAVMLAAAAAAACAIGQGIANAEEEPKPGTPEAKKKYAPICVTMPTAKICRK >Ma10_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33030350:33032262:-1 gene:Ma10_g24430 transcript:Ma10_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRPPMRRTTSSTEFDAGVLFDVEPPEPFAQDGIGSRHLEPRHGATEVAQRTAGTGADWRAASYVGSMLSPRGGVHRRNSGDFEAAARTAPFLRACGLCNRRLGPGRDAYMYRGEVAFCSLECRQQQMNLDEQREKCSPTSMKDTPSPTDDTEQSGNGGTATAA >Ma08_p33830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44031272:44038116:1 gene:Ma08_g33830 transcript:Ma08_t33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLLRSATSALGGSRDCFPSGCGTLAPASFKISCVGSPKSVEIRSGVLGSALPSVEANSSQRSRARGIQPVKATATEVPPAVRRSSSGGRTKIGINGFGRIGRLVLRIATTRDDVEVVAVNDPFIDAKYMAYMLKYDSTHGNFKGTIKVVDGSNLEINGKRIAVTSKRDPAEIPWGDFGAEYVVESSGVFTTMDKASAHLKGGAKKVVISAPSADAPMFVVGVNEKNYKSNMNIVSNASCTTNCLAPLAMVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKNASYDDVKAAIKYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSASFMKFVSWYDNEWGYSNRVLDLIEHMALVSAKH >Ma08_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:359819:361856:-1 gene:Ma08_g00390 transcript:Ma08_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEDSKFLKIQACVLKVNICCDGCQKKVKKVLHKIDGVYTTTIDAEERKVIVTGNVDPAILIKKLSKVGKHAELWPTKGGNQQNLANQLQKLQFEQAKGQQKENGKTKKGGGGGGGGGGGGGGGGGGGGGGKDHKWQQPQPQQQQAKGFKDLKFPNLKDLKLPFKKESKTIKFDLPPEEEIDDEGDYSDDYDEFDDEDLDDLDGFDDEDMYDDPKMMKPMNFQPIGNGTGKDKKGNGHGGGGGGGGGKGGEVQVPNKAVGGGSNINGGGGKTGGGGGGKNGDGAHDSKNGGGNKNKGGNCTAGNHANHGNPSHGGNKGGGGGGGSNNGAGGGGGLPMGQPNMMGPMGHTQPAMGHMGSFPAVQGRPVGDGAPPGYFQGGMVPPEMMMAGANPYQQQYLQALMQQQRMMMMNGQDRPAFPPMGYSYGRPVYVPPPPPPGEPCTIFSDENPNGCSVM >Ma03_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27501042:27502458:-1 gene:Ma03_g22770 transcript:Ma03_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNPKGMASSTMYKLDGDREQSGGAIGLFRSEATMSDDVCFEILARLPVEALFRFSCVSREWHRLISDDYLRWRLPLLASAVFYRCGDGESEKPRFACTSRGSLRECSLEFFPFHRSSAIVDCCHGLLLSYSSFHSMFYVVSPITMRWVELPRPPKRAQLSVLAFDPRHSSEYKVVAFTGWLPRGAELMVFASETGRWAERSVQWGLDPDAMTTTLRYFAGTLYILAHPNYAVAVDLGDDSVCRLIELPEAMKHGARLDMSAGGLQYACNDGGRLRVWMHNESSGGGWVLKHSVNVNGAVVALHPEREVAYLRADWKLVSYDLRKNKVEEVLEMEEERETACYVVNMWLFPFSRHMSDCLATRTVVETYPSDAKEYSV >Ma04_p29820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30643347:30643965:1 gene:Ma04_g29820 transcript:Ma04_t29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKPTLLLLILVVIFEAAPVAVSAEKFVVGDKQRWAPNVNYTAWSDSHSFHVGDWLVFYYEKGMYDVMEVNATAYEACAADDPIVNWSRGDSFAYQLNRTGRYYFICSRGYCYGGMKVSILAETIPPLAPSPRPQDDSAAVPSAPRRFSAWIAAVSGAVALALVLWFP >Ma00_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3267322:3269903:1 gene:Ma00_g00880 transcript:Ma00_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLCHLHLHNPTAPAFVTSVNMTSKLDKVGVAILAPILILGKCCILLGACFPLSIIPLPISQLP >Ma07_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7896036:7899879:-1 gene:Ma07_g10570 transcript:Ma07_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MKRLPLLTPARAFATTYNGRVVRVDSSGRALAVEVDPPDLPRDARGHALPRRDLICRAARILRSTSPAAAPLLDLADYLQTLTLTLTPAEVSEILKCIRNPDRALEFFRFAASLPGFHHDCFTYNRILSILARSGAVDGDTVRWIVDEMERDGVNGSISTINILIGILGGGELDRCLELVKKWGLKFNGYTYKCLMQAYLRYRVVDRAFRVFEEMKRKGYKLDIFAYNMLVDALAKAEKVEQAYKVFADMKRRHCEPDSYTYTILIRMSGKIGKTDEFLSFFEEMIRKGCALNLISYNTMIQALAKNRMVDKAIFIFSKMVENDCQPNEFTYSVLIDGLAAEGQLDRLDQVVEVSNKYMNKSIYAYLVKTLSKLGHVSEAHRMFCSMWSFHDKGDRDAYLSMLETLCSAGKTSEAMELLNKIHEKGITTDTVMYNMVFSALGKLKQVPYIYTLYEQMKAEGHFPDIFTYNILISSFGKVGLIDKAFEIFEEMESTDCKPDVVTYNSLINCLGKNGDLDEAHMRFMEMQEKGLNPDVVTYSTLIECFGKSNKVEMACRLFDEMLAQGCFPNIVTYNILLDCLERCGNTAEAFKLYATMKQRGLTPDSITYTILARLESGSQKAVRVRKQSRITGWVVSPLR >Ma03_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8321063:8321906:-1 gene:Ma03_g11040 transcript:Ma03_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKQNKLAQTAVLKQILKRCSSLGREEKEEGPPVDVPRGHFVVYVGKNRSRYIVPISYLDHPEFQSLLRQSEEEFGFKHHMGLTIPCDEVAFRSLTSSLR >Ma05_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35669528:35671969:-1 gene:Ma05_g23560 transcript:Ma05_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDRQETRLVIDDGWAVSSSSSSSFPPFASTSLCRICHEEEEESSTSMESPCACSGTLKFAHRECIQRWCDEKGSNVCEICLQIFEPGYTFPEKKAKLDVAVTIRGSLEIPRPNYDPENQGFVTASDDAAGSNYPECSAASSRSVSCCRYVAIMFTIMLLIRHFITVTTVGADQFPFSIATVFLLRASGIILPFYLVMQFISAVQLAQRQYQIEQLHRRINSGGLEEEDEIEHHPIRS >Ma04_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9265445:9270988:-1 gene:Ma04_g12290 transcript:Ma04_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSLWSFASAPSLPSGRPPSLSTRSIPSPRPLSRSPSRPPSRVNVRCNYATSDLVEDSSSLPIDVVADVKTEKIVVLGGNGFVGSAICKAAVLKGIEVVSVSRSGRPSYTDSWVDQVSWMAGDVFYLMWEEVLVGATAVVSTLGGFGNEEQMKRINGEANILAVGAAKDFGVPKFILISVHDYNLPSFLLSSGYFIGKRKAESEVLSKYPRSGVVLRPGFIYGKRKVDGYEIPLDLIGEPLERLLLAAENFTRPLKSLPASDLLLAPPVSVDDVAYAVINALMDDDFFGVFTIEQIKEAAAKVRSR >Ma09_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10165020:10166282:-1 gene:Ma09_g14840 transcript:Ma09_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPLPKLSSLTVLLLSLIPLSSGAFAGGVRVELTHVHANAGDSEAKLIQRAVASSRHRLSTLVDRAPLHAGKTEYIVDIAIGTPALAFSALVDTGSDLIWTQCKPCSNCYWQSSPVFDPSKSSTFGTIACDSMLCKALDQHSCNASCHYSYMYTDHSYTEGVLGTETFTIGSTSVPGLAFGCGNSNDGVAFAEAAGFVGLGRGKLSLISQMGLTEFSYCLTPFGSRKKSTLSIGSLPNPNATAHPVMSTPIVRNKSWPSFYYITLNGITVGGTVVDIPSSTFAINGDGSGGMIIDSGTWLTYLEYSAYDKVADAFTAQIKNMNTTDQSLFDLDLCYELPPKSTPDTLNLPKLIFHFQGADLELPPGNYFGVDSDTSSICLMMAESSGLSVFGNFMQQNMQVMYDLGNDVLSFYSAECG >Ma02_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14431169:14437400:1 gene:Ma02_g03070 transcript:Ma02_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLVASAAFLPALVCQEHHRGSKEFQTLLPLHGRKQDPSRSVSIEFNGQHFENFQQSMPLLLQKTKLARLSQMIGKPVLVDVQGSHLDSVLLSFGIVEKCMKNEKILKLLAEGEDLAASLLSEVMTLDVLPSPHLDDKLSLHEAEMDDSWDPLHIQKQIYTPKPLLYFVGNSSDTKYYMVHPDGRLLFADSAAQMEDLLSIVTEFNLPKRTIVGSKQLLLVPYFTSLDNTKLKMLPKKKKNQKVGRDLYKRNYFHACESLLSVILDKGSSKSVLSLKKSASAISHLLTQISAGIAGTGLAVILSVLCKLAWGRSPFCFSRLFHTAFGFGLFWLSCAINGLRHTIIYISRNSIRLNLEEEETTSMVKRSMNKILFRAAILVAVALFRFA >Ma10_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22417724:22418506:1 gene:Ma10_g08150 transcript:Ma10_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDADDIVDLCMMEGGKLLEARGSASASGVSFGFSFVSCFRSTKYRHEIAGEIEAINERLEQIAEDTSILGNLQSSSSQQPQPEKPTARETTSLEVEEDIVGGQIDVDADTLINAMLEDTKQKCRIFGIVGMGGIGKSTLARKIYNDERMRVNFPIQKWLYISKNYSETKLLGELIRCAANKSEGGEAKSESFEGQSRSELEPKLASLLTKNLFVVLDDVWSQKLWNDFLRKPLSKVVGSSTILVTTRNETVLNGMRASYT >Ma03_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15940285:15940737:1 gene:Ma03_g15560 transcript:Ma03_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAMAAATIATMAAVATATATIAAATATIVGGSYIEEERWGNAGEHSTRDAADEGNGHWHRVAVEKTIAIRRRGKICRTDGLTMEKQW >Ma06_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:215357:216848:1 gene:Ma06_g00300 transcript:Ma06_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPAFSLFSKTLCFIYRPRPADALLSSSSSYSVAATGSGALQPSIMAEYLVTSCGFSPENATKASNLLGGITSRRQPDSVLGFLKSHGFDDTHMKDLLSWNPRCLLLDVETTLAAKFRSLQELGFSQSDITHLVLANPFAINYDVRTVVHKIRFWQGLIGSNDVLVNLFKSRRWFLGFSIEKRIQPNIEMLRSCGITDQKLRTILRYRPTMVTQRAETLRALISRVEGFGVPRTSGRFHWTLLMLSTLSVERCNAQKKLFEAFGWSEADFLDAIRKTPFFLTGSLKNLKMKMEFLVNEAGYAPSYIALRPVLLTLSLEKRLIPRYQLMAALKSRGLCAGHPKSVTYMLCPEKKFLERYVIIYKKQFPELIELYSARK >Ma02_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23758564:23759915:1 gene:Ma02_g16340 transcript:Ma02_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIAVLSDTLAGHTDMVTAIAVSAYYSPIVVSSSCDKSVLIWKIKDDVTVVPHRRLTGHSHFVEDVVLNSDGQLAFSASWDGDVRFRDVATGSITSRFVGHTKDVLSVTISPDNRQIVSGGRDRIIKVWNSLGECKCTIEGADAHTEWVSCVRFSPNFHKLVLVSDSWDRTVKVWNFTNRKLMYTLVGHTGYVNAVAVTPDGTMCASGGKDTLVLLWELAIGAKVHEFNAGSIIHAICFSPRWYRLCVASENDFRIWDLAEKTLLQVLKPETAVGRKKRPFCTSLTWSTNGLTLYSGYKDGTIRLWGFSPA >Ma05_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7062453:7064572:-1 gene:Ma05_g09840 transcript:Ma05_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPLGVLLLAAISPVFSEAAANNTFRPGEELRKYRRIRAHVKRLNKPPVKTIQSPDGDLIDCVLSHLQPAFDHPRLKGLKPLDPPERPRGHNPAGTITDDSFQLWRTSGESCPDGTVAIKRTKEEDILRASSVKRFGRKPAVRTRHDSESSGHEHAVGYVVGDQYYGAKASLNVWAPKVSSPDEFSLSQIWLISGTFGSDLNTIEAGWQVSPQLYGDTSPRFFTYWTSDAYQATGCYNLLCSGFIQTNNMIAIGAAISPVSAYSGGQYDLSLLVWKDPDHGNWWLELGTGVLLGYWPSFLFTHLSEHASMVQFGGEIVNTQPLGLHTSTEMGSGHYAGEGFGKASYFRSLQVVDWDNSLIPVPNLRVLADHPNCYDIHGGINRVWGNYFYYGGPGRSHRCS >Ma10_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23403952:23405658:1 gene:Ma10_g09210 transcript:Ma10_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAGKDSPSSSTVRGTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIVVQDGDPSKTIRVPEGFDYELYNRNDIKRILGPKAACISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKEINALEQHISNLLTPSTPYFFNTLYDPYREGTDFVRGYPFSLREGTPTVVSHGLWLNIPDYDAATQLVKPRERNTRYVDAVLTIPRGTLFPMCGMNLAFDRELIGSAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLRLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEELIPFFQTVVLPKDCTTVQKCYIELSKQVREKLGKIDPYFTKLADAMVTWIEAWDELNPTGTAAQMVNGSSNGK >Ma02_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17185815:17192504:1 gene:Ma02_g06160 transcript:Ma02_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYEQDSDIHHWGFDFLHCDLFSVPPYCGGTSQQDSSFYDTSYVGEGDIDPECATIENDEIIAHALQEELSQLAVAEASGSTHAEDECLQVSVLTQDWFSPYMRSYSPGNEDGQDEADDMEPSSSCSSPRENSYNGDEWSLELTDDFSDIEGEVGKRLNHMVSIPHVPRINGEIPSVDEATSDHQRLIDRLQMYDLIELKVQGDGNCQFRALSDQFYRSPEHHKFVRQQVLNQLKTHPEFYEGYVPMEYGDYLKKLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPSFQKSKRVIFLSFWAEVHYNSIYPEGDLPALDMKKKKRWWQFGNKY >Ma02_p06160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17185815:17192504:1 gene:Ma02_g06160 transcript:Ma02_t06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITYEQDSDIHHWGFDFLHCDLFSVPPYCGGTSQQDSSFYDTSYVGEGDIDPECATIENDEIIAHALQEELSQLAVAEASGSTHAEDECLQVSVLTQDWFSPYMRSYSPGNEDGQDEADDMEPSSSCSSPRENSYNGDEWSLELTDDFSDIEGEVGKRLNHMVSIPHVPRINGEIPSVDEATSDHQRLIDRLQMYDLIELKVQGDGNCQFRALSDQFYRSPEHHKFVRQQVLNQLKTHPEFYEGYVPMEYGDYLKKLSKSGEWGDHVTLQAAADSYGVKIFVITSFKDTCYIEILPSFQKSKRVIFLSFWAEVHYNSIYPEGDLPALDMKKKKRWWQFGNKY >Ma04_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22833446:22834622:1 gene:Ma04_g20120 transcript:Ma04_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAKPATSSSNGSVGSSSSRSSGRRSSRRDEGGMAIRKGTWMAEEDEILMEYVRKHGPRDWSSIRSKGLLARTGKSCRLRWVNKLKPDLKTGCKFSPEEERIVLDLQAKFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARISRSSLPPRSSKNDKELPAVSSYEAPPNMEVSLRSSNVQCGTALYVDNQYAMSMAPSPCNLGLGTALPPLLEPATEEELLRLPNTSMPPQPPPPQQHIGPLPQPSLDYLDHFTGEEICFSEEFGCQGSSPCMQFLYDGSPFAREDEQPPAPDSLFDDLPSDLFDYLEPPPPPPPTSSAS >Ma01_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4979075:4985943:-1 gene:Ma01_g06880 transcript:Ma01_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSQDEHPAPAPQIFPPEGHDGNACDGCPRCRSIGRIARPRCVTTLVLAVAILLLVVFWLPPFVRRYEGAGRPDPDPRFSADVVASFKLQKPVGLLNSNIGKLQLDIFEEIGVPDSSIAVIYLEPLDGSNWTNVVFGVWPYRKNSTLSSTGLSILRSSFMSLVIQQSTLHLTTTLFGNSSFFEVLKFPGGITIIPLQRVFLLQNVQMLFNFTLNFPIYQVQDKVNELKDQMKAGLLLNWNENLYVRLINLEGTTVSPPTIVQTSIVLAVGNRRPSLPRWKELAHTIQNSSVGNLGLNHTVFGRVKQIRLSSFLQHSSNNGSNTSSPHPSPQPNHHLHHHHHHHKHHFPVDNAPGPAPPDTAPRHGYEISPSGCGCGFSSKPKGKGYSAPAAVPVDAPKHSAAPAAVLGCSYRPVGAPMSAQNHLLAPRAHENPPVPAPSRISASPSPAVSFMHVPPPSKSVKDTEPPDRTPSISPASYSSSGSGKSFAHLVLVPLLYLSSL >Ma04_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:963820:968612:1 gene:Ma04_g01110 transcript:Ma04_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRGIRSEEEIEEGGGEAGTAEEETGEGEEEEGRWGRMLPELLGEIVRRVEAGGERWPQRKDFVACAGACRRWREAARAIVRPPCETGSITFPSSIKEPGPRDSPIQCFIRRNKNNSTFYLYLGLTESFTDKGKFLLAARRFKHGAHTQYIISLDADDLSQGSNAYIGKLRSNFFGTKFKIYDSRPPYNGAKVSSSRASRRVASKQISPQVPAGNFIIGQVSYKFNLLKRGPRRMHCTLQCPSAAQDTTEEDSSKPKAHNPGVTILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADASQPSGIGDEETVLLQFGKVGDDMFTMDFRQPLSAFQAFAICLTSFGTKFACE >Ma06_p27820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29693284:29698461:1 gene:Ma06_g27820 transcript:Ma06_t27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQHLQIMRKSRVRWNEANLDEIEANKPVRQKITEPKTPYHPMIEDDGAVSPRLAFNECLDNSAHAEALMTALNDVASSSRSPNGDWTSSEDETDAMEQDDDSEVDVARLSFTEHRKAHYDEFHKVKELLRAKSLVDEDEEDNSGGETGKEIGDSTSDEVRGPESGEAQNSTPNK >Ma11_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21493910:21496006:1 gene:Ma11_g15860 transcript:Ma11_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGEGGGIHKGDTDRKPLAPNNIKAPSVWGVLAGGGHHHRRNTKPSLHSWPSSDCKSFFRLYRLLLFLLLSVLLLYFLYTYNLLFAPLPSCPISSSTAAAATDDVIHLSSSSATNFSTNVGNRTRADVASSSPSMPVPTSPSLSSAAAASTATGLQHIVFGIAASSKLWEKRKSYIKLWWQPRRMRGFVWVDKPVKELNSTDPSLPVLKISGDTSRFPYTHRKGDRSAIRISRIVSETFRLGLPNVRWFVMGDDDTVFLPDNLARVLSRFDHRQPYYIGSLSESHLQNIYLSYNMAYGGGGFAISAPLAAALARVQDRCLHRYPALYGSDERIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVTLHHLDVVQPLFPGAVSRTAALRRLFDGPVRLDSAGVTQQSICYEGRRLWTVSVAWGFAVTLVRGVMSPREMETPTRTFLNWFPRADYTAYAFNTRPVARNPCQKPFVYYLTSARYDDAHRTTVTEYERHRQSHPLCRWRMADPSALVDRIVVYKKPDPGLWDRAPRRNCCRVLSSPKDGKRRDGSMVIDVGMCRDGEISQINKQ >Ma05_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11487871:11488169:-1 gene:Ma05_g15400 transcript:Ma05_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFEQVYSLLVWGWCTPYSVAIFHRRQCCQLDSSVLATSKDHEVSGTWIHWLVCVLQPTLQGKA >Ma03_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10287787:10288423:1 gene:Ma03_g13270 transcript:Ma03_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDGFHGNNGATTAAAPAYAHGVPHSAWHSPVPYLFGGLAAMMGLVALALLILACSYWKLSSFLESGDGTEQSDHEKHDDASSGKDPAFPEERFFVIMAGDCTPTFLAIPIASRAVDNRTDADKNIDGENKQQEGAVPSPISVRIQMEGDGSPRRSQNQEQ >Ma10_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21520932:21527505:-1 gene:Ma10_g07170 transcript:Ma10_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDQVLENDDNVPPALLGSCNDRAKQLHTSPSGRLLTALICEYLDWAQLGHTLKVYLPECNLQKDFWKEELKDFSNKNQYDSNKNGDSGPLLLEVLEGYLKYENLTQNRMAGGSLINSETESISSGEARNVRRPFSSSSSSAAHGGLPSLDRPVPLTQSSDRKSSSSVPTNRKDDYMWRYDAEISEDVMRAANALENIQLDRKARNLTTSWRHANNGIMEDDGTVDRR >Ma04_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8016643:8021479:1 gene:Ma04_g11360 transcript:Ma04_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKELDSYTIKGANKVVRVGDCVLMRPSDAEKPPYVARVERIEADHRGSARVRVRWYYRPEESAGGRRQFHGAKELFLSDHYDVQSARTIEGTCVVHSFKSYTKLEDVGPEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPTCMGMTIEQAKKLEHFICSDCSAEDAAEKSLKPFPVSPDSELKVEAKRRKK >Ma03_p27030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30520835:30523566:1 gene:Ma03_g27030 transcript:Ma03_t27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSAGVAWGNKAMQKVSSYCCWRRLVVLRSLSSAIPVTGSPFSHTPCRTVTTSAADEFSADVERIYRILRKFHSRPPKLDLALRDSGVSLRPGLLERVIGRCGDAGALSFRFFSWASRCPDHPPSPAARRAMVRVLSKMRHFGAAWALVDEIRRETPDLLTPDLFVGLIRRFAAARMVAKAVEVLDEMPNYGCEADEHVFGCLLDALCKNGSVKEAASLFQDLKEKYPPNLKHFTSLLYGWCKLGKLMEAKFVLVQMKNAGFEPDVVVFNTLLGGFAAAGKMEDGYELLKEMGRRGCKPNVVSYTTLIQALCSRDRMDEAMWLFVEMRRNNCAADAVTYATLISGFCRSGKIDRGYEFLDKMAEQGLRPDSSAYFHIFAAYEKKDRLEECLELMSRMSNAGCLPDLGIYNIVIRLVCKLGELKQAVAIWNEMEASGISPGLDTFVIMVHGFVEHGSLVEACTYFKDMVGRGLLTAPQYGILKDLLNALLRAEKLELAKEVWGCIVNNGCDLNVYAWTIWIHALFSKKHVKEACSYCLDMLDSGLMPQPDTFAKLMKGLKKLYNRQIAVEITEKVRKMAEERHITFKKYKRRGVRDLEEKAKAKAKAQRKRKEEKSRRQRFSHRQGRGPSGQLDPDTEESYTP >Ma07_p26560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33309781:33313401:-1 gene:Ma07_g26560 transcript:Ma07_t26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYACEQIWNCLHYQRSVAASLVQGAYVLERDRQQNRQGSEARAPPWWESFHFEVIRKLIDDSDFSIFGAIYEYRPPVGFQNSTVNKAPRFVIVFRGTITKKESVSRDVKLDLHLLRNGLHQTSRFEIAMQAVRALVSAFGHQNVWIAGHSLGAAMVTLAGKIMAKESMNLKAFLFNPPFFSAPIERIKDKKVKQGIRIASSFITAGLTLAVKGPGHKSDSFTKLSSWVPYLFVNQADDVCSSYVGYFDHRKKMEEIGAGNIGKLATQNSVKDLFLSAFGVESESLHLLPSANLTVNSSPAPDFKHAHGIHQWWRPDIDLQSKVYLYD >Ma07_p26560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33309781:33314848:-1 gene:Ma07_g26560 transcript:Ma07_t26560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQRDVFEVSGPIHLTSVNWNCLHYQRSVAASLVQGAYVLERDRQQNRQGSEARAPPWWESFHFEVIRKLIDDSDFSIFGAIYEYRPPVGFQNSTVNKAPRFVIVFRGTITKKESVSRDVKLDLHLLRNGLHQTSRFEIAMQAVRALVSAFGHQNVWIAGHSLGAAMVTLAGKIMAKESMNLKAFLFNPPFFSAPIERIKDKKVKQGIRIASSFITAGLTLAVKGPGHKSDSFTKLSSWVPYLFVNQADDVCSSYVGYFDHRKKMEEIGAGNIGKLATQNSVKDLFLSAFGVESESLHLLPSANLTVNSSPAPDFKHAHGIHQWWRPDIDLQSKVYLYD >Ma07_p26560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33309781:33314847:-1 gene:Ma07_g26560 transcript:Ma07_t26560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQRDVFEVSGPIHLTSVNWNCLHYQRSVAASLVQGAYVLERDRQQNRQGSEARAPPWWESFHFEVIRKLIDDSDFSIFGAIYEYRPPVGFQNSTVNKAPRFVIVFRGTITKKESVSRDVKLDLHLLRNGLHQTSRFEIAMQAVRALVSAFGHQNVWIAGHSLGAAMVTLAGKIMAKESMNLKAFLFNPPFFSAPIERIKDKKVKQGIRIASSFITAGLTLAVKGPGHKSDSFTKLSSWVPYLFVNQADDVCSSYVGYFDHRKKMEEIGAGNIGKLATQNSVKDLFLSAFGVESESLHLLPSANLTVNSSPAPDFKHAHGIHQWWRPDIDLQSKVYLYD >Ma03_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26963016:26968571:-1 gene:Ma03_g22170 transcript:Ma03_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRKEIRSSENVGFYLSDDFDKARQIKKKCSEHWKVCPAEKVGSCSRGDEKVGSCGGGTAAGVVMTAPASGRSAADSPGRGLKRKLGCVESATRIGRKKKLENEYVLGRQIGKGRFGSVRLCWSKVNGEEFACKTLLKNGEETVHREVEIMQHVSGHPGIVTLKAVFEDSKSFHLVMELCSGGRLMDQMVKEGRYSECRAAILMKELILVIKYCHEMGVVHRDIKPENILLTTSGKMKLSDFGLAVRVACGQKLSGVAGSPAYVAPEVLLGDYSEKVDIWAAGVLLHLLLVGGLPFNGGSLEAVFEAIKKTELDFTCGVWESISELARDLLSRMLTRDVSKRITTDEILNHSWILFYTKCPSEVMRRKSVRKNIKPIIDVERIAAAISSTLSTESSSSKSEEQDGCCFVDALAAAMSRVSISETKRTRLCGPVSPIQQQCSSNMESNLCTAF >Ma07_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11558655:11567279:-1 gene:Ma07_g15410 transcript:Ma07_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPSAAAVLKAVTLTHVRYRRGDALGHFLAWVSLMPVFISLGGFVSHFLFRRELQGLCFFLGLLLSQALNELIKSSIQQSRPSSMCAALEVCDSHGWPSSHSQYMFFFAAYFSLLCLLNGIGVSSPRSRRLLALLPWPSAFLTLYSRVYLGYHTVPQVTAGATLGLVLGAGWFCIVNTMLVDYFPAVEESAIGRFLYIKDSSHVPNVLKFEYDNARLARKKIAKD >Ma04_p28290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29360010:29361748:-1 gene:Ma04_g28290 transcript:Ma04_t28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAQYPSNFVLRREQERKEMESAPQVATGFLGQSAVLVTDGANGNAQKRSREAAGIPLAPPPQQQNHPVSLISLQAQPCSPALVNLAPQHQIHQPSLLTTCLGLASEDKQHHRSPKQFNLFSSSPASSSSCSSLFSSELAAQINHQNNEIEQFLLAKGEQLRRALAERRQRHYRALLSAAEKSAARRLREKEAEVEREARRRAELEDRLGRLRTESMAWQAKAMADQTTAAALHAQLQQAVAARPPPAAGGECGDPPTAEDAESAYVDPNRVEHEVACRTCRWRRASVVLLPCRHLCLCDACEAAAELCPVCACARAGSVGVFLS >Ma04_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21307583:21310626:-1 gene:Ma04_g18900 transcript:Ma04_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLLMLSLSLSFLFLCLVYYLLLRAFHTRSGNLPLPPGTMGWPYIGETFQLYSNNPNTFFALKQKRYGPVFKTHILGCPCVMVSSPEAARFVLVTRAHLFKPTFPASKERMLGPQAIFFQHGDYHARLRRLVLRALKPEGIRGSIAGIEEVARRALQSWDGRLINTFHEMKTYAFNVALLSIFGKDELSYIEDLKQCYYTLEKGYNSMPINLPGTLFYKAMKARKQLAQIVAKIVLFRRTKLKTEDSGLLGSFMEAKEALTDDQIADNIIGVIFAARDTTASVLTWIVKYLGENPGILQAVTEEQEEIMKSKQVGDDEKSLTWADTKRMPFTSRVIQETMRVASVLSFTFREAAEDVEYEGYLIPKGWKVLPLFRNIHHSPDNFTDPEIFDPSRFEVAPKPNTFMPFGNGSHSCPGNELAKLEMLVLLHHLTTKYRWSMLGNQSGIQFGPFALPQNGLPLRFSLKSRVEE >Ma04_p18900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21307581:21310626:-1 gene:Ma04_g18900 transcript:Ma04_t18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLLMLSLSLSFLFLCLVYYLLLRAFHTRSGNLPLPPGTMGWPYIGETFQLYSNNPNTFFALKQKRYGPVFKTHILGCPCVMVSSPEAARFVLVTRAHLFKPTFPASKERMLGPQAIFFQHGDYHARLRRLVLRALKPEGIRGSIAGIEEVARRALQSWDGRLINTFHEMKTYAFNVALLSIFGKDELSYIEDLKQCYYTLEKGYNSMPINLPGTLFYKAMKARKQLAQIVAKIVLFRRTKLKTEDSGLLGSFMEAKEALTDDQIADNIIGVIFAARDTTASVLTWIVKYLGENPGILQAVTEEQEEIMKSKQVGDDEKSLTWADTKRMPFTSRVIQETMRVASVLSFTFREAAEDVEYEGYLIPKGWKVLPLFRNIHHSPDNFTDPEIFDPSRFEVGKGGQPPPISINLLPLSSADKSVSLSVFNCCRSLQNPTLSCPSGTGPTPALAMSLLSWRCWSSSITSRLNTGGLCWETKAVFSLDLLRCPRMACP >Ma04_p18900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21308218:21310626:-1 gene:Ma04_g18900 transcript:Ma04_t18900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLLMLSLSLSFLFLCLVYYLLLRAFHTRSGNLPLPPGTMGWPYIGETFQLYSNNPNTFFALKQKRYGPVFKTHILGCPCVMVSSPEAARFVLVTRAHLFKPTFPASKERMLGPQAIFFQHGDYHARLRRLVLRALKPEGIRGSIAGIEEVARRALQSWDGRLINTFHEMKTYAFNVALLSIFGKDELSYIEDLKQCYYTLEKGYNSMPINLPGTLFYKAMKARKQLAQIVAKIVLFRRTKLKTEDSGLLGSFMEAKEALTDDQIADNIIGVIFAARDTTASVLTWIVKYLGENPGILQAVTEEQEEIMKSKQVGDDEKSLTWADTKRMPFTSRVIQETMRVASVLSFTFREAAEDVEYEGYLIPKGWKVLPLFRNIHHSPDNFTDPEIFDPSRFEVGKGGQPPPISINLLPLSSADKSVSLSVFNCCRSLQNPTLSCPSGTGPTPALAMSLLSWRCWSSSITSRLNTGNPAETIKQTLVFYQQLDVAWGQLHPLTT >Ma07_p28770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34692269:34695889:-1 gene:Ma07_g28770 transcript:Ma07_t28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVSLIWMQSSTEQRPHKVSFFIQKGYAEEVMKSLSELLVNRGLDVKIIYSGGICLDILPLGAGKGEALAYLHKKFKADGKLPTNTLVCGDSGNDTELFSVPDVYGVVVSNAHEELLKWYAQNSKDNPKIIHATERCAAGIIQAIGHFGIGPNISPRDVMDSGCKIKSFNPGHEIVMFYLLYERWRRAEVENSDLTIHNMISIAHPSGILVHPSGVEHSILECIDTLVPCYGDKRGKQFRVWVDRVSSSQISSDSWLVKFDKWELSDEGRHCCLTTVLLNSKPETPKGFALVNVHQTWLDGYAAGDHTTWIF >Ma07_p28770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34692429:34696190:-1 gene:Ma07_g28770 transcript:Ma07_t28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQLRKEKPLLTPDITIMSVGTEITYGESMVPDDGWEQYLNHKWDRDVVLEETAKFPQLSFQSSTEQRPHKVSFFIQKGYAEEVMKSLSELLVNRGLDVKIIYSGGICLDILPLGAGKGEALAYLHKKFKADGKLPTNTLVCGDSGNDTELFSVPDVYGVVVSNAHEELLKWYAQNSKDNPKIIHATERCAAGIIQAIGHFGIGPNISPRDVMDSGCKIKSFNPGHEIVMFYLLYERWRRAEVENSDLTIHNMISIAHPSGILVHPSGVEHSILECIDTLVPCYGDKRGKQFRVWVDRVSSSQISSDSWLVKFDKWELSDEGRHCCLTTVLLNSKFCSLRLQKDLLW >Ma08_p26810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39252238:39259441:-1 gene:Ma08_g26810 transcript:Ma08_t26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(6-4)DNA photolyase [Source:Projected from Arabidopsis thaliana (AT3G15620) UniProtKB/Swiss-Prot;Acc:O48652] MESNAVVWFRKGLRIHDNPALDYACRGSSHIFPVFVLDPRYLHPDPSAFSLGSARAGLNRIRFLLDCLLDLDSSLRNLGSRLLVLKGHPEPASVICQILKDWNIRKLCYEFDTEPYGQARDRQVTDFASQLGIEVFSPVSHTLFDPAEVIKKNGGRPPLTYQSFVALAGKPPDPLAKTYSELPPVGNVGNVELLNIPTIEELGYKDIEQEEFSPFGGGESEALRRLKEVLKDKEWVANFEKPKGDPSAFWKPATTVLSPYLKFGCLSSRYFYHCLHSVYLTVGKHTSPPVSLVGQLLWREFFYTVAFGTPNFDQMRGNKICKQIPWKDDDKLLVAWREGRTGYPWIDAIMIQLKKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSAFFYQYHRIYSPISFGRKYDPDGKYIRHFLPVLKDMPNEYIYEPWTAPLSIQKKANCIIGKDYPRPVVVHDKANKECRKKMGEAYGKVGSVEEEDNVAASESTAKQKRKRRT >Ma03_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5848021:5855673:-1 gene:Ma03_g08120 transcript:Ma03_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEQKEEKPSPPIITLKESDGDRENTLSSRVISLLWGDLTGSALAFEKWVALVRKRSGKIRPSGFPHRHPKGETMPISCFVTIHHRSTEISNMQELSCDSSNIQICVQPPETSLWERLGSASVLDIESNDFSWDALMSLHHTEHTSSSEHSEDEMNKAMEVTVNSGGVVFFALFNSKTDGLTSNEAAAVIKIASSRMATQSERLGYEFARWLGVHTPQARVVHNSSPEWHQIKDATEKARDAAISARDEVGEITCSELLEALELSRCLFLMNYVHGSSLLESSNAFQTREAAAKAAAALGRVLMLDLILRNEDRLPCPQLGWRGNYANLLVADKVTSENMDTVCEASSATRSCGPQVTRFLQKEKRSNSANGRLHPHVKDPSCESSDSFSEFSNKLVKIENAEDYNNGDFYVVAIDSGVPRRPPAGKRAKDQERYPKLVELLLNNVDYSSNLLYEISGGRLGNPVSGEANSPNFSCSSLNDTDTAAIVNEFRGGFRAALRDLQSFHLFLLTLYQKLDGLLRVFLSIISKSSGDSDRDDMGTLDLPSHSAGFGCSTPSPACKQHIATELSNCIMQKATPKSSPPGSRGSPESVSPISRDNWNGRYFKGSEEPSRSLRLTMKLRDFHKLPKVDAELNKEIDEWNALLRADVVKFCQEKNFNTGFFEGSDNNIVVDAYELKVRLEHILERIALISDVASTERPSLVTNNLFIGGALAARSMYTLQYLGITHIMCLCSNEIGQSDSQYPDLFQYKNFSIGDEEDTDISDIFEEACDFIDRVEYSGGKVLVHCFEGKSRSATVVLAYLMLRKGYTLSEAWNILKKVHRRAQPNDGFAKVLLDLDRTIHGKTSMKWQHKRPVMKVCPICGKNAGLSTSSLKLHLQKSHKRISSGSVDSAMTMEIQKAVEALKINRGSSISPAQTQPQSIIGRF >Ma04_p39250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36436849:36442886:-1 gene:Ma04_g39250 transcript:Ma04_t39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTVAFLPPVFVPFVTAASSSVSLSLCCRPSASLRSPSGSPFEPSKPRLPIIVACTAAPFNEFEAKVRAQKQLCMVAEFSESTCLGVEETIHCDGALNVGKDECAEVVENTWIQFQPLVSVPWDSLACLLFWCMLLGHHLRGLEYLLELMQLFRESVKVETISQEGDNDARELQTTLIFSVCRRRLFDEPSMTLIRQG >Ma09_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1913381:1914358:-1 gene:Ma09_g02770 transcript:Ma09_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLFACFGRGRGRATSSSESPEANATVDQNVEEQRRMGPVLVELFSSQGCGTSPEAEAVAMQLGRGELVGDLPPVAVLGFHVEYWDYRGWKDPFGSSIWTVRQKAYVDALHLDTLYTPQVVVSGRAQCVGTDLDAISAALQSVPRFPSPTMQATFQKPAPDTLQVSFTGALRSKVVGRADVMVALYESGLVTECDKGENKGRVLTNNYVVRRLEKLVSVKGISPNKNLSASLQFTLWDGFNSATCGLLLFVQNASLQTFGVQQFQIPEDTL >Ma02_p07860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18365583:18369653:1 gene:Ma02_g07860 transcript:Ma02_t07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSDEATSGNDTDFSNRIELANNNLGADGSTSYPTRGQVLQACIITSGLLLALGATIRQAAHVASVEGWAVLDSSEVSFGFEMWHLELILGLVLLVSSSRYLLLKTWPDFSQSSEAANQQILGSLEPLDYILVAFLPGISEELLFRGALMPLFGLNWRSALAVAAIFGVLHLGSGRRYSFAIWATFVGFAYGAATLISSSIIVPMASHSLNNLVGGLLWRLTSINPKERDK >Ma02_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18365583:18369653:1 gene:Ma02_g07860 transcript:Ma02_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSDEATSGNDTDFSNRIELANNNLGADGSTSYPTRGQVLQACIITSGLLLALGATIRQAAHVASVEGWAVLDSSEVSFGFEMWHLELILGLVLLVSSSRYLLLKTWPDFSQSSEAANQQILGSLEPLDYILVAFLPGISEELLFRGALMPLFGLNWRSALAVAAIFGVLHLGSGRRYSFAIWATFVGFAYGAATLISSSIIVPMASHSLNNLVGGLLWRLTSINPKERDK >Ma08_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9157262:9158902:1 gene:Ma08_g12140 transcript:Ma08_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGEMSLAIIAVLCLSAMGTEAYLKVGFYSYSCPKAEEIVKEELDKALQEDKGIGSDLLRMHFHDCFVRGCDGSLLIDSTKDNAAEKDGKPNETVEDEGFEVIDKVKERLEAECKGTVSCADILAFLARDSVAHYGGVHYPVPAGRRDGRISRSNDTIDLPPPTFKLGNLTKLFVSKGLSRDDMVALSGAHTIGIAHCSAFSDRLYNFSQTVKADPSLGPNYAAQLRGQCPTGSDNEVDMDPPSPLTFDSSYYKNLLAHRGLFTSDQTLMSKHGTATLVKRFAKKPALFKKKFAAAMVKMGSIGVLTGEQGEIRTNCRVVN >Ma06_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19985447:19985690:1 gene:Ma06_g22920 transcript:Ma06_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRNGCWLNMKEIMRKLYRIIMKLRDQKLIPMIEVIYSIT >Ma06_p34930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35018390:35028598:1 gene:Ma06_g34930 transcript:Ma06_t34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAPLAVFALLLALISPSSAEIKALKITSDSRPLILLEEFGFAPSGGVFIALSAVSLSSSAASPDLFGFFLLSDASLLQSIHDSEQPHQNPAPVPSCALQSPYVRPLFTFARLSRAHSFNDTFLITHPDEYGLFFANCAPGAVVSMSVHTGMYNSRSDGTPDYLSVGQSAVPSLYTFFAVAYFAALAAWIYICFRNRLDAHRIHLLMAGLLLAKALDLLFAAEDLHYTRTTGTPHGWDVAFYVFKFVKGVLFFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANIASAVIGETGPFIQGWVTWNQVFLLIDIACCCAIIFPIIWSIRTLKETSKTDGKAARTLAKLSLFRQFYMAVIGYLYFTRIVVYALKTIASYKYRWVSVAAEETVSFAFYVVMFYMFRPKERNEYFALDDEEEQAAEAALREEEFEL >Ma04_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24346988:24349900:-1 gene:Ma04_g21920 transcript:Ma04_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAPPPSMAAHAGEADANMQILQELERLSRSLSKSHTSRRTTSLVLPRSSYTAPAADDGPRLVNEAARSDRRNHSSRHPSRFPWHLGWNPQHDLDGDDADGGRHRTPVKREHTLEPDPGEKRGIWSWKPMRALAHIAMHRLVCLFSVEVIAIQHLPTSMDGLRLSVAVRKKETKDGAVQTMPSRVLEGCADFEETLFVRCHLYCRGGAAAGKPLEFEARPFLISTVAVDVPQIDLGRSIVDLSLLVKESIQKNLEGQRIRQWDLAFPLSGKAKGGEMILKLAFQIMEDGGIGIYNQAEKIRSNNEKDSNFSVPRKQSKSSFSVALRGGQSTIPSNTSSVRKVEETKDFGLDHGPGLRPSIPPVVQKAKPYPREEDPNLPDFEVIDKGIEIHEEKVELEEVIPEDATEVSSVSSEVVKEVVHDRAQWSRLKELHVIAKEIKALELIMIDGGADLVKTTQEDKFQRLDTEEEIVTREFVRMLEFEDGKEPKYDGLDLVTSSDHGAKEVVKDEEEKILVPDLGKSLGSVVQTRDGGYLVSMNPFNVQVTRKETPKLAMQISREVIVEDEKQASELQLFQRLAAMGSEEMVSRLLSQTAMDELLGKTAEQIAFEGVASAIISGRNKEGASSSAARSITIVRKMAAATNKGRKERTLTGTWSVNDEPVTADEILALSLQRMEAMAVEALKVQADMADEEAEVAPSEEVSPVIGNDDAGNPLDSAISLEDWLITCSTSRHMTMLVVIQLRDPLRRNEAVGAPMIAVVQAAASDDDQPDEERRFKLVSLHVGGVKLSSNRKRSTWDGEKQRLTAMQWLVENGLGKAGRRAKQMQAKRGHDLIWSITSRLTKGAWLKPVRNPNVIFLSDSI >Ma04_p27800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28951501:28956830:-1 gene:Ma04_g27800 transcript:Ma04_t27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MAEAGGGPSSGGGATLKDQGNEFFKAGNYLKAAALYTQAIKLEPSNPTLYSNRAAAFLHLVKLNKALSDAETTISLNPQWEKGYFRKGCILEAMERYDDAISAFQVALQYNPQSSESSKFGTEEVWQDIFSFLADVMDSAIKAWHETGQVDPRVNFLLDKQKTQTDKYAPVVNIDKAFESPHTHSNCFSFLRQYAEDSFSSAACLVAPKSIMAYPQVWKGQGSRKWKLGQSNGFFVQFESPLLRKLWFIPSSTEKGRILCRNPEALDISAHEVLPRLFKESI >Ma04_p27800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28951501:28956830:-1 gene:Ma04_g27800 transcript:Ma04_t27800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MAEAGGGPSSGGGATLKDQGNEFFKAGNYLKAAALYTQAIKLEPSNPTLYSNRAAAFLHLVKLNKALSDAETTISLNPQWEKGYFRKGCILEAMERYDDAISAFQVALQYNPQSSEVSKKIKRITQLAREKKRALEVENIRSSFDMRNFLDPLKPEFSSKFGTEEVWQDIFSFLADVMDSAIKAWHETGQVDPRVNFLLDKQKTQTDKYAPVVNIDKAFESPHTHSNCFSFLRQYAEDSFSSAACLVAPKSIMAYPQVWKGQGSRKWKLGQSNGFFVQFESPLLRKLWFIPSSTEKGRILCRNPEALDISAHEVLPRLFKESI >Ma02_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25517258:25518269:-1 gene:Ma02_g19310 transcript:Ma02_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRSVAGYGAIPTAAPARAPGAPASRTVEMFSRAKERGRALVATRRPWRELADPSAFSRPYGYRDAMARVRRNLAYFRVNYALAALVVLFCSLLWHPISMIVFLAVFVAWFFLYFFRDEPVVLVGRTLDDRLVLAALSLITVVALVFTHVGLNVLVSLIIAAVLIGLHAAFRITDDQFLDEQEAADEGLLSFAGSSMGEAYGRA >Ma06_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15312480:15319345:-1 gene:Ma06_g21170 transcript:Ma06_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSNLTSEAHEEEKQCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEIDSQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSSQEQKDPYLLTELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAEELIARDLHGKEWKFRHIFRGQPKRHLLTTGWSIFVSAKRLVAGDSVLFIWNENNQLLLGIRHANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTVFYNPRASPSEFVIPLAKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWSNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPIGLPFFQGGKDDGIDLNSSLMWLRDGGIPGIQSMNSSSIAVTPWMQPSLDASMLGMQPDMYKTVVAGALQEMRTMNPIKQVSTAMLQFQQPNNTASRSNPILPSQTQSSFIQHHLQQGHSFSEQQEQPQVRQSHYQQVPNVPSTLSQLASSSQSQSTTLHMISPSFQLKDFPDSNGNSVSASTASPLNNILHQFSTEETSQHLSLPRYVQPINSGTTHPNISLQSVTLPPFPGRECSVNQSGNMDTQNQHLFSVSENSSLLVQNGIPSLSAAVSENDSMNMTYAASRFLSSSGNDFTINQALSGSNGMDESGSGFLRYPENVDQVNPQSGTFVKVYRSGSFGRSLDISRFSSYHELRSELGHLFGLEGQLEDPQRSGWQLVFVDRENDVLLVGDDPWQEFVNNVSCIKILSPQEVQQMGQQGVDFASLPPIEKLQIKAWIPLWYGSPPSDECLVEGGGTLPLDLEVGRMWPPLIPAH >Ma05_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19155200:19155609:-1 gene:Ma05_g16970 transcript:Ma05_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHGHGSWSSLPAKAGESLRFRGKGRRRGSAQRWLDYPRPGLKHGTFSPPEVDTVAKLHSVSILITFKFARIISVDLEL >Ma04_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9395091:9397319:-1 gene:Ma04_g12450 transcript:Ma04_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRNQNPQFCPILRIDSKVRVGDMSRVFGGCRVLMVAAKAEAASPAAEKMQSGFLKPHPISPAMQKFVGASEISRNVAIKKIWEHIKLNQLQDTATKSEIHCDDKLKSIFDGRDKVRMLEISGLIASHFPKRK >Ma04_p30100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30845496:30846720:-1 gene:Ma04_g30100 transcript:Ma04_t30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREKPAAGDEGGGKETHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTYDTAEEAARAYDAAARQFRGSKARTNFPRPDACPCPGPASVIVAPSAEAGGGGGGSPSSQSSTVESSSREAAVPPLAIPLPPSLDLDILRRSGISGCARFPFQPYPAVATPAMQPGHRYFLFDTIVRSEKAAAAAAISRHHLLTLYPPTIVADLNSAIASGVQSDSDSSMVNLHRNHRSSPPHRMLPLDLDLNLPPPPEIT >Ma06_p31790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32821778:32822081:-1 gene:Ma06_g31790 transcript:Ma06_t31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISERERERERERVSCDCLIKDCSGKVEEHWRSGKVISQD >Ma05_p30390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40811157:40814100:-1 gene:Ma05_g30390 transcript:Ma05_t30390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGGPENRSCRYRGVRQRTWGKWVAEIREPNRGNRLWLGTFPTAVQAALAYDDAARAMYGTSARINLPGVVRRDSSESTTTLHHSDAIGASVSSADQIKFLITKLKDEAHSDRMNVSGSRESIIEFPTVELEDEGGRNEEPSFVADACNVELYQSDAPEGEFSIEDMLRIMGADTDNSDADHFGAVGRETNWQCTDPVDMSLDVRHTDAPTVWDIEQNPFDYSDTLLRSLGEDWEYGPGETPNTVDFGISCADLLSSPERWYKS >Ma05_p30390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40811157:40814100:-1 gene:Ma05_g30390 transcript:Ma05_t30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMMEPNRTRKVRRGRNGSHSVAETIARWREHNRQLQCSIDDEKRIRKPPAKGSKKGCMRGKGGPENRSCRYRGVRQRTWGKWVAEIREPNRGNRLWLGTFPTAVQAALAYDDAARAMYGTSARINLPGVVRRDSSESTTTLHHSDAIGASVSSADQIKFLITKLKDEAHSDRMNVSGSRESIIEFPTVELEDEGGRNEEPSFVADACNVELYQSDAPEGEFSIEDMLRIMGADTDNSDADHFGAVGRETNWQCTDPVDMSLDVRHTDAPTVWDIEQNPFDYSDTLLRSLGEDWEYGPGETPNTVDFGISCADLLSSPERWYKS >Ma06_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13040769:13050558:1 gene:Ma06_g19060 transcript:Ma06_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAACAERATSDMLIGPDWAINIELCDIINMDPGQAKDALKVLKKRLGSKNPKIQLLALFVLETLSKNCGDNIHHQIVERDILHEMVKIVKKKPDLNVREKILILIDAWQDAFGRAGGKHPQYYAAYQELRAAGVEFPPRTENTVPLFTPPQTHPIEYQPATSAYEDAALEASLQSDVSALSLQDIQSARGIVDVLSEILNALDPNNREGLKQEIIVDLVEQCHSYKKRVMHLVNNTGDEELLCQGLALNDDLQRILQRHDDILKGTAPSGGAPLASAAPIVNNVNHEDDELEDDLSHLSLRTSRDTATGQSRKASNVKNPSPFLPPPPPSRPISTEANTVDYLSGDVFRSEQPLDAPLGAPLPPTLAPRSLGSSESAPTLKSSGPPRYDEPIQAAKSGEEQPPKAPWELPQPSGVIPPPPSKYGQRQQFFEQQKHGYSDGNSLSPYDGFLAEDQQDFNYLRQHDQQHLGGLDSSPSAQQAKPEDMLFKDLVDFAKAKSSPTSKLPSSRRTR >Ma05_p31250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41250685:41252093:-1 gene:Ma05_g31250 transcript:Ma05_t31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSSTAAAAASGGGGGGIHGDGSAANVALVAGATGLVGRELVTALLLSACSREWKLVYGVARCPPETDDDGDRHGDRYRFVACNMLDRDETMAKLGPLGDRVTHVFWVTWASQFPLNSQECCDQNRAMISNALDALLLPSCGGAFRHFALQTGTKHYTPLEAGGSGSGCFDEDSPRAVGGDVRNFYYVQEDLLEERLRGRRISWSVHRPGLLLGASRRSHFNLVGSLCVYASICRHLGLPFLFYGNRLCWEEPSLDASDARLVAQQHIWWATSSPEGRQGEAFNAINGTAFTWKEVWPLLAAKFGMLPPAVAGTGTSGGDVDAMLEDLVSERATYVEVMGDKGGAWEEIVEKEGLRSTRMEDLANWGFLDALFGLPFKILASNAKARRLGFTAIYRTPESLLYWVDRMREDRLIPSW >Ma10_p29200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35850050:35859227:-1 gene:Ma10_g29200 transcript:Ma10_t29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGCATNVLGSRSSMTGWLLGGLLLALSLLSVRLGRLPPPFSWIRRRRKKPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDDEITANKGPPVTPLHERMIMVGAVKWVDEVIPNAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSLGGSHNHSSLQRQFSHGHNQKVDDVGSGSGTRVSHFLPTSRRIVQFSNGKGPGPDARIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISATRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTIAENMDFLKKESNPYAVPMAMAIYKKLESPLDITTSTIIKRIVSNNEAYQKRNEKKEASERRYYENKSFVSGD >Ma09_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6270257:6275403:-1 gene:Ma09_g09540 transcript:Ma09_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKTLLFLFLLHREVADACGGTDGFTFNGFRCRNIDPDGIASITSNGLLVVTNNTFESRGHAFYPIPLRFKESPNGTVFSFSTTFVFAFISELPDLSGDGMAFLVSPTKDFSRAIGNQYLGIFNSSNLGNSTNHVLAIELDTIRNPEFQDIDDNHVGININDMKSDESQTAGYCLNDTGSFQNLSLSSGQTMQVWVDYDSHEMLLNVTLASFPMAKPHRPLLSAVVNLTSVLLETMYVGFSASAGPFLTSHYVLGWSFKMNGVAQALNSSLLPSLPRAKSNHKFKVSRIGLPMASATLVLTVVGIVVFILRRRTKYSELLDDWELEYGPHRFSYKDLFKAAKGFRDTELLGRGGFGRVYKGVLRSSRSEVAIKRVSHGSRQGMREFIAEIVSLGRLRHRNLVQLLGYCRRQGELLLVYDYMPNGSLEKFLHDQAMPTLDWATRFRIIKGVASGLLYRHEDWEQVVIHRDIKASNVLLDNELIGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPELARTGKATTITDVFAFGVFLLEVACGRTPVDPTADEEKLILSDWVLKNWQKGSILETTDPRLEEEYDVEEVELVLQLGLLCSHPLPTERPSMRQVVRYLEGHAPLPELSPTYLSFSAFVRLRNDGVDDRFMSNTSPEATASVLSGDSSFDDNSEDFEDSRERLYTCSSNESDVAGTRFCTTDMAAMFLKTLVGLLLLFFHKKLAASGSESHEFIFNGFRGANLTLDGVASITSSGLLRITNATTQVKGHAFRPSPLRFRDQTTGKIFSFSTTFVFGFIPELANLSGHGIVFLISPTKDFSRAFGSRFLGLFNPSNNGNSSDHILGIELDTIYDPEFQDIDDNHVGIDINSLTSNSSHTAGYYADDSGLFKALSLINGEALQVWIDYDAQEMLLNVTLASIQMTKPQKPLLSAIIDLSSVLTGPMYVGFSSSTGSLLTSHYILGWSFKMNGVAQALDYSLLPSLPRVRPKRGSKALTISLPLASAGLVLIVVGVVAFIVRWRIKYAEVREDWELEYGPHRFSYKDLYEATKGFEDEDLLGIGGFGKVYKGVLQTSKSEIAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKFLYGQDKPTLDWATRFRIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDHELNGRLSDFGLAKLYDRGTDPQTTGIAGTMGYLAPELPRTGKATTMTDLFAFGVFILEVACGRRPVDSMADEQHLVLLDWVVDNWRKGSILETRDPRLGEELVVEEVELVLNLGLLCSHPLPAARPSMRQVVRYLEGHAPLPELSPTYLSFGGLALLRNDGFDEYCMSYPSSVATASIISGGR >Ma04_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26758191:26759766:1 gene:Ma04_g24860 transcript:Ma04_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSRAPPHVAVMPSPGMGHLIPLSELAKLLVLRHGFTATFIVFSDFSDNAQTAFLDSLPSGFSYVVLPPLPLDDVPPDAHVETRLSIMVERSVPHVRDVLRSLRRTTRLAAYVIDLFCVETLPVAKELGVPHYLLFTTSFMVLSLLLHLPALDQATSCEYRDLPEPVVLPGCVPLRGEDLVHPMQDRTDDAYRCMLNIARRIREVDGILVNSFVDLEPAAYAALKEAEPEVGRPNVHAIGPVIHRGSHGGAKGKECLRWLDEQPPGSVLFVSFGSRGTLSTEQIQELAWGLEASGRQFLWVVRCPSDRVASGAFFHLQGPDDPLRYLPEGFLERTRGAGLLVPLWAPQVEVLAHAATGGFLTHCGWNSLLESFVHGVPMIAWPLYAEQRTNAVMIADGLGVALRPKTAADGSGLVRREEVAEVARELMEREAGRKAREKAGELKQAAAQAVAGRDGSSCKALAEVAQYWKDNAATGDV >Ma05_p30270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40733242:40736774:-1 gene:Ma05_g30270 transcript:Ma05_t30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELLETFEAAKKAAEAAEDGRGSSEVDRCVDALRRLKKMPVTTKDLVATQVGKRLRFLTKHSQDKIRTVATDLLQFWKNVVIQETSKDNKKLDTPLERILLKAERKTEQAVKIKSQKISKAGSLEGNIVSKPKSVEVEKLNFDQEIQHGKVSRTESVRIEKTANSSKFEKINGGEKQNLEGVSKDENQEIFSRKPPSTVTASLKLASIVKCNNPVRDRLRELLAEAFSRVSKETAESETEEVRNIQDEVDACDPIHIAVALESVLFEKLGTSIGAHKHKYRSIMFNLKDNNNKDFRRRVLLGHVKPEEIVNMMAEDMASDERKRANKQIKEKALFECERGAAPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTFVTCVNCNNHWKFC >Ma07_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32015803:32016678:-1 gene:Ma07_g24760 transcript:Ma07_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRATSKRSKEPPAESKAPRHEEEAAPPPPPASSSQQAITQALASTAHLANLLPTGTLLAFQLLTPVFTNNGSCDTATRLMTQALLLLLAASCFLACFTDSIRSAADGRVYYGFATRRGMWLFNYDYVASPSAALPDLSKYRVRAVDWVHAVLSVLVFASVALRDRNAVSCLYPRPSRETEEALSVLPLGVGVISSLLFVVFPTRRHGIGYPVTNGS >Ma05_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20077709:20089428:1 gene:Ma05_g17380 transcript:Ma05_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFIGGISWETTEEKLKDHFGDYGEVLDAIIMRDKATGRPRGFGFVVFADPSVLDRVLQDKHSIDGRTVEAKRALSREEQQTSARSGNPVVGRSVGTTAGANIRTKKIFVGGLPPTLTEDGFREYFESYGPVTDAVVMYDQNTHRPRGFGFISFDSEDTVDKVLQKSFHDLDGKLVEVKRALPKDANPNTGSGRSMGSGPYQPYGGSSGNTSSYDGTPDANRYAQPQAAGGGYPTYGSSGYGTPGYGYGAANNGVGYAGYGVGGYGNATVGYSGPTGAYPNPNVPAAGYVGGNPGAQRNLWSNQAPTGYGSAGYGGGTTYGPASSWNASASSVGSGAAPTGQGVTPGYVSQGYGYGTYGGSEGPYGNQGGYGSSGGRPVSNPSGNSGEQGVGSAYMAGGYGDANAGAGYPNSWRSDPSPYGVTQANGPPGGPVSYGGGYGGSQGRQAQQQ >Ma10_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27229137:27238191:1 gene:Ma10_g14970 transcript:Ma10_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVERATSSMLIGPDWAMNVEICDILNRDPGQAKDVVRALKKRIGHKSPKVQLLALSLLETMIKNCGEIVHMHVAEKDMLHKMVKIVKKKQPDSHVKEKILTLMDTWQEAFGGPQARYPQYHAAYQELLRAGAVFPQRSERSAPVFTPQTRPLESYPPSVRSPDYQNEAPESSIASDFPALSLTEIQNASGLVDVLAEMLNAVDPGNREGLKEEVIVDLVSQCRTYKQRVVDLVNTTSDEELLSQGLALNDNLQKVLAKHDAIAAGIAVHVKKEKFLQALVDVDDSSTSKEQDQRLSATSTSSTDQPPLQQLLLPAPPVSDGSAKSSTIIHANMDLLSGEDFNKPSKENLLALVPVGERNTNSASDQNMLALADMFYPDNANSNSRGPANAFDADSTFATQQTYATGTNPQLPQQTQQLARFNDGGSPSSGAPQFGQASHDTAVHLNQASTAWNGQLASTYNPQQQALSYGPNDQDGALPPPPWEAEAVQHESPGFQPQPHQTGQLSGMHSFPAQTSQHADMPPHPMAGGQLGGMHSQHILGAQPTGLQPQLMQSNQFVGMHPQMQNSHMPSTFPQHMLGGHVVGVGQQAMQGVQLTAYGYGQQPGAQFYDPARPAYPYSTPNELAQRMYGLSMQDNSTYASQSSSYQMPTSSSSYLQQPNKVPKPEDKLFGDLVNIAKTKQNKPTAG >Ma11_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26001103:26003487:1 gene:Ma11_g22110 transcript:Ma11_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLKASAALALLSASSEASQPPSPAVRPSLSSSFAPFRSPRRMNRRVSTAVAAGSNHVITGVVFQPFEEIKSGVSLVPVAPDLSIARQKYADECEAAINVQINVEYTNSYIYHALFAYFDRDNVALKGFAKFFKESSQEERDHAEKLMEYQNKRGGRVNLQSIATPLSEFNHAEKGDALFAMELALCLEKLTNEKLLGLHDVAEKCNDAQMADFIESEFLEEQVEAIKKISEYVAQLRRVGKGHGVWHFDRMLLDEGKLHQA >Ma09_p15620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10942092:10947139:-1 gene:Ma09_g15620 transcript:Ma09_t15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MPPSSEGSDLADRELYSIPSSASWFRWDDIDDTERRCLPEFFDGSSTSRNPRVYKEYRDFIISKYREDPTKCITFTEIRKSMIGDVGYLHKVFLFLEKWGLINFGVKVPKISVEDAGPKVVVEEGPPADVQVVPACIPQRKLVGMASSVGGENGFKLPPLTSYSDVFGDWLPKRGLVCGICGDQSAAGGYESLQDGVMVCSKCSKNNSQADGRTTEDSNHQVDDDAKAEGSANNVISAWTDAETLLLLEAVLKHGDDWALIAQHVRTKSKLDCIARLIQLPFGEHILGSINGTCANSNLITQATDVKKQSLINEPPQELIKTDLHIQTDEKDLVEEPMAERPLKRRCLPSFVHVADSLIKQVAGFSTVAGAQIATAAADAAIVALCSENISARKHFSINKYGENDLLYTNNDHNSGLKIEDQDMELHKQTVVSEKSLSATAFQVRAAIATALGAVAARAKLLADQEEREIELLMASIIEVQLRKIQCKIKHFEELESMMEHEYTLLQKVKESTLEEWVTVLQQAFQAGIPRWSDDELPKPFTTM >Ma09_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10942092:10947163:-1 gene:Ma09_g15620 transcript:Ma09_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MPPSSEGSDLADRELYSIPSSASWFRWDDIDDTERRCLPEFFDGSSTSRNPRVYKEYRDFIISKYREDPTKCITFTEIRKSMIGDVGYLHKVFLFLEKWGLINFGVKVPKISVEDAGPKVVVEEGPPADVQVVPACIPQRKLVGMASSVGGENGFKLPPLTSYSDVFGDWLPKRGLVCGICGDQSAAGGYESLQDGVMVCSKCSKNNSQADGRTTEDSNHQVDDDAKAEGSANNVISAWTDAETLLLLEAVLKHGDDWALIAQHVRTKSKLDCIARLIQLPFGEHILGSINGTCANSNLITQATDVKKQSLINEPPQELIKTDLHIQTDEKDLVEEPMAERPLKRRCLPSFVHVADSLIKQVAGFSTVAGAQIATAAADAAIVALCSENISARKHFSINKYGENDLLYTNNDHNSHFSGLKIEDQDMELHKQTVVSEKSLSATAFQVRAAIATALGAVAARAKLLADQEEREIELLMASIIEVQLRKIQCKIKHFEELESMMEHEYTLLQKVKESTLEEWVTVLQQAFQAGIPRWSDDELPKPFTTM >Ma02_p12230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21039535:21044807:1 gene:Ma02_g12230 transcript:Ma02_t12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MASGRDDADRPAESQTSSKNPYKDPDDGRQRFLLELEFVQCLSNPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKFIMYPHCLFFLELLQNANFRNAMAHPGSKELAHRQQYYFWKNYRNNRLKHILPRSLPEPPSVPASAPAPAPLPAGAPIPNAAPPPLPSMPPMTSAASALSPLQFVGQPGSAIPKSDIRNTMGDRRKRK >Ma02_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21039535:21044533:1 gene:Ma02_g12230 transcript:Ma02_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOH1 family protein [Source:Projected from Arabidopsis thaliana (AT5G19910) TAIR;Acc:AT5G19910] MASGRDDADRPAESQTSSKNPYKDPDDGRQRFLLELEFVQCLSNPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKFIMYPHCLFFLELLQNANFRNAMAHPGSKELAHRQQYYFWKNYRNNRLKHILPRSLPEPPSVPASAPAPAPLPAGAPIPNAAPPPLPSMPPMTSAASALSPLQFVGQPGSAIPKSDIRNTMGDRRKRKKED >Ma01_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10016098:10017609:-1 gene:Ma01_g13690 transcript:Ma01_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFFETLGVDMELSDMSFSVSLDEGKGCEWGSRNGLSGLFAQKTNALNPSFWRMIREIVKFKGDVLMYLEEHENNPDMDRSETLEHFIKSHGYSELFMRAYLIPICACIWSCPSEGVLHFSAYSVLSFCRNHHLLQ >Ma04_p08450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6037242:6049043:1 gene:Ma04_g08450 transcript:Ma04_t08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEAAVVGAPPKRSPPLRSSPPPAAGRSSLHRARSRLARFLFSEKVGYVQWVLTVAAFLLVIALFQAFLPGSAVERPGGGRDAGGGGLAQIQGLDFGEGIRFVPTKLLARWERESREANSSASGAFGGRPPRRFGLRKPLLALVFPDLLPDAMQLQMVSIASVLKEIGYDVEVFSFEDGPVHSVWQTIGVSVSILPITTKREITIDWLDYNGILVSSLDSRPLISCLSQEPFKNVPVIWTIHERSLALHLNKYAANGQVQLLSDWKQAFSRATVVVFPMYIMPMMYSEFDVDNFLVIPGSPAEAWESDSSAKQKHHNLKENMGYGPEDFVIAIVSSQFSYSGMLIDHALILEALTPLLQQLPYVNTSFSSLKIGILSPNLTAASRTALEAIAQKVGFPNSIVENIIVDQDMNNFMDKADIVIYGSFLEEQFFPNILMQALSLGKLVVAPDLVMISKYVVNGMNAYLFSKEKVDTLSKILLEVVSNGKLSLSAQQVASDGKRHARNLMATETIQGYVSLLEKVVKFPSEIAPPKPIEEIPVKLREEWQWDLFLNIRGMDNLNGSFKRYKMLDKVEEQFNQRNSGNTSANFDEAFSSIAWEEEKIIEMMNAKRRIEEEELKDRSDQPHGTWEEVYRSSKRADRARNELHERDERELERTGQLLCVYEPYFGEGAWPFLHQTSLYRGVGLSSKGRRPGADDIDASSRLPLLSNSYYRDVLGEYGAFFALANRIDRVHKNAWIGFQSWRASARKNSLSKEAEAKLLEAIQKRRHGDALYFWIRLDKDPRNPQHLDFWDFCDAINAGNCRFAVAEVLRRMYNIQLDWNSLPLMPKDGDSWSVMHSWVLPTRSFLEFVMFSRMFVDEMDALAYDDHHMSGRCFLSMSKDRQCYSRLLELLANVWAYHSARRMVYVNPESGAMQEQHRLENRRGQMWIRWFSYATLKGMDEDRAEEADSDRPDRRWLWPQTGEVVWRGVYERERNMRQQQKERRKQQSKDKIRRIKKRARQKTLGKYIKPPPDGADNVNTTRRR >Ma04_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6037242:6049043:1 gene:Ma04_g08450 transcript:Ma04_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEAAVVGAPPKRSPPLRSSPPPAAGRSSLHRARSRLARFLFSEKVGYVQWVLTVAAFLLVIALFQAFLPGSAVERPGGGRDAGGGGLAQIQGLDFGEGIRFVPTKLLARWERESREANSSASGAFGGRPPRRFGLRKPLLALVVFPDLLPDAMQLQMVSIASVLKEIGYDVEVFSFEDGPVHSVWQTIGVSVSILPITTKREITIDWLDYNGILVSSLDSRPLISCLSQEPFKNVPVIWTIHERSLALHLNKYAANGQVQLLSDWKQAFSRATVVVFPMYIMPMMYSEFDVDNFLVIPGSPAEAWESDSSAKQKHHNLKENMGYGPEDFVIAIVSSQFSYSGMLIDHALILEALTPLLQQLPYVNTSFSSLKIGILSPNLTAASRTALEAIAQKVGFPNSIVENIIVDQDMNNFMDKADIVIYGSFLEEQFFPNILMQALSLGKLVVAPDLVMISKYVVNGMNAYLFSKEKVDTLSKILLEVVSNGKLSLSAQQVASDGKRHARNLMATETIQGYVSLLEKVVKFPSEIAPPKPIEEIPVKLREEWQWDLFLNIRGMDNLNGSFKRYKMLDKVEEQFNQRNSGNTSANFDEAFSSIAWEEEKIIEMMNAKRRIEEEELKDRSDQPHGTWEEVYRSSKRADRARNELHERDERELERTGQLLCVYEPYFGEGAWPFLHQTSLYRGVGLSSKGRRPGADDIDASSRLPLLSNSYYRDVLGEYGAFFALANRIDRVHKNAWIGFQSWRASARKNSLSKEAEAKLLEAIQKRRHGDALYFWIRLDKDPRNPQHLDFWDFCDAINAGNCRFAVAEVLRRMYNIQLDWNSLPLMPKDGDSWSVMHSWVLPTRSFLEFVMFSRMFVDEMDALAYDDHHMSGRCFLSMSKDRQCYSRLLELLANVWAYHSARRMVYVNPESGAMQEQHRLENRRGQMWIRWFSYATLKGMDEDRAEEADSDRPDRRWLWPQTGEVVWRGVYERERNMRQQQKERRKQQSKDKIRRIKKRARQKTLGKYIKPPPDGADNVNTTRRR >Ma04_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3971793:3974828:1 gene:Ma04_g05320 transcript:Ma04_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDPIEDFWIDGGGSDSELQYALDGFRDMVPTVGVGKEEPYRDLRGLEQNSSRKRARNEPRASKSKACREKIRRDRQNKRFIELSSILDPGRPPRADKASILGDATRVLMRLRAEAQELKESNKKLHETIKDMKVEKNELREEKMRLKADKDRLEQQIKVLSVPPVGFLPHPIAFHPAAAPAAFVPQVQASADKTAPFSGFPGMAMWQWLPPAVMDTTQDPKLWPPNA >Ma07_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31897299:31900021:-1 gene:Ma07_g24580 transcript:Ma07_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVGNPNDSKVETIARLAQWRIESFGPCSYRRSDAFRLGIWNWYLSVEKNRYMHIRLFPEPCRVSKEQLPVARFVVRVFSPCLGHRSYVSPVYEKLLRTSEDFVWVTDFNSPGCFIVDVEFLDLKIAPLHGGDPSSIWSNGRMPQTLSSKNTIRCLSRMLEEGIHADVTIKTSNGLLKAHKAVLAASSPVFESMFLHNLREKESSMIVVEDMSLEACLALLCYMYGTIVQDQFWKHRLALLGAADKYDIGDLKDCCEESLSEDISSDNVLERLHEGVDVGDVPRSPYCLEACMTMDLLF >Ma07_p24580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31897324:31900021:-1 gene:Ma07_g24580 transcript:Ma07_t24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVGNPNDSKVETIARLAQWRIESFGPCSYRRSDAFRLGIWNWYLSVEKNRYMHIRLFPEPCRVSKEQLPVARFVVRVFSPCLGHRSYVSPVYEKLLRTSEDFVWVTDFNSPGCFIVDVEFLDLKIAPLHGGDPSSIWSNGRMPQTLSSKNTIRCLSRMLEEGIHADVTIKTSNGLLKAHKAVLAASSPVFESMFLHNLREKESSMIVVEDMSLEACLALLCYMYGTIVQDQFWKHRLALLGAADKYDIGDLKDCCEESLSEDISSDNVLERLHEAWLYQLNKLKKGCLTYLFDFGKIYDVKDELNAFFRHADRELMLEMFQEALTAWKPA >Ma03_p27930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31155119:31162809:-1 gene:Ma03_g27930 transcript:Ma03_t27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNEMIALKKIRLEREDLGVPGTAIREVSLLKEMKHDNIVRLHDVVHSEKSIYLVFEYMDMDLKKHMDSHPEFFKDTCLIKSFLCQILRGIAYCHSHRVLHRDLKPQNLLIEKRTNTLKLADFGLARAFGIPIRTFTQEVVTLWYRAPEILLGCHQYSTPIDVWSVGCIFAEMVNQKPLFPGESEIDQLRTIFRVLGTPNEETWPGVTSFPDFKSSFTIWRRRDLATVVPTLEPAGIDLLSKMLCWEPGKRIHAREALQHEYLKDLGAVP >Ma03_p27930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31155119:31162813:-1 gene:Ma03_g27930 transcript:Ma03_t27930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNEMIALKKIRLEREDLGVPGTAIREVSLLKEMKHDNIVRLHDVVHSEKSIYLVFEYMDMDLKKHMDSHPEFFKDTCLIKSFLCQILRGIAYCHSHRVLHRDLKPQNLLIEKRTNTLKLADFGLARAFGIPIRTFTQEVVTLWYRAPEILLGCHQYSTPIDVWSVGCIFAEMVNQKPLFPGESEIDQLRTIFRVLGTPNEETWPGVTSFPDFKSSFTIWRRRDLATVVPTLEPAGIDLLSKMLCWEPGKRIHAREALQHEYLKDLGAVP >Ma03_p27930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31155119:31162809:-1 gene:Ma03_g27930 transcript:Ma03_t27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNEMIALKKIRLEREDLGVPGTAIREVSLLKEMKHDNIVRLHDVVHSEKSIYLVFEYMDMDLKKHMDSHPEFFKDTCLIKSFLCQILRDFGLARAFGIPIRTFTQEVVTLWYRAPEILLGCHQYSTPIDVWSVGCIFAEMVNQKPLFPGESEIDQLRTIFRVLGTPNEETWPGVTSFPDFKSSFTIWRRRDLATVVPTLEPAGIDLLSKMLCWEPGKRIHAREALQHEYLKDLGAVP >Ma08_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8464509:8466612:-1 gene:Ma08_g11460 transcript:Ma08_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVEEVTSMHLILLCLMLPLTLLLIVNIAARRRRRLPLPPGPTPLPIIGNMLLMNQLTHRGLARLAKLYGGLLHLRLGFVHHFVVSTPDVARQVLQVQDSVFSDRPATTAIVYLTYNRSDLAFAQCGPYWRQMRKLCVTKLFSRKHAESWLSIPEEVDAAVCTVAKHAGSAFNVRDLAFTLTKNIVFRSAFGKRSDENQEEYIAVVQEIATLLGAFSVGDFIPWLSWMDPQGINKRLRVARATLDLFIDRIIDEHMATDAANADMVGVMLAFLEESSHHHRQEEGDDLKGTLRLSRANIRAVMMDVMFGGTETVAIAIEWALADLLTSPDDLKRVQEELAMVVGLDRKVHESHLDKLSFLKCAIKETLRLHPPFPLLLHQTADHCEVAGYSIPARSPVMINVWAIGRDESAWKDADAYRPSRFAPGGDAAALDFKGNCFEFLPFGSGRRSCPGMQLGMHELELAVAQLLHCFTWALPDGMKPTELDMGDVFGLSAPKAVPLVAVPTPRLSCPLN >Ma02_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26199916:26204507:-1 gene:Ma02_g20160 transcript:Ma02_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding IILFLRLARGINSSSTVIFSFAICTTNGDHALSLENPSSLKVAQVFTGVGIGAGRPIYVGVIPAVQKVLTAARGATDAFSGVGRHVNCSLKKLGFKNIEVGFRCGVGLGHGFGVGPGQSSTKVLSGTPVENAQLSSENVVDLKSGSTGSSYGYSMNDERPAEEARHSPNASKETPLEKSVASRTEKVINSFLQDPLFKDTEVKLVEVAGNLLTENDILQMLLKHQLAIEELIDENLKLRQVLVEDFKVSPCRLQTKDVSRTEVYYPCSDCFKCRRRRRKAAR >Ma11_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4733888:4743700:1 gene:Ma11_g05950 transcript:Ma11_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MGRVLADDMAPSIDHETLHVAMEEEKKKNKKKNKEFENGEALTLTPDSTENGGEEHMNGTKKKKNKKKKKRKIVEAELDSSSKNSGTLGNDSEKTKKKKKREGEQGAISKEEPALDSRRERKQVEEGGTQGEGPEAGGGGGVIVSGNNTSDPKYKALSSFAESGLPAKVLDCCKDFSKPSPIQSHAWPFLLEGRDFIGIAATGSGKTLAFGVPALMHILKIKSEKTSKKTVPRCLVLSPTRELAQQIEDVLSDAGTLPGIKSVCLYGGTSKGPQISSLKSGVDIVVGTPGRMKDLIEMGVCQLKDVSFVVLDEADRMLDMGFEPEVRSILNQTSSVRQMVMFSATWPLAVDQLAREFMDPNPVKVVVGSEDLSANHDVMQIVEVLEDRQRDSRLVTLLEKYHQSQRNRVLVFVLYKKEAARVENMLQRRGWKAVSVHGDKAQHDRTKALCLFKEGKCPLMIATDVASRGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTKENKGLAGELVNVLREAGQTVPTALTNFGTHVKKKESKLYGSHFKEITVDAPKSTKITFTNSDDED >Ma01_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10072161:10076275:1 gene:Ma01_g13750 transcript:Ma01_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVTVAWQRYLQLLQAHPLRTKAITSGVLAGCSDAIAQYISGIRKLQLRRLLLIALYGFLHSGPFGHFFHKLMEKIFEGKKGKETVAKKVLLEQLTASPWNNILFMAYYGLVVEGRSFSLVRRKIRNDYPSVQLTAWKFWPVVGWINYQYMPLQLRVLFQSFVASCWAVFLNLKAAAKRE >Ma09_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9487590:9492347:-1 gene:Ma09_g13960 transcript:Ma09_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAAPAPKPDELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHFLVMLGTTVIIPTALVPQMGGGNDEKARVVQTLLFVAGINTLFQTLFGTRLPAVIGGSYTFVVPTISIILAGRYSDIVDPHEKFLRIMRGTQGALIVASTLQIIIGFSGLWRNVTRFLSPLAAVPLVALAGFGLYELGFPGVAKCIEIGLPQIILLVVFSQYIPHALRSEKPVFDRFAVIFSVTIVWLYAYFLTVGGAYKHSPPKTQLHCRTDRSGLVGGSPWIRVPYPFQWGAPTFDAGEAFAMMAASFVSLVESTGTFIAVTRYASATPLPPSVLSRGIGWQGIGILLDGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGLSVFMGLSVPQYFNEYTSVAGYGPVHTKARWFNDIINVIFSSKPFVAGLVAFFLDNTLHRHNEATRKDRGYHWWKKFRNFKADMRSEEFYSLPFNLNKFFPSV >Ma03_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21132923:21138053:-1 gene:Ma03_g16850 transcript:Ma03_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGGFHRSEAISAVQDEEQFYGEDDEYDDLYSDVNVGEGFHQTFHGGDDARGFQDRDERLSDHPPPPPQDPPQQSVVEPLERVQIPGIAGEPKIERPADRSGGFPDPGIRPGGESVDGARQPALLPPPRLPVASGTGRTDLGQSSSRSVQIQGHNENTSYVNEGLQRPGGGFGNEGFQRQGGGGGVVVLAGNSNGVADAGGSGGAGVGGTTLFVGELHWWTTDAELEAELCKYGQVKEVKFFDERASGKSKGYCQVDFYDPMAAAACKEGMNGYIFNGRPCVVALASPHTVRRMGENQVNKNQQASAQSQPPALAQKGRGGGGPSVGGRGGGGGGGNWGRGGGMGNRGPMGNMRNRIGPVGGRGIMGNGGIVAPPPPVLHPGAMLGQGFDPTGYGAAMGRMGAGFGGFPAGAAGAPFPGMMPSFPPVVAPHVNPAFFGRGLAPGGIGMWSDPNMGGWGGEEQSSYGEDATSDQQYGEGSHGKDRMAERDRYSAPDRKPEREKDMGSGQDWPERRYRDERERDTGRDKEMGPERDREWERERERDRERDREREHEVDRERNRYRDDRDRRSDHYRHRDREPDRDDDRDRGRSSRPRSKSREVEHSKRRRPSSE >Ma10_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1954630:1956648:1 gene:Ma10_g00650 transcript:Ma10_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLLALSHLERLDLSFNDFSGIRIPEFIGSFKKLRYLNLSSTNFMGGIPARLGNLSSLYVLDLSDALHFTSHVDNLDWLSHLTSLKHLDLSGLNLTDVPDWFSSVNMLPSLQVLSMSSVGLDTIPASVVHVNFTSSLTVLDLASNNFNSTLPKWLGNITSLTQLDLHHSGFYGVIPDTIGDLGSLTFLDLGGNQLEGIVPRSMVDLRRLKELHMPSNQLTGNLSGWLEQMTNLIILDLRSNLFNGSMPSSSVGKLSNLTELYLGGNSLGGIISEVHFENLTRLQVLDLSGNPITISIGQSWVPPFQLRYVDLTKCQLGPQFPEWLQFQTQIQVLSMDYCKIAGTMPAWFWNISSSTITALDLSNNQIGGKLPSSLKFTKLERLYLKSNRFEGPLPTMLPSTVQTLYLSNNSFTGQLPIWPHVTFVFISDNMLDGGLSSSICQWTGGLVYLDLSKNKLLGQIPYYCLGKSLQNLYYLDLGNNHFSGEIPHTIGFLSELQLLQLKNNSFSGEVPLSLKNCTNLWFLDLAQNNLVGSIMLWMGENLRQLVVLRLRSNMFFGVIPWQLARFEQLQILDLANNNFSGSIPHNIGNLNTMRSTSQYSDFCSDELDVFTKGQDLHYLQCNMQLMKSLDLSNNHLIG >Ma07_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10985903:10986607:-1 gene:Ma07_g14530 transcript:Ma07_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTFRGPARAWFSRLRQSSISSFDQFAREFEQNFLASTRPRPSMATLLALSQHKEETLSQFVTRFAMEIRGYPDAHPSLIMQTFLMGLKPSRFFWSLIEKPPATIPEMLQRASQYVADEALVEGRRADRKKPRIEQPRAITSTAATQPRRRPDHPEPRLPRPPPLPLNAPRTEIFLQIKEKDLLRPPNPVKPTHKDRSKYCRFHRDYGHDTEDCRDLQN >Ma01_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7826978:7830805:-1 gene:Ma01_g10880 transcript:Ma01_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPLSFRFLRFSPPLFPQLLHPFRRFNPLLHRDHSSCARCRNRKAVFVACSVSTAPAPIPSSYGGWDDAELLDESYRSGTLDSIRSFLASLGITDGKHGFLFLLGFLSALAVSRVRVSSMAVLPLSVVVFVVGFSAGVARAGVASGSIWDLDDKLNDLRDFLKELDDKMSGLRSGLEEGVKSNRLEKSKLKNFIEVVEHMKLIMGHAQVTIESFSSGNIVDSQMDSEQGGKKSSYKLSRKRRELGTIVYDLVQLFGSLFQENITGLKPLKGKDAVNKVEFREQAESMKEDSALSVLKASPEISENGVNTSSYKKLDGSKVEKSELSLGGVERDASEMLKVKITAGQNSRSGITPKKFNHSGDQRYGNRVPSHDEVLNNLNTSFHFMTKQERYQKMVFRHKYQNIMQNEMHNSADGNANQKEIQSMDLFNESAESSLLEQMLEVHDQSSLHALEQNSNKIKNKLENDSDFIDQSDDSCNDLEVKFDDQSVRHPTDGVREGKTMLSSSSSSMVSTDEEFNQNVKEASELQRRARVYMKSQTDEAAADALLYRSAILLSTAVALKPMSLLAIGQLGNTYLLHGELKLKISRELRVLLSKSDAILKQKLCSLRLKKLDIHNMSRENVAAVLIDVCEECEELLVEAGRKYRMALTIDRSDVRALYNWGLALSYRAQLIADIGPEAAADADKVYMAAIDKFDAITSRSNIYAPDALYRWGIVLQQRSNLRRNNKGEKLKLLQQAKSLFEDVLFVESDNRLAREALSSCLLELNHHGRW >Ma08_p31450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42343476:42343835:1 gene:Ma08_g31450 transcript:Ma08_t31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISPEKKRNAAKLITSSSSLPSSPSRSSCSSCKDGRRRKGQLKKKAKKAKDTRVSELDRDGRLTRPPWLLLRSPQGFRRDDERERGGGGEGLPYKPTSFLSQPSDGIRHARLMARVLI >Ma03_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28811550:28815977:1 gene:Ma03_g24500 transcript:Ma03_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGVLRRVIPPLLPVARFLPFVRSFEIPNGSNWVSVSPLFSSNIWPTWKAYNILLVDCIILNGGENTDYVQMCEPDLCSYAIMLSAYEIAADMAGAQEDAFQRC >Ma04_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10867947:10871375:1 gene:Ma04_g14340 transcript:Ma04_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQVEMRKSVTPGAVSMLLSNSSPDSPSDIPEIIVQVVDLKPIGSSSTRFTFMASDGKMKLKAMLPTHFSSEINSGKLQNLGLIRILDYTCNSIPNQPEKALIVTKCEIVCPSLDMEIKSDVKKEETGIILKPKQEALIKSDAKKEELGMSLKPKQEMVTKSAVQIVREQHGNAAPAARLAMTRRVHPLASLNPYQGNWTIKVRLTNKGNLRSYRNARGEGHVFNVELTDEDGTQIQATMFNEAATKFYPKFELGKVYYISKGSLRVANKQFKTVQNDYEMTLNENSIVEEVEGEAFVPETKYNFVRIDRLGAYVNGRELVDLIGIVQNVSSTLSIRRKSNNDTIPKRDITIADDSNKTVNVSLWNDLATDVGQQLLDMVDTSPVVAIKCLKVGDFQGVSLSTLSRSTVVINPDLPETKKLRSWYDSEGKGTSMASIGSNLISPNSKTGSRSMYSDRVFLSQITDDPTLGQDKPAFFSINVYVSFIKPDQTMWYRACKTCNKKVTEAVGSGYWCESCQKNDDQCSLRYIMVVKVSDPSGEAWLSVFNEPAEKIMGCSADELDRVKTEEGDEKYQLKLKEATWVPHLFRVSVAYTEFMNDRRQRITVRAEAPVDCAAESSYLLEEIHKLSVS >Ma03_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24874973:24877869:1 gene:Ma03_g19590 transcript:Ma03_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVRFLPCFFLGIYLILITGHAISVATDREVLLQLKGSLEANNPIRRGAYARWNASDSSPCNWPGITCNDADRVTGINLAESNINGEIFPNFHLLTELTRLDLSSNTIGGSVPADLNKCSALEHLNLSSNVISGELNLAGLTNLVMLDLTNNRFNGSIRSNFPAICANLVSLNISSNIFSGDITGCFDRCPKLEYLDLSSNQFNGYIWQGQNLRELLVSENLLTGELSSSTFTSNCALEILDLSMNDFSGTFPGSIANCSKLTSLDLRDNAFNGEVPSGIGSLSELNSLRLGNNAFDRTIPEELLNCSKLVFLDFSNHDFGGDIPEIFGRFVTLDHLILYGNQYTGGIESSGILKLPDLTTLNLSKNRFFGNLPVEITTMPKIKILILADNEFSGSIPPEVGGMARLQLLDLSYNNLTGSIPLAIGNLTSLLWLTLAGNDLTGNIPPEIGNCSSLMWLNLANNRLSGRIPPEISAIGRDPNPTFEANRREIRHVTPISGDCLTMNRWLPASYPPFNFVYTLLTKWTCRTTWNRLLQGYAVFPICSNSLSRVLTRGISGYLQLSGNRFSGGIPPEIGRMRNFSMIQLDGNRLSGRLPQEIGQLPLVILNVSSNRLSGEIPHEIGGLRCLTILDLSQNNFSGKLPSSLNGLSELNKFNLSYNPWLSGTVPMTGQIATFDRDSFLGDPLISFSASSNGGASFGSPPPPPLARNSSAAGGGGRWKSVLLGVFIALTLAFVGTLTRTAVRCWRRRELLARAEEEGRRRGTRRRC >Ma02_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19764452:19765893:-1 gene:Ma02_g10060 transcript:Ma02_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRNGSRTCNRSRQSKMQVQRKAKNSLSWGFLRRWLSCKDQNDVQGKKKSNKTACSVSFCRMRNSPSVVKPEITTPESSKRLAATSSLNTPGRSFRSPSKDISGAISTSFSSHSSASITASVTSSSSSLGGSFKGMHLRKLSGCYECHVMADPTNGPSRDASMRATICPCPDCGEVFMRSETLELHQAISHAVSELGAEDTSRNIIEIIFQSSWLKNQTPVCKIDRILKVHNTQKAITRFEDYRDSIKMKANKLGKKHPRCVADGNELLRFYSTTCACSLGLHRSTSLCQSIKHCNVCSIIRDGFKVDELGKIRTMATSGMAHDMAHASSDDENRAMLVCRVIAGRVKKSQEAAEEFDSVTGVAGAYSNMDELFVFSPKAILPCFVVIYRSF >Ma03_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10782127:10783892:-1 gene:Ma03_g13680 transcript:Ma03_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHSNDMSPPNTKKLEKNIGDVPVSSTWFSFVCAGWMRCSRGGHSISLYDICIKIKVERRLSYSIPLMVKLIKLKDEISKKMVKE >Ma02_p19950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26036337:26040267:1 gene:Ma02_g19950 transcript:Ma02_t19950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSLAHTDSIQDGTEDADPPHELNRKHFLTVKSHIRQKKHKELLALAEEDPGRPVNLMNDTLLHVVIACNKADLAKSIILQMPVETLAAKNLYGDTALHVTAAVGNSEVAKELFDTREDLIGEQNLKQETPLHKAAFYGHHDMFWCLVDEGKGSPHERREDGATMLHCAIMGNEPGLALEIAERFPLLITSRNTMAVTPLQLMVTVPGLFRSQMVLGCFESILYGFIPLEKDSHRTRQRDEEEASGSSNDAGQAEATEQDDHEYFGRQRTIRSRFPSCCCTLVDRLVIPVKWVHLFLFIILKTLYPRTRHLEKIKRTHRKALELIEFLARDPRNMEFYVLGRKQGDGGAPAAGFRERGEGQDQLNTPAASTRRWNEPPLILGAQMGIPEFVSTILRVCPEAATYLDTRGRSVLQVAIEHGNREIVRTIREMIRGKNPILPSWLLSRVDKRTGRTILHLASATAPEHNQDALQMQDELRWFETVRDMVPKELVYSRNAQEMTAEEMFTESHREMLKSCKGQLMETGRTCSGLVAAVVFASSFSIPGDKDPATGNPVYFGRAAFTVFSHFYVIGLSCAATSLVLFLSLAMSPYKEQQFRRIIPTKYFFARSSFAMAMLSFLVAFTCNIYLQIYGWQKAKSKDLIPFVLELTVFPVICSLVLFFRGSDFGLSFLVRLWG >Ma02_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26036337:26040267:1 gene:Ma02_g19950 transcript:Ma02_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSLAHTDSIQDGTEDADPPHELNRKHFLTVKSHIRQKKHKELLALAEEDPGRPVNLMNDTLLHVVIACNKADLAKSIILQMPVETLAAKNLYGDTALHVTAAVGNSEVAKELFDTREDLIGEQNLKQETPLHKAAFYGHHDMFWCLVDEGKGSPHERREDGATMLHCAIMGNEPGLALEIAERFPLLITSRNTMAVTPLQLMVTVPGLFRSQMVLGCFESILYGFIPLEKDSHRTRQRDEEEASGSSNDAGQAEATEQDDHEYFGRQRTIRSRFPSCCCTLVDRLVIPVKWVHLFLFIILKTLYPRTRHLEKIKRTHRKALELIEFLARDPRNMEFYVLGRKQGDGGAPAAGFRERGEGQDQLNTPAASTRRWNEPPLILGAQMGIPEFVSTILRVCPEAATYLDTRGRSVLQVAIEHGNREIVRTIREMIRGKNPILPSWLLSRVDKRTGRTILHLASATAPEHNQDALQMQDELRWFETVRDMVPKELVYSRNAQEMTAEEMFTESHREMLKSCKGQLMETGRTCSGLVAAVVFASSFSIPGDKDPATGNPVYFAVPSYHPNQILLCPLVVCDGDAVFSGGVHLQHLPTDIWLAEGEVEGLDSIRIGAHRLPRHLFPGVVLSWLRFRSIFPCSSLGLSQQA >Ma02_p19950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26036337:26040267:1 gene:Ma02_g19950 transcript:Ma02_t19950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSLAHTDSIQDGTEDADPPHELNRKHFLTVKSHIRQKKHKELLALAEEDPGRPVNLMNDTLLHVVIACNKADLAKSIILQMPVETLAAKNLYGDTALHVTAAVGNSEVAKELFDTREDLIGEQNLKQETPLHKAAFYGHHDMFWCLVDEGKGSPHERREDGATMLHCAIMGNEPGLALEIAERFPLLITSRNTMAVTPLQLMVTVPGLFRSQMVLGCFESILYGFIPLEKDSHRTRQRDEEEASGSSNDAGQAEATEQDDHEYFGRQRTIRSRFPSCCCTLVDRLVIPVKWVHLFLFIILKTLYPRTRHLEKIKRTHRKALELIEFLARDPRNMEFYVLGRKQGDGGAPAAGFRERGEGQDQLNTPAASTRRWNEPPLILGAQMGIPEFVSTILRVCPEAATYLDTRGRSVLQVAIEHGNREIVRTIREMIRGKNPILPSWLLSRVDKRTGRTILHLASATAPEHNQDALQMQDELRWFETVRDMVPKELVYSRNAQEMTAEEMFTESHREMLKSCKGQLMETGRTCSGLVAAVVFASSFSIPGDKDPATGNPVYFGAAVPSYHPNQILLCPLVVCDGDAVFSGGVHLQHLPTDIWLAEGEVEGLDSIRIGAHRLPRHLFPGVVLSWLRFRSIFPCSSLGLSQQA >Ma04_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6899076:6902348:-1 gene:Ma04_g09730 transcript:Ma04_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTVKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPVGRGRGRGRGRGRGRGR >Ma06_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10140119:10145733:-1 gene:Ma06_g14860 transcript:Ma06_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRAPAAGGRGGRGDRGLSPPAAAAAAKTKSNRSDWHRNRFLHRSRRNSLSPPNRDRPRRSPGRRSTSVERRNYAPWHSDYARQLHDEPSLPPQLHGRPSPFGYNSYEIPHRLMLPGDPSNLDSEVKPGLRLSHWIKDKDILQPGNGAPGMSSLGLETQNSHYRRSLFLDDGSPQNLYSLPADVNYSHDAAVLKLDNMGRSKGSLNVGHHDYHDQLRNPYDERLGGKAYFKDTPFAMTDPPFPRLYGGASSSSFPKDDMLGLYDNHLRRPLDGSIRDAPIKFMDDTVERIGYSQKQFSEPVRWAPLSPAGTEPRYRGYDEINRRELSDREFLVLDDMYEKVPWGSRTDHREKIGSSFRDLVGVRVGELDTSRNVTSESSLWDKQRSLHGDADLDYREGKGITVDYVDSSASGHLGYRVKPSRVHELTSLEETYALGIDAARTSYKERPKSPMYSGHRMDIYGQVVRSPRRESEMEGLYQLSSERMTRRKYVTQENSYINEFDPRSVASNDRTAFRRISSPGVTDDIWPEEERQGDINSKELDFGHTKYRSLSHRMSRSDAWLPSGGTFKHVEHGHGMSLKRRLRPGPSESRGPYTSERRKEFRPNKLWKKDIVDRHDGLNHDGNVPRDDNVRVKRDPAEGSEEFKQQVHKAFLRFFKSLNENPQQVKRYQEQGPGSPLLCCVCGSMSKEFVDTHSLVGHLYHSGKVGLKTEHLGLHKALCLLVDWNWLVAPDNSKIYQSGATAEAKAMKEDLILWPPVVIVHNSSVEKKVSNSQQKVLSTEGIQEILREMGFEAGKTTICHGKPANQNVFLIKFFPTFSGLQEAEKLHNCFADGNRGRQEFYQRTASKSKANGEREACFKNFPFLYGYLAVAEDLPKLDSETMKRCLVKSKKDIEAIADAPLNID >Ma05_p25590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37472917:37478457:1 gene:Ma05_g25590 transcript:Ma05_t25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVSYSISKENDKIYQNWFSFADSDGDGRLTGTDAIKFFAMSNLPRGDLKQVWAIADSRRQGYLGFKEFVIAMKLVSLAQEGNEITQVALAHADLEKVNPPVMEGLDTFLSTNKPSIKEIDPEQDVNSQPQTPKLQWFGTKSTKKIPLSSVTSIIDGLERLYIQKLKPLEVTYQFSDFGSSLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKCSYPGAHIGPEPTTDRFVIVMLGPDERTIPGNTIAVQADMPFTGLTTYGNAFLSKFECSQMPHSLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKSDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQIDTQQLMRVYGALTWSLGKVLNTPEVMRVYIGSFNDKPVNSMAVGPVGKELFEKEQDDLLSDLKDIPKKACDRKINEFVKRARAAKIHAYIISHLRNQMPAMLGKTKAQQRLINNLENEFAKVQRDYHLPPGDFPDVQHFKEVLSGYNIDKFEKLKPKMIQAVDDMLAFDIPELLRKFRNPYGQ >Ma06_p34470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34680572:34696039:-1 gene:Ma06_g34470 transcript:Ma06_t34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLRCVKTVVSFLRRLVHCGGDRKPDPRPWDPPFDPSQPSIPISYPITTLEALASRSYFRSFHYPFNRSSVPLPPSAAALPPRRRILVCHDMKGGYTDDLWVQGGGNPDAYAIWHWHLMDVFVYFSHYLVTLPPPCWTNAAHTHGVKVLGTFLTEWDEGRVICDTLLLSKESAQMYAERLTELATALGFDGWLVNIEVKLDKRQIDNLKEFVGHLSRSMHASVPGSLVIWYDAVTIDGKLDWQNQLNMQNKPFFDLCDGIFVNYTWKDEDPTSSASIAGERRFDVYMGIDVFGRNTFGGGQWHTNVALDVLKKDDVSAAIFAPGWVYETEQEPDFQTAQNRWWGLVDQSWGILQNYPRVLPFYSSFDQGHGYHCSIEGLQVANDPWNNISSQGFQPLLNSPNGPSSTTVEACINFKDASYCGGGSITARGNLENNSFFSTKLFHGQLQLEDQPVNISYSVRSDENSLFGLYLELWSEISGQTSILITADTQPFTVAGLEYNRTIKPQIKDTKADVLADAAWFIHEVTLTMSGYTLGGIYIVGALKNPGPTNIEMEKSPIRENATSENSGFLPYRASLGHIRILTSEPIVEFPPAKSWVIQGHDISWILDSDGNRSLNLKVAWKLKEGYAMSFTRYNVYVERLMIHTDGNISDRVPSYVGFARVEAFFLSKLGIPTGVTALRFIVQACGVDGSCQQLDESPTLELAVQG >Ma08_p08010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5543019:5548725:1 gene:Ma08_g08010 transcript:Ma08_t08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRNMQTNNRRSSSYCLKTTILVFVALCFVGIWMLSSLNIVPVDLSYLVPKSEFKDKFSMPFGDKLGSDNDGLASGDAKNTENTVRYKSESESDKTIEKLVEKSKKGSLAKGNDDLDDTFDDTSRSVDGEDAMTEEKLKESENEVERLESEKKAEQNEKSDGDGSQKEKSEVFPSGDQSELLKETNGQNGAWSTQAVESKNEEEVQASASSKGQKVSYSWKLCNTTARADYIPCLDNVAAIKKLRSTKHYEHRERHCPEQAPTCLVPLPVGYKQPIEWSRSRDKVWYNNVPHTKLVEYKGHQNWVKVSGEHLIFPGGGTQFKHGALHYIDFVQESLPDIAWGKRSRVILDVGCGVASFGGYLFERDVLTMSFAPKDEHEAQVQFALERGIPAILAVMGTKRLPFPSKVFDVVHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVGIWQAMSELTRSICWEMVAKRKDKVNNVGLAIYRKPSDNSCYDKRTKENPPLCQELDDPDAAWNVPLQACMHRLPVYSSSRGVQWPDEWPLRLEKTPYWFNSSQIGVYGKPAPQDFAADYEHWKHVVSRSYLNGMGINWSAVRNVMDMRSIYGGFAAALRDMKVWVMNIVSIDAPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCQLMPVIVEVDRILRPGGNFIVRDNVGTISEIENLAKSLHWEIRLTYSKDNEGLLYAQKSMWRPTDVETTTL >Ma08_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5543004:5548725:1 gene:Ma08_g08010 transcript:Ma08_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRNMQTNNRRSSSYCLKTTILVFVALCFVGIWMLSSLNIVPVDLSYLVPKSEFKDKFSMPFGDKLGSDNDGLASGDAKNTENTVRYKSESESDKTIEKLVEKSKKGSLAKGNDDLDDTFDDTSRSVDGEDAMTEEKLKESENEVERLESEKKAEQNEKSDGDGSQKEKSEVFPSGDQSELLKETNGQNGAWSTQAVESKNEEEVQASASSKGQKVSYSWKLCNTTARADYIPCLDNVAAIKKLRSTKHYEHRERHCPEQAPTCLVPLPVGYKQPIEWSRSRDKVWYNNVPHTKLVEYKGHQNWVKVSGEHLIFPGGGTQFKHGALHYIDFVQESLPDIAWGKRSRVILDVGCGVASFGGYLFERDVLTMSFAPKDEHEAQVQFALERGIPAILAVMGTKRLPFPSKVFDVVHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVGIWQAMSELTRSICWEMVAKRKDKVNNVGLAIYRKPSDNSCYDKRTKENPPLCQELDDPDAAWNVPLQACMHRLPVYSSSRGVQWPDEWPLRLEKTPYWFNSSQIGVYGKPAPQDFAADYEHWKHVVSRSYLNGMGINWSAVRNVMDMRSIYGGFAAALRDMKVWVMNIVSIDAPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCQLMPVIVEVDRILRPGGNFIVRDNVGTISEIENLAKSLHWEIRLTYSKDNEGLLYAQKSMWRPTDVETTTL >Ma05_p28020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39118498:39118720:-1 gene:Ma05_g28020 transcript:Ma05_t28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIIIITRSWFDESFVLLDKM >Ma06_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7943475:7945203:1 gene:Ma06_g11350 transcript:Ma06_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDFLNDYGSLAPKRYKYSELKKMTKSFKEKLGKGGYGSVFKGTLQDGRSVAVKILSKSTENGAEFINEVASIGRTSHVNVVSLLGFCLDGHKRALVYEFMPNGSLDKYIYSDEPKASLPWDRLYQIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCPPKESILSMADARGTIGYIAPEVFSRTFGMASTKSDVYSYGMMVLEMVGGRRNVNAWADRTSEIYFPHWVYEHLDRDGSLEAYDVTAETEEIARRMIMVGLWCIQTMPPSRPSMSRVVEMLEGRVGDMEMPPRPYLSSPQRPVVVTSSSS >Ma02_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22313818:22322604:-1 gene:Ma02_g14190 transcript:Ma02_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSVFASPSSSSSVFIATTNHRCYHRRKTHLPITSLPPFLRRPPPPSPSLSWPRRLAASAPVRCTSGLSEMDDVTGSSLFPLQRCKTVHVVRHAQGIHNVEGEKDYKAYLSPELFDAHLTPLGWDQVDSLRKHIKACGLSKKIELVITSPLLRTMQTAVGVFGGDSHSDGNNTPALMVENAGKSRRPAISSLNCPPFIAVEYCREHLGVHPCDKRSSISEYRQLFPAIDFSLIENDEDILWKADVREANEEVAARGVKFINWLWTRKEKEIAIVSHSGFLFHTLQMFGGDCHPIVKEEIGKHFANCELRSMVLVDRSMLGSDSSCSNYHPGKAPGGLDLPSDVAHEKHCTQKNMV >Ma02_p14190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22313536:22322604:-1 gene:Ma02_g14190 transcript:Ma02_t14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSVFASPSSSSSVFIATTNHRCYHRRKTHLPITSLPPFLRRPPPPSPSLSWPRRLAASAPVRCTSGLSEMDDVTGSSLFPLQRCKTVHVVRHAQGIHNVEGEKDYKAYLSPELFDAHLTPLGWDQVDSLRKHIKACGLSKKIELVITSPLLRTMQTAVGVFGGDSHSDGNNTPALMVENAGKSRRPAISSLNCPPFIAVEYCREHLGVHPCDKRSSISEYRQLFPAIDFSLIENDEDILWKADVREANEEVAARGVKFINWLWTRKEKEIAIVSHSGFLFHTLQMFGGDCHPIVKEEIGKHFANCELRSMVLVDRSMLGSD >Ma04_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:460628:461977:1 gene:Ma04_g00530 transcript:Ma04_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNQMTCNGMPPLFPANLLLQIPHDETSPPGFGGERPYLASYPQDLTLGLLSNDCVGAASVETLLGKRSVSFSRMEACEELNIEEDLSDDGSQPAGEKKKRLNLEQVRVLEKNFEIGNKLEPGRKMELARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQLEAMKTENEALQAQNKKLLSEVLALKGKETSEPINLNKETEGSCSFRSDNSSDINLDLSTSDPHKSRVFFPSYRPPPAKTETPKEEHSSIQEESFCTMFCSTDDQSAFWAWSEQHNFHQ >Ma05_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36719220:36721163:-1 gene:Ma05_g24480 transcript:Ma05_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAGKVTSIWQIVRLREILQKWQSIALGPKEDRHRASGIPPTVSSRRKDVCVQCDSDEECCQSPEAPPDVPKGCCPVYVGPEQRRFVIPTSYFSLPVFKLLLEKAEKEFGFDHKGGLTIPCEIETFKYILQCMDRHGKGLIDDEGNPTGLEE >Ma05_p24480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36719220:36721178:-1 gene:Ma05_g24480 transcript:Ma05_t24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAGKVTSIWQIVRLREILQKWQSIALGPKEDRHRASGIPPTVSSRRKDVCVQCDSDEECCQSPEAPPDVPKGCCPVYVGPEQRRFVIPTSYFSLPVFKLLLEKAEKEFGFDHKGGLTIPCEIETFKYILQCMDRHGKGLIDDEGNPTGLEE >Ma01_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15490017:15492587:1 gene:Ma01_g19750 transcript:Ma01_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEVRVVTEHPPAPLFDVGELKLWSFYRALIAEFVATLLFLYVLVATVIGHKAASLDNQCGGVGLLGIAWAVGGMIFLLVYCTAGISGGHINPAVTFGLLLGRKVSVLRAVSYMVAQCAGAICGVGIARAIMKHQFDAFGGGTNVVALCYSNGAALGAEIIGTFVLVYTVFTATDPTRNARDSHVPVLAPLSIGFAVFLVHLATIPITGTGINPARSLGAAVIYNRRKAWNDQWIFWVGPFIGAAAAALYHEYVLKSAAIKAFRRSTATPEASAPRPPPP >Ma04_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23548127:23551351:-1 gene:Ma04_g20850 transcript:Ma04_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSLLGLKPCTSLPSITPLNRPPAPRNALSSPVTAVSGCRNNQFNWNLRFHCPKPAIPIRKQTTVRRDTVVRSEIAAAGFPDDAASSLTEFQLVSRIRGICFYFVTAVSAIFLFAVMVVVHPCVVLFDRHRRRAHHLIAKIWATMTIVPFYKFEFEGMDNLPPQDTPAVYVSNHQSFLDIYTLLTLGRCFKFISKRSIFLFPIIGWAMFLMGVIPLRRMDSRSQLDCLKRCMELVKKGASVFFFPEGTRSKDGKLGAFKKGAFSVASKTGVPVVPITLMGTGNVMPAGEECMINSGSVKVVIHKPLDGRDADKLCNEARDSIAQTLLLHGYGVH >Ma05_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:118108:121459:1 gene:Ma05_g00160 transcript:Ma05_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQEDVLHAILEEKVSCKGSFSKFPHEHGKIANGSPPTLPTAATLQSPHLNRPPPDHENQLVWGHRDELLSDSFSYKEIENGILWEVEGKIDVDIGANPSQEGGEEDEGFDDTAVKVVDIVDTFRLQEQPSFDKKQFVTYIKRYIKLLTPKLEWEKQELFKKHIEQSTKFLLSKLSDLQLFVGESMHDDGSMVFAYYKEGAADPTFLYFA >Ma10_p27540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34774702:34787144:-1 gene:Ma10_g27540 transcript:Ma10_t27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFIFFGFFCSILAARILVHAQQGFITIDCGLDANTSYKDNLTGIEYVSDAAYIDTGENHNISSDYLPNAEAVQNMNLRSFSDSTRNCYTLKPVRQGNKYMIRAGFMYGNYDGKNRIPRFNIYIGVNLWDSFQFKSASKVYGTETMIVASADFISVCLVGIGDGAPFISSLELRLLGGLYNALNASNFFLKPVRYDLGSVTNRSIRYPYDDYDRMWTPDNRLPSKLSLLSLNTSSNISSSQNDGFQVPIRVMRTFVAPSNGSNINISWDMTPDPTIQQHIVLHLAEIQLLRSNESRIFDIFLNEKLWHGNFSPRYLQTDHIFTMESINQRSMIRISKAANSTLPPILNAIEVYQVKSFSELATDNGDVDAIADVKKTYHIEKNWISDPCSPRNYAWEGLGCSYNSSMSPRIVNLSLADYGLSGKIAASFAKLGALRYLNLANNSLSGEIPDALGELHFLQELDLSNNQLKGPVPTLLQIRSANQSLILRIGGNSGLCYGSNSCQSQRKLSVTIIIVIVVIAAAFLLMVAACMWKMRRKQAGSLKPQKEGHSRGHLKDKNDLFELKSRQFAFEDLVVITKSFQHAIGKGGFGIVYLGELQDGTQVAVKVNSQSSSQGINEFQAEGELLTRIHHKNLVSLVGYCEDGNYLALVYEYMAQGSLEDHLRGKSSTTRFLNWIQRLQIAIEAAQGLEYLHSGCKPPIIHRDVKPSNILLNHKGEAKISDFGVSRIFQNDQTHVSTAVVGTMGYLDPDYFFSCKLTEKSDVYSFGVVLLELITGLPAVLRNPDRGQLVHWILASGDINAVIDDRMQGEYDAYSVSKAAEIAMKCTLPTSIERPTMSEVVMQLKECLALELSSGTTQIHDTSEICTNCDDSVELSSSTTTTNRRQDDDSDLSSAGITTSHYQNESAVSQTAALLHQGCDPSKS >Ma05_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19223838:19226957:-1 gene:Ma05_g17030 transcript:Ma05_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSSCGFFLFLFCFLFFFFDAGEAFAVFDPHWHPATATWYGSAEGDGSDGGACGYGTLVDVKPLRARVGAVSPVLFKGGEGCGACYKVRCLDPAICSRRAVTVIVTDECPGGYCASGHTHFDLSGAAFGRMAVAGEAGQLRDRGEISVIFCRTPCKYPGKNIAFHVNEGSTNFWLSLLVEFEGDDGDIGSMHIKQANSMKWLEMKHVWGASWCIIGGPLQGPFSVKLTTLTTQRTLSARDVIPRNWSPKATYTSRLNFL >Ma07_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:838339:849139:-1 gene:Ma07_g01090 transcript:Ma07_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTPEEEAALKAGVRKHGVGKWRTILKDPEFSGVLSMRSNVDLKDKWRNINVTAYGWGSRGKARAALKKSRQISRHDGALVSVGTTVEDTDTEIIDAKPLTMSSEPHQTTGQKRSLFRLDTLILEAVTNLKEPAGSDKAAIAMYIEDRYWPPTDFKQLLSAKLKALTSSGRLIKVKRKYSTPSSSAFSEEKSSKLLLLEGRQRESRVDIKPLLKSQVDAELARTQSMTAQEAAALAAKAVAEAEAAIAEAEEAAREAEAAEADAEAAQAFAEAAMLTLRNKNATKLMIQV >Ma07_p01090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:838339:849035:-1 gene:Ma07_g01090 transcript:Ma07_t01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTPEEEAALKAGVRKHGVGKWRTILKDPEFSGVLSMRSNVDLKDKWRNINVTAYGWGSRGKARAALKKSRQISRHDGALVSVGTTVEDTDTEIIDAKPLTMSSEPHQTTGQKRSLFRLDTLILEAVTNLKEPAGSDKAAIAMYIEDRYWPPTDFKQLLSAKLKALTSSGRLIKVKRKYSTPSSSAFSEEKSSKLLLLEGRQRESRVDIKPLLKSQVDAELARTQSMTAQEAAALAAKAVAEAEAAIAEAEEAAREAEAAEADAEAAQAFAEAAMLTLRNKNATKLMIQV >Ma08_p25660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38422112:38422707:-1 gene:Ma08_g25660 transcript:Ma08_t25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGTSSNDELVREAEHESSLRWTRKTVASDEPAAGRPGRVSRTPHHDSCSSSSPGGVIRFCSDCSTTKTPLWRSGPQGPKSLCNACGIRRRKARRAMAPEAAAAAVGSDGRRIPADRPTKALKQKKKKKKIRFDDDKSSAFHQVFPQDEKDAAILLMALSCGLIHG >Ma06_p38350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37132511:37137756:1 gene:Ma06_g38350 transcript:Ma06_t38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLTDQVTEVLIPVAAVVGIAFALVQWLLVSTVKLSPERQPGGGSNDKNGYSDYLIEEEEGLNDHNVVVKCAEIQSAISEGATSFLFTEYQYVGVFMAVFAVLIFLFLGSVEGFSTKSQPCTYSKDKYCKPALANALFSTASFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDLTAMCYPLLISSMGIIVCLITTLFATEFFEIKAVQEIEPTLKKQLIISTALMTIGIGTVSWIALPSSFTIFNFGEQKQVKNWELFFCVAIGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGIMEGNAKPDYATCVKISTDASIREMIPPGALVMLTPLIVGTLFGVETLAGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARVLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKMF >Ma04_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22835231:22838967:1 gene:Ma04_g20130 transcript:Ma04_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHDDHHSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSIVAGCSGGVSPADGSEPGCRDGYASEDFAKGSSASCRERKKGNPWTEEEHMLFLLGLQKLGKGDWRGISRNYVVSRSPTQVASHAQKYFIRKANTTRRKRRSSLFDMEPDEPLEAQPSLMNFQESEAQNNKPLPVPPTLNEDFESMDSNDEKAVVPQPEALQCSYPVLRPAYFSPFLQLSFPHWSGDKADTSEQQAHEIIKPTAVHLRTPINVDELVGMSKLSIGESAGETASSLFLLGGSKRQSAFHANPSTRAHT >Ma05_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33785280:33789919:-1 gene:Ma05_g22050 transcript:Ma05_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKSGYGSDGVYRSLRAHIAFPDDPDLSMVPFFFRRAVAYPDRLALADADTGASLSFQALRSAVLSAAAGFSSLCGIRKGDVVLMFAPNSLHFPVSFLAVVSFGAIVTTVNPIYTGHELASQARDAGAKLVVTTPELWPKAAALRLPTVIIGPSGSPLSPPPETPVTFFDDLVANSDPAGFSPPPVYQSDVAALLYSSGTTGTSKGVVLTHRNFICTAMMMTADQDFRGEGQNTFLCFLPMFHAAGLSPITYAQMQRGNSIVSMSRFDMEAMLRAIEKYRVTHFYCAPPVMIALAKQGKVTKYDLSSVRLVGSGAAPLGKDMMEIVANNLPHADIVQVYGMTETCGLISLEIPAPGEVRDFGSVGTMVSGMEAKVVSVDTLKPLPPNQLGELCFRGPNIMQGYINNPQATNLTLKDGWLHTGDLGYFDEKGQLFVVDRIKELIKYKGHQVAPAELEGLLLSHPEILDAVVIPFPNAEAGEVPIAYVVRSPNSSLTEEDVQNFISKLVAPIKRLRRVTFVNSVPKSAAGKILRRVLIEKARSKL >Ma03_p12680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9766593:9768001:1 gene:Ma03_g12680 transcript:Ma03_t12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGHVPRFGDWNENAAYTICFDTARKGKVAGGNIVNPNDPEQSPELHKPAAMAAAEPQHPKQGRGDKAVEFNIANQHHQGANYVREERREERQFQGYPQAAPQPRGSGGQRTGARRNPGEAGYVRSPSPVTQGRAANSRQNVAGRQRPATVPKFGEWDAADPKSAGYTVIFNQVKEEKKTAVAWVPAVPVQPARSPATERAHHNDSYWIGVCTKLGFLYSPCVT >Ma03_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9766579:9768001:1 gene:Ma03_g12680 transcript:Ma03_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGHVPRFGDWNENAAYTICFDTARKGKVAGGNIVNPNDPEQSPELHKPAAMAAAEPQHPKQGRGDKAVEFNIANQHHQGANYVREERREERQFQGYPQAAPQPRGSGGQRTGARRNPGEAGYVRSPSPVTQGRAANSRQNVAGRQRPATVPKFGEWDAADPKSAGYTVIFNQVKEEKKTAVAWVPAVPVQPARSPATERAHHNDSYWIGLFPCLRPSVRY >Ma07_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29621646:29627046:-1 gene:Ma07_g21550 transcript:Ma07_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSYAVKPPLRRQQNGHGVGKVVLLSAVVLVLLVKIFLLPYTRRYPPLGLPENCDLSKGEWVRDPDAPYYTNKTCFTIQEHQNCMKYGRPDLDFLKWRWQPEGCDLPRFDAAWFLHLVTGKSMAFVGDSLARNQMQSLMCLLSGVERPQDMSDSKDDNFKRMFYPTYRFTISIFWSPFLVKAQLADTDGPNHTGLWNLYLDEVDEKWASQLHKFDYVIISDGNWFTRPSLFYEQKKLVGCHYCLIENVTDLTLHYSHRMAFRTALRAINGLASFKGKVLVRSISPSHFENGEWNKGGNCKRKRPYRSNETTLESLDREFYEQQLEEFRQAEREPGVRMRLLDTTQAMLLRPDGHPSRYGHSIHESVAMYNDCVHWCLPGPIDMWNQLLFLMLGERTECAFGVCVNAAELPHVVCTPMRNGPSFVGRAKSLPLLPLPSLLRHGFVVEFMMYWWDATIVAALPMKPHAYRLRIWGYHHAHGNPRTLLFSILLILLVLAIISCCNQPPLPSYNDERSSSSSSASSLSSSSPSPPPPPPPPRYPSIRLPRDCDISQGEWVRDPDAPYYTNLTCFTIQEHQNCMKYGRPDMDFLRWRWRPAACELPRFDASWFLELVRGKSLAFVGDSLARNHMQSLMCLLSKVEYPKDISNTTDENFKRMFYTAYNFTISTFWSPFLVKAQEADTDGPNHTGLWNLYLDEVDDTWASRIREFDYVIISDGNWFTRPAMFYEKGVIVGCHFCLVDNVTDLTLRYSHRMAFRTALRAITELDGFGGKVFLRTVSPSHFENGEWNKGGNCVRTRPFRGNETRLEGLDLEFYEAQVEEFREAESVGRAKGVELKMLDTTRAMLLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPIDMWNTLLFLMLGE >Ma02_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7758139:7760044:-1 gene:Ma02_g01040 transcript:Ma02_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPKPVFFFGVLIAALLQSFPVASQEVEDEKEFSYQRGSQIGPEHWGEIHHEWAACGKGRTQSPIDLSDERVQVFPCLGRLRRSYRPADAILKNRGHDIMLKWEDEAGGIWINGTEYGLKQMHWHSPSEHTINGRRYPMEMHMVHESADNKIAVVGILYKIGHRDPFLAELERYIERISDKHEAEEVVGMVDPRHVRKGSRKYYRYTGSLTTPPCTEGVAWTIIKKVRTVSREQLALLREAVHDGSEMNARPTQKMNKRIVGLYRPRPYRYR >Ma05_p03390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2436956:2452131:1 gene:Ma05_g03390 transcript:Ma05_t03390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVASSSYWTTTAVVTMFSALPRTTGCSAGCRLPIARRSAGDSSSGSSIWLNCWDPSRREREIRGPKASAVVRPVGRGCGSVTRRAVLAWDMGAEEEVGYSRCFLRARNGEELLFCIRREVEAGKLSSEIATRLEELYYNYRNAVMQSGDPSAKEIILSNMAVAFDRVLLDVEDPFSFLPCHKAIREPFDYYMFGQNYLRPLIDFRTSYIGNLSLFFDMEEKLKRGHNIILFSNHQTEADPALISLLLERTNLYFAEKMAFVAGDRVVTDPLCKPFSMGRSGSQVIWIAPSGGRDRPDPLTGEWNPAPFDASSMDNMRRLLDHSGVVGHMYPLALLCYEVMPPPREVEKQIGERRKISFHGIGLSVAPKINFAEITAGCENPDEAKEVFSQAAYDSMIEHYNVLESAIYGCKGLNASNSIVSLSQPWL >Ma05_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2436956:2452131:1 gene:Ma05_g03390 transcript:Ma05_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVASSSYWTTTAVVTMFSALPRTTGCSAGCRLPIARRSAGDSSSGSSIWLNCWDPSRREREIRGPKASAVVRPVGRGCGSVTRRAVLAWDMGAEEEVGYSRCFLRARNGEELLFCIRREVEAGKLSSEIATRLEELYYNYRNAVMQSGDPSAKEIILSNMAVAFDRVLLDVEDPFSFLPCHKAIREPFDYYMFGQNYLRPLIDFRTSYIGNLSLFFDMEEKLKRTEADPALISLLLERTNLYFAEKMAFVAGDRVVTDPLCKPFSMGRNLICVYSKKHMHDVPELIEMKRRANTRSLKEMALLLRSGSQVIWIAPSGGRDRPDPLTGEWNPAPFDASSMDNMRRLLDHSGVVGHMYPLALLCYEVMPPPREVEKQIGERRKISFHGIGLSVAPKINFAEITAGCENPDEAKEVFSQAAYDSMIEHYNVLESAIYGCKGLNASNSIVSLSQPWL >Ma05_p03390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2436956:2452142:1 gene:Ma05_g03390 transcript:Ma05_t03390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVASSSYWTTTAVVTMFSALPRTTGCSAGCRLPIARRSAGDSSSGSSIWLNCWDPSRREREIRGPKASAVVRPVGRGCGSVTRRAVLAWDMGAEEEVGYSRCFLRARNGEELLFCIRREVEAGKLSSEIATRLEELYYNYRNAVMQSGDPSAKEIILSNMAVAFDRVLLDVEDPFSFLPCHKAIREPFDYYMFGQNYLRPLIDFRTSYIGNLSLFFDMEEKLKRGHNIILFSNHQTEADPALISLLLERTNLYFAEKMAFVAGDRVVTDPLCKPFSMGRNLICVYSKKHMHDVPELIEMKRRANTRSLKEMALLLRSGSQVIWIAPSGGRDRPDPLTGEWNPAPFDASSMDNMRRLLDHSGVVGHMYPLALLCYEVMPPPREVEKQIGERRKISFHGIGLSVAPKINFAEITAGCENPDEAKEVFSQAAYDSMIEHYNVLESAIYGCKGLNASNSIVSLSQPWL >Ma11_p21640.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.11 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718288:-1 gene:Ma11_g21640 transcript:Ma11_t21640.11 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718283:-1 gene:Ma11_g21640 transcript:Ma11_t21640.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.12 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.12 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718282:-1 gene:Ma11_g21640 transcript:Ma11_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.13 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.13 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.10 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma11_p21640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25700035:25718301:-1 gene:Ma11_g21640 transcript:Ma11_t21640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGRRSSSELVAPDGKSSSTGYMIENGDVGPLAQTSDSLIRHQFQNHPGPGKRDELKNHLLDPEIMELYYRSRQQEEEILLLRQQITNACIKELQLLNEKHILERRLSELQIALDERQDDAVASALKELTKKRSYLDENLRLTDNLKVVEEEMYILTSSFLSLLAEYDIRPPVISSSAISYGIKQLYQSMHWKIRYNESVIPELRLYDQHPMDLHGTSTANQLRVSQDFAKIDKKDVDVTLNSEMPYPVAYEKPRDLPSEFHKEVGNTGVSSSSEGYAHKATFRTLNADAQFHTATVHDNQGSFVLEGEYDLPGIEGFQIYGEPKPGCKLQACGYPTNGTTLCIFQWVRELDNGTRQYIEGVNVPEYVVTADDVDTILAVECTPIDNNDHQGDLVRQFANNQNKITCDSEMQNQIDAYISAGKAIFNIKALVDSSNWQQTVLVVKRSIYQIKVKHSDDLVIEEKYSPDLKIKVPLGYTTQFVLTCSDGTNLPLSTDGTCQPYSLENDVRLRDIIVLTMRHFQSKVLDIKRKVKA >Ma04_p34490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33663235:33663607:-1 gene:Ma04_g34490 transcript:Ma04_t34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKFLTLSRRPLLLSGRFASTSAVAALANPTADAPSLAKSSLRLHIDGTSPVAEDIGHQEMIFSFSGSILTYGRRIPVAELFARIDAVDASIV >Ma05_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10046952:10051133:-1 gene:Ma05_g13830 transcript:Ma05_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSCVEEDVLRCCGSKRFAKEIVSASPFTNLDHALRSACDIWFDKELVEWNFRYREKFGFVFLICASGRGTTEILAELKKRYLNRPIVELEIAAQEEMKIIELRLARLFNSDAGSNIPVTTRLPVSSPTKYSVNFAFNLCFILTSHVLNLKRKPDSRTLVFPLLHSSFSFKTYRGS >Ma03_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4714356:4715735:1 gene:Ma03_g06870 transcript:Ma03_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENLQIIHVNTRDYHENLQIIHVITLDYHISLHQTDKRSKRLLHNNALQGYQRGTFDPRTEIRRRSRSELYLFQERWDQAAREDEGWVDPFRAPKQKKRRPLTSNVP >Ma04_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14852063:14852624:1 gene:Ma04_g16130 transcript:Ma04_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLRAVVVAMAMVLLMVAASTTKAADQSLCKMTQEGLAACKPCIAMVKPEEKPSEACCAALKQADLPCLCSYKNSDLLPYLGIDPKQAMQLPAKCNIAPPQPC >Ma08_p33590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43870805:43875155:1 gene:Ma08_g33590 transcript:Ma08_t33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKGQPLPKFGEWDVNNPASAEGFTVIFNKVRDEKKTGGNSGTPTTPTRKDVGSLPPDDTYQHPRKTRKWFCCG >Ma11_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9378305:9378754:-1 gene:Ma11_g10110 transcript:Ma11_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAAVLIAVAACVLLVIGALYVRCVSRRFNATVARNSPPKITGLGSPSIAALPTVAYQRPREDRGTSRTLCSVCLGAMSEGELVRVLPACAHVFHVDCIDAWLRARATCPVCRSDLKLSHLVDTGESEIPPPPPPPPSPPISSTTVV >Ma07_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8226236:8228041:1 gene:Ma07_g11060 transcript:Ma07_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQEMEAAKTPAAVEKTYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Ma09_p27930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38786649:38788291:1 gene:Ma09_g27930 transcript:Ma09_t27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGEGDKRWIVEERVDGTNVHNWHWAERNCIEWSRSFLSSLLSDLTILDGEGGLAIRTKALDKLEGEAYVNIRKGKVIPGYELSLSLSWEGEARDSTAGGDPLVNVSGSVEVPYLADENAGEDPEVRITIRDDDGPVGRRIKDAFIAKGKPVVLEKLRAYVQAMAKGGPAMDELETKKPPSVVGKNQSAASPAAGGKTAPTASVAGNAAVATKEKKKTKEGFKNISLTEKFYCRARDIYEILMDENRWKGFTQSNSRISKEVGGQFSLFDGSITGVNEELQEAKLIVQKWRFGSWPEGVHSTVRLTFDEPEQGVTIVKLTQTNVPEEDKYGNATVVENTERGWRDLIFHKIRAVFGFGM >Ma08_p31280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42240503:42243692:1 gene:Ma08_g31280 transcript:Ma08_t31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKREKGEMVVCSSSFFFLLLASSVLLSSSTTSSSSHAACSILKLPNLATLSFEEGYTQLFGDSNLMLHRNGRTVHLSLDQRTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDIFEKTHDELDFEFLGNIRGREWRVQTNVYGNGSTAIGREERYGLWFDPTEDFHQYSILWSHDKILFYIDNTPIREVIRTQAMGGQFPSKPMSLYATIWDGSNWATLGGRYKVNYKYAPYVAEFGDLILHGCAVDPSDHTQSCQRPDVLLYDAITMSTVQRSAMEKFRKKYMTYSYCHDRVRYQTLLPECKIGPESESFHSSGETKLNKHRNRAKRHRWSSAGTALSV >Ma01_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6467822:6470395:1 gene:Ma01_g09010 transcript:Ma01_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLASSNEPCWGEPKVYMRENPISNPNPRPCPNLFPSGHAGGRADQFRAMDLEEVPPATSAPAVSDNSSSFNRRPTGLNQRGNPGAGVGGNYVTFSISTCSKMELRELKRRLVFELDQVQSLMSRIQSREMHSATRSAGFAASSDFFSASASAEGTRVSGDKATLPVVSPRSPKLPELEKLLAAMMKKCGHILSKLMKHKKSVWFNSPVDVVGMGLHDYHQIIKSPMDLGTVKKKLNDGLYPSPLEFASDIRLTFNNALLYNPKGHEVHKLADQFLRHFEGLFRPVYQTYEKQRSTMKREEVPDPPPPPSPIPEIPSPVPVSPPVIHPQNQLQPLQQQSNVVRTTPGKLPKPKAKDPDKRPMSFHEKHRLSEGLQSLPPERMAHVLHIVRKGNVDAMQSGDEIELDIDALDTETLWALDRFLCNCKKLMSKMKRQEAIASGLLSAGHSVVAAPALIPDEGGGGGERSPVFDDETPEAVAAKKSKKGDTAEEDVDIGDELPAITYPSLEIQKDTGNDSSSSSSGSDSSSASDSDSESSSDGDSDDNED >Ma02_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21301275:21331955:1 gene:Ma02_g12680 transcript:Ma02_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLSQLLLLLIYVIFSSLLLSSSSESVAGTGGDASSSRSSGARLEWQILTKRNFSSQIRLHPQILLMVTVPWSGESRSLMKEVAHLVANNQDKLDFLKLMVIYRSSEKMLADILGATEEITLFYYHNSMSYKYHGRLRAENILSSVNHFQSLEPEELPLKLLQTPEDVENFFLSTDKAVLLLEFCGWSAKLLRRKNNGNYETPMSAFNHSENVGIIGQSINREMVDDFHVEHHKGMENRLTCAVEDGLGRSVWLKEYTLANQSTLEQLDDGGAGTRMLCTDEEFKQFETFFMKFTAIAREFFLPPERQRFGLISEGALLSFLGISSPDKWLVMLHFSGCSNCTMIVQQGDDLRNILQTHHSLIMEFDVDGRNLEPAFPANRPSIILFIDRSSNSSKVREGSKLSLEVLRKFSLQNQLCYQTVRGRDSRVMSSSRSLSGSSSHQSGKVSQTPKVVKIKDNMAFMIVNEGEHISLKNTALESQGNPVYDILTRLLQRESPALKNKETKISEVAKKAGFELLSDDFEVQIIESFQSHNDDNQFREMGRGTTTMLNDPNELTESQDDVSSGGLLYTTENIMTDERKQSEHPDDVANFLETREAAPYDNDNAFSCHVERSCCVEQELPTPEEHVQEEQADKIDSTSSIRQVKSDFGHSSSVLSAGDDMGSIRISNRLRKADEPCYQHQPFLGSFFFIDGGYRLLRTLTAESRIPSLVILDPVMQQHFVFSEATDINYPSVVSFVDRFLNGSLTPYQHSVSSLKTSRDMPKPPLVNLDFHEIDSIPQVTSSTFCELVIGFIPCEMNDKVPFSNSRELKSAWKIDVLVLFSTPWCGFCQRMELIVREVHRAFKNSINFSISQSKNDDPTNIKDKKEDLMLNKFPAIFLMDCTLNDCGLFLKPLGKKENYPILLLFRAENKSAITYEGNMSVVSIMEFLESYGGNSHNHNYKGLLWTHSRKGNKDEQVLYASTLAADEKPHSPADKYNKIVLNKAISADSEHPLNTCTPVTSHDKHIHVVVGSILAATDKLFNAAPFDNSTVLIVTMDKNQGFQGLIIIKRISWDIFKELDSDLVSLKHAPLYYGGPVRFQTLPLVSLIRKAKEGYTEIVKCVYFGNPVITRQVIEEIKLKEESPDDYWFFLGFSSWGYDQLFQEITEGAWRLCGDPIEHLDWTEN >Ma11_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27714231:27716012:1 gene:Ma11_g24930 transcript:Ma11_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPQVPVSSATASASPSSTSSPCAACKFLRRKCQPDCVFAPYFPPDQPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISILQHQLRQLQMDLSCAKSELSKYQSAAAVAAAAAAGTPGTSFGATSPVTAAAGHGFINVNHPIGMTGFVYGRDQFFPAAARDPTHNLHMMLRNYDADLATRLASNGAYDADLAAAMNATSAAAFGLFGGQFSKPSAAGGDERPGIGPL >Ma02_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13542228:13548937:1 gene:Ma02_g02320 transcript:Ma02_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQDVKLFNRWSFDDVEVSDISLADYIAVTPPKHATYLPHTAGRYSAKRFRKSQCPIIERLTNSLMMHGRNNGKKLMAVRIVKHTMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Ma02_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18688470:18688991:-1 gene:Ma02_g08290 transcript:Ma02_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDNQRDGRFDSFKAWSGRLERRSSYLRGRPQEPEVEASDSDIVKDEPVPAADRYFDALQGPELDTLRASVLPEDETWPFLLRFPISQEGSLPSPPSLPRLSKFMATLRSSQT >Ma02_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23326357:23331788:-1 gene:Ma02_g15750 transcript:Ma02_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVQYRLERMTDELDDLERRGLFSRPEIAEIVRRRRDFEYRLKRPSPLKQDFLAYIDYEKQLDALRNLRKRAIIGELIKEKQAREENEGADEHKKKKKMSKKWKKSISDIAGVLRILDIYRMATVRYKADLDLWFKYLEFCREKRHGRMKQVLAQAIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRTCSSSEDLWIEYLRMELTYLNKLKARKIALGEDVKLLQRNNSDADRWKEENRDLFMPLNEEQGSNAQEGSLDKGEELFLQQGALVLQTIYHGAIEANPSSLSLRQRFLEILDTVDLAHYDELKVEVMEDLKKDFSHDENYWDWIASLQIGDISELKDSERSKILSKLDRVVQVYEEAVNVLPTAKMFSLYAKFWLDVLVSDGEDSTSALSNAVVDDRDFVSSIIKVYEKAESRGCLTADLACQYVSFYLQIGRLDEAKNLAKKLCNSKLPDSANLWILRASIEIKWFTNKSSAINKDDLHSVFEFLKDVLTRLSISETEYLWLMALKVFSNNKGFFEKLVKFLMLALGKASGTDSGTSVSSAVVNWVLQREGIQQARVMYKRFLALPHPSLKFFRYCMELEQNLIFVGDNLGVTCVRKLYESALGIYPENRELWRDYYLLEKKVGTSESVNAVYWRARKTLKDTSMIVNTDL >Ma06_p36830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36081959:36091313:1 gene:Ma06_g36830 transcript:Ma06_t36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDETRNQMMQNLFGDQSEEEEEEEEEVDSEHHHHAAAAANHSDYQSDEGEGEAEDDDGEGEGEGEIEGQEEVEVESEAEAYNVDLEQGESEGERVQSSPEREVSDPKMESEPKDAESEEEGYGQRVVTSRRREVVASESEGDREDEEMDSDQHRQPRLMSEQKDHEVVRNVFGDSDEDEPAEYGGQDEIEQELHRSPMEEETNYEREIRPEDVVPDDDMQYASEDDNFEEKPKDKPVGPPLDLEFSSQEPPGRPDKMNAIKVSNIMGIEPKPFDPKTYVEDDVYVTDESGAKKRIRLEDNIVRWRRVKNRDGTVSYESNARFVRWKDGSLQLLIGNEVLDISVHDAHQDQSHLFLRHGKGILQSQGRLLQKMKFMPSSLSSKSHRLLTALVDSRHKKVYKVKNCITEIDPEKEKEQKERVEGQTIRANELLHRKREKVNRKYAQPVHRGRQQLSPGFLEDALDEEDEADDYYSSHRTSAHSHFEEDLEAEARAERRIINAKKSNVHMNAQRKPSVSAARQSRRPLDEYSESEREESEYESDGAEVDRSPLHARDEPDHEDEYEEEADEEAADAISPSEGDEDEEPRGKTKETGSSSLKRKEIDSDEESPPRKTTVHRRKAVVFDSDED >Ma09_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4218783:4220716:1 gene:Ma09_g06590 transcript:Ma09_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGVVPFNLDGWGPPESPAAPLLLSRNDGGSTQPANIPFAPFSRSEKLGRVADWTRNPNFGNNAARSAGGRDAVFDFALDESSALSGAAADDSSFRLVDGKPPPRPKFGPRWRFQQRPQLPQRRDEEVEARKREAEKERARRDRLYRLNHRSYASAGGHGAAGSHRDAPARKSSVDIQPEWTMLDQIPFSTFSKLSFSVPDPPEDLLVCGALEFYDRSFDRVNPKNERRLERFKSRNFFKVTTSDDPVIRRLAADDKATVFATDAILSALMCAPRSVYSWDIVIQRVGNKLFFDKRDRSQLDLLSVHETSQELLPDAKEDINSAYSLAVEATYINQNFSQQVLVRDGNKVTFDEPNPFASEGEEVASVAYRYRRWKLDEDTHIIARCEVHSVTDVKGQRAFMTLNALNEFDPKFSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLGGVDLMKLGYVSRVHPRDHFNHVILSVIGYKPKDFATQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPVKPQVRIYEVPADAFENEYVEEPLPEEEQVQPPAEEDATVGATDAAAEAEPNTAAGAAEGERDAIASAV >Ma03_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1090203:1092532:1 gene:Ma03_g01570 transcript:Ma03_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAALRTSLVALAAVMAVVGIWTFSPKKALVTYAFGIVGIAGFLLPDWEYFDRDLSQWFTPMPARRTAAAADRAPGSSRFHLCPLRVLVMSLTYGFGLYKWWMFISS >Ma00_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15751715:15756637:1 gene:Ma00_g02130 transcript:Ma00_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLSTLLVILTGLLHLGSGDTDPNDAAVLRSMLNQWENAPPTWGQSDDPCGTPWEGVSCDSTRVTALRLSTMGIKGTLNGDVGQLTQLQSLDLSYNGGLSGPLSASIGNLKQLTTFILAGCSFSGSIPQELGNLKLLSFLALNSNKFSGRIPASLGLLSNLYWLDLADNQLTGSLPISTNSTPGLDLLVGTKHFHFNKNQLSGEIPEQLFSSQMTLIHILFDSNQFTGSIPTSLGLVKSLEVLRLDNNNLGGLVPSNINNLTSINELNLANNELTGSLPDLTGMNNLNYVDLSNNSFSPSEAPGWFTTIESLTALVIESGGLLGQIPEGLFSLPQLQQVLLDNNQFNGTLNMSSIVGSQLQLVSFTNNSITGIVSASSYSNTLMLYGNPVCNSSPVNTAVYCLLQQQQKKPYTTSLATCGSISCPQDQKLDPRSCSCAHPYEGSMVFRAPYFRDLTNATLFQQLEKSLWTNLSLAPSSVYISDLHFNSDNYLELNLALFPSNGMYFNRSEVLRIGFDLSNQTYKPPTIFGPYYFLALPYTFSGGTSGKTTISTGVIAGIAAGCIVLVIGLVCVGIYALLQKKKANRASIQSTPFATWGSGGKDDGSAPQLKGTRWFPFDEIKKCTNNFSDANEIGSGGYGKVYRGILSSGQMVAIKRAQQGSMQGALEFKTEIELLSRVHHKNLVDLVGFCFEQGEQMLVYEYIPNGTLRENLIGRGGRQLDWKKRLKIALGSARGLAYLHELANPPIIHRDVKSTNILLDESLDAKVADFGLSKLVSDSKKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDAYSFGVVMLELISARQPIEKGKYIVREVRMAINDYDEEWYGLKEMVDPAMRNTGNLKGFRRFVELAMRCVEESAANRPTMNEAVKEIETILQSNGFNTQASSSSSSTTDFGNSHGSPRHPYSDHTQRTEESSGAFDYSGGYSAKILPK >Ma08_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36554367:36555484:-1 gene:Ma08_g23130 transcript:Ma08_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTTTMLPTLLILASLALPPTSSLAALSTTLHYHNGSLLSSPIHIYIIWYGSFTAAHRAAVSDFFASFRSSPSPQPTVSKWWSTVQQYKDYTGKPASATVEVAAQTSDRAYSLGRSLTRSNLVDLVRSSVVKGSLPLDATGVYMVLTSSDVTVGQFCTSSCGFHSTVLMPTGKRVVMAHVGDPRTQCPGLCAWPYAAPAYGPPGPPLVAPNGVGVDGTIINIATVIAGAVTNPFRDGYYQGDRLAPLEAATACAGIFGEGAYPGNPGNLLINDKSEASFNAFGAGGRRFLLPAIWEPISGKCKVVA >Ma08_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27057559:27059917:-1 gene:Ma08_g17790 transcript:Ma08_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLRKSPLWVLLLLLLPVVALNEEGLALLSFKSAVQEDPDGSMANWNSSGADPCSWNGITCEEGRVVSISLPKKRLLGSLPSSLGSFSSLRHINLRSNRLHGSLPSGLFAAGRLQSLVLYGNSFSGPVPPQLGNLSLLQILDLSQNLLHGAIPASILRCKRLKVLDFSHNNLTSSIPAGFGTNLAALEKLSLSYNRLNGSIPSDFGNLSSLGGTVDLSHNLFSGAIPATLGDLPDKVYIDLAFNNLTGSIPQNGALLNRGPTAFIGNPGLCGPPLKNPCSLSSRAASSPWLLPSLPSDHSPLASEPNNSKKTRNGLSKKLVVAIVVADVAAIALMAMLFFYCYRRAVSSKNKDGKKCLCCGKDGSETPAEDAEQFDLIPVDKQVRFDLEDLLKGSAFVLGKSGIGIVYKVILEDGLTLAVRRLGEGGSQRFKDFRAEVEAIGKVRHHNIVLLRAFYWSADEKLLIYDYIPNGNLSNAIHGNMSLSPLSWDVRLKIMKGVAKGLAFLHDFSPKKYVHGDLKPSNILLGVDMEPYISDFGLGHLTTNMETGTPSISPVWSNALFYQAPEAIKSLRPSQKWDVYSYGVILLELICGRSPVVLMETSDMDLVRWVQISIEERKTLLDVVDPNLTRETEREDEITTALKIALGCVQLNPESRPSMRHVVDLLQRLTS >Ma11_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3833419:3836931:1 gene:Ma11_g04930 transcript:Ma11_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDALLSDDALANVPFLILGNKIDIPYAASEDELRYHLGLSNFTTGKGKVNLADTNVRPLEVFMCSIVRKMGYGEGFRWMSQYIK >Ma06_p06560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4745414:4750295:-1 gene:Ma06_g06560 transcript:Ma06_t06560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHGRESSEYYNANQGHEKAGISGVKVDNPDLPKPAIKPKTVKERSCSNSSSSSTSSEEEFFQLDASELGKPMAVVHEMQRNDLQPSLRVENTQVEAGLGSSKGPTEISFSSYDSNGSPGSDNSGNAGTKQSPPVQSMYRSDVPDPNRIPSSVFARTKSTTPMEWSVASNESLFSIHMGKSGDLTGLYGSQLDGYPPISPMADITPTKRRAGPGLQQTVEYGAANDEAMKDDVLKTTIEENAENDKSRATDGIRHSDSTSQFSDGGSVNSYRSFAFPILTAEGRNGSVKESVRPAFQKEQLEQPLPQTEHQEAEPPKEEPVAAQKTWLSCFSCCSSRC >Ma06_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4745414:4750295:-1 gene:Ma06_g06560 transcript:Ma06_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHGRESSEYYNANQGHEKAGISGVKVDNPDLPKPAIKPKTVKERSCSNSSSSSTSSEEEFFQLDASELGKPMAVVHEMQRNDLQPSLRVENTQVEAGLGSSKGPTEISFSSYDSNGSPGSDNSGNAGTKQSPPVQSMYRSDVPDPNRIPSSVFARTKSTTPMEWSVASNESLFSIHMGKSGDLTGLYGSQLDGYPPISPMADITPTKRRAGPGLQQTVEYGAANDEAMKDDVLKTTIEENAENDKSRATDGIRHSDSTSQFSDGGSVNSYRSFAFPILTAEGRNGSVKESVRPAFQKEQLEQPLPQTEHQEAEPPKEEPVAAQKTWLSCFSCCSSRC >Ma06_p06560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4745414:4750295:-1 gene:Ma06_g06560 transcript:Ma06_t06560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHGRESSEYYNANQGHEKAGISGVKVDNPDLPKPAIKPKTVKERSCSNSSSSSTSSEEEFFQLDASELGKPMAVVHEMQRNDLQPSLRVENTQVEAGLGSSKGPTEISFSSYDSNGSPGSDNSGNAGTKQSPPVQSMYRSDVPDPNRIPSSVFARTKSTTPMEWSVASNESLFSIHMGKSGDLTGLYGSQLDGYPPISPMADITPTKRRAGPGLQQTVEYGAANDEAMKDDVLKTTIEENAENDKSRATDGIRHSDSTSQFSDGGSVNSYRSFAFPILTAEGRNGSVKESVRPAFQKEQLEQPLPQTEHQEAEPPKEEPVAAQKTWLSCFSCCSSRC >Ma06_p06560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4745414:4750295:-1 gene:Ma06_g06560 transcript:Ma06_t06560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHGRESSEYYNANQGHEKAGISGVKVDNPDLPKPAIKPKTVKERSCSNSSSSSTSSEEEFFQLDASELGKPMAVVHEMQRNDLQPSLRVENTQVEAGLGSSKGPTEISFSSYDSNGSPGSDNSGNAGTKQSPPVQSMYRSDVPDPNRIPSSVFARTKSTTPMEWSVASNESLFSIHMGKSGDLTGLYGSQLDGYPPISPMADITPTKRRAGPGLQQTVEYGAANDEAMKDDVLKTTIEENAENDKSRATDGIRHSDSTSQFSDGGSVNSYRSFAFPILTAEGRNGSVKESVRPAFQKEQLEQPLPQTEHQEAEPPKEEPVAAQKTWLSCFSCCSSRC >Ma01_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4217631:4218741:-1 gene:Ma01_g05950 transcript:Ma01_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPMTPQKRRQPDSQTQPPALPESERIVYELIKSRKNMGIWIADIKREAGIQNIIVTKALKSLQSKNLIKDVVNVHNKSRKTFMAVEFEPSKEVSGGSWYSDGSLDTEFINILRMMCLKHIEDLRIATIEDIHKCIAASRIMKVECTMQQVLEIVRALALDKEIEELKSTGVAEFSMVQSGKICYRRSRGRQGPSVGHLSSIPCGMCPRISECTPEGVISPNTCVYYKQWLKLEF >Ma02_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19072382:19077251:-1 gene:Ma02_g08820 transcript:Ma02_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVTNPFSALRNSAATRSDGLSSVVQKNVFLHSFLPRRKQLGHASRGRRSFGVSCAAVGNGLFTQTNPEVRRILPDSNPGLPRVKVVYVVLEAQYQSSLSAAVRSLNSSRQHASFEVVGYLVEELRDEETYQTFCKDLEDANIFIGSLIFVEELALKVKDAVEKQRDRMDAVLVFPSMPEVMRLNKLGTFSMSQLGQSKSPFFQLFKRKKQSAGFAESMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPENLQNFLKMIAGSYVPALKGTKIEYADPVLFLDSGIWHPLAPAMYDDAKEYLNWYGTRRDANERLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPTERYLIDPITNKPFVNAVVSLTGFALVGGPARQDHPRAIEALRKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFSGRDARTGKSHALHKRVEQLCTRAIRWAELKRKSKEEKRLAITVFSFPPDKGNVGTAAYLNVFSSIFSVLKGLKKDGYDVDGLPDTTEALIEDVIHDKEAKFSSPNLNIAHKMTVREYQALTPYASALEESWGKPPGNLNSDGEHLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNIYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLAELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVALPEEGEEISAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDGIYSLPGILAETVGRNIEDVYRGSDKGILADVELLRQITEASRGAISAFVDRTTNKRGQVVDVAEKLTSMLGFGLVEPWMQYLSKTKFIRADREKLRTLFQFLGECLKLVVADNELASLKQALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTAAALQSAKVVVDRLLERQKVDNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRVDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEQNYVRKHALQQASELGVPVREAATRVFSNASGSYSSNVNLAVENSSWNDEQQLQDMYLSRKSFAFDCDAPGAGMTEKRKAFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQSLRKDGRKPSAYIADTTTANAQVRTLAETVRLDARTKLLNPKWYEGMMSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFINDEEMRRRLMETNPNSFRKLVQTFLEASGRGYWETSEDNIERLRQLYSEIEDKIEGIER >Ma05_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9642966:9644743:-1 gene:Ma05_g13300 transcript:Ma05_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTCNLCFRRFSNGRALGGHMRSHFIAAAPLARPRSHGYSSDSTSSAQRAAERAVEEEEVVVEMEKEVGLCYGLRMNPRKSFRLVDPEFSSSTFAAVEAAGSCDVVQDGESETESPRGQRLPTKRPCRATAPPLDQPEGEPMSSVSDATPEEDIALCLMMLSRDSWTATVEDRPLDGSDDEDTRRPAARSRPPPRKGRSRYQCSACKKVFRSYQALGGHRASHKRTNGCRPVTEPRINSEADSVDANADAKVHECPLCFRVFSSGQALGSHKRSHLTSSATTVAGNSPLATPPPPCSPPSTSPVPATTKHGGNFGLIDLNLPAPEEDDAELSAVESTQSVPTQPARRKHAI >Ma01_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12332373:12333648:-1 gene:Ma01_g16860 transcript:Ma01_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGVVTVYGNGAALLEPKKSSTFSVKVGLAQMLRGGVIMDVVTPEQARVAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTPADDQHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRALRNMDDDEVFTFAKRIAAPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILAEVSCGLGEAMVGINLNDAKVERFATRSE >Ma04_p14590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11059014:11062414:-1 gene:Ma04_g14590 transcript:Ma04_t14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELYQVEVYQRDATGLIQTSSPSLAASATTSKVLTVAAVAAPPAFFHGKAHCWADPLSFTTTHHHPLHLETSSSSSPQDAFQRRAAASSSDIDTIKASIISHPQYSSLLSAYVNCQKIGAPPAVADRLSATAGELEARQRAALSSSHPLTDPDLDRFMEAYCQVLVKYKEELTRPVEEAKEFLRRAESQLNSITDGSLHLLSSDENSGGCSSAEDQEAGGSKTRLPKIDQCGEEVELKNFLLKRYSGHMNSLRQELSKKKKKKGKLPKEAIEKLLSWWELHHKWPYPSESEKRALAESTGLDQKQISNWFINQRKRHWKPAKDEQFIMTDGYDPSNAAALHADGGFMSDGRCHAGQ >Ma04_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11058869:11062414:-1 gene:Ma04_g14590 transcript:Ma04_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELYQVEVYQRDATGLIQTSSPSLAASATTSKVLTVAAVAAPPAFFHGKAHCWADPLSFTTTHHHPLHLETSSSSSPQDAFQRRAAASSSDIDTIKASIISHPQYSSLLSAYVNCQKIGAPPAVADRLSATAGELEARQRAALSSSHPLTDPDLDRFMEAYCQVLVKYKEELTRPVEEAKEFLRRAESQLNSITDGSLHLLSSAFSCDADENSGGCSSAEDQEAGGSKTRLPKIDQCGEEVELKNFLLKRYSGHMNSLRQELSKKKKKKGKLPKEAIEKLLSWWELHHKWPYPSESEKRALAESTGLDQKQISNWFINQRKRHWKPAKDEQFIMTDGYDPSNAAALHADGGFMSDGRCHAGQ >Ma06_p35720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35478118:35481842:-1 gene:Ma06_g35720 transcript:Ma06_t35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSRSNSTLKDELEQDADGKGEDEEAAHGFNMKSLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIILQLFYGFMGSWTAYLISVLYVEYRTRKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCSFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSYHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAITVEIMHAMWKPQKFKYIYLMATVYVFTLTLPSAAAVYWAFGDQLLSHSNAFSLLPNSGWRDAAVILMLIHQIITFGFACTPLYFVWEKVIGMHDTKSIFLRALARLPVVIPIWFLAIIFPFFGPINSTVGALLVSFTVYIIPAMAHMLTYRTPSARQDAAEKPPFFLPSWTAMYAVNAFVVGWVLVVGFGLGGWASVTNFVKQVDTFGLFAKCYQCPKPQPPAAPAPQMRHY >Ma11_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6131593:6140711:1 gene:Ma11_g07700 transcript:Ma11_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDRMTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGYDKLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIALKSGDVYIPRGVSVPALDKDILWEFEPGKLAVGDLLTGGDLYAIVFENTLMKHHVALPPGSMGKISYIAPAGQYNLNDTVLELEFQNVKKQFSMLQTWPVRTPRPVAAKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPDRTGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERGAGSDGQKITYSVIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFQKLYDDLTAGFRNLEDEVR >Ma06_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2555672:2559099:-1 gene:Ma06_g03500 transcript:Ma06_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLGGGGGRAYGFDLDIVKPSSPSSGRSSDSSSPSSTLSESSNTISIKRARTPRKRPNQTYNEAAALLSTIYPNIFSTKSLKKLSKNPRTLASFPESSDLLPPFPVLGDAGFLIDKIQPEKPAAVRVELKLKVPFEKECTSPVSNVSWEPNSPNPFDDDDDFDAESILDQEVEAGIDSIMGNLNTNTPTDDDTSEGSNHSNSGINPLLRSLIGCKMGGKFELGLGFRLRRNLQRALRNSDEGYWWRSPTVPVRDITPDINPSTATVTPPALEKKKKKKVDKEEVDGTKNSASATPNPPQEKLKASLGLKLSHEEVINAWSDSGSIFSDGPDSPNSSADALARLADIDLFLDAAGVGGVREASVLRYKEKRRSRLFSKMIRYQVRKTNADRRPRMKGRFVRRPSLLQQAIEEERQ >Ma10_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26978889:26983582:1 gene:Ma10_g14600 transcript:Ma10_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid rhodanese-like [Source:Projected from Arabidopsis thaliana (AT4G01050) TAIR;Acc:AT4G01050] MASSHLPFLLTITNPIRSRTNLPLPSLGKLLCVFELARSPWNDGISTVLLASACCSLFRRLGDQDNYAMQVLNAAGLAPVASLHKATPSLERRPEPRRLLQFRLPKLAALSSIFGAGGLAKALTYEQALDQTVGSSSLDFDVGGLLDGIVNFGTENPLLLGGGALVLAVPLILSQILKKPKNWGLASAKSAYAKLSEDGNAQLLDIREGKDFKEVGRPDLRGLKKKAVAIAYKGEDKPGFLKKLESKFKDPTNTTLFILDKFDGKSELVAELVTVNGFKAAYAIKDGAEGPRGWMKSGLPWVEPKKTLTLDFGDLKDAVTSGFGDGLDGLPITLGLAAATGLGIFAFTEIETLLQVLGSAALVQLFTKRFLFAEDRKVTLRQLDEFLNTKVAPKELVDEIKMIGKALLPADSNAKASLPAPTDASAAPAPTQKTDAVTSTEPLPAVNSVPKAEVKEESPPVTPRPLSPYPYYPDLKPPSSPSPSQP >Ma10_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1261682:1272013:1 gene:Ma10_g00110 transcript:Ma10_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITPCGSNDDRNVVDVNDLRKSEMYGKLFLYNFALVTKSAIILFAVSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Ma01_p08100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5804506:5814908:1 gene:Ma01_g08100 transcript:Ma01_t08100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPPEYATAMAFAQQQQQQANMPSQQQFGFHPQTQQFPHQVHGPAFLPHPSLQQFPPYHRPFPLPQQLYPHLPLNLQQQQQQQPPPSFAPHNPPPHLMPPPAYSHPYESAPPPAAPPSDPELQKRIDKLVEYAVKNGPEFEAMIRDKQHDNPAYSFLFGGEGHNYYRYKLWLSKRQSGAPFNHSFTPSSLPMMPNSVLNSSALNLPPLGTAVGPTGSLLGASQLHQPSYPPFYDQHQPVHSQTFIGQPRADYEPSTKSFKGLSGPLPSDVAAELNSVLANLSGTKESIKGAKMWFMQRSPFAPALAEALRDGVFSLDDSERQIHIIFLVNDILFESLQRRINPQELDNEALAFRPVLGSMLARIYNNPHNKDANQPRLEKILHFWASKEVYDQETIMSLEREMTGGLPFRSAAQKELIAGSDPSSFTGVTAHQWPDKQSSDLGSLGQQDTNKHFPPTSALPFAPAVSQQLAMNQLPSGVYPPAAQTSLSGTLLIQTPIAQLQPNAAPSTTDQAPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPPSETLDRVSKFFKEIGEVNPSEGPMRQSESKDEYQEYERESPVRKGGACIPPPTNLQLDPETGAYADGSVDRSSSGRLGLGATANPNEVSQYDDVYTSYRKQRSTNYHSSMSAKAGTR >Ma01_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5804506:5814908:1 gene:Ma01_g08100 transcript:Ma01_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPPEYATAMAFAQQQQQQANMPSQQQFGFHPQTQQFPHQVHGPAFLPHPSLQQFPPYHRPFPLPQQLYPHLPLNLQQQQQQQPPPSFAPHNPPPHLMPPPAYSHPYESAPPPAAPPSDPELQKRIDKLVEYAVKNGPEFEAMIRDKQHDNPAYSFLFGGEGHNYYRYKLWLSKRQSGAPFNHSFTPSSLPMMPNSVLNSSALNLPPLGTAVGPTGSLLGASQLHQPSYPPFYDQHQPVHSQTFIGQPRADYEPSTKSFKGLSGPLPSDVAAELNSVLANLSGTKESIKGAKMWFMQRSPFAPALAEALRDGVFSLDDSERQIHIIFLVNDILFESLQRRINPQELDNEALAFRPVLGSMLARIYNNPHNKDANQPRLEKILHFWASKEVYDQETIMSLEREMTGGLPFRSAAQKELIAGSDPSSFTGVTAHQWPDKQSSDLGSLGQQDTNKHFPPTSALPFAPAVSQQLAMNQLPSGVYPPAAQTSLSGTLLIQTPIAQLQPNAAPSTTDQAPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPPSETLDRVSKFFKEIGEVNPSEGPMRQSESKDEYQEYERESPVRKGGACIPPPTNLQLDPETGAYADGSVDRSSSGRLGLGATANPNEVSQYDDVYTSYRKQRSTNYHSSMSAKAGTR >Ma01_p08100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5804506:5814908:1 gene:Ma01_g08100 transcript:Ma01_t08100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPPEYATAMAFAQQQQQQANMPSQQQFGFHPQTQQFPHQVHGPAFLPHPSLQQFPPYHRPFPLPQQLYPHLPLNLQQQQQQQPPPSFAPHNPPPHLMPPPAYSHPYESAPPPAAPPSDPELQKRIDKLVEYAVKNGPEFEAMIRDKQHDNPAYSFLFGGEGHNYYRYKLWLSKRQSGTAVGPTGSLLGASQLHQPSYPPFYDQHQPVHSQTFIGQPRADYEPSTKSFKGLSGPLPSDVAAELNSVLANLSGTKESIKGAKMWFMQRSPFAPALAEALRDGVFSLDDSERQIHIIFLVNDILFESLQRRINPQELDNEALAFRPVLGSMLARIYNNPHNKDANQPRLEKILHFWASKEVYDQETIMSLEREMTGGLPFRSAAQKELIAGSDPSSFTGVTAHQWPDKQSSDLGSLGQQDTNKHFPPTSALPFAPAVSQQLAMNQLPSGVYPPAAQTSLSGTLLIQTPIAQLQPNAAPSTTDQAPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPPSETLDRVSKFFKEIGEVNPSEGPMRQSESKDEYQEYERESPVRKGGACIPPPTNLQLDPETGAYADGSVDRSSSGRLGLGATANPNEVSQYDDVYTSYRKQRSTNYHSSMSAKAGTR >Ma01_p08100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5804506:5814887:1 gene:Ma01_g08100 transcript:Ma01_t08100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQPPEYATAMAFAQQQQQQANMPSQQQFGFHPQTQQFPHQVHGPAFLPHPSLQQFPPYHRPFPLPQQLYPHLPLNLQQQQQQQPPPSFAPHNPPPHLMPPPAYSHPYESAPPPAAPPSDPELQKRIDKLVEYAVKNGPEFEAMIRDKQHDNPAYSFLFGGEGHNYYRYKLWLSKRQSGAPFNHSFTPSSLPMMPNSVLNSSALNLPPLGTAVGPTGSLLGASQLHQPSYPPFYDQHQPVHSQTFIGQPRADYEPSTKSFKGLSGPLPSDVAAELNSVLANLSGTKESIKGAKMWFMQRSPFAPALAEALRDGVFSLDDSERQIHIIFLVNDILFESLQRRINPQELDNEALAFRPVLGSMLARIYNNPHNKDANQPRLEKILHFWASKEVYDQETIMSLEREMTGGLPFRSAAQKELIAGSDPSSFTGVTAHQWPDKQSSDLGSLGQQDTNKHFPPTSALPFAPAVSQQLAMNQLPSGVYPPAAQTSLSGTLLIQTPIAQLQPNAAPSTTDQAPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPPSETLDRVSKFFKEIGEVNPSEGPMRQSESKDEYQEYERESPVRKGGACIPPPTNLQLDPETGAYADGSVDRSSSGRLGLGATANPNEVSQYDDVYTSYRKQRSTNYHSSMSAKAGTR >Ma06_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19186456:19197800:1 gene:Ma06_g22650 transcript:Ma06_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PECTIC ARABINOGALACTAN SYNTHESIS-RELATED [Source:Projected from Arabidopsis thaliana (AT3G26370) UniProtKB/Swiss-Prot;Acc:Q9LIN9] MAELRHSASMGNRASAGSSPVKRDDPSAPFVSASGADGGGRDRDRDRDKDPHRAPFASSVLHLPPPIRSLLALEDPRVSTSTAYRILVAFLAFLVLAGFLSLPSLWSRLNAPYLCRKEGIVLHCPRVKEPPSLWENPYSATTSWKPCAERREGGISDLPSENETNGYIFIHAEGGLNQQRIAICNAVAVAKIMGATLILPVLKQDQIWKDQTKFEDIFDVDHFIEYLKDDVRIVRDIPEWFVDKAELFTSIRRTVKNIPKYASADFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPEIEEMAEKLAARMRNRTGNVNPYMALHLRFEKGMVGLSFCDFVGTREEKAMMAAYRQKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASKEEIDHFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRLKSIKPDKGLMSKSFGDPYMGWASFVEDVVITHHTRTGLPEETFPNYDIWENPLTPCMCRA >Ma08_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35280881:35285723:1 gene:Ma08_g21400 transcript:Ma08_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSMSPLPPRKWSDLEMLVNARSDTLRNFLANLHEVLLGTKVFPLFTVVPLAIAARCFRFDQYLIVIFLVHWSKQAWVFSLSLIGLVPLAERMSFLSEQIAYYAGPTVGGLLNATCGNAPELIIALLALHKDKIGVLKWSLLGSTISNLLLVLGSSLFCGGLANLNKERQFDRKQADANLMLLLLASLCHILILTYCYVVKNDIYMVDAISTLELSRTCSIAMLVAYIGCMFFQLMTHRQHFESQEEDDDIVSDTPVIGFAGSFAWLVGMTMLVTILSNYVISTIEAASESWGMPISFISVILLPIVGNATEHAGAVIFAFKNKIDITLGISLGSSAQISLFLVPLTTVVAWIKDIHMDLNFKLLETGTLVLAILITTFTLQHVLCILDLVYDLAAQEPR >Ma06_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19786447:19791645:-1 gene:Ma06_g22870 transcript:Ma06_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHRSLKTQLVLRVQNGSNHWPIVWLLL >Ma04_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23340973:23343682:1 gene:Ma04_g20560 transcript:Ma04_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPSKYAHSPAHKAVLARDYAGLKRVLAALPRLADPSSIRTEAASFAEEEKAEAISAVVDRRDVPNRETPLHLAVRLGDAAAVEMLMAAGADYSLQNEQGWSALQEAICAREENLAKIIIRHYQLLAWAKWCRRLPRVVATMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGSNLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCVISHKDKVVMSALNGAGTPPTEAEVQQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQERSEMVGPWKAKVYEMHHVMVSMKSRQVPGAMTDEEFFSACDDNDTESEEFEDVLTEEERKQLESALKVKSPDMLDQVHSDAYVARRHSCNEPREMAVEDACSSSSSRNSENRQDRRSWFGNWGKRGSNHKQDGQRKAVPPSVILVDSPPGHQSRPERRSIEVVKRPATSKESEYRKGLRPILWLSPDFPLQTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFSKFEELQPLEEFWTPPSSPESSRTPETQASSSWIQWIKAPYRQAYAASPGPGNRVEDVQDPFGIPPDYTWTTAEARRKKTQEGTSKSKQVKGRNR >Ma06_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13393007:13396526:1 gene:Ma06_g19530 transcript:Ma06_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGAPEIQPDGLQTLYLMNPSYVGYSDAAAPANMVLLNSTLNSMNPINLAQASQPNHHQQQQFVGIPLQTAAQPHESYRPPPIHAPHDLSAAVQGLIPRLHYNLWTPATSSNPVDMASQFGPRRPSAVPSAQQGLSLSLSPHDMVAPAPEITPASANGATTVASASMMPGAANGASGSQSFLMGSKYLKAAQQLLDEVVNVEKGIKSEPAKGATSKDPADSSNAELKCLGAGATDDNSKEKQVADLTTAERQELQMKKAKLINMLDEVEQRYRQYHHQMQIVISSFEAVAGYGSARTYTALALRTISKQFRCLRDAITGQIQATSKSLGEEESKSGGSRLRFIDHHLRQQRALQQLGMIQPNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKMMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKDQEQNNSDENASKSDAHGSSTSKSNAPQEGSPTATPPSDSLKNDLKQTLASVQPSSFVHGQNQAYYDRDAIAQPRVKKARSNDSPHVNPMANPLTVGSKPDETSNHEILMQLMDGRQGVGEQGYPLLAGTTSHGGNYGAYPMGELRTFDAEHFSRRFSGNGVSLTLGLQHSGNLPLSGAQASLLSSDSMRLGRRLETSSEGSDYCSLNHNTAAAHSSNAAFRADRGLLLNYYQAS >Ma09_p28500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39230194:39231851:-1 gene:Ma09_g28500 transcript:Ma09_t28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLASVPMVVSTMLFLIIFIKKGFSKSKVQCPRPPPGPWRLPIIGCMHHLASRLSFRVFRHLSLTYGPLMLVRIGQVDFAVASSREAAREILKNQDPNFAARPEVVVGDIVFYGCSDVIFSPYGPYWKQLRYICFMELLRTKRVRSFASIREEETLNVIRDISTAAQPINMREKLFRMSNAIISRAAIGPRSKHQETFILVAREVIDVLGEFYAVDMFPSLKLIHVLSGAKNKLQRIRRRLDKIFDDIIKEHEVKANMNKGRQVAEVEEDIVDALLRLKDESELQVPMTMDGIKAVILDMLVGGTENSSVVIEWAMSELMRNPKIMEKAQKEVMEELKGKNRIQETDVVELNYLKSIVKETLRLHPPVRLIPRMCRKTCEVLGYEIEAGTPVLVNAWAINRDPQYWEEAESFRPERFEGKSIDFKGGNFEYLPFGAGRRICPGLGFGLATIHLSLAQLLLYFDWKLPDGRKSEELDMSETLGVSVTRKTELKLSATPRIPIPSTV >Ma08_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8671952:8675739:1 gene:Ma08_g11680 transcript:Ma08_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRWDFLEWLGPDASTAVLMLLDDPGDLVRVSSVSRSWRRFVIANGFTKNLCLRISPEVSKFAQVVEVSTVSKTTEVGSSSAVEWQSMEREHKVYLYLGHCLSSPKGKRDCIYQAICASSTDNYPDESIENTLEPSEIADRRPSYWSSGGQREASVPESLTYRLVANLCIVSEIKIRPFKAFFQPGDPVYSAKSVRFRMGYSRLGQGLSSCITNEYAEHQSADDDNYYWTYTSPEFPMLQENVLQSFKLPSPVICLGGILQIELLGRVQKQETDDLYYICVCHVQVIGRPLSPVLGLDILESTGSLVLKFFPEARSCTVSEGTEEDEAGESPSRHSSTVTLGHLRAVGRWNGASLSALLGPMQFSDDDNDGDSDGGDDDAFEEEPAA >Ma02_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20886694:20888198:-1 gene:Ma02_g12000 transcript:Ma02_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTKACAPYLGIVVVQLAYAGSNILCKLALEQGLSFLVFVVYRHLIALLILAPLAYVLERNRRPSLSFPLLVKVFILAMFGITIHQNVYYLGLDYTSPTVASALSNVIPALTFILTAILRMEKASLRSPKGRARIVGTIFCISGALVFTFWKGFLLEGFVKRPLIEMHAEGDVHHKEDWLKGSVLILTSYIAYTAWLILQAIICEVYPARLSLNTMICFFASLQSSAVALVFERNAASWRLNWNLQLLTIIYCGTVISCLTYYLLTYCIGEKGPVFAATFIPLQLVIVGFLSAFIFAERLHIGSLIGVFIIIVGLYCVLWGKSRYSNE >Ma00_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19515329:19519792:1 gene:Ma00_g02640 transcript:Ma00_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLSRVARSGIAHRLMSVSCRWQPVGDGFRSLRESPADPATISSVKWSPCQMDLLPRHSAFLTARSHRFSFSSSVSPNHTDKEANQSGNNDDVPGSSSEVRNSNEAEKTGSGSTITGQSHEADFSLDSKSQSSKRMKSPRRTEFSDSDAEADLSIDDLVKLVAEKEELLKLKHKEIEKMQDKVLRSCAEVENVIDRTKREAENSKKFAIQSFAKSLLDVADNLGRASSVVKESFSKIDESKDSVGAVPLIKTLLEGVEMTDKQLVEVFRKFGVEKFDPLDEQFDPHRHLAAFQIPDSSKPPGTVAVVLKKAVVYCQEAEA >Ma00_p02640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19515329:19520479:1 gene:Ma00_g02640 transcript:Ma00_t02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLSRVARSGIAHRLMSVSCRWQPVGDGFRSLRESPADPATISSVKWSPCQMDLLPRHSAFLTARSHRFSFSSSVSPNHTDKEANQSGNNDDVPGSSSEVRNSNEAEKTGSGSTITGQSHEADFSLDSKSQSSKRMKSPRRTEFSDSDAEADLSIDDLVKLVAEKEELLKLKHKEIEKMQDKVLRSCAEVENVIDRTKREAENSKKFAIQSFAKSLLDVADNLGRASSVVKESFSKIDESKDSVGAVPLIKTLLEGVEMTDKQLVEVFRKFGVEKFDPLDEQFDPHRHLAAFQIPDSSKPPGTVAVVLKSGYLLYDRVIRPAEVGVTEALDDGTDQSTSK >Ma00_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:24628207:24628782:1 gene:Ma00_g03060 transcript:Ma00_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIQERMVARLRHLGIKVNGIEEDDRCVLPMDGPLPLLPQRVVGIGGTAGMVHPSTGCMIARTLAAAPVVANSIVEYLGPIHGLLGDELSSRVWKDLWPIEGRRQREFCFGMDVLLKLDLQATRRFFDALFDLEPRYLLGFRSSRLILPELVQFGLSLFSHTSNTSRLEIMSKGSLPLVNMVSNLLQEKH >Ma05_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6501279:6501914:1 gene:Ma05_g08850 transcript:Ma05_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGAGLIRRRRRRRRRRLGAEDLVRQQHLLDGVHHQREVGVDGVVDGNLDDARGDVGLVVRRRGHVERPHAGLPRRLRHHRVGRGLERGQAVVAREDVVEDELDLLLLAEAVECADLEAGKGVVGGGEQGEPVVAVVELAVDLLVHLGLAEEADEGGVPPALGEDGSDVELAGGGGRSGFRLGLYEGACQDEHCGQAEHHEDRCGGHRR >Ma05_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:137073:144589:1 gene:Ma05_g00190 transcript:Ma05_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPVHEETNKGSMWELDQNLDQPMDEEAGRLRNMYREKKFSSILVMRLAFQSLGVVFGDLGTSPLYVFYNTFPHGVEDAEDIIGALSLIIYSLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKVNTIPNQHRTDEQLTTYSRQTYDENSLSGKVKRWLESHAYKKNALLILVLVGTCMAIGDGILTPVISVLSASGGIKVDHPKMSNDVVVLVAVVILVGLFSMQHYGTDKVGWLFAPIVLLWFLLIGVIGALNIWKYDSSVLKAFSPVYIYRYIRRGKRDSWVSLGGILLSITGTEALFADLCHFPVLAVQIAFTTIVFPCLLLAYTGQAAYIVCNTGHVSDAFYRSIPDGIYWPMFIIATAAAIVASQATISATFSIIKQALALGCFPRVKVVHTSRKFLGQIYIPDINWVLMILCIAVTAGFKNQSQIGNAYGTAVVIVMVVTTFLMIPIMLLVWRSHWILITIFTALSLLVEVPYLSAVLFKIGQGGWVPLVIAAAFLIIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPIEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDDDFEKMLFDSLSLFVRLESMMEGYSDSDEYSLFGQHMEKSIDLTSTENGNENTFYSNVDLSYASSYDLIQPAQSQGSSLMRSSGQTSQTTGDELVFLNRCKEAGVVHILGNTIVRARRESGFAKKIAVDYIYAFLRRICRENSVIFNVPHESLLNVGQIFYI >Ma04_p32790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32702627:32703441:1 gene:Ma04_g32790 transcript:Ma04_t32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPAGSIHDFTVRMPREMMWILAFTKGRSC >Ma08_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2395251:2399630:1 gene:Ma08_g03220 transcript:Ma08_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQLWFLLLFFLLFHLLLHPCLPLSSAHPLDPLTAAEISTIRRVIQSSHLGASKSLAFHYVGLDEPDKTDVQAWAESATRGRRQRRRAFVVARSGGETHEIVVDVADASVVSDRVYRGSGYPIQTLDELEAAAALPLNYTPFVESARRRGVALSDVVCSPLTAGWFGEPGRGRRRLKLQCCVAGETANFYMRPMEGITVVVDLDEMRIIGYRDRVVVPVPKAEGTDYRAAKQRPPFALETKPGVVVQPAGKGFRIDGHVIRWSNWDFHLSYDARAGLVISLASVYDAQKGARRRVLYRGYVSEVFVPYMDPAEEWYFRTFFDAGEYGFGLWASPLEPMTDCPANAEFMDGYYATQDGEPVKLPNVFCVFERYSGDAAWRHTELGIPGQMIREVRPEVSLVVRMVGALGNYDYVTDWEFKTSGSIKVGVSLTGILEVKGTPYTHTDQITTDVHGSLLAENTIAVYHDHFVTYYLDLDIDGLNNTFIKSKLKTARVADGGDVPRRSYWTVTREVAKMEADARVEIGSVPAELLIVNPNKKTKMGNHVGYRLITNGAPAASLLSDDDYPQMRASYGKKQVWVTPYNKSEKWAAGLYVDNSRGDDNLAAWSLRNRAIENVDIVVWHTVGFHHIPYQEDFPLMPMLSSGFELRPSNFFEINPLIRLRPTKHTHLPNCTLIV >Ma04_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25940846:25945425:1 gene:Ma04_g23880 transcript:Ma04_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKIYHFDEVAKHKGAKDCWLIISGKVYDVTQFMDEHPGGDDVLLTASGKDATNDFEDIGHSNAAREMMDKYYIGKIDASTLPTKDSYVQKQQVIHKPDNSPEFVIKILQFLLPVMILGLAFAVRYFTKVD >Ma06_p27110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29121350:29140866:-1 gene:Ma06_g27110 transcript:Ma06_t27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAVEPIEEVGESSSPPRAVGGPGVYDIKNEIYESLVASGNEEVLSNPHFKEQFDAHFSRLPASYKLDLNVDRAEDVLIHQKVLTEAKDPDKRPVFHVRFIKLEEQNLDVTKNNEDTEDESDFGDALSARHDVTYAVIHEIIFSTTDKPKLLSQLSTLLSDIGLNIREAHVFSTIDGYSLDVFVVDGWSEEDTDDLHKEIEAAIIRNEGSWSGSSHSSATERILSVQLKADDWDIERKLLKMGEKIASGSCGDLFRGSYLGQDVAIKVIRSDHLNESLLLEFHQEVSILKKIQHENVVRFIGVCTKPSQFCIVTEYMHGGNLYDYLHKHHNILELTMLLKFAIDICKGMEYLHQNNIIHRDLKTANLLMDSNLVVKVADFGVARIQNQAGVMTAETGTYRWMAPEVINHLPYDQKADVFSFAIVLWELTTSKIPYETMTPLQAALGVRQGLRPVLPEYMHPRLLDLMQRCWEAAPAERPTFSDIRVELEELLQLIQEARATNHQENNASKEYEKQVFLQN >Ma01_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27496110:27503008:-1 gene:Ma01_g23270 transcript:Ma01_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQILLNAQSVDGTVRKHAEESLKQFQEQNFPTFLLSLSSELANNDKPVDSRKLAGLILKNALDAKEQHRKNELVQRWLSLDVAVKAQVKACLLQTLSSPVPDARSTASQVIAKIAGIELPQKQWPELIGSLLSNIHQLQPHVKQATLETLGYICEEVSPQVVDQDQVNKILTAVVQGMNASEGSTDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLCPELKIRQAAFECLVAISSTYYDKLASYMQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIDILDEYGGDFTADSDIPCYYFIKQALPALIPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENINKPDWRQREAATYAFGSILEGPSPEKLIPLVNVALNFMLSALMKDPNNHVKDTTAWTLGRIFEFLHGSTVETPIITHENCQLILTVLLQSMKDVPNVAEKACGALYFLAQGYEDVSSGSPMSPLFQELIQALLNVTHREDARESRLRTAAYETLNEVVRTSTDETAPIVTQLLPVIMMELHQTLEAQKLSSDEREKQNELQGLLCGCLQVIIQKLGASEATKYAFMQYADQIMEPFLRVFASRNATVHEEAMLAVGALAYAVGGNFIKYMQGFYPYLEMGLQNFQEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCLGDIALATGENFEKYLIYAMPMLQSAAELSAHAVATDDEMLEYTNQLRNGILEAYSGILQGFKNSSKTQLLMRYAPHILQFLDTLYNEKDMDDAVMKTAVGVLGDLADTLGSHAGTLINQSVASKEFLEECLSSDDQLIKESADWAKLAISRAISG >Ma01_p23270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27496110:27502999:-1 gene:Ma01_g23270 transcript:Ma01_t23270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQILLNAQSVDGTVRKHAEESLKQFQEQNFPTFLLSLSSELANNDKPVDSRKLAGLILKNALDAKEQHRKNELVQRWLSLDVAVKAQVKACLLQTLSSPVPDARSTASQVIAKIAGIELPQKQWPELIGSLLSNIHQLQPHVKQATLETLGYICEEVSPQVVDQDQVNKILTAVVQGMNASEGSTDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLCPELKIRQAAFECLVAISSTYYDKLASYMQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIDILDEYGGDFTADSDIPCYYFIKQALPALIPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENINKPDWRQREAATYAFGSILEGPSPEKLIPLVNVALNFMLSALMKDPNNHVKDTTAWTLGRIFEFLHGSTVETPIITHENCQLILTVLLQSMKDVPNVAEKACGALYFLAQGYEDVSSGSPMSPLFQELIQALLNVTHREDARESRLRTAAYETLNEVVRTSTDETAPIVTQLLPVIMMELHQTLEAQKLSSDEREKQNELQGLLCGCLQVIIQKLGASEATKYAFMQYADQIMEPFLRVFASRNATVHEEAMLAVGALAYAVGGNFIKYMQGFYPYLEMGLQNFQEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCLGDIALATGENFEKYLIYAMPMLQSAAELSAHAVATDDEMLEYTNQLRNGILEAYSGILQGFKNSSKTQLLMRYAPHILQFLDTLYNEKDMDDAVMKTAVGVLGDLADTLGSHAGTLINQSVASKEFLEECLSSDDQLIKESADWAKLAISRAISG >Ma01_p23270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27496110:27502999:-1 gene:Ma01_g23270 transcript:Ma01_t23270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQILLNAQSVDGTVRKHAEESLKQFQEQNFPTFLLSLSSELANNDKPVDSRKLAGLILKNALDAKEQHRKNELVQRWLSLDVAVKAQVKACLLQTLSSPVPDARSTASQVIAKIAGIELPQKQWPELIGSLLSNIHQLQPHVKQATLETLGYICEEVSPQVVDQDQVNKILTAVVQGMNASEGSTDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLCPELKIRQAAFECLVAISSTYYDKLASYMQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIDILDEYGGDFTADSDIPCYYFIKQALPALIPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENINKPDWRQREAATYAFGSILEGPSPEKLIPLVNVALNFMLSALMKDPNNHVKDTTAWTLGRIFEFLHGSTVETPIITHENCQLILTVLLQSMKDVPNVAEKACGALYFLAQGYEDVSSGSPMSPLFQELIQALLNVTHREDARESRLRTAAYETLNEVVRTSTDETAPIVTQLLPVIMMELHQTLEAQKLSSDEREKQNELQGLLCGCLQVIIQKLGASEATKYAFMQYADQIMEPFLRVFASRNATVHEEAMLAVGALAYAVGGNFIKYMQGFYPYLEMGLQNFQEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCLGDIALATGENFEKYLIYAMPMLQSAAELSAHAVATDDEMLEYTNQLRNGILEAYSGILQGFKNSSKTQLLMRYAPHILQFLDTLYNEKDMDDAVMKTAVGVLGDLADTLGSHAGTLINQSVASKEFLEECLSSDDQLIKESADWAKLAISRAISG >Ma01_p23270.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27496110:27502999:-1 gene:Ma01_g23270 transcript:Ma01_t23270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQILLNAQSVDGTVRKHAEESLKQFQEQNFPTFLLSLSSELANNDKPVDSRKLAGLILKNALDAKEQHRKNELVQRWLSLDVAVKAQVKACLLQTLSSPVPDARSTASQVIAKIAGIELPQKQWPELIGSLLSNIHQLQPHVKQATLETLGYICEEVSPQVVDQDQVNKILTAVVQGMNASEGSTDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLCPELKIRQAAFECLVAISSTYYDKLASYMQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIDILDEYGGDFTADSDIPCYYFIKQALPALIPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENINKPDWRQREAATYAFGSILEGPSPEKLIPLVNVALNFMLSALMKDPNNHVKDTTAWTLGRIFEFLHGSTVETPIITHENCQLILTVLLQSMKDVPNVAEKACGALYFLAQGYEDVSSGSPMSPLFQELIQALLNVTHREDARESRLRTAAYETLNEVVRTSTDETAPIVTQLLPVIMMELHQTLEAQKLSSDEREKQNELQGLLCGCLQVIIQKLGASEATKYAFMQYADQIMEPFLRVFASRNATVHEEAMLAVGALAYAVGGNFIKYMQGFYPYLEMGLQNFQEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCLGDIALATGENFEKYLIYAMPMLQSAAELSAHAVATDDEMLEYTNQLRNGILEAYSGILQGFKNSSKTQLLMRYAPHILQFLDTLYNEKDMDDAVMKTAVGVLGDLADTLGSHAGTLINQSVASKEFLEECLSSDDQLIKESADWAKLAISRAISG >Ma01_p23270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27496110:27502999:-1 gene:Ma01_g23270 transcript:Ma01_t23270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQILLNAQSVDGTVRKHAEESLKQFQEQNFPTFLLSLSSELANNDKPVDSRKLAGLILKNALDAKEQHRKNELVQRWLSLDVAVKAQVKACLLQTLSSPVPDARSTASQVIAKIAGIELPQKQWPELIGSLLSNIHQLQPHVKQATLETLGYICEEVSPQVVDQDQVNKILTAVVQGMNASEGSTDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLCPELKIRQAAFECLVAISSTYYDKLASYMQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIDILDEYGGDFTADSDIPCYYFIKQALPALIPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENINKPDWRQREAATYAFGSILEGPSPEKLIPLVNVALNFMLSALMKDPNNHVKDTTAWTLGRIFEFLHGSTVETPIITHENCQLILTVLLQSMKDVPNVAEKACGALYFLAQGYEDVSSGSPMSPLFQELIQALLNVTHREDARESRLRTAAYETLNEVVRTSTDETAPIVTQLLPVIMMELHQTLEAQKLSSDEREKQNELQGLLCGCLQVIIQKLGASEATKYAFMQYADQIMEPFLRVFASRNATVHEEAMLAVGALAYAVGGNFIKYMQGFYPYLEMGLQNFQEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCLGDIALATGENFEKYLIYAMPMLQSAAELSAHAVATDDEMLEYTNQLRNGILEAYSGILQGFKNSSKTQLLMRYAPHILQFLDTLYNEKDMDDAVMKTAVGVLGDLADTLGSHAGTLINQSVASKEFLEECLSSDDQLIKESADWAKLAISRAISG >Ma04_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23395453:23398204:-1 gene:Ma04_g20610 transcript:Ma04_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQLRRSSLSTTLLLLVFLSPFVVLVRAASVLGSQGRALLHWKATLRSPQLLASWNVGSSPCNWTGVACNIARNGRLVVYEIQLPNLSLAGPLDALNFSAFRSLANLNLSYNQLNGNIPPTISALSNLVSLDLTANRFTGRIPVDIGSMKALQFLNISQNQISGPIPPSLSNLSRLTEMQLEDNKITGVIPEELGRLAKLIYLELGANQLTGSIPPSLGNMTKLYHLALYGNQLTGFIPRELGNLINLAHLSLSNNSLTGRIPSSVGNQSKLEILYLWMNELSGSMPPEIGKLHQLTTLALQFNQLSGQIPPLLGILRNLIDLRLSYNHLSGSLPPEMNNITETCKGGALRILMLSNNSFQGPIPATLKNCTSLYTVHLEHNQLNGDVSKQLGVYPNLKYLDLSFNKLSGTISSDWGRWHNLTFLGISNNNITGVVPSELGQLSKLEELDLSSNYFQGEIPRSLGNLAHLYNFNLSNNQLIGEIPAELGRMSDLELLDLSRNGLIGRIPYQIGNCMKLHLLKLNNNNLSGSIPFEMGNLVYLQDALDISHNSLTGEIPTQFGKLFMLQNLNLSHNGLTGNLPSTFRDMRSMFIIDVSYNELEGPVPDNKHFQEAPVEWFVHNKGLCGVVKGLPLCASSTANEHHGSKHHTVIILSIVASMVVLLLLLVLVGIALRFQKTKKHTVQAVKNPIKQGTFSVLNFDGKVAYKDIIEATEDFDEKYLIGTGAYGSVYRAELSSGEVLAVKKIHLSEHENTVDEQPFQNEIETLTRIRHRNIVKLYGFCTSPHHKFLVYEYVERGSLGSVLRIEAAAAELDWVKRVNIVKDVARALSYMHHDCVPPIVHRDVTSNNILLDSEFKACVSDFGIARLLNPDTSNWSMLAGTHGYLAPGNILINIL >Ma11_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19053760:19055612:-1 gene:Ma11_g13890 transcript:Ma11_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFVPVPLIRRRSSPPPFIRHHLPLLLSPLRHLDTLAPPALPLPRYPSAKDAYFAVVHHIAGIVRRDFYLERTLGRLRLPPPTPDLVLRVLRAAAPSTPLPALRFFAWARSTSPSYRPSAAEFDALILPLARHRHWSQMWSVAADMRSLSLPLLPSTFASVIDAYGQAALPDLAVDVFNRLPKFDCPQTTAIYNALLSALCRVGNFHGAYALIRRMARKQVPPDRQTFSTLVDAWCAAGKLREAQDFLEEMNRRGFKPPVRGRDLLIDGLVNAGCLEAAKEMVRRMTKEGVLPDVTTFNSLLEAVCKSGEIDFCVGLLQDASELGLCPDISTYKILIPAVSKLGKIEEAFRLFYCAVEDGNKPFPSLYAAIIKALCRAGRFSDAFGLFKDMKVKGHPPNRPVYTMLVKMCVRGGRFVEAANYLVEMTEMGLVPMPQSFDSVVDGLKHCGKHELAKRLEQLEVSIRGY >Ma06_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12548813:12549441:1 gene:Ma06_g18410 transcript:Ma06_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSYIAALALICLCLFYHLEITAATEFTVGDSAGWSFNVQNWPNGKSFKAGDVLVFKYGLGTHNVVVVDAEGYNSCKPKSDSKTYTSGNDKITLAAGTSYFICSYPGHCEAGVKIKVTAS >Ma01_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9081184:9083854:-1 gene:Ma01_g12490 transcript:Ma01_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETYDSPIEQVRLTVPATDDPSLPCLTFRTWTLGLVSCVLLSFVNQFLGYRENQISLSSVCVQILALPVGRAMAAILPTTRIKIPLTNWSFSMNPGPFNLKEHVLITIFANAGAGGVYAVNIVTIMKAFYHRGINIIAALLLSETTQLLGFGWAGLFRKYLVDSPYMWWPGNLVQVSLFRALHEEEKRPKGGVSRFQFFLIVITCSFAYYVVPNVLFPSITAISVICLIWKKSVTAHQIGSGLHGLGVGSFGLDWSTIAGFLGSPLASPAFATFNILAGYIFLVYVIVPIAYWSNAYGSKNFPVYTSSLYDVYGKKYDLGRVLDQKTFTLNITEYEKYSDIRLSIMFAISYGLGFATLTATLAHVFLFNGSYILKLWRQTASKMHDNYLDIHGRLMKANYESVPQWWFHIILVVVTALAIFTCEGFGKQLQLPYWGILLAMAMAFIFTLPIGVILATANQEPGLNIITEMVIGYIMPGKPLANVVFKTYGYISMTQAHTFLSDFKLGQYMKIPPKAMFFVQLVGTVVASAVYFGTAWWLLGTITSICDTSKLPEGSPWTCPSDAVFFSASIIWGVVGPLRMFGPESIYSSLNYFFLAGALAPFFVWLLSRFFPQKKWIKLINFPVLLGATAMMPPAHAVNYTSWFVVGIIFNYYVYNKYKNWWGRYTYVLSAGLDAGTAFMAVLAFFALNNYNIYSVAWWGGDADDHCPLAQCPTAGSYVPEGCPSFR >Ma01_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1803754:1804808:1 gene:Ma01_g02710 transcript:Ma01_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTCSAPWDCKPSPPPLGFMDLGLPYAFLKLGTPNVTFLVALDAGSDLFWVPCDCHQCAVAKFDQQNFEFDIYSTSNSSTSQKITCNNSLCDNQNACTAETSSCPYIVQYLSANTSTSGVLVEDVPYLMTEDETPRIVEAPIVFGYVAFNYYNHLRLEW >Ma09_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4035165:4036834:-1 gene:Ma09_g06290 transcript:Ma09_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLANPALDEIPLVRPQKHGAFFSAIPVVDLSRPFSAEALVKACEDVGFVKVINHNVPMDVVQRLEAETVKFFEMPQVFKETSGPADPFGYGNKRIGPNGDVGLVEYLLFGITSKPLSYTSMSFLEEPSACLFGPALSEYLVAVKKLASDVLELIAEGLKIEPRDLLNGLVTDEQSDGLFRLNHYPRCPLLERLDRSWTGFGEHTDPQIISVLRSNNTTGLQISLKNGSWVSVPPDQESFFIVVGDCLQVLTNGRFKSVRHRVLANGSKSRVSMIYFFGPPPAGKIAPLSQLMGEGEQSKYKEFTWADYKKAAYKSRLGDNRLGQFEK >Ma09_p25650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37041316:37042101:1 gene:Ma09_g25650 transcript:Ma09_t25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHKWSLVSVVIHLSFAAMVIPLVSSLSFNFTSFREDDQSFHIEDDASFNGTVINLTRYPMQYATGRVVYNEPLLLWDADTRNLTDFTTNFSFIIDSVNESSYADGLAFFLSPYGSTFPTYSRGGFLGLFSNSSLDNTTVKTVAVEFDTFSNEWDPKGDHLGIDVNSIISNKTVPWNSRVRDGRRANAWVNYDATTFNLSAFVTYGADRLSNGSTSLSLTVDLRDFLPERVSVGFSATTGSLTETHTLLSWSFISNLQTP >Ma08_p15680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15887041:15889053:-1 gene:Ma08_g15680 transcript:Ma08_t15680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSAPQTAAAPGSPSTAPPDRDSYQTISTTMASSAPPSSCPRITPPASSSPSTMIDRVSRGAECAVQTSNGDVFQKTHDELDFEFLGNIRGKDWRIQTNVYGNGSTSRGREERYFLPFDPTAEAHRYSILWTSDHIIFYIDDTPIREVVRSDAMGGDYPSKPMSIYATIWDGSTWATANGRYKVNYKYAPFVAELSDLVLRGCRVDPIQQVDSARRCAEANEDLLAADFAQMTPLKRAAMRRFRERYMTYSFCYDANRYPVTFPDCDIIPSEQSRFFESGETKYPRDRRRARRQSRRP >Ma08_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15887041:15889758:-1 gene:Ma08_g15680 transcript:Ma08_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPGTAMTVFLMLSCITAAAAAAAALNLTTLSFDDGFSHLFGNENLIRSPDGRGARLTLDRSSGSGFISNDLYDHGFFSASIKLPSDYSAGVVVAFYTSNGDVFQKTHDELDFEFLGNIRGKDWRIQTNVYGNGSTSRGREERYFLPFDPTAEAHRYSILWTSDHIIFYIDDTPIREVVRSDAMGGDYPSKPMSIYATIWDGSTWATANGRYKVNYKYAPFVAELSDLVLRGCRVDPIQQVDSARRCAEANEDLLAADFAQMTPLKRAAMRRFRERYMTYSFCYDANRYPVTFPDCDIIPSEQSRFFESGETKYPRDRRRARRQSRRP >Ma01_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4273339:4274861:-1 gene:Ma01_g06030 transcript:Ma01_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDERSRDWEYKMSFMAATEAEEEAGAAAAEIHLPAEVDWEMLDKWRFFVMGAALFSGVSATLYPAVVLKTRLQVAQPPSPCLRAAANILRHEGLRGFYRGFATSLAGTIPARALYMGALEATKSAVGAATLRLGVPETAASVAASAAAGLSAAVAAQVVWTPIDVVSQRLMVQGSAAAAAKYRGGVDAFKKILYSDGIRGLYRGFGMSILTYAPSNAVWWASYSLSQRLIWGCIGYHMGGGGELKPGHGTVVAVQGVSAAVAGGTSAVVTMPLDTIKTRMQVLDDGGDRMTIGRTVRSLIKEGGWRACYRGLGPRWASTSLSATTMITTYEFLKRLSAKDGSFPGREGVELGATAESSPDPI >Ma01_p06030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4272206:4274861:-1 gene:Ma01_g06030 transcript:Ma01_t06030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDERSRDWEYKMSFMAATEAEEEAGAAAAEIHLPAEVDWEMLDKWRFFVMGAALFSGVSATLYPAVVLKTRLQVAQPPSPCLRAAANILRHEGLRGFYRGFATSLAGTIPARALYMGALEATKSAVGAATLRLGVPETAASVAASAAAGLSAAVAAQVVWTPIDVVSQRLMVQGSAAAAAKYRGGVDAFKKILYSDGIRGLYRGFGMSILTYAPSNAVWWASYSLSQRLIWGCIGYHMGGGGELKPGHGTVVAVQGVSAAVAGGTSAVVTMPLDTIKTRMQVLDDGGDRMTIGRTVRSLIKEGGWRACYRGLGPRWASTSLSATTMITTYEFLKRLSAKDGSFPGLGSGGCC >Ma01_p06030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4270244:4274861:-1 gene:Ma01_g06030 transcript:Ma01_t06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDERSRDWEYKMSFMAATEAEEEAGAAAAEIHLPAEVDWEMLDKWRFFVMGAALFSGVSATLYPAVVLKTRLQVAQPPSPCLRAAANILRHEGLRGFYRGFATSLAGTIPARALYMGALEATKSAVGAATLRLGVPETAASVAASAAAGLSAAVAAQVVWTPIDVVSQRLMVQGSAAAAAKYRGGVDAFKKILYSDGIRGLYRGFGMSILTYAPSNAVWWASYSLSQRLIWGCIGYHMGGGGELKPGHGTVVAVQGVSAAVAGGTSAVVTMPLDTIKTRMQVLDDGGDRMTIGRTVRSLIKEGGWRACYRGLGPRWASTSLSATTMITTYEFLKRLSAKDGSFPDAFRRILRQSQGKIMPFAFIWPSLNLKILRR >Ma07_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5651765:5652904:1 gene:Ma07_g07590 transcript:Ma07_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASRPWPGYGAIPTAPEEAAEPLSPRVPFASGSRAVEMFSRAKERGTALVATRRPWRELAAPSAFSSPYGYRDAVARVRRNLAYFRVNYALVALFVLFCSLLWHPVSMIVFLAVFVAWFFLYFFRDEPVVFFGRAVDDRLVLAALSLVTLVALLFTHVGLNVLVSLITVAVLVGLHAAFRFTDDQFLDEQEVADGGLRSFVGSRA >Ma09_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8630428:8633452:1 gene:Ma09_g12780 transcript:Ma09_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARCASPTGRRNYSKAFPPLCSSNCLPPPTALSALYKPSLRYAILGAGFAGLSVAWHLLKQSSDDSRICIDIYDDAGIGGGASGASGGLLHPYSPKAKLLWRGSECWRECLDLLIIANRAVEARASSNAPQDSFCSFKERIVWKRGIIRPASQKNVDVLRENAQSFSESCYLELLDRSAAQYLVPDLCVPLDLAVYMPLAMNIHPKRYLQALFLACNDLAECEEREIYLFKESINSLHQLSEEYDAVVICLGAKVDKLPQLSGKLPLRTCRGVIAKLQLPSDLPGEYDHQRPSILSDAWLAFREPRSVLVGSTWDWNSKDYASSVSEEEASRAMEELMPKASAVYPPIRNWTFVEAQAGLRAMPPLTPYGSLPLLGCVDDIIGESVKCRYWLVGGLGSRGLLYHGFVGKLTAQAVLSSNESVLPSEFTQWKSISK >Ma10_p22590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31905557:31910958:1 gene:Ma10_g22590 transcript:Ma10_t22590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRRLDCGSSGYHAPVMPHVPRSARGKRSIRKKVEGKPLCAFDLLATVAGKLLSERENSLASCDNTGTSDPAAAKDTIKREQIDSNERSIKFEAFDLLSCNEHPKSSKATSSGPTSPIVKSEISDEDAVGGESKFIEDSHKIGCGVAAVAENFDNVRISSCSMESHECKDGSKKLPQGQQQTAGNATDGNALYRLDGLVDLDAKHSVLGSSDSSVEVPLHRNNIYRNTLLPKQEDGMDLAACNDDDENSSGCTHPTVVTNKTSRMQHIGDRRIRKLLASKFWRVAPTMLQDSETSNYDVEQKHALQGKRMCYTRQRTQRNSSKRRKLFDRCSVSVCGGGIYDEDVSNLCENGGIKLETYDSQGNLCEANGASSTTTGQKSCYESPEYHVKLSIKSFKVPELVIEIPETATVGSLKRTVLDAVTAILGGGLCVGVLLQGKKVRDDNKTLHQVGISHGDKLDNLGFTLEPNPRQSPAPLTSSEDPNFLSIGCAPEPLDRISPVAPAVVDQGGPDATQFLQICPESDHDSVPSPTDAASSLEKTKANSLALVAVPPMDTEALAMVPLRNKPKRSEATQRRVRRPFSVAEVEALVQAVEKLGTGRWRDVKLCAFENAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLSAQAYWSQQQAKLLLKPPASAETRLLL >Ma10_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31905557:31910958:1 gene:Ma10_g22590 transcript:Ma10_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRRLDCGSSGYHAPVMPHVPRSARGKRSIRKKVEGKPLCAFDLLATVAGKLLSERENSLASCDNTGTSDPAAAKDTIKREQIDSNERSIKFEAFDLLSCNEHPKSSKATSSGPTSPIVKSEISDEDAVGGESKFIEDSHKIGCGVAAVAENFDNVRISSCSMESHECKDGSKKLPQGQQQTAGNATDGNALYRLDGLVDLDAKHSVLGSSDSSVEVPLHRNNIYRNTLLPKQEDGMDLAACNDDDENSSGCTHPTVVTNKTSRMQHIGDRRIRKLLASKFWRVAPTMLQDSETSNYDVEQKHALQGKRMCYTRQRTQRNSSKRRKLFDRCSVSVCGGGIYDEDVSNLCENGGIKLETYDSQGNLCEANGASSTTTGQKSCYESPEYHVKLSIKSFKVPELVIEIPETATVGSLKRTVLDAVTAILGGGLCVGVLLQGKKVRDDNKTLHQVGISHGDKLDNLGFTLEPNPRQSPAPLTSSEDPNFLSIGCAPEPLDRISPVAPAVVDQGGPDATQFLQICPESDHDSVPSPTDAASSLEKTKANSLALVAVPPMDTEALAMVPLRNKPKRSEATQRRVRRPFSVAEVEALVQAVEKLGTGRWRDVKLCAFENAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLSAQAYWSQQQAKLLLKPPASAETRLLL >Ma10_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30150974:30151661:-1 gene:Ma10_g19660 transcript:Ma10_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTRWASIRIMIGTILGGVLGFYVMHRVEINYKEKMKERLARYEQEMERKEQQRLQDEHLSDS >Ma07_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10999880:11002040:-1 gene:Ma07_g14560 transcript:Ma07_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFSWSEEERRMAAAVLGPEAFGYLAASRASSDGLTAAAFSSSAEADLQRRLLDLVEGPRDRPGLGWNYAIFWQISRAKSGDLVLGWGDGSCRDPRDGAEAAAAAAAAAVRSGGDDEPRQKMRMAVLQKLHVFFGGSDEDNYALRLDQVTDAEMFFLVSMYFSFPRGKGAPGRALAAGKHLWILSPSDYCYRGFLAASAGFRTIVVVPFETGVLELGSVRSLTESPDALQTIKSVFLGTIHTQLPRSSEKNDRNGPEACTKIFGKDLNLGTPNVDDKILVTKVEDNSWDTQLKSGSRSWMLFPNVRKGMQSFSWNHVRGLNSHQQQFGNGLIVAASEAVNHSNGVRGDPSLGQFQIQTNQHKPQQSIQPPPRQIDFSVGGTSRAGALIAHIGALEGDHADVDASSKDKKASPLDERRPRKRGRKPANGREEPLNHVEAERQRREKLNRRFYALRAVVPNISKMDKASLLGDAIAYITDLQKRLKEMESEREMFLESGMVDPSTRVCCPEFDVQAQQDEVIVQVSSPLNTHPVSKVFQALKEAEIDVAESKVSGGEDTIQHTFIIKSPGSEQQTKDKIVAALSSETNST >Ma05_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34608286:34608792:1 gene:Ma05_g22850 transcript:Ma05_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSVVAMVVDLEVAAVLVVVVVDGGGLGGGGGLGGGHGGLGGGGGGLGGHLGKGGGFGSGFGKGGGFGGGIGKGGGFGGGAGGGFGKGGGLGGGVGKGGGFGGGVGKGGGFGGGAGGGFGMGGGAGGGYGKGGGLGGGLGGGGGGGFGGGGGFGGGGGGGFGSGGH >Ma07_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11151108:11153045:-1 gene:Ma07_g14830 transcript:Ma07_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRPWMDVLPGKEAAPPHSYCTNWFVGQQSSEGRSASGSWSQEENKWFEDALAKFDGDTPDRWAKVAALIPGKTVGDVVSHYRELVDDVTEIEAGRIPCPVYYGTSSFTLDWENSRDSEAWKNSYCVGGGGGGAKRSGARSSDHERKKGVPWTEDEHKLFLLGLRKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRLNSGSKDKRRSSIHDITSVDFPDNRPPSPSSQPSTITTQSSLALTPSLSGQFSAIADPDQLGEVASGFSPSSHGHRFMQCQFGTNPFGMNLLAQNPETGKCDQVNLGIL >Ma07_p14830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11151108:11153046:-1 gene:Ma07_g14830 transcript:Ma07_t14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRPWMDVLPGKEAAPPHSYCTNWFVGQQSSEGRSASGSWSQEENKWFEDALAKFDGDTPDRWAKVAALIPGKTVGDVVSHYRELVDDVTEIEAGRIPCPVYYGTSSFTLDWENSRDSEAWKNSYCVGGGGGGAKRSGARSSDHERKKGVPWTEDEHKLFLLGLRKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRLNSGSKDKRRSSIHDITSVDFPDNRPPSPSSQPSTITTQSSLALTPSLSGQFSAIADPDQLGEVASGFSPSSHGHRFMQCQFGTNPFGMNLLAQNPETGKCDQVNLGIL >Ma01_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:756523:759376:1 gene:Ma01_g01100 transcript:Ma01_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLSPNVIKQLAKELKNLDETPPDGIKVIVNDDDFSIIFADIEGPAGTPYENGVFRMKLLLSHDFPQSPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKSNVKTGAICESTTALNVAQRITESTGKEPLLTTPLSTSSKGPVTNAQDQNASIAPLVEPVVGASAIQKREGLAVKAQVDKKKIDARKKSLKRL >Ma01_p01100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:754614:759376:1 gene:Ma01_g01100 transcript:Ma01_t01100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLSPNVIKQLAKELKNLDETPPDGIKVIVNDDDFSIIFADIEGPAGTPYENGVFRMKLLLSHDFPQSPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKSNVKTGAICESTTALNVAQRITESTGKEPLLTTPLSTSSKGPVTNAQDQNASIAPLVEPVVGASAIQKREGLAVKAQVDKKKIDARKKSLKRL >Ma01_p01100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:754635:759376:1 gene:Ma01_g01100 transcript:Ma01_t01100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLSPNVIKQLAKELKNLDETPPDGIKVIVNDDDFSIIFADIEGPAGTPYENGVFRMKLLLSHDFPQSPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKSNVKTGAICESTTALNVAQRITESTGKEPLLTTPLSTSSKGPVTNAQDQNASIAPLVEPVVGASAIQKREGLAVKAQVDKKKIDARKKSLKRL >Ma04_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11249970:11250706:-1 gene:Ma04_g14820 transcript:Ma04_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRHLRLSAALAVFIFAAVLGSALVGIAQEMGDARLRPRERERVVARRLLLGLGSSPPTCRARCGRCFPCRPVHVAIHPGRNLPLEYYPEAWRCKCGNNLFMP >Ma04_p28660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29673397:29678303:-1 gene:Ma04_g28660 transcript:Ma04_t28660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLLAANIIDRSFRNHSLCCHNTTQHQSLSSSALSSSSSVPFFSRGQLQHHHSSWRRKRRRRQLLRLLLFFAFGFFIGLYPLAQLDDFGFRPHHLSFASSSSILTTAAAVATAGNDNIPRRDLGAVNIRSGGREIEVLRSDNDGDVPPPNDIPEDRSRERKLLIVVTPTYNRAFQRYYLIRMGQTLRLVSPPLLWIVVEMNATSVETAEILRRSGVMYRHLAACKKNSTYSRDRGVHQRNAALEHIERHRLDGIVYFAVESNIYSLELFQHLRDIRRFGVWPVAMLAQSKNNVIIEGPVCNGSQIIGWHTNEKSRRHSRFHVDMSGFAFNSTMLWDHERWHRSNSDAIRQLDTVEEDFQESAFVEQIVEDESQMEGLPNGCSRIMNWHLHEEARNFAYPEGWQLSRNLDAIVRLT >Ma04_p28660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29673397:29678303:-1 gene:Ma04_g28660 transcript:Ma04_t28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLLAANIIDRSFRNHSLCCHNTTQHQSLSSSALSSSSSVPFFSRGQLQHHHSSWRRKRRRRQLLRLLLFFAFGFFIGLYPLAQLDDFGFRPHHLSFASSSSILTTAAAVATAGNDNIPRRDLGAVNIRSGGREIEVLRSDNDGDVPPPNDIPEDRSRERKLLIVVTPTYNRAFQRYYLIRMGQTLRLVSPPLLWIVVEMNATSVETAEILRRSGVMYRHLAACKKNSTYSRDRGVHQRNAALEHIERHRLDGIVYFAVESNIYSLELFQHLRDIRRFGVWPVAMLAQSKNNVIIEGPVCNGSQIIGWHTNEKSRRHSRFHVDMSGFAFNSTMLWDHERWHRSNSDAIRQLDTVEEDFQESAFVEQIVEDESQMEGLPNGCSRIMNWHLHEEARNFAYPEGWQLSRNLDAIVRLT >Ma10_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30334253:30336062:1 gene:Ma10_g20000 transcript:Ma10_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLARLRFVRFPLSHRPEFSPGLASVHRGGRTSAGHLNPILQVSRSYARERTHYNLFGNRRPGDEEFRKAWAEEMDEDDCLWTASEDDEEDNEKDSRNLERAIKKIRKQAKENSDLIDGDESDELRSLCSESDEEVALWSGSEDDDDDDIPTESHSNERSDKHIDKLFEFEETPKYRTISELLKAEKDPPELSPGKQARKLAVENALKKLKKGPDGRYINVWEVMSDIDILIGAFENIVSGPEYAELREGGPKKLNMQFFKDIQARMRDPNFKFSPELKLKPKSKFVPRKKWQKAQSRRRKNERR >Ma04_p26560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27976682:27978530:1 gene:Ma04_g26560 transcript:Ma04_t26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMILVIIKNVELQNTCQMRYEVGYIWSGPIGIGRLQREREREEAKRDDIPEVIWGGIATASVAGFFVFGCKASSMANISQVIEKFQEHLCPESEGL >Ma05_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9585120:9586135:-1 gene:Ma05_g13220 transcript:Ma05_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAEDVDLEGIAKDTRGYILNSMAVTNEHFKTALGSSNPSALRETLKFSINFYAFKHSNWYIKMCDLAWYPKPKKSPTCSMLLKCQMLPGMILVGWTMLRGSCKRLCNIWLSILRSLKSLGCPPSREYCFMDRPVVLNY >Ma05_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22470883:22473170:-1 gene:Ma05_g18070 transcript:Ma05_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRAATHTPMEKEQQHEVAIVGAGIAGLATAVGLKRVGLPSLVLEKSPELRAAGAALTLFPNAWRALEALGVAHKLTPLYQAIERSRVTDLSSGTTREVPFSRTNRGDTGIRSVHRKALLEALAEELEPGTIRFSSKITSIDQEGSSPLTALHLDDGSVIKAKVVIGCDGVHSVVARWLGLAEAIDSGRSAIRGLAVFPEGHELINSEALQYLGDGKRAGLVPLNSKELYFFITHTSTAAEKEMAAEAELTLIEVTENLAKDFPPEVQTAVRHLDPATVSWAPLLFRAPWNIVLGRIHRGCVAVSGDAMHPMTPEIGQGGCAALEDAVVLARSLARARSSAQLAAGVEGYTRERRWRSAGVVAAAYLSGWVQQGGNAGVWRWAAKWFRRRIYYRFIHPRIVEAIRGYDCGDLPPAE >Ma03_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17381280:17384065:1 gene:Ma03_g15860 transcript:Ma03_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGTSKKSKLSWSKSLVRWFNIKSKAEDFHSDYVSRGGDEEWRVSITGTEAYSVKQSKTERLSRKKSDQVRRGKIDLVAAEVTETQHYRIFVATWNVGGNSPKGSLNLDDWLHASPAADIYVLGFQEVVPLNAGNVLGAEDNAPARKWVALIRKTLDGLPGNGSSSSYQTPSPSLSRSLRIDGDVLAPQPRLDRRHSICERVIFANRPSDYDPSYRWGGSDDDDDNISGDYSPSMAAHYSPMSYGHAASSSMEGRDRPGHPRYCLVASKQMVGIFLTVWVRGDIRESIRNLKVSCVGRGLMGYLGNKGSISISMCLHQTSFCFICSHLTSGQKDGDELRRNSDVMEILRKTRFPPVHGDRSPETILQHDRIIWLGDLNYRVALSYRAAKVLVEMRNWKALLEKDQLRIERRCGRVFRGWNEGRIYFPPTYKYSNNSDRYAGDDMNLKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVSSIFTAEVESINHRRIQNMDCAGSQVDIEELLPFSNGYTDLGFF >Ma01_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5418043:5422998:-1 gene:Ma01_g07500 transcript:Ma01_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP1 [Source:Projected from Arabidopsis thaliana (AT3G27925) UniProtKB/TrEMBL;Acc:A0A178V823] MAAAAAAVASSSSPLSLFSCFSSSSSSSKSPSHSPRLIRAFGPNFSTSVPPRLLLKRSDKSIACPSRIFLLLQRLTPIAATSGLFESVITVLASVALSASLLVSDVDPASAFVVTTPRRLQSDELATVRLFQENTPSVVYITNLAARQDAFTLDVLEVPQGSGSGFVWDKDGHIVTNYHVIRGASDLRVTLADQTTYEARVVGFDQDKDVAVLRIDAPKEKLRPIPVGISSDLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVNGIVDQIVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLQPTKRDAYGRLILGDIITSVNGKKVTNGSDLYRILDQCKVGDTVTVEVLRGDHKEKISVVLEPKPDES >Ma01_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19663333:19664587:1 gene:Ma01_g20840 transcript:Ma01_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDETITDAFVPDEGNKGKGKAIKVKWSYHRQQIRRGDAGTTQKKLYKLTLGARQWRQVGKQYLSHVMRTSHEAVAKNRERMIFTNSARRDELWEQNYVDHQVASTQAMLEHPASFAMLAMEPKKKQEIIDDLETFSKSQDYYAKIGKAWKRGYLLYGPPGTGKSTMIGCMANFLNYDVYDIDLTAVKDNTELRHLIVNTGSKSIIVIEDIDCSLHLSGSREAKKSNQGNKESVDEEKGDEGKSKATLSGPLNFIDGQKKVEGTSKVTLSGLLNSIDGLWSACGGERLIVFTTNHVEELDPALIRRGRMDVHIELGYCGFEAFKVLAKNYLEVEEHPLFEKVKDLLEKVNISPAEVAESLMPKNLRDEDKASQCLRDLVRTLDQKIQEEDGGKGKKETQVKQ >Ma03_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3230590:3231710:1 gene:Ma03_g04870 transcript:Ma03_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVSLLRDFVEVKIRRKKCSSYSVLLRDQPKQQL >Ma11_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24995130:24998307:1 gene:Ma11_g20550 transcript:Ma11_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPKKEEEEEGKRGEAVSVERTFERQRVPPWREQLTARALAVSFLLSVMFSVIVMKLNLTTGIIPSLNVAAGLLGFFFVKVWTKALEHAGLLRTPFTRQENTVIQTSVVAAYGLAFSGGFGSYLFGMSSKIANQTTEANDSQNIKDPKLGWMIGFMFVVSFLGLFSLVPLREIMIIDYKLIYPSGTATAYLINGFHTPQGEKLAKKQVRTMGKFFVYSFLWGFFQWFYTAGDDCGFEAFPTFGLKAYANKFFFDFSATYVGVGMICPYIVNVSLLLGAILSWGLMWPLINNQKGHWYSADIPPSSLHSLQGYRVFLAVALILGDGLYNILKVLHRTIYSFVSAARKRPTSSLPISDGGRAVTSPAASFDDKRRTEMFVKDQIPKWVAYVGYSAIAIVSIVTIPHLFPPLKWYHILVAYVFAPLLAFCNAYGCGLTDWNLASTYGKLAIFVFGAWAGAHHGGVLAGLAACGVMMSIVATASDLMQDFKTGYLTLASPRSMFVSQVVGTAMGCVIAPCVFWLFYKAFDDIGISGSQYPAPYALIYRNMAILGVDGFSSLPKHCLTLCYTFFALAIVINLARDTCPKKVARFIPIPMAMAIPFYIGTSFAIDMCLGSAILYVWGRINKAKADAFGPAVASGLICGDGIWSLPQGVLALARVKPPICMKFLSRKMNNKVDAYIETLS >Ma02_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26524313:26530099:1 gene:Ma02_g20610 transcript:Ma02_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVPDPTPSPNPDPNRVLPPNAAPLAAAAAGARPSMLPGVNPAPCALPRGSHHRRARSELAFRVPDDLDVRGGGGGGDPMAAAGSVDEIGSEDDLFCTFMDIEKIGCKLEASASGSEGGDCADPAAESSGCGEERKIGDASGAAASKPKHRHSSSVDGSSMTSSATMKREGVFGEVMEAKKAMTPGQLAELAVIDPKRAKRILANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLYQRDTTGLSAENAELKLRLQAMEQQAQLRDALNEALKQEVQRLKLATGEISKPDETYDVGLQNMHYSPSFFTLPQQPAVHHQAIQLQHQFQQPQSGISAHQMLIHPNNLPDVFQQDPLGRLQGLDINKGSHVVKSESSSVSVSESSSNF >Ma07_p10430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7752211:7758053:-1 gene:Ma07_g10430 transcript:Ma07_t10430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLESCWLYLITHFSEFQLATVGTFLIHESVFFLSGLPSIYFERSGLFSKYKIQKKNNTPEGREKCIVRLILYHVCVNLPVMLISYPTFRFMGLRSSLPLPHWTVIVSQIIFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPALTGPHLFTLWLWMILRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDFHHRVLYTKSGNYASTFVYMDWLFGTDQGYRKLKALEEVEGKKY >Ma07_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7752211:7755523:-1 gene:Ma07_g10430 transcript:Ma07_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLITHFSEFQLATVGTFLIHESVFFLSGLPSIYFERSGLFSKYKIQKKNNTPEGREKCIVRLILYHVCVNLPVMLISYPTFRFMGLRSSLPLPHWTVIVSQIIFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPALTGPHLFTLWLWMILRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDFHHRVLYTKSGNYASTFVYMDWLFGTDQGYRKLKALEEVEGKKY >Ma07_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32022485:32023146:1 gene:Ma07_g24790 transcript:Ma07_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGFGAAHRADGDRPPQEALASLIRSKSGFGCGLLRYLKRISRVFKRFELAF >Ma06_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1302801:1306848:1 gene:Ma06_g01610 transcript:Ma06_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSRLIWHLIDRSSNSNRSGGKGRETHEPSRGDVTVDGTVHKTSFFLPFLSAHPITVPKRSGRTDGRTREAKPNDLESAILHSASYTYKYHGYDMIKTSMKLGKVLNKQGISSFAEKLVDLEELC >Ma06_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22066022:22069057:1 gene:Ma06_g23900 transcript:Ma06_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAVLRTLIRSLRAPAPPLPLAAFSCSPLSPALASSPNPFSFVLRLTSVPPPLFWSPSRWVPFSGPLFLSSPPWMLSQSATPLYLRGKDAIFPKDLLQVRSFPIPLGLRSLGEVGRGFGDVINWREKGSVGGEVSEVVIHDKLLNLPNLISISRMVSGPLIGWMILHDMYLPAFGALVVSGATDWLDGFLARKMGINSVFGSYLDPLADKVLISCVALAMVEKELLNPVLVGLVVLRDVALVSGAVYKRASSLGWEWKSWSEFINLDAAHREKVEPLLISKSGKCR >Ma06_p23900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22066022:22069749:1 gene:Ma06_g23900 transcript:Ma06_t23900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAVLRTLIRSLRAPAPPLPLAAFSCSPLSPALASSPNPFSFVLRLTSVPPPLFWSPSRWVPFSGPLFLSSPPWMLSQSATPLYLRGKDAIFPKDLLQVRSFPIPLGLRSLGEVGRGFGDVINWREKGSVGGEVSEVVIHDKLLNLPNLISISRMVSGPLIGWMILHDMYLPAFGALVVSGATDWLDGFLARKMGINSVFGSYLDPLADKVLISCVALAMVEKELLNPVLVGLVVLRDVALVSGAVYKRASSLGWEWKSWSEFINLDAAHREKVEPLLISKVNTVLQLLLVAAALLQPDFGSAETQEYITYLSWLVASTTAASAVAYGAQHLCR >Ma04_p38120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35777905:35784279:-1 gene:Ma04_g38120 transcript:Ma04_t38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGKKFGRGPRELTGAVDLINHYKLLTHHDFFCKRNLPLSILDTHYLHNVVGETEIRKGEGMELDQLFHNAPYLRESTAHIQPFDLELLGQAFLLRDTAPIDLPSAAKGTPTISSKSKGDSKDKDRKHKKHKDKDKNKDKDKDKEHKKHKHRRKDRSKDKDKDKEKKKDKGGHHDSGGDHLKHHEKRKHEGNEDSTDTHKHKKSKHKNSEVVAVGGKILPADKGR >Ma11_p19110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24065071:24067932:-1 gene:Ma11_g19110 transcript:Ma11_t19110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKIAHATLKGPSVVKEICIGLTLGLFAGGLWKMHHWNEQRKTRAFYDMLEKGEISVVVAEE >Ma11_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24065071:24067932:-1 gene:Ma11_g19110 transcript:Ma11_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKIAHATLKGPSVVKEICIGLTLGLFAGGLWKMHHWNEQRKTRAFYDMLEKGEISVVVAEE >Ma01_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4261784:4270281:-1 gene:Ma01_g06020 transcript:Ma01_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALTIRPSSAPLLTAGQPLLRLSRGTINRRIPATTPPPCLRLTTAVAVGSAFQPDEATVPAPLPLPVPPVSKFKIALCQLSVTPEKERNIARARKAIEEAAGRGAQLVLLPEIWNSPFSNDNFPVYAEDIEGVGDAAPSFSMLSEAARSLQITIIGGSIPERSGDRLYNTCCVFGTDGKLLGKHRKIHLFDIDIPGEITFKESNTLTAGEHATIVDTDVGRIGIGICHDIRFPELAILYAARGAHLLCYPGAFNITTGSLLWELMQRARAADNQLFVATCSPARDTGACYVAWGHSTLVGPFGEVIAMAGHEEAIIVEEVDYSLIELRRSSIPLNQQRRGDLYRLVDVHRLNSRGL >Ma05_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6163694:6166112:1 gene:Ma05_g08330 transcript:Ma05_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASVIIIGAGMSGISAAKTLSDAGVKEILILEATNRIGGRIRNTYFADLSVETGANWIEGVHGEKQNPIWEMAQQLGLRTFRSDYSNLSSNTYKQDGGRYEKAAVEAAIDESEKIHSLGEEYSKTLPPSGRNDISISTFQRLENKIPSTPLEMIVDYYSYDYEFAEPPRVTSLQNTVPLPTFDDFGDNVYFVADERGYEILVYNLASMFLETNSHGVIVDPRLQLGKVATEIQYSGDGATVSTDDRSSYRADFVLVSVSIGVLQSDLIKFNPVLPKWKILALYEFDMAVYTKIFLKFPFKFWPDGDDTEFFLYASKRRGYYPLWQHFEKQYPGANILMVTVTDEESRRIEQQDESTTKKEAMEVLRSMFGKDIPEATDILVPRWWSNKFFRGSFSNWPLGVNRHEFDSIKAPIKRLYFTGEHTSEHYNGYVHGAYLAGIDSANMIVQHIRDGYFEVEIKPKDSY >Ma10_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29029886:29032758:-1 gene:Ma10_g17800 transcript:Ma10_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPQKTPPHTSISCLEDGSSSKRKRRPAGTPDPDAEVVSLSPRTLLEAERYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAAAVRKRVFVCPEPSCLHHDPRHALGDLVGIKKHFRRKHSNHKQWVCSKCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDSCNGAGGLSWTASSTTPSAATNASAPAPNDHHRLGLLHNLELQLLPTSNTLQSHATSSPTSSLTLVSDGAQAAELQLSLGPRESETALASISPSSKAGCERQEQAMASEAREQAMKQVELAEKEFANARRIRQQAQADLQNAYLLRDHARKQMNLLLLQMTCHACRKQFQPKPDSAFAEVSPPSASCVSSLMTGIKRDDINVGHHLPKFWST >Ma02_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17105514:17107232:1 gene:Ma02_g06020 transcript:Ma02_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGKPFLWFSAISFALVSVLLSFNTFPPATVLSETPTSSGDLLGNIVSSVLSPSSAFIDNVVSLIEQVLWRGHHHHHHRHRRQNHRRRRRRACDNSKWVSPLALEQNATLILTVDQKGCANFSSVQRAIDAVPDYSPSRTLIILDSGVYREKLFVWANKTNITMQGQGFLNTSIAWNDTANSTGGTIYSASVSIFSFNFIAYNISFQNTAPPASPGDVGAQAVALRIAGDQAALYGCGFYGAQDTLLDERGRHYFRECFIEGSIDFIFGNARSLYEACTINSIAKQATSDVGGVTGCITAHGRSSATEKTGFSFVNCSIGGTGKVWLGRAWWPYATVVFSRTYMASIIAPEGWNDWNDPSRDQSVYFGEYECMGPGANYTLRTSYAKQLDQCQAASFMDISYVEGKDWVLPPNHNNWYNPCEKRRHRAHIRTNQAEMDDQKAEDM >Ma05_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19097113:19103477:-1 gene:Ma05_g16890 transcript:Ma05_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLGVHTHQRHQRSLQIAWEAHHLYNILAAVPVGNVLKSATGGHFQRTEEVDVASGRCELRDVEQEFGVVLDHAHTPDSLSRLLDTVADLWPADSSSVVWCSTKFVLI >Ma06_p33760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34275394:34279879:1 gene:Ma06_g33760 transcript:Ma06_t33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQGSYVPPPYIPLDQLDTEANSTPVNNGYSSHQSATRDLTQWSSGICACFDDMQSCCVGAVCPCFLFGKNAEFLGSGTLAGSCMTHFILWGLVNSLCCLFTGGLLAAVPGSMVACYACGYRKTLRAKFNLQEAPCGDLTTHLFCHLCAICQEYREIRERSSGSDPSMMSSAVAAPAVQTMELAPHE >Ma02_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17389281:17391653:-1 gene:Ma02_g06370 transcript:Ma02_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATNDVLQLTAEEEQEACARALQLSCGAVLPMVLKVAIELGLLQIIVKAGPATPLSSEEIAAQLPSENPEAAAAWVDRILRLLASNKIVSCIVEAGADDRRSRKYRMAPICKYLTENEDGSLANLLLMHHDKVFLDLWHYLKGSVLDGGLPVMAAYGMSSFDYQGTDPRFNKIFNEAMRGHTAVNVNQLLRTYGGFDDVKVLVDVGGGVGATLGMITSRHTHIKGINLDLPHVISGAQPLPGVQHVIGDMFEAVPSGDAIFLKWILHDWTDEHCAKLLKNCWKALPEKGKVIVMECILPVVPEATPRDQYIYQLDICMSTYNIGGKERTEQEFQALAMDAGFSGFKALPMFAGTCIMEFTK >Ma02_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16348868:16356275:1 gene:Ma02_g04990 transcript:Ma02_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MASVSSLPAFAISDYRTRSRVPARSRSSPNSGISGPGAGLDSLGRAAASCRRLNPWHRRGDWNLGPVRAESHDVKKTEREPMVPPYNVLITGSTKGIGYALAREFLKAGDNVLICSRSVERVESAIQSLRKEFGEHRVWGTACDVRVAKDVKALVAFARETIGYIDIWINNAGSNAYSFKPLAETSDEDLMEVVTTNTLGLMICCREAINMMLNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQEVKNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPDVVAAFLVPSIRSVPSSQSMKPTYIRFLTGFKAYSQIFSRLAFGARRNRYLVED >Ma04_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10192035:10202456:1 gene:Ma04_g13490 transcript:Ma04_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTILSLASHLQPSCCCRRRRLRPPNHWGDVARISAAPSFFCSSITSPKPSSRHRLICSALLQDDAPQGGQQQQQQQQQQQQDPPTQLPAGVGAAVEERPAENMSKDPLQSMKQDGEQNSVYNFLYPSKELLPDDKEMSIFDHLEELRERIFVSVLALGAAMLGCFVFSKDLIIFLEEPVSSQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTKDERKFLGPIVLGSSVLFYAGIAFSYAVLTPAALNFFVNYAEGAVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVIAAAILTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >Ma04_p33320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33006215:33007879:1 gene:Ma04_g33320 transcript:Ma04_t33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHHKLKVLEQWRVSSHPSSATLPCSLPSTFFDLVFYCFHPVQRLLFYESTNLETELPKLKHSLALALSYYYPLAGSLTRDSEVQNPELLCSQSDFVPLTVAVSRDDFYELSGDHARDMARFHPLVPPLTASSGRQPVFAVQVTMFADAGVAIGTTVHHAVADGSSYTNFMKLWSSIHRLGELPANSTGLLPFLDRSVVHDPAGLQSIFVKDLQSLRGDPSLNAWDLTGVPDVKLATFAFSRDTLDKLRRRATCKRKASAQTSPYALACGLVWAGLVRARGDTNRKKEHFGFVTGCRARTNPPIPANYFGNCLGICRVEAERSELVGEDGAAAAADAIWKVIKSLEEGAFSGAENWIRDVHDYAAKKALTVAGSPKLGIYDVDFGWGRPRKVELVSIERTGALSLTESRGEKGGIEVGLALPKHEMDGFLSYFVSSLLNL >Ma03_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1658069:1663909:1 gene:Ma03_g02430 transcript:Ma03_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGMIAAARASEEDKAREREADPSDEIEEGEIEDADADSDADAARRGGAQPHPLENSWTFWFDNPSAKSKQAAWGSSLRPIHTFATVEDFWSLYNNIHHPSKLIMGADFHCFKYKIEPKWEDPVCANGGKWTISCVRGKADQLWLYTLLAMIGEQFEYGDEICGAVVSVRVKQERIALWTKNAANEEAQTSIGRQWKELLDYNDTIGFIFHEDAKKDKVPKNRYTV >Ma05_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8378588:8380705:-1 gene:Ma05_g11550 transcript:Ma05_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYTISNGQMVLQWPLAPIPPPKSFSPAQLCSSTFFFRFRKQRFVVFNFSKSSPPLLDDASSDLHAIPLPSVPLQASPAAGTEITNEFIQGVCRNLQTEAVAFEYYQKAKELPVFRPDRRTLKLLIRSLVKSKQWSSISALVDDLRFFGVVPDRFTCARLIGSCIRARKFKLAEALLGVLESKKGSEAAISAFSAAMCGYNQLHMYNCTVSAYERMTAAGLSPNTSCYRWILEAYRELGETEKVIALFLEYESKESKASSKSVEIYSILCDSLGRSGRAFEALRYFREMEAKGLSPNTAIYASLMSSFAGIREAEIVEDLFQEALAKGMVRDNAMLMKLVLMFVDVGQLEKTLAVVQAMRKMEIRVSDCILCTIINGFVRKRGLRSAVAAYGQLLSEGCEPGQVTYASIINVYCRLGLPRMAESTFAEMIDKGFDKCVVAYSNMISMYGKMGKVKDAMRLLAKMKEKGCKPNVWVYNSLLDIHGRLMNLRQVEKLWQEMKRRQIAPDKVSYTSIISAYSKARRIDECIDFFEEFKGNGGKVDRAMAGIMVGVFSKGSRLDELIKLLQDMELAGTGLDARLHESALNALRDAGLQVHMNGFRKSFVSKEDKTL >Ma06_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14994250:14997210:-1 gene:Ma06_g20870 transcript:Ma06_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENGTASTERRPVPRLNERILSSLSKRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDLSELPPHRLAEIRRFFEDCILLRDMVAYYAFFVRNGNTLTGCSDKKNENKEVAVNEFLSTTAAREAIQYSMDLYAEYILQSLRR >Ma06_p20870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14994250:14997206:-1 gene:Ma06_g20870 transcript:Ma06_t20870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENGTASTERRPVPRLNERILSSLSKRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDLSELPPHRLAEIRRFFEDYKKNENKEVAVNEFLSTTAAREAIQYSMDLYAEYILQSLRR >Ma00_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:24823074:24826721:1 gene:Ma00_g03100 transcript:Ma00_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYYLVFGGLAAVVAAMELSKTSRDRVATSSPFNAFKNNYLLVYSLMMAGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKRGRKRACVTYCITYILSCITKHSPEYKVLMVGRILGGISTSLLFSAFESWLVAEHNKRGFDPQWLSVTFAKAIFLGNGLIAIVSGLFANLLADNLGFGPVAPFDAAACCLAIGMAIILSSWSENYGDPSDSKDLITQFKGAAAAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMVSSMLGSSIASRLMARGTLKVESYMQIVFAISAFTLLLPIISNFLVAPSTAKGGSISFGSCIQLLGFCVFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITIMFGMCSIFLFMASVLQRRLMVVAESHKSKPQDWTGLKERDDEAESLNI >Ma09_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12919147:12921399:-1 gene:Ma09_g17350 transcript:Ma09_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKNSYKCSLLLLLLLPLLLLWSSVMFTCADAEVHYHEFVVQATPVKRLCKTHNIITVNGQYPGPTLAVRNGDTLVVNVVNRAKYNVTLHWHGVRQMRTAWADGPEFVTQCPIRPGGSYTYRFTIEDQEGTLWWHAHSSWLRATVHGALIIYPRDASSYPFPKPHREFPVILGEWWNEDPISVVRRATRTGAAPNVSDAFTINGQPGDLYNCSNKDTTVFPVALGETNLLRFINAALNNELFVSIAGHMMTVVAADAAYTKPFTTAVLMLGPGQTTDVFVTTNQPPGRYYMAAHAYASARGVAFDNTTTTAVLEYKNSGCPTKNGPGLPPPFPVLPDFNDTPTATAFAAGIRSPYPVQIPGPVDHHLFFTVGLGLFNCPPGRRCGGPNGTRFGASMNNVSFQLPTGLSLLQAHHLGVPGVFTTDFPAVPPVPFDYTAANVSRGLWQPVLGTKLYPLKYGSVVQLVLQGTNIFAGEEHPMHIHGYHFYVLATGFGNFDPARDSARFNLVDPPLRNTVGVPVNGWAVIRFVADNPGVWLVHCHLDVHITWGLAMAFLVENGVGELQSLLPPPADLPLC >Ma06_p26830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28738264:28755554:-1 gene:Ma06_g26830 transcript:Ma06_t26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMDRSAEDLCPVIKELKEKHQRDLQKLTLTTQPFKILQLFFFAILQYLKQTLLYVLKKGGWLMGLTILLAAFGLFLVNGDGTNEKHVQEFIRYTRFGLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAMKCGRVDLKSAPYDTIQLKRRPSWLEKNCSEFGPPLYQSLPGSLVKVPISSILPQVQLEAILWGLGTALGELPPYFISRAARLSGSNLEMEEISPASSTDGFVSSSLKQIKIWLLTHSQHLNFLTILVLASVPNPLFDLAGIMCGQFGISFWKFFVATLIGKALIKTHIQTVFIVSLCNNQLLEWLENELIWVLGLIPGFSSVLPSLIDKLHMVQNKYLSTPVPEPTLSDGMAKQWNLSFTTIWNTFIWLMLMNFFVKIVTATAKRYLEEQQELELTNKKLALKST >Ma03_p27370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30798465:30806682:1 gene:Ma03_g27370 transcript:Ma03_t27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGEISYVRNEEDKENEGVPPMSLPPPPQQTLVVGYALTSKKVKSFFQPKLEALARKKGIVFVAIDQSQLLLDQGPFDIILHKLTGKEWQQVLEDYMENHPEVTVLDPPAAIQRLYNRQSMLQDVADLNLSNCYGRVGTPRQLVITKDSSSIPDAVSRAGLALPLVAKPLVVDGSAKSHELSLAYDEFSLSKLDPPFVLQEFINHGGVLFKVYIVGEAIKVVRRFSLPDVSKRELLSSTGVFQFPRVSCAAASADDADLDPSIAELPPRPLLEKLARELRRRLGLRLFNIDIIREHGTRDGFYVIDINYFPGYSKMPGYDHIFIDFLASMARSKYKKRLSSSN >Ma06_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6129893:6130223:-1 gene:Ma06_g08710 transcript:Ma06_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLNHCKVVYVTSYFSSCCSYGSDNSDMKKKSSLISNLKKRNRDHEKKTSSLFFNYIVMFLLQLKIPFCYG >Ma06_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7351232:7355590:1 gene:Ma06_g10660 transcript:Ma06_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAGRRILGLGSGHRAPAAAVASRGYHERVVDHYDNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDDATGKIVDACFKTFGCGSAIASSSVATEWVKGKHMEEVSSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYESKKAKLAAANAETAQTEKVASA >Ma05_p31840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41580797:41582380:1 gene:Ma05_g31840 transcript:Ma05_t31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALLFFLAALLLLPLVVHGDCDCSADEEARDKKAARPLKIVAIFSILVCGSIGVCIPILGKWIPALDPDRDTFFVIKSFAAGVILATAFVHILPDSFDSLTSSCLHPDPWHNFPFAGFGAMIAAIGTLMIDTLATGYFSRPHNAVSDETKTDIEIRPDVVHEENIHVHTHAHAHGHPSSPQLIRHRVISQVLELGIVVHSVIIGIALGASETPSTIKPLVVALSFHQFFEGVGLGGCLVQAKLKPRTAVTMGLFFSLTTPVGVAVGAGLSSAYDENSPTALIVEGLLNSASAGILIYMSLVDLLAEDFMNPRVQSKGRLQVGMNISLLTGAGLMSLLAKWA >Ma08_p19230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33050226:33056693:-1 gene:Ma08_g19230 transcript:Ma08_t19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELHMNHAMAEKRHAAQNRRPTAVRWFKEWVPQDVVATGGKCMLLKWVTEDMLKALKEKNKETEAEEQKPEPATEVLFLCSYEGCGRTFIDAGALKKHAHIHGEKQHICQYDGCGKKFLDSSKLKRHYLIHTGERDFICPHEGCGKAFSLDFNLRAHMRTHSLENYHVCPYPECAKRFTNESKLRTHIKTQHEKSTVMDTVKHTTPVEKPHTTPKLSAAAYGSGSAERPYVCPYEGCGKAYIHEYKLNLHFRREHPGHNSEENGKPSPAVDQAAEEGSDQEVYLAKRSVGKNSKRSKPNLTPQMPPAKVSNRKGTSSTPKKSTPVVVVKKQLPSKEMYEEDSEETEEDQDNVEEEDGGWRCQEVNRDDEETEEEE >Ma08_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33050226:33056582:-1 gene:Ma08_g19230 transcript:Ma08_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALKEKNKETEAEEQKPEPATEVLFLCSYEGCGRTFIDAGALKKHAHIHGEKQHICQYDGCGKKFLDSSKLKRHYLIHTGERDFICPHEGCGKAFSLDFNLRAHMRTHSLENYHVCPYPECAKRFTNESKLRTHIKTQHEKSTVMDTVKHTTPVEKPHTTPKLSAAAYGSGSAERPYVCPYEGCGKAYIHEYKLNLHFRREHPGHNSEENGKPSPAVDQAAEEGSDQEVYLAKRSVGKNSKRSKPNLTPQMPPAKVSNRKGTSSTPKKSTPVVVVKKQLPSKEMYEEDSEETEEDQDNVEEEDGGWRCQEVNRDDEETEEEE >Ma02_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16744910:16746327:-1 gene:Ma02_g05440 transcript:Ma02_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDISLSTLHQDVPSTPLLSFHHHHHRTFHTSNPTTTSSFGGITLEDFLADAPKEATRVPPPSSRPPASIDPSPDNSVRFFGYDLNTSACDCKISGSSFNDAHSECTKKRSPEQQPNRSIDGQDGAERRKKRIIKNRESAARSRARKQAYINELELEVARLLNENHALRRELEELRMTVVASQNPTASRRALQRTVTAPF >Ma09_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10786374:10791073:-1 gene:Ma09_g15470 transcript:Ma09_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANATSLGMDAFGSIKAPPSRKPLSLSWVLRRPMAAARFLLLLVGAFLLLSWARADHNPILLVTDRARSLDSSLFAGVLGRTHDALRFARFARRLPNVIFSLQKDWRDDGIVSPVKNQGHCGSCWTFSTTGALEAAHTQATGKSVSLSEQQLVDCANAFNNFGCNGGLPSQAFEYIKYNGGLDTEESYPYRGVNGVCQYKPEKVGVKVLDSVNITLGAEDELKHAVGVVRPVSVAFEVVNGFRLYKGGVYTSDTCGKTPMDVNHAVLAVGYGVENGVPYWLIKNSWGEDWGVDGYFKMEMGKNMCGVSTCASYPIVAA >Ma08_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32850489:32857057:-1 gene:Ma08_g19060 transcript:Ma08_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSSCSIFSTAFVTDDEFCRPRCERSQPFSYPFSSGWENGNGYGWDSGSTGVDGACDPVDLLPDDPFGMGIDGALASFLEVGGGDLYGWALLCSPETEIYHDGWMDELDGWAEESFMVASVHEAQDGNLESALDMQKSLNSDRAAEAHSSVDEGLPHEGLLYSLGYLGIHDLLSVEGVCRSLRLAVQNDALLWRCIHIDSLLGEKITDDVLLRLTQRAQGNLQCLSLSGCSRITDDGVKCVLDNNPKLKKLSVAGCVRLSLDGIINNLKAFRSQGTPGIEHLKLGRLFSISGEQFGALKLLLGIDQHQQAQAQKPRFYHTSDSSLVCDDDCIIDVEMCPVCQKYKLVYDCPSEGCQEKGPKHCRACDFCIARCIQCGKCIKDCMYVETFCLEYLCSGCWKEALAEHRSNEEK >Ma06_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10632998:10634944:-1 gene:Ma06_g15710 transcript:Ma06_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTKRTEHVDVTPKTLPLLHPKHRHCDAARPRTVRIFCDDHDATDSSGDEGDRRRVRRYVQEIRFEARPQAAGKSKPARKRKTAALAATEAGSGDAERRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTYDTAEEAAKVYDSAAIRLRGPDATTNFSHPMAAAQPPPQPPPKKCPSNSNLTFISGGYDSGEESRNLSSPTSVLHGFSSSLTTSKEAAERPRFPPEVTGPDESGRSMLTAGIIPGQLSGFLPFEEAMLHDNFLDFGAAEPSLFDDSAQIGFIAGDLSQTFIGSDLDFGSSTWQAGDDYFQDIADLFPIEPLAAV >Ma05_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28824479:28827163:1 gene:Ma05_g19770 transcript:Ma05_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGSSVDILYWDTFQKLGLVKENIKPVRSTLTGFTGASISSLGVITLPLTLGVFPKAKTVMTSFLVVDLPTAYNANLGRPTLNKIRAVISTYYQTIKFPTHDGVGEVAGNSWESRRCYLTAVSLNKRARVQSPLEDPREGKKPTPRPEPKESTINLPLIEGRPDQTVKIGSGLPEQEQQQLVGLLRANADIFAWTPTDLVGVHPEVALHHLNISSDARPVKQRPRRQAPDRQLAIREEVNRLLAAGFIEEASLNNACPKDCYPLPKIDQQVDATAGHARLSFMDAFSGYNQIKMAPEDQEHTAFLTQQGIYFYKVMPFGLKNAGATYQRMVNRIFAHQIGRNMEIYVDDMIVKSRTVETHPSDLAETFDTLRRFGLRLNPAKCAFGVTSGKFLGFIIHERGIDANPEKIQAIIDMQPPRTIRDLQHLNGRLVALSRFLSRSGDRCLPFFQALKDPKNFRWTTECERAFERMKQHLANLPRPTSVSPGEKLSLYLAASQHAVSSVLVKENSSDQLPVYYVSHMLSGPEECYPPIEKLALALVLSMRKLRPYFQAHPIEVITDQPLRLVLSKFDVTGRLLKWAVELGEHDIQYIPQTAIKAQAVADFIAELTPSTGEELEPSRETWTLHVDRSANAKGTGAGLVLVTRDGRSIERSFCFGFRATNNEVEYEALLAGLQLALEMRVTDIRVITDSQLVARQLDGGYEARDLTMAKYLAQVKSLATKFAHFELSNVPRSENQRADTLAKWASGSAPWARPETEVLPHRAIEVVATVTGGAPATWVQEMLRFKRDGALPDNETTARRLRRTQAWYVEEEGRLYKRSFSRPLLRCLEPNEARTILSDMHEGACGEHIGERALAHKILRQGYY >Ma05_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5250256:5252370:1 gene:Ma05_g07210 transcript:Ma05_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSQATPEPVPSPKPATKRSLLSSLMEVAAAATTATAALQRSSSFKEDSYLASSLRSSELKALQELKQLLSTSTKPISIWGVPVTPAGGGGGGGDERADVVLLKFLRARDFDAGQSHAMLLQCAEWRREFGADGLADEELVGFKEMEGVVAYMHGWDRRGHPVCYNAYGVFKDKAMYDRILGDAEKLQRFLRWRVQVMERGVRLLQLRPGGINSIIQVTDLKDMPKRELRAASKHILSLFQDNYPEMVARKVFINVPWYFSVLYAMISPFLTDRTKSKFVIAREGNVAETLYKFIRPEFVPVQYGGLSRPGDLQNGPPKPATEFTIKGGEKVNLEIDGIEAEATIAWDIAVGGWDLDYGAEYVPSDEGSYTVVVEKTRRIPAAADEPIHDVFIAREAGKMVLSIDNTSSRRRKVAAYRYFVRKASSM >Ma03_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8010169:8012564:1 gene:Ma03_g10630 transcript:Ma03_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEVVIVGAGPSGLATAACLSVLSIPYVVLEREACSASLWKLRTYDRVKLHLAKRFCELPHMPIPRNAPTFVPKNQFIQYLDAYVERFNINPVYSTHVELASYDEASKTWRVMARNALTDQVEEYRSRFLVVASGENSEGFIPDLPGLQSFSGKILHSSSYKSGRPYTSKKVLVVGSGNSGMEIAYDLAEHEARTSIVINSPLHVVTKEMIHMAMVMLGYLPVSVVDALVVLLSKLKYGDLSTYGIVRPSLGPMRLKAVTGRSSVIDVGTIEKIKTGEIEVVKGVTNIRGNEVEFEDGKSYHFDAIVFATGFRTNPGKWLQDADSFIGEEGYPRQKFPNHWKGRNDLYFVGFGRSGLAGCSTDAQNAADDIARKRTTISYSSQR >Ma09_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:396881:398062:1 gene:Ma09_g00540 transcript:Ma09_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAAEKEGIAEDAGDPAAADPPAASPSPPLLSQNRRLHNFSFPTLSWRGQRFLRCSKVSGVATTEPARSADQDHRTSQMKSSPQRPLPVARDPKEEARRGREGKEADKASSCAATVAEATRPWNLRTRRAACNFPADRYGNHGSIYGATAMETIDPPMKTVRLQSDESKKGRMEKFSISLSRSEIEEDFFAIKGTKPSRRPKKRAKIVQRELDSLFPGLSLSEITPDSYEVVE >Ma11_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23544904:23548184:-1 gene:Ma11_g18500 transcript:Ma11_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSFLLFPPPVHDSSASSSADHPNSNSSSSSTTSSSSSLIYTNSTTLPPPQPLLFLPPQSIYYQHQQEEELLQLIYLHQQEEQDKMVKKRLASELDLQSGPSSYSAARLPRRGVSTGSSATPAFPGDLSGQPSLLFNPSSTPSVDVGQHNAAASVLLPVTTSSTPPVPLPSLPAPPLPALPSPAVCGFSGLPPFPPEFDRIVLPASSAEGLQLVSSSAGGVGGGGSDDDGAGTAWVDGIIRDIISSSAGGEVSIPQIVNSVREIVHPCNPGLAALLELRLRSLISDPSPVPVVPSLPGSAASDLSDKRRRDTRMPPPLPEVGPAPKRANPPPPFPSAAVATCGRWEEPTSRLQSAQQRPQPPLQPQTHPQRSSNSVSSEEATAATSAAAAAAAAKEKRDELQQRKRDEEGLHLLTLLLHCAEAVAADNLEEANRLLLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGLYAPLPTVPHRHRLASAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDFDIMQGLQWPGLFHILASRPGGPPRVRLTGLGYSMEALEATGKRLSDFAETLGLPFEFIPIAEKVGNLDPERLGVSRREALAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTMVEQDLSQAGSFLARFVEAIHYYSALFDSLGASYSEDSQERHIVEQQLLSREIRNVLAVGGPARTGEVKFSNWREKLGQSGFRGVSLAGNAAAQATLLLGMFPSDGYTLVEENGTLKLGWKDLCLLTASAWRPISHSRPPPPPL >Ma04_p36340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34762464:34765688:1 gene:Ma04_g36340 transcript:Ma04_t36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSPLLVIMFSLALLTIKLEISHGSTYIRCRERERKALIDFKLGLRDPSHRLSSWVGEDCCTWEGVDCSNITGHVTKLDLRNQHNEDIFDCSDDNTFDIFVVHTGCKWALRGDLNPSLLSLRRLHHLDLSGNHFKRNHIPELLGSLTRLTYLNLSNAGFVGRVPDQLGNLSALLYLDLSYDRDYFPQNLFIENPEWISRLASLRRLNMNSVDFRSASNWLRALNALPHFREVELSGCYLGTLPRSLPYVNFTSLTRLDLGYNGFNSSIPDWLLNITSLQYLYLGNNSLSLFLPAIAKLTSLRALDLSGNLFHTGFIPRALSDLCKLQSLRLSDTSINDSLDNLEVAFSGCLRFSLEELDLRSTRLGGSMRADWLGNMKNLKYLYLSKNSLHGSVPDSLFNLQLLQVLDLGLNNLNESIPEGLGQLKSLFYLDLSSNSLSLSEVHLANLSSLKYLHISFITSFLMESHDWTPPFQLISLGMAFCQVAPRPHFPVWLQTQKDLYTLDLRTTGIKETIPNWLPSGLRLLFLSNNEITGEVPQYLPNLIAMELSNNSFSGRLPPGISNTMPNLRLLDLSRNNLSGTVPLSICRIKYLEILGLFQNNLSGELPSCWKSSSVLRVLDASNNKLQGGIPDSLCNLQRLQLLHLSHNSLSGQVPFCLRRCTSLINLDLEDNKLIGSIPDWSKESLLKLKALSLSSNAFNGSIPQFSHLPSLQILDLSNNNLSGTIPRSFGNFRAMELSFQPDYNSDDEFWDDHMMLFMKGRKLEYDRSLLTLVTIIDLSNNGLSGYIPEEFGNLHGLRSLNLSWNHLIGEIPNNISNLQQLEILDLSRNDLSGAIPSGLADLNFLDHLNLSYNNLSGRIPTGNQLQTLNDPSIYAGNPNLCGPPLSKICTDDVSEGNEGEPNEDADSRIETIWLYASITLGFITGFWTVFGTLLLHRRWRIAYFRAADSIYDSLCTVILVNMARIKTKVLRRSREN >Ma09_p12260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8279848:8283070:-1 gene:Ma09_g12260 transcript:Ma09_t12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPILRLPAPPSDGNLGPTPPAQVSNDAPANKPQEEKEQPPPAPVATHTRTIGIIHPPPDIRVIIDKTAAFVAKNGPEFEKRILANNAGNNKFNFLTALDPYHAYYQHKVSEHRTLVQAASQQPSGDSSQPLDSAPAPSDGLAAPAAATAPSDEATTKVDPGVQFRIPPNKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTNLTSREMSNPQFHFLKPTHSMFTFFTTLTDAYSRVLMPPTGLTEKLRKSTSDLTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIEFVDDEDEELPVPMTLDEVIRRSKISVLDEEEPMQTSEPGKEMEMEMDEEEMQFVEEGMKAARLNENAMEAKAPGDEPEPPMRIVKNWKRPEERIPAERDPTKFVISPITNELISISEMAEHMRISLIDPKYKEQKERMMAKIRETTLAADDEISKNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSQSASGEEQTEANNNDSWILPGPAPQPRPGVPLVRSLPPPPGLALNIPRFPPNTVPYSVPAAGGGLFPHPRPGMISMIPSVRPAPSPILMPSSQQPVMMNQQPLPQAISVNPPPPPGSQFTPLVLSRTFVPLPMPPPNMPMVPPPPPPQGMPPPPPPEEAPPLPDEPEPKRQRVDDVSLIPEDQFLARHPGSCRISVSVPSVDEGNLKGQLLEIAVQSLSETVGSLKEKIAAEVQLPANKQKLSGRAGFLKDNLTLAYYNIGPGETLTLALRERGGRKR >Ma09_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8279848:8283070:-1 gene:Ma09_g12260 transcript:Ma09_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPILRLPAPPSDGNLGPTPPAQVSNDAPANKPQEEKEQPPPAPVATHTRTIGIIHPPPDIRVIIDKTAAFVAKNGPEFEKRILANNAGNNKFNFLTALDPYHAYYQHKVSEHRTLVQAASQQPSGDSSQPLDSAPAPSDGLAAPAAATAPSDEATTKVDPGVQFRIPPNKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTNLTSREMSNPQFHFLKPTHSMFTFFTTLTDAYSRVLMPPTGLTEKLRKSTSDLTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIEFVDDEDEELPVPMTLDEVIRRSKISVLDEEEPMQTSEPGKEMEMEMDEEEMQFVEEGMKAARLNENAMEAKAPGDEPEPPMRIVKNWKRPEERIPAERDPTKFVISPITNELISISEMAEHMRISLIDPKYKEQKERMMAKIRETTLAADDEISKNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSQSASGEEQTEANNNDSWILPGPAPQPRPGVPLVRSLPPPPGLALNIPRFPPNTVPYSVPAAGGGLFPHPRPGMISMIPSVRPAPSPILMPSSQQPVMMNQQPLPQAISVNPPPPPGSQFTPLVLSRTFVPLPMPPPNMPMVPPPPPPQGMPPPPPPEEAPPLPDEPEPKRQRVDDVSLIPEDQFLARHPGSCRISVSVPSVDEGNLKGQLLEIAVQSLSETVGSLKEKIAAEVQLPANKQKLSGRAGFLKDNLTLAYYNIGPGETLTLALRERGGRKR >Ma07_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2232078:2233902:-1 gene:Ma07_g02840 transcript:Ma07_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQVDNVQIEEKAREKSIDDWLPITSSRKAKWWYSAFHNVTALVGAGVLSLPYAMAQLGWGPGIAVLILSWVITLYTLWQMVQMHEMVPGKRFDRYHELGQQAFGDKLGLWIVVPQQLTVEVGVNIVYMVTGGKSLKKFHDVLCPDCKSIKLTYFIMIFGSVHFVLSQLPNFNSISGVSLAAAVMSFSYSTVAWGASVHRGKQANVEYVYKSSSTAEGVFNFLSALGDVSFAYAGHNVALEIQATIPSTPENPSKKPMWKGVVVAYIVVALCYFPVAFLGYWAFGNGVADNILITLNKPRWLIATANIMVVIHVIGSYQIYAMPVFDMIETVLVKKLHFPPGLAVRLIGRSTYVAFTMFVGMTFPFFGGLLGFFGGFAFAPTTYFLPCVMWLSVYKPRRFSLSWIINWICILLGVLLMILSPIGGLRQIIMDAKSYEFYS >Ma08_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4072670:4073861:-1 gene:Ma08_g06000 transcript:Ma08_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKVRVLFFLCPCSCLLASSPRDGVPRLLLFTCWTKLLADNAPKAMKDQKFESCFGRKIAVSASMSIYQFLTVVGRTGMETLTNEAGASVSPHLYLGSNVFSFFLFSNAAFCFCHASYVFDGQPPELKKQELAKRQREDATEDLNAAIEVKLVTQGIEKYSKRTVKVVFDVSNLQYIICLEGMLTKTF >Ma08_p30060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41481184:41491626:-1 gene:Ma08_g30060 transcript:Ma08_t30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAIFSSVLSNALLSPIWASRYWRNALLPPVALASVLTSASDHKNHHRSRKEHCWVVRRKASIAHSSLAKAVTTTVSSSPAHGYPEYDRLLPCPFQNESPRVEHLVVLEGGLVLDWISKALDLPPLFVADLIRFGAVHYALVCPKPPPTATPEQIQIYKEVTEPSVLQKRSSIKGKTVREAQKTFRVADANQFVEAGTYLRVHVHPKRFPRCYEIDWKSRIIAVTSTYVVLDKPAATSVGGTIDNIEECCAMFASRALAFDTPLRTTHQIDNCTEGCVVLARTKDFCSEFHGMIREKRVKKLYLALAAAPVPVGIITHYMRPVNTAPRLVSEDIIGGWHLCQLEVLECKEVMWPAAKVMETHNVEDCGWPFKKVAYECKINLLTGKTHQIRAQFAAIGAPIVGDSMYIPSVMAKTANPSINPFDRKWKEYATEDEKMAAIEQWIAQHGKEPYAAIGLQASHISWDDGNCSYEAGAPWWRSTDQ >Ma07_p18360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25218144:25275501:-1 gene:Ma07_g18360 transcript:Ma07_t18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFSIHGGAIRHPFICSTSLCLSSSHRRAISGFARGPHVHPPLCRTGKEVDFIALKQTSKVNIIVQKVLQDHQNGRIPLTNDYPKIGRVAADLVHLDGREDDHPNNCQDAISQDLSSGGSMSRHSSRCTSINSSMKNTIEKQPQQALSSFYPSVRKLFYSTATQPKKLPSDSQESEGSLPAGDEDVCDKATYPGNSKKSQPVKKGTNKVVNREQLARIYDEVLIVDNISRAKEVVQLLTTEYKHFFHACDTEVANIDVKVETPVGHGEIICFSIYSGPRANFGNGRSCVWVDVLDGGKDVLEEFIPFFEDSSIKKVWHNYSFDSHALRNHGINLSGFHADTMHLARLWDSSRRINGGYSLEALTSDPNVMSSKGFHVADELIMGKISMKSIFGKKKLKKDGSEGKLIALAPTDVLQREERKLWVCYSALDSISTYKLFDNLKAKLLDMQWSLDGVKRGTMYDFYEEYWCPFGSLLVQMESEGMLVDREYLSEMEKLAIAETEIAADKFRKWASKYCPDAKYMNVGSNVQIRQLFFGGTLNRKDQNECLPDSKSFKVLNTENVIGKGKKSLSKYRTIELHNICIKKLQTHLYTASGWPSVSGDSLKVLAGMVSLNQTSDDQNLTEDIAEVADICTDEDTSASGTAYEAFGEGKDGREACQAIEALCEVCSIDSLISNFILPLQGNHISCVNGRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAEAGKSLIVADYGQLELRILAHLANCQSMLDAFRDGGDFHSRTAMNMYAHVCKAVEENRVLLEWHPQPGKHEPPVPLLKDVFAAERRRAKMLNFSIAYGKTPIGLSRDWKVSVEEAKKTVDLWYKERQEVLLWQEKQKNEARTKGRVQTLLGRSRCFPSLDHASNAQRGHIERAAINTPVQGSAADVAMCAMLEIDRNICLKELGWKLVLQVHDEMILEGPTESAELAKAIVVECMSKPFYGTNFLKVDLAVDAKCAQNWYAAK >Ma07_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25218144:25275490:-1 gene:Ma07_g18360 transcript:Ma07_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFSIHGGAIRHPFICSTSLCLSSSHRRAISGFARGPHVHPPLCRTGKEVDFIALKQTSKVNIIVQKVLQDHQNGRIPLTNDYPKIGRVAADLVHLDGREDDHPNNCQDAISQDLSSGGSMSRHSSRCTSINSSMKNTIEKQPQQALSSFYPSVRKLFYSTATQPKKLPSDSQESEGSLPAGDEDVCDKATYPGNSKKSQPVKKGTNKVVNREQLARIYDEVLIVDNISRAKEVVQLLTTEYKHFFHACDTEVANIDVKVETPVGHGEIICFSIYSGPRANFGNGRSCVWVDVLDGGKDVLEEFIPFFEDSSIKKVWHNYSFDSHALRNHGINLSGFHADTMHLARLWDSSRRINGGYSLEALTSDPNVMSSKGFHVADELIMGKISMKSIFGKKKLKKDGSEGKLIALAPTDVLQREERKLWVCYSALDSISTYKLFDNLKAKLLDMQWSLDGVKRGTMYDFYEEYWCPFGSLLVQMESEGMLVDREYLSEMEKLAIAETEIAADKFRKWASKYCPDAKYMNVGSNVQIRQLFFGGTLNRKDQNECLPDSKSFKVLNTENVIGKGKKSLSKYRTIELHNICIKKLQTHLYTASGWPSVSGDSLKVLAGTAYEAFGEGKDGREACQAIEALCEVCSIDSLISNFILPLQGNHISCVNGRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAEAGKSLIVADYGQLELRILAHLANCQSMLDAFRDGGDFHSRTAMNMYAHVCKAVEENRVLLEWHPQPGKHEPPVPLLKDVFAAERRRAKMLNFSIAYGKTPIGLSRDWKVSVEEAKKTVDLWYKERQEVLLWQEKQKNEARTKGRVQTLLGRSRCFPSLDHASNAQRGHIERAAINTPVQGSAADVAMCAMLEIDRNICLKELGWKLVLQVHDEMILEGPTESAELAKAIVVECMSKPFYGTNFLKVDLAVDAKCAQNWYAAK >Ma11_p01620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1138512:1146727:-1 gene:Ma11_g01620 transcript:Ma11_t01620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAADHNINFPLGGVFPQSFCNQHVVSFHSGTVNNTHGIFPGGMNISGGINGTTAMILAGNSGTLNNISPLVSTTNSPGNIPLEPQHGRKHRTSFAVDWSCEELEVMKRGLATYAGEPNIMKYIKIASKLPDKTVRDVAMRCRWMTKENGKRRKPEDYYAGKKTKDRKEKVIGSSSMANMFCNQPESEATYSFKMHNGSHNNQFSCEGPVIDSRTNHLLEDNAKIFHEIAVNLENNEIQNNIDLLYRSNENITAILNSMSGMPGIMSQMPPLPVFANESLMHSIFPCINQAHEPSSSHLKEERRSW >Ma11_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1138512:1146727:-1 gene:Ma11_g01620 transcript:Ma11_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAADHNINFPLGGVFPQSFCNQHVVSFHSGTVNNTHGIFPGGMNISGGINGTTAMILAGNSGTLNNISPLVSTTNSPGNIPLEPQHGRKHRTSFAVDWSCEELEVMKRGLATYAGEPNIMKYIKIASKLPDKTVRDVAMRCRWMTKKENGKRRKPEDYYAGKKTKDRKEKVIGSSSMANMFCNQPESEATYSFKMHNGSHNNQFSCEGPVIDSRTNHLLEDNAKIFHEIAVNLENNEIQNNIDLLYRSNENITAILNSMSGMPGIMSQMPPLPVFANESLMHSIFPCINQAHEPSSSHLKEERRSW >Ma11_p01620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1138512:1146873:-1 gene:Ma11_g01620 transcript:Ma11_t01620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAADHNINFPLGGVFPQSFCNQHVVSFHSGTVNNTHGIFPGGMNISGGINGTTAMILAGNSGTLNNISPLVSTTNSPGNIPLEPQHGRKHRTSFAVDWSCEELEVMKRGLATYAGEPNIMKYIKIASKLPDKTVRDVAMRCRWMTKENGKRRKPEDYYAGKKTKDRKEKVIGSSSMANMFCNQPESEATYSFKMHNGSHNNQFSCEAGPVIDSRTNHLLEDNAKIFHEIAVNLENNEIQNNIDLLYRSNENITAILNSMSGMPGIMSQMPPLPVFANESLMHSIFPCINQAHEPSSSHLKEERRSW >Ma11_p01620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1138512:1146727:-1 gene:Ma11_g01620 transcript:Ma11_t01620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAADHNINFPLGGVFPQSFCNQHVVSFHSGTVNNTHGIFPGGMNISGGINGTTAMILAGNSGTLNNISPLVSTTNSPGNIPLEPQHGRKHRTSFAVDWSCEELEVMKRGLATYAGEPNIMKYIKIASKLPDKTVRDVAMRCRWMTKKENGKRRKPEDYYAGKKTKDRKEKVIGSSSMANMFCNQPESEATYSFKMHNGSHNNQFSCEAGPVIDSRTNHLLEDNAKIFHEIAVNLENNEIQNNIDLLYRSNENITAILNSMSGMPGIMSQMPPLPVFANESLMHSIFPCINQAHEPSSSHLKEERRSW >Ma02_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22821544:22827280:1 gene:Ma02_g14910 transcript:Ma02_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLFRRSSSVAASASRRALAALVSSESSLLPPSFASASLFPSSADGLVPFYKSARQFEFCCARRREIHFSAGPLGFRATDVACAEYAVDDYCEDDRRSPERGGDERLEIAKLGISQDIVTQLANKGITKLFPIQRAVLEPAMQGQDMIGRARTGTGKTLAFGIPIMDKIIRFQAKHGCGRNPLAMVLAPTRELARQVEKEFKESSKLYTLCVYGGSPINEQMRALNYGVDVVVGTPGRIIDLLNRGALNLSEIQFVVLDEADQMLNVGFAEDVERILDKMPPKRQTMMFSATMPTWIRKLTRRYLKDPVNIDLVGDSDQKLAEGITLYSIVSDNYAKPSILGPLIKEHAKGGKCIVFTQTKRDADRLAYSMGRSFGCEALHGDISQNQRERTLAGFRDGRFNILIATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTGRAGKKGSAILIHSYEQNRVVRGIEQDIGSSFIELPKIKVEGSGEDMIGSMRGGRFDSYGSGRMGGSGFGRGGNYGRSHGFGGSGGRTGGFGESGSGRFGSFGGSASGAPSGGSNFGRSDYGDFGSGRSGGFGDSGTGRFGKFGGSGSGRFGSFGNSDSGRSSSFDDSSRSRSSGYGGSSRSSRSSRFGGRSSKDDGDDDWP >Ma10_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23641952:23643191:1 gene:Ma10_g09510 transcript:Ma10_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHHPWLPCPPPSPLYLSTCPRSTMPFLHFLCASCPATARLVVTMSTKPSALSPSSPTSGNDFPLPLPDLPAHVSEKILSLEVMGVDSGRVLALNPALRAATPDSIHSIISYLLSKGIHHKDLPRILGMCPTILTSSIRSDLSPVFAFLSRDLRVPASHIRRVINKCPRLLASSVRDQLRPALIYLHRLGFRDARVLAYQEPVLLVSSVEKTLIPKLQYLVGLGLSREEAVAMVLRCPGLFTFSIENNFKPKHEYLVREIGGSLEDLKEFPQYFAFSLEKRIKPRHQQLRESEVNVPLSVMLKSTDEEFKELIHKMNT >Ma04_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23749430:23750463:1 gene:Ma04_g21170 transcript:Ma04_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIGGFGDPTLDFIREHLFADLPPTPPSSAFSLPPPVATGSGYRFPEPDVPMISFGADSPLLSPASADRRPSFTVALPPAPQFGWTEASAKEKRPAAGQGDARRYRGVRERPWGKFAAEIRDPNRRGSRVWLGTFDTAVDAARAYDRAAFQMRGRKAILNFPNEIGCSGDRASPPLPAASGKRKRDAEEVAEPRKIKKEARSPESEVIDPEHLPSGCPLSPSSWDSLWGFEESDTKGLFDMPPLSPLPSLGCAQLLVS >Ma03_p07310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5096718:5098861:-1 gene:Ma03_g07310 transcript:Ma03_t07310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSVLPQVAGDDASKEASPRRAKWWYATFHNVTAMVGAGVLSLPYAMAHLGWGPGTLALVVSWCITLYTLWLMIQLHECVPGTRFDRYSDLGRYAFGPRLGLWIVVPQQLIVQVGCDTVYMVTGGKCLEKFMEILYPESTKLHQSYWICIFGSIQFFLSQLPNLNSIAAVSLAAAVMSLSYSTITWVACFARGPASDVSYAYKMTTASDSMFRVFSALGQVAFAYAGHGVILEIQATIPSTPTKPSRMPMWKGTVVAYFITALCYFPVAMAGYWTFGQEVDDNVLMALKRPRWLIAAANLMVVIHVIGSYQVYAMPVFDSIESILITRLKLPPGIALRLIARSAYVAFTLFVGVTFPFFADLLGFFGGFGFTPTSYFLPCVIWLAIKKPKRFSLHWLANWARLHHSWGAYDVCFDNGGLEEHFRRFFHLQLLLLKEIMFCLSWGTVSISHVR >Ma03_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5096718:5098861:-1 gene:Ma03_g07310 transcript:Ma03_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSVLPQVAGDDASKEASPRRAKWWYATFHNVTAMVGAGVLSLPYAMAHLGWGPGTLALVVSWCITLYTLWLMIQLHECVPGTRFDRYSDLGRYAFGPRLGLWIVVPQQLIVQVGCDTVYMVTGGKCLEKFMEILYPESTKLHQSYWICIFGSIQFFLSQLPNLNSIAAVSLAAAVMSLSYSTITWVACFARGPASDVSYAYKMTTASDSMFRVFSALGQVAFAYAGHGVILEIQATIPSTPTKPSRMPMWKGTVVAYFITALCYFPVAMAGYWTFGQEVDDNVLMALKRPRWLIAAANLMVVIHVIGSYQVYAMPVFDSIESILITRLKLPPGIALRLIARSAYVAFTLFVGVTFPFFADLLGFFGGFGFTPTSYFLPCVIWLAIKKPKRFSLHWLANWGCIIVGVLMMFASTMGGLRNIFVDSSTYSFYS >Ma05_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19588217:19588414:1 gene:Ma05_g17230 transcript:Ma05_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSYAALLCGSLLSVALLVITFRKNIDIFCKGNNFLIKPFFFGEIQYLNDLLILEFLIISRLT >Ma05_p30450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40855953:40859641:-1 gene:Ma05_g30450 transcript:Ma05_t30450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITQILLSAQSPDGQIRTLAEANLKQFQEQSLPHFLVSLSVELSSEQKPPESRRLAGIILKNSLDAKDTVRKEELIQRWVSVDPSIKAQIKESLLRTLGSTVSEARHTSSQVIAKVASIEIPRHEWQELIGQLLNNMTRLDAPAPLKQATLEALGYVCEEVSPQDLEQAQVNSVLTAVVQGMNQAEHSSEVRLAAVKALYNALDFAQTNFDNEVERNFIMKVICETALSKELEIRQAAFECLVSIASTYYEFLEPYMQTLFDLTANAVRGDEEPVALQAIEFWSSICDEEIQIQEEFGGDEGGSSSLHSNFVEKALPLLVPLMLETLLKQEEDQDQDDGVWNLSMAGGTCLGLVARTVGDAIVSLVMPFVENNITKGEWRSREAATFAFGSILEGPSTEKLAPLVQAGLDFLLNAMKDQNSHVKDTTAWTLGRIFEILHSGTSEYPVLTTTNLPRIMSVLLVSIRDSPNVAEKVCGAIYFLAQGFEDADSNSSMLTPYLGDVVSALLSTADRADTSNVRLRSSAYETLNEIIRCSGTPETSNMIAHLLLEIMNRLSKTLELQIASSEDREKQSDVQALLCGVLQVILQKLSNSDETKSIILQSADQMMTLFLQVFACRSSTVHEEAMLAIGALAYGTGPEFATYMQEFYKYLEMGLQNFEEYQVCSISVGVVGDICRALDDKVLPYCDGIMSQLLKDLSNPVLHRSVKPPIFSCFGDIALAIGEHFEKYVPYVMPMLQGAAELCSQLDINDDDMQEYGNQLRRGIFEAYSGILQGFKRSTAAVMVPYASPLLKFIEAVVRDKNRDEEVTKAAVAVIGDLADTLGPDTKVLFKDCTFHMDLLGECFQSDNEQLKETATWTKGMIYRVLVS >Ma05_p30450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40855953:40859641:-1 gene:Ma05_g30450 transcript:Ma05_t30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITQILLSAQSPDGQIRTLAEANLKQFQEQSLPHFLVSLSVELSSEQKPPESRRLAGIILKNSLDAKDTVRKEELIQRWVSVDPSIKAQIKESLLRTLGSTVSEARHTSSQVIAKVASIEIPRHEWQELIGQLLNNMTRLDAPAPLKQATLEALGYVCEEVSPQDLEQAQVNSVLTAVVQGMNQAEHSSEVRLAAVKALYNALDFAQTNFDNEVERNFIMKVICETALSKELEIRQAAFECLVSIASTYYEFLEPYMQTLFDLTANAVRGDEEPVALQAIEFWSSICDEEIQIQEEFGGDEGGSSSLHSNFVEKALPLLVPLMLETLLKQEEDQDQDDGVWNLSMAGGTCLGLVARTVGDAIVSLVMPFVENNITKGEWRSREAATFAFGSILEGPSTEKLAPLVQAGLDFLLNAMKDQNSHVKDTTAWTLGRIFEILHSGTSEYPVLTTTNLPRIMSVLLVSIRDSPNVAEKVCGAIYFLAQGFEDADSNSSMLTPYLGDVVSALLSTADRADTSNVRLRSSAYETLNEIIRCSGTPETSNMIAHLLLEIMNRLSKTLELQIASSEDREKQSDVQALLCGVLQVILQKLSNSDETKSIILQSADQMMTLFLQVFACRSSTVHEEAMLAIGALAYGTGPEFATYMQEFYKYLEMGLQNFEEYQVCSISVGVVGDICRALDDKVLPYCDGIMSQLLKDLSNPVLHRSVKPPIFSCFGDIALAIGEHFEKYVPYVMPMLQGAAELCSQLDINDDDMQEYGNQLRRGIFEAYSGILQGFKRSTAAVMVPYASPLLKFIEAVVRDKNRDEEVTKAAVAVIGDLADTLGPDTKVLFKDCTFHMDLLGECFQSDNEQLKETATWTKGMIYRVLVS >Ma06_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6441578:6442677:1 gene:Ma06_g09150 transcript:Ma06_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILESVGADVDEKKIELLLSQVHGNDLTELIATGRDKIASVPCGGGGAVAVAAIAGGGGGASAAPAVSEPKKEEKVEEKEESDDDMGFSLFD >Ma07_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:828529:836727:-1 gene:Ma07_g01080 transcript:Ma07_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVQSLSDNSEPDEQHEQEQSENQQQPYGAASLQSGIATPLLGYMMPAGQFEVGQTMAPTPYPYVDPYYGGIFAAYGGQHVIHPQLIGVNHSGVPLPTDAVEEPVYVNAKQYHGILRRRQSRAKAESENKLAKLRKPYLHESRHLHALRRARGCGGRFLNSKLEANQQNESKGNQLNEIAANDKAQSSDIPGSGKCPINQENTTKLSGNRAESTRVLVCEKTPLDIESKPTP >Ma09_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11606401:11610826:1 gene:Ma09_g16250 transcript:Ma09_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGGSFNYRFIKSKPYEVENATWMLMVFSCFGQYFCLHFVAFQLGMTLGYDDEAKCYSYSLEVGG >Ma09_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1520000:1522736:-1 gene:Ma09_g02090 transcript:Ma09_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESCNLDKDEAEILKPSSPSPSTPAPPSSSPLPPSLFLKPCEQSPNPRAETTATETAVAASTTPKTDKESKPEEESKPQVKFINGCSTCGRKVGLTGFRCRCGDLFCGRHRYSDTHDCSFDYKALGREEIAKANPVVKAAKIIKI >Ma07_p26430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33230496:33231929:1 gene:Ma07_g26430 transcript:Ma07_t26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIGILIFSSYCVSSMSSDFEHGDGEVNRRACDQNTFSGNMMVTLMGLSKVCLDVPHKEFTCGNDDNDSNLSDSDGLRMRHLQENKSLHGIEVATRKDGGSMLENGIGTEDDSVVEAMTDEAADSQFAGQHKCSLSLPDEWSEEILGDTHHVPAVSSQVSETQFADTTSSDDQDEIVDLREAPWAEGGAELNESLWLPRSQIFSSLVSDDRKMDESEVTDTGKTEFKWDHNFVFASKNVRRILLSMSLLTLTLSTILYKSCLKHQKTSAPASTPTCEMNIQDEVISSIRGKEDKVSDSNVCHKPLSHTSNEGTELAGTRPPVVELLGEFTIVGRTAHPTGSTQRFRGRGADPVEESKPDQYPTDPSETTAIMNRSPAVQRSLIREQSSLRRSARVRKRVTAP >Ma01_p04780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3271734:3278389:-1 gene:Ma01_g04780 transcript:Ma01_t04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLSPPLLRRFFFFPFPFSPPRHLHLAAVSSSPASMPSGDSGDGIAKKGRLRGLVFDMDGTLTVPVIDFGAMYKAVLGEEGYATYRAASASGGGVDILHHIEAWPPPEQQRAYEIIARFERQGLDRLQIMPGALELCRYLDSRQIRRGLITRNVKSAVDIFHQRFGMEFIPALSREFRPYKPDPAPLLHICSIWGVPPSQVMMIGDSLRDDVVCGKRAGAFTCLLDETGRYGSPHSYTDDTRPDFKVSSLSQVLSLLETQFDLMPPPQTT >Ma01_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3271734:3278389:-1 gene:Ma01_g04780 transcript:Ma01_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLSPPLLRRFFFFPFPFSPPRHLHLAAVSSSPASMPSGDSGDGIAKKGRLRGLVFDMDGTLTVPVIDFGAMYKAVLGEEGYATYRAASASGGGVDILHHIEAWPPPEQQRAYEIIARFERQGLDRLQIMPGALELCRYLDSRQIRRGLITRNVKSAVDIFHQRFGVVCGKRAGAFTCLLDETGRYGSPHSYTDDTRPDFKVSSLSQVLSLLETQFDLMPPPQTT >Ma08_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2870454:2872153:-1 gene:Ma08_g04090 transcript:Ma08_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAEAWSPTPLPGPPAHRKHLDPSGSFPTFAHLRMRHARAIRTHVHQPSFWNALARSYASYGAAHHLALGVCLHMPLRDAHTFPLAFKLCSLLSAFAEAVSLHAHLVKLGLAATSVHSLNALVTLYSNFGHLDLARQLFDRIPRRTVSSWSAMIAGYDRNAQPREALFTFLGMSGAGVSPDEAALVSTLAACTHGGCLEFGKAIHACATVYGLGLESVGFATALVDLYAKCGEVDSAMEVFERMAQRNVLSWSAMIGGLAMHGRAPEAIKLFDEMVEAGVRPTSVTMTNVLSACSHVGLVDQGLRLFKLMKEEYGMEPRVEHCGCVVDLLGRAGLFHEAREFISTMPTPATAAIWRSLLGAACTHGDLEAGRLAGERLAATGEQMVAGDYVMLANLYARFGLWEEVGRVRTEMNDVGVRKVAGFSSVEVDGELHRFVMADRSHREAKRIHEVLRLLNSELMDHESSSFH >Ma05_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2583957:2584199:-1 gene:Ma05_g03550 transcript:Ma05_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAALEEEVMNLQKSKVTFADDVINAENAVLKTMNTDQLLNLFCFFTNRSNGKLHCLLCMFNFFLELLFYVAKSQFIRYN >Ma06_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6422094:6422336:-1 gene:Ma06_g09110 transcript:Ma06_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNTKLYLQNCYIMKENERLRKNLQLLNQENQVLFSQLQQKLAKSNATSTSSPNPNIPDLNTPPPPTMSPTGSKRSSQ >Ma09_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8028370:8029537:1 gene:Ma09_g11840 transcript:Ma09_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSTACKILLLLVVVVITGEELGVLAKGSLTCKNRWSPCFRRQIVCPKQCPDVKPSDPNAKACFLDCYSPKCEATCRGRKPKCNGVGSGCYDPRFIGGDGVVFYFHGKKNEHFSLISDRNVQINARFIGLRPEGRTRDFTWIQALGIMHDSHALTVEATKTARWTDAVDHLRFTYDGKPLEIAQGHLSSWKSSEDKVVVERTGSRNSVTITVDAVAEVSLSVVPVTKEDDEIHKYQIPSDDCFAHLEVQFRFFGLSPEVEGVLGRTYRPDFKNTAKWGVAMPVVGGEDEYRTSSLVSPDCNRCLFSPEADN >Ma09_p26820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37994916:37998661:1 gene:Ma09_g26820 transcript:Ma09_t26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSSISTPFLHWGRWKLCEPTTAMLDGCPIVSCSSCPTLIVPPLNSQDHSKILLCYKYATHKDQWKLYKWNYEARNAI >Ma07_p25910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32850793:32854902:1 gene:Ma07_g25910 transcript:Ma07_t25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGETSRPDQKAGSGLAAPGQVEPVADRFQAALRMDENKPDFPDLGSPVSPLRPCPANSSSSSSSSGSASGKVAPLPHSPSGPAARRPAAPDPERRSHYGELSGEISQHQSGSGPLIFSVGGGCGGSICTASSPNTNVLPTGNIYPSGKIGKTGMMPRTTPRSDVLGSGTGNYGHGSIMRGGMSGGGAARPSGGAGMGNSVDSTTRRATGRMDPQEVTRAGNEHYKRGQYGEALAFYDRAVAMCPENAPCRSNRAAALMGLGRLKEAVRECEEAVRLDPANGRAHHRLACLNLRLGLVEDARKHLLLTGQPPDPVELQKTQAVERHLVKCGDARKIGDWKSALREADAAIAAGADSSPPLIASRAEALLHLQHPDEADSVLSRSSEFKDSLPFSSATKIFGMLSSSYFYIVRAQVDMAMGRFDNAVTAAEKARKVDARNVEVTMVMSNVRSVARARAQGNELFKLGNFAEACAAYGEGLRYNTSNSVLLCNRAACRSKLGQWQKSVDDCSEALRIRPNYTKALLRRADSYAKLECWAECVRDYEVLRKELPRDTEVAEALFHAQVALKTCRGEDVSNLKFGGEVEEVTGVEQFRAAISFPGASVVYFMVASNPQCTEITPSVDALCNRYPSANFLRVDINRSPDVAKAENVKIVPTFKIYKNGTRVKEMICPSQQVLEYSVRHYGL >Ma08_p25580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38352951:38357574:-1 gene:Ma08_g25580 transcript:Ma08_t25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGTGWVKISATALAIAAVAAIVTGSFFNQKARRLASRIRELEASLAAALEKSASERRGRTRAQQALRNAFTQQSSDGSKQAAASAYPMAPIGTVRSCFSTRNGTPRQPLLVPLARACLVFDAGRVPKEALEGLAEYSHCWILYVFHLNTDLDKLWREPSRSKFKAKVRVPRLKGGKMGVLATRSPHRPCPIGLTVAKVEALDGHALLLSGVDLVDGTPVLDIKPYLPYSDSIQGATVPNWVKADSMLAVASVNFSPDFSSSLSGCWMQAAKQSLYASQDEFQDLIKELLSWDIRSLSQLNHPHNVSMENESHDVARGLEDIGDEETCQILTEKPASSSLGDVIYHLVVEEIDISYRIDDSSNILVEKASSVFSNDRNSCHYYNYTMWKNKLSIQDHSATNLQRVEMQEGRYVQFNRKTG >Ma09_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36716021:36716918:-1 gene:Ma09_g25170 transcript:Ma09_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMEGAIICLLIVVMDVVAGVLGIKAEEAQSKGKHLRLFFLECKEHVHQAYKLGLAATALLALSHVIANVLGGCPCACSGDGFRRSSPNKLMAAAALLLSWIVVIVGLTMLIIGAISNSESRPTCGLAHPRFLFIGGIMCFVHSLFCIVYYVSAIASWKEGKAHRDTRSQESHA >Ma03_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:599748:601642:-1 gene:Ma03_g00730 transcript:Ma03_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPSLPIAALLLFLSLPSLQGYAGFTCDATVFPKEAEYDYIIVGGGTAGCPLAATLSESHRVLVLERGGAPGEFPSLAGQDGFLRTLVDTDARDSPAQPFTSDEGVPNARGRVLGGSSAINAGFYSRAHPSFFDGGDAGGTVAAWNIGLVNESFEWVEREVAFRPVLRSWQAAVRDGLLEAGATPYNGFTVEHVVGTKIGAATFDSHGRRHSAADLLRFANPTNIKVAIRATVHRILLNPVLPGSSGRSRPKDQVMAAGVVYRDGMGRHHRAKTRPGGEVILSAGALGSPQLLLLSGIGPRPYLSAWGIPVALHHPSVGRHMYDNPRNSISFIPAVPVDNSLIQVVGIPGADASFVEAVSNIVTFHSPAPSVFLHQSASPLFVTVATLMEKVPGPASEGSLRLASLDARDNPLVRFNYFSRPEDLARCVAGVRRLGDVLYGRSMKEFRAGATGLRGGRAGRRDTRFVGPALPANMSDDAAVAAFCRRTVSTLWHYHGGCASGKVVDGDFRVMGAAALRVVDGSTFRVSPGTNPQATVMMMGRYVGLKMLQEREE >Ma06_p04460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3239981:3299315:1 gene:Ma06_g04460 transcript:Ma06_t04460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKGNDFADPHVLACVLYVLRVGIADQLTESSQRSFLGFLGRKLETADCSPSMRVATLRILSYLLNNLGEVPVEFKNILDNTVVGALCDSSSHVRIEAALTLRALAKVDPSCVGGLISYGVTTLHALRESGSLEKVMLVSEFGGTNLNLELNSLHGQATLLAALVSISPKLLLGYPARLPQSVFEVSKKMLSSFSRNPLAAIVEKEAGWLLLASLVANMPKEELEDQVFDVLLLWAGPFAGNPESYFRQAQDLAAELYVLSAAVEALTAFIRSFVCPTVAAINGVLLQPVLAYLSGALFYISFFSSKQLPNMKSALALFTARTLMAYQSIPNPMAYETDHQQIIEICTSPFSDPSGYEESSSLRTLLDKKDACLGPWVPGSDWYEDELRAFDGGKDGLMPCVWDDDICIFPRSESISKMLVNQMLLCFGTMFATQDNGGKLMLLNKVDQCIKNSKKQPWHVASVTNACVGMLAGLKSLLALRNQTLTVEVLSTIQSIFQGILAESENFPAQRRASCEGLGLLARLGNDIFTAKLTRSLLGEIVAATDPCYIASIALSLGCIYRSAGGIALTTLVTSAVRSISLLAKSSNASLQLWALHSLLLIIEAAGLSYVPQIQATLFLAMEIIMAEESGLVDLRQEIGRLINAIVAVVGPELAPRSTFFSRCKSVIAEISSCQETSTVLESVRFTQQLVLFAPQAASVFSHVQNLLPTLYSRQPILRHLAVSTLRHLIEKDPVAMIDTNIEENLFSLLDEETDSEIVNLVCSTITQWLHISCVSCPSRWMNILYNKVLATSARRIASENYSGSGNNKSNGASEGDAASYFGEDDEDMIASSKGEKIHGSTSTFGSVYKRENHIRYRTRLFAAECLSYLPTAVGSNPAHFDISLARSSVTDEHNLSTDWLVLHLQELVSLSYQISTSQFEGMQSIGVRVLSIIMDKFGSTSDPDLPGHLLLEQYQAQLVSAVRSAISTSSGPLLLEAGLELATKIVTSRIISGDQVALSRMYALISRPLDEVKDLYYPSFAEWIACKIKIRLLAAHASIKNYVYQLLKEQEDIPHEYLQLVPLFSSSSTILGKYWISILKDYTYICFGLHSKFYYTPFLDGIQFAVVSVEVKKCLDEVWPLILQATVLDAVPAKFKTDDSLKLSDEDSNKIMFLSGHSMVRLEAIEFHFLWGLSQLIMFQGQQLVSDMQVKMFFAADEKRSGVSVPQGTRDSMTSCDIALPVLQSLVNEYFFNHGFLSSELCTELLQLLVYSHIAYSRSGLVISLLSQIVQFCPDAFFESEDFTTSITELCIKYLTVTFQRRDATHYFSGQDLLVDLSAIAKKIAYKTKQKQTKWKLILAIVSLPHQWYIGASTDLSLSKVAYFLQSIVPSLKDLLRYDAEHNSDDNTLLKTVLGTWARMLATLSGDCIKRILIVDNRINESCKLLMKILVFYLEETISLARLVHEIKLLGQNSAIDDIIWISIFKSCTNCISDIILNNNIQVQALGLHVLKTNAQRELAEGSHQKNQSFILIFTGELLGNLFFLIQRTWKEDVSRESLAVSEDCLKLLFLIHTLAQARECQRHITVLLLEALYLVFSQCSGCHSQELNEVNTTTKRMVSRLVQIPSAATHIRDSMLEMPVLKRQQFQDMIRASIGQGQMKMHDKLNIQPAPNAENKSKVQASHFRQAKVDKHDDNEEHNDDAQDEDEDDDWDAFQSFPANTASVSAIDSRDGKTISEPALCDEPSTVNNHLLNQNNGHDHDLLQPNVCEQDNDAQDVSPGQTKEMMSLNMEEFEEGSSTRYSNERVAEDTSDRSCQDLYHESSEVNEDSTSTVHNSLPSTELCKDEEPTMEKGKAVQFEVEATDKASDVCIEMHHDAMPAASEDTERNKSSQRQFYEGEVQSVCLDHGDDSDE >Ma06_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3239981:3299315:1 gene:Ma06_g04460 transcript:Ma06_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKGNDFADPHVLACVLYVLRVGIADQLTESSQRSFLGFLGRKLETADCSPSMRVATLRILSYLLNNLGEVPVEFKNILDNTVVGALCDSSSHVRIEAALTLRALAKVDPSCVGGLISYGVTTLHALRESGSLEKVMLVSEFGGTNLNLELNSLHGQATLLAALVSISPKLLLGYPARLPQSVFEVSKKMLSSFSRNPLAAIVEKEAGWLLLASLVANMPKEELEDQVFDVLLLWAGPFAGNPESYFRQAQDLAAELYVLSAAVEALTAFIRSFVCPTVAAINGVLLQPVLAYLSGALFYISFFSSKQLPNMKSALALFTARTLMAYQSIPNPMAYETDHQQIIEICTSPFSDPSGYEESSSLRTLLDKKDACLGPWVPGSDWYEDELRAFDGGKDGLMPCVWDDDICIFPRSESISKMLVNQMLLCFGTMFATQDNGGKLMLLNKVDQCIKNSKKQPWHVASVTNACVGMLAGLKSLLALRNQTLTVEVLSTIQSIFQGILAESENFPAQRRASCEGLGLLARLGNDIFTAKLTRSLLGEIVAATDPCYIASIALSLGCIYRSAGGIALTTLVTSAVRSISLLAKSSNASLQLWALHSLLLIIEAAGLSYVPQIQATLFLAMEIIMAEESGLVDLRQEIGRLINAIVAVVGPELAPRSTFFSRCKSVIAEISSCQETSTVLESVRFTQQLVLFAPQAASVFSHVQNLLPTLYSRQPILRHLAVSTLRHLIEKDPVAMIDTNIEENLFSLLDEETDSEIVNLVCSTITQWLHISCVSCPSRWMNILYNKVLATSARRIASENYSGSGNNKSNGASEGDAASYFGEDDEDMIASSKGEKIHGSTSTFGSVYKRENHIRYRTRLFAAECLSYLPTAVGSNPAHFDISLARSSVTDEHNLSTDWLVLHLQELVSLSYQISTSQFEGMQSIGVRVLSIIMDKFGSTSDPDLPGHLLLEQYQAQLVSAVRSAISTSSGPLLLEAGLELATKIVTSRIISGDQVALSRMYALISRPLDEVKDLYYPSFAEWIACKIKIRLLAAHASIKNYVYQLLKEQEDIPHEYLQLVPLFSSSSTILGKYWISILKDYTYICFGLHSKFYYTPFLDGIQFAVVSVEVKKCLDEVWPLILQATVLDAVPAKFKTDDSLKLSDEDSNKIMFLSGHSMVRLEAIEFHFLWGLSQLIMFQGQQLVSDMQVKMFFAADEKRSGVSVPQGTRDSMTSCDIALPVLQSLVNEYFFNHGFLSSELCTELLQLLVYSHIAYSRSGLVISLLSQIVQFCPDAFFESEDFTTSITELCIKYLTVTFQSGQDLLVDLSAIAKKIAYKTKQKTKWKLILAIVSLPHQWYIGASTDLSLSKVAYFLQSIVPSLKDLLRYDAEHNSDDNTLLKTVLGTWARMLATLSGDCIKRILIVDNRINESCKLLMKILVFYLEETISLARLVHEIKLLGQNSAIDDIIWISIFKSCTNCISDIILNNNIQVQALGLHVLKTNAQRELAEGSHQKNQSFILIFTGELLGNLFFLIQRTWKEDVSRESLAVSEDCLKLLFLIHTLAQARECQRHITVLLLEALYLVFSQCSGCHSQELNEVNTTTKRMVSRLVQIPSAATHIRDSMLEMPVLKRQQFQDMIRASIGQGQMKMHDKLNIQPAPNAENKSKVQASHFRQAKVDKHDDNEEHNDDAQDEDEDDDWDAFQSFPANTASVSAIDSRDGKTISEPALCDEPSTVNNHLLNQNNGHDHDLLQPNVCEQDNDAQDVSPGQTKEMMSLNMEEFEEGSSTRYSNERVAEDTSDRSCQDLYHESSEVNEDSTSTVHNSLPSTELCKDEEPTMEKGKAVQFEVEATDKASDVCIEMHHDAMPAASEDTERNKSSQRQFYEGEVQSVCLDHGDDSDE >Ma06_p04460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3239981:3299315:1 gene:Ma06_g04460 transcript:Ma06_t04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKGNDFADPHVLACVLYVLRVGIADQLTESSQRSFLGFLGRKLETADCSPSMRVATLRILSYLLNNLGEVPVEFKNILDNTVVGALCDSSSHVRIEAALTLRALAKVDPSCVGGLISYGVTTLHALRESGSLEKVMLVSEFGGTNLNLELNSLHGQATLLAALVSISPKLLLGYPARLPQSVFEVSKKMLSSFSRNPLAAIVEKEAGWLLLASLVANMPKEELEDQVFDVLLLWAGPFAGNPESYFRQAQDLAAELYVLSAAVEALTAFIRSFVCPTVAAINGVLLQPVLAYLSGALFYISFFSSKQLPNMKSALALFTARTLMAYQSIPNPMAYETDHQQIIEICTSPFSDPSGYEESSSLRTLLDKKDACLGPWVPGSDWYEDELRAFDGGKDGLMPCVWDDDICIFPRSESISKMLVNQMLLCFGTMFATQDNGGKLMLLNKVDQCIKNSKKQPWHVASVTNACVGMLAGLKSLLALRNQTLTVEVLSTIQSIFQGILAESENFPAQRRASCEGLGLLARLGNDIFTAKLTRSLLGEIVAATDPCYIASIALSLGCIYRSAGGIALTTLVTSAVRSISLLAKSSNASLQLWALHSLLLIIEAAGLSYVPQIQATLFLAMEIIMAEESGLVDLRQEIGRLINAIVAVVGPELAPRSTFFSRCKSVIAEISSCQETSTVLESVRFTQQLVLFAPQAASVFSHVQNLLPTLYSRQPILRHLAVSTLRHLIEKDPVAMIDTNIEENLFSLLDEETDSEIVNLVCSTITQWLHISCVSCPSRWMNILYNKVLATSARRIASENYSGSGNNKSNGASEGDAASYFGEDDEDMIASSKGEKIHGSTSTFGSVYKRENHIRYRTRLFAAECLSYLPTAVGSNPAHFDISLARSSVTDEHNLSTDWLVLHLQELVSLSYQISTSQFEGMQSIGVRVLSIIMDKFGSTSDPDLPGHLLLEQYQAQLVSAVRSAISTSSGPLLLEAGLELATKIVTSRIISGDQVALSRMYALISRPLDEVKDLYYPSFAEWIACKIKIRLLAAHASIKNYVYQLLKEQEDIPHEYLQLVPLFSSSSTILGKYWISILKDYTYICFGLHSKFYYTPFLDGIQFAVVSVEVKKCLDEVWPLILQATVLDAVPAKFKTDDSLKLSDEDSNKIMFLSGHSMVRLEAIEFHFLWGLSQLIMFQGQQLVSDMQVKMFFAADEKRSGVSVPQGTRDSMTSCDIALPVLQSLVNEYFFNHGFLSSELCTELLQLLVYSHIAYSRSGLVISLLSQIVQFCPDAFFESEDFTTSITELCIKYLTVTFQRRDATHYFSGQDLLVDLSAIAKKIAYKTKQKTKWKLILAIVSLPHQWYIGASTDLSLSKVAYFLQSIVPSLKDLLRYDAEHNSDDNTLLKTVLGTWARMLATLSGDCIKRILIVDNRINESCKLLMKILVFYLEETISLARLVHEIKLLGQNSAIDDIIWISIFKSCTNCISDIILNNNIQVQALGLHVLKTNAQRELAEGSHQKNQSFILIFTGELLGNLFFLIQRTWKEDVSRESLAVSEDCLKLLFLIHTLAQARECQRHITVLLLEALYLVFSQCSGCHSQELNEVNTTTKRMVSRLVQIPSAATHIRDSMLEMPVLKRQQFQDMIRASIGQGQMKMHDKLNIQPAPNAENKSKVQASHFRQAKVDKHDDNEEHNDDAQDEDEDDDWDAFQSFPANTASVSAIDSRDGKTISEPALCDEPSTVNNHLLNQNNGHDHDLLQPNVCEQDNDAQDVSPGQTKEMMSLNMEEFEEGSSTRYSNERVAEDTSDRSCQDLYHESSEVNEDSTSTVHNSLPSTELCKDEEPTMEKGKAVQFEVEATDKASDVCIEMHHDAMPAASEDTERNKSSQRQFYEGEVQSVCLDHGDDSDE >Ma06_p04460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3239981:3299315:1 gene:Ma06_g04460 transcript:Ma06_t04460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKGNDFADPHVLACVLYVLRVGIADQLTESSQRSFLGFLGRKLETADCSPSMRVATLRILSYLLNNLGEVPVEFKNILDNTVVGALCDSSSHVRIEAALTLRALAKVDPSCVGGLISYGVTTLHALRESGSLEKVMLVSEFGGTNLNLELNSLHGQATLLAALVSISPKLLLGYPARLPQSVFEVSKKMLSSFSRNPLAAIVEKEAGWLLLASLVANMPKEELEDQVFDVLLLWAGPFAGNPESYFRQAQDLAAELYVLSAAVEALTAFIRSFVCPTVAAINGVLLQPVLAYLSGALFYISFFSSKQLPNMKSALALFTARTLMAYQSIPNPMAYETDHQQIIEICTSPFSDPSGYEESSSLRTLLDKKDACLGPWVPGSDWYEDELRAFDGGKDGLMPCVWDDDICIFPRSESISKMLVNQMLLCFGTMFATQDNGGKLMLLNKVDQCIKNSKKQPWHVASVTNACVGMLAGLKSLLALRNQTLTVEVLSTIQSIFQGILAESENFPAQRRASCEGLGLLARLGNDIFTAKLTRSLLGEIVAATDPCYIASIALSLGCIYRSAGGIALTTLVTSAVRSISLLAKSSNASLQLWALHSLLLIIEAAGLSYVPQIQATLFLAMEIIMAEESGLVDLRQEIGRLINAIVAVVGPELAPRSTFFSRCKSVIAEISSCQETSTVLESVRFTQQLVLFAPQAASVFSHVQNLLPTLYSRQPILRHLAVSTLRHLIEKDPVAMIDTNIEENLFSLLDEETDSEIVNLVCSTITQWLHISCVSCPSRWMNILYNKVLATSARRIASENYSGSGNNKSNGASEGDAASYFGEDDEDMIASSKGEKIHGSTSTFGSVYKRENHIRYRTRLFAAECLSYLPTAVGSNPAHFDISLARSSVTDEHNLSTDWLVLHLQELVSLSYQISTSQFEGMQSIGVRVLSIIMDKFGSTSDPDLPGHLLLEQYQAQLVSAVRSAISTSSGPLLLEAGLELATKIVTSRIISGDQVALSRMYALISRPLDEVKDLYYPSFAEWIACKIKIRLLAAHASIKNYVYQLLKEQEDIPHEYLQLVPLFSSSSTILGKYWISILKDYTYICFGLHSKFYYTPFLDGIQFAVVSVEVKKCLDEVWPLILQATVLDAVPAKFKTDDSLKLSDEDSNKIMFLSGHSMVRLEAIEFHFLWGLSQLIMFQGQQLVSDMQVKMFFAADEKRSGVSVPQGTRDSMTSCDIALPVLQSLVNEYFFNHGFLSSELCTELLQLLVYSHIAYSRSGLVISLLSQIVQFCPDAFFESEDFTTSITELCIKYLTVTFQSGQDLLVDLSAIAKKIAYKTKQKQTKWKLILAIVSLPHQWYIGASTDLSLSKVAYFLQSIVPSLKDLLRYDAEHNSDDNTLLKTVLGTWARMLATLSGDCIKRILIVDNRINESCKLLMKILVFYLEETISLARLVHEIKLLGQNSAIDDIIWISIFKSCTNCISDIILNNNIQVQALGLHVLKTNAQRELAEGSHQKNQSFILIFTGELLGNLFFLIQRTWKEDVSRESLAVSEDCLKLLFLIHTLAQARECQRHITVLLLEALYLVFSQCSGCHSQELNEVNTTTKRMVSRLVQIPSAATHIRDSMLEMPVLKRQQFQDMIRASIGQGQMKMHDKLNIQPAPNAENKSKVQASHFRQAKVDKHDDNEEHNDDAQDEDEDDDWDAFQSFPANTASVSAIDSRDGKTISEPALCDEPSTVNNHLLNQNNGHDHDLLQPNVCEQDNDAQDVSPGQTKEMMSLNMEEFEEGSSTRYSNERVAEDTSDRSCQDLYHESSEVNEDSTSTVHNSLPSTELCKDEEPTMEKGKAVQFEVEATDKASDVCIEMHHDAMPAASEDTERNKSSQRQFYEGEVQSVCLDHGDDSDE >Ma11_p09890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9127076:9131432:1 gene:Ma11_g09890 transcript:Ma11_t09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLRSPSHFASSPRRTLSCDPNDGSNGLLNPSNGIRGGGEEEENERRGAGGAIERKRETRRGEGVEEQQLSVLALLLTLFRKSLLGCKPEGVDDEDFGSMEIGCPTEVQHVAHVTFDRFHGFLGLPVEFEPEVPCRAPSASATVFGVSTESMQCSYDSRGNSVPTILLLMQRRLYEQGGLRTEGIFRINAENGQEEYVRDQLNNGIVPEGVDVHCLAGLIKAWFRELPTGLLDSLSFEQVMQCQTEEECSRLARLLPPTEAALLDWAINLMADVVQEEQQNKMNARNVATVFAPNMTQIHLMRMAITALSFILKLVLKRQLKMFVLSRNLLWTILLEFLKKGQQKMRKLMDHLRPLMTMLPLKGLQCNIQLMNSSLRIQHAPMVHPVARNQQLIVLTQFIQIRIGKRQASQTITIIGRAERQRGSLPVELPCQLRSQGGPVSQVV >Ma11_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9127076:9131432:1 gene:Ma11_g09890 transcript:Ma11_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLRSPSHFASSPRRTLSCDPNDGSNGLLNPSNGIRGGGEEEENERRGAGGAIERKRETRRGEGVEEQQLSVLALLLTLFRKSLLGCKPEGVDDEDFGSMEIGCPTEVQHVAHVTFDRFHGFLGLPVEFEPEVPCRAPSASATVFGVSTESMQCSYDSRGNSVPTILLLMQRRLYEQGGLRTEGIFRINAENGQEEYVRDQLNNGIVPEGVDVHCLAGLIKAWFRELPTGLLDSLSFEQVMQCQTEEECSRLARLLPPTEAALLDWAINLMADVVQEEQQNKMNARNVATVFAPNMTQMADPLTALMYAVQVMNFLRMLILKTLKERQESTLEDASLSNADPSDENGHHSPQLHLEARLKEATEDVCVIEEPVVDNLAGVPEERPTKDEEADGPPQTSHDNAASEGTAVQHSTHELFSENSACPDGSSGCQESAANCSNAVHTNSHRKKTGQSNNHNHWKGRKAKGKSTSRASLSAEKSRGTSIASRINSKVELVEAWR >Ma04_p29980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30744287:30752518:-1 gene:Ma04_g29980 transcript:Ma04_t29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEIARPDVPSFYETEISWDKLDKTKFYIVGAGIFSGVTLALYPLSVIKTRMQVASKDAVQKNVFSAFRNIVKVDGIPGLYRGFGTVITGAVPARIVFLTSLEMTKEASLKLVEPFKLSEPVQAAIANGIAGMSGSLCSQAVFVPLDVVSQKLMVQGYSGFAKYNGGFDVVHRIIKSDGVWGLYRGFGLSVMTYPPSSAVWWASYGSSQHIIWKLLGRGNEKKNVSSQWNIVYAQAASGVVAGAVTSCVTTPLDTIKTRLQVMDTTEKRDVGQVMKRLIAEDGWRGLYRGLGPRFASMSAWGTTMIVAYEYLKRLCAVSERDLSG >Ma10_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1573193:1576693:-1 gene:Ma10_g00340 transcript:Ma10_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLTIQQHQPADENMSNLTSASGEASVSSNQQSSFASPTPNPTKKKRNLPGNPDPDAEVIALSPKTLMTTNRFVCEICNKGFPREQNLQLHRRGHNLPWKLKQRSSKEVRKKVYLCPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGILFSRRDSFITHRAFCDALAEESARAMAANPLTNHQALLFSPAAASCEPSSLQPTLLQSYFPHLTRGDEADASILGSHGMQRELSLGGEHQHQRFNVRSTIPPWLVCPGATALNMLDLPSTVYSTRLEAEHGREDPVPHPPLPPAFPHALAPSPHMSATALLQKAAEMGATMSRPAHLGLMAAHAPSSAPFAADGTSGVGLGLSSYRDMGHGIGGGQGSAPPPPLLRDMVATSLSATPGFHGSFEDAIRAIREARNSDKSGLGRSHGMAEEGGGGMNDGMTRDFLGLKAFPHRNILDLTGLDPCLTAAAASSSSSAYEQQSMKPWNG >Ma10_p00340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1573193:1576693:-1 gene:Ma10_g00340 transcript:Ma10_t00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLTIQQHQPADENMSNLTSASGEASVSSNQQSSFASPTPNPTKKKRNLPGNPDPDAEVIALSPKTLMTTNRFVCEICNKGFPREQNLQLHRRGHNLPWKLKQRSSKEVRKKVYLCPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGILFSRRDSFITHRAFCDALAEESARAMAANPLTNHQALLFSPAAASCEPSSLQPTLLQSYFPHLTRGDEADASILGSHGMQRELSLGGEHQHQRFNVRSTIPPWLVCPGATALNMLDLPSTVYSTRLEAEHGREDPVPHPPLPPAFPHALAPSPHMSATALLQKAAEMGATMSRPAHLGLMAAHAPSSAPFAADGTSGVGLGLSSYRDMGHGIGGGQGSAPPPPLLRDMVATSLSATPGFHGSFEDAIRAIREARNSDKSGLGRSHGMAEEGGGGMNDGMTRDFLGLKAFPHRNILDLTGLDPCLTAAAASSSSSAYEQQSMKPWNG >Ma10_p00340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1573193:1576693:-1 gene:Ma10_g00340 transcript:Ma10_t00340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLTIQQHQPADENMSNLTSASGEASVSSNQQSSFASPTPNPTKKKRNLPGNPDPDAEVIALSPKTLMTTNRFVCEICNKGFPREQNLQLHRRGHNLPWKLKQRSSKEVRKKVYLCPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGILFSRRDSFITHRAFCDALAEESARAMAANPLTNHQALLFSPAAASCEPSSLQPTLLQSYFPHLTRGDEADASILGSHGMQRELSLGGEHQHQRFNVRSTIPPWLVCPGATALNMLDLPSTVYSTRLEAEHGREDPVPHPPLPPAFPHALAPSPHMSATALLQKAAEMGATMSRPAHLGLMAAHAPSSAPFAADGTSGVGLGLSSYRDMGHGIGGGQGSAPPPPLLRDMVATSLSATPGFHGSFEDAIRAIREARNSDKSGLGRSHGMAEEGGGGMNDGMTRDFLGLKAFPHRNILDLTGLDPCLTAAAASSSSSAYEQQSMKPWNG >Ma04_p35720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34354774:34358951:-1 gene:Ma04_g35720 transcript:Ma04_t35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIS12 [Source:Projected from Arabidopsis thaliana (AT5G35520) UniProtKB/TrEMBL;Acc:A0A178UCY0] MEGSESEAVFDAYNLNPQRFLNEVLNAVDDMVDGAFDFCLHQAPQITGPGADRSEELARGVSSLRHLTQAVLDKRMSMWEKYCLRHCFSIPDGFVLPKTKEYSISVLQEVLSDQELDSHLDSLREKLAAAGKESQALHREINLLEMQSTADNKYNASVAEVQQLFKEHSVHLMFQELVESASKLHQKVAAELKSKRLGDMKQDGVCKKHCLSSGKQMVPDTGISSSLEDIQEIVSILKNT >Ma08_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3610374:3615033:-1 gene:Ma08_g05250 transcript:Ma08_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYAQEQEMEIEALQAILMDDIEEIDSSESGLSTQNRCFLISLTPQDNDVDESISTPVKMGLIFSHTEKYPDEPPLLNVKSLRGIRPEDLTSLKEKLLQEASENLGMAMIYTLVTSAKEWLSEAYGNDVGIEDSEENDAVKDEIIVPHGEPVTVESFVSWRERFEAELALERAKLMPDSALTSTKEKKLTGRQWFESGRHAVKGATTVAEGSEEEEEDIEFDDDFEDDEEDMLEHYLAERSEKSSEGSRK >Ma01_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12381551:12383773:1 gene:Ma01_g16930 transcript:Ma01_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRT2.7 [Source:Projected from Arabidopsis thaliana (AT5G14570) UniProtKB/TrEMBL;Acc:A0A178UKX9] MQEKEEVSKFALPVDEEHKATELRLLSAAGPHMRAFHLAWLSLFTCYFSTFATPPLLPVLRRHLHLSPVDVAHAGVASVSGIIVARLAMGPACDLLGPRVASAALALLTAPAVYAASAVSSPTGFIVLRFVAGLSLANFVANQYWMSSMFAPGVVGRANGVSAGWANAGSGAAQFLMPLAYSFILRLSGSPSFSWRAAFLIPATLQVVGALAVLAFGQDLPDGNFVSFSRSQEKRSLRKPTSKEGFWVVIADGLGNYRGWILCLTYGYCYGVELAMENVVAEYFYGRFGLGVEAAGLVAACFGMANVVSRPAGGMISDAMGRRFGMRGRLWSLWVVQTVGGVLCVLLGRMSSLGASMAVMCCFAFFAQAASGLTYGVVPFVSKRSLGVISGLTGSGGALGGVVIQLLFFSGTKYSKETGISLMGVMVLVCTLPVSLIYFPQWGGMFCGSSTAEDDDPEEDYHLLK >Ma11_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27539074:27566764:-1 gene:Ma11_g24660 transcript:Ma11_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPERVVQEVGKSLARPRLSKDSLVKLLKEAEDALSKLNQSSTLQIALGSLSHSLSQINLLQHKDKEVRLLVAVCFSEIIRILAPEPPFSDEIFMHIFRLIIGTFIDLADTASPYFTRRTKILESFAALRCCVIMLDMGCEDLVLEMFKVFFSVVRQSHQRSLIQAMLSIMTLVIEEKVTQPLLGIVLQNLMKADKGAASKLAVSLIQNCAGKLESPIHGFLTSCIFDNDASANEFKKLYHEIILKLYQCAPQILVAVIPNLTHELLVDQVDIRLRAVHLVGKLLAQSELNFSQKFHTVFVEFLKRLSDKSLEVRIAAIEHARECYLAHPFGSEARDILAALEGRLLDFDDKVRTEAVFAVCDLAKSSLTCFPSEIILQAVERLRDKKVSVRKKVMEKLLELYRVYCSRCSEGILTLNDHYEQIPCKMLLLCFDKECKEFRPQNIELVFAEDLFPASLSIKERTKHWIAFFSLFKLPHIKALKSILYQKWRLQMELKVYFALRDEEKENASEEMHMRILASFMKMSTAFLDSSKAVECFQKLHQITDNNIFKSLLELVDEDMSSSAAYSTRVSLLKQLGDKHPTYDFLSTLSTKCSYSIFSAEHVRYIMEEVISGNDDRTKYAQVSKVDLLIVILSIYPTLLRGGEDCLLKLFSMNATLLNEKSLQILAIAGRHVSIALSDIYLFLERKCIEGTRTESKYAVSAISSLIHAPDDPIFSNLCQKVVNSLHHGRHIPTLLQSLGCISQYSPSTYELYKKQIMQFIIQKLLCSKVDSDQASSNDGALCSLSCKLKMYGLKSVAKSFLPHEVSQIRHEIKEFFNILSDMILGIGTINENILSQSDKVHLRLAAAKCILRLATRWDLHIPPDIFHLVIMSARDPSSTVRKSLLCKIHKLLMEQAIPDRYACAFAFTSVDCIGDIRNDSVKFFTAFLTARNKKFLINKNALAQETDGVAITKHPGYIVVFVIHVLAHDKNFPSDNCQDQDVYAEFCSPLIFIIRALLNLNCGRSNQNDASYMASYLLGIFLAIQKADDAVDAKFTPKLHILSKICLLALKVLTQHCKSPLDASHLVLLPSSYFKACHDARKKGASLHVANFVDKSFVRRILCAFDSYINQASNSCSKWNHKVQDVGDLDVMKNISNALTMDRQIDQSHGKMKKEKDNLHPNTKRSQKVCSVRSHMNSVSHSSSMSTELVHGVINLEPVNAEYEERKEQVSSSDSVSICLVIPTSQVSTKAVALKGFMPSMTNERCVTNGSSTSQPETSKADIECPLDSQVANDNGDVELLDSEDKTWEAINEMPLLDKGRCNIQPEEGLDSSSCSPEEVIPTAGDDSDKTNPAASDERTENWCASTNLSSVGAGKGNKRLLPRNASKNSLSDLMDRNMSNSRRIQPRRK >Ma06_p25520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25902414:25902518:1 gene:Ma06_g25520 transcript:Ma06_t25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHVEEEWYFFSPRDLKYPKGSRPNWASGTGYW >Ma04_p31080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31499523:31502506:1 gene:Ma04_g31080 transcript:Ma04_t31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEGFLMNHSEPAVVTRNQWEVEFSRFFNLPRWASGAPAGLRALPKGKIRSTGTWITSSSPALLILHKPTGASAFVLSVNVQEYALEEHFVSSLLFSWPQVSCVSQCPVRGSRVVFMSYMDVSSQIQKFAVRFPTCSDVEAFLAFVKECSRGMPESDFARETSSQSEIISSNGLQYSSGEPTTACGLEVPALSYSREQPELLHSSCTHSICPGFPPSFTELLTNCSNGTQKEQTNPIAEPDVPSPDEEHPVDPLLQDASKQVDSMSGADIKRKIVEYMSDATFHEMLFKLEKVIDELGGDLAL >Ma10_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22350031:22350201:1 gene:Ma10_g08100 transcript:Ma10_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDQSGSPHLSPKHVSDCYKGMGDNLLD >Ma10_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28629877:28631940:-1 gene:Ma10_g17130 transcript:Ma10_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRMTVRCSAIFSNLPFRSSPTNQSSVVAGIPWSSSVFGHFKSQAAPGNHHTICSSSKMGDHETPSFEKPRHVAKKVLARSQQEGVGASVRRSIGSGALRNLDPFLMLDEFSVSAPAGFPDHPHRGFETVTYMLQGASTHQDFAGHKGTIRAGDLQWMTAGRGIIHSEMPADEGENTGLQLWINLSSKDKMMAPRYQELQSKDISRVEKDGVDVRIIAGESFGVRSPVYTQTPTMYLDFTLQPGAQVHQRVPEDWNAFVYILEGEGVFGDPKASPATSHHTLVLSPGDGLSVWNRSAKPLRFVLIGGQPLNEPIVQNGPFVMTTQAEIQKAIEDYHYCKNGFENARHWRSQQQQQQ >Ma07_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:59114:61092:-1 gene:Ma07_g00030 transcript:Ma07_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g40405 [Source:Projected from Arabidopsis thaliana (AT5G40405) UniProtKB/Swiss-Prot;Acc:Q9FND7] MTTSLRNIITRHNPITLLDSSFGPVTLAHVHQIHAQLLVHGHLNHPLLFVQFLAAVALLSPSNTTPSSPQHHERQLLLLYSQRLLDYSPHPPTTFVLNSLIRAHSRGPDPAPAFRFYRRLLCSPDALSPDRFTFTFLVGSCGRGGPSSAAGVIAHAAALRRGFVSNPHVHSALIRMYAQFGLLDAARRVYDELPDPDLVSRTAMLGALAAAGDIVLARELFDSMPRRDPIAWNAMIAGYSQVGRSREALELFSSMQLEGVRVSVATLVSVLTACAHLGALDQGKWVHAYMQRNRLRVTVTLGTALVDMYSKCGDVGRGMDVFWRMQEKNVYTWSTAMSGLAMHGAGKECLDLFELMKEHGVLPTGVTFVSVLRGCSVAGLVEEGRRHFDSMRDQYGIDPWHEHYGCMVDLYGRAGRLDDAVHFINSMPIEPHAGAWGALLNACRIYRNIELGEYAMKKMVEMESKNHGAYVLLSNIYAESRNWGGVNDVRESMKAKGVRKEPGCSVIEVGGEVHEFFVGDNSHPRYREIEVMLREISRRLRLAGYAAKTNAVMFDIEEEEKEDAVCWHSEKLAIAFGLIASGEGASIRIVKNLRVCWDCHDATKLISKVFQRDIVVRDRNRFHHFRDGMCSCTDYW >Ma02_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20457966:20461980:-1 gene:Ma02_g11140 transcript:Ma02_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSIECVSSIDGIDEEATSLPHHSSKSHGGAAPPAGIVPSTSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNYRPYSCPYAGSECSVVGDIPSLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFNCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNFSYSLEVGVNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNNDAGVCMPNLCS >Ma10_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15168504:15169332:1 gene:Ma10_g04510 transcript:Ma10_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDCGNHEEQKRHKVYRRLFAAFLLLIILVLFVVLIVWLVLRPTKPKFYLQDASVAQFNLSSGDGTLTAVLQVTLASRNPNDRIGVYYDRVDAFALYKAQQVTATTALPPGYQGHNDVTVWSPYLYGAAVPLGPYLADALSQDQNAGYILLYIRVIGSLRWKVGTWISGHYHLQVNCPVFLTVDSGRSHGGDPSTPYLRFQHMTACSVDV >Ma04_p33270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32987566:32988681:-1 gene:Ma04_g33270 transcript:Ma04_t33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSAESYDYAFKIVLTRDSSVGKTSFTSHFVGGQRPSLGVLTSSSLAFSIFLIRNTKLKLTIWDTDQFELYRTVTKDYYRCAHGIIMGYPISLLCFHSWFPRSSFIPLN >Ma03_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26198245:26200194:-1 gene:Ma03_g21180 transcript:Ma03_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTQPNGSQHSHHHHHHHHHHLQPCEGKLITVLSIDGGGVRGLIPGTVLAFLELKLQELDGPDARIADYFDVITGTSTGGLLSAMITSPDENKRPLFSANQVIDFYLENSPKIFPQGSGMFSSLTKLVSAIRGPKYDGKFLHSKVKQLLTETKLSETLTNVIIPTFDIKLLQPVIFSSFEARHSPLKNAHLADICISTSAAPTYLPAHYFETTDSDGKSHSYNLIDGGIAANNPTLVAMSQIKKEIALTNKNFANFKSIDYHKFIIISIGTGSAKVEQKFSAHLASKWGVLQWLYHGGSNPLIDSFFQGSADVVDIHMSSLFQSLNCEKNYLRIQDDTLMGERSSVDVSTKENLLELVQIGKNLLNKKVSRVNLETGIFQEIDEEGTNADALTQLAKRLSRERQLRKATVAAK >Ma04_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24741727:24741807:-1 gene:Ma04_g22400 transcript:Ma04_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWNVDRISWRFEVENILFDMMSKP >Ma02_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14334529:14339994:1 gene:Ma02_g02920 transcript:Ma02_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEGEEETRMANHRVGETGLSDSGPSTRSVADGIHGTTPQAATNFFDQEGAAYFGELEKALMQGVDGIRETEEDTKAFFATRPATLEIFPSWPMRSQQTPRGKTRSANSTDLGSAQDTTTSHLGSDSTASRRASSDQSADQEQAMMTMMIAGDGSITGTTPTSQLASQEKRKMTGSAAGKDGKKLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLQQLEHDLQRARSQGLFLGVAGSANGAVTSGAAMFDVEYARWLDENCKHMSDLRGAMQAHLPDGNLGVIVDQCIANYDDLFRLKEIVAKSDVFHLLNGTWMTPAERCFLWMGGFRPSELLEILMPHLDPLTEQQLLVIGNLRLSSQQAEEALSQGLEQLHRSLSDTVTGDSLSDGVDVGNYMGHMALALGKLANLEGFVRQADNLRQQTLHQLRRNLTIKQAARCFLAIGEYYTRLRALSSLWASRPRQSLIVHDSVVSSATDLQIVHQPLQNHFTSF >Ma11_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2333457:2339665:1 gene:Ma11_g03170 transcript:Ma11_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPPGPGGDASVDPAPLLVAPNGGRRTGRGPGFLRAARFLHGASSRHVMREPSMMVRETAAEHLEERQSDWAYSKPVVFLDILWNLAFVGVAFGVLILSRDEMPSMPLRLWIVGYALQCVLHMVCVCIEYRRRHPLAGPLLDEEEGGSGRSSASNSPREAGEAMDDEQGQDEDGTSFVKNLESANTMFSFIWWIIGFYWVSAGGQALTHDAPQLYWLCIIFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASEEDISQLSKYKFCKIGDSEKLDELSSSFGGIMRECGSDPAVERVLPAEDAACCICLSPYDDGVELRELPCGHHFHCGCIDKWLRINATCPLCKFNIAKSNNHGREEV >Ma08_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2042588:2043237:1 gene:Ma08_g02740 transcript:Ma08_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATTPVDPCVLGAMLPFYLARFGNPRSAPTLRLRVRVRRRGCPWRSSSLGGATESNNVSVEGLMRFAASGTSSPPTTRCRHAIRPDTGPVSVIAVNNEIGVVQLLDKMGIGLISLRMLAHPVICRHFRVRVEPQMSGGGQERVIRSGTVHARLAVGMGTAC >Ma06_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10838609:10844587:-1 gene:Ma06_g15960 transcript:Ma06_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MAVSAARQRRPPAADRDAPSSSSDRYSKEDKGEKKEEERVGVGWLLPALALGLLRHMSATSNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSEFALRSYLYIFFHALVAGPASLIYSEEKVRVFYSVRLFLGLVSTFTDTVLVVALSRKYGKRLAWYTLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLQKYAMAVSVAAAGVIIGWPFSILVFLPITFYSLSRGGFKKVFLSGILTSVLILAFSLLADYYCYARWTSSVFNLVQYNVSGGGQSHLYGTEGPLFYFRNGFNNFNFCFILALLFLAILPIARKKYAPDLLIVVSPMYIWLAFMSLQAHKEERFLYPIYPLICIAATAVIDSFPDLFRDKYAVEDTLIVQIAKGLRPVFLGLILCASHSRTFSILNGYSAPLEIYKHLEHHGDAGTGSVLCVGSEWHRFPSSFFVPSYVSEVRWVDDGFRGLLPFPFNSSFGGMGAAPPYFNDKNKASGDQYVKDTEVCTFFVELDLKRPFPARGTDLSTWETLAAIPFLDRELSPATYRSFFIPYKWQEKNVFGLYKLLKKIPKSGQAQT >Ma06_p30580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31830895:31832818:1 gene:Ma06_g30580 transcript:Ma06_t30580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLGILLLPTVASPCHFPAIFNFGDSNSDTGGLSAAFGPVPWPYGETFFEMPAGRYSDGRLIIDFAAESLGLPHISAFLDSVAANFSHGANFATSLSTILPQNVTLARGGYSPFSLDVQLKQFLQLRHRSRAVFRRGGVFGHLMPKEEDFARALYMFDIGQNDLTALYFQNVSATPYLSAALKELSRVVQVYERGGRSFWIQNTGPLGCLPYVLVRVPPAASRFDSSGCSILFNALAEQFNTMLNETVAQLRKHLPLASVILTDIYSVKYSLFRRAASYGFERPLRACCGHGGGAYNFNADVWCGDTAEVDGTRVLLGKSCRKPWKRIVWDGAHYTEAANKWVFHHISGGEFSHPSVPLSMACGKKTPTT >Ma06_p30580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31830895:31832818:1 gene:Ma06_g30580 transcript:Ma06_t30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLGILLLPTVASPCHFPAIFNFGDSNSDTGGLSAAFGPVPWPYGETFFEMPAGRYSDGRLIIDFAAESLGLPHISAFLDSVAANFSHGANFATSLSTILPQNVTLARGGYSPFSLDVQLKQFLQLRHRSRAVFRRGGVFGHLMPKEEDFARALYMFDIGQNDLTALYFQNVSATPYLSAALKELSRVVQKVYERGGRSFWIQNTGPLGCLPYVLVRVPPAASRFDSSGCSILFNALAEQFNTMLNETVAQLRKHLPLASVILTDIYSVKYSLFRRAASYGFERPLRACCGHGGGAYNFNADVWCGDTAEVDGTRVLLGKSCRKPWKRIVWDGAHYTEAANKWVFHHISGGEFSHPSVPLSMACGKKTPTT >Ma03_p29240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32211476:32213843:-1 gene:Ma03_g29240 transcript:Ma03_t29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLALSLQPANGPDILLQTREWFPPARALAALSAFRHTRLSLSPAAAGPARGLPSSSSAVPASSPSPSSDDLDSSLGDDPLAASGGQLVVGVESKYRVVYRLVNSVYVLGVTTADHHSNLFECINTVNQAVSVVVAACRGVDVTPEKLHRKYPEVYMALDIVLRGVGSVRLAYILSSIHGDNIAKMVHTAIDAENRVRGADSWAGGAEALATERRANLEAFSSAFFELPTETLAAGDEVAVSIAPATTSLTEDQQQKDQTEEAAPEEKDPFAASERINKPDEALVGGFKKSKDQTASTADPTLALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLSEAFEGIEGNTPFGGGLDASEFITTTKKTTQAQGLTGLELLATGPSPAAATAAAAAGAGTPLENLLVTKTKEMTGPEMFISEEINAEFRESLLARVGLKGTIFLRTLPPKKAAGKETEFSFRLEGTSSIKRAVLQSSRVSSLQNGLFHVRTPSMEDPIPLILYSLQPRLTPLPLRLRLVKRHTGKLLRAMIQYVSNPSLPMPLTNVTFILKLPVEPTLLEVSPKAIRGEKEIRWHISDVPLKGPPGRLRAKMPVDQDSADGELDVNGMVNFSSQGSMTLSGVCLRPVSDGIAHFNEVSHIYASGTYLCT >Ma07_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6503686:6518023:-1 gene:Ma07_g08730 transcript:Ma07_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVAEEVEEEEEAVGSSLTMERVAAAKKFIENHYRNQMKNIQERKERRWFLERQLASSQVSKEEQLCLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMVSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREDTLTESVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMSDENLRESMDIDGIPDTKNARRWKSPHEQLQHWQMNRRTLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDTRLSPEAKDLICRLLCDVEHRLGSGGVDQIKAHPWFKGVVWDKLYEMDAAFKPEVNGELDTQNFLKFDDLDPPPARSGSGPTRKKLLNPQDLSFVGYTYKNFDAVKGLKSLDSKRSLSPKRPSIDSIFGDSVTDNARKSTGGNTDVDMVSSGDAMSP >Ma08_p27780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39916169:39918693:-1 gene:Ma08_g27780 transcript:Ma08_t27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CITRX, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06730) UniProtKB/Swiss-Prot;Acc:Q9M7X9] MMTTAATLWSPTMAFSASHRFQSPASSVHLRSCLGLTCRTTASKPLAPATKRCSTIRMVSRFPNGKYIREDYLVKKVSAKEVQELVKGERTVPLVVDFYATWCGPCILMAQELETLAVEYEGNALFVKVDTDDEYEFARDMQVRGLPTLYFISPDPNKDAIRTEGLVPPEMIKNIIDNEM >Ma10_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31876993:31878141:-1 gene:Ma10_g22550 transcript:Ma10_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNASAAGWRRVLRAFLPRASAGIVAVDDVPNAPCRAPPPYRRLKLASPSPHPQPPHPGDGDHLHPSCDHIISSPHLRLRTPNAMEIKIPRRGDGKNGKEAEKPPSRLQRQAPGSLQLDAKMKDALASPENAATSAPIPLLSPLVPSPPLWDVQETGSRGEEGEGVDGAGGDVGMETTSPPSPPEGWRHPALAVAAAEPASLVPLFEFQCSMVRNVQ >Ma04_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:645520:667329:-1 gene:Ma04_g00670 transcript:Ma04_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) UniProtKB/Swiss-Prot;Acc:F4HPZ9] MATPPPPFSTSSLFLSSYRSFSAALPSPPPSPPLAFRLLSDGSQLPPVPSDFPLSKLIPRTRFVVDGFRSAGDFSVSYLLTHFHSDHYAGLGSAWCKGLIFCSATTARLLVEILNVSPFFVVSLSLGEFTEIDEWEVAAVDANHCPGAVQFLFRTRVCEGQRPERFIHTGDFRFHEQMTLDPVLCDFVGADAVFLDTTYCNPKFVFPSQEESVEYIVNTVKRFKEQNEGSGESVLFLIATYVIGKEKILLEISRRCGCLLQVDNRKMQVLSVLGFAGSGVFTEDASASDIHVIGWNVLGETWPYFRPNFVKMKEIMVERGYNKAVGFISTGWLNETKRDGFAVRVKDLLEIHLVPYSEHSNYNELREYVRFLRPKRVIPTVGMDVEKLDSKHALAMRKHFAGLVDETANKHDFLMAFHRKSEGADTSAGNDMTGNRNQQEDVDMVDNPTATSIRSCQQSDSQDLHMVNDEEMEGVVEDLQDCLPSWVKQDQVLDLLKRSNGDLVSAVSEFFEHETEFYKQANAGNISIASSCKSINTDLEPLLPLKSVGEAPESGRKNFLDQVKQSTNQNLRLLTPSLAKKRGLGGGNKKKKKAKGCSNLESSGGKQSTITNFFRRVASGASSSDTCAVTSNQQITIETYKEELDQFLQIINNGMPRKSAALLLEKVRGNIDVAVDMYYSGSSNILENEGNLLSEVVVSGVLVNKCTKKVSNSSEDKISLPTLYLQGSSREDKTPANVSLPIEKYSPIEHACWKAGEPAPYLHLALTFDLVEQVRGKIKTTSMLSNMFRSLLALSPGDVLPALYLCTNKIAADHENMELNVGGSLVVTALEEACGMNRSRIKEMYNTLGDLGDVAQEIRQTQSLLAAPRTLSIHHLFCMLREISMITGTGSAVRRKNLIVNLMRSCREMEIKFLVRTLVRNLRIGAMMKTILPALAQAVVLNSHSPLQCVGISETTRLQLQGISAAVAEAYNVLPNLDLLIPSLLSKGIDFSAASLQMTPGTPIPPMLARITNGAMQVLKLFEGRAFTCEYKYDGQRAQIHRAADGSIRVFSRQMKETTSRFPDLIDTIKESCKHEVSTFILDAEVVAVDRKNGGKLMSFQELSSRERGNKDSSIKIDNIRVDICVFIFDIMFCNGERLLDFPLRQRRKYVKDLFYKEKMGYLEFAKEITVEADEACLNNQNTLSRINLFFKDACKSSCEGIMVKTLDIDAGYSASKRSEAWLKVKRDYIEGLGDSFDLVPIGAWYGNGRKAGWYSPFLMACYNSDTEEYQSICRVMSGFSDSFYLEMKEFFSGERLLLKKPSYYRTDESPDLWFPPELVWEIRGADLTISPVHHAAVGLVHPSRGISVRLPRYIRSLSDRKSEDCSTAADIACMYKAQTRKMEVFKED >Ma06_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1939318:1946668:1 gene:Ma06_g02500 transcript:Ma06_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAVLTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREIVEQSWTAD >Ma02_p15620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23272625:23274172:1 gene:Ma02_g15620 transcript:Ma02_t15620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTDLKGDTISELPDAVLHQILSFLSTREAARTSVLSTRWRPLWSAVPSLHFYQEEFPRRESLLELVEGAWAARDADVTISTFRLVVSENIDRCYVRRWLENAAERNVEEVEIEVSVGSGDDGSGIVPDYLLRCQSLRSLKLVLPLWKVVFDDGAVGPGSLTTMHLKGIQSCKSSLGTLISSCPVLQELRLEDCYFDLLKITANELKWLTVSRCIFDAYNEVVISTPKLLSFHYTSGKAQGCFIRDMANLVDASIVLFNLSLQNSSVRAWSVSEIFKGLSHASSLTITYLGNQQLSVEEHLQYLPIFLNLKYLRLNILFSRECMEAIAYMLKHMPDLEILVVHNELVWYSIIEEHIWWTSKELTGGMLNRLKEIQLGNFGSSNHETEFIEFLVKNGRIAQDHDGSSLHQK >Ma02_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23272623:23274172:1 gene:Ma02_g15620 transcript:Ma02_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTDLKGDTISELPDAVLHQILSFLSTREAARTSVLSTRWRPLWSAVPSLHFYQEEFPRRESLLELVEGAWAARDADVTISTFRLVVSENIDRCYVRRWLENAAERNVEEVEIEVSVGSGDDGSGIVPDYLLRCQSLRSLKLVLPLWKVVFDDGAVGPGSLTTMHLKGIQSCKSSLGTLISSCPVLQELRLEDCYFDLLKITANELKWLTVSRCIFDAYNEVVISTPKLLSFHYTSGKAQGCFIRDMANLVDASIVLFNLSLQNSSVRAWSVSEIFKGLSHASSLTITYLGNQQLSVEEHLQYLPIFLNLKYLRLNILFSRECMEAIAYMLKHMPDLEILVVHNELVWYSIIEEHIWWTSKELTGGMLNRLKEIQLGNFGSSNHETEFIEFLVKNGRIAQDHDGSSLHQK >Ma02_p15620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23272420:23274172:1 gene:Ma02_g15620 transcript:Ma02_t15620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLILCRNRAAFRAFVDMVDTDLKGDTISELPDAVLHQILSFLSTREAARTSVLSTRWRPLWSAVPSLHFYQEEFPRRESLLELVEGAWAARDADVTISTFRLVVSENIDRCYVRRWLENAAERNVEEVEIEVSVGSGDDGSGIVPDYLLRCQSLRSLKLVLPLWKVVFDDGAVGPGSLTTMHLKGIQSCKSSLGTLISSCPVLQELRLEDCYFDLLKITANELKWLTVSRCIFDAYNEVVISTPKLLSFHYTSGKAQGCFIRDMANLVDASIVLFNLSLQNSSVRAWSVSEIFKGLSHASSLTITYLGNQLSVEEHLQYLPIFLNLKYLRLNILFSRECMEAIAYMLKHMPDLEILVVHNELVWYSIIEEHIWWTSKELTGGMLNRLKEIQLGNFGSSNHETEFIEFLVKNGRIAQDHDGSSLHQK >Ma02_p15620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23272151:23274172:1 gene:Ma02_g15620 transcript:Ma02_t15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTDLKGDTISELPDAVLHQILSFLSTREAARTSVLSTRWRPLWSAVPSLHFYQEEFPRRESLLELVEGAWAARDADVTISTFRLVVSENIDRCYVRRWLENAAERNVEEVEIEVSVGSGDDGSGIVPDYLLRCQSLRSLKLVLPLWKVVFDDGAVGPGSLTTMHLKGIQSCKSSLGTLISSCPVLQELRLEDCYFDLLKITANELKWLTVSRCIFDAYNEVVISTPKLLSFHYTSGKAQGCFIRDMANLVDASIVLFNLSLQNSSVRAWSVSEIFKGLSHASSLTITYLGNQQLSVEEHLQYLPIFLNLKYLRLNILFSRECMEAIAYMLKHMPDLEILVVHNELVWYSIIEEHIWWTSKELTGGMLNRLKEIQLGNFGSSNHETEFIEFLVKNGRIAQDHDGSSLHQK >Ma11_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6068884:6071457:-1 gene:Ma11_g07600 transcript:Ma11_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRSGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRTRKFKAGDSSPEELATATQVQGPYLPIVREQPSVELVKVTDEMKSFKAYAKLRVERMNERQVGVRMKKAAEAEKEEKK >Ma07_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1827233:1831186:-1 gene:Ma07_g02300 transcript:Ma07_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPTIALYASPPTGVCSTAHHSCQIAVSHGSSDFDLNPRASASSASAPSSSSSAVSQKSIVGGLSLIFSSPAAAAAARHVSSSCFAGGDEIGSFRHDRSDELGSSYSYSSSPFKCREHSPVSVFQGPVSCSGSGSSKSPPSVRTSREWRGGDWRTGKDRLFKGFIRNALNPCLDYNPASVPMPSGSTFCDEVFPFGLDERLIDMAPACQPHSKELLVGAQSRHKIFYEDIVVKAFHEAEKAHRGQMRASGDPYLQHCVETAVLLAKVGANATVVAAGLLHDTLDDSFFDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDSNTASRTVEADRLHTMFLAMADARAVLVKLADRLHNMMTLEALPMVKQQRFAKETLEIFSPLASRLGISTWKEQLENLCFKHLYPEQHKDLSLQLLNSFDEALVASAIQKLGKALTDEGVSYHFLSGRHKSLYSIYSKMLKKKLNMDQIHDIHGLRLIVENEDDCYRALDIVHRVWPEVIGRFKDYITHPKFNGYQSMHTVVLSEGMLPLEVQIRTKEMHLQAEYGFAAHWRYKEGNSRHSSFVLQMVEWARWVLTWQCETMNNEQCRTSGDTDLIRPPCPFPSHANDCPYSYTQQCGHDGPIYVIMLENDKMSVQEFPMESTVFDLMESVKEGCSSVSPFRFPVKLDLRPRLNHEPVSDPSQKLKMGDVVELMPALPGKSLTEYREEIQRMYERGLTLSSRHG >Ma09_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:16193764:16196178:-1 gene:Ma09_g18160 transcript:Ma09_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRHARTPCIWRSAPSSPWPSRPPSSSSYWRSSSGPAPAPCSAQPTSRPSCQPQTRRLQSWWTGSSDCSPPTALSAAPSRPATTAAPRISTAPRVCKYLTKNEDGVSLASMSLMNQDKVLMESWYYLKDAVLEGGIPFNNATGMAPFEYHGSDPRFNRLFNDSMRGHSTILMKKLLQVYCGFDSIEVLVDVGGGIGATLHMITSRHSHVKGVNFDLPHVICEAPPYPGVEYVSGDMFIGIPSGDAIFLKWILHDWSDEHCVKILKNCWKALPEKGKVIVLESVLPTIQESTPQAKCVYETDVIMLAHSDGGRERTRKEFQDLARDASFSGFNITHLFAATWVMEFTK >Ma10_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26917998:26919683:-1 gene:Ma10_g14480 transcript:Ma10_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVCILFLLISCFHLSSSTRTFDAIFNFGDSLSDTGNFLIANANAFPAPNIGNTPYGMTFFGHPTGRCSDGRLIIDFFAEAFGLPLLPPFLSRNQSFARGVNFAVTGATALDVSFFEQRGLGGMLGTNLSLNVQLGWFEQLIPSLCNTTEDCRKLFSKSLFLVGEIGGNDYLVPLTFHRLTEEQVTAYVPTVVEAINNATERLIELGAVNLVVPGNPPSGCFSGILTMLNGTSKRDFEHDTGCLKKANDLLKYHNRLLREEVVKLRRKYPHVRISYADFYKPIIRFARSPGKFGFSYPSLKACCGGGGPYNFNIQALCGLPGSSACANPSAAMNWDGWHATEASYRQIAASWLHGPYAHPPIMSLMKD >Ma04_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17237911:17239861:1 gene:Ma04_g17020 transcript:Ma04_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFRLFRLQTPDPQSCQNSSSKSSKAHSKQQHHHHYYIHTSSLHQQEQCQEECGVGRCHLYMDEEDFSSSSSSSKHHRHRPLSASASTTPTPTPLFNPNDLSLLRDLSLDFSPASSSSANASSAGGRLASHLLPECARAVAERNSQRVQQLMWMLNELSTPYGDVDQKLASYFLQGLFARLTSSGPRTLLSLSAASDHNCSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLQSSSSSRTQRFHILDLSNTFCTQWPTLLEALATRSSDDTPHLSITTVVSSPSSSSSSAPSSSMQRVMKEIGQRMEKFARLMGVPFRFNVVNHPGNLLDLDLDRLDLREGGAAALAVNCVNTLHGVSPAGCRRDTLFAAFRRLQPRILTIVEEEAELDAAAGGEEDRDAFLKVFGESLRFFSAYYETLEESFPRTSNERLALERAAGRAVVDLVACPAAESTERRDTAAGWSRRMQAAGFEPAQFSDDVADDARALLRRYRKGWSMRVAEVAGRGAGIFLEWKEQPVVWASAWKP >Ma10_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21479880:21482854:1 gene:Ma10_g07130 transcript:Ma10_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALAAVLGGAAGAVALLGILIGLIRYFMLRNRSIARSSDTNSSELSVQEEQTIELAFQGARVFTLKELDAATKDFSNINLIGYGMFGEVNKGFLQDGTIVAIKRRVSAPSQEFIEEVCYLSSIRHRNLVRLLGYCQENDLQMLVYEYVSNGSVSTHLYGGNQVLSRKLEFKHRLSIAHGAAKDEDFIPKVADAGLRRLLNRTNGASPSSRVTTDDPFHDPEVKESGGFSLKSDVYSFGVFLMELLCGRDVRSDQRLIEWAQNYEDSIDISTVIDPRMVSSFTSEGMKEFLRLITWCLNPSSERRPSMDYVEIELQRIREKELQLTTVMGEGTTTVTLGSQLFRA >Ma10_p07130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21479880:21482853:1 gene:Ma10_g07130 transcript:Ma10_t07130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALAAVLGGAAGAVALLGILIGLIRYFMLRNRSIARSSDTNSSELSVQEEQTIELAFQGARVFTLKELDAATKDFSNINLIGYGMFGEVNKGFLQDGTIVAIKRRVSAPSQEFIEEVCYLSSIRHRNLVRLLGYCQENDLQMLVYEYVSNGSVSTHLYGGNQVLSRKLEFKHRLSIAHGAAKGMVHLHSLNPPLVHMNFKTTNVLVDEDFIPKVADAGLRRLLNRTNGASPSSRVTTDDPFHDPEVKESGGFSLKSDVYSFGVFLMELLCGRDVRSDQRLIEWAQNYEDSIDISTVIDPRMVSSFTSEGMKEFLRLITWCLNPSSERRPSMDYVEIELQRIREKELQLTTVMGEGTTTVTLGSQLFRA >Ma07_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5027290:5036616:1 gene:Ma07_g06940 transcript:Ma07_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSELKTVLAHLPSVNHTMDLHGNSVKNEEGSSNAVKTSDLDATIPRKAWKGKTPFTEEKELALEVNSFRRTDSYKDWGQSSFLGASHPMEPVDTDHMKAAYVAINQDKSEAGCLMRGLSVKGPFIEDISIQVPAVKSNEVLLSPKERSIEEQNEVGTESSLFSVPCASQSTEATCVGHDSEEKECVWDASLPPSGNVSPHSSIASTSVITAMSIVNSCTSTYRSEGITSDGMLSVERTCGSVKGSVRGDSLESAKSSVSRASDSSGLSDDSNWSNVTGSANKPHKGNDSRWKAILAVRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAIKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKISSFDSDFSKRSAGAFCVQPACVEPSSVCIQSSCFIPKIFPQRNKEKERKPKTESMHHSSALPQLVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGILLHELLYGKTPFKGSGNRATLFNVVGQQLRFPETPSTSYASRDLIKGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRRVEMELSLKFGVAEGVESSSKRMLGADMKSGGKYLDFEFF >Ma02_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26028805:26029923:1 gene:Ma02_g19920 transcript:Ma02_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPNGLTSSVFPESGNEPSLDYIDERRKETKEPPHKLDQRYFRMVKSHIRHGRNDKLLDLVKSNPDRRVNLMEDTLLHIVIACGKTDLAKSLIGQMGAVHLKAKSWNGDTPLHVAAAVGDCEVATELVRNNKEIIRERNLKLETPLHKAALYGQRDMFWCIIEEDNDCFKDRREDGATVLHCAIMGNAPSLALEIARQYPELITSRNADAVAPLQLMVTIPGLFRSQMALGSLESFLFRCKSYPSSSIFMLFSFRHSVFFFVFWF >Ma01_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11878658:11882747:1 gene:Ma01_g16400 transcript:Ma01_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGFFDAITGKHHEHHEAPKSSTTVKGSVLLMKKNVLDFNDFNASLLDGLHEFLGKGVSFQLVSATVADPHNGNKGKIGPPAYLEEWITTMTSVATGETKFTVHFSWDESQGVPGAIIVKNNHHSAFYLKTITLEGVPNKEHIHFVCNSWVYPVDKYKYDRIFFANNTYLPSKTPEPLKRYREEELVHLRGDDVTGELKEHDRIYNYAYYNDLGNPDKGHDYARPILGGSQEQPYPRRGRTGRHPTKTDPNYESRLPLLSLDIYVPRDERFGHLKMSDFLAYALKSLTQSLLPTLSAVFDTTPMEFDSFKDVLQLYEGGLPIPQSPELDEIRSHLPFEMLKELVRTDGERVLKLPLPQVIQEDRNAWRTDEEFGREMLAGVNPVIISRVQEFPPVSKLDPKVYGDHTSSINASHIEKNLEGLTVQKAMKENKLFILDHHDALMPYLRRINSGSNKIYASRTLLLLKDDGTLKPLVIELSLPHPDGDQHGAVNRVFTPAEQGVEGSIWQLAKAYACVNDSGYHQLISHWLNTHAVIEPFVIATNRQLSVVHPVYKLLSPHYRDTMNINALARQTLINAGGVLESTVFPGKYAMEMSSVVYKSWKLTEQGLPDDLLKRGVAVEDPASPNKLRLLIKDYPFAVDGLAIWSAIETWVTEYCSIYYSNDAAIRADVELQAWWKEVREVGHGDKKDEDWWPKMQTLAELAKTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTISRRFMPEPGTPEYHELEKNPDLAFLKTITSQFQTILGVSLIEVLSRHSSDEVYLGQRDSPEWTTDRSALEAFERFSHKLIEIENRIISMNQDASLRNRTGPVKMPYTLLYPNVSDLTGVGGLTGRGIPNSVSI >Ma10_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25959691:25975513:1 gene:Ma10_g13030 transcript:Ma10_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYTSSNGHRFKRIPRPLFAANPELEPLINENMDQWPHLNELVQCYKADWVVDENKYGHYEGIGTPSFQSQIFEGPDTDIETEIRLASARLSKTEDATDDDVPSTSGRQLPETGLYKSSSKTQDMHFGESPLPAYEPAFDWENERSLIFGQRTPETLPTHSSGLKITVKVLSLSFQAGLVEPFYGTICLYNRDRREKLSEDFHFCVLPTEGQDVNASLIRRAVFSLDAPSASVCLLIQLEKPATEEGGVTASVYSRKEPVHMAEREKQKLQVWSRIMPYRQSFAWTIVPLFENNNVAAAGGAASPSSPLAPSVSGSSSQDSVAEHVSKMTLDGKLPHYSSGSSVIVEISNINKVKESYTEDLFQDPKRKVHKPVKGVLRLEVEKLHANSVDIDNISEGGSMIIDSNEAETSFGKHHVNGLDGSRSSHSKFIGIDRKDIRVNALTPVGEDHPDSSGDDFQAFDFRMMTRNEPFSQLFHCLHVYPLTVNLSRKRNLFIKVEMRKDDADIRKQPLEAVYPNDLGPTLQKCAHTQIAVGARMACYHDEIKICLPALLTTQHHLLFTFFHVDLQTKLEAPKPVIIGYAVLPLSTHIQLLSDVSLPILRELVPHYLQDSSKERLDFLEDGKNVFRLRLRLCSSLIPVNERIRDFFLEYDRHNLRTSPPWGSELLEAINSLKNVESTALLQFLQPILNLLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGADRNRFLVKYVDYAFDDFGGRQAPVYPGLSTVWGSLARSKVLE >Ma04_p27740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28910670:28911056:1 gene:Ma04_g27740 transcript:Ma04_t27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPCLGNPRRRHRGHARGAQRRHRLLHLLRHSLLSPVHLHAGCVRHAPRLPWLPSAPISITIFLLGSNDGPSFQSIWTVMLLLYCCFFGLHAASLGFSATAASTSKLLLFMLIRQESEIDIGNFNLI >Ma05_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32353983:32354552:-1 gene:Ma05_g20690 transcript:Ma05_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRNVQVEIILQKFKEMPTRMILLQLYLPVNNKVMVI >Ma10_p29740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36219952:36224313:-1 gene:Ma10_g29740 transcript:Ma10_t29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGVASTGNHLGSVLNKPSSHGFYTRMALFFGSCWFFVTDSLIWLFSFVAMHLFRIRKDNNAQGVDLKVSSMHDSTRVEPFPQVTDSYIREEKESPSLSFKFQYQFSDHHKLGEEQLLRPVANEASPKTSIRKYHFLSEKDYAGLMEEPEAKTCSVRESSVQLGLFSLYDDENISGELSTGFESSKSCHLPCDTVHTIDKLDGIREEKFSNVARLEEENTKSSSETNLPSEFERTDQAKFVSEEFSGFDSDTESLSASDGYSVKDLIVDSDSDDLLSEYDHGAETTRASIDGAVNKIKHSEGIRRLEEAQPQLTRDYDSESGSVIGKYSFTGNQRRHANISLDENSLENESGSPQPEQNGLTNQVRRLDESELPTSNALQMELMEYNEDELRGTKKQRNLIKGSDDISRHENEGENNADSKDGVEAITNEKLELSKGYDVSLDGFLGQEDDGKLIAELDELTQEEEFERKDNVRKKSKEDEKTEMKDLDDEDCDELESLWEHQDLIEQLKMELRRVRAIGLPTIPEASETPRAINDLKLRKMNEKFLHEDPMDELHKFYKCYRERMRKFDILNYQKMYAIGLLQLKDPLLSMGSQKSLLPKITSILSQSFWACSRQSGISPSEKFIKELQHDLEVVYVGQTCLSWEFLRWQYEKERQLPECDPYRSHQYNRVAVEFQQFQVMMQRFIEDEAFQGLRLPNYVKHRCAVENLLLVPVIREDSSKEKMEDQGNGNYIVTSEILEDIMEESIRNFWEFVKADKDETPGILKGLMGTHVELQDAADSELMTDIHSNLHKKEKKLKDILRTGNCLVKKFKKPKEDRSNQDIFFSQVDLKLVARVLRMSTISTDQLVWCHAKLSSITFTERKVQRESSFLLFPCW >Ma01_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5355412:5356995:1 gene:Ma01_g07400 transcript:Ma01_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKATRCRSARTRFPSPASNRDRAAVGGGQDGLLTPSSSRSSSSSSTSSPFLGGEGDGAMKQMVAENPVVVVAQRGCCICHAARRLLLGLGVNPAVCEVGEEAAAAGEATALVEAAAEIEALGGHRRPPAMLPVVFVGGRLLGGLDRLVAVHITGELVPILKEAGEGET >Ma00_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:14301656:14304888:-1 gene:Ma00_g02020 transcript:Ma00_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKENQQLQVKNPYMGVISLWTNRRSQTFQSSVGRRRLFSFLLVPEELPLIRLLRSLAAVFLLLLSLLLELCGMVQQLPQSHSLVPLVISGSGRLSAINQYIEEAG >Ma03_p32230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34127500:34129630:1 gene:Ma03_g32230 transcript:Ma03_t32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLPLPPRPLLILFLLVPSLLLPSRSSANETAATPPSTALNPFTAKAAVMRYWNRKVTANRPQPAFLLSKLSPLSALDYATFSSLAAAGPSALSPRLPALCAAARLLCFPTRFESYASATTARDGPSDFATYQTSNFSNYATGAVGGTQSFENYSESLNVPVDTFRRYSRDSAGHNDSFASYAPGGNVVTAKFTSYASSATGGTGDFTSYGDDTNNPELKFTNYDAQANGRHQSFGSYSDDANSGDQSFAGYGKDGNGVVSAFASYANDSNVIGSGFAGYGEGANAARDSFTNYGFNGNVPENNFRSYGDRGNGGSERFSSYRDESNVGDDSFTSYAKGSNAGTAEFDNYGNSFNPGSDSFKGYGQGFGNHEITFKSYIGENNSFKGYAKSGIDFKAYRHPSSSPSSAHPADAAVKPVKKIAGHWLVEPGKFFREHELRSGNLMPMPDIRDKMPARSFLPRSIAGRIAFSAAEVQRFFGIPADTALGKAVADTVAECERAPSRGETKRCTTSAEDVIDFAVAMLGSNVVGRSTESTAGSKGTVLIGKVRGVNGGGVTRSVSCHQSLFPYLVYYCHSVPRVRVYVADILAVQTKERINRGVAICHLDTSDWSASHGAFLSLGSAPGRIEVCHWIFERDMTWTVAD >Ma04_p02540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2155188:2159788:-1 gene:Ma04_g02540 transcript:Ma04_t02540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKNDRAKYENSARSWTQKHAMG >Ma04_p02540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2155188:2159819:-1 gene:Ma04_g02540 transcript:Ma04_t02540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKNDRAKYENSARSWTQKHAMG >Ma04_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2155188:2159796:-1 gene:Ma04_g02540 transcript:Ma04_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKNDRAKYENSARSWTQKHAMG >Ma05_p27460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38760157:38761685:1 gene:Ma05_g27460 transcript:Ma05_t27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGRDVLKVIEAMTSLYVALALGHGSVRWWHVFTREQVIAINRLIVYFTILFFTFEFTDTNFLVSYINHPLFAISLLFINEVPCFVFLLFKYCMSHDGGCRYSSSYHKYHLISRCLINVCCLSEYHKYHLISKYCVFLFHGQVLLFSRVVDLRKFC >Ma10_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29263508:29267805:-1 gene:Ma10_g18270 transcript:Ma10_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENSCEVGVFSKLTNAYCLVAIGGSENFYSMFEAELADVIPVVKTSIAGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIEERLSALGNCIACNDHVALTHPDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAISNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIASGMTVNDWTAFCGSDTTATELSVIESVFKLREARPSSIVDEMRKSLIDSYV >Ma10_p18270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29263508:29267823:-1 gene:Ma10_g18270 transcript:Ma10_t18270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENSCEVGVFSKLTNAYCLVAIGGSENFYSMFEAELADVIPVVKTSIAGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIEERLSALGNCIACNDHVALTHPDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAISNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIASGMTVNDWTAFCGSDTTATELSVIESVFKLREARPSSIVDEMRKSLIDSYV >Ma10_p18270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29263508:29267790:-1 gene:Ma10_g18270 transcript:Ma10_t18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENSCEVGVFSKLTNAYCLVAIGGSENFYSMFEAELADVIPVVKTSIAGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIEERLSALGNCIACNDHVALTHPDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAISNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIASGMTVNDWTAFCGSDTTATELSVIESVFKLREARPSSIVDEMRKSLIDSYV >Ma10_p18270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29263508:29267673:-1 gene:Ma10_g18270 transcript:Ma10_t18270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENSCEVGVFSKLTNAYCLVAIGGSENFYSMFEAELADVIPVVKTSIAGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRIEERLSALGNCIACNDHVALTHPDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAISNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIASGMTVNDWTAFCGSDTTATELSVIESVFKLREARPSSIVDEMRKSLIDSYV >Ma05_p26500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38099345:38101063:1 gene:Ma05_g26500 transcript:Ma05_t26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESGGRPGASLASPAALPYLVMPLTNLCLSGFIAIQRSVLRPSTGVSATTLFAYYQLLGSLLLSVLALLFESGRRPTLTFRILCSAFVIGFLQIPVGGLLLSSSLRYITTTFQSVALNTIPSLVFVLAVLCRRERFRFWSVGGQAKLWGVVVSAAGAFAMVISDRDSTESSASIGSSSHADWLLGTTMVGLGVAASAIADLSVESIAFRYPADLSLSAMIAVCGTIQTFTVAAFMERDASAWKIHRKGSLQLVAILYGGILVTGLSYLGRIWCIHRKGPVFATAFSPLLVVFSFLLHTLVLGGATRVGSILAAALVAAGLYLLLWAKSTDQKMEDGDENQQVEGSNEEPLL >Ma02_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15770844:15782746:-1 gene:Ma02_g04300 transcript:Ma02_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGHHGVATLHKYKYSGVDHSLVAKYILQPFWSRSVTLFPLWMPPNMITLTGFMFLMVSAYLGYVYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEAMAFGSTALCGRNTFWFWVIAAIPFYLATWEHFFTNTLILPIINGPTEGLMLIYVCHWLTFFLGAEWWAQDFRKSIPFLGWVPLIPEIPVYSVVLFMMIAFGVIPTIASNISNVHKVVKARRGSMILALAMLFPFGVLLAGVLIWSYLSPSDIMHSHPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLLFLPLAIANALTARLNDGVPLIDECLILILYCLFTVLLYLHFATSVIHEITNALGIYCFRITRKEA >Ma08_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15010824:15013430:1 gene:Ma08_g15060 transcript:Ma08_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWYWSARPSQGRGNREEINPGCMSCMFHYSNSTGNSSSSCRPPPASSSSSLPSPPSANRTSQRKGFEAPRNSLELGEEEASSATSAVKGELYDVPVGVEVRPLPAPLSKSNNKMTVFSEDEKRSSQAETPRTPSVIARLMGLEIPADQCLSPMTPDTPPFMEQQAQSRMTNKRRHVIGDGCGRESPSPRQPLRSLNCNVSAPPPARTVDVVGSRSLPETPRVSSTWSRDVEARLSLQLNEENTRKAVHGFGYSSADYPLPSSASAARSRKKDRGRHHDENKSPKSLYHAREIVKQVKESFNNRRGGGGEHDSGGDEIKSKSKRSRPPEKKLAMADPPSSTCSPQIRPFLEVANNKTEDVIRKPLAQPPMALRSRSPDQLSSSRTVGDTRDYGEAKVVKVVLNKCRKADYERFTGRIKRQTRSLPTLASLFHSADSLPQRNQPDKNNSSSSPSATTSRLNESSQAVPRPPLPIGSTSHPSNGERNRLRKAPKDKDSELRYVTSILERAGIGGTHMLRWYSPSLPIDPIVFHRLELEFPFLLVGEGKRCKGSEVETEGRALVGPLRHRWNRKLLFHLVEEILGDLLLGRSDIFSSPCTGSGSSSFRLVRRDNCGMIEREALLQQLVAQIESFPAADCRVVGDIDALVAGDLRQANVRRLLLHPFVTEEAGDVALEVEQEILDDLLGETAASLALSAEV >Ma07_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30314566:30315273:1 gene:Ma07_g22320 transcript:Ma07_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQLCSTSNSGEPRKPPPPGAGRRVTGGSEGDGGGGGGSDRTFRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVYCLRGRSARLNFPDEILAEDLEAESFSGSGGAGTCAMSAASIRKKATEVGARVDALQTGLISSPPPPPQQLGGHQFQLQQSPTRPAKNPDLNQEPAPESSDVD >Ma03_p31720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33830445:33834730:1 gene:Ma03_g31720 transcript:Ma03_t31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPERRRWLAVVLLLCSGFVFGSRSALAERPLRSSLVQAASGGSFRGRDVEDDTLPLRNLARSLFGNGSRAHNWPDLELGWRVVLGSAIAFVGSALGSIGGVGGGGIFVPMLVLIIGFDLKTSAAISKCMIMGAAGSAVYYNLRFRHPTLDLPIMDYDLALLFQPMLMLGISIGVAFNVIFAEWMITAILVILFLGTSTKAFLKGLETWKKETVLLKDAARHLDSSTNLKDGPELEYAPLPEGPLANEQPKSSASGAVLTIVPIKENIYVKELMLLLIVWVGFLVIQIIKTHAVICSPEYWIFNFMQVPIAAALTLYEAICLYRGKRTIASTGKQEMNWRPHQLVFYCCCGVIAGVVGGLLGLGGGFILGPLFIELGVPPQVASATSNFVMAFSSSISVVQYYLLDRFPVPYSAYLLCVATLAAVAGQHMVRKMIILIGRASLIIFILALTILVSAIGIGGLGMEDVVGKLARKEHMGFENLCLQSS >Ma05_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10608996:10614676:-1 gene:Ma05_g14540 transcript:Ma05_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFDKSMKEPPSMKQTDKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGVVDFGTSRRIPPLILCRVTALKFMADQETDEIFAKIHMVPLSSNEPDHGEDDRLSLSINGLDAQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVHAKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTKDGDLCVGIRRAKRGGIGGGPEIPSGWNPLNGNYASNYGSFSAFLREEESKLMRGNGNGGSFSSGGGTRIGGRVRADSVIEAATLAAHGKPFEVMYYPRASTLEFCVKAASVKAAMRIQWCPAMRFKMAFETEDSSRISWFMGTISSVQIADPVRWPNSPWKLLQVTWDEPDLLQNVNCVNPWLVELVSNMPAIHLPPFSPPRKKLRIPQHSDFPLEGQLPTPMFPGNPLGHSTSPLCCLPDSTPAGIQGARHAQFGIPLSDLHLDKLQSGLFHAGFHRLGYPTSLSKISTGLIIDSPAIDNNISCLLTIGNTSQSTKNRCDGKPQQLVLFGKQILTEEQISLSNSGDMVSPGATANSSSDGNLEKTTNLSDGSGYVTNKNLVVDNSSRNGLQWCRDHLASELGLETGHCKVFMESDDVGRTLDLSVFASYEELYGTLADMFRIKRSEMTSHVIYKDATGAVKHTGDEPFSDFMKSARRLTILIDSGSDNTGRSTLPGSLDANLPCCS >Ma05_p14540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10609860:10614660:-1 gene:Ma05_g14540 transcript:Ma05_t14540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFDKSMKEPPSMKQTDKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHAEHAQGVVDFGTSRRIPPLILCRVTALKFMADQETDEIFAKIHMVPLSSNEPDHGEDDRLSLSINGLDAQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVHAKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTKDGDLCVGIRRAKRGGIGGGPEIPSGWNPLNGNYASNYGSFSAFLREEESKLMRGNGNGGSFSSGGGTRIGGRVRADSVIEAATLAAHGKPFEVMYYPRASTLEFCVKAASVKAAMRIQWCPAMRFKMAFETEDSSRISWFMGTISSVQIADPVRWPNSPWKLLQVTWDEPDLLQNVNCVNPWLVELVSNMPAIHLPPFSPPRKKLRIPQHSDFPLEGQLPTPMFPGNPLGHSTSPLCCLPDSTPAGIQGARHAQFGIPLSDLHLDKLQSGLFHAGFHRLGYPTSLSKISTGLIIDSPAIDNNISCLLTIGNTSQSTKNRCDGKPQQLVLFGKQILTEEQISLSNSGDMVSPGATANSSSDGNLEKTTNLSDGSGYVTNKNLVVDNSSRNGLQWCRDHLASELGLETGHCKVFMESDDVGRTLDLSVFASYEELYGTLADMFRIKRSEMTSHVIYKDATGAVKHTGDEPFSDFMKSARRLTILIDSGSDNTGR >Ma07_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8051795:8058473:1 gene:Ma07_g10800 transcript:Ma07_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGEGSNVKRPPAVGLTVKRQMKSPSQVQILEEAYAVESNPSALMKEDLARRTGLEYTQVQYWFGNRRFLDKHGPRTNTPRRDRHGPLWEFNPDRTSSMSPVTVARAGPAPNGSVYSAETLPMMRPAGQYTVNPGLVPILPCVPLATQSQLLPVSQELQWMITHVENKLGHPLRADGPILGTEFDALPPGAFGFASVNAEQKRASHPYDGKEIEQPKLESVKEPAFMLRLEYGDVHALEAEAPKAVHEFQFFPIQPSWLQKCEDVNQTGVPKSSVNTSHNVSDDCHAVTPDAEWANLFPSSSKVVFQDDSYYKTEKTQVHPSGSYGVNENQHLDHARTPAAATLEEVNQKNCYPTIQNLRKKDKSCVLHHCYDCYKYLVMSQERSETTSVDARQTGYFSNVDHSRRPLVAKWSEKEGQIVYIMDYTGTTPSMSSGTNSTLSSGCDEASKNVGGRVDGKPMEVVNVDVEKDCTDSKSMEVN >Ma07_p10800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8051795:8058473:1 gene:Ma07_g10800 transcript:Ma07_t10800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGEGSNVKRPPAVGLTVKRQMKSPSQVQILEEAYAVESNPSALMKEDLARRTGLEYTQVQYWFGNRRFLDKHGPRTNTPRRDRHGPLWEFNPDRTSSMSPVTVARAGPAPNGSVYSAETLPMMRPAGQYTVNPGLVPILPCVPLATQSQLLPVSQELQWMITHVENKLGHPLRADGPILGTEFDALPPGAFGFASEQKRASHPYDGKEIEQPKLESVKEPAFMLRLEYGDVHALEAEAPKAVHEFQFFPIQPSWLQKCEDVNQTGVPKSSVNTSHNVSDDCHAVTPDAEWANLFPSSSKVVFQDDSYYKTEKTQVHPSGSYGVNENQHLDHARTPAAATLEEVNQKNCYPTIQNLRKKDKSCVLHHCYDCYKYLVMSQERSETTSVDARQTGYFSNVDHSRRPLVAKWSEKEGQIVYIMDYTGTTPSMSSGTNSTLSSGCDEASKNVGGRVDGKPMEVVNVDVEKDCTDSKSMEVN >Ma05_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4382311:4384789:1 gene:Ma05_g05780 transcript:Ma05_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFAVRSRLVPSLLAPTVRHRPLLRSFLSHHQLVDEITHPNPAPTCPENAATFRPLQVIRDRLRSEASLDPATVVMSLKQCRGRPGLQIHALALSSGLDAYVIVSNSLINMYSKSGSFDLARKIFDSMSCPDVVSWNTILSGFASGAEALEFAALMRRAGVPSDPVTFTMVLAFSADLQDLESGQQLHCLVLKSGFDSDIFVGNALITAYSRAACADEAKRAFDEMAVRDLVSWNSLICGLTQDGDCGTEAIEFFLMMVREEGVRPDRISLASVISACGHEGSVGYGCQVHGFATKLGVEDHASVSNVLMSMYYKHGDIVYAKRVFENMTERDVIAWTTMISIESENAIPLFNGMRQDGVQPNDVTFVALIFAVSDEHLMREGQMVHGVCFKTGIAAEVNVSNSLITMYAKLKSMEEARRVFDGMHCRETVSWNALLSGFAQNGLREEALEVFSSLIGHCQPNQYTFGSVLSAITAAQTVSLTYGQGCHGRIIRLGLNTDAYVSGALIDMYAKRGSIDEAQRAFDETVVKRLVGWTAIVSAHAKHGNYEEVMSLFEGMKHVGVRPDHVTFLAVLVACGCKGMVDEGRKVFDSMVQEHEMEPWAEHYACVVDMLGKAGRLDEAEEFLRLAPTPPGVSALQSLLGACRVHGNVDMGSRVAEALMELEPGESGAYVLMSNIYADMGDWENVAKMRRGMRQRGVRKEVGFSWVDAGIRDDSIHMHKFSSGDRTHPWAEEIHSMARSLGSEMKARDEDWSDIDLARLPNP >Ma05_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33988427:33992630:1 gene:Ma05_g22250 transcript:Ma05_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWQRTAFHFQPQKNWMNGQPYPDGPTYYKGWYHLFYQYNPDSAVWGNISWGHAVSRDLVHWLYLPLAMVPDRWYDANGVWTGSATTLPDGRLVMIYTGATMESVQVQNLAFPADPDDPLLVHWVKSECNPVIVPPSGIGLKDFRDPTTAWFVPADSAWRVAIGSKNDSQHHAGIVLVYRTTDFVSYELLPGVLHSVTGTGMWECVDFYPVSTESAVGLDTTAASGPGVKHVLKASMDDNRHDYYAIGTYVAASNSWVPDDPEKDVGIGLRYDYGKYYASKTFYDPVKERRVLWGWIGETDSERTDLRKGWASLQTVPRTVVFDQKTGSNLLQWPVEEVESLRLSSQEFSNISITAGSVVPLDIGKATQLDIVAEFSVDEAALAGAIGADVGYNCSTSRGAAQRGVIGPFGLLVLADEDLSEQTAVYFYVARATDGSLSTHFCHDELRHARIFLFSSSEANDLVKRVYGSLVPVLDGETFSLRILVDHSIVESFAQGGRTCITSRVYPTKAIFSRARLFLLNNATGVDVTATSVKIWQMNSAFIRPFYERDSASSIRTSNN >Ma11_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9864493:9894158:-1 gene:Ma11_g10460 transcript:Ma11_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLRSSISPFRSRRSPAPPTAHKPGARPTTPSSSTSSRPTTPSSVSSVARPAQHSSKLSPVSPPLPSQLLDRPDFAKSKENVTVTVRFRPLSGREINKGDEIAWYADGDYTVRNEYNPSIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQKSPGIIPLAIKDVFSIIQETPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDSQGTYVEGIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPTGENEDGEDVRLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISCLKQELQQLKRGMMENPYMIASNQEDLVNLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKNSLSSNISEKGGHRRRHSFGEDELAYLPDRKREYLIDDEDGTLDSELSAEGRLDSSSLDEPLRFDKRSKKSGMLGWFKLRKPEQLSGLSPSAESENSVGGSPSSSQSSQQKQQLFDQKDGRRRSISRKGDDPSPIVDSFAERTQAGDLFGVTVKGRRLPPTGTTIIDQMDLLNEQVKMLAGEVALCTSSLKRLSEQAANDPEDIQIQEQMQKLKNEINEKKQQMHVLEQRMVGSLEVGQHPSNNNEISQTLSKLTTQLSEKTFELEIMSADNRVLQEQLQMKISENTELRETISSLRKQISSLMDKCSKREDSLSRTCLAEASAENGVLSDGPITSSETSADNNKVSNSNCFANDLDDVSKGCHSELSLKSQVLMQAAEIENLKQEKVILAEEKDGLEIHSQKLAEEASYAKELAAAAAVELRNLAEEVTKLSYQNAKLTGDLATMKELSIGRSNCQRYNQCDGKQDHYNIRADTYLKRPDNGALFEELQKELATRRQREASLAAALSEKDQREAELQRRINEAKQHEQELENELANMWVLVAKIKKNGVNSAETLTESLNEYDFQSKQSGVLLSNGNSCVKFMRDKLPGNVNTDGVSILEDTRAAYELETRRSKELEGIISRLKGEDLIGLDNRTLEELQSFHVGALSKICQEKVTKRVQ >Ma11_p10460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9864493:9894158:-1 gene:Ma11_g10460 transcript:Ma11_t10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLRSSISPFRSRRSPAPPTAHKPGARPTTPSSSTSSRPTTPSSVSSVARPAQHSSKLSPVSPPLPSQLLDRPDFAKSKENVTVTVRFRPLSGREINKGDEIAWYADGDYTVRNEYNPSIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGDQKSPGIIPLAIKDVFSIIQETPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDSQGTYVEGIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPTGENEDGEDVRLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISCLKQELQQLKRGMMENPYMIASNQEDLVNLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKNSLSSNISEKGGHRRRHSFGEDELAYLPDRKREYLIDDEDGTLDSELSAEGRLDSSSLDEPLRFDKRSKKSGMLGWFKLRKPEQLSGLSPSAESENSVGGSPSSSQSSQQKQQLFDQKDGRRRSISRKGDDPSPIVDSFAERTQAGDLFGVTVKGRRLPPTGTTIIDQMDLLNEQVKMLAGEVALCTSSLKRLSEQAANDPEDIQIQEQMQKLKNEINEKKQQMHVLEQRMVGSLEVGQHPSNNNEISQTLSKLTTQLSEKTFELEIMSADNRVLQEQLQMKISENTELRETISSLRKQISSLMDKCSKREDSLSRTCLAEASAENGVLSDGPITSSETSADNNKVSNSNCFANDLDDVSKGCHSELSLKSQVLMQAAEIENLKQEKVILAEEKDGLEIHSQKLAEEASYAKELAAAAAVELRNLAEEVTKLSYQNAKLTGDLATMKELSIGRSNCQRYNQCDGKQDHYNIRADTYLKRPDNGALFEELQKELATRRQREASLAAALSEKDQREAELQRRINEAKQHEQELENELANMWVLVAKIKKNGVNSAETLTESLNEYDFQSKQSGVLLSNGNSCVKFMRDKLPGNVNTDGVSILEDTRAAYELETRRSKELEGIISRLKGEDLIGLDNRTLEELQSFHVGALSKICQEKVTKRVQ >Ma11_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:824558:828929:-1 gene:Ma11_g01130 transcript:Ma11_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSTPTNADKFNSKSNPPVAPASRTGSPLAPQAEEGHESLRRTFRRREVSASVLASRHGNQHEDVNTGEAGDSAPGWLASPTSATKRTPGWPRVYAAASR >Ma01_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1060161:1062597:-1 gene:Ma01_g01540 transcript:Ma01_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLAVPHCVWQLPRLRGIVLHSAILSGLRVVCHVKFSFCFVIYKNIDKMKKVKCPVFVIHGTEDDVVNWLHGHGLWKLAEEPYDPLWIKGGGHCNLELYPDYIRHLCKFIREMENLTTATRLKKIRQTLKLPAKAAATTSTTTFTTNCCCQIRCRKPDCWSCPRRGCLMVRCSKGSAYLCNWCCGDWH >Ma11_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:43407:44409:1 gene:Ma11_g00090 transcript:Ma11_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRQHNHESGAGVCASCLREHLLALVAARGDHSPPGSLSLSPSPSPSPPPLPLQQPQPLPPALSLVGLPLSLLPPIRCQRVRGLRSAPPFLRQPRGRAFIICRPAVRLRQVLHPLNSVRPPPEVRGGDHARSTSSEIPAIDPLVPGAH >Ma05_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7554556:7556421:1 gene:Ma05_g10480 transcript:Ma05_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine racemase [Source:Projected from Arabidopsis thaliana (AT4G11640) UniProtKB/Swiss-Prot;Acc:Q2PGG3] MISGQGTISLELLEQIPEIDTIVVPISGGGLISGVTLAAKAINPSIRILAAEPKGADDAAQSKAAGQIIRLPETKTIADGLCAFLGDLTWPVVRDLVDDIITVDDEAIIEAMRMCYEILKVAVEPSGAIGLAAVLSDQFQQSAAWKDSINIGIILSGGNIDLGVLWKSFGK >Ma06_p11790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8214884:8221730:1 gene:Ma06_g11790 transcript:Ma06_t11790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEKEVKREDNNELMNKGSRHQKPMKRISSSDSFKVEGNDKSEERVRHSLLLEGTEEMEWDDELCSNGSSSLGQKKRRLSVDQVKALEKDFEVMNKLDPERKVRLAHELGLRPRQIAVWFQNRRARWKTKQLERDYGALKARHDALKLDVDALRGDKEALMDEMRALKAKLADPATPKVEEDGRALGFRDGTSDTDSSAALNEEASPYSGALLDQHHSVLETKSHCSSIFMEEDGFLRGEELCGALFSEEQAPTLPWCCTEGWE >Ma06_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8214912:8221730:1 gene:Ma06_g11790 transcript:Ma06_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEKEVKREDNNELMNKGSRHQKPMKRISSSDSFKVEGNDKSEERVRHSLLLEGTEEMEWDDELCSNGSSSLGQKKRRLSVDQVKALEKDFEVMNKLDPERKVRLAHELGLRPRQIAVWFQNRRARWKTKQLERDYGALKARHDALKLDVDALRGDKEALMDEMRALKAKLADPATPKVEEDGRALGFRDGTSDTDSSAALNEEASPYSGALLDQHHSVLETKSHCSSIFMEEDGFLRGEELCGALFSEEQAPTLPWCCTEGWE >Ma07_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9030019:9032590:-1 gene:Ma07_g12080 transcript:Ma07_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFDRAKAVRLRGHHGKYLMAGEDEERVIQSRYGSDHAARWDVEFVDGGRALRLRSCHGRFLAATSQPFLLGATGKKVIQAPAAGSFNDSSLEWEPVRDGFQVKLKSYSGHFLRANGGVPPWRNSITHDVPHRTATQDWVLWDVDIVQIHPTPAKTRSSPSKDPSAMPPPSAAPAVLPSSTSRTSPPSGPSDPDKSPDYSSSRSTSRSSSPDAVSSPHKSTFSPTLSNRQTPAPGRTIHYTVADDNGNVDDSMEWPSFTFIGTSVPELTSKLKKMTRLDDIIVCTRNPLNHRLSPLYLQLPPNNRTMQLVVVDAESSYGASFDI >Ma04_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10303646:10303930:1 gene:Ma04_g13630 transcript:Ma04_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNICAGKYSWPELLGVEGEKAATIIESENPTVKARIVEDGKVVIQDFRCDRVWVWVNKHGVVARVPHIG >Ma07_p11330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8419905:8423082:1 gene:Ma07_g11330 transcript:Ma07_t11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGSALSVGSVASYGTQIGLGAKPKPLHVNHNAPKLFKGFSGLKASSSVFCESETSFMGNGRHASVWESFKPKLGKSNLRTKSQLVPQASSFKVAVLGAAGGIGQPLSLLIKMSPLVSAVHLYDVANVKGVAADLSHCNTPSQSLGFTGESELANSLKDVDVVVIPAGVPRKSGMTRDDLFNVNANIVKTLVEAVADNAPDAFIHIISNPVNSTVPLAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEEAEELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVQSDITELPFFASRVKLSRKGVEAVISSDLQGLTNYEAKALDALKPELKASIEKGMAFVHKRMAAVASV >Ma07_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8419897:8423082:1 gene:Ma07_g11330 transcript:Ma07_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAGSALSVGSVASYGTQIGLGAKPKPLHVNHNAPKLFKGFSGLKASSSVFCESETSFMGNGRHASVWESFKPKLGKSNLRTKSQLVPQASSFKVAVLGAAGGIGQPLSLLIKMSPLVSAVHLYDVANVKGVAADLSHCNTPSQSLGFTGESELANSLKDVDVVVIPAGVPRKSGMTRDDLFNVNANIVKTLVEAVADNAPDAFIHIISNPVNSTVPLAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEEAEELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVQSDITELPFFASRVKLSRKGVEAVISSDLQGLTNYEAKALDALKPELKASIEKGMAFVHKRMAAVASV >Ma02_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26693962:26701822:1 gene:Ma02_g20880 transcript:Ma02_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTQNKGGMVPVSPSQTPRPTQKAGRDLRSVEGNGNSHSKYDKDKGVNVQVILRCRPLSEEELRTNTPVVISCNEHRREVSAVQNIANKQIDRTFVFDKVFGPASKQKDLFHQAISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGGRKTKIGEFPSDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPDDSKSSDDKSKKPIALMEDGKGGVFVRGLEEEVVYTASEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMLKSAMIKDLYAEIDRLKQEVFAAREKNGIYVPRDRYLIEEAEKKAMSEKIEHLEHDLDLKDKQLCGLQELYNSQQLLSAELSEKLKKTQKKLEDTEHAFFDLEERYRQANSKIKEKEFLIFNLLKSEKSLVEHAYELRSELENAAADIFGLFSKIERKDKIEDGNRILVQKFRSQLTQQLEILHKTVSASVMQQETQLKEVEEDMQLFVSTKAEATKEIRGHVERLKTMYGSGIKALDDLAVELDKNSQSTFEILNSQVLMHSSTLEDCFKGIALEADRLLHELQVSLSKQEDKLVAFAQQQRKGHFRTVEATRSISRIASNFFDTLNIHASTLTKILEESKTVQDKQLRELEKNFEDCTANEEKQLLEKVAEMLAISSARKKKLVQAAVCSLRASAAERTSNLEQEMSTAHKFTSSVKEQWKSYMEETEDHYLEDIAAVENGKLHLDEGLRSCMEKAKLGSQQWRDAQNSLLNLDKVNVASVDSVVRSGLEANQLLRSRLFSAASTTLEDINVANKDLHSSIEYSLKLDHDACTNINCMLVPCCGELRGLRSGHYHKIVEITENTGKCLEEEYMVDVPSCSTPRRRSIDLPSITSIEDLRTPAFEELLKSFWVAKSTSKEANGDVSRSSEMQDPQLQDLRDARFALMAIN >Ma05_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8674417:8676821:1 gene:Ma05_g11880 transcript:Ma05_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFFSPFSSCVLLILFFFSFFVFWFDYGDSHPVFDPHWHPATATWYGSPDGDGSDGGACGYGSLVDVRPLRARVGAVSPVLFKGGQGCGACYKVRCLDPAICSRRAVTVIVTDECPGGYCASGRTHFDLSGAAFGRMAVAGEAGQIRDRGEISVVFRRTPCKYPGKNIAFHVNEGSTNYWISLLVEFEDDDGDIGAMHIKQANSVEWLEMKHIWGGNWCFNGGPLQGPFSVKLTTLTTQKTFSARDVIPSNWSPDVTYTSRLNFL >Ma01_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4592185:4598020:-1 gene:Ma01_g06410 transcript:Ma01_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGSASNLAVVERKETRRKPGGCIGVFFQLFDWKKKKQFPKKLFPPVTAAKRVLRRIGGHEKLTVAKHLLVPQENSGGIAAVKRAETGRSCSSQDSLATGMCTPGLVARLMGLESMPAAHHDNTRHALTSDFDDVQCLKVGSRPQKLPKTGRFLKNRRATGNASRIGSDALQLNMSLEQQRKLALLLKSQRTPSKRKKARLVEVATKILEPGLQSRNRLKFGITGVGISSNIAEGSDAPFLSKKPDEPLCYDFLLGSCTSCGNLVEVVDLRSTDNAAQGLDHGHGALDSDYGSASSLPSSSCDTAVLGSEKRVRTLPIRGEDIKRSSSLITAKGQSRATHLALEAKTNSVHSRDRKCSVRNEQDMCRMHESIAPKQNNWRLSQSTLSNENLVSGSRQHSRQCNTRGSNAVIGTKKFAAANKSFESRTLANCRTRASKKNVMKLGKCDLDQDNMVPKGRPMSNGAFMKQRNLKKELVKSKISGSIGNHLRNRTSIRSDSQEDAKAGGRSRKTNSTSPSMLNSRTRQVSGSTSHQNKVERSKAFNELTGCTSPKELVLSQESEKLSSQNEIEEDALFAYLEDKITDLSCLDENNLQADHYFPGISSLSILERMISTLLNRGSSSPKNTDRVKNNLHLSCIDSSDDAIFDGQRAKTTEKVQVGVKSRTSAVCSTSCDDHPNLMTALEVSLPTDGCFCWDLSCTSGENLSHLLWLRFVLMSQMFLSKNRLAKSIEEEIIRWHHMAGKSLTELIEQDVQHPSSDWPSSKLGGSAEIRIDGRDDGRLKDCEQQPEVLPGVHCRHGISSLVSLPLHRHHRVARKPPFCEIPTGYHSASLLLFFRHLHQRRGADAACIGDQCSAIPILFLYSALQFPTVYHHLTASSLSFVGSFDDASKTLADRRYFPVFREHCRPPCYVNEHYLPTLVTKLFPGLNSNRSLTWVDWSRGGAHPATYRRRDVSVGLMERTRNDYSNNTTNTTTMCFLFARKLEAAGVAPLLQIAGAAMHLRDLKVGEGLLMLDVEAEAERTQLPRRIRER >Ma11_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1259594:1262026:-1 gene:Ma11_g01780 transcript:Ma11_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASWRGRSNLQSFLESTTPSVPPHKLPKSWCRDLSNLWQLDGKDSVEFFNLGDLWEQYYEWSAYGAGVPVSLHSGEMAVQYYVPYLSGVQIYTNKAPAAPSEHEYKKLASSDAASECLEDARRTRERIGHMYFEFFESSSPYGRIPLLNKVLELAQSYPGLTSFKSTELSPASWMSVAWYPIYHIPTRRSVKDLSVCFLTYHTLSSLFQDQIHESLTNDLTSFRDGKKNGMKPEEKCDRISLPPFGLATYKLQGSLWTMAGTGDHERITSLLGAAKSWLQQLEVQHHDFTYFTTHFV >Ma09_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17055581:17055712:1 gene:Ma09_g18230 transcript:Ma09_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLFHFLICSVEMHSFHRSRKKSRRSGAMLMLVFVQVFSSTI >Ma09_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34308127:34309003:1 gene:Ma09_g22370 transcript:Ma09_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRLQGEQLKQLRDIFNRFDMDGDGSITHLELAALLRSLGLKPTGDQIHALLSGMDANRNGSIEFDELAVALAPLMSEQALLNQEQLLDVFRSFDRDGNGYISAAELARSMARMGQPLTFCELTEMMRQADTDGDGVISFTEFAAVMAKSAVEFLGLTVVS >Ma11_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20941200:20941863:1 gene:Ma11_g15250 transcript:Ma11_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRAPVVHSFRWSSSRQSSFHSFLPIKCWTFNYIFFSNYAQLFLLSSVVTLKDANRALVFGGAVQDSPSILVREDASCWFGHDEHQKQQPVVPGGWAKAEVPNK >Ma07_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5128216:5137541:-1 gene:Ma07_g07070 transcript:Ma07_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLGFQGLRGVSFLVPKKWFRITCICQRKRAISRSVLCGLMLFSLGLVSLFTGQIAADLEWSQIRGRWETKRVGYSFPVDIWKSEFANLYYGCSERSPHSSAAVHESVSNGYLLIATSGGLNQQRTGITDAVVVARILNATLVVPQLDHHSFWKDDSEFENIFDIDWFISSLSKDVTIIKRIPDKIMRSMEKPPYTMRPPRKSTPEYYLDQVLPILLRRRAVQLTKFDYRLTNNLDDELQKLRCRVNYHALKFTEPIQLLGQKLVKRMRTMSSRYIAVHLRFEPDMLAFSGCYYGGGEKERNELRGIRKRWTTLPDLSAEEEQSRGKCPLTPHEVGLMLRALGFVNKTYIYVASGEIYGGEETLQPLKDLFPNFYTKEMLAREDLKPFLPFSSQLAAIDYIICDESDVFATNNNGNMAKILAGHRRYIGYKRTIRPNAKKLSSLFKARNQMAWKVFSRKVKLAQRGFMGEPDEMRPGRGDFHEFPSSCICQNPDLIEIGGTLGKPEPTETI >Ma08_p23740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37102550:37102896:-1 gene:Ma08_g23740 transcript:Ma08_t23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDASDLVPNLPYAFASNPQLCSLRRFNSAVVFLEQGAYHAAIHISRGEAKKLSLMNTQSSRFQPISAIDAFEANQIEMLWF >Ma06_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2593343:2593663:-1 gene:Ma06_g03560 transcript:Ma06_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRRENPGGGDSIGSRRRKILVYLPTNEVITSNEMLATKLAELGWELYPSTPDLVQFHKRSSVHLISVPRDFSRFTSVHMYDIVVKCRNVFEVRDA >Ma01_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2299292:2302618:1 gene:Ma01_g03470 transcript:Ma01_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFRVRLASFFAGAAAASLAGFCLLYKDYMLAHDAIAQQAKGVYEDFDERFESLNKRVTALENQKKSETTKPAEIFD >Ma10_p31440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37573944:37574069:1 gene:Ma10_g31440 transcript:Ma10_t31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIPEYSGQGLVTGVGPSHNLLDHLADPVHNNILTSLYFH >Ma04_p30470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31144294:31145686:-1 gene:Ma04_g30470 transcript:Ma04_t30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLFVFFLPLVLSPFFSGCAEAQGGLRVGYYSQTCPKAEAIINAEMRKIIKYAPSLGGPLLRLHFHDCFVRGCDASVLLNSANGQAAEKDGIPNQSLGGYGVIDVVKVKLEKACPGVVSCADILALVARDVVALSKGPYWQVPTGRRDGTVSIASEAFQLPRPTDGISQLIQNFAGKGLSVQDLVVLSGAHTIGVSHCSSFSSRIYGSDPSIDPKYAATLRMKCKPKDLTTLVQMDPGSVTTFDTGYYKQVSGNRGLLNSDAALLQNPQTKAYVLRQSTGSASQFFKDFGDSMVKMGNIGVLTGAAGQIRKKCFAVN >Ma11_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23130612:23132564:-1 gene:Ma11_g17950 transcript:Ma11_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWGDIYKVVVAMAPLYVALGLGYGSVRWWRIITPEQCEAINRLVSCITLPLFTFEFTLHVDPFAMNYRFIAADAVSKVTIAVLLAAWPKCSSKGSYCWSVTSFSLSTLTNSLVVGVPLVSAMYGRWAQDLVVQLSVVQAIVWLTLLLFVFELRKAGCGLFSMAAAPSSAGGELASVESPPLKDVDGGGPEVTPRPSFWSLMKTVWLKLALNPNSYASIVGITWAFIASRWHFEMPSIMEGSVLIMSKAGTGMAMFSMGLFMALQEKILACGPSLTAFGMVLKFIAGPAATAIGAIAVGLRGDVLHLAIIQAALPQSITSFIFAREYGLHADVLSTAVIFGMLVSLPVLVAYYVVLGFMS >Ma08_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33596893:33597645:-1 gene:Ma08_g19740 transcript:Ma08_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSFTLLLLFLFATVAIGSSSREHKEKMTHLHFYFYDYYGGSNATTITVVSPPGNNTFGSIGVGENILRVGRESSSKLIGKAQELTVQASLESPAYLSALNFVFTAGKYNGSSFSILGRAVLTEPRMERGIVGGTGKFRMARGYTISRLIRSTGTTQMELVFEYDAYIYHY >Ma02_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21492048:21497250:1 gene:Ma02_g12880 transcript:Ma02_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVTLGSSKPMIATQQELVENRVPLPYRDQCAHLLIPLNKCRSAEFFLPWKCESERHTYEKCEYELVMERMLQMQKIRALEEKKKAEQRQGNGAGIPLIPSPANA >Ma08_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35607489:35610159:-1 gene:Ma08_g21840 transcript:Ma08_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPSSAVSAPVAATAPEKPTPEAVATAFEVAIDLEAESTNGEGAADKDAAQSLAIEVTGARNGIGGSGRAVDVDAEVAAKVGAREMVTEENVDMTDVGEAKVVMEVGAEDAEVELLDEKVADKGHVLTAECGAESDADVGGVGDHDVHVQEQEPPERRKRGRPRLATIEDGLHARYLHPFQDEKKDGFTVSDLVWGKVRNHPWWPGQIFDEADASATALNAQKEGRFLVVYFGEKTFAWCDKSHLKPFQTCFTHLEKKGSPDAFGTAVNDALGEVSRIIELGMTCGCLSDEAYAMLKDRKFQNAGVREGTYGSSVDKSWIMNSFEPKKLIDYVRILAMSPCSGADRLDLVIAKAHLKAFRRSNGHLEPCVFVLGQGLENDDVSSPAMARNHGEDNVDLSALDSPDSGSWKQKPWDSWGKSRKKFVLEDRRKKRSLSELMGVKSFTCNADGFRSGSGTRESLGSSGRRQKVDNSCPAVSGKGKMKKLNSLRDLTTQSSNQTKQLKVRGRNGWVRGERLQSPTMIKKSLADWKHRSAVPDYPNVQVRSPRVKEGDLTDSSSCEMLSHLCLAARNPLETYSFLDTTVRFFTKFIDWLVSSSSENKRLPDVIGGKQSRRRLSRIKKVSSDLATPDYIQDSYWSDIIICNNFDEEHGSEGRKRNGRSRAKRPKKKKRKKRKEQPKLFFSSVSMPKAEQYL >Ma01_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11603194:11604319:1 gene:Ma01_g15940 transcript:Ma01_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGTTTKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKMTGSLDKSFEGTPKVVKPEKYVDNENANKVSSAFAGTQEKCVGCSKTVYPIERVTVNGTAYHKSCFKCCHGGCVISPSNYIAHEGKLYCKHHHIQLFKEKGNYSQLENDEAEAKSESSAA >Ma05_p26610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38179414:38181823:-1 gene:Ma05_g26610 transcript:Ma05_t26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECDRSAAGRFRPWPPFSAATLRRKLLDAVACGASGHRRRRKEDLCSLASRPTTRRLEELMRAEEPESCSDRGAEAETRRKIGAFEELQRAAGLLQLGDGDGELDRRKEAAAEVRRLAKDDPGARKTFATLGAIPPLVAMLDSKDSELHLAALYALLNLGIGNDLNKAAAVKAGAVHKMLNLIESGSSPSVSEAIVANFLSLSALDSNKPVVGASGAIPFLMSAFKSGNSTARQDALRALFNLSIASSNAPRLVDAGLVPALFASIGDMEVSERALTALSNLVATGDGRRAVSRCTDAVPTLVDVLGWCDAAGCQEKAAHVLMVMAHKGGPCDRAAMVEAGAVSALLELTLLGSPLAQKRASRVLEVLTVDKGKKVTSAAASSAVAVSAPLSVATAAEGKGDDRMSEERRAVRELVQQSLHSNMRRITRRANLPQDFGLSERFTALTTTSATKSLPF >Ma08_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7255877:7258376:1 gene:Ma08_g09940 transcript:Ma08_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLDNALPTSMERPRKVAKVATPPPPAVARKPAPAELAPDSRVSEEKAPPLPAVEQSVEYVVSEEITPLSDPHTKMAVLLDELNSKDWMSVCQALNDLRRMALHHSSYLVPILGNVAEVIVKAMKSPRSALCKTSIMASTDIFHSFGHLLLSTTEENAFDQLLLQLLLKASQDKRFVCEEAEKALEKMAISTSPLPLLKKLQSYVHHANLRVRAKAAVAMSKCVSKMGIEVMKEFGLATLLQMAAELLNDRLPEAREAARSMIYSMYGEFSNDSDLKKDDDESSAAESWQNFCSSSLAPIAAQSVAKIVSL >Ma08_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34921224:34923897:-1 gene:Ma08_g20850 transcript:Ma08_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRTNVSKAELKEKLAKLYEVSIRTRSSCSNRLIRNGLATKVEKSRKQLKERKNRAKKVCGVKKTKAGDAAEAWVGQSIILASIESGHSCALIYLSCEILIPRTKMIFASECRNVLVWCLVFSLSFTRSTMSVSLMLFILKWIHHWIDYYEFNGRIWHGFLHQLIDL >Ma08_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4087352:4091273:-1 gene:Ma08_g06040 transcript:Ma08_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYVFSTQLLEILTILAIIGESSWAALPGSYCKEMGSSTYRPHSVSVTEFGAVGDGITLNTKAFQNAIFYLHSFADKGGAQLFVPAGKWLTGSFSLISHLTLSLDKDAVIIGSMDSSDWPVIDPLPSYGRGRELPGGRHQSLIHGSNLTDVIITGGNGTVDGQGCVWWEWFNNHTLNYTRPHLIELMYSKGVVISNLTFINSPFWAIHPVYCSQVLVQNVTILAPPDSPNTDGIDPDSSDNVCIEDCYISTGDDLIVIKSGWDEYGISFARPSSNISIRHIVGEANSGAGIALGSEMSGGISNVRAEDICLFNSLYGIRIKTSPGRGGYVRHIHISNVIMTNVKTAIGITGRYGEHPDENYDPNALPVIDMITIEDVSGTVIKHAGTLEGIKGDNFNSICLSNVHLNVTSKHPWKCSYIEGFSNLVTPELCESLRNPYQSSVCYTANHFDCCNLVQAA >Ma06_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2086115:2087111:-1 gene:Ma06_g02770 transcript:Ma06_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSQWSVLATLRSIYCQCVVLRSPRPFLTTNHRLLLAACRTQRMELPREIRFSHAGVPFRATLVERARAGTNAVCSLCPHSILEGCSFYTTNPPDFQVHAECTRQYLSSHMAAATVDGRNTSFVPPDNSRSMNPGSHFGHYPSFPPYHLLSYYPYPYPSYYAQPHGYGPAPPPPPQLPPHHGPWNSVFYPPVQPQHVYAPFPAPPRPPPLPPPHHGASWNSVDNAPPPLPPPPPPPPHHHHHNDTWTTVLNTVQTSAIAAFMQILFQSIFS >Ma03_p32340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34174742:34176985:1 gene:Ma03_g32340 transcript:Ma03_t32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPISPRLRLLRFASPARSPLAEPFPPGSRPTAYEANTQLRHLIKADRLRDARLVFDGMPHRDEVSWTVIISGYVRASDPHEALFLFSLMRSVDPSLDPDPFVLSVALKACASGPALGFHGRCLHAYTLKSGKATGSVFVGTALLDMYSKIGAHDSALRSFDEMPSRNAVSWTSAVAALVRAGRCRDAVRCFAEMWASAMPCDSHTYATVLKACADARLLDRGREIHAHVAKLGLETSSFVANTLAALYTKCGVLQPGLVLLDRMRSRDVISWTTIIVAYVQTGREEEAIRAFVRMQADPSDAVSPNDYTYAALISASVGLAQITLGQQLHASVIRRGCVAASSVSNALVTLYARAGHLASAYTIFQHTMLKDIVSWTAIISGFCLEHDVEKAFSLFGEMRRAAWPPPNEYTFPSLLGLCAWAAALEVGQQLHARAVVAGLDNDVMITSALIALYSKSGSLEEAARVFEGRNSEEVVSWTAMIKGYAEHGRSAEAIELFDRMKSTAGMRPDGVAFIGVLTACCHAGLVDRGIRYFLSMRTEHGVEPGREHYGCIVDLLGRAGRVKEAEKVIEEMPRSEVDGVLWAALMRACVAKGDEEGGRRAAAMVKELEPGGAGAYVVLANLYAGQGRWQEAATERKKMREEGVRKEAGWSCVGVGREETGVFVAGDRSHRRMEDICEMLELIDFATRMGGDDTETEYETAAAAEYIA >Ma09_p26160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37496023:37496934:1 gene:Ma09_g26160 transcript:Ma09_t26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRNITFRYDVNPAATAAAVLNRQKKLRRLPHIFSKVLELPFEADADVAIEENSEGFRFVAATDDLWGNVRAHAIEIHPGVMKVVVRDGGGVDLEEGLELDRWRFRLPPSTRPALATASYTGGVLVVTVPKGARSEEENGGAQEFLGGRLVIVQ >Ma06_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23025164:23030391:1 gene:Ma06_g24530 transcript:Ma06_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKAKKALKKKLKKTNSSQLSISRSRNESSDFLPLEGGPGMKITQEEDEPIKNTATVLYIGHIPHGFYEEQMEGFFKQFGKIKRLRIARNRKTGKSKHYGFLEFENPEVAKVVADEIHNYLLFEHNLQIHLILPERVHPKLWRGVNWRYKPLNWTEIARKQHNKDRTVEEQQQMIQGIQKRDKKRRNRIKAAGIDYECPDLVGLIQPAAKKIKFDEEEEE >Ma07_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8790234:8791711:-1 gene:Ma07_g11850 transcript:Ma07_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRRGGRTDSRMERKTVEKYRRMHMKSLCLQLSSIIPKEHMTTSKNGLTQNDSLDQATSYIKKLEERIENLKQRRLTWAMTETNGVTVGFQLPILEVRHRDMDLEILLISRVSKRFMFHEVINVLEEEGADVVNASFSTVGDKIFHTIHSKAFSSRIGLEASRVSERLKDLVQ >Ma08_p30240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41582488:41585908:1 gene:Ma08_g30240 transcript:Ma08_t30240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTSSAYPPPPPYYRLYKDYLEDPESAPEPPPPVQGTYPLFGATYTTDVVLPSLEDQGVRQLYPKGPTIDFKRELTSLNRELQLHILELADVLVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHILERQIQQRKQAIEDIKRRREEAQRLLKESLQNLDGHLA >Ma08_p30240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41582391:41585908:1 gene:Ma08_g30240 transcript:Ma08_t30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTSSAYPPPPPYYRLYKDYLEDPESAPEPPPPVQGTYPLFGATYTTDVVLPSLEDQGVRQLYPKGPTIDFKRELTSLNRELQLHILELADVLVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHILERQIQQRKQAIEDIKRRREEAQRLLKESLQNLDGHLA >Ma09_p27890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38757749:38762979:-1 gene:Ma09_g27890 transcript:Ma09_t27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQESSSSDSVRLRPAQPTPLAALLGRAAGPRGGPSVLVRGTAALHLQERRADWAYSRPVVVLDIAWNLVFTAASAAVLCATTHERPNTPVRVWLLGYALQCLIHVVFVWGEYSRRRRRSGGERRLEGGGGDGEQTLSESDAMDSEDDAAPPEVGSGRRASIAKRCESINTMASFIWWIVGFCWVVSGGEALLKNAPTLYWLTVVFLTFDALFAIFCIALACIIGIALCCCLPCVIAILCAVIGQEGASDSDISILPRYRYSEPCSDGQKTFEEGLMVPILNNHDISTGERVLLREDADCCICLSSYEDGTLLLALPCNHHFHSSCIVKWLRINATCPLCKYHILNG >Ma01_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2782154:2783211:1 gene:Ma01_g04250 transcript:Ma01_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACSSLLTAPTSKPTPPLATLSSRPVASSSLSPPTATSGASPGGSRAMTGRRELALALSTSATLLLLPAAPPALATSDEEYVRETAEVINKVRTTVGMDKKDPNVAVAVAELREASNTWVAKYRREKALLVRVSFRDMYSALNAVSGHYISFGPTAPIPAKRKARILEEVDTAEKALLRGR >Ma07_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32095129:32097590:-1 gene:Ma07_g24930 transcript:Ma07_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAEGGGSVSVSEKKDAPSLRRYDLDPKLDACLDLSIRRLVYSSVAGASAALLFFRSRTTRWASVAFGAGVGIGAAYTECSYILNGSSPKRPQRISPFPSLSQEK >Ma09_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13184693:13191832:-1 gene:Ma09_g17620 transcript:Ma09_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAHGRLAVPAFSASSHRHPFRLRHGRFLTAVAKQTTKATPCPPEDGAQISSPSSTSFSFPALHSLSSNQKDQISLYVRTLLQWNQRMNLTAVTEEREVMSRHVEDSLAILPPLRRSYLAHCCSSSPCDGLNVVDVGSGAGLPGLILAIACPNWKVTLLESMKKRCLFLEHIVALTGLSNVQILCERAENVGQSIDYRELFDVAVARAVAEMRTLAEYCLPLVRVGGLFIAAKGSDPQEEVKSAGKAIQLMGASIIELCTVESHSPKGQRTTVICLKDRATPKRFPRHPGIPTKSPL >Ma06_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11123777:11128886:-1 gene:Ma06_g16410 transcript:Ma06_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPAEFYNSLPPVSKAYGTLCFLTTAAFQLNLFDLGTILLLYQPVFTQFQVWRLFTNFFFLGKFSINFGIRLLMIARYGVQLEKGPFDKRTADFLWMMLFGAFFLLVLSAIPFFWSPFLGASMVFMLLYLWSREFPTTQINIYGLVTLKAFYLPWAMLMLDTIFGSPIMPSLLGIVAGHLYYFLTVLHPLATGRNLLRTPIWVHKLVALLGWGVQSNSGLRPNSANTATGSVAFRGRSYRLDR >Ma03_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27067930:27070757:1 gene:Ma03_g22280 transcript:Ma03_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGEREDVAILLGKGLQPPMRRTTSMTEFSAANLAAASAAADWREGIRRNEQNRAATFEMPPRIVQRRSFNDFRTLETTAQFLMACGRCNRRLGSGSDTFIYQGEIAFCSLECREHKMSQDKNKNKRLTPKKTDASSSTKASETPGSGEMAIAA >Ma01_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7287835:7291241:-1 gene:Ma01_g10160 transcript:Ma01_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRRSGSFSFMEEQPEEKEEEGELQWPFGRLDALGRDELRESAYELFVACCRFSQGSSGRAPPSRHPADGSDAGTKAGAGIMSATSRVKGALGLRARLAGPMRTMVNSGSPVTLPSAAGTATALSPAGKPKQRPMTSAEIMRQQMGVTEQSDTRLRKTLMRFLAGQVGRQAETIILPLELLRHLRPPDFVDSNEYHRWQRRQLKILEAGLVLHSWPPCDRLNSSGLRLREILTASELKPIDTSKHSEAMRNLCNCVMALAWRYQNATPVEACHWADGFPFNIHLYLSLLRSIFDLKDKTVILDEVDELLELMKKTWATLGINKMVHTVCFTWVLFEQYVRTGLVEPDLMGATLALLDEVANDAMRPERESGYVKVLLPTMASLKAWAEKKLLDYHEGLEKGGRIEMMDNVLCLAFSTAKIISEETSSNASVGMLVKLDTGGDADDFSPINCVDRFIRASLKCAFTKAQENCNGKVDSRVVDEEDPQEALIKLAKETEELALLEKENYSPLLKRWYPNPTAVAVVTLHSCYGAVLKQYLLKTSVLTNELVRVLHTAGNLEKVLIQMAAEDSVGAEGGGKGILSEMIPYQVDSIIFNLIKRWVDDRLRMARGCFNRAKETESWNPKSKSEPYAESAVDLMQLAKMTVDEFFEIQVEAKDELGRDLADGLDTLFKEYCSFAASCGSKESFIPALPPLTRCNQDSMVAQLWKRAAAPCTVGADPSLVLVCRPFPAPGDSTTNHRHTASRGTQRLCVRLNTLQYFLGCLHTIDESFSSHCSPLPSHRHFDGAHSSIHSVILHVAELAAFRLIFVDSSHSFYDSLYFGSVYHARIHPTLQVLNQNLTYLTSVLIDGAQPQAVCEVMKASFGAFLMVLLAGGSGRAFTRGDYDMLVEDFMSLKHMFLGCGKGLVAEEVVEQQAEVVEGVLALMRLPTEKLVEDFSIAACEASGLGRSLETVPMPPTTGKWHCSDPNTMLRVLCHRNDDAANRFLKKAFELPKRR >Ma04_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7908216:7915103:1 gene:Ma04_g11230 transcript:Ma04_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVDPPNGVGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHIRHENVIALKDIMMPAHRRTFRDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYIHSANILHRDLKPGNLLVNANCELKICDFGLARTSSGKGQFMTEYVVTRWYRAPELLLCCDNYDTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNILGTMSDADIGFIDNPKARKYIKSLPYTPGVPLGNLYPMANPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAEVPIDLDIDENLGEDLIREMIWKEMLYYHPEASANT >Ma06_p26690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28545533:28552865:1 gene:Ma06_g26690 transcript:Ma06_t26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKRVTLQLQRLASVTQQIHKCKLTVCFRTLASATFLWTKTFIPMLWSLINSLFRGHEISTQLLYLI >Ma11_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1284841:1290558:1 gene:Ma11_g01800 transcript:Ma11_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHDKEQGEEEEEEEEEEFYESLDRILSSSCSSTSASEDDGDGHRRRTFPLPPLPSLDVWTSEPAPVAERRRLLLQRLGLAGDPTLVRLPPPAGAPPPAWDASSSSSSSPSAPAAGMVRSRSDGSVNPCSVNKPEPPRRPYQHQHQRSINLPVSHRPPLFSRSRPLSTGNLDVSDGDASSSVGSRDRWEDGDDRAKCDDPRCLIKNLDNGREFVVKEFGKDGMWNKLREVETGRQLTMEEFKMCVGWSPIVQELMRRQNVEEAAHGGGSSGGSGGAPRIDGASRGGTRLKKKGSWLRSVRNVAGVAIAGGHHQQRRSSDEKDTSSEKGGRRSSSATDDSQDGAPGLDLRVKVRQSGKSHKELTGLYMSQAIQAHNGSIWCIKFSLDGRYLATAGEDCVIHVWEVSKITTKGGLLKVAEQSGNFNPFAMAIDDCPPDPRLVLASAEGNHWENKRRAKISGSRRSVSSDSLMMPEHMFSLSESPVCSFRGHSDDVLDLSWSKSQYLLSSSMDKTVRLWHMSSNCCLKTFTHSDYVTCIQFNPIDDRYFISGSLDEKVRIWSIPDRQIVDWNDLHEMVTAACYTPDGQGALVGSHEGSCHLYDTSDNRLLQKSRIELQNKKKKSRHKKITGFQFAPGSSSKVLVTSADSQVRILDNDALVHKFKGFRNTSSQISAYWTANGRYVICASEDSHVYVWRYDEDSRPSRSKTAATVTQSYEHFHCQGVTVAVPWPCSGLERMVKTHSNKKDQVGEESQANSPLLVEANGLHLSPSPSNQNISCQQNNSILGRKPDHFGDKLSATWPEELMASKQTPRSNNDLNSCHMPVPKSSAWGLVIVTASRCGEIRTFQNFSIPFQI >Ma11_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10757591:10772572:1 gene:Ma11_g10830 transcript:Ma11_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDRLTSERHRSPDSSSSSSPSSSSSSHGESSDRRKRKIKKERSRSSRHKESRRRKSSRHSRSSKADSRTRENEEERRERRRHKRKSERRRRLSGREYDDDEGDDSDYSRSSSSSGDDPEPRSLKPESIVRLFLRKFPNAADDLKQLLQMVDSGQGVDVGGISDRSLVRILKKLFQSLRLKRNNGVYLLPPKGIPTLDIVGMTLLSHLKSRDNLCSMSEACDSQQPSLSDHIHKKDKADSNDAKLDEAQENHELPTPGRRRLIGPEMPSHELLAAAAELMEAESLLREAHLEIDNDMLIGPPPPAMVAEAASANEAERFEEVARIVGADVDKPYDILGVNWKMSSDNIKKRYWKLSLMVHPDKCSHPQAHQAFVLLNQAFNDLQDPDKRKNIDEKIKLKEEEEKMKVELQALREAAQWRKLQGISIDGDDELLAMPKEAPKRDEWMTTLPPERKPGMSMQSTSFSRTTKEGRGDTSIWTDSPLDKAQQAKQNYLEAYNKAKALGDVEDERKRMSRDAELVDKYNASKRSVSLVQKHREESSRPRKKVKQPEKEEWEGMHPWKPWDREKDLTAGRKKVNFDSENMAQGLASRFSSGNIQRNFL >Ma06_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11604707:11638137:1 gene:Ma06_g17130 transcript:Ma06_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) UniProtKB/TrEMBL;Acc:Q9M033] MAAMTAAGWGERAVGLLESARTAGEVPSKLKQLRQLKAVLLHRDPPLLPEFVTRLTELQSERASPIRKFLAEMIGDIGSKHIELLPEMVPCLIAFLKDETPAVARQAITTGTSLFGYVLEKLVIQGLYSSEIDDSTKSSWAWMLKFKDAVLHIAVQSGSDGVRLLAVKFVETLVLLYTPDPYISADPPQEPVYGLGFNISWLRGGHPSLNVGELAMEASQSLGLLLDHLRSPQVKSLSNSIIIVLIKSLSAIATKRPSFYGRILPVLLGLDPAISIVKAVEVPGAHHALKTAFVACLECTHSSAEPWRARLVEALKAINDSELSGQATNKNSGGVSISNEESLPLKDDNSSLQACDEASSDLVRKRPVAELNNDLLQDDCLSVKRIRQSTHTAQDLPNEPLQVTADQESNSVPVVGSVSSRDKSSGPVQQLVAMFGALVAQGEKAAGSLEILISSISSDLLAEVVMANMQHLPPTCPKTDKDDVASETGYPSCLDSSVLSSIQLSPLISDIHSLSSLSPLLASLLNIQPSMSHDVAKSHQSSEEKVMDTVETTLLSSSGGDGGAMMPVTLPASVSPFPVVTENGSSVVSLSLNSATEERVIPGVDSTSSIDEIQESHDASHCSNPEVNDSSQDHATSLGSLVPSNILSTCSMATDVSETQSTGVGIFDTSQASSAASLVTSCQCVLPKMMILDVNLTDEAKDQLQKVAFVRILDAYKQVAISGGLDARCSLLAHLGIEFPLELDSWGLLQKHVLSDYMDHEGHELTLRILYRLYRETEQDQDFLSSRTAISIYETFLLAVAESLRDTFPATDKSLGRLLAEVPYLSEGVLKLLEGLCCPDRSEKLEKDFQNGDRVTQGLSAVWNLILLRPSSRARCLLVALQSAVHSAEEVRMKAIRLVANKLFPMPGVSQKIEEFAHDKLHSVIDDVPAIEDMDTDEATSGLQEDSKLGKPSSSRGQQSDNALKSSTHLDQNVMSSLISEAQRCMSLYFALCTKKHSLLREIFTIYKNISKAAKEAVHRQIPILVRTVGSSPELLAIISDPPTGSEDLLMKVLHTLTDGIVPSQDLISSVKKLYHSRMKDADVLIPVLPFLTKDEIFPIFPHLVNLPIENFQGALSRVLQGSPKTGPCLTPAEVLIAIHGIDPVKDGIPLKKVIDACSACFEQRKVFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIGIFPSLVDFVMEILLRLISKQIWKYPKLWVGFLKCVTQTMPQSFSVVLQLPAAQLENALNKHPVLRPPLVEHASQPNIRSSLPRSTQVVLGLVQDLQANSQGQTSQSQAADTGSSTADVATELTQESSAVS >Ma06_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3376757:3385012:-1 gene:Ma06_g04560 transcript:Ma06_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRYKAGLFLILAVVVIWVTSAEVTQGIFTDYKQPFAVTYLGASLMVVYLPVAFIKDWICNLLRKHSSRSGRSTQIVSKQSSGSPLKNSGIQKMVEMESQSLLTRKDSEVDLSAQEEEQSFISEIRDEVGAEVLKERRSFTTKEVIIYGFYLAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFVGVLLRQDSLNMAKVVAVFVSMAGVVMTTLGKTWAADESQMSTTSNGKRSLAGDLFGLLSAMTYGLFTVLLKKFAGEEGEGVDVQKLFGYVGLFTLVALWWLVWPLTALGIEPKFTIPHSAKMEEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAVYILGSAQVFGGFVIATLSDRLSRFLGF >Ma08_p27560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39754074:39756911:-1 gene:Ma08_g27560 transcript:Ma08_t27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein DMC1 homolog [Source:Projected from Arabidopsis thaliana (AT3G22880) UniProtKB/Swiss-Prot;Acc:Q39009] MIDLKFEEQGQLQLLEREEDEEDDCFEPIDKLIVQGINAGDIKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLVNMGYVTGSDLLLRRKAVVRISTGSQALDELLGGGIETLSITEAFGEFRSGKTQLAHTLCVSTQLPIQMHGGNGKVAYIDTEGTFRPDRIVPIAERFGMDAGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLIKIAEEFNVAVYITNQVIADPGGGMFISDPKKPAGGHVLAHSATIRLMLRKGKGEQRVCKIYDAPNLPEAEAVFQITPGGITDVKD >Ma04_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7294688:7298454:1 gene:Ma04_g10200 transcript:Ma04_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTRLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIIEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIVSKA >Ma04_p10200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7294693:7298454:1 gene:Ma04_g10200 transcript:Ma04_t10200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTRLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIIEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIVSKA >Ma04_p10200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7294674:7298454:1 gene:Ma04_g10200 transcript:Ma04_t10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTRLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIIEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIVSKA >Ma09_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6654200:6656042:1 gene:Ma09_g09810 transcript:Ma09_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAWKDLMVLRSCLSSQTGPYVESSETTEGSPPCQAWSQAMKTQVHSPSQL >Ma11_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21133873:21140270:1 gene:Ma11_g15420 transcript:Ma11_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKELLAQDSFWRRRTKTRPTAVTRAKSMPPNPGERKGKARSHEVAYEKSNTVTLQEEAVCFEVDMQPAICDASTQAVVSILTGYVEAFLKHESFRASLRRRCNACLGIASELGVFAELEEAIAMIERVVEERRGVKKLPKVSLKLSVISGFTAGFPSSRLSACAHLYLSVICKIRKEDRMTADHLLQVFCTAPLEARTILLPDLWNQLFLPHLSHLKAWHKKEVESIAQTPCGAKKMKLLDKMYDDVMNRGTHIFAVYYKEWLIEAKKAPSLPSIDAPSASSSRMIQRGSHAIHRDDVYSPMVSQKSYEAVLGQLKRMEAANELRIKGSDREEERKKEIEVDQSVLGEYKGSKMINPYSGKRDHLGGESQQSQRLNAYSNAKTNEHTLGELAQAIFEMNAVDCSSACNNCQDNNVSLSKVQITEPDLKKTAHLCRKSDQKSPVQSISAEPFDDCEDIGFCCIFSNDSKDYVCPLKGQLFKEPVTIETEHIFESVAMKECLNQAKASPVTGQQLSCQSVPDTNSVLNQLVDGWVSENCWNLLIIMAQLTKNETEGDKKSKDDLALEIVDRLLAGCGKEEQMENARNLIALGGLRFLIHRFETGSFNVKTRVIGFLLCCINAKGWCRNYLADNLKRSSVLELLHSKQISARTNAVLLLIELICLSRRRDITSFLSGLLKETIANTMHALLVHLYSLPAQEKALVAVLLLHFDLVLGTSKRSIYKKEAVDGITLSLNCCLSDKRAIPNSQRALLMLGGHFSKSGEILIDSWLLQQGGFIDGLFNTINYDDNKDDEDLLEDEAKQKEKWLKDVTLVLIGNGRKSFMETLSRCLVSGIQGLVRTCLVTAAWMSHALVLLSSSHQIPSAVFSDFIPQLKQRLEEDDQIEHRILASVSLLNLSKVSGDQN >Ma02_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28406869:28408550:-1 gene:Ma02_g23370 transcript:Ma02_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEYCFPRLPRAGAKKAARELRDKRKYSELSIHSEFAVPCTPRPSTSIPTSRKAAPAQTAAASMDEDSPVDDPRMCAAYTTDIYRHLRSMEVEAKRRPSANYMEAIQREVTADMRGILVDWLVQVAEEYKLLPNTLYLAVSYIDLFLSSKAIRTQRLQLLGVSSMFVAAKYEEIYHPSIENFCDITANAYNQQEMKTMERDILKCLKFEMGSPTIKTFLRRFTEAGHEDGKNWGAQLEFLASYLAELSLVDYGCVQFLPSVIAASAVFVARFTLNPKSHPWNRKLEQCTEYKASDLKDCVHAIHDLQWKKRAASLVGISEKYKQNKFHGVSMLLSHAEIPAIYTRSNCCGFRNLLLTTKL >Ma10_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30586436:30588996:-1 gene:Ma10_g20410 transcript:Ma10_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Ma04_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22356605:22387011:-1 gene:Ma04_g19730 transcript:Ma04_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYLEAVLGFMIFMYLFETYLDIRQHAALKLPNLPKPLEGVISREKFEKARAYSIDKSYFHFIHEAVTILMDTAILYFGLLPWFWKLSGNLVAYLGLDAENEIIHTLSFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDMFKGICLSILLGPPIVSAIIFIVQKGGPYLAIYLWAFMFVLSLVMMTLYPILIAPLFNKFTPLPDGELRKKIEKLAASLKFPLKKLFVVDGSTRSSHSNAYMYGFFNNKRIVLYDTLIQQCKNEEEVVAVIAHELGHWKLNHTMYSFIAIQILTFLQFGGYTLVRNSKDLFESFGFDSQPILIGLIIFQHTVIPLQHLVNFGLNLVSRAFEFQADAFAKKLGYAKALRAGLIKLQEENLSAMNTDPWYSAYHYSHPPLVERLSAIEEADSKKEN >Ma09_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6646714:6651481:1 gene:Ma09_g09800 transcript:Ma09_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKVMFIYLACVKIYEKKKKNSGCDSPSPRGFVCSQTLPILYEKYEDQIDNFLYNLLGQFQNHYSKLDVSVLSKLSKGNPRSKKND >Ma03_p30270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32974938:32975970:-1 gene:Ma03_g30270 transcript:Ma03_t30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSLDMVMVPLGLLLLATYHPWLLFTIARDPKRTVIGLNAQARERWVRAMMTDTTQNGVLAVQTLRNNIMASTVLATTAITLTSVTSVFVRLTTTTASASLFLYGNKSPAAYTIKYFTISLCFILAFLCNVQSIRYYAHASFLVTTGEAGGAPADYVARSLNRGSFFWSLGLRAFYLSFTLFLWIFGPIPMLASSVAMCCLFFFLDTTTEFFHVSATVKEVGVTEEV >Ma10_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30638181:30641968:-1 gene:Ma10_g20510 transcript:Ma10_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRWLSLLLLVLVLVGISMDLPREAPAASSNILKSSSARIPVNPQGGEQRDGSKSKGIPLKANLSVCHASFSDADRPVYCCPAWKDADQTLLDFEFPDPSSPVRIRRPAHLVDEEFVAKYERAVAIMKQIPPDHPHNFWRQANMHCLYCTGAYDQMNSSALFKIHRSWLFFPWHRAFIYFHERILGKFMGDDTFALPYWSWDTPEGMWFPDIYRKGALNETERDAIHLREAAVDDFDYVDHDLASDVQIADNLAFMYHQMISGAKKTELFMGCKLRSGVEGWCDGPGTIEAAPHNTLHSWVGNRYNPERENMGAFYSAARDEVFFAHHSNIDRMWTVWKKLHGDKPEFVDQEWLESEFTFYDENVRLRRIKVRDVLNIDKLRYRYEDIDMPWLAARPKPSVHPKIARDILKKRNGEGVLRMPGETDRSQLSEYGSWTLDKTITVRVDRPRINRTGQEKEEEEEILLVYGIDTKRSRFVKFDVFINVVDETVLSPKSREFAGTFVNLHHVSRTKSHDDGGMDSKMKSHLKLGISELLEDLEADEDDSIWVTLVPRGGTGVNTTVDGVRIDYMK >Ma08_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27632902:27634937:-1 gene:Ma08_g17950 transcript:Ma08_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELIYRGHDAAHTPTSGGAGYTPKPEKRLLWFSRPLRYLIREQRLAFLLVGMALATLFFALAPSSSPSSYSSAAAAELALRSAMELARFGEHHRHRAAFEAATSRGFVGGKVPLGIKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGWVATWNLQVDPFHKECLMEWNGYLSCTWQALLNKLPTIDNMK >Ma10_p30010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36428990:36429903:1 gene:Ma10_g30010 transcript:Ma10_t30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNSSSKVLQAADGHIGVANNPHGTNFPPKLGSNGKRQYRGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGNSARLNFPTSPCIQLPDTTMSPRSIQRMAAAAAAAAANAALPFPSPDATPPSSPLDSFLPPSSDTSDDETSRTTSHEEEGMLSGHFLMDPSINFEAFFQSPKCMDYMLNASAFFAPSQSEEWEEEGDITLWSFC >Ma06_p29250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30698494:30702133:1 gene:Ma06_g29250 transcript:Ma06_t29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNRHSVFVVFLLVFEMKNCLAPDGGLSRGSFPQGFVFGTASSSYQYEGAVEEDGRGKTVWDTFAHSFGKVIDFSNADVAVDQYHRFHDDIQLMADMGMDAYRFSIAWSRILPNGAGEVNQAGIDHYNEEINALLAKGIQPYVTLYHWDLPQALQDRYNGWIDRQIIEDFANYAEICFKAFGDRVKHWITFNEPHTFAIQGYDVGLHAPGRCSTLLHFLCRAGNSATEPYTVAHHVLLSHATVSDIYRRKYKKTQQGSIGMAFDVMWFEPMTDSPEDIDATQRAMDFQLGWLMDPLFVGEYPRSMRTRVGERLPRFSAAEAALVKGSLDFVGVNHYTTYYAKHNSTNILGFLLNDTLADSGTITLPFKDGKAIGDKASSIWLYIVPQGIRSLMNYIKDKYYNPSVIITENGMDDFNNPFISIEHALKDDKRIKYHGDYLSNLAASIREDGCNVKGYFVWSLLDNWEWAAGYTSRFGLYFVDYKDNLKRYPKNSVNWFKNLLRSA >Ma03_p27510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30876452:30882456:1 gene:Ma03_g27510 transcript:Ma03_t27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDLMVESPSKLHFVRVSFCLVFGGDASGCSRRAEVVLNELAMRPSDSRREAQDEACGGGGEGGREGSATPPALRAPGHLIARVFSQLDCVDLLNCALVCKQWYRDSAELREGWKNEYFEAWNLYGLCIKRETHPPSSNCSIRGAHSWTPRRRRRISSGWRSSCPTGGSATRWPRLTGWDVSYEITKVNLHKDGRHGKARRIRYKAAGILYVIID >Ma09_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8826866:8831055:1 gene:Ma09_g13090 transcript:Ma09_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLSSWPWQNLGAYKYLLYGPIIAKVISSRPWEEESKEGRWCLHLMILFALRGLMYQLWYSFGNMLFLVRRRRIFKDGVDFKQIDQEWHWDNFLILQCMIGALACLIFPSLQSLPRWDLMGLLTALLLHVVFSEPVFYCLHRVLHRPHLFQNYHSLHHSSQVPQSFTAGFATPLEHLILCVVMGVPLLGACLVGRGSAGLIYGYVLLFDFLRCMGHSNVEIFPHGLFQSLPLLRYLIYTPTYHSLHRMEKKSNFCLFMPLFDLLGGTLDDKSWELQKEISSGKNEQVPDFVFLAHVVDVMSSLHVPFVFRSFSALPFCTKPHMLLLWPGAFVTMLIMWASSKTFLLSFYTLRGRLHQLWVVPRWGFHYFLPFATDGINDQIEQAILRADRLGVKVLSLAALNKNESLNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPLNVPEVFLTGATSKLSRAIALYLCRKRVRVLMLTLSTERFQKIQKEAPVECQEYLVQVTKYQAGQNCKTWIVGKWISLREQRCAPPGTHFHQFVVPPIISFRRDCTYGKLAAMRLPQDVQGLGMCEYTLERGIVHACHAGGVVHLLEGWTHHEVGAIDVDRIDVVWRAALKHGLTPV >Ma06_p29150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30602953:30603593:-1 gene:Ma06_g29150 transcript:Ma06_t29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVKPEVYPLMAAMTFVTSLCLFQLTRNMFLNPDVRINKSHRTTPVLENADEAQRYSQHGLRRFLSRRPPEIMPTINTFFSGIKRDE >Ma03_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24930656:24931943:1 gene:Ma03_g19660 transcript:Ma03_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAVFCIALLCLAASDSAAQSPAAAPTTSAAAPSKSATPAPAKAPTKPTTPAPAAAPTKAATPSIAPVAAPTTTPPATAPTTPATVAAPAKAPSAATPTSTPPSPAPVTSPPAPPPTTLLPPAASPIPSTPVPAAAPPKVAETPAPTPSKKKKKKTKKHAAAPAPGPPAPSSESPASSSEAASPGPSVAADQTSGAATARGLIVWVMALLLGFAVVLA >Ma03_p25870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29807743:29814239:1 gene:Ma03_g25870 transcript:Ma03_t25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 50 [Source:Projected from Arabidopsis thaliana (AT3G06980) UniProtKB/Swiss-Prot;Acc:Q8GUG7] MLASGAPPPPLLQKDLLFSYSLQSPLLPPLLSPTRRLFALRRRCGCSFERAARGERDESPVVAAASGRHGYERIPMETAGAYRLVDRETGEKFIVWGGSDNNDDADTPIPSAEVLSWKPPTADGRQEGVDVTMPIDETGNKKVAPAGGSRRSFGRLKAQKVKSLIKKTSKNYMDDSKIGSVTFVGDSPSSDTNRTDSFGCGRAQGLKDSDLKDNSAVNEETEATNSLRLNSREDDYASFLDRSVPRNASFRGWGGAASNRAGTAVRSKQHKKMATNAGFFSRKSFKDVGCTDDMVGALRGLTFLRPSHIQAMAYGPIIEGKTCIVADQSGSGKTLAYLAPTIQCLRQEEILGLGKASSRSPRVIILVPTAELASQVLSNCRSIAKYGVPFRSMVATGGFRQKTQLDNLNEESDVLIATPGRYMYLLQEGFLQLTNLRCVVLDEVDILFRDDGFEHVLESFISSAPVSAQYLFVTATLPVDIYNKVVEIFPDSEVIMGPGMHRTSSGLEEVLVDCSGAEGEEKNPDTAFQNKKSALLQLLELPVPKTIVFCNKIETCRMVENVLNRFDRKGSQIQVLPFHAAIAQEIRLSNMREFLNSRSKDSKFLICTDRASRGIDFAGVDHVVLFDFPRDPSEYVRRVGRTARGAGGKGKAYVFVVGKQVSLARRIMERNKKGHPLHDLPCASLAP >Ma10_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14468349:14468792:-1 gene:Ma10_g03850 transcript:Ma10_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGETFPVLGNGSQGDYKVMQTFHKSFGQVQSRLDQNRKLINEINQNQASRIPGRLNRNVRLIGELNSNIRRVVDVDVELPLSFTGSMEASPEAEAASQGKPGYERVIIYRTQFLRRLIYLLRSTDQISYCIPRLGQKFTTRCVRQ >Ma04_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23989414:24013198:-1 gene:Ma04_g21440 transcript:Ma04_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G00570) UniProtKB/Swiss-Prot;Acc:Q8L7K9] MWNLARAAWNLRQARRLSMSIPGPCIVHKRGTDILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFKQQYERFMNSYRSLEHNTRGASDAVVSLAKWRILNRLHDRNETLYYRVLIDNIKEFAPIVYTPTVGLVCQNYGGLYRRPRGMYFSAKDKGEMMSMIYNWPSHQVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDIYVAAAGINPQKILPVMLDMGTNNQKLLEDNLYLGLRQPRLEGDEYLSIVDEFMEAAFARWPKAVIQFEDFQMKWAFETLQRYRQRFCMFNDDIQGTAGVALAGLLGAVRSQGRPLKDFVKQKIVVVGAGSAGIGVLNMAKKAISRMCGSSGNVEANQFWLLDKNGLITKYRKDIDPAAAPFARGYGPEEAEGLTEGASLLEVVKKVKPHVLLGLSGVGGIFNEEVLRAMQDSDASRPAIFAMSNPTMNAECTPKDAFKYSGDNIIFASGSPFDNVDLGNGKVGYVNQANNMYLFPGIGLGALLSGAHIISDGMLQAASECLASYITDEDIQQGVIFPSISSIRHITMQVGAAVVCTAVAEGLAEGHGKVDLKELSHMSKEETVEYVARNMWYPVYSPLVQEK >Ma03_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9647523:9649345:1 gene:Ma03_g12510 transcript:Ma03_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLPQHQLFVPSRPQRQRGSPRATRVRGRTLPALLTTGRAPEGNNPPPDPTVDFHRLLSSGTLEPEHIAFPSLLKTCCGLRAAEEGRQLHGQAVKRGLLLSDVFVQRSLIRMYARFLACDDALKVFERCSQPDIVSCNDLIVGLCRIGDLDAARKVFDGMADRNVVSWSVMVDGYARNGSLGIAQELFDAMPERNQFCWNSLISGYLRCGHVEVARRIFDRMRTQRGVVTWTAMISGYVQNSQYKEALDLFLEMQVAGVPPNKVTIVSVLPAVTELGALDQGRWIHAYLDKMGVEVDSVLASALVDMYSNCGCIGDAIYVFEKLEHKQLSAWNSIISGLAAHGRGRDALHLFYRMQDDFQMIPNDVTFTAILSACSHAGLVEEGRRLFHLLTERYRLKPNIRHYGCMVDALARAGCLKEAVEFVETMPVEPNSVIWKSLISACRIHKNVGLADRIWRKITESGLRDSGACVLMSNILKDVGRPDDAGKVRKQMNDLQVKKVAGCSWLELDGVIHEFLTGRESFHAQGMQIRSLLYEMQEMIKLEDYNIDNLN >Ma06_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9380284:9381793:-1 gene:Ma06_g13690 transcript:Ma06_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAETILFVCVCGGQIPEPREKFELCVEQGEPTLVPPEEETAKGLYFLSNLDQNIAVIVRTVYCFRSQEKGNEEAAQVIKAALAKVLVHYYPLAGRLAISDEGKLIVDCTGEGAVFVKAEADCEMEDVGDITKPNPDTLGKLVYTILGANNLLEMPPLVVQVRNSHPCSLFRSYNHRKLIACFLQVTTFRSGGFILGLAVNHCMFDGLGAMEFVNSWGETARGLPISVPPFVDRTVLESRNPPLVSFPHHEFAEIEDVSDTTALYGEEMAYRSFCFEPEKLGRVKRRALEDGALAKCTTFEALSGHVWRARTEALRLQPGQKTKLLFAVDGRSRFDPPLPQGYFGNGTMLTGCLSTAGELLGRPLSFAVGLVQDAVKTVTDEYMRSAMDYFEATRARPSLTATLLITTWSRLSFHTTDFGWGEPVQSGPVTLPEKEVILFLSHGKERKRKGINVLLGLPSSAMARLQDLMEI >Ma06_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22358070:22363512:-1 gene:Ma06_g24030 transcript:Ma06_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLRRDADEPLGIGGGGGRPSAGEEQVAEEAELQGRCLDLTSFQLHDLSEVDIPDDLVELDLTTNRLSRLDPRVGLLSQLRKLSLRQNLFDDDGVEPISRWNVIAGLHELVLRDNKLRKIPDVSIFKNLLVFDVSFNEISSLNGLSNVANTLKELYVSKNEVNKMEELEHLHSLQILELGSNRLRVMENLETLTNLQELWLGRNRIRMVTLCGLKCIRKISLQSNRLTSMMGFQECIALEELYLSHNGIQKMEGLSTLQNLRVLDISSNKLTAISDIEKLTRLEDLWLNDNQIPSLKGIDLAVSGSREKLTTIYLERNPCATSSEYSTTLRQIFPNLQQLDSDIFM >Ma03_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25323924:25332957:1 gene:Ma03_g20140 transcript:Ma03_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43950) UniProtKB/Swiss-Prot;Acc:O80565] MADSVPSIPSPNSATIIPLLPPPPPPPLAPPPPSCHPSDQSRAGGGRFSSFRCPAMRVTSEFDSDSSVFFHKVSCKLFDNLGKLKFSFQNDRGGQIANPQLGFLTKHFGVLYDLESRNALLKGSFDIANFLQVRATHDVKEQQGEVAITTSMSNPSYKLEISSSVPSAGLPKTTVHFPLGEVSVERKDQETEKALSINGILKGELLNGVCTALYRDEDLNLRYCYKDDEMSFIPSISLPSNALSLAFKRRFSPSDKLSYWYHFDSSQWSMVYKHTVGKDLKFKAGYDSGVGLGWASLWVGDEDGKTKTAPLKTKVQFMLHVPQDDIGNSLLMFRVKKRWDF >Ma05_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2804586:2808873:1 gene:Ma05_g03770 transcript:Ma05_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSNRCPRTAAATTVDHGGRPRNPPSALRRGAATPLRRPKFRKPVKVVPRSFSEPVLRTVPFCAEEIKQSLGGDVGIGRSAFLLPRFRTCFEAPSPLPCLDSRLFFDEGAKVVVSVTVEGSPGPVRAMVRMGASVEEAIAAVVDKYSREGRTPFLGREAAASFQLHHSHFSLQSLSKSDKISEVGGRNFYLRTSSRSSSFHFAGEASDLTSQSSSIELANGSSSVVVPSHSFVSFVMKKMQKIGRRTRKLWNLVVGIACL >Ma04_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24541651:24541884:1 gene:Ma04_g22170 transcript:Ma04_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMLNLLCKISRTPPRVNLSALSLFLSWLLALCCCDVDRSSGCKEGGVRVRVCVGVGVGSERERERERRHMLSHL >Ma02_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22926520:22927247:1 gene:Ma02_g15080 transcript:Ma02_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSANYASALVCAMALAMACTTLAQNSPQDFVDAHNSARADVGVGPVSWDDNVAAYAQNYANERIGDCQLVHSGGPYGENIFWGSGADFTAADAVNSWVDEKQYYDYDSNSCADGQVCGHYTQVVWRDSTAIGCARVQCNSGAIFIICNYNPAGNIVGKRPY >Ma06_p38690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37352909:37353179:-1 gene:Ma06_g38690 transcript:Ma06_t38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S15, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG01120) UniProtKB/Swiss-Prot;Acc:P56805] LVKKSFISLLPQEEKDENQDSVEFQVLSFTNKIRRLTSHLELHKRDFLSQRGLQIFLRKHQHLFYLSKKNRARYKKLIDQLKIWASKNR >Ma06_p34910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34993902:34996848:1 gene:Ma06_g34910 transcript:Ma06_t34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSVGRQSFRRKKMTKQLTGKRDDTPLHSAARSGNLAVVNGLLSGADDEDLKELLSRQNQAGETALFVAAEYGYVDVVQEMIKYYDVAAAGIKAKNGYDALHIAAKQGDVDVVKELLNALPELSLTVDLSNTTALHTAAAQGHIEVVNLLLEADKSLALIAKSNGKTALHSAARNGHLEVVKSLLRKESGIAARTDKKGQTALHMAAKGTSLDLVQELLENEPSLLNLVDTKGNTALHIAARKSRAQIVRRLLEFKELETKAINKSGETALDTAEKMGNSDVTGMLLEHGVQSARAIRPSPNPARELKQTVSDIKHEVHSQLEHTRQTRRRVQGIAKRLNKLHEDGLNNAINSNTVVAVLIATVAFAAIFTVPGEYVESDNLAPGLTLGEANVAHQTPFMIFFVFDSVALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWIACVLISIAFLALCFIVVGRSQRWLAIGVTIMGTVILATTLATMLYWVIAHRIEAKKLRNIRRSSLSRSRSWSASGVSDSELFNSEYKMYAI >Ma03_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22500965:22501781:-1 gene:Ma03_g17280 transcript:Ma03_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSTRLTGFCLSSVATRVKLPSPPPIKSKPLYREKLMLGGAKEATEGGRRIMVVVDRSPEAKAALQWALSHSVRSDDTVVLVQTVRPSCKHGERLRRERDPKGLELLHAMKSICRANKPEVQVEMAVVEGEERGPAILEEARKQGASLLVMGQKHSSMAWRLITAWAGNKAGGGDTVDYCVRNAACMAAAVRRKSSRGGGYLITTKRHKDFWLLA >Ma05_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35223294:35226011:1 gene:Ma05_g23200 transcript:Ma05_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSPSPPHTSSFSINFYIHSLNLNSIGASCKSPKNFSRFPIEGILEFLSLTIYKDS >Ma07_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2372203:2377598:1 gene:Ma07_g03030 transcript:Ma07_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELLASAPWRGEEQPREKFADAKLKATNEPGGTPTMHVPRKKRSAAASKTDEDLEAEIDPELRYSFQRNFRFLQQVFSIDTLVKPLPPAMAYNVSRNLSFFTRIFTQFWDPEGIANAQKSLGLGQEDKARRVD >Ma07_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3003257:3007482:-1 gene:Ma07_g04000 transcript:Ma07_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAAACFLPLLFTALFLLSSCRFGSGIPTRYDGFYYSGGGGDWKDVIVVDAFLDPLCPDSRDAWPPLKQVVRLYSPRLAVIVHPFPLPYHNNAFLACRALHIANKLNASSTFPLLELFFKYQERYYNGPTKSMSRSAIIDDMAKLANGAVGNFSEFLLGFEDWKTDMAARTSFKYGCTRGVAGAPFFFVNGFLLPDAGSALDLETWISIIGPLVKNQREQWLCRYGPSI >Ma07_p04000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3002688:3007482:-1 gene:Ma07_g04000 transcript:Ma07_t04000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAAACFLPLLFTALFLLSSCRFGSGIPTRYDGFYYSGGGGDWKDVIVVDAFLDPLCPDSRDAWPPLKQVVRLYSPRLAVIVHPFPLPYHNNAFLACRALHIANKLNASSTFPLLELFFKYQERYYNGPTKSMSRSAIIDDMAKLANGAVGNFSEFLLGFEDWKTDMAARTSFKYGCTRGVAGAPFFFVNGFLLPDAGSALDLETWISIIGPLVKNQREQIIVQPKELRRIELETDEP >Ma05_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36936052:36943511:-1 gene:Ma05_g24780 transcript:Ma05_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPAKPSMRGGSWVLDASHCQGCASQFTFINRKHHCRRCGGLFCNTCTQQRMVLRGQGDSAVRICDPCKKIEETARFQSRYGHRKQTAKVNTKQVLKNEEEVLGQILGTDGKHLLLSEQESDSDVISDLQRLSSSASCSNLREESASSGKEEDIVRGMSVDTCNKANIDIMLGDPEELRHQAVEEKRKYKTLKAEGKSEEALQAFKRGKELERQAGALEIAIRKNQRMALKASNMRTVTANPKSDGREESDSKQKLPSQRDKEAKNDLAAELRELGWSDVDLHNADKKPEKLSLEGELSNLLAEVTQRSSQGMKKGAIDKSEVLALKKKALSLKREGKLAEAKEELKRAKILEKKIEEQEILGEAEGSDDELYALINSMDEDKQDELVLDHAPEANIKFDNLLVFSDDLPADGNFEVTDNDMNDPELAAALKSFGWSEEDEEQVASQDEQSVPFDREALQSQVLSLKKEALSQKRAGNVSKALEILKKAKLLEKDLETMKSSPEISESEFKQKSLSRQVNVSETTSSHFESPPKSKLMIQKELLALKKRALTLRREGRIDEAEEELKKGKVLEQQLEEMENASRRPEPKLVKNNLEFAKTYEGGDARSLDLGEEGFETEVTEHDMCDPAMLSLLKNLGWNEDDNAENVSMTNITSKRMNEPSLVPPKVKKNKADIQKELLAIKRKALALRRQGKSEEAEEELEKAKALENQMAEMEVSSSANFMEMDSIDYGTSIPQKFYGKEQAAGDVRNTSDSLLSFAVNKIPKDEAVLVQGVSDVGLNAKSDKNKAAEASVMVPKILQTEKQMLQKSGLQTEEISVEDPILHQSNQSLNLVELMSGSDVKALHSSIRESVKGEDTDANEKSCSGSSKLSCTIDFQISQRNETNATGTNISAAQKQNLTHGVDALQDEILALKRRAVALKREGKLAEAREELRQAKLLEKSLEDGQQANVVKEGASSSTSDNTSSMQEKRTSPSAKPMSGRDRFRIQQESLSHKRNALKLRREGKIDESEAELELAKALEKQLEEFDQGSSTMMSGSKSEAMEDVVVEDLLDPQLMSALKAIGLEGPAITSQPQPHNKTESQPNFDKRENHGIEKAALEEQIKAEKLRALDFKRAGKQAEALEALRSAKRLEKKLASLT >Ma09_p28230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39061125:39065801:1 gene:Ma09_g28230 transcript:Ma09_t28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLSPNLKAQPKRYHNTRKRKATSPAAAIATALRSMNLRSKLSALLKNACSFVGGAVASDSSAAAAAPSPHPVKPFSTATAMADAPTKTLRTRLCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAAGGQLTTTTDVENFPGFPEGIMGYELMDRCRAQSLRFGTEILSETVTTVDLAVRPFRVISSSTSVEADAVVVATGAVARRLHFTGADVFWNRGISACAVCDGAAPIFRNRPIAVVGGGDSAMEEANFLTKYGSRVYIVHRRDTFRASKIMQARALENPKIEVLWNSEVVEAFGEADGPLAGVKVRNVVTGEVSDLKVSGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGTTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKTD >Ma03_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6426219:6428329:-1 gene:Ma03_g08720 transcript:Ma03_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDVVVIVGAGQSGLATAACLMALSVPCLILERDDCIASLWRKRCYDRVTLHLKKQYSELPHAPHRPETPTYMPKRDFIRYLDDYAAGFRLRIDLRREVVSAEYDEAEGRWRVKARRGEDGGVQEYAARYLVVASGENDEIVVPEIPGLAEYGGTVVHSSVYRSGSEYEGKAVLVVGCGNSGMEVALDLAEHGARTSIVVRSRLHIVSKEIWSVAMLFMRFLPCRLLDSLILLLCRLKFGDLSKHGLHKPRKGPMYLKKYSRIYPIVDVGTVEKIKSGEIQVLPSIQSIKDNYVTFSDGKFQHFDAIVLATGYRSAVKKWLKGDDYLLGEDGMAKQMFPGHWKGKNRLYCAGLARRGIYGSAEDAQSIANDIACDYQCQKISS >Ma06_p36980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36191687:36192759:1 gene:Ma06_g36980 transcript:Ma06_t36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSSRRREAKEDAKNKVPHKFQAISGTAANPSVDESLEDHISSGSFLSNNRKKYWVDEKTGANCFALVARDLSITWGDDPRYWQWLPMKEAGEVEIEVASLQQVCWLEIHGKLEMSHLTPAVTYDIFFEVMISKETASGWGTPVNLRVKFPDGRVEEHKESLLEKPRGRWIPLTVCKLKTRPEAAGEMEISLLECEGGQWKQGLIVKGVIVRPTN >Ma06_p35040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35068789:35069635:1 gene:Ma06_g35040 transcript:Ma06_t35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAAEKPAEEKEKKSVAEKAPAEKKPKAGKRLPSKDGAASAGDKKKKKMKKGTETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Ma07_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9406400:9411697:-1 gene:Ma07_g12520 transcript:Ma07_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGAAAICRPSPSPALRYHRVYFAHRVKFASYPTSPTSFLLARHSLPLYGATVAGAGASPWRTGASLSGEDFSRSPSRDVRKHLILDQILLVTEVLCIAPSVIFSIGCLVGSVLPGASKQFQIYLSNKVFVYQYFLLVGAVAVGSLIRWRQICMLNDIGTGADLIRRIEKMEEELRSSATIIRVLSKQLEKLGIRFRVTRKALKEPIAETAALAQKNSEATRALAMQEDILEKELGEIQKVLLAMQEQQQKQLELILAIGKAGRLLDSKKDFVLEQDRAGTNSSVPERKEAKELELESEKHVGDTQMRA >Ma04_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17513039:17515662:1 gene:Ma04_g17250 transcript:Ma04_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIINPSLFNASLGTSPQQVCFDTRAINSRGVFLGDDPLRFSVPLFLLDLSLMFFTASTTHCILGRLSQSRFVSDLLAGILLGPSVIGQNQAFQRTVFPERGIFLVDSLSLISLIFFIFIIGVKTDLSLLQRPGKRAVAIGAAGSVLPFALSICTFLVLRRAFPDDLSEGPLIFFVASRLSLSSFPVIAYALDELRLLNSDLGRVVLSASLFSDVINWGLGSLTSAYTLITAVKTPGTAIGIVASLAGSLLFVLLVARPAMMWSVRRTPAGETLVEWHFLALLMTALLMSLATQAFGFNVTLGPLMLGLAIPGGMPVGQTIMEKLEPLGGGLFLPMYMVLAGYRTRFEEVRSVKEWGVLEMVVVICYVGKLVGSVAMSRYFDMSANDAISVGLMLNIKGIVEISAFNTYAWGDGQIATAEHFSVLTVSMMVITGFTTPLIKLLYKPTMRYVARKRRTVEHARPRSLLRFMACVHKEEHVAPLLDLLEASYACRDSPIALTVLHLTELTGQSAAVLRPHKQSRRSTAPTASDRIINAFSYFEKQQAEPGSISVHPFVAIAPYSTLYNDVCSLALDRKVCFILLPFHKCCDGAQETVNHAFQTLNRNVLAFAPCSVAILVDRSLPAATCAHTNHLLHLVAVYFLGGPDDREALAFASRMANNRSITVTVIRLLPHVANDDKERKHDDAAVERLRESHARNQRVVYMEKVVEDGEGTAAVIREMSDKFDLLIVGRRKGVDSAPTRGLSEWSECPELGIIGDMLAAAEFTEKVSILVVQQQTRFGGKLGGGGDGMAKATAKATARGNVVDPKKPEGVRSGVAGHR >Ma08_p27290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39583331:39587747:-1 gene:Ma08_g27290 transcript:Ma08_t27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRHSRHRLLFFFLFLADLVVAVLAVVSARAPEDCKRTCGSYTAPYPFGFSVGCPIRLECFNPSKSSVRIGEFTVRNITDDSLLVDVPPACNRSIDAVKSLFGHNYALTERNGLFLSNCTPVANKSLSERCAVNTILFDGSCGPRYDNATCFYNATREGFFDEDSVAASGCSFFFTSIGYDDVGGASVPSLNLRTAELAWWLNGTCRCSANASCTAVMAPVTHMPGFRCRCSEGFQGDGFIGGQGCRKVSKFNQRCNPTRYMTGDCGGSTSRYGVLVGGIIAGASAMAALVLVCCFIKRHSSSTRKRKSMRRLLCEASCTVPLYSYKDIEKATNGFSEDQILGTGAYGTVYAGKFSNHRLVAVKKIKNRDHDSIEQVINEIRLLSSVSHPNLVRLLGCCVEQGEQILVYEYMPHGTLAQHLQRERGPALPWTVRLAIATETAKAIAYLHSAVHPPIYHRDIKSSNILLDFNYNSKVADFGLSRMGMTETSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITALKAVDFSRAQSEVNLAALAIDKIGGGRVEEIIDPYLEPHRDAWTLASIRKVAELAFRCLAFHRDMRPSMTEVADELEQIKLSGWAPTDDGMFLSTASSFCSSPSSCNEKPRAGSKSKRLVLVNSMREEAEVESPVSVQDPWFSEQSSPSANSLLGNVIH >Ma04_p25740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27410233:27416239:1 gene:Ma04_g25740 transcript:Ma04_t25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKREAGKSGGSFTTPAKRGRPFGSTAAAAATSAAAAAAGDASSPASLLGPSLQITTSLADQNNRRIVLALQSGLKSELTWALNTLTLLSFKERDDLRRDSTALAKIPGLLDALLQIVDDWRDIALPRDYTKPARVRTLGANLAVTGFGNELTTSLDTTSYPGRKKSSNMEVSSGKRHRSIDWWFEDDGLFNLDEEAHAEKQQCAVAASNVIRNFSFMPDNETIMAQHRHCMETMFQCIEDQNTEDEELITNALETIANLAPLLDLRIFSSSKPSYIGMTEKRAVQAIMGMLGSSVKAWHCAAAELLGRLIINPDNEPFLLPSTPEIYKRLVDLLSLPAVDAQAAAVGALYNLAEVHTDSRLKLASERWAVDRLFKVIKTPHPVPDVCRKAAMILESLVSDPQNRHLLMAHESSFAEMLMSDARYSDTFSRLLYELTSRPNKVTAARGVWGM >Ma03_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19325352:19338495:1 gene:Ma03_g16670 transcript:Ma03_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPMSPAARLFHAPHFNCHIIAIMGSGKEIDIDVLKAGIRATLARHPRFCSIQVLDETEGKKARWVRTDVEVEKHLVIPDLHPNGGDTSAADRLLEDYVASLGRSTMDASRPMWEFHILNFPTSEAAAVAVFRVHHSLGDGASLMSLLLACFRKTSDPDALPSLPGPHRPPRSPPRALRPLALLRRLWVTLVLVWNTLVDVAYFVATSIFLKDTPTPFKGAESTEFHPKRFVHRTISLHDVKAIKNAMHCTINDVLVGVTSASLSCYLSRKYGKISTGQQLPENIRMRSTLLVNMRPTPTIHALAGAMEEGNTSGDKWGNLLGYLLLPFPVALYKDPLDYVRKGKSMADRKKNSMEALFTYRSGAVVFKYCGFKAAAALSHRVLSNTTLSFSNIVGPIEEIEFYGHPMVYLAPTVYGHPHALTVTLMSYMNTMKVVLAVDELAIPDPHQLLDDFADSLELIKEALPRRT >Ma03_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19221119:19239797:1 gene:Ma03_g16630 transcript:Ma03_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSHKNNNSEAQESSVAGISRSGEKTISSDDADSDINREMNVAVLTPEETNIVIENDVRQSHSSIRLEDLISMIRNAEKNILLLNQARIHALKELDKILSEKEALQAEINNLEMKLAETDARIKVAAQEKINVELLERQLEKLKNEMSSKNSVKEGKHGILDRESPLVLELNDLRKENEFLKDDIQTLKLKLADVSETEEHVSLLGREQSALKASFRELQSQFANAQDDVSKLDSLQCECKGLSIKVENLQALFDNARQKLDHPSLDLQQYHELQKKVDKLEASITETNMSKFPSEKFHHFDEILQQKIDILEKRLQESDLEIHSQIEMFQESVREFHHTLTKLKEESEKRSQKSSLENMPWEFWSRLLLIIEGWLLEKKISPNDAKLLREMIWKKDAQIHDAFLASRSKNEHEKVATFLKLTYSRKSPGLHIIHIAAEMAPVAKVGGLGDVVSGLGKALQRKGHLVEIILPKYDCMEHDLITDLKALDVVVESYFDGRTFKNKIWIGTIEGLPVYFIEPHHPAKFFWRGKYYGEHDDFKRFSFFSRAALELLYQAGKKPDIIHCHDWQTAFVAPLYWDIYAAKGFNSARICFTCHNFEYQGTAPASELASCGLNVHHLNRPDRMQDNSAHDKVNPVKGAIVFSNIVTTVSPTYAQEVCTIEGGRGLHETLKSSSKKFVGVLNGIDTDAWNPSTDNYIRVQYSADDLQGKAENKDALRKLLKLSSSKVTQPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVAHIQQEFEGIANHFQSHSHVRLLLKYDDALSHLIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDIDDNTVPVQYRNGFTFITPNEQGLSNAMERAFQYCIRSPESWQQLVQRDMRLDFSWNSSATQYEELYERSVARARATTRV >Ma03_p16630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19220876:19239797:1 gene:Ma03_g16630 transcript:Ma03_t16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEACSLVLGRGLSCTKPRARPPIARLRPSLRVSGKMRNRNLSSQQKRQQAKRVPSRQFPLNMDTQSHKNNNSEAQESSVAGISRSGEKTISSDDADSDINREMNVAVLTPEETNIVIENDVRQSHSSIRLEDLISMIRNAEKNILLLNQARIHALKELDKILSEKEALQAEINNLEMKLAETDARIKVAAQEKINVELLERQLEKLKNEMSSKNSVKEGKHGILDRESPLVLELNDLRKENEFLKDDIQTLKLKLADVSETEEHVSLLGREQSALKASFRELQSQFANAQDDVSKLDSLQCECKGLSIKVENLQALFDNARQKLDHPSLDLQQYHELQKKVDKLEASITETNMSKFPSEKFHHFDEILQQKIDILEKRLQESDLEIHSQIEMFQESVREFHHTLTKLKEESEKRSQKSSLENMPWEFWSRLLLIIEGWLLEKKISPNDAKLLREMIWKKDAQIHDAFLASRSKNEHEKVATFLKLTYSRKSPGLHIIHIAAEMAPVAKVGGLGDVVSGLGKALQRKGHLVEIILPKYDCMEHDLITDLKALDVVVESYFDGRTFKNKIWIGTIEGLPVYFIEPHHPAKFFWRGKYYGEHDDFKRFSFFSRAALELLYQAGKKPDIIHCHDWQTAFVAPLYWDIYAAKGFNSARICFTCHNFEYQGTAPASELASCGLNVHHLNRPDRMQDNSAHDKVNPVKGAIVFSNIVTTVSPTYAQEVCTIEGGRGLHETLKSSSKKFVGVLNGIDTDAWNPSTDNYIRVQYSADDLQGKAENKDALRKLLKLSSSKVTQPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVAHIQQEFEGIANHFQSHSHVRLLLKYDDALSHLIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDIDDNTVPVQYRNGFTFITPNEQGLSNAMERAFQYCIRSPESWQQLVQRDMRLDFSWNSSATQYEELYERSVARARATTRV >Ma06_p28590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30225821:30230525:1 gene:Ma06_g28590 transcript:Ma06_t28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQLRLDLDELRHLESIAKRPRVLSLLSSEIRDLDAKLSRVTAVTAAARQAAVAAEKVPVNVDAVNRSYVTLGSFSWDQDNDKIKIYISIEDAEQEKVDTVFKPMSVDIKFHDIHGKNYRFAIPKLNKEILPEKCKLVVKPNKVIVTLVKASKGNWLDLHLKEDKLKPNLDKEKDPMAGIMDLMKNMYEEGDDEMKRTIAKAWSDARSGKTADPLKSYP >Ma07_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5821292:5828818:1 gene:Ma07_g07840 transcript:Ma07_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKGGSDGLGRALIKQHNQMVRQSKEKGRALRLEQRRVLESVTEVSDIDSILEKAAEADRVYSFDNPSPHVLINLDRDASSDTDGMPVEERRKLQKQEEALHASSLRVPRRPPWNAQMSVEELDSNENQAFLEWRRNLARLEENDKLVLTPFEKNLDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEEYAREIDEHKKTLLLVNKADLLPIAIRRKWAEYFNHHGILFVFWSAKAATAALEGKQLIGQFEEEKVSKELSQSDLDTKIYSRDELLARLQSEAEAIAAYGRFTDKESHSGKYSETSSINLTAKQVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISDELVLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAVQVVANRVPRNVLESVYNITLPKPKAYEAQSRPPLSSELLRTYCSSRGYVSSSGLPDETRAARQILKDYVDGKLPHFELPPGAETEDVEVNATDDMVDPNFLTDNEPDACDSDEATISDITGVDAREHALSNALNDLESFDLASELSSNKAAAAKKKSSDSTHKHHKKPQRKKDRSWRVENDDGDGMPVVRVFQKPAVNLANIGASNR >Ma07_p07840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5821292:5828818:1 gene:Ma07_g07840 transcript:Ma07_t07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKGGSDGLGRALIKQHNQMVRQSKEKGRALRLEQRRVLESVTEVSDIDSILEKAAEADRVYSFDNPSPHVLINLDASSDTDGMPVEERRKLQKQEEALHASSLRVPRRPPWNAQMSVEELDSNENQAFLEWRRNLARLEENDKLVLTPFEKNLDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEEYAREIDEHKKTLLLVNKADLLPIAIRRKWAEYFNHHGILFVFWSAKAATAALEGKQLIGQFEEEKVSKELSQSDLDTKIYSRDELLARLQSEAEAIAAYGRFTDKESHSGKYSETSSINLTAKQVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISDELVLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAVQVVANRVPRNVLESVYNITLPKPKAYEAQSRPPLSSELLRTYCSSRGYVSSSGLPDETRAARQILKDYVDGKLPHFELPPGAETEDVEVNATDDMVDPNFLTDNEPDACDSDEATISDITGVDAREHALSNALNDLESFDLASELSSNKAAAAKKKSSDSTHKHHKKPQRKKDRSWRVENDDGDGMPVVRVFQKPAVNLANIGASNR >Ma06_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18532949:18533943:-1 gene:Ma06_g22530 transcript:Ma06_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNSKRSSSSSVKLKHRFAQLLLGSSCSTTIAAAILGQTSAGPQHPEAEEPGPGRRKHDVGVVHVPIHCSARQVSEQFLPLMTKEEEVEEEGNEKKKERRRAVIGSVNYYDAKQRSKKACGSRTKGRRVEAKKLLSNSYGFTSSSSLDAENELRLFSSDEAPEEESGTPFSSKSFSSDSSEFYHHSRKKNKRRSKCMKSTRRPPRRHAKHVRGRSCGGIDQLRPLVSISSKEKKGTPSAGFAVVTRSCDPYNDFRSSMVEMIVERGMSRARDLERLLNSYLSLNSPRHHQVILEAFADIWEAIFGK >Ma02_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16441019:16444832:-1 gene:Ma02_g05100 transcript:Ma02_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPVFRFVLLFLLAVELELSWGNPEVRALMEVKAALDPEDRILSSWTSEGDPCRGNFEGVACNEHGKVANISLQGKGLTGSISPAVAGLKCLSGLYLHYNAISGKIPTEIANLTELSDLYLNVNNLSGSIPVELGTMTSLQVLQLCYNQLTGSIPTQLGRLKKLSVLALQSNQLSGAIPASLGDLTQLTRLDLSFNRLFGSIPVKLARIPQLTVLDVRNNSLSGNVPSGLRRLSGGFHYGNNKGLCGVGFASLGVCDSDDPLMPNKPEPFGPDSRGFRPQQIPESANLNSNCNASRCLNSPKAFSGTVIVGIVVVAVGVMVCGLFAFVWYRRRKQKIGSALEVSNSRCSTDQPKEMFRKSASPLISLEYSNGWDPLADGRSGVGFSQEVSRSFRFNLEEVECATQYFSEVNLLGKSNFAATYKGILRDGSIVAIKSINKTSCKTEEAEFLKGLKLLTLLHHENLIALRGFCCSRGRGECFLVYDFVTNGSLLQYLDVKDNAQRVLDWPTRVSIIKGIAKGIHYLHSSRPNKPSIVHQNISAEKILIDQHFAPRLSGSGLHKLLADDVVFSTLKASAAMGYLAPEYTTVGRFTEKSDVYSFGVVIFQILTGKTNVTQLRPGPDSIKLEDTLDENLHGNFSKPEAAKLVGIAMICMSEMVNQRPTMEAVLQQLSISC >Ma07_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32131097:32133865:1 gene:Ma07_g24970 transcript:Ma07_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNEMKLKSKADHEEVDYFSWSPQKDRSIGRLVRFVSFLVVFTGGIVLGLSVSAHYSRYFNSQTELFFPRTMYEANCDKEGSSFKSFAQPTNLIHSMTDDELNWRASMVPKMDEYPFQRVPKVAFLFMTRGPLPFVPLWDRFFKGHKGLYSIYVHTLPDYKLNVSGTSAFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVYNFPTVYEYLINSAHSFVESYDENTRQGRGRYSWRMAPKIMLYQWRKGSEWFELNRELATNIVAEHKYYPLFRKYCKPSCYPDEHYIPTYLNMFHGALNANRSLTWVDWSRGGSHPARYGAPNITVEFIQSIRNNGTFCLYNSVPTSICFLFARKFAPSALAPLLNLTSTVMGF >Ma08_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35323657:35324299:1 gene:Ma08_g21450 transcript:Ma08_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAKERREEAGKEGQLQEAGKEGQQQVQLPTESSPYVKYEDLEEYKMQGYGAQGHQPVVDKCGGGGTDAPTVSGSGLSESQAHASTGIGRLGVP >Ma11_p09060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7201321:7236130:-1 gene:Ma11_g09060 transcript:Ma11_t09060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSPQSSENKYVTEECLQEWKGSNAGFKVADPVPMARFLYELCWAMVRGDLPFQKCRAALDSVVFVEEPQREDMGSILADIVAHMGQDHTMTAECRVRLIKMTKWLVESLLVPSRLLQERCEEEFLWESELSKIKAQELKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQGGLEVMSKNASTVTISTIKSLIGHFDLDPNRVFDIVLECFELHPDNHTFYGLIPIFPKSHAAQILGFKFQYYQRMEVNVPAPPGLFRLTALLVKAEFIDLDSIYSHLLPKDDEAFEHYDAFVARRFDEVNKIGKINLAATGKDLMDDEKQDVAIDLFAALDLESEAIKDRAPEIENNQKLGLLIGFLSVDDWYHAQILLDQLSHLNPVEHVEICDGLFRLIEKTMSTAFDLIFRTSFRSIGGLTYPGPDAMDATVVSSKQTVYVDLPREFFQMITAVGPYLYRDTVLLQKVCIVLRAYYLSAQEFAVSCVNSPDPNDVKSRDPRLRAKEARLRVEEALGACLLPSLQLVPANPAVGQEIWDVLSMLPYEVRYRLYGEWEKEDEQNPMLQAARQIAKLDTRRILKRLAKENLKQLSRMVAKLAHSNPMTVLRTIVQQIEAYKDMITPVVDAFKYLTQLEYDMLEYVVIERLVQGGRSKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGMGTELVLLQELIQQMANMQYTENMTEEQLDAMSGSETLRYQATLFGMTRNNKVLSKSTNRLRDALLPKEEPKLAIPLLILIAQHRSMVVTNADAPYIKMVSEQFDRCHGTLLQYVEFLCNAVAPSSTYAQLIPPLDELVHKYHLDPEVAFLVYRPVMRLFKRMSGSEICWPLDIIEHSNIQSAENEVEPSDSSNDVGLDLGSPRKPIMWSDLLVTVQSILPMKAWNSLSPDLYATFWGLTLYDLHVPKIRYESEIAKQHAAIKALEEISDNSSMAITKRKKDKEKIQEVLDRLTSEFQKHEQHVASVHHRLAREKDKWLSSCPDTLKINMEFLQRCVFPRCVFSMPDAVYCANFVHTLHSLGTPYFNTVNHIDVLICKTLQPMICCCTEFEAGRLGRFLYETLKMAYHWKSDESIYECECGNMPGFAVYYRFPNSQRVTYGQFIRVHWKWNTRITRLLVQCLESSEYMEIRNALIVLTKISSVFPVTRKSGINLEKRVAKIKGDEREDLKVLATGVAAALAARKSAWVSEEEFGMGHVDLKPAAAAAKSLAGNQVVDPSSTAKDQLTHAKSTPDRADGGTVLKLDSTQQRIRTSSSANGQEAIVSASATSSKTSGIGKSTDEIMKPEDTSSKAYAKAAMESEMRSQQKRTVHNSILKPAKPEVIKEDNKTGKLISRTTGQQSSFSADKDASFHPTDSRQGGTAAASSAATNGISVSASGKTTSSSRMMLEMHETVEISEASIKQKRAVPGEEQERLNKRKKGDIEAKDLEGMEVRLSDKERSYDTRSVDKPHLEHEKSSTEEQSFNRSLDKSKDKINERYDKDHREKLDRSDKDLHEKSRDRSLERHGRERSVEKVQERGMDRSLDRAAEKARDDRSKDDRGKSRHMDVSIDKGHLDERFHGQSLPPPPPLPPSFVPQSVGGGRRDEETDRRVGNTRHIQRLSPKHDEKERRRSEENVLTSQDDPKRRREDDLRERKRDERDGSSVKVDDRDRDKATMKEDMDLTGGSKRRKLKRDHALSSETGGEYSQVVPPPPPVAIAMPQSFDRERADKKAAIVQQRAAHMDDAPRLHGKEAGGKINRRESDQIHEREWEEEKRQRPEAKRKHRK >Ma11_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7201321:7228543:-1 gene:Ma11_g09060 transcript:Ma11_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MDDEKQDVAIDLFAALDLESEAIKDRAPEIENNQKLGLLIGFLSVDDWYHAQILLDQLSHLNPVEHVEICDGLFRLIEKTMSTAFDLIFRTSFRSIGGLTYPGPDAMDATVVSSKQTVYVDLPREFFQMITAVGPYLYRDTVLLQKVCIVLRAYYLSAQEFAVSCVNSPDPNDVKSRDPRLRAKEARLRVEEALGACLLPSLQLVPANPAVGQEIWDVLSMLPYEVRYRLYGEWEKEDEQNPMLQAARQIAKLDTRRILKRLAKENLKQLSRMVAKLAHSNPMTVLRTIVQQIEAYKDMITPVVDAFKYLTQLEYDMLEYVVIERLVQGGRSKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGMGTELVLLQELIQQMANMQYTENMTEEQLDAMSGSETLRYQATLFGMTRNNKVLSKSTNRLRDALLPKEEPKLAIPLLILIAQHRSMVVTNADAPYIKMVSEQFDRCHGTLLQYVEFLCNAVAPSSTYAQLIPPLDELVHKYHLDPEVAFLVYRPVMRLFKRMSGSEICWPLDIIEHSNIQSAENEVEPSDSSNDVGLDLGSPRKPIMWSDLLVTVQSILPMKAWNSLSPDLYATFWGLTLYDLHVPKIRYESEIAKQHAAIKALEEISDNSSMAITKRKKDKEKIQEVLDRLTSEFQKHEQHVASVHHRLAREKDKWLSSCPDTLKINMEFLQRCVFPRCVFSMPDAVYCANFVHTLHSLGTPYFNTVNHIDVLICKTLQPMICCCTEFEAGRLGRFLYETLKMAYHWKSDESIYECECGNMPGFAVYYRFPNSQRVTYGQFIRVHWKWNTRITRLLVQCLESSEYMEIRNALIVLTKISSVFPVTRKSGINLEKRVAKIKGDEREDLKVLATGVAAALAARKSAWVSEEEFGMGHVDLKPAAAAAKSLAGNQVVDPSSTAKDQLTHAKSTPDRADGGTVLKLDSTQQRIRTSSSANGQEAIVSASATSSKTSGIGKSTDEIMKPEDTSSKAYAKAAMESEMRSQQKRTVHNSILKPAKPEVIKEDNKTGKLISRTTGQQSSFSADKDASFHPTDSRQGGTAAASSAATNGISVSASGKTTSSSRMMLEMHETVEISEASIKQKRAVPGEEQERLNKRKKGDIEAKDLEGMEVRLSDKERSYDTRSVDKPHLEHEKSSTEEQSFNRSLDKSKDKINERYDKDHREKLDRSDKDLHEKSRDRSLERHGRERSVEKVQERGMDRSLDRAAEKARDDRSKDDRGKSRHMDVSIDKGHLDERFHGQSLPPPPPLPPSFVPQSVGGGRRDEETDRRVGNTRHIQRLSPKHDEKERRRSEENVLTSQDDPKRRREDDLRERKRDERDGSSVKVDDRDRDKATMKEDMDLTGGSKRRKLKRDHALSSETGGEYSQVVPPPPPVAIAMPQSFDRERADKKAAIVQQRAAHMDDAPRLHGKEAGGKINRRESDQIHEREWEEEKRQRPEAKRKHRK >Ma09_p29840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40199015:40201515:1 gene:Ma09_g29840 transcript:Ma09_t29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTIFVAVFALLLTRSLCLEADPKPISNVTVMGTVFCDACANNNFSQHSYFLEGVKVRVQCVLRVNETSAGEMRVAVDRTTDRFGVYKLDIPPVDGFECREGRGVDSFCRASLAESPSSLCDVPGLSSSTEHVAVRGGEGKLCLYNLNALNYRPSKKDADLCGDDGELSPSSANSSLFFWPPFGFPWPFAPPPSFPWPFAPPPPSLPWPFVSPPPSFPWPFAPPPPSFPFPFPPAVPNPPAPLLPPLFPSPPLLPPLFPSPPPPSFPPFPPFAPFPPVFTPPSPPPPPPYLFPPFPPFTPIPPLFSPPPSPPSPPPSFPCPFPPFPPFTPTPPVVTPRPPPPPSFPFPPFPPFTPTPPVVTPPPPPPPPPSFSFPPFPPFTPTPPVVTPPPPPPPSFPFPFPPFPPSPPNPPVVTPPPPSTPFPFPPFPPFTPTPPMVMPPPPPPLPPPPPPPSFPFPFPPFPPTTPSPGAPSPPPSR >Ma10_p27840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34916514:34921571:1 gene:Ma10_g27840 transcript:Ma10_t27840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G30010) UniProtKB/Swiss-Prot;Acc:Q9C8R8] MPCPTPISLLFLLRHHRTSSLLLLLSPRSTSTLAHNRHPCPPSSSSDVDLLRKQEEQRQALQNPYDLMKEDPIQVCSDLWVRCFGRPADAPPLPNLTGFLKKFDLWVLAYQRACAHHTGSFPPRGAIHLPHLRSLLALQVSVLASPRRPHPWGASTHLLLRSPVDPPSTRPISRRKFQALLDAAPPPFQDRVLQELLLLLLEPAFEPRFSPKSHAFRPGRSPHTVLRTLRSHFAGYLWFLKADLSSVADGLGTDVILRCVERGVSDRKVLSLIKSALRTPVKAGSLPRDQEVDRLTKKRMKRKMLRKRRKKKVLNENEPKPDPYWLRTFFGFAPREATWVPNYGHCGILSPLLANICLSELDYWMEERIAKYFRASKLDSIWKESINDGSHNPAWPEFVPSSGNEKTRKMDYIRYGSHILIGIRGPREDAVELRKALIEFCEITYGLRLENSEIEIEHITRGIEFLDHVICRRVIHPTLRYTATGGNIISQKGVGTLLSITASMQQCIRKFRRLELVKGDKDPEPLPCTPMLYSGQAHTNSQMNKFLETMADWYKYADNRKKVVGFCGYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSHPLRESSRNDAPEFSDLLRMGLVDAIDGIQFSHMSLIPSCDYTPFPRNWVPDHELVLREYIKLQDPKFFCELHKSIKQQELSSPQDDISKVVWDYKVFGVWSKSQRTTKEQGKLEEGANACRVSCAG >Ma10_p27840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34916514:34921571:1 gene:Ma10_g27840 transcript:Ma10_t27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G30010) UniProtKB/Swiss-Prot;Acc:Q9C8R8] MPCPTPISLLFLLRHHRTSSLLLLLSPRSTSTLAHNRHPCPPSSSSDVDLLRKQEEQRQALQNPYDLMKEDPIQVCSDLWVRCFGRPADAPPLPNLTGFLKKFDLWVLAYQRACAHHTGSFPPRGAIHLPHLRSLLALQVSVLASPRRPHPWGASTHLLLRSPVDPPSTRPISRRKFQALLDAAPPPFQDRVLQELLLLLLEPAFEPRFSPKSHAFRPGRSPHTVLRTLRSHFAGYLWFLKADLSSVADGLGTDVILRCVERGVSDRKVLSLIKSALRTPVKAGSLPRDQEVDRLTKKRMKRKMLRKRRKKKVLNENEPKPDPYWLRTFFGFAPREATWVPNYGHCGILSPLLANICLSELDYWMEERIAKYFRASKLDSIWKESINDGSHNPAWPEFVPSSGNEKTRKMDYIRYGSHILIGIRGPREDAVELRKALIEFCEITYGLRLENSEIEIEHITRGIEFLDHVICRRVIHPTLRYTATGGNIISQKGVGTLLSITASMQQCIRKFRRLELVKGDKDPEPLPCTPMLYSGQAHTNSQMNKFLETMADWYKYADNRKKVVGFCGYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSHPLRESSRNDAPEFSDLLRMGLVDAIDGIQFSHMSLIPSCDYTPFPRNWVPDHELVLREYIKLQDPKFFCELHKSIKQQELSSPQDDISKVVWDYKVFGVWSKSQRTTKEQGKLEEGANACRVSCAG >Ma06_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12469365:12471271:1 gene:Ma06_g18350 transcript:Ma06_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTTSVPLLPPIGPDGLSLLLEEDDAPKPSLGGSWPWRGRLLASPKTLGSFNTASCMEQLLIHFANAIESNDATLAQQILWVLNNIAPPDGDCNQRLTSAFLRALVARASRTGSCKILTAVAARADAELALHLHRFSAIDLANFIDLTPWHRFGYAAANAAIAEAVEGLPLVHIVDLSTTHCMQMPTLIDLLANRPEGPPFIRLTVSGLTTTAAPPILNMTYDELGSRLVNFARSRNVAMEFVVIPTDPSDAFVSLIEQLRVQQLVSEGEALIVNCQMLLHYIPEETVGVIASTTNVSPPMLSLRTLFLKALRSLGPTLVTVVDEDADFTACDVVRRLRSAFNYLWIPYDAVDTFLPKGSEQRRWYEAGVGWKIENVIAEEGVQRVERLERKGRWAQRMRATGFRSVRFTEEAAGEVKSMLDEHAAGWGMKRDEEDLMLTWKGHNVVFATAWLPA >Ma07_p27930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34101783:34103655:1 gene:Ma07_g27930 transcript:Ma07_t27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAWSWLATLPEPSQWPSTPPSLALASADSKSICLAADRTAGSDAEALLTFSITFYGFHTSSPSLTLWLSDPVSPSTPHIPLLLQLLRESVSISPSSFSLSSMKLDQEAVSGALGEDEQARAFLSLALLLRLFWLCATEAPADAGFLFFRALDAPLEQALGCQLALRGVLLALGPDVEESFMRSVGYMLCKWCILRELQGSGSSRLLPPGCCPSYAAERHGLWVLRGFAPVPAMARVGVTGSTGGPKLEAKDSVLRYALAHQQLEAVVQLEYNVCMRDPRFIRVSVRVDNIRLHVVRLRFGRRKDGEDREEAGDDEDDVVGERHFPSRARVWVGPELGSSYATGPSLGRSSGNPEREVEAMRTVKGRFGGGKTAGVKAAARTATRARARSWRWEQEAEGGAGVFEGVLHDGATGTEVAAWRPVVGAGGGGGGGDPRGGMRRRYSGWGRAFSKAGGVVVAGDEVPEAVEWRVGREMEGRVVRWRIAGRVWVSYFANDVKTGYFETRSVEWREDVDLALIAGTNELPVIT >Ma11_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25876259:25880108:-1 gene:Ma11_g21900 transcript:Ma11_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLRRVCRPLPRTLFSRSSLRHPCSGGRRGNCALIVGAFLIGSRGRRSGFAPHLSGFPSVSYSTGLVSVEQENAMKALELFISTEKDESISVKEICTIYIEKLCRSGNLSDAAYLLRHLHSRDINVCLNTYNSLLTAIVESNNFSLFSEVFKSLLISNLPPDITSYNKVAEAFQKVADSDLVVNFIKDVCDITFGKDPTVINRIIFVAAKFGQIDKSLMIFKMLKNLDSKVDTVTFNTVLAILGKAGRINEMMAEFMVMKDLGHIPDMITYSTLINCLRRLGRLDLCKTIANEMLEHGFEMDLLTSTAIVDVYGRAGHVEDALGTFEEMKKSQHPSVYAYRSVISDLKRAGKFELALRLSAEMNSSTTKLAGPEDFKQKRKSRWKIKQVG >Ma08_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36220927:36226125:1 gene:Ma08_g22700 transcript:Ma08_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASADDARLGFGKMGYGCKHYKRRCKIRAPCCNEIFCCRHCHNESTKDRHEICRFDVQTVICVVCDAEQPVAQVCSNCGVNMGEYFCVVCRFYDDDVDKGHYHCEDCGICRVGGRENFFHCQKCGSCYSFGLLNKHSCVENSMRHHCSICYEYLFDSLKETTVLKCGHTMHSDCLSEMLNHDKYCCPICSKSVIDMSKIWRKMDEEIEETAMPEDYRTRKVWILCNDCNDTTEVFYHIIGQKCSHCQSYNTRMISPPTDPQ >Ma11_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15288342:15293474:1 gene:Ma11_g11930 transcript:Ma11_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEASQRPLRLRCSVQNYDWGRFGEESTVARLFRRNSGKEIELGRPYAEFWMGTHESGPSFVVAAEGSGTEAVTLKKWTGANPGALGNKVVEKWGNDLPFLFKILSVAKALSIQAHPDKELARMLHKMRPSVYKDPNHKPEMAIALTEFKALCGFVSIEELKDVLVAVPEITQLLGNDEASKILSQDLNGYVDAKSFLQLVFTKLMTASKEAVSELVSKLKARLDLENKIRTLTEKEQLVLLLEKQYQADVGVIAAFLFNYVKLSPGEALYIGPNEPHAYISGECIECMATSDNVVRAGLTPKYIDKQTLCSMLTYKQGFPVILRGSPINPYVSRFRPPFDEFEVDRCLLPSKESVEFSAIPGPSIFVVVAGEGRMEVSSVVEELKIMEGDVYFVPAQTEIRLSACADGPIKLYRAGVNSRIFA >Ma06_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3987986:3989900:1 gene:Ma06_g05360 transcript:Ma06_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNVSTNVPVDAVVASDILKDCTRIVAKIIGKPESYVMILINGGVPIAFAGSEEPAAYGEVISIGGLGPSVNAKLSSALAEILEAKLSVDSSRFYIKFYDVQRSFFGFNGSTF >Ma06_p38600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37315494:37316166:1 gene:Ma06_g38600 transcript:Ma06_t38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMRLKHKVDDMIQSRSRGPIDILTRQLAQGRYRYGGLRFGEIARLLMVLHIGSRSGCSTRAMLTGFMCGLIAIANLQTSSFECKGCKNKIDIVQVHIPFAFKLMLQELKTMTIAPRL >Ma07_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3371615:3379573:-1 gene:Ma07_g04580 transcript:Ma07_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELPGDSSSPEAAPRLSPRPRSPTRGEKEKHTHMRFLVSNVAAGCIIGKGGLTITEFQSQSGARIQLSRNHEVFPGTSDRIILISGTLSEVMKAMELILEKLPSQVEESDDVEGRSKIRLIVPNSSCGAIIGKGGSTIKSFVEDSHAGIKISPQDNNAGLNDRLVTLTGSFEEQMRAIFFILSKLIEDAHYPQTLNSPFPYSVLGVNSRGFPGVPVGYMVPSVSYGPVSYRPNGSGGKYRSNKGVASSPMVRHPSQLPGGHLEDHSNSVTIGIADEHIGAIVGRGGRNITEISQ >Ma10_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21874868:21878958:1 gene:Ma10_g07500 transcript:Ma10_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTGFLCESTPSENLFSHPDAQRCPFLRNINEPTNFSFSSSLGSPFPLRGAKGPIFEDGPSFDMAFKIFHGRNGVVPLSGKSYMCEDNVEPEPAMQFNPLAGKAASISLSAFGPGGPFSFDFFSKKWKREKSSKKDHSRQNGNGASHEASSNEWLKTGQCPIAKSYRAVSGVLPLVAKILQPPPGMKLKCPPAVVAARAALARTALVKTLRPQPLPAKMLAIALLGMAANVPLGVWREHTEKFSPQWFAAVHAAVPFIGMLRKSVVMPKTAMALTIAASILGQTIGSRAERLRLKAEAAAGSSSNSINQAAIAVKSSGHCSEGEGRVWEPLPLKVAGRGSSPTASVCF >Ma04_p29950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30725267:30726649:1 gene:Ma04_g29950 transcript:Ma04_t29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANPNSNPGVLETLGEEIVRIVAPVSACMLLVVLLVTALSSSSGGGLASIATIAYSDDSSDSFWDKLKGALLSSLSFVVLITLLTFLLVLLFYLRCTRFLKSYMAFSSLVVLAFLGGEVFLLLISRFYVPIDVVTFSLLLFNFAVVGVLAVFLAKVPIMVNQGYLVIIGVLVAYWFTLLPEWTTWALLIAMSLYDLAAVLLPGGPLRLLVELAISRDEELPALVYEARPVEHLPGAGTRLWRERRDLASNSSSNSNILEAGDPASQDTSLVIAEEGPSVSALTAPFLQQQLERHGEVELEGIGLSSSGAIKLGLGDFIFYSVLVGRAALYDFMTVYACYLAIMSGLGITLLLLAFYRKALPALPVSIALGIIFYLLTRASLEVFMVQCSTNLLMF >Ma05_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36628695:36629054:-1 gene:Ma05_g24370 transcript:Ma05_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFIRSSKMSVLFSLRGKKKKKEKDSRRENMKDSLLDEDEAAVPKGCMVVYVGAEMRRFVIPTSYLRLPLFRVLMESAADEFGFDQVGGLRIPCDEEDFTELLKTTKKTMKNSRKTFL >Ma02_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26669476:26671095:-1 gene:Ma02_g20830 transcript:Ma02_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPLIDVPSEDDRVSSSSSCDVSVHQSTSVIAGSEYGKSQEKMSKQMKQALQPVESSEQKQSKTNKHYLRNSLDWDRDFLTSEGMDSVIPKLT >Ma04_p33120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32919695:32924729:1 gene:Ma04_g33120 transcript:Ma04_t33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHKADKTEFKECFNLTWKQPYILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFESVDRSTVLQETIVSMAVAGAIIGAGFGGWLNDRFGRRPSIILADLLFFIGAIIMAAAPIPGIIILGRIFVGFGVGMASMTSPLYISEASPARIRGALVSTNGLLITGGQFFSYLINLAFTKAPGTWRWMLGVAAVPALVQFLLMLSLPESPRWLYRKERLLPGECLRSDSTVRDACHANHREWYTRGCPSNFGWLALVALGAYIVSYSPGMGTVPWIVNSEIYPLRYRGLCGGLAAVANWVSNLIVTQTFLSLTEALGTAPTFLLFCGISAVAFVFIFLLVPETKGLSFEEVEKMLESNDYKAWHGARTQESKIP >Ma03_p30850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33304122:33305117:1 gene:Ma03_g30850 transcript:Ma03_t30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSHASGGSKSTATAAELQQPEQGLKCPRCDSSNTKFCYYNNYSLAQPRHYCKACRRYWTKGGALRNVPIGGGCRKNKRSRSSTTSRLSLESIDPMLGVPDSGAGLKFLSSLPSFSPGFQVGVLPFSGLHSLAASDVFNGNQCISFGDMISSPGATMSSSSGMAATPMMEYRHPASAVGVYSDTGGCSSSVGKSHANNSIAPSIESLSSINQDLHWKLQQQRLAIFFGGQTHTDSSSSRSSMPAYPTPSSKDAGLEGNESTKVRVSSEHNTSPVWFIESSSYTIPLATTTTTNTTTIMTNNNNGSNNTSTWNGTPWPAWSDMPQFGTLP >Ma07_p11780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8760158:8762760:1 gene:Ma07_g11780 transcript:Ma07_t11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKLRLVPLLLLQLVALAAAQAPPSPVTEQILQKVAGSLQMYVDPLPQMPKIVGYSTRHGGAASVSLTIGMHQKTWKFHRDLPPTPVFVYGTSAAAATFPGPTIIARHGVPLSVTWENNLPDRHILPFDLTVPTANPSSGGVPTVVHLHGSVHPPQSDGSALAWFTAGFREVGPKWTQATYSYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPSVDDPLQLPCGDQYDLHLIIADRSFNVDGSLFMNSTGNNPSVHPQWQPEYFGQAITVNGKAWPYLNVKRRKYRFRILNSSNARYFRLALSNNLPFDVIGSDSSYLSSPVKTPTIILAPAEIADVVIDFSQSTTTSAELINDAPLPYPTGTVPGPLDGKVMKFIMLPCNPSDPPDNSTVPPKCVPYAGSTATGDVTQTRYITMYEYQSNAGTPTHLYINGLRFEDPATETPKSGTTELWHVINLTNDNHPLHIHLGMFQAIKVQELVDQATFKSCMTLQNDAVKCNVTAHAVGNVLPTADYEKTWKNVVKIEPGHMTTVVVAFKLVDTNQTYPFDASAEPGYVYHCHILDHEDNAMIRPLKLLP >Ma07_p11780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8760158:8762760:1 gene:Ma07_g11780 transcript:Ma07_t11780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKLRLVPLLLLQLVALAAAQAPPSPVTEQILQKVAGSLQMYVDPLPQMPKIVGYSTRHGGAASVSLTIGMHQKTWKFHRDLPPTPVFVYGTSAAAATFPGPTIIARHGVPLSVTWENNLPDRHILPFDLTVPTANPSSGGVPTVVHLHGSVHPPQSDGSALAWFTAGFREVGPKWTQATYSYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPSVDDPLQLPCGDQYDLHLIIADRSFNVDGSLFMNSTGNNPSVHPQWQPEYFGQAITVNGKAWPYLNVKRRKYRFRILNSSNARYFRLALSNNLPFDVIGSDSSYLSSPVKTPTIILAPAEIADVVIDFSQSTTTSAELINDAPLPYPTGTVPGPLDGKVMKFIMLPCNPSDPPDNSTVPPKCVPYAGSTATGDVTQTRYITMYEYQSNAGTPTHLYINGLRFEDPATETPKSGTTELWHVINLTNDNHPLHIHLGMFQAIKVQELVDQATFKSCMTLQNDAVKCNVTAHAVGNVLPTADYEKTWKNVVKIEPGHMTTVVVAFKLVDTNQTYPFDASAEPGYVYHCHILDHEDNAMIRPLKLLP >Ma07_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8760371:8762760:1 gene:Ma07_g11780 transcript:Ma07_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKLRLVPLLLLQLVALAAAQAPPSPVTEQILQKVAGSLQMYVDPLPQMPKIVGYSTRHGGAASVSLTIGMHQKTWKFHRDLPPTPVFVYGTSAAAATFPGPTIIARHGVPLSVTWENNLPDRHILPFDLTVPTANPSSGGVPTVVHLHGSVHPPQSDGSALAWFTAGFREVGPKWTQATYSYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPSVDDPLQLPCGDQYDLHLIIADRSFNVDGSLFMNSTGNNPSVHPQWQPEYFGQAITVNGKAWPYLNVKRRKYRFRILNSSNARYFRLALSNNLPFDVIGSDSSYLSSPVKTPTIILAPAEIADVVIDFSQSTTTSAELINDAPLPYPTGTVPGPLDGKVMKFIMLPCNPSDPPDNSTVPPKCVPYAGSTATGDVTQTRYITMYEYQSNAGTPTHLYINGLRFEDPATETPKSGTTELWHVINLTNDNHPLHIHLGMFQAIKVQELVDQATFKSCMTLQNDAVKCNVTAHAVGNVLPTADYEKTWKNVVKIEPGHMTTVVVAFKLVDTNQTYPFDASAEPGYVYHCHILDHEDNAMIRPLKLLP >Ma11_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9859104:9863572:-1 gene:Ma11_g10450 transcript:Ma11_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OGG1 [Source:Projected from Arabidopsis thaliana (AT1G21710) UniProtKB/TrEMBL;Acc:A0A178WC14] MKPFQPLLATLAAPKPPSPLAGNMSKRRRVKPPLQPPSTPLPSSPSPSPPTKRKHGSTAPRLKPQRSLSAPLADAASGEWELLPVSRSELSLSLTFPTGQTFRWRRTDPSRFTGVVGPYLLSLRHLEGDPSGRVAFMLHNSDGGRSAAVRDALADYLNLGVSLADLWSRFSGADPRFAELAGQFDGGARVLRQEPVECVFQFLCSSNNNIGRIEKMVGVLSSFGEYLGTVGGFEFHEFPSLERLSLVTEQQLREAGFGYRAKYIVGAVRALQAKPGGGAEWLASLRGLKLDEVIDALCTLPGVGPKVAACIALFSLDQHHAIPVDTHVWQIATRYLMPELAGTRLTPKLYRCVSEAFVAKFGEYAGWAQNVLFIGELPSQKVPIRLDVATLSKTESGDDEKRETKIAVANILSDDGSITRVEDVS >Ma08_p34710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44706406:44707610:1 gene:Ma08_g34710 transcript:Ma08_t34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGPASGLNRTGKSCRLRWVNYLHPGLKRGRMTSQEKRLVLELHSLWGNRWSRIARKLPGRTDNEIKNYWRAHMRKMTKERKRSSSSSRVDHSLDLTELPLEAAAEAHDLKSSSACSCLTAEEFEKKEEGVASYPMDQIWNEIATSEVIKEVSFEDSCPLMPSSPTWECCSEAVWKIDDEELRMLLQTHHLISDHNDGGRETCSLAQPDQY >Ma08_p34710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44706406:44707610:1 gene:Ma08_g34710 transcript:Ma08_t34710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVKEEMRKGSWTEQEDLHLVCFVRLFGERRWDFIAKASGLNRTGKSCRLRWVNYLHPGLKRGRMTSQEKRLVLELHSLWGNRWSRIARKLPGRTDNEIKNYWRAHMRKMTKERKRSSSSSRVDHSLDLTELPLEAAAEAHDLKSSSACSCLTAEEFEKKEEGVASYPMDQIWNEIATSEVIKEVSFEDSCPLMPSSPTWECCSEAVWKIDDEELRMLLQTHHLISDHNDGGRETCSLAQPDQY >Ma08_p34710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44706514:44707610:1 gene:Ma08_g34710 transcript:Ma08_t34710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQEKRLVLELHSLWGNRWSRIARKLPGRTDNEIKNYWRAHMRKMTKERKRSSSSSRVDHSLDLTELPLEAAAEAHDLKSSSACSCLTAEEFEKKEEGVASYPMDQIWNEIATSEVIKEVSFEDSCPLMPSSPTWECCSEAVWKIDDEELRMLLQTHHLISDHNDGGRETCSLAQPDQY >Ma10_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28427664:28428230:-1 gene:Ma10_g16870 transcript:Ma10_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHSSSPIHHLLVFFLLFAAATAFPVTSEFELGIQKRIHFRVYFHETFLGPDNTTVTVVNMSLPYTFGDIDIFDAVLRVGSDASSTVVGRAQGAGFHMSQQEEASLIPLVLVFTAGEFADSTLTAIGRLDASGKAERAIVGGTGVFQYAWGKLASELVTSSVEGLVAAFDVYVIYYTDVGRSASP >Ma06_p10340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7143682:7146365:-1 gene:Ma06_g10340 transcript:Ma06_t10340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLYSYLCLLMMIPHKTKGGAAALARLKAHEGVPPPYDKMKRMVISDALKCFNPSIDTACLVGCHLRLDGINMIPLRSDQIGVLKTCWTALRVCYWAQYMGISLMELQRA >Ma06_p10340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7143682:7146365:-1 gene:Ma06_g10340 transcript:Ma06_t10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLYSYLCLLMMIPHKTKGGAAALARLKAHEGVPPPYDKMKRMVISDALKYTACLVGCHLRLDGINMIPLRSDQIGVLKTCWTALRVCYWAQYMGISLMELQRA >Ma06_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7143682:7146365:-1 gene:Ma06_g10340 transcript:Ma06_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLYSYLCLLMMIPHKTKGGAAALARLKAHEGVPPPYDKMKRMVISDALKCFNPSIDTACLVGCHLRLDGINMIPLSFENLLDCTPCMLLGPVYGDKPDGAAEGISLVG >Ma05_p25840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37664649:37665913:1 gene:Ma05_g25840 transcript:Ma05_t25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTQYRFASPSPPIPPPPPPRGLRNMLSLRPKPALFNPFFRLTTSGRLRRTGAGGAMRAMDAAQVFDHESGASEELDQRARLKIAIVGFGNFGQFLARTFAAQGHAIIGHSRSDHSAAARSLGAAFFRDPHDLCEQHPDVVVLCTSILSTEAVLRSLPLQRLRRSTLFVDVLSVKEFPKNLLLQLLPPDFDILCTHPMFGPESGKHGWTGLPFVYDKVRIGDSEDRANRCRCFLDIFAREGCRMVEMSCTEHDENAAEIQFLTHTVGRLLAKLDLKPTPINTKGFETLLELVENTCSDSFDLYNGLFMYNNNSTELLERLELAFNSLRKELFGRLHVNLRKQLFQSPNGGGSPGAEEN >Ma07_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27589471:27591531:1 gene:Ma07_g19610 transcript:Ma07_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGLYEVSFLLLHLYFAAFICTTGASSPSLFDANLELPRGFMPTVSLEHLDPTLPPALPTQTPHCSLVVLQQDFANTVGATPASANYTHPPDCPFPWTRVVLELSVAATDLQESRVAAIWIDGAEVLRTATPIPMVRGAFWRVHKDVTRYTALLRRLADGGGVVSMMLENSNAVLPGVFSANVSLHYYRGPVDDGRSKSVSNAAHPSVRSLYREPADLVLPISKPDGQYGSGFWYRIDNETGVESTTVAIPRNTYRAVLEIFVSYHGEDESWYTNPLRNNYLHQSTAAKLSAPRANGAFRQVYATIDGRYVGGHVPFPVIYPSAINPFFWSPVAAIGAFDMPSYDLDLTPFLALMLDGRPHEIGLGVRNALPHWLVNANLHLWVDYWSDAVQAGPVAYFAPAIQMNRNAEWRNPDGQSEIGAEGHERFAGWVSSSRGNLTTEVRHKIKLTSQVQVQNRGAVTQIDFILKERTMVTVMRGNQWLHRAQAVLDAPMQVQTAMVNAAGGPARQKTRLFHQLMEAVSLSEGQAGATTTRELTDRQDAEGSALVDGGWESGRIRSSYQYRDGSKCYARNVATAGGAVIQDRKASCFAMADDA >Ma04_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3240138:3240756:-1 gene:Ma04_g04240 transcript:Ma04_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDSGDDLTRVQCKPCSLLLQPTGRLFNTSASPSYHSITCNSTTGYSLLQAATGKAGVCGADRSTCNYALSCRDGSYTHGVLGRERIDIGGTSIGGFIFGCGLRNLRSHGARQDSALSELHAGLGHSNGIISRQAPFYFLNRTGASIGGVPLDAAGFSNGRTLIDSGTVITRR >Ma11_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16065530:16098879:1 gene:Ma11_g12200 transcript:Ma11_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MATPDPDKLMAKADKLTKLSFTRWTADWKNATSLYEQAAIGYRFRKDNEKAKEAFEKASKGQEMISSPWDAAKHMESAAALAKELGHWNEVSDFYRRASELYRECGRSQPASDALAKGASALEDAVPDEAVRLYTDACVILEEDGREQMAFDLYRAATSVYVKLERYADAATFLLRWGIAADKCHAVNSQCKAYLSAIIIYLYMHDFQQAQKCYNDCSQIGAFLSSDQSRCASKLFSAYEEGDVEEIKRVAQSSPITHLDHVIIRLARKLPTGDLKELNKEEAEEEVLDENDLT >Ma06_p26540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28398477:28399439:-1 gene:Ma06_g26540 transcript:Ma06_t26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEATTVVIQHPGGRVERAYWSLSASQVMASNPGHYVAAVIVVTAPPYATSATARGGDAPLKHLKLLRPDDTLHIGRVYRLVSFEEVVREFASKKHVKLGRLLVKQKEKHRPRCERGGDGGASIAIAASGQPGSGRGGDGGIELESETPPATEQEAEALVDAELQDAAVGSTKAKIARHGQWKPTLQSIAEVAIS >Ma03_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22331806:22334154:1 gene:Ma03_g17030 transcript:Ma03_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRGSSSSSSPPPFLTKTYEMVDDPATNSIVSWSPTNLSFVVWNQLEFARDLLPKYFKHSNFSSFVRQLNTYGFRKIDPDQWEFANEDFIRGEKHLLKNIHRRKPVYSHSPHNQGSSSGPLSEAQKQDLEEEIERLKQEKAMLVNELQKNVHKQHGMEHQMQSLEERLQVLGDRHRNLMAFLTQIVQEPWFLSNLVQDSDLLSKKRRLPKINYFNEDTAMEDNQIVTFQQPLAGEKSGYASIQIFDMEPFEKMESSLNSLENFFRGVSQTSGDDMCYDSIVACLPTDVLLTEMNASSVETGASLQSLLPNLYPSSPCLGDIHSSPETAECMSHVETPGIPAAENQTDSRIKVAEIDVNLEPAATEVDSLRDQATSTTTSTMPTGVNDVFWEQFLTEIPDSSVTKVVQLKRRDSDDEQSEGKIREVENMCRNRINVDHFVEKMANLTSVEKT >Ma05_p27380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38704698:38706319:1 gene:Ma05_g27380 transcript:Ma05_t27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTRSASQCLRARPRIDGGGAPREERKRKRRGGRATERGAESCGRKRPRGAPAGAPAEVEDRADYFDGLPDDLVVSVLSKLSSSARRPLDLISAMLTCKRFHGLGHHPLVLSKVSAGCLGVRANTWSDSSHRFLKRCADCGNLEASYMLGMIRFYALENRGSGASLMARAAIGSNPAALYSLSVIQFNGSGGSKTDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVRRNVAEGRRFLVQANARELAAAMTSWPAWQKQPRQAAAAAGATSPGCCPLLSDYGWNVPAPAPHPANRFLVEWFRERGWPAAAAAEGLRLCSYSGCGRPETRRHEFRRCSVCGVVNYCSRACQALDWKLSHKSECVPTDLQALDGDGAPAGDDVAPAVDGAAGTD >Ma04_p30500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31154677:31157516:-1 gene:Ma04_g30500 transcript:Ma04_t30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDAQIPTAFDPFADANADDSDAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKENIKIHGF >Ma06_p33720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34250006:34253306:1 gene:Ma06_g33720 transcript:Ma06_t33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLSSALSLIQDLVTRPRSQSPSKDAVAIHHDLDKLNGSMLQIQHLAADMAKEEEENGKDETVRHWLRQLRGAAYDAEDLIDECRFQLLARSNTDSGAQMPPEEVHDPTHPPSQHGIAVRIRSLRERLDEIAKQRETLHWESCRELDAEAELPPPLLFNVDDCRLRDDDKREVIELLLANHRRDLSVIPIVGPGGVGKTALARLVYDDATIRDHFDARGWVYLSEDFDLIRLISAIVVSVTNMKHIDVEQDHGKPLKCQILRGKRFLLVLDNVQNENQTLWNSLRALLSVGLEGSAIVATTRCESVAKFMQTVLSYNLIPLPEEECQSLFEHHAFGSQNLTDNLNLVAIGKRLTKRLKGMPLAAKMIGKLLCTEKNEEKWHAILQSGVWESTGLDGVVSSALRLSYYGLPTCLRVCFTYTALFPKGYLFKKDRLVQLWMAQGFIQPMGRKLPEDIGVEYFDELLRRSFFQQIGANEHVFTVHDLIHDLVQTIASKEIFRIKNENFSFVTSEARHLSLCPEELGPFIRSCNRPRVLRTFLIVHRVLDTCDVDYVWSKACSPLSMPNDLFVGLKFLRTLDLSETPIVSLPESIDSVIHLRYLGLRHTKIRRLPESLCGLYNLQTLDLRDSEKLEELPKSITNVTNLRHLYLPRYVSIIPMPQGIGKLTNLQTLSTFYVDHGEQNCAIMELKDLVNLRGKFEIAGLHNVASVDYVEDALKDLRHIAKLILSSGINYDYGNLGESDFSLFDGVVEDNQSLGNVHDELQTSTENVVQVSAPKEICNGLETTVFDRLRPHTNLMELVVRSYHSLQFPNWMGDASFSNLASVELEFCSKCEVLPPLGQLPLLRHLAFNELSGLENIGQEFCGHDAMIRIKGFPSLETLQFRSMYRWQEWSYVEDGSFPRLRHLELNYCPKLRRLPHILPSLVNLVIWHCPELMALPMLPSLTRLEGCCNEMIWSSMPQDLISRLQDLSICEFEDLASLPLENLYAIREFKISSCQQNTSHVAFLELHLLNLVFLKCFKIRRCPGIWFSPNHKLPPALQVLEILNCPLLEEWCHGHGSNELVHISRVIVDQKDFHLVAAERTKDADQVNLLFF >Ma02_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15498502:15503857:1 gene:Ma02_g04020 transcript:Ma02_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEEAAAAAVAAVDSKDLQQQSKALDKLTDRVEDRQLDSTRVQSAMAALASSKEADWNAMRMREKELAAVKINPIDVDIIANELELDKKIAERTLREHKGDAVAAVRYLLR >Ma03_p32040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34032761:34039468:-1 gene:Ma03_g32040 transcript:Ma03_t32040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAKMEKHLSSAAAFVEGGIQDSCDDACSICLEEFCESDPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEAVERERNIRINQTRTATIFRHPALGDFDLQHLGGNDTELEERIMQHLAAAAAMGRVHHISRREGHRGRGGSHRHSQFLVFSPHQNAPYIGYRSSSAQGGDNESIPAIIAASPSSVLNAPTEESSSQGTHINLAQGERSAAIATEITTSQSRPRLSASRTFAGQSSPIVHDRPGPSDLQSFSESLRSRLNSVSMRYKESITKSTRGWRERLFSRNSSVADLGSEARSDVNAGVDTSSRMVDRLETRENRTNVFTFHDAEVHSASEVNNEGVTGNRVDSHPSDGTSSAPCCATSSPN >Ma03_p32040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34032755:34039469:-1 gene:Ma03_g32040 transcript:Ma03_t32040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAKMEKHLSSAAAFVEGGIQDSCDDACSICLEEFCESDPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEAVERERNIRINQTRTATIFRHPALGDFDLQHLGGNDTELEERIMQHLAAAAAMGRVHHISRREGHRGRGGSHRHSQFLVFSPHQNAPYIGYRSSSAQGGDNESIPAIIAASPSSVLNAPTEESSSQGTHINLAQGERSAAIATEITTSQSRPRLSASRTFAGQSSPIVHDRPGPSDLQSFSESLRSRLNSVSMRYKESITKSTRGWRERLFSRNSSVADLGSEARSDVNAGVDTSSRMVDRLETRENRTNVFTFHDAEVHSASEVNNEGVTGNRVDSHPSDGTSSAPCCATSSPN >Ma03_p32040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34032755:34039469:-1 gene:Ma03_g32040 transcript:Ma03_t32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAKMEKHLSSAAAFVEGGIQDSCDDACSICLEEFCESDPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEAVERERNIRINQTRTATIFRHPALGDFDLQHLGGNDTELEERIMQHLAAAAAMGRVHHISRREGHRGRGGSHRHSQFLVFSPHQNAPYIGYRSSSAQGGDNESIPAIIAASPSSVLNAPTEESSSQGTHINLAQGERSAAIATEITTSQSRPRLSASRTFAGQSSPIVHDRPGPSDLQSFSESLRSRLNSVSMRYKESITKSTRGWRERLFSRNSSVADLGSEARSDVNAGVDTSSRMVDRLETRENRTNVFTFHDAEVHSASEVNNEGVTGNRVDSHPSDGTSSAPCCATSSPN >Ma06_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8922727:8923210:-1 gene:Ma06_g12960 transcript:Ma06_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMDEFSSGLSDVLMKVIIFALVQALVYLILTKSSDVFSTNRMRSRSFRPARTVSVRRWLAFLSDMPPGGEASPSSSSSSRSPRDDSSPVHRRD >Ma04_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25372856:25373805:-1 gene:Ma04_g23180 transcript:Ma04_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRCLPLSLGSWFERKRHRRPHQRERGATEVAKPPPPNGPQRGAEDPKTRYPVVVRFNKVNHAAVSTKKYALDEILENSLWIGELKDCALQACQSKMDDNP >Ma10_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26560893:26563412:1 gene:Ma10_g13960 transcript:Ma10_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRFKTIDKCSSDGRLEQTVAADLDGTLLISGSAFPYYMLMAIEAGSLLRGLLLLLSVPVVYVVYVFFSESLAIQILVFISFARIKVRDIEMVARSVLPRFYAEDVHPETWRVFSSFGRRYVVTANPTVMVEPFVKSFLGADKVLGTELEVTKSGRATGFIKKPGVLVGALKKKAVEMEFGATSPDVGIGDRETDHDFMSICKEAYMAPHTKCTPVPKMQLLNRVVLHDGRLVQRPTPLVALLTYLWIPLGFALSLLRVYINLPLPERIVFHNYRIMGIRLVVKGTPPPPPKKGHPGVLFVCNHRTVLDPIVTAVALRRKISCVTYSISRFSELISPIKAVALSRERDKDAEHIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTTQSMFHGTSARGWKLFDPYFFFMNPRPTYEITFLNQLPKELTCAGGKSPIEVANYIQRVLASTLGFECTNFTRKDKYGLLAGTDGRVRPRKEEEEEDKEKEKA >Ma02_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28742413:28751238:-1 gene:Ma02_g23960 transcript:Ma02_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQYPVLNNRPIDQWKVTELKEELRRRKIPVRGLKEELVRKLFEAIRNEEVIKEQETGDQVQSDCPDPTLDGDGDQKQAENSAADHTNIVPDPIGQSHCDASVIDINCSDVIPDPVGKTDCDATITDIDINREIEVQDAITATSGLDVTVLETQANTVLLEDSTTESQTVITQYDSRVMVSRAEEKQEEEKQEDSNVPDEDIKPSLSDLDNQVSVVSPDLGFQVKCESIPIDSVSIVEKNKLKDNLNADDFHLDQEVVKPEMVQPSSSSVPSVGGDLQSLGDGKELQSLDDDKDLQSSGDDKEQVKHLVSLEDTDVKSSTNFNLSKEDNIDEGSPEKLNLDRCSSDELMEEDVLESKQIDPNMKSEELAEKTEVKQEPAIGVRTNIKDGLGESIPDNKDTVDEDHRKPEGSTEKRKLEDQEAVGSSEPPKRQRRWNSETIKVPEQASNLGTSSTPKDAFQPTPRRIFPKSDSKPSGDAQKERIVPPSQKPATTSLRIDKFLRPFTLKAVQELLAKTGTVCSFWMDHIKTHCYVTYSSVEEANATRNAVYNLQWPPNGGNLLIAEFVDPQEVKARVEAPPQSPAPISPSPTAAKATNFQPPQAAQPPTRQHSFRQQLPPPLAKPPTLSDAPTVRERLPPPPLPPPSTEPEPPALTLDDLFRKTKATPRIYYLPLSEEVVASKLAAQGKSSIKG >Ma03_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9829509:9833545:-1 gene:Ma03_g12800 transcript:Ma03_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPEVQHPNRRTYNATATAFRTLPTAATALDVGGGAVAGDGAPLKPLSVFFSPAVHVLCCLLSAAAGFRFSRLLFLLLFSPAPPSSTLHLHRHHLHLLRQPPSAVLPSPPPPELPPPPPTIAASSRVVAGRHGIRVRPLPHPDPAEVARAHEILSRVQQEQRLQYGVKESRPILVVTPTYARTFQALHLTGLAHSLMLVPHPLTWLVVEAGGVSNETSALLARSRLPVIHLAFNEKMPVLWNDRHRFETRMRLHALGVIKERRLDGIVVFADDSNIHTMELFDEIQKVEWMGALSVGILAHPAAPQTTSRRQERETQKNSPLPIQGPACNSSGQLIGWHTFDSLPYAKKAAATVGDGMTVVPTKLEWAGFVMNSRLLWKEAEGKPDWVRDLEEVGTSGEEVQSPLDMLKDASSVEPIGNCGKKVLLWWLRAEARYDSKFPARWVIDPPLEIVVPAKRSPWPEAPPDLPFKQMANDKSLVEKRVSKKGRSSRSKHSSRSKKKREPHADTRVAVLQEE >Ma03_p12800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9829509:9833545:-1 gene:Ma03_g12800 transcript:Ma03_t12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPEVQHPNRRTYNATATAFRTLPTAATALDVGGGAVAGDGAPLKPLSVFFSPAVHVLCCLLSAAAGFRFSRLLFLLLFSPAPPSSTLHLHRHHLHLLRQPPSAVLPSPPPPELPPPPPTIAASSRVVAGRHGIRVRPLPHPDPAEVARAHEILSRVQQEQRLQYGVKESRPILVVTPTYARTFQALHLTGLAHSLMLVPHPLTWLVVEAGGVSNETSALLARSRLPVIHLAFNEKMPVLWNDRHRFETRMRLHALGVIKERRLDGIVVFADDSNIHTMELFDEIQKVEWMGALSVGILAHPAAPQTTSRRQERETQKNSPLPIQGPACNSSGQLIGWHTFDSLPYAKKAAATVGDGMTVVPTKLEWAGFVMNSRLLWKEAEGKPDWVRDLEEVGTSGEEVQSPLDMLKDASSVEPIGNCGKKVLLWWLRAEARYDSKFPARWVIDPPLEIVVPAKRSPWPEAPPDLPFKQMANDKSLVEKRVSKKGRSSRSKHSSRSKKKREPHADTRVAVLQEE >Ma09_p31070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40907996:40909072:1 gene:Ma09_g31070 transcript:Ma09_t31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSWVIVRSDKVEEETHEEAQEEATKDETEI >Ma03_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8316191:8317130:1 gene:Ma03_g11030 transcript:Ma03_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGGGGMGKCSKIRHIVRLRQMLRRWRLRAAALSSLRRGGGAGAAPDVPAGHVAVCVGSSSRRFVVRASHLNHPVFRQLLRQAEEEYGFPSSPGPVSLPCDESLFEDVLRLISSSPARFANYTLDDLINLSHNIPSSSSSCCCDVGRWLHAPDSLPLLHGHHRLAEKPVW >Ma04_p12620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9524555:9528514:1 gene:Ma04_g12620 transcript:Ma04_t12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVASGILRLIPSTRAVECGLPVPRCCSLRCSLFAAPIPSKIRYHSDAAITAPRAASPKTVEDGNSNETDTVPTPKVIIDQDSDPDATIVEITFGDRLGSLLDTMKSLKNLGLNVVKANVYLDSSGKHNKFAITRASTGRKVDDPELLEAIRLTIINNMLEYHPESSSQLAMGAAFGVEPPGQEVDVDIATHIDVYDDGPDRSLLVVETADYAGLLVDLVKIITDIDIAVQSGEFDTEGLLAKAKFHISYKGKPIIKPLQLVIFSNVLSNSLRYFLRRPTTEEASF >Ma04_p12620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9524555:9528514:1 gene:Ma04_g12620 transcript:Ma04_t12620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVASGILRLIPSTRAVECGLPVPRCCSLRCSLFAAPIPSKIRYHSDAAITAPRAASPKTVEDGNSNETDTVPTPKVIIDQDSDPDATIVEITFGDRLGSLLDTMKSLKNLGLNVVKANVYLDSSGKHNKFAITRASTGRKVDDPELLEAIRLTIINNMLEYHPESSSQLAMGAAFGVEPPGQEVDVDIATHIDVYDDGPDRSLLVVETADYAGLLVDLVKIITDIDIAVQSGEFDTEGLLAKAKFHISYKGKPIIKPLQLVLSNSLRYFLRRPTTEEASF >Ma04_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9524555:9528514:1 gene:Ma04_g12620 transcript:Ma04_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVASGILRLIPSTRAVECGLPVPRCCSLRCSLFAAPIPSKIRLDSDSMLLILIFWISRYHSDAAITAPRAASPKTVEDGNSNETDTVPTPKVIIDQDSDPDATIVEITFGDRLGSLLDTMKSLKNLGLNVVKANVYLDSSGKHNKFAITRASTGRKVDDPELLEAIRLTIINNMLEYHPESSSQLAMGAAFGVEPPGQEVDVDIATHIDVYDDGPDRSLLVVETADYAGLLVDLVKIITDIDIAVQSGEFDTEGLLAKAKFHISYKGKPIIKPLQLVLSNSLRYFLRRPTTEEASF >Ma04_p12620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9524555:9528514:1 gene:Ma04_g12620 transcript:Ma04_t12620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVASGILRLIPSTRAVECGLPVPRCCSLRCSLFAAPIPSKIRLDSDSMLLILIFWISRYHSDAAITAPRAASPKTVEDGNSNETDTVPTPKVIIDQDSDPDATIVEITFGDRLGSLLDTMKSLKNLGLNVVKANVYLDSSGKHNKFAITRASTGRKVDDPELLEAIRLTIINNMLEYHPESSSQLAMGAAFGVEPPGQEVDVDIATHIDVYDDGPDRSLLVVETADYAGLLVDLVKIITDIDIAVQSGEFDTEGLLAKAKFHISYKGKPIIKPLQLVIFSNVLSNSLRYFLRRPTTEEASF >Ma10_p31490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37616599:37617625:-1 gene:Ma10_g31490 transcript:Ma10_t31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCCSNYKHITSAIESLIFSILQVEDEKKKKQDKKEMKRHKDHHHSRSKHDKRSLRCYSDSEYTSDTQEEKTRERQTSVQQEGRSKRRKHSSRDQSDSEDAKEGAEGKKKSDCPTYEHYQHRSSKYDKHSLKDYSDSRRAGECEEEGKYRHTILERQQHIHSKNEKHSSRGHSYSRYTHEKDGTRSNYSASEQHHTDSELGMEDKNRETSRQREQPGYNRRRGVPKMLEEERAARLREMQLDAELHEEQRMKRLKRATEADAQEAMRASFSRGKNFLVAAQNSIYGAEKGGSATIEESVRRRAYYSQGSSAAHESNAFRR >Ma08_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15400435:15400905:1 gene:Ma08_g15310 transcript:Ma08_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFPKLFLAMLFLTISALAVVPDFTEARTVAQQLQLVERLQSDGLKVCWDSLMELRSCTGEVILFFLNGETYLGPSCCRAIRVIVHHCWAAEVMLAALGFTPQEGDVLRGYCDAEASSGASPPPPPPVAYAPLPEHLVH >Ma03_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27651303:27653473:1 gene:Ma03_g22990 transcript:Ma03_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTLPTGPLDPSLLTTLFIILVPLSLLLLLLQVKRNSRGHPPCPPGLPLIGNLHQLGPLPHRSLHALSQQHGPLMLLRLGQVPVLVVSSPDAAREVLRNQDRACAGRPALKAARILLYGCKDLAFAPYGDYWKQLRKICSVHLLSPKRVQSYRLMREEEVESMMGKISSQASASANVIDLSEVLYSFTNDVLCRVVSGKFTREEGRNRLFSELVGENSALTSKIFVGDYIPWLGWLDMFFGSVARCNKNKARWDKLLDEVIKEHAVRSVQHGGEENDGEEKDFVDVLLSLQKDAAMDFALTTEDIKALLVDMFGAGTDTSYITLEWAMAELIRSPRAMRKLQDEVRRGRGSGEGLVREEEVSQMAYLKAVVKEVLRLHPPAPLLVPRELLEDCSIQGYSIPKKARVFVNAWAMGRDPRSWESPEEFRPERFADGALDFTGNDVRYVPFGAGRRICPGQNFAVAALELALANLVSRFDWELPGGLTREELQMNEAPGTTIQRQGRLHLVAKPWGA >Ma03_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1293720:1295235:1 gene:Ma03_g01880 transcript:Ma03_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPKLQEPQLSGAYIRSLVKQLSSSRTKDPTKSKSRDGASAGEFSQDIAKDGERLGDTQQQQSPPSSMKALLRKKQVRRRLRTSRPYQERLLNMAEARREIVTALKLHRATMKQANEQQQQQQQKQQQQQQQQQNPSPSPTLELSPAVLEELQQELNEYRRNSRIYTPNNTFPNYAHNTKLSPFTYPSYSWIYPPITRLSISDDLNIPLPNQPLGLNLNLQRFNNKDESFCNNLNRKPSIQPSSCSNSSASIMSSIKIPCISKISCQASGVPLDPAPVSLHPMMDDDEIAEIRLIGEQHDMEWNDKMNLMTTAWWSKYLKNMEDGLCEKEDPHEAGFQMFNEVFNVPSWLSNEGDAKESCLFQPHMNSDYNEEDYMHDAAFPW >Ma06_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:863464:864692:1 gene:Ma06_g01050 transcript:Ma06_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPQLSLLLLFSSFALFFRGTSGDDSGWQSGHATFYGGGDASGTMGGACGYGDLYSQGYGTNTAALSTALFGDGASCGACYELRCADDPQWCLPGSIVVTATNFCPPNYALPNDNGGWCNPPLQHFDMAEPAFLQIAQYRAGIVPSGVREEGRDQVHDQWPFLLQPGAGDQRGRRRRRARGIHQGFRHRVASDVAQLGAELAEQCLPQRSVSLLPGDHQRRPHRH >Ma04_p32350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32358711:32361493:1 gene:Ma04_g32350 transcript:Ma04_t32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNTKAEAARARRSAAEADRKDRAAKEKDEQYWREAEGSKTRAAKKREDETEKRAEAAARRAENRKLAEQEQREIDAAGRKPDRKAARVSIPVPKVTEAELQRRREEERQRILQNAEVAKKQKSRSADEEEYDRIVMVENTNRDDSVIEAHSVEDAISRMALSEPALPTDRHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMVWKLWKKSPDNPLNQVSSQINVLHILT >Ma05_p00840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:521339:525327:1 gene:Ma05_g00840 transcript:Ma05_t00840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSLSSSCVLTSSIKCQPSSPLIKSPSSLSSLKCISKASNLKISNCFRASAMAVYTVKLIDPEGQEHEFEAPDDTYILDAAEAAGVDLPYSCRAGACSTCAGQMVSGNVDQSDGSFLDESQMAKGYLLTCVSYPRSDCIIHTHKEGDLY >Ma05_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:521326:525330:1 gene:Ma05_g00840 transcript:Ma05_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSLSSSCVLTSSIKCQPSSPLIKSPSSLSSLKCISKASNLKISNCFRASAMAVYTVKLIDPEGQEHEFEAPDDTYILDAAEAAGVDLPYSCRAGACSTCAGQMVSGNVDQSDGSFLDESQMAKGYLLTCVSYPRSDCIIHTHKEGDLY >Ma00_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5349393:5356892:1 gene:Ma00_g01260 transcript:Ma00_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHERKTIDLEQGWEFMQKGITKLKNILEGLPESQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLREKHDEYMLRELVKRWLNHKVMVRWLSRFFHYLDRYFVARRSLPPLNEVGLTCFRDLVYQEIKGKVKDAVISLIDQEREGEQIDRALLKNVLDIFVEIGLGNMECYENDFEADLLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEQKLLEKVQHELLFAYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFCRIPRGLDPVSQIFKQHVTSEGTALVKQAEDAASNKKAEKRDVVGLQEQVFVRKVIELHDKYLAYVNDCFQNHSLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQSNFEDYLNSNPHANPGMDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNINGKFEPKTMELIVTTYQAATLLLFNASDRLSYSEIVTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKSISPNDVFEFNSKFSDKMRRIKIPLPPVDEKKKVIEDVDQDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDFKAIKKRMEDLITRDYLERDKDNPNLFRYLA >Ma00_p01260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5349392:5356892:1 gene:Ma00_g01260 transcript:Ma00_t01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHERKTIDLEQGWEFMQKGITKLKNILEGLPESQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLREKHDEYMLRELVKRWLNHKVMVRWLSRFFHYLDRYFVARRSLPPLNEVGLTCFRDLVYQEIKGKVKDAVISLIDQEREGEQIDRALLKNVLDIFVEIGLGNMECYENDFEADLLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVAHYLHSSSEQKLLEKVQHELLFAYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFCRIPRGLDPVSQIFKQHVTSEGTALVKQAEDAASNKKAEKRDVVGLQEQVFVRKVIELHDKYLAYVNDCFQNHSLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQSNFEDYLNSNPHANPGMDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNINGKFEPKTMELIVTTYQAATLLLFNASDRLSYSEIVTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKSISPNDVFEFNSKFSDKMRRIKIPLPPVDEKKKVIEDVDQDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDFKAIKKRMEDLITRDYLERDKDNPNLFRYLA >Ma09_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6706042:6734619:-1 gene:Ma09_g09850 transcript:Ma09_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSVDHFRSSLLGSPLRTSLVNRNGSSVDRLVNAEPNFRALFSKISAGNRRKGRRRVDCLRFGGEGPSFYLRKFGFLSDGFKVSCARESFPRTTAWITSLNSLWKEGLFLIRCSVFVSVISVAGMLVWYAQRKATSFVEAQLLPSACSILSEHLQRELDFGKVRSVSPLGITLYSCSIGPHCEEFSCGEVPTLKLRLLPFTSLRRGKIVIDAVLSRPCLLVAQKEDFSWLGIPSPSENGLNKHHSSEEGIDYRTKTRRLAREESAASWARQRVKAAREAAEMGYVVPEEHSSLFRDETLNDNLHLSVQPGRPSSFFCIDDHMHLKDHHCMDNSGMHGLEHTEVEKLFGARTGGLGTNFWSRIKSPFSRHRFKRNAKRKVVSERNFTSKQRNLKRSAVAATAYFRGLDRGKFSEPYSEQGSNSSDGGHEDTGSEILTTKDKAGSDAEITRSNGIDKTRSDSLIELVDLDNQEFKPQTSIEAADNISITQGSTDIETDNGKLTDGDMEKQHLADNHHSCLQIDGHAIALDNGDLEKHHFESHHDDGVGFDKSKDTVGQSYEKSEDSGDLNCQGFIQKMFGMCTQMHQSKAFYPFHLYEIIDKIVVNEVFSEYLAGHIRKLKSYFSISAEDLSAEFVEGVTGTSSKGLRKVLPITLDSVHFSGGTLMLLGYGDKEPREMVEVNGHVRLENHYSRVHVQLTGNCMEWRQDHTSQGGGRLSADVSVNIPEQKWHANLKIINLFAPLFEGILEIPVTWLKGRATGEIHICMSRGDSFPNIHGQLDVNGLSFHILEAPSMFSELTASLCFRGQRIFLHNASGWFGDAPLEASGDFGVNPDDGEFHLMCQVPCVEVNALMKTLKIRPLLFPLAGSVTAVFNCQGPLVAPIFVGSGIISRKTSQTVSSFLPSSASEAVIENKEAGAVAAFDRIPFSHVSANFTFNLDNGVVDLYGIRACLLDGGEIRGAGSAWVCPEGEVDDTAMDINLSGNFVLDKVLHRYVPKGVQLMPLRIGELNGETRLSGSLLRPRFDIKWAAPKAEDSFGDARGDIIITHDNITVTSSSIAFDLYTKVQTSYLADHSLRNETANNRRVMPLIVEGVDLNLRLRDFELANFIFSSTFDSPRTLHLKATGKFKFQGKVVKTSEGIDDDIIDCKGNGSEQQIVDGDIPSLVGDVSFSGISLNQLMLAPQLTGSLCISHGAVKLSAAGRPDESLSIEAIGPFWFSTDEVMQNRKLLSVSLHKGQLKANIFYQPHTSTNLEVRNLPLDELELASLRGTVQKAELQLNFQKRRGHGVLSVLRPKFSGVLGQALDVAARWSGDVITVEKTVLEQASSRYELQGEYVLPGARDRYPGNKEQDGLFKKAMSGHLGTVISSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPAVQSRSKELFMQSLQSVGFCAESLHDQLKGLQSFFNWSDDDSIFEDITLPGLAELRGHWNGSLDASGGGNGDTMADFDFHGEDWEWGNYKTQRILAAGAYSNHDGLRLEKLFIQKDDATLHADGTLLGPVTNLHFAVLNFPVGLVPTVVQIIESSTSSSIHSLRQWLTPIKGILHMEGDLKGSLAKPECDVQIRLLDGTIGGIDLGRAEIVASITSTSRFLFNANFEPVNQSGHVHIQGSVPVTYVQNESEEEIEKDMVAAGGVIRIPVWIKESERGSSEDINEKKINRDKIEEGWDLQLAESLKVLNWNMLDTGEVRINADIKDGGMTLITALCPYATWLHGYADIMLQVRGTVEQPIVDGSASFHRASVFSPVLRKPLTNFGGTVHVVSNRLSITSIESRVSRKGKLLLKGNLPLRSSESSINDKIDLKCEVLEVRAKNIFSGQVDSQMQIMGSILQPNISGMIQLSRGEAYLPHDKGNGAGSNKLISGRSSFPAVDYNRMTTSAQVSRFFGSFPTLRNKWPQSAVKEPVVEKKMEEAIIKSGVDVRLTDLKLILGPELRIVYPLILNFAVSGELELNGMAHPKCIRPKGILTFENGEVNLVATQARLKRDHLNIAKFEPDLGLDPTLDLALVGSDWQLRIQSRASSWQDNLIVTTTRSVDQDALTPTEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSLDPTVDPLKSLANNISFGTEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYKLTSRLRILFQSTPSNRLLFEYSATSQD >Ma09_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13370930:13372248:-1 gene:Ma09_g17780 transcript:Ma09_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQISNSLVGFLNFLTLLISFPILGAGLWFRLHAATECERFLQLPLLVLGGFLLVVSVLGLIGACCRVSFFMWLYLFVMFLLILAMIVFTIFAFVVTNKGVGEAVSGVGFKEYRLSDYSGWLQKRVENWETWRQIDGCLKDAQVCAGFEGLAGLQASQFFAKNLSPLQSGCCKPPTFCGFQYKNATFWTIPTTGLKSTDADCKLWSNDQDKLCYDCGSCKAGVLATLKTKWKAVSIFNVALLVFLIIVYSIGCCALRNNRAKRHGGYYPYAR >Ma03_p32140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34089040:34090933:1 gene:Ma03_g32140 transcript:Ma03_t32140.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MVVSLSLMRFSYYCSSEVIERKSLCLSHRPQSKSTAISASRDILLGRRLAVISSGASLVLVSSISCGLAALPIKAEEEKNLVDQKDEGDGGVFGTIKSIFDPNEKTKAGKLLPKAYLKSAREVVKTLRESLEEDTRDVSKFRRTADAAKESIRQYLSSWKGQPAVVAEESYAAIEKAIRSLASFYSKAGPFATMTEEVKASILNDLKTAEDCL >Ma03_p32140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34088373:34090933:1 gene:Ma03_g32140 transcript:Ma03_t32140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MVVSLSLMRFSYYCSSEVIERKSLCLSHRPQSKSTAISASRDILLGRRLAVISSGASLVLVSSISCGLAALPIKAEEEKNLVDQKDEGDGGVFGTIKSIFDPNEKTKAGKLLPKAYLKSAREVVKTLRESLEEDTRDVSKFRRTADAAKESIRQYLSSWKGQPAVVAEESYAAIEKAIRSLASFYSKAGPFATMTEEVKASILNDLKTAEDCL >Ma03_p32140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34088390:34090933:1 gene:Ma03_g32140 transcript:Ma03_t32140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MVVSLSLMRFSYYCSSEVIERKSLCLSHRPQSKSTAISASRDILLGRRLAVISSGASLVLVSSISCGLAALPIKAEEEKNLVDQKDEGDGGVFGTIKSIFDPNEKTKAGKLLPKAYLKSAREVVKTLRESLEEDTRDVSKFRRTADAAKESIRQYLSSWKGQPAVVAEESYAAIEKAIRSLASFYSKAGPFATMTEEVKASILNDLKTAEDCL >Ma03_p32140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34088364:34090933:1 gene:Ma03_g32140 transcript:Ma03_t32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MPLSADRPQSKSTAISASRDILLGRRLAVISSGASLVLVSSISCGLAALPIKAEEEKNLVDQKDEGDGGVFGTIKSIFDPNEKTKAGKLLPKAYLKSAREVVKTLRESLEEDTRDVSKFRRTADAAKESIRQYLSSWKGQPAVVAEESYAAIEKAIRSLASFYSKAGPFATMTEEVKASILNDLKTAEDCL >Ma06_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:566408:566890:-1 gene:Ma06_g00730 transcript:Ma06_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGVHRKKSPKQSKAKKTPIKVVYISNPMKVKASVAEFRSVVQELTGQDSDIAGFSKYRSVDTDSGDPPPSNSPGLAVESTRANPSGTDLLYDACRRITSPVRELDEYFDVSEMRDGFAEFSPSPLHYEPQSHGVRDCV >Ma09_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7377438:7378056:-1 gene:Ma09_g10890 transcript:Ma09_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSYSSPFLLLLLFATAAIASSDDGGEKTTHLHYYLHANYGGPNTTTVTVVSPPGNDSGSSFGSIAVGDHMLKEGLDPSSTLIGKAEELAVQASLGSPAYLSAFNFVFTAGDYNGSSISILGRAAPSDAAIERGVVGGSGMFRMARGYTISRVVKSTGPDDFLFVVEFDAYVFHY >Ma06_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7747926:7750642:1 gene:Ma06_g11020 transcript:Ma06_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFALIMHSAQLTFSCKLGLPYQTVPPGMGGTYRSDRLAVRGPPCTGQVYRAHPYCSTVALYTDRPATVLGTPGCTKRYTVPVPSPGRNAGTVRIFPLQIMIVGGLGPDGASATGSQSDIDSAKTTVFVGGLDPEVIGKQCGFVLFSHRNNAEEALQQLNGTIIGKQIVRLSWGHNPARQRDSSWSIEEIPNHDHWCIIS >Ma08_p04410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3085217:3090882:-1 gene:Ma08_g04410 transcript:Ma08_t04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSPAAIPLMSTPASVVAGTPADHGGLPPTSAGEIVPRSPLEKPLAELTERDIAQLTREDARRFLKAKGMRRPSWNKSQAIQQVISLKALLEGTPGCDDCPAGVGIFQKSSSPPAPVFPLQQDSSPPSPKSGGGSQLPAKESSPYRRRDPIPPSYSAGADPHPLPEIRCLFPRATAELPAGQMTIVYDGVVNVYDGLPMDQARAVLELAASAVCFDDLTRPVSPAFRPTSVVPEQDPVSVPTAPSLAKTFPVAASGRVAHHAAGGLEEPRGTRPAEPDSATSRKASLQRYLVKRKDRYKAKKLLKGSTSSNMEMMYFSQKLKFPNLNELTTLDDTSFSALFQQPQSPGRCSSGENQVQREKFFIDLNGDGGGD >Ma08_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3085217:3090882:-1 gene:Ma08_g04410 transcript:Ma08_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSSPAAIPLMSTPASVVAGTPADHGGLPPTSAGEIVPRSPLEKPLAELTERDIAQLTREDARRFLKAKGMRRPSWNKSQAIQQVISLKALLEGTPGCDDCPAGVGIFQKSSSPPAPVFPLQDSSPPSPKSGGGSQLPAKESSPYRRRDPIPPSYSAGADPHPLPEIRCLFPRATAELPAGQMTIVYDGVVNVYDGLPMDQARAVLELAASAVCFDDLTRPVSPAFRPTSVVPEQDPVSVPTAPSLAKTFPVAASGRVAHHAAGGLEEPRGTRPAEPDSATSRKASLQRYLVKRKDRYKAKKLLKGSTSSNMEMMYFSQKLKFPNLNELTTLDDTSFSALFQQPQSPGRCSSGENQVQREKFFIDLNGDGGGD >Ma09_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8401314:8404453:1 gene:Ma09_g12500 transcript:Ma09_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSILRAGRPIRAAAGDGPGELLRARIGERERWRRGRRDPAKDEFFVPVRESAKWLDTLTWPMALTAIAVALFAKVLMMIDESKAEERMERKIQKGPKGQGTVRMLSREEWDAIQELRPRTPFESRFARPNARIRTGEPVKLEDVKDWTIDVFRDAFTRAEESVKRKKTDS >Ma11_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25551688:25554291:1 gene:Ma11_g21370 transcript:Ma11_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGQMISVYPEELTFDFELEKPSYCNIKVVNNTEHHVAFKVKTTSPKKYFVRPNASVVQPWDSCTITVTLQPQKEYPPDMQCKDKFLIQSAKVPPSNDIDEIPSDTFNKDGDKVIEELKLRVVYTSPSQSGLGNSEEESGLASSATGKRDMHKNSSNEEIQMLQHLKEERDTIVQQNHQLQRELDMLKRRRNRKSDAGFSLTFAAFAGLVGIMVGFILNLTLSTPPTA >Ma11_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16886961:16887336:-1 gene:Ma11_g12930 transcript:Ma11_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKATACALLMFLLFSASQLMMPGVEARVCRYASRSFRGLCFSDTNCAHVCQTEGFNGGHCHGLRRRCMCLRRGC >Ma10_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31050181:31055458:1 gene:Ma10_g21090 transcript:Ma10_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGKPRKEESRRSDRERAKEERSGDRHRDRDKHRDRDSERGDRESRRAEREHSVEGKHHRDSRHKKEREREREREKEKDKERTKERTRDREKERDRDREDRDREREERAREREKEREKEKAREDREKERERARKREEKEREKEDRERERERERERPKEKRRGLESDEEGHDRKRRRRDDHRDRDREQSSRVDRHREGSEDNRKSRAVEEEMDNKETKTREEVLEEEQRKLDEEIDKRRRRVQEWQEMRRKKEEEEREKMGDGNGKEEEAKSGKNWTLEGESDDEETAASSKSDKGMSVDGESPKPIDGDGEAMAVDPVDEDVAPQDSEEGNDNGEEEIDPLDAFMMSTVFPEVERLQSAELAAMPDEKKASPGKASKEVVINGGRQRKGEKNAMGRIIPGEDSDPDYEDLENEEVAVEDEDDEEFMKRVKKTKAEKLSIVDHSKIQYLPFRKNLYIELKEISRMSAEEVSAYRKQLELKIHGKDVPKPIRTWSQAGLGTKILDTIKKLNFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPEVVSGEGPIGLVMAPTRELVQQIYSDIKKFAKPLNINCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTFLVMDEADRMFDMGFEPQITRIIQNTRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESERFLRLLELLGEWYEKGKILIFVHSQDKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNICNLLIATSIAARGLDVKELELVVNFDVPNHYEDYVHRVGRTGRAGRKGCAITFISQEDERYAPDLVKALELSEQAVPADLKALADGFMAKVNQGTEHAHGTGYGGSGFKFNEEEDEARKAAKKAQAREYGFEEDKSDSDSEDEGVRKAGGDLSQAAAIAAQVAALAAVSKVANTSMPVQVATQLPFAGALPSGNLSSVAALASTPGTALPGEAAARAAAIAAALNLQHNLAKIQAEAMPQHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKIAGPGERKLYLYIEGPTESSVKKAKAEVKRVLEDYTAQALNLPSASQPGKYSVI >Ma06_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6776752:6779110:1 gene:Ma06_g09740 transcript:Ma06_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSGTAKWKLREGKRKRARGWEKAKSKQECRAHQSRRPAQSSERLFLSQSRIIHSLSFLLLRLLLDRLPLSPLSAVNISVSLSRCCIYLRLSVVFLCRRRRCHHQRISPDPLSGRRKEEERSRNDKVDRRPGKRPLPPDELEKKEGDQQQAVSRFASSRADHDASAMVSALAHVISSSSSVVDTRGGEPASTQQGIKLEEAAGRGDTEAAQVSEEQGNVRRRHYRGVRQRPWGKWAAEIRDPRKAARVWLGTFDTAEDAAVAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVSPGVPERQPPRVPLQLPATSYPDLLQYAQLLQSRDEDLQNVASGLYVGGTFTPVSSQTPTTSALGSSQHFLDFSSQSQYTNFSSSSSSSSSSSWVHGEQKDKDGSRPP >Ma11_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1685302:1696598:-1 gene:Ma11_g02340 transcript:Ma11_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDHAVVVDISSDEEDLGLDASDGLSFNSFEVLDRSDVRRQEESDDVVIVDEFSASAAKRRKKDSGLLAPDKGGGFDDDDCVVLDSDPDKPVSVVDDNGGEIGDRGDDLLIVAEKGQFACRDYPHPRHLCAHFPFSSSPHEKFCDLCHCYVCDSPAPCSCWDIGDKSTDHCHSTDKEERWQYLRQYFKQIKLESMFPQKPTDNPTSKIPPFQDPVPLSYSNLNPLLVPGSRSNSLQTCSAASISNSNAINQRNYYQPTILSCSFQRHGQRPTKSYPLNPSIQYIQRDSRVAGALTTQLMNSHTRFKRARTMQYSFTSLNNPPHNTIASSNHTPRGVLQKSTYATRTSQRPRSPQVGQQRSEDPLVTSPGASAYQMQANISSHFTLPTKQSSMCPPVTAAADSQESWQNLLASVASELGVSMFSDTGTSNAQQPPMFPSSSLPFDESYSKTDASQDTWSSSSKH >Ma03_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6856300:6858160:1 gene:Ma03_g09300 transcript:Ma03_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGLSMEDIVCRTRPNQVQNLPSMDEKNFIYGSLHQPERNGQGDPTEPPQEKLAEANDEENDEDIDIEELEHRVWKDRMRLKRLKEQQQHKHKELQGEASKQRQSQEQARRKKMCRAQDGILKNMLKLMEVCNAQGFVYGILLENGKPVSGASDNLRAWWKEKVRFDWNGPAAIAKYQADTAISRSSSELYSETASLRSLQELQDTTLGSLLSALMQHCNPPQRRFPLEKGIAPPWWPTGNEEWWQQPGIPNDQGPPPYKKPHDLKKAWKVCVLTSVIKHISPNMDKIHRLVRQSKCLQDKMTAKESATWLAVIKQEEDMYRKLHPDACIPPSSCSGITGVISFSSSCSEYDVEGVDEGKSVVTVNHKFHAEGNPLDPAAAAAVKEENNMEIIRKRSVAEAEPVLNQCIYTCANVQCPHNDLRHGFLDRNARNSHQYHCQYQNTLPQGIGAAFNTQPNLTSLISGLDPIDISDLGIPPDAQKSINDLMSYYDHNLNDTKSFSSGGIPPLQQQQQQFSLHEDAMPFEQQLGNQSNGMGGEFGFASAFIAPTMDHAGALHGKMEDPLHKAEASHWFY >Ma10_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25362883:25363668:1 gene:Ma10_g12080 transcript:Ma10_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQAAAAAAEAWGYVAAAAARGATMDALERVERLAAESAVVIFSVSTCCMCHAVKRLFCGMGVSPTVVELDEDPRGKEMERALSRLLGGGAAGGAAVPVVFIGGKLVGAMDRVMAAHINGTLVPLLKEAGALWL >Ma05_p25340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37306116:37307051:-1 gene:Ma05_g25340 transcript:Ma05_t25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSSDGTQSDTSPAVTIPPVIGRELVPSSTPGSHAPDEPPSQEEQKLIGRPWTTALFDCGDNQTNAVLTAFCPCVTFGQIAEILDEGQTSCTLGSFMYILLVPALCTCWILGAYYRQQLRKKYNLISSPAHDRTLHLFCPCCSLCQEFRELHNRGLDPSQGWLGYLAKQQETRTLPPDGQSMDK >Ma08_p32860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43415879:43418476:1 gene:Ma08_g32860 transcript:Ma08_t32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCQSCREEYEERDAGTCKECYEEASETEEELKREIDDLKSKVAFLRLASPHDLVSTTDLVLHASSSTSPSSPSNPNSSLCTTPAVPAHRAVLISRSPVFKAMLENEMEESRSGIIKIYDVSYDVLRSFVHYLYTAEVLLDEHMACDLLILAEKYQVKHLKIFCEKFMTSKVNSENAVASYAFAHRHNAKQLLEASLSLIMDNMATLTEREEYKELVEKDPRLVVEIYEAYLTRQVNTAAKDLTLQP >Ma09_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10047456:10049990:1 gene:Ma09_g14710 transcript:Ma09_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAYSLLLSFLLLALATLLFLKKRKRKSQDGYKLPPGSMGWPYVGETLQLYSEDPNVFFATKQKRYGEIFKTHLLGYPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPRALFFHQGDYHMRLRKLVQGSLSPDALRGRVPDIERIVISMLESWVGQEVRTFHAMKKLSFDVGILTIFGGRLDERYKVELKKNYFIVDKGYNSFPTYIPGTPYHKAIQARKRLRGILSEIMKERRTKGVTENDLLGCLMDSRDERGEHLNDDQIADNIIGVLFAAQDTTASVMTWVLKFLHDHPKLLESVRAEQMVIQQSNEFGSRPLTWGQTRSMALTHKVILESLRMASIISFTFREAVADVVYKGYLIPKGWKVMPLFRNIHHNPEFFREPRVFDPCRFEVAPKPNTFLPFGNGVHACPGNELAKLEMLILIHHLVTKYRWEIVGHQGEVEYCPFPVPKQGLPAKLWRVTGGSEEAGQGRPPA >Ma08_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10517332:10521504:1 gene:Ma08_g13290 transcript:Ma08_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCLLSTPKQIAATSVSVFAAGAHLSCANVDTSSPATSSSWTTSARSTATASRSSPSSQMALVPSSAVGSPLIAEVDMGGSDPSSTVRATVVQASTVFYDTPATLDKAERLVAEAASYGSQLVVFPEAFIGGYPRGSTYGVTIGSRSAKGQEEFRKYHAAAIDVPGPEVDRLAALAGKYKIFLVMGVIERAGYTLYCTVLFFDPQGQYLGKHRKLMPTALERIIWGFGDGSTIPVFETPMGKIGALICWENRMPLLRTALYGKGVEIYCAPTADARDVWQASMVHIALEGGCFVLSANQFCRRKDYPPPPDYVFAGAEEEPSMDSVVCAGGSVIVSPSGAVLAGPNYEGEALISADLDLGEIVRAKFVFDVVGHYSRPEVLSLTVKEDPHYPVSFTSAEKTESTQK >Ma02_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22940005:22945383:1 gene:Ma02_g15110 transcript:Ma02_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEGKCYNIQMQDAKCQSYFLEKMLRVDKISSRHKRSKSESYQRNREVELETLPGSIHHAKPVIGESTGDSEIRNKPLPEASVRSSLKYEILQLEKRLQDQMMMRCALEKAMGFGSSAVCSSNERSVPKPTKELIREIAVLELEVMHLEQYLLSLYRKAFDQQTSTLSPPASENQLKKPLNSKPELLHESAKLRISSNRGNSRVQSSQTELPQKWTADLVNEGCEIKCQETLLGPGIHRSHSSLSYRATCSARISPSQESLARALHSFHSQPLSFLKEEQNVNSGVISLAEYLETGVTDDVPESPNRLSEDMVRLMGAVYCKLVDPPLVFHGFSSSPASSSSSMSALSPHYPGELWSPGYKRESNLDSRLINPFQVEGLKEFSGPYNAMVEVPLIRRDRQRLRDVEDMLHNYKLILHRLEIVDPRKLKNDEKLAFWINIHNAIIMHAYVEYGIPEGNAKKTSLSIKAMCSIGGRSINAAMIQTYILGCRTHCTGQWLRTLLYPRLKHKAGVQWKSYAIEQPEPLLHFALCSGSHSDPAVRIYYSDRLFQQLESAKEDYIRATVGIWKEQKILLPKLIDSYAKDSKLSSQRLVDMVQCYLPETLRMAMQRCQNGRSKKTIEWVPHNFSFRYLLSKELTSYSR >Ma04_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11604338:11605098:1 gene:Ma04_g15290 transcript:Ma04_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELFVLGCTGVVVFLHGANFFFRALSHRLTARPLSFLGVGWW >Ma04_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10072070:10073265:-1 gene:Ma04_g13330 transcript:Ma04_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGMKPVASLLLFLNFCMYVIVASIGGWALNFAIDHGFTIGQGLALPAHFSPVYFPMGNQATGFFVIFALIAGVVGAAAAIAGIQHARRWNYDTLPSAASSAVIAWGLTLLAMGLACKEINLEGRNTRLRTMEAFLIILSATQLVYILAIHGGISGRR >Ma11_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24616121:24617358:-1 gene:Ma11_g19980 transcript:Ma11_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARRSLATAVWIADAAAWLLAIAVVALLLLCSLREGPASGEPIGGAPVRGGRLMERRCDEIYVVGEGETLHTISDKCGDPFIVERNPHIHDPDDVFPGLVIKLLPSEPK >Ma10_p28580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35426308:35429529:-1 gene:Ma10_g28580 transcript:Ma10_t28580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPHPVISYDSHTSNVMAVGFQCDGNWMYSGSEDGTVKIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTMTYFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFTLERVLTGHQRWVWDCVFSVDGAYLITASSDTTARLWAMSNGETIRMYQGHHKATVCCALHDGAESPPA >Ma10_p28580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35426308:35429529:-1 gene:Ma10_g28580 transcript:Ma10_t28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPHPVISYDSHTSNVMAVGFQCDGNWMYSGSEDGTVKIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTMTYFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFTLERVLTGHQRWVWDCVFSVDGAYLITASSDTTARLWAMSNGETIRMYQGHHKATVCCALHDGAESPPA >Ma06_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7478065:7479018:-1 gene:Ma06_g10740 transcript:Ma06_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSENTIRSIIGVIGNVISCGLFLSPLPTFVQIIKKGTVEQFSPVPYLATALNCMLWIFYGLPFVHPNSLLVITINGIGLTFESVYLTIFLIYSNTQGRLKVVKVLAAEIAFVVVVVVVVLLVAHTYERRTLIVGILCIIFGTCMYAAPLSVMVRPHFPRLRSIRKLRLW >Ma05_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:971127:971763:1 gene:Ma05_g01590 transcript:Ma05_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWWEQVVLLPMRRVQVGVAARLGIRRSGLRKLREEVRTCEYEDVHVLWEMLRKSTDTETRRQTPAIQRRRRTSLFHWSPCNLRRNL >Ma09_p03450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2310918:2312363:1 gene:Ma09_g03450 transcript:Ma09_t03450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDHKCGDNQAVGRWTLVGTTALVTGGTKGIGHAIVEELARFGAAVHTCSRNEAELNECLQQWRALNLKITGSVCDVSSDVEREKLMQTANSVFHGKLHILVNNAGTLICKPVVEHTPEDYRRIISTNLDSAFHLSQLAHPLLKASGRGCIVNISSVSGFVAIDSASVYAATKGALNQLTRSLACEWAKDNIRVNCVAPAYIRTPLIQTLSEDEEFVAREARRVPLGRLGEPEEVAAVVAFLCLPVSDYVDGQVIIVDGGRTVNGNN >Ma09_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2310918:2312381:1 gene:Ma09_g03450 transcript:Ma09_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDHKCGDNQAVGRWTLVGTTALVTGGTKGIGHAIVEELARFGAAVHTCSRNEAELNECLQQWRALNLKITGSVCDVSSDVEREKLMQTANSVFHGKLHILVNNAGTLICKPVVEHTPEDYRRIISTNLDSAFHLSQLAHPLLKASGRGCIVNISSVSGFVAIDSASVYAATKGALNQLTRSLACEWAKDNIRVNCVAPAYIRTPLIQTVMLSEDEEFVAREARRVPLGRLGEPEEVAAVVAFLCLPVSDYVDGQVIIVDGGRTVNGNN >Ma09_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4326498:4327756:1 gene:Ma09_g06780 transcript:Ma09_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENKRRAMESEEEEGKQRVPVLVASPSFREPFAEADDLLDPPPPVGTGDGGDESDVDDDDSEFAFVVKDPETGPAITAAEIFSNGQIRPIYPVFDRSLLLANGDDDRGRLVAEGEEKTAPLRRTLGKLLIEEREEHSASASSSSSSLGTDELEGIPPGTYCVWAPRSTAPSASRCKKSRSTGSSLRWRLRDLVIGRSQSDGKEKFVFLAAKGEEAVAAVSEESKKEKAKARDKGGKPTEVDGPTAHRVYYGKGRQK >Ma07_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7092569:7098439:1 gene:Ma07_g09500 transcript:Ma07_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGPGNSKLKLSVPGHEASIGKFLTQSGTFKDGNLLVNKDGLRIVSHSEEGGPPLIKPLDNQLSLADLDAIKVVGTGGGGIVQLVRHKWTSQFFALKVIQMNIQEDIRKQIAQELRISLSTQCPFVVLCYQCFYDNGVISIVLEYMDGGSLANFLKDVKTIPEPYLAAICKQVLNGLIYLHHEKHIIHRDLKPSNILINHSGEVKISDFGVSGIIASSSGQRDTFTGTYNYMSPERITGQKHGHISDIWSLGLVMLECATGQFPYPPHDSFYELLEEVVEQPPPFAPSDQFSVEFTSFISECLQKNPTDRKSAQVLLKHPFLSMYDDLKVDLASYFTSAGSPLATF >Ma10_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7919778:7921474:1 gene:Ma10_g02420 transcript:Ma10_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILSTFHYPSLAAASLISGGLSSIFSSPAAAVSVVSRCTVYPDRRSDLGDLPLSVSDLPMLSCHYIQKGLFFSSPPIPVASLLSLLTSSLSRALSIFPALAGRLCTLPDGRILVSCNDAGAEFSHATAASLSLPDLLPPSADVPPAVKSLFPLDGSISFHGHTRPLAAFQLTELADGAVFLGAAVNHAVVDGTSFWNFLNAWAELCRGGDPAKPDFHRNYFGGSKAVLCFPDGRGPEVTFPVNAPLRERIFHFSREAVLELKSRANRRTKNVVAGDSKDAEVYGKQTHDPKTVEVNDEEEISSFQSLCAHVWRSVTRARTRLPAEAITTFRIAVNCRHRVVPPVAANYFGNAIQSIPTKAAVGEVAGRDLRWVAALLHRSVAGHGDEAIRRGVAEWEAAPRCFPLGNPDGAGLTMGSSPRFPMYEGNDFGWGRPAAVRSGRANKFDGKMSAFPGHEGGGSVELEVCLTPETMTALLRDEEFMSYVSM >Ma02_p12460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21183742:21189400:1 gene:Ma02_g12460 transcript:Ma02_t12460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCNRAICMEELPAHLILEILSSGHLGAADLACFEATCRMFCGDHGLFPDRFQSMVEIAAFHLCKAHSIFSSFPPTARTKLLDRCGGNWKKVLKFLQSVEHSSSSVETSSGNMQVTAGRYHTLLVHGSSVYSCGSSSCGVLGHGQEITQCAAFSRISLPSQSFVTHISASHNHTAFVVQSGEVFTCGDNSSFCCGHGEVGHAIFRPTRIEALKGIPCKQVATGLSFTVILTTQGQVYTCGSNAHGQLGHGDTVDRPTPRKIELFEGLGHVVQIAAGASYTFAVTDDGVVHSFGSCTNFCLGHGNQHDELVPRAIESFKMRNIHIIRIAAGDEHAVAVDSSGYVYTWGRGYCGALGHGDETDKTSPELLTSLECHLAVQVCARKRKTFVLTNEGCVFAFGWTGFGSLGFSDRGISDKVLQPCMLDNLRPHRVSQISTGLYHTVAVTSRGLLFGFGDNERAQLGHDEMRSCLKPAEIMVHQAMDDTYIEVQDN >Ma02_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21183742:21189400:1 gene:Ma02_g12460 transcript:Ma02_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCNRAICMEELPAHLILEILSSGHLGAADLACFEATCRMFCGDHGLFPDRFQSMVEIAAFHLCKAHSIFSSFPPTARTKLLDRCGGNWKKVLKFLQSVEHSSSSVETSSGNMQVTAGRYHTLLVHGSSVYSCGSSSCGVLGHGQEITQCAAFSRISLPSQSFVTHISASHNHTAFVVQSGEVFTCGDNSSFCCGHGEVGHAIFRPTRIEALKGIPCKQVATGLSFTVILTTQGQVYTCGSNAHGQLGHGDTVDRPTPRKIELFEGLGHVVQIAAGASYTFAVTDDGVVHSFGSCTNFCLGHGNQHDELVPRAIESFKMRNIHIIRIAAGDEHAVAVDSSGYVYTWGRGYCGALGHGDETDKTSPELLTSLECHLAVQVCARKRKTFVLTNEGCVFAFGWTGFGSLGFSDRGISDKVLQPCMLDNLRPHRVSQISTGLYHTVAVTSRGLLFGFGDNERAQLGHDEMRSCLKPAEIMVHQAMDDTYIEVQDN >Ma02_p12460.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21183705:21189400:1 gene:Ma02_g12460 transcript:Ma02_t12460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCNRAICMEELPAHLILEILSSGHLGAADLACFEATCRMFCGDHGLFPDRFQSMVEIAAFHLCKAHSIFSSFPPTARTKLLDRCGGNWKKVLKFLQSVEHSSSSVETSSGNMQVTAGRYHTLLVHGSSVYSCGSSSCGVLGHGQEITQCAAFSRISLPSQSFVTHISASHNHTAFVVQSGEVFTCGDNSSFCCGHGEVGHAIFRPTRIEALKGIPCKQVATGLSFTVILTTQGQVYTCGSNAHGQLGHGDTVDRPTPRKIELFEGLGHVVQIAAGASYTFAVTDDGVVHSFGSCTNFCLGHGNQHDELVPRAIESFKMRNIHIIRIAAGDEHAVAVDSSGYVYTWGRGYCGALGHGDETDKTSPELLTSLECHLAVQVCARKRKTFVLTNEGCVFAFGWTGFGSLGFSDRGISDKVLQPCMLDNLRPHRVSQISTGLYHTVAVTSRGLLFGFGDNERAQLGHDEMRSCLKPAEIMVHQAMDDTYIEVQDN >Ma02_p12460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21183742:21189400:1 gene:Ma02_g12460 transcript:Ma02_t12460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCNRAICMEELPAHLILEILSSGHLGAADLACFEATCRMFCGDHGLFPDRFQSMVEIAAFHLCKAHSIFSSFPPTARTKLLDRCGGNWKKVLKFLQSVEHSSSSVETSSGNMQVTAGRYHTLLVHGSSVYSCGSSSCGVLGHGQEITQCAAFSRISLPSQSFVTHISASHNHTAFVVQSGEVFTCGDNSSFCCGHGEVGHAIFRPTRIEALKGIPCKQVATGLSFTVILTTQGQVYTCGSNAHGQLGHGDTVDRPTPRKIELFEGLGHVVQIAAGASYTFAVTDDGVVHSFGSCTNFCLGHGNQHDELVPRAIESFKMRNIHIIRIAAGDEHAVAVDSSGYVYTWGRGYCGALGHGDETDKTSPELLTSLECHLAVQVCARKRKTFVLTNEGCVFAFGWTGFGSLGFSDRGISDKVLQPCMLDNLRPHRVSQISTGLYHTVAVTSRGLLFGFGDNERAQLGHDEMRSCLKPAEIMVHQAMDDTYIEVQDN >Ma02_p12460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21183705:21188923:1 gene:Ma02_g12460 transcript:Ma02_t12460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCNRAICMEELPAHLILEILSSGHLGAADLACFEATCRMFCGDHGLFPDRFQSMVEIAAFHLCKAHSIFSSFPPTARTKLLDRCGGNWKKVLKFLQSVEHSSSSVETSSGNMQVTAGRYHTLLVHGSSVYSCGSSSCGVLGHGQEITQCAAFSRISLPSQSFVTHISASHNHTAFVVQSGEVFTCGDNSSFCCGHGEVGHAIFRPTRIEALKGIPCKQVATGLSFTVILTTQGQVYTCGSNAHGQLGHGDTVDRPTPRKIELFEGLGHVVQIAAGASYTFAVTDDGVVHSFGSCTNFCLGHGNQHDELVPRAIESFKMRNIHIIRIAAGDEHAVAVDSSGYVYTWGRGYCGALGHGDETDKTSPELLTSLECHLAVQVKFHKHPNNE >Ma03_p32400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34210970:34212489:-1 gene:Ma03_g32400 transcript:Ma03_t32400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANAIKCLIFLLGLLFCSGELVKDSEDDLSFHPSLMQTDVSSMPEMDVVTPVTTVPVINPSTTPTTTTPVYDPFTAPPTPIMTPTTNPYSTPSMVTPSTPSSGQSWCVASQTASQTALQVALDYACGYGGADCSAIQQGGSCFDPDTVRDHASYAFNDYYQKNPIPTSCDFGGTAVIVNADPSTSTCHYSSTSTTSPSSFNTTNPTGSAGSNVYGDVPTSTTSASALMLDGMTLVITLTSLLMSVIFYSLCK >Ma03_p32400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34210970:34212489:-1 gene:Ma03_g32400 transcript:Ma03_t32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANAIKCLIFLLGLLFCSGELVKDSEDDLSFHPSLMQTDVSSMPEMDVVTPVTTVPVINPSTTPTTTTPVYDPFTAPPTPIMTPTTNPYSTPSMVTPSTPSSGQSWCVASQTASQTALQVALDYACGYGGADCSAIQQGGSCFDPDTVRDHASYAFNDYYQKNPIPTSCDFGGTAVIVNADPSTSTCHYSSTSGSTTSPSSFNTTNPTGSAGSNVYGDVPTSTTSASALMLDGMTLVITLTSLLMSVIFYSLCK >Ma06_p29000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30523631:30524014:1 gene:Ma06_g29000 transcript:Ma06_t29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKSSSRKLQDSWTKEENKRFEMALAHFDLDTPDRWVHVARAVGNKSVEEVQRHYEHLLKDIELIDSTQEPFYSYPTTNDRRRNGTSDQQKRWLLLRYSKLSSYFFFISGTPPPFRRRPQSLPF >Ma08_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5033586:5038505:1 gene:Ma08_g07330 transcript:Ma08_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGSCSSGDEMTNNNEGADAMKKPPKRDIRRYYCQYCGICRSKKNLIRSHMLTNHKFELEDAQSDEVEGINKVDRMKRYTCKECGASFRKPAHLKQHMQSHSVERPFSCPVDDCRVSYRRKDHLTRHLLTHQGKLFACPVDNCNRKFGIKANMKRHMREIHEDESPCEGQKQYVCGESGCGRTFKYPSKLKKHEEAHVKMDCSEVVCCEPGCTKTFTNAECLKAHVRTCHQYAECEVCGTKQLKRNYKRHELKHELNEKTDRIECNFKDCNCTFSNASNLRQHIRAIHEKMRPFTCQFSGCGKKFPYKHVRDKHEKSCVHDHVQGDFIETDERLRSRPRGGRKRKCMSVETLQRKRVVPPSRASVLDDGVNYLRWLLNEQ >Ma09_p11200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7595266:7595931:1 gene:Ma09_g11200 transcript:Ma09_t11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDRSSEDVSMDSKGGNSQISKMDFSEDEEKLIARMYNLVGQRWSLIAGRIPGRTAKEIEKYWTSRYSTTSE >Ma09_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7595266:7595931:1 gene:Ma09_g11200 transcript:Ma09_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDRSSEDVSMDSKGVVSGGNSQISKMDFSEDEEKLIARMYNLVGQRWSLIAGRIPGRTAKEIEKYWTSRYSTTSE >Ma08_p29790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41266072:41270769:1 gene:Ma08_g29790 transcript:Ma08_t29790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSQGAAVVALRPPQPPLASGNGSDAGVAVEAGNPKTDVERFRHRVDEYISKANELEQKVNEVVEYYANRKQPNNSKGNSGGKDKEKKKPGNSGSNNSGNKLIDGSRKEAVCTKRMQELMRQFGTILKQITQHKWARPFMNPVDVKGLGLDDYYEVIKKPMDFGTIKNQMEAKDGNGYKNVREIYADVRLVFTNAMTYNDDRSDIHVMAKTLLDKFEEKWLQLLPKVVEEEAKQKDDEAQALANMQIAREAAFGKMARDTNSELDELNARLEELRKLVIQKCRKMSAEEKRKLGVGLSALSPEDLNKALEIIAEDNPSFQTTGEVVDVDMDAQSEITLWKVKFFVKGALELQAKNCASKADDNLKRKKEICDALAKTARKRNKKLSSL >Ma08_p29790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41266059:41270769:1 gene:Ma08_g29790 transcript:Ma08_t29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSQGAAVVALRPPQPPLASGNGSDAGVAVEAGNPKTDVERFRHRVDEYISKANELEQKVNEVVEYYANRKQPNNSKGNSGGKDKEKKKPGNSGSNNSGNKLIDGSRKEAVCTKRMQELMRQFGTILKQITQHKWARPFMNPVDVKGLGLDDYYEVIKKPMDFGTIKNQMEAKDGNGYKNVREIYADVRLVFTNAMTYNDDRSDIHVMAKTLLDKFEEKWLQLLPKVVEEEAKQKDDEAQALANMQIAREAAFGKMARDTNSELDELNARLEELRKLVIQKCRKMSAEEKRKLGVGLSALSPEDLNKALEIIAEDNPSFQTTGEVVDVDMDAQSEITLWKVKFFVKGALELQAKNCASKADDNLKRKKEICDALAKTARKRNKKLSSL >Ma09_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5386400:5391845:-1 gene:Ma09_g08180 transcript:Ma09_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGSPGSGKTTYCNGMFQFLKLIGRKVAVVNLDPANDALPYECAVNIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLQSKLKPFLEDHYLLFDFPGQVELFFLHSNAKNMITRLVKKLDLRLTAVHLVDAHLCCDPGKYVSALLLSLTTMLHLELPHINVLSKIDLIGKYGKLAYNLEFYMDVQDLSYLQHDLDQDPRSMKYRKLTKGLCDVIEDFGLVNFTTLDIQDKESVGNLVKLIDKSNGYIFAGIQGSVVEFSKIAAAPLDWDYYRTAAIQEKYMKDD >Ma09_p08180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5386701:5391845:-1 gene:Ma09_g08180 transcript:Ma09_t08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGSPGSGKTTYCNGMFQFLKLIGRKVAVVNLDPANDALPYECAVNIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLQSKLKPFLEDHYLLFDFPGQVELFFLHSNAKNMITRLVKKLDLRLTAVHLVDAHLCCDPGKYVSALLLSLTTMLHLELPHINVLSKIDLIGKYGKLAYNLEFYMDVQDLSYLQHDLDQDPRSMKYRKLTKGLCDVIEDFGLVNFTTLDIQDKESVGNLVKLIDKSNGYIFAGIQGSVVEFSKIAAAPLDWDYYRTAAIQEKYMKDD >Ma10_p26730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34276400:34277566:-1 gene:Ma10_g26730 transcript:Ma10_t26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLAPNDRCVEEEYFDMDFSCAASLCSSPPHSTEFEFQMSGEPPESQTMASPADDLFYKGRLLPLHLPPRLQMVEQLLHASDHIEKSAVVTCESGELHEFSDELIRSHPKKAWTRKLKLVKGATLGLSLKASKGYLKSLFSKPDCPSEKAAKKNPFGRVRIGSSVKNKEEKKMTEEENSDERRSFSGANHWQSATKSSVSTSYASSKSSSFSSVNSTEFHGQQMLRRSSSANSDVESSIQGAIAYCKKSQQEDSARKTANNVGFCLLPASRIAPDCEPEKTAFLVE >Ma10_p28420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35300438:35303923:1 gene:Ma10_g28420 transcript:Ma10_t28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVGWSWKWCLSLSAIGACMFMALKVLDFLWWRPKRVEEHFAKQGIRGPPYRFFVGCVKEMVGLMLEASSKPMMPQTSHNILPRVLSFYHHWKKIYGSTFLLWFGPTARLAVADPNLIREILLSRSDVFERYESHPLVRQLEGEGLVSLRGEKWAHHRKVLTPTFHMENLKLLIPFIGNTVLDMVGRLPTSGEEVEIDVSEWFQAVTEEAITQTAFGRSYEDGKAVFQLQTQQMVFAAEAFRKVFIPGYRFLPTKKNANSWKLEKEIKRSLVRLIGRRKERLGEEEKPGGDIKDLLGLMIDASASKQGIGSAAAGRQGVLRKPPPPPSSSTTTRITVRDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPEWQERARAEVLRVCGRHDVPSRDHLAKLKTLGMILNETLRLYPPAVATIRRAKADVALGGLHIPCGTELLIPIMAVHHDAGLWGPDVARFNPARFADGAGRAARHSTAFIPFGLGARMCIGQNLALLEGKLTVAILLQRFAFRLSPSYVHAPTVLMLLYPQYGAPILFRPLPQPSAAIHTDPASC >Ma09_p29360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39825035:39825581:-1 gene:Ma09_g29360 transcript:Ma09_t29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMMGMENDPLIATIHHLMDFPEEVEKVVSTPARAYVRDRKAMASTPADVKEVPGALVFEIDMPGAKTGEIKVQVEDDHTLVVSGERRRAEDKEAKYQRMERRMGKFMRKFPLPEDANLEAITACFQEGVLTVRVEKKPPPEPKKAKTIEVKVGGSSEG >Ma10_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30512715:30513280:-1 gene:Ma10_g20290 transcript:Ma10_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMTPVPDYRGQALAPSGHRSGSLGPFFAVMSAIVILTVFSCVFGRVCASRVVGPDASYDCVGWTRRRWSWCTWRRSIVSEAKAAVAAESEPSLALPQP >Ma06_p37450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36492068:36495406:-1 gene:Ma06_g37450 transcript:Ma06_t37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCAVQQALTPEAAAVVKQAINLARRRGHAQVTPLHVANTMLSSSTGLLRAACLRSHSHPLQCKALELCFNVALNRLPASSLSTPILGPTQTHLHHHHHHPPSLSNALVAAFKRAQAHQRRGSIESQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSMEICASVSPTRSPGKPKDSATHLTTPQKTKTRSLLQVKNEDVMSVVDTLVSGRRRRIVIVGECLATAEAVVGAVIDKVDKKEVPEGLRDVQFITLPLLSFKHMPLEEVDQKIGELRCFVKSCCVERGVVLYLKDLNCAAESRIGRGEKGRTYYCPLEHVIMEIRNLICGGFEGANSNERLCLVSAATYQTYTRCRIGNPSLETLWGLQPLQIPAGGLGLSLDCDSDLNQMRSKTGGAAQLLPPAEDEIGSHLVCCADSSINFEAEVEILRNPSCGSHGSISSSLPSWLKRYKEENSRANNVDQGCLRLKDLCGKWNSICGSSHKTSTHRSEITTNCSSVSPSSSSICTYGHHIPSLQQSHQPWTLSLGAKHPSRGHILVAEAVDEEPEHNSRINDRENAGQTLLILPCVYPQSNPNSNSSSGTMEMEFLSRFKALNAENLKALCNALERKVSWQQDIIPEIASTILQCRSGLIRRKDKRKPSERKEETWLFFQGSDTAGKERIARELARLVFGSYTNLITVGLGNLSSTRSDSTEDPRNKRSRAEASRSYLDCLFEALRENPHRVITMEDIEQVDYYTLASIKRAMEGGRLQSYGGEEVGLSDAIVILSCESFDSRSRACSPLVKQKAEAEDEKQEASEDVDTCHSIDLNVCAAGDIDLGTFDNAGVIESVDRAFFFKLPEEI >Ma10_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24257991:24258420:1 gene:Ma10_g10230 transcript:Ma10_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRSHCSYSRVDKEDPEERQHLKARFLIYRTLEEADTRQRRSSSRLRACRSKRKIGLKLKRLRVAFSRARRCVYRQVVKRFRHLNLFLVTQWAF >Ma04_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10177835:10178485:1 gene:Ma04_g13430 transcript:Ma04_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGNPNSPPPRIKSMLVVVLVMASMVAGGSATVERDVGSDTQVKCTCYPCGCAQSPPPPPPPKWPVPYCPPPPPAPFLYIVGAPGNLYPFDTANFPSSSCRSFAGLTPVFVLSGLVALLMLWWL >Ma10_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24886598:24892841:1 gene:Ma10_g11330 transcript:Ma10_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFPSIAMVSSYSSSTLEEPRICDPSAMEAAARVSGTVAVAACAAMAFFYVAILYSPTLILRLPPPASLDSFMIRRFACAAVSSAASVLACGLLLGLGKLDDLPSILGVLGIRRDHLWQAVAFPLLLTSFLYTGSFVSRSWNLISASTERSENSYGEDGICGQGCTDWVCAYARNVMAWRNYVVAPFTEELVFRACMIPLLLCGGFGTSSIIFFSPVFFSLAHLNHFLELYYQQRYRFMKAFLIVGFQLGYTVIFGWYASFLFIRTGNLISPIVAHVFCNVMGLPLLSSPRTKATRPGLYNDMRDGCSCWHRYCSWS >Ma10_p11330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24886598:24892841:1 gene:Ma10_g11330 transcript:Ma10_t11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFPSIAMVSSYSSSTLEEPRICDPSAMEAAARVSGTVAVAACAAMAFFYVAILYSPTLILRLPPPASLDSFMIRRFACAAVSSAASVLACGLLLGLGKLDDLPSILGVLGIRRDHLWQAVAFPLLLTSFLYTGSFVSRSWNLISASTERSENSYGEDGICGQGCTDWVCAYARNVMAWRNYVVAPFTEELVFRACMIPLLLCGGFGTSSIIFFSPVFFSLAHLNHFLELYYQQRYRFMKAFLIVGFQLGYTVIFGWYASFLFIRTGNLISPIVAHVFCNVMGLPLLSSPRTKGTATVAAAAGVVGFLYLLFPATRPGLYNDMRDGCSCWHRYCSWS >Ma04_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9997222:9997833:1 gene:Ma04_g13220 transcript:Ma04_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATVLWPCMLINSWIHQAVVLHFMAHHYHHHHLRYLHSPCDLTVRRTSISTSIKCKTFLSSHVIRHIHHVVQALTNAKSMVWELLSRRNATSSTKPRRRQEEEVFSSIKPHVTPISEPLNPEEFEVDRCLYYDSTWNSMISAEVMNCDTASCYDDEENEDEGGGGNEIDRLAEKFIASCYEKFRLEKQESYRRYQEMLARSM >Ma08_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7045001:7046323:1 gene:Ma08_g09660 transcript:Ma08_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRASKFKDKRSRPRKPARDRTPIPLLEELKSTADPAAALRRLQDADPDVLSYPVCSSLLYRLAKARLLPELNSLLALLRAHRIPCKEAAFNSLIRRLGRAGLPDRALALFLDLPSFDCPSAPSLQSLNSALAALVDNRRLDQARALLGRCADFGIRPNAVSYNILLHGCCENEGSGSARLLFDEMLARRVRPTVVSYNVHIGYSCRNGDSVTGMRLKEEMVKKGIQPNAITYALLMEGLCSEGKYDAARKLMFDMEYQGCKTTLVNYGVLMSDRGRRGDFDRIRELLAEMNRRKLKPDVVIYGILINYLCVAGRTAEAYKVLVEMQIKGCEPNAATYRMMVDGFCRAGEFEKAVGVLNAMLASKHSPRAESFRCLILGLCESGKLEEACFVLEEMIKRKMGLDVEGWKALAEATIGGNCVLEDQLLSQLIFQSP >Ma02_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14856967:14861962:1 gene:Ma02_g03460 transcript:Ma02_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLTSASSPLNSSIPIQEASSRNKRKFRADPPLADPNTVADALHMELPDYELFPTEKSTEIPIAEHNHAGICDVCRTHMFGHKEGLELDEFQDVDWSCLTESQLEEILLSNLDMVFRTAIKMITSHGYTEEIATNAVLSSGLCYGYKDTVSNVVDNALVFLRSGQEVDSSRRENVAEDLKKLEKSVLADMISVLRDVSPFFSTGDAMWHLLMFDANVSHACAMDSNLLNTVIYDEYLGTSTGSQLESGSVSNNTSPSINTETNVQGPEKLNRIISCPENMQKSNTAKVVGIPSLPCGRFSASNEDGMGPKPKPVKESLISSYNHAQESSIVVSRSSQEEKPVGSRKVHVGSSKREFVLRQKSVHFEKSYRSLGSKAASRACKQSGLSSLILNRKCNPVSDSASISLKSSSLKIGKGAGINKSTADANLNLSFSDGHSSSPSCIAKEISSQLPASSTNTELSLSLPSESNAGVSLKQEPNVNAANYSNNSPIHSDIMCRDWVPEDKKDEKLLVLVPLMHELQAQLQDWTDWAQQKVMQAARRLSKEKAELQTLRQEKEEVARLEKERQTLEENTRKKLAEMELAISKASAQVERANAAAHRLEFENTQLRLGMEAAKLRAAESAANCQEVSRREMKTVKMFQSWEKQQVLFQEELATEKHQLSQLQQQLEQVKKLRDQSEARWRQEEKLKDDTLTEANAERKEREQLETSAKSQENALKLEAENVLQRCKNDIRRLEQQIAQLRLVTHSSNIATLRWGTDKSYASRLSDGKRSNDSYVLAKIMDTQDLASEDLQRERECVMCLSEEMSVVFLPCAHQVVCTKCNELHEKKGMKDCPSCRTPIQRRVSVCSTDYQLEHVF >Ma06_p30770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31997666:31998192:1 gene:Ma06_g30770 transcript:Ma06_t30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMTGYDLLKAVMEQSCQKPIPVIVMSSENEPQRINSFLLEPRISSSNPSKLMTF >Ma11_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18958481:18961290:1 gene:Ma11_g13800 transcript:Ma11_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIAMLAASELLSAPTPPSQRGLRKQLQVEDAKHSPIERASIAMLASLGSFLSSLPFLVPPTEKPPPPPPVPFPKPKTDGFPPASIRSSAPVRPPSEVTMPAEKEGLVCSCYPSLALANLFYARGGYYNAQVVVGEDEPEEVLLRRFKREVMKAGVIQECKRRRWFENEQEKKKRKARDAARRNRKRRYQPKVRKQDNQEEPKANIREEEEEKDNWEIPEGELPYCT >Ma10_p27090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34484025:34488128:-1 gene:Ma10_g27090 transcript:Ma10_t27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWSRALTQISPYTFASIGIAISIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSKIYDPESLRAGYAIFASGIIVGFANLMCGLCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKTA >Ma04_p37650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35452638:35455021:1 gene:Ma04_g37650 transcript:Ma04_t37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEITGTGVAAVRGARPWRLADEMHPPGVGCRAVAAEHVRAFHRHEPEENQCSSAVVRHIRAPVHLVWSLVRKFDEPQKYKPFVSRCIAQGDLGVGRLREVNVKSGLPATTSTERLEHLDDTEHILSFKIVGGDHRLKNYSSIVTAHPETIDGKPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLAEISERLALQDYTEPVDL >Ma11_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10271323:10272648:-1 gene:Ma11_g10680 transcript:Ma11_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRKGAWTVEEDLLLMNYIASHGEGQWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHSRWGNRWSKIAQCLPGRTDNEIKNYWRTRVEKQAKQLRCDVDSQRFKDMVRYMWMPRLAERILASSGGSSAPLACERPAEGSVEVGQTSETQYASVSATGGTASSTQACDVVTASESVPSPGACDGLGSLDWEESLWSMVEVWSQQEL >Ma06_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12254004:12258410:-1 gene:Ma06_g18080 transcript:Ma06_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVAKCPSSSAAARLAPDHSPPRWSTLLRPFPRTVLAGSVAVPSSKNWNLGVACKQEVGTVAASSPKEAPSKNVEIGGLLGLSSEEYRDVIGPNSSEREPTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRTMVSKTLTCRIDKRENCNEKMEQFLQRCFYHSGQYESEENFADLDKELKEHEGGRLSNRLFYLSIPPNIFIDVVKSASKSASSKNGWTRVIVEKPFGRDSESSAALTRGLKQYLDEDQIFRIDHYLGKELVENLSILRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMKPLQLENVVIGQYRSHTKGGVAYPGYTDDKTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALETRRTEIRVQFRHVPGNLYKRSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLLYASRYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKKIAPELYPYGSCGPAGAHYLAANYNVRWGDTASDA >Ma05_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6587462:6589073:1 gene:Ma05_g08940 transcript:Ma05_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKCSHCGHNGHNSRTCINTRSSMVGGGGVRLFGVQLHMASPLKKSFSMECLSYTYPAASSSSPSSSSSSLGSIDEATQKISHGYLSDGLGGRTQERKKGVPWTEEEHRSFLIGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQNSLNKKKRRSSLFDVVANCEGAAHNTASSKSDDASFSSELHAPTLSLTMAGHKASETTTIDLNSSGQEQVIQMPSSQSPSSMERTRAQLSNLDLELRISSSPGSLCLGTIRVT >Ma04_p28680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29691735:29696760:1 gene:Ma04_g28680 transcript:Ma04_t28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLGFFSILVLLILIISASSSDDQRFTTDKIHFWFNGDGEQEQERAGGLSDEADSILMLERGGVARVPVNVHSFGAVGDGVADDTMAFRNAWYKACSLKNAVLLVPERHRYKVNATNFRGPCQRHLIVQVKGTIVAPDDPKDWDPKYPSTWLSFSNLNGVRFQGGGVIDGSGSKWWAASCKIDRTNPCKEAPTALTIDSSTKIRVKGLTIQFAQQMHFVIYRSDVVRVSGVRILAPKDSPNTDGIHIGESTDVAIQNCHIGTGDDCVSIVNASSNIKMKKIMCGPGHGISIGSLGKDKSTGIVTGVVLDTATISGTKNGLRIKTWQGGSGYVKSVRFENVKMNDVENPIIIDQFYCDSPATCKNQTSAVKISQVIFRNINGTSRTPHAMKFACSDTVPCSNIVLNNINLETENGTAKTFCNCAMGFHYGIVQPTADCLKSSSCGGIQEDAQRQTHDPTHTEL >Ma05_p05510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4178515:4183615:-1 gene:Ma05_g05510 transcript:Ma05_t05510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEEVLVEVPDLVDAGGGIRWACPTRSLVVLALSVCVLLPVIFWLPPFRTRRSVFVPDDPDSLRAEIQASFILQKPISQLAADAARLEYDIFEEIGIPNTKVSIISMQPLSPENSTYVVFGFLHNPKNASISLQALSILRSTLIELVLQQTNISLTSSIFGRPSAFELSKFPGGITVIPLPSTSIWDRAQVLFVFKLNNTIEQILENIDRLMSELKFGLNLRSYENVYVKLMNADGSTVAPPVTVEASVLSDVGSGTLLPDRMKQLAQVITGPDANNLGLNNSVFGKVRQVQLSSYLKPSILSLAPGPSPPPSPSPSPSNDVLYPVPPASSNPTLSPFAPAPSNDQHQQPPCLYCQISPSESPMAYPPAPKNGIQPHSWSVNAPEPSQRKIDPFPNHYPCPSLAPNNGQSVHLLPPLSSNHLPGLASPPSHEAMGSTPKGNPEHSPLSSAASYAPSPVQDVGNGGRLSPSPVPSLIPLTLLSKAATSMPWEMKLTGFLGFLVFQLICGP >Ma05_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4178515:4183615:-1 gene:Ma05_g05510 transcript:Ma05_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEEVLVEVPDLVDAGGGIRWACPTRSLVVLALSVCVLLPVIFWLPPFRTRRSVFVPDDPDSLRAEIQASFILQKPISQLAADAARLEYDIFEEIGIPNTKVSIISMQPLSPENSTYVVFGFLHNPKNASISLQALSILRSTLIELVLQQTNISLTSSIFGRPSAFELSKFPGGITVIPLPSTSIWDRAQVLFVFKLNNTIEQILENIDRLMSELKFGLNLRSYENVYVKLMNADGSTVAPPVTVEASVLSDVGSGTLLPDRMKQLAQVITGPDANNLGLNNSVFGKVRQVQLSSYLKPSILSLAPGPSPPPSPSPSPSNDVLYPVPPASSNPTLSPFAPAPSNDQHQQPPCLYCQISPSESPMAYPPAPKNGIQPHSWSVNAPEPSQRKIDPFPNHYPCPSLAPNNGQSVHLLPPLSSNHLPGLASPPSHEAMGSTPKGNPEHSPLSSAASYAPSPVQDVGNGGRLSPSPQKLQPPCPGR >Ma01_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1179164:1183647:-1 gene:Ma01_g01750 transcript:Ma01_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASEAARMERLESQVENGGGGAWEYLCLVRKLKARRSNKVLKHGLSILNDHRARSKLGAEEWTLYEQVAFAAMDCQQHDVAKDCIAVLSKQFPGSIRIGRVEGMLLESKGAWAEAEKVYARLLDDSPLDQIIHKRKVAMAKAQGDLSAAVKFLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPPYHLAYAEVLYTIGGLENLQTAKKYYASTVALTGGKNTRALYGVCSCSVAIRQLTKGRNKEEKEMSDLQTLAAEALQRDYKQRAPNKLPLLMSMLKNMKLNS >Ma03_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9185099:9188687:1 gene:Ma03_g11830 transcript:Ma03_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVCKEGMNGHLFDGRPCLVALASPDTNQRMGENQMNKNQQVMARSQLPALTQKNRGSSNFGRGGGGGGGGGNWERGDGTWNRGSMGNMRNRMGTVNGRGIMGNGGIVSLQVPSFPPVVAPHINPTFFWRGLAPGGNGMWPDPNMAGWGGEEQSSNGEDATSDRQHEKGTMGIRGWLKGIIMVLQREGVKRRKMRVLDRTAACDNAGFLKLIHGPHINGSGPSKGCWIVARRDTMEIFDYRKNFSTVT >Ma05_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32827549:32830372:-1 gene:Ma05_g21120 transcript:Ma05_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFRSHTHHRKGPARFRSLDFGERNGYLKGVITEILHDPGRGAPLARVTFRHPFRYKLQKELFIAAEGMYTGQFVYCGRKASLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVLARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSNCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKAEKTS >Ma05_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27730171:27731956:-1 gene:Ma05_g19350 transcript:Ma05_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVRANESWHSSWFHLLLLLLLAAAAASSCHGEKKQVLPLRELQLGDIKTSEKCLPQRSRRVNGATILEMKHQSYCSWSTKSREDRAWKLLALDNARVSSLQSQINDAAMSYSAEKPSSSPPPAGAQIPLNSGAKLQTMNYIVTIQLGGKQMTVMVDTGSDLTWVQCKPCRSCYTQEDPLFDPAASPSYQPIPCNSSMCDSLQMATGVSGACGADQPSCNYALSYRDGSYTNGVLARDRIDLAAVPVRGFVFGCGESNQGMFGGTSGLMGLGRSPLSLVSQTIPEFGGVFSYCLPTREFDSSGSLILGNDYSVYKNSTPVVYTRMITDPQQASFYFLNLTGISIGGTALRSSTQFNTQVLIDSGTVITRLVPSVYKTLRDEFLWHFSGYPPAPGYSILDTCFDLAGYKEVDVPTIRFTFDGDAEVDVDVNGVLYFAKPDASQVCLAFASLSYEEEIGIIGNYQQKNLRVVYDTVGSKVGFAEETCGYS >Ma05_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6016345:6019090:1 gene:Ma05_g08120 transcript:Ma05_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G45290) UniProtKB/Swiss-Prot;Acc:Q94KB9] MESMEPSLQDTPTWAAAIIFFFAIAVSYILAQSISFAGNWFRSRQKIALSDAIDKLKEELMILGFLSLVLAVVQRPISHICVPVKAADYMLPCRRLQPKSISSAAGYCVDRGMISLVSQQGIHQLHTFIFVLAVVHVLCSVTTMILGRAKMRRWKAWEKETQTTEYHVANDPNRFRLTRETTFAKRHISVATSSSSMYLWIKCFFRQFYDSVNRVDYLTLRHGFITAHFSRHTTFNFQEYTNRSLEDEFKTVLTISPPLWFLVVIFMLVDIHGWYSYFWLSFAPLITVLAVGTKLHVIVARMAVKLDRENTVITGAPPVQPNDDFFWFGNPRLILFLLHLAFFQNAFELTFFIWIWYEFGLKSCYHENFGITIARVTLAVVVQFLCSYITLPMYALVTQMGSEVKRSMFGERTKTVLRRWHEDVRVRRKKQHPHRRPPSTVSRQQQEISTQITSST >Ma08_p04220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2946991:2952349:-1 gene:Ma08_g04220 transcript:Ma08_t04220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRCHSPRPSASPALMDLDLDVSPWTFDPSPLVASLPSSFLLSSSSPLFFPPPPSPLWIFEDTAAVVSSSLADDPGFLKGNHDMRNAKANMADSKAMELQVPAVEEFSDGSFVIKERMTQALRYFKESTDHHVLVQVWAPVKNGDRCVLTTLGQPFILDPESTKLLQYRTVSLMYIFSVDEDDDADLGLPGRVFTRRMPEWTPNVQYYSSKEYQRLNHALCYNVQGTLALPVFEPSGQSCIGVVEVVMTSQKVNYAYEVDKVCKALEAVNLKSSEILDHPNVLIANDGHQAALAEILEILTLVCEAKKLPLAQTWVPCRHQTVLTHGGGPKKICSRFDGSCMGQVCMSTTDVAFYIIDPHLWGFREACVEHHLQKGQGVAGKTFAQRRPCFSKDITKYCKSEYPLVHYARMFGLAGCLAICLRSNHLGDDDYILEFFLPADCKSPGEQQDLLNSISGLLKQCFQNLKFITEVKFQGGKSPEVDLIADGSYELRPRLIYSPRGDTHVHIPSEANIDEPVDNDDSLNEENATPDLHGQHLATDSNVKKNGNKLLDSTALDNKTSYIPAKRRGKPEKTISLELLQQYFSGNLKDAAKSLGVCPTTMKRICRHHGISRWPSRKINKVNRSLSKLKHVIESVQGAGSLDLTSLSCPLPVAVDSVPWPVDLDSLKDLQDGVKRSEFCEFSPEIPCDREDQQDQPVSLRVHVKEQVDPQLNPVMDSRRSTGSSSEGSMDAPTSQGSCQGSPVNNFFVSSQPALSDLNQAQGTNCSSKLTLQNACYWSLLNENSLPVAVNSKPQLPVGVLIKDSGSSKELKINCTFAGVGSRDEPAMILTRDTSQPWVSQETKALTIKASYKEDIIRFRLPHNAGILALKDEISKKLKLEVGTFDIKYLDDDHEWVMLTCDWDLEECLDVSRSSGAHIIRLSVHDTVTNLGSSCESSEVDYHNYVTRQFNVG >Ma08_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2946991:2952349:-1 gene:Ma08_g04220 transcript:Ma08_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRCHSPRPSASPALMDLDLDVSPWTFDPSPLVASLPSSFLLSSSSPLFFPPPPSPLWIFEDTAAVVSSSLADDPGFLKGNHDMRNAKANMADSKAMELQVPAVEEFSDGSFVIKERMTQALRYFKESTDHHVLVQVWAPVKNGDRCVLTTLGQPFILDPESTKLLQYRTVSLMYIFSVDEDDDADLGLPGRVFTRRMPEWTPNVQYYSSKEYQRLNHALCYNVQGTLALPVFEPSGQSCIGVVEVVMTSQKVNYAYEVDKVCKALEAVNLKSSEILDHPNVLIANDGHQAALAEILEILTLVCEAKKLPLAQTWVPCRHQTVLTHGGGPKKICSRFDGSCMGQVCMSTTDVAFYIIDPHLWGFREACVEHHLQKGQGVAGKTFAQRRPCFSKDITKYCKSEYPLVHYARMFGLAGCLAICLRSNHLGDDDYILEFFLPADCKSPGEQQDLLNSISGLLKQCFQNLKFITEVKFQGGKSPEVDLIADGSYELRPRLIYSPRGDTHVHIPSEANIDEPVDNDDSLNEENATPDLHGQHLATDSNVKKNGNKLLDSTALDNKTSYIPAKRRGKPEKTISLELLQQYFSGNLKDAAKSLGVCPTTMKRICRHHGISRWPSRKINKVNRSLSKLKHVIESVQGAGSLDLTSLSCPLPVAVDSVPWPVDLDSLKDLQDGVKRSEFCEFSPEIPCDREDQQDQPVSLRVHVKEQVDPQLNPVMDSRRSTGSSSEGSMDAPTSQGSCQGSPVNNFFVSSQPALSDLNQAQGTNCSSKLTLQNACYWSLLNENSLPVAVNSKPQLPVGVLIKDSGSSKELKINCTFAGVGSRDEPAMILTRDTSQPWVSQETKALTIKASYKEDIIRFRLPHNAGILALKDEISKKLKLEVGTFDIKYLDDDHEWVMLTCDWDLEECLDVSRSSGAHIIRLSVHDTVTNLGSSCESSEVDYHNYVTRQFNVG >Ma08_p04220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2946991:2952349:-1 gene:Ma08_g04220 transcript:Ma08_t04220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRCHSPRPSASPALMDLDLDVSPWTFDPSPLVASLPSSFLLSSSSPLFFPPPPSPLWIFEDTAAVVSSSLADDPGFLKGNHDMRNAKANMADSKAMELQVPAVEEFSDGSFVIKERMTQALRYFKESTDHHVLVQVWAPVKNGDRCVLTTLGQPFILDPESTKLLQYRTVSLMYIFSVDEDDDADLGLPGRVFTRRMPEWTPNVQYYSSKEYQRLNHALCYNVQGTLALPVFEPSGQSCIGVVEVVMTSQKVNYAYEVDKVCKALEAVNLKSSEILDHPNVLIANDGHQAALAEILEILTLVCEAKKLPLAQTWVPCRHQTVLTHGGGPKKICSRFDGSCMGQVCMSTTDVAFYIIDPHLWGFREACVEHHLQKGQGVAGKTFAQRRPCFSKDITKYCKSEYPLVHYARMFGLAGCLAICLRSNHLGDDDYILEFFLPADCKSPGEQQDLLNSISGLLKQCFQNLKFITEVKFQGGKSPEVDLIADGSYELRPRLIYSPRGDTHVHIPSEANIDEPVDNDDSLNEENATPDLHGQHLATDSNVKKNGNKLLDSTALDNKTSYIPAKRRGKPEKTISLELLQQYFSGNLKDAAKSLGVCPTTMKRICRHHGISRWPSRKINKVNRSLSKLKHVIESVQGAGSLDLTSLSCPLPVAVDSVPWPVDLDSLKDLQDGVKRSEFCEFSPEIPCDREDQQDQPVSLRVHVKEQVDPQLNPVMDSRRSTGSSSEGSMDAPTSQGSCQGSPVNNFFVSSQPALSDLNQAQGTNCSSKLTLQNACYWSLLNENSLPVAVNSKPQLPVGVLIKDSGSSKELKINCTFAGVGSRDEPAMILTRDTSQPWVSQETKALTIKASYKEDIIRFRLPHNAGILALKDEISKKLKLEVGTFDIKYLDDDHEWVMLTCDWDLEECLDVSRSSGAHIIRLSVHDTVTNLGSSCESSEVDYHNYVTRQFNVG >Ma08_p04220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2946991:2952101:-1 gene:Ma08_g04220 transcript:Ma08_t04220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAKANMADSKAMELQVPAVEEFSDGSFVIKERMTQALRYFKESTDHHVLVQVWAPVKNGDRCVLTTLGQPFILDPESTKLLQYRTVSLMYIFSVDEDDDADLGLPGRVFTRRMPEWTPNVQYYSSKEYQRLNHALCYNVQGTLALPVFEPSGQSCIGVVEVVMTSQKVNYAYEVDKVCKALEAVNLKSSEILDHPNVLIANDGHQAALAEILEILTLVCEAKKLPLAQTWVPCRHQTVLTHGGGPKKICSRFDGSCMGQVCMSTTDVAFYIIDPHLWGFREACVEHHLQKGQGVAGKTFAQRRPCFSKDITKYCKSEYPLVHYARMFGLAGCLAICLRSNHLGDDDYILEFFLPADCKSPGEQQDLLNSISGLLKQCFQNLKFITEVKFQGGKSPEVDLIADGSYELRPRLIYSPRGDTHVHIPSEANIDEPVDNDDSLNEENATPDLHGQHLATDSNVKKNGNKLLDSTALDNKTSYIPAKRRGKPEKTISLELLQQYFSGNLKDAAKSLGVCPTTMKRICRHHGISRWPSRKINKVNRSLSKLKHVIESVQGAGSLDLTSLSCPLPVAVDSVPWPVDLDSLKDLQDGVKRSEFCEFSPEIPCDREDQQDQPVSLRVHVKEQVDPQLNPVMDSRRSTGSSSEGSMDAPTSQGSCQGSPVNNFFVSSQPALSDLNQAQGTNCSSKLTLQNACYWSLLNENSLPVAVNSKPQLPVGVLIKDSGSSKELKINCTFAGVGSRDEPAMILTRDTSQPWVSQETKALTIKASYKEDIIRFRLPHNAGILALKDEISKKLKLEVGTFDIKYLDDDHEWVMLTCDWDLEECLDVSRSSGAHIIRLSVHDTVTNLGSSCESSEVDYHNYVTRQFNVG >Ma08_p16830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20951034:20977857:-1 gene:Ma08_g16830 transcript:Ma08_t16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGGSSPSFCSYTSVLPCFFLAFFMYFIAAVSVVVPGSSCYALDNTSRLVDFTDWIGHPFEFDGKDADLVVRFCKDVEHRSQVGYVDFGRYETSNYFVSGSGPVDFVQGYYNGDLKNCESSFDKMGRTAQVEIICGSCLNGACKGEPGCICSVNYDQTMCRVLVELAIPCVKHGSRVFEGFTVGFHPRTWEVVYNGMTQVGYEKLHNEFSFGTEQTHVSLYLTAVSSLSRLVKKPHFKVNPNKGLEVKLTGSAESGRAPTTLSPTVLNIYWRCEQARDTPYEIEILIPVDGYDPIGFTLTKLCDYKQGREGDATSGWATFGVLSCIFIVSSTLICCGGFIYKTHVQLQHGLDALPGMTILSALLETVTRPRVYSAGEEDSRNFANHASWECPAASAQGSQRTDERRYGSI >Ma08_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20951034:20977857:-1 gene:Ma08_g16830 transcript:Ma08_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGGSSPSFCSYTSVLPCFFLAFFMYFIAAVSVVVPGSSCYALDNTSRLVDFTDWIGHPFEFDGKDADLVVRFCKDVEHRSQVGYYNGDLKNCESSFDKMGRTAQVEIICGSCLNGACKGEPGCICSVNYDQTMCRVLVELAIPCVKHGSRVFEGFTVGFHPRTWEVVYNGMTQVGYEKLHNEFSFGTEQTHVSLYLTAVSSLSRLVKKPHFKVNPNKGLEVKLTGSAESGRAPTTLSPTVLNIYWRCEQARDTPYEIEILIPVDGYDPIGFTLTKLCDYKQGREGDATSGWATFGVLSCIFIVSSTLICCGGFIYKTHVQLQHGLDALPGMTILSALLETVTRPRVYSAGEEDSRNFANHASWECPAASAQGSQRTDERRYGSI >Ma07_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7390624:7391988:-1 gene:Ma07_g09920 transcript:Ma07_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENKHIIIKHAIDGLPNESDFELRSAPLVLSAAQGSKEVVVKNLFLSIDPYQLNRMKTYSSTQKTSAAATRIQPGQRIDAFGVGKVVVSGNQEFERDDVVVGLLAWEEYTVVRPGTTLTKVDPHEFPLSYHASILGTSGLTAYAGFYDICKPKKGETVFVSAASGSVGSLVGQYAKLSGCYVVGCAGSKTKVDLLKEKLGFDDAFNYKEEPDLKSALRRYFPEGIDIYFDNVGSAMLEAAVANMNLFGRVAVCGAISEYADAGKRVALDMVDVIYKRITLRGFLALDHLHVYAGFISSTSDHLRHDRMRAVEDISTGLESVPSAFAGLFRGDNVGKTLVQLNE >Ma03_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15530838:15537094:1 gene:Ma03_g15510 transcript:Ma03_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNFGFVELEEGWQILEMAFTKASKILEGYIDVRFTPEEYMNYYECVYRMCTQRPFQYAGVLCNRYKSKLEECIRSTVFPFLKDKHGHTLLRDLLKMWSNYKIMVHRLVSPILSDALKSVATDLRNQGEDIDIYLLRSIMSFIGEAEVAVPGFGNLFEVAIVYLSSSYNSRELYDFSPRSVM >Ma06_p32550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33455108:33456309:-1 gene:Ma06_g32550 transcript:Ma06_t32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDVQIRVRRRPTKRRRSRGFVKAVADYLASDCYMYAPILDVPPPDLPPSSAACSPPTTEIRTHRSISCHTEMVSAPSDKRVSVMQLQRLISK >Ma08_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8069091:8074929:1 gene:Ma08_g10940 transcript:Ma08_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGEQRSEAQDKRPIGVRFLEYITGSRLSFRTYQTIVLVLTFLAYASYHATRKTTSIVKSVLDPETTRLGFSHWSRFYFLRTVEGTEPKPRLKDGWSPFDTSDGTAMLGQIDVAFLSVYSLGMYFAGHLGDRFDLRILLTIGMVGTGIFTSLFGAGYWLNIHSFYYFLAVQMLAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSIGNISGSLIASALLKYGWGYSFAVPGLSIALTGLMVFLFLPVCPEKIGIESEEDSLLKSPKKNGITEPLLEGRSDEKEKAVGFFEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTAIDGSYLSDSTAGTLSTLFDVGGVVGGILAGHISDRLDARALTAAGFMYCAIPALFFYRIYGSISLYWNIALMFVTGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVFTMLMSAALVAGLLLMKLVVAEVSAKMESARLRANWRQRSPVPELLV >Ma03_p26920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30467682:30468150:-1 gene:Ma03_g26920 transcript:Ma03_t26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAVAKVDHFILLTSLGTKKIGFPVAVLKCLHFSLLMLMVSVTNNYFDQSSSH >Ma02_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16359074:16359843:-1 gene:Ma02_g05010 transcript:Ma02_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGMGDMSMNNSNMAKRHYTHMTFFWGKNSEILFSGWPGTRGGMYALALLLVFALSFLVEWLNHCRLIRPGTGHVAAGLARTTLHAARVGLAYLVMLAVMSFNGGVLIAAVVGHAVGFLLFGSSVFRKTPLQPTDNDGLKGDLPPMAC >Ma04_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25340280:25341761:1 gene:Ma04_g23140 transcript:Ma04_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVADRAESLSRLCVWVNGPIIVGAGPSGLAVGACLREQGVPFVILERADCIASLWQKRTYDRLRLHLPKQFCQLPKLPFPEEFPEFPTRKQFISYLESYAKQFEISPRFNQSVQSAKYDETSGLWRVTAVVAGDDSRNRSAEVEYIGRWLVAATGENAEKVVPELEGLEEFGGDVKHVCDYKSGEAYRGKRVLVVGCGNSGMEVSLDLCDHDASPSMVVRDSVHVLPREVLGKSTFELAVLLMKWLPLWLADRILVVLAWLVFGNVEKLGLKRPSTGPLELKNTQGRTPVLDIGALGKIRSGEIKVVPGIKRFIQGKVELVDGQLLDVDSVILATGYRSNVPRWLRGSDFFFSKDGLPKSPFPNGWKGSYGLYAAGFTRRGLSGAAADAVRIADDIGRLWKEESEPAKKLICLP >Ma06_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4358680:4359816:-1 gene:Ma06_g05900 transcript:Ma06_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPWRRLRRGRGTSTAPAATTAPRASPSSAGREVPPLKPAGSGLPDTSSRRSPRWRNSARPSPYWTSTRSRGSRGSSSGSSWRGTRRPLPHRGRRRGQGRRVRRAGRGWAMQRGYAGRRGTRWSLQRGRGEGAEGRLRWDRAVINGFSEFTE >Ma03_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:123242:125259:1 gene:Ma03_g00070 transcript:Ma03_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWWSCGVTRWPRSSTVHNRYAGDEEGKGRIYNFIAKTVIFQGKRWNISWTRVELGRDNMDIPQCDSEGRRLREFYSVTLKKDLQQADTRTKECVPGGLSRSGLMQTHPYMEEQ >Ma04_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22858486:22869384:-1 gene:Ma04_g20170 transcript:Ma04_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPGRKRRHFSSISPTGAGPAAKKQPFAPFSEDKKLDVAVLRYKNQKLAEQLEAQKFEYLALENKFHRLKEKQETHDDTLVVVNKYWGRLVGDLVSLSVSASRSTGAVHLKDSHVTENGPVSHTEDDFLTRLTETGATECSDDVAPTLNQNDLQAAQLTKKNILQNIICSFNHVWHVNEVCAAAALATLPEDEPSRTLLKTAKDLEVEIRKFLMAVSDLHLKHRLLADNVQNHRDVDIKNKAECKRLAEELANTVSELEESNRRLTVLKSQKDTAQTPRFLFQTLGNKPVGADKVKDVQKDMQDMEFYLKELMDLVSSRLTEISRLNEERIEILKKLAYLRNALMNVKSISSSKAFQLLNAHLQGSREEMDVCRALLEKLQVEKDSFSWHEKEVNLKVELADIAQRVSLFSESRIAELEQILQKLANERVLLETKFEEATREPSRKEIIHEFKALVSSLPKDMGIMQSEIDKNKGAAVELNSLRAEVQSLSSMLHRKENEIKSLSGNSAHQLSEIKKLHSVLHDLRESNQESMLFLEMYKRESTDSRDVIESKDSEYKTWALVQSFKSSLDEHNLELRVKAAIEAEATSQKRLTSAEAEIDELRRKLEACGRDISKLSEILKSKHEEGEAYISEIESIGQAYEDMQTQNQHLLQQIIERDDYNIKLVVEGVKATQLQEALRLELQTMNKKLQQANLLVDLYNIKVSQMDDLLKVCSDQVGKLAEDGWQSTVMYENTKKRLLEVQTESDNLRQSFDGMQNKVEKGRVDVANMLMELEKERYDKKRVEEGLDVMTRKAAYLRAQINGSTVLEKLRQEVKEYRGILKCSICLNRQKEVVIVKCYHLFCHQCIQRTSSSRQRKCPTCGTSFGPNDVKPIYI >Ma01_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22002832:22005932:1 gene:Ma01_g21840 transcript:Ma01_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTNTGGQAKSCRFLFVLWSAVSEWSLIIILLVNAVLAYVATRIARFCMLPAPCLLCSRLDPILGNERREFYRNLFCHEHKVDISSLVYCHGHRKLADFREMCEACLLSSAATVRMPKTIFGVNLEDGYESNSKIRGEDLDDAPPLKEDLEPGSLGAGLCSCCSEPFSLRRKLQAKKKKKKIGGGLPGRRVQLRKRQDKSLDPTAVDGDLLSHVGYSELKITSDSESDERFSDDEDDNDDDGHGLVRVAKDIKEESVMRRRLRPGMANINQGLATTVLEDMVPERLIHPNPVVPQNKPLNEGDSRDKSSLMSRVGHGLEEANWSSADVKANPVESSSPEQLPREVATTETLIYTERGVVDCVPGKLSSSTGITTQVGPIDRNDSLKNAFAKKGIMLSPRFSEIVAGKAQEDLKLRLSQKSYRVLDLPWSDITTSPRVQLQGEDLKSADVSGSIGLQNIAKRLYVERNNSSLESFDVNLLGDIEGETSVDRLKQQIELDRKSLSALYKELEEERNASAVAANEAMAMINRLQEEKAAMQMEALQYLRMMEEQSEYDQEAIQKLNDLLTEREKELLDLEAEIESYRKRLGEALEEKVSWAESRELASATSTPRPIRSLARSKSEKTDSLPQEYTEYGVKDLLLGFEEEKAHIATCLKRLQKKFHLLSTGKASWDETAAEPDRAIGNGEYGGHDGNSSENGSSPSSDRKMPSENGNSDISHLQDEVSEMNERLRELDDDREFLGHAISALRYGSDGVRLVKEIAGHLKELRRIPIAGEGMAA >Ma06_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5139283:5142752:1 gene:Ma06_g07220 transcript:Ma06_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERAGYRVSRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQTTTG >Ma04_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12156859:12157206:-1 gene:Ma04_g15740 transcript:Ma04_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLPSLSHEPRRRKSKNRPHGAVAPLHHRADEPEKGGQPQAEDDGNKVGARVKVVMTKEAAAVLLSMLAGSNGRKVESMLRELKEEKGRSFSSAKPASGGDLWRPTLESIPEN >Ma08_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6658577:6664210:-1 gene:Ma08_g09190 transcript:Ma08_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLKNPKKAKRKNKESKKSESSSVPSAPAKVWQPGVDQLEEGEELQFDPSTYNYLRAFSIGWPCLSFDIVHDSLGLVRSEFPHTFYGVAGTQAEQASWNYLGIFKLSNISGKKRELVPVSTADNDVDMDSESSSDEDDDDEDDKSSQPVLHLHKVAHQGCVNRIRSMIQRPHICATWADTGHVQVWDISTLLNALAESVSDTTVGGNSIHRLSPIVKFGGHKDEGYAIDWSPIVPGRLVSGDCNSSIHLWEPSAETWNVDSSPFVGHAASVEDLQWSPTEADVFASCSVDGTIAIWDTRLGKSPALSVKAHNTDVNVISWNRLASCMIASGSDDGTFSIRDLRLIKGDSLVAHFEYHKQPITSIEWSPHEASTLAVASADNQLTIWDLSLEKDEEEEAEFKAKMKEQVNVAQDLPPQLLFVHQGQKDLKELHWHTQIPGMVTSTAADGFNILMPANIDTTLPSTDA >Ma07_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4876066:4877918:-1 gene:Ma07_g06790 transcript:Ma07_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVISRCCNNSHESNEELRGRVVVLEEEIREMKRARERDAWAYEQRLADFASKEEEWKREIRKKQEEEEEEEEKKMLSKMSKEDRRRPCLEICEDDKERHFLVECMEEEQARREEAVAKWKQLYLTIKTELDDLIQRTREGERFGWGTEESMMEQLQKDLRDREEAMETLRSHIAVMAKEASKKDREIDILRQSLRILSYRQKGVSRMNLPRGFCSLAGGRDQ >Ma02_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16961489:16962023:1 gene:Ma02_g05730 transcript:Ma02_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCWDQRRRLEHSESAFFVLLPTPPTLGMIVHRMAKGYYGSKFATEVAPPELVSVVKRKAAKVLDTIEEEEEELELMEDLSGTWEITPAGIDREGL >Ma10_p02740.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9392495:9418891:1 gene:Ma10_g02740 transcript:Ma10_t02740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHPGASKAPMKFRMPTAENFVPIRLDLDIDGQRFKDAFTWNPNDPDSEVNNFAKRTVKDLKLPPTFVTQIAQSIQTQLAEFHSYEGQEMHIKEKIVPLKIDLRVNNTVIRDQFLWDISNLESDPEEFARTFCKDLDIGDPEVGPAIAVSIREQLYEIATQSISSARETRVGKKGRRGADIVANSFVFCSKAGNTVDLGKLFGSKGSVIRKRKEWYLYEPIVDILSNEEVGALDAREELNARLKRKLDEKEESFQTRYSHG >Ma10_p02740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9392495:9418891:1 gene:Ma10_g02740 transcript:Ma10_t02740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHPGASKAPMKFRMPTAENFVPIRLDLDIDGQRFKDAFTWNPNDPDSEVNNFAKRTVKDLKLPPTFVTQIAQSIQTQLAEFHSYEGQEMHIKEKIVPLKDISNLESDPEEFARTFCKDLDIGDPEVGPAIAVSIREQLYEIATQSISSARETRVGKKGRRGADIVANSFVFCSKAGNTVDLGKLFGSKGSVIRKRKEWYLYEPIVDILSNEEVGALDAREELNARLKRKLDEKEESFQTRYSHG >Ma10_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9392491:9418894:1 gene:Ma10_g02740 transcript:Ma10_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHPGASKAPMKFRMPTAENFVPIRLDLDIDGQRFKDAFTWNPNDPDSEVNNFAKRTVKDLKLPPTFVTQIAQSIQTQLAEFHSYEGQEMHIKEKIVPLKDISNLESDPEEFARTFCKDLDIGDPEVGPAIAVSIREQLYEIATQSISSARETRVGKKGRRGADIVANSKAGNTVDLGKLFGSKGSVIRKRKEWYLYEPIVDILSNEEVGALDAREELNARLKRKLDEKEESFQTRYSHG >Ma10_p02740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9392491:9418894:1 gene:Ma10_g02740 transcript:Ma10_t02740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFHPGASKAPMKFRMPTAENFVPIRLDLDIDGQRFKDAFTWNPNDPDSEVNNFAKRTVKDLKLPPTFVTQIAQSIQTQLAEFHSYEGQEMHIKEKIVPLKIDLRVNNTVIRDQFLWDISNLESDPEEFARTFCKDLDIGDPEVGPAIAVSIREQLYEIATQSISSARETRVGKKGRRGADIVANSKAGNTVDLGKLFGSKGSVIRKRKEWYLYEPIVDILSNEEVGALDAREELNARLKRKLDEKEESFQTRYSHG >Ma07_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27352337:27353508:-1 gene:Ma07_g19330 transcript:Ma07_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVLVAIALLAISIADSGSKVLAKATEHSEEEYMPASNGQGTLRTYQCPSQCTRRCSRTQYHKPCMFFCQKCCMKCLCVPSGFYGNKGECPCYNNWKTKRGGPKCP >Ma05_p31370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41315094:41322873:1 gene:Ma05_g31370 transcript:Ma05_t31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGEFGELKPLLLRISAVAAACLAAFFFGKHWSSASYPQLPFYPRFPVVSSFDSATPYVAISPNAHFSVDVSSLISSLSPPLHAASPAIPSHPPPPPPPPPQLPERIGVVDDEGNMRDDFDVGALDSEVVDVFDEVNGTSKDSEGEDTRVRVGINKFKVCPSSMSEYIPCLDNAEAIERLQSTIRGEKFERHCPEQSKGLNCLVQAPKDYKTPIPWPRSRDEVWFSNVPHTRLVEDKGGQNWITKVKDKFKFPGGGTQFIHGADQYLNQISKMVPDIAFGYHTRVALDIGCGVASFGAFLLSRNVITLSIAPKDVHENQIQFALERGVPAMVAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEASQQKAWLEMQDLAARICWELVKKEGYIAIWRKPLSNICYESRDSGAQPPLCNADDDPDNVWYVNMTACISRLPEIGYGANVSTWPARLQEPPQRLQAVVMDAYIAKNELFMAESRYWDDILASYVRAFHWKKMKLRNVMDMRAGFGGFGSAFINHQIDCWVMNVVPNSGPNTLPVIYDRGLVGVTHDWCEPFDTYPRTYDLLHAFGLFSEEQKRCNITSILLEMDRMLRPGGHAYIRDLKYIINDIQQIAEAMGWRTNLRDTAEGPYASRKILMCQKH >Ma09_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7983414:7985870:-1 gene:Ma09_g11750 transcript:Ma09_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAVSMQLALFLVSVASLAASLADGVTPQIYSDEQQPLTASEFDSTTVPAFPLARTDNHSACRLDLSDELFGGVGDACVRGGLDRSRCCPVLAAWLFAAHARSALEIRPPPAAEDGLGGADGPMMPDDNQKCVDSLQSALERRDIRLPRPNATCDTVLCYCGIRLHQIGSLRCPTAFNVSGGANAARNVTPTASVRQLERDCRNASYAGCNRCLHSLEKLKETGGGVGGDNGDRATKMLGRDCQLMGLTWLLARNKTAYIPTVSAVLRAVLYSAHPPQADGSGGGSGYKCSPDQENMPLAVDSLQFPHQPDSAAAAATTYRSASPSALVLSSILLPILLLSRLPLL >Ma08_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:379520:384500:1 gene:Ma08_g00420 transcript:Ma08_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAD1 [Source:Projected from Arabidopsis thaliana (AT5G48870) UniProtKB/TrEMBL;Acc:A0A178USD1] MSHNPSQLLPAELIDRCIGSKIWVIMKGDKELVGTLKGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >Ma09_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8731239:8736002:-1 gene:Ma09_g12970 transcript:Ma09_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRERLLPRKPSTSTSAVAVAAARESTTGRRPLFQGVDFSGLKKRGQSLRSWIRVDAATAASQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVIELQRRLAAGSGDVLAGGEPSPDDLPFEFRALEVALEAACTFLDAQAAELEIEAYPLLDELTSKISTSNLERVRRLKSRLLALTRRVQKVRDEIEQLMDDDGDMAEMYLTDKKRRMEASFCGDQSFHGFNSAGGVSVSAPVSPVSSPPESKRLEKALSLARSRHDSMKSSSSTTSNIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDDVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIALFDVPSAFQWVLVITGVCGLVIFCLFLWYFKYRRLMPL >Ma10_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28618697:28620571:1 gene:Ma10_g17090 transcript:Ma10_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFLTITRYDYNPHKFTKEENDLMESINYVGIIQYYMVCKH >Ma07_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1233938:1243039:1 gene:Ma07_g01590 transcript:Ma07_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFYADFMELMSKPAVVETLIDVLLCAVPIWVAVMIGLVIGWSWRPRWTGLLFLGLRSRFRFIWTAPPGLGARRLWLAFTALSAFSVCRRLWSSFKGKREGPSIAGETGSRAAALTSSTLVEGGGDILSNGVVVAEHDIISEKDLEHLLHLLDDKVGDAAWQNQMERTTPNMIYHAWRHEPEVGPIIYRSRTVFEDATPELVRDFFWDDDFRLKWDSMLAYYEILEEIPESGTMINHWIKKFPFFCSDREYIIGRRIWESGGTYYCVTKGVPYQSLPKNEKPRRVELYFSSWRIRAVESRKQDGQLSSCEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKRLQSGMRAYQMMRKTEASLSRCALMARITTKIPIDGSITPLEAETSRASEAASGIVNSTQLHGLDWKWVVVGGVAVVCGLQAGLVGKALLVGAARRLAKK >Ma11_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7784658:7784974:1 gene:Ma11_g09450 transcript:Ma11_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 12 [Source:Projected from Arabidopsis thaliana (AT2G30810) UniProtKB/Swiss-Prot;Acc:Q6GKX7] MKQSGGEGSLRPEECAGKCEYRCSETSHKKPCLFFCKMCCAKCLCVPSGTYGHKEECPCYNNWKTKEGKPKCP >Ma02_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24339776:24351662:-1 gene:Ma02_g17460 transcript:Ma02_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGAEDSSPKPPPLEWKFSQVFGERAEGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDIRDHGSRKDLEKEDYPITRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGAVFLLSTNDKTIKYWKVHEKKVKKISEMNMDASDSIASSSMTSPQRNLPNGGCSEGPYNRSSNDLSLPPGGFPSLRLPVVTSQETSLIARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLLDLRQSALCDKHFKMFEEREAPGSRSFFTEIIASISDIRFAKDGRHILSRDYMTLKLWDINMESGPVATFQVHEHLRPMLCDLYENDSIFDKFDCCLSGDGLRVATGSYSNIFRVFGCTPGSNEATTLEASKNPTRRQVQTTPKPARSLSTLARVVKRGAESPGIDANGNSCDFTTKLLHLAWHPTENSIACAAMNSLYMYYS >Ma03_p01640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1138096:1141844:-1 gene:Ma03_g01640 transcript:Ma03_t01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGGEMMKAVASKALVIKINVAFLGFFIFAYILLLVLQPASLYNQNATKMVSCSLRGCHVKKAEGSIRLQAARENRTTMETVPSFLKRLHGGMKVALVNVGAEEALDWGLPSGTTAVDFEPISDNFQWKDLFPEWIDEEEENEGPSCPEIPMPDLSSCGEVGMVVAKLPCWRAETAGWARDLSRLQVHLVAAAAAAQRGRRDARGAVKVVLLSACRPMMELFRCDDLVAREGEWWMYEAEAWRLEEKLALPIGSCNLALPLWDKGTDVVYDASKLAEAATPRRREAYATVLHSSDTYVCGAVALAHSIVRTGSTRDLVLLHDNTVAPHKLRGLAAAGWTLREIERIRNPRAQRGTYNEYNYSKLRLWQLTDYHKVVFIDADILVLRSLDVLFRFPQISATGNDGVIFNSGVMVIEPSNCTFKALMALREDVVSYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWSNTTAEASMKNHLFASDPPKLYSIHYLGIKPWMCYREYDCNWNIGDQRAYASDAAHATWWRLHDQMDERLHEFCGLPAARREELEQERREAEEFGFGDGHWRLWVSGDGRNVTKQ >Ma03_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1138096:1141860:-1 gene:Ma03_g01640 transcript:Ma03_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPSFLKRLHGGMKVALVNVGAEEALDWGLPSGTTAVDFEPISDNFQWKDLFPEWIDEEEENEGPSCPEIPMPDLSSCGEVGMVVAKLPCWRAETAGWARDLSRLQVHLVAAAAAAQRGRRDARGAVKVVLLSACRPMMELFRCDDLVAREGEWWMYEAEAWRLEEKLALPIGSCNLALPLWDKGTDVVYDASKLAEAATPRRREAYATVLHSSDTYVCGAVALAHSIVRTGSTRDLVLLHDNTVAPHKLRGLAAAGWTLREIERIRNPRAQRGTYNEYNYSKLRLWQLTDYHKVVFIDADILVLRSLDVLFRFPQISATGNDGVIFNSGVMVIEPSNCTFKALMALREDVVSYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWSNTTAEASMKNHLFASDPPKLYSIHYLGIKPWMCYREYDCNWNIGDQRAYASDAAHATWWRLHDQMDERLHEFCGLPAARREELEQERREAEEFGFGDGHWRLWVSGDGRNVTKQ >Ma05_p26380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38014692:38021199:-1 gene:Ma05_g26380 transcript:Ma05_t26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNGRYCDALAAMEGEGQPVRDGAEVEDRERGTAVPPIPSSDLTVDISLPFDQMKPRITDLCKDLFKKWSSLDESSFSIETISGGITNLLLKVSVREESGDDAILTVRLYGPNTDLVIDRKRELQALPHLSAAGFGAELLGIFGNGMVQSFINARTLSPSDMGDCKIAKKIARHLHKFHQVAIPGSREPQLWNDIFKFLNEAMTIKFDDSGKQARYESISFQEIQAEINELKDLTDLLNAPVVFSHNDLLSGNLMLNDKEGKLYFIDFEYGSYSYRGYDIANHFNEYAGLDCDYSLYPEKDAQYHFFKNYLEPEKPLEVPDKDLEVIFVETNTYRLASHIYWALWALIQAKVSPIDFDYLWYFFLRYNEYKKQKEACFSLAQNYLLRSSSR >Ma09_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7924150:7926279:1 gene:Ma09_g11690 transcript:Ma09_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEDPGSRPCIRQHPPVDSNQVHTGAESSIANPFSDIDHTCFLDHHHHHHHHHFSSGKEFSDVGVASYHFPASYGYSSVMSQDESGIHDSGVMNRYQSPLVAYQGSSNEQRQPSWAKFSQLLKSPLSKQQSQFTNNTLFWNASAAASVGDVKSILCSPTPSQFVMQPQTTCGNLAACRISPGGVQDSCSSSTKKTGSESAVKKPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLQEAIEYIKFLHDQVGVLSSPYLKDGHPMQHQISSKSKDCDETKQDLRSRGLCLVPITSTYLVASETTADFWHPTFGGSFR >Ma09_p11690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7923881:7926279:1 gene:Ma09_g11690 transcript:Ma09_t11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFHTGICSEGCWWNPKGRASFDAQASTCSTAAAEIEGRAFSWASCGEAAGSAGGSSMISQETHEVQHSDVCPSLIIDSTTPSFSLSSSSMSWTKSLLNSVRPEGSFHAMLQEDPGSRPCIRQHPPVDSNQVHTGAESSIANPFSDIDHTCFLDHHHHHHHHHFSSGKEFSDVGVASYHFPASYGYSSVMSQDESGIHDSGVMNRYQSPLVAYQGSSNEQRQPSWAKFSQLLKSPLSKQQSQFTNNTLFWNASAAASVGDVKSILCSPTPSQFVMQPQTTCGNLAACRISPGGVQDSCSSSTKKTGSESAVKKPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLQEAIEYIKFLHDQVGVLSSPYLKDGHPMQHQISSKSKDCDETKQDLRSRGLCLVPITSTYLVASETTADFWHPTFGGSFR >mito3_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1470157:1470264:-1 gene:mito3_g00200 transcript:mito3_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKANVLEKAVVDTQKDCCLRGCVIRGEASGR >Ma09_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13352415:13355371:-1 gene:Ma09_g17750 transcript:Ma09_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCLIFDSSSSSSSRGRLLVLGGGSPIFQGVRSVVAGEDGGASKRRPFFTSPDEIYEEYYEEQLPEKKRRLTLEQIHLLERSFEEDNKLEPERKSELARKLGLQPRQVAVWFQNRRARWKNKQLEREFDQLRSSYDALLANHDALLKDNDRLRSQVNSLIEQLQAKEQGASEVTGVVQHEQAASSADLMAPNIQQTVELSTGSGGSTVVVEAEGTNHPEESSRQSYLPETYHGVGLVACGIRTEDDDLSDEGCSYYPDAMFMELQHQEEEAHLPWWEWDQM >Ma07_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1415867:1417591:-1 gene:Ma07_g01850 transcript:Ma07_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGIQVSDSSSGGAVKNAHNLVTYFYRARRGGRSCVVAVTWSKTLMGQGLTVGIDDGDGQCLCKVDIKPWLFSKRKGSKSLEVESSKVDIVWDLSAAKFGPGPEPLQGYYVAVVFDLQMVLLLGDLAKEAYRKTAAVPSTSNMAFVAKREHISGKNLYCTKAQFCDNGKSHDVVIECDTVGLKDPCLEIHIDKKRVMQVKRLAWKFRGNQTILIDGLPVEVFWDVHSWLFGVPGGNAVFMFQSCPSAEKLLPWFQGFKQSQLQGVGFSLILHAWKNE >Ma10_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25055114:25056866:1 gene:Ma10_g11590 transcript:Ma10_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRCCFVHFLYLILLSGLTVTGQEGFEVVHLQEPDSHGKRPSVGVSVSDADLPVVSSNVLAAETWLRSHVLARYPSTRITTIIVGRGVHCNKSHEHQWDFVLPSVKNLHYSLVRWGLVREIKVSAAFSADCLHQHSRVTLKPILGFLQESGSAYAIDEPSFSAHQDAWKRLGISKPKDIRVVRESRKLSSLTSPSASEMPKPVGFQVPSHLAKKPSPPPMIFPSPPDGSFSFPPDASPALVPPASPPDVFLASPPTCLPTPAAPAPGSGEGQKVGLWCVAKPTVPMEKLQEAMDYACGEGGANCEEIGPDGSCYYPDNVVAHASYAFNSYWQKTKQSGGSCSFDSTAVLINSDPSFLGCHFELS >Ma01_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7947030:7954395:1 gene:Ma01_g11050 transcript:Ma01_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGHRWPKSNFEKLANGRNHSICRRSVDKSNQKFFLREPKLLELASRDSEQRFPLFAIIFVDTHASWKLSPLCSPLPEVVQRNNLESLSPSNMNGSEPTSSLLFMVGLHAANADSARLNSSCISSETGSSDQSESSNKLSDPPVSLSSTLPDCLTSGNSSGSVCDNPSVVGSDFLVNNYPKVDRRVERNPRKKGKKKGKQYKRNAPRKVLTESNVQRDEISCNSLSSSGRHLDNNLSDEAATSGSFITDTSVEKDDSTHNTNFVDCSTSLLSCTSYSDEMDGFETVTSPQRLAGDELGSNTTGSCAIKDAEMVPFKLDRRSKKDHFRENNICHDNFSCISMCNNNNPAVDSSLDGCNSDIGENSSDDTAMRLVIKDESGPSSSEGEIISPSEELMQCDTSSHATADLCNLGTHVSKDNSSSDAYLSNDVLDACSSTERVDCSSQAGSSNDFHPVIYERRGRRSRRMIGHGNLNGANGSITAKIHSHTGEDINYSIWQKVKKNEKNECVSKANNVSVLYAQHDVSSKDTKMKMKPDKFIGQKQKQSGITCKYPKAEPSQVSSRGAKTSPTLSKATFGSAKNKSSSVIKHANQNHLSGSYIGKGDMPNSPKHHFQQKECRHNSPFEGLNKHSSTGFGSHSNSSSQRCLSKLTDSIDCCLGHSEKEISVLMGAAPQGIVCDGICHLDVAAAFSEIDHMATTSNQFGQRQIDANCENGTTKHSKDIQEDLSSVGIEDYGCTKPNIESHREANSLSSNGSPIQKWVPVGRKDSIASDMGYLDCLKVSVMDEAVLDHSYPKTAEVEDVNKDGDISNSEANKLTNKLSTYPNSTEVLDIHAVINCQTHKIEDKEFIGFETDLDKIIGAVKNAYELQTAVESVQLVTGSPVADFEKFLFSAAPVIGRTQNSRSCNSCSHERLKDSSLCWHEIPNISLKSIWQWYEELGCFGLEVKAHDFYNSRRLRNGCHEFTAYFVPYLSAVQLFGISRSTKYCNLNGQSARASEGNKTAKSLGSLPIFSMLLPQPTKDKGACFSDSSSSAKAGFFDKGIDVDHEEIIFEYFESEQPPWRHPLYEKIKELVASGSSSDSRMFGDPSKLESVKLHDLHPASWYCIAWYPIYRIPDGSFHAAFLTYHSLGHFVHRSSPESGHGLSEDVVSPVVGLQTYNHKGESWFQPRHMNSKVVQSEDVSNSDTSELIKERLRTLRQTASVMARAVVSKGNQRYVNRHPDYNFFVSRSG >Ma03_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23310863:23311940:-1 gene:Ma03_g17730 transcript:Ma03_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCTTELIRPRSRVDGTQVGADVKHNRACLVLSQFLLEPSSLRLYWSRPTILFLVGGGILPAGGEEDDSYPYLRSREVDRQRSQTRKAQMKQRDDGLTPEQRRERDAKALQEKAAKKAAGAAAGGGGATDSKNKGNAKK >Ma08_p28310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40285714:40286346:1 gene:Ma08_g28310 transcript:Ma08_t28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLHGAASHGTPHTTPSHGGRSGSGVPSQSAPLTPPSAPVITNPPSPTLVPPAPTNPNSSPFSCDYWRAHPEAILALLGYWCTLGEFFGLPAVSAFGRDPSLLEALSNTRSDGIGALYREGTASLLNSLVNRNFALTTQKVREEFNAAVISDKAAATQAQLFKKANEGHLKHH >Ma03_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26959086:26961075:1 gene:Ma03_g22150 transcript:Ma03_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLNPWLNFPHQMQRFSSLNSATDLMEPNIGRQNNPLAVFPTYINHCGCVVPSNTTIMFPEIQALKSFEMASSIKFSAIPMFVPPDFGGSTKRLPVLNQSWERTGLDLSSSLHPYRTLNHANESLDVQGSNETVHIGHEVEEMHEDLSSSLHPYRTLNHANESLDVQGSNETVHIGHEVEEVHEDSEEIDALLYSDSDDDDSREEEVASTGHSPIGPMTGSSSEVASSIFPVKRKRLDEDEPDALLLDTATSGGHHGDNSDLDFNKHRIEGGDSSCVRGEDQDRKRLKRERILEIVSALRRIIPGGEGKDAATVIDEAICYVKSLKLKAKDLGAATW >Ma03_p22150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26958878:26961075:1 gene:Ma03_g22150 transcript:Ma03_t22150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLNPWLNFPHQMQRFSSLNSATDLMEPNIGRQNNPLAVFPTYINHCGCVVPSNTTIMFPEIQALKSFEMASSIKFSAIPMFVPPDFGGSTKRLPVLNQSWERTGLDLSSSLHPYRTLNHANESLDVQGSNETVHIGHEVEEVHEDSEEIDALLYSDSDDDDSREEEVASTGHSPIGPMTGSSSEVASSIFPVKRKRLDEDEPDALLLDTATSGGHHGDNSDLDFNKHRIEGGDSSCVRGEDQDRKRLKRERILEIVSALRRIIPGGEGKDAATVIDEAICYVKSLKLKAKDLGAATW >Ma08_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7161717:7163221:-1 gene:Ma08_g09840 transcript:Ma08_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNMGGSVESDGHHNCAGSASGDGGIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALNTLGFDDYVEPMRRYLLKYREMEGDRAATGGHSNKATGSDAGDQDAVGEQRRNQPSPGAHLMFNAMETSHNPSASRGF >Ma07_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26731999:26744928:-1 gene:Ma07_g18780 transcript:Ma07_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFSEHLRRCFTSCGPPFSNYSCGAVRHQQHHHHHHHRKIITSDPYVTACLAEATVARTRVISNSEDPIWNEHFKIPLAHRAAALVLHVKDNDVFGAQLIGTVSVPTARIASGKKIQGWFPILGANGKPYKRDTALHLSMEFTAVEKKPEYQHGITGDPEKLGVRDTYFPLRQGGSVTLYQDAHVREGELPEVNLEKGAMFKHENCWEDICHAILEAHHMIYLVGWSIYHKVKLVREPTRPLPNGGALTLGDLLKYKSQEGVRVCMLVWDDKTSHDKLFLKTGGVMQTHDEETRKFFKHSSVICVLSPRYASSKLSIVKQQVVGTLFTHHQKCVLVDTQASGNKRKITAFIGGLDLCDGRYDTPDHRLFCDMDTVFLNDIHNPTFAAGTKGPRQPWHDLHCKIEGPAAYDILKNFEQRWRKATKWREFSLRFRKASRWHDDALIHLERISWILSPSLSVPDGDPSLWVSQEEDPENWHVQIFRSIDSGSVKGFPSNVQESLKMNLVCRKNLVIDKSIHTAYVKAIRSAQHFIYIENQYFLGSSFAWPSYKNSGADNLIPVELALKIASKIRAKERFAVYVVIPMWPEGDPTSNAVQEILFWQGQTMKMMYEIVAQELKSMNLENAHPQDFLNFYCLGNREIAPKENLQQQSLDKSPMVYGYRMSLWAEHLGMVDDRFEKPDSTECVNFVNRIAEDNWSRYTADEIIPLKGHLLKYPIKVDSDGKVRPLPNHEYFPDVGGKILGAPTALPDTLTM >Ma03_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2858956:2860572:1 gene:Ma03_g04360 transcript:Ma03_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLMEDVPEELLVDIVNRLEQTADRNSVSLACKRLCAIDGEQRHFLKVGSGVHAATEALTSLCMRFPNIKKLEIDSSGRKSNPAKQLANGGLLLLPSCCPSLTELTLSFCSSIDDSGLAFLASCPNLRSVELNFAPAITCAGILSLVVGCKNLSALHLNRCNKVSSDEWLEYLGKFGKLEDLSIKNCRGISEDDLIKLGPGWSNLKRLEVEVDACHRQSKVYERSSVDKWARHQSRYKNLQELRLVNCSVAPGRGLSCLLGGCEALERLRLDMCVGVKDSDMVALALKSKNLRSISIGLHPQYMAPVLLSNPLRLTDESLRAIAAGCSKLEALELSFSDGEFPSLSSCFSQGGVLAMIQSCPIRVLALDAACFFDDGGMEALGRAPYLQTLKLAECQGVTDVGMQQLLRRFPRLTSLELRKCVGVTDLGLKPLIGSCKLESLTVQGCSRISEEGVRGAARTVSYEQDSPWIH >Ma08_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34940820:34944760:-1 gene:Ma08_g20880 transcript:Ma08_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAQMEELTSGASGRIIPVFRNIRRSVSSPASLLRVLLFLHSFVLWFLLFLRRGGPFTSKTASAAASAASPRRRTCGGRWSRAAEEEDVRRRRELAEEVVMVPPVEEADEGGACRWETFVFMGPRRSALFCRSWLPASGDLRAIVVIIHGLNEHSGRYSHFAKQLMACNFGVYAMDWIGHGGSDGLHGYVPSLDYVVEDTGKFLEKIKSEYPGVPCFLFGHSTGGAVVLKAASYPYIEAMVEGIILTSPALRVKPAHPIVGVVAPIVSLVLPKLQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLMQNMKHVTVPFLVLHGTADRVTDPMATQDLYNVAASRQKDIKLYEGFLHDLLFEPERNEVGADIIGWMLRRLEQQSL >Ma00_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43779709:43779837:-1 gene:Ma00_g05040 transcript:Ma00_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAISNNYEIRSQKTAFRKKSKLVTLELVTSHFSHNSYIYFY >Ma10_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25507956:25509735:-1 gene:Ma10_g12390 transcript:Ma10_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAEIRQSQRAEGSATVLAIGTATPVNVLYQADYPDYYFRITKSEHLTELKEKFKRMCDKSMIRKRYMHINEEILKENPNVCAYMAPSLDARQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVNRFMMYQQGCFAGGTVLRMAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAIIVGADPDPATEKPIFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERSLAEAFKPLGISDWNSIFWIAHPGGPAILDQVEAKLGLEKEKMKATREVLKEYGNMSSACVLFILDEMRKRSAEDGKATTGEGLEWGVLFGFGPGLTVETVVLHSIPIAAH >Ma03_p30460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33081124:33086791:1 gene:Ma03_g30460 transcript:Ma03_t30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGVTTSSSSSSSSSSSSTARWLSSLECCWSSPWCCHACIFSWRGGLWAGTGGRRTSRSFYSRCHRDRCLPRRSPAAPATLTTMVVFATLLHEIKREDSFYNVYKVINEYIKDCDAKMLSRVRLGYGSRLGRVNSPRLPLLQIRGAAAATAAAFLALPVPQTRSMDCPPASPRGPAPSPLSCMPSLPLPLLPFSPLAQSLLRRLPAHIRMMSSQESSRNDLFRSFVELVKRFPGNAPCSSPPSPAAHALEIGAGPRWPHPFEYAAMIEAYGRTGDADEALRLLREMKDSPCRPDVVCYTAVVDSLASSGRASEALEVFEEMVSSDVAPDTAAFTVLVKLYACCLMQFDAAYEVVRWMVTCGCAPDVVTYSTLIAGLCWAGRVEEALGVLDQMLEEECRPNVYTYTPIVHAYCSRGRVEEAKRLLNTMEVVGCPPNAVAYNVLIEALCKTGAFKEVEKLIKESSLKGWEPDTITYSIYMDGLCKLGRTDKSFEQLEVMREKGLCPNAVTLNILLDGLCRSSKAWEAKCLLEQSAKLEWNPSVVNYNTVMSRLSDVGRWPAVLKLFADMHKKGISANSWTCSIVIHSLSKAGKLRLAESIFDSKGFVANVMSYTTLIHYCYLSGRIHDVHLLYHKMAEENIAPSWITYCVMISCLCREKRYLEAIGCFYRSLRDGYSPDLVAHLMYELVRGGKLKEILNLLEWISRQGTIVDVCIFQRLIKAFCRAGSCKSAKIYDVCYILDRMLQIR >Ma06_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15891246:15901849:1 gene:Ma06_g21600 transcript:Ma06_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARASSGLAYPERFYVAASYAGFGGSPGSSAPAGGVSRFQNDVALLLYALYQQATVGACTVPKPRAWNPVEQSKWTSWHGLGNMASTEAMRLFVKILEEEDPAWYSKAPELTAEPIINVETLKTELEPAAASASGNGDSLPKTKTVSAENGLLLETQDKDVITEGIGSVGIYDQWVAPSVSGQRPKSRYAHGAAVLRGKMYIFGGNHNGRYLNDIQVLDLKKLTWSRIEARELSGSLDSSAIASVSPCAGHSLIPWGNKILSIAGHTKDPSEMISVKEFDPQTCLWSNLKTYGKSPISRGGQSVTLVGNTLVMFGGEDAKRSLLNDLHILDLETMTWDDIDAIGIPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSKPKQQGVNPGPRAGHAGITVGDNWFIAGGGNNKNGVSETLVLNMATLVWSVVMTVQGRVPLASEGLSLVTSTHKGEDFLVSFGGYNGRYSNEVYVLKPSHVSDLQSRIIDGPVSDTIAAMLPTTDASRDMEPEIEPAQDKKIKEIVMENGDSEPLNIRNEVTIRLVEALKAEKGELEGTLNKEQLQMLHLKQEFNDAESRNIELTKELQSVRGQLAAEQSRCFKLEVDIVELRQKLQAMETLEKEVELLRRQKATSEHAALSTHQRQSSGGVWGWLAGSAPDQSGP >Ma03_p03270.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2195638:2203097:1 gene:Ma03_g03270 transcript:Ma03_t03270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTPQPVCAKEALALLNCTVETPFDRDKCLRLLDALRSCVLEKKVKKFSVAEQSHAAVVPKSKEKP >Ma03_p03270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2195638:2205692:1 gene:Ma03_g03270 transcript:Ma03_t03270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTPQPVCAKEALALLNCTVETPFDRDKCLRLLDALRSCVLEKKVKKFSVAEQSHAAVVPKSKEKP >Ma03_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2195638:2203097:1 gene:Ma03_g03270 transcript:Ma03_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTPQPVCAKEALALLNCTVETPFDRDKCLRLLDALRSCVLEKKVKKFSVAEQSHAAVVPKSKEKP >Ma03_p03270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2195638:2209911:1 gene:Ma03_g03270 transcript:Ma03_t03270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTPQPVCAKEALALLNCTVETPFDRDKCLRLLDALRSCVLEKKVKKFSVAEQSHAAVVPKSKEKP >Ma03_p03270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2195638:2203097:1 gene:Ma03_g03270 transcript:Ma03_t03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTPQPVCAKEALALLNCTVETPFDRDKCLRLLDALRSCVLEKKVKKFSVAEQSHAAVVPKSKEKP >Ma00_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12061199:12061888:-1 gene:Ma00_g01750 transcript:Ma00_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPPGEPSDPLTARWEGLSRGSRVWADGDSATGFVRGGLHPDIAQDLYTLPSEVLLVSYAKSLLWGTHYAAALMDRVRDAGRVIDILSDRNANLRKQVEEVRAGAAPEAVAAAEQRASDLDAEATRLRSELKASEERNKELQMHLKASVAEARSARGESVELIRRLEESRAEAQGAAEALAVEIRQRTEKDKKLIEDYKDSSGF >Ma06_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4602557:4603236:-1 gene:Ma06_g06330 transcript:Ma06_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWTGDWNCRSCHHHNFSWRDACQKCGNLRSSVGDLSDSTGSGSGGSSLGFTVSGHVRLGDWYCSCGGHNFASRSSCHSCGAVKHDSTIGGSDSNDMPGAQGIAYGGGGWKSGDWLCTRSGCNQHNFASRRECYRCKAPKGSGK >Ma06_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3660965:3662936:1 gene:Ma06_g04900 transcript:Ma06_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLRGDSDESPPEQSEEKRMRRLPSFSTVTEEAAVANKLQTVRCALEPLLRRVVREEVERILIHSTRLSQSCFPKHNEAAEPSSLKLIFVNQPSRPIFTGGRIEDTENNPLQILVVDTKKSVGVPPSSLLPSPLRVELVALDGDFVSGDEDDWTSHEFQSKIVRERAGKRPLLVGDVNVTLTDGVVLIPELCFTDNSSWTKSGKFKIGARTVPGSYTGPRIREAMTEPFKVKDHRGESYKKHHPPALGDEVWRLEKISKDGVFHRKLAANNINTVQDFLKLWHVDPDRLRQILGKGMSDRTWEATIGHAKECVVGDKLYLRRSPKCDLLLNPVCEVVAVVAGTAAFAPQQFNRAADRAYIHQLAREAYANWDHLEEYEGSSHATSMPQQQSLVI >Ma05_p30470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40863415:40864754:-1 gene:Ma05_g30470 transcript:Ma05_t30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase ATL41 [Source:Projected from Arabidopsis thaliana (AT2G42360) UniProtKB/Swiss-Prot;Acc:Q9SLC3] MASAPVTRSRDAGDDPYNDKVMLAAVILLSIVIFFVLFLHIYARWLIRRQRPVVRRVVFREPLHLRSMATFPGHTTEIRLSISGVDPKVVASLPVFVYKSREHEHGLECVVCLNAMEEDDSGRLLPACKHAFHVGCIDMWLMSHATCPICRAPVEIMGEPAQVVDAVSVVVPVVVVECEAAVQVEPRNANEESCVVNVAAAEGDVRADTSSLGPATATHTIKEDSPPSSSFGSSLKRMLSRGRS >Ma07_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10197138:10198519:-1 gene:Ma07_g13560 transcript:Ma07_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLWNLWGGSEKKKEPKSYSSHGGEERMEKKRWSFRRSRDSSGDVVLGQNAATAAAIEAAWFKSFYAVNEREQSKHAIAVAAATANAAVAAAQAAMVAARLTTPGRGATFSFPKEELAAVKIQTAFRGHLARIALRALKALVKLQALVRGYLVRKQAAATLHSMQALVRAQATARAQRYGNLLPDDRSFRPEVRHRRSLERFIDARIEHTPAFQRRSFSTSLGGATPDRSPKTVEIDVCGPRSRSSCRAIPSAVDPADHFSSPIPCPVPARVSTPSRRNSQENDWCNSGEKCRISATAQGTPRYTNSPGKVGVTQAKSVYDAEGVYRRYVSESSSPNYMANTQSSKAKRRAQSAPKHLPEVAGMRKRQPLGDLSNSCFQAQVVLNLNKAEQGKVEGREVFFLQPKW >Ma01_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5858726:5859467:1 gene:Ma01_g08180 transcript:Ma01_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSTGVFKSNGRCLRKTLAKVTGTDDIDPPRTSCPSIDRRGTFSLKLFFGTNSMPATLSLLPSIALVGEERESCIMLFISVKLSVTYHE >Ma10_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5270579:5277240:-1 gene:Ma10_g01790 transcript:Ma10_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTAAAVAATPVTSNGASLTLDATGKPDARRRTMVIVEKTLNADGLSAGGTNGVANGKDLSHTIRGESVIERPKDYSKLKKRLIASSTVSPRRKKAVPKPEKPKWQTVLSVLTKNCLLLAALLWLGQTVWRWSYSIGDNANSPFASLDYESRIYDVEASIKETAKMLQVQLDVVDKKIGSEIGIVTRELLKQAEEKGALFEEELKKLEAKTDSLDKSLGELKDKGLLSREEFEKLLNGLDDSNINLDLDQIRAFARDIVQKEIEKHAADGLGRVDYALASGGAKVVRHSEPYGFGKGNTWFARGHNGVHANAHKMLEPSFGEPGQCFPLQGSSGFVELRLRTGIIPEAVTLEHVAKSVAYDRSSAPKDCRVSAWFESPDEDPSSNIKKIVMLTEFSYDLEKSNAQTFDVMVGDAGVVINTVRLDFTSNHGSSALTCIYRFRVHGHEPSSPAAMGLQG >Ma10_p01790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5270579:5277240:-1 gene:Ma10_g01790 transcript:Ma10_t01790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTAAAVAATPVTSNGASLTLDATGKPDARRRTMVIVEKTLNADGLSAGGTNGVANGKDLSHTIRGESVIERPKDYSKLKKRLIASSTVSPRRKKAVPKPEKPKWQTVLSVLTKNCLLLAALLWLGQTVWRWSYSIGDNANSPFASLDYESRIYDVEASIKETAKMLQVQLDVVDKKIGSEIGIVTRELLKQAEEKGALFEEELKKLEAKTDSLDKSLGELKDKGLLSREEFEKLLNGLDDSNINLDLDQIRAFARDIVQKEIEKHAADGLGRVDYALASGGAKVVRHSEPYGFGKGNTWFARGHNGVHANAHKMLEPSFGEPGQCFPLQGSSGFVELRLRTGIIPEAVTLEHVAKSVAYDRSSAPKDCRVSAWFESPDEDPSSNIKKIVMLTEFSYDLEKSNAQTFDVMVGDAGVVINTVRLDFTSNHGSSALTCIYRFRVHGHEPSSPAAMGLQG >Ma10_p01790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5270579:5277240:-1 gene:Ma10_g01790 transcript:Ma10_t01790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTAAAVAATPVTSNGASLTLDATGKPDARRRTMVIVEKTLNADGLSAGGTNGVANGKDLSHTIRGESVIERPKDYSKLKKRLIASSTVSPRRKKAVPKPEKPKWQTVLSVLTKNCLLLAALLWLGQTVWRWSYSIGDNANSPFASLDYESRIYDVEASIKETAKMLQVQLDVVDKKIGSEIGIVTRELLKQAEEKGALFEEELKKLEAKTDSLDKSLGELKDKGLLSREEFEKLLNGLDDSNINLDLDQIRAFARDIVQKEIEKHAADGLGRVDYALASGGAKVVRHSEPYGFGKGNTWFARGHNGVHANAHKMLEPSFGEPGQCFPLQGSSGFVELRLRTGIIPEAVTLEHVAKSVAYDRSSAPKDCRVSAWFESPDEDPSSNIKKIVMLTEFSYDLEKSNAQTFDVMVGDAGVVINTVRLDFTSNHGSSALTCIYRFRVHGHEPSSPAAMGLQG >Ma10_p01790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5270579:5277240:-1 gene:Ma10_g01790 transcript:Ma10_t01790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTAAAVAATPVTSNGASLTLDATGKPDARRRTMVIVEKTLNADGLSAGGTNGVANGKDLSHTIRGESVIERPKDYSKLKKRLIASSTVSPRRKKAVPKPEKPKWQTVLSVLTKNCLLLAALLWLGQTVWRWSYSIGDNANSPFASLDYESRIYDVEASIKETAKMLQVQLDVVDKKIGSEIGIVTRELLKQAEEKGALFEEELKKLEAKTDSLDKSLGELKDKGLLSREEFEKLLNGLDDSNINLDLDQIRAFARDIVQKEIEKHAADGLGRVDYALASGGAKVVRHSEPYGFGKGNTWFARGHNGVHANAHKMLEPSFGEPGQCFPLQGSSGFVELRLRTGIIPEAVTLEHVAKSVAYDRSSAPKDCRVSAWFESPDEDPSSNIKKIVMLTEFSYDLEKSNAQTFDVMVGDAGVVINTVRLDFTSNHGSSALTCIYRFRVHGHEPSSPAAMGLQG >Ma04_p25880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27492456:27506269:1 gene:Ma04_g25880 transcript:Ma04_t25880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGSLPMAAQGARASQSSFNSSNGNATPLHTSAASVNGDGYDSDGSYFAPPTPRTLSMSIPADLAGAITLIDRFQVEGFLKSMQKQMQSAGKRGFFSKKSVGPQVREKYTLEDMLCFQKDPIPTSLLKIDNDLVSRSIKLFLLILKYMGIDSSDKITPLSVEECTELVAKIYKHCLKRSELRDELFVQISKQTRNNPDRDSLIKSWELMYLCASAMPPSKDIGAYLSEYIHYVAHGMNNEPEVHVLALNTLNALKHSVKAGPRLTIPTHEEIEALLTGKKLTTIVFFLDETFEEIIYDMATTVADAVEEIAGIIKLSVYSSFSLFECRKVVNGSKCADSGNEEYLALDDNKYVSDLLAEFKAAKDRTKGEISHCKLIFKKRLFRESDETVADPMFVQLSYVQLQHDYMLGNYPVGRDDAAQLSALQILVEVGSMQHPGSCVEWFSLLERFLPRQIAITRAKGDWELDIISRYRLMEHMSKDDAKHQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGILHIFQFETKQGEEICVALQTHINDVMLRRYAKARSATSGVNHGDFSQAIRTPSLDIYEKRLQDLSGAVEESKKYTDHLLEELCMREKQELEMQEELERLKESLRSERQCLRDVTYDCDNLKALCDEKDSSLQAALLDKSILESALARVSIQEHIMDETNHEMEPVNVSNKQRKNTLTVGSMKTDHVDTETLRTQEDLNACMKELHASEESYKIMLNEKSVLEQKVQMHETKKNDEKSALEKNFKEERRKLKAHVKELEQKLERVTQDFDVAHVTLTMRNRELDDLQNNSKELEELREWKADIDRKNEQTAAILKKQGAQLIELEALYKEEQILRKRYYNMIEDMKGKIRVFCRLRPLNEKEIAEGQKQIIVSPDEFTIAHPWKDEKSKQHIYDCVFDQSASQDEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSESNPGITPRATAELFRVIKHDSSKYSFSLKAYMVELYQDTLVDLLLPKNAKRLKLEIKKDTKGLVSIENATIMQISNFDELRAIISRGSEQRHTAGTHMNDESSRSHLILSIIIESTNLQTQSLARGKISFVDLAGSERVKKSGSLGNQLKEAQSINKSLSALADVIGALCSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRSIINEPSKNVSSKEIARLKKLVAYWKDQAGKRGDDEELGEIQEERHAKDRPDGRLEM >Ma04_p25880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27492441:27506269:1 gene:Ma04_g25880 transcript:Ma04_t25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGSLPMAAQGARASQSSFNSSNGNATPLHTSAASVNGDGYDSDGSYFAPPTPRTLSMSIPADLAGAITLIDRFQVEGFLKSMQKQMQSAGKRGFFSKKSVGPQVREKYTLEDMLCFQKDPIPTSLLKIDNDLVSRSIKLFLLILKYMGIDSSDKITPLSVEECTELVAKIYKHCLKRSELRDELFVQISKQTRNNPDRDSLIKSWELMYLCASAMPPSKDIGAYLSEYIHYVAHGMNNEPEVHVLALNTLNALKHSVKAGPRLTIPTHEEIEALLTGKKLTTIVFFLDETFEEIIYDMATTVADAVEEIAGIIKLSVYSSFSLFECRKVVNGSKCADSGNEEYLALDDNKYVSDLLAEFKAAKDRTKGEISHCKLIFKKRLFRESDETVADPMFVQLSYVQLQHDYMLGNYPVGRDDAAQLSALQILVEVGSMQHPGSCVEWFSLLERFLPRQIAITRAKGDWELDIISRYRLMEHMSKDDAKHQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGILHIFQFETKQGEEICVALQTHINDVMLRRYAKARSATSGVNHGDFSQAIRTPSLDIYEKRLQDLSGAVEESKKYTDHLLEELCMREKQELEMQEELERLKESLRSERQCLRDVTYDCDNLKALCDEKDSSLQAALLDKSILESALARVSIQEHIMDETNHEMEPVNVSNKQRKNTLTVGSMKTDHVDTETLRTQEDLNACMKELHASEESYKIMLNEKSVLEQKVQMHETKKNDEKSALEKNFKEERRKLKAHVKELEQKLERVTQDFDVAHVTLTMRNRELDDLQNNSKELEELREWKADIDRKNEQTAAILKKQGAQLIELEALYKEEQILRKRYYNMIEDMKGKIRVFCRLRPLNEKEIAEGQKQIIVSPDEFTIAHPWKDEKSKQHIYDCVFDQSASQDEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSESNPGITPRATAELFRVIKHDSSKYSFSLKAYMVELYQDTLVDLLLPKNAKRLKLEIKKDTKGLVSIENATIMQISNFDELRAIISRGSEQRHTAGTHMNDESSRSHLILSIIIESTNLQTQSLARGKISFVDLAGSERVKKSGSLGNQLKEAQSINKSLSALADVIGALCSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRSIINEPSKNVSSKEIARLKKLVAYWKDQAGKRGDDEELGEIQEERHAKDRPDGRLEM >Ma04_p25880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27492441:27506269:1 gene:Ma04_g25880 transcript:Ma04_t25880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGSLPMAAQGARASQSSFNSSNGNATPLHTSAASVNGDGYDSDGSYFAPPTPRTLSMSIPADLAGAITLIDRFQVEGFLKSMQKQMQSAGKRGFFSKKSVGPQVREKYTLEDMLCFQKDPIPTSLLKIDNDLVSRSIKLFLLILKYMGIDSSDKITPLSVEECTELVAKIYKHCLKRSELRDELFVQISKQTRNNPDRDSLIKSWELMYLCASAMPPSKDIGAYLSEYIHYVAHGMNNEPEVHVLALNTLNALKHSVKAGPRLTIPTHEEIEALLTGKKLTTIVFFLDETFEEIIYDMATTVADAVEEIAGIIKLSVYSSFSLFECRKVVNGSKCADSGNEEYLALDDNKYVSDLLAEFKAAKDRTKGEISHCKLIFKKRLFRESDETVADPMFVQLSYVQLQHDYMLGNYPVGRDDAAQLSALQILVEVGSMQHPGSCVEWFSLLERFLPRQIAITRAKGDWELDIISRYRLMEHMSKDDAKHQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGILHIFQFETKQGEEICVALQTHINDVMLRRYAKARSATSGVNHGDFSQAIRTPSLDIYEKRLQDLSGAVEESKKYTDHTLRTQEDLNACMKELHASEESYKIMLNEKSVLEQKVQMHETKKNDEKSALEKNFKEERRKLKAHVKELEQKLERVTQDFDVAHVTLTMRNRELDDLQNNSKELEELREWKADIDRKNEQTAAILKKQGAQLIELEALYKEEQILRKRYYNMIEDMKGKIRVFCRLRPLNEKEIAEGQKQIIVSPDEFTIAHPWKDEKSKQHIYDCVFDQSASQDEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSESNPGITPRATAELFRVIKHDSSKYSFSLKAYMVELYQDTLVDLLLPKNAKRLKLEIKKDTKGLVSIENATIMQISNFDELRAIISRGSEQRHTAGTHMNDESSRSHLILSIIIESTNLQTQSLARGKISFVDLAGSERVKKSGSLGNQLKEAQSINKSLSALADVIGALCSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRSIINEPSKNVSSKEIARLKKLVAYWKDQAGKRGDDEELGEIQEERHAKDRPDGRLEM >Ma04_p25880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27492456:27506269:1 gene:Ma04_g25880 transcript:Ma04_t25880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPADLAGAITLIDRFQVEGFLKSMQKQMQSAGKRGFFSKKSVGPQVREKYTLEDMLCFQKDPIPTSLLKIDNDLVSRSIKLFLLILKYMGIDSSDKITPLSVEECTELVAKIYKHCLKRSELRDELFVQISKQTRNNPDRDSLIKSWELMYLCASAMPPSKDIGAYLSEYIHYVAHGMNNEPEVHVLALNTLNALKHSVKAGPRLTIPTHEEIEALLTGKKLTTIVFFLDETFEEIIYDMATTVADAVEEIAGIIKLSVYSSFSLFECRKVVNGSKCADSGNEEYLALDDNKYVSDLLAEFKAAKDRTKGEISHCKLIFKKRLFRESDETVADPMFVQLSYVQLQHDYMLGNYPVGRDDAAQLSALQILVEVGSMQHPGSCVEWFSLLERFLPRQIAITRAKGDWELDIISRYRLMEHMSKDDAKHQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGILHIFQFETKQGEEICVALQTHINDVMLRRYAKARSATSGVNHGDFSQAIRTPSLDIYEKRLQDLSGAVEESKKYTDHLLEELCMREKQELEMQEELERLKESLRSERQCLRDVTYDCDNLKALCDEKDSSLQAALLDKSILESALARVSIQEHIMDETNHEMEPVNVSNKQRKNTLTVGSMKTDHVDTETLRTQEDLNACMKELHASEESYKIMLNEKSVLEQKVQMHETKKNDEKSALEKNFKEERRKLKAHVKELEQKLERVTQDFDVAHVTLTMRNRELDDLQNNSKELEELREWKADIDRKNEQTAAILKKQGAQLIELEALYKEEQILRKRYYNMIEDMKGKIRVFCRLRPLNEKEIAEGQKQIIVSPDEFTIAHPWKDEKSKQHIYDCVFDQSASQDEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSESNPGITPRATAELFRVIKHDSSKYSFSLKAYMVELYQDTLVDLLLPKNAKRLKLEIKKDTKGLVSIENATIMQISNFDELRAIISRGSEQRHTAGTHMNDESSRSHLILSIIIESTNLQTQSLARGKISFVDLAGSERVKKSGSLGNQLKEAQSINKSLSALADVIGALCSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRSIINEPSKNVSSKEIARLKKLVAYWKDQAGKRGDDEELGEIQEERHAKDRPDGRLEM >Ma02_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24483455:24484544:1 gene:Ma02_g17660 transcript:Ma02_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDSIGSTRMESGTRNGDSEVQRTMLELLNQLDGLEGILLGTPVSYRTESGSKHRYDTVRQTLEFPNSNEEVTVRIVNGHEDELDAWNRSQKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSKLWK >Ma05_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5999252:6002347:-1 gene:Ma05_g08080 transcript:Ma05_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Ma10_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33253080:33253229:-1 gene:Ma10_g24820 transcript:Ma10_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSCSLINMINDFCNLIFKWLEMILFNFILFYFIYLNSFILIKKNNIY >Ma04_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23902485:23903418:-1 gene:Ma04_g21300 transcript:Ma04_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMQARTSSHGWKQLGCLRRGRFCYDIGGWTAASPPGADLRLFSGAQHLHHPGAHPAVVGGREHDCGLPTPQQLPLLCLCYVTCTIHNKNIDYFVTADPQQHQVQCLHQPLSWATHFLMFHHKASCTLLHRERHGLEGEFTEAKSSMDMKMSCFCQCAISLI >Ma02_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24810624:24813433:-1 gene:Ma02_g18260 transcript:Ma02_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIFLGFLAVALQVNAQQGFVSIDCGMDGSTSYNDTVTGIKYDPDAKYINSGVNYKINKTFLETGIPVQAETLRSFPNGSRNCYTINGIIQGDKYLIRGLFFHGNYDGRTPVAFEIHLGMNFSRPVNITDPSDLIWGEIMTVAQDNSFSVCLVNINSGTPFISALELRHIGSKDVYKDVTQTNSLLLYARANTGDAAQDIRYPDDAYDRIWEPFVTPTVWADINSSQPIRTSPGDAFQVPAAVMATAVTPANGTSLTFYVGVGTGVVYYVYMHFADFNALSQTENRMFDVFVNDELKVSSFKPEYLQSTHISLSVTSAPGTEVADKFTLTSTSGSSFPPILNAIEVYGVIPLQ >Ma02_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13015468:13028271:-1 gene:Ma02_g02030 transcript:Ma02_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] MSSGKKNYKEKMMRRKEEKREEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTVDLRLTDAHKISIENSKYLGGDLEHTHLVKGLDYALLHKVRSEIDKKTDGEDGKDEQSRTSKEDQPLTFRTATAKSVYQWIVKPQSNIKANEMFLPGRMAFIFNMEDGLSHDIPTTLHRSKADCPVPEEMVTVSVDGSVLERIAKIMTYLRLGSSGKVLKKKKKEKEIKGKISGIANGHDEEKPGQHSSDIRKPHPQREMAPAHRKSNVDAKEKKSLPIARIEVDDIFLGDGVDYVVPSKDMSQSPVSEDMEESPRIREKQSYFSEPVYGPIPPPETAQAWQQTNGYDAIQAQMVAAGYQGEWSEYQYTEQLAYPEQYLQQNMQGYDALAGANVSQDPRFMTQEDKDRGLGSVFKRDDQRLQKLREKDSREKDPNFISESYSECYPGYQEYNHEVIDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNELHKINKILARKKAEREGNDDGGEYNDDPQPGKKLRI >Ma05_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7053189:7055003:-1 gene:Ma05_g09800 transcript:Ma05_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENKFFESYKKALTTAASVAASMMLARTVVNEVIPYELRDYIFSRFDCLRSRLSSEHTIVIDQAEGYASNLVFDAARTYLSTRINRSMRSLRVSMVEEGKNMVVSLEPGEEMIDVYQDIEFKWQLISQQVDRSSSHINNRFSGSATETKSFVVSFHQKHKDKILHSYLPFILEQAKAIREQDRTLQLHMNEEDGWCPINLHHPSTFDTLAMDPKLKAAVMEDLARFVKRKDYYSRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELAEVNWNTTLRRLLVGMPNRSILVVEDIDCSVNLQKRDERESDATSPSTSNDDKITLSGLLNFIDGLWSTSGEERIIVLTTNYKDRLDPALLRPGRMDMHIHMGYCGPHAFRVLASNYHAIDEHSLFADIEGLIREVEVTPAEVAEQLMRSDDADTALEGLLEYLRAKTMEAEA >Ma06_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10677419:10684849:1 gene:Ma06_g15780 transcript:Ma06_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSENPQSTEELQPSSQASNEIQPIHQNPLVAETPGPNPCSSSITNSQNRNVSREDIELVQNLIEHCLQLYMNRGEVVRTLSDRARIEPGFTTLVWKKLEEENPDFFRAYYIRLKLKKQIILFNHLLEHQCQLMKCPVLPNVPLAPIHGGIHAGPVNSMPLGYPVLQQPAMTTTGQPHFDPVSCVLSSCNAVNGIPAPGRYHPIHVNSGNDIVINDRKPEATPATAPCGAISSLSEMAASPASVASNNHFPFTPSEISGMGLDASTLETTLTSDVASMGELQLSQDCAIGSSRDSLGSLGPLWNFSITDLATDLANLGDLGALENYTSSPFLPSDSDIRLDSSEHDDTIEEYFAEAITAPSSQPDEEKS >Ma01_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26323410:26324374:1 gene:Ma01_g22960 transcript:Ma01_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVRNIVPYRCFDLGSVRYGTVYRAIPLGAPSGTPDFSPLNPPKIPENKKKKKKV >Ma06_p34180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34543819:34546013:-1 gene:Ma06_g34180 transcript:Ma06_t34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPPKHQAWRITVRAKSKSLDFRLTASNVLPHCGNGGERIAFFLRLRRFFLRVESKNQSPSGRVAAVRNAAAPFAKPFQLLRAVFRRPRWLPRKNVASKSRSASSKIGSFFTDSRLCISQVIPPWMLLFIEQRWMKWLVIFALVLLLSCIFGVPSVCLWGMEITKMLAPSGYTRTLG >Ma01_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2432652:2436946:1 gene:Ma01_g03650 transcript:Ma01_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin [Source:Projected from Arabidopsis thaliana (AT1G31170) UniProtKB/TrEMBL;Acc:F4I7W2] MANLVSLAHTALCSYTSRPIRASSNGVPFSKPKSGGPAILELPLNKIRRPLMRTRANDPEKVKELMESIRQIGLQEPIDVLEVDGVYYGFSGCHRFEAHQRLGLPTIRCKVRRGTKETLRHHMR >Ma06_p34250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34572530:34573784:1 gene:Ma06_g34250 transcript:Ma06_t34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCSSFGNVELLLYKLRMISSSSELPKPCLQIKQDGKVYSRLLSTESSMANSSFRVYYGVASGAVPFLWESQPGTPKHTISVADLPPLTPPPSYYYCNITGSKNSRRSARSGLLQTILPRLGLGKPHRRPLSPRSSFSWTGDGDEESTDGSRAPTLCFGARHRAASPRCS >Ma06_p34250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34572530:34573784:1 gene:Ma06_g34250 transcript:Ma06_t34250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCSSFGNVELLLYKLRMISSSSELPKPCLQIKQDGKVYSRLLSTESSMANSSFRVYYGVASGAVPFLWESQPGTPKHTISVADLPPLTPPPSYYYCNITGSKNSRRSARSGLLQTILPRLGLGKPHRSPSSPSMSFSSSSSLSLANSVSSGHRRRPLSPRSSFSWTGDGDEESTDGSRAPTLCFGARHRAASPRCS >Ma09_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3958079:3965507:1 gene:Ma09_g06150 transcript:Ma09_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGQPAASAPSDFPPRKLVRHLDFTAAAYGGASSSAAVSVSIDPPQQPQQPPPPPPRPAPASLPISRPAISSIAVAAKTESPKSRPRLLYDAKDGTPTRKKNCNCKHSKCLKLYCECFASGVYCDGCNCSNCCNNVENEAARHEAVEATLERNPNAFRPKIGSSPHASRDGRDEAGELPLVGKHNKGCHCKKSGCLKKYCECFQANILCSDNCKCMDCKNFEGSEERKALFRGDHGSALYMQQAANASLNGAIGPSAFMSPSASRKRKNQELFFGASIKDQPTKRLTQLPQARTSSPASCSASTPAASAINTAPMASTKVTYRSLLADVVQPEHIRDLCKLLVMVSGEVAKTFADRKVQEKLAEKESQVESSLVPSEQEIDQRQKDADMQKASVDEHSSGIPAEKTSMEESESDCGDEQKGGRPMSPGTLALLCDEQDTVFMTSQATGTTPRSSNNHNTSEVYAEQERCVLTEFRDYLLKLVTYGRMKEEKYSSMSSKCEVSPCHMVSAPNGVARGAVPAAAEKSQTVKLVPPNSNKYPSVEQQTIGNGDIKPKIEKPEM >Ma08_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36677645:36695980:1 gene:Ma08_g23310 transcript:Ma08_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MLSLALPLPCSSSSPACLFDSFLPPTSRRRYRFLRFLSPRSAVSPRARLHLVPLCANPLGSASPIREQLSDTDEDEEDDDDDEVAAMEYDDGLLADVDREEEEREGETAQLEAEAGPSAKFEEHKWERVTRLLAEVREFGEEIIDYEELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRRTFGDAYVGLLTGDSAINKEAPILIMTTEILRNMLYKSVGMISSASRLFHVDVIVLDEVHYLSDISRGTVWEEIVIYSPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSTKRPVPLTWHFSLKNSLLPLFDEKGKRMNRKLSLDYLQTSISRGEHFNESKTKKHRMGKVERGYSNVARLSQQTPLSKNDMNYIRRSQVPQIKDTLWHLAERDMLPAIWFIFSRRGCDAAVQYLEDCKLLDECEAGEVELEYRRFKKQYPDAVREVAVKGLLHGIASHHAGCLPLWKSFVEELFQRGLVKVVFATETLAAGINMPARTAIISSLSKKGETGRTFLSPNELFQMAGRAGRRGIDEVGHAVLIQTPYEGAEECYELLSAGLEPLVSQFTASYGMVLNLLAGAKVTRKLHDPDGTKLSHCGRTLEEARKLVEQSFGNYVGSNVMQAAKEELEKIQHEIELLSVEVTEDAIDRKCQEQLSENDYAEISKLQEELRAEKRTRTELRRQMEIKRMAAWRPLLDKFGSGNLPFICLRYKDKEGVQHNIPAVYVGKLSSSSVQKIMNMVKLDSSDFDNLETGSRDVASHEDGKPAYYVALSSDNSWYLFTEKWLKTIYRTGFPNISSLDGDILPREMLRNLLIKEDLQWEKIADSEFGSLWSIGGSLETWSWSLNVPVLSSLSEDDEVANQSEAYRDAVGRYKEQRSRVSQLKKKITNTKGFKEFKKIIDMTKFIKEKMERLNARSNRLSKRIGQIEPTGWKEFLQV >Ma08_p23310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36677645:36693485:1 gene:Ma08_g23310 transcript:Ma08_t23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MLSLALPLPCSSSSPACLFDSFLPPTSRRRYRFLRFLSPRSAVSPRARLHLVPLCANPLGSASPIREQLSDTDEDEEDDDDDEVAAMEYDDGLLADVDREEEEREGETAQLEAEAGPSAKFEEHKWERVTRLLAEVREFGEEIIDYEELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRRTFGDAYVGLLTGDSAINKEAPILIMTTEILRNMLYKSVGMISSASRLFHVDVIVLDEVHYLSDISRGTVWEEIVIYSPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSTKRPVPLTWHFSLKNSLLPLFDEKGKRMNRKLSLDYLQTSISRGEHFNESKTKKHRMGKVERGYSNVARLSQQTPLSKNDMNYIRRSQVPQIKDTLWHLAERDMLPAIWFIFSRRGCDAAVQYLEDCKLLDECEAGEVELEYRRFKKQYPDAVREVAVKGLLHGIASHHAGCLPLWKSFVEELFQRGLVKVVFATETLAAGINMPARTAIISSLSKKGETGRTFLSPNELFQMAGRAGRRGIDEVGHAVLIQTPYEGAEECYELLSAGLEPLVSQFTASYGMVLNLLAGAKVTRKLHDPDGTKLSHCGRTLEEARKLVEQSFGNYVGSNVMQAAKEELEKIQHEIELLSVEVTEDAIDRKCQEQLSENDYAEISKLQEELRAEKRTRTELRRQMEIKRMAAWRPLLDKFGSGNLPFICLRYKDKEGVQHNIPAVYVGKLSSSSVQKIMNMVKLDSSDFDNLETGSRDVASHEDGKPAYYVALSSDNSWYLFTEKWLKTIYRTGFPNISSLDGDILPREMLRNLLIKEDLQWEKIADSEFGSLWSIGGSLETWSWSLNVPVLSSLSEDDEVANQSEAYRDAVGRYKEQRSRVSQLKKKITNTKGFKEFKKIIDMTKFIKEKMERLNARSNRLSKRIGQIEPTGWKEFLQLVLKLDPL >Ma08_p23310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36677645:36703572:1 gene:Ma08_g23310 transcript:Ma08_t23310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MLSLALPLPCSSSSPACLFDSFLPPTSRRRYRFLRFLSPRSAVSPRARLHLVPLCANPLGSASPIREQLSDTDEDEEDDDDDEVAAMEYDDGLLADVDREEEEREGETAQLEAEAGPSAKFEEHKWERVTRLLAEVREFGEEIIDYEELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRRTFGDAYVGLLTGDSAINKEAPILIMTTEILRNMLYKSVGMISSASRLFHVDVIVLDEVHYLSDISRGTVWEEIVIYSPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSTKRPVPLTWHFSLKNSLLPLFDEKGKRMNRKLSLDYLQTSISRGEHFNESKTKKHRMGKVERGYSNVARLSQQTPLSKNDMNYIRRSQVPQIKDTLWHLAERDMLPAIWFIFSRRGCDAAVQYLEDCKLLDECEAGEVELEYRRFKKQYPDAVREVAVKGLLHGIASHHAGCLPLWKSFVEELFQRGLVKVVFATETLAAGINMPARTAIISSLSKKGETGRTFLSPNELFQMAGRAGRRGIDEVGHAVLIQTPYEGAEECYELLSAGLEPLVSQFTASYGMVLNLLAGAKVTRKLHDPDGTKLSHCGRTLEEARKLVEQSFGNYVGSNVMQAAKEELEKIQHEIELLSVEVTEDAIDRKCQEQLSENDYAEISKLQEELRAEKRTRTELRRQMEIKRMAAWRPLLDKFGSGNLPFICLRYKDKEGVQHNIPAVYVGKLSSSSVQKIMNMVKLDSSDFDNLETGSRDVASHEDGKPAYYVALSSDNSWYLFTEKWLKTIYRTGFPNISSLDGDILPREMLRNLLIKEDLQWEKIADSEFGSLWSIGGSLETWSWSLNVPVLSSLSEDDEVANQSEAYRDAVGRYKEQRSRVSQLKKKITNTKGFKEFKKIIDMTKFIKEKMERLNARSNRLSKRIGQIEPTGWKEFLQISKVIQEARALDLSTQVIYPLGETAAAIRGENELWLAMILRNKVLLNLKPAQLAAVCGSLVSEGIKVRPWKSNSYIYEPSSIVIDVIYLLEEQRISLIQIQDKYGVKIPCELDGQFSGMVEAWASGLTWREIMMDCAMDEGDLARLLRRTIDLLAQIPKLPDIDPLLQNNALLASSVMDRAPINELAG >Ma02_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21473861:21474459:-1 gene:Ma02_g12840 transcript:Ma02_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRMLPPLLLLLFLLMTSEMGTTVVNGRTCESQSHKFVGPCVRKANCGNVCQTEGFHGGVCRGSLIHRKCYCTKNC >Ma08_p03960.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2798670:2806288:1 gene:Ma08_g03960 transcript:Ma08_t03960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVMDHGINFPHGGVYQQSFCNQHVHSFQPKDVNSTTSIFPGDINTSGGIITKTGMILIGNSSTPNNVSPMILTSNPPGNILLEPVPGLKHIAAFAVDWSCEELEVLKQGLVTYASEPNIMKYIKIAARLPEKTVRDVAKRCQWMTRKETGKRCKSEDLYAGKKIKDRKEKMIGCSSMVNMHYNQPDSESAYSVMMCDGNHMNQFSSEVVDSRTKNLLEDNVKLLHQIAVNIENNEIQNNIDLLYCTNNNITATLNSMLEMPGVMSQMPPLPVHANENLLHSILPYTSQAHGPGNCYVKEEPSCW >Ma08_p03960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2798672:2806288:1 gene:Ma08_g03960 transcript:Ma08_t03960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVMDHGINFPHGGVYQQSFCNQHVHSFQPKDVNSTTSIFPGDINTSGGIITKTGMILIGNSSTPNNVSPMILTSNPPGNILLEPVPGLKHIAAFAVDWSCEELEVLKQGLVTYASEPNIMKYIKIAARLPEKTVRDVAKRCQWMTRKETGKRCKSEDLYAGKKIKDRKEKMIGCSSMVNMHYNQPDSESAYSVMMCDGNHMNQFSSEAFPVVDSRTKNLLEDNVKLLHQIAVNIENNEIQNNIDLLYCTNNNITATLNSMLEMPGVMSQMPPLPVHANENLLHSILPYTSQAHGPGNCYVKEEPSCW >Ma08_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2798672:2806288:1 gene:Ma08_g03960 transcript:Ma08_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVMDHGINFPHGGVYQQSFCNQHVHSFQPKDVNSTTSIFPGDINTSGGIITKTGMILIGNSSTPNNVSPMILTSNPPGNILLEPVPGLKHIAAFAVDWSCEELEVLKQGLVTYASEPNIMKYIKIAARLPEKTVRDVAKRCQWMTRKETGKRCKSEDLYAGKKIKDRKEKMIGCSSMVNMHYNQPDSESAYSVMMCDGNHMNQFSSEAFPVVDSRTKNLLEDNVKLLHQIAVNIENNEIQNNIDLLYCTNNNITATLNSMLEMPGVMSQMPPLPVHANENLLHSILPYTSQAHGPGNCYVKEEPSCW >Ma08_p03960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2798672:2806288:1 gene:Ma08_g03960 transcript:Ma08_t03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVMDHGINFPHGGVYQQSFCNQHVHSFQPKDVNSTTSIFPGDINTSGGIITKTGMILIGNSSTPNNVSPMILTSNPPGNILLEPVPGLKHIAAFAVDWSCEELEVLKQGLVTYASEPNIMKYIKIAARLPEKTVRDVAKRCQWMTRKETGKRCKSEDLYAGKKIKDRKEKMIGCSSMVNMHYNQPDSESAYSVMMCDGNHMNQFSSEAFPVVDSRTKNLLEDNVKLLHQIAVNIENNEIQNNIDLLYCTNNNITATLNSMLEMPGVMSQMPPLPVHANENLLHSILPYTSQAHGPGNCYVKEEPSCW >Ma08_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9655473:9659142:-1 gene:Ma08_g12660 transcript:Ma08_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERARKYGGLASPESARDWAEPPSPPPPPLPQGRKIPVVYYLCRNRHLEHPHFIEVPLSSPDGLYLRDVIDRLNVLRGKGMPAMYSWSCKRSYKNGFVWHDLAEDDLVLPANGNEYVLKGSELLDQSPSDRIHQSNGNSRLLNLKPQQQENPAISRTQEAAGSSSSSPASSAIEQPKPPPSPRDDEHSPLLHCPGLGSPRPPAWEKSLVGPTESRAYKSVNAADASTQTDDDGEMNARGGRAIFTRGISTDDSSVDLEFDKRLRNHDQRSKEHLETGGDAISPAPTSWSNSSSSGKMETLESLIRADASKIKSFRMHKEEEEEEALFHAKPKLRPTNVLMQLITCGSISVKDHHSFGLVPTYRPRLSHVNLSSPMFGGSMMLGEIDYLSEHPRLMGSRVESKEYFSGSLIELKKYKNIVREMMLPTLKRSSSYNADRIQRNCKTPDSEQGTAKLMDSSPSKCLPRTINKQPKSEASMSSISDGSRKSSAGLDCREVSQSENQRITLSVNESSTGVESTKERKEKVIMIEESYIVLASCRLTSGARVTIQSRAPCYDSEEGSDS >Ma09_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31964907:31966154:1 gene:Ma09_g21180 transcript:Ma09_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNISKVLDHRKDISNFVDHRKDISTEKEALADLLASSGDHFPGSDFHPADRKTWMSELGPDRLRINQIVWPGTHDSATNDIGVPLVTRPFAECQTRSIYDQLVLGTRVLDVRVEKGRHICHGILQSYSVDVVLDGVKRFLSEAESEIVILEIRTEYGHEDPPEFDKYLVEQLGDLLIHHDDAVFGKTVAEVLPKRIICVWKPRNSPAPAAGSPLWSAGYLRDNWIDTDLPKTKFDSNMKHLGEQPPSAARKYFYRVEHTVTPKPENPVVKMVDNEIRPFARLFIAQTFAQGFADRLQVFSTDFIDEDFVDACAGMTQARVEGQGIS >Ma09_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4998026:4999396:-1 gene:Ma09_g07610 transcript:Ma09_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATTRPQPVCSTMGLYYPPSPRQLDSSCRMAILKALPGICLLVLLLCLSSSPAARAASKPDYLILKLMWPGSYCASSQPTGKCCMPTNGEPAADFLVQALETYDSATGKPVTGCSRSCVFKILPLVNMLDNLYTYYANLTCPSNSGMPHWKDVWCTYGTCSSLNQSLYFDRALQLRKKVDPLVNLGSSGIIPHATKSYSLDDINDALVPRIGFSFTVVCTRNYILWPFFYEDYLYQIRVCVSSDGRSIIGCPNGRESNCGDTVKFPPVSYPLQGREDDAVSKTVIELPSGDEMAL >Ma09_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32732274:32736047:-1 gene:Ma09_g21520 transcript:Ma09_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGSSADILYFDAFQKLGLAKESLRPIGSALTGFTGDSISPLGAITLPLTLGVPPRSKTVITTFLVVDLPTAYNAILGRPTLNKIRVVVSTYYQIIKFPTHAGVGEVSGSPRESKRCYLTVVSLHKRARVEPPLEDPRETKRPAPHPEPKGPTIDVPLQEARPDQTVKVGSELPEQEQERLVGLLWENADIFAWSPSDMTGVDPGVAQHHLNIPPDARPVKQRPKRQAPDRQQAIREEVTRLLAAGFIEEANLNNACPKDCYPLPRIDQLVDATAGHARLSFMDAYSGYNQIRMAPEDSEHTAFLTDQGVYFYKVMPFGLKNAGATYQRMVNKMFAHQIGRNMEVYVDDMIVKSQKTEAHIADLTEAFSTLRKFGMRLNPTKCAFGVTSGKFLGFIIHERGIDANPEKVQAIINMQSPRTIKDLQRLNGRIVAISRFLARLGDHCLPFFRALKDPKKFRWTTECEEAFKQVKQRLANLPRLASVSPGEKLGLYLAASPHAVSSVLIKESSDQQLPIYYVSHVLGGPEERYPPIEKLALALVLSARKLHPYFQAHTVEVITDQPLRQVLTKFDVSGRLLKWAVELGEHDIRYVPRTAIKAQAVADFIAELTQMEDRDLEQTPEAWTLHVDGSANSRGAGAGLVLLAPDGRSFERSLHFGFKATNNEAEYEVAAIHVLTDSQLVAEQLSGGYEARDATMAKYLARVKDLTAKFPYFTLSNIPREENARANALAKLASKPTSEAWPEVEELPARAIEVAVAAPGSAPITWVQELLRFKKDGTLPLDEVAAQRLRRTHAWYTEESGRLYRRPFAYPLLRCLEPDEAQTVLAETHEGVCGEHIGGRTLAHKILRQGYYWPTMCRDEKVYVQRCDSCQRHARAPRQPAVPLSPIDCAWPFAQWGMDLLGPFPPASGQRKYIIVGVDYFTKWVEAEPLATITEHQVEKFVWKNLVTRFGLPKAIITDDGPQFASRRFREFCVGHGIQLRFSSVAHPQTNGLAEVTNRSILDGLKRRVSAARSVWADELPSVLWSLRTTPKAATGESPYSLTFGTEAVLPPEMAVATLRTRSYDEEVSNEGLRASLDVLEERRADAHLKALSYQRAVARVYNKKVRPRPIKLGDLVLRKAEVSDPARVRGKLAPKWEGPYRTALPCREPGTSGTSRSSSSEVKKPFSKEAFRPKGKKAVVGKSKKLPVVQKAGPLTRLVLQIIKKLFSQTKEPF >Ma06_p25910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26516570:26518388:1 gene:Ma06_g25910 transcript:Ma06_t25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGRSEGAVAEAEALRKAILSGCRGRSARKTGPVGVRRSREGDGGGRIEGRAVCVTGGVSFVGSALVDRLLDRGYAVRLLVETQEDWDKLRETETLWGGVSAVMADMTDMDSLCRAFDGCTGVFHTSSSLDPGGISGYSKHMAEIEVRAAERVIEACVRTESVRKCVFTSSLLACTWRQSDPRSRRVVDESCWSDEQLCRDKKLWYALGKTMAEKAAWEAAARGRRDLKLATVCPALVTGSGFHRRNIAASIAYLKGAREMFAEGLLVTVDVEKLAGAHVSIYEAMSSHACGRYICYDRVIERGEAEELERRLGIPSRILLSGETAAPCSPSSELSNQKLFRLMNSGRKCTFDVYSDLTWN >Ma11_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15835823:15837985:1 gene:Ma11_g12050 transcript:Ma11_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKKFRPPVEPRSFGSGLIVGCFLVSMTYVLMSKKDIVSCLPSSRSLLLSSSPSWSDATPSSSIVSNRKIQLSGHEKAAEESNLERSEAIDMPDDSSPAASASDVLSEEESSPSTDDKSAGRKPMCDVSGYRLDTCDIAGDVRVIGKNSSSVVLVGTPDTSSTDRNESWQIKPYPRKYDSSAMAKVRPLNLKSLNGDPEAPRCSINHTVPGILFSTGGHCGNCFHDFADVLIPLFQTAIPFRGRVQFIITNHQRWWMNKYRPYLTKLSSYDAIDYDNDDRVHCFDHVIVGLRAERDLMIHPPNGGNYSIMDFVKLTRSAYSLERDRPWTSDQQPGNKPRLLFIARGGTRKFMNLDEIVPMAEQVGFEVVVSEPDFYDVARFAHIVNSCDVMVGVHGAGLTNFLFLPTDAIVIQVVPLGKLDWIATNFYAEPAMGMGLRYLEYNITVEESTLTELYPRDHPVFANPESIHKQGWFKLGNVFLKQQNVKLDVSRFRSVLVKALELLGEKRD >Ma11_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23959846:23963158:1 gene:Ma11_g18970 transcript:Ma11_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLSSLLNGLAKSLYAGKRKVPGVEEGREAADELRREAKKNDTILRSSGTTRSCVSVFSQRGEKGVNQDCSIVWEEFGCQEDMMFCGIFDGHGSWGHYVAKAVRKSLPSSLLCNWQEALALASLVADKKPCHFDVWKQSYIKSFAAVDKELEHDRRLDSFRSGSTALTIVKQGELMVIANIGDSRAVLATTSDDGSLVPIQLTIDFKPNLPQEAERITQCKGRVFCLQDEPGVHRVWLPNEEAPGLAMSRAFGDYCIKDFGLISVPEVTQRSITGRDQFVVLATDGVWDVISNQEAVNIVSSTKDRRKSAKRLVECAVGAWKRKRRGVAVDDCSAVCLFFH >Ma02_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24745276:24747388:-1 gene:Ma02_g18110 transcript:Ma02_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASFVSLASLLALLAPAAGRIPGVYTGGQWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVQTAALSTALFNEGQSCGSCFEIKCADDPRWCHGGSPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLSMPMFLKIAEYRAGIVPVSFRRVPCRKSGGIRFTINGFQYFNLVLITNVAGAGDLVRASVKGSRTEWMPMSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPSTWEFGQTFEGKNFRV >Ma05_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32610247:32613703:1 gene:Ma05_g20900 transcript:Ma05_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENGGVHANGSLCIRTDPLNWGAAAEAVTGSHLEEVKRMVGEFRQPLVRLEGATLKISQVAAVATEPHSAVRVELSESAREGVRASSQWVMDSMTKGTDSYGVTTGFGATSHRRTKQGGALQQELIRFLNAGIFGSGAESGHTLPTPAARAAMLVRINTLLQGYSGIRFEILEAMASLLNSGITPCLPLRGTITASGDLVPLSYIAGLLTGRGNAKALAPGGEWVDAAEAFRRAGIPHGFFELQPKEGLAMVNGTAVGSGLASLVLYEVNVLAVLAEALSAVFCEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYMKMAKKLHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRASTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAVAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSATYLVALCQAIDLRHLEENLKHAVKNTVGQVAKRVLTTGANGELHPSRFCEKDLIMVVDRENVFSYIDDPCSSTYALMPKLRMVLVEHALSNGEKEKDATTSVFQKITAFEEELKAVLPKEVEAARAAVEGGNAAIANRIRECRSYPLYRLVREELGVAYLTGEKARSPGEEFDKVFMAVNEGLLIDPLLECLKEWNGAPLPIC >Ma04_p32880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32764374:32765914:1 gene:Ma04_g32880 transcript:Ma04_t32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASKLVRIDSMQSAMPVRMTPPGRSRRISAATPLGPDVLQRRCQVVLYYSKVGHGEEAESPATVGAWMKESLSAVLLSHPVMAGRLRRDDEGRGCWEIKFNDCGVRLLQATAEVTLSEFLCSEDRDEREAKLAYWEDVNADNPNYSPLFFIQVTQFQGDGYSIGISCSLLLADPFVLARFLKSWTRTHTTMFANGQLTKPPIHLCYSHTSGHPPCHSNCNSTAISATATTTLFKVARNSCATNAAAATCFREAARKLGTKPASSYSLMISDRTVYLTVESAGFDEVAGGSATEVAWRDQLGIEEISLVEATKPVHASCRIVPCAGETLVVVMQPSDEDELMVSVTSPADKI >Ma10_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30991093:31000177:1 gene:Ma10_g20960 transcript:Ma10_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MELVSLVLKRLFLLLLALLSCPTNTIARGGDLGAHPPPGFMGRGGGLLARRLFEDNYPEITDEMTRGYMSNSELEEAVKKFSKRCANISRIYSIGKSVQGYPLWVIEISDKPGQEEPEPSFKFVGNVHGDEPVGRELLMLLANWLCDNYMTDTLATLIVKHVHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFYPINDIKHSRQPETRAIMTWVKEGHFTASASLHGGALVANYPWDGSQDTRKQYTASPDDKTFRYMASLYSRSHYNMSLSQEFEGGITNGALWYPIYGGMQDWNYIHGGCFELTLEISDNKWPQANELPIIWDYNRKSMLNLVASLVKTGVHGRIFSSKDGHPLPASVMIKGINYKVNASSTLGDYHRMLTPGESYEVMVSMPGFQQKGTRILLGDESASLDFILDPDEATKNEHTSVKGFGCSCDGKDKLELVEYLRGVHLEIYILVCISLFLCFLLRRKTLCKLLNRRKQITQKRPVVV >Ma10_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26965057:26965515:1 gene:Ma10_g14570 transcript:Ma10_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYDVKISNINEQTVSLFGIFDGHGESQAAEYSNDHLFYNLMNHPQFMTDTRLAISETCQKTDSDFLEAERNTFRDDGSMALTAILIGNC >Ma08_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10379000:10385835:-1 gene:Ma08_g13060 transcript:Ma08_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane protein porin 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) UniProtKB/Swiss-Prot;Acc:Q9FKM2] MGIGPAPFSEIGKKARDLLNKDYNFDHKFIFSKTSDSGLGLTATGIKVNELFIGDISTQYKSGKTVVDVKVDTNSNISTTVTVNELLAGAKTSLSFKIPDQKSGKLDVHYLHDLVAFNSSIGLTPTPLLEFAAAIGSRELTLGAEIGFDSASASFTKYNAGLGFNKHDFSAALMLTDKGETLKASYIHVLNPINGAAVAAEMIHKFNTNENGFTIGSSHNVDPLTMVKTRFSNSGKAAVLCQHQWRPKSFITLSAEYDPKAVSAPSKLGLALALKP >Ma05_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24091897:24094167:-1 gene:Ma05_g18550 transcript:Ma05_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHRHPMCAEIIEEFQKCHADHPIGKFFGECTELKIKLDRCFRQEKAVKRKANFEESKKFKERLQAYRKETAQKDI >Ma05_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9032156:9034337:1 gene:Ma05_g12460 transcript:Ma05_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDKMELHMTGPSKSATEADRPPPPSSRLRSWQWWFIVALNIFFLLAGQTAATLLGRFYYDQGGNSKWMATLVQTAGFPILCLPLFLFPSTHPPPATSIIPHPSHAKIALVYVILGLIIAADNLMYSYGLLYLPVSTYSLVCATQLAFNAVFSYYLNSQKFTAFIMNSVVLLTFSAALLGFNENSESSADVSKGKYAIGFILTLGASATYSLILSLMQLTFQKVIRKETFSAVLEMQIYTAFVASCAAVVGLLASGEWKDLKSEMEAYGKGTVSYVMTLVWTGLSWQVASVGVVGLIFVVSSLFSNVISTLALPVVPVFAVIFFHDKMNGVKIVAMLIAIWGFASYLYQHYLDDSKAKKTVGHTEYVPAHGS >Ma10_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24138063:24141311:-1 gene:Ma10_g10030 transcript:Ma10_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVCFDSSSSFSCQGGQGRISCFSSSCAVGASSWKAEQMLTSSCYPISGGGGGGSGGGSKSLDHDFDSFECESEESVEVPEEHTKPVPARSSGSKRSRAAEVHNMSEKRRRSRINEKMRALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQILSMKNGLNLHSMYLSGGLQPFRTSQTCIGFGLNGNIAMNNRTGMLPLNQDSPVRSSFDLSNQCTTIEPSLINVTNLETPLVNPVESQRGSVQVPVSCEEMLTSDLLTQLYAADYTRDLTGGQTSSAIGIDYLEECILGIEGRSQQLLSDEENFIQHLHGLQTVTFPSSDLKEPFQDS >Ma11_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22269496:22273403:-1 gene:Ma11_g16860 transcript:Ma11_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDIDAREMQRRALEEAFGESSDSGGDNDDEFEFSSSSRSSHLHCLKQGDGVPLSWEMVDGVKGLWLCRGFLCSAQQSSLLSAIRREGWFDGGCHNQAMRFGDLPGWAVEISRLVREAVWFGDTSSGEGKESSLGNHHEEACWPLPLDLLWREPLFDQLIVNVYNPGEGICAHVDLMRFDDGIAIISLESACVMHFTRSKQEEGNDEGQGEEGSLLRVPVFLSPGSLVLMSGEARYLWKHEINRKQGFQLWEGEEIHQQRRTSVTLRKLCRSPNENVKAD >Ma11_p16860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22269496:22273403:-1 gene:Ma11_g16860 transcript:Ma11_t16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDIDAREMQRRALEEAFGESSDSGGDNDDEFEFSSSSRSSHLHCLKQGDGVPLSWEMVDGVKGLWLCRGFLCSAQQSSLLSAIRREGWFDGGCHNQAMRFGDLPGWAVEISRLVREAVWFGDTSSGEGKESSLGNHHEEACWPLPLDLLWREPLFDQLIVNVYNPGEGICAHVDLMRFDDGIAIISLESACVMHFTRSKQEEGEARYLWKHEINRKQGFQLWEGEEIHQQRRTSVTLRKLCRSPNENVKAD >Ma02_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18690673:18695326:1 gene:Ma02_g08300 transcript:Ma02_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRFWQYMAAGSVAGMAEHMAMFPVDTLKTRMQTVAASASSSSQHHHPTVGRLLASIVRSEGPSGLYRGIAAIGLGAGPAHAAYFAVYELCKDRLGGNRQDGRHHPLIHAASGVAATIASDALLTPMDVVKQRLQLRWSPYSGVRDCVVRMLRDEGIRAFYASYRTTVLMNAPFTAVHFATYEAVKKILTEIAPENASEERLLVHLTAGGAAGALASAVTTPLDVVKTRLQCQGVCGADTFNGSSIRMVMEKIVAKEGPRALLQGLKPRVLFHAPAAAICWSTYEAMKSFLQRNTHQISSSP >Ma03_p26220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30028865:30036002:-1 gene:Ma03_g26220 transcript:Ma03_t26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSPRTDTSTDVDTDDKDKRLERGQHAVVAASDSSDRSKDKTLDQKTVRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISGSGDQSHAMGGNGALAFDVEYARWLEEHNRQINELRSAVNAHASENDLCVIVDGVMAQYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQMMGICNLQQSSQQAEDALSQGMEALQQSLAETLAGSLAPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMHRILTTRQSARALLAIHGYFSRLRALSSIWLARPRD >Ma03_p26220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30028865:30036002:-1 gene:Ma03_g26220 transcript:Ma03_t26220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSPRTDTSTDVDTDDKDKRLERGQHAVVAASDSSDRSKDKTLDQKTVRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISGSGDQSHAMGGNGALAFDVEYARWLEEHNRQINELRSAVNAHASENDLCVIVDGVMAQYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQMMGICNLQQSSQQAEDALSQGMEALQQSLAETLAGSLAPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMHRILTTRQSARALLAIHGYFSRLRALSSIWLARPRD >Ma03_p26220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30028865:30036001:-1 gene:Ma03_g26220 transcript:Ma03_t26220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSPRTDTSTDVDTDDKDKRLERGQHAVVAASDSSDRSKDKTLDQKTVRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISGSGDQSHAMGGNGALAFDVEYARWLEEHNRQINELRSAVNAHASENDLCVIVDGVMAQYDEIFRLKGIAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPLTEQQMMGICNLQQSSQQAEDALSQGMEALQQSLAETLAGSLAPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMHRILTTRQSARALLAIHGYFSRLRALSSIWLARPRD >Ma09_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26087571:26087969:1 gene:Ma09_g19740 transcript:Ma09_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKLSKITELVSYQQMLKKWKKLAVAGDGSSKSIRFLKRALSLSGYIPKGCFVVCVGQEMQRFVIPTECLSHTAFAVLLREAEEEYGFEQEGVLRIPCEVSVFRSILKMVEKTKEGIYYC >Ma04_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9946631:9950435:1 gene:Ma04_g13140 transcript:Ma04_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRLFGKPNEKAYDLSTMDKLYETLAMLEKKEQALMKKVAAEIEKAKEFTKANNKRAAIQCLKRKRLHEQQVEQLGNFQLRIHDQIIMLEGAKATTETIDALRIGAAAMKTIQKETNIDDVDKTMDEINEQTENMKQIQEALSLGVAADFDEDELEAELEELEGAELEEELLKPATAAPAPPVQDPSVKLPTQPMPNKNTSEEDELAALQSEMAM >Ma03_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24829610:24830011:-1 gene:Ma03_g19520 transcript:Ma03_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQAAAAAEAWGYVAGMGPTTTMDALERVERMAAESAVVIFSVSTCCMCHALKRLFCGMGVSPSVVELDEDPRGREMERALARLLGGGAAGGPAVPVVFIGGKLVGAMDRVMAAHISGALVPLLKQAGALWL >Ma07_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2883196:2892862:-1 gene:Ma07_g03770 transcript:Ma07_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVAKTVEQMMADMAAAKARGADLVEIRLDHLSDFEPRRDLPLLVGDRPLPVLVTYRPKWEGGEYEGDDKQRFEALCLAMELGAEYVDVELKVADDFVRLISGKKPDNFKLIVSSHNYQSTPSSEELSSLVARIQAAGADIVKIATTAVDIVDVTRMFQVIVHCQVPMIGLVMGERGLISRLLCPKFGGYLTFGTLGVGKESAPGQPTISDLLNVYNIRQIGADTKIFGIVGKPVGHSKSPVLLNAAFKSVGLDAVYVPLLVDDLVNFLNAYSSTDFAGFSCTIPHKEAAVGCCDKVDPIAKSIGAVNTIIRRPSDGKLIGYNTDYVGAISAIEDGIRGSQGVGKETVSPLAGKVFVIIGAGGAGKALAYGAKEKGAKVVIANRTYERARELANLIGGHALTLAELETFHPEDGMILANTTSIGMQPNVNGTPIRKQALGSYSVVFDAVYTPRATRLLREAEESGATVVSGLEMFIRQAMGQFELFTNLTAPENLMRDTVMRYT >Ma03_p31310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33568114:33573475:-1 gene:Ma03_g31310 transcript:Ma03_t31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPTQRFHGSKGPYRYQKKFVPKNDNSSSSTSSSANPSSSNRSNPLRPLTTALRNHSAVAAARSGSREKLRDEGNFVTYLPHDEAVASGLGADAGGLDAVESQAVVDLLNDELSKILKMSPRDFWREVAKNDALIEFLDSYLQFRNRWSDFPHRGSRGVVAGVIVGELELCRRVFMILYRMSSNKDPGACPNDCLSMKDHTALLQEKNLLDLPKLLDICAIYGHDNEELTKSLVRNGIRAQPNLLDKVEAVVSHFLNIVHTMHERCSSSLEVLISSRGHETHGSGHLHKDFLEVMDFINDAVVTLDAFADAYRPASFYLSLSFEMNYGNEDMLRTLARLHDSLLPSLQKGLAIVSSTIADGSSNSLLTETLLSLRMLSARIVKFGWKLLDFCYLNDKLIEDGFQTASKMFPARVEDPVIRGDILVQTFKEINQEISYKFSENHGNGTFLQKLETEFKILRRVDDLRSNGWLFMDEEQYRYISRIAAPTHLKSWESGSVIPISSLNEKVQMDEETVIAESKISQIKDLFPDYGRGFLSACLEVYNQNPEEVIQRILEGTLHEDLLSLDTSLEQVPSRKQTSSRSDKGKGLLLESAPQGTLPSTATGSSRQQKDDAGPPSSSLSSSYGRYTRKPNDEVPNSTILDSRTAKDAVRAAVLAAELEYEDEYDDSFDDLGLSIVESVTEETENLSDRIKSLTGIASGSDTGGSSNSSSKWSSQKKLQFYVKDGKNYSYKVSGSIAVYDAQEAALVNQAQKEMIHGLGRGGNLPVGAVKRLMDAEDQDQEHQMPDTAENAGRGNTNPQGRGGRRGGRHHHHRKDRAMKKHLSGVGGY >Ma07_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9864732:9866714:-1 gene:Ma07_g13140 transcript:Ma07_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELIAGLPNDIAQECLIRVPYDGFPTVRSVCRRWKQELQSSQFHSLRKTAGLTRPVIALAQAEPALAPTAGPAKKYTASASPSYRLVLFEPVTGAWSCPPPIPGLLRGLPLFCHLAAVGRELVVVGGCDPETWAASDEVHIYDFEAGVWRRGARMPGPRRSFFACAASEELRAVFVAGGHDEEKNALRSAMAYDVVANAWAPLPDMAQERDECRGAFLRGAFHVVGGYPTEAQGRFSRSAEAFHVASRRWGPVEEDKLEVGTCPRACVAGGDGRLYMCGPRGDVAALSDDGSGAWRAVAEAPETARVAPLLVAWERSLMLIGSEKHGGGHVGYVGEVGAGKGATTWKRVAMPEEFSGHVQAGCSMEI >Ma01_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11921345:11925638:1 gene:Ma01_g16450 transcript:Ma01_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWEEEDFEPAPPSLITNKPKSQWDDEDVEDEDVKESWEDEEVPVQAPKAEETVQTTASKTGRKPAGKKEKLPEAKTSEISNEVLADPVAEKLRQQRLVEEADYKSTAELFAKKGDEKTLDNFIPKSENDFLEYAELLSHKILPYEKSFHYIGLLKAVMRLSMTSLKAADAKEVASSVTAIANEKLKAEKEANAGKKKQGTKKKQLHVDKAEDDYVTAGGYDDVDDYDFM >Ma04_p32740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32676317:32677141:-1 gene:Ma04_g32740 transcript:Ma04_t32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSAVIPLLASNLVQLWEELQTAYGLDAELEKLQSSVAMIQAVLNDAQERQHIRNAVKHLLNELSQAAYDANDILDEVATERQRCQLIKYASVRNFLAPINPKRELFKREICLRVKDIEHRLDSIARRCPLSELTQRSAPRRQQSYQTTSLTPSLVLGRESDKQKIKNMLLPVAEVTDQSITVIPIFGMPGIGKTTLSQLVCNDESVKNHF >Ma08_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2460623:2460959:-1 gene:Ma08_g03340 transcript:Ma08_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMVAVAITAAAEVAEEEVAIEEEAAAEEVGGSYSEEERWGSASVRSTRNAAAEGNRRWR >Ma09_p25700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37080955:37082650:-1 gene:Ma09_g25700 transcript:Ma09_t25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNGQQRPHQEVGHKSLLQSDALYQYILDTSVLPREPECMKELRQITANHPMNIMSISVDEGQFLNMLLKLTRAKKTMEIGVYTGYSLLATALALPDDGKILAMDTNRKYYEVGLPTIQKAAVAHKIDFREGPALPVLDQLLQDETNHGSFDFVFVDADKENYVNYHRRLLDLVKVGGLIAYDNTLWGGAVAAPPDAPLPGYLRHYLDFVLQLNQELAADPRIEICQLPVGDGVTLCRRIR >Ma08_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:58585:59845:1 gene:Ma08_g00080 transcript:Ma08_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTHGEEDRRRKTDLGAGGGGGAVPTPAVIPVHRFIVPKPEPMEMLGMRAFQIMRRPASRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIQWLLEHAEPAIIAATGTGTVPAIATNIGGTLKIPTEAPTSAPVTSTSTPSAVDDAASADDEGAGKKRRKKLQPSRTGGGTVIAGYYPVQDPLLPVGGAISISSGLAPTAGGAQGMVPVWALGGGAAAASVIPPGALWVLPPPSATAGTSSQSQAWTFPQAPQIVNLATSRPISTDALFSGGAHGVNVATTAGGKHELQLMSASGEPLRGQAHELDVDDEDEEIEEDSSEED >Ma00_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19530341:19534156:1 gene:Ma00_g02660 transcript:Ma00_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDIIQKAQHGGLNVIQTYVFWNIHEPLYGQFNFQGRYDLVGFIKLVQKNGMYVTLRLGPFIQAEWNHGGFPFWLREVANITFRTDNEPFKHHMERFARTIVQMMKDEKLFASQGGPIILLQIENEYNNVARAFEGGSKYIQWAGNMAVGLGAGVPWVMCKQNDAPGPVINACNGRNCGDTFTGPNHPSKPSLWTENWTAQYRVFGDPPSQRSAEDIAYSVARFFSKNGTLTNYYMYHGGTNFGRTGAAFMMTRYYDEAPLDEYGMEKEPKWGHLRDLHHALKLCRKGLLWGTPSVQAFDKGFEARLYEIPESHVCVAFLTNTNRKEDGTVSFRGEEYYLPRRSISILPDCKTVVFNSQRVNAQHNARTFDVAKESSMKNQWQMTSDLIPTLRHASVISKGPLELMNLTKDTTDYLWYTTSFKLDADDLPRRQDIRPVLQVSNLGHAMHAFVNGKYIGSGHGTKIEKSFVFQKPMDLNAGTNHIAILGLTVGLPDSGAYLEHRLAGVHTVVIQGLNAGTLDLSHSVWGHQVGLTGETLGIFSEKGVNAVKWEEAKSDTPVMWYKRYFDAPSGHDPVALEMNSMGKGLVWINGESIGRYWISYLNPLGKASQSVYHVPRSFLKPKDNLMVVFEEHGGKPEDIQIMTVKRDDICTVVSDLHPAHVRSWSRENSKIRSVDDDAKPEARLKCTGKKVIQSIDFASFGNPGGMCGNFTTGSCHTPQAKAVAEKACLGKESCVLPVAPEAYGADVGCPETMATLAVQAKCVPKK >Ma10_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24796276:24799471:-1 gene:Ma10_g11190 transcript:Ma10_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETRQDLKGDSMLAEVSLDWRGRPCKHDKHGGMRAAVFVLGIQACEIMAIAAVGNNLITYVFNEMHFSLSMSANVVTNFVGTIFILSLLGGFLSDSYLGSFWTMLTFGFVELSGFILLAVQAHLPQLRPPPCNMMTGEQCVEAKGFKAAVFFLALYLVALGSGCLKPNMIAHGADQFGRDDPKQPKKLSTYFNAAYFSFCVGELIALTVLVWVQTRSGMDVGFGVSAGAMAMGLISLISGVVFYRNKPPQGSIFTPIARVFVAAISKRKQVCPSTNSELIHQSQKHAGDHHQPSPGVNSLRHTDKFRFLDKACIKAQDGSSLKESPWKLCTATEVEQVKVVLSVIPIFACTIIFNTILAQLQTFSVQQGSSMDTRVGNSFTIPPASFQAIPYMMLIVLVPIYETGFVPLLRRFTGKESGITPLQRIGVGLFTVTFSMVSAALIEKKRREEAVGPHKHLSIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSAGGMQSFLTAMTYCSYSFGFFLSSLLVSLVNKITSSSSSGGWLSDNDLNKDRLDLFYWLLAALSLLNFVNYLFWARWYSTSPSLSTKAPNHGPSGEASLSIS >Ma04_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1976174:1981142:1 gene:Ma04_g02270 transcript:Ma04_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDAGDATSKTRVVVVGGGVAGAILARSMQFLADVVLIDPKEYFEIPWAELRSMVEPAFSDRSLVKHTDYLTNARVITSSAVNITENQVLTADGNSVSFDYLVIATGHAYSTPTSKQERLEQFQQDNQKIKSSQSVLIVGGGPSGVELAGEIAVDYPDKKVTIVHKGSRVLEFIGHKASKKTLDWLISKKVEVLLEQSVDLDSISEGDGVYTTSTGQKISADCHFVCVGKPLGSSWLQDSALRDCLNENRRLMVDEYLRVKGRSNIFAIGDITDIPEIKQGFLAERHATVVIKNLKLLMKGTKENKLAKYKAASPMAIVSLGRKEGVAQLPFATIIGCLPGLIKSKDLFVGKTRKTLGLVSST >Ma08_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29740494:29742656:1 gene:Ma08_g18310 transcript:Ma08_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MAAEAHLGWLLGRGATFGHIKQLHANLLTTGLFRRSPPLRTTFLELCALSQFGDLAHALAAFRSIPHPATTTNDWNAVIRGIAAGPDPSGAFALFARMLSLASPRPDALTLSFALRATSRSSALPAALQLHALTLRFGLVADDRLVTTLLDAYAKCSAPEAALQVFDEMPLRDVATWNALLAGLALGPHPHTALALFHRMVSSSLPDRETPNEITVVAAASACAQLGSARDATAIHDFARRRSLDADVRVRNALVDAYAKCGALDRALDVFRSTRSKTLVSWNAALMALAMHGRGALALRLFETEMLRSTVAPDAVTYLAVLCGCVHAGLVEDGLRIFYSMRGVRPTAKHYGAVVDLLGRAGRLSEARDLVDAMPMAPDVVLWQTLLGAARSHGDVALAEQAARKLAEMGSKGCGDYVLLSNVYAAKARWGDVGRIRNTMQGNDVRKVPGFSYTEVDGALHRFLNGDREHEQWRKIYRTLEEVEARIRALGHVPETAHVLHDIEEEDKERALYQHSEKLAMAFGIAATPARASIQVIKNLRICGDCHAAAKLISRAYGRVITVRDRARFHRFEGGECSCGDYW >Ma01_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6168239:6172156:-1 gene:Ma01_g08590 transcript:Ma01_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASARSPLSASHPRDDLKKKNLNKNSTVAVAAAVQSQPDRRSSGRSEREKEKVRKEREEEREKKEVVNRRIASKKAISIILRREATKAVIEKKRGRTNSRKLLPRTVLEALHERITALRWESALKVFELLREQLWYRPNSGIYIKLIVMLGKCKQPERAQALFQAMVDEGCIINHESYTALVSAYSRSGFLDQAFSLLNKMKTIDGCQPDVHTYSILIKSCVQVFAFDKVQILLSDMESQGIKPTIITYNTLVDAYGKAGRFAEMESTLMEMLSNHDCKPDVWTMNATLRAFGGSGQIEMMEKCYDKFQSSGISPDIKTFNILLDSYGKAKRYEKMGAVMEYMQKYYFSWTVVTYNVVIDAFGRAGDLKQMEYIFRLMKSEGIKPNCVTLCSLIRAYGRTGSVDKIKAVVRFIGNSDVMLDVVFFNCLVDAYGRAGCLVEMREVLEMMKARGCTPDKVTYSTMIKAYLSRGIDGDHVQGLRDFYREEIA >Ma07_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2206562:2209487:-1 gene:Ma07_g02800 transcript:Ma07_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSKLGGLIRQGLLKNGISGAPSGAMHLLNSMRYMSSKLFVGGLSYGTDDQQLKEAFNSFGEVVEARVITDRDTGKSRGFGFVSFDSDESASSALTSMDGQELQGRNIRVSYANERPSGGSRGGFGGGYGGSGGYGGSAGYGGGQNDF >Ma01_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6370018:6370203:1 gene:Ma01_g08880 transcript:Ma01_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHYHFLVQWILMAMQHKREAKLGTKSWTQASYLVMNCGLLTNWISLKQLSKQSQSLTLW >Ma05_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23206258:23220064:1 gene:Ma05_g18280 transcript:Ma05_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MRRSLLCSSMNQMAPSSLSASPSPSPFKLIGISILLLSYSLSLLLFPPSLSPFLSDSDSDSDSNSRSSSSRFLLQYPLPSASSSSCSSVLELPPDRHCAFALSRCGRSGGGGGLVNYAAIHFCLFTGRPLLSLPALALLLLLHFYFLVSAARDHFTPVVTRLVAHLRLSPSMAAVTLLALGNGSPDLFASVAALRGGHPRTGLAAILSAGAFVSAFVVGAVAILAGPFPLDPGPFVRDVFFYLVAASALFYVYLSAEIFLWQAVGFILFYIFFVGFVFWMDLGVHGTTDKNGELEMGLAVEGEQEAWKKKDFFTDTADKESERPVGNIEEVESAWSLHRVLRKVTQIWAVPVSTLLKLTVPSPSEWSRFYISANIGLCPLILLYSLSSFIALDRQIVFLIPQIRLPIWSVVVLTSFSLALVHFIFERDPPEKDCISGTLVAFMMSVFWISTMAGELLNCLETIGIITKLPPAILGLTVLAWGNSVGDLVADVALAKAGQPAMAMAGCFAGPMFNMLVGLGTAMVIETASVYPVAYELRFHVGIVIAFVFLLLSLMGSLLVVTWFRFRVPRFWGFCLVGLYLLFTVMSIAIARFS >Ma07_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3771889:3781359:1 gene:Ma07_g05190 transcript:Ma07_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVDPSALLRFVLSAILIFSGALLLVKRAASRYFVVDAGFEAGSGFEGPRRAMCVGEEDGCAACGRPASKKCSRCMAVRYCSQACQSKHWKSDRRFCCEQSKSTVEVTLSESQQFSSISLMPACGTRNILQKSTKILFPYEEFVKLFNWDNPGFTPCGLVNCGNSCFANVVLQCLTSTRPLMAYLLKRTHSRECNVSKRDGWCFLCELQFHVQRARESMHPFSPINILSGLHNIGGNLDYGRQEDAHEFMRFAIDRMQSVCLDEFGGEKTVDTRTQGTTLIQYIFGGRLQSQVTCTKCNMVSNRFENMMDLTVEIQGDVESLEECLDQFTIKEWLDGENKYKCDGCNDYVKAWKRLTVNQAPNILTITLKRFQVINVEVEDVLSERAYMLLYSRKTARGEPCLCPPGNTLLPETDQKASITSEVAKFISASCHSPKLISLPNPGEVPELHDSGHDRGFSAHVDSSRVKNLDNVVMVDTPVDNVVAGASSDVKPMLLHRGDIDDLSSSSSSIEDLEEGNDSLFSFVTKDHMSVGYRQLDSPDISCIEVHLEKCQEPFSSDAGNGTGKSGASGIGERPVEFMCALDKSINFLDIAAATEKAFASTTAASMELDNSDDSITGSEEAGGTCRASDPADTVNSLDGNKASSYQEKHFNGKPKPLFPPGFLDKSGRKMSQVSAEKTQVESCSDGLVPKSIREKPTEPMYALDESLNLVDTVVAITKQAPIASLPTSSIGPEASVPRSEDAVETVKALDPTSKVRSMEDTSYGHKGNKASSLLENDSNGKPKPLFPCGFLHESGRKMTQGATEKARVQSHPHAPKNIRERPKEPMCALDESLNLKDVAVAITAEAPIASVTCLSMGLQPSDDSVPGLEDVVESGSSSSPTNLEDMIMIYRNEGKKASFCQESGSIGKPKPLFPRGFLDKPVRKMSQDTAEGLTQAGSHSDALVPKENSFCYEHSDLRSSCACNKVVIPGEASLVADGLLKRSLLKSSDKKKYMRKRPCKLEQSCKADHYGSAEHSSDCRLESACPTSCQLSQ >Ma07_p05190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3771889:3781359:1 gene:Ma07_g05190 transcript:Ma07_t05190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVDPSALLRFVLSAILIFSGALLLVKRAASRYFVVDAGFEAGSGFEGPRRAMCVGEEDGCAACGRPASKKCSRCMAVRYCSQACQSKHWKSDRRFCCEQSKSTVEVTLSESQQFSSISLMPACGTRNILQKSTKILFPYEEFVKLFNWDNPGFTPCGLVNCGNSCFANVVLQCLTSTRPLMAYLLKRTHSRECNVSKRDGWCFLCELQFHVQRARESMHPFSPINILSGLHNIGGNLDYGRQEDAHEFMRFAIDRMQSVCLDEFGGEKTVDTRTQGTTLIQYIFGGRLQSQVTCTKCNMVSNRFENMMDLTVEIQGDVESLEECLDQFTIKEWLDGENKYKCDGCNDYVKAWKRLTVNQAPNILTITLKRFQSGRFGKLNKRVTFPQNLDLTPYMSEDGDGTDIYTLYAVVVHLDMLNASFFGHYICYTKDYHGRWYRIDDCEVINVEVEDVLSERAYMLLYSRKTARGEPCLCPPGNTLLPETDQKASITSEVAKFISASCHSPKLISLPNPGEVPELHDSGHDRGFSAHVDSSRVKNLDNVVMVDTPVDNVVAGASSDVKPMLLHRGDIDDLSSSSSSIEDLEEGNDSLFSFVTKDHMSVGYRQLDSPDISCIEVHLEKCQEPFSSDAGNGTGKSGASGIGERPVEFMCALDKSINFLDIAAATEKAFASTTAASMELDNSDDSITGSEEAGGTCRASDPADTVNSLDGNKASSYQEKHFNGKPKPLFPPGFLDKSGRKMSQVSAEKTQVESCSDGLVPKSIREKPTEPMYALDESLNLVDTVVAITKQAPIASLPTSSIGPEASVPRSEDAVETVKALDPTSKVRSMEDTSYGHKGNKASSLLENDSNGKPKPLFPCGFLHESGRKMTQGATEKARVQSHPHAPKNIRERPKEPMCALDESLNLKDVAVAITAEAPIASVTCLSMGLQPSDDSVPGLEDVVESGSSSSPTNLEDMIMIYRNEGKKASFCQESGSIGKPKPLFPRGFLDKPVRKMSQDTAEGLTQAGSHSDALVPKENSFCYEHSDLRSSCACNKVVIPGEASLVADGLLKRSLLKSSDKKKYMRKRPCKLEQSCKADHYGSAEHSSDCRLESACPTSCQLSQ >Ma03_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24729384:24737635:-1 gene:Ma03_g19410 transcript:Ma03_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGQRRKQQTNSNSHKQYSGKKKRKLGPYDLCLRSQVDLEWDVRQKRVVAKKAQVGLTWNYMAPFVDSFTRSHSGIADVVSVPYEIFDLDNLSEVLTYEVWATCLSEPERKLLSQFLPSETDTEHVVHSLLKGENHHFGNPYLKWSSSLCAGNLHPDNVLHLEKQFKFNKRAYYHEINKYHSGMLEVLKNWRERWLSCKDPENLWSEEFTKNKQENLAICAERAKVPNCSKREMSNNNSVHNSDTIKFMSCIKITRSQFQLVKNLKHSGDGIQSKSLSHVLGDINSFHAHSYVTYEEEEKKRLHEQWLQIARKDLPAAFGVYKGRKLHREQCWKFLEQELAMKRKLILHKDEKTENLESSNQESSYSGDLHEHEHAPDAEGEKNEDVDPRYEAINDHQLENIPSIDPNQKTCFMVANDEAVGEDTLKQEDCVLPLSESLYCQSENIKLEGSLASVKSMWQPRSLADYYCNTSQVHGYLSADQMIPVRHKQVNEEHAAHIIDLKRDVPQLEAGKTVASAFIRESIFYSPANQDCTGVPPTCPEGSGMLSSYTHQHMNSVRQPALHFLMPNDRLTDSGQFASLFVGPAQIQQQREVWEKGPHMHQTVNESAYTTGRYASKRQFPPADQHGFSAMYSSGNSGSVGYNWFPDENQEAYHNWSGVATSSSSHSVAVGKNSDGNLFSVFSNRSVCSPLEVLNSEQYIQTRNFDGVEMASAEDTRAYAQTQLHNSGGHEAVGASSLRRGSWINTPHHSLGLHNPGGRPFPRP >Ma03_p19410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24729384:24738802:-1 gene:Ma03_g19410 transcript:Ma03_t19410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGQRRKQQTNSNSHKQYSGKKKRKLGPYDLCLRSQVDLEWDVRQKRVVAKKAQVGLTWNYMAPFVDSFTRSHSGIADVVSVPYEIFDLDNLSEVLTYEVWATCLSEPERKLLSQFLPSETDTEHVVHSLLKGENHHFGNPYLKWSSSLCAGNLHPDNVLHLEKQFKFNKRAYYHEINKYHSGMLEVLKNWRERWLSCKDPENLWSEEFTKNKQENLAICAERAKVPNCSKREMSNNNSVHNSDTIKFMSCIKITRSQFQLVKNLKHSGDGIQSKSLSHVLGDINSFHAHSYVTYEEEEKKRLHEQWLQIARKDLPAAFGVYKGRKLHREQCWKFLEQELAMKRKLILHKDEKTENLESSNQESSYSGDLHEHEHAPDAEGEKNEDVDPRYEAINDHQLENIPSIDPNQKTCFMVANDEAVGEDTLKQEDCVLPLSESLYCQSENIKLEGSLASVKSMWQPRSLADYYCNTSQVHGYLSADQMIPVRHKQVNEEHAAHIIDLKRDVPQLEAGKTVASAFIRESIFYSPANQDCTGVPPTCPEGSGMLSSYTHQHMNSVRQPALHFLMPNDRLTDSGQFASLFVGPAQIQQQREVWEKGPHMHQTVNESAYTTGRYASKRQFPPADQHGFSAMYSSGNSGSVGYNWFPDENQEAYHNWSGVATSSSSHSVAVGKNSDGNLFSVFSNRSVCSPLEVLNSEQYIQTRNFDGVEMASAEDTRAYAQTQLHNSGGHEAVGASSLRRGSWINTPHHSLGLHNPGGRPFPRP >Ma03_p19410.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24729384:24738737:-1 gene:Ma03_g19410 transcript:Ma03_t19410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGQRRKQQTNSNSHKQYSGKKKRKLGPYDLCLRSQVDLEWDVRQKRVVAKKAQVGLTWNYMAPFVDSFTRSHSGIADVVSVPYEIFDLDNLSEVLTYEVWATCLSEPERKLLSQFLPSETDTEHVVHSLLKGENHHFGNPYLKWSSSLCAGNLHPDNVLHLEKQFKFNKRAYYHEINKYHSGMLEVLKNWRERWLSCKDPENLWSEEFTKNKQENLAICAERAKVPNCSKREMSNNNSVHNSDTIKFMSCIKITRSQFQLVKNLKHSGDGIQSKSLSHVLGDINSFHAHSYVTYEEEEKKRLHEQWLQIARKDLPAAFGVYKGRKLHREQCWKFLEQELAMKRKLILHKDEKTENLESSNQESSYSGDLHEHEHAPDAEGEKNEDVDPRYEAINDHQLENIPSIDPNQKTCFMVANDEAVGEDTLKQEDCVLPLSESLYCQSENIKLEGSLASVKSMWQPRSLADYYCNTSQVHGYLSADQMIPVRHKQVNEEHAAHIIDLKRDVPQLEAGKTVASAFIRESIFYSPANQDCTGVPPTCPEGSGMLSSYTHQHMNSVRQPALHFLMPNDRLTDSGQFASLFVGPAQIQQQREVWEKGPHMHQTVNESAYTTGRYASKRQFPPADQHGFSAMYSSGNSGSVGYNWFPDENQEAYHNWSGVATSSSSHSVAVGKNSDGNLFSVFSNRSVCSPLEVLNSEQYIQTRNFDGVEMASAEDTRAYAQTQLHNSGGHEAVGASSLRRGSWINTPHHSLGLHNPGGRPFPRP >Ma03_p19410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24729384:24737671:-1 gene:Ma03_g19410 transcript:Ma03_t19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGQRRKQQTNSNSHKQYSGKKKRKLGPYDLCLRSQVDLEWDVRQKRVVAKKAQVGLTWNYMAPFVDSFTRSHSGIADVVSVPYEIFDLDNLSEVLTYEVWATCLSEPERKLLSQFLPSETDTEHVVHSLLKGENHHFGNPYLKWSSSLCAGNLHPDNVLHLEKQFKFNKRAYYHEINKYHSGMLEVLKNWRERWLSCKDPENLWSEEFTKNKQENLAICAERAKVPNCSKREMSNNNSVHNSDTIKFMSCIKITRSQFQLVKNLKHSGDGIQSKSLSHVLGDINSFHAHSYVTYEEEEKKRLHEQWLQIARKDLPAAFGVYKGRKLHREQCWKFLEQELAMKRKLILHKDEKTENLESSNQESSYSGDLHEHEHAPDAEGEKNEDVDPRYEAINDHQLENIPSIDPNQKTCFMVANDEAVGEDTLKQEDCVLPLSESLYCQSENIKLEGSLASVKSMWQPRSLADYYCNTSQVHGYLSADQMIPVRHKQVNEEHAAHIIDLKRDVPQLEAGKTVASAFIRESIFYSPANQDCTGVPPTCPEGSGMLSSYTHQHMNSVRQPALHFLMPNDRLTDSGQFASLFVGPAQIQQQREVWEKGPHMHQTVNESAYTTGRYASKRQFPPADQHGFSAMYSSGNSGSVGYNWFPDENQEAYHNWSGVATSSSSHSVAVGKNSDGNLFSVFSNRSVCSPLEVLNSEQYIQTRNFDGVEMASAEDTRAYAQTQLHNSGGHEAVGASSLRRGSWINTPHHSLGLHNPGGRPFPRP >Ma03_p19410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24729384:24737886:-1 gene:Ma03_g19410 transcript:Ma03_t19410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCRMAAGQRRKQQTNSNSHKQYSGKKKRKLGPYDLCLRSQVDLEWDVRQKRVVAKKAQVGLTWNYMAPFVDSFTRSHSGIADVVSVPYEIFDLDNLSEVLTYEVWATCLSEPERKLLSQFLPSETDTEHVVHSLLKGENHHFGNPYLKWSSSLCAGNLHPDNVLHLEKQFKFNKRAYYHEINKYHSGMLEVLKNWRERWLSCKDPENLWSEEFTKNKQENLAICAERAKVPNCSKREMSNNNSVHNSDTIKFMSCIKITRSQFQLVKNLKHSGDGIQSKSLSHVLGDINSFHAHSYVTYEEEEKKRLHEQWLQIARKDLPAAFGVYKGRKLHREQCWKFLEQELAMKRKLILHKDEKTENLESSNQESSYSGDLHEHEHAPDAEGEKNEDVDPRYEAINDHQLENIPSIDPNQKTCFMVANDEAVGEDTLKQEDCVLPLSESLYCQSENIKLEGSLASVKSMWQPRSLADYYCNTSQVHGYLSADQMIPVRHKQVNEEHAAHIIDLKRDVPQLEAGKTVASAFIRESIFYSPANQDCTGVPPTCPEGSGMLSSYTHQHMNSVRQPALHFLMPNDRLTDSGQFASLFVGPAQIQQQREVWEKGPHMHQTVNESAYTTGRYASKRQFPPADQHGFSAMYSSGNSGSVGYNWFPDENQEAYHNWSGVATSSSSHSVAVGKNSDGNLFSVFSNRSVCSPLEVLNSEQYIQTRNFDGVEMASAEDTRAYAQTQLHNSGGHEAVGASSLRRGSWINTPHHSLGLHNPGGRPFPRP >Ma06_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5570853:5571497:-1 gene:Ma06_g07860 transcript:Ma06_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPSHLSTGQLLLMGNHGRRHHHHISSFNPSWCFRPSPTKETPKRATPNDRIPTTASFPSLPKQKRLFRLGSMSVALSSPRIGCMGQIKRDRSASRDSTSSSSSSSKTSGSSSRERGNLLKITMALLRRSASDPTSSRVGGAEDDGGVVGAGVTVADMDPPLPVVRRVAARDELSVSLWERRCGGGERRQLKLQLPQQQPPRALALISSCN >Ma03_p30420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33050169:33053164:1 gene:Ma03_g30420 transcript:Ma03_t30420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHEASWNLIAPNYELYFRKLHCGQRSSHGIDGVRGSEAPRGRQPQRRLRQPHRARPRPRPHRAQHVLVVAAQEVGPIVVVEGPLRPPQDHPHQPAGDLPRHQALHPLPRRPPRHRRQLPPFWTRLVVCFESAWPHPSCRACQLPHRANRILHRSYWYVCAHEISFDSKQFKQCAINSCCAAVGGLLNATCGNATELIIALFALHRGKIEVVKCSLVGSILSNLLLVLGSSLFLGGIANLHKEQSFDRKQADVNTGLLLLGALCHILLLAFDHAVSSGEQAADAAPRLWLSRACSIVMLLAYVAYLFFQLKTHRQLYESEEEQDDDNDDAVAQDEAVIGFPSALAWLLGMTAVIAILSEYVVATIEAASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVSLGSATQISMFVVPLSVIVAWIMGIKMDLDLELLETGSLIMAILITAFTLQDGTSHYLKGLVPLLCYLAIGACFFVSKSPANQIHDVNAGVLTTSTGVTVA >Ma03_p30420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33050177:33053164:1 gene:Ma03_g30420 transcript:Ma03_t30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIFVSCTADNVAAMASTGYEAARRLEAGNPKGGSGSRTAHGHGHGRTAHNMSSSSLRKKSDLSLLSKVRCGLLRTILTNLQEIFLGTKLFILFPAVPLAIAANYLHFGRAWLFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIALFALHRGKIEVVKCSLVGSILSNLLLVLGSSLFLGGIANLHKEQSFDRKQADVNTGLLLLGALCHILLLAFDHAVSSGEQAADAAPRLWLSRACSIVMLLAYVAYLFFQLKTHRQLYESEEEQDDDNDDAVAQDEAVIGFPSALAWLLGMTAVIAILSEYVVATIEAASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVSLGSATQISMFVVPLSVIVAWIMGIKMDLDLELLETGSLIMAILITAFTLQDGTSHYLKGLVPLLCYLAIGACFFVSKSPANQIHDVNAGVLTTSTGVTVA >Ma07_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2121653:2122597:1 gene:Ma07_g02670 transcript:Ma07_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLILKTLERCRSLGSHRKGDQKRQMPPEGCFSVYVGPERERYVVRTECVNHPLFRMLLDEAEEEFGYAAAGPLELPCGVELFQRVLCEVEQDAAELHSPRCNFAKGHAGGYLLHSPARPLIAGRV >Ma08_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3388533:3391834:1 gene:Ma08_g04910 transcript:Ma08_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMYPLGVIAASILAFLLLLGIHGRWGKGRKAAGKRDSGRVAAGFGGADVIVVGAGVTGSALAYALGKDGRRVHVIERDLAEPDRIVGEALQPRGCLNLLELGLEDCVDEIDAQRVLGYVLYKNGRSAKLSIPLEKYHVDVAARCFHHGRFIQRLREKAASLSSVQLKQGAVTSLIKEDGIVKGVVYKTKSGKESKAFAPLTVVCDGCFSNLRHTLCSSKVKINRELEVSFLFHFSLLITAFIHYAFYKLFGTSPDEARKEIGQAYFDCLSLGGRFSSDSTALIGGLNASPLHLVIHFLVAVTHGVGHLLLPIPSVRGLRGSARLISAAAGIVLPLMKAEGFRQTFFPATFPAYYRDPPAQLKQ >Ma04_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2483618:2484795:1 gene:Ma04_g03140 transcript:Ma04_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGEGMGDGSCNKKHIILVHGACHGAWSWHKVTTQLRSAGYQVTVPDLAASGVDERRFQDLRSFIHYSQPLLDILACLPPGERVILVGHSLGGLNIALAMDRFPEKIAAAVFVTALMPDSVNPPSYVMDKLKKEKTMLFWSDTQFGLVGDEDKGPVSLLFGPKFLSKLYTRSPPEDLTLARTLMRPSSFFLEDLGSMPPFSESGYGSVEKVYVVCAQDEIASEGFQRWMIENNPVKEVRELEDADHMPMFSTPKQLFQCLSDVADAYA >Ma08_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4928493:4932795:-1 gene:Ma08_g07200 transcript:Ma08_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRSGFRRLFSISAFTPPTPAAAAPPAEPSTNLFISGLSKRTTSEGLREAFSKFGQIVYARVVTDRISGFSKGFGFVRYATLEEAAEGIKGMDGKFLDGWVIFAEYARPRLPPSGPTQPQTPPPQTSEPSSGF >Ma05_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5888300:5908902:-1 gene:Ma05_g07960 transcript:Ma05_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKYADPAFQGVGHKIGTEIWRIENFQPVPIPKSDYGKFYSGDSYIILQTTGKGGTYLYDIHFWIGKESSQDEAGTAAIKTVELDAVLGGRAVQHREPQGFESDKFLSYFKPCIIPSEGGFASGFKKPEEEKSETRLYTCRGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANTNIQERAKALEVIQYLKDKYHEGTCDIAIIDDGKLQADSDSGEFWVLFGGFAPIGKKVVSEDDTVLETSPAKLYGYLFLLLQHCMYGFSTKS >Ma01_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1003725:1005095:-1 gene:Ma01_g01430 transcript:Ma01_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIDLVPSGYRFLPTAEELLVDYLANWVAGAPLPGRAVAFADVYGTEPWNLLGSDRQEGYFFAERKPKNSGGSRVDRKAGSGSWTLYKKQETVKSMVGGREMVVGRKSCLSFNDGRRKNSGWTMYEFEMCSSGGFERRVLCHVKRSSHCAYSGGTTIKTVETTLTEAATDTVTGDSFVGRKRNRDESSTLSAKASTLSKKPCWELVAHPGRALQSDVSPHPTAVVQSDVSPPPTAVVQHPSLAPAVTPPPPESHLSSVDSVAPNEAGVAAASPSSTDVGGGELPITTEELEAFLASSSSSVDLGGEQNCTDDAFFAREVEAFLMSDDTDTALTTIPKASPPGFVDLLSMPDDTRIDSTTVADVSSSSSSIDFVACEHMHSTDDDFFASLERVYASLMSDDTIPASVMYGTSTDLTTVAAASSSSSIDIVGCEQTEIIDDNYDFPIDSTMAWWLDL >Ma05_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1959838:1964752:-1 gene:Ma05_g02870 transcript:Ma05_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKSKLSWSKTLVRKWFNIKSKPQDFHADDDLAGEGGDGEWRTSFTERETCTVKKSRTERLYKKNYDRVWQGKLDVDAAQSTDVQDYKIFLSTWNVGGKSPPRNLNLEEWIHASPPADIYVLGFQEIVPLNAGNVLGAEDNVPAKKWLALIRKTLNTLPGTYSCGSYSISSPVPDPLVELDADFEGSSTGKKNSSFFHRRSFHSMSRSMSIDGDIMVMQPRLERRFSVCDPVSIVSRPSNFDPSFRCGGLFDDKKFGGESPSTGFFSPLSCAYGDPAYVEERDISSYHSRYCLVASKQMVGIFLTVWVRSEIRGDVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPPVHRICDEKSPETILDHDRIIWLGDLNYRIALSYQSAKALVEMHNWRALLEKDQLRIEQRCGHVFEGWKEGRIFFPPTYKYSNNSDRYAGDDIHRKEKRRTPAWCDRILWYGRGLTQLTYLHGESRFSDHRPVYSIFNAEVEIINRNRFRNMGCSSSRVEVEELLPYSHGFTEPSFYC >Ma05_p02870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1959838:1963377:-1 gene:Ma05_g02870 transcript:Ma05_t02870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQLKVQMFRTTSGKSPPRNLNLEEWIHASPPADIYVLGFQEIVPLNAGNVLGAEDNVPAKKWLALIRKTLNTLPGTYSCGSYSISSPVPDPLVELDADFEGSSTGKKNSSFFHRRSFHSMSRSMSIDGDIMVMQPRLERRFSVCDPVSIVSRPSNFDPSFRCGGLFDDKKFGGESPSTGFFSPLSCAYGDPAYVEERDISSYHSRYCLVASKQMVGIFLTVWVRSEIRGDVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPPVHRICDEKSPETILDHDRIIWLGDLNYRIALSYQSAKALVEMHNWRALLEKDQLRIEQRCGHVFEGWKEGRIFFPPTYKYSNNSDRYAGDDIHRKEKRRTPAWCDRILWYGRGLTQLTYLHGESRFSDHRPVYSIFNAEVEIINRNRFRNMGCSSSRVEVEELLPYSHGFTEPSFYC >Ma10_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33207363:33207550:-1 gene:Ma10_g24740 transcript:Ma10_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCWVKPFCACFLKMALEFEVGNHLSVIFVSL >Ma09_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35236340:35250051:-1 gene:Ma09_g23400 transcript:Ma09_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMKNVDSVFQGAGQKAGLEIWRIENFCPVPVPSSSHGKFFTGDSYIILKTTALKSGALRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGSRAVQYREIQGHETEKFLSYFKPCIIPQQGGVSSGFKHTEINEQEHTTRLFVCRGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQHLKDTYHEGKSEVAAVEDGKLMADAEAGEFWGLFGGFAPLPRKAASEEDKKFEPSSTKLLCVVKGQALPVEADSLTRDLLDTNKCYLLDCGIEVYIWMGRNTSLEERKNATSAAEELLREPARPHAHFIRIIEGFETVSFRSKFDKWTQKTEVAVSEDGRGKVAALLRRQGLNVKGLTKAAPAREEPQPYIDCTGNLQVWRVNGKEKTLLPPSEQSKFYSGDCYIFQYTYPGEDKEEYLIGTWFGKKSIEEDRTAAISLAGKTVESLKSQAVQARLYEGKEPIQFFSIFQSFLACKGGLSSGYKNFVAENTIADETYSKDGVALFRVQGSGPENMQAIQVEPVASSLNSSYCYILHSADTVFTWFGSLATSVDQELAERLLDLIKPNIQTKPLKEGTETEQFWSLLGGKSEYPSQKIGKEQESDPHLFSCTFSKGTLKVTEVFNFTQDDLMTEDIFVLDCSSDIFVWVGQQVDSKTRLQALNIGEKFIEQDFLMQNLSRETPLFIIMEGSEPTFFTRIFNWDSAKSAMHGNSFQRKLAIVRGGVTPTSDKPKRRVAPSHSGRSAVPEKSQRSRSMSFSPERARVRGRSPAFNALAANFENPNARNLSTPPPVVRKLYPKSGSPDSATVAAKSAAIATLSSSFERPKEVMIPKVSKVSPENMKPKPEADTKESMIAMSNRIETLTIQEDAKEGEAEDEEGLSIFPYDRLKTASTDPVTDIDVTKRETYLSSVEFKEKFGMTKEAFYKLPKWKQNRLKIALQLF >Ma09_p23400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35236340:35250051:-1 gene:Ma09_g23400 transcript:Ma09_t23400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMKNVDSVFQGAGQKAGLEIWRIENFCPVPVPSSSHGKFFTGDSYIILKTTALKSGALRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGSRAVQYREIQGHETEKFLSYFKPCIIPQQGGVSSGFKHTEINEQEHTTRLFVCRGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQHLKDTYHEGKSEVAAVEDGKLMADAEAGEFWGLFGGFAPLPRKAASEEDKKFEPSSTKLLCVVKGQALPVEADSLTRDLLDTNKCYLLDCGIEVYIWMGRNTSLEERKNATSAAEELLREPARPHAHFIRIIEGFETVSFRSKFDKWTQKTEVAVSEDGRGKVAALLRRQGLNVKGLTKAAPAREEPQPYIDCTGNLQVWRVNGKEKTLLPPSEQSKFYSGDCYIFQYTYPGEDKEEYLIGTWFGKKSIEEDRTAAISLAGKTVESLKSQAVQARLYEGKEPIQFFSIFQSFLACKGGLSSGYKNFVAENTIADETYSKDGVALFRVQGSGPENMQAIQVEPVASSLNSSYCYILHSADTVFTWFGSLATSVDQELAERLLDLIKPNIQTKPLKEGTETEQFWSLLGGKSEYPSQKIGKEQESDPHLFSCTFSKGTLKVTEVFNFTQDDLMTEDIFVLDCSSDIFVWVGQQVDSKTRLQALNIGEKFIEQDFLMQNLSRETPLFIIMEGSEPTFFTRIFNWDSAKSAMHGNSFQRKLAIVRGGVTPTSDKPKRRVAPSHSGRSAVPEKSQRSRSMSFSPERARVRGRSPAFNALAANFENPNARNLSTPPPVVRKLYPKSGSPDSATVAAKSAAIATLSSSFERPKEVMIPKVSKVSPENMKPKPEADTKESMIAMSNRIETLTIQEDAKEGEAEDEEGLSIFPYDRLKTASTDPVTDIDVTKRETYLSSVEFKEKFGMTKEAFYKLPKWKQNRLKIALQLF >Ma06_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16682342:16683309:1 gene:Ma06_g21960 transcript:Ma06_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTYQLMGADYPGNRAEDVKNLSLSWMIGFMFVASFLGLLSLVMLRKLEPEKEKKELSGTSAANYTFETIKIYPFIFKQVRCLGKYLSISFCWSCFNGSYCSRTFLWL >Ma05_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10002510:10013778:1 gene:Ma05_g13770 transcript:Ma05_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYLEAVLGFMIFMYFFETYLDIRQHAALKLTNLPKPLEGVISQEKFERARAYSIDKSGFHFIHEAVTILMDTAILYFGILPWFWKVSGNLVAYLGLDAENEIMHTLSFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTVWLFFRDMFKGICLSILLGPPIVAAIIFIVQKGGPYLAIYLWAFMFALSLVMMTLYPILIAPLFNKFTPLPDGELREKIEKLAASLKFPLKKLFVVDGSTRSSHSNAYMYGFFNNKRIVLYDTLIQQCTNEEEVVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSKDLFESFGFDTQPILIGLIIFQHTVIPLQHLVNFGLNLVSRTFEFQADAFAKKLGYAKALRAGLIKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIEEPDSKKED >Ma11_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16685550:16688825:-1 gene:Ma11_g12790 transcript:Ma11_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRRVGVAMDFSPCCKAALRWAVENVVRDGDHLVVVNVQKEGCYEEGEMQLWETTGSPFIPLIEFSDPGIAKKYGVKPDAETLDILNTVARQKEIVVLMKIYWGDAREKICEAIDTIPLSCLIIGNRGHGGIKRLLLGSVSNHVVNNGTCPVTVVKGVDH >Ma00_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30203213:30206284:-1 gene:Ma00_g03640 transcript:Ma00_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g17210 [Source:Projected from Arabidopsis thaliana (AT2G17210) UniProtKB/Swiss-Prot;Acc:Q9SII7] MRVPVARIRLSSPRTLNWDALANNRCAPPRDQGQAFLSLYREIKQSSMHLDPTVLPPVFKACAELRSLEQGTAVHADVVKTGFLSCTSTCNTAMSFYLKCGATFSALKLFDEMPQRDSVSWNVMVHGFLSRGDFEAGLGLFRQARILDFEPNVASLVLAIQASWKLDDVDEGLSLHGLVVRNRFVADVSIQNSLLSMYSKFGDMDSAKQIFDETVERDVISWSALIGGYAQTGKAFVALQLFREMSNEYMIDMDGLTAVGVLQACSVIEDIEHGRSFHGHLIRRGFAGDLFIENSLIDMYSKCHDISSAYRVFDAMIRRNTVSWNSMISSLVHTEKHWEALALFDSMKEAGIQTDEVTLVNLLQSCKKLGQAVWCKCIHSMVIKRQLISTNMVLNNLLDAYAKCNYMELAMEMFKGMENRNVISWSIVVSGFVHIGQPDKAIAFFREMWLAGEKPNPITMLSVLEACTVAAELKLSKCAHGIVVRNEFMNELAIGTAVLDMYAKCGDVNASRKVFQAMPQRNVLSWNAMIGALGMNGCAQAALAVFHEMEVEDVRPNEVTILSMLSACSHGGLVEEGLSIFQRMSADPFLQPSAEHYSCLVDMLGRAGDVEGALEVIKKMPKELEAGPAAWGALLSACRSYGNCEVGKDAASRILELEPTNSAGYLLTSSMYAKGGSIHDMARARLLMKKKGVRVISGYSLVHVDQKAHKFVSWDGSHSRSEDIYFMVKLLHNCMYLAGKDDYPII >Ma07_p06550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4695274:4699482:-1 gene:Ma07_g06550 transcript:Ma07_t06550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MECVVQGIIETQHVEALEILLQGLCGVPKERIRVHELCLKSGPSLAVPSEIRLLCDLAQPTPSWTVRHVGGAMRGAGAEQISVLVRTMVESKVSNNALRFFYSLGYKLDHELLKIGFAFRFQRGAPITVTVTSANKMPKLHATDEAVPVTPGIQMVEITAPTAGDNYNEVGAAVSSFCEYLAPLLHLSKPGVSTGIVPTAAAAAASLLSNTGDDPSL >Ma07_p06550.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4696091:4699469:-1 gene:Ma07_g06550 transcript:Ma07_t06550.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MECVVQGIIETQHVEALEILLQGLCGVPKERIRVHELCLKSGPSLAVPSEIRLLCDLAQPTPSWTVRHVGGAMRGAGAEQISVLVRTMVESKVSNNALRFFYSLGYKLDHELLKIGFAFRFQRGAPITVTVTSANKMPKLHATDEAVPVTPGIQMVEITAPTAGDNYNEVGAAVSSFCEYLAPLLHLSKPGVSTGIVPTAAAAAASLLSNTGGANTPQLLYLFAMSCFQMTLLCEADAALYPLHEHDTELDDFLMLRLLRIMWSVLAY >Ma07_p06550.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4697957:4699472:-1 gene:Ma07_g06550 transcript:Ma07_t06550.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MECVVQGIIETQHVEALEILLQGLCGVPKERIRVHELCLKSGPSLAVPSEIRLLCDLAQPTPSWTVRHVGGAMRGAGAEQISVLVRTMVESKVSNNALRFFYSLGYKLDHELLKIGFAFRFQRGAPITVTVTSANKMPKLHATDEAVPVTPGIQMVEITAPTAGDNYNEVGAAVSSFCEYLAPLLHLSKPGVSTGIVPTAAAAAASLLSNTGGKII >Ma07_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4695272:4699482:-1 gene:Ma07_g06550 transcript:Ma07_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MECVVQGIIETQHVEALEILLQGLCGVPKERIRVHELCLKSGPSLAVPSEIRLLCDLAQPTPSWTVRHVGGAMRGAGAEQISVLVRTMVESKVSNNALRFFYSLGYKLDHELLKIGFAFRFQRGAPITVTVTSANKMPKLHATDEAVPVTPGIQMVEITAPTAGDNYNEVGAAVSSFCEYLAPLLHLSKPGVSTGIVPTAAAAAASLLSNTGGANTPQLLYLFAMSCFQMTLLCEADAALYPLHEHDTEVL >Ma07_p06550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4696092:4699536:-1 gene:Ma07_g06550 transcript:Ma07_t06550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MECVVQGIIETQHVEALEILLQGLCGVPKERIRVHELCLKSGPSLAVPSEIRLLCDLAQPTPSWTVRHVGGAMRGAGAEQISVLVRTMVESKVSNNALRFFYSLGYKLDHELLKIGFAFRFQRGAPITVTVTSANKMPKLHATDEAVPVTPGIQMVEITAPTAGDNYNEVGAAVSSFCEYLAPLLHLSKPGVSTGIVPTAAAAAASLLSNTGGANTPQLLYLFAMSCFQMTLLCEADAALYPLHEHDTELDDFLMLRLLRIMWSVLAY >Ma07_p06550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4696092:4699472:-1 gene:Ma07_g06550 transcript:Ma07_t06550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MECVVQGIIETQHVEALEILLQGLCGVPKERIRVHELCLKSGPSLAVPSEIRLLCDLAQPTPSWTVRHVGGAMRGAGAEQISVLVRTMVESKVSNNALRFFYSLGYKLDHELLKIGFAFRFQRGAPITVTVTSANKMPKLHATDEAVPVTPGIQMVEITAPTAGDNYNEVGAAVSSFCEYLAPLLHLSKPGVSTGIVPTAAAAAASLLSNTGGANTPQLLYLFAMSCFQMTLLCEADAALYPLHEHDTELDDFLMLRLLRIMWSVLAY >Ma02_p09570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19477416:19478596:-1 gene:Ma02_g09570 transcript:Ma02_t09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSVSLQAALSPEERRTCPDLQDFFSRKRKRRDGEESQGNKEPKLVKDEEEEEDTELDLDAPLPSEWQRCLDLKSGAIHFYNTRTHRRTAMDPRLSSLEPPSSRPRLDLELNLEPPGSHLYGGEGDLVKQDACNSEDDEMVATACMRCHMLVMMSKASLSCPSCKFVHPPNHSFSALCTPAFKLVCCKDQYSN >Ma04_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1085957:1088308:-1 gene:Ma04_g01230 transcript:Ma04_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWCFSLSPISMASQSLTLLFFFVLLPSLLPVLHAQQPYEGLLTTDCETQHNSSSLLGYFCNSQPNCQAFLTFHSQPPYNSVVSISSLLSSHPSELSQANSVSPIATFPTGTKVVVPVNCSCSGAYYQLNSSYLVQSGDTALVVANNTYQSLSTCQSIMNQSLNGTTKLFAGVEITVPLRCACPTSNQTSSGVKYLLSYLVDNGDTIFGISSRFGVDQQSIEEANEISGTNIYPFTTLLIPLRSQPNTSQLAAPPPPPPLQSPPAAPPPAGNSSSHAGLYAGIGVAAGALALAALVAIYCVAAKAKKKKVGEALPSNDSTTYGTAYGKSSDVESYEKEASEDVKTMISEIGHALRVYKFEELQFATENFSYECLIEGSVYRGMFNGDAAAVKVINGDVSKEVEVLKKINHFNLIKLSGISFNQGQWFLVSEYADNGPLSHWIFDTSGSKVLSWVQRMQIAVDVANGVSYLHSYTEPAYVHKDIRSSNILLDQTMRAKVANFGMARASEGRDGEFVLTRHIVGTKGYLAPEYLEHGLVSPKLDVYAFGVVMMETITGRDGSETQRGDKFSWNALVTMVSEGGGGGDAMEKLGSFMDPLLAGKYPSDLGLEMVRLIERCLRRDAGSRPSMEEVAQSLSRIHSMSLIWEQSPAY >Ma05_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3063863:3065254:-1 gene:Ma05_g04060 transcript:Ma05_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSRSGDSCGEIEELGETIDDIVPGRGKPVVIDVDDDVYVAVGKGSSSIVALSWALMHAATKPSSFVYLVHVFPPVHHIPTPLGLLPKEQVSQAQVESYMHQERVKRQNMLRKLLDLCQSFEVQFDTCLIESDQIVKAVTELIPVLHIKRLIVGTSKSNLRKWKKGSCKAVQIQQKAPACCEVKIICAGRQVSAPDQSVSSSPASDNPHSDKNTDGETRNKKSKGTADCRCIPVKLL >Ma03_p26690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30330603:30331623:-1 gene:Ma03_g26690 transcript:Ma03_t26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRFLVAGSFLFFMSRRCLVLHDLNKSAPGIGVTRVSKSGWEG >Ma11_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17369416:17373034:-1 gene:Ma11_g13090 transcript:Ma11_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEVKAGTEGKGWGIFRLPFFGGGGGGGGGDGGSSSNSSSTFILTHNHHYRSSGQVEGGAAAAQAGRRSSGASSVSSVARSLLPTRRRLRLDPPTKLYFPYEPGKQVRSAIRIKNTSKSHVAFKFQTTVYRTQNCLSIQKFFLFQNSVDYCCYIPVQQFDEQKDQVAVEQILRVVFLDPDHPCPQMEKLKRQLAEAEAALEARKKPPEDTSPRIVGGLVIDEWKERRERYLAQQQQVEGVDSV >Ma09_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5047195:5055910:1 gene:Ma09_g07710 transcript:Ma09_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFEDGKRLWSLMWNKEELITKKRRFLMGSLLASTSEGSQKKFKRPKFLSDLYLLESYVRNDEVTSKSVRITVERSFACCSCESHHVVQDYLQLFQTNDGENRCNNGLPLKQLTPSLHNAHISSLCLSSVSNMLVELDEMSYQELAATSRKLRGVSLVPQFPPARCTGKRDLIIERVKKRFNKLLSNLKNGDALPEPLVKALSIIYLSYKHRSRHIDMLTSELYPFPPETVGLHNDIINALWLLPKVKHDELKALHTLLDPKVEVPIKCFRNALRKYLIEYLFECSETSITQEALKTIDFINRKMQSQTLVFSKETIEEEVEAVMITSRQLKQITSRVFSGQSDDARQCFKGFGDDKNINSFSIVGTDYFMSLGDNENGQMYSSCSNYEAEANGDSGRGVSTSFTTSSNSFLLTGTADKISGNNTKMPEVEHDNDIDIEKPCIEESEKLTPERTSQNTGSMGRKLVQEICDETALVAYKLIGSMLDKLIKTEGLDADMSTRIYLNGGSLASADLQGGMDILKTSKEQTRSRIFVQTVEELVPSLTKSCIRRVKNLMES >Ma07_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:484104:486572:-1 gene:Ma07_g00570 transcript:Ma07_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEEGLPPLSDASSSWKSSANGASISIASLRDLCVGEGLDWGLPGGIGDGVPPAFDLPSWVTEEPRSSDAAAALAASSSSDERPGGKPAEKANTSAERVQKGTRQPRFAFMTKSEVDHLEDGYRWRKYGQKVVKNSPFPRSYYRCTHSKCNVKKRVERCSQDPSIVITTYEGQHCHHTVSASPRTDASPSQQLASSAPQICLPAMQFHRNPPVLPVIRPGQVIGPRYEERTSMAMERTSPAPTDEGLLDDVVPSGMRRT >Ma01_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11471964:11474548:1 gene:Ma01_g15790 transcript:Ma01_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIALCMSVFFLLLTFSARTGVADDSLFRGQSLSGGQTMVSGGGKFELGFFAPGNSSKYYIGIWYKVSKKTVVWVANREKPVASASSSELRLAEDGSLVLRLKDSKNQIWSSNSSSPLASNSTVAVLLDDGNLVLKDNISSDTLWQSFDHPTNTWLPGAKLGYNKSTGRDRFLTSWRNPEDPSPGMFTLEIDPDGVDQFYLLRDRRHRYWTTGVWTGEIFTAIPEMRSNYFFNFSHVSNMIVNEFSFYVRDSAAIHNFMLDFTGEMKRQKWDAEAKVMLQFCSLPRDPCDVEGRCGPFGSCNNFSSAPCHCLQGFDPRSSNEWTLGDHTGGCVRRTPLRCGDRDGFLVLPSTQPPANPVRMSTVGGREECRIACLRNCSCTAYAYHSECSIWQGDLVNLKYLGSSNGAKPGAIYLRVDASELADTTDHKKRENTAMIVVGAVSGVAAIAVVVLLLALRYRKGATVGASGGVQGPLIAFDYKLIKKATKGFTEKLGRGSFGSVFKGEMPDSGAIAVKRLESMRQGEKQFRMEVSTIGTIHHVNLVRLRGFCCEGDKRLLVYDYMPMGSLDSVLFADGREALDWKKRYRIALGIARGLAYLHEKCRECIMHCDIKPENILLDMDMCPKIADFGMAKLLGREFSRVLTTVRGTFGYLAPEWITGSAITPKADVYSFGLMLHEIVSGRRNTARCEEWNRHYFPLWAAIKLQEGDTLCLLDPKLKGKVDEEELSRVCRIACWCIQDLECSRPSMGEVVQQLEGVLDIRMPPIPVLLQKLLDDDASAGENYFSTI >Ma01_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10063794:10065932:-1 gene:Ma01_g13740 transcript:Ma01_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYARQVRISGEAAVADAAHQQSSFGVHTRAKTLALKRLQESSPAEAYSYLQLRNRRLQKHLPAPSSVRSRPAAKNTLSANPNSGPTPKFSSNPPAGMGESRVNSDSAGSVSMRNRCLKKAKASSEAAVAVREASSKDDAGSEASFGENVLELEASRSARESTPCSLIRNSDTIGSPGSTTRPTKFIVSSKRQASSIVPTDPEMEELFAGPEQLQQSRFIERYNFDVAKDQPLPGRYEWVKLDS >Ma03_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1041675:1044028:-1 gene:Ma03_g01450 transcript:Ma03_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDRPHLISGTFESTSDRESNWHHLPDHFHELSNSKKRVVDEGLGEEEEEEEEEEEREGRGKRKKSLQQPDFLDLKEMNWPLGDNSDGHRSDEESNNFNRLHRDAKISCLVRLSRSYYGVAASVDRDFRTMIRSGEVYWLRRHLGIAEHWVYFSCNAPQWEAYDPYQRHWIMVPRMPPSPTESFTFSDKESLAVGTELLVFGQEFNSYVVLRYSILTNSWSPGVVMNSPRCLFGSASLGGKAIIAGGTHGRNILSSAELYNSETQMWETLPPMNRARKMCSGAFMDGKFYVIGGMTSDNEVLTCGEEYDLARRSWRLIPNMSSGLNGANGAPPLVAVVNNELYAAHYADKEVMKYNKENNTWATLGKLPERFVSMNGWGLAFRACGERLIVIGGQRGTHGGMIELNSWVPNGGPPEWNIIASKHSGSFVFNCAVMSC >Ma06_p00810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:659852:666274:1 gene:Ma06_g00810 transcript:Ma06_t00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRNEIRVYIEKIMVFCVRTSYRCARDHPFVFALVFFLLVLYRSFPSLFAFLVSSSPVIVCTTVLLGLLLSYGEPNIPEIEIEDTRTREVSSVEIRSSVSHLCLKKDENLTVENHVENRTYYDDIVPRETIPCEEKSSADVRIYQALEQYEGTERIDTIVGDSASGVQAKKDRYDEEVIQEEETLCQGVSENRDLFVGKTAIDVAEVSKDISSFDPKEIQETEDLKLETGEPKLDHHLDSSLGLSWQSIDDHHSSSDTESDRAESSSPDASITDIIPMLDELHPLLDSEHPQHVSIPKSDAASEGSSLDDEPDDNSIDEEAEIHDEEEDDEAQEKDDGTEAAVKWTEDDQKNFMDLGSSELERNQRLESLIAKQKEKKNLSFVMDRNLIDLDVNTSFPGMEKLSRFRVQVPPISAPRRNPFDVPYDSEETFDLRPMPGSAPSSLLPRRNPFDLFYDQQEQNSSLTDETWGHQDFVSAPQHEMLVRRNETFSLRRKEFKQERGHSRLKPYFVAEKLDSEEGSSTFQRQYSDRNESKVSSIPESDTDFSVTDQEYNRELEEQVFDQETELLCPGKHDADAVEHESHTSEETESVDIEQEKTEHVTDDREIGVDTNLTAQDNEKVSAAGEAFAALEEDVKEEIHLDVLNLNSKQLELTEQKYAGPNSSNSSWEDEKCFKTTLSGQPHKLEQTKNFSTFAFAEFDHSKGADTVSAFAETMYDSSALAAGESFYKLSTFDARPDANQGVNDDSSVTFDMQKEDSEVASFPRAFDGNAASGIGDLVSVNAINDVGPFILSQSLTSIEENETRSSVLTEITKYNDVEVELSTAQEDSSLPISYMIQEPTEAASDDHLAQSREVPINVDVSHSIEREEIVLPSIEKYSLPSDDIGVEKSRVKTLDFPSFFEENQDDIEHKEDNSGFTNHYQVVGLTELQINEDLKVLESDTEQEAYFSQKPEQETGQTDDNFTPFHKSKDITSEFSAAVTIHDGSLAGLQLIELTESTDSIVSEMTSEVKVQSSTDLSRSRDGIKSPTLTKHEAGSLKMINSSDEESDEVHPVVLEADEIDENLPTELDELGDFHTEELTNHQGSEMMFQTEGHSEDTSSGTASSFVDDYTQLQVSEGRSILHADRSVNQSSEANFDKCQGVSSLVNQPGVMELEIHSSFASSIDPEQTVYNPKIHVLEASPVHEVGSTSNQLIEIDTAQRNMKQTVMESELLVVEARSVEDIHLAFKQISEVCPQKPVFHEAGSQNLQVTEHLDAKQRQSDMHVIEAKSIEDIGLAFSELSHNTSKKTQEISEESHHKPIHQEVGSPNFEGTEHLDAKKIQSDLHVVEAKSIDDIGLAFTELSHSTRKKAQEISEVNLHKPIYQEVGSQNFKGAEHLDAKHIQSDLHVIEAKSIEDIGLAFSGLSHNTSKKAQEINEESLHKPVYQEVGSPNFRSSEHIDAKQIQSDLHVIEAKSIADISSAFSELSHNTSNKAPTVMETVDGSVEVNASRRQLETQVVEVQSAVEIKEAVTTESNSNMEQLGEKSKVEIVGDVSASKALTTSRTQKKHKHRQTVSSSSSSSSDSDFEK >Ma06_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:659852:666274:1 gene:Ma06_g00810 transcript:Ma06_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRNEIRVYIEKIMVFCVRTSYRCARDHPFVFALVFFLLVLYRSFPSLFAFLVSSSPVIVCTTVLLGLLLSYGEPNIPEIEIEDTRTREVSSVEIRSSVSHLCLKKDENLTVENHVENRTYYDDIVPRETIPCEEKSSADVRIYQALEQYEGTERIDTIVGDSASGVQAKKDRYDEEVIQEEETLCQGVSENRDLFVGKTAIDVAEVSKDISSFDPKEIQETEDLKLETGEPKLDHHLDSSLGLSWQSIDDHHSSSDTESDRAESSSPDASITDIIPMLDELHPLLDSEHPQHVSIPKSDAASEGSSLDDEPDDNSIDEEAEIHDEEEDDEAQEKDDGTEAAVKWTEDDQKNFMDLGSSELERNQRLESLIAKQKEKKNLSFVMDRNLIDLDVNTSFPGMEKLSRFRVQVPPISAPRRNPFDVPYDSEETFDLRPMPGSAPSSLLPRRNPFDLFYDQQEQNSSLTDETWGHQDFVSAPQHEMLVRRNETFSLRRKEFKQERGHSRLKPYFVAEKLDSEEGSSTFQRQYSDRNESKVSSIPESDTDFSVTDQEYNRELEEQVFDQETELLCPGKHDADAVEHESHTSEETESVDIEQEKTEHVTDDREIGVDTNLTAQDNEKVSAAGEAFAALEEDVKEEIHLDVLNLNSKQLELTEQKYAGPNSSNSSWEDEKCFKTTLSGQPHKLEQTKNFSTFAFAEFDHSKGADTVSAFAETMYDSSALAAGESFYKLSTFDARPDANQGVNDDSSVTFDMQKEDSEVASFPRAFDGNAASGIGDLVSVNAINDVGPFILSQSLTSIEENETRSSVLTEITKYNDVEVELSTAQEDSSLPISYMIQEPTEAASDDHLAQSREVPINVDVSHSIEREEVIKSTPCSSDILILSDDPLSCEFQIVLPSIEKYSLPSDDIGVEKSRVKTLDFPSFFEENQDDIEHKEDNSGFTNHYQVVGLTELQINEDLKVLESDTEQEAYFSQKPEQETGQTDDNFTPFHKSKDITSEFSAAVTIHDGSLAGLQLIELTESTDSIVSEMTSEVKVQSSTDLSRSRDGIKSPTLTKHEAGSLKMINSSDEESDEVHPVVLEADEIDENLPTELDELGDFHTEELTNHQGSEMMFQTEGHSEDTSSGTASSFVDDYTQLQVSEGRSILHADRSVNQSSEANFDKCQGVSSLVNQPGVMELEIHSSFASSIDPEQTVYNPKIHVLEASPVHEVGSTSNQLIEIDTAQRNMKQTVMESELLVVEARSVEDIHLAFKQISEVCPQKPVFHEAGSQNLQVTEHLDAKQRQSDMHVIEAKSIEDIGLAFSELSHNTSKKTQEISEESHHKPIHQEVGSPNFEGTEHLDAKKIQSDLHVVEAKSIDDIGLAFTELSHSTRKKAQEISEVNLHKPIYQEVGSQNFKGAEHLDAKHIQSDLHVIEAKSIEDIGLAFSGLSHNTSKKAQEINEESLHKPVYQEVGSPNFRSSEHIDAKQIQSDLHVIEAKSIADISSAFSELSHNTSNKAPTVMETVDGSVEVNASRRQLETQVVEVQSAVEIKEAVTTESNSNMEQLGEKSKVEIVGDVSASKALTTSRTQKKHKHRQTVSSSSSSSSDSDFEK >Ma09_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35024297:35025651:1 gene:Ma09_g23100 transcript:Ma09_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVRTSVVGRSKGMDRIKGPWSIEEDEALRRLVERHGPRNWTLISRSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDETIIRAHRRFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCSSPTAFAAAADGAGGSALALDRDEEIAARPLKRASSVGPVPASASAQLCLSPGSPTGSDLSDSTHHGHPAAAASCIYRPIPRTAGITVPPSSSPSTATFQEHDLQIEATGVSCTASPSSYAKDDPLTSLTLSLPGTDRTVTSNQIHQCPDAKTGDDRPPQRPFQFSAELLAVMQEMVRSEVRSYMSCLEQSGITRALQPPEAAICDAAPKRIGLVNID >Ma07_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30382819:30387183:1 gene:Ma07_g22440 transcript:Ma07_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDMTDLLLYQREHLDSASVTHAGQVSTFGQTNNLSDTMLRMDSLGYELCESGRPVNLQNNSDVRTHDDGCRLVLGLGPTPNFYSADYNPTMLTKPKESATSFSWSVSGADFGMLELGLSRGSSNTVPAVIQNSCQTESPSTEKRMHVPIVDEGSTSAKRKSGGYMPTLLFAPRLENVSGFKTPTEVGKLLDVASDATIHHHHCHHYHVLNHQFQFSPETSAATDGSVGGTTDPLVTGSPADQRANHHQSKKCRFNGCSKGARGSSGLCIAHGGGQRCQKPGCNKGAESRAAYCKAHGGGRRCQQLGCTKSAEGKTDFCIAHGGGRRCGHPGCSKAARGKSGLCIRHGGGKRCTVEGCTKSAEGQAGRCISHGGGRRCQYQGCGKGAQGSTMYCKAHGGGKRCIFEGCNKGAEGSTPLCKGHGGGKRCLFEGGGVCPKSVHGGTNFCVAHGGGKRCAMPGCTKSARGRTDCCVRHGGGKRCTSEGCGKSAQGSTDFCKAHGGGKRCTWAMGCEKFARGKSGLCAAHGSLMVSPREHEVGNFGSMIGSDLFRGIVSTSTAPGNSKDNELSSSGVSSLSDCESFDSMGSEQHLIPPQVLVPISMQSSSYAFGLIGGVREEGESQDKSFGLVIPEGRVHGGGLMSLLAGNVKNAIGGGKV >Ma07_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29979497:29988052:1 gene:Ma07_g21880 transcript:Ma07_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRLKIIYIEAEWLCYLHLKSLCSLWYQKALEKIGLPVKPSMRGGNWVVDGSHCQGCSSQFTFINRKHHCRRCGGLFCNSCTQQRMVLRGQGDAPVRICEPCKRIEEAARFEFRYGHRKQTAKVNAKQALQAFKHEEEVLSQILGTDGKHTSLSEQNSNNLVNLDLKLVPSSASCSSSRREGDNIRSVSVDTHNNLKVDLMLGDPEELRQQAVEEKRKYKTLKAEGKSEEALQAFKRGKELERQAGALEITLRKNRRMAAKASNFSTVASIQKIEGHEEFGGNQKLSSQRGTEVKDDLAAELRELGWSDADLHDADKRPAKLSLEGELSNLLALVSQKSSQGIKKGGVDKSEVITLKKRALFLKREGKLSEAKEELKRAKLLEKQIEEQELLGEAEESDDELRSLINSLDEDKQDNLALDHASDAGFQFDNQLVFSDDLPIDGNFEVTDGDMNDPDLVAALKSFGWSDEDEEQPASQSNEYAPFDREALRRQVLSLKREALSQKRDGNISEAMELLKKSKLLEKDLVGMQCSSEIVASEFKKKSSSPQVDVAAMQTVEEENVAETTGSHFKSPPKSKLMIQKELLALKKRVLTFRRQGRIEDAEEELKKGKILEQQLEEMERAPRNHGEDLRKEKVLEHLESMKSTPRKPVAEVAKKNLDITHVHEGGDTRSLNLGEERYETEVTEQDMHDPAFLSLLKNMGWNEDDDVESVGMTNRASKQMNDPSTHYNALPLAPMKAKRSKADIQKELLAIKRKALALRRQGRTEEAEEELEKAKALETQMTEMEVSSNVSSVEVDSLAFETLIPQNLSVKEHASGDARNTAGSLASFALNKTPKDAAVPLHVPVGNSTLHQSNQSLNLECLSGSEAEALHSSMRGSVKREGTDANFFSITSAPVMLAVESTLKEKTSSKSGEIGHTTSHFQSQETDATETNNMGAQEQKVALVADAFRDEILARKRKAVALKREGKLAEAREELRQAKLLEKSLEDGQQADVVTRGSLTPDSTALKQENIPSPSEKPKSGRDRFRIQQESLSHKRNALKLRREGKIDESEAELELAKALEKQLEDFDQGSSTNMTGNKSEAMDDVVVEDLLDPQLLSALKAIGLEDSVITSQPYHETDAQPNFDRSGNQRMEKADLEEQIKAEKHRALNFKRAGKQAEALEALRSAKRLEKKLATLT >Ma11_p20310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24838014:24840251:-1 gene:Ma11_g20310 transcript:Ma11_t20310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKEEKVSSRGADWEVVSLTASAYAAEPGLQEFDPTSESKEKENMTERESSAALFMSGHFVFPPSEHENLPIEPDTSKIHGEPTMIGGDNGIDDSDREKLQTESDDLQYGIEFSEAHKSSTKSEAEEPSDVNMESPHDHAKPGGDEIDGSNLPCQAWWKTHAVSLYKQAKEAGTFWSVVVAAAVMGIIIVRQRWHQDKWRFSINDERMSRMMRPIGRFKDVMVGGHQPNRLIH >Ma11_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24838014:24840133:-1 gene:Ma11_g20310 transcript:Ma11_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKEEKVSSRGADWEVVSLTASAYAAEPGLQEFDPTSESKEKENMTERESSAALFMSGHFVFPPSEHENLPIEPDTSKIHGEPTMIGGDNGIDDSDREKLQTESDDLQYGIEFSEGNRISFRDMGFEEGKGSHRLNLVGVEQDIFADPRSVDVHSEAHKSSTKSEAEEPSDVNMESPHDHAKPGGDEIDGSNLPCQAWWKTHAVSLYKQAKEAGTFWSVVVAAAVMGIIIVRQRWHQDKWRFSINDERMSRMMRPIGRFKDVMVGGHQPNRLIH >Ma11_p20310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24838014:24840251:-1 gene:Ma11_g20310 transcript:Ma11_t20310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKEEKVSSRGADWEVVSLTASAYAAEPGLQEFDPTSESKEKENMTERESSAALFMSGHFVFPPSEHENLPIEPDTSKIHGEPTMIGGDNGIDDSDREKLQTESDDLQYGIEFSEGNRISFRDMGFEEGKGSHRLNLVGVEQDIFADPRSVDVHSEAHKSSTKSEAEEPSDVNMESPHDHAKPGGDEIDGSNLPCQAWWKTHAVSLYKQAKEAGTFWSVVVAAAVMGIIIVRQRWHQDKWRFSINDERMSRMMRPIGRFKDVMVGGHQPNRLIH >Ma11_p20310.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24838014:24840251:-1 gene:Ma11_g20310 transcript:Ma11_t20310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKEEKVSSRGADWEVVSLTASAYAAEPGLQEFDPTSESKEKENMTERESSAALFMSGHFVFPPSEHENLPIEPDTSKIHGEPTMIGGDNGIDDSDREKLQTESDDLQYGIEFSEGNRISFRDMGFEEGKGSHRLNLVGVEQDIFADPRSVDVHSEAHKSSTKSEAEEPSDVNMESPHDHAKPGGDEIDGSNLPCQAWWKTHAVSLYKQAKEAGTFWSVVVAAAVMGIIIVRQRWHQDKWRFSINDERMSRMMRPIGRFKDVMVGGHQPNRLIH >Ma11_p20310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24838014:24840134:-1 gene:Ma11_g20310 transcript:Ma11_t20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKEEKVSSRGADWEVVSLTASAYAAEPGLQEFDPTSESKEKENMTERESSAALFMSGHFVFPPSEHENLPIEPDTSKIHGEPTMIGGDNGIDDSDREKLQTESDDLQYGIEFSEGNRISFRDMGFEEGKGSHRLNLVGVEQDIFADPRSVDVHSEAHKSSTKSEAEEPSDVNMESPHDHAKPGGDEIDGSNLPCQAWWKTHAVSLYKQAKEAGTFWSVVVAAAVMGIIIVRQRWHQDKWRFSINDERMSRMMRPIGRFKDVMVGGHQPNRLIH >Ma10_p26200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34004504:34007389:-1 gene:Ma10_g26200 transcript:Ma10_t26200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKDVFDISGPLHITSVNWKCSHHRRSVAACLVQGAYVSELDRQHNRKGQEAFAPPWWEFFRFELSSNLVDDADSSIFGAIYEFKPASSKDSSVQDAPKFVVAFRGTIPSKESLAQDGLLDINIIQNGLHRTPRFAIAMRAVQNIVSAAKSSNVWLAGHSLGAAIATLAGKNMAKTGILIETFLFNPPFVSAPIERIKDTNVKQGIRIASSFITAGLSFALKDHQERSTPDDSFAMLSSWVPRLFINPCDFICSEYIGYFEHRNFMEKLGVGGIERLATQNSIGGLFLRALGKDSDPLHLLPSANLTKNLSPSPDFKIAHGLHQWWRPDLHLQSKQYHYG >Ma10_p26200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34004504:34007389:-1 gene:Ma10_g26200 transcript:Ma10_t26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKDVFDISGPLHITSVNWKCSHHRRSVAACLVQGAYVSELDRQHNRKGQEAFAPPWWEFFRFELSSNLVDDADSSIFGAIYEFKPASSKDSSVQDAPKFVVAFRGTIPSKESLAQDGLLDINIIQNGLHRTPRFAIAMRAVQNIVSAAKSSNVWLAGHSLGAAIATLAGKNMAKTGILIETFLFNPPFVSAPIERIKDTNVKQGIRIASSFITAGLSFALKDHQERSTPDDSFAMLSSWVPRLFINPCDFICSEYIGYFEHRNFMEKLGVGGIERLATQNSIGGLFLRALGKDSDPLHLLPSANLTKNLSPSPDFKIAHGLHQWWRPDLHLQSKQYHYG >Ma06_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12677929:12686058:1 gene:Ma06_g18550 transcript:Ma06_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAMDSALAIGLRPRGGACPSPLPPRSLLSLVVGACRRCSWRGKRRLFGRGFRDLERYRTNMDGCFGSCPSHPLSARVRAAQGDADSPTSNSRRRYHPSEEIEELVPPVDGEERRLTDAETARTIVEVNSKATVIFTGFIDEEVDENIIWPYVPYLTDEYGDIYFEVNNEKEILQTLISDDKLVQVIIGLDNIEMLSEMEVLGPSDLDIEVEEISSDVGDVNDGYEEDAVAIIEDELDELLSSDNVSDWTNLETMQSCHPMYFAKKMEESVSNVDLDWMDQPPASIVIQGQLRPAFAEESINIKKLPYSGELDKDQSLHNGASFYKLEMINIQIVSSYGNQFEVKIQDFREARPDVLAHSATNIISRLKSGGEKVSQALKMLCMRQKGIHVEEAVVIGVDSLGFDLRVCSGRQVQTLRFSFATQATSEFSAERQLNDILFPLLKQKQQRWQQAHQGIDS >Ma00_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3869014:3869326:1 gene:Ma00_g01080 transcript:Ma00_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLMVTTKVRRTIPYRRFDPGLVRYGTVYRAVMTLAGIA >Ma05_p29070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39876275:39877286:-1 gene:Ma05_g29070 transcript:Ma05_t29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMFLLVLLAMASSLAMASDPSQLQDFCVADKDSKVRVNGFVCKDPMVVKADDFSLSGLDMPGDTGNKFGFNVTPANVMQIPGLNTLGISMVRIDYIPKGLNPPHTHPRATEILTVIEGQLLVGFVTSNTDNRLFTKMLKKGDVFVFPQGLIHFQFNPGYTNTFAIGALSSQNPGTITIADAVFGANPPISDEILAKAFQVDKKLVDWLQAQFAKKN >Ma11_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26609539:26612591:1 gene:Ma11_g23120 transcript:Ma11_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTARKKIQKEKGAEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINSAVQVDIAGNRKAVVIHVPYRLQKAFRKIHVRLVRELEKKFSGKDVILIATRRILRPPKKGSAVVRPRSRTLTAVQDATLEDVVYPAEIVGKRIRYRLDGSKLMKIFLDPKERNNTEYKLETFTGVYRKLCGKDVVFEYPVTESA >Ma06_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12650794:12651455:1 gene:Ma06_g18490 transcript:Ma06_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKVRGRWTDRWAHLHLRRSFCEAEYSLSSSLCSALLRVAVIEKPTSMDVIDQEFHRFKQIQG >Ma08_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3265852:3267557:1 gene:Ma08_g04700 transcript:Ma08_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAATSTATTLPVRDIPGDYGIPFASAIRDRLDFYYFQGQDKFFQTRVDKYHSTVVRLNVPPGPFMANDPRVIAVLDANSFPILFDVSKVEKKDVFTGTYMPSTSLTGGYRVCSYLDPSEPNHAKVKQLLFNILASRKDAVIPAFRTNFTALFETMESQVTAAGKSDFNKLNDNTSFDFLGEAYFGVRPSSTALGSTGPTKSTKWLFLQLCPLMTLGLPKILEELLLHTFPLPPLIAKCDYKALYKYFSSVAGSALDSAEKLGLKRDEACHNLLFATVFNSYGGMKVLLPGILGWLAKADKSLHVRLAKEIRSAVLFEGGKVTLNAVEKMDLTRSVVYEALRMDPPVKYQYGKAKQDLVIESHDAAFKVKKGEMLFGYQPFATRDPKVFDDADRFIGDRFLGDEGKKLIKYVVWSNGPETESPTVSNKQCPGKDFVVLVGRLLVVEFFLRYDTFTADVGTVLLGSQVTVTSLTKAAASSSDV >Ma11_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7362865:7364220:-1 gene:Ma11_g09220 transcript:Ma11_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDNSSFVRPTRTPQFDRVGFGSPLSLSRSLGTHTFWSYGCLSLPTLGSDRFCCRSEVADHEKGLNSNRSEDWGVLSPLSSLEHFVLHCVIPSSCIRTSQSRSPTFYCDDLFMSHPDSSEKVRGRGRVSCADCVIPSSPAPQSVDKRRYEGLVSF >Ma05_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36756098:36758066:-1 gene:Ma05_g24540 transcript:Ma05_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKNSRGLSKSCILIIVVASVERFAYKGVASNLLSYLTGHVKMSTSSAAKSVSTWIGVTSMLPLVSAILADSYWDRYSTIMASSLLYVIGLVGLTSWALLCAWMPTSSLFLPLYLISIGQGGYNPSLQAFGADQLEVDDDHLPCSEEEGKSDKKSLFFQWWYFGICSGSLLGNSVMSYIQDTFGWGLGFAIPTAAMAISVACFLCGSRFYVNKQLKIPNSPIQSIIQAVKLAVTRGAKSKTLSLPPGDDAVELELQEKPLREDSDRCDDMAGEAPGVAKTILRLLPIWTMLLMFAVIFQQPVTFFTKQGMMMRRSIGSSFVIPPAMLQSAITISIILIMPLYDKLIIPFLRLLTRREKGIDVLQRIGIGMCVSIVAMVVAAVVESKRRTHESLRVDSQRLTIFWLLPQYVLLGISDVFTVVGMQEFFYMQVPTTMRTIGIGLYLSVFGVGSFLSALLISVLELTSNTRGKSHGWFSDDAREARLDKYYWILALLSSISFLMFAYLCRYYSH >Ma09_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4854890:4860934:1 gene:Ma09_g07400 transcript:Ma09_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEAKAKLLAVQKELGHEIRVFTNTTASEKPDDVSASATEEPDDFYDFTPEDYYQIMSEKIGAQSQVLKTRKIREAEAAVRRARITKAVIRVRFPDNYVLEVKFQPAEKIQSLMDLLTKVVARPDLPFYIYTTPPKERIKDTSKDFYSAGFAPGAIVYFSYDWPKDSEYDNAVAKEGPYLRDDILSLNGLDLSAEQVDDPVHPEQEPKPKPPEVASPLPDPKPAAKKPTKPKWLKL >Ma01_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9469389:9471814:-1 gene:Ma01_g12940 transcript:Ma01_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLYLCIICHGMATVDGARTNVSGGKPKSSGTFNVKEYGARGNGRSDDTKAFLAAWDAACKSSGQVKILIPSGTYFLNPIEFNGPCRDVQSLKFQMQGTLRATTDLRKYGNGKGWVEFRHVDGLTVTGGGTFDGQGAVSWPFNKCPKDKNCKVLPTSVKFVNTKDTVVRGITSLNSKFFHMALLGCHNFKGSGITISAPGTSPNTDGIHLEGSTGVTISGSKVATGDDCISIGQGNSLVTISGITCGPGHGISVGSLGRYNDEEDVKNVIVKDSTFQGTTNGVRIKTWANSPAITKVQNMTFDNLVMKNVDYPIVIDQTYCPFAKCDNSRASRVKLSDIHFKNIRGTTKRPEAVILRCSKGTPCQKLSLHNINLKNIGFGGTLSTCLNAKAEYSGNIFPPPCQ >Ma04_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10001595:10006884:1 gene:Ma04_g13230 transcript:Ma04_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGPSDSSGTDDDLPPTHHNRGARGGHVSGNGRAIVGAIVYPRLQNDMEVQIHRLEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLSRVNADDIIRRIREWRQTGGLQTGMLTNAQPDVAAPSPTVSASRKRQKTSQPIPSLSIGAPSPALQSQLGAPMQPSSSAAKRAASAGTKSKKPKSSMKYPSAGPSGRSQVANRNSSGVLVAGEPAEPASFDPLIGRKVMTRWPEDNNFYEAVITDYNPVEGLHALVYDIHTKNETWEWVNLKEISPEDIRWEGGDPGITHRSGQGPQGRGVKRTTGRGGSIPGAGKGRGSLKNQKKRDFPPSQNGISKKTTGDIEILDTNTLIKEVEKLLGASHPDPLEFEKAKKMLKEHELSLIDAIARLADASDGESEDAERQFSYGQSMDRDRGWRDRQYGGNPHGADHDDDMAVEGGEGSEGDRMVAGGVSMGYHRGGNDDDL >Ma04_p13230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10001579:10006884:1 gene:Ma04_g13230 transcript:Ma04_t13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGPSDSSGTDDDLPPTHHNRGARGGHVSGNGRAIVGAIVYPRLQNDMEVQIHRLEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLSRVNADDIIRRIREWRQTGGLQTGMLTNAQPDVAAPSPTVSASRKRQKTSQPIPSLSIGAPSPALQSQLGAPMQPSSSAAKRAASAGTKSKKPKSGRTLPGASLVKSMKYPSAGPSGRSQVANRNSSGVLVAGEPAEPASFDPLIGRKVMTRWPEDNNFYEAVITDYNPVEGLHALVYDIHTKNETWEWVNLKEISPEDIRWEGGDPGITHRSGQGPQGRGVKRTTGRGGSIPGAGKGRGSLKNQKKRDFPPSQNGISKKTTGDIEILDTNTLIKEVEKLLGASHPDPLEFEKAKKMLKEHELSLIDAIARLADASDGESEDAERQFSYGQSMDRDRGWRDRQYGGNPHGADHDDDMAVEGGEGSEGDRMVAGGVSMGYHRGGNDDDL >Ma07_p15330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11509401:11512811:1 gene:Ma07_g15330 transcript:Ma07_t15330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPNAVAEAGEKAPVTPLPSSADPPPSPVAMAANMPALPAACLENPTPAPDASFAPQQPEIHGAGAVAGSSLGLASVLEVGPVTDLQKKLRRAERFGMPVMLSEEEKRNSRAERFGTGSPLSGRKDIGQPEEQKRKARAERFGLKIDIVVDEEAKKKARLERFAPKSMMDTSEVEKRKARAIRFSQGSPQVGGQSNYDLATTVAHA >Ma07_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11509401:11512722:1 gene:Ma07_g15330 transcript:Ma07_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPNAVAEAGEKAPVTPLPSSADPPPSPVAMAANMPALPAACLENPTPAPDASFAPQQPEIHGAGAVAGSSLGLASVLEVGPVTDLQKKLRRAERFGMPVMLSEEEKRNSRAERFGTGSPLSGRKDIGQPEEQKRKARAERFGLKIDIVVDEEAKKKARLERFAPKSMMDTSEVEKRKARAIRFSQGSPQVGGQSNYDLATTVAHA >Ma07_p15330.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11509401:11512808:1 gene:Ma07_g15330 transcript:Ma07_t15330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPNAVAEAGEKAPVTPLPSSADPPPSPVAMAANMPALPAACLENPTPAPDASFAPQQPEIHGAGAVAGSSLGLASVLEVGPVTDLQKKLRRAERFGMPVMLSEEEKRNSRAERFGTGSPLSGRKDIGQPEEQKRKARAERFGLKIDIVVDEEAKKKARLERFAPKSMMDTSEVEKRKARAIRFSQGSPQVGGQSNYDLKATTVAHA >Ma07_p15330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11509401:11512722:1 gene:Ma07_g15330 transcript:Ma07_t15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPNAVAEAGEKAPVTPLPSSADPPPSPVAMAANMPALPAACLENPTPAPDASFAPQQPEIHGAGAVAGSSLGLASVLEVGPVTDLQKKLRRAERFGMPVMLSEEEKRNSRAERFGTGSPLSGRKDIGQPEEQKRKARAERFGLKIDIVVDEEAKKKARLERFAPKSMMDTSEVEKRKARAIRFSQGSPQVGGQSNYDLKATTVAHA >Ma09_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11044036:11044775:1 gene:Ma09_g15700 transcript:Ma09_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFRPMSSLSIGLLILLCCFGFCFSADVESLTAYEVLESYGFPPGLLPKGALGYDLDGSTGAFSAHLNGSCSFSLDGSYQLRYSPTISGHIASGRLSGLRGVSVKVLLFWVNIIEVRRLSDSLRFSVGIASADFAIRNFFVSPGCGCGLNCPDDDYDDVADFPLRLRRLHPDAVKNY >Ma08_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10111830:10114045:-1 gene:Ma08_g12910 transcript:Ma08_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPWINKMRTSVAAAKRKKKNDGSNPSPSAATVGILSFEVASAMSRAISLYRSLSESEMAKFRSQTLASHGVRHLVSTDEPLLLSLALAEMLDDLNGVAAVASRMGRRCSHPALVGFEHVYSDLLAGRIDPSRLGFLSKDMDGTIRKMERFVSATAALYAELEVLTELEHAAKKLHQNPVHDESRRAFDQKIEWHRQDIKHLRESSLWNQVYDKVVLLLARAVCTIHSRICLVFGETISSLDNLVADQRCQLSEQIINSVHHPIHSGSLRSGSIDGKSDQIPKVASDAFTDVNFRRAEGLRFHCGASPGRLFMECLSLGSSALLKEGNDKFENESRISRPASGPLVPFSGQVTQHMSGKRSKLGPISKLTMLASPSTVGGSALALHYANIIIIIEKLLKHPHLVGEDARDDLYQMLPSSLRAGLRKSLKSYVKNLAIYDAPLAHDWKEALDKILSWLSPMAYEMIRWQTERNFEQQQIISRANLLLLQTLYFADREKTEVAICELLVGLNYICRYEQQRNALLDCTSSLDFDDCMDWHMQYIS >Ma05_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11591804:11591959:-1 gene:Ma05_g15490 transcript:Ma05_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKGDTNNACAACKYQRRRCPADCPLAAYFPADQPKQFQNCHCLFSVHNI >Ma04_p17600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18152396:18159393:1 gene:Ma04_g17600 transcript:Ma04_t17600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSVMLASAVASAALAVEHAYADGAPFNFSPFNSYTSREAPPAQTSAAPGPPAADVPPAEVARVRNDNPRTTAAGFDPEALERGAKALRKINSSPQAKKVFELMRKQEETKQVELAAKKAEFQAMQAQHETDRQRVIYEEQKKLVQQQAQTKAQMARYEDELARKRMQAEHESQRARNQELVKMQEESSIRQEQIRRATEEQIQAQRRQTEREKAELERETIRVRALAEAEGRAHEAKLAEDVNRRMLIERANAEREKWISAINTTFEHIGGGLRAILTDQNKLVIAVGGVTAVAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGFFSRMVSSASNKMMKGNDAGKKVTGFGDVILNPSLQKRIEQLASATANTKIHQAPFRNMLFYGPPGTGKTMAARELAHKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLVLATNRPGDLDSAVADRIDEVLEFPLPEEEERYKLLKLYLDKYIARAGDSKSSWFGLYRPQQQKIVIKGISDDVIREAAAKTEGFSGREIAKLMASVQAAVYGSKDCELGSDLFREVVDYKVAEHQQRRKLTGADQSVGLR >Ma04_p17600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18153020:18159393:1 gene:Ma04_g17600 transcript:Ma04_t17600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRVIYEEQKKLVQQQAQTKAQMARYEDELARKRMQAEHESQRARNQELVKMQEESSIRQEQIRRATEEQIQAQRRQTEREKAELERETIRVRALAEAEGRAHEAKLAEDVNRRMLIERANAEREKWISAINTTFEHIGGGLRAILTDQNKLVIAVGGVTAVAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGFFSRMVSSASNKMMKGNDAGKKVTGFGDVILNPSLQKRIEQLASATANTKIHQAPFRNMLFYGPPGTGKTMAARELAHKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLVLATNRPGDLDSAVADRIDEVLEFPLPEEEERYKLLKLYLDKYIARAGDSKSSWFGLYRPQQQKIVIKGISDDVIREAAAKTEGFSGREIAKLMASVQAAVYGSKDCELGSDLFREVVDYKVAEHQQRRKLTGADQSVGLR >Ma04_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18152396:18159398:1 gene:Ma04_g17600 transcript:Ma04_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSVMLASAVASAALAVEHAYADGAPFNFSPFNSYTSREAPPAQTSAAPGPPAADVPPAEVARVRNDNPRTTAAGFDPEALERGAKALRKINSSPQAKKVFELMRKQEETKQVELAAKKAEFQAMQAQHETDRQRVIYEEQKKLVQQQAQTKAQMARYEDELARKRMQAEHESQRARNQELVKMQEESSIRQEQIRRATEEQIQAQRRQTEREKAELERETIRVRALAEAEGRAHEAKLAEDVNRRMLIERANAEREKWISAINTTFEHIGGGLRAILTDQNKLVIAVGGVTAVAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGFFSRMVSSASNKMMKGNDAGKKVTGFGDVILNPSLQKRIEQLASATANTKIHQAPFRNMLFYGPPGTGKTMAARELAHKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLVLATNRPGDLDSAVADRIDEVLEFPLPEEEERYKLLKLYLDKYIARAGDSKSSWFGLYRPQQQKIVIKGISDDVIREAAAKTEGFSGREIAKLMASVQAAVYGSKDCELGSDLFREVVDYKVAEHQQRRKLTGADQSVGLR >Ma08_p34520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44483833:44522772:-1 gene:Ma08_g34520 transcript:Ma08_t34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEATALWQPREEGLREICGLLEQHISPNSDQSRIWQQLQHYNQLPDFNNYLVFILAHAEGKSLEVRQAAGLLLKNNLRATFSSLSSSYRQYIKSELLPCLGASDRTIRSTVGTIISVLVQLDRVAGWPELLQVLARCLASNDFNHMEGAMDAIYKICEDVPEELDVDVPGLSERPINIFMPRLLQFFQSPHAILRKLSLGSINQFIVVMPAALFMSMDQYLQGLFHLAKDPSAEVRKLVCSAFVQLIEVRPSFLEPHLRNVIEYLLQANKDPDDEVSLEACEFWSAYCDGTLPPDSLREYLPRLIPVLMLNMAYADDDETLFDAEEDESFPDRDQDLKPRFHSSRFHGSDNMEDDEDTVNTWNLRKCSAAGLDILSNVFGDEILPTLMPLIQQKLSATSDSNWKEREAAVLAIGAIAEGCINGLYPHLPEIIAFLIPLLDDKFPLIRSITCWTLSRFSKFIVQSIGHKDGYEQFDKVLTGLLRRILDTNKRVQEAACSAFATLEEEAADELAPRLEIILHHLLCAYGKYQRRNLRILYDAIGTLADAVGSELNQPKYLDILMPPLITKWQQLSNSDKDLFPLLECFTSISQALGPGFSQFAEPVYQRCIGLIQIQLLAKVDHVTAGVQYDKEFIVCSLDLLSGLAEGLGGGIESLVAKSNLRDLLLQCCMDQIADIRQSAFALLGDLARVCPAHLHPRLADFLSVAAEQLSAAAVKEAVSVANNACWAIGELAVQVHQEIAPVVLAVISCLVPILQNAEGLNKSLLENSAITLGRLAWVCPELMAPHVEHFIQSWCTTLCIIRDDYEKEDAFRGLCAIVRVNPSGVVSSLAYLCKAVASWHEIRSQDLHNEICQVLNGYKQMLGDGAWKQCMSTLDPQAVQRLSRFGV >Ma05_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22920168:22920839:-1 gene:Ma05_g18190 transcript:Ma05_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLGLSSLFFRLRDTPRPSCHSSSPSPPPSWPWPSCKHPKTSSFREVEGDATYKTVNSVYVESTESCFTRSSAEQESFSTVSDRSGGGSVETVVRGLRSDRLFFEPGGDTSSILEEAKAGVSPFEGSVVLAMESEDPYRDFRRSMEEMVAAHGLNDWERLEELLVWYLKVNGKKTHRFVAAAFADLVLGLASPRPPPSSSSSSSNSFKIEAIKEAERSESS >Ma10_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22396030:22399497:1 gene:Ma10_g08130 transcript:Ma10_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVSRYIEQVTSFVEGEICKVLGVKKEIKTLQEKLEMIKCYLESAERKSRGDPGIEAWVRKLKAIMYDADDIIDLCMMEGGKLLEARGSASASGVSFAFSFVSSCFRCTKHRHEIAGEIEAINGRLKQIAEDTSILSNLQSSGSHQPQPEKPTVLETTSLEVEEDIVGGQIDVDADTLINAMLEDTKQKCRIFGIVGMGGIGKSTLARKIFNDERMRVNFPIQKWLYISKNYSETKLLGELIRCASNKSEGGEAKQESFEGQSKSELENKLASLLTKNLFLVLDDVWSTNLWNDFLRKPLSKAVGCTILVTTRKETVLKGMKPSYTHPVEKMDVNSGWMLLRNLVFGAEEEDDERRLQEVGKKIVRKCDGLPLAIKAIAGVLVCNDRSIGKWEEVLESDAWNMNQIDDEVPGALNLSYVDLPSHLKQCFLYCSLHPQKSDMYYKEIIRFWVAEGLIAQQGNRLLEDIAEEYYHELIWRNLLQVDAGTLGQKNFSMHDHLRSLGACLMKEEGKLIRHGQKLDVRANAKIRRLSVSKMGSKLVLPDQIMQDKCLRTLIVIDSPRTKIIEDNVLRMLPHLRVLDLSYTSIKRLPDCIGDLLQLRYIDLDETNIYEIPESIGRLANLQTLNLYNCAYLHRLPNAITRLHSLRCLDIENVPLTHVPKGIGKLMDLNHLEGFVIGHNDPTNELHEEGCDLEELQALSKLRCLRIYGLERAVTGVSVLVDKTLLRELTLCWMPPEEDVTWTEEQFQAVEKVCDELSPPSSMQDLIFQRFPGRQLPCWLMSNSLDKSFPNLAYLRFWYLKSCTELPPLGMLPLLKHLDITGGEAIKTIGPEFLGRKFPGASAFPKLEHLEFDEMPNWEEWSVWGMEENGKGPHLKLFPNLKICKIIDCPKLRALPEGLSHATKLKELYLDSTQDLREITNLRLNYKLEVKDNTMLNRISNLSMKYLKVEDCPNLEYVENLDRLQQLVLICPRQMKQLPQWLSTLIQQRQSIPSAQWSFRKLELQCNTVLLKSCLEGNENWHIIQQIPDVIIQTYSRKSYIRYSKHPRMYDAKV >Ma11_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26557820:26560104:1 gene:Ma11_g23040 transcript:Ma11_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGHRKLSPPSASGEAKQPSSETAALLLEIAASDDLAAFKRAVEDEGHPFDVAAHWYGRSPSRGMGYQQRTPLMIAALYGSTGVMGYLLSARPAEAARRAASDGVTALHCAAAGGSPASLEAVKLLIGADADVVDALDASGNRPGDVVARQSLSTVAKSLGVILKAPPLPGLSSPGKEEQPKQGEKKEYPPDSTLPDINTGIYGTDEFRMYTFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKFSYSCVPCLEFRKGSCRNGDACEYAHGVFESWLHPAQYRTRLCKDETCCNRRVCFFAHKPEELRTVNHSAASVAGTLLSSPRSSSPALSSLDMAMALTMMQQPGSPMSPTASSGLGAAAAWMNQAGGSMTPPALQLPSSRLKASLSARELDFDLELLGLEGYQQKLVDGITRTASPRANRGANTLVAAAAAARAPEYTDLLGSVDPSLLTQLQGLSMRKTGGAQFQSPSGIQMHQPQLLSGYGGNQCSSPPVSSTSSFGLDHSMAKAIMNSRASAFAMRSQSFCDRGATAGRQSMLSAMTTAAAAGATPSLLSDWSSPDGKLEWGIQGEELNKLRKSTSFALRSNHATFGRVEASGPNEPDVSWVRSLVKDGLGAGEHQNADMFSPWAEEKIMA >Ma05_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23792241:23797316:1 gene:Ma05_g18390 transcript:Ma05_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLKPINYLAPMLVSALFLLVLFSGYLEFPSLSSPIPIPTPSVRVRGPKSPFTDLAAAFELWDSQVGCDRFRSAHARWAANASAIQADGGDCAAAGMDHVSVLVKGWTWIPDSMDNLYSCRCGKTCLWTKSPVLADHPDAILFESATPPLTRKKGDPLRVYMDLEASRKPSGFEDIFIGYHAKDDVQSTYAGTLFHKNRNYQVSSQKRNDILVYWSSSRCLPQRNQLAQKFFSLISYHSFGRCLNNVGGPNTALSLYPKCSMGENSVPHWWDHLHCAMSHYKFVLAIENTMTESYVTEKLYYALDSGAVPIYFGAPNVWDFVPPNSIIDGSKFSSLEELASYVKELADDPIAYAEYHAWRRCGVMGNYGKTRATSLDTLPCRLCEFVSRKGGRNARAL >Ma07_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26814270:26815652:1 gene:Ma07_g18870 transcript:Ma07_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCDVCGKEGASVFCCADEAALCDACDRRVHRANKLVGKHRRFSLSAPSAQSHPACDICQEKRGFLFCQEDRAILCRDCDASVHSANHLTMKHNRFILTGVQLSAAPMPTSPSPESVAEVKTSTKSTVPKSNQNKASVADGSTPITSTITSSSSISEYLIKTCPGWRVEDLLVDDPAFGVEDFAKGDELLPFLEADLDSGGLDALAEKFPVWAPHVPQYPPPPGLSSAADGSGHHQPWRGSKEAGRERWSEDVFTVPQISPASTPSKRPRNSFWYY >Ma01_p14280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10427471:10433549:1 gene:Ma01_g14280 transcript:Ma01_t14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYWPMPNVLYVEGYALDRFAEGLWALQPVHQNKIGLVFDAGMEEELRICHLQVADAARASLGLPLMEYIVTDSPLKVEKWIDPNCGKSTGRIQHPDSLLRAVHTLVSQSQVNAVAVVGRFPDDDEGTEDYRQGKGIDTLAGVEAVISHLVVKEFQIPCAHAPALFPDSLSSSVSPRSAAEEIGYTFLPCVLAGLSAAPQYVTAENRSYNDGYLIAGDVDSVILPADACGGDGALAFARAKNNKPLIVAVQENETVLKDTPEKVGIRATKVQNYWEAIGVVAAHKAGINPEALRRGGIDNVTAHTRKISSSQMHHQVYSL >Ma01_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10427097:10433549:1 gene:Ma01_g14280 transcript:Ma01_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLWCRPPVPPAGASRRLSLPSISVARSPSIFRLSCFSTSSYPKFRRAYTTVLIVPTGVGASIGGFAGDALPVARTLASVADCVISHPNVLNAAMLYWPMPNVLYVEGYALDRFAEGLWALQPVHQNKIGLVFDAGMEEELRICHLQVADAARASLGLPLMEYIVTDSPLKVEKWIDPNCGKSTGRIQHPDSLLRAVHTLVSQSQVNAVAVVGRFPDDDEGTEDYRQGKGIDTLAGVEAVISHLVVKEFQIPCAHAPALFPDSLSSSVSPRSAAEEIGYTFLPCVLAGLSAAPQYVTAENRSYNDGYLIAGDVDSVILPADACGGDGALAFARAKNNKPLIVAVQENETVLKDTPEKVGIRATKVQNYWEAIGVVAAHKAGINPEALRRGGIDNVTAHTRKISSSQMHHQVYSL >Ma03_p04130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2685169:2692772:1 gene:Ma03_g04130 transcript:Ma03_t04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIALGTPPRFRVASPCFSSSRFRRRLLSLDPAPGVPMDRPRRPCALRCTTAEEASETEEECDPPTSGGQKIANSVEIKEWQNGNVVDNAASRQGIQIRRRPLTGPPKHYVGPFEFRLENEGNTPRNILEKIIWDKDMEVSQLKERRPLTTLKKALEDAPPVRDFVGALKASVKNTGMPALIAEVKKASPSRGVLRENFDPVKIASAYEKFGATCISVLTDEKYFQGSFENLEAIRRAGVKCPLLCKEFIIDAWQIYYARSKGADAILLIAAVLPDLDIKYLTKICRLLGLATLVEVHNEREMDRVLSIEGIQLIGINNRDLETFQVDISNTKRLLEGERGELIRQRDIINLVFQVVGESGLFTPEDISYVQDAGVKAVLVGESLIKQDDPGKAISGLFGKDISK >Ma03_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2685169:2692772:1 gene:Ma03_g04130 transcript:Ma03_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIALGTPPRFRVASPCFSSSRFRRRLLSLDPAPGVPMDRPRRPCALRCTTAEEASETEEECDPPTSGGQKIANSVEIKEWQNGNVVDNAASRQGIQIRRRPLTGPPKHYVGPFEFRLENEGNTPRNILEKIIWDKDMEVSQLKERRPLTTLKKALEDAPPVRDFVGALKASVKNTGMPALIAEVKKASPSRGVLRENFDPVKIASAYEKFGATCISVLTDEKYFQGSFENLEAIRRAGVKCPLLCKEFIIDAWQIYYARSKGADAILLIAAVLPDLDIKYLTKICRLLGLATLVEVHNEREMDRVLSIEGIQLIGINNRDLETFQVDISNTKRLLEGERGELIRQRDIIVVGESGLFTPEDISYVQDAGVKAVLVGESLIKQDDPGKAISGLFGKDISK >Ma06_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5708880:5710285:1 gene:Ma06_g08040 transcript:Ma06_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGVCYPRPQWRAEEPEEEEEEGRRRKRSRRVPAGGAAADEPARMMASEGVAGEMPDFFDSLPDELVVSILCKLSASAARPADLVGVRITCKRLNELGSDPLALAMASMKSLAVRAKNWSESAHEFLKQCADAGNLEACYILGMIRFYCLQNRGSGASLMARAAMGSHAAALYSLAVIQFNGSGGSKDDKDLRAGVALCARAAVLGHVDALRELGHCLQDGYGVRRNVAEGRRFLIQANARELAAVLNSSPAWKRHGDALASGCSLLSDFGCNVPAPEAHPANRFMAEWFAARGASGWPREDGLRLCSHIGCGRREMRRHEFRRCSVCGVVNYCSRACQALHWKLAHKAECAPMDRWLDAAAAAGAPPEVGGGEMMMMMMLN >Ma08_p25310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38212088:38213469:1 gene:Ma08_g25310 transcript:Ma08_t25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASDLFLVDRVPHRPAPPPIPRPTAASIVSHAFPDPLDFSGFRFFEETSEGIASSGDYASRLGLGFEKDDDGGHVDREADWAADDFFVGRRMSSPSASIEFSRARPVDSDGLRVVGYESDSDSDEQQIVAIGADLDDGEGRDQAVSDDLGLPLRWDCLRFGENRRDPNEDFEWEEVDGRIEERDAISVTIVGDEERSEEIRDLDHNEDGGGDVEWEFLLAVNNSGRNPMDPEDVGVYFVDEQEGLGDASDYEAYEVLFGQFVEHDSNFKGSPPAAKSVIESLPSVLLTEEDVAKANALCAVCKDGILVGERVKQLPCCHLYHEDCILPWLGIRNTCPVCRFELPTDDPEYEKWKARRAGSVVSDDDDSQLRVDFEVLPEA >Ma06_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2824440:2827557:-1 gene:Ma06_g03900 transcript:Ma06_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYDCASSGLLCAEDNDSILGFGDEEQQREDRPSRVSEPERCDFYVDFPLQSDDCLSLLVEREKKHLPREDYGERLRSGDLDLSFRRDAIDWMWQVHAHYNFGPLTAYVSVNYLDRFLSAYELPQGKAWMTQLLAVACLSLAAKMEETDVPLFMDLQVGEAKYVFEAKTIQRMELLVLSTLRWKMQAVTPFSYIDFFLHKFSDGNSPTKLSVSRSAELISSTVKDTDFLAFRPSVIAAAIALLVLRETQMVDVEKKLSCCTHVMKDDVLGCHEVLQNKVLIRQQSIEDVSSSVSSVPQSPLGVLDVACLSYKSDDASLQTSPACKKRKVSR >Ma04_p33560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33122680:33128585:1 gene:Ma04_g33560 transcript:Ma04_t33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEVSSQWREKASGFFSSSGVRLKQAGQSAGSIAKNAGGNVADAAGKFGSLVKNRWALMQQSREQQNPVASGESIQERFRYAATSTGALLKKGITETKEKVAVGKLKVEEAAKKTADKSKNILNNIERWQKGVASTDVFGVPIEVLVQQQQSTRPVPQILVRCAENLIISGLNSENLFKTEGDRKVIRQLIALYNQDWNGSIPEGVSPIDVAALVKCYLASLPEPLTTFALYHEIRNARSSISELRDSLKKLPNVNFMTLEFVTALLLQVSQKSLLNKMDAHSLSVELAPVIMRQQGDPRADFYSHFYYTSKDPSGTMDQTLNHNSWVDYLDEDEDADASSQIPLDDDLPPDYGAIEVIQCLIEHHNAVFTDANETIWR >Ma04_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2215018:2223227:1 gene:Ma04_g02650 transcript:Ma04_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSLGLRSGSYGSLQQQLQNGAALPTQSPPLPVRKTSKMSLSGSRDKEKILPRIFKFAGRRKVGMLLLLVASAAVLSFISAVTKDEDTSVSPESRMGFTDHVRNFVNPSRPSFNNFKPLFAPAKVTEVNSTSYANHESANTHNKTQLSIPILPPTPHPCESFTLPPPPADKKRTGPRPCPVCYVPVEQAVLLMPPSPSASPILKDLSYYSEDNLIANESNGGSIFGGHPSLLHRNESFNIKESMMVHCGFVKGKRPGQGTGFDINDTDLLEMEECHDVVIASAIFGNYDIMQQPKNISEYAKRNACFYMFVDEETEAYIKNSSGLVDTKRVGLWRVVVVQNLPYDDARRNGKVPKLLLQRLFPNARFSLWIDGKLELVVDPYQVLERFLWRKNYTFAISRHYRRFDVFEEAEANKAAGKYDNASIDSQIEFYKKEGLTPYSLSKIPITSDVPEGCVIIKEHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIMSQVNWTINMFMDCERRNFVVQAYHRDLLEQRKELASLIQPPPPVVSNKSPRELIPAAPDRLARAPPSRKLPGKISVRRGRDKKSGSRRHHPRAGAGKDNNSI >Ma03_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2098826:2110484:-1 gene:Ma03_g03110 transcript:Ma03_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MSSPIFSLLLLPHSAAPPMAAICVHRTPFAASKPQPPHGGPRPSPPSTVGSPRWIRRSYCSHVARWWSAAERRSRKEEDWPYLSAIGKAADPDGGAVQENGLLDPTLLAIGRTQNLGDDSVGEQKTEELAGVLEEEETNGDDSVSASRTVLDSSVEVSDSSTVPDCIVEETKAEKEVLMSSSALDVEEEEETDEQEQTQTRVFVDHPSYHRAGNPYGDSNGAFGDNQFRFTILCYAACEAPLVLPLGGYTYGEKSLFIVNDWHASLVAVLLAAKYRPYGVYKDARSILVIHNLAHQGVEPATTYEYMGLPPEWYGALEWIFPTWARKHALDKGEAVNLLKGAIVTADRIVTVSQGYSWEITTPEGGHGLNELLNSRKFVLNGITNGIDTNEWNPTSDKHIPFHYSVDDLSGKAQCKAALQKELGLPIRPDCPLIGFIGRLDYQKGTDVIRSALHELLQDDIQFIMLGSGNPETEDWMRWVESTNREKFRGWVGFNVPVSHRITAGCDILLMPSRYEPCGLNQLYAMRYGTVPVVNCTGGLRDTVENFDPFATDSSGQGTGWRFSPLSKESMLLKLRVAIQTYREHKASWEGLMKRGMSKDFSWDSAAIQYERIFDWTFVDPPYIR >Ma03_p03110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2098826:2110484:-1 gene:Ma03_g03110 transcript:Ma03_t03110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MSSPIFSLLLLPHSAAPPMAAICVHRTPFAASKPQPPHGGPRPSPPSTVGSPRWIRRSYCSHVARWWSAAERRSRKEEDWPYLSAIGKAADPDGGAVQENGLLDPTLLAIGRTQNLGDDSVGEQKTEELAGVLEEEETNGDDSVSASRTVLDSSVEVSDSSTVPDCIVEETKAEKEVLMSSSALDVEEEEETDEQEQTQTRVTRTIVFVSAEAAPYSKTGGLGDVCGSLPISLAARGHRVMVVSPRYLNGVSNKNFANAMILESASRFCALEENMKFLFIMSTEQVFVDHPSYHRAGNPYGDSNGAFGDNQFRFTILCYAACEAPLVLPLGGYTYGEKSLFIVNDWHASLVAVLLAAKYRPYGVYKDARSILVIHNLAHQGVEPATTYEYMGLPPEWYGALEWIFPTWARKHALDKGEAVNLLKGAIVTADRIVTVSQGYSWEITTPEGGHGLNELLNSRKFVLNGITNGIDTNEWNPTSDKHIPFHYSVDDLSGKAQCKAALQKELGLPIRPDCPLIGFIGRLDYQKGTDVIRSALHELLQDDIQFIMLGSGNPETEDWMRWVESTNREKFRGWVGFNVPVSHRITAGCDILLMPSRYEPCGLNQLYAMRYGTVPVVNCTGGLRDTVENFDPFATDSSGQGTGWRFSPLSKESMLLKLRVAIQTYREHKASWEGLMKRGMSKDFSWDSAAIQYERIFDWTFVDPPYIR >Ma03_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28021142:28022534:1 gene:Ma03_g23470 transcript:Ma03_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCVPPGFRFHPTEEELVGYYLARKVASQRIDLEVIQEVDLYRIEPWELQDRCRHGCEEQSEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLSKSRIIGMRKTLVFYKGRAPNGQRTDWIMHEYRLQSNENEPAQEEGWVVCRAFKKPNLNQRPCYNNFGHNHYGRLAAKPTCFMDSFQGGAPYERSLGYEIELESRKNLLDQVLHIPQLDSPSLSSGFSNNEHFEGNCMGSNEHGTGDSSCHGEFVDWKVLDKLLLSQLNESSSSSNMPIVPVNYDAGVEDQGDHFLAMFDRS >Ma09_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29710569:29712076:-1 gene:Ma09_g20640 transcript:Ma09_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRWNSQKNGYGTAIWAAKIAFCVIGILSFCAAVPVAAGALASAVPGFWGSLRSWLARPYLFIAVHFIILVIWKLSDQKQQQHQHHCEEWAVEEHITDSGNPAKVESVNRSHTAPLLRKPTPEIWPSATKVGVPSVDPGESSTSEASCITTESGERSTASSAFIAKKSAEPESKSSITVMEEEDEAVAAATVAGMANDSMEVTWKAIAKKSSRAAAVPPAAAKSRQYARQPEPPPPSTGHDDLNRRFDDFIKKNHEQIRLLNSRRR >Ma09_p20640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29710569:29712076:-1 gene:Ma09_g20640 transcript:Ma09_t20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRWNSQKNGYGTAIWAAKIAFCVIGILSFCAAVPVAAGALASAVPGFWGSLRSWLARPYLFIAVHFIILVIWKLSDQKQQQHQHHCEEWAVEEHITDSGNPAKVESVNRSHTAPLLRKPTPEIWPSATKVGVPSVDPGESSTSEASCITTESGERSTASSAFIAKKSAEPESKSSITVMEEEDEAVAAATVAGMANDSMEVTWKAIAKKSSRAAAVPPAAAKSRQYARQPEPPPPSTGHDDLNRRFDDFIKKNHEQIRLLNSRRR >Ma07_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31019417:31021133:1 gene:Ma07_g23320 transcript:Ma07_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVHPTLRMTDDDEELATTTARPSIWTVWNKSSMGFHGTDGFSIYDSKGRLAFRVDNYSRKHKCFAGQLLLMDANGKALMALRPRILSMHDRWSGFKGEDGLDPKCRTHVFSMKRRSILQGRDKAEVYMDSPDDRSSLPHFKTEGCFRRRDCKILDSNGEEVAQISHKKVNRSVTLGDDVFSLIIQPYTDTELIMAFLVIMDRIC >Ma11_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25016598:25024582:1 gene:Ma11_g20600 transcript:Ma11_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAADNNINFPYEAVLQQSFRNQHVSFQPGSENSATGFSPGGMDSSGGINGSTRMIVTGNSPVLNNISVMFSTSSSPANVLLDPVKHSTAFSVDWTFEELEVLKQGLVTYSSEPNIMRYIKIAAKLPDKTVRDVAMRCRWMTKKEKGKRRKPEDYYAGKKIKDMKEKMIGSSSMATAHCNQPEIADAYSFRMHDGNHITQFLCEAPVIDNRTQQLLDDNAKLFHQIAINLENNEIQNNIDLLYHSNENLRAILNSMSGMPGIMSQMPPMPVHVNENLMHSILPGTSQAHAPGNSNFRDEPRCW >Ma11_p20600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25016596:25024582:1 gene:Ma11_g20600 transcript:Ma11_t20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAADNNINFPYEAVLQQSFRNQHVSFQPGSENSATGFSPGGMDSSGGINGSTRMIVTGNSPVLNNISVMFSTSSSPANVLLDPVKHSTAFSVDWTFEELEVLKQGLVTYSSEPNIMRYIKIAAKLPDKTVRDVAMRCRWMTKEKGKRRKPEDYYAGKKIKDMKEKMIGSSSMATAHCNQPEIADAYSFRMHDGNHITQFLCEAPVIDNRTQQLLDDNAKLFHQIAINLENNEIQNNIDLLYHSNENLRAILNSMSGMPGIMSQMPPMPVHVNENLMHSILPGTSQAHAPGNSNFRDEPRCW >Ma04_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4212393:4214300:1 gene:Ma04_g05640 transcript:Ma04_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPPPMGTTAEINRDLIMDNMSSEKEISLEFVRESLMAISQCLPDIVTSDGSPVKPAAVAADACVDQNDGTGADDYMSKLMSISYMQSPDVKPSLPSVENPGS >Ma04_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20707261:20718663:1 gene:Ma04_g18640 transcript:Ma04_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFALALPGTTTRIPLSFSAFTAARSRRRRRDLFSSSSTTTFAMSSNPITPSPPQLQHQHQHQQESSSSSSSSAAAAAAVDAATRYHNRTKHSLTGGYARGPRGLDWANQPNPFLRFLSSPLLPLLHSPPEPHDDSPLYHSVFSSSLPPPQPFSHASISRLLYDSLSLSAWKSTGLSTWSLRVNPSSGNLHPTESHLLSPPLPLSQQQPSSPPPFSSSSFLAHYSPKDHSLELRASISPPDLPLHRSLLLAFSSILWREAWKYGERAFRYCNHDVGHALAATAVSAATLGWDVCLLDGLGHDDLHRLLGLDRSNPPSPDRLPDHPVRGRLPWVESQHPDCALLIFPFGETPPSVDYAELALALSRLPAMEWLGKPNSLSKDHVCWDVIYKTAEAVKKPPTYGEGFSVNPFHRSPLISPDLYKDMTVRQVVRKRRSAVDMDGVHVLEKDTFYQILLHCLPSGELGLGEKQGKQFTLPFRVLTWDAEVHAALFVHRVRDLPKGLYFLVRNEEHLDRLKSAMRSEFEWERPEGCPSGLPLYRLARGDCRELAKQLSCHQDIASDGCFSLGMVARFEPVLHEKGAWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDDVHEVLGLQGLEFQSLYHFTIGSPVLDKRILSLPAYPGPGIDA >Ma09_p30490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40590056:40594168:-1 gene:Ma09_g30490 transcript:Ma09_t30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNGCVAMKPGPCKAINYSNLSYFSHDRAFSASPKVKNLCAASQEFDPLPSRGCNVTHFSSVLSSFQSGHHRSLSSLVPVFPKLCTSGPRHRMVPRASKDVPLSFRYPPMTKKPRWWWRAVACIPYLMPLHETWMYAETAFHLHPFLEDFKFLTYPFLGSIGRLPSWFLMAYFFAAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKIGMHFWTAVAFGYLFTVLECMRCALGGMYADIPFVCDAAYIQIPYD >Ma09_p30490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40590056:40594179:-1 gene:Ma09_g30490 transcript:Ma09_t30490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILNGCVAMKPGPCKAINYSNLSYFSHDRAFSASPKVKNLCAASQEFDPLPSRGCNVTHFSSVLSSFQSGHHRSLSSLVPVFPKLCTSGPRHRMVPRASKDVPLSFRYPPMTKKPRWWWRAVACIPYLMPLHETWMYAETAFHLHPFLEDFKFLTYPFLGSIGRLPSWFLMAYFFAAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKIGMHFWTAVAFGYLFTVLECMRCALGGMYADIPFVCDAAYIQIPYD >Ma09_p30490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40590056:40594185:-1 gene:Ma09_g30490 transcript:Ma09_t30490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILNGCVAMKPGPCKAINYSNLSYFSHDRAFSASPKVKNLCAASQEFDPLPSRGCNVTHFSSVLSSFQSGHHRSLSSLVPVFPKLCTSGPRHRMVPRASKDVPLSFRYPPMTKKPRWWWRAVACIPYLMPLHETWMYAETAFHLHPFLEDFKFLTYPFLGSIGRLPSWFLMAYFFAAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKIGMHFWTAVAFGYLFTVLECMRCALGGMYADIPFVCDAAYIQIPYD >Ma05_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20130387:20138139:1 gene:Ma05_g17390 transcript:Ma05_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGETSSVASSPSSSVLATVTDGRPPLPVMALRDKIVAKILGNRVTLIIGDTGCGKSSQVPQFLLEENIEPILCTQPRRFAVVAIARMIAQARNCEVGSEVGYHIGHSNVSDISSTRSKIVFKTAGVVLEQMRDKGLTALKYKVIILDEVHERSVESDLLLACVKQLMMKNNDMRVVLMSATADITRYKDYFKDLGRDERVEVLAIPNAPQHSIFQRKVLYLDQVLYISIILSYLCWFNN >Ma07_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12079159:12082866:-1 gene:Ma07_g15880 transcript:Ma07_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRDLGLGFSRFLGSDGLLPSLGNRGIFKKVCSRFLPIDIALSGISLIKKKIVMASFTSDKKAVKVNPYVDWVNIKLDFDWKDVTCSICLDFPHNGVLLLCSSYDKGCRPFICDTDQNHSNCLERFKSAHGVPAVAKDISASNGVSMVCIQDISSSPSSQPTCPLCRGDVTGWLIIDEARVYLNTKKRCCEEKHCEYVGNFSELQKHAQLKHPHSRPSEIDPAQQLNWENFQQSSEIIDVLSTIHAEVPHGVVLGDYVIEYGDAEAGDEYEDFHRNRGKWWTSCISCRVFPKFRRNRQRSSGGVRSSHGSSSDGSYRGEGSSRSVDIREYRFAETDDELAWTGVGAATSLMIPSHYRYGRHQSRVYYR >Ma07_p15880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12078953:12082866:-1 gene:Ma07_g15880 transcript:Ma07_t15880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRDLGLGFSRFLGSDGLLPSLGNRGIFKKVCSRFLPIDIALSGISLIKKKIVMASFTSDKKAVKVNPYVDWVNIKLDFDWKDVTCSICLDFPHNGVLLLCSSYDKGCRPFICDTDQNHSNCLERFKSAHGVPAVAKDISASNGVSMVCIQDISSSPSSQPTCPLCRGDVTGWLIIDEARVYLNTKKRCCEEKHCEYVGNFSELQKHAQLKHPHSRPSEIDPAQQLNWENFQQSSEIIDVLSTIHAEVPHGVVLGDYVIEYGDAEAGDEYEDFHRNRGKWWTSCISCRVFPKFRRNRQRSSGGVRSSHGSSSDGSYRGEGSSRSVDIREYRFAETDDELAWTGVGAATSLMIPSHYRWEYGRHQSRVYYR >Ma07_p15880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12078953:12082866:-1 gene:Ma07_g15880 transcript:Ma07_t15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRDLGLGFSRFLGSDGLLPSLGNRGIFKKKKIVMASFTSDKKAVKVNPYVDWVNIKLDFDWKDVTCSICLDFPHNGVLLLCSSYDKGCRPFICDTDQNHSNCLERFKSAHGVPAVAKDISASNGVSMVCIQDISSSPSSQPTCPLCRGDVTGWLIIDEARVYLNTKKRCCEEKHCEYVGNFSELQKHAQLKHPHSRPSEIDPAQQLNWENFQQSSEIIDVLSTIHAEVPHGVVLGDYVIEYGDAEAGDEYEDFHRNRGKWWTSCISCRVFPKFRRNRQRSSGGVRSSHGSSSDGSYRGEGSSRSVDIREYRFAETDDELAWTGVGAATSLMIPSHYRWEYGRHQSRVYYR >Ma05_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3419080:3424406:-1 gene:Ma05_g04480 transcript:Ma05_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREGLELSDSEEEEEEEQEEQEQETAGQPRDRVEAAGRSGEALTPAASLDEVDRKLQSLKLKYSNPSPSPQTRSPKDALKLYLHIGGSTPTARWVISDKLASYAFCRPSLSDDSDGEDNPSSPWFLRIGAKVRARVGPELQLKSFPDQRRVDFVADGVWAIKFPNLEGYRAFQSQYQSCLFENTYGVEANEENKMKVFGKDFMAWAQVDAEEDSIWADAEDSLEKSPEPLSTPSRVKQDLLEEFEEEANEGGIQSLALGALENSFLVSNSGIQVLRNFSHGVHGKGISVKISDNTGRAGGYSTPKKALLMRAETNMLLMSPAQAGKPHASGVHQVDIETGKVVTEWKFEKDGTDITMRDIANDSKGAQLDPSESTFLGLDDSRLCRWDMRDRRGIVQNLANAMESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTFDGKWILGTTDTYLILISTVFKDKDGKEKTGFAGRMGNRIAAPRLLKLTPLDSHLAGENNKFHGGQFSWVTENGKQERHLVATVGKFSVIWNFQQVKISNHECYKNQEGLKSCYCYKVVPKDESIVDSRFMHEKFAVSESPEAPLVVATPMKVSSFSISSRR >Ma07_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30351535:30356133:1 gene:Ma07_g22370 transcript:Ma07_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLTGVITEILIPVAAVIGIAFALLQWMLVSAVKLSPERQTPAGGNKKNGFAADFLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGVFMAVFAVLIFLFLGSVEGFSTKSQPCTYSKDKFCKPALANAVFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTAMCYPLLISSMGIIVCLITTLFATDFFEIKAVKDIEPALKRQLIISTALMTVGIGIVSWIALPSSFTIFNFGEQKKVKNWELFFCVAIGLWAGLVIGFVTEYFTSNAYSPVQDVANSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGRAALEMVEEVRRQFNSIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARALGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKIL >Ma05_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2660852:2671096:-1 gene:Ma05_g03630 transcript:Ma05_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSVVEEEEAFEHTLLVVREVSVYKIPPRSSSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPGSGELFAACFVRLGQRENSVETVLDSSRYFVLKIEDGQGKHAFIGLGFNERNEAFDFNVALSDHEKYLRREHEKETSVDTDESHIEIHPAVNHRLKEGETIRINVKNKPASGGGMLSAAGLSAGASSKHKATTFLAPPPGGARKLRSPLPPPPNDPATARMTLGHNSGLNPPKDSARRPGDSLQDLSSIERSLPSTTGSGSTKSTASGWAAF >Ma01_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5970508:5974436:-1 gene:Ma01_g08330 transcript:Ma01_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYLQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGMLYPTENYKVYGYLTNTKVKFLMVTTDLDVKDADVRSFFRRFHAAYVDAVSNPFHVPGKKITSKAFAERVSGIVKSFGPVTTG >Ma06_p29470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30842143:30842596:1 gene:Ma06_g29470 transcript:Ma06_t29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFSIDEDSLLIAQCK >Ma03_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6929178:6930707:-1 gene:Ma03_g09370 transcript:Ma03_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDCWDLPPGFRFHPTDEEIITHYVMQKIINHCYTARAIGEVDLNKCEPWDLPSKAKMGGKEMYFFCQKDRKYPTGMRTNRATEAGYWKATGKDKEICRGKGVLIGMKKTLVFYKGRAPRGDRTNWVMHEFRLEGKYPLSNLPNSAKDEWVVCRVFYKHAGLKKSSPPAINSNGDDLKDFSALPPLMDPPCMDSNMRPDSSFIHHDQLYDFKAIPPAFFTAMAAEDQQVMNHQMSSSNPPQNSVLHYPLLVSAKPGYLHHDEAMPSEVAAAAMRKHCQSIGCPSQETGVSTDHNTEISSIMSKHYEDFEEPWASFGF >Ma07_p28570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34572055:34580240:-1 gene:Ma07_g28570 transcript:Ma07_t28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 16 [Source:Projected from Arabidopsis thaliana (AT4G34910) UniProtKB/Swiss-Prot;Acc:Q9SW44] MARENPSDGKEEEEEDVGFEELGLDPRLTRALSKKGIAKATPIQREAIPLILEGKDVVARAKTGSGKTFAYLLPVLQKLFSESGLGKSAPSVFVLVPTRELCQQVYLEASSLLEFCRVQLRVVQLTASMPIMDMRTALAGSPDIVVSTPASISTCIAKGALQTSSIKDSLSMLVLDEADLLLSYGYEDDLKSIIAHVPRRCQCLLMSATSSSDVEKLKKLVLHNPVILTLSEVGQSKDDIIPKNVQQFVISCSDRDKLLHLLALLKLELVQKKVLIFVNSIDNGFRIRLFLEQFGIRSSVLNAELPQNSRLHILEEFNAGLFDYLIATDDSQRKGKEQTLTENKMSSKKSKKQLRQKIDAEFGVVRGIDFKNVFTVINFDMPRGPAGYVHRIGRTGRAFNTGVAVSLVSLAEEGILEEVKSMFGDGDNEELSNCIVPFPLLTKNAVESLRYRAEDVAKGVTKIAVRESRAQDLRNEILNSEKLKAHFEDNPKDLDLLKHDKLLSKKAPPSHLREVPEYLVDLTTKEASKILKLTRAAMGIKTSKKRPGFRRGLGRSRDPLKTFSAESRRKGRKGGNKRKESNNEASNQGRKKARHAA >Ma02_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24260117:24262024:-1 gene:Ma02_g17290 transcript:Ma02_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINILMHDPCDVQTLSWHGNITRLQEMDCHERRTEMTPRSVHLLLSLLPCLSILSASSLPDPESFLLCLSSTASPSTDWSHLLYLPNSPSYFSLLNSSIQNLRFTSPETPKPLLIIAPTDSSQIQALVICCRDHGLPIRVRSGGHDYEGLSYRSEKKSSFVLVDLADLRSVSVDVEHAVAWVEAGATVGELYYRIAEHSGTLGFPAGVCPTVGVGGHLSGGGFGLLWRKYGLAADNVLDAKVIDVDGRILDRESMGEDLFWAIRGGGGASFVVIVSWKVRLVPVPATVSMFTVHRTSEQGAIELMNKWQNIAHLLHDDLLLRVNIAQTEGAKRRVEAVFMSMFLGDCEGLLQHMGHSFPELGVERDDCREMTWIESAVHAAGHTNREPIEILTDRGLQPKIFNKGKSDYVTEPIPMTGWEAIWGRISEEKAGSMRIDPCGGRMSEIEESQTPYPHRKGNLFIIHYLSIWKDGGVAESKQHLDWSRRMYRFMTPYVSKHPRAAYVNFRDLDLGKNDGSRSYSEAKVWGEKYFKNNFRRLAMVKGVVDPGNFFSHEQSIPPLVVETEKMSQ >Ma03_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4173835:4174226:1 gene:Ma03_g06050 transcript:Ma03_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHSETWKHSELERSPRQYRVQKGNGGTIYSERWNPTPQEQEAICNKLVPLLSSLTVDCDPSLLPPLATVKLCDMQEETNSNDLSILMNPVE >Ma11_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3538528:3544810:-1 gene:Ma11_g04540 transcript:Ma11_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDAAAGEYAAAKTSVWWDIENCQVPRACDPHLIAQNISSALAAMGYRGPVLISAFGDTNKITPSVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPPPANYLLISGDRDFSNALHQLGLRRYNILLAQPPNVSQALVAAAKSVWNWKDLVSGGKPLHESPYISKTSGGTSLTEASLSGSPAGKSDNWCKGKSKRCPNPIQPNSDLTTISGNTFMQPPPVSHDILTNSNVPQFNNSMKNTDQMHIPTMPNMKAQESSYLNHATNFFTQLPHQMLPSEANYSCQSEAVSFKEAPHRFFQGNQPKSSNGAVADYAPPHPYFSMKDGKDFSNNDKSRWPHPLRPSDLLPPQPSTRPGNLSSSNSQKYNLNAIPYRPSGAAFTSPQSWIGGPPFSSGNLPEFSRVSISDDPSGGHHNSSSFKSNPKPNISVSDHYGPQSYQTTYEEHVHRQPTNTRDSNLSKDELWGKPGCLSVPTEVQNVLCALRILKTDKMVPNEANIADCIRYGEMNIQNFNIGMALSYAVHHQLLVMHKLGGNLPFYVEKNDVLWKCINPIDINAKHPKATWDAVLRFLSSTAGRASMMTSQCRYQAAIIVRNSCLNHLVLGEILQILHVTVSVKRWITPHPSGWQPLSFHLPDTDKNTDAGASTTY >Ma11_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19109333:19113572:1 gene:Ma11_g13970 transcript:Ma11_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGEGSRWCFCSGVGRSERVKDGIVSSKGPALAAISVAEGGSGGGGLGTGFLIHRNLLLTTHANLPSAPVAEAAEIRLCHGRLPARLVPQRFFITSSILDLSIVGLDILDGDSASQVQQPHYLKTCCHPNLDLGNLVYVLGHTDGKELTVGEGKVVIATDNLIKLSTDGVTWCPGSAGFDFQGNLAFMVCDPMKLASSPTGRSSASSSSSLTWKKDAPMQFGIPISVIVDWLHQHWEGSLDEVSKPKLSIIRLMSTGQKNDHSCASFTLRRFLKASEELNHDDSSSSPVDRRSKYQLGPSCSSNSNAIFSHDEEPVVNLHCNHEQGIPTPEIFESPKLISDPPQKKEHAPIQLLDINFPPKAPRSIFLSLPRKPLLSDGNNMRGPATEKISRENGFSANDAPQASWEAAYKLPPVGTWQEDHYSVQSSSSPLEISELQNEDHGCSSMEQTMYSAETMESRNIPSPRKAKLQQVGRSHSCVSYSRWTSSQGPSTAREAVLRKQHTSIPARKTCSQTLALPRKSHDYYSSTVSSSMKKRNGSENPRRPCQNAVQMSPRWMF >Ma11_p13970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19109333:19113572:1 gene:Ma11_g13970 transcript:Ma11_t13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGEGSRWCFCSGVGRSERVKDGIVSSKGPALAAISVAEGGSGGGGLGTGFLIHRNLLLTTHANLPSAPVAEAAEIRLCHGRLPARLVPQRFFITSSILDLSIVGLDILDGDSASQVQQPHYLKTCCHPNLDLGNLVYVLGHTDGKELTVGEGKVVIATDNLIKLSTDGVTWCPGSAGFDFQGNLAFMVCDPMKLASSPTGRSSASSSSSLTWKKDAPMQFGIPISVIVDWLHQHWEGSLDEASEELNHDDSSSSPVDRRSKYQLGPSCSSNSNAIFSHDEEPVVNLHCNHEQGIPTPEIFESPKLISDPPQKKEHAPIQLLDINFPPKAPRSIFLSLPRKPLLSDGNNMRGPATEKISRENGFSANDAPQASWEAAYKLPPVGTWQEDHYSVQSSSSPLEISELQNEDHGCSSMEQTMYSAETMESRNIPSPRKAKLQQVGRSHSCVSYSRWTSSQGPSTAREAVLRKQHTSIPARKTCSQTLALPRKSHDYYSSTVSSSMKKRNGSENPRRPCQNAVQMSPRWMF >Ma04_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16528120:16531158:1 gene:Ma04_g16740 transcript:Ma04_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPLPLALPNSGQSQVVCCGCRNLLIYPGGATSVCCAVCSAVTTVPPPGFLEFSAGTEMAQLICGSCHTLLMYVRGASRVQCSCCHTVNLAPEANQVAHVNCGNCHMLLMYQYGARSVKCAVCSFVTSVGASPSTEQKPGN >Ma04_p16740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16528114:16531158:1 gene:Ma04_g16740 transcript:Ma04_t16740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPLPLALPNSGQSQVVCCGCRNLLIYPGGATSVCCAVCSAVTTVPPPGFLEFSAGTEMAQLICGSCHTLLMYVRGASRVQCSCCHTVNLAPEANQVAHVNCGNCHMLLMYQYGARSVKCAVCSFVTSVGASPSTEQKPGN >Ma04_p16740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16528114:16531158:1 gene:Ma04_g16740 transcript:Ma04_t16740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPLPLALPNSGQSQVVCCGCRNLLIYPGGATSVCCAVCSAVTTVPPPGTEMAQLICGSCHTLLMYVRGASRVQCSCCHTVNLAPEANQVAHVNCGNCHMLLMYQYGARSVKCAVCSFVTSVGASPSTEQKPGN >Ma07_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9400237:9401419:1 gene:Ma07_g12490 transcript:Ma07_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATATTALVFALLASVVFRGSYGGRTLSALVEEQPLAMTYHKGALLAGNVSVNLIFYGKFTASQRAIISDFVTSLSPLPHQKDSLEPSVATWWKTLAKYYAASRTSFPKLGLGKQVVDEKYSLGRSLRDTDLAKLAARGAPRDAVNVVLTAEDVAVEGFCMSRCGTHGASRRSRAGRSAYVWVGNSATQCPGQCAWPFHQPMYGPQAPPLVAPNGDVGVDGMIINLAGLLAGTATNPFGNGYFQGPKEAPLEAATACPGVYGKGAYPGYAGYLLVDPVTGASYNAHGARGRKYLLPALFDPSTSSCTTLV >Ma09_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29294159:29313435:-1 gene:Ma09_g20410 transcript:Ma09_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G58940) UniProtKB/Swiss-Prot;Acc:Q9FIL7] MQRRSSSQPRGPNPRAVDSRASFIATPDRWETSRSSAASFASSAATAGSTSSAPKRSSFKAFLKAIVLFFSTTKPGITSARPKTTRSLESPYATPVRNFHGSLGTSKNGACHGGDSPWRKETGTMQFNMEEILQATKNFSPSLKVGQGGFGTVYKGTLDDGTLIAVKRAKTSMHDNHVSVEFQSEIKILGQIEHFNLVRFFGYLEDNDERVIVVEYVPNGTLREHLDGFRGSYLDLSSRLDIAVDVAHAVTYLHMYADNPIIHRDIKSSNILLTENLRAKVADFGFARLGVNEAGVTHISTQVKGTAGYLDPEYIRTYQLTDKSDVFSFGVLLVELISARRPIERKRILKERVTIKWAMKKFREGKTIQILDPNLPQTPANNLALEQIIELAVQCLAPFRQSRPCMRSCAEILWNIRKDHRELLSSDPLSQTSHQRTLPSAATRVIFK >Ma04_p38270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35877136:35878947:1 gene:Ma04_g38270 transcript:Ma04_t38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPALVEPSVDVDKLSYEIFSILESKFLFGIDDPKLLLSSSSSSASPADAPVPRPAAPGGKVRILSIDGGGRSSDALLAAASLARLESSLRSRSGDPSARVADFFDVAAGSGAGGVLAALLFTRGPDGRPLLAADEALRFLLERSGRSFVPVRRGPLGWLLHWRPGAALRRVFGDATLRDTVKPVLVPCYDLATGAPFLFSRADAVEADGFDFRIREVCAATCADPAAGAAPVEMRSADGRTRIAAVGGGVAMGNPAAAAITHVLNNKQEFPFAAGVEDLMVLSLGSTVGCVGPEGGAGRRRLVPSPSELVRIAGEVVADMVDQAIAMAFGHHRTNNYVRIQVNGYESSNYASKINNVIKSLSSLEEKLSERNIESMLFRGKKFSEQTNIEKLEWFAGELIKEEEKRKKSQIPIVVLKQVMTPRTSSTTTSTIITTTTTITTSPSR >Ma05_p20860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32567885:32573462:-1 gene:Ma05_g20860 transcript:Ma05_t20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSMKDTDKCLDSQLWHACAGGMVRMPAMNSRVYYFPQGHAEHSQGVVDFGNLQRIPPFILCQVTTVKFMADLETDEVFAKIRMAPIRSGIPDSIEDEGLVPEMNEIDVHEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQNVIAKDVHGKVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGGVGDSPATQSAWSPQGRNGASSYGGLSAVLTEEESKLMRGNDSHSVFSRGIRGRGQVRSDSVVEAATHAANGQPFEVVYYPRASTPEFCVKAAAVKAALRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVNPWLVELASNMPAINLGHLPPPIKKQRVLQQPPFEGQFPVPMVSGTPSVPRGSPYCCFSDSAPAGIQGARHAQFDISLSDLHLNKLQTGLFHAGFHRLDHATPPSRISTGVIVGNPTIHDKVSCLLTSGHPSQGLNKSCNRKLPQLVLFGQPILTEQQISLCKSETMIFDDVTGTSSSDGNLEKATNVSDGSGFAINHKGPLEAFAHRRDHRALELIIEAEHCKVFMESEDVGHSLDLSVFGSYEELYERLGDMFGIEKSEMITHMFYKDAAGALKHAGDEPFSNFMKTARRLTILTDSGNATQQGRESLLPIV >Ma05_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32568825:32573446:-1 gene:Ma05_g20860 transcript:Ma05_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSMKDTDKCLDSQLWHACAGGMVRMPAMNSRVYYFPQGHAEHSQGVVDFGNLQRIPPFILCQVTTVKFMADLETDEVFAKIRMAPIRSGIPDSIEDEGLVPEMNEIDVHEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQNVIAKDVHGKVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGGVGDSPATQSAWSPQGRNGASSYGGLSAVLTEEESKLMRGNDSHSVFSRGIRGRGQVRSDSVVEAATHAANGQPFEVVYYPRASTPEFCVKAAAVKAALRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVNPWLVELASNMPAINLGHLPPPIKKQRVLQQPPFEGQFPVPMVSGTPSVPRGSPYCCFSDSAPAGIQGARHAQFDISLSDLHLNKLQTGLFHAGFHRLDHATPPSRISTGVIVGNPTIHDKVSCLLTSGHPSQGLNKSCNRKLPQLVLFGQPILTEQQISLCKSETMIFDDVTGTSSSDGNLEKATNVSDGSGFAINHKGPLEAFAHRRDHRALELIIEAEHCKVFMESEDVGHSLDLSVFGSYEELYERLGDMFGIEKSEMITHMFYKDAAGALKHAGDEPFR >Ma05_p20860.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32567885:32573463:-1 gene:Ma05_g20860 transcript:Ma05_t20860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSMKDTDKCLDSQLWHACAGGMVRMPAMNSRVYYFPQGHAEHSQGVVDFGNLQRIPPFILCQVTTVKFMADLETDEVFAKIRMAPIRSGIPDSIEDEGLVPEMNEIDVHEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQNVIAKDVHGKVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGGVGDSPATQSAWSPQGRNGASSYGGLSAVLTEEESKLMRGNDSHSVFSRGIRGRGQVRSDSVVEAATHAANGQPFEVVYYPRASTPEFCVKAAAVKAALRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVNPWLVELASNMPAINLGHLPPPIKKQRVLQQPPFEGQFPVPMVSGTPSVPRGSPYCCFSDSAPAGIQGARHAQFDISLSDLHLNKLQTGLFHAGFHRLDHATPPSRISTGVIVGNPTIHDKVSCLLTSGHPSQGLNKSCNRKLPQLVLFGQPILTEQQISLCKSETMIFDDVTGTSSSDGNLEKATNVSDGSGFAINHKGPLEAFAHRRDHRALELIIEAEHCKVFMESEDVGHSLDLSVFGSYEELYERLGDMFGIEKSEMITHMFYKDAAGALKHAGDEPFSNFMKTARRLTILTDSGNATQQGRESLLPIV >Ma05_p20860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32567885:32573442:-1 gene:Ma05_g20860 transcript:Ma05_t20860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSMKDTDKCLDSQLWHACAGGMVRMPAMNSRVYYFPQGHAEHSQGVVDFGNLQRIPPFILCQVTTVKFMADLETDEVFAKIRMAPIRSGIPDSIEDEGLVPEMNEIDVHEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQNVIAKDVHGKVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGGVGDSPATQSAWSPQGRNGASSYGGLSAVLTEEESKLMRGNDSHSVFSRGIRGRGQVRSDSVVEAATHAANGQPFEVVYYPRASTPEFCVKAAAVKAALRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVNPWLVELASNMPAINLGHLPPPIKKQRVLQQPPFEGQFPVPMVSGTPSVPRGSPYCCFSDSAPAGIQGARHAQFDISLSDLHLNKLQTGLFHAGFHRLDHATPPSRISTGVIVGNPTIHDKVSCLLTSGHPSQGLNKSCNRKLPQLVLFGQPILTEQQISLCKSETMIFDDVTGTSSSDGNLEKATNVSDGSGFAINHKGPLEAFAHRRDHRALELIIEAEHCKVFMESEDVGHSLDLSVFGSYEELYERLGDMFGIEKSEMITHMFYKDAAGALKHAGDEPFSNFMKTARRLTILTDSGNATQQGRESLLPIV >Ma05_p20860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32567885:32573442:-1 gene:Ma05_g20860 transcript:Ma05_t20860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSMKDTDKCLDSQLWHACAGGMVRMPAMNSRVYYFPQGHAEHSQGVVDFGNLQRIPPFILCQVTTVKFMADLETDEVFAKIRMAPIRSGIPDSIEDEGLVPEMNEIDVHEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQNVIAKDVHGKVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGGVGDSPATQSAWSPQGRNGASSYGGLSAVLTEEESKLMRGNDSHSVFSRGIRGRGQVRSDSVVEAATHAANGQPFEVVYYPRASTPEFCVKAAAVKAALRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVNPWLVELASNMPAINLGHLPPPIKKQRVLQQPPFEGQFPVPMVSGTPSVPRGSPYCCFSDSAPAGIQGARHAQFDISLSDLHLNKLQTGLFHAGFHRLDHATPPSRISTGVIVGNPTIHDKVSCLLTSGHPSQGLNKSCNRKLPQLVLFGQPILTEQQISLCKSETMIFDDVTGTSSSDGNLEKATNVSDGSGFAINHKGPLEAFAHRRDHRALELIIEAEHCKVFMESEDVGHSLDLSVFGSYEELYERLGDMFGIEKSEMITHMFYKDAAGALKHAGDEPFSNFMKTARRLTILTDSGNATQQGRESLLPIV >Ma06_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4577588:4580817:-1 gene:Ma06_g06270 transcript:Ma06_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKNFLYKIVSLILEKILFSKYDLSIMLYFGLSILTSYIRPILDYNNFFFLFITYGWRCWLSCLLGSREAERRHRRRLSTRPPIFLLLFTTPGLLSSLSAKMRECISIHIGQAGIQVGNACWELYCLEHGIQRLDLCVLGNLYSDLEFLASCITVGFVFIRCGADPFCASPIYLSLCFDLFSEVDLPQIVDTKSNVSGRIFTELDVDLMMILNFICANCAYQKNYFEISNHIYCFAVTRTLQTELFPSIPDLSLRYILCCLELLKPYSLLQLDGQMPSNESREAYSYKSILILFTFDVGLIRICYIFSAVGGGTGSGLGSLLLKRLSVDYGKKSKLGCIVYPSPRGLRLWVDPYNSVLSTHSLLEHTDVAVTNLNRLVSQVISSLTASLRFDGAVNVDVTEFQTNLVPYPRIHFMLSHPMPPSSLQRRLTHHEQLSVAESPTDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGG >Ma01_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1291495:1294459:1 gene:Ma01_g01920 transcript:Ma01_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVLGGYLTPSAITFWDFFSVNSQLRVQFKRTPFICSSKGLTE >Ma03_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27601555:27605881:1 gene:Ma03_g22890 transcript:Ma03_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSAFLFHFLATLLLLLLSVTPISSSLSPESKVLLEIKGQLNDPMNQLESWNESKSPCEFAGVHCNIGTGHVVGISLPSISLSGNISPSISLLRSLATLELGGNTISGVVPLALANCTSLRVLNLSTNSLSGLLPDLSALRNLQVLDLSTNGFTGEFPAWVGKLPGLVELGLAENDFDAGEIPESIGHLKNLTWLFLAQCNLQGEIPASIYGLTKLGTLDLSRNQISGQVSKAISNLSSLYKIELYQNNLTGEIPPELANLTLLREFDVSRNQLTGKLSPEIGNLKELTVFHLYTNSFWGELPRGFGNLEHLVSFSVYGNNFSGEFPSDLGRFSPLNKIDISENSFSGDFPRFLCHNNNLQYLLALDNDFTGQFPGSYAECKTLVRFRISQNGFTGGIPSGIWGLPSAVIIDVADNEFIGGVSSDIAMSASLTQLYVQNNRFSGELPAELGDLPQLQKLFAFNNSFSGRIPSRFGDLKQLSSLHLEDNELTGTIPSELGLCDSLVDLNLAQNSLTGDVPATLALLNSLNSLNLSQNHITGSIPDGLQALKLSSIDLSDNQLSGRIPPDLLLIAGDEAFSGNKELCTDRAMHTEFSFCNLSHSHTDVTRKHMYLVITIAFAMIILLAGLIFVSYQSFELEEQNRRRDLEEGKEKDSEWMLESFYPTELDPEEIINLDEENLIARGGTGKVYRLDLSKNRGTFAVKAVVGTKIVTAEIDIMGKIKHRNILKLFACLTGRESSYLVFEYMANGNLYQALRREIKGGLPELDWNKRYKIAVGAAKGIMYLHHDCSPTIIHRDIKSSNILLDEEYEARIADFGIAIVVEGSESSCFAGTHGYIAPELAYCPKVTEKSDVYSFGVVLLELITGRGPTDPQFGGRDIVSWISSHVDGQNLAEVYDHRVPSSAEEDMGKVLKIAILCTTKLPSCRPTMREVVNMLIDADPCSVVNGVRSISKNC >Ma06_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5143727:5153153:-1 gene:Ma06_g07230 transcript:Ma06_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEKAIGSEDQFMRHQMPPPADGAEAEYQSEVRELVDLLSKLNPAAKEFVPSSNPAPAARLSAVTPFFMASGGFYSNGLLCNLDGGMDSISDGSSNNQPNRRRRYGHNQGLRRMNDRLWKAEREESVKRTVYISDVDRHVTEEKLAEIFATCGQVVDCRICGDPHSVMRFAFIEFSDEDGARTALNLGGTMLGYYPVKVLPSKTAIMPVNPKLLPRSEDEKEMVIRTVYCTNIDKKVTQPQVKAFFEQSCGEVSRLRLLGDNIHSTRIAFVEFAQAESAISALNCSGVALGGLPIRVSPSKTPVRPRST >Ma06_p07230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5143727:5153153:-1 gene:Ma06_g07230 transcript:Ma06_t07230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEKAIGSEDQFMRHQMPPPADGAEAEYQSEVRELVDLLSKLNPAAKEFVPSSNPAPAARLSAVTPFFMASGGFYSNGLLCNLDGGMDSISDGSSNNQPNRRRRYGHNQGLRRMNDRLWKAEREESVKRTVYISDVDRHVTEEKLAEIFATCGQVVDCRICGDPHSVMRFAFIEFSDEDGARTALNLGGTMLGYYPVKVLPSKTAIMPVNPKLLPRSEDEKEMVIRTVYCTNIDKKVTQPQVKAFFEQSCGEVSRLRLLGDNIHSTRIAFVEFAQAESAISALNCSGVALGGLPIRVSPSKTPVRPRST >Ma11_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14433631:14437362:-1 gene:Ma11_g11610 transcript:Ma11_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRGVSAIVFVVDAADHDNLPISKSELHDVLSKPSLSGIPLLVLGNKIDKPEALTKQDLTDRMGLKSIADREVCCFMISCKNSNNIDSVIDWFVKHSKSKN >Ma06_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16249762:16262789:-1 gene:Ma06_g21810 transcript:Ma06_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINPTDAQRSAISHPARSSPNPRLHHGSPPDPRRPLDACVESLPSGGLGPAGSAPASTSGSHVLAPANASESAAPAGGNSYNSTRKVIGSRVSGTKKVSCCKKSGTAYECQLGREEAASQFRSNQFGPSQGNERPPANAKFVHSGNLATRPSGLHGNAGQSATRRNNVINANHLLNFYYDPISRSEPRIPPRRQQKIKPYNKDLFLQANYKFVVLDRGGCEIKSMDPDKMLHWEEVICVRYSTPLLVQCPICLDTPLCPQITSCGHIYCFPCILRYMLMGEENHKGECWKKCPLCFTVISTKDLYTVLIENVKQFGVGDHAHFTLLTRPKVSVFPVLKKKQVESSTPCTTDGLCDLFSKFVLTSDVELSVREAKSDLYDWLHKADSGLVDDLEKLPYVCAALEQLEERMKAWMEQQTDSTIPLRDHVTPSVNSKTSLSSKNRNSHALNLTSNLGNAESYAYESGIGMVCYIPDHEKTGSSTGNAESYAFADSTLLPLAANSEQLENSNEVPLSHNEDESFQQEEMTSQRNSHSCSGGTERDSYTFYQATDGQHLILHPLNMKCLLHHYGSYDLLPPSISGEILELETVTQSEAMRRRYRYLSHFSLTTTFQLCEIDLRDLLPSSSLAPFLDEMKKREKQRHRLARKENDERARAEAASAQLNLISSAQRQLTHKDVVFSSDDFEALGANIAPSSSPPTGERKLFSDVTRLGFAAAHDSPSLRAEESADVSGDVAEASHVYAPRPMTTSSFATILSSACDVESSEQQTSAQGKKGKKPNRVLLSTASSRRY >Ma06_p21810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16249768:16262789:-1 gene:Ma06_g21810 transcript:Ma06_t21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSINPTDAQRSAISHPARSSPNPRLHHGSPPDPRRPLDACVESLPSGGLGPAGSAPASTSGSHVLAPANASESAAPAGGNSYNSTRKVIGSRVSGTKKVSCCKKSGTAYECQLGREEAASQFRSNQFGPSQGNERPPANAKFVHSGNLATRPSGLHGNAGQSATRRNNVINANHLLNFYYDPISRSEPRIPPRRQQKIKPYNKDLFLQANYKFVVLDRGGCEIKSMDPDKMLHWEEVICVRYSTPLLVQCPICLDTPLCPQITSCGHIYCFPCILRYMLMGEENHKGECWKKCPLCFTVISTKDLYTVLIENVKQFGVGDHAHFTLLTRPKVSVFPVLKKKQVESSTPCTTDGLCDLFSKFVLTSDVELSVREAKSDLYDWLHKADSGLVDDLEKLPYVCAALEQLEERMKAWMEQQTDSTIPLRDHVTPSVNSKTSLSSKNRNSHALNLTSNLGNAESYAYESGIGMVCYIPDHEKTGSSTGNAESYAFADSTLLPLAANSEQLENSNEVPLSHNEDESFQQEEMTSQRNSHSCSGGTERDSYTFYQATDGQHLILHPLNMKCLLHHYGSYDLLPPSISGEILELETVTQSEAMRRRYRYLSHFSLTTTFQLCEIDLRDLLPSSSLAPFLDEMKKREKQRHRLARKENDERARAEAASAQLNLISSAQRQLTHKDVVFSSDDFEALGANIAPSSSPPTGERKLFSDVTRLGFAAAHDSPSLRAEESADVSAPRPMTTSSFATILSSACDVESSEQQTSAQGKKGKKPNRVLLSTASSRRY >Ma02_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24069939:24074883:1 gene:Ma02_g16990 transcript:Ma02_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSAAARHVAVIAGHLNCPSPSAAAPLALAACLHYDPPELSEKPPAFDLAALRRVLDGHDLAARDWLFRLMEESPLFCPRRRGDRTFVAPDYNQTKEQQRAVTMRRIEYLLRRGVFEGWITGSGPEAELRKLAFFECIAIYDHSLSVKLGVTFFLWGGAIRFFGTKRHHDKWLKATEDYQVKGCFAMTELGHGSNVRGIETIAIYDTNTREFVINTPCESAQKYWIGGAANHATHTIIFSQLLINGTSQGIHAFVAQIRDADGNVCPNVRIADCGHKIGLNGVDNGRIWFDNLRVPRENLLNSVADVLPDGQYVSSIKDKDKRFAAFMAPLTFGRVNIAATAVYISKVALAITVRYALTRRAFSISANGPEVLLLDYPSHQWRILPLIAKTCAMSSAANYLKRLYVKRTPETNKSIHIYSSSLKATLTWHNMRALQECRKACGGQGLKTENRIGILNGEYDVQSTFEGDNNVLMQQVSKALLAEYLAAEKMKSSFEGLGLEHMNDPCPIIPANLTSCTLRSIKFQLDLLCLRERDLLGRYASEVSQYQAQGESKQKAMLLSHQLADELARAFTERTILQIFADDEMTQSAGPLKELLGLLRSMYALICVEEDASFLRYGYLSLESAAAARKEVMKLCGDLRPHALSVVSSFGIPDAFLSPIAFDWIQANSWASLSNE >Ma04_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9530252:9544005:1 gene:Ma04_g12630 transcript:Ma04_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLRPFSSPPRLSLPAPRAGCCVPSQHFIQKTRNITVRCNVGGVKWDPSDHHLRHSDRKFVPGTSYPCHVNATSGHPFGSQPEAYNPTNSWKSALASVDAFYRFSRPHTVIGTIMSIISVSLLCVESSSDISPVFLTGLLQAVVAALFMNIYIVGLNQVFDIEIDKVNKPNLPLASGEYSLRTGVAIIWTFAAMSFGVAWIVGSLPLFWALFVSFILGTAYSINLPFLRWKRFAVIAALCILAVRAVVVQLAFFLHMQTFVFGRSANLSRPLIFATAFMSFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQRRVFWICVYLLEMAYSVAVAIGATSSCLWSKFITILGHAILASILWNRARTLDLMNKAAITSFYMFIWKLFYAEYLLIPLVR >Ma01_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20800179:20821732:1 gene:Ma01_g21230 transcript:Ma01_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGDGAYSSRTAEDVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMDEKDWLALVAVHSDAWLLAVAFYFGTRFGFDKESRRRLFNMINNLPTIYEVVTGTTKRQFKEKTSNSSSKNKSSSKMQSRPAESQTKALRMPTPKEDEDSGADEEEDEQEHENTLCGACGDNYANDEFWICCDVCERWFHGKCVKITPARAEHIKQYKCPSCSNKRARA >Ma01_p21230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20800179:20821732:1 gene:Ma01_g21230 transcript:Ma01_t21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGDGAYSSRTAEDVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMDEKDWLALVAVHSDAWLLAVAFYFGTRFGFDKESRRRLFNMINNLPTIYEVVTGTTKRQFKEKTSNSSSKNKSSSKMSRPAESQTKALRMPTPKEDEDSGADEEEDEQEHENTLCGACGDNYANDEFWICCDVCERWFHGKCVKITPARAEHIKQYKCPSCSNKRARA >Ma04_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16486206:16525964:-1 gene:Ma04_g16730 transcript:Ma04_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGVLPDDAVASTKSLAAPVKSAVDKFQLLPAFLRVRGLVKQHIDSFNYFINHDIKKIMKANDLLVCRHDPTFYLRYTNIEVGEPSVDVDFSIEKLTPHLCRLSDRTYAAPIKVDIEYTKGNQPNKTPEVKRGLVIGRMPIMLRSCRCALSGKDEAELSKLGECPLDPGGYFVVKGTEKVILIQEQLSKNRIIIDTDSKGRVTASVTSSTHETKSKTVFFMDKDKIYLQLNQFSKPIPIMVIMKAMGMESDQEIVQMVGRDPRYGGLLLPSIQECASERIYTQQQALDYLDGKVGSSNSARAGNRKEGLAKAILLDVFLANVPVFRGNFRPRCIYAAVMLRRMLDAILNTDTFDDKDYVGNKRLELSGQLVSLLFEDLFKSMNAFALELVNRAYEHASRSSPLDFIHYIKQDFITHGLEKAISTGNWDLKRFKMHRKGVSQVVSRLSYIAALGHMTRISPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEGCGLTKNLALMTHVTTDEEEGPLISLCHSLGVEDLGLQSGEELHALRSFLVIFNGLILGKHRQPQRFASAMRKLRRCGKIGEFVSIFVNEKQHCVYVASDGGRVCRPLVIADKGISRIKEHHMKELRDGVRTFDDFLRDGLVEYLDVNEENNALIALYECVNDMDDSEDDIKKSCITHIEIEPLTLLGVCAGLIPFPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRADTLLYLLVYPQRPLLTTKTIELIGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYSVLKEIYGNGTSDRIVRPRKDKDNQRMQILDGDGIAAPGEIIRNNDIYVNKETPINTRDKTGGPMGLKDEDYKRTPAVYKGPEGETTVIDRVILCSDTNDKLCIKFIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGRFHYGSAFGEPSGNADKVEDISYTLVKHGFSYSGKDFIYSGITGYPLQAYIFMGPIYYQKLKHMVLDKMHARASGPRVMLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLMLSSDPYQVQVCRKCGLLGYYNYKLKTAFCSMCKNGENISTMKLPYACKLLFQELQSMNVVPRLKLVEA >Ma05_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1414014:1414449:1 gene:Ma05_g02220 transcript:Ma05_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVLLRRLHRERERERAIGVSSSIMVRRLPSLMSSVDKIGSGDCLNRHRQVSATRKCTQERILRTPGRSQTHLYHLFW >Ma06_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3845358:3846086:1 gene:Ma06_g05180 transcript:Ma06_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGQGAMPTPSPTPATNPPQADVPPAAVGRQQQGYAVELYFDPALENQVLKAWNVLARRQISTQLIEMAARPHLTLLSVPTLDPLRLHAALRSLAARLDPLPLTLSSVGAFPSSSSSSSSDAGVLFLAPTPSAALLHLHSQLCETLRKEGVEAPEEFRPDSWVPHCSVAQDVPRSRIADAFCILRDLKLPVSGYVMDIGLVEFSPVQEIVSFPLGSGSEA >Ma11_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20541333:20542219:-1 gene:Ma11_g14840 transcript:Ma11_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSVRDVLAFHKLDRGMYDKLVALGTTPEVSRNVVALFMWLELIGVDVIDYIRSNPDHYILLRLAAEAESILDCVRRDTPPSDGADSSLAIPLTASLVNEPLNLRFFNCHRDVAVRGITQILDGVGTLIFDDYLHALLAEYEAEAQAAEADARGRAKAVLPMLPRELARPHLPRVSPTPEDSRSMFITFSKGFPLKREDIVEYFTEKWGDCVEKVMMEKTTTSAAMPTYGRIVFTSESFIGLVLNGKQLVKFTIKGRQLWARKYEQRKNPI >Ma00_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3055697:3057825:-1 gene:Ma00_g00810 transcript:Ma00_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKLHASRSGIDSVLRSSSELDVAISGFDGRLSVRLAAISAIYDAVAPLHSQAVAAQALRTRIDRAVSPALALLRGFSLVRSLQRRLLRLSPPAADPRRIVAYVDCVDSLHDAVAGVAAECEPAVQRLQEAVEFLSRTKATDRLRLHRLSEALAALQALYEDEVDAMRYEGLLDEALLLLQHECESLLLQLKHHAFGESDGLDLIPEATDGSDLPHLGSSLQIEALRRIAQTLAANDCLDIAIDIFVTVRYRRAAQALMRLKPEYLRTSAPEDMDAMEWEDVEAAITLWIRHLEVAVRMLFAVEKRLCHDVLGGLMGGAVWPECLAKIADRIMAVFFCFGEGVARSSKQPQKLFKLLDMFDALDRMWPDLAAVFDGEAGGQVRARFRELQKLVVHAAATAFREFGLRIEGLQDGAPPPADGSVPKVVRYAVHYLKCLATAAYAGPMGRVLRTERTWRPELPSRPPDADGDDEGLLGDAVRGILEALQRNVEAQRSRYGKKDRVLPHVMATNAYWYMYMRTRGTEVARLVGEDTMKARYKTAAEQAAFAYQEAAWGPLVRLLERNEAAGTARATTEEFMRGFDDNLRKHKSLYCIRDADLREQIGEAVAKAVVPAYTAFLHANAGATDGRAFPPPDSIRELILQLFDAGGEERRREAEGERSSKGER >Ma03_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1037446:1038747:1 gene:Ma03_g01420 transcript:Ma03_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGSLMESVILCRLVLEGGSEYCKWCCSQKKEGGKRPLAVESFYAWFTETQQKKLSEGFFDVVAGIIKENL >Ma10_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29912247:29913519:-1 gene:Ma10_g19330 transcript:Ma10_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPSYQPLQTQRRQMEVVAVPMAPAKVNPFSSYVTAPPSPQHPLDYCGYYCYTSAPTSPSRAAGVYPAEASDFAFCFGGRSEGEKPTPALATADELFEEGMIRPLMLPPRRSRYSSSAAPVVTMETALRRERERALLPTFSAASSKAGRGSKPLSPRRGGEEEDGLRKSPSSSSASVKSGGSKKWKLKDLFLFRSASEGRATGRGSKDPLRSYTVLLPPSKRIDDSGSVGGGGGAADPHEMYYSPNRAASEELKRKKKQTPLPYQHHGLFGCLRFNPAIHSIQKGFGGSSSSHK >Ma02_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21977993:21979010:-1 gene:Ma02_g13720 transcript:Ma02_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPAKTSQPTEGTEQEAPLHPPPPSYEGQRVMPTPFLTKTYQLVDDPAMDDVISWNEDGSTFVVWRPAEFARDVLPKFFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKGLLCDIHRRKFSPTPAPAVSAPPNLVVSPVNSNEEQGLSSTSSPGCVQPAPVTSGWAAPGTSGGTSDLAEENSRLRRENKQLSQELGQMKGMCNNIMQLIAKYAPGRQFGPAVEALDIGGGSLTTTPLEMFMARSSPTAEEEEEEEEEAAEGSSGGEGTTRIFGVSIGFKRNRREHGSAASRSVPDA >Ma05_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2587283:2589025:-1 gene:Ma05_g03580 transcript:Ma05_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSRNSGSTVLRHLGFRLFSSAVATRVTASEPAHSLVLSRPFVPSAPARPPASVLMRLFPVRMASTSAAPALGGEQEEREAKSSAPAAPTSGSKAVASYWGIRGSQITKDDGTPWRWSCFMPWETYEADLSIDLKKHHVPRTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEPSGGWIRVLLEEAENERMHLMTFMEVAQPRWYERALVFAVQGVFFNAYFVAYLLSPKLAHRMVGYLEEEAIHSYTEYLKDLEAGKIENVPAPAIAMDYWRLPADATLKDVVMVVRADEAHHRDVNHFASDIHYQGMQLKDTPAPLGYH >Ma06_p15890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10797206:10805083:-1 gene:Ma06_g15890 transcript:Ma06_t15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPNPHLSSVAAQARTRGSLPPFLSFFSLRLPSRSLPAPLPLFSTPPRRPRRLPHPAAGDDVADQYDGFDEELRRLLVLLPLEMRRRAEAHPELRRLVEIVMDLGRRPLARFPSGDFFLSDCPISSQELHHAASQVGDFAADNRAGISRTLHRISAIRNRKGSIVGLTCRVGRSVTGSANLLRDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHAGIGSARRLQVPNPDMQHKSVTLGDEEASRRGVQKTVLERKGPSTFTFGAEIVSKTEVRVHRSLEATVDALLAGRSPNFEIRKMDIEESTVETLPLQKESLGNIFTLKEEESKVENDLLIPSQKLCNNMPTIEFKEKELDISHKVGRDFHLFVYGIMESSILQALKQLGIDEMIEITDNISEADAFLALSSKLKKNSQIQAVAKSRDIPIFVTKSTSLVQITKAVGALVNEHANVSKYHKAEHEATSSEMVDALEEARLAIEQIVIPRGKSVQLLPRPPHIIPVQIDLIKKYKLKWEMVGQEPGVYLRIFPLPLLAGIGEKKSVGQVVDENIELDDLRSFGDTESSQSGVPRLPLLPEW >Ma06_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10797206:10805083:-1 gene:Ma06_g15890 transcript:Ma06_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPNPHLSSVAAQARTRGSLPPFLSFFSLRLPSRSLPAPLPLFSTPPRRPRRLPHPAAGDDVADQYDGFDEELRRLLVLLPLEMRRRAEAHPELRRLVEIVMDLGRRPLARFPSGDFFLSDCPISSQELHHAASQVGDFAADNRAGISRTLHRISAIRNRKGSIVGLTCRVGRSVTGSANLLRDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHAGIGSARRLQVPNPDMQHKVLIEAVENHMPQVIVIDEIGTKLEAVAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVLERKGPSTFTFGAEIVSKTEVRVHRSLEATVDALLAGRSPNFEIRKMDIEESTVETLPLQKESLGNIFTLKEEESKVENDLLIPSQKLCNNMPTIEFKEKELDISHKVGRDFHLFVYGIMESSILQALKQLGIDEMIEITDNISEADAFLALSSKLKKNSQIQAVAKSRDIPIFVTKSTSLVQITKAVGALVNEHANVSKYHKAEHEATSSEMVDALEEARLAIEQIVIPRGKSVQLLPRPPHIIPVQIDLIKKYKLKWEMVGQEPGVYLRIFPLPLLAGIGEKKSVGQVVDENIELDDLRSFGDTESSQSGVPRLPLLPEW >Ma09_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34327663:34328200:1 gene:Ma09_g22390 transcript:Ma09_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMRSHGKAPQRGGLSRALREQKARLYIIRRCVVMLLCWHD >Ma09_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28183901:28184599:-1 gene:Ma09_g20200 transcript:Ma09_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCKAFPTTLRGSARAWYNGLKTRTIASFDQLVKDFELNFLGYAQPKPSVALLLGLNEREDEPLSHFVNRFATQIRGLPDAHPSLLMQAFMIGLRPSRFFWSLVKRLPTSVSEKREEHKRARPELARGQLSVAPRRTMDRPDPPALRTPLLSLGASRTEIFLQIRKKGLLKAPNPMKSPRELTDQSKYCRFHQQSGHDIEECRELKRQIEELVRRGHLGRYIR >Ma04_p34500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33663709:33668343:1 gene:Ma04_g34500 transcript:Ma04_t34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNEHRFSPGRKGGPRYYYKLSCERECSRGEDYRLIKLSIIEYARRKEKVIVVECRGHDCARFQNVDHAHG >Ma05_p26930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38442018:38444574:1 gene:Ma05_g26930 transcript:Ma05_t26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSWRSCVTSCWSNTDQSSVTETRKAQTTARSPQKMAYSPLSSEGFSLSVPGSDLHVFTLEELKAATRNFSMTNVIGSGGFGPVYKGFIDDNLRPGLLAQHVAVKSLDLEGLQGHREWLAEVIFLGQLRHPHLVRLIGYCCEDEQRMLVYEYMARGSLENHLFKRLLASLPWSTRIKIAVGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYTAKLSDFGLAKNGPQGDDTHVTTRVMGTHGYAAPEYVMTGHLTAKSDVYSFGVVLLELLSGRRSVDKNRPNREKNLVEWARPYLNNPNRLSRVMDPNLEGQYSTDGARKAAAVAYRCLSLSLKTRPNMRAVVEALEPVMELNDVPIVGPFVYTAPEEKGNDGMEEKETAPAAKWRGSRHDHLGATDHSMANFNRDSGLHKKSPKHQQSSSYWN >Ma02_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26294150:26295320:-1 gene:Ma02_g20250 transcript:Ma02_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAATDNIALSSPTSRSGLTRSSASIFHCHRSFLTGVSVAASSLPPSTPFPNHFLGRPRNGVSKAR >Ma03_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2883091:2887342:-1 gene:Ma03_g04410 transcript:Ma03_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLEQIKNESVDLESIPVEEVFAQLKCSREGLTSAEGEQRLQIFGLNKLEEKQECKVLKFLGFMWNPLSWVMEIAAIMAIALANGGGEPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKHPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLIEVIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVFVSGLDKDSVVLYAAMASRVENQDAIDASIVGMLADPKEARAGIQEVHFLPFNPVDKRTAITYIDSNGKWHRSSKGAPEQIIDLCNMKDDAKKKVHAMIDKFAERGLRALGVARQEVPEANKESAGDPWQFIGLLPLFDPPRHDSAETIRQALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSTLLGDKNDDVTGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWQFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGVVLGAYLAIMTVVFFWLAHATDFFPEKFGVRPIKDNQEELTAALYLQVSIISQALIFVTRSRSWSFVERPGFLLVAAFVAAQLVATVIAVYASWSFARIQGIGWGWAGIIWLFSLITYFPLDILKFIIRYALSGKAWDNLLQNKTAFTTKKDYGRGEREAQWALAQRTLHGLQPADTSDLFNDKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >Ma10_p29030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35723373:35727171:-1 gene:Ma10_g29030 transcript:Ma10_t29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRATYGSDSEEDDADDSAAAPPIVGSRSSSGSSRSKADSVNGRSNLEQLDPLPPPPLDLLQSPIVLDFSSTTQGSRIRSFPHVEGNYALHVYVPVVIPTTMKMPVALFVKRIASLVPGLYAVDIDYPLAELCRDDLKLEQMLLGREFHVSLGRTVPIKVHQIDSIVAMLRQKFQSQRRYRVEFNKWDVFVNDEQTRSFLALEVLGGGLSEITKQIHIVDVIYRLHGLPEFYKNPRPHISLLWGLGDMSDGLKQGIEEIDRSYKNASLSCGGHIFTCKTSGIECKIGKKTYSICKFLD >Ma07_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2652102:2660235:-1 gene:Ma07_g03430 transcript:Ma07_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTSQSSDPAKGEELRDLVQRFMASLAEFSRRLPFDVDLQKLCSITTLAALAITLAFAWKLLRTPPEQQRRQRRRPSSSPNLSINNLHSDTSLRSSEVCSSSGDSRAQCAVNEFFQPLELALEQLVRRKLNEGRKVTCRLLGVVLDETTPEELQKQATVRSSVLEVLLQIAKFCDVYLMERVLDDESGERVLSALDDSGVFTAGGLIRDKVLFCGTENGRISFVRQLEPDWHVDTNAEIIHQLTRFIKYLLHVTPDRPQNTASNVFISASLEQFFGDLDQR >Ma07_p03430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2652102:2660235:-1 gene:Ma07_g03430 transcript:Ma07_t03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTSQSSDPAKGEELRDLVQRFMASLAEFSRRLPFDVDLQKLCSITTLAALAITLAFAWKLLRTPPEQQRRQRRRPSSSPNLSINNLHSDTSLRSSEVCSSSGDSRAQCAVNEFFQPLELALEQLVRRKLNEGRKVTCRLLGVVLDETTPEELQKQATVRSSVLEVLLQIAKFCDVYLMERVLDDESGERVLSALDDSGVFTAGGLIRDKVLFCGTENGRISFVRQLEPDWHVDTNAEIIHQLTRFIKYLLHVTPDRPQNTASNVFISASLEQFFGDLDQR >Ma02_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:862638:863677:1 gene:Ma02_g00070 transcript:Ma02_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPQRNHHRHCPDPDHLLSASDLWPDSFHPHSVSTQDKVPRKRGRKNLYRGIRQRPWGKWAAEIRDPMKGVRVWLGTFATAEEAARAYDREARRIRGKKAKVNFPNEVEPEETDNSVPCNPVRNFIQPKVEKSPVLAASNDCEGDGEVRRLSEELMAYESYMNFFGIPYMEGGTPAAAAEEGTAADDEVVTGNGMEACNPPVSSGMEMLWIFDDILPA >Ma00_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1211727:1215531:-1 gene:Ma00_g00430 transcript:Ma00_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASSSAHLLGALCEDDQYSHQQTPGSSAAAAPPKKKRNLPGNPNPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNEVRRRVYLCPELTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDRCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQEGARIPAGMNTIGGHVYANRGTNLDLPQLSSQLSSLQDQAHHPSTDLLRLRGSSGSAKFEQLNATTSPFYLGAASNQGFDENPELLPSKPFHAMMQLQDLQTNNSASSSAAAAANLFNLGFFSSSGSTSTINHGSSSGNQSGLLLGPNQFSNAGGGTEPMSLFTGELMSDHTDTNMPSLYTPSLHTEPVVPQMSATALLQKAAQMGATPIGASGSSLLGEFSGSYPGGARHVGFRRNPKEGGGESSRTHVDDENHFQYIMNSLANGNSGVFSGMDEQVSGFSGFNPGFGAMDEDKLHRNLSTGGFGGSGILTRDFLGVGNMMRSMGGGIPPREQQLGIDMDSLDHSEMKPGSSTRSFGGGRLQ >Ma03_p31970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33976383:33982099:-1 gene:Ma03_g31970 transcript:Ma03_t31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASALRRLSSSSAKTQPFLRSSLYYMVPSPANPSKICIIWPKQLNAPSEVVDPEIADIVELEKARQWKGLELIPSKNFTSMSVMQAVGSIMSLKNSEGCPGARYYRGNEYMDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSLANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKHKAILLADMAHTSGLVSAGVIPSPFEYADVVTTTTHKSLRGPCGAMIFFRKGVKEINKQGKEVMHDFEEKINAAVFPGLQGGPHNHIITGLAVALKQATTPEYKAYQEQVLSNCAKFAQCLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDTAVKLALKIKSETKGSKLKDFLATIQTNANIQSEIAKLRHEVEEYAKQFPTIGFDKETMKHRN >Ma09_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19022:26950:-1 gene:Ma09_g00010 transcript:Ma09_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78630) UniProtKB/Swiss-Prot;Acc:Q9SYL9] MAATIPASASLSVGRFPNNRASSSSCPCPATALRRTFLVGFSKFPAAVAKPSLGVASLPSRRYLRTHCQQQEQQQRLAFVPREQRWMFEESEINGPDIWNKTWYPKAADHISTEKTWYIVDATDKILGRLASTIAIYIRGKNLATYTPSVDMGAYVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKVETFDQLQQRIPERIIEHAVRGMLPKGRLGRTLFTHLKVYKGPDHPHEAQMAIPLPIHDKRIQSDK >Ma08_p10620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7774410:7777609:1 gene:Ma08_g10620 transcript:Ma08_t10620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVSENPTEIIVRNLKGFMQKKGLPEGLVLGSCNVLETAGEGAVPQLYEVLQLAVTGQNKETSERGQIIWLHLGVNSGATRFAIENQAVNEATFRCPDEMGWKPQRAPVVTSDGSISRVRETSLPVSEIVKGLSKMGYDVMPSDDAGRFVCNFVYYHSLRFAEHNRIKSLFVHVPLFLTIDEETQMEFVASLLKVLASPH >Ma08_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7774410:7777609:1 gene:Ma08_g10620 transcript:Ma08_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVSENPTEIIVRNLKGFMQKKGLPEGLVLGSCNVLETAGEGAVPQLYEVLQLAVTGQNKETSERGQIIWLHLGVNSGATRFAIENQAVNEATFRCPDEMGWKPQRAPVVTSDGSISRVRETSLPVSEIVKGLSKMGYDVMPSDDAGRFVCNFVYYHSLRFAEHNRIKSLFVHVPLFLTIDEETQMEFVASLLKVLASPH >Ma08_p10620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7774644:7777609:1 gene:Ma08_g10620 transcript:Ma08_t10620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVSENPTEIIVRNLKGFMQKKGLPEGLVLGSCNVLETAGEGAVPQLYEVLQLAVTGQNKETSERGQIIWLHLGVNSGATRFAIENQAVNEATFRCPDEMGWKPQRAPVVTSDGSISRVRETSLPVSEIVKGLSKMGYDVMPSDDAGRFVCNFVYYHSLRFAEHNRIKSLFVHVPLFLTIDEETQMEFVASLLKVLASPH >Ma05_p25720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37570779:37579564:1 gene:Ma05_g25720 transcript:Ma05_t25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGTRPTTTACNEIHDAVTTHTSISPSLLHRKSLEELFPPFLAEKVVAETIATFLLVFVTCGSAALSKSEAGAVSQLGASVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVSRHFPWIQVPFYISAQISGAMVSSFVLRELLHPITDLGTTTPSDTALKALVMEIVVTFCMMFVTSAVATDSKAVGELAGLAVGSAVCITSILAGPISGGSMNPARTLGPALASRNYDALWVYLLGPVVGTLLGAFSYSFIRMTEKQPLSTTTQKLSSFKLRRLQSQDMPSPLADASGRV >Ma04_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11088316:11089630:1 gene:Ma04_g14610 transcript:Ma04_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKMVASRFSNVAKIASPEAIPPPRPATSLLRRLLPGSGADEPGLLRQFLQRRPFFHAATTPPARLALPVGDGLMDRIREMNGSRIRLEGLLPPTTKRMDDAAVSVAEARKVARAARMEVARARLRGTGRACLSYSEFSQVCGEVAGGMEEGAGLGRALDESGAVIVLGDIVFVRPEMVTKAIESMIPPSLSSQSDPRSRELKAMEEKKAEIDVKAEALVKREMWCGLAFLALQTAGFMRLTFWELSWDVMEPICFYVTSLYFMAGYAFFLRTSRDPSFEGFFESRLAAKRKRLMKARNFDVNRFNELRRDGGAFTLSRPAEECSASSWPCCDCHGRRSTLIGAAHDGNIID >Ma04_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24809597:24810842:-1 gene:Ma04_g22510 transcript:Ma04_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGSELDLPGFRFHPTEVELLDFYLRSEVQGKKLNFDIIGRLNIYHYDPWELPGLAKIGEREWYFFVPRDRRIGSGGRPNRTTERGFWKATGSDRPIRSVADPKRLIGLKKTLVFYRGRAPRGTKTDWVMNEYRLPESFSSTSTAASAKEDLVLCKIYRKATSLKELEQRAASEEETTRESQICRSVADTTSISNQDNYRSPSFPSEYTDAKEAEPAKDAEVTSTTFRSVNLPELQVPMYSFDWLQDPLLAQLRSPWVDQWSPFANTLYF >Ma05_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35848754:35850514:1 gene:Ma05_g23700 transcript:Ma05_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMKVAALSVSAIVLVAIVATVAVTISNLDSEPAKTQFSSSQKNIKDFCNPTDYQETCESTLSAAAGNSTDPKELVNLAFQITIDQIKEAFNHSTVLSEAAKNPRTSDALENCRELLDYAIDDLRSSIDQLEGFSLIKLDKFLDDLKVWISASITYQETCLDGFENTTTNAAESMRKALNSSAEMTSNILAIVINLDNTLDSLNLGISRKLLAEEYPSWVSLGKRRLLQLSPAELKPNVTVAQDGTGDVKTINDALLRVPKKSNHTFVIYIKEGVYKEKVQVNRSLTNVIMVGDGTNKTKITGSLNYIDGTATFKTATVAVIGDGFIGKDLWIENSAGAAKHQAVALRVQSDKSVFYNVRIDGYQDTLYVHTKRQFYRDCNISGTIDFIFGDGAAVLQNCLILARKPMDNQQNIVTAQGRKDRRQATAIVLHNCTISADPAFFPFREKLPTFLGRPWKEFSRTFVLQSQLDDLIDPKGWLPWFENFGLNTCFYTELDNRGPGANTSQRVKWKGVKTIGYAHAQKFTVEHFIQGNTWLPKSGVPYIPGLLPMTEAGRIH >Ma02_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22813870:22820455:1 gene:Ma02_g14900 transcript:Ma02_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIRRSSWVAASASRRALAALVSSNFSLLPPSVASASSVPSSADGIVPFFRFAQQIEFCGSQRRGIHFSAGPLGFRATDVAFAEYAVDEYYEEDRGSPEGVDEGLEIAKLGISQDIVTQLANRGITKLFPIQRAVLEPAMQGQDMIGRARTGTGKTLAFGIPIMDKIIRFQAKHGCGRNPLAMVLAPTRELARQVEKEFKESSKLYTLCVYGGSLISQQMRALDSGVDVVVGTPGRIIDLLNRGALNLSEIQFVVLDEADQMLNVGFAEDVERILEKMPPKHQTLMFSATMPTWIQKLTRKYLKDPVNIDLVGDSDQKLAEGITLYSIVSDNYAKASILGPLIKEHAKGGKCILFTQTKRDADRLAYSMGRSFGCEALHGDISQGQRERTLAGFRDGRFNILIATDVAARGLDIPNVDLVIHYELPNTTELFVHRSGRTGRAGKKGSAILIHSYDQNRLVRGIEQEIGCRFIELPKITVEGGGEDMIGSMRGGRFDSHGSGRMGGSGFGRGGNYGRSRGFGDSGRHTGGFGESGSGRFGSFGGSTSGAPSRPGGSNFGRSDGFGNFSSGRSGGLGDSGAGRFGNFGSSGSGRFGSFGNSDSGRSSSFNDSSPSRSSGYGGSGRSSSFGSFGGGSSKDDGDDGWPGRSFMSSS >Ma03_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4026752:4027679:-1 gene:Ma03_g05870 transcript:Ma03_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVWGGEARPEVVSAVCECCGLTEECTPAYVEAVRGRFSGRWVCGLCGEAVEDEIGRAGRRMSTEEALVRHTSFSESFRAARPPLNTAEPMIAALRQLFRRSLDSPRTTRSTPTSPRRGTVKDDGSDSTRSSLARSSSCLSTAAR >Ma09_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35902484:35906964:-1 gene:Ma09_g24220 transcript:Ma09_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDIEGILKELPSDGRVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRVAMHNTQILCAVMLDTKGPEIRTGFLKDGKPIQLTEGQEITISTDYSIKGDENMISMSYKKLPLDLKPGNSILCADGTITMTVLSCDPGAGTVRCRCENTAKLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNNIDMIALSFVRKGSDLVTVRKVLGPNAKSIKLMSKVENQEGVINFDDILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYEAIFKEMIRSTPLPMSPLESLASSAVRTANKARATLIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWSVSDEGPARHSLIYRGLVPLLAEGSAKATDSESTEMILEAALRSAVEKRLCKPGDAVVALHRIGVASVIKICIVK >Ma06_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4173994:4177337:-1 gene:Ma06_g05610 transcript:Ma06_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWSWACGLSLSLSLSQKKKKDEEREKSHLFGRETWPASPETRDSEMRGTWEAEGSRRRADLPIRRAKPSSKRRRSSPTAGSRS >Ma08_p28360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40314537:40318719:1 gene:Ma08_g28360 transcript:Ma08_t28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFAALSLGAGGGGGRGLRRMPRVMTVPGTLADLQEEEERANSVASDVQSSQAQDRIIIVANQLPVRARRRPDGRGWTFAWDEDSLLLQLKDGLPDDMEVLYVGSLNVDVEPHEQDDVAQALLERFKCVPAFLSPDLHERFYHGFCKRRLWPLFHYMLPFSASSTSDHGSSGRAGAARGSDGRYDRSLWEAYVLANKLFSQKVIEVINPEDDYVWIHDYHLMALPTFLRRRFNRLRMGFFLHVPFPSSEIYRTLPFREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTIGIKILPVGIHMGQLQSVLRLPDKEWRVNELRQQFEGKTVLLGVDDMDIFKGINLKLLAFEHMLKLHPKWQGRAVLVQIANPARAQGKDLKEIQNEIEESCERINKAFGHAGYSPVVLIDRTISAVEKIAYYIIAECVVVTAVRDGMNLTPYEYIVCRQGISDSKDSEADGQKKSMLVVSEFIGCSPSLSGAIRINPWNIETTGEAMNEAISLADGEKQLRHEKHYRYVSTHDVAYWSKSFQQDMERTCKDHFRRNCWGIGLGFGFRVVAVEPNFRKLHVDGIVSAYMKAKRRAILMDYDGTLVPLASINKQPTAEIIRIINTLCADKKNVVFIVSGRGRNHLGDWFLPCEKLGIAAEHGYFVRWSQDEEWETYSQSTDFGWMQIAEPVMKLYTESTDGSSIEPKESALVWHHRDADPGFGSSQAKEMLDHLESVLANEPVSVKSGKFIVEVKPQGVSKGLVAEKILSAMAENGRQADFVLCIGDDRSDEEMFEDIAGVVTRNLAAPDTSIFGCTVGQKPSKARYYLDDTAEVRNMLGALADASEESSFEERFEPPLST >Ma03_p31090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33448221:33452478:1 gene:Ma03_g31090 transcript:Ma03_t31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGETRSYDMDFFELAKIIFGRVQKLEPENVVKILGCIFLKEPRGQEMVQLAFGPDTMLLSKIIDAKIMLGMLSSKSCVPEMQIGSYPPPGSHTFSSPVNFHVTPTFWDPQFAAEHHPPSHNFDFVPQAYADSLADEFLLHNQPQAVKQLDSTNHIGNYYYSEASLSGSMASRTTRRSHSLSDLPIKACHYFNKGYCKHGSNCRYSHAQSFPDGYSHVFSPSMNDYVNEDHTFMPKSLERLEMEIIELLRSKRGMAVSTASLPLLYSEKYGKNIQAEGYLTESQRHGKTGFNLTKLLSHLKKSIRLIERPHGQHSVILAEDAPRYMEFRNERNDLASTVSSSHQIYLTFPAESTFTEDDVSNYFKQFGQVRDVRIPCQDKRMFGFVSFVHPETVNVILMKRNPHYICGARVLVKPYREKSKVIDRMYSDKIKPHYPSRYLDVDHDVHSVPRESDTSSLLGNHLIEKEMMEHLSGLNLAPKTLTQHDYLGHGVEDLKVSEGPSNLFLDHFSYSFDAMNNGSTSYDKARQTSNSFGDQENGHVELPESPFASPSVGSSISTVI >Ma03_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1703744:1703980:-1 gene:Ma03_g02500 transcript:Ma03_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLVVCEDWFSYLCTSSLNSKRSRLLNSKEEFLHSCLTGCDFSVLCAEMNATYKGFLSLSSILCCSFNWNCKRMKEN >Ma07_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16179299:16182989:1 gene:Ma07_g17090 transcript:Ma07_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRLVLPRSQVSVPRGIYSRPTVGHGVLGILSAGPRLASFAPVKASSGAAETTSVSYSTIVPGSAAKPGLRRDPVLDSSGDANDMEEKIEKVIYRCRFFAFLAVAGSLMGSVICFLKGCTYVIDSFREYFLSGGKVILMLIEAIDIYLIGTVMLVFGMGLYELFISNLDIAKMSSYGSSLLGLFKLLERPRWLDIQSVNELKTKLGHVIVMVLLVGMFEKSKKVTISSTADLLYFAASILLSSSCLYLLSKLHTTKGSMHA >Ma06_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8991284:8993720:-1 gene:Ma06_g13100 transcript:Ma06_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIRRRRPGFRAIFNALCALVLFFFFLSREDVFGNPFIRQRSSFSFDHTSKSNLYPHRRMAEFSSINSTNGSVPVDQKNESFETLADPTVACIGIHQREGFLTQCDFLKAHRQCTSGGLIDYMSFFYCDCEKFHVLGYAVLGIWLAALFYMLGNTAADYFCCSLEKLSGLLKLPPTVAGVTLLPLGNGAPDVFASVAAFIGTGAGEVGINSVLGGAVFVTCIVVGTVSLFVAEKNVQIDKKSFIRDISFFLLTLGSLSLILIVGRVTLWGAVLFVLIYAVYAFAVAANEMLRKHARRLKLDMVTPLLPVRGSIFSHGSEKDGSVHSFLLEDDHDNEVPQLQNSLPQWMWASHVAIYSDQGSGVNSFDSSQPLWGWNEQEAGTSGFSCSKLFLLMEMPLAMPRRLTIPIVEEERWSKPYAVTSAFLAPILLAFVFNTQETSKFSIAAAYVVGGIVGAGFSVLAFVYTSRDRPPQRCLFPWVLGGFVMSIVWFYIVANELVALLVTLGVILGINPSILGLTVLAWGNSMGDLMSNLALAVNGEDGVQIAMSGCYAGPMFNTLAGLGMSMLLGSWSTKPNSYALPQDNTLVYTMVFLVSGLLWALIILPWNDMRPNKMLGFGLITLYLVFLIVRVSNVVGLLPLAGLR >Ma08_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27250311:27251484:-1 gene:Ma08_g17820 transcript:Ma08_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAETPATPPDPAVAMATKEASVPRGGTRGSLLHNFSFPILETLGGHEALRCMSGNGEGEAVADGGRRSTGSSEVGELRIRVPSSGDEDDPGIEEVREKLLVHLREAADRMKRVVQHLARRGGVPEPARAAEARPSPEADTSAALPWNLRTRRGAARSPAGTERHLSRSPTVAAEKRTVRLRLEAPERRERPKFSISLTREEIEEDIYALTARRARRRPRRRPRAVQKQLESLFPGSSLSEINAATYRVPS >Ma09_p27030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38137309:38139222:-1 gene:Ma09_g27030 transcript:Ma09_t27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSSISGDCWSGSKVGAFLGGVLAAVSLMLGVAFFREYIRRLSTASRGKQITRRLLSQTSCTVATFSLKNVERATSNFAGTSVLGAGAYSTVYVGKLSNAGLVAIKRLKHDDVDLIINEIKLVSSVSHPNVVRLLGCCIESGEHILVYEFMPNGTLSQHLRRKRGDGLSWHARVSIAADVATAVAYLHTAVQPPIYHRDVKSSNILLDYDLRPKLADFGLATPAMVESSNASTVPQGTMGYVDPQCHCNFHLSDKCDVYSFGVVLVEMITAMKVVDFGRATSEVNLAALATDRIGKGMVEDIVDPFIKGDWDDRTRASVQEVAEVAFRCLAFYREARPSMAEVAEELERIRIEDRRSAVEKAR >Ma08_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10077765:10082524:-1 gene:Ma08_g12880 transcript:Ma08_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCIEKMAGDGESSGRRRIEIGRLEAAGEDDPPPSPRMTGKRREEPDPFGFAVGQKRSRTAGDDAAAPHPHPQGSCCAAPSSSSVSVGEACARAIGSTSRLAPAEHPIAFGSISLSGRSREMEDAISVRPGFFRAEGSPPLHFFAVFDGHGGAHVADLCKERLHILLAEELGRAETEEEAWMRAAVGRSFARMDELALTACACGKVGMPPCGCERSGIESEIVGSTAAVAIVSGSRIFVANCGDSRTVLSRGGRAVPLSSDHKPDRPDELARIEAAGGRVIYLNGARVHGILAMSRALGDKYLKPSVISEPEIRVVERTGEDECLIVASDGLWDVLPNDLACDVARRCLEEADPTRESEDTLGGDSRGAMDGREEEQASDARCSLAATLLARLALGRKSADNISVIVIDLRRKQR >Ma06_p36720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36012057:36025434:1 gene:Ma06_g36720 transcript:Ma06_t36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALLTSVGINLGLCVLFFALYSVLRKQPCNLKVYAPRLVAEGRAGQRSHFNIDALLPSAGWVRRAWQPSEDELLNSCGLDGVVFNRIFIFSLRVFSVAAIIGIFVLLPVNYLGDHLRDIDFSDLPNKSLDLFTISNVKDSSNWLWVHFSAAYFITGVVCYLLYAEYKYISNKRISHFISSKPQPHHFTILVRDIPLHGMSSLSNTVETFFMDYHPSDYLAGTVVRRTSKLRGLITDTENLYRRITHLKSIPSSSQNQSHTGFLGLFRKNGDLMGHYAKKLEDLEQNVRLEQSDISAGREEVRAAFVCFRSRYGAAKALHIRQSTNPTEWVTEHAPEPHDVYWPFFSTSFTQRWISKSVVVAASVLLIVLFLLVVAFVQGLTYLEQLEALLPFLKNILKITVVSQIVTGYLPSLLLHLVASLVPPIMKLFSAMQGYIAISEIEKSACNKMLLFTIWFLFFANVLTGSVTSQFQIFLDPKTIPGRLAVAVPAQASFFIAYVVTSWTSLSWELNRTIAFIGDLISRRCSKTNDDEFQIPSIPYYSEIPRVLLFGLLGFTYFLLAPLILPFILVFFCIGYIIYRNQLLNVYQPKYDTGGRFWPIVHNTTIFSLILMQILAVGIFGLKKLPLASSLILPLPVLTLLFNDYCRKRFLPIFHDFAAETLIKKDREDQNDPAMEEFFDKLITAYRDPALMPIRHSTNSNDHNSPLLSKV >Ma01_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8820782:8821945:1 gene:Ma01_g12120 transcript:Ma01_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPKGEDDDGGVHGASSGGRGVDGSAVGSVAVTLHRRIAPKPEPMELLGIGAMPILRRPSGRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATVVDGAIKIPTEAASSAPLTSTSGEESAAKRRKKLSPMRATAGVAGGNTVTAFYPVQDPMSMSTGLAPIAPTGIVPMWAVRGGTAASSVARVLPPGALWMLPQPTAAAEPSGQAQIWAFPAGSQIINLAGPDPVTAAAAMYPSAMPGLGVAVAAGGSVPRKGEQAVTFTEGKKQELQLMGGAGDDDDDEEEEPLTESEDED >Ma05_p15940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14852057:14858513:1 gene:Ma05_g15940 transcript:Ma05_t15940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRETDGKGGPFVAVRRVSQGPPRGSGCRSSPAEVVTGSTAWIGRGLSCVCAQRRDNNTRLLFDLTPSQEECLRRLQSRIDVAYDSSKKEHQEALWALWYAAYPGVELYGIISDQWKEMGWQGKDPSTDFRGGGFISLENLLFFAKNYPKSFQDLLQKREGVRAMWEYPFAVAGVNITFMLIQMLDLQAGLCSTDHNSQTNINDGISFLEATFGKRASFRYVVLHVFQDDGPAMARNARLLHGLQFGHEVDTPSA >Ma05_p15940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14852057:14858711:1 gene:Ma05_g15940 transcript:Ma05_t15940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRETDGKGGPFVAVRRVSQGPPRGSGCRSSPAEVVTGSTAWIGRGLSCVCAQRRDNNTRLLFDLTPSQEECLRRLQSRIDVAYDSSKKEHQEALWALWYAAYPGVELYGIISDQWKEMGWQGKDPSTDFRGGGFISLENLLFFAKNYPKSFQDLLQKREGVRAMWEYPFAVAGVNITFMLIQMLDLQAAKPTSMMASVFLKLLSENERAFDMLYCMSFKMMDQQWLAMRASYMDFNLVMKSTRRQLEQELLLEDIQRIEDMPSYSLLKR >Ma05_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14852057:14858711:1 gene:Ma05_g15940 transcript:Ma05_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETDGKGGPFVAVRRVSQGPPRGSGCRSSPGSTAWIGRGLSCVCAQRRDNNTRLLFDLTPSQEECLRRLQSRIDVAYDSSKKEHQEALWALWYAAYPGVELYGIISDQWKEMGWQGKDPSTDFRGGGFISLENLLFFAKNYPKSFQDLLQKREGVRAMWEYPFAVAGVNITFMLIQMLDLQAAKPTSMMASVFLKLLSENERAFDMLYCMSFKMMDQQWLAMRASYMDFNLVMKSTRRQLEQELLLEDIQRIEDMPSYSLLKR >Ma02_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22043955:22044721:1 gene:Ma02_g13810 transcript:Ma02_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLRHPSSLLVFIALLGFLSLFRPCACSSRNFLNTSAVVLGTSPAEATWYGNAHGAGSEGNVSNIPVLLATAPFSSTTAAGGPLLYKSGKGCGACYQVSCTSNAACPGGPCASGAVHFDLSGSAFGAMSKPGQEDTLRAAGAIQVQYSTLPCSYPGVNVAFKVDAGSNANYRAVLIIN >Ma05_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2697787:2698655:1 gene:Ma05_g03660 transcript:Ma05_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRQATVEDLLAMQACNLLCLPENYQIKYYLYHILSWPQLLFVAEDYGGRIVGYVLAKMEEDASEPCHGHITSLAVLRTHRKLGIATKLMTAAQNAMESVFGAEYVSLHVRRSNRAALVLYTSTLGYLIHDVEAKYYADGEDAYDMRKQFKVRPHTHGHGHHHHHHHRGGCCSVEAKNAVAASAGTSASAPAPASASGE >Ma06_p27490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29480513:29490144:1 gene:Ma06_g27490 transcript:Ma06_t27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAVDKAVAAPSSSSPSPVYRFQRVVLGWDYLRLVRESGKKNRKDRNESTSRLKRVKNTFKDVEEYLGIFEPLLFEEVKAQIVQGNDDEDKSDWQKGAIASCNETDGFHRVSLAVLDDFRGEVSENDLLLLSKSKFQEGIAPDAYAFALVEHRGGRETLAVRTFVAGEVKRLDTAEPEPSQRLLKMFAIFKATESFLWILKICSLSTIIREYVALHSISSLPFRGLILSASEKPDNDEDRSWNVPRPLMDNLKNNLNQSQVEAIHAGLSRRTFVLIQGPPGTGKTQTILGLLSAVLHSSPGRVRSKGGLSAVKHMPELQIEEKCCHWKKASPWLSGANPRDLIMPVDGDDGFYPTGNELKPEIVNSNRKYRVHVLVCAPSNSALDEIVLRLLHTGIHDENGHIYNPKIVRIGLKAHHSVQAVSMDYLVEQKRAGVDRTGVGKHGSGGAADRDRIRASILDEAAIVFSTLSFSGSAHFSRMNRVFDVVIIDEAAQAVEPATLVPLVHGCRQVFLVGDPIQLPATVISTTAEHFGYGTSLFKRFQEAGFPVHMLKTQYRMHPEISIFPSKEFYGGSLEDGETVKRVRPWHLHRCFGPFYFFDIDGAETQPSGSGSWVNEEEIEFIVLMYHKLATYYPELRASPQVAVISPYSYQVKLLREHFRATFGEQSDQIVDINTVDGFQGREKDIAIFSCVRANTGKGIGFVSDFRRMNVGLTRAKSSVLVVGSASTLMQDNHWSNLVTNAKDRNCYAKVTKPYTAFFSDDSLQKMQVDLAQQKRDLKKAQTINAVHDEMAKMENTVLNNPEENAGDYGMDDDGGGFDED >Ma11_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16308260:16316036:-1 gene:Ma11_g12400 transcript:Ma11_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGESASLLLLLLLVFINSAIPIAAGQSSGLCVSPGGRFPAFSTDGKPPRKVNKGPKDLTLCRIFRQNTCCDVAQTYPAFLLIRRLASAGEGSQECLHLWELLECSICDPRIGVQPGPPIVCASFCDMVFQACSSAYFSIDAKTQALSPCGLSDTICGRATEWASNGTELCHFAGFAVQPDRQSIEGHDEPFCYGGKASLESISHSWKSPQSRSRSRTSNLWVLEDFQQWVRDMPISEKVFWAVGGMVLTAGLLLISKRKGYSHRHKQAAIRRTAKRLEARINQQSSTTRK >Ma05_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36716828:36719122:1 gene:Ma05_g24470 transcript:Ma05_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFGNRSRREGSHKKLDRFIRFLHLSFLPSHAPPPPPPPSKMRRQLGQAHSPAALLAALFCLRILPALAAAADLGNLEPPSAPTLEPLLAETERSGRVVTVRKDGNGDFRTITDAIKSIPSGNTARTVIKIGPGVYREKILVDQSRPYVTFYGQPGAMPTISFDGTAARYGTANSATVAVESSYFVASNVIFENTAPMPVDGVQGAQAVAMRISGDMAAFYNCKFYGYQDTLCDDTGRHFFKNCFIRGTVDFIFGNGRSIYQNCEIESVADNVAYITAQARSNISDKSGFSFIHCNITGTGNAFLSRAWREMSRVVFSYTHMGRLIDPRGWDNKGVAGRNRTVYFGEYKCLGPGAVTDGRVKYARLLNDKQARPFLTMDFIQAQSWLLPPPAV >Ma03_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:783266:800025:-1 gene:Ma03_g00980 transcript:Ma03_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSQLSESMVQAAALLADEDVDEGSAKRRTSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISVDLQRDGSLSSKLIILEIDNKSQQVSASALRHSLQDRLSKGSGKSHADEIYLKLRTSTAPSLKLIDLPGLDQRAMDDSVVSDYGAHNDAILLVIVPASQAPDISSSRALRLAKEFDAEGTRTIGVISKIDQAAGDPKSLAAVQALLLNQGPRNAADIPWIALIGQSVSIASAQAGSVGSESSLETAWRAETESLKTILSGAPQTKLGRIALVDTLAKQIRKRMKLRLPNILSGLQGKSQMVQDELFRLGEQMVQTAEGTRAIALELCREFEDKFLQHIATGEGTGWKIVASFEGNFPNRIKQLPLDRYFDITNVKRIVLEADGYQPYLISPEKGLRSLIKGVLDLAKEPSRLCVDEVHGVLINIVSAVANATPGLGRYPPFKREVVAIASTALESFRNEAKKMVVALVDMERAYVPPQHFIRLVQRRMERQRREDELKNRSSKKSNNSEQAILNRASSPQPGSQGGSLKLTKEKSKQPEKEVKEGSTLQVTGPSGEITAGFLLKKSAKSNSWSKRWFVLNEKSGKLGYTKNKEEKHFRGVIALEECNIEEVSDDEEPPKGSKDSRKANGPEKSPSLVFKITSKVAYKTVLKAHSAVVLKAENMPDKVGWINKIQNITGFSKATSLKGADSEANPAIRQSHSDGSLDQLARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSTARIEELLQEDQNVKHRRERFQKQSSLLSKLTRQLSIHDNRAAAASWSDVSAGTESSPRTIFSLHTKLIRVWKESSPRTNISSGDDWRSAFDAAANGSLDNTNNESSRSNSSNSRRYGKPTQNGDASSGANSGSRRTPNRMAPPPPGSSSAHRY >Ma03_p00980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:783266:800025:-1 gene:Ma03_g00980 transcript:Ma03_t00980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSQLSESMVQAAALLADEDVDEGSAKRRTSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISVDLQRDGSLSSKLIILEIDNKSQQVSASALRHSLQDRLSKGSGKSHADEIYLKLRTSTAPSLKLIDLPGLDQRAMDDSVVSDYGAHNDAILLVIVPASQAPDISSSRALRLAKEFDAEGTRTIGVISKIDQAAGDPKSLAAVQALLLNQGPRNAADIPWIALIGQSVSIASAQAGSVGSESSLETAWRAETESLKTILSGAPQTKLGRIALVDTLAKQIRKRMKLRLPNILSGLQGKSQMVQDELFRLGEQMVQTAEGTRAIALELCREFEDKFLQHIATGEGTGWKIVASFEGNFPNRIKQLPLDRYFDITNVKRIVLEADGYQPYLISPEKGLRSLIKGVLDLAKEPSRLCVDEVHGVLINIVSAVANATPGLGRYPPFKREVVAIASTALESFRNEAKKMVVALVDMERAYVPPQHFIRLVQRRMERQRREDELKNRSSKKSNNSEQAILNRASSPQPGSQGGSLKLTKEKSKQPEKEVKEGSTLQVTGPSGEITAGFLLKKSAKSNSWSKRWFVLNEKSGKLGYTKNKEEKHFRGVIALEECNIEEVSDDEEPPKGSKDSRKANGPEKSPSLVFKITSKVAYKTVLKAHSAVVLKAENMPDKVGWINKIQNITGFSKATSLKGADSEANPAIRQSHSDGSLDQLARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSTARIEELLQEDQNVKHRRERFQKQSSLLSKLTRQLSIHDNRAAAASWSDVSAGTESSPRTNISSGDDWRSAFDAAANGSLDNTNNESSRSNSSNSRRYGKPTQNGDASSGANSGSRRTPNRMAPPPPGSSSAHRY >Ma08_p33600.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43876386:43879761:1 gene:Ma08_g33600 transcript:Ma08_t33600.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSAADEEKSSSSTVWSSSAKMAPATLRKPSSVLTPPQSVLKNQQSQSKAKSSIASQMKTLSSSAPPPSLPENGTKSSSFQPALVGVTSSVIEEYDPARPNDYEEYRREKKKRAAEAEMKRELERRRREEEERERERERREREAAEREDNDHQSRSSSLSISGEEAWRRRAAISGGVAPRSPSPPPGGEGFSIGKSGSTGLGIGVGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASESKPDKKPKSVNLNSSPTRVVLLRNMVGPGEVDDELEDEVASECTKYGTVTRVLIFEITEANFPTDEAVRIFVQFERSEEATKALIDLDGRFFGGRVVHASFYDEERFGNNELAPMPGEIPGYP >Ma08_p33600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43876419:43879761:1 gene:Ma08_g33600 transcript:Ma08_t33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSAADEEKSSSSTVWSSSAKMAPATLRKPSSVLTPPQSVLKNQQSQSKAKSSIASQMKTLSSSAPPPSLPENGTKSSSFQPALVGVTSSVIEEYDPARPNDYEEYRREKKKRAAEAEMKRELERRRREEEERERERERREREAAEREDNDHQSRSSSLSISGEEAWRRRAAISGGVAPRSPSPPPGGEGFSIGKSGSTGLGIGVGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASESKPDKKPKSVNLNSSPTRVVLLRNMVGPGEVDDELEDEVASECTKYGTVTRVLIFEITEANFPTDEAVRIFVQFERSEEATKALIDLDGRFFGGRVVHASFYDEERFGNNELAPMPGEIPGYP >Ma08_p33600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43876419:43879854:1 gene:Ma08_g33600 transcript:Ma08_t33600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSAADEEKSSSSTVWSSSAKMAPATLRKPSSVLTPPQSVLKNQQSQSKAKSSIASQMKTLSSSAPPPSLPENGTKSSSFQPALVGVTSSVIEEYDPARPNDYEEYRREKKKRAAEAEMKRELERRRREEEERERERERREREAAEREDNDHQSRSSSLSISGEEAWRRRAAISGGVAPRSPSPPPGGEGFSIGKSGSTGLGIGVGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASESKPDKKPKSVNLNSSPTRVVLLRNMVGPGEVDDELEDEVASECTKYGTVTRVLIFEITEANFPTDEAVRIFVQFERSEEATKALIDLDGRFFGGRVVHASFYDEERFGNNELAPMPEAGV >Ma08_p33600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43876440:43879854:1 gene:Ma08_g33600 transcript:Ma08_t33600.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSAADEEKSSSSTVWSSSAKMAPATLRKPSSVLTPPQSVLKNQQSQSKAKSSIASQMKTLSSSAPPPSLPENGTKSSSFQPALVGVTSSVIEEYDPARPNDYEEYRREKKKRAAEAEMKRELERRRREEEERERERERREREAAEREDNDHQSRSSSLSISGEEAWRRRAAISGGVAPRSPSPPPGGEGFSIGKSGSTGLGIGVGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASESKPDKKPKSVNLNSSPTRVVLLRNMVGPGEVDDELEDEVASECTKYGTVTRVLIFEITEANFPTDEAVRIFVQFERSEEATKALIDLDGRFFGGRVVHASFYDEERFGNNELAPMPEAGV >Ma08_p33600.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43876425:43879761:1 gene:Ma08_g33600 transcript:Ma08_t33600.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSAADEEKSSSSTVWSSSAKMAPATLRKPSSVLTPPQSVLKNQQSQSKAKSSIASQMKTLSSSAPPPSLPENGTKSSSFQPALVGVTSSVIEEYDPARPNDYEEYRREKKKRAAEAEMKRELERRRREEEERERERERREREAAEREDNDHQSRSSSLSISGEEAWRRRAAISGGVAPRSPSPPPGGEGFSIGKSGSTGLGIGVGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASESKPDKKPKSVNLNSSPTRVVLLRNMVGPGEVDDELEDEVASECTKYGTVTRVLIFEITEANFPTDEAVRIFVQFERSEEATKALIDLDGRFFGGRVVHASFYDEERFGNNELAPMPGEIPGYP >Ma08_p33600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43876425:43879761:1 gene:Ma08_g33600 transcript:Ma08_t33600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPSSAADEEKSSSSTVWSSSAKMAPATLRKPSSVLTPPQSVLKNQQSQSKAKSSIASQMKTLSSSAPPPSLPENGTKSSSFQPALVGVTSSVIEEYDPARPNDYEEYRREKKKRAAEAEMKRELERRRREEEERERERERREREAAEREDNDHQSRSSSLSISGEEAWRRRAAISGGVAPRSPSPPPGGEGFSIGKSGSTGLGIGVGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASESKPDKKPKSVNLNSSPTRVVLLRNMVGPGEVDDELEDEVASECTKYGTVTRVLIFEITEANFPTDEAVRIFVQFERSEEATKALIDLDGRFFGGRVVHASFYDEERFGNNELAPMPGEIPGYP >Ma08_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5242245:5243628:1 gene:Ma08_g07650 transcript:Ma08_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSDLPADAKKLEGKVALITGGASGIGECTAKLFCRHGAKVVVADVQDELGTAVCSGLGPAASFIHCDVTSEDDVSAAVDHAVAKFGRLDIMFNNAGITGAACHNILQCEKSDFERVVGVNLVGPFLGTKHAARVMIPARKGSIITTSSLAGVIGAAAAHAYTCSKHAVVGLTKNAAAELGQFGVRVNCVSPAAAATPLAMRYVGLEGEAFDMAMTAVANLKGVSLGTEDVADAVLYLASDDSKYVSGHNLVLDGGCSVAYPSFNLFKREESL >Ma05_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7094625:7111545:-1 gene:Ma05_g09890 transcript:Ma05_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSSPLPGNTIKKQRKDKKKAKPPRRGEGPESDQLDSLPWNGSLAADDSFLLLGESSEGGFLSLEEIDESEYGFIGGIPDLEAGNKKKKKKLYSDLKSKKRKRGEENGGSDGSCVVDADEGEEEAKNKTKKKKKSRRKKRKGVSDESGEPKGESTVEGRDKNKHHNNFVGDDGNENLILDEDEVYAWKELRLHPLLVKSIRQLGFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFALPILQRLLEEREKEGRLIHENRNSDEKVFSGGPLRALIITPTRELALQVSDHLKGGAKFLDIQVVPIVGGMSTEKQERLLKRRPEIVVGTPGRLWELMSAGEQHLVELHSLSFFVLDEADRMIESGHFHELQSIIDMLPMTNGSMEQNSKPTAICKTIPTLQRKKRQTFVFSATITLSDNFRRKLKRGLSSSRPSVSDGLSSIETLSERAGIRPDVAIVDLTNAAILAHKLEESFLECEEEVKEAYLYYILSVHGQGRTIIFCTSIAAVRRISSILRILGINALTLHAQMQQRARLKAIDRFRGNEHSVLIATDVAARGLDIPGIRTVVHFQLPHSAEVYIHRSGRTARASADGCCIALISPSDKTKFFALCKSLSKESLRQFPVDDSYMPEILKRLSLARQIDKILRKNSQENVNKSWLMRNAESLGLEVVESASEEDVVNGYKQKKISSLQLKKLQQELNDHLKQPFQPKTFSRRFLAGAGVSPLVQQQLEQLSKMNTVDANNSSKRAGFVVIGQDFVEPLQALRSSGHEVCVNVDKKRETRRQAETWKRKKRDEKRRQREKQRKDRKKAREGIN >Ma05_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10779028:10780590:-1 gene:Ma05_g14720 transcript:Ma05_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESKEQRGAPLRAAPPEPDFPLQWGSRKRLRCVKVRDEGSPAKSDGRRRATSRINRRVVTGGDKDFPTPRFHRPAQLPHRRSESAGSENRRSRSVSLSPEKEDRCYTTRGSVAHGCEGENGAGGGVGDERGAAVLPRFFVSLSNKEKEEDFMAMKGCKLPQRPKKRSKFVQKCILLVSPGAWLSDLSQERYEVREKKGSRKRRRGLKAMCMESDSE >Ma07_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3434345:3436785:1 gene:Ma07_g04670 transcript:Ma07_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSKCGPSLLLLLLLLGLLLVSAISSDSRNGGASRRSLREAKANATSAAAPVEARAVAGTAEAAVDDPEEVASAVQMIISNSTARRSLGYLSCGTGNPIDDCWRCDPDWHLNRKRLADCGIGFGRNALGGRDGQYYVVTDAGDDDPVNPRPGTLRYAVIQDEPLWITFERDMVITLKEELIMNSFKTVDGRGANVHIANGACITIQDITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDGVSIFSSSHIWVDHCSLSNCADGLVDAVVGSTAITISNNYFTHHNEVMLLGHSDSYVRDKSMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPTDPFAKEVTKRVDTDASVWKSWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKPSSLVATLTSNAGVLSCRAGAQC >Ma04_p26760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28105177:28108699:1 gene:Ma04_g26760 transcript:Ma04_t26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKPSCYALASEGGEPVVATRDGGEELLFVPPLNFAMVDAGLYRSGFPETANFGFLRTLRLRSVLCLCPEPYPEANLDFLRENGIGLFQFGIENRKEPFANIPEDTIREALKVVLDVRNRPLLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYRRFAAAKARISDQRFIERFDVASMKRQSAASFSN >Ma01_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10435004:10435889:-1 gene:Ma01_g14290 transcript:Ma01_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEHKRLREEDADELESPEVKRLRADLLFDILDDDAGAGDQDLASVIKSLEEEIALPSPPPKQQLVQEPSAVAGQPDLGYLLEASDDELGLPPTAALWSVDEAGVAAGASELGSVAEPEGGAGLGQIWGFDDAIDGYEGFGLGIRPDEREAAEDGLVLDGGLFDYGDEISGPYDLSWRPESLPAV >Ma02_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:1029698:1036806:1 gene:Ma02_g00080 transcript:Ma02_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGISHRTLLVNGVNIHVAEMGEGPVVLLLHGFPELWFSWRHQIVSLAARGYRAVAPDLRGFGDSDAPPSSSVYTAFHVVGDLVALIQALGPDQVFVVGHDWGAYMAWWLCILRPDMVKALVNLSVAYAPRNPGRRPVDACRTIFGDDHYICRFQEHGAMEAEIAQIGTTLFMKKFLTYRKPAAINIPKDKGLEVSLETPVTLPSWLSEEDINYFASKFEKSGFTGGLNYYRCLNLNWELSAPWTEVQVDVPVKFIIGDLDLTYHTPGIQDYIHKGGFKSAVPFLEDVVVMEGVGHFINQERPHEVSDLIYHFIHKF >Ma02_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20212684:20215727:-1 gene:Ma02_g10750 transcript:Ma02_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSSKKSKLSWSKAFVRKWFNIKSKTQDFHSDDVARGRWGGEEWRGSITGMESYSVKKSKSDRLSKKKPDQARRGKIDLDPPLTACFHLCDRIFVATWNVGGKSPPSNLNLDDWLHTSPAADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWVALIRKTLNNLAGNSSSGSFQTPSPIPNPVVELDDDFEGTSTRQTNFFQRRSFQSLSRSMRMDGDILAPQPRLDRRHSVCDRVMLANRPSDYDQNYRWGGSSDDENISADSPSTTAYFSPMSYGFGASSSHDHVRGHCRYCLVASKQMVGIFLTIWVRGEIRDGIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKDGDELRRNSDVMEILRKTRFPPVHGFHDERSPETILEHDRIIWLGDLNYRISLSYRSAKALVEMRNWKALLEKDQLRTEQRCGRVFQGWSEGRIYFPPTYKYSNNSDRYTGDDMNLKEKRRTPAWCDRILWYGRGLSQLSYVRGESKLSDHRPVSSIFAAEVESINHSRIQNMDCAGSQVDIDELLQFSSGYTELSFF >Ma03_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3049628:3052106:1 gene:Ma03_g04630 transcript:Ma03_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLHQTILLSSFKLIPFIFIAVFLLSTPSASQLSSDFYLFSCPNVERLVRNTVESASALDSTIPGKLLRLLFHDCLIEGCDASVLIQGKGTERSDPANKSLGGFYVVDSAKELLEVLCPGTVSCADILVLAARDAVELTGGPSVAVPLGRRDGLVSSASNVRPNMVDTSFSVDELAQRFTSKGLSMDDLVVLSGAHTVGSSHCDAFSERFKQSSNGTMVPVDTSLEKGYAKQLAETCRAGASATTMVDNDPSTPSLFDNQYYVNLLANRGLLHSDSVLVTDARTRRTVEAFSESQDAFFMSWAESFVKLSIIDVKTGDDGEIRFSCSSVNG >Ma09_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13182628:13183695:-1 gene:Ma09_g17610 transcript:Ma09_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNSFLLLAAIAGFLLLPASSLSASTFFFPPPPPTINPWLPFRNLSGCHHGDDKPGLAGLKHYLKHFGYLPTSSMNSTDSFDDALEIAIQSYQRFFGLNATGEIDEPTVDQLMAPRCGVADFVNGSSALPGRNLYAYFPDAPTWPFWRRNLKYAITATSDVPIDRAVLKAVFARAFGRWSSATTLTFEETDSAADADITIGFYRGEHGDGEPFDGVLGTLGHAFSPTDGRLHLDAAEAWVAEGDMTKGSSDVAVDLESVAVHEIGHLLGLGHSAAADAIMYPAIKTRTRKVELTTDDVEGIQSLYGSNPNYRGAMPSAPSTSSPETNGGAPARRWRDRLASTVIAVGLSLLLR >Ma02_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27880392:27892908:1 gene:Ma02_g22590 transcript:Ma02_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] MLVACAGGGPLKPCLASGEKSGGRGGLLGVSSVKRRWWRKTGLDEAPPRCAVTARARLSNFFSSPFGLDSQTSQTQDVSQLLWIGPVPGDIAEVEAYCRIFRAAEQLHSAIMNTLCNPETGECAVPYDIPSEDVPLLEDKVVAILGCMLALLNRGREDVLSGRASFVNSFQASDVNSLDGKLPPLAVFRGEMKRCSESLQVALANYLAISDSHSTDIWRRLQRLKNACYDAGFSRSDGYPCPTIFANWCPVYFSTIKEDNVPEDSEVAFWRGGQVTDEGLAWLLEKGFKTIVDLREEVVKDEYYLTAIKKAVSRGKIELVNLPVEVGTAPLMEQVEQFAMLVGDPNRRPIYLHSREGVGRTSAMVSRWRQYVTRSSVQSVSTHQLNLNGKPWKHATEEGSQKLQNSISSEYSEGISLEDDIISQSFSDASPSTLETQHQNEKMNSKPALVDSSLPKHDINAGQCSNFSTGSDPLKSQFPTCNIFSRKEVTEYFRSREISPKTYVIKLQKRSEPLSITGESYKLLGQSNGTLMESKLSGQTQSEKSKEKPSDGYLNLGVNPSSFTNGKLSKNVNTATFDVNVNGYHKLGDNDTTEPSVNNLSTNFGGQAFSITSGEGKKKNAKSSMELESDSLALVGGDMCASTTGVVRIQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTALLLKKLGKALMEEAKEVASFLYYQEKMNVLVEPDVHDIFARIPGFGFIQTFYNQNSSDLHERVDFVVCLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEEYRKDLRAVIHGNNTLGVYITLRMRLRCELFRNGKAVPGKVFDVLNEVVVDRGSNPYLCKVECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAQLEMKIPDDARSNAWVSFDGKRRQQLSKGDSIRIAMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >Ma05_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7390420:7395892:1 gene:Ma05_g10260 transcript:Ma05_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGLFDGGSGGGDRVVADAPYRSSIAMSAGSISQPFLTSPSLPNPVFSSPGLSLALQTNMEGQGDAAVAGKGGRGEPDSLRRSKEDENESRSGGSDNLEVISGDDFEQENPRKKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLSLEARQVKFWFQNRRTQMKTQIERHENTILRQENDKLHAENLSIREAMRNPICSSCCGPAVLGDVSLEEQHLRIENARLKDELDRVCSLIGKFLGKPISVLSGPVPVSISNSSLELAVGTNAFGGLGSVVTTTLPPVADFAAGLSSPLGTVVTPARNAGTGAIGGGADKSQERFVLLELALTAMDELLKMAQMGEPLWIPGVDGSKETLNYDEYDRSVPCCIGAKPIGFVSEATRATGAVIINSLALVETLMDANRWADMFPSIVARSTTTGVISGGMGGSKNGSLQLMQAELQVLSPLVPVRHVQFLRFCKQLADAVWAMVDVSIDGIRDSTSAQQTNCRRLPSGCVVQDLPNGYSKVTWVEHAEYDEATVHPLYRPLLRSGMALGAHRWVAALQRQCHSLAVLVSSSLPPGDNTRLTLGCALAAITPSGRRSMLKLAQRMTDNFCAGVCASSAHQWNKLRGGVDIGEDVRVMTRQSVANPGEPPGVVLSAATSVWLPVAPQRLFDFLRNERLRSQWDILSNGGPMQEMAHIAKGQDTTGNAVTLLRASAVSADQSSMLILQETCTDASGSLVVYAPVDVPAMHLVMSGGDSSYVALLPSGFAILPDGRKAVGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTVQKIKAALRCEA >Ma09_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19219984:19220656:-1 gene:Ma09_g18870 transcript:Ma09_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVANKTSWFLKMNPIGKAPVLETPDLTRSKADNPLYGTSLVEYAHIEQWIDFAPTEIAPRVGFGPYLAGSVEEAAISSLKRALGALNTHLTSNISRRPCCYFGRYFYDL >Ma03_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25746979:25747068:1 gene:Ma03_g20570 transcript:Ma03_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSVHTDTEGLRTFLPPILTVHRSILI >Ma01_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6805337:6810644:-1 gene:Ma01_g09410 transcript:Ma01_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSSIGMCLTETLDEMVSSGTLSPELAIQVLMQFDKSMTDALETQVKSKVSIKGHLHTYRFCDNVWTFILQDAVFKSEDCHDQVKRVKIVACDSKLLTQ >Ma03_p23450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28000078:28005244:1 gene:Ma03_g23450 transcript:Ma03_t23450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKAALNEHVDLVSELLEKFSAELRSGFGPAVDNFVGFFHAIDWKEPWLICLLTFHFFLLVTTMLSRKNVNFQLCLSLLSFSGVYFAERINSFLGENWKSFSSQNYFDPHGLFISVLWSGPLLIITILIVVNTLFTLCHLMVKWKKAELRHRARLVRDKQE >Ma03_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28000078:28005244:1 gene:Ma03_g23450 transcript:Ma03_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKAALNEHVDLVSELLEKFSAELRSGFGPAVDNFVGFFHAIDWKEPWLICLLTFHFFLLVTTMLSRKNVNFQLCLSLLSFSGVYFAERINSFLGENWKSFSSQNYFDPHGLFISVLWSGPLLIITILIVVNTLFTLCHLMVKWKKAELRHRARLVRDKQE >Ma10_p04740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15397982:15399923:1 gene:Ma10_g04740 transcript:Ma10_t04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 1 [Source:Projected from Arabidopsis thaliana (AT1G63270) UniProtKB/Swiss-Prot;Acc:Q9C8T1] MTLLKPPPPRILLNNVSCMRNGQTVLRNINVSVHDGSSLVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDITSPGVFQQYKLQLNWLSMKDAIKEKLTVLDNVQWFEVLEGKCGKSMDALELMGLGRLAKEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVRMLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPARFPRRKTLVDMVHSV >Ma10_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15398058:15399923:1 gene:Ma10_g04740 transcript:Ma10_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 1 [Source:Projected from Arabidopsis thaliana (AT1G63270) UniProtKB/Swiss-Prot;Acc:Q9C8T1] MTLLKPPPPRILLNNVSCMRNGQTVLRNINVSVHDGSSLVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDITSPGVFQQYKLQLNWLSMKDAIKEKLTVLDNVQWFEVLEGKCGKSMDALELMGLGRLAKEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVRMLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPARFPRRKTLVDMVHSV >Ma06_p34620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34770717:34774168:-1 gene:Ma06_g34620 transcript:Ma06_t34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLAAAVAVTAMLAVLLAPARATGSASTIAIAHGTDIVCGIVARTSPRSVQCARAGVADAQPFPLLPNISFSSISGGRSSLCGLSADGTAFFCWNAANSSSGTLPRKRVYNGPVALEELAVGEAQIAAVDRNRTFVQWWRQYRGFPSNVSGSYSSVTSGRDFTCAVNASGSVNCWGPGNRTIQDAFASANMSTIVAGDSHVCGIDVGGSLICVGNNDTGQATPPMGSAFEFGSLALGSNHTCAIRRANGTVVCWGGGTGGEYTPTNSTPFEFIVAAGNLTCGLTTANFNVLCWGTDRKDPAVTKLQLPTLLPGVCTSSQSSCGCGLFADSETLCSGSGVICQRCGVGVVQPSPRPPSPSSAPSTPPSATSSTKTNKRWLAFAIIGSVGTFSGVCSIVYCVWIGVCKRKKVHNSVQPTITAAGNGGSHAAHSSATGAGSIVPSPFTSPSGSRSRIFRRQGSRVMRRQRSGPSSFKDRAEEFSLSELAAATKNFSLETKIGAGSFGTVYKGKLPDGREVAIKRSETGPRAKKFQEKESAFQSELAFLSRLHHKHLVGLVGYCEEKEERLLVYDYMKNGALYDHLHPKNGEESSVLNSWKMRVKVLLDAARGIEYLHNYAVPPIIHRDIKSSNILLDGNWVARVSDFGLSLMGPESEGEHLSARAAGTIGYMDPEYYGMHHLTVKSDVYGLGVVMLEALTGKNAIFKDEDGNPTSVVDYAVPCVVAGEVGKVLDARVGQPGLHEAEAVELVAYTAVHCVNLEGKDRPSMTDIVANLESALALCEESHGSISSASMSFASAD >Ma10_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8046153:8046751:-1 gene:Ma10_g02610 transcript:Ma10_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSAVLGRAAALRRRAMSCAQAPFVPTRATSGVPPLSPRPDFYVRDRYLSTLTALLLRPPGCFRGYARMRRRSPPVQRPPESESESESESDAEESDLSAEEAGQIDDDGAESEEWEGFMIDFGGVGKDKDSDNDNQVGA >Ma07_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28467319:28469340:-1 gene:Ma07_g20590 transcript:Ma07_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIDMYRSCPSTSADSVDEELMRALEPFMTSDSASSLPHSPPPSSTSTLTYPSPSSLTSFLYPSPVSSPSLFFHSSIQNPNLDSYSLQNTTFGSYPYQDPTLDIMCRIGSVGLSPAQIQQIQAQFLFQQQQQQSLLAARQLPPNHHRHHHQSTGFLGPRPQPMKHTVSPAVSKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDLARLNFPNYRHAAAAAPGPLHSTVDAKLQALCQNLANSSKRGGGPPRSSTLQPNPEPATIGSGDPAPTIVEEAAARGDLVCQGSEDNKSESSSEGEDSSSGSSSASAFEMQRLDFTEMPWDETDNLMLRKYPSWEIDWDSILSSSN >Ma02_p10070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19767382:19773047:1 gene:Ma02_g10070 transcript:Ma02_t10070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEREHNRMHGTSRRDAVSESSSPTARSLGVFLSLDSSSQRIFMRTASLGRIGGTGYWQLGSIRPNLIVEGIPADTTRPAAAGDNQAIGAEMAGNGLKQGSLSRMSSRDSWPRGSISFSSDRGTIDNLALPQELIDKIDSSLAQVGCRGSRVDDKEHEDGVVTFADDSLILSFNSRSYEANLPSVVTPLTEEIVSPLPTDSILSTTGKGQMTGIASPKDKQYKLPFWLDYISYLSHLAVFGILGVYTRYLLQKLFGPGLLRLTGDNPLYLDLPSNMIGSFLMGWIGFVFKADILHVSEHLLVGLSTGYLGSLTTFSGWNQKMLESSSKGHWVYTVAGLILGTFLVNYSIIVGVGCAGGLRRIIIDWCEKRTTNLEKWRVDNRNKHVVVMAAFLLIWCLLWTLSGEFFRVKLNRVSNSAVLWLAFLVGPPGVWLRWRLARFNGQGIGSKRMLKWLPIGTLSANVLAAGIMAAVAIISKAVNTKRCSIIVSGFQLGFLGCLSTVSTFAAEIYGMWKTGHGWRAFFYIIVTIVPSFALGTLVYSVPIWFKHYES >Ma02_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19768537:19773047:1 gene:Ma02_g10070 transcript:Ma02_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREHNRMHGTSRRDAVSESSSPTARSLGVFLSLDSSSQRIFMRTASLGRIGGTGYWQLGSIRPNLIVEGIPADTTRPAAAGDNQAIGAEMAGNGLKQGSLSRMSSRDSWPRGSISFSSDRGTIDNLALPQELIDKIDSSLAQVGCRGSRVDDKEHEDGVVTFADDSLILSFNSRSYEANLPSVVTPLTEEIVSPLPTDSILSTTGKGQMTGIASPKDKQYKLPFWLDYISYLSHLAVFGILGVYTRYLLQKLFGPGLLRLTGDNPLYLDLPSNMIGSFLMGWIGFVFKADILHVSEHLLVGLSTGYLGSLTTFSGWNQKMLESSSKGHWVYTVAGLILGTFLVNYSIIVGVGCAGGLRRIIIDWCEKRTTNLEKWRVDNRNKHVVVMAAFLLIWCLLWTLSGEFFRVKLNRVSNSAVLWLAFLVGPPGVWLRWRLARFNGQGIGSKRMLKWLPIGTLSANVLAAGIMAAVAIISKAVNTKRCSIIVSGFQLGFLGCLSTVSTFAAEIYGMWKTGHGWRAFFYIIVTIVPSFALGTLVYSVPIWFKHYES >Ma02_p10070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19768564:19773047:1 gene:Ma02_g10070 transcript:Ma02_t10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREHNRMHGTSRRDAVSESSSPTARSLGVFLSLDSSSQRIFMRTASLGRIGGTGYWQLGSIRPNLIVEGIPADTTRPAAAGDNQAIGAEMAGNGLKQGSLSRMSSRDSWPRGSISFSSDRGTIDNLALPQELIDKIDSSLAQVGCRGSRVDDKEHEDGVVTFADDSLILSFNSRSYEANLPSVVTPLTEEIVSPLPTDSILSTTGKGQMTGIASPKDKQYKLPFWLDYISYLSHLAVFGILGVYTRYLLQKLFGPGLLRLTGDNPLYLDLPSNMIGSFLMGWIGFVFKADILHVSEHLLVGLSTGYLGSLTTFSGWNQKMLESSSKGHWVYTVAGLILGTFLVNYSIIVGVGCAGGLRRIIIDWCEKRTTNLEKWRVDNRNKHVVVMAAFLLIWCLLWTLSGEFFRVKLNRVSNSAVLWLAFLVGPPGVWLRWRLARFNGQGIGSKRMLKWLPIGTLSANVLAAGIMAAVAIISKAVNTKRCSIIVSGFQLGFLGCLSTVSTFAAEIYGMWKTGHGWRAFFYIIVTIVPSFALGTLVYSVPIWFKHYES >Ma07_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12463304:12467852:1 gene:Ma07_g16080 transcript:Ma07_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSSANLLELAAGDDADFAASPLRPPLLPRLMADDGTPASPSQRERRIIVSHRLPLRAAPDPSAPGGLSFSWDPDALALQLRSGLPPAAEVFHVGTLAAAATVDPATHAAISRVLYDRFRCLPVLLPADLHRRFYHGFCKHYLWPLLHYLLPLSPSSPGGLPFDRTLWLSYLSANKLFADRLIELLNPDDDLVWIHDYHLLALPTFLRRRSPRVKLGFFLHSPFPSSEIFRTIPVRDELLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRMVTVKILPVGIDMNQHESVISSPETTVKVQELADRYKDRILMLGVDDVDLFKGIGMKLLAMEQLLEEHPQLRGRAVMVQIANPARSEGKDVQEVRDEIGSISRRINERFGGPGYEPIVLINRTVPTYEKAAFYAVAECCIVNPVRDGMNLVPYNYTVCRQQSPALTDAPKKSMIVVSEFIGCSPSLSGAIRVNPWNVDAVAEAINLAITMPETEKQLRHEKHYKYVSSHDVAYWAKSFDQDLQRACKDHYLRRCWGMGFGMNFRVVALGPNFRKLSVEYIVSAYHRTNSRLILLDYDGTMMPPSSIDKKPSSEVISVLNGLCSDPKNIVFLVSGRGKDELSRWFAPCEKLGISAEHGYFTRWNKDAPWELCMLATDFDWKKIAEPVMRLYMEATDGSSIEHKESALVWHHQEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEINPQGISKGMVVDNLMATMLSTGKAPDFVLCIGDDRSDEDMFESISSYTNNSSVPAIAEVFACTVGQKPSKAKYYLDDTVDIIKMLQGLANASSVQPPRPAQPQVSFEGSL >Ma06_p36620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35941096:35946655:1 gene:Ma06_g36620 transcript:Ma06_t36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALVIGGWFAQSFIQTLLDKASNCAIQQLARCRGLHDDLRRLRTSLLRIHAILDKAETRWNHKNTSLVELVRQLKDAAYDAEDLLEELEYQAAKQKVEHRGDQISDLFSFSLSTASEWLGADGDDAGTRLREIQGKLCNIAADMMDVMQLLAPDDGGRQFDWKVVRRETSSFLTETVVFGRDQEREKVVELLLDSGSGNSSFSVLPLVGIGGVGKTTLAQLVYNDNRVGNYFHLKVWVCVSDNFNVKRLTKEIIESATKVEQSDKLNLDTLQQILKEKIASERFLLVLDDVWSENRDDWERLCAPLRFAARGSKVIVTTRDTKIASIIGTMKEISLDGLQDDAYWELFKKCAFGSVNPQEHLELEVIGRKIAGKLKGSPLAAKTLGSLLRSDVSQEHWRTIMESEVWQLPQAENEILPVLWLSYQHLPGHLRQCFAFCAVFHKDYLFYKHELIQTWMAEGFIAPQGNKRVEDVGSSYFHELVNRSFFQESRWRGRYVMHDLIHDLAQFISVGECHRIDDDKSKETPSTTRHLSVALTEQMKLVNFSGYNKLRTLMINNQRNQYPYMTKVNSCLLPQSLFKRLKRIHVLVLQKCGMKELPDIIGDLIQLRYLDISYNARIQRLPESLCDLYNLQALRLWGCQLQSFPQGLSKLINLRQLHVEDEIISKIYEVGKLISLQELSAFKVLKNHGNKLAELSGLTQLHGTLRITNLENVGSKEEASKAKLHSKQYLEALELEWAAGQVSSLEHELLVSEEVLLGLQPHHFLKSLTIRGYNGATVPSWLDVKMLPNLGTLKLENCTRLEGLSYIGQLPHIKVLHMKRMPVVKQMSHELCGCTKSKLFPRLEELVLEDMPTLKEFPNLAQLPCLKIIHMKNMFAVKHIGHELYGDIESNCFLSLEELVLQDMLTLEELPNLGQLPHLKVIHMKNMSALKLIGRELCGSREKIWFPRLEVLVLKNMLALEELPSLGQLPCLKVLRIQVSKVGHGLFSATRNKWFPRLEELEIKGMLTFEELHSLEKLPCLKVFRIKGLPAVKKIGHGLFDSTCQRECFPRLEDLVLSDMPAWEEWSWAEREELFSCLCRLKIEQCPKLKCLPPIPHSLIKLELWQVGLTGLPGLCKGIGGGSSTRTASLSLLHIIKCPNLRNLGEGLLSNHLPHINAIRIWECAELLWLPVKRFREFTTLENLSIRNCPKLMSMTQCEENDLLLPPSIKALELGDCGNLGKSLPGCLHNLSSLTQLAISNCPYMVSFPREVMLHLKELGTVRIENCDGLGSIEGLQVLKSLKRLAIIGCPRLLLNEGDEQGEVLSLLELSVDKTALLKLSLIKNTLPFIHSLRIIWSPQKVMFDLEEQELVHSLTALRRLEFFRCKNLQSLPTELHTLPSLHALVV >Ma05_p32050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41738784:41741714:-1 gene:Ma05_g32050 transcript:Ma05_t32050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMLVANSGYIRRKVMESERANLGCIDLSDVPGGAEVFEKAAKFCYGMNFEISVHNVTALRCAAEYLQMTEDYSRGNLAERAEEFINQAAVKTLPGAVALLRSCEGSLLPMAEEVRIVQRSVDAISLKAFNEANIPTRSPPDWWAGELAALAPASLQKILSAMKSRGVAPKSLAAAVVVYAEKSLAELLPLSSGAPASNHSGDARIRQCGLLESLVHVLPPHPDAPLPVGFICCLLRAALFVEASAACRQELEQRASASLEQATVADLLTVSLDYSGERIVDLDSARRIVASFAEREAGGGGGALYGGGGGVACCSAAVQKVARTVDGFVGEIATDEELSVSKFAGIAGALPKSARRFDDDLYRAVDIYLKAHPGLAEIEREKVCSVMDPLKLSYEARLHASQNKRLPLQIVLHALYYDQLKLRSGIDGEAAAGALGVTLGRDEGLKADVSLIRENETLRSELAKMKMYVSELQRGGGGGGGEGSGVKIGSKKTSFFSSVSRTLGKLNPFKQGSKDTSNIDDGVGVDVTKPRRRRFSIS >Ma05_p32050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41738784:41741714:-1 gene:Ma05_g32050 transcript:Ma05_t32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPSSRLCAAMEKTSQWVLSQDIPSDIIVQVGDDTFRLHKFMLVANSGYIRRKVMESERANLGCIDLSDVPGGAEVFEKAAKFCYGMNFEISVHNVTALRCAAEYLQMTEDYSRGNLAERAEEFINQAAVKTLPGAVALLRSCEGSLLPMAEEVRIVQRSVDAISLKAFNEANIPTRSPPDWWAGELAALAPASLQKILSAMKSRGVAPKSLAAAVVVYAEKSLAELLPLSSGAPASNHSGDARIRQCGLLESLVHVLPPHPDAPLPVGFICCLLRAALFVEASAACRQELEQRASASLEQATVADLLTVSLDYSGERIVDLDSARRIVASFAEREAGGGGGALYGGGGGVACCSAAVQKVARTVDGFVGEIATDEELSVSKFAGIAGALPKSARRFDDDLYRAVDIYLKAHPGLAEIEREKVCSVMDPLKLSYEARLHASQNKRLPLQIVLHALYYDQLKLRSGIDGEAAAGALGVTLGRDEGLKADVSLIRENETLRSELAKMKMYVSELQRGGGGGGGEGSGVKIGSKKTSFFSSVSRTLGKLNPFKQGSKDTSNIDDGVGVDVTKPRRRRFSIS >Ma10_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27362951:27365141:1 gene:Ma10_g15140 transcript:Ma10_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGRLGSSESILSVSDLEPSRDAPSTRKRVLLWLAFVAVLLLAASGVSVALFFRGRIPSVSPARRRAPSQAISRACGRTRYPALCVSSLLDFPGALEAAGERDLVHVSVNMTLHRVGAAIHGASAIAGAAMDTLARSAYDDCMELLDHSLDLLSNSLLVVSPSSQARVKAVSGEDVLTWLSGALTNQDTCNEGLQPVKDLYVKKHMANQLKDLTELVSNCLAIFSGVSRNEDFTGIPIHNKKRKLLNAIDVDDGFPAWVAKKDRRLLQVPAADIQADMVVSKDGNGTYTSIVDAVKAAPEYSSRRIIIYIKAGRYYENIKVGRKKTNLMFIGDGKNQTIIAGSRSVYDNFTTFHTATFAATGTGFIMRDITIQNWAGPEKHQAVALRVGADHAVVYRCNVIGYQDTLYVHSQRQFFRECDIYGTVDFIFGNAAVVLQNCSLWARKPMDMQKNTITAQNRKDPNQNTGISIHACRVVAAADLEPVKASYSTYLGRPWKLYSRVVYMMSYMEDHIHQAGWLEWNATFALDTLYYGEYMNYGPGGAVGKRVTWPGYRVITLPEEASKFTVAQFIYGSSWLPSTGVAFLSGLSV >Ma09_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:474760:476191:1 gene:Ma09_g00700 transcript:Ma09_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVDEEITKVEEVTTHDSGLCSAAMVNVAQKLLAEAIGTFFVVFAGCGSVVVNKMYGSVTFPGICITWGLVVMVMVYSVGHISGAHFNPAVTTTFTILKQFPLKQLPLYMVAQLVGAILASGAVYLLFDPKAEHFYGTTPVGSAVQSFVLEIIISFLLMFVISGVATDTRAIGELAGIAVGSTILLNVLVAGPISGASMNPARSIGPAIVMRNYKAIWAYVLGPMIGTLAGGFTYNLVRYTDKPLREITKSSSFLKSVSRNR >Ma07_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31134505:31135916:1 gene:Ma07_g23510 transcript:Ma07_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEEGDRWQMKLKEELIKAQQLATRLMEVLQSGPGEESLVDQVLSAVSKALVILDAEGKAAAPLGDEHGTEISGLKKKKILLPRRVGYRRRAHPYKCRTILAVTNEDGYAWRKYGQKDIHGAAHPRSYYRCIHKHDRGCQATRQVQKTEDDASVFSITYMGEHTCADAATLPVQVPPCVISFESNTAGQGVPSLKQECDEEVVSSHSPADDSSSVFPDFSAFMVSAAAAADQYDVTSVFRSSTCSLDMEFDPETFNFDGVFSQGDEYIS >Ma06_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10335868:10336512:1 gene:Ma06_g15180 transcript:Ma06_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAETFLSLTLLLIYFTSGERVQLVQGQKTWCVAKPSSDDATLLENINYVCSQVDCSVLQRGFPCFYPDNLMSHASIAMNLYYQSRGRNPWNCFFNNSALVVTTDPSKTKVDEDVIMSAPIYRSRSTCAGYGSCAYA >Ma04_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20689939:20705615:1 gene:Ma04_g18630 transcript:Ma04_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MAFFKTVIAKLATEASSNRCNPFIAFVLGGPGSGKGTQCVKIAETFRFAHLSAGDLLREEMSSNSENGTMIHDIIKDGKIVPSEITVNLLRKAIHSSGNHKFLIDGFPRNEENRISFERIVGVEPDIVLFFDCPEEEMVKRVLNRNQGRVDDNIDTMKKRLEVFKKLNLPVIDYYSAKGKVCKINAVGTVNEVFEKVQPVLAALRI >Ma05_p28490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39474518:39477960:1 gene:Ma05_g28490 transcript:Ma05_t28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGDETASTENHAAPQLNERILSSMSRRSVAAHPWHDLEIGPGAPGVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDSDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNDLNELSPHRLNEIRRFFEDYKKNENKEVAVNEFLPANTAREAIQHSMDLYAQYILHTLRR >Ma10_p27070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34476002:34480441:-1 gene:Ma10_g27070 transcript:Ma10_t27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVEKKHPAEAEGEMCAPAARVRGGGGEGLRQYYLQHIHDHQLQIRQKSNNLQRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDLDKSIDITKLTPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >Ma01_p05720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4044656:4047500:1 gene:Ma01_g05720 transcript:Ma01_t05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPATDEIPRPDSGKRHYGDTPASVVGDQIRGSPPPPRSSFPSYLDAGPELSPKARLLCEILASNPPTEVERALDSVGVRVSTEDVEAVLKFSYSQPGAAVAFFRWAGSRHLADRHSPYAWNLVVDLLGKNLHFEAMWNAVRSMRSEGLLSLATFASIFSSFCATGRPDDALAAFDTMNLHGVPRDTAALNSLLSAVCREGRVATARLFCDGSRSSVAPDADSFAILLEGCENDVDHRSAREVFDDMIATVGWDPANVQAYDSFLTILVRSGSYGFAEALRFFEKMKQKRCFPGMKFFRDAIEVLVKKKDAHEAMNIWEELMGRNGCFADTPMYNSMIALQCDLNQIDVALRFFDEMIIYGAFPDSQTYNVLIQFLLKGKKLREAASLFNEMVKNECCPTAANCASLMKTLMDSADFDMALKVWKCMTDNGLPPLEEAGNMLVLALRDVDMLPEACKYAEDMIDRGIKLNSSTLSKLRQSLLKIEAGDDHAFDMVVMEAHLFPIAW >Ma01_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4044656:4046277:1 gene:Ma01_g05720 transcript:Ma01_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPATDEIPRPDSGKRHYGDTPASVVGDQIRGSPPPPRSSFPSYLDAGPELSPKARLLCEILASNPPTEVERALDSVGVRVSTEDVEAVLKFSYSQPGAAVAFFRWAGSRHLADRHSPYAWNLVVDLLGKNLHFEAMWNAVRSMRSEGLLSLATFASIFSSFCATGRPDDALAAFDTMNLHGVPRDTAALNSLLSAVCREGRVATARLFCDGSRSSVAPDADSFAILLEGCENDVDHRSAREVFDDMIATVGWDPANVQAYDSFLTILVRSGSYGFAEALRFFEKMKQKRCFPGMKFFRDAIEVLVKKKDAHEAMNIWEELMGRNGCFADTPMYNSMIALQCDLNQIDVALRFFDEMIIYGAFPDSQTYNVLIQFLLKGKKLREAASLFNEMVKNECCPTAANCASLMKTLMDSADFDMALKVWKCMTDNGLPPLEEAGNMLVLALRDVDMLPEACKYAEDMIDRGIKLNSSTLSKLRQSLLKIGKGSIHDHLLRKWKSR >Ma06_p28810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30381279:30381791:1 gene:Ma06_g28810 transcript:Ma06_t28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDISEQSFGKSYWENLEHYKDMELQSLKWQTSMIDRFSEQNSNGCCTRESLLLSTQHQFEAPAPKATSWHVQVL >Ma09_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8640128:8644190:-1 gene:Ma09_g12800 transcript:Ma09_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGDGSISLEQIKNESVDLERIPVEEVFAQLKCSREGLSSPEGEQRLQIFGPNKLEEKTESKFLKFLGFMWNPLSWVMEIAAIMAIALDNGGGEPPDWQDFIGIVVLLLINSTISFIEENNAGNAAAALMAGLAPKTKVLRDDKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDEVFSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAIGMIIEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFVSGLDKDSVVLYAARASRVENQDAIDASIVNMLADPKEARAGIQEVHFLPFNPVDKRTAITYIDSNGKWHRSSKGAPEQIIDLCNLRDDAKKKVHSMIDKFAERGLRALGVARQEVPEANKESAGGPWQFMGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSTLLGEKNDDVSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWRFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLREIFATGIVLGTYLALMTVVFFWLAHDTDFFPEKFGVKPIRDNLNELTAALYLQVSIISQALIFVTRSRSWSFVERPGLLLVTAFIAAQLVATVIAAYASWGFARIQGIGWGWAGIIWLFSLVTYFPLDVLKFIIRYTLSGKAWDNLLENKTAFTTKKDYGRGEREAQWALAQRTLHGLQPADTPGLFNDKSSYRELSEIAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >Ma03_p32240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34131596:34133242:-1 gene:Ma03_g32240 transcript:Ma03_t32240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARASANVQEMDPVERLTSGFERFKKEVYEENPDLFSQLAVGQSPKFMVFACADSRVCPSVVLNFQPGEAFTVRNIANMVPPYDQTKYSGVGAAIEYAVLNLKVEYIVVMGHSSCGGIKGLMSIEEDGTTSTDFIEEWVKICLPAKEKVVAEHAALSFADQCTQCEKEAVNVSLENLKTYPFVSDALEKTTLKLIGAHYDFVAGSFEAWET >Ma03_p32240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34131596:34133332:-1 gene:Ma03_g32240 transcript:Ma03_t32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVERLTSGFERFKKEVYEENPDLFSQLAVGQSPKFMVFACADSRVCPSVVLNFQPGEAFTVRNIANMVPPYDQTKYSGVGAAIEYAVLNLKVEYIVVMGHSSCGGIKGLMSIEEDGTTSTDFIEEWVKICLPAKEKVVAEHAALSFADQCTQCEKEAVNVSLENLKTYPFVSDALEKTTLKLIGAHYDFVAGSFEAWET >Ma04_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1632552:1637265:-1 gene:Ma04_g01860 transcript:Ma04_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPYVSLLSKALAFVPCCRPADAALFLATHSYSAASGAPESSLMAEYLVSYCGFHPDQAAKASKLLGRVESRHQPDSVLGFFKSYGFDNTQVKKVISANPRWLLLDVEKTLAPKFRALQDLGFSCSDITHLVRSNNDVISDKSQTILSKIQLWQGLLGSNDLLMNLCKKNRRFLGYSIEKTIQPNIEILRDCGMTDQKLSMILRQYPLLITRNAETLKALISRAEGLGVACTSGMFPLTLTVLHNVSENNFKAHLEFFEGFGWSEDDFLAAFRKAPTFVQISLKSLQRKLEFLVNEAGCAPSHIALRPDILLCSLEKRLMPRHQIVTGLKSRGVCISNLSMSTYVKYSEKKFVEKFVNRYKEYPELIELYNGVPKNITAFDRGNA >Ma09_p29600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40016859:40019913:1 gene:Ma09_g29600 transcript:Ma09_t29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTMLSAEAGVAVALLFKTPLRKLVILGLDRFKRGRGPVMVKTVAGTVVIVLASSLYSMAKIRSRSAEFGAFTPTDQVLMSRHLLEASLMGYSLFLVLIIDRLHHYIRELRGLRKSMEAVMKQNRVLEETKCGGSDEIKTRDREIACLNEQIKHLKFESEERIKEARAAEANVMALKKQSDDLLIEYDRLLEDNQNILNQLHSIDH >Ma09_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:752628:755722:1 gene:Ma09_g01030 transcript:Ma09_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPAWEAIIMAAILVQVCFSRGLQPNPAVPDRITKLPGQPQVTFQQFSGYITVDELQKRALFYYFVEAEMDPSSKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVRNEYSWNKEANMLYLEAPAGVGFSYSGDSSFYDGVNDKKTAWDNLVFLQRWFNKFPGYKNRDLYITGESYAGHYVPQLAQLMVEFNKKAKIFNLKGIAMGNPVLEFATDFNSRAEFFWSHGLISDSTYRIFTSACNYSRYVSEYYRGSLSPVCARVMSQVTRETSRFVDKYDVTLDVCISSVLSQSLVLSPQQVPENIDVCIEDETVNYLNRKDVQDALHARLTGVTKWTVCSSVLQYELLNLEIPTITLVGSLLKARIPVLVYSGDQDSVIPLTGSRTLVQKLANEMGLKTTVPYRVWFEGKQVGGWTQVYGDILSFATIRGASHEAPFSQPERSLVLFRSFLQGRPLPETFSGFP >Ma06_p28630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30276559:30277454:-1 gene:Ma06_g28630 transcript:Ma06_t28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVPSDGWLVYDESNNSYDLNTRILKTAVICLAAALVVMVFLHLYVRHFLLNRRRSGGLTTSHSLRFYVNNPANDEPGNEGLDSTAISALPTHPYRMAGERGGCQDEGRRAECAICLSAVEEGETVRALPSCKHLFHVGCVDMWLGSHSTCPVCRTAVDHPPNTTVDVDEASTVPPARDTQDPSGAAPASQESTPSGRKDSVSASSRLSSSFRMMLSWERSTARRAQGEGLEDMERQ >Ma07_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21819943:21820474:1 gene:Ma07_g17770 transcript:Ma07_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQRQSQHWARTTPKGRGRRAALAPKLLDAWPQRLLAGFRRILTGLFSPPHRPRTASLQRAPAVPDEPKRSCSSYLYPLNAHYEEAITDCIEFFNRSSQDTRVIGQSCDDLV >Ma03_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2612824:2615583:-1 gene:Ma03_g04000 transcript:Ma03_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKLVLFLLCISVVAPIVLYTDPLATQPSYLADIHLGDEIPTLKSVDAVREPSGIVYPENSTNPDQISVHDSGRAVASNTTTLPSGKNGDRKNRVLSQDNNQTAPSETDDGAVIRQVTSRETSEGATAMESQTVLPTQSRNQKPGKRSKRDSGRMPDAKIQQFRDQLMKAKVSLGLSSSKSNPEFIRELKERVKDVERTLAYATEDTELPINAQEKLEAMEQTLAKGKQTQQDCCAVVKKLRRFYLSAEEQLRVHKKRELFLTQVAAKTLPRGLHCLSLRLTTDYYSLDSCQQQLPNRDKLENPRLYHYALFSDNVLATAVVVNSTVFHAKDPQSHVFHIVTDKLNYAAMKMWFLANPPAKATVQVQNVEEFTWLNSSYSPVLKQLASRSMIDYYFRSQHAKSDQNLKFRNPKYLSIMNHLRFYLPEIFPKLNKVVFLDDDVVVQKDLTPLWAIDLKGKVNGAVETCGESFHRFDRYLNFSNPLIAETFDPHACGWAYGMNVFDLDEWKKQNITDVYHHWQNLNDDRQLWKLGTLPAGLITFWNSTYPLERSWHVLGLGYSLNVEQKDVEAAAVIHYNGNLKPWLEIGMTKYRNFWSNYVDYNQAYLRDCNINP >Ma03_p04000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2612824:2615583:-1 gene:Ma03_g04000 transcript:Ma03_t04000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKLVLFLLCISVVAPIVLYTDPLATQPSYLADIHLGDEIPTLKSVDAVREPSGIVYPENSTNPDQISVHDSGRAVASNTTTLPSGKNGDRKNRVLSQDNNQTAPSETDDGAVIRQVTSRETSEGATAMESQTVLPTQSRNQQKPGKRSKRDSGRMPDAKIQQFRDQLMKAKVSLGLSSSKSNPEFIRELKERVKDVERTLAYATEDTELPINAQEKLEAMEQTLAKGKQTQQDCCAVVKKLRRFYLSAEEQLRVHKKRELFLTQVAAKTLPRGLHCLSLRLTTDYYSLDSCQQQLPNRDKLENPRLYHYALFSDNVLATAVVVNSTVFHAKDPQSHVFHIVTDKLNYAAMKMWFLANPPAKATVQVQNVEEFTWLNSSYSPVLKQLASRSMIDYYFRSQHAKSDQNLKFRNPKYLSIMNHLRFYLPEIFPKLNKVVFLDDDVVVQKDLTPLWAIDLKGKVNGAVETCGESFHRFDRYLNFSNPLIAETFDPHACGWAYGMNVFDLDEWKKQNITDVYHHWQNLNDDRQLWKLGTLPAGLITFWNSTYPLERSWHVLGLGYSLNVEQKDVEAAAVIHYNGNLKPWLEIGMTKYRNFWSNYVDYNQAYLRDCNINP >Ma03_p04000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2612824:2615583:-1 gene:Ma03_g04000 transcript:Ma03_t04000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKLVLFLLCISVVAPIVLYTDPLATQPSYLDIHLGDEIPTLKSVDAVREPSGIVYPENSTNPDQISVHDSGRAVASNTTTLPSGKNGDRKNRVLSQDNNQTAPSETDDGAVIRQVTSRETSEGATAMESQTVLPTQSRNQQKPGKRSKRDSGRMPDAKIQQFRDQLMKAKVSLGLSSSKSNPEFIRELKERVKDVERTLAYATEDTELPINAQEKLEAMEQTLAKGKQTQQDCCAVVKKLRRFYLSAEEQLRVHKKRELFLTQVAAKTLPRGLHCLSLRLTTDYYSLDSCQQQLPNRDKLENPRLYHYALFSDNVLATAVVVNSTVFHAKDPQSHVFHIVTDKLNYAAMKMWFLANPPAKATVQVQNVEEFTWLNSSYSPVLKQLASRSMIDYYFRSQHAKSDQNLKFRNPKYLSIMNHLRFYLPEIFPKLNKVVFLDDDVVVQKDLTPLWAIDLKGKVNGAVETCGESFHRFDRYLNFSNPLIAETFDPHACGWAYGMNVFDLDEWKKQNITDVYHHWQNLNDDRQLWKLGTLPAGLITFWNSTYPLERSWHVLGLGYSLNVEQKDVEAAAVIHYNGNLKPWLEIGMTKYRNFWSNYVDYNQAYLRDCNINP >Ma03_p04000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2612824:2615583:-1 gene:Ma03_g04000 transcript:Ma03_t04000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKLVLFLLCISVVAPIVLYTDPLATQPSYLADIHLGDEIPTLSVDAVREPSGIVYPENSTNPDQISVHDSGRAVASNTTTLPSGKNGDRKNRVLSQDNNQTAPSETDDGAVIRQVTSRETSEGATAMESQTVLPTQSRNQQKPGKRSKRDSGRMPDAKIQQFRDQLMKAKVSLGLSSSKSNPEFIRELKERVKDVERTLAYATEDTELPINAQEKLEAMEQTLAKGKQTQQDCCAVVKKLRRFYLSAEEQLRVHKKRELFLTQVAAKTLPRGLHCLSLRLTTDYYSLDSCQQQLPNRDKLENPRLYHYALFSDNVLATAVVVNSTVFHAKDPQSHVFHIVTDKLNYAAMKMWFLANPPAKATVQVQNVEEFTWLNSSYSPVLKQLASRSMIDYYFRSQHAKSDQNLKFRNPKYLSIMNHLRFYLPEIFPKLNKVVFLDDDVVVQKDLTPLWAIDLKGKVNGAVETCGESFHRFDRYLNFSNPLIAETFDPHACGWAYGMNVFDLDEWKKQNITDVYHHWQNLNDDRQLWKLGTLPAGLITFWNSTYPLERSWHVLGLGYSLNVEQKDVEAAAVIHYNGNLKPWLEIGMTKYRNFWSNYVDYNQAYLRDCNINP >Ma05_p28080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39180493:39181629:1 gene:Ma05_g28080 transcript:Ma05_t28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPQTNPDKLPAEGSFLAGAQTVRPLRDGSRADDLPATSERFWHSFDDPNLLPPEGALSAPSPVSSEAFHDLARQVRALTDMVQTIIPPVSQPTPLLKTQLLRQQEPPAWAHVMPPDPSASPRVRPTPLGDPVMADPSGPPEPEVLSPESTDSLRAQLRFLSQRLDELEKEFRNSEGELGMDAYRGSPFAPKIRDHAVPPNFHLPSSDAYDGSTDPVDHVAAFRAQLALYGTSDASMCRAFPTTLRGPARTWYSGLKTGTITSFGQLAKDFELHFVAHARPKPSAALLLGLKQREDEPLSHFVDRFATQIRSLPDTHPSLLVQAFMVGLRPSKFCWSLVERPPTTVLDMLQRANRYIAAEAWVTARKRSDSGRLAP >Ma02_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9979497:9988842:-1 gene:Ma02_g01450 transcript:Ma02_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTKTIVWFRRDLRIEDNRALATAAKDGRVLPVFVWCPSEEGQFFPGRVSRWWLKQSLEHLDQSLRSLGAPFVFIRAESTLAALLQCIGTVGATRLVYNHLYDPVSLVRDHKIKSQLETLGISVQSFNGDLLYEPWEIYDESGLAFTTFDAYWSKCMSLPIEPTLLLPPWKLVPLEGTGSVVSCPIEELGLENEIEKSSNALLSRCWSPGWSNADKVLSEFISGHLLDYSENRMKVEGTTTSLLSPYLHYGELSIRKIYQNVRIKQIQWAKEGNCRAEESVNFFLRSIGLREYSRYLCFNFPFTYERSLLGNLKHYPWRADEGQFKSWRQGRTGYPLVDAGMRELWATGWIHNRSRVIVASFFVKFLLLPWTWGLKYFWDTLLDADLESDILGWQYVSGSLPDGHELKRLDSPEVQGQKYDPDGEYVRNWIPELARVPTEWIHHPWDAPRTLLKASGVELGLNYPQPIIEFDTARDQLDDAVNMMWQLDRASRVAKLSGLEEVVADNLISLNTLDIPKVIVKEEVFCSSSSLDQKVPSLHNMKDSSIKKKPKDVSGKRSDLVGSCSPMNILEKSKIDVDLLSTAKSSSARKRSISESQCAVPICFSTGCTDPCQEYDSVDQNYSNTSHSDHPCQETYQIGEKKEEEDFDAQSSLEGSRPCKKTA >Ma01_p23300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27567972:27582432:-1 gene:Ma01_g23300 transcript:Ma01_t23300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MSSNIYNYRTIYGGGGRDASSLYSYRDSPRLPSVDPFADSDTESLYADRVPSAYTKQVIGASSRYTAAAVAMSTPSLYGSSAFDPSLLGGPKRSVEALYHQTVLGSHNSIGQTEALFSTNSLIKRPRLETGSNLPIYPQRPGEKDCAYYMMTRTCKYGDTCKFDHPLWVPEGGIPDWKEIPLIPTSESLPERPGEPDCPYYMKTQKCKFGIRCKFNHPKENGNASAGELGAEVSETADISILPERPSEPVCTFYMKTGKCSFGTSCKFHHPKDLQILSNIQDSVRHGQLEPQGQNSKTYVPFTPALMHNSKGLPIRPGEPDCPFYLKTGSCKYGSTCRYTHPERIINPSLVAGLGQSFLPSAAANLSFGGLNPAANFLQNIDLRSAQASISVIPTIYPQRPGELECDFYMKTGQCKFGERCKFHHPIDRSAPTSVLKQTPQQTVKLTLAGLPRREGAVICSFYMKTGTCKFGAACKFDHPPPGEAIAMATIQGTAEGEETKDAPEVQQ >Ma01_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27567972:27582432:-1 gene:Ma01_g23300 transcript:Ma01_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MSSNIYNYRTIYGGGGRDASSLYSYRDSPRLPSVDPFADSDTESLYADRVPSAYTKQVIGASSRYTAAAVAMSTPSLYGSSAFDPSLLGGPKRSVEALYHQTVLGSHNSIGQTEALFSTNSLIKRPRLETGSNLPIYPQRPGEKDCAYYMMTRTCKYGDTCKFDHPLWVPEGGIPDWKEIPLIPTSESLPERPGEPDCPYYMKTQKCKFGIRCKFNHPKENGNASAGELGAELQVSETADISILPERPSEPVCTFYMKTGKCSFGTSCKFHHPKDLQILSNIQDSVRHGQLEPQGQNSKTYVPFTPALMHNSKGLPIRPGEPDCPFYLKTGSCKYGSTCRYTHPERIINPSLVAGLGQSFLPSAAANLSFGGLNPAANFLQNIDLRSAQASISVIPTIYPQRPGELECDFYMKTGQCKFGERCKFHHPIDRSAPTSVLKQTPQQTVKLTLAGLPRREGAVICSFYMKTGTCKFGAACKFDHPPPGEAIAMATIQGTAEGEETKDAPEVQQ >Ma03_p25550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29542166:29542933:1 gene:Ma03_g25550 transcript:Ma03_t25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARSRQSLLAVNERTQKRKLSNRESARRSRMRKQQHLLELTSDETRLKLENRQILERVERLAQGHQWLESENAVLRAEVAELAESLRSLESVLCYAEEFDGVATDAVKPSDHLLRRWPPACVMASASDAMLFLV >Ma06_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9925483:9927775:-1 gene:Ma06_g14500 transcript:Ma06_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEVVRDIGSGNSGVARLMRNKETKELVAMKYIPRGEKIDEKVAREIINHRSLRHPNIIRFKEVVLTPTHLGIVMEYAAGGELFERICVTGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKMENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGSPAYIAPEVLSQREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDKKNFRKTIERIRSVQYKIPEYVHLSQDCRHLLSRIFVANPAKRITIKEIREHLWFLKNLPRELTEAAQATYRKKDDNMPTYSLQSVADITQIVRMARVPPSSAFDAGFSWPDDEEEPQEGKQE >Ma04_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2956214:2960222:1 gene:Ma04_g03840 transcript:Ma04_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTLSQFLVPVISSMMVGHLGEVELAGAAIATSLASVTGFSLLVGMASALETFCGQAYGAEQYQMLGVHTWRATLSLLVVCFPVSLIWVSMEKLLLLMGQDPLISQVAGRYAMWMIPGLFAYAFAQPLMKFLQSQSLLLPMLLSSLLTLCLHVPLCWMLVFKSGLRNVGAALSINISYWLNVFILVMYIRYSASCRATRSPISKEAFRGINEFLRLALPSALMICLEWWSFEILVLLSGLLPNAKLETSVLSICLNSISLLYCIPYGLSCAASTRVSNELGAWNPKGAQLAVRVSMFLAVSEAVLVSVTLLAARHILGYAYSEEEEVVNYVTEMVPLFCFSVVSDNLQGVLSGIARGCGWQHLGAYVNLGAFYLVGIPAAVVLGFLLHGGGKGLWIGILCGSTTQTVLLVLITCFTNWRRQAIIARERIFYERLPLQNGLR >Ma03_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27773018:27775478:-1 gene:Ma03_g23140 transcript:Ma03_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEETQPMATEAEPTATEELKKAGAEEKKTETDEVAPPATAEPKKVETEEKKTEAEEVAPPADAAAATTVPQSASFKEESNVVADLVDRQKKALDELKQLVQAALANNEFSPPPPAAAPAAPADVQPPVEKDEKEGKPAEVVTAGSADEPKTLSEEPPKLPEPAPEVVEEPAAPVKEEALPPPLPASAGDEDGAKAVEAVEETVVPVSGLPPAAEEGPPAVEEAAKEPSAEALATAPVAHPEEVFIWGVPLVGDEKSDTVLLKFLRARDFKVKDALAMLKDAVIWRKQFGIEALLEEDLGLPELDKVVYTHGVDKERHPVCYNIYGEFHNKQLYEKVFGDAEKRQRFLKWRIQYLEKGIRERLDFTPGGISSMVQVTDLKNSPRLGKHRQVTKQAVTLLQNNYPEFIAKKVFINVPWWYLAVSRMMSPFFTQRTTSKFVFAGPSKSAETLFKYIAPEQVPVAFGGLSRDNDPDFTSAEAVVDITIGPSSKESIEIPATETCLLVWELRVLGWEVGYGAEFRPNAENGYTVIVQKTRKLVADDEPVIRSSFKISEPGKVVLDIGNLTSKKKKLLYRYKVKSST >Ma04_p25230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27020199:27029907:-1 gene:Ma04_g25230 transcript:Ma04_t25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MEADAAMEDEAEAAAPSPSSKRLALKNSIQTNFGDDHVFQIAANQEISNLAVSLSTNTIKLYSPITGQYFGECRGHSGTIHEISFPEPLSPQAICSCSSDGTIRTWDIRTFNQVSLLRANSSQEIYSFCYGGSSGNLLVGGCNAQIYFWDWRNGKQVACLEESHMEDVTQVRFVPNQQNKLISASVDGLLCLFDTSGHIDDDDHLESVMNVETSIAKIGFFGRMNQKFWCLTHIETLSIWDLKDTRREVNFEDARSLASEKWNLDHIDYFVDCHYSDIDDRLWVIGGTVSGTLGYFPISPDHAGTINFAEAILEGGHAGVVRSVLPASNTHRTIAKKGIFGWTGGEDGRLCCWLSDESLEAKRSWISNSLVMRSHKAQSKRHHPY >Ma04_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24718858:24723906:-1 gene:Ma04_g22360 transcript:Ma04_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLPQAAVSPLANRPPHRLFLAPRSPRRRSSVVVGALMSESPQKPADVSTPNLCFPILVNGCTGKMGKAVAETALSAGLQLVPVSFSSLEKPGRTLKVGNTEIQIHGPSERESVLSSVSHEFPNVVVVDYTVPDAVNGNAELYCKLGLPFVMGTTGGDRHMLYKTVQDANVYAVISPQMGKQVVAFIAAMEIMADQFPGAFSGYKLEVMESHQASKLDTSGTAKAVISCFQKLGVSFDMKQIKQIRDPKKQLEMVGVPEEHLSGHAFHLYHLTSPDETVSFEFQHNVCGRSIYAEGSVDAAVFLFKKVRSKAEQRIYDMIDVLREGNMR >mito11_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000022.1:95932:96165:-1 gene:mito11_g00050 transcript:mito11_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYLSCLSDFPFENRLTQHPSLALSRYQVLALVRILRLSLLSLIFALSLSIYLVSLSLVSLYYQHWCLGLWKVVRF >Ma11_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25278457:25284619:1 gene:Ma11_g20920 transcript:Ma11_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRITSVKARQIFDSRGNPTVEVDLRCDDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVENVNAIIAPALIGKDPTEQAQIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGASVKKIPLYQHIANLAGNKNLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGAASFKEAMKMGVEVYHNLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYSEKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWIHYAKLTEEIGEQVQIVGDDLLVTNPTRVAKAIKEKACNALLLKVNQIGSVTESIEAVKMSKHAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVQPY >Ma08_p22440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36016406:36023931:1 gene:Ma08_g22440 transcript:Ma08_t22440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKGGVGVEDGKRAASGMPSFVSSPVAQNIVAEGNTKHPSRVSDFGMLEQSVGRNLEAAADFIRNPEVNPKPSVQTSISGPSHFDNFSKPLGISNISTSTARVGSSTVPLHKGQRPHLVSLASGQFENWGETTMADASPRTDASTDVDAGDKNHKPKRGQVAAIAASDSSDKTKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLEGSRLKLTHIEQELQHARQQGIFISSSGDQSHAMSGNEGLAFNSEYARWREEHNRQISELRTAVNAHASENDLRAIVDGIMAHYDEIFKLKGTAAKADVFHMLSGMWKTPAERCFLWLGGFRSSELLKLLTSQLEPLTEQQLMGLCNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSSGNVANYMGQMAMAMGKLGTLENFLCQADNLRQQTLQQMCRILTTRQAARALLAINDYFSRLHALSSLWLARPRE >Ma08_p22440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36016406:36023931:1 gene:Ma08_g22440 transcript:Ma08_t22440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKGGVGVEDGKRAASGMPSFVSSPVAQNIVAEGNTKHPSRVSDFGMLEQSVGRNLEAAADFIRNPEVNPKPSVQTSISGPSHFDNFSKPLGISNISTSTARVGSSTVPLHKGQRPHLVSLASGQFENWGETTMADASPRTDASTDVDAGDKNHKPKRGQVAAIAASDSSDKTKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLEGSRLKLTHIEQELQHARQQGIFISSSGDQSHAMSGNEGLAFNSEYARWREEHNRQISELRTAVNAHASENDLRAIVDGIMAHYDEIFKLKGTAAKADVFHMLSGMWKTPAERCFLWLGGFRSSELLKLLTSQLEPLTEQQLMGLCNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSSGNVANYMGQMAMAMGKLGTLENFLCQADNLRQQTLQQMCRILTTRQAARALLAINDYFSRLHALSSLWLARPRE >Ma08_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36016406:36023931:1 gene:Ma08_g22440 transcript:Ma08_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQSVGRNLEAAADFIRNPEVNPKPSVQTSISGPSHFDNFSKPLGISNISTSTARVGSSTVPLHKGQRPHLVSLASGQFENWGETTMADASPRTDASTDVDAGDKNHKPKRGQVAAIAASDSSDKTKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLEGSRLKLTHIEQELQHARQQGIFISSSGDQSHAMSGNEGLAFNSEYARWREEHNRQISELRTAVNAHASENDLRAIVDGIMAHYDEIFKLKGTAAKADVFHMLSGMWKTPAERCFLWLGGFRSSELLKLLTSQLEPLTEQQLMGLCNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSSGNVANYMGQMAMAMGKLGTLENFLCQADNLRQQTLQQMCRILTTRQAARALLAINDYFSRLHALSSLWLARPRE >Ma08_p22440.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36016406:36023931:1 gene:Ma08_g22440 transcript:Ma08_t22440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKGGVGVEDGKRAASGMPSFVSSPVAQNIVAEGNTKHPSRVSDFGMLEQSVGRNLEAAADFIRNPEVNPKPSVQTSISGPSHFDNFSKPLGISNISTSTARVGSSTVPLHKGQRPHLVSLASGQFENWGETTMADASPRTDASTDVDAGDKNHKPKRGQVAAIAASDSSDKTKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLEGSRLKLTHIEQELQHARQQGIFISSSGDQSHAMSGNEGLAFNSEYARWREEHNRQISELRTAVNAHASENDLRAIVDGIMAHYDEIFKLKGTAAKADVFHMLSGMWKTPAERCFLWLGGFRSSELLKLLTSQLEPLTEQQLMGLCNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSSGNVANYMGQMAMAMGKLGTLENFLCQADNLRQQTLQQMCRILTTRQAARALLAINDYFSRLHALSSLWLARPRE >Ma08_p22440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36016406:36023931:1 gene:Ma08_g22440 transcript:Ma08_t22440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKGGVGVEDGKRAASGMPSFVSSPVAQNIVAEGNTKHPSRVSDFGMLEQSVGRNLEAAADFIRNPEVNPKPSVQTSISGPSHFDNFSKPLGISNISTSTARVGSSTVPLHKGQRPHLVSLASGQFENWGETTMADASPRTDASTDVDAGDKNHKPKRGQVAAIAASDSSDKTKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLEGSRLKLTHIEQELQHARQQGIFISSSGDQSHAMSGNEGLAFNSEYARWREEHNRQISELRTAVNAHASENDLRAIVDGIMAHYDEIFKLKGTAAKADVFHMLSGMWKTPAERCFLWLGGFRSSELLKLLTSQLEPLTEQQLMGLCNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSSGNVANYMGQMAMAMGKLGTLENFLCQADNLRQQTLQQMCRILTTRQAARALLAINDYFSRLHALSSLWLARPRE >Ma05_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8749406:8751293:1 gene:Ma05_g12030 transcript:Ma05_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKQGLKKGPWTPEEDKILVDFIQSHGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEDKSIIQLHAILGNKWSAIAAQLPGRTDNEVKNYWNTHLKKRLLVHQHHHHNHHQRLHMSSSTATGSHMAQWESARLEAEARLSRESFLFSSSTTAAASSAAPFAGAVSEPDSDLPPRAAEPDFFLRIWNSEVGAAFRRRSPDCASTRSSAPAREEAESKSCVTVGHPAAAAAAAAGMDVDSIGSNEVAEETEDEEAYQLYLDFPGDDLGLFTVQLSSLFSADLNEAYFDTAFK >Ma10_p28150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35151986:35162917:-1 gene:Ma10_g28150 transcript:Ma10_t28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQCIERFKSQPRLPGFAIPRRYDLFLRPDLSSCKFAGSAQIAVDVVDDTRFLILNAADLDIDGGSVWFRNPSSSKEVRPSEVVLVEDDEILVLRFDEFLPRGSGVLGIGFRGTLNDRMKGFYRSVYEHNGEKKNMAVTQFEPADARRCFPCWDEPAFKATFKMTLEVPSDTIALSNMPVMEEKLDGLVKTLSFQESPIMSTYLVALVIGLFDYVEASTSDGIKVRVYCQVGKSSQGKFALDVAVKTLDLYKKYFAVPYSLPKLDMVAIPDFAAGAMENYGLVTYRETALLFDDRHSAASNRQRVAIVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADSLFPEWKVWTQFLDETTMGLRLDALAESHPIEVTFQLFVSLDFRSLASYIKKFACSNAKTEDLWAVLEEESGEPVNMLMNSWTKQKGYPVVYAKVNNGNLQLEQTQFLSSGCEGNVQWIVPITLCCGSYASQKKFLLKTKSEKLDVPELFNPENQKISGSVWIKFNVDQTGFYRVKYDDELAAGLRHAIEANQLSPTDRFGVLDDSFALCMACKQTLSSLLSLMASFSEEDEYTVLSQMITISYKVISTAADATPELLADIKQFLINLLWHSAEKLGWDPKSNEGHLDAMLRGELLTSLAELGHDITTKEAVRRFYAFLDDRNTSLLPPDIRKAVYVAVMQTVNSSNKLGYESLLRVYRETDLSQEKGRILSALASSPDPAVVLDALNFLLSSEVRNQDAVFGLAGISKEGRDVAWVWLKENWDHISKTWGSGFLITRFISSIVSPFNSDEKANEIEEFFASRTKPSITRTVKQSIERVRNNAKWVKSIGSEQSLGDIVKELADNKLHPSL >Ma08_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26783151:26785802:1 gene:Ma08_g17700 transcript:Ma08_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERQETVEAAETEDEKGKARMDADDGGVDELLAALGYKIRSTEMADVAQKLEQLEMAMGSSVAANDDALLSHLASDAVHYNPSDVSTWVDTILSEFNAPSLPLPPPPLSPAPLQHFNLPPDGTEQNSTITTVDVPSGPRCPADAYHLEPLHAPAVAGRIVYGSDTQYEVSPSSRDRKRMKLCSSPPSSSSTTDAATSPAVLPVVVVDTQESGIRLVHALMACAEAVQQDNLKAADALVKQITVLATSQGGAMRKVAGYFAEALARRIYRPQPARNLSRSTLDFPAFDDMLHQHFYESCPNLKFAHFTANQAILEAFAGCRRVHVVDFGMREGLQWPALLQALALRPGGPPSFRLTGIGPPQPDHSDALQEVGWKLAQFADTIRVDFRYRGFVAASLADLEPYMLLGPVASDRDGEEEPEAVAVNSVFELHRLLARQGALEKVLGTIRAMRPRIVTVVEQEANHNGETFLERFTEALHYYSTMFDSLEGGVSAAPDAAGGGGRRDQAMSEVYLGRQICNVVACEGADRTERHEALAQWRVRMGRAGFEPVPIGSNAFKQASMLLALFAGGDGYRVEEKDGSLTLGWHTRPLITTSAWRIASAAC >Ma06_p26670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28522605:28527454:1 gene:Ma06_g26670 transcript:Ma06_t26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDAIVALLLVSNVFAGAVAAAGPKVIVVGAGMSGISAAKTLSDAGIKDILILEATDRIGGRMRKVNFAGMNVEMGANWVEGVNGPELNPIWKMANKLHLRNFFSDYSNLSSNTYNEIGGLYQSSEVDEVIEEAAKVNSFGEKLSASLPPSGREDVSILTSQRLKNHVPSTALEMVVDYYTYDYEFAEPPRVTSLQNTVPLPTFANFGEDVYFVADQRGYESLVYDLAGQFLRTAKNGTIVDPRLLLGKVVRRIRHFQSGVVVVTEDGSVYKADYVIVSVSIGVLQTKLIKFEPDLPQWKILAMYQFDMAVYTKIFLKFPYKFWPEGNGTEFFLYANERRGYYPVWQQFEKQYPGANVLLVTVTDEESRRIEQQPDSQTKAEAMEVLRNMFGKHIPEATDILVPRWWSDRFFKGAFSNWPLGVNRYEYDQIRAPIGKVYFTGEHTSEHYNGYVHGAYLAGIDSANMMIKCIKHKICKYEIKPKDS >Ma06_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8008810:8013851:-1 gene:Ma06_g11470 transcript:Ma06_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAAAAAAILVLLAVAVAVPRTAASIHAYDLEPFREVGNSVLLSGGSEGIVASDPDARGPFRIEDGRAYIKFDNITFWRSKESAEQHSNMGHSTGLIQAIIFEASDRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSVDPNWPVILSTHFRADYIFTKMDPNQVNITKTGMYNLFFISCDPKLRGLTMSGKTIWKNPDGYLPGRMAPLMKFYFFMSMAYLALSFIWFAQYIRFWRDILPLQNCISLVIALGLFEMTLWYFEYLNFNDTGVRPIGITTWVVTVGAVRKTVSRLLILTVSMGYGVVRPTLGGLTSKVLLLGVTYFLASELLSITENVGTINDISGKAKLLLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVAVIASVSWIGYEVYFKATDPFSERWQSAWIITAFWDILSLVLLCVMCYLWAPSQSSQRYAYSGEAGEDDEEAQSLTKGTSDGNIGLVKLDKKERNADHADAFSLEDEAEEDKLE >Ma02_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18222328:18223835:-1 gene:Ma02_g07600 transcript:Ma02_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAPRPPLPWTTRISVAVASAVTDAARRSNGTVNRRLLSLLDARSRASAKPYRGVRSADVVVDPVHHIWFRLFAPSDSAAAAGEPFPVIVFFHGGGFAFLSPASRAYDAVCRRICHEVRALVVSVNYRLAPEYRYPAPYEDGTDVLRFLDHGGLASADPAAADLSCCFLAGDSAGANIAHHVARRWAAGAGWERVRLAGMVLIQPFFGGEERTEAELRLTRVPLLSVERTDWMWRAFLPEGADRDHEASNVFGTRAAAGELEASLPEAMVVVGGFDPLQDWQRRYYEGLRSRGKAARLVEYPDAIHAFYAFPELKEASALMEEIKRFVDAHRSPAK >Ma10_p25930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33832051:33839594:-1 gene:Ma10_g25930 transcript:Ma10_t25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTVAEGDKRWWWNRNVIMVLWMMLSVGFCIGLHCHMRTESLRKAGETLTSMCEERARMLQEQFAVSVNHVHALAILVSTFHYQKNPPALDQVTFANYAARTSFERPLLNGVAYAQRVVHAERELFENQQGWMIKTMNREPSPVQDEYAPVIYSQETVSYIEALDMMSGEEDRENILRARATGKAVLTRPFRLLESNHLGVVLTFPVYLSGLPDDATAEERVKATAGYLGGAFDVESLVENLLHQLAGNQEIVVNVYDVTNASEPLTMYGVHLPDGHMSLSHVSMLDFGDPFRKHQMKCRYRKKPPVSLSSITTPSGIFVICMLVGYIGYAAWSHYDNVKEDFRKMEELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLNLTQMDYAQTAQVCGRALISLINEVLDRAKIEAGKLEIESVPFDLRSILDDVISLFSAKSREKGIELAVYVSDRVPTILTGDPGRFRQIITNLVGNSVKFTERGHVFVQVHLAEHVKMVIDAKTGLNGHANEVNITSATTVFSTLSGLEVANSRNTCESFKMLLSHGASLSCTFGSGSVPESIADKVTLMVSVEDTGIGIPVHAQDRVFAPFMQADSSTSRNYGGTGIGLSISKCLVELMGGKMNFTSRPHVGSTFTFTAVLQKCGKSSGVDTKRSLSEVLPTCFRGMRAILVDRQPVRAAVTKYHLRRLGIITEDVRTINEALHTLSGQNGYLNSSQPGKQPSIFLIEKDSWDPKIDFHIRNQLLQRKEAGHIEDVPKVVLFLTTESDKTRAGSHADSIIVKPLRASTIATCFQQMLGMGKCQNKDIVNNSASLRGLLDGKNILVVDDNKVNLRVAAAALKKYGAKVECVESGKSALSLLQPPHKFDACFMDVQMPEMDGFEATRQIRIMESTAYKEASPDDGSVMAIRHLPILAMTADVIQATYEECVKCGMDGYVSKPFEEAQLYQAVAKFVVSQQIPGSS >Ma10_p25930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33832050:33839594:-1 gene:Ma10_g25930 transcript:Ma10_t25930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTVAEGDKRWWWNRNVIMVLWMMLSVGFCIGLHCHMRTESLRKAGETLTSMCEERARMLQEQFAVSVNHVHALAILVSTFHYQKNPPALDQVTFANYAARTSFERPLLNGVAYAQRVVHAERELFENQQGWMIKTMNREPSPVQDEYAPVIYSQETVSYIEALDMMSGEVHWNQEDRENILRARATGKAVLTRPFRLLESNHLGVVLTFPVYLSGLPDDATAEERVKATAGYLGGAFDVESLVENLLHQLAGNQEIVVNVYDVTNASEPLTMYGVHLPDGHMSLSHVSMLDFGDPFRKHQMKCRYRKKPPVSLSSITTPSGIFVICMLVGYIGYAAWSHYDNVKEDFRKMEELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLNLTQMDYAQTAQVCGRALISLINEVLDRAKIEAGKLEIESVPFDLRSILDDVISLFSAKSREKGIELAVYVSDRVPTILTGDPGRFRQIITNLVGNSVKFTERGHVFVQVHLAEHVKMVIDAKTGLNGHANEVNITSATTVFSTLSGLEVANSRNTCESFKMLLSHGASLSCTFGSGSVPESIADKVTLMVSVEDTGIGIPVHAQDRVFAPFMQADSSTSRNYGGTGIGLSISKCLVELMGGKMNFTSRPHVGSTFTFTAVLQKCGKSSGVDTKRSLSEVLPTCFRGMRAILVDRQPVRAAVTKYHLRRLGIITEDVRTINEALHTLSGQNGYLNSSQPGKQPSIFLIEKDSWDPKIDFHIRNQLLQRKEAGHIEDVPKVVLFLTTESDKTRAGSHADSIIVKPLRASTIATCFQQMLGMGKCQNKDIVNNSASLRGLLDGKNILVVDDNKVNLRVAAAALKKYGAKVECVESGKSALSLLQPPHKFDACFMDVQMPEMDGFEATRQIRIMESTAYKEASPDDGSVMAIRHLPILAMTADVIQATYEECVKCGMDGYVSKPFEEAQLYQAVAKFVVSQQIPGSS >Ma10_p25930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33832050:33839594:-1 gene:Ma10_g25930 transcript:Ma10_t25930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGTVAEGDKRWWWNRNVIMVLWMMLSVGFCIGLHCHMRTESLRKAGETLTSMCEERARMLQEQFAVSVNHVHALAILVSTFHYQKNPPALDQVTFANYAARTSFERPLLNGVAYAQRVVHAERELFENQQGWMIKTMNREPSPVQDEYAPVIYSQETVSYIEALDMMSGEVHWNQEDRENILRARATGKAVLTRPFRLLESNHLGVVLTFPVYLSGLPDDATAEERVKATAGYLGGAFDVESLVENLLHQLAGNQEIVVNVYDVTNASEPLTMYGVHLPDGHMSLSHVSMLDFGDPFRKHQMKCRYRKKPPVSLSSITTPSGIFVICMLVGYIGYAAWSHYDNVKEDFRKMEELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLNLTQMDYAQTAQVCGRALISLINEVLDRAKIEAGKLEIESVPFDLRSILDDVISLFSAKSREKGIELAVYVSDRVPTILTGDPGRFRQIITNLVGNSVKFTERGHVFVQVHLAEHVKMVIDAKTGLNGHANEVNITSATTVFSTLSGLEVANSRNTCESFKMLLSHGASLSCTFGSGSVPESIADKVTLMVSVEDTGIGIPVHAQDRVFAPFMQADSSTSRNYGGTGIGLSISKCLVELMGGKMNFTSRPHVGSTFTFTAVLQKCGKSSGVDTKRSLSEVLPTCFRGMRAILVDRQPVRAAVTKYHLRRLGIITEDVRTINEALHTLSGQNGYLNSSQPGKQPSIFLIEKDSWDPKIDFHIRNQLLQRKEAGHIEDVPKVVLFLTTESDKTRAGSHADSIIVKPLRASTIATCFQQMLGMGKCQNKDIVNNSASLRGLLDGKNILVVDDNKVNLRVAAAALKKYGAKVECVESGKSALSLLQPPHKFDACFMDVQMPEMDGFEATRQIRIMESTAYKEASPDDGSVMAIRHLPILAMTADVIQATYEECVKCGMDGYVSKPFEEAQLYQAVAKFVVSQQIPGSS >Ma07_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10314077:10317804:-1 gene:Ma07_g13740 transcript:Ma07_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGTILMRRYEIGRLLGQGSFAKVYHARRLASGQNVAIKVINKEKVTRVGMIEQIKREISIMRLVRHTNIVQLYEVMASKSKIYFVMEYVKGGELFNKVAKGSLREDAARKYFQQLIGAVDFCHSRGVYHRDLKPENLLIDENGNLKVSDFGLSALKESQRQDGLLHTLCGTPAYVAPEIINKKGYDGAKADIWSCGVILYVLLAGYLPFHDSNLIEMYRKITKGEFRFPHRFPSDVRKLLTRLLDPNPNTRITIEMLVENPWFRKGFKPAVAPTPSESLSNLKDVISAFSIGINNDDRTQKAEMSEPMKPTSLNAFDIIALSPGFDLSGLFETGNREKQEARFTTQKPASAIVSKLEEIAEMEHFKVKKKDGLVKLEGRKDGRKGQLAIDAEIFEVTPAFYVVEVKKSSGDTMEYQKFCNQDLKPYLKDIVWAWQGADQHQLPPLPPAPQPNLMSGPVS >Ma07_p13740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10314077:10317804:-1 gene:Ma07_g13740 transcript:Ma07_t13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGTILMRRYEIGRLLGQGSFAKVYHARRLASGQNVAIKVINKEKVTRVGMIEQIKREISIMRLVRHTNIVQLYEVMASKSKIYFVMEYVKGGELFNKVAKGSLREDAARKYFQQLIGAVDFCHSRGVYHRDLKPENLLIDENGNLKVSDFGLSALKESQRQDGLLHTLCGTPAYVAPEIINKKGYDGAKADIWSCGVILYVLLAGYLPFHDSNLIEMYRKITKGEFRFPHRFPSDVRKLLTRLLDPNPNTRITIEMLVENPWFRKGFKPAVAPTPSESLSNLKDVISAFSIGINNDDRTQKAEMSEPMKPTSLNAFDIIALSPGFDLSGLFETGNREKQEARFTTQKPASAIVSKLEEIAEMEHFKVKKKDGLVKLEGRKDGRKGQLAIDAEIFEVTPAFYVVEVKKSSGDTMEYQKFCNQDLKPYLKDIVWAWQGADQHQLPPLPPAPQPNLMSGPVS >Ma04_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8527781:8530849:-1 gene:Ma04_g11960 transcript:Ma04_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLQREKEEKEKKAKKLQAKKSKMKVDGSDMKNKKTRGVFHVGRKKVKTKLSKLTKAKAAQAMELDK >Ma03_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19373543:19376454:1 gene:Ma03_g16710 transcript:Ma03_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Ma04_p28780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29777090:29777350:1 gene:Ma04_g28780 transcript:Ma04_t28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQRSAMSFRRQGSSGRIWNDPMRGVELNGAAVPVPEPVTSIPELKADAAVAVPPQVPPVKKKKRWRPWSVGFCLCARPTPANA >Ma08_p32580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43260167:43263051:1 gene:Ma08_g32580 transcript:Ma08_t32580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDPRVVEREAKEKKHEKDEGHEEKGGFFDKVKDFIEDVGEKIEEAIGFGKPTADVAAVHLPCINLEKAEIVVDVLITNPNPIPIPLIDINYLIESDGRKLVSGLIPDAGTIHAHGSETVKIPVTLIYDDIKSTYHDIKPGSIIPYRVKVDLIVDVPIFGRITLPLEKTGEIPVPYKPDIDVEKVHFDKFSFEETMASLHLKLDNKNDFDLGLNSLDYEFWLADISIASAELNKSTTIEKNGTTTMEIPFSFRPKDFGSALWDMIRGRGTGYSMKGNIDVNTPFGHMKLPISKEGGSTRLKKGDDDDGED >Ma08_p32580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43260040:43263051:1 gene:Ma08_g32580 transcript:Ma08_t32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDPRVVEREAKEKKHEKDEGHEEKGGFFDKVKDFIEDVGEKIEEAIGFGKPTADVAAVHLPCINLEKAEIVVDVLITNPNPIPIPLIDINYLIESDGRKLVSGLIPDAGTIHAHGSETVKIPVTLIYDDIKSTYHDIKPGSIIPYRVKVDLIVDVPIFGRITLPLEKTGEIPVPYKPDIDVEKVHFDKFSFEETMASLHLKLDNKNDFDLGLNSLDYEFWLADISIASAELNKSTTIEKNGTTTMEIPFSFRPKDFGSALWDMIRGRGTGYSMKGNIDVNTPFGHMKLPISKEGGSTRLKKGDDDDGED >Ma09_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1152632:1160908:-1 gene:Ma09_g01560 transcript:Ma09_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRYLGLCSGKSSFPVVLPKLIENGNAVDNSNQHVKPVSVHPMPSTESSLQKLVKESICPGSSSTFGSSTTKEEPNNNFPGKRRKRHRRKHYEDQEPCIMTGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRQYNWDEFLEKTRSAINDKKHQRKLTVGRRKKSETHMPNSNWEHEGGTPVSSMSDDDEDVDANTCVL >Ma05_p27290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38650746:38652214:-1 gene:Ma05_g27290 transcript:Ma05_t27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDSSKQPQEAPRFHQALGLRQAEKGEATTASAAAESRDLLPSTVSVKERWSQVVAAEEDEQRRQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAESSIIAATGTGTVPSSALASAAVAGSTSRPGASIPAGLHRRLDELGQARPNWPMLAAANFGPPLPGLLLPPGVLDSGFMASSSSFGTGNGPMGSFLQRVGMHGMDLPGADVGAMSFVSMLAPHGQQSPGLELGLSQDGHGGEFHPQATYQLFPQIGRARGGAGSSEGGQLRHHQQQQQQQAFPTQDDSQE >Ma05_p31790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41552556:41554299:-1 gene:Ma05_g31790 transcript:Ma05_t31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSLKGLVNSGLYHPMKLLHCHKHEELNAYSIYTGLHMFPRPGISRKVVHIIMKLPRWGCLLIVDAPVQKTKDLVKLTCGRLNNYKKAIVLSSELERHQGFLSFKKPTARDNVELN >Ma10_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33415585:33417043:1 gene:Ma10_g25100 transcript:Ma10_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCWEGGGGVAAETNKPLPAPFLTKTYQLVDDPATDHIVSWGDDRTSTFVVWRPPEFARDVLPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKHLLCEIHRRKTCSPSSSSFPFASSPPSFPLYHHFDDYRLAVPHWQDATPSSRILLLGGNDVDAANAVRMVSGVGGGGGGQATAAALLDENERLRRSNAALLSELAHMRKLYNDIIYFVQNHVRPVAPSSAAAASANFLLPSSFQRRGGRTSGSPTSSSSLTIADEPSPPPLDNATDDKNRGCNEESSSAKPKLFGVPLDGCTSSSNNKRGLDVHETTTSPSTKPRLVLDKEDLGLNLMPSSPPPC >Ma09_p27660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38605121:38608929:1 gene:Ma09_g27660 transcript:Ma09_t27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVRALKKTSAERRVPPRDSDPRGGRLGRAPRCTWCVGLEFHAQSAEETRVSPGTSFSWVPNRNGITSAPCRTCNQLTPTCGCLTHCPFLTFNSGEAHLTRKLCSTVTSLIDCSRYLYVLSFSTP >Ma03_p32470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34242493:34243234:-1 gene:Ma03_g32470 transcript:Ma03_t32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLAADVLWSLGRGVAGLLFLAWALRVLNWAWWRPRRLERALRAQGLNGTPYGFPYGDVKETVRLREEARAKPMPLAHNIIPRLLPFFHRAMDEYGKISFTWFGPVPQVNIKDPELVREVLSNKFGHFGKPNQNPLARFFARGLALYEGEKWVKHRRILNPAFHAEKLKVIDSLFCTTPKRNILEVHPSVLFPV >Ma06_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5006238:5017572:-1 gene:Ma06_g07020 transcript:Ma06_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLSQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPCVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKIWNLGSPDPNFTLDAHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAVGYMKGSRRVVIGYDEGTIMVKIGREIPVASMESSGKIIWAKHNEIQTVNIKTVGADFEVTDGERLPLAVKELGSCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAIRESTSRVKIFSKTFQERKSIRPTFSAEHIYGGTLLAMCSNDFICFYDWSECRLIRRIDVNVKNLYWADSGDLLVIASDTSFYILKYNRDIVSSYLESGKPVDDEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVAHFLESRGMLEDALEVATDPNYKFDLAVQLGRLEIAKAIAMEVQSESKWKQLGELAMSTGKLEMAEECLSHAMDLSGLLLLYSALGDAEGITKLSSLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVSIWKKDLSKVNTKAADSLADPEEYPNLFEDWQVALAVESNLADNRGKYPPAEEYLSYVEKSNIIPVEAFKSMQVVEESLPVENGDSGHMVMEEDGVEEGQEEPVEVDVDDSTDSAVLVNGNENEEQWGMSNEGNPSA >Ma11_p21200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25447054:25452048:1 gene:Ma11_g21200 transcript:Ma11_t21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNDKCLDSQLWHACAGGMVQMPAVNSRVYYFPQGHAEHAQGFVDFGNSRWIPPFILCRVTAVKFMADRETDEVFAKIQMAPIRGSELDCAEDDGLDLGMNGIDVCGKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGELCVGIRRAKKGGAGDGPDCWPGWNPPGSNGASGYGGLSVFVREEDGKLMGGNGDDFDSSRGIRGRSQMRIDSVIEAVKLAANGKPFEVVYYPRASTPEFCVKAAAVKAAIRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLEVTWDEPDLLQNVKRVSPWLVELVSNLPAIHLAHLSPPRKKPRILQHPDFPFEGQCPMPMVVGNPIITRDSPFCCFSDNAPESIQGTRHAQFGLSLSDVQLNKLQTDLFHTGFHRLDHATLPSRISTGVTAGNPTIRDKVSCLLTISHPSQSLKKSCDGKPPQLVLFGQPILTEEQVSLNKSENTTSHCATGNSLSDENLEKTVSMSDGSGSAINLKGSLEAFPSQKDHLASEFGHWTGQCKVFMESEDVGRTLDLSVLGSYEELYGRLADMFGTEKSEMVSHVLYKNAAGAVKHTGEEPFSDFMKSARRLTILTDTGSDNIAS >Ma11_p21200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25447054:25452048:1 gene:Ma11_g21200 transcript:Ma11_t21200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNDKCLDSQLWHACAGGMVQMPAVNSRVYYFPQGHAEHAQGFVDFGNSRWIPPFILCRVTAVKFMADRETDEVFAKIQMAPIRGSELDCAEDDGLDLGMNGIDVCGKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGELCVGIRRAKKGGAGDGPDCWPGWNPPGSNGASGYGGLSVFVREEDGKLMGGNGDDFDSSRGIRGRSQMRIDSVIEAVKLAANGKPFEVVYYPRASTPEFCVKAAAVKAAIRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLEVTWDEPDLLQNVKRVSPWLVELVSNLPAIHLAHLSPPRKKPRILQHPDFPFEGQCPMPMVVGNPIITRDSPFCCFSDNAPESIQGTRHAQFGLSLSDVQLNKLQTDLFHTGFHRLDHATLPSRISTGVTAGNPTIRDKVSCLLTISHPSQSLKKSCDGKPPQLVLFGQPILTEEQVSLNKSENTTSHCATGNSLSDENLEKTVSMSDGSGSAINLKGSLEAFPSQKDHLASEFGHWTGQCKVFMESEDVGRTLDLSVLGSYEELYGRLADMFGTEKSEMVSHVLYKNAAGAVKHTGEEPFSDFMKSARRLTILTDTGSDNIAS >Ma11_p21200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25447054:25452048:1 gene:Ma11_g21200 transcript:Ma11_t21200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNDKCLDSQLWHACAGGMVQMPAVNSRVYYFPQGHAEHAQGFVDFGNSRWIPPFILCRVTAVKFMADRETDEVFAKIQMAPIRGSELDCAEDDGLDLGMNGIDVCGKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGELCVGIRRAKKGGAGDGPDCWPGWNPPGSNGASGYGGLSVFVREEDGKLMGGNGDDFDSSRGIRGRSQMRIDSVIEAVKLAANGKPFEVVYYPRASTPEFCVKAAAVKAAIRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLEVTWDEPDLLQNVKRVSPWLVELVSNLPAIHLAHLSPPRKKPRILQHPDFPFEGQCPMPMVVGNPIITRDSPFCCFSDNAPESIQGTRHAQFGLSLSDVQLNKLQTDLFHTGFHRLDHATLPSRISTGVTAGNPTIRDKVSCLLTISHPSQSLKKSCDGKPPQLVLFGQPILTEEQVSLNKSENTTSHCATGNSLSDENLEKTVSMSDGSGSAINLKGSLEAFPSQKDHLASEFGHWTGQCKVFMESEDVGRTLDLSVLGSYEELYGRLADMFGTEKSEMVSHVLYKNAAGAVKHTGEEPFSDFMKSARRLTILTDTGSDNIAS >Ma11_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25447054:25452048:1 gene:Ma11_g21200 transcript:Ma11_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNDKCLDSQLWHACAGGMVQMPAVNSRVYYFPQGHAEHAQGFVDFGNSRWIPPFILCRVTAVKFMADRETDEVFAKIQMAPIRGSELDCAEDDGLDLGMNGIDVCGKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGELCVGIRRAKKGGAGDGPDCWPGWNPPGSNGASGYGGLSVFVREEDGKLMGGNGDDFDSSRGIRGRSQMRIDSVIEAVKLAANGKPFEVVYYPRASTPEFCVKAAAVKAAIRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLEVTWDEPDLLQNVKRVSPWLVELVSNLPAIHLAHLSPPRKKPRILQHPDFPFEGQCPMPMVVGNPIITRDSPFCCFSDNAPESIQGTRHAQFGLSLSDVQLNKLQTDLFHTGFHRLDHATLPSRISTGVTAGNPTIRDKVSCLLTISHPSQSLKKSCDGKPPQLVLFGQPILTEEQVSLNKSENTTSHCATGNSLSDENLEKTVSMSDGSGSAINLKGSLEAFPSQKDHLASEFGHWTGQCKVFMESEDVGRTLDLSVLGSYEELYGRLADMFGTEKSEMVSHVLYKNAAGAVKHTGEEPFSDFMKSARRLTILTDTGSDNIAS >Ma11_p21200.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25447054:25452048:1 gene:Ma11_g21200 transcript:Ma11_t21200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNDKCLDSQLWHACAGGMVQMPAVNSRVYYFPQGHAEHAQGFVDFGNSRWIPPFILCRVTAVKFMADRETDEVFAKIQMAPIRGSELDCAEDDGLDLGMNGIDVCGKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGELCVGIRRAKKGGAGDGPDCWPGWNPPGSNGASGYGGLSVFVREEDGKLMGGNGDDFDSSRGIRGRSQMRIDSVIEAVKLAANGKPFEVVYYPRASTPEFCVKAAAVKAAIRIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLEVTWDEPDLLQNVKRVSPWLVELVSNLPAIHLAHLSPPRKKPRILQHPDFPFEGQCPMPMVVGNPIITRDSPFCCFSDNAPESIQGTRHAQFGLSLSDVQLNKLQTDLFHTGFHRLDHATLPSRISTGVTAGNPTIRDKVSCLLTISHPSQSLKKSCDGKPPQLVLFGQPILTEEQVSLNKSENTTSHCATGNSLSDENLEKTVSMSDGSGSAINLKGSLEAFPSQKDHLASEFGHWTGQCKVFMESEDVGRTLDLSVLGSYEELYGRLADMFGTEKSEMVSHVLYKNAAGAVKHTGEEPFSDFMKSARRLTILTDTGSDNIAS >Ma11_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15094001:15096732:-1 gene:Ma11_g11880 transcript:Ma11_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGLRSTPRLTPATLLLLLLLLVYCNFSSVVSSSTTAALEGDLSALLEFKAACDSGGRLASWNRSDPSPCVSWHGVTCARGRITRLVLEDLDLPGPAGLPALTRLDQLRVLSLKSNRLSGPIPDLSPLSALKLLFLAHNELSGPIPPSVASLTRLYRLDLGSNNLTGSVPASLNRLSRLLTLRLDSNRLSGPISGLVLPRLQDLNLSSNLLSGAVPPSLAAFPAAAFAGNPALCGGPLSTCRDVVSNPSRPSASAATPVPSAATVVASSPSAKPEVAPPHHAAADAGRGGMNRVAVATIVVGDSAVLVLVSGLLFCCFWRKFAGRSPSRLHEGEKIVYSSGPYAVQGSAAGATTGGGFERGKMVFFEGTKRFELEDLLRASAEMLGKGGYGTAYRAVLDDGTVVAVNRLREVHLGGKREFESQMETLGRLRHPNLVSLKAYYYARDEKLLVYDYMPNGSLFFLLHGNRGPGRTPLDWTTRMRIASGAARGLAFIHQASRSPKLTHGNIKSTNILLDKEGNALLADAGLALLGTGAAAAGRANCYRSPEAPVDGRRPWASQRADVYAFGVVLLELLTGKPAANGGASAVDLPRWVQSVVREEWTAEVFDLELMRYKGIEEDMVAMLQIAMSCSAIVPDQRPKMSNVLKMIEDIRGGGGGGGDVSSSHDSFDSVSDSASGSDAA >Ma04_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14695418:14701464:1 gene:Ma04_g16040 transcript:Ma04_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEAQKALEEAEALKKLGGRQEPVIEPSKYSAVDVRITDQKLRVCDICGAFLSVYDNDRRLADHFGGKLHLGYMQIREKLAELQEERDKKRKIDRSEDDRRSKERSRDDDRAGSRDRDINRDVRGDNRDRGREHDRRNRDHDRHYDRGHRDRDRGSDRSRNYDSRSRRSRSRSRDRAKDYDRHRRHDRY >Ma09_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6203640:6206373:-1 gene:Ma09_g09420 transcript:Ma09_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCAIHQSLSEVSGARLHDGDENIILNPNFDDGLDNWSGRGGCKILLHESMDDGKILPLDGGKYFASATERTQSWNGIQQDITGRVQRKLAYEVTATVRIFGKATTTAEVRATLYVQTPNGRDQYIGVGKLQASDKDWVQLQGKFLINGVASKAVIFIEGPPVDTDILLNSLVVKHAEKSSPSTPPDFENVLYGVNVIQNSNLVDDSDGLSGWFPLGPCKLSVANNGAPRVLPSMASDSLGTHEPLNGRYILVTDRTQTWMGPAQTITDKLRLHVTYQVSAWVRLGPQRNGPQNVNVALGVDSQWVNGGQVEVNDQRWYEIGGSFRIETQPSRVMVYVQGPSSGVDLMVAGLQIFPVDRKARFKHLKKLTDKVRKRDVVLKISGSDGDSTPLGSFVKVRQMKNSFPFGSCVMRTNIDNEDFVDFFVKNFNWAVFGNELKWYWTEPQQGTLNYADADELLDLCKKNGMEARGHCIFWEVENAVQSWVRSLNTNDLTTAVQNRLNGLLTRYKDQFSHYDVNNEMLHGSFYQDRLGKDIRASMFKTANQLDPAAALFVNDYNVESAADIRATPDTYIEQILGLQDQGAPVGGIGLQGHVSTPVGPVISSALDKLGILGLPIWFTEVDVSAANEYVRADDLEVMLREAYAHPAVEGIMLWGFWELFMSRDNAHLVNAEGDLNEAGRRYLKLMQEWLSHGHGKLDEQGEFKFRGFHGTYSVEIITLTKKISQTFTVEKGDSPLVVNISL >Ma05_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8122412:8126668:-1 gene:Ma05_g11220 transcript:Ma05_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAHGLIARSLLRRNLAPPPFSSYAAAAAATAQRTVPIASSCGTASDVATSPRPRWRLFSLPVAPSRSVSVGLAGALSFSLTLVTAAEAKEPPSPELIPKDVVLYQYEACPFCNKVKAFLDYHDVPYKVVEVNPLSKKEIKWSDYKKVPILVVDGEQLIESSDIVKKLSQRFRPENSVIDEEETKWLRWVDDHLVHMLSPNIYRTTSEALESFDYIAKHGNFSMTERFTVKYAGAAIMYMVSKKLKKKYNITDERAALYEAAQTWTTALDGRDFLGGAKPNLADLAVYGVLRPIRYLKAGKDMVDNTKIGEWYQRMEIAVGESSRIAA >Ma06_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1583584:1584604:1 gene:Ma06_g01940 transcript:Ma06_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGDGHHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDRAARSLRGAKAKTNFPYHHTSAPPPLHLPPSATLDLNLPCHCWLVPAPPQAVPPSPPPPPSTALVLGSFALYDPAKTPSPEARNAAAPDTPASSSASLFRDVGRDLLLDLNEPPPASSLLIG >Ma02_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2279046:2283755:1 gene:Ma02_g00200 transcript:Ma02_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFLLALTISSLLLVHAVLASASTVPKVRGNPDLDEEDLSFLDEEEEGEKPPHAGDFYPEDDGAFGGLDGRAHDATPVFDETDVVVLGDGNFSEFMGKQRHVMVEFYVPWCGHCKALAPEYAAAATALRGEDVVLAKVDATKANVLAQKYDLQGYPTVLFFGDGVHKDYPGQRNRDAIVAWIKKKIGLGVQNIMSAEEAEKILTSESRLVLGFLDSLVGADSQELSAASKLEDNINFYQTVNPDVAKLFHVDADAKRPSLVLLKKEADKISYYDGQFSKAAIVDFVIANKLPLVTVLSKETGQDLFDNPIKRQLLLFAMSNDSKIIMPAFQEAAKLFKGKLIFVYVEMDNEDIGTLILDYFGVTEDGPKVLAYTGHEDTKKFMLDGEVTLDNIKKFAEDFLAGKLKPFYKSDPIPDTNDGDVKIVVGNNFEEIVLDESKDVLLEIYAPWCEHCRELEPAFNKLAKLVRGVESLVIAKMEGTRNEHPHAKADGFPTLLFYPAGNKSSDPMLVDTDGTLKALYKFIKKHAKIPFKIERPASVSEESASSVGAKSAGTGVKEEL >Ma03_p28840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31902982:31903374:1 gene:Ma03_g28840 transcript:Ma03_t28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVSGLVGTLMDMAKEKVDLWLGVPGEIQNLQSTLRNIQSVLRDAEKRRIEDKAVNDWLMELKDVMYDADDVLDEWRTAAEKCTPRESPPKRFKGNIFSIFAGLSDEVKFRHEVGIKIKDLNDRLEEI >Ma02_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15671700:15677827:-1 gene:Ma02_g04180 transcript:Ma02_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTPVTTLKHIPRNIQTFFSGEEETETNSAAAASAAALKEAMDRAEAKFKHAKEVRERERDAKFTKIKEPMHQEDLEDDWELEQKEWEYREEQKRLAKEREQELEREIERTRQAVERATREARERATAEAQLRAERAAVEKANAEAKFSRGFHGAQSSGYSSAVKKASSAANFADDIASIFGGPPSSGEFQEIEGESEKRRRARFEQHQRTLESKALADKTESDMQTQKEQAERHLCFLIAETLDIGIKQWASGKEGNLHALLYTLQYVLWPECGW >Ma05_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19334006:19334164:1 gene:Ma05_g17100 transcript:Ma05_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYQNEIYCDILPIDVAHILLGQPWLYNVDITNHGRENTCLSIQRQLSRDQ >Ma03_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26613435:26619794:1 gene:Ma03_g21660 transcript:Ma03_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSEASFDIGMINGECSVENKLLHRFRSTWYFSKEEIEENSPSRKDGIDSRKESQLRMLYCSFIRDIGIRLGLPQVTIATAIMYCHRFYLHQSHVKNDWQTVATVCMFLASKVEETPCGLDKIVVVAYETMYKRDPTAIRKIRQKDTFEKQKALILIGERLLLSTIRFDFTVLHPYKPLLDALKKLGITQKEVRQVAWNYVNDWLWTTLCLQYKSHYIAAGSLFLAAKLHNLKLPSERGGYVWWHEFDVNPQQLEVVIQQMKQLLVYNRRCSVTPFEKPVPIAANKEVSSSPDSVLDRPDSSRSSSSQESDIDHKSHKPKDSTNHVVINMPNAAGEQNLVEDRFEPQSEMRGFEIPVDVADNEMSGRNMDQARTKGDQSCGGLGKVDMDRIKATIKKRKREKEMNKLAMMANDTSEDAWIERELEAGIELEVESAAKK >Ma11_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23735704:23757208:-1 gene:Ma11_g18710 transcript:Ma11_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSWRPAQGEPAAASDGGSVDWRTQLQPEARHRIVNKIMETLKRHLPISVPEGLNELQKIAIRFEEKIYTAAANQSDYLRKISLKMLSMESKSQHSASINPSMSNSTITNQNPVDSALLGVQSQIMVNQPSTRQQLLPQNIQNNNSVTAQSSANIPSALSSIAVPDIYTNAQRQMQGRQQQQQIISQQQHQSQNQFLYQHQLQQQIFNQKLQHSPLLQPHVQQPQQPMLKTTQLQSSQQPLMQMTSNLQSGQSTIQQMQPGAIQSAVQPGLQQNQLNSVQQSVPSVLQQRPQSVARQQQQQQPTILQQAASLQQQQQQQQPTSAPQQSNLPVQQHQQQLMAQQANISNVQQTQLLGQQASVTEMQQQPQRIPVQQNNLLSMQQPQHLLNQQNISLHQQQQFGTQSNVSVLQQSQQQQQQQQLLGSLSNVSNMQPHQRSMHMLQQPKTSVQQQQQNQQSSLAMLQPQGQQPQHQSSQHQLISQFQSQPASLQHQLGQHPGSLQREMQQRIQVSGALLSQNAIEQQKQFGQPQVGLPEVSSSTSLDSTAQTGHGGVVDWQEEIYQKIKSMKELYFAELSELYQRIVLKLQQQDALMPSVKTSEQFDKIKSFKLILERTLQFLQLSKNNIQSGLKDKLPIYEKQIVNLLASNKKKVIPSQQPGQQQFQHPGVPSQSMPQQQPSQVPQLQQNDNYTNQQMNLQGSMTSMQTAAVPSMQQGSVPLSTHFGVPTPQQNITNALQTGSTIDTVQGSSFSSLQQGAIASIQQGGLVSGQSAINVAQPANTNAMTQGSMTSLQNNINSKQPNSSTMQQQHFKQQQQQEQQQQQQHQMQNQQMKQQFQQRHMQQQIIQQQQKQQLLQTQQPLQQQLQQQQQQQKQQHTPQIPIHQIPQLHQTNEVNELKLRQGAGIKPGLYPQNYSAGQRHNYYQQLKNAAAFPNSSPQNFQASSPQISHHSSQLDQHTLLSSQIKSGTPLQSANSPFVASPSTPIASSPIPGESEKHSGITSLPNHTRHQQTAIAPPQAQSINVATPGISASPLLAEFTSPDGNQSSLPNSVVGKSNKAERPLERLIEVIRSSTPKALRSAVSDIGSVVSMIDRIAGSAPGNGSRVAVGEDLVAMTKCRLQAKSFMSQDGSATTKKMKRDTSAMPLNNVSSAGSFNDSFKQSYGLDNSELESTATSRVKRQKVEVNHALVEEIREINLRLVDTVVSISEEETDSSSAALEGEGTVVKCSFTAVALCESLKSQFASEHMSPILPLRLLVPVSYPKCSPVLLDKLPDDQRESDDLSVKAKSRFIISLRALSQPMSLGEMARTWDACVRKVITEFAQQTGGGTFSSRYGAWENCISA >Ma11_p18710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23735704:23757227:-1 gene:Ma11_g18710 transcript:Ma11_t18710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSWRPAQGEPAAASDGGSVDWRTQLQPEARHRIVNKIMETLKRHLPISVPEGLNELQKIAIRFEEKIYTAAANQSDYLRKISLKMLSMESKSQHSASINPSMSNSTITNQNPVDSALLGVQSQIMVNQPSTRQQLLPQNIQNNNSVTAQSSANIPSALSSIAGLSQSNISTVSQTSNLQNMPGISQNSNSLGQTAVPDIYTNAQRQMQGRQQQQQIISQQQHQSQNQFLYQHQLQQQIFNQKLQHSPLLQPHVQQPQQPMLKTTQLQSSQQPLMQMTSNLQSGQSTIQQMQPGAIQSAVQPGLQQNQLNSVQQSVPSVLQQRPQSVARQQQQQQPTILQQAASLQQQQQQQQPTSAPQQSNLPVQQHQQQLMAQQANISNVQQTQLLGQQASVTEMQQQPQRIPVQQNNLLSMQQPQHLLNQQNISLHQQQQFGTQSNVSVLQQSQQQQQQQQLLGSLSNVSNMQPHQRSMHMLQQPKTSVQQQQQNQQSSLAMLQPQGQQPQHQSSQHQLISQFQSQPASLQHQLGQHPGSLQREMQQRIQVSGALLSQNAIEQQKQFGQPQVGLPEVSSSTSLDSTAQTGHGGVVDWQEEIYQKIKSMKELYFAELSELYQRIVLKLQQQDALMPSVKTSEQFDKIKSFKLILERTLQFLQLSKNNIQSGLKDKLPIYEKQIVNLLASNKKKVIPSQQPGQQQFQHPGVPSQSMPQQQPSQVPQLQQNDNYTNQQMNLQGSMTSMQTAAVPSMQQGSVPLSTHFGVPTPQQNITNALQTGSTIDTVQGSSFSSLQQGAIASIQQGGLVSGQSAINVAQPANTNAMTQGSMTSLQNNINSKQPNSSTMQQQHFKQQQQQEQQQQQQHQMQNQQMKQQFQQRHMQQQIIQQQQKQQLLQTQQPLQQQLQQQQQQQKQQHTPQIPIHQIPQLHQTNEVNELKLRQGAGIKPGLYPQNYSAGQRHNYYQQLKNAAAFPNSSPQNFQASSPQISHHSSQLDQHTLLSSQIKSGTPLQSANSPFVASPSTPIASSPIPGESEKHSGITSLPNHTRHQQTAIAPPQAQSINVATPGISASPLLAEFTSPDGNQSSLPNSVVGKSNKAERPLERLIEVIRSSTPKALRSAVSDIGSVVSMIDRIAGSAPGNGSRVAVGEDLVAMTKCRLQAKSFMSQDGSATTKKMKRDTSAMPLNNVSSAGSFNDSFKQSYGLDNSELESTATSRVKRQKVEVNHALVEEIREINLRLVDTVVSISEEETDSSSAALEGEGTVVKCSFTAVALCESLKSQFASEHMSPILPLRLLVPVSYPKCSPVLLDKLPDDQRESDDLSVKAKSRFIISLRALSQPMSLGEMARTWDACVRKVITEFAQQTGGGTFSSRYGAWENCISA >Ma11_p18710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23735704:23757197:-1 gene:Ma11_g18710 transcript:Ma11_t18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSWRPAQGEPAAASDGGSVDWRTQLQPEARHRIVNKIMETLKRHLPISVPEGLNELQKIAIRFEEKIYTAAANQSDYLRKISLKMLSMESKSQHSASINPSMSNSTITNQNPVDSALLGVQSQIMVNQPSTRQQLLPQNIQNNNSVTAQSSANIPSALSSIAGLSQSNISTVSQTSNLQNMPGISQNSNSLGQTAVPDIYTNAQRQMQGRQQQQQIISQQQHQSQNQFLYQHQLQQQIFNQKLQHSPLLQPHVQQPQQPMLKTTQLQSSQQPLMQMTSNLQSGQSTIQQMQPGAIQSAVQPGLQQNQLNSVQQSVPSVLQQRPQSVARQQQQQQPTILQQAASLQQQQQQQQPTSAPQQSNLPVQQHQQQLMAQQANISNVQQTQLLGQQASVTEMQQQPQRIPVQQNNLLSMQQPQHLLNQQNISLHQQQQFGTQSNVSVLQQSQQQQQQQQLLGSLSNVSNMQPHQRSMHMLQQPKTSVQQQQQNQQSSLAMLQPQGQQPQHQSSQHQLISQFQSQPASLQHQLGQHPGSLQREMQQRIQVSGALLSQNAIEQQKQFGQPQVGLPEVSSSTSLDSTAQTGHGGVVDWQEEIYQKIKSMKELYFAELSELYQRIVLKLQQQDALMPSVKTSEQFDKIKSFKLILERTLQFLQLSKNNIQSGLKDKLPIYEKQIVNLLASNKKKVIPSQQPGQQQFQHPGVPSQSMPQQQPSQVPQLQQNDNYTNQQMNLQGSMTSMQTAAVPSMQQGSVPLSTHFGVPTPQQNITNALQTGSTIDTVQGSSFSSLQQGAIASIQQGGLVSGQSAINVAQPANTNAMTQGSMTSLQNNINSKQPNSSTMQQQHFKQQQQQEQQQQQQHQMQNQQMKQQFQQRHMQQQIIQQQQKQQLLQTQQPLQQQLQQQQQQQKQQHTPQIPIHQIPQLHQTNEVNELKLRQGAGIKPGLYPQNYSAGQRHNYYQQLKNAAAFPNSSPQNFQASSPQISHHSSQLDQHTLLSSQIKSGTPLQSANSPFVASPSTPIASSPIPGESEKHSGITSLPNHTRHQQTAIAPPQAQSINVATPGISASPLLAEFTSPDGNQSSLPNSVVGKSNKAERPLERLIEVIRSSTPKALRSAVSDIGSVVSMIDRIAGSAPGNGSRVAVGEDLVAMTKCRLQAKSFMSQDGSATTKKMKRDTSAMPLNNVSSAGSFNDSFKQSYGLDNSELESTATSRVKRQKVEVNHALVEEIREINLRLVDTVVSISEEETDSSSAALEGEGTVVKCSFTAVALCESLKSQFASEHMSPILPLRLLVPVSYPKCSPVLLDKLPDDQSRESDDLSVKAKSRFIISLRALSQPMSLGEMARTWDACVRKVITEFAQQTGGGTFSSRYGAWENCISA >Ma05_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32821452:32822794:1 gene:Ma05_g21100 transcript:Ma05_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFYTERGPPWKRGWTQQTLSSISFPPPQLIAVFAIVVLLLSMSWHVDYRTQVRRAEAGFRLLLLFLPVALIFVARYIFLDGRFVFQLPWPGQEDMRRAQSSPWGVAVLVGLLLVMASYQPSFHSQWLRPWRVD >Ma02_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8993413:8995308:-1 gene:Ma02_g01250 transcript:Ma02_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFKGSKDRKRGRSPRKSLPIDRVHESYRPLQTSLSPKQITPKAEVVAAPLPALRENLEQGSCSSTRKKVTFDLNVTTYEEALVDNDPNCSSEVDKETEAIDEGRKANDGQDKSSPVSGAFPLNRRYHNCESSDDDVEHDEEDYWDSDFDEEEDTEVVIEGNEEESYDSFFSLPIDKEPSQSIQEANSPNPKSASSPDRQPILVAGGSTRDRSQFVHPVLNPVENLSQWKVVKARAMPVKNPKKENVVGTEQENNVAFISEPVIKAKKSQAPNRSAKQEISMDASLSTWLPSSENSTAEGSQASNSHRSNSSFSREERPILGALTMEDIKQSSVTSSPVKRSPSRSPDEIPILGTVGGYWNCKNHGDDSASSRPPSNEFKGIPNTTSKYREDKTVNWHSTPFEVRLERALKNGAA >Ma10_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27873660:27873991:1 gene:Ma10_g15970 transcript:Ma10_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHVELHCVACRWEHTPLKIQMIPRIMHFSFFFFGSVQHKVLQLFIFSRIASIFSLGSLFPILWVILEIFNLNLILSIVLLP >Ma10_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4159297:4161592:-1 gene:Ma10_g01180 transcript:Ma10_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYSNFYKLYSHYPLISDPSFPPAAFQSYSFSTHSSLPPHPPSPPLREALPLLSLRPTRHQEEEEDTSCSASAGGSRNKAARDDDEADDEVGGVTVALHIGLPSPGAVDLVSRLPPTSEDNAQKEEEEGDDVVTSGYPSNSLGRLNKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHKWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVKGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKSFGHGHAAYGINCFEEEDDPSSEIEQDCHQLNECHRGPVNQ >Ma02_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20849142:20852899:1 gene:Ma02_g11890 transcript:Ma02_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMISDYKVEMVNDGMQEFFVDFHGPNESLYQGGVWRVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCQKYATPEDEVASSEEKSSEEELSEDEYDSSDEQVVGKPDP >Ma03_p12540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9666770:9672388:1 gene:Ma03_g12540 transcript:Ma03_t12540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRFFRKSGSEKPSKYEQPSAANLTVDAGHDGAKHAPNFASNSAQLVTMEPIVVPAIPVDEIKEATKNFSNETYVGESSYARIYCGTLRNGHKSAIKRLDATKQPDGVFLAQVSMMSRLQHKNLVELFGYCIDGSLRILAYEYGNIGSLHDILHGQKGVEGAKPGPVLSWVQRVKIATGAAEGLEYLHEKTKPPLTHRDIRSSNILIFDNDVAKIGDFGLSYHASGMASHLRSTQLIGTFVYNAPEFALTGQLTSKSDVYSFGVVLLELLTGRKPLDRSLPRGQKSLVTWASNRLTKDEVVEIVDERLGGQYPHESVAKFAKIAASCLQYEADDRPNMSIIVEALQTLLIMQ >Ma03_p12540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9666561:9672388:1 gene:Ma03_g12540 transcript:Ma03_t12540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRFFRKSGSEKPSKYEQPSAANLTGHDGAKHAPNFASNSAQLVTMEPIVVPAIPVDEIKEATKNFSNETYVGESSYARIYCGTLRNGHKSAIKRLDATKQPDGVFLAQVSMMSRLQHKNLVELFGYCIDGSLRILAYEYGNIGSLHDILHGQKGVEGAKPGPVLSWVQRVKIATGAAEGLEYLHEKTKPPLTHRDIRSSNILIFDNDVAKIGDFGLSYHASGMASHLRSTQLIGTFVYNAPEFALTGQLTSKSDVYSFGVVLLELLTGRKPLDRSLPRGQKSLVTWASNRLTKDEVVEIVDERLGGQYPHESVAKFAKIAASCLQYEADDRPNMSIIVEALQTLLIMQ >Ma03_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9666559:9672388:1 gene:Ma03_g12540 transcript:Ma03_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRFFRKSGSEKPSKYEQPSAANLTVDAGHDGAKHAPNFASNSAQLVTMEPIVVPAIPVDEIKEATKNFSNETYVGESSYARIYCGTLRNGHKSAIKRLDATKQPDGVFLAQVSMMSRLQHKNLVELFGYCIDGSLRILAYEYGNIGSLHDILHGQKGVEGAKPGPVLSWVQRVKIATGAAEGLEYLHEKTKPPLTHRDIRSSNILIFDNDVAKIGDFGLSYHASGMASHLRSTQLIGTFVYNAPEFALTGQLTSKSDVYSFGVVLLELLTGRKPLDRSLPRGQKSLVTWASNRLTKDEVVEIVDERLGGQYPHESVAKFAKIAASCLQYEADDRPNMSIIVEALQTLLIMQ >Ma02_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20116338:20119477:1 gene:Ma02_g10590 transcript:Ma02_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHIALFVSGLAVLLLLVQGSPPGPVVQCRSGNTNCTVTNGYGAFPDRSTCRVAAVAYPSNEQELLLAVSDATVKQQHVKAVTVYSHSIPKLSCPGGPSGQGLVISTQRLNRSVSVDLATSRMTFEAGITLRALLDAAAARGLALPHSPYWQGVTLGGLLSTGSHGSSVFGKGSAVHEYVVGMRLVVPSPVPVNGSYAKIVNLGEDDPDLLAAKVSLGVLGVISQVTLQLEPMFKRSITNRVVSDVGFEQTISSYAVTTHYGDIIWYPSQGRVVYRDDIKVPITTKGKGVNNFLGFRAQPTLVIASTRASEELSELTGNAEGKCVLSRLQADTLIATGMGLKNNDGGLLDFTGYPVVGNQSDMQSAGSCLRSAEDKLLTACGWDPRFAGLFYHQSAISIPFTTIADFIADVKKLRDAHPNALCGTELYLGFFMRFVRSSTAYLGKTDDVVDIDITYYRSKDPKRPRLYEDVIEEIEQLALFKYNGLPHWGKNRNVGFLNIKNKLGAKLDKFVSAMQKYDSNGLFSSDWTDAVLGLRGKEVVVQGNGCALEGLCICSTDDHCAPKQGYYCRPGEVYDQARVCRKIKSVEADGQADSL >Ma05_p30430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40839763:40844207:1 gene:Ma05_g30430 transcript:Ma05_t30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MFYSHQLLARKAPLGQIWMAATMRAKMNRRKLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRFLVEINAAWKVKTVSDPTVLPRAKAQAKFEAVTLPEYVDMEPEQPMMFPDASIATAAFQRMRLDDLEEHYINIDLRDDDLAGNDHQAEPENITLFETFGSGVAETDLYNHFERFDVGDDETHINFTPQEEPQFEATLIPSLPHEDEIRTFTHLHSAVENHQTEEKEEQRANDEDVQRQQPVKRKAHRKPSHRIMDDRQLMIPGNIYQLWLQDTSDIVSKRGRTQCLRSVNPIRSTKISNLMDLPPVALISGLEMFPAKVHYPSPLMELWRKCTEVNISPSGDKSPPAQQREVTETLLEEVQGEIGSNSLDVSIEKLRANLENLDFQGFDDAFSMDHFVTPGSSAGQSSKSMPSSGSGHAFMPLEPEIQLPSVRSKRKQHSSSKSFRNLDPVEEELPLQQDVRGSKIRRLSETGPTPDFELEETGPTQTPVTPPSNPAVDNTTLLIRTIKIAIAQFTSTGNILVASDMTYYMLMNRHLKLHFDTPGAPQSESLNQLAFGMYKRKAAQLFYQTCVLVTCDFIKVQQHEAYGDISISRGPKM >Ma04_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23703806:23706949:1 gene:Ma04_g21060 transcript:Ma04_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHAPRLLTRVIGGGVRRSATARAFSTDLPAAPSEDAAFVEAWRKVAPNIDPPKTPLAFMKPRPPTPSSIPSKLTINFVLPYQSEISNKEVDMVIVPATTGQMGVLPGHVATIAELKPGLLSVHEGSEVTKYFVSSGFAFVHGNSVTDIVAVEAVPVDRIDLSLVQKGLADFTQKLNSATTDLEKAEAQIGVDVHSALNAALSG >Ma06_p27430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29441199:29446372:-1 gene:Ma06_g27430 transcript:Ma06_t27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYLLLSSFLVALVFAGPSFLPATAGDCPLDMSWWNLTAAASVCSDQNERAKCCRYINAFIAVSVAYYANATGELGVPSAFSDACYNSISDTLKLSGIPSNATMFCGLGLKIHISYQCEGRVTISDMLQSPNFYDVIRNCKLPLSLDNSCKRCLNSGLSYLRHLVGDQDNITLNTCRDAAFVALSNQGDNSSIIDIATCFFSVKGLSIHQGNSSEPFFSPVAPATSPASAPISAHDLFVTPFREHHHPFQLTLIPGIGIMITGSAILLLIILILLIHKKNRELRSASNPTRSTLDASSFPHVWKTKKGLDLDYWM >Ma06_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14974993:14975588:1 gene:Ma06_g20840 transcript:Ma06_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDLPMDGKSSRPRLAPLKVRRDSNAIKKRPVVPPAPPQYRRPIIIYAVSPKVVHATPSDFMSVVQRLTGAASASSSSSSDASVLSASARLATHASSSEMLMTGEQDLSDDLLGVDGDMVFSRSSFNGLSQDISGNKGVMGISSFSPSPNHSAAAAAAYWEFWLLQQHDGGRI >Ma07_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31685239:31689479:1 gene:Ma07_g24270 transcript:Ma07_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDFDIPGGEEEAAMMDPPDDGPALKVGEEKEVGKQGLKKKLVKEGSGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGQSGSPPTIPPNATLQFDVELLSWCSVKDICKDGGIFKKILKEGEKWENPKDLDEVLVTYEARLEDGTVISKSEGVEFTVKNGFFCPALAKAVKTMKKAERVLLTVKPQYAFGGKGRPASGDEGAVPPNATLCIELELISWKTVTEIGDDRKIIKKTLKEGEGYQKPNEGAVVTVKLIGKLQDGTVFVKKGHDEQEPFEFKSDEEQVIEGLDQAVMSMKKGEIALVTIPPEYAFSFTESKQELAVVPANSTVIYEVELVSFVKEKESWDMNTAEKIEAAGKKKEEGNALFKLGKYARASKRYEKGAKFIEYDNSFSEEEKKQSRALKAICDLNNAACKLKFKDYKEAEKLCSKVLEVDSRNVKALYRRAQAYIQLADLDLAELDIKKALEIDPDNRDVKLEYKSLKEKMREYNKKDAKFYGNIFAKMSK >Ma09_p26310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37598720:37600020:1 gene:Ma09_g26310 transcript:Ma09_t26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNCDVDSVRAKFQGEKLYVRLPKLSTPADDDDDAQTPPKVAAMESPPGSGRHDTPRPNEGSVVDAREEKEEEEEKKKQLNGETEYGSDGMGLSETSKITLLVNVGVAALFFFLHCIWIID >Ma04_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25640062:25640589:1 gene:Ma04_g23470 transcript:Ma04_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFCVALLLPTALHRIVLVVDDLWKPIVWISHALGLDKLFDIIGVTIVIGIVDLFGIVDPWPDHSIADDSYGNGQQLQATSATLEMEEALLVMRYEELSGIVRYADDDCTVCLCKFEHGDEVRLLTNCRHVYHRQCLDKWVELRRRTCPLCRTPLIPVEKRDVVDYHDRAGFQ >Ma05_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34206923:34209609:-1 gene:Ma05_g22480 transcript:Ma05_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARWIRGLLGGKKANPGEHSAGIKEKRRWGFGKSSREKERQLSPLRRGDHPRPPSPAPSEERKGSYRELMRPCGTAALVVDEEEQSKRAIAVAAATAAVAEAAVAAAQAAAVVVRLTSSGRTVVGLVGGKREESAAVKIQSTFRGYLARRALRALRGLVKLQALVRGNIVRKQAAETLRCMQALVRVQARARACRALRSERDGSEKGNRMRAGPPTPEKYEQAVRSSATKSDGSCALKRNSSKHAGADDRPDTAAWNWLDRWVEERCWDGREAARKTGSFASIDDEKNAKILEVDPGKPQFSHKRSNHHQYSCSTLTSDYNSRSFTTVQDSPSKDSTAAQQSIPSPSSVNMQQQCLSSLRFPLQVVGFCGSPQYYSSSSRRGSATKGPFTPSNGEGSQSLFSGFADFPNYMANTQSSTAKLRSHSAPKQRPEYEKSGSLKRSSGAVAAQKSSSLHAKFTNKAYPGSGRLDRLGMPLRI >Ma02_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29331934:29336004:1 gene:Ma02_g24940 transcript:Ma02_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) UniProtKB/TrEMBL;Acc:F4HP86] MASHLSLALSHLLRSPFFLLLSLSLLTYLVVRALRVTGNSRRAIVAASPPGTTGLPLIGETPAFLAANHSGRGVYDFVRARHLRYGECFKTNILGRTHVFVSSIVAVRTLLGHESPDFSKRYIRSITELVGDQSLLCASHEQHKFIRRHISCLFKLDSMDSTVRKFDELVIHTLRGWEQKDTVLVLSDAMKITFNAICKMLISTEDEHELEILRNDVSEVSEAMLALPLKFPGTRFYRGLKARRRIMNTLRKMIDLRKRGSECHEDFLQGLVRDESHGNEPLTDDQILDNILTLIIAGQVTTASSIAWMVKYLDENQEVQEKLRALHLELAFTNSLSPLGSEVLNVMPYALKIVKETLRMATIVSWFPRVALKDSEIGGLRIQKGWIVNVNARSIHYDPVVYDDPVKFNPSRFDDDSKPYSFLAFGTGGRICVGMNLAKAMMLVFLHRLVTTYRWRVTDSDSSLQKGAMFPMLRSGCPITVSRVVVEAKLP >Ma06_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12182970:12184915:-1 gene:Ma06_g17960 transcript:Ma06_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVSRRVAVAGRKDGSWQKRVKFSTAVRSGRLEGKVALVTGGASGLGKATALEFIQEGASVVLADVNAQLGRQAAQQLGPKAEFVECDVTVEPQVAEAVDFAVVRHGRLDIMHNSAGIAGPPTATDVARLDLASFDLVMGVNVRGTLAGVKHAARVMSPAGAGSIICVSSISGLMGGLGTHPYAISKFAVAGMVRSLAGDLCRRGVRINCISPFVTLTPLVVDQFTQLYGDVGRQRMEQIVDSVSELRGARSEEMDVAKAAVYLASDESKYVSGHNLVVDGGFTSYKHLNLPMPEGINP >Ma03_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28546119:28548595:-1 gene:Ma03_g24250 transcript:Ma03_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MEINVALFCNLTSDHRIRKSNWPGFSCFSIGAKTRKRIKIESFCCLDLFATRNVRSCVVVRCSSSQENCLRPKPRPKPKPIVEHVDRGSNLDDTYSASPDSSAFFDHIEKLVFFKRYEEALELFEILRSGGNLVAVRASTYDSLVSACIGVGSVREVKTVFQHMIETGFKFDQYMRNRVLGMHLKCGMMVDARHLFDDMPDRNTVSWSIMISGLVEVGSYEEAFNLFFLMWEEVSDASPRMFATIIRATAGLGFILAGRQFHSCVIKMGFYKNIFISCALIDMYSKCGCIEEAQWVFDEMPEKTVVGWNTIIAGYALHGYSEKALDMYYEMCSANVQMDHFTYSIFFRICARLGSLEHAKQAHAGLVRNGFGLDIVANTALVDLYCKWGRMEDARNVFEKMPRRNLLSWNALIGGYGNHGMGIEAVKMYEKMREEGMVPDHVTFLAVLGACNYSGLLDKGREIFEMMSRNPSTKPRAMHYACMIELFGREGLLDEAYALIKNAPFRPTKNMWAALLTACRIHKNLELGKFAAEQLFGLGPEKLSNYIVLLNIYNSSGRVDEAAKVVEALKRRGIRLLPACSWIEIKKQPHKFLFGDKSHPQSLKIYEKLDSLMSEIVERGYVPDWKSLLPDVADHEQKMLTYHSEKLAIAFGIISTLDFTPLQVVQGHRICSDCHTVIKFLTLITKREIIVRDASRFHHFRCGSCSCGDYW >Ma10_p30110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36484263:36484860:1 gene:Ma10_g30110 transcript:Ma10_t30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPLFATLTLVLILFTSSCQQVTMAAGSAFCGSKCKVRCSKASLQDRCIKVCGLCCEACRCVPSGTYGNKDECPCYRDKYTGEGVRRRPKCP >Ma01_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8624260:8629160:-1 gene:Ma01_g11910 transcript:Ma01_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRISLPRKVFPLSFSSRLISSSAADHQATPSRPSIGSPARIHKLIAAQSDPLLAKEIVEVASSLRPDLPLHPSSFHSVILKLARAGHLSAASALLRCLPSPSPALLSSVFLAFSRHRRPDLALSAFRRILSSPSSSSPPRPKLFRRLLSALAAHPSSLPVALSLLKSSASFGVPDSVRAHNVLIHAFARSGNVAVAYSLFNRIFTVGLAPDVTSYRILMQALCRKSQVGTANDLLDDMLNKGYVPDSLTYTTLLNSLCRKKRLHEAYKMLCRMKVRGCNPDIIHYNTVIVGFCREGRLLDACKVIEDMPDHGCLPNLVSYTTLIHGLCSRGLYDQGHGYLEVMVGKGLVPHFSVFHALVKGFCSVGKVDEACRVLETMLTKGVAPHVETWDLLVAGVCDDDREKLEDCVRKVVNEEEWRRSTRHIQVGCGLEGYMTRRSRAGTRNGY >Ma03_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1115076:1121418:-1 gene:Ma03_g01620 transcript:Ma03_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVAGVDPRRTPPPQPWAAVRYPAPAMVMQHPMMAPPPYGHPFVAYHQTPTPPPPPPPLKSIRLHQAVDAAAEDEKRTIWVGDLHYWMDENYLHSCFGHSGEVVSIKVIRNKQTGQSEGYGFVEFHSHATAENILQSFGSHLMPNTNHPYRLNWASFSMGDKHSDLASDHSIFVGDLASDVTDTILQETFVTKYSSVKGAKVVVDAHTGRSKGYGFVRFGDENEKKLAITEMNGVYCSTRPMRVGLATPKRFSGGFGPNGASAAGSQTDMDSANTTVFVGGLDPDVNEDDLKEAFSRYGEVASVKIPVGKQCGFVLFVHRNNAEEAMQQLNGTIIGKQTVRLSWGRNPAKKQSRAERGKRWNGAYYGGQVYDGYSLPPHYPGMYVLPPYGAYAFYGSQQQVN >Ma10_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33354328:33363091:1 gene:Ma10_g25040 transcript:Ma10_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAGGRAMPLGVLLKRESTSEKIENPDFLYGQASQSKKGEDFTFLKAECQRVPGDGVTTFAVFAIFDGHNGSAAAIYAKENLLNNILYAIPSNLNRDEWLAALPRALVAGFVKTDKDFQTKAHSSGTTVTFVIIDGWVVTVASVGDSRCILESAAEGSIYCLSADHRLDVNEEEVERITASGGEVGRLNVVGGVEIGPLRCWPGGLCLSRSIGDMDVGEYIIPVPYVKQVKLSSSGGRLIISSDGVWDALTFEMAFNCSRGLPPDAAANQIVKEAVQVKGLRDDTTCIVVDMLPPEKLTPSVPPKKQGMGVFKNMFRRKSSESSSHSERGESPEPDVVEEIFEEGSASLAQRLEAEYPIRNMFKLFVCAVCQVEMKPGEGISVHADSSQPGNLHPWDGPFLCPSCQEKKEAMEGKRSSRDSSSRRSSESE >Ma01_p03490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2307244:2313495:-1 gene:Ma01_g03490 transcript:Ma01_t03490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVESANGVDLAVAAGPSITGGGAADPRSAKDLVSTSASSGHPAFRPHRDPSAAAPAASSFHAKPPLALGLNRDGPSAAVVGSQGEGFKREMRDLEELLSKLNPMAEEFVPPSLAGMGNRYGAAGGGLYASGFEVSNGVGDGGAVGNGERRKKNGYGQGKRRMNSRTSLAQREEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNTVLRFAFIEFTDEEGARAALNLSGTVLGYYPVKVLPSKTAIAPVNPTFLPRSDDEREMCSRTVYCTNIDKKVSQADLKLFFESLCGEVYRLRLLGDYHHSTRIAFVEFVMADSATAALNCSGVVLGSLPIRVSPSKTPVRPRALRVTMY >Ma01_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2307244:2313495:-1 gene:Ma01_g03490 transcript:Ma01_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVESANGVDLAVAAGPSITGGGAADPRSAKDLVSTSASSGHPAFRPHRDPSAAAPAASSFHAKPPLALGLNRDGPSAAVVGSQGEGFKREMRDLEELLSKLNPMAEEFVPPSLAGMGNRYGAAGGGLYASGFEVSNGVGDGGAVGNGERRKNGYGQGKRRMNSRTSLAQREEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNTVLRFAFIEFTDEEGARAALNLSGTVLGYYPVKVLPSKTAIAPVNPTFLPRSDDEREMCSRTVYCTNIDKKVSQADLKLFFESLCGEVYRLRLLGDYHHSTRIAFVEFVMADSATAALNCSGVVLGSLPIRVSPSKTPVRPRALRVTMY >Ma03_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6588302:6591081:1 gene:Ma03_g08960 transcript:Ma03_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLAYRWGELKKSGENGKKRRQEEEGWEKTDTEQIRSAGANPVGHLFSSLVLREQRWNSMLRSCKADRCSSFFRMPSYPLFLIQFWFPRVPSVPCRLLPPLVDVPAVARMVYKDKVVFILGTTGSGKSKLAIALAKSFRGEVVNSDKMQVYDGLGVITNKVTEEESGGVPHHLLGVVHPEADFTASHFRREATRAVESILGRGGLPIVAGGSNSYIEELVEGVGGEFRSRYDCCFLWVDVELPVLHEFVSSRVDKMVEQGLVKEARAAFRPDGDYSRGIWRSIGVSEMDGYFRSGDSAGDGETKARMLEAAVDAIKANTCRLACCQLQKIRRFCAMGWDVHRIDATEFFQRRGRGSEEELWEEVVGEPGAAITRSFLASKNQNNELDATANAATF >Ma10_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24624227:24625015:-1 gene:Ma10_g10960 transcript:Ma10_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSFKRPGRIPFQWEIRPGVPKPQHQPTPAAPSPPTSKLSRPPCMTPPSLSPQWSRSLSASRSQSNAHSPPLPQSLTRSASRQPSRLSSPSSPSPSKATASIFYRSASASPSKRRSDPTRRPSKAPAAAPKVTSVPPGCFPVPALKRKEKHNKRSIFESPHHSASSRSSASFSSPFRGSFLVRDEVEVASNWFF >Ma04_p29990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30753587:30756101:-1 gene:Ma04_g29990 transcript:Ma04_t29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATE1 [Source:Projected from Arabidopsis thaliana (AT1G13690) UniProtKB/TrEMBL;Acc:A0A178WKP3] MNYPVQKNTLYVGGLAEEVNEAILHSAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELYGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEHQAAMRAAEELHRKKLAEEREGEKEEETEKMADPMAAAEAEVLTQSS >Ma08_p26410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38962384:38969434:-1 gene:Ma08_g26410 transcript:Ma08_t26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSSPLPSMPRRILCKYFARGTCLKGEYCEFSHDWRDQSNNVCNFYQRGLCTYGSRCKYNHIGVSSHNSYDPASSISHQSYHVESSSSQFAHPPRASLKGETSQAFRIPVDLPVSCQSHTPPLEHAQKQKHGADISPSNEGSHVPAHMRPADSEICALHITGSCLHGKSCPDVHGDMCSICEMHCLHPFHQDKREEHITMCQKNNKLHETTKYSQEIECSICLERVLSKPTDAERKFGILSECDHPFCISCIRNWRRNSPASGIDLDTALRACPVCRKHSYFVVPSVTWFTTKEEKQEIITSYKDKLKLIDCKYFDFGNGTCPFGASCFYKHTYKPHANRRSAYRPHRNRPHPHRSRQIMEREEIEDFINPFALEDELANLAALLDVDEEESEDLDEEDFGSLLLMHMSFLLMHMDDEELLSDDDN >Ma02_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21946627:21947563:1 gene:Ma02_g13640 transcript:Ma02_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSMKSHEAGDADHGFRIITLAGDNKGATMKANMDQLMDTDGMMYDDNDIICTYTNNNYQAVNNSFLLRGSCTAEDPGIHVVISEYVEKVGDGDDQERYEKKKENNKKDKKREEDKSKGSTKEGLEW >Ma07_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6650542:6657857:1 gene:Ma07_g08880 transcript:Ma07_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFSAAESLRRDRILPGKLYFDVPPSKVLVIYSSSYDIAFLGIEKMVPTFLGSKGCHQIMGSTMLNYYFDWYPLQHRIFLELMLIMKVPQVALLSNDLVQNRVLYPYRKQVRGSILAAKIAIERGWAINVGGGFHHCSAEKGGGFCVYAIFHYAFSLILSMINHLIDLFGFICLDFQLFCLNICQVMNNMNHKLSPSWSWIIHNNLRLGNSEAKRYIDLKVELVSGTKTKEYLDKLDEALEVARNDFDPELVVYNAGTDVLGDPLGRLKVCPDGVSVN >Ma10_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25827608:25831249:1 gene:Ma10_g12810 transcript:Ma10_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLSRLLRSLNPLFAIRRPPTSAPAHRCLATAAASSNADDSAAAAEMDETIYVKRPGSTAATRDQTSVTMPMSFMTGSIVGKRFYKEVTTRMADDGNGWTVMLDYRTLKTPSKRPLKLQSLALAKAIAAEWDCQQTDGIRPFTMPLMKLACTALERVPLTRAKIFENLMSKFHQDLVFCRSPSDSDLTIGVHERQKEKIDPILDWVQSEFGFKPVVYTSFFGGKQDDCLAKAIEHVLKETNDFELTAIDAMAASAHSLVIPLGIFRGRLGIEEAIELIRLEEDLQVDKWGLVEGGHDVDIADLKVQISSATVLLGLSKLT >Ma03_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9821589:9822979:1 gene:Ma03_g12780 transcript:Ma03_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKYTNKDLMEKLTLEEKRSWVTSSPTKVFGIDPNTQQVNHLTDIDWYSFPDQLLIHKAEAMGKPGLVEIYAKEESTGARRCPEAEEASAWECMIPASGSRYISSDMHASVVLS >Ma03_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27980493:27983174:-1 gene:Ma03_g23410 transcript:Ma03_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILAGLGSLGSSLIGRVKDQISDYLIGRVLEEPGVADLDKLRNTLSGTERIIGRVENMWIKDEDTKKRLKELLMKLKDTVYDADDLLDEIQFRVLKQQIELQGAQGYEASNQSSSSSGLHPSKRRKLYERVGRFFGREDDVIRVGKIQMKLDEYTTYIKDFITTTDADEKQMITSVVPRTTTSFPIETQVFGRDEQLNHLLEQLMKSADGSGSSNSSISTVTIVGIGGVGKTTLAQQAYNHERVKDYFHPKVWLCVSDNFNVERLTKDIVESITEEKCDLSNLDTLQVVVKKKLTSKRFLLVLDDVWNEDGLKWERFCASLRYGEQGSKILVTTRSKKIAEMVGKPIPLGGLDEASYWEFFKKCAFGSEDAGEFPQLEAIAKKIAGRLKGLPLAARTVGGLLKAQMNEKHWRNIAGSEIWQLQHDENGVLPVLQLSYQCLPPHLKRCFVFCSLFPKDNRFDGEHLVQLWMAEGYVDQDNMKDNMTLEAVGSDYFHELVNRSFFQEAPWGSTYVMHDLIHDLAQFISKGEFCRIDDVESKEIPNTTRHLSATLTDGTKLMELSCYDKLRTLEINSKSFWFDFQDESPLFIQFEKLKNIRVLILQNCGLQELPEKIGGLIHLRYLDISYNRYIKWLPESLCDLYNLRVLDLYECDLQSLPHGMSKLINLMHLNEEDKIISEINDVGKLTSLQGLCSFKVLKDKGHEVAQLGGLKQLHGQLRITNLENVESKQKAGMANLNNKQYLDELVLEWTTDDGFSLDGNELVVSEEVLEGLQPHQALKRLTIRGYIGVRSPSWLQTQLLANLITLDLYNCKAWEDISCIGQLQNLKKLSVKGMPAVKQISHGLSTESKFLPNLEELVLENMVALEELPSLGQLPCLKVLRIDQMSTMTK >Ma03_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8856596:8862901:-1 gene:Ma03_g11400 transcript:Ma03_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDFGVPRELSELQKKRAEYHPELPPCLQGTTVRVEFGDSTTCADPSSEHVIGRAFPHTFGQPLAHFLRATAKVPDAQIITEHPPMRVGVVFCGRQSPGGHNVVWGLYSAIKIHNPDSILLGFVGGTEGLFAQRTLEITDEVLATYKNQGGYDLLGRTVDQIRTTEQVNAALTACRDLKLDGLVIVGGVTSNSDAAQLAETFAEAKCPTKVVGVPVTFYGDLKNQFVETDVGFDTVCRVNSQLISNVCIDALSAEKYYYFIRLMGRKASHVALECALQSHPNMLILGEEVALSKLTIFDITKQICDAVQVRAEKEKYHGVILIPEGLIESIPELYALLQEIHGLHNQGILTENISSHLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLFAQLVETEMNRRMKEGIYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATITNLKHPVNKWRCGAAPLTAMMTVKRYSRGPGATPIGKPAIHPSTVDLKGKVYELLRQKALSFLMDDLYRNPGPLQFEGPGADVKTYTLSVEDQDYMGRIKKLQEYLDKVKSIVKPGCSQDVLKAALSSMASVTEVLSVMSTPSFGGRYQPL >Ma09_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36581511:36582971:1 gene:Ma09_g24980 transcript:Ma09_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAPGGRSHFWGDDPAEEDDYYAAQGIHGSSSFYTSRRGVTLFTRSWLPQITPTSSPPGRPRALICMIHGYGNDISWTFQATPIFLAQHGFACFALDLPGHGRSQGLRAFVSDVDAVACDCLAYFRSVRQSPGLQGLPCFLFGESMGGALCLLIHLLEQEGEQGWDGAVLVAPMCKISDSIRPRWPVPEILTFVAKFAPTLPVVPTADLLEKSVKVEEKRVVAASNPLRYAGRPRLGTVAELMRVTDRLNSRLSEVTIPFIVLHGSADVVTDPSVSRALHDTARSKDKTIKIYDGMLHSLLFGEPDENIAMVRNDILAWLNERTGGAADRRNC >Ma04_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7826776:7832749:-1 gene:Ma04_g11120 transcript:Ma04_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAIEGLRWPWNAWPPSRSDAAALVVPLSVMCSPLMPLPDLPVLPYEPLLCASCRAALNPYARVDYRSALWTCPFCSHKNPFPRTYAGIGENNLPAELFPTYSTVEYALARNPRPNPGPRGGFGLSSSSVPSSLSASASSSSLSGLDASRVLEPAFVFVVDVCSEQEELRALKNEILHVVAQLPENTMVGLISFGSMVWVHDLGYTDCPKVMVFCGDRELTSEKIQQLMRVSNHSKLGMAQSIQKHGFLLPVSECEFNFTSWVEELNSTHNALPGHRPSRATGAAISTAVALLEVCSVNAGGRVMVFTSGPATIGPGMIAETDLSKSIRTHRDLVNGNAPFTDRARGFYKQLAHRLVDGSFVLDLFACSLDQVGTAEIRYPIESSGGFLVLADSFESEQFRKCLRHIFKREGADHLNMNFDATIEIVTTKEVKICGALGPCMSLRKKNNSVSEKEIGHGGTNTWKMSTLMSKTCIAFIFQVSTDNVEPPPVLFIQFMTRYRHGNGGFRLRVTTAARRWVKPHSPELTAGFDQEAAAAVMARLAVHRAEEYHARDVIRWLDKMLIRFTAKFGDYVPEDPSTFRLSSNFSLFPQFIYYLRRSQFIDVFNSTPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFHIVIHYGSKIAQWRKLGYDKDPNHENLRKLLEAPEIDAEALIADRIPVPKLVKCDQHGSQARFLLARLNPSVTQKTLLTDGSEVIFTDDVSLQVFIEHLQELAVQG >Ma05_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4568367:4571571:-1 gene:Ma05_g06120 transcript:Ma05_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGIPGQLLCHLRCTESRNTAALRSSVRLPRDLNRRNLTRIRLSATSAPASGSFAPAISLTEKALNHLSKMRSERNEDLCLRIGVKQGGCSGMSYTMEFENRANTRPDDSVIEYNGFVIVCDPKSLLFVYGMQLDFSDALIGGGFSFKNPNATQTCGCGKSFAAEM >Ma05_p06120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4568367:4571571:-1 gene:Ma05_g06120 transcript:Ma05_t06120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGIPGQLLCHLRCTESRNTAALRSSVRLPRDLNRRNLTRIRLSATSAAPASGSFAPAISLTEKALNHLSKMRSERNEDLCLRIGVKQGGCSGMSYTMEFENRANTRPDDSVIEYNGFVIVCDPKSLLFVYGMQLDFSDALIGGGFSFKNPNATQTCGCGKSFAAEM >Ma01_p19240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14718937:14723088:1 gene:Ma01_g19240 transcript:Ma01_t19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGDLKEDDLKGKRVFVRVDLNVPLDDSQKITDDTRVRAAVPTIKSLMEHGARVILCSHLGRPKGVTPKYSLKPLVPRLSELLGVDVEMANDCIGEEVEKMVAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGYLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVMESLLEKVDLLLLGGGMIFTFYKAQGYSVGSSLVEEDKLNLATSLLEKAKSKGVSLLLPTDVVAADKFAADANCMVVPASGIPDGWMGLDIGPDSIKAFSESLDTTKTIIWNGPMGVFEFEKFAVGTEAIAKKLADLSAAGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKSLPGVLALDDA >Ma01_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14718967:14723088:1 gene:Ma01_g19240 transcript:Ma01_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGDLKEDDLKGKRVFVRVDLNVPLDDSQKITDDTRVRAAVPTIKSLMEHGARVILCSHLGRPKGVTPKYSLKPLVPRLSELLGVDVEMANDCIGEEVEKMVAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGYLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVMESLLEKVDLLLLGGGMIFTFYKAQGYSVGSSLVEEDKLNLATSLLEKAKSKGVSLLLPTDVVAADKFAADANCMVVPASGIPDGWMGLDIGPDSIKAFSESLDTTKTIIWNGPMGVFEFEKFAVGTEAIAKKLADLSAAGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKSLPGVLALDDA >Ma09_p30290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40483898:40484584:-1 gene:Ma09_g30290 transcript:Ma09_t30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVGFISLFCRKGDARPLSSPPASWLWPSCKHPTTHSFRKEDGAEVSDGLGSSYLDLTESCFSTASEDDSAAEGVEAVVVRGLRSDRLFFEPDSTSSITAAAAAKTESLPFEGSIAMEVESEDPYWDFRWSMEEMAMAHGLVDVQWLEEMLCWYLKMNEKRCHGIIVEAFLDLLLSLACSITPGMEDGEDDVY >Ma03_p30990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33399387:33400137:-1 gene:Ma03_g30990 transcript:Ma03_t30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSERERHPTEAVKGYLPEKGPSTSQALAVATLLPLGGGLLALAGLTLVGSLIGLAVLTPLLLLFGPVVVPAALLVALAVTGFLASGAFGLTGLSSMGYLLNQARGMLHRTPEQMEDTKRRVGEVGQRAKEAARSGQRT >Ma03_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2908091:2912754:-1 gene:Ma03_g04460 transcript:Ma03_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYLTAEKPSYRRTLVIQVALCLALYAAFHIGTPQPSPASSPGGARLGGKPADLYFMSVRGGVRTPREQSQLLEQMEKVAKTYGANFVVNIAELGERDPLLQNATSHFPREIPWYTTAASGGQMMGSFLKRIRLPYEQTLDIIGTGSLQDLSYEEQLNKNGSNNLHWMRRMLATSNSTWLIVVGFDPMTICDEKEATGTIKFNKPLHNVFLEFGVDAYLSKQGCAGYFYHDEGIAYMGNPGPADKLNRALSINVNPDVLSELHNGFLLHRVSPLEMESYFIDSSGRIALKTMIRHRGRGAI >Ma08_p32770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43368999:43369208:-1 gene:Ma08_g32770 transcript:Ma08_t32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILDQAEEEGISLPYSSRAGEIVQGQLDQSDASFLDDDQITAGYVLTCAAYPKSDLVIETHKEEELTG >Ma02_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29095023:29096192:-1 gene:Ma02_g24520 transcript:Ma02_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRASSSSYDRIELGMELRRGPWTLEEDTLLIHYIACHGEGRWNLLARCSGLRRTGKSCRLRWLNYLKPDIKRGNLSPEEQLLIIELHAKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKIDADSTTFRDAVRCYLMPRLLERTGSSQAAPSSLDAVSISAATTAAADQAQQASLHDISDASMRYYEPPGAEIRSPSSSPCSTVLPRLPATMAEADVVTFDPFSSGYSMNDAYDLDTWDIALMSASLPSYAASDYTVCNNNCGRNTGDSLWSMDELHDMLKKLT >Ma06_p30060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31392678:31404595:-1 gene:Ma06_g30060 transcript:Ma06_t30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEREKEREAELESAMYTNCLLLGLDPAVLGMGTGSPRVGHFRHSNPKLGEQLLYFLLCALRGSAKDFDKVWPIFDSAQSRDFRKIVQGIIIELESQGVLPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHVRTFAADVASNPLPPALTDASYQHAAALLPVTKARIALERQRFLKNANAAVHRQTTWSNLAHEMTAEFRGLCAEEAYLQQELEKLQDIRSKVKTEGELCDDHVSSFSGQNSHLVAKATCLWETLLARRDQHEVLASGPIEDLIAHREHRYRISGSSLAATMGLSSQVPHSDVLSVASGEISSPIDIREQMDSPHNQGISESFSKMVDRGGIVYPTFDVAEILRRWTHALQRIHKQSLYLVKANNGEGPELLRTSSENDASGHAEFLATTLAEHRQHLVSIQGLISQLKEAIPAMQKSIAELTEEVETVSSSTMDGFNARSILSMQSQVPQDIVADEVIELNSRLSSLQLENVSKSPVLKLPHLISLAPNSSAKSMHTPKLSTITAQSIQKSLPVGTSAESQFTNNYRGGAAKENDDYDVQSIRRSVREAALTRPSNNSELILESSEDDSSEHFFMPISTGVSVKVVDSAPVRKKQQLALSPPDIHVPSSSKDFLINTEGQLDSVPISNNLYGIDGHTNQTGLIQPAFLNSRKAYADIDDAMDQVFSPPLLMESSFFQDAYEDLLAPLSETDAALIEY >Ma07_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5775829:5781903:-1 gene:Ma07_g07780 transcript:Ma07_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSLTKNGFFQSVSASIWRTRSEKDVLPSAHGEAAGSESAKASSATDAPEPVKIPGVDTKHSRIPEVVGNPAPADPCKKPTQVKRTGSSAGLQAGSVLKRKTENLKDIYSLGKKLGQGQFGTTYLCVEKASGKEYACKSILKRKLATDEDVEDVRREIQIMHHLSGHPNVISIKGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGIVEACHSMGVMHRDLKPENFLFVNQMEDASLRTIDFGLSIFFRPGEVFNDVVGSPYYVAPEVLKKRYGPESDVWSAGIIIYILLSGVPPFWAESEQGIFEEVLHGKLDFQSDPWPSISESAKDLVRRMLVRDPSKRLTAHEVLCHPWVQIDGVAPDKPLDSAVLSRLKHFSAMNKLKKMALRVIAANLSEDEIAGLKEMFKMIDTDNSGQITFEELKVGLERVGAKLKESEIYALMQAADVDNSGTIDYDEFIAATLHLNKIEKEDHLFAAFQYFDKDGSGYITADELQQACEEFGMGDVRLEEMIREADQDNDGRIDYNEFVAMMQKGNTTVIGKKGLQSNFSIGFREALKLG >Ma05_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6535932:6537933:1 gene:Ma05_g08890 transcript:Ma05_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSSTWRPRQLVLNPCRSPGSSLNLTKKKERELSTHSMLARKEEVGSKKAQVARDASTTITTATGHVMAVSEFIAQFDEAARKRLNRMNEKLKELETQMEALEAEMSKANDSSDWS >Ma11_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22240392:22242168:1 gene:Ma11_g16800 transcript:Ma11_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVMKMASQRAVVVFSLSSCCMCHTVLSLFHDLGVNATIHELDEDPRGREMERALARLVGRNPPVPVVFIGGKLVGSTDRIMSLHLGGKLVPLLREAGALWV >Ma08_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9808884:9815500:1 gene:Ma08_g12770 transcript:Ma08_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGPGHSYDYSFKILLIGDSAVGKSSLLVSFISNHLGEDLAPTIGVDFKIKHLTVDDKKLKLTIWDTAGQERFRTLTSSYYRGCQGIILVYDVTKRETFTNLADVWVKEVELYATNHNCVKVLVGNKVDKEADRMVTREEGNAFANEYGCLFLECSAKTRANVERCFEELALKILEVPKLVEEGSVPVKRNILKLQQEQQHAHPGGGCCSS >Ma08_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1468485:1476478:-1 gene:Ma08_g01780 transcript:Ma08_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTVIGYLNLLTLLASIPIIGGGLWLARSSATCESLLQTPLLVLGFVVLLVSLAGFAGACFNVAWALWLYLLVMLFLIAALLSLTAFGFAVAGGSGGGVEVPGRVYHEYHLDGYSRWLRRRITEPRYWSAVLACVVGSKTCAKIALWTPLDYIQRDLSPIQSGCCKPPTTCTYTGGMAVAAQDEDCYRWNNAANILCYDCDSCKAGVLEQVRRDWHKLTILNVVVLVFLIAVYSVGCCAFRNARRAEFGYPYGPNRMSKAHPFWDDYWWRPRDR >Ma05_p25230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37221124:37221691:-1 gene:Ma05_g25230 transcript:Ma05_t25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQKRYRGVRQRHWGSWVSEIRHPLLKMRIWLGTFETAEDAARAYDEAAVLMCGARAKTNFPCSPHRPPSSHCKYLSAALTAKLHRCNLLSLQAAQPVVMHAPRRPPVAAEHKEETSRVAEENGHGGVEFSCLEEHHVEEMIEELLDSNLSMELCCAAL >Ma04_p38660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36085009:36086859:1 gene:Ma04_g38660 transcript:Ma04_t38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFRRSCHHVQIASPMELWIQDRDDLRLSLPHDVEAGALKKVILSDGSVMTAKSAKSISLRQPIYLLLPLNCSRPKKRPLVSGLLTIAGVCHGSHQPQTCSLTVHSWPNIPHLIRFSMKGRASVRVFFYFSSLRSRCFCCTLILRLCILKWTF >Ma06_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14396474:14416261:1 gene:Ma06_g20320 transcript:Ma06_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMRAVMAIATVAAISAIAMGAKYDVGGPAGSWDLATNYTQWVSAFKYASSHDVLEVSSAAYSSCTTSNPISTSTGGNTVVTLNSTGSSSRSTSPPRPQHLLLLPPPPPAPPVAPSPRSPSALPPSSSTPGSVPPPSAPTVSPPTPSGACLQAKKATLGLVSGIVMLMLVAL >Ma03_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29139211:29143734:-1 gene:Ma03_g24960 transcript:Ma03_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVSSTFIFLAPNTCSSQTLKPPSLVPFRRAASAVAFPRLPRLVPVRASVAIEKETPENERPETFLRSADSPHGDAAAAPTTVRARFERMIREVQDEVCAAIEGADGGGTFKEDVWSRPGGGGGISRVLQDGGVWEKAGVNVSVVYGMMPPDAYRAAKGEAKAAGEDSSVKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDFTPAYIFEEDVKHFHRAQKQACDKFDPSFYPRFKKWCDDYFFIKHRGERRGLGGIFFDDLNDYDQEMLLAFSTECAKSVVPAYIPIIERRKDTPFTEKHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYVHQPKEGSEEWKLLDACINPKEWI >Ma05_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11376738:11376919:1 gene:Ma05_g15300 transcript:Ma05_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNIALQILWSCYGKRDDIWTNVLSKKE >Ma08_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:545994:549735:1 gene:Ma08_g00570 transcript:Ma08_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MRLRSPATLLLSNAFSSSAPTATLAAHFGRHVDTTSVASWNAAIADLARAGDFVDALRAFASLRRLRLRPDRSSFPPALKSAAALASLPSGRQLHLFALRLGLLPDLFVASSLVDMYAKCRELSDARRAFDESPHRNAVLWTAMVAGYVWNDAPEDAIFLFKDFLADEGGAGIDSVAAVAVLSACSRVSGKKVAEGIHGLVMKVGLEMDVGVGNTLTDAYAKGGDLSLGRKVFEGMAARDVVSWNSMIALYAQNGLSAEALELYTKMLTNGSIQHNAVTLSAVLLACAHAGALQIGKCIHNQVVRMGLEKDVYVGTSVVDMYCKCGRVRMAKKAFDRMKEKNILSWSAMVAGYGMHGHGQEALKVFHEMTRSGEKPNYITFVSVLAACSHAGLVNEGRYWLDAMKRKFNIEPGVEHYGCMVDLLGRAGCLNEAYRLIKDMKVKPDFVVWGALLSACRIHKNVELGEISARKLFELDPKNCGYYVLLSNIYADAGRWNDVQRLRVIIKNKGLVKPPGYSSVEFKGRVHVFLVGDKKHPQHIEIYNYLEKLRVRMLEAGYVPDTGSVLHDVDEEEKETVLRVHSEKLALAFAIINTAPGTTIHIIKNLRVCGDCHSAIKLITKLVQREIVVRDSHRFHHFRDGSCSCGDYW >Ma05_p27490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38772353:38779760:-1 gene:Ma05_g27490 transcript:Ma05_t27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHEISKLEERLQHLLVQFQVEAGVLDRMVYKNKNQHRRSSYFQYLLKVRRDVKLLQSAGLGEILKVLFPIINGRRAAQKAFYPTRSKSNSSGSKHNCQDRLLGVARLLSKMTEPILRAATQISLLLAKSFFTGFCITILSLLARLRVLVQQMLLDVILIYNKVSSLSQERQSVKLFQDGIEAFREYYPSTERVLMLDCVWREDKFFLVEKTENRNIENRNEDLSAVPFTTSVQYETFELFDEATKHENICSPTMETDTHPPKDQPETVAIIGNTVNSEDARTLEAVKFASADAEEVLAAKVDTFLSATTPEPQTKSRRNVAFVPVKRSNPSDTNTSGPSKKMKLDLVSSNSTEAEDPFLDLLFSGRVESSVL >Ma05_p27490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38772353:38779760:-1 gene:Ma05_g27490 transcript:Ma05_t27490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHEISKLEERLQHLLVQFQVEAGVLDRMVYKNKNQHRRSSYFQYLLKVRRDVKLLQSAGLGEILKVLFPIINGRRAAQKAFYPTRSKSNSSGSKHNCQDRLLGVARLLSKMTEPILRAATQISLLLAKSFFTGFCITILSLLARLRVLVQQMLLDVILIYNKVSSLSQERQSVKLFQDGIEAFREYYPSTERVLMLDCVWREDKFFLVEKTENRNIENRNEDLSAVPFTTSVQYETFELFDEATKHENICSPTMETDTHPPKDQPETVAIIGNTVNSEDARTLEAVKFASADAEEVLAAKVDTFLSATTPEPQTKSRRNVAFVPVKRSNPSDTNTSGPSKKMKLDLVSSNSTEAEDPFLDLLFSGRVESSVL >Ma03_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24183914:24187485:-1 gene:Ma03_g18620 transcript:Ma03_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLLCDIDGQCLTAAAIVGHDGSVWAQSDVFPQCKPEEIAAIMKDFDEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGSGGVTIKKTNLALIIGIYNEPMTPGQCNMVVERLGDYLFDQGF >Ma04_p29770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30611487:30613586:-1 gene:Ma04_g29770 transcript:Ma04_t29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMETMAAAAAVEGGVVVIREYEAERDRVGVEAVERRCEVGPSGGGMSLFTDLLGDPLCRVRHSPPFLMLVAEVICGSESREIVGIVRGCIKTVACGARKPHRGSSTTTAPLTSLAKHHTAPSPIYAKVAYLLGLRVSPAHRRRGIGLKLVERMEEWFKEKGAEYAYMATEKDNEASIRLFMGRCGYSKFRTPAILVQPVFAHRLTLPRAIAIIRLPAADAEALYRRRFAATEFFPRDIDAVLANPLSLGTFLAVPAGCAAAARWEGADAFLADPPSSWAVASVWNSKEVFRLEVRGAGRWRRALALASRAVDWALPWLRIPSVPDLFRPFGMYLMYGLGGEGPAAAAYARAVCRHAHNMARTDPGCRVVAAEVAACEPLREGIPHWSRLSCAEDLWCVKRLAEEYSDGALGDWTKAPPPPTIFVDPREF >Ma06_p34540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34731156:34738525:-1 gene:Ma06_g34540 transcript:Ma06_t34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKDRQSRKEGRINHSGIKCMCCKTVFDLASFAAHSDNRTQRPSATIFLSDGRSLLQCQMQMMHASKQKNFPHIRLKGDLTQHQSDTICSICQDGGALILCDHCPSAFHVTCMGLEDVPEGKWFCPSCRCGICDHSEYNHDVEQFTKTTMFSCDQCEGEYHVGCLRGERLQQLGSCPNGNWFCSKKCLKIFYHLCELIGKSNPTSAVGLSWTLLRWGSNDNSDLGRFALEAMAEHHSKLCIALDVLHECFVPMTETRTQSDLVADLLFNKESELKRLNFWGFYTMLLEKGDELVSVATFRVYGDKAAEMPLIGTRVLYRRKGMCRLLVNELEKLLCSLGVERLLLPAVPQLLQTWTTSFGFTQMTSSDRLELSKYSLLSFSGTTMCQKLLGAAKTVSGVPADTYEDIVSSSK >Ma05_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:698181:699597:-1 gene:Ma05_g01170 transcript:Ma05_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKFLFGIFGNATALFLFLSPVVTFRRIVRKRSTEDFSGVPYNVTFLNCLLFAWYGLPFVSPNNILVSTINGTGAAIEAVYVIIFLSFAPKKVRARMAGLFALVLSIFAVIALVSLLALRGQDRKVFCGVAATFFSICMYASPLSIMRLVIRTKSVEYMPFLLSLFVFVSGTLWFIYGLLGHDPFVTVPNGCGSALGAMQLVLYAVYRKNKGGGDADGKMVEMNGEKPPKNDAVSAEKTPHHPMEEQVLECAVRR >Ma06_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25433021:25433750:-1 gene:Ma06_g25160 transcript:Ma06_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQAASAAAEAWGYVAAARGATMDALERVERLAGESAVVIFSVSTCCMCHAVKRLFCGMGVSPMVVELDEDPRGGEMERALARILGGGGAAVAGSAAVPVVFIGGKLVGAMDRVMAAHINGTLVPLLKDAGALWL >Ma02_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20229362:20232648:1 gene:Ma02_g10770 transcript:Ma02_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFQDGKEEVLQAWYMDDSEEDQRLPHHREPKEFISLGKLKELGIVSWRLNPDDYENDGNLKKIREARGYSYMDICDVCPEKLPNYEAKLKSFFEEHLHTDEEIRYCLEGSGYFDARDKSDRWIRIAVKKGGMIVLPAGIYHRFTLDTNNYIKAMRLFVGEPVWTPFNRPHDDLPARKAYLETFVQNELGGPAVEAQ >Ma01_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5710133:5711645:-1 gene:Ma01_g07930 transcript:Ma01_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKAVTRSPSWPELLGSKHWSGLLDPLDLSLRRLLLQCGDMCQVTYDSFDNDPHSKYCGSCRYGRRTLLDKVLFPYAASYSVSGYFYATAQVGLPDHFFLFSYARDAWSKESNWMGYVAVSTDAAARATGRREIYVAWRGTIRTLEWVDVLEPELLPVDSILSSKKEATAEEEKPKVMKGWFVIYTSSNPNSSYNTQSAREQLVAKIKELVEVYKDESLSIVCVGHSLGAALAILSAFDIVENGLSKVGDKEEFPVCAMVFGSPQIGNKAFNDRLEKLPNLRVLHVRNKIDLIPLYPSGLLGFVNTGTVLEIDTRKSPYLKDSRFPGDWHNLQGILHVVAGWNGDEGEFELKVKRSVGLVNKSSEYLKDEYLVPGSWWVEKNKGMVLEEDGEWVLAAPTDEDAPVPPSPEGQTGRPTDMAVAERPVAVKQKTRSRSRLSFTSCFKVVD >Ma01_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10138088:10141078:1 gene:Ma01_g13850 transcript:Ma01_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINENGDKVYTTKKESPLGMATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPPKY >Ma01_p13850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10138088:10141078:1 gene:Ma01_g13850 transcript:Ma01_t13850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINENGDKVYTTKKESPLGMATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPPKY >Ma08_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8553230:8554446:1 gene:Ma08_g11550 transcript:Ma08_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSAMESNSGLAGYPRDRDRLQIRGFYLRVSLAGGRRLFPGAITLVYLPRIDGSLLEVNGSRIRAAARAIVPLHRICSPELFRSGDTEAAVFASTDRVRAGEGVRFEAYVGEEKVVRGVFRRRGGVWGMECRCAAEGDAAAVAVAAAEVWVVGEKGVSMGQRVEVAAALEEERRKRRWRRGFCSRLEEIPEESDGCDGLCCEGGEEEEEEEGWELEGSDDDVRKQAGGKSMEGEDAALEMEGVRWGVDLGIWVMCLGVGMLVSAASNRKLRKNLL >Ma07_p01140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:877067:881618:1 gene:Ma07_g01140 transcript:Ma07_t01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MEMEAGSTSASGGGTQAHSYSPLRSKAFYKFTQQNLPACKPNLTPARVIAIFLLAGVVFIPVGLVCLRASESVVEILDRYDIECVPESYRNNKVAYIKDSSISKNCKRLIKIQKHMKAPIYVYYELDNYYQNHRRYIKSRSDKQLLHGLQYKDTSSCRPEEYNNGLSIVPCGLVAWSLFNDSYTFVRETVELRINRRNIAWKSDREHKFGRNVYPFNFQNGSLIGGGTLDPDVPLSEQEDLIVWMRTAALPKFRKLYGVIEEDLQADEIIEVHLVNNYNTYSFGGKKKLVLTTKNWLGGKNDFLGIAYMATGGLCIFLSILFALIHVKNPRPHGDPTYSYGNRKSTTS >Ma07_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:877058:881473:1 gene:Ma07_g01140 transcript:Ma07_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MEMEAGSTSASGGGTQAHSYSPLRSKAFYKFTQQNLPACKPNLTPARVIAIFLLAGVVFIPVGLVCLRASESVVEILDRYDIECVPESYRNNKVAYIKDSSISKNCKRLIKIQKHMKAPIYVYYELDNYYQNHRRYIKSRSDKQLLHGLQYKDTSSCRPEEYNNGLSIVPCGLVAWSLFNDSYTFVRETVELRINRRNIAWKSDREHKFGRNVYPFNFQNGSLIGGGTLDPDVPLSEQEDLIVWMRTAALPKFRKLYGVIEEDLQADEIIEVHLVNNYNTYSFGGKKKLVLTTKNWLGGKNDFLGIAYMATGGLCIFLSILFALIHVKNPRPHGDPTYSYGNRKSTTS >Ma07_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31544282:31545257:1 gene:Ma07_g24070 transcript:Ma07_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDVDLLNPPAELEKRRHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >Ma09_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27972362:27973170:-1 gene:Ma09_g20170 transcript:Ma09_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRPACELCDGEAAVFCGPDAAFLCWACDASVHGANFLVARHVRRVACNACRSLDTARHVSGAAPQRVSPLCASCDPDPSPSHSAISSSSSSCLSTSESRAAHRAAKPAAPRRATAKWQGRDADKRAAGVLLCWSRRMGLRSGRTCMEVAARVVCACEEATAALPLRVALAALPLRVALAAALWFATKLCKDETSPAEKGADATLRRLEACSGVPARLILAAESRIARFAERIRVAEEGWAECS >Ma03_p25500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29513496:29513651:1 gene:Ma03_g25500 transcript:Ma03_t25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDYPPASRILPSVDRARLFVPIRRKPSLLGLSLSLSLSLSLSLSGFDA >Ma09_p31200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41019784:41021441:1 gene:Ma09_g31200 transcript:Ma09_t31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVSLLAQMLKGYEEGEQKRELVTRDLLGGGGAVLRSAEVDLELRVPAGWERRLDLLSGRTYLQKRHHDPVPIHRHDPNLTLPPRSSATLFLKQWAAATPLGHRSVCTLEKVKSALERAGREERPPAARLPDSSPSPPSRSVATSSSSMAADRGVDGRGPESPPAAMAVAGCPVCLLYVFVSVVDPRCPRCAAHVPVQTEPKKRPKFDLNSPTQILDGVDDLN >Ma09_p29420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39874130:39875915:-1 gene:Ma09_g29420 transcript:Ma09_t29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEIVLRYTVRSFGIRRNEKIACYVTVRGDKATQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRASRRRRCKCESSPPMQVTSWHSEPCHQGGCNEVVPSQI >Ma10_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24880909:24881921:-1 gene:Ma10_g11310 transcript:Ma10_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPFLVLFVVLFLASCAAPAANGLMPYYGRLWDMMMPAAYDDPFRILEQTPFTVPKAVDALALARADWKETPHAHVISLDVPGVRREDIKVEVEENRVLRITGERKGEEEEVEGEKWHRAERMAGKFWRQFRLPASADVDAVKAHLEDGVLRVTVPKLVEGTRRQPRLINIAQEADKGGEDIKASKAVV >Ma10_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20021992:20025341:-1 gene:Ma10_g06620 transcript:Ma10_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGEAVAIAVRSVEPAPSDRQAGAEWREERDVEAGVGGVKKGESEKLCRICQLGSCVGGSEASELIQLGCACKGELGSAHRPCAEAWFRVKGNRSCEICGVNAKNINGEEDISFMERWHERRTLRRGGDATSETSRWWGNQRFCNFLIVCLVIAFVLPWFFHIRVL >Ma06_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:930112:932716:1 gene:Ma06_g01150 transcript:Ma06_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASLETSASSRQHADAFSFATASFSELLSAGDPGDDYSFRGFSESFGSDGVGLPKFKSSPPPSLPIFPPPISPSSYFAIPAGLSPAELLDSPVLLSSSIFPSPTTGSFASQAFNWRGTATAYPQDIKDEDKSYSDFSFQTQAINGTTQASSFLPSSAPIPSEHHRQPWMQARADGSRSLESNPAHCAQPVQTLQRRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSVDGQITEIVYKGTHNHPKPQSNKRNSTARATAPSEANEHSLIESAATPENSSTSFGDDDLEISKPGADEYDEDEPDAKRWKECGEGEGGAAAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTIGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGAQLLNRPTSDINNNSSNNNFNMAIRPSATESHQYQMVTDSVYTGRSDGFSGFAGYDNSMSSYMNLQQQQQQQQQRQTDGTLIKAKEEQRDDTFFKSLLC >Ma10_p30530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36810704:36811498:1 gene:Ma10_g30530 transcript:Ma10_t30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSDTEIVQLVSSCPRVLLLRDIQLRINFWRSLLGSNEWLIKAFRRSMFLLTSSLARKIEPNISLLRECGISEQRIAQMVVKLPRFLCRTEKCIKEFIEHVEELGVSRDCKIFPRALLSVMTLSRSRFDATFATLMSFGWSQPDSIAAFRRHPVIWNLSKKNLCDKMTFLMNQAGCELTYIIYHPVLLTYSLEKRLRPRYEVMNFLDQNKLLDKGYNLQYVVKLSEEKFRNKFLFLLRKENFIAQYDSYVVAVQGKHDVVVEN >Ma10_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1436216:1437394:-1 gene:Ma10_g00200 transcript:Ma10_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTARPLHQLELNTLSHVPDAYAWSALNDLPYGDDVVPVVDLASPDAVGLIGRACEEWGAFQITGHGIPLHLLDRVEAQTRLLFSLPTAQKLKAARGPGSLSGYGLANTSSFYSNIFWSEGFTIIGSPYDDARKLWPEDYEEYCCVMEEYNRLIKALSGRLLRSMMLSLGLHEEDMDWAGLLSKADPVLQLNYYPACPEPDRAIGIAHHTDSSFITILYQSSGESGLQLVHREDAAGPARWVTVPPRRGALVVNVGDLCEIVFNGRIRSVMHRAIVNRTQTRVSVAYFCGPPRQFNVAPIGKLVGPNERPAYRAMSWPEFLSLKRKLYNKTLEYLRSPEEEAEDHKNTSEMANQK >Ma07_p25290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32365578:32370751:-1 gene:Ma07_g25290 transcript:Ma07_t25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKWWFLHLLVMVFVAILVLPVSRSSPESEAESRTRKPGGNVIEVEGEPKSVVWVVQLSDLHFSVHHPERAYDLRRYVGPALAMINPSLVLITGDLTDGKSKDLLTMKQDEVEWIEYQNVLDNVIQRSGLNKEIFYDLRGNHDCFGVPKAGGAYDYYQKYSINARLRRDGNVQSITLQNGGWKHLFVGFDGTMETGLRGPTNLFGHPTNQLLANIDLELSQWDAESTITKIAFGHFPLSFSALTDDGQGLKDVFLKHSLSAYLCGHLHTKFGKNLKRHHQSNHPTKYYQFNIHQGFPTNMDEQSCSSTNSSKEFWEWEMGDWRWSRAMRILAIDSGHVSYVDLDFRLGSKETIILPTFPLDSRFMQRISSVHDFKCQPKRGSSYELIRTLIFSRTEIVLVSVKVYDTRPETPTVVLDSSMRKIEGNGSRGDLYVAPWNWRAFEDPSPSRYRLQIEAIDIFGKTSYSDSRPFSINGLTAQVSWTWREFFVMGCQWASIYWPAFWSALLFLTSLLLVPQVLLLCWKKNYSYEYVRPNFAGRSLREHLVDGAFLALMELPRMTVVWFGILMYLLYLLFFPWFFGHVFTERGIVAYMTYQVIGAMAAERTTYRKYHLLLSGKKEDDSLEHNYGQTKNASSCDSNCIWRRRWIRKFLFLVTAVILWKHWKNCRALVRAYDMNPFLNSPIYCFMIPVLLMYAVYKTWSP >Ma07_p25290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32365578:32370750:-1 gene:Ma07_g25290 transcript:Ma07_t25290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKWWFLHLLVMVFVAILVLPVSRSSPESEAESRTRKPGGNVIEVEGEPKSVVWVVQLSDLHFSVHHPERAYDLRRYVGPALAMINPSLVLITGDLTDGKSKDLLTMKQDEVEWIEYQNVLDNVIQRSGLNKEIFYDLRGNHDCFGVPKAGGAYDYYQKYSINARLRRDGNVQSITLQNGGWKHLFVGFDGTMETGLRGPTNLFGHPTNQLLANIDLELSQWDAESTITKIAFGHFPLSFSALTDDGQGLKDVFLKHSLSAYLCGHLHTKFGKNLKRHHQSNHPTKYYQFNIHQGFPTNMDEQSCSSTNSSKEFWEWEMGDWRWSRAMRILAIDSGHVSYVDLDFRLGSKETIILPTFPLDSRFMQRISSVHDFKCQPKRGSSYELIRTLIFSRTEIVLVSVKVYDTRPETPTVVLDSSMRKIEGNGSRGDLYVAPWNWRAFEDPSPSRYRLQIEAIDIFGKTSYSDSRPFSINGLTAQVSWTWREFFVMGCQWASIYWPAFWSALLFLTSLLLVPQVLLLCWKKNYSYEYVRPNFAGRSLREHLVDGAFLALMELPRMTVVWFGILMYLLYLLFFPWFFGHVFTERGIVAYMTYQGWFVPNFNKNTMLQYLGVPDVMVVVLPHLCFVVLPTILVIGAMAAERTTYRKYHLLLSGKKEDDSLEHNYGQTKNASSCDSNCIWRRRWIRKFLFLVTAVILWKHWKNCRALVRAYDMNPFLNSPIYCFMIPVLLMYAVYKTWSP >Ma04_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:631451:643570:1 gene:Ma04_g00660 transcript:Ma04_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGDPEKRLQNVMDKLYRAPKPKPSLPSGKRAESGWTGSFEKGMRVPAVAASSMGPLGPAPPCRPWDRGDLMRRLATFKAMTWFGKPKAISPVNCARRGWINVEMDVIACEACGARLLFATPSSWPLQQVEKAAAVFSLKLDNGHKLLCPWIDNACDEALTLFPPSPPHALLESYRERSLALLKLSALPVISSSAINYMKMKSPQLENFLSESSDYPINLSKGIKIVDSSICKDMDGGYGTVTADLFYQVWKIICLCGWEPRLLPYVVDCEDRSDLLGENSPPSKSSPPILHEQKDGLTIYSSGIGDIESRSTGVTNDDYDPASVVLDCRFCGACVALWAFATVRRPLELYTIVSDSSNQNEATTSRVLVCKTEASGAVNLDLGTYDSSKGDTDTCHGGSAMKEKSLGSNLSIAGGPPPRRQNFQPRVSFPIVSRHLRTELSSHRNCVSLEYSCENQVNNECLQVESDPSWLQNDTGGALVRSHSRGLLKRKRSENESLFRDGDANALSQLDKDIHGASITGGGSASMGGKDIIEHEANLLRQDSNLQNQEVDTQNTLEVTHGDKKDAERGEVSHEIAEGGGETTTTNALLTIKNLDDTETKSATEKADICSKSSNETIHHGGISNNDGDSTTSASDIDAVLSINCVNAEKGEKDCDVKMNIDNLTSKGLCFKNGDANDTLEKESTRMLYNRTSQFDPIRRHRPYCPWVAPDDGEAVPGWKLTLSAVVHHKKDSSLASLETSSTLLDDMDDPIVSVRKLFSSPSPKRLKGSR >Ma08_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6776248:6777800:1 gene:Ma08_g09360 transcript:Ma08_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTTWVVPPTISLSFSGTLAPPLTRFLPIATTSSIPESQPQFIASRPPPPPHPHPQKSHPKSAFLHLVDSLARSLRSILPSRPPATAASPATPTRRPISPPRPRSEAGCALPLFRPYVAKVPWHGGVRAFLSQLFPRYGHYCGPNWSSGKDGGSMLWDRRPVDWLDFCCYCHDIGYDTHDQAKLLKADLAFLDCLEKTRMTTERGGVSAAVLYRAMCTTGLRNIIIPYRMHLVKLQSGPSIMEVFNNLISKVTYSSNIEAEKRKDML >Ma02_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18644610:18653872:-1 gene:Ma02_g08230 transcript:Ma02_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSRHRSHRSHKHSRDRSDSEEFESPRERRTREEESTAISGARVSRDLEPEKRRLSNENAGKEVMSSSNGDTSGEKKRKAREDEVVVVADRWNGGQEDDHKRWKGEEFGHVLENSSRSKAPDSKASSSRRHEVSDVRGEDRGGKNDSVKEKSEKTSSRRENSYYKDGSERGSNKEGETHDSRLDKSDDLRTRKHCTRVGAGTEEIATKNYTKINDKQSQDVVHSAETEKGLEKHMRRRESREDSEDKDKLLDDSKDFDDRRLSYRDDYSLKRSYKDEKHEDAKYRGKYRIDNEKDKKHNDDKYQDEWSSKDHTSGKSDKCHFRDDIKSLETHYKKNKLHNTDHDGTSYVDDLDTKLKDSRGRKRYSDERDDRGDVKIRGAKERHELVEKNVSSTGRTGSRNDKSRSESQHADKADSSPKNNRLRGSTSSSTYAGKDYNRDISKLAESAHRESAPEGRLRAITNCKGDSLTSSGLRDKVSGARSGKLTTKDDIHSGEVFVEIAVSKYDRTTRSPNQSKGRSSANSGRRFQERSPSKYDRTARQRLDIEIGQRTSSSKNGEKGESASEKLNLDDVSQTDVCVRESTIGPSSINQSGYSDYSPNHVRPLPPARLGIDSPSVLGSYQDDNKVQSSDHKSYNRYKRIGDLGYGKGHANVWKGAPNWPSPVTNGFIPLQRGPPPAGFHPAISQFSASPLFGVRPSMDLTHGGVSYHMHEMAERFHGHGRPFLWHNPVDQLCHPHMQMWDRNNGMFNNESHIYGSQELDENSQLIDGRGWETGSVMWKGQNGNNTDIHVFKKERESSIHSLTDELTQLKHLPFQSIEPKLSTDLLPAKSDVELPRKAVTKKAFEPSNMPSDKITKNGANYLSRIDISPNLVGLELYKKCTSLLGTLNVSDTCSLGTHGCFQTNKDGSIVKMRSTSSVLNSFLPTTKDIVFKRAMSLYEKQKGRVNGKHAVPAPIIYSEEKKEVSPETSGNEKVQQGGADRGSMERAPLCDFDSTNNMKAGGNTVVEDTDCPGIAVDHNSDFISCGDHHGSEASEASMGEYKGSVSGIPSISVENTH >Ma07_p26300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33155920:33156312:1 gene:Ma07_g26300 transcript:Ma07_t26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGLLELIFNGIAMFRYQGGLLLQTINHESHSRFRAAHEAALQVDGIPQAWAAAFLYKDEDEQR >Ma10_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14960366:14963183:-1 gene:Ma10_g04270 transcript:Ma10_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSDSSPMGFLWRHYEEVKEHWRSNFSFLDYYKKTLGRKEPLPKWTDADVEEFIASDPVYGPQLKAIRESRKYAIGGALLGAAHLGGVSLGYSKSPHGFVLATAFGALCGGIFGLEVAEHWGQLYKIDKQAANLRFLYWWEDKISGK >Ma04_p27180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28408388:28415501:1 gene:Ma04_g27180 transcript:Ma04_t27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDKRGLGSDTSEKDDLHPENKRLKVPALARVIIEALQMDGLQRICSSLEPIIRIVVSEEVERALAKLGAVRNGERCLPKQIEGPDGRNLQLHFTTRLSLPIITGGEIEGEDGAIIHIVLVDANTGCVVNSGPEASAKLDVLVLDGDFNFEDNDNWTEDEFKRHIVKERKGKRPLLTGDLQVSLKEGVGTLGKLNFTDNSCWIRSRTFRLALKIAAGCCKGTRVREAKTEAFMVKERRGQLHKKHHPPALGDEIWRLENIAKDGSFHNKLNTSGIRTVEDFLKFVARDAQGLRDMLGSSMSDKMWRKLVEHANTSVLGGKYHVCYLDETRNVGAVFNDRYVFCGLIDGGQFKSTECLIDGRQKDLADKLVKKAYDNWAAVIIYDGKDLLKVTESETASASQKEPLLPSADCSSSYDLQVSQIPNPEQCPVIRDAVAEGVSTEDNTGLQPFNQTEQRPDASINHDWPQPDAHSTDDFPDFIRSISQPLFEDIFHSLDDICTGGASTSLHGGFDFSSCTPLWSPEFNLDHYQNSTSGRAVGWLKITKIAAAIRWALFIRKKAADRRKAKLVELEQ >Ma04_p27180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28408163:28415501:1 gene:Ma04_g27180 transcript:Ma04_t27180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDKRGLGSDTSEKDDLHPENKRLKVPALARVIIEALQMDGLQRICSSLEPIIRIVVSEEVERALAKLGAVRNGERCLPKQIEGPDGRNLQLHFTTRLSLPIITGGEIEGEDGAIIHIVLVDANTGCVVNSGPEASAKLDVLVLDGDFNFEDNDNWTEDEFKRHIVKERKGKRPLLTGDLQVSLKEGVGTLGKLNFTDNSCWIRSRTFRLALKIAAGCCKGTRVREAKTEAFMVKERRGQLHKKHHPPALGDEIWRLENIAKDGSFHNKLNTSGIRTVEDFLKFVARDAQGLRDMLGSSMSDKMWRKLVEHANTSVLGGKYHVCYLDETRNVGAVFNDRYVFCGLIDGGQFKSTECLIDGRQKDLADKLVKKAYDNWAAVIIYDGKDLLKVTESETASASQKEPLLPSADCSSSYDLQVSQIPNPEQCPVIRDAVAEGVSTEDNTGLQPFNQTEQRPDASINHDWPQPDAHSTDDFPDFIRSISQPLFEDIFHSLDDICTGGASTSLHGGFDFSSCTPLWSPEFNLDHYQNSTSGRAVGWLKITKIAAAIRWALFIRKKAADRRKAKLVELEQ >Ma09_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4020714:4024471:1 gene:Ma09_g06270 transcript:Ma09_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC35 [Source:Projected from Arabidopsis thaliana (AT1G78870) UniProtKB/TrEMBL;Acc:A0A178WFI9] MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >Ma05_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32331878:32337140:1 gene:Ma05_g20660 transcript:Ma05_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFPALVGRSIFRSSSHALRSTHLTTGVAAAPPLLHRFGSRRALIIQTLSGPVRIERLSDSDSGIIELKLDRPEAKNAIGKEMLRGLQNAIETIGNDSSANVVVVSSSVPKVFCAGADLKERKLMSASEVQQFVNSLRSTFSSLEELSVPTVAVIEGAALGGGLEMALSCDLRVCGEQSTFSLPETGLAIIPGAGGTQRLPRIVGRSAAKELIFTGRRISGKEAVSMGLVNYCVPAGEAHHKALEIARDINQKGPLAIRMAKQAINKGLEVDMPSALDAEEECYEQLLDTQDRLEGLAAFAEKRKPSCAALRRAAAAVDDRDTYEPRQKVRGRSTLI >Ma05_p20660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32331878:32337141:1 gene:Ma05_g20660 transcript:Ma05_t20660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFPALVGRSIFRSSSHALRSTHLTTGVAAAPPLLHRFGSRRALIIQTLSGPVRIERLSDSDSGIIELKLDRPEAKNAIGKEMLRGLQNAIETIGNDSSANVVVVSSSVPKVFCAGADLKERKLMSASEVQQFVNSLRSTFSSLEELSVPTVAVIEGAALGGGLEMALSCDLRVCGEQSTFSLPETGLAIIPGAGGTQRLPRIVGRSAAKELIFTGRRISGKEAVSMGLVNYCVPAGEAHHKALEIARDINQKGPLAIRMAKQAINKGLEVDMPSALDAEEECYEQLLDTQDRLEGLAAFAEKRKPSCAALRCAAPRSCCC >Ma05_p20660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32331879:32336825:1 gene:Ma05_g20660 transcript:Ma05_t20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFPALVGRSIFRSSSHALRSTHLTTGVAAAPPLLHRFGSRRALIIQTLSGPVRIERLSDSDSGIIELKLDRPEAKNAIGKEMLRGLQNAIETIGNDSSANVVVVSSSVPKVFCAGADLKERKLMSASEVQQFVNSLRSTFSSLEELSVPTVAVIEGAALGGGLEMALSCDLRVCGEQSTFSLPETGLAIIPGAGGTQRLPRIVGRSAAKELIFTGRRISGKEAVSMGLVNYCVPAGEAHHKALEIARDINQKGPLAIRMAKQAINKGLEVDMPSALDAEEECYEQLLDTQDRLEGLAAFAEKRKPRYLGK >Ma06_p28480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30153624:30162294:1 gene:Ma06_g28480 transcript:Ma06_t28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLRASMEQSVQPVSSASSDFPPRKLVRHLDFTAVAYGGVSPSNALSIALDSPQQLQPPPRATPASLSLSRPSIPSITAGKPESPKSRPRLLSDAKDGTPARKKNCNCKHSKCLKLYCECFASGVYCDGCNCTNCCNNVENEAARHEAVEATLERNPNAFRPKIGSSPHASRVTRDEAGELPLVGKHNKGCHCKKSGCLKKYCECFQANILCSDNCKCMDCKNFEGSEERKALFRGDHGSTLYMQQTASATVNGAIGPSGFMSPSPSKKRKNQELLFGALVKEPIKRLAQLPQAKTSAPSSFSASVPAASAINTAPVVSTKVTYRSLLADIVQPEHIRDLCKLLAVVSGKVAKTFADRKAQKLTEKEGQSERSPIQSNDDIDQTWHDLDMKKAPADEFSSEIPVEKANTEESGSDCRDGQKGGRPTSPGTLALLCDEQDTIFMPSQATDTVSKSFSNQSITEVYVEQERCVLMEFRDYLLKLVTYGQMKEEKYSSRSAEHEKPCHLESAINGVATTVVPVAMETPQIAAYSSKYYRVGQQNIGNGDIKPNTEKSEV >Ma06_p27460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29462048:29463476:1 gene:Ma06_g27460 transcript:Ma06_t27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVAPLDLAGVTIPHHFRCPISLELMRDPVTMCTGQTYDRASIESWMATGNTTCPVTRAPLTDFTLIPNHNLRRLIQDWCVAHRSLGVERIPTPKQPADPSLVRSLLDSAAASSFSASRVAALRRLRSLARESDKNRAVISTHETRSALVEIAFEGGEQLELPEADQPALEAMAVLAMLPLTETESVAVASRPERLCRLGEMVRRHASMEARINAAAVIEAVAVGARSAETRASVGGTNGVVVGLVALAEQRGNPRAVRVGIRGLFALCLAKENRGRAVAAGAAAAVVGRLGELASSDAERALATVELLCRVEGGREAVVAGWGGGDAAVVALVRAMAGKASWRAAEHAAGALLAVLGPSEALQMVAVAAGVVGHLLMLVQGGCSDRAEEGTAPPQAPPLCLASLRLHRQLRRLPPTIL >Ma02_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15531704:15539656:-1 gene:Ma02_g04070 transcript:Ma02_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVPVNGEERAPLLPSPSPPSAAVAEDDQIRPWPSDHDPFLPLPGGAAPPEPPAAPSDPKQRLASLDVFRGLTVALMILVDDAGGAFPSINHAPWFGATLADFVMPFFLFSVGVSVALVFKKTPNKMSATKKVILRTINLFLLGLVLQGGYFHGRNHLTYGVDIDRIRWLGILQRISIGYFLAALSEVWLVSSILVDSPMSYVKKYYMEWIIAILISATYVCLLLVLYVPNWQFEAPGSNSTLSSSYYGTKIETVQCGVRGSLSPPCNAVGSIDRLLLGQQHLYHNPVYKRTKECSANSPDYGPLPPNSPAWCLAPFDPEGLLSSLMAAVTCFAGLHFGHLIVHFKSHAQRILLWSITSLILVLCAFVVQLSGMPFSKPLYTLSYMFLTAGASGFLFILIYYIVDVNQLKMTFILFQWMGMNALIVYILAASELFPAFIQGFYWRSPQNNLVNATESILQTIFHSKRWGTLAFVLLEILFWCLAAGFLHMKGIYIKL >Ma07_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28238201:28239688:1 gene:Ma07_g20350 transcript:Ma07_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVPVQDLHFDSACTSPYVSAPSSPKLLGEPFDFFRHYTSAPTSPTRASAIYARFDAVSYNDSLPPAAAASGNGFDLEEKPGTTKPRGRSAKDEQEDVSDFVFEFSGHLDKDGLPVLTTADELFEEGRIRPLKPPRRVTHQVMEDASSVASSPRSPKQRGLWSPCHRGSGGRGEEFEPFSAATVDVTRDRGSEKKPNPPSFSSSRRRKGWRSLSPLREGGLFRTAANNSPPPPTVSTAALKTGGGSGSKKWRLMDLLLFRSASEGRATGNRSKDPLRKYTLLSPPSSSNKKATTEDLKNSSFRSTDSSGSIRRRRASSHEMHYAANRAASEELKKKTALPYRQSLFGCLRFNPAVLSISRAFDSRR >Ma03_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2214587:2217013:1 gene:Ma03_g03290 transcript:Ma03_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMNDGGGGGVRVPGLADETNPTGTGGRAVEVDYVRCFHRHEPSENQCSSAVSKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCIVQGGVGVGSLREVNIKSGLPATTSFERLEHLDDNEHILSIRIVGGDHRLKNYSSIITAHPETIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADISERLAVQDYTESIHH >Ma05_p26200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37904909:37905208:-1 gene:Ma05_g26200 transcript:Ma05_t26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKASSVRWSLHCHGSTNMPCGKNKDCCKCYQLFITLNIHQMSSCSCLIQQKEVYPSVIQ >Ma06_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10511619:10514084:-1 gene:Ma06_g15480 transcript:Ma06_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITFPTFVSSAYYLHIPLFLIILLLALFSSSSAAEISYDDHCSSVVPQSMATSLRFGSAIFISNGYFSGGGDLFRSDSGFNGSSSFQFHSTYLHKTRSPGTYQVAGTLSFHDSIQTYLTFNAFGFWSESTGKLCMVGDGGFRQPEPQHLGEPLYLSAVLKLDFPKTSNITSSLVSGSLQSWDPAGSPNHFDPIWLSAYAQNGYDYTMIPQANSSCSPRRFEEESLGFEPASTCSFLHSHMHGRTYRLGGSLGFSSRYMAFNMICQQDGMLHLSIGFSNVSSRYENIASEISLVGEGYWDRSRNQLCLLACRILKGRNSKANYSVGDCTIGLSLWVPAAMTLQSRSNIVGRIWSNKNTNDVGYFSTISFQSLGSHMNTIPGPIKYKYTSIDSVRSSCSATGGATRGKRRYPNGRSPGDMGFSISLKDDGGRRGWGQARVLSIGDTYYGDGDTAMAPAESSASAADLVEMDDEHHSTIRNVSYAISYKFISVPSDEFLEIAAEGIYDAASGTLCMRGCRFLDSIDCEILIKIQLRPLDPKAGEHISGTISSTRNKRDSLFFHPIDVSSDHMYESEAVDSMWRMDVEIAMALVSLTLSCICIRSQILHSKKHPEALPSMSIAMLVLLVLGYMIPSVLNFEALFVTRKRQNVLLRSGGWIEVNETIVRVMSTVAFFLSFRLLQVAWSPRSPEESKRQRAALMVCLPLYFAGGLLIWLLQLQGWEELISYAGLVVDGFLLPQIILNICRNSRGNTLTAFFYLGITIIRAMPHLYDLYRAHRYVPHVSSSYIYASDDGNYYSSMWDLIAPCQGFVFAVIIYVQQR >Ma09_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9854058:9854513:1 gene:Ma09_g14390 transcript:Ma09_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGARLRLLVAALTLLFVASTAQAPGPAPVKPPTVAPTPAPTVAPPTPAPAPGPAPSPSVPVPAPSAPVPSPNVPVPAPVAPAPSPKAPTPAPEAPEAPASSPPAPAPPPASQTPTEAPSPPSTSGVAGLCSAAWVSAAVAVATVAYAF >Ma09_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2433325:2433808:-1 gene:Ma09_g03680 transcript:Ma09_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAPMKALDFESSTALLPSYFSTGQRPYSGLRKSLASSLPLTRKKRAKNCIDATAPGLPMLWLLSDPLCY >Ma02_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18023278:18026533:-1 gene:Ma02_g07260 transcript:Ma02_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGMENQTSNPLLALSSSPLREEKTNVLGRLSYRCLPFNCSSIHSSVQDFRRFSKQSSEAAGRILRYILLSMVKQALAVAVGEEEVVPEAEPAHPLPPPPTAAGAAVLVPPLNFAMVDYDVFRSGFPDAANFGFLRALHLRSVLCLCPEPYPDANLDFLRANGIRLFQFGIDGYKEPFANIPEDTIREALKVVLDIRNHPLLIHCNRGKHRTGCVVGCLRKLQRWCLASIFDEYRCFAAAKARVSDQMFMEQFDISSFKLSQASFSS >Ma02_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17073032:17077216:1 gene:Ma02_g05970 transcript:Ma02_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTDTSEQPAKSSKDEPDFDPSRMIGIIKRKALIKELAAAYHAECLACCQKLLQLQRKWEEEQQYIKRKTPQESGKHTTKPSKRPKKGM >Ma02_p05970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17073032:17077216:1 gene:Ma02_g05970 transcript:Ma02_t05970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTDTSEQPAKSSKDEPDFDPSRMIGIIKRKALIKELAAAYHAECLACCQKLLQLQRKWEEEQQYIKRKTPQESGKHTTKPSKRPKKGM >Ma01_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17146693:17150005:-1 gene:Ma01_g20190 transcript:Ma01_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTINISWIEPNGKLGRLLKGRKPKEEAMSDYLTKVKQLLEAAATAAYATLSHLALCSTI >Ma01_p00110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:104410:108648:-1 gene:Ma01_g00110 transcript:Ma01_t00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATAELCDANASLLAKGELRVLQPLFQMYGQCRAFCGPIVTLKVFEDNVLVREVLEAPGDGRVLVVDGGGSMRCALVGGNLGQLAQNMGWAGIVVNGCIRDAYEINGCDIGVRALGCHPLKSNKKGLGEKHVTVNIGGTIIHDGEWLYADSDGILISTIELSL >Ma01_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:104410:108648:-1 gene:Ma01_g00110 transcript:Ma01_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATAELCDANASLLAKGELRVLQPLFQMYGQCRAFCGPIVTLKVFEDNVLVREVLEAPGDGRVLVVDGGGSMRCALVGGNLGQLAQNMGWAGIVVNGCIRDAYEINGCDIGVRALGCHPLKSNKKGLGEKHVTVNIGGTIIHDGEWLYADSDGILISTIELSL >Ma00_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35361837:35369139:1 gene:Ma00_g04120 transcript:Ma00_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLVSLSIVNPTAFAARKSSLFPGVRPLPPLLPSRLQTPAALRGFRRRRRGWGALVPPSAAASAFSAAAEDEEWLKKLPDKKKPLYSHSLPCIEAWFRKLGFRQSRDDRAVWVVEKPNWHAQLSLDVTDLYIRYLKSGPGNLEKDIERRFSYALSREDIENAILGGP >Ma10_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7349703:7350473:-1 gene:Ma10_g02120 transcript:Ma10_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGGKVKRGAAGRKGGGPRKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSNNAAKEPKSPSKATKSPKKA >Ma04_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3112195:3116915:-1 gene:Ma04_g04050 transcript:Ma04_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MEVSSLRHHIEYQGGHLLELVFWFMLILLFLYHQKTQRILRQSVSRYRKLDGGVKIQDIIEGEGPEAHEGDLVEFNYVCRRSNGYFVHSTVDQFSGESRPVVLPLDEKQIIQGLKDVIVGMKAGGKRRALIPPDVGYVNENLKPIPDEFGPRRSLLSHANEPLVFEVQLLKVL >Ma04_p04050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3112195:3116940:-1 gene:Ma04_g04050 transcript:Ma04_t04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MKACPLWMPASMMMSFTSLTPRCDARAIWVKNSYGGLIPQTSYRISRRTFVGIGVLVYANPAFSLPPKDAEDSETIRYRKLDGGVKIQDIIEGEGPEAHEGDLVEFNYVCRRSNGYFVHSTVDQFSGESRPVVLPLDEKQIIQGLKDVIVGMKAGGKRRALIPPDVGYVNENLKPIPDEFGPRRSLLSHANEPLVFEVQLLKVL >Ma09_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34696544:34699079:1 gene:Ma09_g22800 transcript:Ma09_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42220) UniProtKB/Swiss-Prot;Acc:O48529] MVVLGFSTTLVLGDRGAWAACRVNRFATSSVRLCRKRSAVIRAEVRFVNGDEAKKLVTEEGFTVLDVRDRIQYERARITSCCHVPLFVENQDNDFGTIIKRTVHNNFSGLFFGLAFTKPNPDFVQSVKQQFPADSKLLVVCQEGLRSAAAANTLEKQGFENVACLTSGLQSVKPGTFDSVGSTELQDAGKAGLVTIQGKISAVLGTVLICAFLFITFFPEQAEKLLQMSPAS >Ma08_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26146883:26147954:-1 gene:Ma08_g17560 transcript:Ma08_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTHRCSGTTAAANASSLNCDANAGTLSGDGGKTRSNGIQSSLKSLNRASYKISKPLPRNPVADPTPVAARAAPVPKLPETAAGGGGGPPYQPQPPVYNIDKNDFRDVVQKLTGSPAYHQSRPQPAEAPPLPPPRPCSAGPPSRLHRIRPPPLAQLSPSQTPPVPAPPLLSPLPPLPAVSAAAESPISAYMRRLRSVGVPSALALSPTLAPTLPLGMGCLLSPRTAFQMMIAAPGMQFPASPGVQLASPRLGDP >Ma08_p25720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38445693:38460009:1 gene:Ma08_g25720 transcript:Ma08_t25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MNPAREDSRSLEEDETRRRRRPVMPEMATEGNGGCDARDWDEEGYRKSILQERELRCRTVFRTAFAPSQSPNPETVVVASSDGAVASYSLASCISATSQPMHVDKVVNQKTLPGPLLAEPLFIIKGHKGPAYDLKFYWQGEECLLFSCGDDGHLRGWNWKELLNSDIHGDMQGNSLKPILDLANPQHEGPWGALSPIPENNAIAIDEQEGSVFSAAGDACAYSWDVETGKQKMVFKGHADYLHCITARKSCHQIITGSEDGTTRIWDCRSGICTQVIRPEKNFKSKVSSWVSCVATDTSESWLACGTSTGLSVWSLLSSECIFSINSHSPVQDLLFDDHQILAVGSEPVLTRFSINGAALSQIKCAPQSAFSVSLHPSGVIAIGGYGALVDVLSQYGSHLCTFCCAGLDT >Ma01_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3600462:3607210:1 gene:Ma01_g05100 transcript:Ma01_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDCERDYSWIEKVKSGGSVPCLEPENCSNGWATPPGDKFMIRGPNYLSDKVKIPGGGYILKPLGFDWIKGPTKIGNLLSNTNHRVRRAIDDETARGNNPFVWAFNLQIPSKDNYSAIAYFVALEPIQDGILVDKFLKGDDTFRNSRLKLIANIVEGPWIVRTAVGEQAICILGRALSCKYMSESNYFEVDVDIGSSMVANAIVHLAFSYLTTLTVDLAFLIESQTQEELPEQILGAVRFSELNPDSAGLHELSSEVGSGSLQSSLPTRLWKSIGLGLPQLLHLGTQDFSSPISDNANGGLHSEADEKNNEN >Ma01_p05100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3600429:3607210:1 gene:Ma01_g05100 transcript:Ma01_t05100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDCERDYSWIEKVKSGGSVPCLEPENCSNGWATPPGDKFMIRGPNYLSDKVKIPGGGYILKPLGFDWIKGPTKIGNLLSNTNHRVRRAIDDETARGNNPFVWAFNLQIPSKDNYSAIAYFVALEPIQDGILVDKFLKGDDTFRNSRLKLIANIVEGPWIVRTAVGEQAICILGRALSCKYMSESNYFEVDVDIGSSMVANAIVHLAFSYLTTLTVDLAFLIESQTQEELPEQILGAVRFSELNPDSAGLHELSSEVGSGSLQSSLPTRLWKSIGLGLPQLLHLGTQDFSSPISDNANGGLHSEADEKNNEN >Ma01_p05100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3600462:3607210:1 gene:Ma01_g05100 transcript:Ma01_t05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDCERDYSWIEKVKSGGSVPCLEPENCSNGWATPPGDKFMIRGPNYLSDKVKIPGGGYILKPLGFDWIKGPTKIGNLLSNTNHRVRRAIDDETARGNNPFVWAFNLQIPSKDNYSAIAYFVALEPIQDGILVDKFLKGDDTFRNSRLKLIANIVEGPWIVRTAVGEQAICILGRALSCKYMSESNYFEVDVDIGSSMVANAIVHLAFSYLTTLTVDLAFLIESQTQEELPEQILGAVRFSELNPDSAGLHELSSEVGSGSLQSSLPTRLWKSIGLGLPQLLHLGTQDFSSPISDNANGGLHSEADEKNNEN >Ma01_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5247515:5249298:-1 gene:Ma01_g07260 transcript:Ma01_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFRAVAFITILLILLDSGRVLCHTKGLRSPRAPSEQYPENSTQGGLTEQQFMLWVKFVGSLRHSAFGRAVNEAFSSRALTVDKNPSAGDFTTIQAAVDSLPLINLVRVVIKVNAGTYTEKVRISPMRAFVTIQGAGAGKTVVQWGDTAETLGPTGQPIGTFNSATFAVNAPYFMAKNITFKNTTPVPPPGAMGKQAVALRVSADAATFVGCNILGAQDTLYDHFGRHYYKDCYIEGSVDFIFGNALSLYEGCRVHAVARNYGAVTAQNRMNLLEDTGFSFVRCKVTGSGALYLGRAWGTFSRVIFAYTYMDAIIVPRGWYNWGDPNREMTVFYGQYKCSGPGASYAGRVSWSRELTDEEAKPFITLSFIDGSEWVKL >Ma03_p29190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32184999:32190837:-1 gene:Ma03_g29190 transcript:Ma03_t29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKAITLEDIKNETVDLERIPIEEVFEELKCTKQGLSSEEGASRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAVMAIALANGDNKDPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMIVEIIVMYPIQHRRYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDNNLIEVFTKGMFKDHVILLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDADDNWHRVSKGAPEQILNLCNCKEDVRNKVHTVIDKFAERGLRSLAVARQEVPEKCKESAGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLSIAKETGRRLGMGTNMYPSSSLLGQNKDASIAALPVDELIEKADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVFGSYLALMTVIFFWAMKDTHFFSDIFKVRSLKDSEDEMMAALYLQVSIVSQALIFVTRSRSWCFVERPGLLLVSAFIIAQLVATVIAVYADWGFARIKGIGWRWAGVIWIYSIVFFFPLDWFKFAIRYILSGKAWDNLLQNKTAFTTKKDYGKEEREAQWAMAQRTLHGLQPPETTNLFSDKSSYRELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Ma11_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10240227:10243463:-1 gene:Ma11_g10670 transcript:Ma11_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLRPCGFDLQASSDNDCAVAYNYQYFLPPPPAPASTELKLEDLLGGDSGAHCSETLTVDGQDTSSVSTVLIQDLRAVDDSSSAPSTGLENTLVPPPQPPAAGHRTSVYRGVTRHRWTGRYEAHLWDNTCKREGQKRKGRQVYLGGYDREEKAARAYDLAALKYWGPTATTNFPVSCYSREIEEMKCMTKQEFIASIRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFDSSIATEEEAAEAYDVAAIKFRGANAVTNFELSRYDVEAIANTELPIGASAKRIKQSLQSDQDQLPLDKSDKGQHLNFVPATLFHNLMQFHGPSGFSPCLSYCSFIENDFDECDQNNYLLAAKDQHKNQYHNQQDLGSGILQLTHLPSQ >Ma05_p28880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39719469:39722352:1 gene:Ma05_g28880 transcript:Ma05_t28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVNTMALLALNTFGTLQRDAPPVRLSPNYPEPATNPSSAEETLDIVEQPKMMSTALVQAAKKFDTLVAALPLSGEEAQLKRIAELQAENEVVGLELQKQLEAAEQELKQAQELFNLAADNCLNLKKPD >Ma10_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32249567:32254144:1 gene:Ma10_g23200 transcript:Ma10_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSKIPFHRDWENFSLFSRKEGEISKPAQRCEWEVVDNHGSYGNGNGSVYSSVGGLGPGSDLGNGSSRSSISSSIGFPSKAGTGALRFIIDSVEKPPEILKVNKDLAMVETGILHKVVAVEGPKEPRTQLKLGKRTYFEGGIHSTNSSSLASTNPSIGLVKKPKVSQQNMQSSHCQVEGCHVDLSAVKDYHRKHRVCEGHSKSPKVIVAGQTCRFCQQCSRFHDLSEFDQKKRSCRRRLFDHNARRRKPQPETIKFGSSVFSASYYATDDRQQMNLMFGRDPFNHVTNMANSTWDDSGGFKLIPSQGRWINFGNSGDINEQLHFPSNGISKNVSRVNHDWDGLLPFKGTNAEATNKGPGAPVFASNLDGALDLQRALSLLSTESWVPYQEPISDFQSVNANIITSHSAIHPTNATTVSWQKTELLLPRPSLFNLQNHVSQI >Ma07_p02420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1934916:1938740:1 gene:Ma07_g02420 transcript:Ma07_t02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQVKCNCGDGKCPEWAIIELQGVVESQLAISDQINGLEIGHLCCSSLSSSSQLSYIFTVGYHELSGTKVQLKKPLLVLKKKAAVDAAGPEFPASSATELEVIGIIRQKILFKTRPKALISRAQAKKLS >Ma07_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1934916:1939125:1 gene:Ma07_g02420 transcript:Ma07_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQVKCNCGDGKCPEWAIIELQGVVESQLAISDQINGLEIGHLCCSSLSSSSQLSYIFTVGYHELSGTKVQLKKPLLVLKKKAAVDAAGPEFPASSATELEVIGIIRQKILFKTRPKALISRAQAKKLS >Ma06_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12739009:12739763:1 gene:Ma06_g18630 transcript:Ma06_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHASWNYYVVIICICIIMTCCYCSEHKLFTTYRLLRTIVYLIREAERIRRRRGLFALRDEPEVARVWLPNIDSPGLAMARALGDFCLKNFGLISVPEISYRCITEKDEFIVLATDGVWDVLSNRDGKDCRYCFCKVLRSSYSC >Ma01_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21207867:21210919:1 gene:Ma01_g21470 transcript:Ma01_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLWISRLAAAKRHYSIQHQYSFQSDRLSIDEFEMEEEEEEEEEDVRPDFPCPYCYEDHDITSLCSHLEEEHAFESKATVCPICNIKVTKDMLNHIIFQHGHIFKLQKHRRLRRFGIPSGHTLSLLGRDLYESHLQVLSGSAGYGSNHIDESNIAADPFLLTLLMNFPTSGAEEASKRSPDENSYRKKESNLPYSKSSLNASSLACEQKEQRQKQATGRADFVQDLLVSTLFGD >Ma10_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5894871:5969457:1 gene:Ma10_g01970 transcript:Ma10_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MPGLNAGDAGFMDDLEDTFHELSTSPRQHFNMLSSGDKDGCICFSIFGIFPIGKINIHKLSLCAPFLDKTATFQLLNASIHQLALSKDLSQLVVLSFGELEENLVKPKGKNVQKDEEYAEVQKFSHTGDSSVGLHCLLLNTSIFLDRKNELQQVAQQASSIEDLVEVVRASLSVMSKQWSEAMHSFHEKFDPLSSLIVSHGLDSNPQDEFLSVLFGARTSPPLHQFLVNSLGEAGLKRVCKAVDTAGKELHSVIHEHLQPAVEIIGFRIAELRGLSRWRARYHIIGLDEKLIDSATEKAGMLLVHVERFLRILAIVLYQFQNFFNWVLRYIKILMSEPIDQIQPANSELIVVFLKFLLDHDPIGELLEVNKIIEVDVDTMQRIEQLVMFGGYSDTNYLEKTLSDEFNQLEQCLKEAFLLQFTTISQKIHCEDLMPLYKVGYTPEVSSSDAPTSIFYYKGDHGATSEVCTDGDSRVDYICFKVPDESLELTNCIGIIRGFANGLDPVQKRVTSPEAILLCIPDAFQCIDLSLYKENQIVLLLNEATSTSESTMRSLVMMVQISDFCFLPLSRRTPANLWTLQILKASAVDMHLEIGKVRYISEPVTSPLAVSASRGLACVFTSRRHAMVYILDEDEDETSDID >Ma10_p01970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5894528:5969457:1 gene:Ma10_g01970 transcript:Ma10_t01970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MAEEAEVEMETAAGAVPVPFQLQLDKSIPFQINIAEWNPEKDLLAMVTEDSKVVLHRFNWQRLWMISPGKCITSLCWRPDGKVIALGLEDGSILLHDVENGKLLKSIKSHSVAVICLNWEEEAHIEKGEIDSAFVYEDRTRRFFPPAPRVPRMPGLNAGDAGFMDDLEDTFHELSTSPRQHFNMLSSGDKDGCICFSIFGIFPIGKINIHKLSLCAPFLDKTATFQLLNASIHQLALSKDLSQLVVLSFGELEENLVKPKGKNFSHTGDSSVGLHCLLLNTSIFLDRKNELQQVAQQASSIEDLVEVVRASLSVMSKQWSEAMHSFHEKFDPLSSLIVSHGLDSNPQDEFLSVLFGARTSPPLHQFLVNSLGEAGLKRVCKAVDTAGKELHSVIHEHLQPAVEIIGFRIAELRGLSRWRARYHIIGLDEKLIDSATEKAGMLLVHVERFLRILAIVLYQFQNFFNWVLRYIKILMSEPIDQIQPANSELIVVFLKFLLDHDPIGELLEVNKIIEVDVDTMQRIEQLVMFGGYSDTNYLEKTLSDEFNQLEQCLKEAFLLQFTTISQKIHCEDLMPLYKVGYTPEVSSSDAPTSIFYYKGDHGATSEVCTDGDSRVDYICFKVPDESLELTNCIGIIRGFANGLDPVQKRVTSPEAILLCIPDAFQCIDLSLYKENQIVLLLNEATSTSESTMRSLVMMVQISDFCFLPLSRRTPANLWTLQILKASAVDMHLEIGKVRYISEPVTSPLAVSASRGLACVFTSRRHAMVYILDEDEDETSDID >Ma10_p01970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5894528:5969457:1 gene:Ma10_g01970 transcript:Ma10_t01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MAEEAEVEMETAAGAVPVPFQLQLDKSIPFQINIAEWNPEKDLLAMVTEDSKVVLHRFNWQRLWMISPGKCITSLCWRPDGKVIALGLEDGSILLHDVENGKLLKSIKSHSVAVICLNWEEEAHIEKGEIDSAFVYEDRTRRFFPPAPRVPRMPGLNAGDAGFMDDLEDTFHELSTSPRQHFNMLSSGDKDGCICFSIFGIFPIGKINIHKLSLCAPFLDKTATFQLLNASIHQLALSKDLSQLVVLSFGELEENLVKPKGKNVQKDEEYAEVQKFSHTGDSSVGLHCLLLNTSIFLDRKNELQQVAQQASSIEDLVEVVRASLSVMSKQWSEAMHSFHEKFDPLSSLIVSHGLDSNPQDEFLSVLFGARTSPPLHQFLVNSLGEAGLKRVCKAVDTAGKELHSVIHEHLQPAVEIIGFRIAELRGLSRWRARYHIIGLDEKLIDSATEKAGMLLVHVERFLRILAIVLYQFQNFFNWVLRYIKILMSEPIDQIQPANSELIVVFLKFLLDHDPIGELLEVNKIIEVDVDTMQRIEQLVMFGGYSDTNYLEKTLSDEFNQLEQCLKEAFLLQFTTISQKIHCEDLMPLYKVGYTPEVSSSDAPTSIFYYKGDHGATSEVCTDGDSRVDYICFKVPDESLELTNCIGIIRGFANGLDPVQKRVTSPEAILLCIPDAFQCIDLSLYKENQIVLLLNEATSTSESTMRSLVMMVQISDFCFLPLSRRTPANLWTLQILKASAVDMHLEIGKVRYISEPVTSPLAVSASRGLACVFTSRRHAMVYILDEDEDETSDID >Ma10_p01970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5894528:5969457:1 gene:Ma10_g01970 transcript:Ma10_t01970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MAEEAEVEMETAAGAVPVPFQLQLDKSIPFQINIAEWNPEKDLLAMVTEDSKVVLHRFNWQRLWMISPDGKVIALGLEDGSILLHDVENGKLLKSIKSHSVAVICLNWEEEAHIEKGEIDSAFVYEDRTRRFFPPAPRVPRMPGLNAGDAGFMDDLEDTFHELSTSPRQHFNMLSSGDKDGCICFSIFGIFPIGKINIHKLSLCAPFLDKTATFQLLNASIHQLALSKDLSQLVVLSFGELEENLVKPKGKNVQKDEEYAEVQKFSHTGDSSVGLHCLLLNTSIFLDRKNELQQVAQQASSIEDLVEVVRASLSVMSKQWSEAMHSFHEKFDPLSSLIVSHGLDSNPQDEFLSVLFGARTSPPLHQFLVNSLGEAGLKRVCKAVDTAGKELHSVIHEHLQPAVEIIGFRIAELRGLSRWRARYHIIGLDEKLIDSATEKAGMLLVHVERFLRILAIVLYQFQNFFNWVLRYIKILMSEPIDQIQPANSELIVVFLKFLLDHDPIGELLEVNKIIEVDVDTMQRIEQLVMFGGYSDTNYLEKTLSDEFNQLEQCLKEAFLLQFTTISQKIHCEDLMPLYKVGYTPEVSSSDAPTSIFYYKGDHGATSEVCTDGDSRVDYICFKVPDESLELTNCIGIIRGFANGLDPVQKRVTSPEAILLCIPDAFQCIDLSLYKENQIVLLLNEATSTSESTMRSLVMMVQISDFCFLPLSRRTPANLWTLQILKASAVDMHLEIGKVRYISEPVTSPLAVSASRGLACVFTSRRHAMVYILDEDEDETSDID >Ma09_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:478781:486351:-1 gene:Ma09_g00720 transcript:Ma09_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQYTSEKRKKMGDKDSDQSLSDEDESDKVAYDLDCSTSRQSLYHSHRVKDDMAPTKLSVASAAYKFQRGPFHSANNNHKGECTCSAINKEANELNCLCKKACSSSFISHFSEAKKSYKSGRSRDKPKFSIRAQLHSAELPSFCSMDINEESSQEGSESFKEHNDHDSRILEDSKAELLSYIEEDNQDLPVKSVTHELACALPLMAELLEGLEGKNGLTAGTPYLNSRSKKRKKHYSGGKQAAQLGSRILDNEDSLEFMGAGTSSEDEDHNQNRLTPAIEDIKQQTMGDLFQETFNISVGSPSLSNNRNAVSGYFGRLQQVMQIERDRHFEFLKQSQTGGKSPLNDLRCITVQILSRILEAKLTVCHCLLAESTKSQQGENDSPKCHKEGTLRTVIFSSRICGSVELEVGGFVRIHPPWYEIYLGVSDTLMILEISF >Ma09_p00720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:478781:486351:-1 gene:Ma09_g00720 transcript:Ma09_t00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQYTSEKRKKMGDKDSDQSLSDEDESDKVAYDLDCSTSRQSLYHSHRVKDDMAPTKLSVASAAYKFQRGPFHSANNNHKGECTCSAINKEANELNCLCGRSRDKPKFSIRAQLHSAELPSFCSMDINEESSQEGSESFKEHNDHDSRILEDSKAELLSYIEEDNQDLPVKSVTHELACALPLMAELLEGLEGKNGLTAGTPYLNSRSKKRKKHYSGGKQAAQLGSRILDNEDSLEFMGAGTSSEDEDHNQNRLTPAIEDIKQQTMGDLFQETFNISVGSPSLSNNRNAVSGYFGRLQQVMQIERDRHFEFLKQSQTGGKSPLNDLRCITVQILSRILEAKLTVCHCLLAESTKSQQGENDSPKCHKEGTLRTVIFSSRICGSVELEVGGFVRIHPPWKEILIAKEEKILLCSYFST >Ma09_p00720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:478781:486351:-1 gene:Ma09_g00720 transcript:Ma09_t00720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQYTSEKRKKMGDKDSDQSLSDEDESDKVAYDLDCSTSRQSLYHSHRVKDDMAPTKLSVASAAYKFQRGPFHSANNNHKGECTCSAINKEANELNCLCKKACSSSFISHFSEAKKSYKSGRSRDKPKFSIRAQLHSAELPSFCSMDINEESSQEGSESFKEHNDHDSRILEDSKAELLSYIEEDNQDLPVKSVTHELACALPLMAELLEGLEGKNGLTAGTPYLNSRSKKRKKHYSGGKQAAQLGSRILDNEDSLEFMGAGTSSEDEDHNQNRLTPAIEDIKQQTMGDLFQETFNISVGSPSLSNNRNAVSGYFGRLQQVMQIERDRHFEFLKQSQTGGKSPLNDLRCITVQILSRILEAKLTVCHCLLAESTKSQQGENDSPKCHKEGTLRTVIFSSRICGSVELEVGGFVRIHPPWKEILIAKEEKILLCSYFST >Ma06_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3026440:3027821:-1 gene:Ma06_g04150 transcript:Ma06_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRTQKPSSRFSFVKRIPTADPTAELPVPAAFRCPISLELMKDPVTLHTGITYDRQSIESWLEVGSLTCPVTNQALGNDELLPNHTIRRLIQDWCVANRSFGVERIPTPRIPVTSIQASELLSEIAFAGRRGDRARCQELVGKIKALGKESERNRRCIGSAGAGCVLASCFSELATESFERSRAGAAEEILSALPLFFPLDQDSCRQLSSLKSLESIVSVLRSGDLDARVNAALVLRELLSSLPPRRINGVAGTDGLVEALSKLIQNPISPRATKASLVAAFYLVDSSDRAAGALVDTGIIPSLLEILVDSDKGMCEKALAVLDGVLGCSRGREMAYGHALTVPVLVKKMLRVSDMATEFAVSALWKLCRNEKRGGGCSMEAVRVGAFQKSLLLVQVGCSGATKEKANDLLKLLNGCRGSMECTEAVDFRGLKRPF >Ma08_p30190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41563465:41564001:-1 gene:Ma08_g30190 transcript:Ma08_t30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAARPAGITAPSKEPAKVGEGPVKPPAASRQAPKKPEKPREPKKKARSGKPSTKN >Ma09_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11851188:11861310:1 gene:Ma09_g16490 transcript:Ma09_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQQRPRRKGQKRKLEEDVAAAVSSAASVPAAEEGDDGEAEDGGEAGGDEICCHRSQKTLAREVRTQVEVLERSFSWRLIDRAAAKRATHILAELAKNEEVVNVIVEGGAVPALVKHLEEPPPLLAREGSAAGGDRPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALRLLVNLLRRHKKGSNCRAVNSVIRRAADAITNLAHENSNIKTYVRIEGGIPPLVELLESTDLKVQRAAAGALRTLAFKNDGNKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSPDIQLKEMSAFALGRLAQDSHNQAGIVYNGGLVPLLKLLDSKNGSLQHNAAFALYGIADNEDNVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKIIGRVLMHLLYLMRIGEKVVQRRVALALAHLCSPGDQRTIFVDNNGLDLLLDLLGSTNLKQQQDGSVALYKLANKAMTLSSMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVEVTTNIAQDLLRAADQYLLEGLKRLCEYAIAQDVNIDNISSMYELSEAFHAMSLRHTCVLFILEQFDKISIQPGHSHLIQRIIPEIRNYFAKALRPNPRNS >Ma04_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:912085:913164:-1 gene:Ma04_g01040 transcript:Ma04_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAGHMNTEGSSSCSGGGGEGGVTTTDTDGAQSSIPTFPPAESWVWAQIKAEAHRDAEEEPALASFLYATVLSHPSLPRSLAFHLANQLCSPTLLSTLLYDLFLHSFSSAPSLLSDTVADLLAARQRDPVCHSFSHCLLYFKGFLALQAHRAAHLLWAQGRRPLALVLQSRVADVFGVDIHPAARVGRGVLLDHATGIVVGETSIIGDNVSILHHVTLGGTGKATGDRHPKIGDGVLVGAGATILGNVRVGEGAKVGAGAVVLIDVPPKTTAVGNPARLVGGTGSPAGHEDLPGLNLDHNLWSDYVI >Ma07_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12441861:12442013:-1 gene:Ma07_g16060 transcript:Ma07_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSEDFLHRFHHALLEIDVEEGALVCPETGRGFPVNKGIPNMLLHEDEV >Ma05_p29290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40008724:40009903:-1 gene:Ma05_g29290 transcript:Ma05_t29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLILGLMKFVELLHISIVFPRPSIFQCIKKMILSPQEIRSRISKLNFRRLLHVIFIKDSSTPKLRALTTDASLSKAAITKVSPLDELKETMKLGDDSRRAPAEGDSKEETKVMPKIDSNSDSNTDKIHERDRERTKTRDHDSRGRDSGYDGRVQESRTLTVIGNERRI >Ma09_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7149384:7153744:1 gene:Ma09_g10530 transcript:Ma09_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGRRWRRCVRSAGFLFAASAALLLGSTVALFAWLTVSPFPKPLPLSPSSASGCRPDGEGSWSVGLFYGDSPFSLKPIEFWNVWDNETAAWPVANPVVTCASATDAGFPSNFVADPFLYIQEDILYLFFETKNSVTLQGDIGVARSKDKGATWHHLGIVLDEEWHLSYPYVFSYQDQIYMMPEGSEKADLRLYRAVNFPLRWTLEKVILKKPLVDSFLINHQGYFWLFGSDFSSVGAKKNGELEIWYSSSLLGPWKPHKQNPVHNMDKSLGARNAGRPFTSKGELYRPGQDCGGTYGQRIRLFNVKVLAKDEYEEVEVPLGSDEPQKGSNAWNGARYHHLDVQRLTSDQWVAVMDGDRVPSGDVVRRLLIGYSAFGAAIVLVILSGVFLSAIKCNLPLSQCLHFSRKPNDVLRSQEHHLQHCSLFRWLCSHLNNMTSPLGGRIRPNTCTGQSILAIIFVVVVSLTCIGTHYVYGGNGAGEAYSLKGHYSQFTLVTMTYDARLWNLKMYVKHYSRCTSIQEILVVWNKGQPPNVTRFDSAVPLRIRVEKRNSLNNRFNVDPSIKTRAVLELDDDIMMTCDNIERGFKIWRDHPDRIVGFYPRVAEGKPLRYRDEKYARKQGGYNMILAGAAFMDHKLAFERYWSNDTKIGRDIVDKFFNCEDLLMNFLYANASSSRKTIEYVKPSWALDTSKFSGVAISRNTQEHYQVRSECLARFSELYGDLAANKWSFGSRKDGWDV >Ma04_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26642406:26644189:-1 gene:Ma04_g24680 transcript:Ma04_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDGCQFTYAQLAKITDTFVRIIDRGGFGIDYYGELENGTQVVVKLWLQSSPQGAMEFLAEVITQFFLGLSQRNLVSLIGYCNDGNYLVLVYEFMPRGSLQEHLKGKAGLHRGCKPTIIHRDVKSSNILLGEHLEAKRADFGLSKTFLNQYGTHVFTMRVVGTLGYVDPHFSFRKSSLSQRLDRGHIDEFIDEMPQGNHETNCVCKVVDLALGCTTFSGSQRLTVAEVVNQLKESIVTGDHCPQKRKPAEYKPDQCIRN >Ma10_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22798047:22800883:-1 gene:Ma10_g08440 transcript:Ma10_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPWNPFASPIPSRHSAADRHAVVVGVDKLSNAHIDANAHKIWRDPSCEFLSDAGAAHVPAASSRMERFGVDVDVDPKASSVSVSASKEPHVAFEGVSATTDNGSIMSGSGDGSGAEVDRWNSNASFCRTSTLLRTKTRSRLMDPAPQPRSGALAAEAGRSTTRSSPLPSGQLRSQLLGGSMTDEDDEDPFLVEDLPADDDFTRAKFQPWTALQWASLLLVFAALAAALAVPALRRCSVWGLRLWMWVVLFLVLICSRLVSWWGIRLLVFFMERNFLLRKRVLYFVYGVRKPVQNCLWLGLVLLAWHLQFDQKIQRHTNSKAVDYVSKILICLLVATFFRLAETIVIKSLASSFHVSTYFDRIQEALFNQYVIETLSSPPWMRSQRTRDEDNHFFSEVERFQNAGVAIPADLRTLTLQKGSQNGNSARSANAMRRKEIGQKQEGITIEHLHRLSQKNVPAWIMKRMMKIVRHGTLSTLHERLVRASMEDESSAMQIQSEREAKVAARTIFNNVAKPGEKHIYLEDLMHFLREDEALRTMGLFEGAEENERVTKKSLKNWVVNVFKERRALALTLNDTKTAINKLHQMATVVVAVVVLAIWLLILDIATTKFFVFLSSQLLLVTFVFGNTLKMIFEAIIFLFVMHPFDVGDRCEIGGVQMIVEEMNILTTIFLRYDNQKVSYPNGVLATLPIGNIYRSPDMGDAVDFSVHVSTPVEKLALMKERIMAFIERKKDHWHPSPTVVIKDVDDMNRLLISIWLRHRMNFQEINERWKRRELVVQEMIKVLKELEIEYRMLPLDVNLRAMPALTSTRLPSTWKACS >Ma05_p25210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37213164:37214655:-1 gene:Ma05_g25210 transcript:Ma05_t25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLLPSRGLLRLKSLAPSSASALISRSYISEMRRSAFKENLLRILRTEIAYEVESRPPSQLEAAFRSFDVDDRPGEQWIKLRWSRGREEIKIEATMFDGAAPSTRSAAPSEVADAGDARLHITLMVEVSKGDDSEFALQFACSAWPNDIEVEKVFPVRRGHATHRQYMGPNFKELDEEMQDTLLEYLEERGVNDDLAGFLHGYMANKDRTELVRWLRNIETYVKK >Ma11_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5452878:5455272:-1 gene:Ma11_g06810 transcript:Ma11_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDREWHSPASQRFVDQGDRFIPTRSLMNLDFARSSLMRHPRRDGATVDRPDILTPKEEYRRRVEESWTLDSQGKPLKMLVFRGSPRKSHPSVLMVDEILKEQREPPRSIRRIRHLPQSADRILDGPELIDDYYLNLMDWGKSNILAVALGRSLCLWNAANSMVQLLLTTDVDDHPTSVAWSVDGKMVAVGFASSKVEIWDAIALQQVRILEGHLARVGSLSWTWNMLSSGSRDASISNHDVRSFRHVSSKLKAHTGDVCGLKWSCGGDLLASGGNDNLVHVWESSKMGSSRYLHRFTDHRAAVRALAWCPFQPKTLASGGGTADQCVKIWNVQTGKCTNSINTSAQVCALEWNRYQKEILSAHGYNQNRLSLWAYPSLAKITDFTGHTDRILQLSQSPDGSTVVSAAADETIRFWKVFEPTPCSSPSMDDEDRLFSLRRTHIR >Ma09_p25350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36852194:36853524:1 gene:Ma09_g25350 transcript:Ma09_t25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYVCLILLSFFMLISIAAGTQFKVGGSNGWIVPDSNAMSYNQWAEKNRFKIGDSLLFVYLPDEDSVLLVDADAYNSCNTSSFVDFFNDGNTVFSFTRSGHFYFISGKKDNCDKNEKLVVVVMADRSNSSSLAPAPLPASASPPPYGWVVEEPTAQPPPPNGASSRVVGMVSTIGAVLGALSYAF >Ma04_p29000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29960819:29961557:1 gene:Ma04_g29000 transcript:Ma04_t29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSMHVTIRFLTFQCSIMASMLTVECGTRSAVLKNTATPAYPQL >Ma04_p36880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35062923:35070488:-1 gene:Ma04_g36880 transcript:Ma04_t36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVGVGEAIVWVGGRRGAQMTRGWSFFDDSMPKPSTPIDLLHRWSLRSSSCVKSAGRVPFHHLACPWSRRSSSDVVEAQAQRGGGGEANVAADALYHRASAYILLCFHQISEKDMKQLNY >Ma06_p32570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33469713:33471333:-1 gene:Ma06_g32570 transcript:Ma06_t32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNTDGMSSGIPAGIIATFVVVAVLSVFGTIVVAVLVYRYFSKHGLPSINFYAPTTAAPPTTIPIPNGVTTVENFLNELAGEKPIRFWPQQLAGFTRNYATKLGSGGFGSVYKGELPNGLPVAVKVLSGSLGKRVEEQFMAEVGTIGRTHHINLVRLFGFCFDPKVRALVYEYMENGSLDRYLFDRIDRTTDWNTLHEIAIGTARGIRYLHEECQQRIIHYDIKPGNILLDSNFNPKVADFGLAKLMKRENTHLTMPGGRGTPGYAAPEMWMPSPVTHKCDVYSFGMLLFEIVGRRRNFDGDVTESQQWFPKIVYDKFESGALAEIVSSCGIEEEIDRERAERVLKVALWCVQYTAEARPPMSKVVMMLEGEMEITPPTNPFQHLYASGAGLDLWGDGGSDFTSTGVSETTPTMRKYDIERETA >Ma04_p28530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29571913:29579661:1 gene:Ma04_g28530 transcript:Ma04_t28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASASFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYSKSWYDRGAKIYQADRYRKGACENCGAMTHDKKSCMDRPRKLGAKWTNMHIAPDEKIESFELDYDGKRDRWNGYDASTYSRVIEQYEARDEARRKYLKEEQLKKLEEKNNKKADEDGGSDDDDDDDYLKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPIPDSDPNEKFYEGDNQNRLSGQALEFKQLNIHAWEAFEKGQDIHMQAAPSQAELLFKNYKVIKDKLKNNTKDTIMEKYGNAASEEALPRELLLGQSEREVEYDRAGRIIRGQETSLPRSKYEEDVYINNHTTVWGSWWRDHHWGYKCCKQTIRNSYCTGSAGIEAAEAATELLKANMARKEDAEDKPVQHEEKQLATWGTEVPDDLVLDKKLLAEALKKEDERKKEERDERKRKYNVKWDDEVTVEDMEAYRMKKIHHDDPMKDFLH >Ma08_p31030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42072666:42085018:-1 gene:Ma08_g31030 transcript:Ma08_t31030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEAFAKAVEDGLKLAKRVYAGKDQRFAPPVPAPRPAAGMERSPESPFLPAAPMVYAVITDPAIVDNPDIPSYQPHVHGRCDPPALIPLHMTDIGVEVDCILDSAFVAIRGRWRVHCVMRTRSSDCRLVVPMGEQDSVLGVEVEVDGRSYSTQVIELEDHNIENTAKSEGGGFLKPQLFSLTIPQVNGGSDISIRVRWSQKLIYKDDQFFVTIPFNFPEYVTPLAKIVTKKEKIQLNVNSGTGKEVVLQKSSRPLKERSREVGKLNFLYEALVEGWSNQDLEFSFSVSSNDLFGGILLKSPTAYDGDRRDIFSLYLFPGSNQKRKAFKNEVVFVVDISGSMQGRPIENVKSALTTSILELRPGDYFDIIAFNDELHSFSSCLEPATEVVVENAIQWMNKNFVAEGGTDIMHPLNEAIGLLSSTQNSIPQIFLITDGAVEDERNICHTIRTHLANKGHMSPRISTFGIGSYCNHYFLKMLASIGRGQYDAAYGADLIEKHMARWFHRALSTMLANITIDIFNDLDEVEVYPTHIPDLMRCPLIISGRCHGKFPETLKAKGILADMSDIIIDLKVQHTKDFPLEKAFSKQHIDLLTAQAWFSESKLLQEKVTKLSIQSSIPSEYTCMVFLQTDTGKQEAIKQVKKKDSRKHANPKENLSVLVRRTAIGFGDIIATTENHPTGFGGLKEPETFDVYNKAVGCCNGIAYCCCCPCFIKTCSKVNDQLVIVMTQLCTALSCLACSECCTELCCDGSE >Ma08_p31030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42074008:42085018:-1 gene:Ma08_g31030 transcript:Ma08_t31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEAFAKAVEDGLKLAKRVYAGKDQRFAPPVPAPRPAAGMERSPESPFLPAAPMVYAVITDPAIVDNPDIPSYQPHVHGRCDPPALIPLHMTDIGVEVDCILDSAFVAIRGRWRVHCVMRTRSSDCRLVVPMGEQDSVLGVEVEVDGRSYSTQVIELEDHNIENTAKSEGGGFLKPQLFSLTIPQVNGGSDISIRVRWSQKLIYKDDQFFVTIPFNFPEYVTPLAKIVTKKEKIQLNVNSGTGKEVVLQKSSRPLKERSREVGKLNFLYEALVEGWSNQDLEFSFSVSSNDLFGGILLKSPTAYDGDRRDIFSLYLFPGSNQKRKAFKNEVVFVVDISGSMQGRPIENVKSALTTSILELRPGDYFDIIAFNDELHSFSSCLEPATEVVVENAIQWMNKNFVAEGGTDIMHPLNEAIGLLSSTQNSIPQIFLITDGAVEDERNICHTIRTHLANKGHMSPRISTFGIGSYCNHYFLKMLASIGRGQYDAAYGADLIEKHMARWFHRALSTMLANITIDIFNDLDEVEVYPTHIPDLMRCPLIISGRCHGKFPETLKAKGILADMSDIIIDLKVQHTKDFPLEKLRHGFLKANYFRKR >Ma05_p26810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38324912:38338001:-1 gene:Ma05_g26810 transcript:Ma05_t26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRKGLLKKAKELAILCDAEVGVVVFSATGRVYDYASTSMKSVMERYTRAKGEHHLVMNPSSETMFWQREATSLRQRLHKLHETHRQLMGELSGLDIKDLQNIENQLETNLDGIRKRKEQLLLEEIQELHRKGSLVHQENMELYRNINIMCQENTELHRKVDATREANDKNSVMPDGFSKPEEANVLIHLQLSQPHQQAEGLQLKAPKLGFQLN >Ma05_p26810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38324912:38337821:-1 gene:Ma05_g26810 transcript:Ma05_t26810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRKGLLKKAKELAILCDAEVGVVVFSATGRVYDYASTSMKSVMERYTRAKGEHHLVMNPSSETMFWQREATSLRQRLHKLHETHRQLMGELSGLDIKDLQNIENQLETNLDGIRKRKEQLLLEEIQELHRKGSLVHQENMELYRNINIMCQENTELHRKVDATREANDKNSVMPDGFSKPEEANVLIHLQLSQPHQQAEGLQLKAPKLGFQLN >Ma01_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4237863:4241517:1 gene:Ma01_g05980 transcript:Ma01_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSWLGFSLSSSRGESSCCREEDEFGGVGEGGGGDRDEVGFLPSDASVCLMEPPLRASTCASDWRHSAIATTTSCSNPEEQGPKFEDFLGGYSENLNEENQNLQQPIYHFHDMYYHSSDGPGINVNMPPSFSPAEGGTGEDIQVPYHHIHSFHHNHLFQDPNAIKPPFFMTDPNQNFTASAIYNLGMDGSTSISGMKSWLRQNQHIPEKQSVDAYECNIQSLSLSMGPVSQSVPLKIVPMASPLEAADDPKCLNAESVDREPVPRKSIETFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQKRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHTNFPLSSYQKDLEEMKDMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSKYDVKHICSSSHLFGGDLGKRSPKSTPTTSASANMNQPTSLVVANSEDFSNMLSNTKSDSTSNRVPADAVSLISSSITNPNLWMERQGAEGTIIPTTACMGTFLRHSFALLQ >Ma07_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9311689:9314455:1 gene:Ma07_g12400 transcript:Ma07_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRGKMAGPCGRCSKRPCGPPRQLIFSGFSFSLMLRIVGIHVLEAPVLHPAAEGLFNFVIGWTLLFAPVLYTDHRRDRYSGSLDVLWGFQMFLTNTFLIPYMAIRLNDMDVKHSYNKLSQLGSLMTKGSSIVGAIGGTVCVASALWTFFGRPDAAFGGIADRWDFLLRYVGSERLAYAFIWDICLYTMFQPWLIGDNLHNVKKSSVSIVSNLRFIPVLGLVAYTLCLEDDKSIS >Ma07_p12400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9311653:9314455:1 gene:Ma07_g12400 transcript:Ma07_t12400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGDQFISRLASKGCRGTKMAWRLILCSRSSNSWIYPPALLYSHSLPRSAASAREREGRDGLKVSGRSRHRRSACLIACRAKKRSGMVEDEQQAWEDGRPLRQVQQAALWAAEAAYILWLFLLPYAPGDPIWAIKSTTINDLVGLSLNFFFILPWMNAVGIHVLEAPVLHPAAEGLFNFVIGWTLLFAPVLYTDHRRDRYSGSLDVLWGFQMFLTNTFLIPYMAIRLNDMDVKHSYNKLSQLGSLMTKGSSIVGAIGGTVCVASALWTFFGRPDAAFGGIADRWDFLLRYVGSERLAYAFIWDICLYTMFQPWLIGDNLHNVKKSSVSIVSNLRFIPVLGLVAYTLCLEDDKSIS >Ma06_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13543007:13550453:-1 gene:Ma06_g19600 transcript:Ma06_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVECKTVMTREDCMHLVQERQPEKDFDENGGLRAVHDGDEGEKVDGSNVVLLPLPADDHRISKDYACDGMKVGSRASNPLEELAVQTKEQGKNKQGKKLSRRDRMELGRLFQEAVSSHDWELAESLVLLADSQTLNDVLCIALDAIWFLTTWGELNGITGLIKKIVSYGGSDFTRAILRTSFFASCVYACHCRIMNLTDTVGIMAQRLHERLQECHGDEVLKAEAGMKVQKFTDWALKCIGFHFRYQENRGGRKNNMIVEVQLQLSAFKTFLELAGDHLTGKDFTEAFDAACFPLTLFSSSFDSGWALGISAIAVQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAERNSLDIDVDLALVFASHYCKIGTMECLVDEGHAVDFLGPLVRASERGCMQVVQWFVNRGCGDMELCLALTAATSSSQVGVSAYLLPHIPQHVLAALSIEILKAAGERSRGSLDGVAFLLCSDFLGDPAATYAVADSIARSNDEAVAPELRAFLLEQWSEAAFAEGLSSGQDHFVNFMRILRRGCSPICLMDLPPPLAATIAYMPLYRECMEAGGQLLSQKLRGQLVEAARRIGGRWVDDDSQANELLEILERNLPRFFLQPLTTL >Ma03_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2158328:2162393:-1 gene:Ma03_g03200 transcript:Ma03_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEHDYLGLSELPSSPATAVEERVLNLSATELRLGLPGSETTDRKDKVGLTLELLPLPKSFVSGGKRGFSDAIDGAGNWRFAAGKGGSEVDLGKGGGLFSPRGEMAGGGTGKPSGQGNAGKDAAVNAAGQEMKAVAQVGGSVGHDRAMAPAAKAQVVGWPPIRSYRKNTMATNPSKSKDDADGKQGLGCLYVKVSMDGAPYLRKVDLKMYDNYKELSSALEKMFSCFTIGPCGSHGIPNSDGLSESHLMDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFTDTCRRLRIMKGSDAIGLAPRAMEKCKNWN >Ma09_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9353522:9355291:-1 gene:Ma09_g13790 transcript:Ma09_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDALSPSRSQSQSASRSPSTTSSPPPVSVVPPSSDPSPHVRNGRVAEPVTVAAPPYHALALALSTQQQRPSPAAVVGGGGGGGGREDCWSEGATSTLIDAWGERFLELSRGNLKQKHWQEVADAVTSRDGYTKTPKTDVQCKNRIDTLKKKYKIEKSKISASDSSTTSSWPFFHRLDLLLGPNHKPTGALPPSSTKIPAGIPIRPPARLTQLIPQRHRSSHPALNKARSLPPSVSSKSADSSADSSDGFPPPPPKEANGKRQRQEPEEGEGEEEEGRTAGLRELTRAILRFGEVYERVESSKLRQAMEMEKQRMEFTRELELQRLEFLMKTQMELSQLKSHHHHHHGSSSNSRKKRMDVAGGGSNHHNHHDTNDNNNSIDNSDNNG >Ma03_p31050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33429838:33431971:1 gene:Ma03_g31050 transcript:Ma03_t31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLADPSRSPSASAARIQRSLGRSMRTIRSNLFRDDPPPDTPLAARSAAVSENLTDSVVDFQLRELAVGPLLPPDSKSAASSANSMAELLELSRDFSNCSSISYDISGELQRLASIPPTKAPLSPAVPGPGDLEALGLGFGSLSSSSEALESASLESVKPAVRACVEGMGSSSPETQLAAAAGIRLLAKHRSDFRALIGASGAIPALVPLLKSTDPATQESAVTAMLNISLEEANKGPITAAGAIKPLVYALRTGTAVTKQNAACALLSLSTIEENRATIGACGAIPQLVALLMDGTTRGKKDALTTLYKLCSTPRNKERAVSAGAVPLLVELVGERCGGTAEKALVVLGTLAAIPEGREAMVEAGAIPVLVEAMEAGPTRGKEFAVQALLQLCADSTRNRGLLIREGAIPPLVALSYSGSSRSKHKAEILLGYLREQQR >Ma07_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6614349:6616069:1 gene:Ma07_g08840 transcript:Ma07_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGINVEATTTTPSRCCLARRCTHTTSPIPTMSLGAFDLASSGYASTSPMLGM >Ma06_p38540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37274234:37275929:1 gene:Ma06_g38540 transcript:Ma06_t38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLWTISTILLLCSLLSILWKGFDRRRNRSCYLLDFVCYKPSDDRKLSSELCSDIIVRNKRLSVPDYKFLLKVVVNSGISEDTYGPRSIIEGREECPTHDDCVDEVDDCMYRTLDELFLRTSISPIDVDVLVVNVSMFAPSPSLTSRIVNRYRMREDVKNFSLAGMGCSASPIAIDLVNNIFKTRKRTLAVVVTSESIAPNWYYGTDKSMMLGNCLFRSGGCSFMLTNDPSLKHRAKMSLKCLVRAHIGANDDAYSCAIQKEDDEGRVGFHLSKSLPKAAVRAFAENLQRLAPKVLPVGELALYVLRGFRHRLWRSKEAKTDAATAAMVNFKSGVDHFCLHTGGAAVIDAVGRALGLTKYDVEPARMTLHRWGNTSASSLWYVLGYMEAKKRLRRKDRVLMLSFGAGFKCNSCLWEVLRDLNDGGAWEDCIRAYPPQTLVNPFMEKFGWVKEA >Ma07_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9054261:9055419:1 gene:Ma07_g12120 transcript:Ma07_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSRFMRALITGLTFFLCLGLMLSVSMIGGGTKAPPPVTVAIAASSRDRQHMRDRSFDPFYASKRRVPKGPDPIHNRRTGKLSRPPARAYGEVLLIK >Ma03_p26060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29942041:29943967:1 gene:Ma03_g26060 transcript:Ma03_t26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MKVQVLGRPGGHLLFSHPKSPIVCLAHQHPLVKNPIFDPEKPYRRRTHASCSAAPLATSAAPSRRAADVPGRPSPSSLYSRPSLQQMKAERAANRARVYEFLRSLGVVPDELDGLELPVTVDVMHERVEFLQSLGLTVDDINSYPLVLGCSVKKNIVPVLDYLGKLGVRKATFTEFLRRYPQVLHSSVVVDLMPVVKYLQGLDIRPNDIPRVLEKYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGVLTRYPEILGMRVGKVIKPFVEYLESIGLPRLAVARLIEKRPRILGFSLEEQVKPNVEALLEFGVRQEAAASVIAQYPDIMGLELKAKLTSQQSLFESNILVDRDEFGRVIEKMPQAVSLSRSTVLKHVDFLKGCGFLLNQVGRMVVGCPQLLALNLDIMKMSFEYFQSEMDRDLEELVAFPAFFTYGIESTVRPRHQITSKRGITCSLAWLLNCSDEKFEERMKYDSIGIEEMETEPSFDMKSLLQPRKDEESASEYDDSDYEDTDEEYL >Ma07_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6610895:6613074:1 gene:Ma07_g08830 transcript:Ma07_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFSHITTVFLLTIYRAISLVSVDLPDTLPLGLLDLDIVDKIQLDPNTTTQFSIDYGQLSQAAPAAVYCPSSPDDIAALVRFAYTSPRYFTIAARGRGHSVRGQAFAPGGVVVDMASLGRGRADRINVSSDDAPPRWYVDAGGEQLWIDVLHETLKHGLTPRSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVYELDVITGKGDMITCSREENSDLFYGVLGGLGQFGIITRARISLEPAPQRVRWLHLVYTNFDMFTRDQEMLISKLEKGFDYVEGRLLKGKDVSSSSFISEEDSEKVKLLADEFGAIYLLEGAVYYEQATASMVYQEVESLLKQLSFVPGFAFTKDVSYIGFLDRVHEEDTKQSSTEHEDVLHPWFNLFLPKSRIRDFESAVFKGILKNNNPVGLVLIYPFNKNKWDDKISAAIPDEEEVFYTIGLLPSATRENWEYLDKQHHEILSFCHQEGIEFKQYLPRYVTETDWRKHFGRKWHVFVQLKRQYDPKALLSPGQRIFTTPL >Ma06_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9101342:9106116:-1 gene:Ma06_g13290 transcript:Ma06_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMGTDDDGYQASDMVETESVGSDRVGFSGPLSKRGSRKSARFNLPASSAAAGEDDEAYVEITLDVRDDTVAVHSVKPAAGAGGGEMEDPEVSLLARTLERRSASFGSSVIRTASSRIRQVSQELRRLASVTKRPTAGGKVDRSRSAAAHALKGLKFITKTDGAAGWLAVEKRFDELAADGALPRSLFAQCIGMKESKEFAGELFDALARRRHITGDKITKAELREFWEQISDQSFDSRLQTFFDMVDKNLDGRITEEEVKEIITLSASANKLSKIKEQAEEYARLIMEELDPNDLGYIEIYNLEMLLLQAPTQSMNIGTTNSRNLSQLLSQKLRPTQEPNPLRRWYQRARYFLEDNWQRVWVMALWLCICAGLFAWKFVQYRHRAVYHVMGYCVCVAKGGAETLKFNMALILLPVCRNTVTWLRTKTKLGKVVPFDDNLNFHKVIAVGIAVGVGLHAISHLTCDFPRLLHATDAEYEPMKPFFGDTRPNNYWWFVKGKEGWTGVVMVVLMAIAFTLATPWFRRGRVSLPKPFNRLTGFNAFWYSHHLFVIVYILFIVHGYFLYLSKKWYKKTTWMYLAIPVILYASERLVRALRSSVRPVKILKVAVYPGNVLTLHVSKPQGFKYRSGQYIFVNCAAVSPFQWHPFSITSAPQDDYISVHIRTLGDWTRQLKMVFSEVCQPPTGGRSGLLRADYGDSSNNGMNPSFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPFISIVKDIVNNMKQLESLGLGDVEEQDLENRGGGSNSNSGHHKRGGSTSTSVSVSTSSFRTRRAYFYWVTREQDSFEWFRGVMNEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKSHFARPNWRNVYKRIALNHREKRIGVFYCGAPALTKELRQLAQDFTHKTTTKFDFHKENF >Ma04_p29290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30251157:30259044:-1 gene:Ma04_g29290 transcript:Ma04_t29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQILPERICYVHCNFCNTVLAVSVPGNSSFNNVAVRCGHCSILLSVNMGDLLQRLPFQDFQKLQTCNTAAQGSRMECGSPSKFSRSSLLSTMPNYQEQMLPTRPPEKRQRVPSAYNKFIKEEIQRIKAKNPEISHREAFSTAAKNWAHFPHIQFGLTVDGNKQPKTDEQVAATVTAPGSQKAQSFF >Ma10_p30680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36967432:36983012:-1 gene:Ma10_g30680 transcript:Ma10_t30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEPDVSFETGSMIRIAVLPVGGSIPHARLRSYVEMLGRHTRIDLSSISSFYSEHQKSPFTHQPWETGSLRFKFMLGGAPPSAWEDFQSCRKILAVIGLCHCPASPDLDLVADQFATASKAYTSALAKRCFAFFPTDSQLEEGDNKRENILLFPPSDQKTQEFHMLTMMQDLAASLLMEFEKWVLRAESAGTILKTPLDSQSSLGSEEFIKAKKRRLARAQKTIGDYCMLAGSPIDANAHYSTAIELARLTGDIFWHAGAMEGSVCALLVDRMDHKDPLLEEEVKYRYYNVIQLYRRSYLQDNAQRVSTVSFELEAALKLARYLCRRELAKEVVDLLMSAADGAKSLIDASDRLILYVEIARLFGTLGYQRKAAFFSRQVAQLYLQQDNACAAMSAMQVLTMTSNAYHVQSRRNSQKMCPSSQDLGASHGDGGKMHPLSIVSLFESQWSTIQMVVLREILMSSVRAGDPLAAWSAAARLLRSFYPLITPAGQSGLASSLANSAERLPSGTRCADPALPFIRLHSFPLHPSQTDIIKRNPQKKEWWTGSAPSGPFIYTPFSKGNISDCNKQELTWIVGEPVQVLVELANPCSFDLMVESIYLSVHSGNFDAFPVSVSLPPNTSKVILLSGIPTKVGAVSIPGCIVHCFGVITEHLFRDVDNLLLGASQGLVLSDPFRCCGSTKPKNMSAPNISVVPPLPLLVSHVVGGNGSTILYEGEIRDIWTSLTNAGTVPIEQAHIALSGKNQDSVISIAHDVLLSSLPLKPGGEVTIPVTVKAWQLSLTDSEFDASKSSSGSARRISKEGSSPLLVIYYAGPWTSPDESNGSGNSVPPGRRLVVPLNVCVLQGLRFVRARLLSMEFPARVSEALPKQIYGENGITEELKSVNQNDSLVKIDPYRGSWGLRLLELELSNPTDVVFEVNVSMQLDSQQSEHGVANFSNEDIDFGYRKTRIDRDYSARVLIPMEHFKLPVLDASFFSKDAQVNNLLGNKFSSTAERNAKAELNASINNLISKIKVRWHSGRNSSGELNIKDATQAALQASIMDILLPDPLTFGFRLGENGTASENIVSPEESSISDNPPGQPGSRNVARAKGSVLAHEMTRMEVIIRNNTKERIKMSLNVSCRDVAGENCIEGNKATVLWAGTLSDISLEAPPLQDITHSFALYFLVPGDYTLLAAAVINDATDVLRARAKSDSSDEPIFCRGSPFHVHVVGTA >Ma04_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7579931:7585906:1 gene:Ma04_g10670 transcript:Ma04_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTVQVGNISDLAGEREIREFFSFSGDIEHLEIRGDAGTPRLAFVTFKDPKALEIALLLSGATIVDRIVSIAPVENYVPMVEQVEANEAEFTTPFGNNVTYVEGKNSPNSGRVYVSKAHDVVSSMLAKGSALRQDAINKAKAFDEKHQLRANASAKVVSFDKRVGLSEKITVGISIVNEKVKSVDQKLHVSDKTMAALFAAEQKLNDTGTAVKSNRYVTAGTAWLNGAFGKVAKAGHVAGTRTREKFQLAVSNLTEKDPVVAA >Ma04_p04900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3724628:3727071:-1 gene:Ma04_g04900 transcript:Ma04_t04900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVRLVRILSSLTRLASFCSPFSSSAEGTARLLRVGDVLRESRRFSESDVVRYSEVTGDRNPVHFDDAFARTVAGFDGGRVVHGMLVASLFPSVIASHLPGAAYVSQTLTFKLPVYVGDEVLAEVQATYLRQYKNKYMVKFTTKCFTNEDTLVVAGEATTIIPTLTLSK >Ma04_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3724635:3727077:-1 gene:Ma04_g04900 transcript:Ma04_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVRLVRILSSLTRLASFCSPFSSSAEGTARLLRVGDVLRESRRFSESDVVRYSEVTGDRNPVHFDDAFARTVAGFDGGRVVHGMLVASLFPSVIASHLPGAAYVSQTLTFKLPVYVGDEVLAEVQATYLRQYKNKYMVKFTTKCFTNEDTLVVAGEATTIIPTLTLSK >Ma04_p04900.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3724707:3727071:-1 gene:Ma04_g04900 transcript:Ma04_t04900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVRLVRILSSLTRLASFCSPFSSSAEGTARLLRVGDVLRESRRFSESDVVRYSEVTGDRNPVHFDDAFARTVAGFDGGRVVHGMLVASLFPSVIASHLPGAAYVSQTLTFKLPVYVGDEVLAEVQATYLRQYKNKYMVKFTTKCFTNEDTLVVAGEATTIIPTLTLSK >Ma04_p04900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3724707:3727071:-1 gene:Ma04_g04900 transcript:Ma04_t04900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVRLVRILSSLTRLASFCSPFSSSAEGTARLLRVGDVLRESRRFSESDVVRYSEVTGDRNPVHFDDAFARTVAGFDGGRVVHGMLVASLFPSVIASHLPGAAYVSQTLTFKLPVYVGDEVLAEVQATYLRQYKNKYMVKFTTKCFTNEDTLVVAGEATTIIPTLTLSK >Ma06_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5696880:5698226:1 gene:Ma06_g08030 transcript:Ma06_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIVPPASQGEGRRGLEESEPLLAENPDRFCMFPITYPSIWEFYKKAVASFWTAEEVDLSPDLSQWQHRLSDDERHFVSHVLAFFAASDGIVLENLAARFMRDVQLPEARAFYGFQIAIENIHSEMYSLLLDTYIKDPDVKFRLFHAIDTVPAVARKAEWALQWIESSGSFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLRNKLSEDKVRAIVADAVDIEREFVCDALPVALVGMNGVLMSQYIEFVADRLLGALGYGKMYGVSNPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGNGGIHVFKLDEDF >Ma04_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19986584:19991773:1 gene:Ma04_g18240 transcript:Ma04_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWWRGAPCHLELLLAVILVGVVNRSDGGVTSGFVRKVEKTIDMPLDSDIFRVPPGYNAPQQVHITQGNLDGTAMIISWVTEDEPGSSEVLYGTDEDKLELSAEGKYTRYKFYNYTSGYIHHCTIRHLKYDTEYYYAVGIGHTVRKFWFTTPPAVGPDVPYTFGLIGDLGQSYDSNVTLTHYESNPKAQTVLFLGDLSYADNYPNHDNVRWDTWGRFIERSTAYQPWIWTAGNHEIDFAPNLGETVPFKPYRHRYHVPYRSSSSSSPFWYSIKRASAYIIVLASYSAYGKYTPQYKWLEAELPKVNRSETPWLIVLMHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDVVFAGHVHAYERSYRVSNIAYDIVNGKCEPVPDESAPVYITVGDGGNLEGLATNMTEPQPSYSAFRESSFGHAVFEIKNQTHAYYTWHRNQDGNAVAADSLWFYNSYWKTANEASAM >Ma03_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:339711:342228:1 gene:Ma03_g00370 transcript:Ma03_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLANAMYDQQMQVVRHPHVLFSCLMKEQSPVPNK >Ma10_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26690021:26691729:-1 gene:Ma10_g14150 transcript:Ma10_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSQSSAPGSSSTAAVEVEGRRRGGPTPCCSKVGLKRGPWTPEEDEVLASFVRREGEGRWRTLPKRAGLRRCGKSCRLRWMNYLRPSIKHGPIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLASSTPDAIQQPLAPPSYTNPNPSTVLNIDPIVLRAQGTHRRGIVVQDNERSFSDRQNLQHDDDDDDEGWRNNELLDGATGNQEGGDYGTSEDGGIGIDCYTDDIFSSFLDSLINEDAFQLQHNVSNNDDNIILDNTINNNNSGNGNNQEMQPAGASTAPSGPVCGFGTFWEAGFVGQIGLEEGVHEQFADHAGK >Ma08_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33744268:33747798:-1 gene:Ma08_g19870 transcript:Ma08_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRPQRDHTLDLPDDCLALVVGSLGPRDRNRCALVCRRWLAVEARSRCRLVLDARAPLLEAAPALLARFDAVSSLSLRCDRFSDSIGDDALDLIARRCPALAHLKLRACHRVTDLGMSALASHCPALRRFACSSCSFGPAGIDAVLRGCPFLEDLSFKRLRGLADAVPQAVCFPASSALRSLCLKDLYNAQCFTSVIAGSPSLRTLKIIRCSGEWDPVLQKIAGRVPKLAEVHLEKLQVADRGLVALTSCLALEALHLVKTPECTDAGVAAIADNCCMLRKIRIDGWRTNRIGDYGLMAIARGCPQLQELVLVGINPTALIIEHIVSSCRSLERLALCGCKTIGDAEIAHIATKCTALKKLCIKGCPVSDRGLEALARGCPSLINVRMKRCPRVTWEGVEWLMAARGGSFAVSLDAVTLQEPDVSMSEGGMQESGIEELASLTEHIVTLDLPSSSNDGSTL >Ma02_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14983320:15008956:1 gene:Ma02_g03540 transcript:Ma02_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMLGRGRMAAMVRLLKVGSFQEITREEAINEKIATQSIDNEFHDADEANLLEEEDMHVFDCRPLTDPLHLVCCNACKKPIKASQYSAHAERCGPLNCMNDVLEKDGGSSRKKPPRKGRKLIQPSNGNLQMTIIEQEKSLLMDANHVGGSASNIALDNHSRAIDASEITSGGCELEKKASSRREVPGNASSKYQSVTKFVEHGLVNNQHHRREAPAPLASKIYYSRGDHRLRWELCHLFHESYAKEHGRDFQSPEEARENDMLSSQISSSSKLPHGTVRDDVAPN >Ma07_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6216816:6220077:-1 gene:Ma07_g08350 transcript:Ma07_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OCP3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/TrEMBL;Acc:A0A178UCN6] MAASASTPAMALGVSSAALRCRTTSRLPLLVGASEQLPSSAAPPRPRRSFQSLVAFSRRRKSSSGLAKTKEGPPRRKSTEQNNDWAGDDIDEDAFEALFSQLEEDLKNDNVLSDDVDDDISEEDLARLELELEEALGVEDNDDISGISPSSGHLGSDEDDEYEDRRPKLKNWQMRRLARALKIGRRKTSIKSLAAELGLERAFVLELLRDPPPNLLLMSASLPDEIKQTSEPSSESLMGDTTHTPEPESKLLESPPAIMTDDVQSKPAKELPVHVMRTRWLAQKRLKKVHIETLERVYLRTKRPTNAMISSIVHVTNLPWKRVLKWFEEKRLEDGIPDHRAPYRRSSPGTISAG >Ma01_p12600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9188080:9188965:-1 gene:Ma01_g12600 transcript:Ma01_t12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFSTTNAKETAQSVLEPVRRAQPPAAVVSATAPTCSRPSTPPSKTASTSSPSLSEAAPGNSGPFLLGVVNNAAPWVITVAASTIDRDFKQTITSTNNKQIQGRSFTLKSLDTGKKYTLIRAVDAIAANASKDDATLCDPHSIDAAKVEGKIVLCQRGPPPPVLDNFPQASGAAGTIVIDNNKRGDNFLMTAFMDQAHLLISEKDGQAL >Ma01_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3867271:3867790:-1 gene:Ma01_g05490 transcript:Ma01_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTKQIVVVILLTILLLGCHPPISFSCTPRKALARAGRCGESDDPIPSPTTMTASQASRRPYVPPSPAGNRVVIYEAPPQAPPPLVA >Ma04_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8372233:8380085:1 gene:Ma04_g11760 transcript:Ma04_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKPPVATKGRKKKKGRPSLLDLQRRSLRLQRLEQQEEDPQRNPSPPDDDPRRQARPKSNTAAEDDDDEDDRSGSRRREKKLRLVLRLPNNPSADSAPSGSESDGRRAEKVGSVGIDAQTERQNPASKATDLPQDSGPTTPLPDKKLLVFILDRLQKKDTYGVFAEPVDPEELPDYHDIIEHPMDFATIRKKLSSGSYANLEQFEKDVFLISSNAMQYNAPDTIYYRQARSIQELAKKNFENLRQESDDNEPEPKPVRRGRPPYKNVLKKAGRPPADHAGSNFPSNATLANAGDNSHWNFSHDFQRKGIDKASSSELPTKPYGLHSIEANNLTGEHKYEKNEENSGSAVKGVLMKNPRKSLVINENRRITYSHPQVFGSTSESSLLTTFDGERRQLVPVGLYVEHAYARSLARFAAKLGPIGWEIAAKRIEAVLSPGTKFGRGWVGDNETPQQSQPPLLTISPSHISQPENISTTTAVSASEHPPNSMFVEVRANTNPPAASSALPSRSVGLTEGAARNHDSAFKPENAVGGHLNWQKTTFQLEQAAATQPTMNGFNTPLGLNRLSQVGKVVATFASTESTSSESVRTHSRAPDMVLRNSSQTTTSPFRMDKLNSNADPSASSSSGNHLPDSGHDPHGTWRRGLSPNPKLSSVPPDLNVGFRSPGSPVSGVLLDSQNPNLSLQL >Ma04_p29570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30477623:30478756:1 gene:Ma04_g29570 transcript:Ma04_t29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLHLFSSQLQRNRDEHSAVLNQPSLYTLQMGLVAPVSGATVSASFLPVYNSSAPVTTAATSHGGLAFYDNVDAVVAASRKRSRPVSFLGDDISSHLQLQQMLDVDRLILQHAERVQVELTERRKRFARQILATVEEGVAKSLKAREEEIARIGKLNSALEERIKSLLEENHMWQGLARSSEATAMVLRANLEQIVAAQVRVAEKEAEAEAEASTTPDDAESCCCAGNGEEEEKTSARAEWRRVCRSCREREPSVLLLPCRHLCLCASCGPAVDACPVCNYRKKGSVSINMC >Ma09_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5975862:5977643:-1 gene:Ma09_g08980 transcript:Ma09_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGICSSRMMFSSSPAIPRCPLFIKSMATPKPGTRVSATRRGSTVFPLGEPGPRQMNNNGSEPIKLLTNVEKLRLLTKAEKAGLLTAAENFGLSLSTVERLGLLSKAEELGVLSAATDPATPGTLLSISLALLVLGPVCVFVVPEQYPWEVALQIIVALVCVVGGSAAFAASNFVSNLQKSN >Ma04_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11338247:11339224:1 gene:Ma04_g14960 transcript:Ma04_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKSSADVLYLDAFKKIGLTNEDLTLMASALIGFTGDSVSLLGTTILPVTIGEEPRAKTMMTTFMVVDLPSAYNVILGCPTLNKLKAMVSTYHRAIKILTLVGVGVSRSDPRESRQCYLTSVTLPEKSRPQQAPKPRKEARTPTHLEPPEQLAERNADMFTWSSKDMLGIDPGVTQHHLNIHPEARPVKQKPRKFTPDRQKAISDEVNHLREAGFIIEVKYPQWLPNVVLVKKHSGSWRMCVDYTDLNRAYSKDCYPFPMIDQLVDATAGYELLIFMDAFSGYNQIRMAPQD >Ma06_p30600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31833658:31839042:1 gene:Ma06_g30600 transcript:Ma06_t30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSYATKTCHKYILSSSLVGGFVTTLSPVKSFDHCTIICVKLRSSGMEG >Ma03_p27090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30580735:30585640:-1 gene:Ma03_g27090 transcript:Ma03_t27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPESKKNNHLPPNLVANLQNVLAGRRAGGGNGDGGEVDSKPAAEEDLRVPSSSSAPAADAAAAASAAAADGSKKPVVLVTNADGIGSPGLTLLVEALVREGQSDVHVCAPDSDKSVSGHSITLHQTVAATSADIKGATAFEISGSPADCVSLALSGALFSWSKPTLVISGINKGSNCGHHAFYSGAVAGAREALVCGVPSLVISLNWKKDKSQESDFKDAVDVCLPLINAALGDIEKGTFPKNCLLNIEIPTAPSANQGFKLTRQSLWRYTSSWQAVSANRHPAAGQFMSMHQSLGIQLAQLGRDASAAGAARRTGAQRKIVEIESVASAGKSEQREVLKKYFRLEFLEKEQEAMDDDFDFRALEEGFVTVTPLYLELQVEPEIQALASDWLAAVLKGVEEAPVADV >Ma08_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14652239:14654273:1 gene:Ma08_g14720 transcript:Ma08_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHSCCYKQKLRKGLWSPEEDEKLIKHITQFGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSKQEEDLIIELHAVLGNRWSKIATHLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLAEVDGGDDKALDNSEKNSGSGGLQLPVTPELAIANQLPTVDKSMDESTTSKSSATPTKEFFLDQFLANHENSSTCRSPSPMGSFPLPLLSFGPDYSSSLTTSAALPFSSNPLLWLNQTARQLDATPELSCNAISAAVSSLSTSAIAPPSCAGDSTISNWHAANCNNNPGSSVNESGGFMLQSSCSFDSGIFPWSELTPDKVVQVQLGGETEDLKWSEYLHGAFPASAAVQAQSSQPFYGDIKGGSQFTISGLSIWQQNQQLQHQLQAADISGKDFQSVSVGFQQI >Ma08_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36326203:36327920:-1 gene:Ma08_g22860 transcript:Ma08_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLLASAASFNQQHHHASLLSSSAADQHPIIPLLAAAPCLVDDENVARSKHGGIQLWQSALPHPPHHHNYLPHTHGANPNPNLNPNPTLSDYLGKSMLTMLDAGGILTGGGAAVGGSSATCQDCGNQAKKDCSHRRCRTCCKSRGFECSTHIKSTWVPAARRRERLRTALASGSSASTSKKPRLVASLPATASHTFTSNDNPPGSCDATSGHEASDASIRESLPGQVRAQAVFRCVRVTSIHDSKDQYAYHAVVKIGGRVFKGLLYGQGVHDGGGHGDEAKDHIPDISELHLGSQNGGGSSSSPLLQSGVFGGSAGLIGGANYGNQIS >Ma07_p16770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15495840:15497999:-1 gene:Ma07_g16770 transcript:Ma07_t16770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLCIGEDELLGSVCIGASGLMEMAGASTIQSLFLNLHFLCRMPLHSKMQAIHLNRGFYMLHLLSLRFPFCHRVLHLLVNLLTPSSPEVPVAKLLFTSLDTNCKKSEVYKLQSYQFYPGSPIGCLISPSSGCSGTSSPFPDPKFYSCAGGYFQLFPIGGPPKILIAEGIAARQLTPAHTQNGGSLLDHRISAAASIEESATMPKNNEHLVDQ >Ma07_p16770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15495840:15498749:-1 gene:Ma07_g16770 transcript:Ma07_t16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRCSSLLKAGSSRSRFRGEDELLGSVCIGASGLMEMAGASTIQSLFLNLHFLCRMPLHSKMQAIHLNRGFYMLHLLSLRFPFCHRVLHLLVNLLTPSSPEVPVAKLLFTSLDTNCKKSEVYKLQSYQFYPGSPIGCLISPSSGCSGIAARQLTPAHTQNGGSLLDHRISAAASIEESATMPKNNEHLVDQ >Ma07_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15495840:15498749:-1 gene:Ma07_g16770 transcript:Ma07_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRCSSLLKAGSSRSRFRGEDELLGSVCIGASGLMEMAGASTIQSLFLNLHFLCRMPLHSKMQAIHLNRGFYMLHLLSLRFPFCHRVLHLLVNLLTPSSPEVPVAKLLFTSLDTNCKKSEVYKLQSYQFYPGSPIGCLISPSSGCSGTSSPFPDPKFYSCAGGYFQLFPIGGPPKILIAEGIAARQLTPAHTQNGGSLLDHRISAAASIEESATMPKNNEHLVDQ >Ma07_p16770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15495840:15498712:-1 gene:Ma07_g16770 transcript:Ma07_t16770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLCIGEDELLGSVCIGASGLMEMAGASTIQSLFLNLHFLCRMPLHSKMQAIHLNRGFYMLHLLSLRFPFCHRVLHLLVNLLTPSSPEVPVAKLLFTSLDTNCKKSEVYKLQSYQFYPGSPIGCLISPSSGCSGTSSPFPDPKFYSCAGGYFQLFPIGGPPKILIAEGIAARQLTPAHTQNGGSLLDHRISAAASIEESATMPKNNEHLVDQ >Ma03_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24431407:24453786:1 gene:Ma03_g18950 transcript:Ma03_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPANRLPEADSLPDGFVEVSADPPSPPSSDYKDALLDLHPFSEQLVASPAETLTTDFLVDGTEKLDISGDFLTRGDNVGDGPDSVARDGILESKPGDDVSKELSAPQNEVLIGACLQGRAQGDGRNRETETKGQLELHATNLKESSEAKRKVGKRNTKSEKELLGFTLKYQKVIAERDAAVAVRERLESLCRELQRQNKMLMDECQRVSTEGQNMRLDLSTKFNDAIKDISSKLEVQKDECLSQLKENEMLRNKLKLLADQYSLSEQHFAQKLQQKTLELQLADLKLKQQEEKSAKEETQMQLYAEQVSQLMATEKSLRLQLAADEERFQQFQDALSKSNEVFEAFKQEMEKMTKLIKELKKENEFLKSKCEKSDIALVKLIEERESMKKQLEKVKNQKEKLESLCRSLQAERKHNLAKTISDPVAVQLTPSKEEDS >Ma04_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3283622:3286792:1 gene:Ma04_g04330 transcript:Ma04_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASFSGPLFGTREVDQYTTNQQQQPQQSSSPPSSSAAPRKKKRNLPGNSSNAEAVVIALSPKSLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEVCRKVYICPETTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVPSDLKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQEGGRIPTAMNTIGSYLYGNAGTNLGLPQLNAQLSLEDRAHVVPPDPLRLAGNGGATRFNHLTVPALQPIHPSRSAPFYLGGGSSRDLGDDPLLLQSKPFNGLMQLQQLHSSAATATDLFNLGILSDSSNRSIAIKPNAHPLISDRLNSTAGGSNEPPTLFAGNLLSNHVHPNMSSSTYDQSVKSDSAPPHMSATALLQKAAQMGVTPNRGSGSSLLKGLGSSYSSGKYTSFGAGFDGAEGSSAAAQLQDVMNSLANGNHMCSSTNESELQQNQSGSGMGAPDSLTRDFLGVGGMMRSMGGGMTQREQHLSGSYGSLH >Ma04_p04330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3283622:3286792:1 gene:Ma04_g04330 transcript:Ma04_t04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASFSGPLFGTREVDQYTTNQQQQPQQSSSPPSSSAAPRKKKRNLPGNSSNAEAVVIALSPKSLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEVCRKVYICPETTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKRYAVPSDLKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQEGGRIPTAMNTIGSYLYGNAGTNLGLPQLNAQLSLEDRAHVVPPDPLRLAGNGGATRFNHLTVPALQPIHPSRSAPFYLGGGSSRDLGDDPLLLQSKPFNGLMQLQQLHSSAATATDLFNLGILSDSSNRSIAIKPNAHPLISDRLNSTAGGSNEPPTLFAGNLLSNHVHPNMSSSTYDQSVKSDSAPPHMSATALLQKAAQMGVTPNRGSGSSLLKGLGSSYSSGKYTSFGAGFDGAEGSSAAAQLQDVMNSLANGNHMCSSTNESELQQNQSGSGMGAPDSLTRDFLGVGGMMRSMGGGMTQREQHLSGSYGSLH >Ma03_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10051424:10055827:-1 gene:Ma03_g13040 transcript:Ma03_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFFAVAVLLYLGLEVPEGSPAPATAAQEGVQITYGSVIKLMHDRTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWVVKPPPDSSAKQGDVIPNGTIIRLQHMRTRKWLHSHLHASPITGNLEVSCYGGDMNSDTGDFWRLEIEGSGKTWKQDQRVRLRHVDTGGYLHSHDKKYSRIAGGQQEVCGVREKRPDNVWLATEGVYLPINTSDV >Ma06_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22922112:22925514:1 gene:Ma06_g24390 transcript:Ma06_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGANSLIGAVNFVTFLISIPILGGGIWLSAKANSTDCLRFLQWPLIIIGVAIMVISLMGFAGACYRLAWLLRLYLFAMFFVVVALLGFVVFAFAVTDRGHGQVVMNRAFLEYQLSDYSGWLKDRVSDPGYWAKISACLREGHACSKMARYARDPTTGVLVPESPDMFYRRNLSPIESGCCKPPTTCGYAYANETFWTAGAGMMVNDMDCTRWSNDQQLLCYQCDSCKAGVLASIRHSWRKVSVINIVVLVILVIVYVIGCAAFRNAKRVDNDEPFGENRITKARPSRFQF >Ma01_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11147783:11162535:-1 gene:Ma01_g15420 transcript:Ma01_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKASSDPSQVGLSGSGALSHVYIQHPPLRCNVPGTQGLYYDDGNKLLLAPSSDQVLSWKVGPSTQSDPPNSDFIGEGPVLSIRYSLDGKLIGIQRSNQEIQFINRESRETFSRRCRPDSESILGFFWTDCPSCDVLLIKTSGIDLLSYEPDLNTLRLVEAKRFSVSWYVYTHESRMVLLASGMQCTIFSGYQFSSGGIIRLPKFEMAMAKAEANHKPVLAAEDIHIVIIYGRVYCLQFDRVSMQLNLYRFYRDAVVQQGALPIYSSRIAVSVIDNVLLVHQVDAKVVIIYDVFLDCLSPISAPLPLLLRGSSVSGRQALQVEDNLTSAYGAMIYGDSWTFLVPDLICDIDNGLLWRICLDLEAIASSSSDVPSVLEFLQRRRSDPSKIKMLCLSIMRAIMLERRPISIITRAIDVLVTSYAHLVKMENAFQGGDRSSKKSQNSGSQSANTSNIVSAESLDETMNRGKSIIKGAESETQQSTIRNLVNVNDNHAKKSTSKASLDSVSDSDGDANLDAMRSNSGESLDKYLSTDLLSSDAMQDVKKNMKHDYLKQSPIESEGLGCSSSRSDSCISNQQEPQIISVAISLDEMYHFVFALVEEELGGDPAYLIAVIVECLRCSTKEKLRVHPNLYMMMIQMLARTNRYSELALFVTNKILEPSKEVALQLLEQGRQNFQIRKLGMDMLRQLSLHHDFVTVLLQDGYYLEALRYARKHKVITVQPSLFLEAAVAANNSQHLAAVLRFFSDFTPSFKLTSDYNRYHTMLIEMS >Ma07_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1069070:1082067:1 gene:Ma07_g01380 transcript:Ma07_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRPLVTVQPLEGDMATDAPAAVPLPNAFKVPIRPDVVRFVHDNLSKNRRQPYAVSKLAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRRWHRRVNVNMRRYAVASALAASAVPSLVLARGHRIESVPELPLVVSDSAEGVEKTASAIKILKQIGALPDAEKAKDSQGIRPGKGKMRNRRYISRKGPLIVYGTEGSKIVKAFRNIPGVDVANVERLNLLKLASGGHIGRFIIWTKSAFEKLDSVFGTFDKPSEKKKGYVLPRPKMVNADLSRIINSDEVQSVVRPIKKEVKRRTLKKNPLKNLYTLLKLNPYAKTARRMALLAEAQRVKAKKEKLDKKRTQLPKEEAASVKAAGRAWYKTMISDSDYAEFDNFSKWLGVTQ >Ma02_p13480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21859396:21862140:-1 gene:Ma02_g13480 transcript:Ma02_t13480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >Ma02_p13480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21859396:21862170:-1 gene:Ma02_g13480 transcript:Ma02_t13480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >Ma02_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21859396:21862090:-1 gene:Ma02_g13480 transcript:Ma02_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >Ma02_p13480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21859396:21862170:-1 gene:Ma02_g13480 transcript:Ma02_t13480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKA >Ma00_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39028205:39031543:-1 gene:Ma00_g04530 transcript:Ma00_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMQEHDGASPAKIFIGGLPKDTTLETFVKHFEKYGEIVDSVIMKDRYTNKPRGFGFITYKDASVVDQVIEDTHILNGKTVEIKRTIPKGAAPLKDFKTRKIFVGGIPTTLTEDEFKNFFSEFGRVEDHEIIRDHTTNRSRGFGFIVFEKEKDVDDLLAKRGNMIDLAGSKVEIKKAEPKKSGNAPPPASGGEPRPRHFGDSFGGFGGNYGGFGGGGGAGYGPSSYRTPGGYGPRPGAYGGYGSVAGEFGGYAGGLGDYRGESSLGYTSRFGSYGGGFGGYGRETGGYSGSSYGSGYDSPGGYGAGGLYGSRGGSGGGAGRYHPYGR >Ma11_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:899108:900084:-1 gene:Ma11_g01260 transcript:Ma11_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPPLAVSPRRLRPRRPPPGSYSLSSRAHSATAKKPPLSNRSSVPTGSPVRPERPLISPDLAEVSEPAEEELGSWGDVYAAATVSLEASNGSPLFERGRFYQLYSARRNERLKRKKGEIWGEEAVAEDPGVAVELAKRRVSKKAEGVRKSMPADFSASRVSSLRSSLRCSKEMKNKNGYAAVAEGTAVGGRRTSTRSVRRL >Ma06_p35710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35459367:35461571:-1 gene:Ma06_g35710 transcript:Ma06_t35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRQKKVGMMRIDAAIDALLPYGFSKETICSTVKKLLKVYDDAAAWHIIEEDTYRLVIETILEEQEEKAREEQRANEEAKASEGPSLVAEANLLNSSLDLDCSRKQSVSGEGQSALAVRECDPRQLESGSGSHQTPRPRRTPCYGWISEDEDEDVPQERAVEGERPQRARKRPSGWDVKPSHM >Ma09_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5806923:5807673:-1 gene:Ma09_g08750 transcript:Ma09_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRHLVLAKFKDEAAVEELLQGLQKLVSEIDVVKSFEWGEDVLKDERLGQGFTHAFLLTFGSAEDLATYIKHPSHVAFGKAFRAAIDKILAIDFPVVTNEISA >Ma03_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10891872:10893420:1 gene:Ma03_g13790 transcript:Ma03_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADATPPTQSLEPLSASAAAATQALDPVMEGEMQAAAGARATPWSRLSCDLVELIFSYLPLRSVVVAGAVCRQWRALVSDPGFAAGAAAYRRRRPWFFLYGQNNVVLSKNQAFGFDPDDGEWIALPSSPSALHVDCFAGAGGFFFATTSSTRFCYAPLLRGPWRETSPLFFSRCNPLVGVFFAAGGHRRFVVVGGARFIGGLVDIEDPLAVEIYDPATDSWELCPPLPPEFRIGNSSQWLSAALLGGRFFFVFGIYSCSIAAFDLSSRAWTGVRVLRPPGVLFSFLLACGDRLILAGLCNTPVGPPCFALWAVDHCSMDFAEIGVMPRDLLSCLFDTDDDDNKFASLKCVGLDGLVYVFNEDHHKAYPACVCEISDGSTAKRADSTSGLNLSCSWRKVPPLPGPVDRFHKVIAFCSPVPADSVLGGGVDREP >Ma04_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6307031:6309216:-1 gene:Ma04_g08840 transcript:Ma04_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLEIGMEDGRLLLLKLGVALAVPIAGYLVSHLNFQTSADTVGNDESATGRRSIDAAPIGCGRDLKDGLLILQNEEAIVNVVHGTSAAPATITAVTNNFTEQSPENLVDEDGLLLQVVKQEPERAGPRNSTGDKFEGKKVKTDAEIVYLRDLVQSLCDRIRSLDIQMLEYYGSKEQDAAIRELEGELKLNSVKASRLSLKIESLKNENQRLKLQASEYRKIAPELDSARVIIKHLKRRMSSVHVQAREEIAFLEQKIRKLNDVEHKDSQEDVDLQNKLKRLKELEDEAYELGKENSILSQENMELAQRLAYAETSISTLEEPQAEVMEEVHQLGEANDNLEKEIEQLQADRCADVEELVYLRWLNACLRYELRQHQPPSEEIVCRGSKQVLEPNIRGESQAAHT >Ma09_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10471623:10472822:1 gene:Ma09_g15140 transcript:Ma09_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLDNSFLFPYQPSLDWDPHTFQLGDLHQQQHFMPLAMESPVSSQASTGYLQDAVAEWGDQCKRRRVTSSPADDSTTTQELQDLLHEFWSSNCNGDPLHDLNYCMLQDDVVIPDETPNVILKTKAQESALQLPQEPLSSSSSHQESHGKDLRQSSDAKPSLPTAKVTEPGLKERERRQCRKSKAKTSVAYPFAVVKPGGADGHVTLDDINARLLRRPRRPVRHPVGEYAYGPCVSPDGLGLSGKAVASLTRIQTRGRGTITIIRTKG >Ma10_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30311359:30312430:-1 gene:Ma10_g19970 transcript:Ma10_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPCCVNRGNNNKGAWSKEEDQKLIDYIRAHGRVCWRSLPEAAGLLRCGKSCRLRWINYLRPDVKRGRFEEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRKKLASMGIDPDNHRVIHNGRLHRSHSSGNATRSSIDDATNGLQNNSKNGFRDADDLNLDLTISLPSSQRTGSDHLQNTASPTLLLFP >Ma07_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30616976:30623251:1 gene:Ma07_g22820 transcript:Ma07_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASCFSPPFRPPLCAGGRSLSPVACACAQTVTTAPAAPSLPKALPAILDSRLIACLRARDGNTAMEAACAALSGGISVLEIVMSTPGVLEVIRGLLKDYPSSVIGVGTVLNAEDARKAVKAGAQFLMSPGTVMEILLDLQNTDVLYIPGAMTPTEVLSAYNAGARIIKIYPVSVLGGCDYIKALKKPFPHIPMVASQGTTTDSIRKYIECGASAVVLSDAIFEKEAMRHRNFDEIHRLAHLATLQVGQAGKC >Ma08_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34460239:34461333:-1 gene:Ma08_g20370 transcript:Ma08_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGYADMDALKATELRLGLPGSHPPEKPLPTTTTTARPTKRSLDEDRAARRETSEGGRATSARATKAQVVGWPPIRSYRKNSFQAMKATAEAAGLYVKVSMDGAPYLRKIDLEVYKGYRELREALEDMFKCFEGCKGSEYAITYEDKDGDLMLVGDVPWEMFTSSCKKLRIIRGAEAIRGLGSSQ >Ma05_p18770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25258097:25260110:-1 gene:Ma05_g18770 transcript:Ma05_t18770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEIYGGEIPDEVDSVADVETSNTAAYRIPLQELGEMKKRLKAMDEEAAALREIQAKVEKEMSAIQDPASTSASETSKAEVDSRSVFVGNVDYACTSEVVRMHFQSSGTVNRVTILTDTFGQPKGFAYVEFLELEAVQEALKLNESFLHGRRIRVTAKRTNIPGKKQFRPRRFNPYMGRPVRRAFMAPPRFSAPYGYGKAPRFKSSMRYRPF >Ma05_p18770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25258097:25260110:-1 gene:Ma05_g18770 transcript:Ma05_t18770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEIYGGEIPDEVDSVADVETSNTAAVLLPCPPSRSHKELGEMKKRLKAMDEEAAALREIQAKVEKEMSAIQDPASTSASETSKAEVDSRSVFVGNVDYACTSEVVRMHFQSSGTVNRVTILTDTFGQPKGFAYVEFLELEAVQEALKLNESFLHGRRIRVTAKRTNIPGKKQFRPRRFNPYMGRPVRRAFMAPPRFSAPYGYGKAPRFKSSMRYRPF >Ma05_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25258097:25260110:-1 gene:Ma05_g18770 transcript:Ma05_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEIYGGEIPDEVDSVADVETSNTAAELGEMKKRLKAMDEEAAALREIQAKVEKEMSAIQDPASTSASETSKAEVDSRSVFVGNVDYACTSEVVRMHFQSSGTVNRVTILTDTFGQPKGFAYVEFLELEAVQEALKLNESFLHGRRIRVTAKRTNIPGKKQFRPRRFNPYMGRPVRRAFMAPPRFSAPYGYGKAPRFKSSMRYRPF >Ma10_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23934566:23935165:1 gene:Ma10_g09810 transcript:Ma10_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAYRINGTRQTLKERGIAASPLNLFFRLTNTSTPFQDIISLRMMLLS >Ma06_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13830339:13835450:-1 gene:Ma06_g19740 transcript:Ma06_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEGGEAGLVVSFGEMLIDFVPDVAGVSLAESPGFLKAPGGAPANVAVAVARLGGRSAFVGKFGDDEFGHMLVDILEENGVDIDGVLFDPHARTALAFVTLKRNGEREFMFYRNPSADMLLTESELNLSLIKHAKIFHYGSISLISEPCRSAHLAAMRAAKEAGALLSYDPNARLPLWPSEEAARAGIRSIWTEADFIKISDEEVAFLTQDDPQSEEVVMSLWSDGLKLMVVTDGEKGCRYFTKDFKGRVPGYRVNTVDTTGAGDAFLGALLSSIANDTSLFQNEGKLREALKVANASGAICTTRKGAIPALPTMPAATELVAKGK >Ma10_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23141511:23143947:1 gene:Ma10_g08880 transcript:Ma10_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSNPLSVHSSLPPPPSSNPIPLRRCPNPNFRPKLSAPLLLTGYRRSSFRCPLVGGGGSSGSSWDSNAESVRAGRFKFRDGGAGGDEGEGVSWRSDKRRWWSDDSDEPDEDFEAFDDDPVEQDPWDKIWIFKVFKSYGYLLPAIIVSMLLATGPKAFLMALALPLGQSAISLAIDKVWGKVPGGQQTSRKSKKKSFTRSDGGYKRQWQDRSSYNSPGRHDYQSWVSMDPGVDDKAKTSEPSLGGWDDLDRQGGSTSGRARQQPSTPRSSPESELVKKGKLSKRGRYKGAPLFLRLLIAVFPFLGSWTRMLW >Ma04_p30170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30892853:30900771:1 gene:Ma04_g30170 transcript:Ma04_t30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSLAAATAGHGGARCGRHHVGWRALPNFAAPDWRREGARGGRLRCVGVCLKPVEDDNLSPSAAPVPAPPSRLSADQAVEESRKWGRDSGLLPKPLSLTDLSVTEKGSKVRVAYQGLPGAFSEAAALKAYPQCEAVPCEQFEVAFKAVELWLVDKAVLPIENSMDGSIHRNYDLLLCHNLHIVGEVQLSVNHCLLALPGVKKDELKRVLSHPQALGQCGIALSKLGVIRESVDDTAGAAQLIALKGLRDAGAIASARAADIYGLHILEENVQDVPKNVLRCLVLAREPILPRTDRPFKTSIVFTLEEGPGVLFKALGVFALRKINLTKIESRPQRNNPLRVVDDLNHGTAKYFDYLFYIDFEASMAEPRAQSALSNLQEFATFLRVLGSYPMDTTL >Ma05_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1690414:1692865:-1 gene:Ma05_g02590 transcript:Ma05_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWLSIFYPPPPSAFVAAMSAIICASLAYTGLSEVRGRHLQYSKFWNTGRPSDDARRARISSRAGMLLLYTPALASAAASLGVLAGERSLLLGLALLLHFFKRDFEVLFIHQYSGNMMLDSAIPISLSYFLNTVCMIYAQYLTQGVPEPAVDLKYAGVVLFLVGIVGNFYHHCLLSKLREKGDKRYKIPKGGLFGLVICPHYLFEIIGFIGVSLISQTVYAVSFTTGTVFYLMGRSYATRRWYLSKFQDFPKEVKALIPYIY >Ma03_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8237983:8244122:-1 gene:Ma03_g10910 transcript:Ma03_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLKASGALSGASDALHGLKFGQKIYFDDGGGGSGSSSKAPTAPAKEAAAPPPPTKKGKGVAQGGGQQPPRCQVEGCNVDLTGVKAYYCRHKVCGMHSKAPKVVVAGLEQRFCQQCSRFHQLSEFDQGKRSCRRRLAGHNERRRKPPPGPFASRYGRLASSVHEDPSRFRSFLMDFSYPYLSSTARNLWPTARAGDRVASNEWHVAFDTSPGAAAMHGTHACYQGPPAATFCSPMEFPPGECLGGVSDSSCALSLLSTHPWSSNSARNRAPAIAPSSSTFDGPPMAQSAVPDNYGTSSWGLRGHGSRTSSHEIQHAAGLAGATEASDAHFSGQVELALQENGQCLDHGSARSYGHSSLGMHWSL >Ma09_p24150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35807390:35812864:-1 gene:Ma09_g24150 transcript:Ma09_t24150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPIAGFQLLRLLLLLLPPSPAYSFPHYEVSALAAFKRATFEDPFSVLSDWNSIDASPCNWTGVICSRNQDCVVSLNLSGRSLKGFLAPELRFLGCLQELYLNNNLLLGTIPTVIGMLKNLTVLDLSVNRLTGPIPPKFGELTSIIKLDLRSNGLTGNIPPELGNLGNLVELRLDRNRLEGQIPGTTNSTSSASLHGMYTSNDSDTDLCQLTKLRTGDFSYNFLVGQIPSCLKYLPRSSFQGNCFGDKYSVLQRTPQSCSGSNKSQGMTKETDKQSTEGHKHKSPRQPGWLLILEVTTGVLVVVCIITGISTAVKSCKLKSSVKVPWKKTRHWKDVIPISIDGELLKNIPRFSREDLETACEDFSNIIRSSPDSVVYKGTMKNGTEIAVTSLCILEDQWTNYLEFSFHNKVADLARLNHENIAKVLGYCKENEPFSRMLVLDYASNGTLYEHLHYGERSQLSWIRCMKVILGVARGLRYLHTELQPPFIMSELSSNAVYLTEDYSPKLVDFESWNMIFSRSKNRDSYIADEDPLSGYMDSQEQQDMEIRQNVFGFGVLLLEIISGRPPYCKERGCLVNWATQYLQHPEEIGKLVDPELEYVKSEDLAVICSVVSLCFESDPVKRPSMQTVSAMLENGIDLSAAAILKESSLAWAELALSS >Ma09_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35807390:35812864:-1 gene:Ma09_g24150 transcript:Ma09_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPIAGFQLLRLLLLLLPPSPAYSFPHYEVSALAAFKRATFEDPFSVLSDWNSIDASPCNWTGVICSRNQDCVVSLNLSGRSLKGFLAPELRFLGCLQELYLNNNLLLGTIPTVIGMLKNLTVLDLSVNRLTGPIPPKFGELTSIIKLDLRSNGLTGNIPPELGNLGNLVELRLDRNRLEGQIPGTTNSTSSASLHGMYTSNDSDTDLCQLTKLRTGDFSYNFLVGQIPSCLKYLPRSSFQGNCFGDKYSVLQRGSNKSQGMTKETDKQSTEGHKHKSPRQPGWLLILEVTTGVLVVVCIITGISTAVKSCKLKSSVKVPWKKTRHWKDVIPISIDGELLKNIPRFSREDLETACEDFSNIIRSSPDSVVYKGTMKNGTEIAVTSLCILEDQWTNYLEFSFHNKVADLARLNHENIAKVLGYCKENEPFSRMLVLDYASNGTLYEHLHYGERSQLSWIRCMKVILGVARGLRYLHTELQPPFIMSELSSNAVYLTEDYSPKLVDFESWNMIFSRSKNRDSYIADEDPLSGYMDSQEQQDMEIRQNVFGFGVLLLEIISGRPPYCKERGCLVNWATQYLQHPEEIGKLVDPELEYVKSEDLAVICSVVSLCFESDPVKRPSMQTVSAMLENGIDLSAAAILKESSLAWAELALSS >Ma09_p24150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35807390:35812867:-1 gene:Ma09_g24150 transcript:Ma09_t24150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPIAGFQLLRLLLLLLPPSPAYSFPHYEVSALAAFKRATFEDPFSVLSDWNSIDASPCNWTGVICSRNQDCVVSLNLSGRSLKGFLAPELRFLGCLQELYLNNNLLLGTIPTVIGMLKNLTVLDLSVNRLTGPIPPKFGELTSIIKLDLRSNGLTGNIPPELGNLGNLVELRLDRNRLEGQIPGTTNSTSSASLHGMYTSNDSDTDLCQLTKLRTGDFSYNFLVGQIPSCLKYLPRSSFQGNCFGDKYSVLQRTPQSCGSNKSQGMTKETDKQSTEGHKHKSPRQPGWLLILEVTTGVLVVVCIITGISTAVKSCKLKSSVKVPWKKTRHWKDVIPISIDGELLKNIPRFSREDLETACEDFSNIIRSSPDSVVYKGTMKNGTEIAVTSLCILEDQWTNYLEFSFHNKVADLARLNHENIAKVLGYCKENEPFSRMLVLDYASNGTLYEHLHYGERSQLSWIRCMKVILGVARGLRYLHTELQPPFIMSELSSNAVYLTEDYSPKLVDFESWNMIFSRSKNRDSYIADEDPLSGYMDSQEQQDMEIRQNVFGFGVLLLEIISGRPPYCKERGCLVNWATQYLQHPEEIGKLVDPELEYVKSEDLAVICSVVSLCFESDPVKRPSMQTVSAMLENGIDLSAAAILKESSLAWAELALSS >Ma09_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10271119:10278446:1 gene:Ma09_g14960 transcript:Ma09_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDDTTSRQVTFSKRRNGLLKKAKELAILCDAEVGLVVFSSTGRLYEFASTSMESVIERYSKAKEERQLVMSAASEVKFWQREAASLRQQLYNLQQNLRQLTGEEISGLSVKDLQNLENQLRMSVRNVRMKKDQLLEEEIQELDRKGRLIHQENLELYQKINMIRQENMELYKENQCQVYAAKGKNGTDRGSIVPYDFSITDGAAYKPIHLRLSQPQPNADGIKTEPPPNLRLQLHYRDG >Ma09_p14960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10271122:10278446:1 gene:Ma09_g14960 transcript:Ma09_t14960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDDTTSRQVTFSKRRNGLLKKAKELAILCDAEVGLVVFSSTGRLYEFASTSMESVIERYSKAKEERQLVMSAASEVKFWQREAASLRQQLYNLQQNLRQLTGEEISGLSVKDLQNLENQLRMSVRNVRMKKDQLLEEEIQELDRKGRLIHQENLELYQKINMIRQENMELYKEVYAAKGKNGTDRGSIVPYDFSITDGAAYKPIHLRLSQPQPNADGIKTEPPPNLRLQLHYRDG >Ma10_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27081482:27088197:1 gene:Ma10_g14740 transcript:Ma10_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEDAWMAFCGDSASADQDGGSFGFGELFYSSSCMNHMFVSFVDAILIIAFLLNFVCKVSSRSVDARPLFGLSSSLRITAAVFNSLLGLVYLGHGLWMLVGNQRTGMDGVHPAHQWLLVLSQGFCSVLVVLIVSVRRTRFGHTFIRIWSLAASFSTGFVCFSSVLAVLAEKKASFLICVDILSLPGAVLLLLCTFKGYQADGYEAADGSLYEPLKGESNPSEENSDESVTPFAKAGFFSRMSFWWLNPLMKKGYQRPLEEKDIPQLGKVDRAETCYLLFLEQLNRQKERRQTSSPSILWAIVSCFQKEILVSGFFALLKILTLSAGPLLLNAFIKVSLGNEVFKHEGYVLAFGMFLAKCLESLSQRQWYFRTRRIGLQVRSLLSAAIYQKQLKLSNSAKLDHSSGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQLCIALVILYHAVGLATISAMVVIVLTVLCNAPLAKLQHKFQTRLMEAQDIRLKAVSEALVNMKVLKLYAWETHFKKVIEGLRETECKWLSAFQLRRAYNSFLFWTSPVLVSAAAFSTCYFLHIPLNPSNVFTFVATLRLVQDPVRQIPDVIGVVIQAKVAFARILKFLDAPELQSHQLRNFSQANVEHPVAIEAGSFSWEENTMKPTLRGINLVVKAKEKVAICGEVGSGKSTLLAAILREIPKTEGMIQVSGKIAYVSQTAWIQTGSIQDNILFGSAMDQQKYQRTLEKCSLVKDIEMLPFGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYVMGALAEKTVLLVTHQVDFLPVFDSILLMSDGEVRSAAPYNELLASSKAFEDLVSAHKDTVGPGRLEGVGSQRQSKTSAREINSSKKQEMVKPSGRDQLIKKEEKESGDTGLKPYKQYLGQNKGYLYASISALSHLIFVAGQISQNSWMAAKVQDPQVSMFLLIVVYLSIGFSTVLFLLSRSIFVVVLGIQSSKSLFFELMNSLFRAPMSFFDSTPIGRILSRVSSDLSLVDLDVPFSFIFSVSATLNAYSNLAVLAFVTWPVLFVSIPMVYLTIRLQRYYLVSAKELMRINGTTKSLVANHLAESISGATTIRAFEEEDRFFSKSLELIDKNASPFFHNFAASEWLIQRLETMSAAIVSSSALIMALLPPGTFSSGFVGMALSYGLSLNMSLVFSIQNQCTLANHIISVERLNQYMHVSSEAPEIVRGNRPPSDWPAIGRVELRDLKIRYRPEAPLVLRGISCTFEGGNKIGIVGRTGSGKTTLIGALFRLVEPAGGRITIDALDIATIGLHDLRSRLGIIPQDPTLFHGSVRYNLDPLGQYTDQQIWEVLDKCQLQEAVQEKHKGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRTEFADCTVITVAHRIPTVMDCNMVLAISDGKLEEYDEPMKLMEREGSLFGSLVKEYWSHAANATIQFTDSH >Ma04_p10740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7621780:7626262:-1 gene:Ma04_g10740 transcript:Ma04_t10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLMAEELLFFLPPALVALFFYVYLTKWRRKRLNLPPGGTGWPFVGDTFAYLKPHPATTVGRFMEQHIARHGKIYRSNLFGEPTIVSADAGLNRFILQNEGKLFECSYPSSIGGILGKWSMLVQVGEMHREMRMISLNFMSNLRLRSHLLPEVERHTLLVLRSWRESSTFSAQEEAKKFTFNLMAKNIMSMNPREPETEKLRLEYITFMKGVVSAPLNFPGTAYWRALKSRSNILRVIEQKMEERIQEMTSETEERSEDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVRQLRIEHREIARKRKQRGDAGLNWEDYRRMEFTQCVINETLRLGNVVRFVHRKVLKDVEYQGYNIPCGWKILPVFAAVHLDPSIYDDPHGFNPWRWQQSNNAAAVANSFMPYGGGPRLCAGSELAKLEMAVFLHHLVLSYTWELAEADQAFAFPFVEFRRGLPIRVHALHDT >Ma04_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7623665:7626262:-1 gene:Ma04_g10740 transcript:Ma04_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLMAEELLFFLPPALVALFFYVYLTKWRRKRLNLPPGGTGWPFVGDTFAYLKPHPATTVGRFMEQHIARHGKIYRSNLFGEPTIVSADAGLNRFILQNEGKLFECSYPSSIGGILGKWSMLVQVGEMHREMRMISLNFMSNLRLRSHLLPEVERHTLLVLRSWRESSTFSAQEEAKKFTFNLMAKNIMSMNPREPETEKLRLEYITFMKGVVSAPLNFPGTAYWRALKSRSNILRVIEQKMEERIQEMTSETEERSEDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVRQLRIEHREIARKRKQRGDAGLNWEDYRRMEFTQCVINETLRLGNVVRFVHRKVLKDVEYQGYNIPCGWKILPVFAAVHLDPSIYDDPHGFNPWRWQQVSPTPTQINAVVVRTIRECYRSRKGSIDERPLPCPWHHRWMNKPHTTSKRGQTYINKRPLPVYTYHHRRMNKPHTTSQRGQT >Ma03_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24375691:24390955:1 gene:Ma03_g18890 transcript:Ma03_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSATSLLTTLFIILVPLSLLLLLLQDKRNSRGHPPCPPRLPLIGNLHQLGPLPHRSLHALSQQHGPLMLLRLGQVPALVVSSPDAAREVLRNQDHACASRPALKPARILVYGCKDLAFAPYGDYWKQLRKICSVHLLSPKRVQSYRLMREDEVESMMGKISSQASASANVIDLSEVLYSFANDVLCRVVSGKFTREEGRNRLFSELAGENSVLLSKIYVGDYFPWLGWLDMFFGSVARCNKNKARWDKLLDEVIKEHAVRSAQHGGEENDGEEKDFVDVLLSLQKDAAMDFVLTTEDIKALLEDMFQTTVVSNPNSSSDEKAARALEALMWPHDLDSTVSELTLSHLRDLYGIPEEFALYAPEPGQRAYDPIPRGFALTLDAFEAGLRLPLHPIITSCISWWRISPSRMAPNSWRYLVAFLGECHYANITPTRSLFLSCFRLSKRSGGYYLSARAGFRVSGAPSSNKGWKRCFFFVSRSKD >Ma07_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28076932:28081472:1 gene:Ma07_g20150 transcript:Ma07_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MSAATATIAPAAFLSRSSSLLASTAAVRLRSSRRCFRVLPVRASSPSEPPFEVCVKASITVPNRLGDCPFSQRVLLTLEEKRLSYDMKLVDLGNKPEWFLQISPEGKVPVIKLDEKWIADSDIITKSLEEKYPVPSLETPPEKASAGSKIFSTFIGFLKSKDPNDGTEQALLDELTSFNEYLKDNGPFVNGDSISAADLSLGPKLYHMEIALGHYKNWSVPESLAYVRNYMKSIFSMDSFVKTRALPEDVIAGWRPKVIG >Ma10_p11280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24844008:24857538:1 gene:Ma10_g11280 transcript:Ma10_t11280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDENAVKASEVVAAANEEATEMEERVGGGGGGRGGEEEAEDDVEGEEEEDEEDEDEEEDDEGYTLRFDGDTDPLGLVQEDNHGVDLYQQFERLEYEALAERKRKAVSQKEPPGESAKKPRREDVLGVTMEEINELMNFGRRRRSRAQSKKRGRKRGSKKKLSPEVSRRIGDATLYYTSGDYDEAIPLLQEVVRLAPNLSDAYYILGLIYDAKGDRGKALNFHMIAAHLSPKDPSLWKKLVAWSIEQKNTGQIKYCLKKAITADPKDVGLRFDLALLYCELGEYQKAAESYDQIIGIYPANIQALKMAAKMYQKCGLVERAIKILEDHVNSHVECDNSVINLLIALYIENGSHMEALKLIERACSICGLGKKNLLYLKVKEAVCHAQLGNMQHAEVLLKELPMDRCADNGDLIIEAADSFLSLGQYQFAVEFYSMLEDVPNHDNGNLHLKIAQCFLSMEQRGKAIAFYYKALSKIENDVDARIILSSLLLEEGKDQETINLLSPPKVSAQLPNLNSAQTNPWWENGKIKMQLAKIYHAKGKLEDFVDTIYSYVRETLVIEIMNRKVRPAKKIAKSVLFERVKLLDDQQADNLFRGFKPVARMSELVKAVRAKKSLQKLAALKEEKKAATLGAGLDWQSDSDDEIPRKAKQEPPLPDLLKDVEHHQFILDLCKALVSVQRYWEALEVINHTLRLEYNTISTEKKEEFRSLGAHIAYSTRDPKHGYNYVRYIVQQHPHSIAAWNCYYKVVSRLEYRFSRHLKFLHHMRVELKDCVMPMIIYGHQFTMISQHQSAAREYLEAYKVQPKNPLINLCVGTALINLALGFRLQKKHYCVAQGFAFLYKYLRICNNSQEALYNIARAYQHVGLVTLAAIYYEKVLAMQENDYPIPKLPYEDSSVPVTRKPGYCNLHREAAYNLHLIYKKSGATDLARQLLKNYCSI >Ma10_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24844008:24857538:1 gene:Ma10_g11280 transcript:Ma10_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDENAVKASEVVAAANEEATEMEERVGGGGGGRGGEEEAEDDVEGEEEEDEEDEDEEEDDEGYTLRFDGDTDPLGLVQEDNHGVDLYQQFERLEYEALAERKRKAVSQKEPPGESAKKPRREDVLGVTMEEINELMNFGRRRRSRASKKRGRKRGSKKKLSPEVSRRIGDATLYYTSGDYDEAIPLLQEVVRLAPNLSDAYYILGLIYDAKGDRGKALNFHMIAAHLSPKDPSLWKKLVAWSIEQKNTGQIKYCLKKAITADPKDVGLRFDLALLYCELGEYQKAAESYDQIIGIYPANIQALKMAAKMYQKCGLVERAIKILEDHVNSHVECDNSVINLLIALYIENGSHMEALKLIERACSICGLGKKNLLYLKVKEAVCHAQLGNMQHAEVLLKELPMDRCADNGDLIIEAADSFLSLGQYQFAVEFYSMLEDVPNHDNGNLHLKIAQCFLSMEQRGKAIAFYYKALSKIENDVDARIILSSLLLEEGKDQETINLLSPPKVSAQLPNLNSAQTNPWWENGKIKMQLAKIYHAKGKLEDFVDTIYSYVRETLVIEIMNRKVRPAKKIAKSVLFERVKLLDDQQADNLFRGFKPVARMSELVKAVRAKKSLQKLAALKEEKKAATLGAGLDWQSDSDDEIPRKAKQEPPLPDLLKDVEHHQFILDLCKALVSVQRYWEALEVINHTLRLEYNTISTEKKEEFRSLGAHIAYSTRDPKHGYNYVRYIVQQHPHSIAAWNCYYKVVSRLEYRFSRHLKFLHHMRVELKDCVMPMIIYGHQFTMISQHQSAAREYLEAYKVQPKNPLINLCVGTALINLALGFRLQKKHYCVAQGFAFLYKYLRICNNSQEALYNIARAYQHVGLVTLAAIYYEKVLAMQENDYPIPKLPYEDSSVPVTRKPGYCNLHREAAYNLHLIYKKSGATDLARQLLKNYCSI >Ma06_p28940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30491694:30495386:1 gene:Ma06_g28940 transcript:Ma06_t28940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCSVGMKANVCFGQVKRRSVGSGESGIWGEGIGGGGGGLKIKVWETKVVKGVKRRNSLGAAVAVLTSDVSEETMVLHAPMFGYRTAEPKSVASIILGGGAGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCINSGINKIFIMTQFNSASLNRHISRTYIFGNGINFGDGFVEVLAATQTPGEAGMNWFQGTADAVRQFTWVFEDNRNKNIEHIVILSGDQLYRMDYMDLVQKHIDTGADITVSCVPVGHSRASDYGLVKIDKTGRIFQFSEKPNGAELEAMKDGGSFLRLSRQDAMKYPYIASMGVYVFKRDVLSKLLRWKYPKANDFGSEILPSVVKEHNVQAYIFNDYWEDIGTIRSFFDANLALTEQPPKFQFYDPMTPFFTSPRFLPPTKIEKCRIVDAIISHGCFLRECSVERSIVGVRSRLDFDAELKDTMMMGADIYETEAEIASLLADDKVPIGVGQNTRIRNCIIDMNARIGKNVVIANKDGIQEADRPCEGFYIRSGITIIMKNSTIKDGTVI >Ma06_p28940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30491687:30495386:1 gene:Ma06_g28940 transcript:Ma06_t28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCSVGMKANVCFGQVKRRSVGSGESGIWGEGIGGGGGGLKIKVWETKVVKGVKRRNSLGAAVAVLTSDVSEETMVLHAPMFGYRTAEPKSVASIILGGGAGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCINSGINKIFIMTQFNSASLNRHISRTYIFGNGINFGDGFVEVLAATQTPGEAGMNWFQGTADAVRQFTWVFEDNRNKNIEHIVILSGDQLYRMDYMDLVQKHIDTGADITVSCVPVGHSRASDYGLVKIDKTGRIFQFSEKPNGAELEAMKDGGSFLRLSRQDAMKYPYIASMGVYVFKRDVLSKLLRWKYPKANDFGSEILPSVVKEHNVQAYIFNDYWEDIGTIRSFFDANLALTEQVGISFRRTHANFMLKISSELFVQPPKFQFYDPMTPFFTSPRFLPPTKIEKCRIVDAIISHGCFLRECSVERSIVGVRSRLDFDAELKDTMMMGADIYETEAEIASLLADDKVPIGVGQNTRIRNCIIDMNARIGKNVVIANKDGIQEADRPCEGFYIRSGITIIMKNSTIKDGTVI >Ma07_p25420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32476872:32483507:-1 gene:Ma07_g25420 transcript:Ma07_t25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMPPAVALSFRTANSTCDNSMEIACLKLITETAGLLPNPMDAASTGLSPELEQSEVVAMEEGGDGDDLDVRRAVGSFHEDLVSMERKFLGGIACSAATSIGGSSRSINSTADELLDMDLPSETCTPTALEVEKSGEILNGRPAFEFGVNALTVSMVDSDFIIPVTPIVELATQEDQQSQASSSSSKRSVFLVDYLPFWGQVSICGRRPEMEDAVVAVPYFYEIPLWLLIGNQDIDGLDSSLIRLPAHFFGVYDGHGGSQVADYCRERIHHVLIEQLRNCARDLRSNTCDDWKKQWERAFINCFQQVDDEVGGKVTEGNLGSSDDKSKDENFSDAPLTPIAPETVGSTAVVAVICSSHIIIANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAQGGKVIHWNGYRVFGVLAMSRSIGDHYLKPWIIPVPEVTIVPRCREDECLILASDGLWDVMSNEEVCDIARRRILLWHKKNGIVSSPVNHRGEEADPAAQAAADFLSKLAIQKGSKDNITVIVVDLKAQRKFKTKS >Ma07_p25420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32476872:32483507:-1 gene:Ma07_g25420 transcript:Ma07_t25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMPPAVALSFRTANSTCDNSMEIACLKLITETAGLLPNPMDAASTGLSPELEQSEVVAMEEGGDGDDLDVRRAVGSFHEDLVSMERKFLGGIACSAATSIGGSSRSINSTADELLDMDLPSETCTPTALEVEKSGEILNGRPAFEFGVNALTVSMVDSDFIIPVTPIVELATQEDQQSQASSSSSKRSVFLVDYLPFWGQVSICGRRPEMEDAVVAVPYFYEIPLWLLIGNQDIDGLDSSLIRLPAHFFGVYDGHGGSQVADYCRERIHHVLIEQLRNCARDLRSNTCDDWKKQWERAFINCFQQVDDEVGGKVTEGNLGSSDDKSKDENFSDAPLTPIAPETVGSTAVVAVICSSHIIIANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAQGGKVIHWNGYRVFGVLAMSRSIGDHYLKPWIIPVPEVTIVPRCREDECLILASDGLWDVMSNEEVCDIARRRILLWHKKNGIVSSPVNHRGEEADPAAQAAADFLSKLAIQKGSKDNITVIVVDLKAQRKFKTKS >Ma01_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6202186:6209556:-1 gene:Ma01_g08650 transcript:Ma01_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGQIRTNNSALIAMIADEDTVTGFLMAGVGNVDLRRKTNYLIVDSKTTVKAIEDAFKEFTSREDIAVVLISQYIANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVRYLFSAESVASGRR >Ma10_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21743941:21747812:-1 gene:Ma10_g07330 transcript:Ma10_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAKPLHLPAATTAELSSLAPYSTAASVSFSSARSQSSFLGLRLALPLKTPCFRKRTEGRGLRIEAAAAARPTVLVAEKLGDAGLELLRGFANVDCSYNLAPEELCAKISLCDALIVRSGTKVTREVFEAAKGRLKVVGRAGVGIDNVDLQAATEHGCLVVNAPTANTVAAAEHGIALLASMARNVAQADASMKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEAISAADFISLHMPLTPSTAKLFNDETFAKVKKGVRIINVARGGVIDEDALVRALDDGTVAQAALDVFTVEPPPKDSKLVMHEKVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAATAVNAPMVPAEVLSELAPYVILAEKLGRLAVQLVAGGSGIKGVKVVYKSARDPDDLDTRILRAMITKGIIEPISSVFVNIVNADYTAKQRGLRISEERIFHDDTPEIPLDSIQVQLSNVESKFASALSDAGDIRVEGRVKDGIPHLTLVGSFSVDVSLEGNLILCRQVDQPGMIGHVGRILGNQNVNINFMSVGRTAPRKHAIMAIGVDEEPDKETLKEIGEIPAIEEFVFLGL >Ma06_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11658088:11669381:-1 gene:Ma06_g17170 transcript:Ma06_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGVVPGGNGGGGGGGGRSRPLGALCCRELRLLEFPDRYVVRSADPDAPDHAFSVGRSDGLIEPLFEDSSSLTPKVSTIYGVVGIIKLPAGTYVLLITSREEVGSCLGFSIFHVMSMRFLSCNGSLKHSKSQEKRDEAYFMSLLRTLESTPGLYYSYERDLTLNLQRTCKLTEERMHKPLWKQADPRFVWNHNLMEDLIENKLDAFTIPVIQGNILNGLSFQSVQFALKDSPARITLISRRCNRRLGTRMWRRGANLEGSTANFIETEQILEFEGYRSSFIQIRGSIPLLWEQIVDLSYKPRLNIINHDETPKVVERHFRDLIQRYGETVAVDLTDKEGDEGRLSDAFAAEMEKLPYVRYVRFDFHNACGKGIFDNLQLLYDQIKENVENQGYLLINTDGEILIQQNGIVRVNCIDCLDRTNVTQSYVARKLLNLLLQQVGTFSSNECISMYTDIYEKFKNLWAEHGDEISLEYAGTHALKGDLVRYGRQTVSGIIKDGISALTRYYLNNFQDGIRQDALDLISGRYTVSRSGPPFRLNRFESSSYLPVASALIVGGLTMTTTLTLNQGGNSTHVVSSVLLAGLSAGVVPLVKANWKQFCSRPRLCGLL >Ma02_p21980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27457668:27462977:1 gene:Ma02_g21980 transcript:Ma02_t21980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAENAVGSEDKFIGCQSHAPSEAETENQRDVRNLVDFLSKLNPSAKEFVPSSYTAWKFDGRLSAGAPIFVVASDYYSKGGIGHGGIRDSFSDGSSNNQLNRGRRNGYSQGWRRKNDRVRSAQSEESIRRTVYVSDIDHHVTEEKLAGIFATCGQVVDCRICGDPRWVRFAFIEFFDEDGARAALDLDFTKLGYYPVRVLPSKTAILPVNPKFLPRSEDEKEMVSRTVYCTNIDKEVTQTEVKVFFEQFCGFSFETSWRYTFNMYCFCRVCTGRKCCSSSELQWHDSRNTTNQGESFKNPGEATGNPSLIKLTWVR >Ma02_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27457670:27462977:1 gene:Ma02_g21980 transcript:Ma02_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAENAVGSEDKFIGCQSHAPSEAETENQRDVRNLVDFLSKLNPSAKEFVPSSYTAWKFDGRLSAGAPIFVVASDYYSKGGIGHGGIRDSFSDGSSNNQLNRGRRNGYSQGWRRKNDRVRSAQSEESIRRTVYVSDIDHHVTEEKLAGIFATCGQVVDCRICGDPRWVRFAFIEFFDEDGARAALDLDFTKLGYYPVRVLPSKTAILPVNPKFLPRSEDEKEMVSRTVYCTNIDKEVTQTEVKVFFEQFCGEVSRLRLLGDTHLTCIAFVEFVQAESAVRALNCSGMILGTQQIRVSPSKTPVRPQAIRASSN >Ma09_p16150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11471130:11496691:1 gene:Ma09_g16150 transcript:Ma09_t16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSASRSALVAFAPDAPYLAAGTMAGAVDLSFSSTANLEIFKLDFQSDAHDLPVAGSCPSAERFNRLSWGNPPGSASEEYALGLVAGGLSDGSIGIWNPLKMISSDDQNTALVAKLEKHVGPVRGLEFSVLSSNLLASGADEGELCIWDLAKPSEPNHFPSLKSAGSGAQTEVSFVSWNPKFQHILSSTSYNGITVVWDLRQQKPVTSFADSNRRRCSILQWNPDVSTQLIIASDDDNSPALRVWDVRKTISPVREFVGHTKGVIAMSWCPYDSSFLLTCAKDNRTICWDTISGEVVCELPASTNWNFDVHWYPKIPGVISASSFDVKVGIYNIEACSRYAAVGGEFGTPVRLRAPKWLKCPTGVSFGFGGKIVSFRPCQTALGVPPSSSEVYVHDLITEQSLVRRSTEFEAAIQNGEKASLCALCEKKSHDSILEDDKETWGFLKIMFEEEGTARTKLLCHLGFTIPDESSDNTSDNLGKLLDKTLDLDNSSLVEGEASLFAIDNGEEFFNKPQISEDILTDEDSVVPNGKEVHKELEEPTGTSDPAVDDSIQRALVVGDYKGAVLQCIAANRMADALVIAHAGGPSLWESARNQYLKNSLTPYLKVVSAMVSNDLITLVNTRPLNSWKETLALLCTFAQKEEWTVLCDSLGSRLMTAGNTLAATFCYICAGNIDRTVEIWSRSLKPDSEGRTYIDLLQDLMEKTIVLTLATGHKRFSASLSKLVENYAELLANQGLLTTAMEYLKLLGSEEPSHELAILRDRIALSAEEREAPKGLFYENTSSQAKSDYGADHSSYGAVDQLQSFFQDKSVSQPHHNVAGAPHAEIYQQSPGSAYGGYQHVQQKPQFPDFSNPMPFQPAQPAQMFIPSQTSQVPQQNFAPPAAAAQPTIKPFVPATPAALRNVGHYQQPILGSQLYPGLANPVNQPGPPVPASHGVGTSQPAAATGHRFAQPAAPGSAPGSAPRGFVPVPNPNFALRPGMSPVQPSSPTKASQVQSAAVPSAPPPTVQTVDTSNVPAELKPVITTLTRLYDETSVALGGSHANPSKKREIEDNSRRIGSLFAKLNSGHTSPDVATKLVQLCQALDARDFAGALHIQVDMARSYWNECDVWVASLKRMIKTRQSVRL >Ma09_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11471130:11496861:1 gene:Ma09_g16150 transcript:Ma09_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSASRSALVAFAPDAPYLAAGTMAGAVDLSFSSTANLEIFKLDFQSDAHDLPVAGSCPSAERFNRLSWGNPPGSASEEYALGLVAGGLSDGSIGIWNPLKMISSDDQNTALVAKLEKHVGPVRGLEFSVLSSNLLASGADEGELCIWDLAKPSEPNHFPSLKSAGSGAQTEVSFVSWNPKFQHILSSTSYNGITVVWDLRQQKPVTSFADSNRRRCSILQWNPDVSTQLIIASDDDNSPALRVWDVRKTISPVREFVGHTKGVIAMSWCPYDSSFLLTCAKDNRTICWDTISGEVVCELPASTNWNFDVHWYPKIPGVISASSFDVKVGIYNIEACSRYAAVGGEFGTPVRLRAPKWLKCPTGVSFGFGGKIVSFRPCQTALGVPPSSSEVYVHDLITEQSLVRRSTEFEAAIQNGEKASLCALCEKKSHDSILEDDKETWGFLKIMFEEEGTARTKLLCHLGFTIPDESSDNTSDNLGKLLDKTLDLDNSSLVEGEASLFAIDNGEEFFNKPQISEDILTDEDSVVPNGKEVHKELEEPTGTSDPAVDDSIQRALVVGDYKGAVLQCIAANRMADALVIAHAGGPSLWESARNQYLKNSLTPYLKVVSAMVSNDLITLVNTRPLNSWKETLALLCTFAQKEEWTVLCDSLGSRLMTAGNTLAATFCYICAGNIDRTVEIWSRSLKPDSEGRTYIDLLQDLMEKTIVLTLATGHKRFSASLSKLVENYAELLANQGLLTTAMEYLKLLGSEEPSHELAILRDRIALSAEEREAPKGLFYENTSSQAKSDYGADHSSYGAVDQLQSFFQDKSVSQPHHNVAGAPHAEIYQQSPGSAYGGYQHVQQKPQFPDFSNPMPFQPAQPAQMFIPSQTSQVPQQNFAPPAAAAQPTIKPFVPATPAALRNVGHYQQPILGSQLYPGLANPVNQPGPPVPASHGVGTSQPAAATGHRFAQPAAPGSAPGSAPRGFVPVPNPNFALRPGMSPVQPSSPTKASQVQSAAVPSAPPPTVQTVDTSNVPAELKPVITTLTRLYDETSVALGGSHANPSKKREIEDNSRRIGSLFAKLNSGHTSPDVATKLVQLCQALDARDFAGALHIQVDMARSYWNECDVWVASLKRMIKTRQSVRL >Ma04_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8061855:8065513:-1 gene:Ma04_g11430 transcript:Ma04_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Ma10_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19373985:19374062:1 gene:Ma10_g06500 transcript:Ma10_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLVVKLHLSERLRILFCTHKSVS >Ma04_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7672513:7675594:1 gene:Ma04_g10860 transcript:Ma04_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSSMTGLSRTSPFNAFHRGAWCTPPRSFPEEQFDVVHPNTITSVDYSSKKALVEESTIRRNVRAVKISPWTLARLNAEEVSKAAAQARRKSKVLQPIVRWTNPMGQETESSMRVAAAGWSSARIAAAPNSSLDSLDLHPSHQSSSKAEELQELISLSTLDTVAPKGVQTSGSTSDGYEASGGEDSDQILSRIMHRSSNWTDLFRNSGCSEIMDDFKASSSSARLQPYGSKESTVLVNHFVVPFPGCISRGGSHRETFCSTLFIFVLYLIRPFLKSTFIHVIYEMSRTNAMMMRVIVPPPPSSSSMTAVEREDCLHCSKSSVVCQRQHHSVVGRRTEHHYVHWGRVADDLMRFRKRHGDKRRAGRGQYAHV >Ma01_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:128546:130864:1 gene:Ma01_g00140 transcript:Ma01_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAWRNLSLRSLPWIASRGPSVSPPNLLVKVPEPKNPSFLLPFVGRIRSPSYLGIRWFSPDPAGSDEIKAELESLDLSFDRETMDLVLRDLKCVHSASEDGRVKLNSESYNRMLQTLGNNGRSEEFWRVVGTMRKKGFGISKATYLSVSKSFREEKMEKDVNLLNEAYSLSTGRNEAETACQEICKILREEEPGEILQKKLDDIDDSLLSELVGVVLELTTEHPEKALLLMEQVEKRSSLKIDGGVYNAMARILGRKDCINEFQDLLRKMRDQGHELELKTYIKVSHWFHQRKMIAEVADLYEFAMSGTVKPPPQDFLFLLKKIVLSKDLDLDLITRVVRIFVEDGNSIKRSVFGGVLKSLRSVGRLGECDKVLAAMEQGGFAADSSVHDRVVIGLCDAGRSDGAFKYLDNIENEGYSLDVKTWTYLVKKHSVAGELEQALSCFKVMTERRGGENVGCAFEELMKGYCQKDKIKDAHKVLNEMVTKRNVQPWHSTYKFLIEMFINQGYLKESFSLLEPMKSHGFPPYIDPYISYLSKLGTVDDAMGFLKAMTVKEFPSRTVFMRVFETLLKAGRHDVAHGILSKSPGSVRNHADVLDLFYSMKPDDASAVIA >Ma08_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8360799:8362106:1 gene:Ma08_g11300 transcript:Ma08_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRIGAIMFSERQPIGTAAQTHAKDYKEPPPAPLFEPAELRSWSFYRAGIAEFMATFLFIYVSILTVMGVVKSDSKCSTVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAIFYVVMQCLGAICGAGVVKGFQKGVYESNGGGANVVAAGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKEHAWNDHWIFWVGPLIGAALAAIYHQVVIRAIPFRSKR >Ma04_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1660064:1665511:1 gene:Ma04_g01900 transcript:Ma04_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVNLQTIATDFQRIFKIHDKLYIGLSGLATDVQTLYQRLIFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCMPVIAGLGDDDAPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALLSSVDRDCLSGWGGHVLLVTPTEVQERTLKGRMD >Ma04_p39930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36914611:36915646:1 gene:Ma04_g39930 transcript:Ma04_t39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQAESTLISSNNHQVFRVVGLSLRLAAIPLCAASLWVMATNKQANESYGKVEFSDLPGLRYMVCISAISLGYSVVSILFACLGCVNNDWFFFISDQVVAYLMVTSGSAVAEVLYLAREGDRKASWSEACSYYGRFCDRTKVSLALHLAALLCFIALFLVSSYTVFSKFEAPSVSDSSKGVGE >Ma03_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26911497:26917691:-1 gene:Ma03_g22050 transcript:Ma03_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGGDAFGSSTAPLTWHDFLERMRHPSASDFVKSIKSFITSFSNKAPDPEKDSAAVQEFLANMEGAFRAHTLWAGSSEEELESSGEGLEKYIMTKLFNHVFASVQEDANSDEELTEKMALLQQFVRPENLDVKKAFQNETSWLLAQKELQKINMYKAPRDKLICILNCCKVINNLLLNASITSNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQSRLVSEAAYFFTNILSAESFIWNIDAQALSMEEAEFQKKMQSARAHLMGLSTGTEGQKPEPSQDTMERGLGLVKANREPDNTASVEGHHAPSQSYVINQDVDGKDKPLANRLSISDLEKKGTADLLKDENISRCFQDYPFLFASAGDLTVDDVESLLNCYKQIVLRYVALSRGLASNESLPLPNTQTPSRLRTVENSAHVIGTEMKNEGYEEVRTKTGSSTEDLVLKMDDTAEISS >Ma08_p34560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44557513:44559684:-1 gene:Ma08_g34560 transcript:Ma08_t34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLQAHALLLKSGLARCPRLQAKLLTLAALRSWGSLHHARSLFDAAPSPAIPHLCDPMIRAYSRSIAPLGAVPVYNLMCRRGIPPSAFTFPFLLKACARAASEAADADGAGLSSLALALARKGSEIHCRILHLGFQSDALVRNSLMSMYSHCGHIHDARNLFDETSEKTVVSWNVMLAAYHRVGDHDATDRLFHSMPEKNVASWNSMITRYVHSGDIAAANRVFLQMPQKDAISWNSMIAGYVRVKSYGRALELFKQMRASNVKPTELTIVSVLGACAETGVLDQGQEIHFYLNNNGYRIEGYVGNALLDMYAKCGSLKMAKQLFDTMGLKHVTCWNAMIMALAVHGHSEEALELFASMEREASHGGAKPNRITFLGVLIACSHKGLLKEGQAFFERMIQEYKIEPNIKHYGCMVDLLSRCGLVEEAYQMIKQMPIEANVVLWKTILSACRVYGNVELAERAFKELAKLGSPSDAECVIMSNIYAEAERWADVGRLRAGMIGCSISKLPGCSKIELI >Ma10_p26620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34231998:34233458:-1 gene:Ma10_g26620 transcript:Ma10_t26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSAALRRLRALQSEPGNGTCVDCRQRNPQWASVSYGVFICLECSGKHRGLGVHLSFVRSVTMDSWTEPQLRRMECGGNDRLNAFLARRGVHRGVNVTAKYSSGAAAVYRDRIQALAEGRPWKDPPVVKESTEPSARKPPKSPSGWDKWDDENDDHLCRSSSSAASNMRRNQSVGDLQKGTGVGCETSLRSRSTEDLVTMSQHPGDKDCFFAMKMAENKARPEGIPPSQGGKYVGFGSSPTQPQRSASSQDDLISVVSQGFGRLSMVAASAAQSAANVVQASTKELTSKVMEAEVNGTVNTVATRTTEIGHRTWGIMKGVMAMATQKVEEFAKEGMAWNEDDVGGHQEEIGQASKGGNSGQDHAPPAKPSWGDWEEEEEEEHGKQADGQESWAGWDDTDDDEKESAAGKRSNQKASG >Ma03_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27437305:27438923:1 gene:Ma03_g22710 transcript:Ma03_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAGAAAPVNSGSSRINREAVTKAADALFQWLRSRDSKAQLFEDDEFLYLQLSLHRFPSNTKSARVNPQLLPVPHPLFSDHSSSLCLFFDDRSPSASASALLDRARELSLSVDAVIGLSSLRSDYRPYEARRRLCDSHNLFFADRRIIPLLPRLIGKEFFRKRKAPLPLDLSRPGWPLQLRRCLNSAFFYPPSKGTCTVVKVGRASMTPEEVADNVNAIVEGAVEHVPKGWANVRSVLIKAAGSVALPVYQAVPQMGLKINVPASVDVDKEKDLEHDGESEVVDVGEVDEQLSGQKKDKQRKNKKGRIHEVITRYMEMDEGGEDNDNGVQENETGEEGNDKEVEKSATKKKRKKQGTEKRKESIKKKGKKDNKELCDDGEQDDHGDGIEGEGDKSSAGVSLDSKMKRKKKGKVDKQMEVENDGEKLEETAKADGTKKKIKKTKNLEKVKKIKKSKVRQS >Ma03_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8050584:8058657:-1 gene:Ma03_g10680 transcript:Ma03_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGPESHESLEYTPTWVVAAVCTIIVVISLLVERFLHYLGKFLKHNQQDALYKALQKLKEELMLLGFISLLLTVFQRTISRICVSKRLFHHMLPCKENSFPPNQEVHRAKGLKLQLTWNNRKLLSEDEGSDICMRQGKVPLLSLEALHQLHIFIFVLAVVHVVFCATTMVLGGAKIRKWKLWENDIRKGQPKKETLSAEELSTHVQQHNEFVMEHTEGLQQRSVVLSWIMSFLKQFYASVTKSDYIALRSGFIMKHCSSNPDFDFHKYMLRTLEDDFKIVVGIRWYLWLFVVLFLLLNVNGWHTFFWLSFLPLVLLLAVGTKLEHIITCLAQEAAEKPTDGQVPKVKPSDHHFWFNQPGILLYLIHFILFQNAFELAYFFWIISTYGFYSCIMEKVGYIIPRLLVGVIIQVLCSYSTLPLYTIVTQMGDMFKQAIFDELLRSTLHGWAETIRKRKEPKTFGVSSFMKVINSKSKTSVSGSRIQMQNTILEADGSSGVAERPVALE >Ma06_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11787514:11789220:1 gene:Ma06_g17340 transcript:Ma06_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAESPPSASGVAATSITGRGSRLGRFNAAVAKSWVGRRFKLAERGTTFTTELRAGTATFLTMAYILAVNASILSDSGATCSVDDCLRPSPSCRFPPVDPGYAACVDRARRDLIVATAASSIVGSFIMGAFANLPLALAPGMGTNAYFAYTVVGFHGSGDLPYRTALAAVFLEGLLFLLISALGFRARLAKLVPRPVRISSSAGIGLFLAFIGLQNNEGVGLVGYSSSTLVTLAACPRKYRAYLAPVQTFDNGTVALIPGGAVSGDVLCLHGRMESPTFWLAVVGFLIIAFCLIKNIQGAMIYGIVFVTAVSWFRHTSVTAFPNTPAGSDAYRYFKKVVDVHRIESTAGVLSFKGIGTGRFWEALVTFLYVDVLDTTGTLYSMARFAGYVDANGDFEGQYFAFMSDAVAIVVGSLLGTSPVTAFIESSTGIKEGGRTGMTALTVAVYFLLAFFFTPLLASIPAWAVGPPLVLVGVLMMKAVTEIEWEDMKEAIPAFMTILLMPLTYSIAYGLIGGIGTYIVLNAWDWAYASWTHYVLANKSLPLAAAANADSGDGNGKHNVNDNTV >Ma07_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3164016:3164263:1 gene:Ma07_g04220 transcript:Ma07_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFFFETSVQWQASRAARQGACAGGPHSTRFPGSAAIKPLPAAAASKEKNVVYYC >Ma02_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24326393:24332780:-1 gene:Ma02_g17440 transcript:Ma02_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSKGLFVLSFLFVLLSSVPVSLSSQKKPFSAARREDIPYIKCQVCEKVAHQIYRQVMDKEAQVSPKKVSEFQIIEIAENICNLKKEQADWMLQIDIVEKGDRLQLVEQGIEGMCNSECKTIERACQEVMGYADTDVAEFVFKTRPSADSLVKFLCHDLSESCSAETPPVPKDRLPGDPFLAKPSKDAEMEKILRSMEGMPGAPSMKMYSREDLMKNNFGDEQSDDDDDDDDDEEDDEDNFPTKLGKVIKDKESPKKDLKQRILQGITKASKQMKANLHKTSQRIQKWFRGKKTSSKSAKRRKSEL >Ma06_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8844228:8844896:1 gene:Ma06_g12820 transcript:Ma06_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDTEASVEVFEVSSQVASNMWTQESSSSSPSKEDAPISLDLSLTLNAESTAPAVVSLSSTSESSSESQAFPRPDSRRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRALRLDAARHSYPSIASLPLHGSALHSLAIKAHSSAHQSMVEWRGSQGGMLFGRGLLEPRQVVVEDQDVDFHWPGSFGPMTDSRFERIGSSDLVAVDHQPVEDPDLTLRL >Ma08_p34130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44200596:44203169:-1 gene:Ma08_g34130 transcript:Ma08_t34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAGSVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRALEAKRKGRHSGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPGDLVPATAQSEVRKKSNK >Ma11_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:647470:650124:-1 gene:Ma11_g00880 transcript:Ma11_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSSSPLPTITPMNPSVKPQPPRSLSHQTLTPNPPPPPPSAAATVEDHVDFLHSPSFHHHHSLLMDDGDDDGGCGPSPRLSGTLAPSQSPFPSHLLRDDDEDDEDPDEEDDVDHEEALIEDQKPPSTASTRPLGSSLDEATAAAFSPALPRIDPTPHVSSQFYTFNRESHALMVHCILEGRLATPDEIFSATPPSVLNSWRSVWKDRNEDTAYLTAWKRIQDKLHAHMDGALPALFFKNNPAQRVSHVDQWQEIVTAAHADPDLLRHLGLKETVDRIKQSWTVGAKFYGIPESFIRVCVASCTICSSASSSLTSPGGSNLSRSKRRRFEYTDSFEVPAKDVQRCLQQLAAKYKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPSSATASAKKARLLKREPYQSKRCGCGFRIRAIVPIMNYNDKDKTFVYQEEGTAIFKLYAIHTGHEPGPLDGNARIIHRVVGNKGAFDFDPEIYGVREDMEPESFVGLMGNDDKGDLHRMVLQQVQELKVETVLLEGRIAKTSPEMLGSLSHELSNILHRFKRLQGGVQQSDETFVVGDDEVTQWRDESNHHLDRHGSVFNKDTEMIEEEDTDFGSDLVAIVPWDRMAADCQDRKMLMRDSLKSDKWMLKEDCSDFDEKIILNCGEDEDSKLIKPLSDPSPVTMQVVDGFYADSAKWYDSPGGLDPSRDS >Ma04_p28070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29216885:29223338:1 gene:Ma04_g28070 transcript:Ma04_t28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKREIKFALESFWDGKSSADDLQKVATDLRCSIWKQMTDVGIKYIPSNTFSYYDQVLDATAMLGAVPERYNYTGGEIGFDIYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVSEYKEAKALGIETIPVLIGPVTYLLLSKPAKGVEKSFAPLSLLESILPIYKEVIAELKAAGASWIQFDEPTLVLDLESHQLEAFTKAYTELESSLSGLNVLIETYFADVPAEAYKIITALKGVSGFGFDLIRGAKTLDLVKSAGFPAGKYLFAGVVDGRNIWANDLASSFSTLQALEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDNEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAAQSSRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMDLRRVRREYKANKISEEEYVKAIKEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSKMAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEVAGIQVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVNPALTNMVSAAKVLRTQLASTK >Ma05_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5704012:5706692:1 gene:Ma05_g07800 transcript:Ma05_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLMAKQAAAVAKPNAFPARSLGFGNSVRGGSGTSRIGFEAPSSVAWRKRSIQVAREGAIRSEVVVEEKASPPRKDKAGPGRLYVGLPLDVVSDGNVVNHGKAIAAGLRALALLGVDGVELPISWGVAMDSGDWSSYLAVAAMARDAGLRLRVSLHLHCHRRPRLPLPKSVDSAAATDPDILFTDRAGRRRADCLSFAVDDLPVLDGRTPMEAYEEFFRSFRLAFADFFGSVITDITIGLGPNGELRYPSFPPTGSNRFTGVGEFQCYDKYMLADLKRHAEEAGSPLWGLSGPHDAPGYNQSPDFGNFFKDHGGSWETPYGQFFLSWYTGKLLSHGDGLLSVASEVFGDLPVALSAKVPLLHCWHDTRSRPSQLTAGFYNTDGRDGYDDVAKIFAKHSCTMIIPGMDLTDGEQPQGVRSCPQSLLSQVMGTCKRHGVKVAGENSSLVRVGTAGFTKIKENVLAEKSTLDSFTYHRMGAEFFSPDHWPLFTEFIRSMAQPEMEKDDIPSNLERLSLSINSVPGNDRELQSA >Ma02_p18630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25079142:25086448:-1 gene:Ma02_g18630 transcript:Ma02_t18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKLREIVEQSWTAD >Ma02_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25079142:25086367:-1 gene:Ma02_g18630 transcript:Ma02_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKLREIVEQSWTAD >Ma09_p00550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:397808:404867:1 gene:Ma09_g00550 transcript:Ma09_t00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSPPLVGASPLLSLLPPPRKRSAMPRAAVSASASARAKACSYQLREGQFRRFHRLPSGLAIEVIFQEAQAAAAAEEGLRNPPLVFIHGSFHAAWCWVEHWLPFFSDSGYDCYALSLLGQGESDVPSGAAAGTLKTHTSDVADFIRKEVRSPPVLIGHSFGGLIVQSYISNMTYSYSSEQPSLSGAVLVCSVPPTGNSGLVWRYLLTKPIAAIKVTLSLAAKAFANSLPLCKETFFSSTMEDHLVLKYQELMKASSKLPLFDLRKLNASLPVSPPAKGTVKLLIMGASDDFIVDTEGLQETARFYGVQAVCVEGVAHDMMLDSRWEKGAQTFLSWLQELQRDQIS >Ma09_p00550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:397808:404867:1 gene:Ma09_g00550 transcript:Ma09_t00550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSPPLVGASPLLSLLPPPRKRSAMPRAAVSASASARAKACSYQLREGQFRRFHRLPSGLAIEVIFQEAQAAAAAEEGLRNPPLVFIHGSFHAAWCWVEHWLPFFSDSGYDCYALSLLGQGESDVPSGAAAGTLKTHTSDVADFIRKEVRSPPVLIGHSFGGLIVQSYISNMTYSYSSEQPSLSENLVAHPLLAGAVLVCSVPPTGNSGLVWRYLLTKPIAAIKVTLSLAAKAFANSLPLCKETFFSSTMEDHLVLKYQELMKASSKLPLFDLRKLNASLPVSPPAKGTVKLLIMGASDDFIVDTEGLQETARFYGVQAVCVEGVAHDMMLDSRWEKGAQTFLSWLQELQRDQIS >Ma09_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:397808:404867:1 gene:Ma09_g00550 transcript:Ma09_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSPPLVGASPLLSLLPPPRKRSAMPRAAVSASASARAKACSYQLREGQFRRFHRLPSGLAIEVIFQEAQAAAAAEEGLRNPPLVFIHGSFHAAWCWVEHWLPFFSDSGYDCYALSLLGQGESDVPSGAAAGTLKTHTSDVADFIRKEVRSPPVLIGHSFGGLIVQSYISNMTYSYSSEQPSLSENLVAHPLLAGAVLVCSVPPTGNSGLVWRYLLTKPIAAIKVTLSLAAKAFANSLPLCKETFFSSTMEDHLVLKYQELMKASSKLPLFDLRKLNASLPVSPPAKGTVKLLIMGASDDFIVDTEGLQETARFYGVQAVCVEGVAHDMMLDSRWEKGAQTFLSWLQELQRDQIS >Ma06_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12161523:12167077:-1 gene:Ma06_g17940 transcript:Ma06_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGTEEPSSSGGGSASRARGLAVKALVLLGGALLLKWLRKSTTRWDHARAVADSLIGEKFSREQARRDPAGYFNMRTLTCPATEMVDGSRVLYFEQAFWRTHQKPFRQRFYMVKPCPKEMKCDVQLSSYAIRDVEEYKNFCDRPKDQRPQPEEVIGDIIEHLTTVHLSRCERGKRCLYEGSTPPSSYPNSWNGASYCTSELIVHKNGEVHTWDRGYDDEGNQVWGPKAGPYEFKPATPPSSYDYMFSPLNLPSVLALEKTCFHL >Ma11_p15470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21180934:21186528:1 gene:Ma11_g15470 transcript:Ma11_t15470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQEFTVDLNKPLVFQVGHLGEAYQEWVHQPIVSKEGPRFFANDFLEFLTRTVWWAVPTIWLPVVCWCLSMSIQRGNTLPRLALMAAAGIFLWTLIEYTLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCVPFWNLVKLIATPSSAPALFGGGLLGYVIYDCTHYYLHHGQPSKEPAKNLKRYHLNHHFRIQNKGFGITSSLWDIVFGTLPPSKSSSQSN >Ma11_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21180955:21186528:1 gene:Ma11_g15470 transcript:Ma11_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQEFTVDLNKPLVFQVGHLGEAYQEWVHQPIVSKEGPRFFANDFLEFLTRTVWWAVPTIWLPVVCWCLSMSIQRGNTLPRLALMAAAGIFLWTLIEYTLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCVPFWNLVKLIATPSSAPALFGGGLLGYVIYDCTHYYLHHGQPSKEPAKNLKRYHLNHHFRIQNKGFGITSSLWDIVFGTLPPSKSSSQSN >Ma11_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1747165:1753421:1 gene:Ma11_g02420 transcript:Ma11_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSYGLMSSSLPVLPTPSEEKFPKLTDLQQVSVEREIRNIALASHHTPFISDGGIVGSLYSSPSGFSSELNGSSFSLHEGHPLFATQSPRVGVSLHPTNPSCPGTIQPITTNFPRQSTEVAWCPDAVDNILDFTDNNIGVGNQMPSNSAMVSDDLSKQNEWWTDIIDEDWKEILNETTAIESQPKVVYSTTQSTPNISVHEPPIHHSVPSNSGETCAVISSSSAATNAAKPRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVESLTIYHVKSHLQKYRTARHRPDSSEEIFNKKITLKEEIPSLDLKTSFDLTEALQLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMLEKQCKQSIPVATTVEGPSTTASDLMHSTDKVDVPENSDDSANTNEGSKQVGNDEKMPDAELSDKK >Ma03_p29140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32160045:32160301:1 gene:Ma03_g29140 transcript:Ma03_t29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQNQMKQLWLSSCFKTRFSSLRTTLLKLELPKPIVSLSMVTIHRERLSTGIGMK >Ma02_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26553284:26553646:1 gene:Ma02_g20670 transcript:Ma02_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDREDKGVEAACRSFEKYLVEMMVEDGTVRELTDVEELLRSWNSLTSPVFVDLVCRFYGELCSDLFSGSREHEMHDEAM >Ma06_p26220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27878852:27880098:1 gene:Ma06_g26220 transcript:Ma06_t26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLWVVVTVLHLLALVTRPALSDPSDEACLSNLRRSMTDPGNRLCNWTAATFVASCNGFTSYLHGVTCNNGRVYKLALPGLTLGGSLSPYLANCTNLQSLDLSSNALTGPIPPQLSTLLNLAVLNLSANRLSGTIPPQLALCAYLNFIDLHANLLSGTIPAQLGLLARLSAFDVSYNRLEGPIPALFANRSDDLALPRFNASSFVGNRGLYGYPLPPERGGRGLSVPAIVGIGLGSGLLSLVLSFTAVCVWLRATERTTKAPGEEGKVSHLDY >Ma06_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3713479:3716967:1 gene:Ma06_g04970 transcript:Ma06_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELEVRFRGSILPRICIPDEASDPPPLFAAGGCLFLEPYASESLVRSRCSRGKAVPFGGIRWEGAAAVNGFVSVSLSVKGSEGLVRESVELLGKVEERRSEAPALEGEDERRLETVASEGIVEDGVLVVLGEEKKNRDKNGRLQEERTGVMNTTKHLWAGAVAAMVSRTFVAPLERLKLEYMVRGEQSNLFALINKIAATQGLKGFWKGNMVNILRTAPFKAINFYAYDTYRKQLLELSGNEETTNFERFVAGAAAGITATILCIPMDTIRTKIVAPGGEAFGGVIGVFRHMVQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDIMKAAYLHSPEGRKRLALMTQQGEEANALDQLELGSTRTLLYGAIAGACAEAATYPFEVVRRQLQMQVRANKLNALATFMKIVEQGGIPALYAGVIPSLLQVLPSASISYFVYEIMKILLKVE >Ma04_p12010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8561774:8574807:1 gene:Ma04_g12010 transcript:Ma04_t12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPNSSSSPSIRVLVRTPPPTASSTSPASSSTSVGPVPTAAPAAASISVSNPSSSPLPPPSPSPSNPEGVVVVGFLGSRPSTDATHLINRILDANVFGCGNLDKDLFASRSESSGQVEEWFRRRRISFHFEKEKGVVFLQFSSSLSPFSLLCSSRTDDEGYRSVSALETCDADDLRGMLFMFSVCHVIIFLQDGARFDTQILKRFRMLQNAKHALAPFVRSKIAPTLSKTTSAILLPNAARVTSISPPSRRSGASNRHGSSISLMSGSGSNSSVLPGQCTPVILFVFVDDLFDGSNPSPIAEDSGDAMSLTQLTSVGGPSKPGLSVKGSGPVVVLTHPASKNEGSFKKKLQSSLESQVRFLIKKCRTLVGTEHSNLGPRGAGSLSNLPLFLLDASRIVSLVDRSMIQRGESLDFMTGLIEDALNSKRAIDVFSLENHCQNLNNEDIQSIKDFLYRQVDALRGRGGLPGSASSGSVVGVGMVAAAAAAAAASAAAGKPVSAPELPSLERWLSLSSLILDSLLSVEDSFLDEDGKVKRSFLEKHANEMQDQQISLEDAKSIEAAISCLESSKDLNLKFSISWCQRALPAAKKVYLNELPPFYPTSLHKAHLERALHFFNSMVKGPAMQKFSRKLEEECTTIWESGRQLCDAVSLTGKPCMHQIHDDKKQHSSGYVFLHACACGRSRKLRDDPFDFESANITFSCFANCEDLLPTLILPRGSHVRPLSENSWRLMRIAGGRYYKPSKGLLQTGFSSTEKYLLKWTISLEKQKGANSLLFNTVGKSSFANSTPECKLSPVLDDDVKKTGAGQLQRETKSGASENFRKKSEAVPLEDSSISFGKGLPSFPMKKPFSEVVAGNNSVDPFPSLQQKKLPKENTEKIVRKLGVPHQNGHRVSVADNHEGPQKAEHTFSHESITRSGTKGQTEGNPVLQIGSNIVPVNIGGEKIPKDNHSKQVIVYVGFEHECSFGHRFLISPEHLKELESSYSLADKLHSSADDSGQNSDTKTGLYEKVPENLSGTTSTVNNMKKTQKSMETSAKCNEQQGRITLLSRYGAEWFEPVNGLPLPAGYEQKLDRNILHVRLDDGGSAFSLLNRKLPLHMNCPYCRNLTRKDQKIKFAGTTSQLQRIFLVTPPLPTVLATCPVIQFEDSCLPPSIQNREQQSQFSLDCQVILPPESFLTFKLPFVYGVQMDDGSLHPLNHLEHQPELTAWLVEGTALQVVSTGHEYYEDASMGKNQG >Ma04_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8561774:8574807:1 gene:Ma04_g12010 transcript:Ma04_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPNSSSSPSIRVLVRTPPPTASSTSPASSSTSVGPVPTAAPAAASISVSNPSSSPLPPPSPSPSNPEGVVVVGFLGSRPSTDATHLINRILDANVFGCGNLDKDLFASRSESSGQVEEWFRRRRISFHFEKEKGVVFLQFSSSLSPFSLLCSSRTDDEGYRSVSALETCDADDLRGMLFMFSVCHVIIFLQDGARFDTQILKRFRMLQNAKHALAPFVRSKIAPTLSKTTSAILLPNAARVTSISPPSRRSGASNRHGSSISLMSGSGSNSSVLPGQCTPVILFVFVDDLFDGSNPSPIAEDSGDAMSLTQLTSVGGPSKPGLSVKGSGPVVVLTHPASKNEGSFKKKLQSSLESQVRFLIKKCRTLVGTEHSNLGPRGAGSLSNLPLFLLDASRIVSLVDRSMIQRGESLDFMTGLIEDALNSKRAIDVFSLENHCQNLNNEDIQSIKDFLYRQVDALRGRGGLPGSASSGSVVGVGMVAAAAAAAAASAAAGKPVSAPELPSLERWLSLSSLILDSLLSVEDSFLDEDGKVKRSFLEKHANEMQDQQISLEDAKSIEAAISCLESSKDLNLKFSISWCQRALPAAKKVYLNELPPFYPTSLHKAHLERALHFFNSMVKGPAMQKFSRKLEEECTTIWESGRQLCDAVSLTGKPCMHQIHDDKKQHSSGYVFLHACACGRSRKLRDDPFDFESANITFSCFANCEDLLPTLILPRGSHVRPLSENSWRLMRIAGGRYYKPSKGLLQTGFSSTEKYLLKWTISLEKQKGANSLLFNTVGKSSFANSTPECKLSPVLDDDVKKTGAGQLQRETKSGASENFRKKSEAVPLEDSSISFGKGLPSFPMKKPFSEVVAGNNSVDPFPSLQQKKLPKENTEKIVRKLGVPHQNGHRVSVADNHEGPQKAEHTFSHESITRSGTKGQTEGNPVLQIGSNIVPVNIGGEKIPKDNHSKQVIVYVGFEHECSFGHRFLISPEHLKELESSYSLADKLHSSADDSGQNSDTKTGLYEKVPENLSGTTSTVNNMKKTQKSMETSAKCNEQQGRITLLSRYGAEWFEPVNGLPLPAGYEQKLDRNILHVRLDDGGSAFSLLNRKLPLHMNCPYCRNLTRKDQKIKFAGTTSQLQRIFLVTPPLPTVLATCPVIQFEDSCLPPSIQNREQQSQFSLDCQVILPPESFLTFKLPFVYGVQMDDGSLHPLNHLEHQPELTAWLVEGTALQVVSTGHEYYEDASMGKNQG >Ma07_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27543174:27577141:1 gene:Ma07_g19600 transcript:Ma07_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHPSVRSLYREPADLVLPISKPDGQYGSGFWYRIDNETGVESTTVAIPRNTYRAVLEIFVSYHGEDESWYTNPLRNNYLHQPTAAKVSAPRANGAFRQVYATIDGKYVGGHVPFPVIYSSAINPVFWSPVAAIGAFDMPSYDLDLTPFLALMLDGRPHEIGLGVCSALPHWLVNANLHLWVDYWSDAVQAGPVEYFVPAIQMNRNAEWRNPDGQSEIGAEGLERFAGWVSSSRGNLTTEVRHKIKLKSQVQVQNRGAVTQIDFILKERTMVTVMRGNQWLARAQTVMDAPLQVQTAIVNAAGVPVLQKTRLFHQLMEAVSLSEGQAGATTTRELTDRQDAEGSALVGGGWGSGRSRSSYQYRDGSKCYARNVATAGGAVIQDRKASCFAVADDA >Ma08_p32220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42925331:42927348:-1 gene:Ma08_g32220 transcript:Ma08_t32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVLWHRFDENMNLFVCKASDLGCSSQEALKKSPTDHGKSEKSVLSFRKGAELPVTSEATNPIIGSAAYAPENDALVWKIKSFPGGKVRDVTSLSGLIGEMTAEAAAPEKKASPIRVKFEILYFTTSEMLVFCIIKSGYQAFPWVRHITVAGDYERRLI >Ma01_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8199932:8203039:1 gene:Ma01_g11330 transcript:Ma01_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTEMDLQVVLDNGIVQLTLSKPGGSITGVKYHGLDNVMEVKNWEDGRGYWDLVWNPSDRDPGIFQIILGTEFKVVQQDANQVEVSFRTQWDPSHGGKLVPLNIDKRFVMLRGSSGFYTYAIYEHLQGWPDFNLGETRVAFKLRKDMFHYMAIADNRQRIMPMPEDREAGRSRRLGYAEAVRLTNPINADLRGEVDDKYQYSCDNKDSMVHGWISSDPSVGFWVITPSNEFKSGGPVKQDLTSHAGPTSLAIFVSSHYTGDDIVPRVRNGEYWKKVFGPVFIYLNSASTKSDPKLLWEDAKKQARVEEGSWPYKFPASKDFQKSEQRGSVSGRLLVVDEPANNDSVNGNAAFVGLASPGEAGSWQRDSKGYQFWERADVEGKHVELTCLTSSAATLSRKHGQASYQATTWQIKFPLNNVHRNGTYKLRLALASAALSEIQVRFNNPIVQQPAHFTTGLIGRDNSIARHGIHGLYWLFSIDVDSSWLLEGDNIIFLTQTRSVSPFQGVMYDYIRLEAPA >Ma03_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9453794:9455976:-1 gene:Ma03_g12220 transcript:Ma03_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTWSGSAIGFIYLNCDAVFAPLLLFTFFTPHESYGCFGSTVEGTSGGSCRRPEKRRRTDSPFNESRTDQGRGQDLISNLPNDCLLLIFSFLPSPRDRCRCSAVSRSWFALQTFLRRSEFRANVVLPPRSRQESSRCLQGSSANDLRLGAMAIGMDECGILTELSVIDTLPCSLLPLHHHHHHCHVSDVGISAMAQACSNLRSLALHNCTKVTDRGLATVAQNCTALKNLELTHAASVGDHGLVILATRCLKLASLSLTACPRVTDRSLEAYSKHSTHLKSITVAQCPFITDYGILSIVVLLTKLETVKISSMKLGDGVLRAIARSGEQIKTLALEHVWGVSVTGYRCIGETTRLKELSLDACTGLTDRCFRRLSPTSFAGLKKVAMTSCSSLTDSSLLALTGLAVELESLHLDTFEAFTYRGLMIALGNCSRTLKVLTLVKCDFRGRGALEQQEVYPPRLLPLPAECPMLQTVKLEECEGLGDDFISWVGLACKSITDVSFVRMDSITDRRIESFMNQLKGWNRISRVDLSGSAGIGNRSVWAVTRECKARLRSLVLRGCERVSDRGAAVITRRCTKLVELDLGGCSISDEAVEKVVGEDPPDLEVLSLAGCTRITDRSLDALDEYGGLGLNRLDLTGCSGLSQFRVNFIKIYIDEVDY >Ma09_p29400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39865042:39865916:-1 gene:Ma09_g29400 transcript:Ma09_t29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMESSRSAKRLWRIVRVVLYMIRKSFFMHKLMMDLHLLLKRGKIASKALVNLVTFHHQHHHSGATVTYSGFSCRSMDPDRSFYSPKEVQFSCSGTPSFPSLHAIKRRSRHRRYDYDYDAAAMAKAFEILEYSEPWDAEPVMASPSPAPMVWDLGSSPAGVRQLRITDSPFPLKEEEEEEAGGRIDQEAEQFIKRFYEQLRLQQMFPETPEYGHRRHESLMGRA >Ma01_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15827183:15827810:1 gene:Ma01_g19910 transcript:Ma01_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma04_p36610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34898923:34900986:-1 gene:Ma04_g36610 transcript:Ma04_t36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAQRRCRFLLPSRSQGKGKEMDFPQAAAGVLDSAPALFANGAAPYPRKRGRDAIGVPVAQQQSHPANLFSLHGALPPPTLVSLAQLQSRPPPIVSTGLHLSFEEQHQQQNQKQSDPLTSSSSCSILPSSLLPEEIAPYINQEKDEIGKVLYAQGEQLRQAFAEKWRRHYRSLLGVAKEWAAARLREKEADVELGMRRSAELEDRLTRLRTESMAWQAKAIANQATAAALHARLQQAAAAPTPAKGGESAEDAESAFVDADRVEPERAACRVCRGRPASVVLLPCRHLCLCDACDDDGGGSGGGGAAESCPVCRCVITASVRVFLA >Ma08_p29900.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41345087:41350407:1 gene:Ma08_g29900 transcript:Ma08_t29900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRRPCLVAVVVLLVAWRGVEGSPEEDLVVRLPGQPKVGFRQYAGHVDVDVKAGRSLFYYLAEADGDAHKKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRINKMSWNKVSNLLFVESPAGVGWSYSNTTSDYNRDDESTANDMYIFLLRWYDKFPEFRSRDLFLTGESYAGHYIPQLANVLLNHNQHSVGFKFKIKGVAIGNPLLKLDRDIPATYEFFWSHGMISDEIGLTIINECDFEDYTFSSAHNVSKSCNDAILEANAVVGDYINSYDVILDVCYPSLVEQELRLRKYVTKLSVGVDVCMSYERRFYFNLPEVQHSLHANRTKLPYPWSMCSNVLNYSYTDGNINILPLLKRIVKHQIPVWVFSGDQDSVVPLLGSRTLVRELAHDLKFGITVPYSNWFYKGQVGGWVTEYGNLLTFATVRGASHMVPFAQPGRALRLFASFVHGQRLPNSTYPPID >Ma08_p29900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41345087:41350407:1 gene:Ma08_g29900 transcript:Ma08_t29900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRRPCLVAVVVLLVAWRGVEGSPEEDLVVRLPGQPKVGFRQYAGHVDVDVKAGRSLFYYLAEADGDAHKKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRINKMSWNKVSNLLFVESPAGVGWSYSNTTSDYNRDDESTANDMYIFLLRWYDKFPEFRSRDLFLTGESYAGHYIPQLANVLLNHNQHSVGFKFKIKGVAIGNPLLKLDRDIPATYEFFWSHGMISDEIGLTIINECDFEDYTFSSAHNVSKSCNDAILEANAVVGDYINSYDVILDVCYPSLVEQELRLRKYVTKLSVGVDVCMSYERRFYFNLPEVQHSLHANRTKLPYPWSMCSNVLNYSYTDGNINILPLLKRIVKHQIPVWVFSGDQDSVVPLLGSRTLVRELAHDLKFGITVPYSNWFYKGQVGGWVTEYGNLLTFATVRGASHMVPFAQPGRALRLFASFVHGQRLPNSTYPPID >Ma08_p29900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41345087:41350407:1 gene:Ma08_g29900 transcript:Ma08_t29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRRPCLVAVVVLLVAWRGVEGSPEEDLVVRLPGQPKVGFRQYAGHVDVDVKAGRSLFYYLAEADGDAHKKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRINKMSWNKVSNLLFVESPAGVGWSYSNTTSDYNRDDESTANDMYIFLLRWYDKFPEFRSRDLFLTGESYAGHYIPQLANVLLNHNQHSVGFKFKIKGVAIGNPLLKLDRDIPATYEFFWSHGMISDEIGLTIINECDFEDYTFSSAHNVSKSCNDAILEANAVVGDYINSYDVILDVCYPSLVEQELRLRKYVTKLSVGVDVCMSYERRFYFNLPEVQHSLHANRTKLPYPWSMCSNVLNYSYTDGNINILPLLKRIVKHQIPVWVFSGDQDSVVPLLGSRTLVRELAHDLKFGITVPYSNWFYKGQVGGWVTEYGNLLTFATVRGASHMVPFAQPGRALRLFASFVHGQRLPNSTYPPID >Ma08_p29900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41345087:41350407:1 gene:Ma08_g29900 transcript:Ma08_t29900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLRRPCLVAVVVLLVAWRGVEGSPEEDLVVRLPGQPKVGFRQYAGHVDVDVKAGRSLFYYLAEADGDAHKKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRINKMSWNKVSNLLFVESPAGVGWSYSNTTSDYNRDDESTANDMYIFLLRWYDKFPEFRSRDLFLTGESYAGHYIPQLANVLLNHNQHSVGFKFKIKGVAIGNPLLKLDRDIPATYEFFWSHGMISDEIGLTIINECDFEDYTFSSAHNVSKSCNDAILEANAVVGDYINSYDVILDVCYPSLVEQELRLRKYVTKLSVGVDVCMSYERRFYFNLPEVQHSLHANRTKLPYPWSMCSNVLNYSYTDGNINILPLLKRIVKHQIPVWVFSGDQDSVVPLLGSRTLVRELAHDLKFGITVPYSNWFYKGQVGGWVTEYGNLLTFATVRGASHMVPFAQPGRALRLFASFVHGQRLPNSTYPPID >Ma11_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23357223:23357324:1 gene:Ma11_g18250 transcript:Ma11_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFWRINTSEKCSLPEIKCDITQLFIYTEYYF >Ma10_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21881195:21881833:1 gene:Ma10_g07520 transcript:Ma10_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASYFSFAMLIQMVQDKLPDNSTFLMPSDRMLSKILIPENEVVAFLGRHSIPSLLLFDDLRRLPSGTLVPTYQPDSMITVNNSGRRNLYLNGALLVRPNVCTAGPSFRCHGIYGEMTAVAANRAAPPNCAGAAPLPPAAAVPLLAPPPQPTSVAPPSVNAAVSPSPAGFDNSARRSGSPAISFSMACSAAMVQFLILSMVNNPS >Ma07_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32258123:32263725:-1 gene:Ma07_g25170 transcript:Ma07_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPSTSSSASPAATSPPVPMHSRRALHVPFFPLPSTPPAESPSGFPKYPSSATPFFPFYPSTPPPSPPPPPTPASGHPTFPANISSLTFPGSRSAPPPRHSSAVVAAVLLPLLALGLLAAAAVLFLRRRRRRLLRGHYSDKDDARSDSDRLFPADSAASDGGDPKLSPSAAASSEFLYLGTLVDGRRGVYGEDGGHLAADPLSGSLDPKLGSPELLPLPPLPRQFRHGHEKGEMVGSSEEEFYSPRLSSTEKGSSGRLAGERLSSSRRTYPAAVEKCGSRNSTLSTPSYPSSIVASSPPSSPVASSCRAGSSPGHSSGGSLKSRSERSRAGSLVFASPPPPPPPPPPPPLRPLTPSPPKRKPPSPSPPSSPAQKESERKVETSDLAGQSLRPSMTAGHFSGNPFVVSKQTGIPQRQPPPPPPPPPPPPPVGYWESQVRKPQGKRLPVGVKTSSAVDYTTDPRENSDEMEKTEDTPRPKLKPLHWDKVQASSNRAMVWDQLKSSSFQVNEEMIETLFISKATNAKPKETTKWQVLPLPTQENKVLDPKKSQNIAILLRALNVTKEEVCEALLEGNADSLGTELLETLLKMAPSKEEEHKLKEYKDDSPFKLGPAEKFLKALLDVPFAFKRVDAMLYIANFDSEVNFLKKSFETLEAACEELRSSRLFIKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGSRLSATNNSAAKTQTNTLQDGLECRKLGLRVVASLGGELSNVKKAAAMDSDILSSYVSKLAGGIEKINEVLRLNKASDSKDDSQRFHDAMDRFLTRAEDDIIKVQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLAVLDRVCKEVGRINERTIISLAQQFPVPVNPTLPPVFPRFHALRPEGSDEDESSLSS >Ma01_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4190863:4192496:-1 gene:Ma01_g05920 transcript:Ma01_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSWVQNKFHGRQEKKKFDAGVSSAHYASMSGNQKEEPNDWPQALLTIGTLGNNDLKEESHRVEYSQDLDSSQDLSDFTIEEVNKLQKELKKLLTRKSKLKSNGSEIGEEDRANLPLNRFLNCPSSLEVDRTANTKHECSENENNGDLSPNTKIMLSKLRDALLGNRNTIKKKSISFLLKKVFVCGGGFAPAPSSRDPAPESRMEKILRAILAKKMSQPNSAPASSEKKYLENKPAERTREGEEEDKKKAQCKWVKTDSECKFSYCWNLSNSNMWCKVLYMKENLNVT >Ma11_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1828486:1828790:-1 gene:Ma11_g02510 transcript:Ma11_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRDCRHLYFQRGGRNNAFDVFYFLRRLQQRERKPDGEGIRKRKRRLYGEAPKLHLFSNLRTLPREMFLLLV >Ma06_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8734911:8738913:1 gene:Ma06_g12620 transcript:Ma06_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIKLQGRSGKGALIANPNCSTIVCLMAATPLHRHAKVVRMVVSTYQAASGAGTAVMEEPMQQTHEVFFFNASKSHFVQYALNLFSHNAAVFSNGYNEEEMKLVKETRKFWNDMDVKVTATCIRVPVMHAHAENVNLQIEKPLGEDTARRILEGAAGVVVVDDRESNHFPTPLDVSNKDDVAVGRIHQDLSQDGNLGFTKIEYHVLIKLDIFVCGDQIRKGAARKAIQIAENLS >Ma03_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7997653:7998326:-1 gene:Ma03_g10600 transcript:Ma03_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALTFAGMSLHGAGRHRTVVAEKWAPAAAGTRGRSPYEVLRVRETATAGEIKAAYRSMAKRFHPDVAADGRGDDFVEIHRAYEALSDPVARARYDLLMGRWWRGAERLRSRRWETDQCW >Ma04_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5842002:5849746:1 gene:Ma04_g08110 transcript:Ma04_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLNLFGLHLHKALCVALMIHLLCDACLSINLEGLALLEFRSRVESDPYGALENWNPSDSNPCSWTGVYCVGGKVVMLKLKELSLQGTLAPELGKLSHLRALILYRNKFSGVIPKEIGGLTKLVLLDLRSNMLNGTIPKEIGEMLSLKHLLLCYNKFEGSTPWIENPKLHFDLILDQNISCDAPDDLGHANRKAGDCFWETGWRKLKRINSFLFLFKGRNIKIFDTSSLRLLLLSFRSKGLSRGYEKGKSNLATGFGEQYIMSGIHMHSVRRSLVEETRNLHAAPGSIGPVNQVVIVPPTASGSFPAIRAKSTLEPSPAPITPSPLPISPSVTDPEPTQNSVANDLSSSKRSATWTYILVLSVAALLLALAALVYLTCRSKGVAATGPWTTGLSGQLQKAFVSGVPKLNASELEAACEDFSNIICSHPDFTVYKGTLSSGVEVAVVSTSITSASDWSKHSDFLYRKKVDTLSRINHKNFVNLLGYCEEDVPFVRMMVLEYPSNGTIYEHLHEEFEHLDWSARMRLIMGTAYCLQHMHELNPPISHPKLRSSSILISEDFAAKVTDLSVWNEIVAKQKTHGAGDLDQSESLSVDPARNVHDFGILMLEIVSGKVPNPEEPESLLNLVAEYLNGNGGASSLVDPALKAHKDEELRIISEVIQDCVNPDPPKRPTMKDVTSKLKGVIPISPEAAMPRLSPLWWAELEILSIKAS >Ma08_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:271398:272140:1 gene:Ma08_g00300 transcript:Ma08_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSGLAYASWGNNVFDAFSIEPWDPFDGLPFRSSSSGPRSFSFVGARVDWKETPEVHLFRANLWGLKQEEVRVEVEDGRILRISAERSRRADNAWHRAGRSGGRFLRRFRLPENARMEQVRTAMENGVLTVTVHKGVLKGRLS >Ma04_p34810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33825104:33825844:1 gene:Ma04_g34810 transcript:Ma04_t34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREKKKTSKGKQRIEMKKIENEEARYISFSKRRNGIFGKASELSTLCGTDLAVLISSPTGKLHSFGSPSVALVVDRFLSTGVDHSSGSVHEQSRRGQTIQDLNHRLMELARRLEDAKAKKAALQERLEAAARGLDCERIDDLEGLGLDELDRLMESLGRLKARANARTEEILTGISPEPTTVDHVGPWRHGGICTVHSDSDGLGAQCPSNPVLAMTNQFDSAAPRSNPLMETIDFLDINGLFEEF >Ma09_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6832674:6843120:1 gene:Ma09_g10020 transcript:Ma09_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAILFLLPWHSDSDLTTKKIESSSFTDDEMIDVYPLMMRISVMRETNIFLIKISKRDNAVENYKKASKIFGVDSEPVRIWDFSGQTNLILMNEWNRFPQNCHQRADQEVLLEVQVYAISENMTCKSEVKKDDSASDQSKMNGFSLGGSPVCNGSLGYVDSTLQNGNVKGGGPFGLRGLENLGNTCFMNSAIQCLAHTPKLVDYFHGDYSKEINLTNPLGLKGELALAFGDLLRSLWAPERTPVVPRVFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKHKPYVEAKDASGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPVCSKVSITFDPFMYLSLPLPSTTMRTMTITIFSTDGSIEPSAYTINVPKFGKLRDLIRALSTASSLRNDESLLIAEVYANCIIRFLDEPSDSISLIRDEDRLAAYRLPKDAERAPQVVFVHQRMEEYYILGKRTSWKGFGVPLIAILPDVVDGSTIQKLFLKLVNPFKRSKDTGPVDDQDSCNSNPNDAVPQTVADSESTDDAVEETTDSEDEFQFYLTDEKSKIMQCKLEMDEPVSLPELQKHLYVLVCWQSKALEQYDSNLLNTLPEIYKFRLFPRRPQDSCTLYACLEAFLKEEPLGPEDMWFCPSCKKPQQASKKLDLWRLPEVLVIHLKRFSYSRYMKNKLETLVDFPIHDLDLSTYIAGMPEQASNNYRLYAVSNHYGNMGGGHYTAYVYDERENCWYDFDDRSVSPIAEESIKTSAAYVLFYRRT >Ma09_p10020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6832216:6843120:1 gene:Ma09_g10020 transcript:Ma09_t10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESPVEICSPADQPPPASDGDHQAYLVPYRWWRDAQDPETDNGPRGVPYSASQTSTSYGMKFINNIFSSDLVFNLRRDDDLGDDDEESSRSRCYALIGSDLWSQALKRHSDSDLTTKKIESSSFTDDEMIDVYPLMMRISVMRETNIFLIKISKRDNAVENYKKASKIFGVDSEPVRIWDFSGQTNLILMNEWNRFPQNCHQRADQEVLLEVQVYAISENMTCKSEVKKDDSASDQSKMNGFSLGGSPVCNGSLGYVDSTLQNGNVKGGGPFGLRGLENLGNTCFMNSAIQCLAHTPKLVDYFHGDYSKEINLTNPLGLKGELALAFGDLLRSLWAPERTPVVPRVFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKHKPYVEAKDASGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPVCSKVSITFDPFMYLSLPLPSTTMRTMTITIFSTDGSIEPSAYTINVPKFGKLRDLIRALSTASSLRNDESLLIAEVYANCIIRFLDEPSDSISLIRDEDRLAAYRLPKDAERAPQVVFVHQRMEEYYILGKRTSWKGFGVPLIAILPDVVDGSTIQKLFLKLVNPFKRSKDTGPVDDQDSCNSNPNDAVPQTVADSESTDDAVEETTDSEDEFQFYLTDEKSKIMQCKLEMDEPVSLPELQKHLYVLVCWQSKALEQYDSNLLNTLPEIYKFRLFPRRPQDSCTLYACLEAFLKEEPLGPEDMWFCPSCKKPQQASKKLDLWRLPEVLVIHLKRFSYSRYMKNKLETLVDFPIHDLDLSTYIAGMPEQASNNYRLYAVSNHYGNMGGGHYTAYVYDERENCWYDFDDRSVSPIAEESIKTSAAYVLFYRRT >Ma04_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25210688:25212300:-1 gene:Ma04_g23010 transcript:Ma04_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGEVRVLEQSRIFPSMGSAAPPPSLPLTFFDVLWSTSGPFRRLFFYDFPHPAAVFADSVLPKLKSSLSIALARFYPLAGNLRCSSHDDVSEVGWIEGESVSFVLAECDSGFHELSRDYARDVSKLQRLAPRPIWSGAAKPLLAVQVTVFLDQGFTIGIWAHHVACDGTSFTRFVKSWASACRAGEIVEPAAPLFDRTAIPNPLQLRSVNFIPEIENVKRVLVATVLPPPSYLLKEM >Ma05_p25570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37463891:37469991:-1 gene:Ma05_g25570 transcript:Ma05_t25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMRRWLEAIPRDKRPELLNRCQHHIRVYAKIDALMGDMHPALWADKLGNSWRTTFDINDSWESMVSRADQNEVYAEHARPGGWNDPDMLEVGNGGMSNDEYIVHFSLWAASKAPLIIGCDVRSMTKETLAILGNEEVIAVNQDPLGVQAKKVRMYGDSEVWAGPLSGYRTVVVLLNRSPEFRTITAQWDDIGLPLNTVVEVRDLWKHATLEKRFVNELRADVHHHACKMFLLTPLTLSEEDEPKV >Ma03_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27646342:27646512:-1 gene:Ma03_g22980 transcript:Ma03_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSKPMVIETFSEYPRPGRFAVRDMRQTVAVGVIKAVEKKDPTRAKITEAAAEKK >Ma10_p28390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35283478:35286239:1 gene:Ma10_g28390 transcript:Ma10_t28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEQRPNAPLLQSSSSRLPDFKQSVKLKYVKLGYHYLISHGMFLFFSPLIAVVVAQLSTLSVKDLHDLWDHLRFNLISVILCSALLVFLFTVYFLRRPRPVYLVNFACYKPEEARKCTRQVFMNRSTLTGSFTEENLAFQRKILERSGLGESTYLPEAVINIPPNPCMAEARKEARTVMFGAIDELLAKTNVKPKDIGILIVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLSINLAKDLLQVYPNSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDRRRSKYQLVHSVRTHKGADDKCFSCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLIFFATLVAKKLFKMKVRPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLQLSEWHMEPSRMTLYRFGNTSSSSLWYELAYSEGKGRIKKKDRIWQIAFGSGFKCNSAVWKALKTINPAKEKNPWMDEINDFPVVVPKVSAL >Ma08_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3382527:3384832:1 gene:Ma08_g04890 transcript:Ma08_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSLFMCGSRRREGAGKRDTESGWEKDMEGMYPLGVIAASILAFLLLLGIHGRWGKGRKAAGKRDSGRVAAGFGGADVIVVGAGVTGSALAYALGKDGRRVHVIERDLAEPDTIVGEVLQPGGCLNLFELGLEDCVDEIDAQRVLGYVLTKNGRSAKLSIPLEKYHVDVAARCFHHGRFIQRLREKAASLSSVQLKQGAVTSLIKEDGIVKGVVYKTKSGKESKAFAPLTIVCDGYFSNLRHTLCSSKVDVPSYFVGLLLEDCQLPFPNYGHIILADPSIVLFYLISSTETR >Ma10_p03380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13574795:13577272:-1 gene:Ma10_g03380 transcript:Ma10_t03380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGLTQQQQDRKLTPHPEQALKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKNKRSSSSSSTSPSSKKSQEQDLTSTHSNPLLPTLIPPPLSYDPTDLTFSFTGLPKHPLAKQFGLEDNNHDADPMPSAPTPTNGGFLDILRTGFVDITSPSGLSNNLYYSYGIHGGLEMATGVSREEGGLSGATASATTSQGSCKAMDGGDNKVRTGLPWQDGNMDASKDCWDGFGSSLQGLINSSGL >Ma10_p03380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13574795:13577271:-1 gene:Ma10_g03380 transcript:Ma10_t03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNARHQPQAMASHGLTQQQQDRKLTPHPEQALKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKNKRSSSSSSTSPSSKKSQEQDLTSTHSNPLLPTLIPPPLSYDPTDLTFSFTGLPKHPLAKQFGLEDNNHDADPMPSAPTPTNGGFLDILRTGFVDITSPSGLSNNLYYSYGIHGGLEMATGVSREEGGLSGATASATTSQGSCKAMDGGDNKVRTGLPWQDGNMDASKDCWDGFGSSLQGLINSSGL >Ma10_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13574795:13577273:-1 gene:Ma10_g03380 transcript:Ma10_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNARHQAMASHGLTQQQQDRKLTPHPEQALKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKNKRSSSSSSTSPSSKKSQEQDLTSTHSNPLLPTLIPPPLSYDPTDLTFSFTGLPKHPLAKQFGLEDNNHDADPMPSAPTPTNGGFLDILRTGFVDITSPSGLSNNLYYSYGIHGGLEMATGVSREEGGLSGATASATTSQGSCKAMDGGDNKVRTGLPWQDGNMDASKDCWDGFGSSLQGLINSSGL >Ma10_p03380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13574795:13577272:-1 gene:Ma10_g03380 transcript:Ma10_t03380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGLTQQQQDRKLTPHPEQALKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKNKRSSSSSSTSPSSKKSQEQDLTSTHSNPLLPTLIPPPLSYDPTDLTFSFTGLPKHPLAKQFGLEDNNHDADPMPSAPTPTNGGFLDILRTGFVDITSPSGLSNNLYYSYGIHGGLEMATGVSREEGGLSGATASATTSQGSCKAMDGGDNKVRTGLPWQDGNMDASKDCWDGFGSSLQGLINSSGL >Ma05_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33573239:33574507:1 gene:Ma05_g21810 transcript:Ma05_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKQGSSSFWSWEENKKFEVAIVAYTENHHIPIPWDKIAADLPGRTVAEIKAHYDELLEDICTIELYALSLPDCDVPEQSAAGCSVANRGSVNEPRRDQEEIKSAAEGNPGQKKNTSDDSCTEILHPSEDDEQGQEKEIKDPSP >Ma09_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4911026:4914672:1 gene:Ma09_g07480 transcript:Ma09_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWMQWLINPRRNWFAAQHYKALCNRLKKYGLRYEDLYDPLYDLDIKVALARLPREVVDARNQRLKRAIDLSTKHEYLPEDLQALQTPFRSYLKDMLALVKKENAEREALGALPLYQRSFP >Ma08_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2512172:2513310:-1 gene:Ma08_g03470 transcript:Ma08_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAKAANDVGGGEGKEEHFRGVRKRPWGRYAAEIRDPVKKSRVWLGTFDTAEEAARAYDAAAREFRGPKAKTNFPFPDACISPSPSPVGVASGGGGSGSPSSQSSTIESSGPEEAARAVPSVAVPLPTSLDLDLLYRGGGGSRFPFQPYHPAIPAAQPLLFFNAIARSETSPVSEVAVTGYHRHLPLCPPMIVACFQPPPAVVAQSDSDSSSVVDPPPDHRSPPPAPASKAFFLDLDLNLPPPAEVA >Ma04_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25384921:25387239:-1 gene:Ma04_g23200 transcript:Ma04_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDD1 [Source:Projected from Arabidopsis thaliana (AT1G04110) UniProtKB/TrEMBL;Acc:A0A178WPN6] MAVIASFLFLFLWCSVPLDAHGFHTYIVQLHPHSIPASASATGLQNKLHWHLSFLDRATFLEGGGDASSRLLYSYHSALEGFAARLTEDEVAALRALPGVIAVRPDSRLELHTTYSYTFLGLNFAPGGAWSRSRFGQGTIIGVLDTGVWPESPSFRDDRMPPVPTRWRGVCQEGEHFNSSNCNRKLIGARFYAKGHRINYPEKSSSVSLLEYVSPRDAHGHGTHTSSTAAGAAVPGASVLGLGAGEARGMAPGAHIAAYKVCWFNGCYSSDILAGMDDAIRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATERGVVVVCAAGNNGPVPSSVANEAPWITTVGASTLDRRFPAFVRLGNGRILYGESMFPGNHFSKNGGKELELIYEAGGQKGAEFCLKGSLSKARISGKMVVCDRGVNGRAEKGEAVKEAGGAAMVLANSEINQQEDSVDVHVLPATLIGYAQSTILKSYMNSTFRPVAKLVYGGTRIRRSRAPAVALFSSRGPSLTNPSVLKPDLIAPGVNIIAAWPSNVGPSGLQEDRRKSNFTVLSGTSMACPHASGIAALVRSAHPSWSPAAIRSAMMTTADVVDHLGKPIMDGKEPAGVFSVGAGHVNPARAVDPGLVYDVQPEDYIAHICSLGYNQAEIFTITHRNISCGKLLKGQKQFNLNYPSISVSFTQGRTSTTIQRKLTNVGLPDSIYTVQVTAPPGVTVTVTPKILAFGGINEIKSYTVVFESKKGVQEEGSVAGGQLMWVHSGRKKYKVRSPISVTWGA >Ma09_p16840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12325822:12329666:1 gene:Ma09_g16840 transcript:Ma09_t16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTAAAIAASPATNNGASLSLDADTKSNAKRRTMVVVEKRVSADGLAEGAINGIVNGKDLSHTIRGESVIERRKDYSQLKKRLIASSTGSPRHKKAAPKPGKSKWQTVISVLTKNCLLLAVLVWLGQTIWRWSFSIGDNANSSLAAFDYESRISEVEISLKKTAKMLQVQLDVVDKKIGSEIGILTKELLEKVEKKGTLFEEELKKLATKTDSLDKSLGEFKDKDLLSREEFETLLTELKNSKGVDDSNMNLDLDHIRTFARDIVRKEIEKHAADGLGRVDYALASGGAKVVRHSEPYGFGKGNGWFTTAKGRNRVHASANKMLEPSFGEPGQCFPLQGSSGFVEIKLRTGIIPEAVTLEHVSKSVAYDRSSAPKDCQVSAWFESSEEDPSGGVKKVFALTEFSYDLEKSNAQTFDVEARDSGDVVNTVRLDFTSNHGSTALTCIYRFRVHGHEPSTAAAMGLQP >Ma09_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12325630:12329666:1 gene:Ma09_g16840 transcript:Ma09_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTAAAIAASPATNNGASLSLDADTKSNAKRRTMVVVEKRVSADGLAEGAINGIVNGKDLSHTIRGESVIERRKDYSQLKKRLIASSTGSPRHKKAAPKPGKSKWQTVISVLTKNCLLLAVLVWLGQTIWRWSFSIGDNANSSLAAFDYESRISEVEISLKKTAKMLQVQLDVVDKKIGSEIGILTKELLEKVEKKGTLFEEELKKLATKTDSLDKSLGEFKDKDLLSREEFETLLTELKNSKGVDDSNMNLDLDHIRTFARDIVRKEIEKHAADGLGRVDYALASGGAKVVRHSEPYGFGKGNGWFTTAKGRNRVHASANKMLEPSFGEPGQCFPLQGSSGFVEIKLRTGIIPEAVTLEHVSKSVAYDRSSAPKDCQVSAWFESSEEDPSGGVKKVFALTEFSYDLEKSNAQTFDVEARDSGDVVNTVRLDFTSNHGSTALTCIYRFRVHGHEPSTAAAMGLQP >Ma11_p05730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4414701:4423666:-1 gene:Ma11_g05730 transcript:Ma11_t05730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGLGVAVGCAVVTCAIAAALVGRRARSWWRWARVVGVVGQFEEDCVTPVWKLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDTLPDGNEDGVYYALDLRGTICRVLKVQLGGSGSKIINHKVEQQRIPEELVSGTSEELFDFIALTLKEFIEREENGQVPGSKKELGFTFSFPIRQLSVSSGVLIKWTKGFTIEDVVGKDVSQCLEEAMSKNGLHMQVAALVNDPVGTLAVGHYDDADTVAAVIIGYGTNACYVERSDAIIKYQGLLTDSGFMIVNMEWGNFWSSHLPRTCYDIALDDESPNRNDQGYEKMISGMYLGEIVRRVLHRIAEVSDIFGDSAHHLSVPFILRTPLMAAMHEDDSPDLREVGRILEESLQTSGLSLKAKMIVVRVCDVVTRRAARLAAAGIIGILKKIGRDGSGGVASGRTKGKPRRTVVAIERGLYTNYSMFRVYMNEAITDILGQEIAQNVVLRVSEDGAGIGAALLAAAYSSKR >Ma11_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4414701:4423666:-1 gene:Ma11_g05730 transcript:Ma11_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGLGVAVGCAVVTCAIAAALVGRRARSWWRWARVVGVVGQFEEDCVTPVWKLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDTLPDGNEDGVYYALDLRGTICRVLKVQLGGSGSKIINHKVEQQRIPEELVSGTSEELFDFIALTLKEFIEREENGQVPGSKKELGFTFSFPIRQLSVSSGVLIKWTKGFTIEDVVNDPVGTLAVGHYDDADTVAAVIIGYGTNACYVERSDAIIKYQGLLTDSGFMIVNMEWGNFWSSHLPRTCYDIALDDESPNRNDQGYEKMISGMYLGEIVRRVLHRIAEVSDIFGDSAHHLSVPFILRTPLMAAMHEDDSPDLREVGRILEESLQTSGLSLKAKMIVVRVCDVVTRRAARLAAAGIIGILKKIGRDGSGGVASGRTKGKPRRTVVAIERGLYTNYSMFRVYMNEAITDILGQEIAQNVVLRVSEDGAGIGAALLAAAYSSKR >Ma11_p05730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4414701:4423666:-1 gene:Ma11_g05730 transcript:Ma11_t05730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGLGVAVGCAVVTCAIAAALVGRRARSWWRWARVVGVVGQFEEDCVTPVWKLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDTLPDGNEDGVYYALDLRGTICRVLKVQLGGSGSKIINHKVEQQRIPEELVSGTSEVGKDVSQCLEEAMSKNGLHMQVAALVNDPVGTLAVGHYDDADTVAAVIIGYGTNACYVERSDAIIKYQGLLTDSGFMIVNMEWGNFWSSHLPRTCYDIALDDESPNRNDQGYEKMISGMYLGEIVRRVLHRIAEVSDIFGDSAHHLSVPFILRTPLMAAMHEDDSPDLREVGRILEESLQTSGLSLKAKMIVVRVCDVVTRRAARLAAAGIIGILKKIGRDGSGGVASGRTKGKPRRTVVAIERGLYTNYSMFRVYMNEAITDILGQEIAQNVVLRVSEDGAGIGAALLAAAYSSKR >Ma11_p05730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4414701:4423666:-1 gene:Ma11_g05730 transcript:Ma11_t05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGLGVAVGCAVVTCAIAAALVGRRARSWWRWARVVGVVGQFEEDCVTPVWKLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDTLPDGNEDGVYYALDLRGTICRVLKVQLGGSGSKIINHKVEQQRIPEELVSGTSEVNDPVGTLAVGHYDDADTVAAVIIGYGTNACYVERSDAIIKYQGLLTDSGFMIVNMEWGNFWSSHLPRTCYDIALDDESPNRNDQGYEKMISGMYLGEIVRRVLHRIAEVSDIFGDSAHHLSVPFILRTPLMAAMHEDDSPDLREVGRILEESLQTSGLSLKAKMIVVRVCDVVTRRAARLAAAGIIGILKKIGRDGSGGVASGRTKGKPRRTVVAIERGLYTNYSMFRVYMNEAITDILGQEIAQNVVLRVSEDGAGIGAALLAAAYSSKR >Ma09_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3237916:3251883:1 gene:Ma09_g05050 transcript:Ma09_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQSSWRKTTAIADGRKPGDPVMGAVSWPALGDATMKVPSDCTAKVAPSAVPPPMAVGNARSIPWDVPPPPPPLSVQGSMVMHKSSGFGSNNSSKHQPMHSNKHGPRRNALINGGPPFPVPLMYQQQPGQPVLYPVFQPSTPIAHDHAYQTCRAPFPNGQSHIVKSGESHIPVIVSTNQSGGSDGNRNFPPPPRGDPNNWCPGVGYGGRPYNVREPPKNLNQAWNNQWAFGPRDNINMPQGFGPRTFIRPVPQFVGPTPGFIHGPGFPGPPPPMYYVSAAPFEVMCGPHFSSHPPPPVYPNLTPEMAALRSNIVKQIEYYFSDENLQKDQYLISLLDEQGWVSISKIADFKRVKTMTTNIPLILDALRSSSLVEVQDDKIRRHGDWSKWIRASAHSIVSTQPQSTESQPPARMEGIDSDETHSVCISHMGNNSKARCEDQDEYSNPTDCCGKETNNSSECDTRNVFASDEKNNGDSNNNSKEVKSNLISGAGQRDSFGGCSCMLSNDSIGSDADANNKFGDSASFRNSEEKSNSSGDLKPEKKTFPTDVSSGTQSGTESLDCDKVFLDESVICNVQSTFLLDEELELEQTTNEMEHLSVHKRRAVEEDEMDINDQDVHKLVIVTQDIRVDKDDGASSGQPETISNELASVISDGLYFYEQELHAKQSSNRRNKIGTVNKPEDFKASFNATSPLHLKANINIGNSASEVSGHASSRRRQNKGTGKTHALHKPRLFPSNFRNYGSGRNRHGMVSESPPSNSVGFFFGSTPPENTGLMSSKLSCSPHSILSGSPPVGSMPKSFPPFQHPSHQLLEENQFKQQKFLKFHKKCLNDRKRLGIGCSEEMNTLYRFWSYFLRDMFNKSMYDEFCKLAIEDTVATYNYGLECLFRFYSYGLEKHFREDLYDDFEHLTLEFYKKGNLYGLEKYWAFHHFREERGSSQPLRKHPELERLLREEYRSLEDFRAKEKVEKASGKECSSSRSGGSNDSEVTP >Ma08_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36217947:36218747:-1 gene:Ma08_g22690 transcript:Ma08_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKQDVATGNTITRRILRRPSSVSKSKASSALTNGDASKKQTAEANGAAGEAKLVSKESSEEYFSSRREIECLDVVTGSEGAEYFSPIEDSDANVKTAEGSGEIAVSEAKNGAAFGRKEEKEQIGRKEDDGESLGKQSVDFKEAISIDEAEAEKKSPGEKETAKKSSAH >Ma05_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32032957:32035822:-1 gene:Ma05_g20400 transcript:Ma05_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSLPPGFRFRPTDDELVGYYLKRKVAGLSIELEIIPVIELYKYDPWDLPDKSFLPKRDLEWFFFCPRDRKYPNGTRTNRATGSGYWKATGKDRKIACEPSVYGVRKTLVFYRGRAPGGERTGWVMHEYRLCEDLYQGSTNFLGAFALCRVVKKNDHGLKAGDPHAESKAKRCSSSAAESGYRGYIDNVLSISEENSTVVTNVSEKNEDSTPITSPETGRDVQLQPIMKGFWESQMVANASKTSPDESISKSVITVGNTNVTESPTPGNLSPSHVVNLMEEDFMVDELSWSGSLPSFPSPTSCMGFYGNAENITFHSLELDVPKHLNASYSGTETWNPVVPASLCRQASEGEDLSLWLQEDILVK >Ma06_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3989990:3992522:1 gene:Ma06_g05370 transcript:Ma06_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAARWRGCELCGGAAAVHCEADAAFLCWACDARVHGANFLVARHLRRVACAGCGALDEDRLLAGAGSPPVRSLCSACGPAGSGFSDDSESVSCISTAESIAAASAGRRGQASAEGVLARRGGRAGLGRRRVAEAVPAAVGRLRRACRGTAETRSRVSLEAAPWLAARRRGWRGGGTREEAMLRSSRAASGVPVELIVRASTRLAGAAMRARAMAMEEGWAECY >Ma09_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10046105:10046428:-1 gene:Ma09_g14700 transcript:Ma09_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPNPPPSAGFNPQLSERDACFSTILNIFCGARNTKVAAGAFRLVHTKPVLEM >Ma06_p02780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2092719:2098365:1 gene:Ma06_g02780 transcript:Ma06_t02780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEPVVGKVALTRRHSWIFADELKSEDHLDSCEDWQLQLAADIKTILLVPVACYGVVQLGSLETVVEDTTLVFHIKCLFSALYHDLETSDSLDSGINCSNILSPVNVPSLTNSSTSVFSSFNSSQVQQPWTIDSNMLPFFMVDHNSPSSENILEKILDTDTILDIDENVINAACNYLWLASTEEPQYFSHPNTMPEGDTFDLLFTENETKISLQPDPLDCISISDKEQYGYDLSAEDMTYKESEKNYASKTNSLVNGRFLSFPIDSELHKALGITSMEEYNGCFLNTTVPMDDGSDNSITTFQGVVSEYHDHTFDESNSWLIKESETEYLFDAMVSSLLCGSDDDTFDGKSSRSFSDNLSESLVDSSPRENKSESSVLDICSVLPTSQQRSTSVSKADGFMSSPISSCKSFCKSTNEDNYNHMAKGRYSRKLAMKNKRGVRNGNSHKPRPRDRQLIQERVKELRELIPNGSKCSIDALLDRTVSHMVFLQSISSQAEKLKQTADTEAKSELCSSAKPQTQANGANSACEEGKQPEFWPILVENLDQPGQILIEVQCNDYELFLEIVHVIRRLELTILKGVLESRSNKLWAHFIIEASKGFHRTHILLPLMQLLQRKYALMPRKF >Ma06_p02780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2090863:2098365:1 gene:Ma06_g02780 transcript:Ma06_t02780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFVDSNHAQYQNCTSHPIKVSLANMKCHRYSLGEGVVGKVALTRRHSWIFADELKSEDHLDSCEDWQLQLAADIKTILLVPVACYGVVQLGSLETVVEDTTLVFHIKCLFSALYHDLETSDSLDSGINCSNILSPVNVPSLTNSSTSVFSSFNSSQVQQPWTIDSNMLPFFMVDHNSPSSENILEKILDTDTILDIDENVINAACNYLWLASTEEPQYFSHPNTMPEGDTFDLLFTENETKISLQPDPLDCISISDKEQYGYDLSAEDMTYKESEKNYASKTNSLVNGRFLSFPIDSELHKALGITSMEEYNGCFLNTTVPMDDGSDNSITTFQGVVSEYHDHTFDESNSWLIKESETEYLFDAMVSSLLCGSDDDTFDGKSSRSFSDNLSESLVDSSPRENKSESSVLDICSVLPTSQQRSTSVSKADGFMSSPISSCKSFCKSTNEDNYNHMAKGRYSRKLAMKNKRGVRNGNSHKPRPRDRQLIQERVKELRELIPNGSKCSIDALLDRTVSHMVFLQSISSQAEKLKQTADTEAKSELCSSAKPQTQANGANSACEEGKQPEFWPILVENLDQPGQILIEVQCNDYELFLEIVHVIRRLELTILKGVLESRSNKLWAHFIIEASKGFHRTHILLPLMQLLQRKYALMPRKF >Ma06_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2090863:2098365:1 gene:Ma06_g02780 transcript:Ma06_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTTMLRQLLRNLCHNTRWDYAVFWKLKQETRTVLTWEDSYFDDAKARIKLEDTLSDGSLHINRGMISFVDSNHAQYQNCTSHPIKVSLANMKCHRYSLGEGVVGKVALTRRHSWIFADELKSEDHLDSCEDWQLQLAADIKTILLVPVACYGVVQLGSLETVVEDTTLVFHIKCLFSALYHDLETSDSLDSGINCSNILSPVNVPSLTNSSTSVFSSFNSSQVQQPWTIDSNMLPFFMVDHNSPSSENILEKILDTDTILDIDENVINAACNYLWLASTEEPQYFSHPNTMPEGDTFDLLFTENETKISLQPDPLDCISISDKEQYGYDLSAEDMTYKESEKNYASKTNSLVNGRFLSFPIDSELHKALGITSMEEYNGCFLNTTVPMDDGSDNSITTFQGVVSEYHDHTFDESNSWLIKESETEYLFDAMVSSLLCGSDDDTFDGKSSRSFSDNLSESLVDSSPRENKSESSVLDICSVLPTSQQRSTSVSKADGFMSSPISSCKSFCKSTNEDNYNHMAKGRYSRKLAMKNKRGVRNGNSHKPRPRDRQLIQERVKELRELIPNGSKCSIDALLDRTVSHMVFLQSISSQAEKLKQTADTEAKSELCSSAKPQTQANGANSACEEGKQPEFWPILVENLDQPGQILIEVQCNDYELFLEIVHVIRRLELTILKGVLESRSNKLWAHFIIEASKGFHRTHILLPLMQLLQRKYALMPRKF >Ma04_p26960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28247108:28263100:1 gene:Ma04_g26960 transcript:Ma04_t26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVVEEASGAGGGAAPGGGGAGAQEALKNDVYTAAAYGDLEKLQRLVEEEGCSVSEADGAGYYALQWAALNNRTAAAQYIIEHGGDVNATDNTGQTALHWSAVRGHVQVAELLLKEGARVDVADLYGYQTTHVAAQYGQTAFLYHIVTKWDADPDVPDNDGRSPLHWAAYKGFADCVRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMITDNTGLTPAQLASDKGHRQVAFFLGNARQVFDRRCDANSHLGKLSKLGLAPALWCIIIAMLFTYIHSVIAGSYNLKLTPIFGLCAWLGVLLATAGLFMFYKCSRKDPGFINRNARDAQSLRDDEPLLKMELNHPALLAGNWSQLCATCKIVRPIRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFMFLVLEVSAMIITGVVTIATIVKDPSPPSFGGWLNHNATEHLGALSFLIMDFFLFFGVAVLTVVQASQISQNITTNEVANSTRYSYLKGPGGLFRNPYDHGIHKNCSDFWIKGYNEDVELPEQIPPSEEMGMIQMTRSTDSQNGESHSHQSNNVNVCVDGQSKSSRTHGHVNGKNDRAPHGLGLGVGRNARHNTRSILPL >Ma04_p26960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28247108:28255638:1 gene:Ma04_g26960 transcript:Ma04_t26960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVVEEASGAGGGAAPGGGGAGAQEALKNDVYTAAAYGDLEKLQRLVEEEGCSVSEADGAGYYALQWAALNNRTAAAQYIIEHGGDVNATDNTGQTALHWSAVRGHVQVAELLLKEGARVDVADLYGYQTTHVAAQYGQTAFLYHIVTKWDADPDVPDNDGRSPLHWAAYKGFADCVRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMITDNTGLTPAQLASDKGHRQVAFFLGNARQVFDRRCDANSHLGKLSKLGLAPALWCIIIAMLFTYIHSVIAGSYNLKLTPIFGLCAWLGVLLATAGLFMFYKCSRKDPGFINRNARDAQSLRDDEPLLKMELNHPALLAGNWSQLCATCKIVRPIRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFMFLVLEVSAMIITGVVTIATKISCSNCERSFSTIFWWMVES >Ma09_p30460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40577173:40578558:1 gene:Ma09_g30460 transcript:Ma09_t30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVQEMDNNMNREVAEPVGFSQRLLNFLVKKSNRQGQPNLAGNTQQGDSSRFKGQPSMSQHVETRVPVTIYKDGKLGFTPRVEVPVEYQEPSGSAKLRESRSAKTEADVAVEAVAAEATPPPPPPKKVAKKSVTIKVDIEDRDGIKKKPSMSARSAAEEKKWLRMWS >Ma10_p29880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36309483:36312333:-1 gene:Ma10_g29880 transcript:Ma10_t29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKTNSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Ma02_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9361499:9361693:-1 gene:Ma02_g01290 transcript:Ma02_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKVHLSVTPLCPMPNYFHQPAIVTGLLDYLWVQFYNNYCQYSSVMLPTYGMLEILIVSKPF >Ma06_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12122624:12126723:1 gene:Ma06_g17860 transcript:Ma06_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MAAASLVRLSSLSCGAGDRRLSSRRYCWNQKSCLKIAASSSERLSQFAAEKLTPKVESLLDSVKWDSKGLVVAIAQNVDTGAILMQGFANREALALTIASQKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPVGPTCHTGAETCYYSSVYDLLDEPESKQDRLASTTLYSLEATISHRKLEIDSLPNGKPSWTKKLFLDEQLLCSKVREEAGELVQTLLENEDKTRAASEMADLLYHAMVLLKLKDVKMEEVLEVLRKRFSQSGIEEKNSRKSPSK >Ma03_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18197144:18199323:1 gene:Ma03_g16180 transcript:Ma03_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSFWSDDDRHMAVAVLGHQAFHFLSSRHETSSDSQLTAVGCGDAGLQNKLQDLVEGRRSAASSSWAYAIFWQISRSESGDLVLSWGDGHCRELEDAEEVDGGSRSHPLEATRQEMRKRVLERLHALSGGSDDENYALQLDRITDSEMYFLASMYFSFPKGEDAPGRALASGKHIWISEAALASPACANYCVRAFLARSAGFRTIVFVPFDAGVLELGSVDPVLESFETLHKIRSVFCQGRNKAAAVGEKMDENNSHVSASRFGSSSHIPAEYAKIFGNDLNLGRAQLDGRATSAVKAKKPPSEMIARHGDHLKANPLGDAAALLEWNQNHNVNSHQQKFGNSVAYVRHVNGFVRDDRPRTNPFQPQKLQPQQQQRQQPLSQSGQIDFRAGGADPGVVVSRLGAFDSELSDVEAPCKEDTPGTTEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITELQKKLKEMEAEREMWGDPCLMDYKRRSQCPDIDVQVAQGEVIVRVTCPLESHPVSEVIQAFKNSQINVADSCVTSNNDSVLHTFVVKSPVAEQLTKEKLIAAFNS >Ma06_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3843982:3844413:1 gene:Ma06_g05170 transcript:Ma06_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEIRLEPIVDESFAPRRRRYFDCLWTPWSAPGSSSAESWKQIHDVGGRHEGRWWSRVFGAALTRVREWSELVARPRWNAFIRHFRRHRHGGGWRVASARFQYDPLSYALNFDEGHGECPEEEYMGYRDFSTRFASPPASA >Ma01_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1590922:1590996:-1 gene:Ma01_g02380 transcript:Ma01_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREIKQYYSVQIDEMPMNIADLI >Ma06_p34600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34761432:34765047:1 gene:Ma06_g34600 transcript:Ma06_t34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETEQGRASQENTTMAIVVIQDGNKCDLDASPKGKDVVEQPSAGMMFKCIDELYEYYKTYGNQVGFPVFKKSTKNDKQGRLHFVTLSCGRGGKSRCKREKSVNPSLTMKIECNAKLNARVYDDGVVRVTSVFLEHNHELSPTRARYLPRRRSVSTSIKRRREKIDQVDGSVAEPIASAAEYGEHENEPSLEKVCQNYIDNIDKARKLCLGVGDAGAICNYFNRMQEQNSNFYYSMDFNEEGHIRNIFWADERSRVAYKSFNDVVTFDTTYLTNKHDMPYVTFVGVNHHGLPILFGCGLVSGEDTETYVWFLTQWLACMCGNAPKAIITDQDMAMRKAIEIVFPEIRHRWCLWHIMKKISGKLSWHSNYELIKTTLMHVVYDSMSKEEFDFNWDQMIQKYSLQSNAWLSTLYDNHHRWVPVYVKDSFWAGMSTTQRSESVHAFFEGYVNSKTTIKQFVERYDKALKIKVEKELQEDLNSFNYCCTCVSHYNIEKQFQQAYTNNKFKEIQEEFKGKMFCYVHSMKEEDDFGVYEVIEDMVFDNGSSNQKVCFTVYFKQAEFDVRCSCRLFEFQGILCRHIITLLTVKGVFSVPQKFILPRWRKDLRREHTKVKVSYNNWNTTPEMQRYDLISKKSAVFGDFGCTSEENTQIVLDFVEQFRQNFNISDPLDGSGQPIFSVGGSGQPFQ >Ma04_p29080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30029307:30030549:1 gene:Ma04_g29080 transcript:Ma04_t29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKGSDGLGDTELRLGLPGTSTATRSAKRALPEGDEESHDELRRTSAAKAQVVGWPPIRSYRKNSFPARKVEAEAEAAAGLYVKVSMDGVPYLRKIDLSVYKGYKELREGLNNMFECFSLGELSRREGGSGSEYAITYEDKDGDLMLVGDVPWEMFISSCKRLRIMKGSEARGLESRQH >Ma03_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5886353:5887093:1 gene:Ma03_g08190 transcript:Ma03_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPFPRALIPLLLLLAAAASPPPVMASRAAVRDGTSCTMCASCDNPCQPAPPPPPPSPSTAECPPPPSSTPGTFYYYSPPPPYIYTSPAPPSGGGYYYPPPTNVYYKAPPPPNPFLPYFPFYYYSPPLPSNYYSGAVPFQNPSSPFLFSSILLFVFLL >Ma03_p32430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34224465:34226474:-1 gene:Ma03_g32430 transcript:Ma03_t32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVADVAADLVWYLAWGVAGLLLVVAVWLFYFVWWKPRRLERALRAQGLDGTPYRFLQGDLEESARLDRQVQAKPMSLSHNIIPRVYPFALRAMSRYGKTSFTWVGPVPEVTIADVGLVRQLLLNMPNDIEKPQLNPLGQFFFRGMFIYEGEKWAKHKRIMNPTFHMEKLKQMLPSFRTCCNDLMSKWENTVVGSGTSYELDVWPELQAYALNVISTAALGTSFEEGRRVHQIQVQQLMHFVQAGQAINIPGSVFLPTEAKKRIKALNREVGELVRSIIKKRQEEIKSGKASNDNLLGLLLESNMKGSQEDGLTIEDVIEECKLFYFAGQETTAVLLTWTMILLSMHPEWQVRAREEVLRVFGQNKPDFDGLNRLKIVTMILHEVLRLYPPLTYLPRHTYKTIKLGDVTYPPGVLLRMPILFLNHDPEIWGEDASEFNPERFAQGVSNACKNHQMGFFSFGGGPRICIGQHFGLIEAKMLFSTILQRFSFELSPSYAHAPHIVMTLVPQYGAPLMFRRL >Ma02_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24878434:24879979:-1 gene:Ma02_g18370 transcript:Ma02_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMTTTTGGDGGQLRYPTVHVPPWSLPFENMAAGTDYHLTAASGGGGVGGQEFPFEESTFTALQRQLSCKGEETGAEEEDVEEPASAVDVYSSDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGAACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPQQQQTPTNASATVESYDGSPLLQQAMESYISKHLMSSSPTSTLMSPPISSPSNSPPMSPSTAALGRASWSVGTSPNEIVASMRQLQLSKAKSAPSSWGFQLGSTLFGSPRGTGTGFRADLCGLPSIPTTPGTCVGGLAWLDDMSGGSAEGEEPVERVESGRALRAKIFEKLSKECCVAKRAEAAPPMPAPDVGWVSELVK >Ma09_p29200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39708257:39710968:-1 gene:Ma09_g29200 transcript:Ma09_t29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEQATSSLPSGSERSSSSAPLMEVKEGMESDDEIRRVPDFGFQPAGPSTSGREAASADGPDQGQPGQRRRGRSVADKEHKRVKRLLRNRVSAQQARERKKAYLNDLEAKVKDLEARNSQLEERMSTLQNENNMLRQILKNTTVSRRGSGINANGEGK >Ma02_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20135175:20139002:-1 gene:Ma02_g10630 transcript:Ma02_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRLLRFFFFFFFFLADIVVVVLPAVSAPATSHCEGTCGSHTVPYPFGFSRGCQIPLNCSSALNSSIQIGEFTVRNITSDSLLIDVPPVCERSIYSARSLSGLNYALTSRSRLFLGNCTANKSKSVSGGCTINATLFDGRCGPGFDNATCFYNQTREYYFGLSSIVDSGCSFLVTSVGYVSGASVQLLELWTAELAWWLEGQCQCSANANCTRITSPMTDRPGFRCSCHEGFQGDGFAHGSGCRKVSASKCNPTRYMSGACGGSRSKLAFLVGGIIAGASAMAALAFVCCFIKRYSSRMRKRSSMRRLLSEASCTVPLYSYKDIEKATDGFSENHILGTGSYGTVYAGKFSNDKQVAVKKIKNRDDDSIDQVMNEIRLVSSVSHPNLVRLLGCCVERGEQILVYEYMPHGTLAQHLQGERGPALPWTVRLTIATETAKAIAYLHSAVHPPIYHRDIKSSNILLDDNYNSKVADFGLSRMGMTETSHISTAPQGTPGYLDPQYHQNFHLSDKSDVYSFGVVLLEIITALKVVDFSRVQSEVNLAVLAIDKIGRGCIDEIIDPYLEANRDAWTLASIHRVAELAFRCLAFHRDMRPSMTEVADELEQIKFTGWAPADDGTLSTASSFCSSTSSCAGKLRTASKSRRLALVSSMIQEVNAESPVSVQDPWLSEQSSPSANSLLGNVIH >Ma05_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6767414:6780796:1 gene:Ma05_g09350 transcript:Ma05_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MASTTTVELLLLVLLFLLLTAAAPGFSSSPELSSSPRSFHRRHGSPFLGQAPNDRRFQISDDMFWKDDRPFRIIGGDVHYFRVLPQYWRDRLLRAKALGLNTIQTYVPWNLHEPEPNKWVFEGIADIEAYLKLAQELGFLVMLRAGPYICAEWDLGGFPAWLLAIEPPLKLRSSDMTFLHYVERWWAVFLPRIAPLLYQNGGPIIMVQVENEFGSYGDDKAYLHHLVTLARKHLGHDIILYTTDGGSRETLDKGTIPGEGVFSAVDFSTGEDPWPIFELQKKYNAPGQSPPLSAEFYTGWLTHWGEQNTETDANRTAAALENILSRNGSAVLYMAHGGTNFGFYSGANTGQNESDYKADLTSYDYDAPIKESGDVDNLKYKALRRVIQEYSGVVLSLPPPDNGKKGYGLVTVRKIASFFEVLDGMINPRTAVESEQPMAMESVGQMFGFLLYVSELPIMGTSSILKIQKVHDRAQVFVSCTMDKNGGSPRHVGVIERWSNRELVIPKVSCSSNISLFILVENLGRVNYGKYIFDRKGILSNVTVDGAVILGWKMYPISLDICSKLFNVNPIKQVSISRTAEKISAEPGFYEGRFYIDSIEQVKDTFISFRGWNKGIAFVNNFNIGRFWPLFGPQCTLYVPAPILRQGENVVVILELHAPNPGLTINLVKKPDFTCGSKQYQK >Ma05_p09350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6767414:6780796:1 gene:Ma05_g09350 transcript:Ma05_t09350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MASTTTVELLLLVLLFLLLTAAAPGFSSSPELSSSPRSFHRRHGSPAPNDRRFQISDDMFWKDDRPFRIIGGDVHYFRVLPQYWRDRLLRAKALGLNTIQTYVPWNLHEPEPNKWVFEGIADIEAYLKLAQELGFLVMLRAGPYICAEWDLGGFPAWLLAIEPPLKLRSSDMTFLHYVERWWAVFLPRIAPLLYQNGGPIIMVQVENEFGSYGDDKAYLHHLVTLARKHLGHDIILYTTDGGSRETLDKGTIPGEGVFSAVDFSTGEDPWPIFELQKKYNAPGQSPPLSAEFYTGWLTHWGEQNTETDANRTAAALENILSRNGSAVLYMAHGGTNFGFYSGANTGQNESDYKADLTSYDYDAPIKESGDVDNLKYKALRRVIQEYSGVVLSLPPPDNGKKGYGLVTVRKIASFFEVLDGMINPRTAVESEQPMAMESVGQMFGFLLYVSELPIMGTSSILKIQKVHDRAQVFVSCTMDKNGGSPRHVGVIERWSNRELVIPKVSCSSNISLFILVENLGRVNYGKYIFDRKGILSNVTVDGAVILGWKMYPISLDICSKLFNVNPIKQVSISRTAEKISAEPGFYEGRFYIDSIEQVKDTFISFRGWNKGIAFVNNFNIGRFWPLFGPQCTLYVPAPILRQGENVVVILELHAPNPGLTINLVKKPDFTCGSKQYQK >Ma05_p09350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6767414:6780796:1 gene:Ma05_g09350 transcript:Ma05_t09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MASTTTVELLLLVLLFLLLTAAAPGFSSSPELSSSPRSFHRRHGSPFLGQAPNDRRFQISDDMFWKDDRPFRIIGGDVHYFRVLPQYWRDRLLRAKALGLNTIQTYVPWNLHEPEPNKWVFEGIADIEAYLKLAQELGFLVMLRAGPYICAEWDLGGFPAWLLAIEPPLKLRSSDMTFLHYVERWWAVFLPRIAPLLYQNGGPIIMVQVENEFGSYGDDKAYLHHLVTLARKHLGHDIILYTTDGGSRETLDKGTIPGEGVFSAVDFSTGEDPWPIFELQKKYNAPGQSPPLSAEFYTGWLTHWGEQNTETDANRTAAALENILSRNGSAVLYMAHGGTNFGFYSGANTGQNESDYKADLTSYDYDAPIKESGDVDNLKYKALRRVIQEYSGVVLSLPPPDNGKKGYGLVTVRKIASFFEVLDGMINPRTAVESEQPMAMESVGQMFGFLLYVSELPIMGTSSILKIQKVHDRAQVFVSCTMDKNGGSPRHVGVIERWSNRELVIPKVSCSSNISLFILVENLGRVNYGKYIFDRKGILSNVTVDGAVILGWKMYPISLDICSKLFNVNPIKQVSISRTEKISAEPGFYEGRFYIDSIEQVKDTFISFRGWNKGIAFVNNFNIGRFWPLFGPQCTLYVPAPILRQGENVVVILELHAPNPGLTINLVKKPDFTCGSKQYQK >Ma11_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22039766:22046407:-1 gene:Ma11_g16540 transcript:Ma11_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPIRGSIIQEIFRVANDVHSPATRKNKEFQEKLPFVVLKAEEIMYSKANSEAEYVDLKTLRDRVNDAIDTIIRKEDGTESGDLLQPCIEAALNLGCIPRRVSKSQHRSNPVCYLSPVANDNRTASLKISDSNSLESMLQKKHNQGKLQTSASEFPSWYTAVFRPPNMTCSSIPEVKFNNSGVSGGHINSSVFNRTECPISIKPSAYCQERVSVPLASYTCPNLAGVYPLYYSDSRRDVVSGQHFQITQGPRHHMATATMRCAESPDEAEISFTESPIGSNIGASKADSTHMETSKIGWDLSLRLGLPSPPSFGESTWPNEHEDAGSSNSSDGSKYYDLSVARERVINFGGTNSPQDKGLSL >Ma10_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30289416:30290546:1 gene:Ma10_g19910 transcript:Ma10_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSMMVSSAATVSRASPAQSSMVAPFTGLKSTSAFPVTRKANADLSHLPGNGGRVQCMKVWPIEGKKKFETLSYLPTLVDEALVKQIDYLLRSKWIPCLEFSHEGFVGRENHRSPGYYDGRYWTMWKLPMFGCTDAVQVVKEVEECKKEYPKAFIRIIGFDNNRQVQCISFIAFKPPGY >Ma06_p33540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34135219:34136937:1 gene:Ma06_g33540 transcript:Ma06_t33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNDWDLYAVVRGCSAAAVAAASDPLSSLPPPLPVVKEGSLGGGKDEALGFPDLMGTSTSPYELEELCQPFYIKTHLQPLQQRQLQPLLVPKPSYRPSCAPATSVFPALAVVPHQLQQQPRQPQRPVSQTPRSKRRKNQQKKVVCQVPADGASSDMWAWRKYGQKPIKGSPYPRGYYRCSSSKGCQARKQVERNREDPGILVITYTAEHNHPVPTHRNSLSGSTRQKFPPTGSSAQPPPASGCEGDGEQLKSPGSHVPSSPLPSSAAAQRPSPTTALTDSVESKDDEEDEDEDEEEEELLTVGDMEMMGSDDLIFLGMEVIDGSTNTAGTTKSPAMVSVGSASFEEDGGFEEHFFRSPWVANDNAAAAAGGS >Ma07_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11433131:11434814:1 gene:Ma07_g15220 transcript:Ma07_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFREIKAAGFSCASPSSAAVCSTIHQRSIVQPVTGRAIDRHTPHLRDPQRTRSQFTSKPRSHNPKAGRKSSAKQADLVNPADSSRCLLSSSRFRLDDAAFYDIFPGPQPIPPPSPFPIETLRSQQCVRSCSERAVRRPSSSTRTQDQVVVLRVSLHCKGCEGKVRRHIAKMQGVSSFSVDLATKKVTVVGDVTPLGVLNSISKVKHAQFWQSPPRASASF >Ma08_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1623069:1624550:1 gene:Ma08_g01970 transcript:Ma08_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVPGYLDQPNWNQQAHQQVSSSGVTCQLPPLMAAPQTEGGMVDSIRLGSMADRARFAKVPQPEPGQKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNRRTKSTAGSSSKFSTTATQTGASSSSSSPATSSGLGGATTSHVPFPSHLPFMASLHPLPDFTSNNFAMSFSSIQPANTMEYQLGGSSSSRGPFDGFGLETLRLQQIQQFPLPGGLELPQPPPAAAGEGSGLLEGFITGQAQTRRPNSGLITQLAKMEDSQQLLDLPGRYLNASRNDQFWSGSSGGGSSSGAGGWATDLSGFNSSSGSIL >Ma08_p18160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28701823:28707329:-1 gene:Ma08_g18160 transcript:Ma08_t18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAESSREDNVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSLIKEYRGKIEAELSKICDGILKLLDSHLISSSTTAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLSAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEIVNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDAGDEIKEPLVRESGGQ >Ma08_p18160.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28701823:28707378:-1 gene:Ma08_g18160 transcript:Ma08_t18160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAESSREDNVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSLIKEYRGKIEAELSKICDGILKLLDSHLISSSTTAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLSAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEIVNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDAGDEIKEPLVRESGGQ >Ma08_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28701823:28707545:-1 gene:Ma08_g18160 transcript:Ma08_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAESSREDNVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSLIKEYRGKIEAELSKICDGILKLLDSHLISSSTTAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLSAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEIVNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDAGDEIKEPLVRESGGQ >Ma08_p18160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28701823:28707342:-1 gene:Ma08_g18160 transcript:Ma08_t18160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAESSREDNVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSLIKEYRGKIEAELSKICDGILKLLDSHLISSSTTAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLSAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEIVNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDAGDEIKEPLVRESGGQ >Ma08_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7202795:7214675:1 gene:Ma08_g09900 transcript:Ma08_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISIPPSPSSPSSSSSSLSPLPWTNPDPDSDSSFAPPLVEDSRPSPPRSIVPREEEEPVEPESCGEVAPSVDLPSAETSYSSHESALPADLPPLLPVSSSSSPPKVEADSVAPSLLHLSFNQDDGCFAAGTDRGFRIYNCDPFREIFRRDFDGGGVGVVEMLFRCNILALVGGGTSPYYPPNKVMIWDDHQSRCIGELSFRSDVRAVRLRRDRIIVVLDHKIFVYNFADLKLVHQIETVPNPKGLCAVSQQQGSLVLVCPGGQKGQVRVEHYGARSTKFIMAHDSRIACFALSQDGRLIATASSKGTLIRIFSTVDGMLLQEVRRGADRAEIYSLAFSANLQWLAVSSDKGTVHVFSLKINLGSTAHDKPRPASDPNVPTTSSHLSFIKGVLPKYFNSEWSVAQFRLHENIQYIVAFGHQKNTVVILGMDGSFFRCQFDPVAGGEMTQLECYNFLKSETS >Ma04_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5695312:5696382:1 gene:Ma04_g07870 transcript:Ma04_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKALLSVSTYRKLWFPNCFHRDFLEVMFTYRKERAEMLETLVISCQKPSALLSSRRCTPLFIVLSETRLKSNTTPTLSPRLGERITLKSIEKPSHLIHLERPFVHNRCLKEFFVLIHAPVSQK >Ma02_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29031918:29033001:-1 gene:Ma02_g24380 transcript:Ma02_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSVVVTPVAVASPAGQNHHLYHYLSPFTATTTTTPSPYVNSSTSAAHWISRPSLLRHLNLLLRLLGFVLSFASSLSLALPSPQKASGSRRPFSFQHHPEFRYCIVATIVASLYCALQLFKGIWNIASKGFPVPEKVSDYITFVWDQVVAYLLMSSSSVCLLATQLNTPSRFRAAAIVSTTASFAAFLAVAASALLAGYRLCKRIIW >Ma06_p28020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29817649:29819245:1 gene:Ma06_g28020 transcript:Ma06_t28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMADQMKWTSSESETSGNTAPTTSSSSSSSSSRSSSMALKGGVTEQQKRGRDSRRHPVYHGVRMRAWGKWVSEIRQPRKKSRIWLGTFATPEMAARAHDAAALCVKGHGAAATILNFPELAGSLPRPASLSPRDVREAATRAAAMEPPGACGPASWSSSSEGPSPTPTDELGEIVELPTLGEGLFDSATLGDEFIFHDSVNSWAYPPPLIEVEEDKDFFPEPLWSQEGDNSTGWEALSCGF >Ma06_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2530078:2530792:1 gene:Ma06_g03450 transcript:Ma06_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPTPNAALPPNPFPSPPPLNAGSGPGSVTTTTTTKKSKRRQPPGPEEVLAHYESQGLSPREASLRAIRELQAILFRYAAKKERFAADSPRKLDGVNTRLAVLEMKLDSKPGFPESLAIGVASGAIVSAIPHVLGGLRGIWDSVWSATKGSPPSP >Ma03_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3440589:3445054:-1 gene:Ma03_g05270 transcript:Ma03_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAVEASSMPRKRFSGLRGVRWRIDLGILPCSPSASIDDLRRVTADTRRRYASLRRRLLIDHHPPKDGDASPDLTVDNPLSQNPDSNWGRFFRNAELRKMVDQDLTRLYPEHHGYFQTPLCQAMLRRILSLWCLQHPEYGYRQGMHELLAPIVYVLHADLDHLLQVQKPYEDCFNDEFDGITSPENNLFSNYKVKRASDWDSGIETENTHHIMSDGHSLDEIDPDTRDILLLSDSYGAEGELGVILSKKFMEHDAYCMLDNLMDGAQGVVAMASFFSPVVGSSTNLPPVIEASSALYHLLSIVDPFLHAHLVELEVEPQYFALRWLRVLFGREFCLEDVLVIWDEMFSSSNCRLIGDDVEYNFKVLCSPRGAFIAALAVSMLLYLRSSLLATETATTCLQRLLNFPQNPDTKKLIEKAKSFQELALVSNIVPSSSQRDSNKGRFRVSSGYSLPSGSAFPVPPLHVVPDSYWEEKWRVLHKDEELGEQSNSDQGSAKKKLAEKLSLYRTKSEPLKAKSALNQSSIRQILFDDVSSDIGAVENHVTSECCEAPINSNNLNVGDFPVVLADQRSSDWIGDETLLSGDNSVVVSKFVYPHDIGNEHEIDSVKSSVTSNSFLSDNDEETFNMEEPCSQNVSNELAQDAEATSSHIADAVPEQRETPIHWKSFAGIFQWFWKFGRGSNEASRESQSSSDARHTNKDSFDTTTCEGTDNSCGVNKRIEVGDKKMIHTLRNLGQSMLENIQVIETVFQQEKGKFDSLDNLSNNILGVKGQSTAITALKELRKVSNLLQEM >Ma06_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2559133:2559219:1 gene:Ma06_g03510 transcript:Ma06_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIRCRPKSELSILTTPKLEALLHRPS >Ma06_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5900105:5903689:1 gene:Ma06_g08320 transcript:Ma06_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGKVYTLAEVSTHNTHKDCWLVINGKVYDVTKFLEDHPGGDDVLLSSTGKDATDDFEDIGHSNTARAMMDEYFIGKIDAATIPQKVKYTPPKQPHYNQDKTSEFIVRLLQFLVPVAILGSAVAVRIYTKAA >Ma02_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27485473:27488290:1 gene:Ma02_g22020 transcript:Ma02_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGGIGVLTLDTSAPLFLASSLSYGGGGILKRKQPMDSGGHHGGAGTIEFPFSLNPRRDAETANEALTSKRVVADEVDFFSDEKKKQKKKDTIAASPVETDLDLKVPSLGIKKEDLTIQTGLHLRNGDTAKESVDDGMAAMQAELARMNEENQKLRGMLSQVNSSYSALQMQLATLMQQRNRTPQAHEEKIDAENGDQGGARVLRQFLDLGPDADVDEHSNSSTASLERSSSTPENVEVGSMGYGLHKNEGNPREALELTPTATAEQESTMRRTRVSVRARSEAPTITDGCQWRKYGQKIAKGNPCPRAYYRCTMATGCPVRKQVQRCADDRSILITTYEGTHNHPLPPAAMAMASATSAAASTLFSGSTSSADGLMNSNFLSRAVLPCSSSVATISASAPFPTVTLDLTRSPDPLQSLRTPAGQFQFPFPALGALPQPPSLPPVFGQTLLNQSRFSGLQVSSGMAAAQFPHPKPQTTMPSSLEETVNAATAAITADPNFTAVLAAAIKSIIGGNDQAVNSSQNDNVAKKSYSLQQ >Ma04_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3924216:3924775:-1 gene:Ma04_g05240 transcript:Ma04_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRARAVGWPPVRSYRMNSSRARKLETEDNSGIYVKVSMDGAPYLRKVDLRIYNGYKELRNALDDKFRCFSLGGVEAHTGESDNAITYEDRDGDWMLVGDVPWEMFISSCKRLRIMKGYEARGQ >Ma04_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2425664:2426397:1 gene:Ma04_g03040 transcript:Ma04_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKETLAILGNEEVIAINQDPLGVQAKKVWAGPLSGYRTVVVLLNRSPEFRTITAQWDDIGLPPNTVVEVKDLWKHTTLEKRFVNELIVDVHHHACKMFLLTPLTLSKEDEPKV >Ma05_p25700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37547183:37554968:1 gene:Ma05_g25700 transcript:Ma05_t25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTALTTVSIGSVCAQPRLGARPKASGFGFTCSKSFNVFSGLKAASSVGIEADTSFLGKEDNASLQASFVPKIKPKQVFLNQLHPHASSFKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNSPAQVLDFTGQSELAKCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLIEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLVDVDVPVIGGHAGITILPLLSKTRPSTTFADDEVEELTLRIQNAGTEVVEAKAGAGSATLSMAYAAARFVEASLHALDSDGDVYECSFVESDLTELPFFASRVKIGKKGIEAIVSSDLQGLTEYETNALEALKPELQASIEKGMAFVHKQAVNAESA >Ma05_p25700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37547187:37554968:1 gene:Ma05_g25700 transcript:Ma05_t25700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALTALTTVSIGSVCAQPRLGARPKASGFGFTCSKSFNVFSGLKAASSVGIEADTSFLGKEDNASLQASFVPKIKPKQVFLNQLHPHASSFKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNSPAQVLDFTGQSELAKCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLIEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLVDVDVPVIGGHAGITILPLLSKTRPSTTFADDEVEELTLRIQNAGTEVVEAKAGAGSATLSMAYAAARFVEASLHALDSDGDVYECSFVESDLTELPFFASRVKIGKKGIEAIVSSDLQGLTEYETNALEALKPELQASIEKGMAFVHKQAVNAESA >Ma05_p25700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37547139:37554968:1 gene:Ma05_g25700 transcript:Ma05_t25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTALTTVSIGSVCAQPRLGARPKASGFGFTCSKSFNVFSGLKAASSVGIEADTSFLGKEDNASLQASFVPKIKPKQVFLNQLHPHASSFKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNSPAQVLDFTGQSELAKCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLIEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLVDVDVPVIGGHAGITILPLLSKTRPSTTFADDEVEELTLRIQNAGTEVVEAKAGAGSATLSMAYAAARFVEASLHALDSDGDVYECSFVESDLTELPFFASRVKIGKKGIEAIVSSDLQGLTEYETNALEALKPELQASIEKGMAFVHKQAVNAESA >Ma02_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18960802:18969108:1 gene:Ma02_g08690 transcript:Ma02_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPSGLMGMPEIFEGGVNVIRRAVVIGNGSAGSENQSLGLVRALGLSDKYSIHRVTRPRGGINEWLRWLPVSVHKVLDNLIRQIFGNSRLVMSLQGRKLVPFPVQNGGHVGLSSILEADARKIASIARETFEKEGPLLIVASGRDTISVASSIKRLAPESVFVIQIQHPRSRLDRFDLVVTPRHDYYALTANGQQEIPRILRWCITPKEPPGRNVVLSIGALHQADSAALRIAATAWHDELAPLPKPLLVVNIGGPTRNCKYGSDLATQLVGSLQNVLASCGSVRISFSRRTPKKVSDIILQELSKHPKIYIWDGEDPNPHMGHLAWADAFVITADSISMLSEACSTGKPVYVIGSECCKWKFSVFHKTLRERGVIRPFTGLEDISDSWSYPPLNDAAEAAARVREFLAERGLTLGR >Ma07_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2966609:2970620:1 gene:Ma07_g03930 transcript:Ma07_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNIGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELNHYAPGVPIILVGTKLDLRDDKQFFMDHPGAVSVSAAQGEELRKLIGAPSYIECSSKTQQNIKAVFDAAIKVVLQPPKKKGRKAQKGCSIL >Ma11_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17601564:17602279:1 gene:Ma11_g13330 transcript:Ma11_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRIRSPNAKLSASQIEERHHRFLKPGALARLRDSRISTARSPRSLSHPRLSPPSFPSSASPPAAAHDYGIPFFAARARGPRFPQRKKLAAAKSIFFAPSSPEFNEFFLDAFGTDMVAAH >Ma01_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5569606:5571805:-1 gene:Ma01_g07680 transcript:Ma01_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREGSPPSSQAAYLSGGNAASEWQRWRVQRPGRLSRGDGEVIGKVDEIFGPINEAYFWIKMLEGIIATWYLPGDKLYIDSAKLLPSTRFLPKPKYDNSSLFSFCCLLTTTLLSQHDNVAMISWYAAIHELNIEFYFIV >Ma09_p04400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2887367:2892441:1 gene:Ma09_g04400 transcript:Ma09_t04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLQTIHISHISPPADLPRLFKLGKGRKISLGAVIPKISSCLVTDPRQGSASAKPVTRESKEVMETERNVLVGTYARAPVVIKSGKGCKLYDVDGKEYLDMTAGIAVNSLGHGDPDWVNAVVEQASTLTHVSNVYYSIPQVTLGKRLVECSFADRVFFTNSGTEANEAAIKFARKFQRFSHADEKLLATEFIAFTNSFHGRTMGALALTSKEHYRSPFEPVMPGVTFIEYGNSEEAIEAIQPGKTAAVFVEPIQGEGGIYSATKDFLQALRTACDNAGALLVYDEVQCGLGRTGHLWAHEAFGVAPDMMTLAKPLAGGLPIGVVLTTERVAAAISSGDHGSTFAGGPLVCHAALAVLDKIQNSIFLASVTRKGLYLKDLLLNKLGGNPHVKEVRGFGLIVGIELDVQASPLVNACRDAGLLVLTAGKGNVVRLVPPLIISETELEQAAEVLSACLPALNAHASS >Ma09_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2887366:2892441:1 gene:Ma09_g04400 transcript:Ma09_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLQTIHISHISPPADLPRLFKLGKGRKISLGAVIPKISSCLVTDPRQGSASAKPVTRESKEVMETERNVLVGTYARAPVVIKSGKGCKLYDVDGKEYLDMTAGIAVNSLGHGDPDWVNAVVEQASTLTHVSNVYYSIPQVTLGKRLVECSFADRVFFTNSGTEANEAAIKFARKFQRFSHADEKLLATEFIAFTNSFHGRTMGALALTSKEHYRSPFEPVMPGVTFIEYGNSEEAIEAIQPGKTAAVFVEPIQGEGGIYSATKDFLQALRTACDNAGALLVYDEVQCGLGRTGHLWAHEAFGVAPDMMTLAKPLAGGLPIGVVLTTERVAAAISSGDHGSTFAGGPLVCHAALAVLDKIQNSIFLASVTRKGLYLKDLLLNKLGGNPHVKEVRGFGLIVGIELDVQASPLVNACRDAGLLVLTAGKGNVVRLVPPLIISETELEQAAEVLSACLPALNAHASS >Ma02_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24837168:24840384:-1 gene:Ma02_g18310 transcript:Ma02_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVGSPGTWSGLLLRVGQCAFAGASIGVMLSAFGFSSYTAFCYLVASMALQILWSFGLLCLDLHALKFKRDLHNPLLVSLFVVGDWVTATLTLAAACSSAGVVVLFVRDVHFCKKYPQLSCGMYQISIAMAFITWLLVAKSSLVMFWILASG >Ma05_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7467140:7469718:-1 gene:Ma05_g10360 transcript:Ma05_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKTRLLIPRVCLRRFLLVLLALLVASSEGGKKRVSIPDELDDVVDEEEDEEWKRWGQKTTKPDLPPPPDFSRMSPLEIQAEMMKRHTGPSLGFVKLRPGVPRSREDVPAIAMRWSKVLRTGSVGVKFMAVDLNTIMFTMERGQDLEELKEFVLSQPEAYEIKIGQQLYRRPGDPPLDEVVESLHKKKTSANAHEIGRDSHTLSDEL >Ma03_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4888998:4889192:-1 gene:Ma03_g07130 transcript:Ma03_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFRFSFVNVTRMCCKKKISCSHMYIYPSCLRKVIEMLFYIIWWFNYSLTAQLTCVDQQPVV >Ma10_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25821314:25826798:1 gene:Ma10_g12800 transcript:Ma10_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGDGKPQPGRRPRSRLIVRLGALLVAHSVLVSVVCCIAGSIAFLLLPVLAKNTYVSENALMPGSANPMFSSHDVVEANRFLKEILDRGSAKVTGTEIAKLIGQHVVDVGAELYYHKFHPHGNQFHPLHFFSSITNTMEMQYNSSCTLFGLNSVGIIRAPRGDGKEAIVLVTPYNSQNIGQSEALSLGLAFSIFSLLSRVTWLAKDIVWLAADSRYGEYTAVDSWLKDYHNPLFLSNSGKIGTGVCFEENILHLLDQLKVKGPGYNIFKRAGTMAAALVFKVIEKKEKEERDSLTIYAEASNGQMPNLDLINIVHYLAVHRQGLRVKIGSMHSLLKAAWLKFVGEMLQLFGKLTKHLNPKWKFDITSAEYVEGTATLASSIYYQALGVPTGSHGAFRDYQVDAITLELSPRFSLNNENNRSAFLLRGGRLIEGVIRSVNNLLEKFHQSFFLYFLTAPHKFVSVGVYMIPFALLLAPLPIVSAALFSGNDNLGVSTEKVNQEPGCIGSSDTELRSGSWKWLQAAKVVFMIHLWAIIVSLLPYVLSQFPLTTPITLLLVWAALSICILLIFYLIFGACSTHCGWELLKSVMIAAASTGLSLMSVINFSTAQIGAMLIVPMCLLVQPLRKQMQAGVCRKAVLVICNLTIAVVGFPPVALLIAKGVCEDFGKAGVGAFWELAQLLWSWNSATYLYLLWIHLPCWVLCLHILLYPCIGRSSKA >Ma03_p31300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33562236:33566457:1 gene:Ma03_g31300 transcript:Ma03_t31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVELSLLCAAVASASDGGGGSRRVLHQPLYPVQWTPPPPPQEFLDPPPDTSEAAATSSGPSASSASKKVVAVAASASGVALVVLVLLGLFLYRLRRADRSPDAGKVMGRDDSGGRWEGRPASSEAAQDLLYPGTTDMVSTEASGWRQGSESNGSPYRKLGLERMLEMHHPSPELQPLPSLTATASRELIVPLSMASSDEDTFYTAQRSLASATSESPGSPVSRRSLPSMSSGGKEFLVLAAAEADSAPRSMQLTTYSKAEVRQIIPPMKQQPPPPAPPPPPPPPTIDKFTRNPRPPPPPPPPPPPVEAVEQDEQPIKAPVAPIAPFSRRRLLNPLPPEAARFNIPLPPAKVGNEVASSSRQIEEAREDLEGDAKPKLKPLHWDKVRASSDRAMVWDQLKSSSFQVNEDVIQTLFVNNTTASVPKDASRRQGILPFKWENRVLDPKKSQNIAILLKALKVTTDEVSEALLDGNPECLGAELLETLVKMAPTKEEELRLRGYTGDVSKLGSAERFLKAVLDIPFAFKRVDALLYRATFETEVNYLTKSFETLEAACEDLRSSGLFRKLLEAVLRTGNRMNVGTNRGQAKAFKLDTLLKLADVEGTDGKTTLLHFVMQEIMRSEGSGTEPTAESLSDKVREEQFKKQGLKVVAGLSSELGNVRKAAGMDSDVLSGYVSKLEIGLEKIRSVLQLAKSCTQGMNFYELMKKFLEEAEREIDRVKVEEKRVMSLVKETTEYFHGNAAKEEAHPLRIFTVVRDFLSVLDNVCKEVGMLHERTVVGSARSFHTSASALRPVLRRWGQDANSDEDSS >Ma11_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22048163:22053685:1 gene:Ma11_g16550 transcript:Ma11_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLWRGSAEGHAVSRSSSRLLFIWIRFFPNSARQPLFGLPFCFFSPLIDDFRRLDRGFHLGTTATARSVLFRLNSGEISPRFDGSGRLGCFSSTASSTQKEAKKILLLGLDNARNDDAAPYVPPLAQHQPTSEELSIGKIQFNAFDLVSLRHTKTELFWGCFARFGEWSFCNTAACSNLHFYKQNDSKPRQNRLSTLANIPFLLLGNKIDIPYAESEEELCFPSRPEQVHNRKGKGQPCGL >Ma09_p30420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40549186:40550416:-1 gene:Ma09_g30420 transcript:Ma09_t30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMLLGHSRGDLPMVPGDMVVNAMMATMAAHSKQQAEFIYHMGSSVRNPVTYATLEHCHFRYFLANPRVGRDGSVMPTKRLSFIKSMVRFRVFMTLRYKLPLEVMHLFNLLSCGRIARGYNELNRKYKYVMYLVELYKPYAYFDGCFDDLNMERLRMAMKKDDAEAKMFDFDPKHIDWEAYFSSIHIPGVMKYAFK >Ma09_p25610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37015651:37018192:1 gene:Ma09_g25610 transcript:Ma09_t25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTAQVQSSAVDFSLAIFLSGRLSSYAETCTAEAFAVFICDRPAMAQHRWSLFSVVIHLSFAAMVIPLVSSLSFNFPSFREDDQSFHEEADASFNGTVINLTRYPMQYATGRVVYNEPLLLWDADTRNLTDFTTNFSFIIDSVNQSSYADGLAFFLSPYGSTFPTYSRGGFLGLYSNSSMDNTTVKTVAVEFDTFSNDWDPKGDHLGIDVNSIISNKTVPWNSRVRDGRRANAWVNYDATTFNLSAFVTYGEDQLSNGSTSLSLTVDLRDFLPERVAVGFSATTGNLTETHTLLSWSFTSTMQSPEESRGNKKLVGIVVAAVVGVVVVLGGLLWFLLWRKKATARTVRSQGGTGRVVGAEDGVDSDDTIDDEFEKEGRPKRIPYQELVHTTRNFSEEGKLGEGGFGSVYKGNLDGLDVAIKRISKDSKQGRKEYVSEVKIINRLRHRNLVRLIGWCHARGEFLLVYEFMPNGSLNSHLYRSENPLGWPARHKIALGLASALFYLHEECEPYVVHRDVKPSNVMLDSAFNAKLGDFGLARLVDHDCGLRTTNLAGTLPYMAPEYLHNGTASKESDVYSFGIVALEIACGRRPMEAMLLAAWVWELHGRGTVLEAADKRLNGNFTEAQMERLMVVGLWCAHPDQTLRPSIKQAINVLNSEAPLPKLPPRRPRPVYRHPSDDMAAPATSSNFMTATYSSAGAGAASASASSSASAASSSSDVKPPTAPNSTNSLNISISPTSTYQKIHERMLHKVDV >Ma08_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11226559:11231164:-1 gene:Ma08_g14120 transcript:Ma08_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGTAAEEDENVDHYAVLGLPSGEEGAKLTLKEIEKAYRNQSRIRHPDKRPNDPNATSDFQQLKTSFEVLKDEASRCVFDARLRARRERLVRDSFLDAKRRKLATDLEERERAAEVAEAADPVKQAERREKDVAARLQEELAEFQARKAKKPAAAPTSTSKSSEQVKKEEHGGVALDKERMLKVSWERNSGDYTAVKLRELFEKFGGVEDVVIRSKASKKRGSAIVVMSSKEAAVAATHSMCGSLSNPLLVLPVQAVASDISSSFPTKSAEPVNPKLSNIIGAGFQDYEASIMKKLQKANDLKKNTQ >Ma09_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32347316:32367750:1 gene:Ma09_g21370 transcript:Ma09_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEGFGYHGNSFEQTYRCYPASFIDKPQLEIGDKIIMPPSALDRLASLHIDYPMLFELRSVETERVSHCGVLEFIAEEGMIYMPYWMMQNLLVQEGDIVRVKNATLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSSAVSIIETDCEVDFAPPLDYKEPERPQTSAPMIKAPVQVQDAQTEAKDEQKFTPFTGTGRRLDGKPSKDQASTIVSPMEDPKSEAVNSIKQSTPSTLQSNPSRQSMGKLVFGSSSIHASREAQKVASKEIKEEPAKKEEEPKFQAFTGKKYSLRG >Ma09_p21370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32347261:32367750:1 gene:Ma09_g21370 transcript:Ma09_t21370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEGFGYHGNSFEQTYRCYPASFIDKPQLEIGDKIIMPPSALDRLASLHIDYPMLFELRSVETERVSHCGVLEFIAEEGMIYMPYWMMQNLLVQEGDIVRVKNATLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSSAVSIIETDCEVDFAPPLDYKEPERPQTSAPMIKAPVQVQDAQTEAKDEQKFTPFTGTGRRLDGKPSKDQASTIVSPMEDPKSEAVNSIKQSTPSTLQSNPSRQSMGKLVFGSSSIHASREAQKVASKEIKEEPAKKEEEPKFQAFTGKKYSLRG >Ma03_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26573298:26575073:1 gene:Ma03_g21600 transcript:Ma03_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCSRNPAAFAFSMPDKPGKVDRTFHFRSTRKTTVYNARSRGAATGIRCCSGKKGREDSVPRNYYELLGVSTDSTPQQIKDAYRSLQKKHHPDIAGQKGHDYTLMLNQAYRTLMREESRSRYDTACGRRSEWSGSNFSGLGYSSWNGPLGSQALFVDEHRCIGCQECVHHASETFEMDEAFGSARVKVQFGDHAKNIEVSVDSCPVNCIHWVDAEELPLLEFLVRPQPKKAYGVFGGGWERPADVFAAAKSLKKQLKEQEEKKHNRDHKGDAEIEPETPAQTKARYHAGMKLQFQELLQMFGRLGEFFVAEEFKEK >Ma09_p31210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41031785:41032511:1 gene:Ma09_g31210 transcript:Ma09_t31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLIRRLSRVADSSLYCPLQTAKGGQRASKGEERRQVPEGHVPVCVGEEMERFAVPAELLGRPAFLELLRRSAQEYGYEQQGVLRIPCPVPLFRRLLLLSSYSSSGAAAVADPALEELFRSLPDEDRSPAASPT >Ma03_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2764845:2766749:-1 gene:Ma03_g04220 transcript:Ma03_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLYSSRSVLPADPFNEELMKALEPFITSVSTSSSPPPPSSNSIITHYHSSFAPSYAYQNPSFDHYSHQNHTFASHLHQDPIVDGCSLSPSSARIPRSFHNLEDQGLIGSAGLTHLNPAQIQQIQAQTQLQQQHQRLLAARQSPPNRHHRQAAGFFAPRPHPMKHAGSPPLPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAKEAALAYDQAAYRLRGGLARLNFPDLRAAGGSLHSSVDAKLQAICHSLADSSKQSAALLPTDAPNPEFNAAIAGGDSSPTMAEEDAMSKDELCCMGLEDHKTEISSEGEDSLGSPPVSEMQRLDFTEVPWDETESFVLRKYPSWEIDWDSILSSSN >Ma01_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2125555:2127412:1 gene:Ma01_g03270 transcript:Ma01_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTILMWLRTYRMVLSLVGPSTGRLASQQRSDFPTPRSRRWRRRRESGSLSSGACPGRSTGIDCPSARGLMSS >Ma02_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20776505:20778599:-1 gene:Ma02_g11730 transcript:Ma02_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPDNPSSASPYRCDHHHNPHGFLTFPFVVDVQKPLTTVPPVLQPKPPNSVTLALIEAKSILSLALPMVLTGLLLYSRSMISMLFLGRLGDLPLAGGALAIGFANITGYSVLSGLAMGMEPICGQAFGAQRHRLLGLALHRTVLLLLFASLPIALLWFYIRPILLLLGQDPALAAAASAYLHASLPDLLLQSFLHPLRIYLRTQSITLPLTACAAISVALHLPISYLLVSVLRLGIGGVALASVWTNVNLVLFLVAYIYLSDLHRSTGGLSFSTECFRDWRPLLNLAVPSCVSVCLEWWWYEIMIILCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVGNELGANRPDRARRAATVGLSCSFALGLFAFCFSVSVRHAWATMFTADSAILGLTSSVLPILGLCEFGNCPQTTGCGVLRGSARPSVGANVNLGSFYAVGMPVAVGLAFFTGLDFKGLWLGLLAAQTTCVLLMLLVIKRTDWITQAERAQQLTGAVSGAVNDALPSPPQKGMPVDHVDETGSLIIKIEQPSS >Ma02_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28558354:28559204:-1 gene:Ma02_g23620 transcript:Ma02_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATTLLPIVSKTFCSNSQTVLMIRKRPRNINGGGFVVMNTNQHIVFAVDGCGVLGVSGECVIRDGDGNSLLVIRRKGGVLQALSFNDQWRGYLMDYELPSKLVFSLQEQKSRIMMNSTTRIYIEPKKNRSWDFEVRGSFLERACIIRDRRGNVVAEVGKKEMMASKEFYFVVVQPSYDQAFVVAVIAILDYINGESTRC >Ma08_p25530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38327834:38329984:-1 gene:Ma08_g25530 transcript:Ma08_t25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGGSFAGPLRLVGFVYCSRVLTLALHLSHQAPNNSAA >Ma09_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11568714:11571098:1 gene:Ma09_g16210 transcript:Ma09_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPHTGGAGLVVANNDTIRSFLVSTAKDVRHLPDELRDLASALSSHSTVPYRSLRSIWSALPPADRPALRCLFAGAGFVLSSPKPREKSEELKERLKKLAESAERREYQELVKDIAPKREAAEPFSSYKDQIGFGLHVVLIMFTGYLVGFAAFRALFNHSAALNAAGGILGMVCGMLLETVLFIFRTSTKDVASSTQRSNKRKLL >Ma02_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:1278491:1279462:1 gene:Ma02_g00100 transcript:Ma02_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVKVALVFRNDLNKGCVLFVLV >Ma08_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35275508:35279378:-1 gene:Ma08_g21390 transcript:Ma08_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVQRVLSASVEVEGRVVSEIGPGLLVLVGVHESDTDADADYICRKVLNMRLFSNSKTGKAWDLSVKQSNFGVLLVSQFTLYGILKGNKPDFHVAMPPQKAKPFYESLVEKFRKSYTSDAVKDGVFGAMMKVNLVNDGPVTMQLDSSVSCSGSSEVVEVGEQ >Ma05_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2068351:2075070:1 gene:Ma05_g02990 transcript:Ma05_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPPHQQPDGMADDFFEQIFSLPSSYTAGGDAGLGGADGSLAGVPLHRRPADVPGGVGCAFPLGLSLEQGSSSGKRLREDPEGKAERDTFPSAGLFAPGFGHIQSHQMRPNPPPPQAFHGQAKQGGVAAFPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERIRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLIADIPLAVEGEAGKGGTNQQVWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDAHQPDAQPVKPEPDTPS >Ma07_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8070977:8073686:-1 gene:Ma07_g10830 transcript:Ma07_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHKLDIVSTGKNFTKIRKAITARFFFHAARMDHQEEYRTLIENQFVYTHPSSALFQRQPDCFIYHDSHQPQVAGRIGSKILQVCRSNKNQQAQVTGTDRTPTDIMSPTLRLSNHWA >Ma10_p28630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35449507:35453120:-1 gene:Ma10_g28630 transcript:Ma10_t28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKCTDLSLQISASSTTVIHGSSPNWDLFGDPSMEEPISSRRRSEVNEPKYGEPSLRLELKVQASGPDDHRRNQHPRSGVKRSLGKRSSRAPRMRWTTSLHAHFVHAVELLGGHERATPKSILELMNVKDLTLVHVKSHLQTYRTVRSTNRVAGQTQADMGMSKRTGSGEAEEVLAGDKAGNEFIIPSYTSLTSSTTPPRTLPNPQESFIHQVKGVLGNHHSNKVLYQTPFSTVTTSKVKKVRFLEAVEMQGLDQEPVMDGEEKQRLRTISATGPHTELGLHAKLLNMDISLGRESWCMDHADSSNDLTLIYL >Ma04_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22249595:22250656:1 gene:Ma04_g19650 transcript:Ma04_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSKKSPSSSRSPMAIEEEQGFPAGLTAEAYADLRHRVESHHRYQVGPGQCSSLVAQRIRAPAATVWSVVRRFDRPQVYKHFIRSCAVNGGGEIRPGCLREVSIISGLPASTSTERLDVLDEALRMTGFTIVGGEHRLRNYRSVTTVDELRGSGGTWAVVLESYVVDVPEGNTEDDTRLFADTVVRLNLQKLASVAEAQPLPAAAAV >Ma04_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1647263:1648360:1 gene:Ma04_g01880 transcript:Ma04_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPYVSLLSKAHAFVPCCRPADAAHFLATHSYSAASGAPQSSLMAEYLVSSCGFDPDQAAKASKLLGHVESRHQPDSVLGLFKSYGFDNTQVKKVISTNPRWLLLDVEKTLAPKFRALQDLGFSCSDITHLVRSNNHVISHKSQNILSKIQLWQGLLGSNDLLMKIWKRNRWFLGYSFEKTIQPSIEILRDCGITDQKLSMIIPQYPLLITRNAETLKALISRVEGLGVPRTSRMFLLILSVLLSVSEKNFKAHLEFFKGFGWSEDDFLAAFRKAPTFVQLSLKSLQRKMEFLVNEAGCAPSHLALRPDILLCSLEKRLMPRHQIVTGLKSRGVCISN >Ma02_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25134922:25139220:1 gene:Ma02_g18720 transcript:Ma02_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMAVASAFFPGPSSSSVVSAKASKAIGEGPENLGVRGIVAKPMSVSGAMEVKAQAQAIPKVNGTKVGLKTEAQKVEEDSPSAPRTFYNQLPDWSFLFAAITTIFLAAEKQFTLIDWKPRRPDMLADAFGLGKIMPDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVRSVGLLGDGFGSTPEMSKRNLIWVVTKMQVIVEKYPSWEDVVEVDSWVAPSGKNGMRRDWHVHDYRTGKTVLRATSVWVMMNKQTRKLSKIPEEVRAEIGSYFVDRGPIIEDDSRKLAKLDDSTADYVRKGLTPRWGDLDINQHVNNVKYIGWIIESAPISILESHELAGMTLEYRRECGKDSMLQSLTAVDDDDGDGSSPEGSPGAGIECRHLLRLECGAEIVRGRTEWRPKRAQGLQTKGPLQAATV >Ma05_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6844179:6846194:1 gene:Ma05_g09450 transcript:Ma05_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATTIPSIRIRISPHPSRRVALRPSAIVRCSGADGPLRRPAAPSLSPPPPPLSPPTSTSAQSTKPVELAAAAAAAAATKEMVVTLEYQRKVAKELQDYFKQKKLEEANQGPFFGFLGKNEISNGRWAMFGFAVGLLTEYATGASFVQQLKILLSNFGIVDLE >Ma08_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5260093:5263664:-1 gene:Ma08_g07690 transcript:Ma08_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKNWIKMGFLWFICLESVVLSATDPGDYAVLDEFRKGLSNSELLEWPTDNNDPCGNPRWPHVGCSGSRVTQIQLQSMGLSGPLPQNFNKLSMLTNIGFQRNKFTGKLPSFNGLSSLQRAYLDLNQFDTIPSDFFVGLDNLQVLTLDHNPLNQSTGWTLPSDLANSAQLMNLSLVECNLVGPLPEFLGSMKSLTALRIAQNKFTGGIPTSYSGMPLQVLWLNDQEGAGLTGSIDIIASMTMLSDAVVYGNQFSGPIPSSIGSLTSLKRLLLNSNQFVGLVPETLMSLPMLQSLRLDKNMLMGPIPKASFSDFNYAHNSFCQSTPGVPCSPQVDALLEFLEEVNYPSKLTRSWSGNDPCAGPWFGISCSDGKVSIINLQNSQLSGTISESLGKLDSLMNLILKGNNLTGPIPPSMTSLKSLKTLDLSYNNLSPPVPQFPSTVTVLLEGNKFAKIIIATVVGASVILFAILFWYCRRKGKTNVTAVPNSSILRLTNPDDPESSADLTPKITIGIGDHSGTPAINSGNLTITIQVLRVATKNFAPENVLGRGGFGVVYKGELHDGTLIAVKRMESGVPNNKAFDEFHSEIAVLSKVRHRNLVSILGYSAEDNERLLVYEYMHHGALSKHLFQWKEQGLEPLCWKKRLTIALDVARGMEYLHCLANQSFIHRDLKSSNILLRDDYRAKISDFGLVKFATNNKASIATRLAGTFGYLAPEYAVTGKVTTKVDVFSFGIVLMELLTGMMALDEKRPDESCYLASWFCRMKASSEDLRSIVDPSIDITDETFEGVSIIAELAGHCAAREPHQRPDMGHAVGVLAPLVEKWIPTTTGDQEQDYYALDFHQPLLQMVERWQHAGDTTSSINLQDSKGSIPARPAGFAESFNSADGR >Ma11_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5957848:5964943:-1 gene:Ma11_g07500 transcript:Ma11_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MASMALSAAAAADLSSGRSYGVATWTHPRRSQNGLSLSVPSVKCKLVEPLRSNNGNPPGLQFLYDRTMPSAVTMPIMQGISSKYDTRLRIFSGTANPSLAQEIASYLGLELGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLVMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVIACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMMDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIVTNTIPVLEQRNFPQLTVLSVANLLGETIWRVHDDCSVGYEPYSSLDID >Ma11_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23519966:23532129:1 gene:Ma11_g18470 transcript:Ma11_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLLLLPFLFSLLAAAAAAAAEARANKSSSLEGLFWATGKDESELLAMVESKEEPSAREDEIDGFAGGFSSLDGMLQWAIGHSDPEKLKEKAMDVQRLSEDELKRRRLEIKELMEKLKTPSDAELMKIAIADLNNSSITLEDRQRALNELLFLVEPIDNANDLDKLGGLVVVIRELDNTETDIRTTSAWILGKASQNNALVQNQILTHGALTKLMKMVNSSFTEEAVKALYAISALIRNNEIGQRLFYSEEGYIMLQDIMGNSSIDIRLRKKAAFLVADLADYQLQYADNSELHFLGDRFFLKAVVDLILTPDLDLQEKALLAVRSLLQLTSTDASDLRDFCSLDRILESTRKDLEKLMLEEDLRDFVNEIENLRREVAIIFHSKLEKVAWVPT >Ma08_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35896410:35898070:1 gene:Ma08_g22250 transcript:Ma08_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLAQRCFLSSSSGHASLSRSREQRKSPAFVALRRSGFHGRTLALGAPRGKLLRGRVSSVPVQMTPSIGKSLKWWEKGLQANMKEIESAQDLVDSLLNAGDKLVIVDFFSSGCGGCRALHPKICQFAEKNPNVLFLQINYEKLKSMCYSLHVHVLPFFRFYRGAHGRLGSFSCTNATIKKFKDALAKHSTDRCSLGPAKGLEESELLALAANTDLSFNYAKMPSLFPSPDDVAERARASPKFPVLATLSDTQDSEDKAMVTAGR >Ma05_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32150156:32155872:-1 gene:Ma05_g20460 transcript:Ma05_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSVPWARPSAFGLVAPSPLLVSCRPGAPVRAFRRSDLDGFARRVASGEALRDAWRSANNGIEQVAFEARRAAERLDRRYDLFRRFDSAARAAANWARKIDQELGIGRQWRSFSVDFSRNWPRYRRELNGFLQTPLGRGVATIFFLWFALSGWLFRFFILATWVLPFVAPLLIGTLANNFAIQGTCPACKRQFVGSRNQVIRCTGCKNIVWRPRDGFSRGRNDSSSKASEPDIIDIEIEEK >Ma02_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23896377:23908846:1 gene:Ma02_g16610 transcript:Ma02_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHLCKWLPFLHLLSCLPVLALAARSTPSSTFKAVNLGGWLVTEGWIQPSLFDGIPNKDFLDGTQLQFKSVTQQMYLCAESGGGTIIVANRSSASGWETFKLWRITESTFQFRVFNGQFVGLSDQGNGVDVVAVSTSPGESETFEIVVNANDSNRVRIRSTNGLFLQAKSDVLVTADYPESTSWGDDDPSVFLMTKFGTLQGEYQVTNGYGTEAAAVMTEHWNTFITEDDFKFISGTELNAVRIPVGWWIASDPSPPSPFVGGSLRALDNAFTWADKYNLKVIIDLHAAPGSQNGYEHSASRDGSIDWGTTDSTIDRTVAVIEFLAARYAQRQSLLAVELLNEPRASGVPLDTLKKYYQAGYDAVRKHTTSAYVIMSNRLSGSNTELLQFASGLSRSVVDVHYYNLFSDIFNGLTVQQNIDYVNNNRSSELNTVITANGPLVFVGEWVAEWTLSGASKEDYQRFAQAQLDVYGRATFGWAYWTLKNVENHWSLRWMIDNGYISLYFMAEVSVTAIIPRGKIASIPSLRCNPTCERRGDAMQRSRFLLAGYTAARSVSGLRGYRPLSSASADTPAQVGTKKRSLPNPKWMKETIPGGANYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRDPSCVEKVAKPGLNVFVHVFETVEELLNMRHQLCWVVAKPEQVIFTVEKVRAAGVDVMTFGQYMKPQHHCRKCRSNLEAFYHLSSCKISITVSSHELNFCHRICHSLAMASHHLCKWLPFLSLLSCLPVLALAAGSTPSSTFKAVNLGGWLVTEGWIEPSLFDGIPNKNFLDGTQLQFKSVTQKMYLSAEGGGGTIIVANQSNASGWETFKLWRITESTFQFRVLNGRFVGLSDQGNGVDVVAVSTSPGESETFEIVNANDSSRVRIKSTNGFFLQAKSDVLVTADYPESTSWGDDDPSVFLMTNFGMLQGEFQVTNGYGTEAAAVMTEHWNTFITEDDFKFISGTELNAVRIPVGWWIASDPSPPSPFVGGSLRALDNAFTWADKYNVKVIIDLHAAPGSQNGYEHSASRDGSIDWGTTDSTIDRTVAVIEFLAARYAQRRSLLAVELLNEPRASGVPLDTLKYYYQAGYDAVRKHTTSAYVIMSNRLSGSNTELLQFASGLSRSVVDVHYYNLFSDIFNGLTVQQNIDYVNNNRSSELNAIITANGPLVFVGEWVAEWEVSGASKEDYQSFAQAQLDVYGRATFGWAYWTLKNVENHWSLRWMIDNGITAIEFGQWSPYPCTFKAGAVTDVGGAAIEEDGGFDGGTEIEDDGAFAGGSTTGPDGGLDERASTEAGGALDEGASTEPNGGFDGGDEMELDGGVAGGG >Ma10_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1752896:1754926:-1 gene:Ma10_g00500 transcript:Ma10_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLQVSSMSSVGLKTIPASVVHVNFTSSLTVLDLSYNNFNSTLPKWLWNITSLTHLDLYNSGFHGVIPDAIGDLGSLTVLNLGVNQLEGKLSGWLEQMTNLIILNLGFNLFNGSIPSSVGKLSNLTELNLGENSFGGVISQVHFENLTRLRGLDLYGNSITISIGQSWIPPFQLRLVGLTNCQLGPQFPEWLQFQTQIEELFLKDFKIAGTMPAWFWNISSSTIIDLDLSNNQIGGKLPSSLKFTKLKRLYLDSNRFEGSLPTMLPSILHTLSLFNNSFTGQLPIWPYVQSVALSDNMLDGGLSSSICQWTYLKFLDLSNNKLFGEIPYCLGKSLQNLQFLDLSNNHLSSEIPYTIGFLSGLSLLQLKNNSFSGEVPLSLKNCTNLWFLDLTQNNLVGSITLWMGENLQQLVVLRLRSNMFSGVIPWQLARFERLQILDLANNYFSSSIPHNIGNLSAMRSTSQYYEYCDCELDIFTKGQDLYYLKCSITLMKSLDLSNNRLTGEIPEGIGDLAELKNLNLSRNHLQGKIPWDIGGMKLLESLDLSINDLSGSIPGSLSALYFLSYLNLSYNNLSGMIPSGNQLQTLIDPSIYMGNADLCGPPTSKSCSNNKTTQNDIQEYEKEIPEWLWFYISMVLGYVMGFWTFCGILFLKDTWRHVYFHMIDDIYDRFWVQ >Ma09_p16380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11765033:11772651:-1 gene:Ma09_g16380 transcript:Ma09_t16380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEKRGGERGEESVKLFVGQVPKHMTEEELMDLFKEVALVDEVSIIKDKVTKASRGCCFLFCPSRQEADKAVAACHNKRTLPGASSPMQVKYADGEPERLEHKLFIGMLPKNISDTEVAVLFSKYGTIRDLLILRGSQQISKAGCAFLKYETKEQALTALEALNGKHRMEGSSVPLVVKWADTEKERQVRRAQKAQSQASNVSNPSSMQQPSIFGALPMGYMSPYNGYGYQPPGTYGLMQYPLASMRNQAAFHNMIPSVNQGNAVRGISSDVSPGTGPRNLNTTQPGGFIGSPYPILSGLQYPLAYPADSGHLGDSNGSGHPVNIKTNPAKSSSPTTNSGTQIEGPPGANLFIYHIPQEYGDQELSNAFQGFGRVLSAKVFIDKATGVSKCFGFVSYDTPAAAQAAINVMNGFQLGGKKLKVQLKKENKVNHINGEIRL >Ma09_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11765033:11772651:-1 gene:Ma09_g16380 transcript:Ma09_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEKRGGERGEESVKLFVGQVPKHMTEEELMDLFKEVALVDEVSIIKDKVTKASRGCCFLFCPSRQEADKAVAACHNKRTLPGASSPMQVKYADGEPERLEHKLFIGMLPKNISDTEVAVLFSKYGTIRDLLILRGSQQISKGCAFLKYETKEQALTALEALNGKHRMEGSSVPLVVKWADTEKERQVRRAQKAQSQASNVSNPSSMQQPSIFGALPMGYMSPYNGYGYQPPGTYGLMQYPLASMRNQAAFHNMIPSVNQGNAVRGISSDVSPGTGPRNLNTTQPGGFIGSPYPILSGLQYPLAYPADSGHLGDSNGSGHPVNIKTNPAKSSSPTTNSGTQIEGPPGANLFIYHIPQEYGDQELSNAFQGFGRVLSAKVFIDKATGVSKCFGFVSYDTPAAAQAAINVMNGFQLGGKKLKVQLKKENKVNHINGEIRL >Ma09_p16380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11765032:11772651:-1 gene:Ma09_g16380 transcript:Ma09_t16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEKRGGERGEESVKLFVGQVPKHMTEEELMDLFKEVALVDEVSIIKDKVTKASRGCCFLFCPSRQEADKAVAACHNKRTLPGASSPMQVKYADGEPERLEHKLFIGMLPKNISDTEVAVLFSKYGTIRDLLILRGSQQISKAGCAFLKYETKEQALTALEALNGKHRMEGSSVPLVVKWADTEKERQVRRAQKAQSQASNVSNPSSMQQPSIFGALPMGYMSPYNGYGYQPPGTYGLMQYPLASMRNQAAFHNMIPSVNQGLQYPLAYPADSGHLGDSNGSGHPVNIKTNPAKSSSPTTNSGTQIEGPPGANLFIYHIPQEYGDQELSNAFQGFGRVLSAKVFIDKATGVSKCFGFVSYDTPAAAQAAINVMNGFQLGGKKLKVQLKKENKVNHINGEIRL >Ma02_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18744147:18748585:-1 gene:Ma02_g08340 transcript:Ma02_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDATTVLQQRDDPSSCCFKRFWSAYRRGREYMSTFFLNPSNQMDKIPNLHMREPGHYRSSGASVLGNLQYHNYSSSIAISDTLAAGDTQPQQNSIELSVSLGSTRGNLDTVTSCTGEHAYGSWKDGKNEMSFMQTVSVAIEDEEDLLHGNEPQISLQRELGMLDRQSLSLQLSDVSTMPCQGLSLSLTTQIPVPSIQYLPGSSDLSLFCPHQTTSGSGVSCRNQNYQNMTVHANNCQHSHPSVASPVLNFKYLKAGQQLLNEVVNVHKALKQHSDKAQNLHSSPINSMDKDGTAKSKGEGKSTNPQDSTINSSTELSLSETQDLQDKVTKLLAMLDEMQTTVSSFDEVVGPEAAKPYTALALQTISRHFRCLRDAIGG >Ma02_p08340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18745044:18748585:-1 gene:Ma02_g08340 transcript:Ma02_t08340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDATTVLQQRDDPSSCCFKRFWSAYRRGREYMSTFFLNPSNQMDKIPNLHMREPGHYRSSGASVLGNLQYHNYSSSIAISDTLAAGDTQPQQNSIELSVSLGSTRGNLDTVTSCTGEHAYGSWKDGKNEMSFMQTVSVAIEDEEDLLHGNEPQISLQRELGMLDRQSLSLQLSDVSTMPCQGLSLSLTTQIPVPSIQYLPGSSDLSLFCPHQTTSGSGVSCRNQNYQNMTVHANNCQHSHPSVASPVLNFKYLKAGQQLLNEVVNVHKALKQHSDKAQNLHSSPINSMDKDGTAKSKGEGKSTNPQDSTINSSTELSLSETQDLQDKVTKLLAMLDEMILLTEKVCGQ >Ma05_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29406589:29406699:1 gene:Ma05_g19850 transcript:Ma05_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYTMPTMKVVQVYICNYEVYDYDPINKVPHSSSA >Ma01_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13317091:13320320:-1 gene:Ma01_g18010 transcript:Ma01_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKVFKCGRCKVDSASHDAIISKDFHGRYGRAYLFKSVVNITLGPDEDRHLITGLHTVNDIYCSCCQQILGWRYEKAYEESQKYKEGKYILEKARMSKEGW >Ma10_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1785620:1786664:-1 gene:Ma10_g00560 transcript:Ma10_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLRFLSSLSLCLLALLLHRATVTSGCFSMEREALLDFKAGIHDTHNRLSSWVGQDCCAWEGVICGATTGHVVMLDLRNTNITDDWALRGEGMMNSSLLALSHLKHLDLSFNDFSGIRIPEFIGSFKKLRYLNLSSTRFMGGIPARLGNLSSLYVLDLSYALHFSSLDNLDWLSHLTSLKNLDLSWLNLTGAPDWFSSVNMLPSLQVLSMSYVGLKTIPASVVHVNFTSSLTVLDLSFNNFDSTLPKWLGNITSLTQLDLHYSGFYGVIPDAIGDLGSLTFLDLGFNQLEGTVPRSMVDLRRLKELHMPRNQLTGNLSGWLEQMTNLIILDLSYNLFNGSMPSSSV >Ma04_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22891038:22895536:1 gene:Ma04_g20190 transcript:Ma04_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDKERKVLLFACRNCYHQEVADYSCVYRNEVHHTASERTQVLQDVAADPTLPRTKAVKCSKCNHPEAAFFQAASRGEEGMTLFFVCCNPSCGHRWRES >Ma04_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7340262:7341083:1 gene:Ma04_g10280 transcript:Ma04_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIHPTVSKIDDQEELTTTTTTTNSPSVWTVWKKSSMGFHGSDGFSIYDGKGRLAFRVDNYSRKHKCFAGEILLMDGDGKAVMALRPQILSMHDRWSGFKGEDDVETSSSPRVFSMRRRSVLQGGDEAEVFMDAPDRRSPEPDFRTEGCFRRRNCKIMDRDGQEVARIFRKEVNESVTLSDDVFSLIIQPNKDAELIMAFLVVMDRIC >Ma06_p25460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25798727:25803878:1 gene:Ma06_g25460 transcript:Ma06_t25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASAGTAAAQAWLLTPPVDRSRFPRNLFGVCSRSLLPPPKLWFLSRHPERGVSLISRAKRRGSASQRPPPKQATLEEEEEEEEEEVDEERVVVAEEGLEVEFSDDLVEVMEDDDNFEDELEENDFEEEEANLFVGDGGAGGGISLAGMWWDKEALALAEEVSMSFDGDLKIYAFKTTANSTIRVRIEKISTKYGSPSIDDIEAFSKAYRSRLDEAELAERIPDNISLEVSSPGLERVVRIPDELERFKDRPMYVKYISDGAASASPQESDGVFKLISYDMELCQCTWGLADVKINRQKAGKGRPLSKKQREWRLQTPFESLCLVRLHSDC >Ma02_p12340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21103001:21111761:-1 gene:Ma02_g12340 transcript:Ma02_t12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIPPRGPEVEGDEREESEDEDDEDNEPTVDDSVVVSFRPDEAVYVDLSETIAETAAAELSISEAFEEALEAVRRSEESLPGAVEVVVDSDEETEGEDANDGRDEQEEVVETTEEKGKGGGGESEGLDKTDGVELDRSLFPSCPVCFETWSADGPHRVCCIPCGHVYGRSCLERWFKQFGKNIGKCPQCNRKFRRKEMINLYAPLIVVPNDDLEKEVHSLREQNESLKLEKAELIMEINKHKKHARDAESSLRQKMACLEHTLSGSKMRRHFKSSDGRWISTAHPLENNFESVRDDGLHHCRFVLQNELELDGARVMGIDGSSQIIMVSGKSPGIEREHVLSKISLLSQNEVETIQLPPNTKAIRDLTILPDGLALLASLGKKLLLFSMRSNNLVLKYDLPAPAWSCSGDNSNTHYVYTGLQ >Ma02_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21102103:21111761:-1 gene:Ma02_g12340 transcript:Ma02_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIPPRGPEVEGDEREESEDEDDEDNEPTVDDSVVVSFRPDEAVYVDLSETIAETAAAELSISEAFEEALEAVRRSEESLPGAVEVVVDSDEETEGEDANDGRDEQEEVVETTEEKGKGGGGESEGLDKTDGVELDRSLFPSCPVCFETWSADGPHRVCCIPCGHVYGRSCLERWFKQFGKNIGKCPQCNRKFRRKEMINLYAPLIVVPNDDLEKEVHSLREQNESLKLEKAELIMEINKHKKHARDAESSLRQKMACLEHTLSGSKMRRHFKSSDGRWISTAHPLENNFESVRDDGLHHCRFVLQNELELDGARVMGIDGSSQIIMVSGKSPGIEREHVLSKISLLSQNEVETIQLPPNTKAIRDLTILPDGLALLASLGKKLLLFSMRSNNLVLKYDLPAPAWSCSGDNSNTHYVYTGLQNGMLLVFDIRQTAVPMQVMEGLTGHPVHTVHSVVLSDGGSRVLTASSSGPCIWEVGSSCGRPFLIPEMENRGVCISLACCGSLDSMVASFRQKVELFNDSMTSQIPMSPSPSPILSTTGKIGSHVLITGVDGLSFQSQEVGKSIVSELRMPKSAILCTEGDNSLFAYGDESSHGVHIWGLPSFRTYAKLKPHQHPILDLRYDRRSTGPGFLGCISEDRLQVFSCF >Ma02_p12340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21102945:21111761:-1 gene:Ma02_g12340 transcript:Ma02_t12340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIPPRGPEVEGDEREESEDEDDEDNEPTVDDSVVVSFRPDEAVYVDLSETIAETAAAELSISEAFEEALEAVRRSEESLPGAVEVVVDSDEETEGEDANDGRDEQEEVVETTEEKGKGGGGESEGLDKTDGVELDRSLFPSCPVCFETWSADGPHRVCCIPCGHVYGRSCLERWFKQFGKNIGKCPQCNRKFRRKEMINLYAPLIVVPNDDLEKEVHSLREQNESLKLEKAELIMEINKHKKHARDAESSLRQKMACLEHTLSGSKMRRHFKSSDGRWISTAHPLENNFESVRDDGLHHCRFVLQNELELDGARVMGIDGSSQIIMVSGKSPGIEREHVLSKISLLSQNEVETIQLPPNTKAIRDLTILPDGLALLASLGKKLLLFSMRSNNLVLKYDLPAPAWSCSGDNSNTHYVYTGLQSLIFVKQQCPCK >Ma06_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10175599:10181036:-1 gene:Ma06_g14940 transcript:Ma06_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFALLGDEAQSQHQPQARAVPFSAAHRYVTAKATPISLHPRAHHHLGAASSSAPSVIVSPGKKGVVVDGGGENHEGYGEAFGQTDASKCPSASAFHEHPCFAEDANPFATQHAADDDDDDDGDADNPEVKGAATSASHHHHLQHIHHQQQPHHHSPKRKDRDDISDGESPYCYNSSMAANKKSRPMSSSGDYRKDREEWSDTAIGSLLDAYTERYEQLNRGNLRGRDWEDVATIVSERCNKQKVGKSVEQCKNKIDNLKKRYKVECQRLSIGGLPTSHWPWFKKMEQIVGSSSSLKAAPDDDKSVTLGGPPAVMRQIKRHPIAPSGPLSTNINSKMKSLSNPRWKRVILKISGMALAGAGPQNVDPKVIMLIAKEIAIASRAGLEVAIVIGGRNFFCGDTWIAATGIDRATTYQIGMMASLMNAMMLQASLEKIGVEARIQSTLLMQEIAEPYIRRRAIRHLEKGRVVIFGGAGAATGNPLFSTDTAAALRASEIHADAVLKGTTMDGVFNCHPRNNGGSAFEHISFRELVSRGFTAMDMTALNFCEENNIPVVIFNLLEPGNVSKALCGDQVGTLVDQSGRIN >Ma06_p14940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10175599:10181010:-1 gene:Ma06_g14940 transcript:Ma06_t14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFALLGDEAQSQHQPQARAVPFSAAHRYVTAKATPISLHPRAHHHLGAASSSAPSVIVSPGKKGVVVDGGGENHEGYGEAFGQTDASKCPSASAFHEHPCFAEDANPFATQHAADDDDDDDGDADNPEVKGAATSASHHHHLQHIHHQQQPHHHSPKRKDRDDISDGESPYCYNSSMAANKKSRPMSSSGDYRKDREEWSDTAIGSLLDAYTERYEQLNRGNLRGRDWEDVATIVSERCNKQKVGKSVEQCKNKIDNLKKRYKVECQRLSIGGLPTSHWPWFKKMEQIVGSSSSLKAAPDDDKSVTLGGPPAVMRQIKRHPIAPSGPLSTNINSKMKSLSNPRWKRVILKISGMALAGAGPQNVDPKVIMLIAKEIAIASRAGLEVAIVIGGRNFFCGDTWIAATGIDRATTYQIGMMASLMNAMMLQASLEKIGVEARIQSTLLMQEIAEPYIRRRAIRHLEKGRVVIFGGAGAATGNPLFSTDTAAALRASENAVLKGTTMDGVFNCHPRNNGGSAFEHISFRELVSRGFTAMDMTALNFCEENNIPVVIFNLLEPGNVSKALCGDQVGTLVDQSGRIN >Ma03_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14771558:14772503:1 gene:Ma03_g14960 transcript:Ma03_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTPKKLLQLKLLVHQNSGQVLYAEAGKDFVDFLFGLLGVPLGHAARLLTEDHMAGCLPTLCKSFHELEDGYFVHPADDRDAVFVPDASSPAFDILRSSSPSPPQTAKIYYRCSGADTSHYYFDNYNSCYGNYGHVTDVYGTPCPSCEKEMTTEMRFVPGRRDVKGKQVAAMEAAGGGYVKGVLTYMVMDNLAVMPMSTISAVTLLNRFHVTDLKSLSEWKVEVGKNEGSELLKASLRSKTVLTDVFVRKWKRGVLVE >Ma02_p12600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21258357:21261186:1 gene:Ma02_g12600 transcript:Ma02_t12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFSVDLNKPLVFQVGHLGEDYEDWVHRPIVTKEIPRFFANGFMECLTRTVWWVVPVIWLPVVFWCLTISIRMGNTLPQLVPLVSSGILLWTMIEYSLHRFLFHMKTKGYWGNTIHYLFHGCHHKYPMDGLRLVFPPAATAIICVPFWHLLHLLMAPSAAPAVFGGGLLGYVIYDCTHYYLHHGHPSKHPAKHLKRYHLNHHFKVQNKGFGITSSLWDIIFGTLPPAKTSHQKN >Ma05_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19870111:19902339:1 gene:Ma05_g17300 transcript:Ma05_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVFAMLVLCLHLGTLCCAINKLRPALSPARSPEVLPKAIMGPGPAPAFAPKHHVHSHGPLAKHHHRMRHAPSPTLSIPPRGQDCDEVICSEPLTSTPIGSPCGCVSPMRVVIDLGVAPYLLFTHIAEFEVEVATGTFLKQSQVKIMAAFGLIQNQGKTRVTIYLVPLGEKFDTTTALLIYERLWQKKVPIEISLFGDYEVIYVHYPGLPSSPPSVSDGSTEFRPSYNGNHQYPFTADVSSGRARKLNAGIIAVISVSSFTLILACIGIVLFILKWKNLRQPSPPQGSAVTQSDTGRSGIKSTISNSVASSPSASFISVMAACPPSLRTFSMAELEKATDKFCSEKVLGEGGFGRVYHGIMNDGNEVAVKLLNKGNQNGEHEFISEVEMVSRLHHRNLVKLIGICIEGNKRCLVYELVRNGSVESHLHGADKKKGPLDWDARMRIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTAKVTDFGLAREASEGSQHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVYMSESEGPENLVTWARSLLASREGVEKLMDPSLHGKCDLDDVARVAAIASMCVHAEPSQRPFMGEVVQALKLIYNDMEETCEGSYSQKESSTGMDDDYRGDFGAESSWWRNGVSPLSYRHGSPFITMDYSSDPMDELQRPHSTSQLASRTEARYNRSGPLRTKKKISDRLRGSISEHEHHSRHLGMKDHYGSSL >Ma05_p17300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19870111:19902339:1 gene:Ma05_g17300 transcript:Ma05_t17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVFAMLVLCLHLGTLCCAINKLRPALSPARSPEVLPKAIMGPGPAPAFAPKHHVHSHGPLAKHHHRMRHAPSPTLSIPPRGQADCDEVICSEPLTSTPIGSPCGCVSPMRVVIDLGVAPYLLFTHIAEFEVEVATGTFLKQSQVKIMAAFGLIQNQGKTRVTIYLVPLGEKFDTTTALLIYERLWQKKVPIEISLFGDYEVIYVHYPGLPSSPPSVSDGSTEFRPSYNGNHQYPFTADVSSGRARKLNAGIIAVISVSSFTLILACIGIVLFILKWKNLRQPSPPQGSAVTQSDTGRSGIKSTISNSVASSPSASFISVMAACPPSLRTFSMAELEKATDKFCSEKVLGEGGFGRVYHGIMNDGNEVAVKLLNKGNQNGEHEFISEVEMVSRLHHRNLVKLIGICIEGNKRCLVYELVRNGSVESHLHGADKKKGPLDWDARMRIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTAKVTDFGLAREASEGSQHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVYMSESEGPENLVTWARSLLASREGVEKLMDPSLHGKCDLDDVARVAAIASMCVHAEPSQRPFMGEVVQALKLIYNDMEETCEGSYSQKESSTGMDDDYRGDFGAESSWWRNGVSPLSYRHGSPFITMDYSSDPMDELQRPHSTSQLASRTEARYNRSGPLRTKKKISDRLRGSISEHEHHSRHLGMKDHYGSSL >Ma09_p28610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39296328:39298152:1 gene:Ma09_g28610 transcript:Ma09_t28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial-type ATP binding cassette (ABC) transporter, Al toleranc [Source: Projected from Oryza sativa (Os05g0119000)] MFSPRSILDLLRSMDLYGQSSSWMGFLGGMIKPVVSTAVVVMAVILSFSQKLGLEGEMVQATVRSFLQLSVVGFVLQFIFAQKNAGWVLLAYLFMVSVAGYTAGRRAAHVPRGKWIAGASILIGTTATMFLPIALDVLPFTPQFFIPVSGMMVGHAMAVTGVTMKQLHEDIKAQRNLVEAALALGATPRQATMQQATRSLVVALSPDLDSVKTVGLITLPGTMTGLIMGGASPMEAIQLQIVITNMLVGACVMSSILSTYLCLPVFFTKAYQLDHHVLAAAN >Ma09_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17625414:17626244:-1 gene:Ma09_g18320 transcript:Ma09_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAMGFAEGVTTSLLGKLGNILAEEAGLLAGVEDDIQYIMEELKSMDSFLAVLSSSLDHNKQVKTWMDQVRDLAYDAEDCVDVFRHRLRRSRHQHPLAGVLLRTVRLLRTLEARHSIATDLRKLKLRARDVSERRARYALGIGPSPGGARSFSSSSASSSSGLLRRCASFVKEVGPMGMDHYKRDIVGRLMEENDPQLKVISIVGIGGLGKTTLAKMVYQSSAVTGGYFQARAWIEMPRCFKIEPLLRNMIRQFSSMGQQILDILGVDPMNPGAK >Ma11_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1486763:1488299:1 gene:Ma11_g02090 transcript:Ma11_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRFGIFRDCKCQVRREKCEVVAPISSSSFNLSEEYTNNFRTESYHEFWAQVLGLAFDDGAALDPRGSGTTARLPSHRLLAEHLLHPDQPTVTKILAHIRTRCRPDIHALLSDYYAETADASLLCGLLLKDIGQIRRRYRPFKAALHSVIYDSQSHHGLQTIIDNLVDISKTTNPFLSSASSQSKFRAVQHGCADLLKRLGSRRKKVMAKLRFINRLKRALAISAVVVLAASASIVGACVTMHALVALIALPVFLSASSRMASSRWPGRVMAQLDAAAKGTYILNRDLDTISRLVARLDDEAEHMLALLSLCEWHDGHRRRLTQEVVRQISKNLASFNQQLDELEEHLYLCFMTINKARRLVMKELLVANASRVQKNSTRCSFPGY >Ma11_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4326079:4326501:1 gene:Ma11_g05650 transcript:Ma11_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVTPSTVRTLGSAWWSRRTATMSGHTISAAMWSGVFPVVPARQLTSAAPSSRSAFTTSRLQFSTA >Ma03_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23102070:23102734:-1 gene:Ma03_g17580 transcript:Ma03_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSGFTPRKTPPMLASLLLVLLLLEKSTAVEVVVKLPCSRKVGRCLLEDGVELEMNSEENRRLLWAVTEKKYISYEALKGDVVPCSKPGVPYYNCHSFPKANPYSRGCQIISGCRGDSP >Ma08_p28160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40195562:40207456:-1 gene:Ma08_g28160 transcript:Ma08_t28160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASYLVLGRGFSCAKTPTRPPIACLRLSRAVSCKMRNRHLSSQQKRQQFKRAPTERLSLNMNAQSHDNIGCEPEEASSAGVSHSSQITIPSNDAESDSNSETDVAVSSFEETNMVIENNDEQEHPSIHLEDLIATIRNAEKIEELEKILSEKEALQAEINNLEMKLAETDARIKVAAQEKINAELLENQLEKLKTEISSRNSIEEGKHGTLDDESPLVLELNDLRKENALLKDDIQMLKLKLADVSKTEERLPLLEKRHSLLEASLMELQSQLSNAQDDVSRLASLRYECKALLDKVENLQALFNDASRVMDQPSLGLQQYHELQKKVDKIEALVTEATVSKFPSENFHHYDETLREKIELLEKQLWESDQEMHSQIQLFQESVREFHDALERLKEEIKKRSQELSLENLPQEFWSRLLLIIDGWLLEKKISVNDAKLLREMTWKKDAQIRDAYLASRSKSEHEKLATFLKLTYSRTSPGMHIIHIAAEMAPVAKVGGLGDVISGLGKALQRKGHLVEIVLPKYDCMQYDLITDMKALDVVVESYFDGQLFRNKIWVGIIEGLPVYFIEPHHPAKFFWRGKYYGEYDDFKRFSFFSRAAVELLYQAGKKPDIIHCHDWQTAFVAPLYWDIYAAKGFNSARICFTCHNFEYQGTAPASELASCGLDVHQLNRPDRMRDHSADDKVNTVKGAIVFSNIVTTVSPTYSQEVRTVEGGRGLHETLKSHSKKFIGILNGIDTDAWNPSTDNYISVQYSADDLQGKAENKDAIRKCLKLSGSEGSQPLVGCITRLVPQKGVHLIRHAIYCALELGGQFVLLGSSPVPHIQREFEDIANHFQSHSHVRLLLKYDDALSHLIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDVDDNTIPEQYQNGFTFVAPDEQGLSSAMERAFQHYIRSPESWQQLVQKDMRLDFSWDSSASQYEELYERSVARARAAARV >Ma08_p28160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40195562:40207456:-1 gene:Ma08_g28160 transcript:Ma08_t28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASYLVLGRGFSCAKTPTRPPIACLRLSRAVSCKMRNRHLSSQQKRQQFKRAPTERLSLNMNAQSHDNIGCEPEEASSAGVSHSSQITIPSNDAESDSNSETDVAVSSFEETNMIENNDEQEHPSIHLEDLIATIRNAEKIEELEKILSEKEALQAEINNLEMKLAETDARIKVAAQEKINAELLENQLEKLKTEISSRNSIEEGKHGTLDDESPLVLELNDLRKENALLKDDIQMLKLKLADVSKTEERLPLLEKRHSLLEASLMELQSQLSNAQDDVSRLASLRYECKALLDKVENLQALFNDASRVMDQPSLGLQQYHELQKKVDKIEALVTEATVSKFPSENFHHYDETLREKIELLEKQLWESDQEMHSQIQLFQESVREFHDALERLKEEIKKRSQELSLENLPQEFWSRLLLIIDGWLLEKKISVNDAKLLREMTWKKDAQIRDAYLASRSKSEHEKLATFLKLTYSRTSPGMHIIHIAAEMAPVAKVGGLGDVISGLGKALQRKGHLVEIVLPKYDCMQYDLITDMKALDVVVESYFDGQLFRNKIWVGIIEGLPVYFIEPHHPAKFFWRGKYYGEYDDFKRFSFFSRAAVELLYQAGKKPDIIHCHDWQTAFVAPLYWDIYAAKGFNSARICFTCHNFEYQGTAPASELASCGLDVHQLNRPDRMRDHSADDKVNTVKGAIVFSNIVTTVSPTYSQEVRTVEGGRGLHETLKSHSKKFIGILNGIDTDAWNPSTDNYISVQYSADDLQGKAENKDAIRKCLKLSGSEGSQPLVGCITRLVPQKGVHLIRHAIYCALELGGQFVLLGSSPVPHIQREFEDIANHFQSHSHVRLLLKYDDALSHLIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDVDDNTIPEQYQNGFTFVAPDEQGLSSAMERAFQHYIRSPESWQQLVQKDMRLDFSWDSSASQYEELYERSVARARAAARV >Ma02_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16068376:16071800:1 gene:Ma02_g04710 transcript:Ma02_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMGDLFEHIDDLLDFPDDVLGLVEPCNQSAHLFLPAATVPASADGLLGGVGEDSLDGSSGRSNKSGAPFSAAEDKLGPCDELDVLQLEWMSKFLDDSDSFPLDLPSCNAVTNNGNDENSDAQPKAKAHSFFRTSSPVSVLEDNTRGSGGSDSSSSSSSSSSWTSASYCKDAKVLLPPISPPEAPSVMAVPARARSKRPRPAAFSLRPHVTIPYLPPSSDAVTEVHPLSAAANSDPESFGESCPPPPPPKKKNSQKTPAATADGDESGSPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFLPSIHSNSHKKVVEMRIKASQKVAPAGAAMSSSSDGCDLLEYIRRRE >Ma10_p25470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33585487:33587464:-1 gene:Ma10_g25470 transcript:Ma10_t25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGTHRHRFLLFLLSLLAFSTSSAVSRHLPPRSTRSTTTATLDVSATLALALRALSFDPVSSHHPLSHNISSSAISLPLHSRDFLPSSDGHRHPDYKALTLARLRRDAARVRSIAARAALAVGGVAASDLKPIAEEKALPIAAADSIEGPVVSGTSLGSGEYFSRVGVGSPAKPLYMVLDTGSDVSWIQCAPCADCYQQTDPVFDPSASSSYVPLSCDSSQCRSLDISACRNSSSSIAAATTSGRGGSEGNCLYQVSYGDGSYTVGDFATETVTLGGSDPVSGVAVGCGHDNEGLFVGAAGLLALGGGPLSFPSQISARSFSYCLVDRDSPASSTLDFSAASAASHSVAAPAVTAPLLRNRRLETFYYVGVTGISVGGEMLSIPPSAFAVDESGAGGVIVDSGTAVTRLQEGAYSALRDAFRAGTASLPSASGVSLFDTCYDLSSRTSVEVPTVGFHFPGGRELRLPAKNYLIPVDGAGTYCLAFAPTSAPLSIIGNVQQQGTRVSFDLENASVGFTPNKC >Ma10_p26640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34236098:34237615:-1 gene:Ma10_g26640 transcript:Ma10_t26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTRNWRPTFTDRETDFFLDSTPPLRPPAPYLAFPPVSIFSALVPAWTALPDILTQLGSLSSTRQMSSDGGREIAWMAIHGDRDWANRTTSFTVIPACLHLGRKGWIQPNKQSTGQGNDRIIA >Ma04_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:384158:387991:1 gene:Ma04_g00420 transcript:Ma04_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSSLASWKPYSRDLQQHLFLMLQGCKSMKQLTQLHAKLVVNGFSCKNVLITKLLSCCIVSGNITYASLAFDQVEEPNTTLCNQMIRAIFHGDMPESSFTIYNWMRRRGRTDTLQPNGFTYTFLLAACAKAGPNFLPQGEQLHCRIISGGFDSSVYIQTNLINMYAASATMRGESIAKAHKIFEEMPRRNIVSWNTMLAGYLQSGDVPTAFRFFDDMPAKDKVSWTTMIAGCAQAGMSGQALTLFSQMRISRVKPDQVTMIAVLSACAYLGDLELGRWIHAHVCNFWHGRECLVNLSNALIHMYVKCGAIDDAFQVFTEMPRKSTVTWTTMIAGLATHGYGDQALDLFQRMQCKGSENEKPDWLTFIAVLCACSYTGRIDKGLCYFEQMISMYGIRPKIQHYGCIVDMLSRAGHLNKAQELIKMMPVEPNSAIWGALLGGCWIHKDDKLAGQVIHRIMELEPDQVAGHLVSVSNLYVASMKRGDSQMFRDMMLELGIRKPAACSLIYANGSNS >Ma08_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34528695:34534136:-1 gene:Ma08_g20490 transcript:Ma08_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVSSVIPRGQVDLVDFVDWSGVECLNQTTSHPIANALKQGYRDDDGLHLESDADEQLLIYVPFTQVIKLHSAVITGPEEEGPKTVKLFANKEHMGFSNVNDYPPSDSLNLSPDNLKEVPVTLKYVKFQNVRSLTIFIEDNQADGDVTKVQKIALFGTTVDTTNMKDLKKIEEH >Ma05_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2247617:2249811:1 gene:Ma05_g03150 transcript:Ma05_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPLRLLASAAVFLCSHCFFCYADNRGPNYTFVKHATQAPRVSYHDYIIVGGGTAGCPLAATLSQISNVLLLERGGSPYGNRNISRMELQVENLADRSLTSPAQRFVSEDGVINVRARVLGGGTCVNSGFYSRASTQEVRDMDWDARLVNESYQWLEKVVAFEPKLIPWTSALKDGLLEAGVMPYNGFTYDHMYGTKTGGSTFDQTGRRHTAADLLRYADPDTLTVLLRATVQRILFKKGGRRPQAYGVIYKDEMGNMHKAYLKHGSASGVIVSAGALGSPQLLMLSGVGPADHLRSLGIEVVLDQPAVGQGMSDNPMNAVIFPSQQPVEITSVQVVGITRSGSYIESFTGYNYLPALAGNSSGGDGDQQASQRRLGVFRSPRKQRTQQNMVEGADQVSIPDEFSFQGGLLFEKVARPLSQGHLRLKNRNPDENPSVTFNYFMKPEDVQACVEGMKTINRVIESKSFSDFRYSILSVDDLLGVSANFIVNNRPRHGSYDSTTLEQYCKDLVLTMYHYHGGCQVGRVVDHDYKVHGVDSLRVIDGSTFNFSPGTNPQATVMMLGRYMGVKIQNQRLEQKKMKKP >Ma08_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1395531:1397370:1 gene:Ma08_g01640 transcript:Ma08_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPHATVLADVMRSPTIGSLVIVGLLHARLGSGRDPIHLLRDLSFSSHRGSRRSGWSHCKLSSPSSRWRRSFGTIITSGIGDLIWRKGFIVMGSCSIWVIVLNSTRSRTRRRGRLLR >Ma08_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8453257:8463526:-1 gene:Ma08_g11450 transcript:Ma08_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLNPTHRYAAGALLALALRQAQIHQTRPLGYDDPDPDAQGRCSTGSATSSEGGGDDPELWTHESCGLLRPVFRFLDIDPKAWSGLEETAASSMAKHHIGAYLRIIFEEDIESSSEKYEQELTLAEAVDAMAVSLEAACSSGGTSEEHHNYDKEYHDRAMTPKETHHNRDKEYHDRATLGSSSGNFDGISETSQTLEGRNSKVSFKVENDETTIIQDTPYYRQRRMVLLYELLSACVADTPQDNKKVSQFRKGYDARHRVALRLLATWLDVKWIKVEAMEIMVACSAMAAAKEEVQSQESESTKSKWSKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFSALAPTLGTLVPIVGASGFAAIASAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGGIEEFDFKQIGENHNQGRLGVGIFVSGFVFQEEDFVRPWEGPKDNLERYVLQWESKNLISLSTAIQDWLTSRLAMGLMKQGAMMTVLSTLITALAWPATLIAATDFIDSKWSIAIDRSDKAGKLLAEVLLKGLQGNRPVTLIGFSLGARVIYKCLQALAVSGDNEGLVERVVLLGAPLSVKGEQWDIARKVVAGRFINVYSTNDWILGVAFRASLFSQGLAGIQPIDIPGVENIDATDYIDGHSSYLWTSRRILEQLELDAYFPVFSHLPVNNPDEQGIQTKGD >Ma07_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1578619:1582424:1 gene:Ma07_g02040 transcript:Ma07_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGYDQLLNLVLDKATEFLRDPDDPLKTTDQTRRLGLIICRGPAVMLDSPDRWNR >Ma07_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3986354:3992520:1 gene:Ma07_g05500 transcript:Ma07_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMESLVGLPSSALGVKPFIPLLRNRSSPLLFRRRRRFLDLGAASTSPASAVARNGSLATNSSSQSNCVYTVGDFMTKRENLHVVKPTTSVDEALEMLVEHRITGFPVIDDDWNLVGVVSDYDLLALDSISGSGRADTSMFPEVDSTWKAFNEIQKLLSKTNGRTIGEVMTPAPLVVRETTNLEDVARQLLETKYRRLPVVDSDGKLVGIITRGNVVRAALQIKHEMERKS >Ma07_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29976860:29977558:-1 gene:Ma07_g21870 transcript:Ma07_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG5 [Source:Projected from Arabidopsis thaliana (AT1G12060) UniProtKB/TrEMBL;Acc:A0A178WFD4] MRSSASSCLSSTAATMDSYPDNTYYYYSSTSFYYDGPNDHTTCPISNTAISFPIDSPDGTPTPIPDRLPASVTAAAAISIQSAYRGHLVQTLVGQIRAVEAEAARMEQRIRRQETVDAVRRDERERLRVSEGLMALLLRLDSVPSVYPAVRELRRAVARRIVALQEVLDAVVAAAPVTAVEGIPASWDEILQVIWVAEDDEVEMGLPETGFNYLEKFLFEV >Ma02_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18242145:18245530:-1 gene:Ma02_g07630 transcript:Ma02_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRADEEYDYLFKVVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGRIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVTKPTSFENISRWLKELRDHADSNISIMLIGNKTDLKHLRAVASEDAQSYAEKEGLSFIETSALEATNVEEAFQMILGEIYRVISKKNISSDESGLAAGVKEGKTIVVSASDSGTKKQCCST >Ma04_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7647522:7650146:-1 gene:Ma04_g10780 transcript:Ma04_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPATAPPPDTSTPPAPPTQDALPPSDSPPAPPLDSSPPESSPDAPSPSSPDSTDDPDSPSLESSSAPSSSSSKPSRPSSSPHKSSSSSHSKHDKDSPTTPSPSDGSSTPASRSPSSKSLPSQISSSATSPTKHDSGVNLPLVLGITAGVGIFLVLMIVALVLCTKKKKKKQPNMHYYDGQGLNGGYYQAAPLPKWQNGGQGMDYMGQIPPPPGTAMSPAHGWHQSPMPQMASTDMSAAYSGLHGPPLPPPSPNVALGFNQSTFTYDELAAATNGFSRDNLLGQGGFGYVYKGVLPNRKDVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSQRMLVYEFVPNNTLEHHLHGKGLPTMDWATRLKIAVGSAKGLAYLHEDCHPRIIHRDIKTANILLDNKFEAMVADFGLAKLSSDTNTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGRRPVDTTGDMDDSLVDWARPLLVRALADENFDELVDPRLENNYDPGEMARMASSAAAAVRHSARRRPRMSQIVRALEGDMSLEHLNEGGKPGQSTFNSSSDYDSGSYSSNMRRFRQMALESTEYSNEYSGATSEYGLNPSESSSSGEMKNSVGSHRKNSPPGL >Ma10_p25870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33805091:33811983:-1 gene:Ma10_g25870 transcript:Ma10_t25870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVQAALRSSISLETTCGALLQELEHIWTEIGESDEEKDHMLSELEKECMLVYRRKVDEARSARARLHQSLVAKEAELASLMAVLGEQSLQLKMEKSRSLKERLASVTPLLEDLRMKKEERVKQFSDILSQIEKLNADITGFSHQYDSLTIGVKIEEHDLSTRKLTEYQAKLRSLQKEKSDRLHKVLEHVNEVHSLCGMLGMDFRKIVEEVHPSLHETCAEQSTNISDATLEGLSQVILRLKTEKKIRTQKLRDAVESLKNLWDLMDSSEEERRPFEKVATVLGSSEVDVMCSGVFSLETIKQIETEVERLKKLKVGRMKELVLRKRSELEEICKNTHIEPDMSTAPEKACALLDSCLVDPSGLLTNIETQIRKAKEDSVMRKEIIDRVNKWLLACEEENWLEDYDKDVNKYSAGRGAHFNLKRAEKARVTVSKIPATVDNLTSKIFIWEDEKNMPFLYDGVRLVSVLEDYKLKRQQKEEEKRRYREHKKLQNLLLTEKEAVYGSKPTPKRSNSFNRKTNAYHANGNGNGFMTPSPRRISVGSATPELLTPRSYSGRYNSYFKETRRLSAAPLNFVAVSKEDTISSFTSIAGSEVGSPPLR >Ma10_p25870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33805091:33807420:-1 gene:Ma10_g25870 transcript:Ma10_t25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEEERRPFEKVATVLGSSEVDVMCSGVFSLETIKQIETEVERLKKLKVGRMKELVLRKRSELEEICKNTHIEPDMSTAPEKACALLDSCLVDPSGLLTNIETQIRKAKEDSVMRKEIIDRVNKWLLACEEENWLEDYDKDVNKYSAGRGAHFNLKRAEKARVTVSKIPATVDNLTSKIFIWEDEKNMPFLYDGVRLVSVLEDYKLKRQQKEEEKRRYREHKKLQNLLLTEKEAVYGSKPTPKRSNSFNRKTNAYHANGNGNGFMTPSPRRISVGSATPELLTPRSYSGRYNSYFKETRRLSAAPLNFVAVSKEDTISSFTSIAGSEVGSPPLR >Ma09_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4254466:4259372:1 gene:Ma09_g06650 transcript:Ma09_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCCVGSMANACFSQVKRASVGCRESGIWGDGIGNGPKTTKVWDSKVAKSLKTRSSFGGRKTGVAFSVLTSDVDQETMVFHAPMFGRRTADPKSVASIILGGGAGTQLVPLTSTRATPAVPIGGCYRLIDIPMSNCINSGINKIFIMTQFNSASLNRHISRTYNFGNGINFGDGFVEVLAATQTPGEAGMNWFQGTADAVRQFTWVFEDNKNKNIEHILILSGDQLYRMDYMDLVQKHVDTGADITVSCVPVGHSRASDYGLVKIDEAGRIIQFFEKPKGADLEAMNDNGTFLRLSHQDAMKYPYIASMGVYVFKRDVLLKLLRWNYPKCNDFGSEILPSAVEEHNVQAYAFSDYWEDIGTIRSFFDANLALTEQPPKFQFYDPMTPFFTSPRFLPPTKIEKCRVMDAIISHGCFLRECSVERSIVGVRSRLDFGVELKDTMMMGADIYETEAEISSHLADDKVPIGVGQKTKIRNCVIDMNARIGKNVVIANKDGVQEADRASEGFYVRSGIVVILKNATIKDGTVI >Ma03_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:655817:656636:1 gene:Ma03_g00810 transcript:Ma03_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDADSSPPSSPSSLKQRLRSSICFSCCFRGAAAREERPASLIRSSTVWLRSKAQDVPEIGGRCRGLVARIGRHRGRPHTGDFHYDALSYSLNFDEGPTDDDGDDPAAADQPPRYRGFSSRLPPSPPPPPLRPAVGIAC >Ma02_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24554976:24555350:-1 gene:Ma02_g17750 transcript:Ma02_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCGWNSTLEAVSVGVPMITWPHFFDQFLNERLVVEVLRIGVALKANTSIAYMTDDAERLITREDVEKAVTELLEGAEAEERRKRAKELAEKAKESMEGGSSCEDLRLIIRHALELADEASDA >Ma10_p31300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37488197:37494206:1 gene:Ma10_g31300 transcript:Ma10_t31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNPLAPKADQESEGSFQNTADMTAFVQNLLVQMQTRFQAMSEGIVAKIDEMGSRIDELEKSINDLKAEMEVDSPIKPNPEEDKPSNESS >Ma09_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26997644:26998024:-1 gene:Ma09_g19950 transcript:Ma09_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEEPVDYTVDSIAIGSFHVVQNGIIVVCSAGNSGNQGPGSVTNVVPWIFTVGASTIDRDFISTLTLGNKKQIQGKSRSLESLDEDKSYPLINSIDDDYREDA >Ma09_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2997223:2997847:1 gene:Ma09_g04660 transcript:Ma09_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKEVVESLYEALSRDDAAAAAALMAEDVEWWFHGPRRCQYMRRLLTGEAGHRDFRFRPRRVAEVGGWVVAEGWEGKHAYWVHAWVVEGGVITRFREYFNTAVTVQELRPAEAGMDSVARGGGSAVWQSEARAHLGRSLPGLVLAI >Ma04_p35000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33950964:33952024:1 gene:Ma04_g35000 transcript:Ma04_t35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAVIPSSVVQQSFAELEKQRELITSCTLLWKELSDHFSILQQGLEIKSEALRSKRQSLDASTGHALDSLRRRELSIDSAVELAIAKLDERRAAAVEALSATAAGGDDLDLAEKLRSLCTKMDFNGFFDLVVAKRKEVELLRLELPVALANCIDPAKFVMDAVSGVFPVDKRPVKSPNDLGWACVLVLESLVPVLADPELGTVRPLATRNIRERAREMAKEWKMWLEQHGGIESVKPPDAHTFLQHLVTFGIVGKADKELYQRLVVNFAWRRQMPKLAISLGLEDKMEGIDFFSGSSFLVIRS >Ma06_p37900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36833838:36853269:-1 gene:Ma06_g37900 transcript:Ma06_t37900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHAHLAGQITNQMSNQVSGPPQQIGNYMAPQMQSLGPRPVDSELQDARITMQHKIYNILQRRKQMFPDEWVRRLPELVKRLEERIFKDATREEYLNLASEPVELRLFSIIKNVLNHNRPLSHHITSSSTVSTMIPTPGVPNNGSAHAMIPVQPENPTIATSNTAIASQTAANTGNLLINANGPTDAGNSASFNASDVSSGYQQQSAAFTLGSGGSNIMSSMASTNIPRQFGQMIPTPGLNSQQAISANSDCSSGAGFSSNEPSVAPQSVHQKKYVSSQNSHISHNLGAQIGGGMRSNVLHKGSSYGFSNGLANGALGLIGDNMQLSGPTASDGFLSPAPYASSSKPLLQNFDQQHHQSRIPTSLSQQILPNVDGNTAKANDVKHSETFHGPDLSGLSAMSNMSSVNLHPKARTNSGFLNHHASLQSMRLPLNVRPQMTDQSENISYHSSQSAREHLLQSQQHVQQSSQQPNQAYAPFPQNQHQLLQRHQQSMQQHQQLIVNTDSLRKSLVTSHFGEQLMPGYADVTCSDTLIQSAAQQVRPPEVQSQYQQNSSSGDHSKSAQLLGHLPSSQDFHVPVSEGLQQLHPHLQSDGFSNKFGRLSSGSQAEELLQFEWHPQPLQSQKLDKPPGQQPQEEFHQRIAGQNEAQLLHLSARELDAEHGDSIKQQNYLKQIRWLLFLHHARRCPAPKGLCTETNCIKVQELICHMDICKSELCKFPRCSQSRKLVKHIRTCQAADCPVCTPVHDHIAANYKAHARALSNTSVVFEIKANSDGMKKDTVPTENSEDWQSASKRMKVQHASPFFPKSETSLVCAPSGNQPYDFQEVQSLECKQTGLNMSANSGVIVKMDGTSGSGQEKIPVFGSDIDGNMSLPSCEKDPDVSNTVDSHVKQENMVVDEVLDQAAAGIKQDPDNPPTDQVTASKSGKPKIKGVSLTELFTPEQIKEHIIGLRRWVGQSKAKAEKNQAMERSMTENSCQLCAVEKLTFEPPPIYCSPCGARIKRNAFYYTIGSGETRHYFCIPCYNEARGETIEAEGCTFLKTKLEKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGEAEYTCPNCYVEEIETGERKPLSQSAVLGAKDLPRTILSDHIEQRLFRRLKQEKQERAKHLGKNFDDVPGAEGLVIRVVSSIDKKLEVKQRFLEIFQEENYPKEFPYKSKAILLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPEIKTVTGEALRTFVYHEILIGYLEYCKIRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLTMLRKASKENIVVDLTNLYDHFFVTMGECKAKITAARLPYFDGDYWPGAAEDLINQLRQEEDGRKQMKKGKTKKTITKRALKAAGHSDLSGNASKDALLMQKLGETICPMKEDFIMVHLQHACTHCCMLLVCGTRWTCSQCKNFQLCDKCHEAEQRVDERERHPTNSREKHMLYPVEINDVTQDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMILYHLHNPTAPAFVTTCIVCHHDIEAGLGWRCESCPDFDVCNACYQKGGIDHIHKLTNHPSMADRDAQNKEARAKRVLQLRKMLDLLVHASQCRSPQCQYPNCRKVKGLFRHGIQCRTRASGGCVLCKKMWYLLQIHSRACKESECSVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAANSG >Ma06_p37900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36833838:36853268:-1 gene:Ma06_g37900 transcript:Ma06_t37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHAHLAGQITNQMSNQVSGPPQQIGNYMAPQMQSLGPRPVDSELQDARITMQHKIYNILQRRKQMFPDEWVRRLPELVKRLEERIFKDATREEYLNLASEPVELRLFSIIKNVLNHNRPLSHHITSSSTVSTMIPTPGVPNNGSAHAMIPVQPENPTIATSNTAIASQTAANTGNLLINANGPTDAGNSASFNASDGTVSSGYQQQSAAFTLGSGGSNIMSSMASTNIPRQFGQMIPTPGLNSQQAISANSDCSSGAGFSSNEPSVAPQSVHQKKYVSSQNSHISHNLGAQIGGGMRSNVLHKGSSYGFSNGLANGALGLIGDNMQLSGPTASDGFLSPAPYASSSKPLLQNFDQQHHQSRIPTSLSQQILPNVDGNTAKANDVKHSETFHGPDLSGLSAMSNMSSVNLHPKARTNSGFLNHHASLQSMRLPLNVRPQMTDQSENISYHSSQSAREHLLQSQQHVQQSSQQPNQAYAPFPQNQHQLLQRHQQSMQQHQQLIVNTDSLRKSLVTSHFGEQLMPGYADVTCSDTLIQSAAQQVRPPEVQSQYQQNSSSGDHSKSAQLLGHLPSSQDFHVPVSEGLQQLHPHLQSDGFSNKFGRLSSGSQAEELLQFEWHPQPLQSQKLDKPPGQQPQEEFHQRIAGQNEAQLLHLSARELDAEHGDSIKQQNYLKQIRWLLFLHHARRCPAPKGLCTETNCIKVQELICHMDICKSELCKFPRCSQSRKLVKHIRTCQAADCPVCTPVHDHIAANYKAHARALSNTSVVFEIKANSDGMKKDTVPTENSEDWQSASKRMKVQHASPFFPKSETSLVCAPSGNQPYDFQEVQSLECKQTGLNMSANSGVIVKMDGTSGSGQEKIPVFGSDIDGNMSLPSCEKDPDVSNTVDSHVKQENMVVDEVLDQAAAGIKQDPDNPPTDQVTASKSGKPKIKGVSLTELFTPEQIKEHIIGLRRWVGQSKAKAEKNQAMERSMTENSCQLCAVEKLTFEPPPIYCSPCGARIKRNAFYYTIGSGETRHYFCIPCYNEARGETIEAEGCTFLKTKLEKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGEAEYTCPNCYVEEIETGERKPLSQSAVLGAKDLPRTILSDHIEQRLFRRLKQEKQERAKHLGKNFDDVPGAEGLVIRVVSSIDKKLEVKQRFLEIFQEENYPKEFPYKSKAILLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPEIKTVTGEALRTFVYHEILIGYLEYCKIRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLTMLRKASKENIVVDLTNLYDHFFVTMGECKAKITAARLPYFDGDYWPGAAEDLINQLRQEEDGRKQMKKGKTKKTITKRALKAAGHSDLSGNASKDALLMQKLGETICPMKEDFIMVHLQHACTHCCMLLVCGTRWTCSQCKNFQLCDKCHEAEQRVDERERHPTNSREKHMLYPVEINDVTQDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMILYHLHNPTAPAFVTTCIVCHHDIEAGLGWRCESCPDFDVCNACYQKGGIDHIHKLTNHPSMADRDAQNKEARAKRVLQLRKMLDLLVHASQCRSPQCQYPNCRKVKGLFRHGIQCRTRASGGCVLCKKMWYLLQIHSRACKESECSVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAANSG >Ma07_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15671907:15672515:-1 gene:Ma07_g16880 transcript:Ma07_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQEKQPRKCCPLRRSRKGCMKGKGGPENQACTYRGVRQRTWGKWVAEIREPNRGARLWLGTFSTALEAAQAYDNAARSLYGDCARLNLSDSRPSSVGDNSTGSTPPPPAAALVKPDFRCSSSWSNETSTGDSQASFPDSIGLPAAHFYAGGLYSFDDYVSGLPKPEDFGLEAFHSVPFLDEQELDTQFMNFEPLQVSSYS >Ma02_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5931178:5931947:1 gene:Ma02_g00580 transcript:Ma02_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGATPALKRRTVAGRRRHRDRPRANIRKTAEKLLLNRLKAKRLEELAAEIKKQKEGSKEKQITDEDTKGKKVLDSNDEIGAEGSSVLPRGDMESGVSSQENLDELLAASLAAEEEMEINKNVLTSEGGLDEAEDDNDENEEMIYVSSFRSLVIFFLYIFCR >Ma01_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15195273:15218313:-1 gene:Ma01_g19530 transcript:Ma01_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MAAVGEIGGSKKRKREPSAAKGGGKPKLTQLKNAASKPANLKTQGFKKQPKAFELKPGKPTKFGDETAVQAKKEPKTPRERRLAAKEMAETRKKKRKPNYNLEKELASLWEKMRCYNVGKQARSELVSEALRKMNGKFVEIAGSHVAARVLQTCVKYCTQEERDAVFEALRPHLLALSRKKYAVHLVKKLLDHASKKQLEWFISSLHGHVTSLLRHSVGSAVIDYVFHLANGSQKQILLMEMYSTELQLFKDLTLTNSGRLVDIISKLGLQKSSVLQHMMSVIQSLLEKGIVDHSIIHKALMEFFTIADKSSAADVIQQLLPLLVRESASAEESPYFSAPEMQKKRKIKNKNVKEPLLARMIRTRDGLKICILCVKHASAKERKKVIKGIKGHVRKLSLDQLGSLLLVCVLSVVDDTKLVTKIVIREMQTMLKELVLDKNGRRTVLQLLHPQCSRYFAPEDLACLSLSVPSLSAQAEETEDPMEVGLEQCQNGEAPMTDNENSEVGRKSVQLDTVGKKDSFRRRCELMVESGLAEEMAETRKKKRKPNYNLEKELASLWEKMRCYNVGKQARSELVSEALCKMNGKFVEIAGSHVAARVLQTCVKYCTQEERDAVFEALRPHLLPLSQKKYAVHLVKKLLDHASKKQLEWFISSLHGHVTSLLRHSVGSAVIDYVFHLANGSQKQILLMEMYSTELQLFKDLTLTNSGRLVDIISKLGLQKSSVLQHIMSVIQPLLEKGIVDHSIIHKALMEFFTIADKSSAADVIQQLLPLLVRESASAEESPHFSAPEMQKKRKIKNKNVKEPLLARMIRTRDGLKICILCVKHASAKERKKVIKGIKGHVRKLSLDQLGSLLLVCVLSVVDDTKLVTKIVIRELQTMLKDLVLDKNGRRTVLQLLHPQCSRYFASEDLACLSLSVPSLSAQVEETEDPMEVGLEQCQNGESPMTDNENSEVGRKSLQLDTGGKKDSFRRRCELMVESGLAEVTLNILLQTCNILDHF >Ma02_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18662792:18663712:-1 gene:Ma02_g08250 transcript:Ma02_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPDPVAVPGCLPIHWKDLPDMVKDRTTEGYRSLLQQLKRYEKAEGILVNSFQEMEPEAAMVLKEKKPGRPPVHLVGPLVQTGRPSSSPEESLCLKWLDEQPDASVLYICLGSLGVLSRDQVKEMALGLETRGHRFLWVVRRPADNGIVGGSEDDPASYLPHGFLERTTESGLVVSFWTPQIQILSHRAVGGFVTHCGWSSTLESVVHGVPMIAWPLYAEQRMNELMLAEGRKVASRAKEDNDGVVRREQISAAVRELMEREGGRVVLARVRQLQEAAAAKAMVKEAASRNALHEVVAKWKNTF >Ma04_p26780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28113306:28116216:-1 gene:Ma04_g26780 transcript:Ma04_t26780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQAAPLLPARKSGRDDGDDELRGLRSCLRWMCHDQSDAGLAVVSWVAFFVLAVAIPAVSHFVLSYRPDRRPYDLVVQLSLSAAAALSFLTLSSATRRYGLRRFLLLDKLPSQSPRVRLAYTAQLRRSFRLLALFVTPCFVAEVAYKSWWYAFSADRIPFLGNPVATGCVACALELASWIYRTASFFVVCVMFRSICHLQILRLQEFAAVFQEESEVMVVLKEHLRVRRQLRVISHRFRGFILLGLIMVTASQFAAVFVTTRPHSDDSLFNTGELAICSFALVTGLLICLRGAAKITHKAQALTSHAAKWHVCATIETFAVDPETPSEAISKASSEFPVTNGDEDSDEEEGSEEDELEGTKIVQSHAHTISFQKRQALVTYLENNRAGITIFGFTVDRSWLHTVFMLETTLFLWLLGKTIGIS >Ma04_p26780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28113707:28116211:-1 gene:Ma04_g26780 transcript:Ma04_t26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQAAPLLPARKSGRDDGDDELRGLRSCLRWMCHDQSDAGLAVVSWVAFFVLAVAIPAVSHFVLSYRPDRRPYDLVVQLSLSAAAALSFLTLSSATRRYGLRRFLLLDKLPSQSPRVRLAYTAQLRRSFRLLALFVTPCFVAEVAYKSWWYAFSADRIPFLGNPVATGCVACALELASWIYRTASFFVVCVMFRSICHLQILRLQEFAAVFQEESEVMVVLKEHLRVRRQLRVISHRFRGFILLGLIMVTASQFAAVFVTTRPHSDDSLFNTGELAICSFALVTGLLICLRGAAKITHKAQALTSHAAKWHVCATIETFAVDPETPSEAISKASSEFPVTNGDEDSDEEEGSEEDELEGTKIVQSHAHTISFQKRQALGKALQSSILLKP >Ma04_p26780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28113306:28116211:-1 gene:Ma04_g26780 transcript:Ma04_t26780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQAAPLLPARKSGRDDGDDELRGLRSCLRWMCHDQSDAGLAVVSWVAFFVLAVAIPAVSHFVLSYRPDRRPYDLVVQLSLSAAAALSFLTLSSATRRYGLRRFLLLDKLPSQSPRVRLAYTAQLRRSFRLLALFVTPCFVAEVAYKSWWYAFSADRIPFLGNPVATGCVACALELASWIYRTASFFVVCVMFRSICHLQILRLQEFAAVFQEESEVMVVLKEHLRVRRQLRVISHRFRGFILLGLIMVTASQFAAVFVTTRPHSDDSLFNTGELAICSFALVTGLLICLRGAAKITHKAQALTSHAAKWHVCATIETFAVDPETPSEAISKASSEFPVTNGDEDSDEEEGSEEDELEGTKIVQSHAHTISFQKRQALVTYLENNRAGITIFGFTVDRSWLHTVFMLETTLFLWLLGKTIGIS >Ma08_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36429869:36430758:-1 gene:Ma08_g22970 transcript:Ma08_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYCTLPSSVLGLKLEDGRCGFPSVPLGTNLRTCSGTAAGRHGKNLD >Ma04_p34580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33695668:33696789:1 gene:Ma04_g34580 transcript:Ma04_t34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVISVVVVMSFALMAMAVMAQGPWDTADATFYGDMSGKATMGGTCGYDNLFEHGYGLSNTALSTVLFNDGEKCGACFELKCAAGPDRCKEGSTIVTATSFCPPAPVSLCNPPQKHFDLSMAMYMKIAKTAYSGSIPVQFRRVPCVREGDIGFEFRGNPFWISVLVYNVAGSGDVAKLSVRGSNTTWVPMTRSWGQRWQLSFRPEMVGQSLSFKVTTGDNKTVESVDVAPANWQFGQRYTGGQF >Ma09_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3852249:3859204:-1 gene:Ma09_g05960 transcript:Ma09_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPAVARTILVTGGAGYIGSHTVLQLLKGGFRTVVVDNLDNSSEVAVERVRELAGEFGKNLVFHRVDLRDREALEKVFSSTTFDAVIHFAGLKAVGESVKKPLMYYNNNLIGTINLFEVMVAYGCKKLVFSSSATVYGWPKEVPCTEEVPLCAMNPYGRTKLMTEDICRDICQGDNDWDIILLRYFNPVGAHPSGCIGEDPQGIPNNLMPFVQQVAVGRRPALTVFGNDYSTKDGTGVRDYIHVIDLADGHIAALQKLFEGSHIGCEAYNLGSGKGTSVLEMVAAFEKASGKKIPLVMAERRPGDAETVYASIAKAEKELHWKAKYDIEEMCRDQWNWASKNPWGYKSPETTNDKHLTCGSPETINGKLPTYALPKIANGRLCTTGSLGNSNGKHHIYGAPKIATGKHPTFGSSETGNVHASNRLPRTTNGKHHSYGSLETVNGVHPTYRSFQIANGEYPTLE >Ma01_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21203318:21206524:1 gene:Ma01_g21460 transcript:Ma01_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAAARRSLMRSSSLRSAAAARIGASRASLRSPPMLRPAATPSRILRSPVETIFCVESLLPMHSATASALMTSMLAVSRRGYGWLSEDG >Ma04_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3346026:3349716:-1 gene:Ma04_g04400 transcript:Ma04_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGGAGGRTLEQTSTWAVATVCFALVIISVAIEHGIHLITKWLEKHHKRALQEALEKIKSELMLLGFVSLLLTVGQSAISEICVPTSVGDSWHPCKMEVHDDSSTDHSRHLSGRSGPDKCSKRNKISFISADGLHQLHIFIFVLAISHIIYCISTMALGRLKMKHWKSWELETKTAEYQFSHDPDRFRFARETSFGRRHLSFWSKSPALIWIACFFRQFVISLPKVDYLTLRNGFIIAHLAPQSSSKFDFRKYIKRSLDEDFKVVVGISPALWFFAVIFLLFNTHGWHSFLWLPFVPLIIILLVGTKLQVIIIRMAQRIMERGDVIKGVPVVHPTDDLFWFRRPRLMLYLIHFVLFQNAFQLAFLAWSWYEFGFPSCFHKRVEDIIVRLSMGLLIQVLCSYVTLPLYALVTQMGSNMKPTIFNERVATALRKWHQTARKNLRENRKSGSVTPLSTSRSTTPKNSFAQVYRLQHLPSDLESQPDSSRNYNFDRDHLEIEESVSSTSRPTSAASRSSPAYLLRNLPSDLSTQQESPNRSNLWKGRYDMEGPSLPSERTVDIELQRAALEGGETQSKSYSPQSPAL >Ma08_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9716167:9716696:-1 gene:Ma08_g12730 transcript:Ma08_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRLVSLAFFVAVLISGAHSTTFNFKNNCPDPVWPASQNNSGKVALSQTGFQLDSGASFSLDAPPAWGGRLWARHKCSTDSSGRFSCLSGDCGTGQVACNGAGRAPPTTLVEFTLQGHGGKDFYDVSCVNGFIILIVDFILFAP >Ma08_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5593758:5594940:-1 gene:Ma08_g08080 transcript:Ma08_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEAQKEDMRQGWSCIRLAVEELSLFKPEEKKMSTLAFLGASNLLLHVLDKIGPTMMVLRRDIQRNIERVEETYMLDPNLYSSLEEIVQKEVVGDGSARQDDSCCRSILWLARSITFSLALLDKLDKNPESSLEQVVEETYNGTLKPWHGWISSAAYKVAIKLVPGREMLIRLLMGQEQDYNDLKQDIKKYASVIRPLLDDTYQLLVSRIGFFL >Ma08_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:884310:894741:-1 gene:Ma08_g00970 transcript:Ma08_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEGVVVSDPWLQNQFTQVELRSLKSQFLASGGGRGSLTLRDLSATMSRLKIAGDCLTEDERAAFLRESYPDLDHHVDFELFLRVYLKMQGRVGAKNSSSAFLKAATTTLLHTISESEKASYVSHINNYLGEDPFLKKYLPIDPTTDDLFEIAKDGVLLCKLINLAVPGTIDERVINTKRVLNLWEKNENLMLFLNSAKAIGCTVVNIGTQDLAEGRPHLVLGLISQIIKIQLLADVNLKNTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKGGFRRLITNFSSDVKDGEAYACLLNVLAPEHSRKPYPSTVKDLLERAKLVLEHADRIGCKRYLTPKDIVEGSPNLNLAFVAHIFQKRNGLSSQMKQVTFLEANSDDPQVSREEKAFRLWINSLGISNINNVFEDLKNGWLLLEVLDKVSPGIVCWKSANKPPIKMLFKKVENCNQVVKIGKQLKFSLVNIAGHDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSREKEIKDIDILNWANNKVKDSGRYSRLDSFKDRSLSSGIFFLDLLSAVEHRAVNWNLVTKGQSDEEKKMNASYIISVARKLGCSVFLLPKDILEVNQKMMLTLTASIMYWYLKRPITEDGSVSSDGENGSSSESTSSSTSDDSGSESSSDDSGGR >Ma11_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27115745:27116468:1 gene:Ma11_g23930 transcript:Ma11_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDQTESLTSSSKATKTVADKTLKGFGNLIRVLPSGTVFIYQFLNPLLTNKGDCHVVNKYLTGALLCFCGFFCCFSSFTDSYTGSDGKLHYGVATKDGLWPFSDESSGSVDLSKYKLRFGDFVHAFFALVVFAAISLLDSNSVSCFYPSFGSQQKVVLMVLPPVLGAIASSVFMVFPNTRHGIGYPPSETTSDSS >Ma09_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29469867:29470073:-1 gene:Ma09_g20500 transcript:Ma09_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCIRCLCLKTYIKYSIFSAMHSGLLFIRSSKVNLFSLIVEHFVCSCRVSRIDNLYLIKWCFCLVCPF >Ma04_p10260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7324462:7330317:1 gene:Ma04_g10260 transcript:Ma04_t10260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPNIEETILVGDDLMLGLPSPIIPPEIASHVLEGVDLCDGVLRNLFLCLQINDIEPFCQDEIVLYRECAEKRDKELRQRLSDSEHKLGLSMPIEEAKERAAQLQSEVTSLERHMILASGIQGMEGFRQRWSLHGRLEDTKRRMEALNQGIEKRKPDKIHGEPVRKKWFFW >Ma04_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7324462:7329266:1 gene:Ma04_g10260 transcript:Ma04_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPNIEETILVGDDLMLGLPSPIIPPEIASHVLEGVDLCDGVLRNLFLCLQINDIEPFCQDEIVLYRECAEKRDKELRQRLSDSEHKLGLSMPIEEAKERAAQLQSEVTSLERHMILASGIQGMEGFRQRWSLHGRLEDTKRRMEALNQGIEKRKPDKIHGEPVRKKWFFW >Ma06_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20808477:20810661:-1 gene:Ma06_g23180 transcript:Ma06_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCTPRTSSPTRRSNRREDLLLQIPGASVYLMEDGGDAPVELAKGDFTVLRITEDDMVLATVVRVGADLRWPLTKDEPVIKLDLLHYLFTLPCKDGGFLNYGVSFAAPHGGLASLEMFLKENACFSAPSDASSSLKRNSSYEVYWKDYTPRIEDYNGVLAKAIAGGTGEIVKGIFKCSNAYTSQVQKGATLLQPQDAASNTNASAGRNKSDNRSESMKKSGEINKAIRRVRKLSEMTEKMSRTLLDGVVLVTSSVSVPLVRSKAGKSLLATIPGEVLLASLDAINKVLDAVEAAERSTLAATSNVVSGAVSKRFGESAGEATDDVFATAGHAIGTAWNLFKIRKAISPSSSLQSSILKTAVRKK >Ma05_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9739566:9740917:-1 gene:Ma05_g13400 transcript:Ma05_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYLCDVCHGATCGACPRCAELNHKRCLDELRQFQFFGHDDTVMAWMFDDAKDDDSQLPKVAAGLSYLESLKESWDPGVGLRFDLAMGQAASSAAITSFSGSTIAGAPSGSNKEVIDAMAADQGGPTTEREAKILRYKEKKKKRRYEKQVRYASRKAYADVRPRIKGRFAKTPEEPTAIGAV >Ma04_p31770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31982499:31982976:1 gene:Ma04_g31770 transcript:Ma04_t31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLWWKQSSSHVLPGARALTSPQPKSSHAPIEAVDQERERERERGHHLLGMMAKREGSLPCRSFTRRCRLLVREQRARFYILRRCVVMLMCWNDPR >Ma04_p17570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18017792:18027357:-1 gene:Ma04_g17570 transcript:Ma04_t17570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRGAHQPIIIYRPILPSDLEVLEEIHVALFPIRYERDFFLSVVQGHGIVSWAAVDVSRSDGGRDKLVGFVTTRLMSAKESEISDLLRYNASRQDLMLVYILTLGVVENYRNHGIATSLVCEVIKYASSITNCRAVYLHVISYNLPAIHFYEKMSFACVRRLQKFYYINGQHYDSYLFVYYVNGGHSLCSPLNIVAAVAAYLRDLFKMLASKLWRKQEKHIPRYLKCKETSSLLVAQNRRILGADNSACQSV >Ma04_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18019599:18027357:-1 gene:Ma04_g17570 transcript:Ma04_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRGAHQPIIIYRPILPSDLEVLEEIHVALFPIRYERDFFLSVVQGHGIVSWAAVDVSRSDGGRDKLVGFVTTRLMSAKESEISDLLRYNASRQDLMLVYILTLGVVENYRNHGIATSLVCEVIKYASSITNCRAVYLHVISYNLPAIHFYEKMSFACVRRLQKFYYINGQHYDSYLFVYYVNGGHSLCSPL >Ma04_p17570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18017792:18027357:-1 gene:Ma04_g17570 transcript:Ma04_t17570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRGAHQPIIIYRPILPSDLEVLEEIHVALFPIRYERDFFLSVVQGHGIVSWAAVDVSRSDGGRDKLVGFVTTRLMSAKESEISDLLRYNASRQDLMLVYILTLGVVENYRNHGIATSLVCEVIKYASSITNCRAVYLHVISYNLPAIHFYEKMSFACVRRLQKFYYINGQHYDSYLFVYYVNGGHSLCSPLNIVAAVAAYLRDLFKMLASKLWRKQEKHIPRYLKCKETSSLLVAQNRRILGADNSACQSV >Ma05_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8960323:8964202:-1 gene:Ma05_g12390 transcript:Ma05_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYELLKELGAGNFGVARLVKDKKTGELVAVKYIERGKKIDEHVLREIINHKSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGSYPFEDPEDPRNFRKTISRILNVQYSVPDYIRISSECRQLLSQIFVANPLKRITIPEIKQHPWFLKNLPKEIINGEKTNFEGNDDQPSQSVEDIMRIIEEAKKPAEYPKVANNPVSELVEVDEVDPDDETEVESSGDFLESI >Ma04_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11725516:11729491:1 gene:Ma04_g15440 transcript:Ma04_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVELEDGREKDSRGGEIAKVKAKRALVGAGARILFYPTLIYNVIRNKVQAEFHWWDEIDQFLLLGAVPFPNDVPRLQQLGVRGVITLNEPYETLVPSSLYMVHGIDHLVIPTRDYLFAPSLVDIYRAVDFIHRNASCGRTTYVHCKAGRGRSTTIVLCYLVQHKNMTPTAALEYVQSIRPRVLLAPSQWKAVQQYSQCKLEFPAIRSPRSIDLLTGDEVLISEADLEGYNVGEDTKDLSISSCKMAEASPTIVKHMTESPIGGDEVLITEADLEGYETFMIACEDGSLSSTVTTAIVPARTRMVMRRLCCLCTSLKSSGSSQAVASRFHEIHAC >Ma06_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10565957:10567488:-1 gene:Ma06_g15590 transcript:Ma06_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWPEFMASSWGREFVAGGIGGMAGVVSGHPLDTLRISLQQPPAPSPAAAATATARRPVSAVGLLRSILATEGPAALYRGMGAPLASVAFQNAMVFQVYAIFSRAFDSKSMNEPPSYTSVALSGVGTGALQSLILSPVELVKIKLQLQMTGDKGYKRGGIGPISVAKEIVNKEGMKGVYRGLWITVLRDAPSHGVYFWTYEYAREQIHPGCRKTCQESLGTMLVAGGLAGVVSWICCYPLDVVKSRLQAQSKPQAGQPPPKYLGIVDCIRKSVQEEGVAVLFRGMGTAVARAFVVNGAIFSAYELALRSLVSNSRGLAMEET >Ma04_p36070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34577346:34584160:1 gene:Ma04_g36070 transcript:Ma04_t36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MPPLCSHLLSLNISLPFVASPSRYPRSSCHSPPITLPFCSPFPALKPFPPAAAASSFPYSYQNPDRDQQRDPQLLVPQDEELVIGDCLVFEDGAFEDGDPFDPPSPSEEAGRPGRRRPAAAASTAETESLVPNKWKEAVEEINMTKKEKRKISHELKFGSRMERRKKPPVPDMEEYRTYREMKLAQLKPVVLDDPREFPQAAVPPEQKGLPGGRVAPRNPRLGLDGGTLEDIREFFNSGKYVPRDVDDDKNPQGRRKLFTLEEKVLLNKRIPNLAEATSSKWLPLHSLAASGEFFLLDTLLKHNVDINGVDKDGLSAIHKAILCKKQAVINYLLRNSANPFIRDKDGATLMHYAVQTASSQTIKILLLYSVDINLADDDGWTPLHLAVQTQRTDIVRLLLIKGADKTIRNHDGLTPLDLCLYSGHNSRTYELIKLLKQFPLSKTSA >Ma07_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:456315:456874:-1 gene:Ma07_g00510 transcript:Ma07_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTATTRGRGRHRGACDFSGTATLTTTDPSNSGCAYPASSSASGTSSTPATSTPPTTFTPTNGVLGGLGPSGSLTSDVNHGGFLLRPGIGWLLLTLICSGIGFDATVVAMAVQKWICDSADVDAEAYLLVRG >Ma06_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8843836:8844184:1 gene:Ma06_g12810 transcript:Ma06_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSHHSHLPSSIFLSSHRKENLSHEAIPPQRSAEVTSSSLLFELFNRRTWKHVIGLSKFTDSSTCACS >Ma05_p26990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38469697:38472929:-1 gene:Ma05_g26990 transcript:Ma05_t26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSLMAAFSAPFLVAPNPRTSPKRQFRVRACGLGGDGKMMFNKGKSGWTIDFSGEKPPTPLLDTINYPIHMKNLSVQDLEQLAAELRAEIVFTVSKTGGHLSASLGVVELSVALHHVFDTPEDKIIWDVGHQAYTHKILTGRRSRMHTVRQTSGIAGFPRRDESIYDAFGAGHSSTSISAGLGMAVARDMLGKKNHVISVIGDGAMTAGQAYEAMNNSGYLNSNLIVVLNDNRQVSLPTATLDGPATPVGALSKALTRLQASTKFRKLREAAKSITKQIGGPTHEVAAKVDEFARGLISANGSSLFEELGLYYIGPVDGHNLEDLVTIFQDVKSMPAPGPVLIHIVTEKGKGYPPAEAAPDKMHGVVKFDPSTGKQLKPKSPTRSYTQYFAEALIKEAEADNKVVAIHAAMGGGTGLNYFQKRFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKIPVRFALDRAGLVGADGPTHCGAFDITYMACLPNMIVMAPADEAELMHMVATAAAIDDRPSCFRFPRGNGVGVALPPDNKGSPLEIGKGRVLMEGDRAAILGYGSTVNTCLKAADTLRAHAVFATVADARFCKPLDVKLIRSLVKEHDILITVEEGSIGGFGSHVAHFLSLSGLLDGQLKLRSMVLPDRYIDHGSPQDQIEAAGLSSRHVAATVLSLLGRRKEALLLK >Ma04_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17574031:17576950:-1 gene:Ma04_g17280 transcript:Ma04_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFSHLHYYAQIYVLVNTPLEQLDGKYFAKGSCSTQQNGAAELMNSKKLVALMVAKLERICGLLKEVIEQTKMLVEKKQALTYEEMEAEREEISVLFTMYEN >Ma06_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14991806:14992899:1 gene:Ma06_g20850 transcript:Ma06_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTHRVSRRVDDERSKSHVGAYAARPFNPKPPPPPPTARGSAREAVAAVRRPFLLSAPQLDHERGRLAQRQCRHGSRRSRLLRGLRAGGERHRPLRLGRAEVGAVEALCPAGVLGARRGREGGGADGPVELRLPPWDGGAQGGAGVRHMLVGVRARGARPPAAYVQPRVPRRMRRPVARFAAIVPDVPAQSTELRGGRGCAQLSQVSLKRSSRYC >Ma10_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29537839:29538538:-1 gene:Ma10_g18710 transcript:Ma10_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVSLAEPVGFLFLQTLLSYVIMTLLKSSPTSLSFLRSCVEFCTCLSMAHDETPAKNTRSRPRGDAQLGREDVEMVMDVMGLRCSRDGEPLREVSPDELSTLFEEKEPSLEEVKETFRVFDQNGDGFIDALELQRVLTGLGFVEGLEMDACGRMIELYDENHDGKIDFVEFVRLMEISFC >Ma09_p19930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26978546:26984821:-1 gene:Ma09_g19930 transcript:Ma09_t19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLIHRPEASVPPPEEGHHCPNLVLTADPKPRLRWTADLHERFVDAVTQLGGPAKATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEIAEQSKDEKPNSSVSSPRMPTSDVNEGQEVKEALRAQMEVERRLHEQVEVQKHVQIRMEAYHNYIDSLLAMAYKIVSEQIALSGLGSVGDLPNLANAFICSLSDPSSQSTFHESSVGSIKLHSAGGKHSPPSAIECQFNQQEPLKHEPC >Ma09_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26978546:26984822:-1 gene:Ma09_g19930 transcript:Ma09_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLIHRPEASVPPPEEGHHCPNLVLTADPKPRLRWTADLHERFVDAVTQLGGPAKATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEIAEQSKDASYITEKPNSSVSSPRMPTSDVNEGQEVKEALRAQMEVERRLHEQVEVQKHVQIRMEAYHNYIDSLLAMAYKIVSEQIALSGLGSVGDLPNLANAFICSLSDPSSQSTFHESSVGSIKLHSAGGKHSPPSAIECQFNQQEPLKHEPC >Ma11_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27360300:27360774:1 gene:Ma11_g24370 transcript:Ma11_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRFGGESLLYIESLSPPIAFHLTPLEAQFIPGSTHLTTDSVQGEKGRRRMEGGRKVVLSGERKYSSRRLIPRRGQVKASIVIGLAQSLAALFSLAVARLA >Ma01_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10330480:10332655:1 gene:Ma01_g14100 transcript:Ma01_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALSSFLFLLLVPLLLLRVSMLHALPVPDPAPVQVHPATSTAVSSSLPAATIPAFPEQSDVASATCPLDLPSDLLPSVTAACSSPSASGSHLRLPSRSRCCPALAAWLYAAYSPSALAARPLPSAGYDLPALPDDSESCAAGVERAMRDRGVALPRANATCDTAYCYCGVRLRRLACAGGFVADTAAGLWVPAGDGGHRLESDCAQPGLTGCSRCLRSLNQLKAKKQQGSEASGSERKEAATHGRECQLMGVTWLLSRNRTLYLPSATAILRVLMAADAVGSSDPTSCSLSQDAMPLAVGSTQIDGRVDGSSASVSLLLSPFHLLLLALLAVQSLLHPSS >Ma01_p14100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10330495:10332655:1 gene:Ma01_g14100 transcript:Ma01_t14100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALSSFLFLLLVPLLLLRVSMLHALPVPDPAPVQVHPATSTAVSSSLPAATIPAFPEQSDVASATCPLDLPSDLLPSVTAACSSPSASGSHLRLPSRSRCCPALAAWLYAAYSPSALAARPLPSAGYDLPALPDDSESCAAGVERAMRDRGVALPRANATCDTAYCYCGVRLRRLACAGGFVADTAAGLWVPAGDGGHRLESDCAQPGLTGCSRCLRSLNQLKAKKQQGSEASGSERKEAATHGRECQLMGVTWLLSRNRTLYLPSATAILRVLMAADAVGSSDPTSCSLSQDAMPLAVGSTQIDGRVDGSSASVSLLLSPFHLLLLALLAVQSLLHPSS >Ma10_p31020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37249984:37253441:-1 gene:Ma10_g31020 transcript:Ma10_t31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNVVTLPVTIKDVEDFRQMPGYGNVQIFTYDELRLATNNFRADLILGEGGFGLVYKGVIDANIRPGFKCTQVAVKKLNPEGLQGDKEWLAEVNYLGLFSHPNLVKLIGYCCEDEHRLLVYEYMANGSLENHLFIKGCSTLPWLTRMKIALDVANGLAFLHGAERPLIYRDFKTSNILLDVGCNGKLSDFGLAKEGPMGEQTHVSTRVMGTYGYAAPEYILTGHLTARSDVYGFGVVLLELLLGRRAFDQSRPGREHNLVEWARPLLVRPKKLLRIIDPRMDGQYSEEKAERVARLASDCLSENPKARPSMSEAVNVLRDVLRADDVSLPLPTPEAAAGMTTTTTTPRTTRFER >Ma03_p27110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30599101:30601759:1 gene:Ma03_g27110 transcript:Ma03_t27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSLLSWNWVGVMRSKVFGLTEAKAAVARSISFGEKDANRLLRSLSFRRSDSSNKMVAGAGAAAVAHDAVMERSLSFKNWEPEPTKLDATASVGDQATGDDDVTLQPSCLKIPANFPAPHVKLPHQLLEFSSPRPLSELDAAATTVQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKRSSVSFFDVEKPETAISKWARAKKRLARVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWFGSESSQPFFYWLDVGDGREVNLEKCPRNKLQGQCITYLGPKEREAYEVTVENGKLVYRQNAKPVNTTEGSKWIFVLSTSRALYVGQKNKGTFQHSSFLAGAATTAAGRMVAKEGILKAIWPYSGHYLPTEDNFREFISFLKDHNVDLTDVKKCSVDDDEFPVLDKERSKPAVAAEEEMVGEKAAEAKDERKTVALGLELGRRLSCRWTTGTGARIGCVRDYPVDLQSMALEQVNLSPRVAPSPVGVKLPIPSPRPSPKVRLSPRLQYMGIPTPTVRLTLPKLKR >Ma11_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27005987:27008095:1 gene:Ma11_g23800 transcript:Ma11_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLRSLLLLFLLFSSSLSSALALSSVEAALIARRQLLALSKNGDLPDDYEFDVKVDLSFPNARLRRAYIGLQAWRHALYSDPSNFTGNWVGADVCGYRGVFCAPALDDPKLTVVAGVDLNGADIAGYLPVELGLLTEVALFHINSNRFCGIIPKSFSRLVLLHELDVSNNRFVGPFPMVVVGLPSLRYLDLRFNDFEGALPPELFDKGLDAIFLNDNRFSSPIPDNFGNSTASVVVLANNKLGGCIPGSTGRMGATLNELVLLNNGLVGCLPSEVGELGNATVLDASWNSLTGDLPTSFAALSKVEDLDLSHNVLTGVVPEKLCRLPSLTNFTFSFNYFKGEAAECVPSAGSDVAFDDKGNCLEKRPGQKSAKTCYRVLSRPVDCSKDKCRPSQPELRSPPPPVVSPPPPVYSPPPPVNSPPPPVHSSQPPPYSPPPPSGSSSQPPPSINSPPPPPVASSQTSRPPPPPHSESPVTRVHSPPPPPVSTPPPQSFASPPLAPSPSQPELRSPPPQVVIPPPPVSSPPPTVNSPPPPPSGSSSQPPPSINSPPPPPIASSQSSPPVHSPSSP >Ma10_p23060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32139278:32166112:-1 gene:Ma10_g23060 transcript:Ma10_t23060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAADVQTVYSLLSNALSLDESARKPAESALAQCENRPGFCSCLLEIVAARDSGCRDDVRLLASVYFKNSITRYWRHRRDTTGISNDEKNHIRKKLLLHLREENTQIALQLAVLVAKIARIDYPKEWPELFSSLAQQLQSADMLASHRVFIVLFRTLKELSTKRLSSDQRTFQEIASQLFEYTWNLWKNDIHTILQSFSTISQSITMNSLVEQGHDLLLVCERWFLCLKIIRQLIISGYPSDTTTAQEVPLVKEVCPVLLNAIQSFLPYYSLFQERQVRLWDFTKRTCTKLMKALVAVQSKHPYSFGDQAILPAIVDFSLNKITNAEPTVSFFEQFLIQCMVLVKSVLECKEYRPSLTGRVINESEGSLSLEQRKKNISTAVAGILKTILPSDHVILLCNILIRRYFIFSAKDMDEWYQNPEQFHHDQDMVQWTDKLRPCAEALYIVLFENYKQILSPVVISILRDAMSSSPPLETEISSAMLLKDAAYSAAGHVYYELSSYLDFSDWFQGSLAIELSNNHPNMRILHRKIAFILGQWASEIKDDTRKQVYHALIRLLQDNDIAVKLAACRSLCYLVQDTNFSENEFFELLPACWNSCFKLMEEVQEFDSKVQVLNLISVLIDHVGDRISPYAHQLSNFFCKIWEESAGESLLQIQLLVALRNFVGSLGYQSSICYTMLLPILKSGIDVDSPDSLNLLEDSVLLLEATLSNAPSMMPQLLDFFPYLVVILERSFDHLQVATSIIEDYIISGGVEFLNRHASSLAKLLDGIVGNVNEKGLLSTLPVIDILVQCFPMEAPPLIAGVLQKLILICLSEEDDHNPSRTAVRASSAAILARVLVMNTNYFAQLASESSLAMGLQQAGLPINQNILLCLTDIWVDKIDNATVIQRKAYALALSVILTLRVPQVINKLDDILSVCTSVILGGTEEINEDDSGGDTTSSSALNNEAIGYGGFSVRDSRMRQIKDSDPIKQLSLENMLKENLKACAALHGDATFNAAISRIHPSAFAQLQQALKMV >Ma10_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32139278:32166112:-1 gene:Ma10_g23060 transcript:Ma10_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAADVQTVYSLLSNALSLDESARKPAESALAQCENRPGFCSCLLEIVAARDSGCRDDVRLLASVYFKNSITRYWRHRRDTTGISNDEKNHIRKKLLLHLREENTQIALQLAVLVAKIARIDYPKEWPELFSSLAQQLQSADMLASHRVFIVLFRTLKELSTKRLSSDQRTFQEIASQLFEYTWNLWKNDIHTILQSFSTISQSITMNSLVEQGHDLLLVCERWFLCLKIIRQLIISGYPSDTTTAQEVPLVKEVCPVLLNAIQSFLPYYSLFQERQVRLWDFTKRTCTKLMKALVAVQSKHPYSFGDQAILPAIVDFSLNKITNAEPTVSFFEQFLIQCMVLVKSVLECKEYRPSLTGRVINESEGSLSLEQRKKNISTAVAGILKTILPSDHVILLCNILIRRYFIFSAKDMDEWYQNPEQFHHDQDMVQWTDKLRPCAEALYIVLFENYKQILSPVVISILRDAMSSSPPLETEISSAMLLKDAAYSAAGHVYYELSSYLDFSDWFQGSLAIELSNNHPNMRILHRKIAFILGQWASEIKDDTRKQVYHALIRLLQDNDIAVKLAACRSLCYLVQDTNFSENEFFELLPACWNSCFKLMEEVQEFDSKVQVLNLISVLIDHVGDRISPYAHQLSNFFCKIWEESAGESLLQIQLLVALRNFVGSLGYQSSICYTMLLPILKSGIDVDSPDSLNLLEDSVLLLEATLSNAPSMMPQLLDFFPYLVVILERSFDHLQVATSIIEDYIISGGVEFLNRHASSLAKLLDGIVGNVNEKGLLSTLPVIDILVQCFPMEAPPLIAGVLQKLILICLSEEDDHNPSRTAVRASSAAILARVLVMNTNYFAQLASESSLAMGLQQAGLPINQNILLCLTDIWVDKIDNATVIQRKAYALALSVILTLRVPQVINKLDDILSVCTSVILGGTEEINEDDSGSSALNNEAIGYGGFSVRDSRMRQIKDSDPIKQLSLENMLKENLKACAALHGDATFNAAISRIHPSAFAQLQQALKMV >Ma10_p23060.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32140870:32166112:-1 gene:Ma10_g23060 transcript:Ma10_t23060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAADVQTVYSLLSNALSLDESARKPAESALAQCENRPGFCSCLLEIVAARDSGCRDDVRLLASVYFKNSITRYWRHRRDTTGISNDEKNHIRKKLLLHLREENTQIALQLAVLVAKIARIDYPKEWPELFSSLAQQLQSADMLASHRVFIVLFRTLKELSTKRLSSDQRTFQEIASQLFEYTWNLWKNDIHTILQSFSTISQSITMNSLVEQGHDLLLVCERWFLCLKIIRQLIISGYPSDTTTAQEVPLVKEVCPVLLNAIQSFLPYYSLFQERQVRLWDFTKRTCTKLMKALVAVQSKHPYSFGDQAILPAIVDFSLNKITNAEPTVSFFEQFLIQCMVLVKSVLECKEYRPSLTGRVINESEGSLSLEQRKKNISTAVAGILKTILPSDHVILLCNILIRRYFIFSAKDMDEWYQNPEQFHHDQDMVQWTDKLRPCAEALYIVLFENYKQILSPVVISILRDAMSSSPPLETEISSAMLLKDAAYSAAGHVYYELSSYLDFSDWFQGSLAIELSNNHPNMRILHRKIAFILGQWASEIKDDTRKQVYHALIRLLQDNDIAVKLAACRSLCYLVQDTNFSENEFFELLPACWNSCFKLMEEVQEFDSKVQVLNLISVLIDHVGDRISPYAHQLSNFFCKIWEESAGESLLQIQLLVALRNFVGSLGYQSSICYTMLLPILKSGIDVDSPDSLNLLEDSVLLLEATLSNAPSMMPQLLDFFPYLVVILERSFDHLQVATSIIEDYIISGGVEFLNRHASSLAKLLDGIVGNVNEKGLLSTLPVIDILVQCFPMEAPPLIAGVLQKLILICLSEEDDHNPSRTAVRASSAAILARVLVMNTNYFAQLASESSLAMGLQQAGLPINQNILLCLTDIWVDKV >Ma10_p23060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32139278:32165080:-1 gene:Ma10_g23060 transcript:Ma10_t23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNDQRRGISNDEKNHIRKKLLLHLREENTQIALQLAVLVAKIARIDYPKEWPELFSSLAQQLQSADMLASHRVFIVLFRTLKELSTKRLSSDQRTFQEIASQLFEYTWNLWKNDIHTILQSFSTISQSITMNSLVEQGHDLLLVCERWFLCLKIIRQLIISGYPSDTTTAQEVPLVKEVCPVLLNAIQSFLPYYSLFQERQVRLWDFTKRTCTKLMKALVAVQSKHPYSFGDQAILPAIVDFSLNKITNAEPTVSFFEQFLIQCMVLVKSVLECKEYRPSLTGRVINESEGSLSLEQRKKNISTAVAGILKTILPSDHVILLCNILIRRYFIFSAKDMDEWYQNPEQFHHDQDMVQWTDKLRPCAEALYIVLFENYKQILSPVVISILRDAMSSSPPLETEISSAMLLKDAAYSAAGHVYYELSSYLDFSDWFQGSLAIELSNNHPNMRILHRKIAFILGQWASEIKDDTRKQVYHALIRLLQDNDIAVKLAACRSLCYLVQDTNFSENEFFELLPACWNSCFKLMEEVQEFDSKVQVLNLISVLIDHVGDRISPYAHQLSNFFCKIWEESAGESLLQIQLLVALRNFVGSLGYQSSICYTMLLPILKSGIDVDSPDSLNLLEDSVLLLEATLSNAPSMMPQLLDFFPYLVVILERSFDHLQVATSIIEDYIISGGVEFLNRHASSLAKLLDGIVGNVNEKGLLSTLPVIDILVQCFPMEAPPLIAGVLQKLILICLSEEDDHNPSRTAVRASSAAILARVLVMNTNYFAQLASESSLAMGLQQAGLPINQNILLCLTDIWVDKIDNATVIQRKAYALALSVILTLRVPQVINKLDDILSVCTSVILGGTEEINEDDSGGDTTSSSALNNEAIGYGGFSVRDSRMRQIKDSDPIKQLSLENMLKENLKACAALHGDATFNAAISRIHPSAFAQLQQALKMV >Ma04_p32420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32383860:32390096:1 gene:Ma04_g32420 transcript:Ma04_t32420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAALVAIVASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTIEGLIVAMSLIGATIITTFSGAVSDWVGRRPMLIISSVLYFLSGLVMLWSPNVYVLLLARLIDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMDNPHWRVMLGVLSIPSLLYFALTVFFLPESPRWLVSKGRMVEAKRVLQRLRGREDVSGELALLVEGLGVGGETSIEEYIIGPANELADDQGAIADKEHITLYGPEEGLSWVARPVKGQSSLALVSRHGSMQKQQSVLLMDPVVTLFGSVHEKLPEMGSMQSTLFPNFGSMFSVADQQHKTEQWDEESLQQEGDGYASDAGGGDSDDNLHSPLLSRQTTGMEVKDIAPRHGSGMSMRRNSSLLQNGGEAVSSMGIGGGWQLAWKWSERKDADGKKEGGFKRIYLHQEGVPGSRKGSLVSLPGVEIPEDSEFVQAAALVSQPALFSKELMDKHAVGPAMVHPSEAAAKGPKWADLFEPGVKHALLVGVGIQILQQFAGINGVLYYTPQILEQAGVEVLLANIGIGAASASILISALTTLLMLPSIGVAMRLMDISGRRFLLLSTIPVLIASLVVLVVANLVDMGTVVHAVLSTVSVVVYFCCFVMGFGPIPNILCAEIFPTRVRGVCIAICALTFWFGDIIVTYTLPVLLNMIGLAGVFGIYAVVCTLALVFVFLKVPETKGMPLEVITEFFAVGAKQAALD >Ma04_p32420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32383860:32390096:1 gene:Ma04_g32420 transcript:Ma04_t32420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAALVAIVASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTIEGLIVAMSLIGATIITTFSGAVSDWVGRRPMLIISSVLYFLSGLVMLWSPNVYVLLLARLIDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMDNPHWRVMLGVLSIPSLLYFALTVFFLPESPRWLVSKGRMVEAKRVLQRLRGREDVSGELALLVEGLGVGGETSIEEYIIGPANELADDQGAIADKEHITLYGPEEGLSWVARPVKGQSSLALVSRHGSMQKQQSVLLMDPVVTLFGSVHEKLPEMGSMQSTLFPNFGSMFSVADQQHKTEQWDEESLQQEGDGYASDAGGGDSDDNLHSPLLSRQTTGMEVKDIAPRHGSGMSMRRNSSLLQNGGEAVSSMGIGGGWQLAWKWSERKDADGKKEGGFKRIYLHQEGVPGSRKGSLVSLPGVEIPEDSEFVQAAALVSQPALFSKELMDKHAVGPAMVHPSEAAAKGPKWADLFEPGVKHALLVGVGIQILQQFAGINGVLYYTPQILEQAGVEVLLANIGIGAASASILISALTTLLMLPSIGVAMRLMDISGRRFLLLSTIPVLIASLVVLVVANLVDMGTVVHAVLSTVSVVVYFCCFVMGFGPIPNILCAEIFPTRVRGVCIAICALTFWFGDIIVTYTLPVLLNMIGLAGVFGIYAVVCTLALVFVFLKVPETKGMPLEVITEFFAVGAKQAALD >Ma04_p32420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32383860:32390096:1 gene:Ma04_g32420 transcript:Ma04_t32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAALVAIVASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTIEGLIVAMSLIGATIITTFSGAVSDWVGRRPMLIISSVLYFLSGLVMLWSPNVYVLLLARLIDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMDNPHWRVMLGVLSIPSLLYFALTVFFLPESPRWLVSKGRMVEAKRVLQRLRGREDVSGELALLVEGLGVGGETSIEEYIIGPANELADDQGAIADKEHITLYGPEEGLSWVARPVKGQSSLALVSRHGSMQKQQSVLLMDPVVTLFGSVHEKLPEMGSMQSTLFPNFGSMFSVADQQHKTEQWDEESLQQEGDGYASDAGGGDSDDNLHSPLLSRQTTGMEVKDIAPRHGSGMSMRRNSSLLQNGGEAVSSMGIGGGWQLAWKWSERKDADGKKEGGFKRIYLHQEGVPGSRKGSLVSLPGVEIPEDSEFVQAAALVSQPALFSKELMDKHAVGPAMVHPSEAAAKGPKWADLFEPGVKHALLVGVGIQILQQFAGINGVLYYTPQILEQAGVEVLLANIGIGAASASILISALTTLLMLPSIGVAMRLMDISGRRFLLLSTIPVLIASLVVLVVANLVDMGTVVHAVLSTVSVVVYFCCFVMGFGPIPNILCAEIFPTRVRGVCIAICALTFWFGDIIVTYTLPVLLNMIGLAGVFGIYAVVCTLALVFVFLKVPETKGMPLEVITEFFAVGAKQAALD >Ma09_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9080937:9094969:-1 gene:Ma09_g13470 transcript:Ma09_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAEKLAGMRVADGDGWASVAPNLRKNLGVLSSEEIELAKMLLHEGQKHLFDHWPEPGVDDGRKKSFFDQVARLNSSYPGGLVSYIQNAKRLLADSKAGKNPYDGFTPTVPSGEVLKFGDDNFIMLEEVGVREARKAAFVLVAGGLGERLGYKGIKLSLPVETTTGRCFIQHYIESILALQEASSRQAQGQCKAEIPLVIMTSDDTHSPTVDLLESNNYFGMKSTQVTLLKQEKVACLDDNDARLAFDPNDKYKIQTKPHGHGDVHALLYSSGILEIWRTAGKKWVLFFQDTNGLLFKAIPASLGVSATKGYHVNSLAVPRKAKEAIGGITKLTHTDGRSMVINVEYNQLDPLLRATGHPDGDVNCDTGFSPFPGNINQLILELEPYFEELSKTQGAISEFVNPKYKDSTKTSFKSSTRLECMMQDYPKTLSPSARVGFTVMDTWFAYSPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILKKAGIQMSDPITDVFNGQEVEVWPRITWSPKWAVTFSDVKRKVEAGCSVSQISTLVINGQNVFLDSLSLDGTLIVNAIDEAEVKVTGSVQNKGWVLEHIDYKDTSIPEKTRIRGFRIQKIEQLELNYTEPGNFCFKS >Ma08_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37818435:37819745:-1 gene:Ma08_g24730 transcript:Ma08_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTLSASRGGRDQAAMSNGLPAYFVSSFYPHMEMEMEMEMSGDAIDATPMDRALAASRNHREAERRRRERIKSHLDRLRSILACDPKTDKASLLAKVVERMKDLKQRTEEIADTQFFPTETDEIVVLPSSAPISGQRSTFEASLCCEDRSDLLPELIETLRSLHLKTLRAEIATLGGRVRNVLILAKDEEAYEDADDDDDDDDDDDGDSGGAFLRDALKALVDRSLPAERCKRRRLVDRNPS >Ma01_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21725682:21727382:1 gene:Ma01_g21730 transcript:Ma01_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPARAWYNGLKTGTITSFDQLARDFELNFLAYARPKPSAFMIGLRPSRFFWSLVERPPTAVPEMLQRASQFVAAETWMAGRREEHKRVKPEPPRQQQATTSRRRLDKSDPPTSRPPLPALSSSRTEIFLHIREKGLLKDLHPMRSLQELADRQIEELIRRGHLGQYLRPDKELSPLPEGPIEQHIDVIAGGPASGGGSMTGRKAYARAASAEAPRHGPEPEITFPTGASEHPEHDDALVISARIANAQVRRIMVDTGSSTDILYFDAFRKLGLSRENMKPICSALTGFTGDSISPLGAITLPLTLGAPPRSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYYQTVKFPTHAGVEEGAGSPRESKRCYLTAVSLHKKARVEPPLEDPQETKKPTPHPELRGSIVDLPRLEGRPNQTVKIGSELPEQERKQLVGFLQENADVFAWSPSNMTGVDPEVAQHHLNISPDARPVKQKPRRQAPDR >Ma04_p33210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32969628:32970320:-1 gene:Ma04_g33210 transcript:Ma04_t33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLHFVVSIRTFSGFFHDQSIHRMETTEAGCKLIQRILGSIQTRRDQMSNLPLRKQVHSR >Ma09_p25770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37138437:37142085:1 gene:Ma09_g25770 transcript:Ma09_t25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGDPAAPLLPPHYPPPTEPCYGVPAAAAALQEPYFPEPPAYVLLPFYPRRHRRHGRCHCCGSLFSSSALLSSAFLLVILCSAAFFLWPSDPQLTVARLRFDDLRVTPPPFATIDVVLSVDLMVRNPDFFSLDYRSIVVSIGYRGRPLGSVTADGGHVRARGVSHVHTKLDLDGILVLNDAIYLIEDLFRGSLPLDTVTEVQGRMRLFFFDVPVQGNISCALNVNLENQEVIRQDCYSE >Ma08_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35803660:35807799:-1 gene:Ma08_g22120 transcript:Ma08_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSLPSPPASAHRRRPYPARHPPRPPRAALIEARPVSASETDVGSGRGQYAIQLAAEMQTPTAVSSRPLAPLSVIRKDRAEDMQAEARAMARAANATVYDPQLLAAKYAAQPLKVLSRTLEIFTALGTFALKLVADQRRGQLDQRKRQRAAELTKTFTRLGPTFVKIGQGLSTRPDVCPPEYLEELSELQDALPTFPNEEAFACIERELGTPLESIYSAISADPIAAASLGQVYKAQLKYSGQVVAVKVQRPGIEEAIGLDFYLLRGLGFLINKYVDIITSDVVALIDEFAKRVYQELNYVQEGQNARRFKKFYADKEYVLVPDIYWDYTSTKVLTMDWVNGVKLSEQETIEKQGLKLLDLVNIGVQCSLRQLLEYGFFHADPHPGNLLATPEGKLAFIDFGMMSETPEDARSAIIGHVVHMVNRDYDAMARDYYVLDFLAPDVDVSPIVPALRNFFDDALNSTVSELNFKTIVDGLGNVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIDLLFKDGRFRWNRLENLLVQGRKDRDFTTKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAIALGSMIDAYDSMPEFMKGLVSNGNASGPFKLNDGEQTQMLELRDTVFRIWGLLRSSDNFDPSLLQPILQVLEEPTARNLGGRVFGGITQRLAARLLQQVLRSASTAVPQ >Ma10_p30060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36460867:36461729:1 gene:Ma10_g30060 transcript:Ma10_t30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNNAVRVTARSWDDEMTTEEFKVWLKSFDTNKDGRISRDELRRAIRSIRVRFSGWKSKRGVQYADSNGDGFIDDDEIDNLVEFAQKNLGLKIVAY >Ma07_p27730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33985989:33986558:-1 gene:Ma07_g27730 transcript:Ma07_t27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATIDRYRKGAEVYKGDSICKKKSIELLAELRLPKGLFPLDNVEEFGHNREAGFVWLIQKKKKDHTFKKIKRQVSYAPEVTAFVEEGKMKKMTGVKTKELLLWLSIVEMYVDDPSSEKITFKTGTGLSDSFPRAAFELEQ >Ma07_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26642431:26643121:-1 gene:Ma07_g18730 transcript:Ma07_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSMMTTKDQIRTLEIALRRQGVTVAESKMYTHIRFDKKAEKGIKSIFKLLKQMDDKYVSCCTNGKDSWMVTEILREARTINISLLQSIFKFLSLPRPQGKASRRSLISKALQKRKVACEGEQEEIEDNDRKIQRAQNQLQTLQNSIEDIETGLECLFRRLIKNRVSLLNILSL >Ma03_p24330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28598817:28599204:-1 gene:Ma03_g24330 transcript:Ma03_t24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAACDGNVNGILNTYVYLVISKVSLREGNVGRIGFTFVCLAFPGVAWI >Ma04_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4714024:4716895:-1 gene:Ma04_g06390 transcript:Ma04_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKKARMASRNPSLIRGIGKFSRSKMYHKRGIWAIKAKHCGSFPHHDLKPAPTTPAAVKPPKFYPADDVKTPIPNHRKAKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDISQVNTDKFDDKYFTKEKKKTAKKSEGEFFESEKEETKGLPQEKKDDQKSVDTPLIKAIEAVEDLKTYLGARFSLRSGMKPHELVF >Ma06_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9212021:9215905:-1 gene:Ma06_g13420 transcript:Ma06_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGGEKVGGGGSGSAKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTIADGG >Ma04_p32660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32613528:32615753:1 gene:Ma04_g32660 transcript:Ma04_t32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKNGGSRGRREMGKLQLVVPDGTRRIPPPPGSWEATRPLKKIRSPDRHRSPSSSSTSYSFDALSPACSPRPFTSSRPIFPFACEGPQPVLYAPPTLPLPSSNQFHQQQQQQMISFARSHPYQIGAYPPSPLFMTEGAAPVAMTRQQQQMYQEQLLKYWSESLHLSPRGHFSGQERRRTLSSVYAGFFRPPMLPSVSAPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDREAFKLRGDNARLNFPNLFLGKGGDGGSSRGEASCSSLSSSAPATPQESKQHQQQDEPRQSNIPSAVAETTTGGTTSSVDSPSVLYKPIGSEPAAFGTTESTPQPSEMVWEDADAAWFSTWGPGSSVWDDIDGANSLLFQSPLISIAESDMDCSSSSPACQETATTSASSNPYSPSLFMWKE >Ma10_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32691475:32694165:-1 gene:Ma10_g23960 transcript:Ma10_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGLAPAWQMGLGGYDDASLLTSRLRQHQAAGGGSPPPTGKSMLLQLNHHHYSHHASPQQQLLLQSMGLPPAGGGGDSGGFLPLPLSLGSGGSRDSGLLFDRSREEVDAPFKSSDSTEAEALYNGLGESLQRAAQAPNHHHHFPHPQNHRGAPPAASGSPSTGGGAVPPRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEAGAGRGGADGAAADDGLTAAENQVTKLLEEDMGSAMQYLQGKGLCLMPISLASAISSATCHPRPPIPGGLSQLIRRTAPSPHAAGDAPSSPSMSALTVQSTNGGGDADVSRPSRCKD >Ma04_p30630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31224517:31224865:-1 gene:Ma04_g30630 transcript:Ma04_t30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRITGKEINELASKLRSLLPESRRRNMSRVSASKLLKETCSYIKSLHREVDDLSSRLSDLMSTMETDSPQAEIIRSILGS >Ma00_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1725063:1727387:1 gene:Ma00_g00540 transcript:Ma00_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKWSSQNSGYETAIWAAKIVFCFLGVVSCGAAVRAAMGALASALPGFWASLRSWLAPQYLFVAVHFIILVIWKLSDRQQQHRHHFEHWAAEAGTAESENPTKIESFEPPPAAGQPRKPSPEIWRDEISPSPTTGEVLAVDPVEHSPSDASSLTTDSCERSTPSSAFASQKSVQPETETSIAMAEEEDEAAASAELENDSMNSTWMAIMEKSSRPELTPPPVAAPSSASHEDMNRRFDDFIKKNHEQIRLLSSRRHP >Ma04_p22490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24796179:24799155:-1 gene:Ma04_g22490 transcript:Ma04_t22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEIETEEHGETTMSIKMRRKKKKYSIIIPTYNERLNIALVIYLVFKHLQDFEFEVIIVDDGSPDGTQDVIKQLQNVYGEDRVLLRARPKKLGLGTAYYHGLKHASGDFIIIMDADLSHHPKYLPSFIRKQMETGASIVTGTRYVRNGGVHGWNLIRKLTSRGANVLAQTLLWPGVSDLTGSFRLYERKAFEDIISSVVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVYGSSKLGGSEILEYLKGLVYLLITT >Ma04_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24796179:24799155:-1 gene:Ma04_g22490 transcript:Ma04_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEEIETEEHGETTMSIKMRRKKKKYSIIIPTYNERLNIALVIYLVFKHLQDFEFEVIIVDDGSPDGTQDVIKQLQNVYGEDRVLLRARPKKLGLGTAYYHGLKHASGDFIIIMDADLSHHPKYLPSFIRKQMETGASIVTGTRYVRNGGVHGWNLIRKLTSRGANVLAQTLLWPGVSDLTGSFRLYERKAFEDIISSVVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVYGSSKLGGSEILEYLKGLVYLLITT >Ma04_p26370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27844630:27850904:1 gene:Ma04_g26370 transcript:Ma04_t26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKFMFSSALNRREPNKQDEEASEEEEEELEHELADITFEDLQRARADGSHGSHASKLNQQLKPSRANKNRPMEMSSKVRVGRFREVVQAPKKVVRDPRFESLCGTLDTDGFRKRYSFLFEVELPAEKERLQKLIRKSKDPNAVEELKSHLSWIDKQIKSGPRKSAESEILSEHIKKEREAAKRGKQPYYLKKSEIRERKLIQKYNELKAAGKLDSYIEKRQKKNASKDHRYMPYRRSGNDAQE >Ma04_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25067413:25072218:-1 gene:Ma04_g22850 transcript:Ma04_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTPRPDLVGTAPPGFPPLPKSAASSAAPSDGASSVTCSVESSLPGGGFGAIAGQEEPEEAKPRGYGCDGSEASIHERRRGRPPGSRRLGEWIVTSAGVGFTPHVMMIAVGENISEKIMSFSKQGPRAVFILSAYGAVSTVTLHKPGTSGIMVTYEGLFEILCLSGLYLPTNNDGLHSQSRGLCISLSSPYGRVIGGLVGGSLIAAKPVQVIVGSFIYAGSSAKDRIITEDGRDDVSEHQIRDKQGKPFSVPQNQDITPKMKGGLPGP >Ma10_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33108398:33109570:-1 gene:Ma10_g24570 transcript:Ma10_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLTTNAHCSVLVRLLLVALLFRCSYGGRTLAALVEQQPLAMTYHKGALITGNVSVNLVFYGKFTASQRAIISDFVTSLSPLPRQKHSLEPSVATWWTTLAKYYATSKAPLPKPILGKQILDESCSLGKSLRDADLAKLAARGAARDAISVVLTAEDVAVDRFCMSRCGSHGSSSLSEAGSRFAYIWVGNSAAQCPGQCAWPFHQPMYGPQTPPLVAPNGDVGADGMVINLASMLAGAATNPFGDGFFQGPREAPLEAATACPGVYAKGSYPGYPGDLLVDPTTRASYNAHGARGRKYLVPALFDPSTSACSTMV >Ma08_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9673050:9674186:1 gene:Ma08_g12690 transcript:Ma08_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSQIAIGRPEEATHPSALKAALAEFICTLIFVFAGQGSGMAYNKLTSDGAATPAGLIAAALAHGFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSTVACLLLRFSTGGLETGTFGLSGVSAWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGPFSGASMNPAVSFGPALVSWSWTHQWIYWLGPLIGGGLAGIVYEFFFISHSHEQLPTTDY >Ma06_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10949627:10952819:1 gene:Ma06_g16170 transcript:Ma06_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASVTAAVLIAVRPAEAECSDRGGGDGLGGEGEAAASEPPRHSVVAIDVPGVGPDVDGAGDGKSEAEKVCRICHLSSDVGGLEVPNLIQLGCACKGELGGAHRRCAEAWFRVKGNRYCEICGANVKNVNGDEDGNFMESWNEGRMLGRSHRSSTEMNSCWRSQPFCNFLMACLVVAFILPWFFHINLF >Ma08_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33103917:33106706:1 gene:Ma08_g19320 transcript:Ma08_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRKSLPVEGRICNFLFSTNVILHLIGCHVFLSLTFDCVVDSNRLLA >Ma07_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9514112:9516563:-1 gene:Ma07_g12620 transcript:Ma07_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQDLFGCDVRELHKKGGEVWRYDFGGVAEDGKVCGSAGDQDGRGLKQERKVEDVTRGPWDTSSSSIVQASADGHVNSSSPETGTEDAFNVSPGLAARRRKKRRLKSFKNQEEVENQRMAHIAVERNRRKQINEYLAVLRSLMPASYVQRGDQASIVGGAIDFVKQLEKFVHSLEAHKRVKQRSSSSPSPFADFFSFPQYSSNSPCCAKSAADDSAGEPAVENHSPVVAEVEVTMVETHANLKVLSTRRPKQLQNMVVGLQSLHLTTLHLNVTTIADMVLYSFSLKVEDECLLTSADEIATAVHQMVAKIQQDAAFDCAV >Ma08_p33080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43552510:43553954:-1 gene:Ma08_g33080 transcript:Ma08_t33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLWIVLLLVFSGAKPSEGARVFTIVNECKTTIWPGIIPGESFNGGGFALKQGQSVVVKAPVGWSGRIWGRTGCNFDQNGNGSCQTGSCGSSLKCSGSGETPATLAEFTLAALDFYDVSLVDGFNLPVVVTPVNAQGGNCSSAGCDGDLRQTCPSELAVMVKRETVACRSACDVFNTDQYCCRGVYGNPSTCQPTYYSKKFKTACPTAYSYAYDDPSSIFTCSQADYIVTFCSNRKSRVCSYHDNKLTCSGTDGGASTCKWLIAMFLGLWGSLLVL >Ma05_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31863278:31866357:-1 gene:Ma05_g20250 transcript:Ma05_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSFSSSVSSEKGRSASRRSALRDVPSRPFLPSMHTSSRNPSSWQTGDRFIPDRSAMDMDVAYYLLTEPRKEKENAVAASPSKEAYRKLLAENLLKNRTRILTFKNKPPPPSQPFFQEVDVSSHHLKPAKQRRYIPQSAERTLDAPDIVDDYYLNLMDWGSSNVLSIALGNTVYLWDASDGSTSELMTVDDDAGPVTSVSWAPDGRHIAVGLNSSDIQLWDSSSNRLMRTLRGVHGSRVGSLAWNNNILTTGGMDGMIVNNDVRMRSHVIQRYRGHQQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSNPSPGQNQWLHRFEDHMAAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKIAELTGHTSRVLFMAQSPDGGTVASAAGDETIRFWNVFGTPEPPKPAAKSTSTGPFSSFNHIR >Ma10_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24407450:24407995:1 gene:Ma10_g10480 transcript:Ma10_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNFDLSSGNHDIKGTKGCFGEHAPPASCNASTIHGLQRDHAVEGGRHPPRGSHGDARITMQSLVSVTTFSSTPIPPPWKPTPRDARAPCRSSASILLPPIANPNWCRPATAAANSRTPPSRLLVAVCFPSRPSSSPAIQFPVPTTDAVDVPSPPTDDLQTPPPPTPSNKRPLPLTFCPI >Ma01_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7682501:7688406:1 gene:Ma01_g10700 transcript:Ma01_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVCGIPLLECVYCLACARWAWKRCLHSAGHDSETWGLASADEFEPVPRLCRYILANYEDDLDNPRHALPGLEAVNPRWVVRKKSYRDTHRRAPPYLIYLDHDHCDIVVAVRGLNLAKESDYAVLLDNSLGKRKFDGGYVHNGLLKAAGWVLDAECDILRELVEKHSNYTLTFAGHSLGSGVAAMLAMVVVQNRDKLGNVERKRIRCFAIAPARCMSLNLAVRYADIINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCIPEDVKLKDPRRLYAPGRLYHIVERKPFRFGRYPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEKEAQRALDMIFHKEQTMDIPAKQRMERQETLAKEHREEHKAALRRAVTLSVPDAFSPTAYGTFDEKTSEAEDDDSQASSTRSRQRMSWNELIERIFEKDESGHMVLRKSTSNG >Ma11_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16592089:16595697:1 gene:Ma11_g12700 transcript:Ma11_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKELVGKEVTVELKNDLAIRGILHSVDQYLNIKLENIRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPDGVDIDILHDATRREARGP >Ma07_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31466176:31471004:1 gene:Ma07_g24000 transcript:Ma07_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKATGTSDGHEEGDKIGKEASKVDGDDGRGGWLQLSIGGGRRSNYPKLQDPSPVLDPSSSNGRNKGDPPEFHLFCRRPSEPPPALAPPLFPAIMGGYCHQWPEYPSPSSTARTFTPSSSSRGQFVCPSARFSSPLRLDGEMRVVSQPRRPQTGMWLVLQAAQDQVKEPFLPQIPKSYLRIKDGRMTIRLLMRYLASKLGLEDDSQVEITCRGQQLSPFLTLIYVRDNIWCSPEAVELHTDTSSTKYVMNLLYRSRGRCR >Ma05_p26820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38346504:38353059:1 gene:Ma05_g26820 transcript:Ma05_t26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGADGETPLARQGSIYSLTFDEFQSTLGGLGKDLGSMNVDELLKNIWNAEESYAIAATLGEGGGGAGGAPGLQRQGSLTLPRTLSHKTVDEVWRGFVDASSSGQGPVVGGSSYVMRQPTLGEMTLEEFLVRAGVAREELTPPPVHPRPADTKINTTSVFFGDLPTVSSSTGLSVGFNRANQSNGNVANAPVPHSSAANFGMTVTAARSYAAPMPLETGVDMGNPQGMRGGGLVSFGDAGMDNQLMKGMVGLGTAGVVTAKGSPATHLSPDVLGKANGDLSSVSPAPYTVNSGMRGRKHSGAVEKVIERRQKRMIKNRESAARSRARKQAYTMELEAEVAKLKEQNQELQKKQAEMLEMEKNQALQMISQQNGPKKQCLRRTQTGPW >Ma10_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24264193:24272531:-1 gene:Ma10_g10250 transcript:Ma10_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLWKLGDEFRGQTKISEDHQWSVMTSKLAELTRSKVDRRNNLDYPRNIIEAKPYDKVGFQEDNKFENLNLGLMNLDVKMNDASVKSLFHGAFSMSNAYQKINSNDVNSFKLGTAINKYAKNSSNKDVNNYNKSDGNSNNNNNSSNGNNNNNNNNVVDKRFKTLPSTEMLPRNEILGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKPLEEDAFRPVLHHYDGPKFRLELSISETLQLLDLCEKEGM >Ma02_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28147222:28156862:-1 gene:Ma02_g22930 transcript:Ma02_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAGGTPPQNPTLAAGESERRRSPIRKKTATRTRDASGKEDVVMEEEKEGAFLLGEPTFLDLGGGRLRCVETGHELLAKDEEAYGRTKACRLALIDAAVAQKKPPLNMFQPHPTSKSQLVCKLTGDSVNKSEEHIWKHINGRKFHNKLEQKEMEKHSSAEAVEKDTKQSKKQVKSTLGSRNRVRKKDMNMNDSHTKKTKADDDDDMVEPEFWVPPVGSRWDLDDGKDRWDPQTNMVDEADDGSGLDGISEKDDPETIELSNRTKRMSIVVGPSSFASRKKKIKKAATLPNGS >Ma11_p17750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22973173:22978252:1 gene:Ma11_g17750 transcript:Ma11_t17750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQSFRIGALEKLQSFRVTVMEKQKSFRMDKQRSFRMGDRQQSFKEGKSKESPGKRGDLELHLAARAGNAVRVHKVLSECSETQLKDLLCKQNQDGETALYIAAEKGHVEVVQEILKVSDTQSAAIKANNSYDSFHIAAKQGHLEVLKDLLRSFPALAMTTNSLNSTALDTAATQGHIDVVNLLLETDASLAKIARNNGKTVLHSAARMGHVLVVKSLLDKDPSIGLRTDKKGQTAFHMAVKGQNVEMVMELLKPDISIINLEDNKGNKPLHIATRKGNPKILQVLLSVKEIDINAVNRAGETALSIAEKFSNEEIASILREFGAVVAQQPANTMTAAKQLKQTVSDIKHDVQSQLRQTRQTEMKVYKIKKRLKKLHLGGLNNAINSNTVVAVLIATVAFAAIFQLPGQFVQEHQTEFTLGQAYIAKYAAFIIFLVSDSLALFISLAVVVVQTSLIVVEQKAKSRMVFVMNKLMWLACLFISVAFISLTYVVVGHDSWLAWSTMAIGTTIMLTTLGSMCYFVVAHRIEEKNMRNIRRNSGSRSRSWSLSVGSDSEILNSEFKKMYAL >Ma11_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22973173:22978252:1 gene:Ma11_g17750 transcript:Ma11_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQSFRIGALEKLQSFRVTVMEKQKSFRMDKQRSFRMGDRQQSFKEGKSKESPGKRGDLELHLAARAGNAVRVHKVLSECSETQLKDLLCKQNQDGETALYIAAEKGHVEVVQEILKVSDTQSAAIKANNSYDSFHIAAKQGHLEVLKDLLRSFPALAMTTNSLNSTALDTAATQGHIDVVNLLLETDASLAKIARNNGKTVLHSAARMGHVLVVKSLLDKDPSIGLRTDKKGQTAFHMAVKGQNVEMVMELLKPDISIINLEDNKGNKPLHIATRKGNPKILQVLLSVKEIDINAVNRAGETALSIAEKFSNEEIASILREFGAVVAQQPANTMTAAKQLKQTVSDIKHDVQSQLRQTRQTEMKVYKIKKRLKKLHLGGLNNAINSNTVVAVLIATVAFAAIFQLPGQFVQEHQTEFTLGQAYIAKYAAFIIFLVSDSLALFISLAVVVVQTSLIVVEQKAKSRMVFVMNKLMWLACLFISVAFISLTYVVVGHDSWLAWSTMAIGTTIMLTTLGSMCYFVVAHRIEEKNMRNIRRNSGSRSRSWSLSVGSDSEILNSEFKKMYAL >Ma08_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38110092:38110696:-1 gene:Ma08_g25150 transcript:Ma08_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLNNGKATKPSSSLSDCPSIPAIPAPYKSLLRHVNDTKKKEQQLPRDQNKPLFLATSNGDEKDRLRRPRRGRLCRRRPCGRRPGSFPHQLVLRGHPRRRRRHRGLPPLLLRLLLAVTPQGGGRKGSHRCLRSISRCICALFLL >Ma05_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1481538:1485091:-1 gene:Ma05_g02320 transcript:Ma05_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRFAFPVCSPLAPFLASSLHRRLSIPSRSSSSFSPTPLFSSDHRPPLFLRPPAFAASRTDLHDFRRWAESLALAAGPRLSASDGGPDAALLRRELAWLVEDATVAAASESGVGEELRLRVELEELYRLWKARIEERRPFQYIVGCEHWRDLVLVVKEGVLIPRPETEMVVDLVAEVEGFEKGLWADLGTGSGAIAVGIGRMLQEGGRVFATDLSPVALEVARLNVERYELKDKIELRWGSWFEPLRDVEGKLMGLVSNPPYIPSSHIPGLQAEVGQHEPKLALDGGEAGMDHLLHLCEGSASALKSGGFFAFETNGDEQSEFLADLMSIKLGNFFHNIKMISDFAGIQRFVTGFRR >Ma08_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6802024:6802866:1 gene:Ma08_g09400 transcript:Ma08_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQFGRSDFVRSPGTAMIALPQPRDGAASEGNDGDGGSAGARSRVGAGGSSSTATGEPSSGGGSTARKPRGRPLGSKNKPKPPVVITRRSESAMHPLVLELAGGSDVVSGISEFARRRGVGVSVLDGRGAVADVTLRHPSAGGPSTISVPGRFDILSLSGTLLPSEPPAPEVVVWPAQLSPLTVSLAGPHGQVIGGTVAGPMTAVGPVLLVAATFPKPEFHRLPLAEEDGESFREEDVKPEADSTAAFGEPSPLPRQLTHTDVVLWAQPSSSSRPPRHC >Ma10_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15697894:15709919:-1 gene:Ma10_g05010 transcript:Ma10_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSHAAAPPPPLPSPSPPPSSVGLAAALPPKQDEKAEPEKVDWLNLPCPIPFEEIQREALMSLKPELFEGLRFDFTKGLNQRFSLSHSVFMGSMEVPSQSSDTLKVPTAHYEFGANFLDPKLMLVGRVLTDGRLNARVKCDLTDNLTMKINAQLTNEPHFSQGMFNFDYKGTDFRSQLQIGNNAFYGGNYIQSISPHLSLGTEIFWLGHQRKSGIGFAARYNTDNMVATGQVASTGIVALSYVQKVSEKVSLASDFMYNHLTQDVTSSFGYDYILRQCRLRGKIDSNGVFAAFLEERLNMGVNFILSAEVDHRKKDYKFGFGMTVGE >Ma07_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27713095:27714546:-1 gene:Ma07_g19790 transcript:Ma07_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLPLSPFLLFFFFLASPTDAFFSSLLAATGDAPRFAEAPHFRNGVRCPSISAGAGRAAACDPSHVHIAMTLDAQYLRGSVAAVHSILKHSSCPDTLFFHFFAPAGGRVGGDDLSRLLGSTVRSVFPALRFEVYPFRAELVSGLISSSVRVALQNPLNYVRAYLADLIDSCVQRVVYLDSDVIVVDDVRRLWDDAAARLDSAAAVVAAPEYCHANFTRYFAPTFWAEGGAQAFAGRRHRPCYFNTGVMVMDLRRWRAGGYRRRIERWMEVQRERRIYELGSLPPFLLVFAGEVEGLEHRWNQHGLGGDNLTGECRRLHPGPVSLMHWSGKGKPWDRLDAGNPCPVDQLWKPYDLYVRPSSGTSSIATT >Ma00_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:142308:148906:1 gene:Ma00_g00130 transcript:Ma00_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQQLVGLDAPLACTLFSTLRGPLSLPSRAARAVSRRLAPGGWGTPAMWQLGGHRLLAPAVGLRATSTAAVSSCRAVMLPRFGGPEVREVRPAVGVPSLKPRDVLVRSRAVSINPLDLRMRSGYGCSIFEPLLPLIIGRDISGEVAAVGTSVSSLTVGQEVFGALHPTAVRGTYADYAILSEDELTLKPPSATRGILGDIYGPWYILENFVIVQDASAIPFAALTAWRALKSTARITNGQRLLVLGGGGAVGLAAIQIAVAAGCSVSATCGSQSIERVLAFGAEQAIDYTAEDIEVAIKGQFDAVLDTIGVPETERTGINLLKKGGHYMMLQGETASLADKYGLVIGIPAATTILLKKQLQYRYSHGIEYWWTYMRADAEGLDEFRRLSEAGKLKIPVEETFPIAKVRKAHEVKEKRIIPGKVVLEVD >Ma01_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28078504:28097197:1 gene:Ma01_g23490 transcript:Ma01_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRHGLPKWIAAATRVDLDGASSSPGSPKSDASSSSLRSSPSSSSSSPQIISSSPDPSTGFGERALSAAGAAVVSAVLVNPLDVAKTRLQAQAAGVAYYPLQHPYGRQMASLGPSTMISDFRCSPSCTRAAVFGTEPVCPPECFHYKGTADVIYKVVRQEGFVRLWRGTNAGLALAVPTVGIYLPCYDIFRNWIEEFTACNAPTLTPYAPLFAGSFARSLACVACSPIELARTRMQAYKELQIGAKPPGMWKTLLDVLSSLRSTNNNHNLQGYRILWTGVGAQLARDVPFSAICWSTLEPIRRRLLSLFGEEGNAASVLGANFSAGFVAGSIAAAATCPLDVAKTRRQIEKDPSRALNMTTRQTLVEVWRTGGIKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYILHQRHNSS >Ma09_p27230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38267797:38278936:1 gene:Ma09_g27230 transcript:Ma09_t27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLVRSRLVRLLAKQRSERRALSVSAAPPKEPIVSSDALLNDESSSPPSPPPPPSSTARRSWSLLKLGSLAAVTAAVGTTAYATYAYTVDEVEEKAKVLREMTKGPVRDDTSSFQKYNNLVYSTVMKVPVKALELYLDLRRAIEDHVRGFTEPSSEKLLPDLHPQEQHVFTLVLDLNETLVYSDWKRDRGWRTFKRPGVDAFLEHLAKFYEIVVYSDQLSMYVDPVLERLDQKGCIRYRLSRAATKYVNGKHYRDLSKLNRDPSRILYISGHALESSLQPENCVPIKPWTLENDDTALLDLIPFLEYVAVHRPADIRPVLASYHGHDIASEFIERSKEHQRRMQDQKQHGRFWRR >Ma00_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1922175:1952281:-1 gene:Ma00_g00630 transcript:Ma00_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVAGDGVLALMPQEVVNSIDPSLSPSPFNGSSEKSPILIFVYFQKAIRTELNRLHHDAVELATAGSGDVRFLAERCIFLFDIYQHHCNAEDVVIFPALDVRVKNVARTYSLEHKGESHLFYYVFILLVSHVTNEESLRRELAVRIAAITSFSHHMPKEEKQAFVSEDHFLRELASHIGVIKTSLSQHMSKEEEQVFPLLIDKYSFKEQADLVWEFLCSIPVDMMMKFLPWLSFSISSDEHQDMINCLSKILPKEKLLKQVIFTWIQDKGMANIGQSHVDDFLSQSSAPGRSIVHTEKYACVSDLSMTGKRKHKESDSEGFDLGLYPIDEILHWHNAIRKELNDIAESARKIQLSGDFLDLTAFNTRLQFIADICIFHSYAEDQVIFPAVLDGTESLLQEHANEKIQFNKFRCLIEEIQSAAATSTSVEFYSELQSHADQIMDTIQKHFQSEEAEVLPLVRMHFSPEKQRKLLFRGLCVMPLKLLERVLPWFVANLSDKEANSFLQNMHLAASPSEDGLVTLFSGWACKGRSNAITSSGKFICFTSKAFSCFPSEDNTESEEDCSKDFCAYASLVGYKKDIEVLEGENIARPVKRSNFTESYGNSGKNNSLESDEFEGMSTSQKPCCVPGLAVANSYTGLSSPEASKSLLFSYYNPSVPYLKSSLFISEMELNSYSRETTLRPIDNIFKFHKAISKDVKYLDDESGNLIPYDEIVLRQFSGRFRLLWGLYRAHSNAEDDIVFPALESRETLHNVSHSYTLDHKQEEKLFNDISEVLAELSQMHDSLGTKNNKDVASGSKSCSSLHVIDRTKKYNELVTKLQGMCKSLRVTLDNHVFREELELWPLFDKHFSVDEQDKIVGRIIGTTGAEVLQSMLPWVTSALSQEEQNKMMDTWKQATKNTMFNDWLNEWWKDTSSFSTDATGSSSFQKGVDYEESIEQSDQMFKPGWKDIFRMNQNELESEIRKVSRDLTLDPRRKAYLIQNLMTSRWIAAQQKLPQDRTKEALDGEDVPGCSPSFRDPEKQIFGCEHYKRNCKLLAACCNKLFTCRFCHDKVSDHSMDRKATTEMMCMRCLKVQPVGTCCKTPSCDGFLMAKYYCNICKFFDDERSVYHCPFCNLCRVGKGLGTDFFHCMTCNCCLGMKLKEHECREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEQLPEEYRDRCQDILCNDCGKKGKSRFHWLYHKCNSCGSYNTRVIKIDSCSTSN >Ma08_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1405527:1417454:-1 gene:Ma08_g01680 transcript:Ma08_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MIGLFNCYVRECSFVKQQQIAIKMYKHFSEERFLLWAVCSIELQVVCNSSGHKLLQLAEALLKKHMASHSLHEPDALVMYISILEQQEKYDAALEVLSGNLGSLIGIEEDKLRIQGRLLARACNYAAAAEIFQRVLESCPDDWQTFLHYLACLLEEDVNWSKTNSSHQSCLPCVDDIKACKATHLTKDEFDSRISNALSFVQKLQMDCCNDCVRGPHLAQIEIERRHRLHGIEHDGKFMESLLNYFYRFGHLSCFTSDVEMFLRLLTDDEKDQLLDNMRTLESTSASPIKALGHAMTVFKVQESFGFMFILSLRELEGTARKMLEMFCKDLKLSRDLDPQENMHGEDLLSMASNILVLLFWRTRKLGYLLEAIMVLEYGLNIRRYVWQYKILLLHLYSYLGALPLAYEWYSTLDVKNILLETVSHHILPQMISSPLWQENGDLLKDYLKFMDDHLREAADLTCVAYRHRNYSKVIEFVQFKDRLENSNQLLMARLDVSILQLKQKADSLQEVECIFENLNYGSRFLEMSNEDKLKSLTFNEDLQARPWWSPTSSVNLLSGCFEEGSACLRESLKGGDEEYIVKKVIERKSILPRLVYLSIQSGSISLKENDRNGSLSDASAVGELKCLLERYARNIGLSFDDAISVILAISRGQKSFKEFGSDFISWINFAVFVNAWNLCCRGVKLLNEDKCGMSSWRIVDNLVKSCVAEQLMHAEPILASPGDKLSILVQLVTEPISWHILVIQSRIRWMLPSGRRKKRSGMTDHLSSPDMQAVCGSVLCLIDAIQHIERWVADQMNRSEDQDLDILLSHVHRGDAEGGPGCVLQSLEQNACADDVGDRILGALQSWSCATVCRKIVGAQRKMLSHLLRICQSKLKLLRSMQ >Ma08_p01680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1405527:1419985:-1 gene:Ma08_g01680 transcript:Ma08_t01680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MATKFGVAGGIPERRVRPIWDAVDSRQYKPALKLASSLLVKYPSSPYALALKALILERMGKPDEALRVCLEAKEFLYSDNSLHIDELTLSTLQIVFQRLDHLDHASSCYEHACAKHPNNLEIMIGLFNCYVRECSFVKQQQIAIKMYKHFSEERFLLWAVCSIELQVVCNSSGHKLLQLAEALLKKHMASHSLHEPDALVMYISILEQQEKYDAALEVLSGNLGSLIGIEEDKLRIQGRLLARACNYAAAAEIFQRVLESCPDDWQTFLHYLACLLEEDVNWSKTNSSHQSCLPCVDDIKACKATHLTKDEFDSRISNALSFVQKLQMDCCNDCVRGPHLAQIEIERRHRLHGIEHDGKFMESLLNYFYRFGHLSCFTSDVEMFLRLLTDDEKDQLLDNMRTLESTSASPIKALGHAMTVFKVQESFGFMFILSLRELEGTARKMLEMFCKDLKLSRDLDPQENMHGEDLLSMASNILVLLFWRTRKLGYLLEAIMVLEYGLNIRRYVWQYKILLLHLYSYLGALPLAYEWYSTLDVKNILLETVSHHILPQMISSPLWQENGDLLKDYLKFMDDHLREAADLTCVAYRHRNYSKVIEFVQFKDRLENSNQLLMARLDVSILQLKQKADSLQEVECIFENLNYGSRFLEMSNEDKLKSLTFNEDLQARPWWSPTSSVNLLSGCFEEGSACLRESLKGGDEEYIVKKVIERKSILPRLVYLSIQSGSISLKENDRNGSLSDASAVGELKCLLERYARNIGLSFDDAISVILAISRGQKSFKEFGSDFISWINFAVFVNAWNLCCRGVKLLNEDKCGMSSWRIVDNLVKSCVAEQLMHAEPILASPGDKLSILVQLVTEPISWHILVIQSRIRWMLPSGRRKKRSGMTDHLSSPDMQAVCGSVLCLIDAIQHIERWVADQMNRSEDQDLDILLSHVHRGDAEGGPGCVLQSLEQNACADDVGDRILGALQSWSCATVCRKIVGAQRKMLSHLLRICQSKLKLLRSMQ >Ma11_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23151446:23153746:-1 gene:Ma11_g17970 transcript:Ma11_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDEYTKLIRMMNSARVVIDNDACEDSTVVQVDCVKEHMLLLQLVQVLSDLNLVIKKAYITSDGNWFMDVFKVTDREGKKLRDQKILDYLKTVSILFIWPCMMFLEEWGDISIFVSSLESTACSLTSFRSTVGILPSKDYTLLELTGTDRPGLLSEVCAVLNDLNCNVVKAEVWTHNTRTAAVVQVTDKSTHGAIDDPNHLSKIKALLFNVLKGDSDSSTAKMTVSVGLTHAERRLHQLMLDDRDYEHSVVAEKLKKKSSTQVTVLNCSDRDYTVVTVRSKDRPKLLFDTLCALTDMQYSVYHGTVDAGNSEAYQEHYIRPSDGHPVSSEAERRRIIQHLEAAIERRAYEGLELELHAEDRIGLLSDITRIIRECGLSIRRAEITTEGGKAMDTFYVSEMSGNLIDAKAVDSLRRMIGHAALKVKQSPALSPKPPEKANATTFLDGLLKAWWFQGFVTSYFLNFR >Ma06_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9521660:9527121:-1 gene:Ma06_g13880 transcript:Ma06_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGLPLSSCYHATIAESVRLRSTAPAVAKQHLPRQSRKRPPRAAVDGTALGEKLETIPTAVPAKVSLGRSSFPRGFVFGAASAAYQVEGAWNEGGRGPSIWDTFTHNQPGKIQDGSNGDKATDSYNKYKEDVKLTKEMGLDSYRFSISWSRILPKGTLLGGVNPEGINYYNNLINELLKNGIRPFVTLFHWDVPQALEDAYGGFRDHEIVNDFKDFASICFEEFGDRVKHWITLNEPWSFSSMGYTFGRHAPGRCSSWFGCTVGDSSTEPYTVTHNQILAHAEVVKLYKEKFQATQKGEIGITLNSMWYEPYSKSHHDKEAANRAIEFMFGWYMDPLVYGDYPFIMRALVRERLPHFTHTQSEMIKGSYDFIGINYYTSRYAQHDPIVQDHSPGSSYEDQYVRQFDSKNGIPIGPLNGSWVNVYPPGMKELLLYTKKRYNNPKIYITENGTAEIDKDLPLEQAREDPHRQDYLSVHFAQVQEAIREGVRVKGHFTWSLTDNFEWDKGYTERFGLAYIDYDNGFDRHLKTSTKWFSRFLTT >Ma07_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10139628:10142453:1 gene:Ma07_g13470 transcript:Ma07_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDLPSPVLVEILSRLGDSTELARCRLASRTLRSLSRDVRSVRLFCSRDRFLRSRAPDTRDHDTPFRSLVANLASFLSASPGPLALALAAERPLSAPADEVDDADDLHLTAVPFLARWLPLLAARLRSLAIDDYWLQSCWRPSQALSLIADICHDLVNLEVRNAWLSVEELKPMHKLTSLTLEFIRLDDANLDKVNECFPSLQALNLIGVGGLKEPKIHLLQLRVCTWTVSNFVLSLTVCAPKLVELKLKCVEPEALHLETPLLSELDVTIKKARAPIVVGEKLNLRSLRIESSDLCNLAQVFAPSRTIKRLELEAYGSSHVVDLVEQCTIDLLSTFPDIGELVLGPRAWFILPENLSLRGELKNLKKLTVHLAPEELDAAMITWKLTPLLTHARYCQVVMLIPAAASMDSRTHVISKCISNFPGIRWKWDTWKCG >Ma07_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27733501:27745956:-1 gene:Ma07_g19810 transcript:Ma07_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGSASAYVGARIKVEEEVVRRVKVEEEVDGEEFGDGDNNNNHHKYEPQPEEEEEEERGPAERIDSLAAEKAAEQLLMTRPWKRRRAVGRLGPKPLLSQNRHRLSRLLDRLARAHSWKEASGTLSALLKGTPRASTLLEDRRNFLVAMEIQRRLGGKGGNYQTKIKKMYEVWMSKLVWTKKCSKKRYSIQLELALFYLSHGNIEEAFNTTKFLVQYHDSASEPIVNLIHGLILYHMWYSGLPEGLKIKDFDLQMASEALDATSCDGYEGPEIFASSNGHNAINTEDANYSARVASQSSVGNEKKNFDLKIEVKKEIDDAFRAAELHPSGSEMNDDQPFNPRPHSLNCSIFLAHGLEKCLLPVQLDHLTGDLEHIICSYRRLVNQYYNDAVKHLRLALHSTPPLLAAILPLVQLLLLGDRVDDALMELENSCQNFSVALPFRLRARILEAFRSSQLTAIHRCYEDALTRDPSCNLSLERLIKMHRSGNYDTVPLLEMITLHLDATDGRSGVWEEFASCFLKILTSSVADYEDRVSANGQGGSAAIISSNKIPGVFTEGQARESWKVRCRWWITRHFSKNAYLQDMQYSDRKLLATKAACASHIYGPNFEYVKAALCSLTNKVNIVQFSFLQAHMEKSMRLHENLTALSTL >Ma08_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:636417:638123:1 gene:Ma08_g00660 transcript:Ma08_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIYSSSLLSCLLLLLLLLCILGPSASDDSLQDKCASDITKLLPCQDYASGSKEEPTSDCCSSVKDIRGSQPVCLCYVIQQTHSGSSAFKSLGLKVDRLVQLPTACKLANSSVSDCPKLLNLSRSSPDYSIFTNITTANTTSSGSADAAPSKAFIHSICLYGTFAIGLVTASFLSILS >Ma08_p29580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41133766:41137351:1 gene:Ma08_g29580 transcript:Ma08_t29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDVTAAERRVCVTGGNGFIGSWIVHGLLAKGYTVTATYHPATDPSHLRSLPGGDDSRRLIVRPADLLDAEAIAAAVAGCRAGVIHVASPCTLETPRDPQRDLVAPAVEGTLNVLEAARAAGASRVVITSSISSMVPNPRWALDHPGRPVDEECWTDLDYCKAHQKWYPVSKTTAEKVAWEYAQKHGLDIVTINPSTCLGPLLQPTLNASSAVLQQLLQGSKDSQEYHWLGCVHVCDVADAHILLLETPSASGRHLCTNGIYQFKDFAETVDKLCPGYNVHRFTEETQPGLVALKDAAKKLLELGLVFRPIENAIKDSQESLIAKGYLAPPTQ >Ma04_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24606995:24607271:1 gene:Ma04_g22240 transcript:Ma04_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSPIKSGGACFEPNTVLDHASFVFNTYFKDRACNPSTCNFGGDATITKTNPSHGSCSFK >Ma04_p26200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27743264:27745687:-1 gene:Ma04_g26200 transcript:Ma04_t26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g68930 [Source:Projected from Arabidopsis thaliana (AT1G68930) UniProtKB/Swiss-Prot;Acc:Q9CAA8] MASSLSNHYSSLLKLWSQTQDRSHLKKLHCLILKTLSLSADTFLSNTLITCYAKSDLLSYARNLFYKIPHPNSFSWNAILSAYSKAGCIPQMEKVFFSMPERDGVSWNSLISGYAGHGSRDRAVVAYRAMLREGRIPNRITFSTMLILSSACSSASLGRQVHCQIIKFGFELYVFVGSPLVDMYSKSGFIREALQVFQGMEEKNVVMCNTMMIGLLLCGMVEDSKKLFREMAERDSISWTTMVTGLTKNGLELEAIDLFREMRAAGVGIDQFTFGSILTACGGLSALELGKQTHAYISRTHYDDNVFVGSALVDMYSKCRSIRHAEVVFRRMRTKNIVSWTAMLVGYGQNGCSEEAVRIFCEMQKHGVEPDDFALGSVISSCANLASLEEGTQLHCRAIVSGLISFITVSNAIVTLYGKCGIIEDSHRLFDEMQTRDSVSWTALVSGYAQFGKAKETIDLFEKMLNDGVKPDGVTFIGVLSACSRTGLVEKGYSYYNSMIHDHHIVPVADHYTCMIDLLSRAGRLKEAEDFIKQMPCHPDAVGWATLLSSCRFHGELEIGKWAAENLIELDPNNPASYVLLSSLHASRGNWDEVAKLRRGMRDKKVKKEPGCSWIKYKNKVHIFSADDQSHPYSERIYAELEKLNDKMIAEGYKPDLSSVLHDVSESEKIHMLGHHSEKLAIAFGLIFMPPELPIRVVKNLRVCVDCHNATKFISKITGRDILVRDAIRFHKFSGGVCSCGDFW >Ma09_p26880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38032528:38033225:1 gene:Ma09_g26880 transcript:Ma09_t26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSRLGRCMKAPLRMLCRARDMYVRGLNAFADHMQHGAAMAYPALASTNYSFPPARAIAGDDDDLRELIWAASLARARTLPRRAAPAPAVRKSQSLAIGRIDEDKPCLSFDDDVEVGSSLVFARRSRSCVAGAKRRARSFV >Ma10_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28751422:28757708:-1 gene:Ma10_g17310 transcript:Ma10_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSTRIYTPPMATAPAAAKWSRTAAVPCTRLLFSPSTATPPLLLLGRTCPAPSCRRLFGMGSSSRRSVAPFDHLSHVESTSQLPSGAGRISRPNAVVLGEAIAAEEVDLVVPSHDFSRDALVSSPEQYQQMYKRSIEDPAGFWSEIASEFYWKERWGPEVCSENIDVRKGTVKIEWFKGGVTNICYNALDRNIEAGNGDKVALYWEGNEPAQDGQLTYAQLLEKVCQLANYLKHVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSAESLSQRIIDCKPKVIISCNAVRRGAKTIHLKGIVDNALVESTENGVPVDLRLIYENKSAMDRETTKWQEGRDIWWQDVVPQYPTKCSVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDVYWCTADCGWITGHSYVTYGPLLNGATVLVFEGAPSYPDAGRCWDIVDKYKVTIFYTAPTLVRALMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNVVGDSRCPISDTWWQTETGGFMITPIPGAWPQKPGSATFPFFGIEPVIVDEKGNELEGECSGYLCIKKSWPGAFRSLYGDHERYETTYFKPFTGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVDHEVKGQSIYAFVTLVDGVPYSNEVRKSLILAVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPSVVDQLIEVSDH >Ma11_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27644671:27646099:1 gene:Ma11_g24790 transcript:Ma11_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGERSSSELAYRRDGSWKDMGTSMSAISFGLAATAILISMFLVMAIFEHLIKPRASFLRSRNNAHGSSELDRPQAQIHRSQEKVQNSITVGTQCASDLSVLMPGQRFPTYIAQPAPLPCPREGIIWPSHVAHVSYM >Ma10_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16985153:16986605:1 gene:Ma10_g05640 transcript:Ma10_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRIFVMAMSPPSSTFTVVVVVLLFGVFLSGGRCEVRNKANDFCFSFDGSEKNRSFDSEFTLYGDAQMSGSAVRITRPANSSSGRIAHRKAIRFLGTKLGFSSFFSFSISPGDGGSLLSFFLESVEGDGFGLSTSLVAVRFGTSGNRSGSLIEIDVGGEALMTSSNLSDVGLLLNSGEKLRSWIDYDGESKRMEVRLSQAGDPRPTANCSIYCSINLSSLVWKEAVFVGISSWSGNSTETSSLYSWNFTVKRGAPYLMHSEPLNPNSFLVRPTESPPSVHRRKAYAWGVLMAMVSAAACGATLAFSAMFVWAALVARRPVSPVECPVEEDEHGKIVSAGEERLEGGKK >Ma05_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3547779:3548065:-1 gene:Ma05_g04670 transcript:Ma05_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGELASVVPSRINMVLKRKKRTKQKNHRQRQPPIQYLVLLIG >Ma05_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4148472:4153818:1 gene:Ma05_g05470 transcript:Ma05_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSATSSRSRSGTFPSPGTPNYRHGAGAAAYQKGWSSERVPLPAHNNRRYGGSGMLLPFANGRALPSKWEDAERWIFSPVSGDGVGRSPMPPSQHRRPKSKSGPLGAPAGVSGTYSLASPLVPCFDSGRVGNFAANSPFLAGVLIPERGFYCNGSGGRGVGDGGGGRAGIIGVGGVSAMGGNNSGNGEPYIVRSASIHGWSDTLIESSSSVPSSQDEKIDGTIEAASTVSTSILRKDVATQMSPEGSTPSSPKEGPFSSSPASVPPIEEVERHFSKIEIRDVEVDDRVTMTRWSKKHIARDSDRHPTSIIEWKKRTAEENTDAWVVAETTRSISKHKREEAKITAWENLQKAKADAEIRKLEMKLEKKRSSSMEKIINKLRLAQKKAQEMRNAVTPSQVNQVPKTARKCQYFRKRGQISSLSGCFTCHAF >Ma07_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10516156:10522935:1 gene:Ma07_g14080 transcript:Ma07_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWYLVTALLTLLASYQGILTTLSQSHGKYRYDYATVPFLAEVFKLLVSSFFLGKECQSSSPPRMTREWKTVHLFPIPSIVYLVHNNVQFATLTYVDPSTYLGNLKIVTTGILFRQLILHVKGCGEASCDSLFSAPIKGYMLGLLSACLSALVGVYTEYLMKKNNDSLYWQNVQLYMFGAIFNMAWLKLDDFRAGFEYGPWWQRLLDGYTVTTWLVVLNLGSSGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSIFFFITSFLQLFLGIIICMISLQMYFALAQTFVDLPATTNLALDTLKEIAAERRGES >Ma05_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6349836:6351694:1 gene:Ma05_g08600 transcript:Ma05_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFLFLVITVTIFGGSDAAWCVCRSGASNTALQKALDYACGGGADCTPIQQNGACYNPNTVLAHCSYAANSYYQRKGQAQGACDFASTTMLTSTDPGGNGCTYPATPSATGTSSTPTSTSTTTPSSTTPTTFTPNAGTTGSTASVLGGLGPSGTTSSIDGSHGGMLPKAGVASLPSLLLLSSLILLRV >Ma11_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22873907:22874365:1 gene:Ma11_g17580 transcript:Ma11_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFCFCLKKLGSNTESIQRSMKIDLRKHENIICTITIHFNELLTMYMTPKIDRFDITFQFELAKAMSPCIIWIPNIHDLYMNESNYLSLGLLENYLSRDCERCSTRNILVIASTHIPQKVDPALIAPILIMDLLSFYCLLMSKIIIIFLKT >Ma04_p31230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31586777:31589731:1 gene:Ma04_g31230 transcript:Ma04_t31230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNSGSLKIHPSESKRRRVTYIFSVSGLCVLFYILGAWQNSTTPTLNQRSDLASKVECDNDFPRSTESLSTSSSSEGETLDFQAHHQLSFNDTFMVTEKFPPCALNFSEYTPCQDLTRCKKFARAMLAYRERHCPAQHELIRCLIPAPPKYKTPFKWPRSRDYAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADVYIDDINALVSLTDGDIRTAIDTGCGVASWGAYLLKRDILTMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWQDFDGLYLIEVDRVLRPGGYWILSGPPIRWKKYYVGWERTQEDLKQEQDSIEDVAKRLCWKKVIEKGDLAIWQKPINHADCIQSRRIYKTPHICKNDNADAAWYWKMEACITPLPEVSSSSEVAGGELQKWPQRAFAVPPRISKGTIPGLTVKKFEDDNTVWKERIEYYKRIIPPLSQGRYRNAMDMNAYLGGFAAALMDYPIWVMNVVPANSDYDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHASAIFSIYQDRCGDITYILLEMDRILRPEGTVIVRDTVDVLTKVQGIAERMRWKCKIVDHESGPFNPEKILFAVKTYWTAAPSTQQ >Ma04_p31230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31586824:31589731:1 gene:Ma04_g31230 transcript:Ma04_t31230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNSGSLKIHPSESKRRRVTYIFSVSGLCVLFYILGAWQNSTTPTLNQRSDLASKVECDNDFPRSTESLSTSSSSEGETLDFQAHHQLSFNDTFMVTEKFPPCALNFSEYTPCQDLTRCKKFARAMLAYRERHCPAQHELIRCLIPAPPKYKTPFKWPRSRDYAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADVYIDDINALVSLTDGDIRTAIDTGCGVASWGAYLLKRDILTMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWQDFDGLYLIEVDRVLRPGGYWILSGPPIRWKKYYVGWERTQEDLKQEQDSIEDVAKRLCWKKVIEKGDLAIWQKPINHADCIQSRRIYKTPHICKNDNADAAWYWKMEACITPLPEVSSSSEVAGGELQKWPQRAFAVPPRISKGTIPGLTVKKFEDDNTVWKERIEYYKRIIPPLSQGRYRNAMDMNAYLGGFAAALMDYPIWVMNVVPANSDYDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHASAIFSIYQDRCGDITYILLEMDRILRPEGTVIVRDTVDVLTKVQGIAERMRWKCKIVDHESGPFNPEKILFAVKTYWTAAPSTQQ >Ma04_p31230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31586824:31589731:1 gene:Ma04_g31230 transcript:Ma04_t31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNSGSLKIHPSESKRRRVTYIFSVSGLCVLFYILGAWQNSTTPTLNQRSDLASKVECDNDFPRSTESLSTSSSSEGETLDFQAHHQLSFNDTFMVTEKFPPCALNFSEYTPCQDLTRCKKFARAMLAYRERHCPAQHELIRCLIPAPPKYKTPFKWPRSRDYAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADVYIDDINALVSLTDGDIRTAIDTGCGVASWGAYLLKRDILTMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWQDFDGLYLIEVDRVLRPGGYWILSGPPIRWKKYYVGWERTQEDLKQEQDSIEDVAKRLCWKKVIEKGDLAIWQKPINHADCIQSRRIYKTPHICKNDNADAAWYWKMEACITPLPEVSSSSEVAGGELQKWPQRAFAVPPRISKGTIPGLTVKKFEDDNTVWKERIEYYKRIIPPLSQGRYRNAMDMNAYLGGFAAALMDYPIWVMNVVPANSDYDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHASAIFSIYQDRCGDITYILLEMDRILRPEGTVIVRDTVDVLTKVQGIAERMRWKCKIVDHESGPFNPEKILFAVKTYWTAAPSTQQ >Ma10_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30209974:30219517:-1 gene:Ma10_g19810 transcript:Ma10_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCSGEINISESAKRLDVDNRISLRYYYRIAHNLLRQAEIYRSEKNIIDLFVMLMRFSSLITETIPFHKDYQVVLQNEKLYFRKRLLNALNELEALKPDVQRRLEELNRKNRSQVNIWGQALEDGSLDDSFVWPPVKKKIENNRTSQAYRAVVRDGFYRSPIVQRNSFSTNSREDQFRKFFLPPKEETLSRHSILGPNGLHGHWKPPVADRRIQYPSNLDLTPIEFPSLLQPTEDKPVAAKENGTSEIEKSMLEVLSLQDDSTQPQEEPHPMVSLDVSDVNPKMDIVKELCPPPVLAEVQDVHVTAQDSCSLTSQTVSLQDELIHAESPQEVHISTALMDSFMRLAKSNTVRNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFDYQDKQSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPESIAIVMAPRDGSRTHGIFRLTTPGGMSVIRQCQQRGFHPHQQPSDGGPIYDHCSDVYMNPDLKFDVVDLR >Ma05_p18840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25483627:25495708:1 gene:Ma05_g18840 transcript:Ma05_t18840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMMDFALANGLLPSPLRSPLSLLVGAGRKCYSRGVGGGNQRFFGRGIWDLQHARTKSRVRSGLEDADSLRRNPKPLYHPFEEIEELVASSDDEERRLTDAETARTIIEVNSKAAVMFSGFIDDQVHENIIWPEFPYLTDEQGDIYFEVNKEKEVLQSLVTDDKLVKVIIGLDNIEMLAEMEVLGPSDLEFEVEEISSSEDDIDDESEEQDVMAILDEVDQLLSSENISDWTNLETMQSCHPIYFAKKMEESISNVNLDWMDQPPASIVIQGHLRPAFAEESINIKKLPYAGEFDMDQSLQSGATFYKLEMLNIQIVSAYGNQSTVKIHNFREARPDVLAHSAVNIISRLKAGGEKISQALKLLCIRQKSIHVEEAVVIGVDSLGFDLRICSGRQVQTLRFAFGTQATSEFGAERQLHDLLFPRLQQTWQQAHQGVDSRHI >Ma05_p18840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25483628:25495708:1 gene:Ma05_g18840 transcript:Ma05_t18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMMDFALANGLLPSPLRSPLSLLVGAGRKCYSRGVGGGNQRFFGRGIWDLQHARTKSRVRSGLEDADSLRRNPKPLYHPFEEIEELVASSDDEERRLTDAETARTIIEVNSKAAVMFSGFIDDQVHENIIWPEFPYLTDEQGDIYFEVNKEKEVLQSLVTDDKLVKVIIGLDNIEMLAEMEVLGPSDLEFEVEEISSSEDDIDDESEEDVMAILDEVDQLLSSENISDWTNLETMQSCHPIYFAKKMEESISNVNLDWMDQPPASIVIQGHLRPAFAEESINIKKLPYAVFSYVGEFDMDQSLQSGATFYKLEMLNIQIVSAYGNQSTVKIHNFREARPDVLAHSAVNIISRLKAGGEKISQALKLLCIRQKSIHVEEAVVIGVDSLGFDLRICSGRQVQTLRFAFGTQATSEFGAERQLHDLLFPRLQQTWQQAHQGVDSRHI >Ma05_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25483583:25495708:1 gene:Ma05_g18840 transcript:Ma05_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMMDFALANGLLPSPLRSPLSLLVGAGRKCYSRGVGGGNQRFFGRGIWDLQHARTKSRVRSGLEDADSLRRNPKPLYHPFEEIEELVASSDDEERRLTDAETARTIIEVNSKAAVMFSGFIDDQVHENIIWPEFPYLTDEQGDIYFEVNKEKEVLQSLVTDDKLVKVIIGLDNIEMLAEMEVLGPSDLEFEVEEISSSEDDIDDESEEDVMAILDEVDQLLSSENISDWTNLETMQSCHPIYFAKKMEESISNVNLDWMDQPPASIVIQGHLRPAFAEESINIKKLPYAGEFDMDQSLQSGATFYKLEMLNIQIVSAYGNQSTVKIHNFREARPDVLAHSAVNIISRLKAGGEKISQALKLLCIRQKSIHVEEAVVIGVDSLGFDLRICSGRQVQTLRFAFGTQATSEFGAERQLHDLLFPRLQQTWQQAHQGVDSRHI >Ma04_p37170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35213875:35216885:-1 gene:Ma04_g37170 transcript:Ma04_t37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESSREGNVYMAKLAEQAERYEEMVEFMEKVVKTINGEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRGNEDHVALIKEYRGKVEAELSKICDGILKLLDSHLVPSATATESKVFYLKMNGDYHRYLAEFKTGAERKEAAESTLSAYKSAQDIALAELASTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEIKEAPKKESGEDQ >Ma10_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28342372:28346899:1 gene:Ma10_g16750 transcript:Ma10_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVLLVYMAGKSLLRIWKVSKCFGVIGVLHCQGVGNWPMKEQRALILNAMPIPCSVSPSSIECIEEIAGKDWSGDCAVPAFDSGTLSKLQKRAAADVLLRILQFEIYIVSPINDYDEKVRFAPLGLIVMYNSGGDIVDLRSIISLSGCAIKIQARSPGRFRAYSSTWPVYCSVDNSEVELNYDPNNGLLSFHLSDAHCKNSFSYVRIKYLKFPRPSSDLS >Ma07_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3857825:3860004:1 gene:Ma07_g05280 transcript:Ma07_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIEKKRHQQAPQQQRWGGGVPFEKSKGQHILKNPKLVETIVQKSGIKPTDVVLEIGPGTGNLTKKLLEVAKSVVAVELDPRMVLELNRRFQGTPHSSRLKVIQGDVLKCELPYFDICVANIPYQISSPLTFKLLAHHPAFRCAVIMFQREFAMRLVANPGDNLYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRRPLPPVSFKEWDGLMRLCFNRKNKTLGSIFRQKSVLSLLEKNYKTLQALQLTRKEQMEEDKVSSEDVAVLANMVEDLGMGNNSDEKDDEEMEVEDTDMVGEVSEGSSFKDKVLGVLRQGDFAEKRAAKLTQVDFLCLLSLFNKEGIHFS >Ma11_p19060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24005340:24006210:1 gene:Ma11_g19060 transcript:Ma11_t19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNNKNGASGSEMEE >Ma11_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24005340:24006225:1 gene:Ma11_g19060 transcript:Ma11_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNNKNGASGSEMEE >Ma03_p29490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32376614:32384804:1 gene:Ma03_g29490 transcript:Ma03_t29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDVRHNAVPNSSHASPDNIEGAMWQLNIEDGQEGADGQLNQYPDRPGQPDCLYYLRTGVCGYGSKCKYNHPAHNEQITRFSGELPQRDGQPDCQFFLKTGMCKYGITCKYHHPRDKHDTRLVQLNVFGLPIREDEKQCAHYMKTGSCKYGVACKFNHPQPANPGSAFSVTGSSVYGYSGSMAPTSGLTVIGGISSWPFSRFPYVSSPRMQGLPAYVPFVLAPSQGNMPVQQGWSTYMGSMNDISSTDMLVPSKIANSRHQEQPGSSMPLSLPERPDQPECQYYMKTGSCKYGTSCKYHHPKEKNQADMATIGPLGLPLRPGQPLCTFYTTYGSCKYGTTCKFDHPLVNYYGYSLQPFAAYSEPSALFPGQRSSKVTRTSAEDSSSKASKLPEQLAESEKGGPRMKSGTHERGNPSANSSPSDTEPHPESPQN >Ma07_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16229989:16235969:1 gene:Ma07_g17110 transcript:Ma07_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPQFKWPPSSDAHFRPSLNSIHPRARDSVSSRSQCIISPATAVIEIEQIPTLEAHSTDAIRPLKYTAAVGPPKKASTIATLTPETLLTDEESLIAAAAAEAVALARAAVEIARDAAQKIRKNPFTESDKLNHFTSEANMHRLERVRFTETESIKVMSSLIAEVHQENSTENPSEIDVFNLMHSEIEIKEMCHSKGIAVRSGRQTERRAKRARAAKKDASGVINVKRGTSGKKKRSSFQEVDYSDPLRYLRGTTSTSKLLSAVEELELSKGIQDLLKLERLREELVGRNGGQPTFAQWATAAGIDQKTLRKRLNDGTFCKDKMIKSNIRLVISIAKNYQNAGLSLQDLVQEGYRGLVKGAEKFDASKGFKFSTYAHWWIKQAVRRSLSEQSRTIRLPFHMVEATYRVREAKKQLYSENGRHPDYVEVAVATGLSMKRVETVLLTPKAPRSLDQKIGINQNLKPSEVMADPEAETSEEILIKKFMKADLNKVLDTLNPREKQVLRWRFGLDNERIKTLQEIGKLMGVSRERIRQIESCAFRKLKSKKKMRNLRQYLIS >Ma03_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1884779:1887806:1 gene:Ma03_g02750 transcript:Ma03_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAYRSPPPCLSCAIPSARGPVASASPFLDDPRRQSLLPRRLGGSRGPGARNPSNFSSVPVSRRRRRRWQSLRGGKVVVCVSSDAPETITASAWDVSVLQSDLPVLVEFWASWCGPCKMVHRLLDEIAREYAGRIKLYKLNTDDDPQVATVHGIDRIPTVLLFKNGEKLKSITGTLPKSVYVEAIEQLL >Ma03_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14548476:14550222:-1 gene:Ma03_g14780 transcript:Ma03_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQACYLPSPLLARKEGKVKETAFLGASVFEHHKSGLHVPLLRSKRGVNASVGVVKAQTTAVAAPGLNQASLQGKKVLRKGVVVVTGASSGLGLATAKALAETGKWHVIMACRDFLKAEKAAQSAGMAKESYTVMHLDLASLDSVRQFVHNFRQSERPLDVLVCNAAIYRPTARTPTYTADGYEMSVGVNHLGHFLLANLLLEDLKKSDYPSRRLIILGSITGNTNTLAGNVPPKAGLGDLRGLAGGLNGRNSSAMIDGGDFDGAKAYKDSKICNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQRFITKGFVSEEESGKRLAQVVSDPSLVKSGVYWSWNKDSASFENQLSQEASDTAKARKLWEVSEKLVGLA >Ma04_p30800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31354958:31362413:-1 gene:Ma04_g30800 transcript:Ma04_t30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVRQAVQEDNAGNYVKAFPLYMNALEYFRTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDEGGSGPAANGDAAVATRPKTKPKDDSGGDGGEDQAKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTEKDFEYLARRTEGFSGSDISVCVKDVLFEPVRKAQDAMFFCKTSDGMWMPCGPKQPGAVQTTLQELAAKGLGTKILPPPITRNDFEKVLARQRPTVSKADLEVHERFTKEFGEEG >Ma10_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32344325:32345496:-1 gene:Ma10_g23380 transcript:Ma10_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHKCSVLKSQACSLEGMASFSSCNKTDLFLLLALLSASASAAASAVSFYRDVDITWGDGRAKVLDNGRLLTLSLDQSSGSGFQSKNRYVYGTFDVQLKLVPGNSAGTVTTFYLSSEGVMHDEIDLEFLGNLSGDPYVLHTNVFSQGKGNREQQFFLWFDPTKNFHTYSVLWNPKHIVFYVDGTPIREFKNRESTGVPYPTNQAMRIYSSLWDADDWATRGGLVKTDWSKAPFTAAFRSFDADGCLWTSGASSCSSGSSSWMWQELDATSLKKMRWVQHNYMIYDYCADAKRFPQGLPLECSARP >Ma06_p25190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25457335:25458006:-1 gene:Ma06_g25190 transcript:Ma06_t25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLKLLSTVLLLHSFVAVESQRCELSSIQVQQTNTGKKVGYDPVFEVEVKNLCRCTVRSVFLRSEGFASSMMVDPKLFRREGAGYLVNDGKGIPSSVSVKFCYAWDRAFTMSPASFQVGCW >Ma02_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18869344:18875527:-1 gene:Ma02_g08600 transcript:Ma02_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSARRRRLQYLSPTETLEIGGGGLSLSPRVKLLLTFFRSDPAVKPIDEWKLKLALLDFLRSHPLSLSVPDDDLVIRRRPDLHKRKRDEPVASGTLFVRDLGFLKSENREGDEEDEGASRKRFLDWRSTFVDRLAGIDLNLEGVKFKMTVEIPPADDFELMKKSWEDYYTSQLLDSRRAFARRPDTVIVRGVPSRWFAEPRVSSKASMLVTHTIFSTLGKIRNLNVASDDDLGVKSEDSKEEIISGLNCKVWVQFESYDDFYNAMKVLYGRSMQKEGSRLKVDYEVSWDRDGYFRSVNQKPYRSYRQERDSSTQVMAGNIRNEPSKNQPHITFDSNGSRRKRFRE >Ma05_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1354477:1355810:1 gene:Ma05_g02120 transcript:Ma05_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGEQEAVAVGEQKEEDVVLPGFRFHPTDEELVGFYLRRKVEKKPLSVEIIKEVDIYKYDPWDLPKAITAGENEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIHPAAGHAAACIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPAGGSGKITTTPSMHEAEIWTICRIFKRSPISYRKQTNSWREASTSSSTRKQIAADSSCVTSSFECDNGNDYRCCASSGHSNGEQGEMELATHYYQENNQVSGGQWNPIHQPPLLYTNAGQSPTMDECFRSDGDWDELGRIVEFMRDQTLLPYDCGYT >Ma01_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1396453:1403557:-1 gene:Ma01_g02090 transcript:Ma01_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate transporter, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00370) UniProtKB/Swiss-Prot;Acc:Q8GX78] MAMGTLVSGRGFASPLIPGKVYQAECTSAHDKKIHFKFRGERAAWVGNFVLFIRRESYHLSRPILFPVSSTSTSDKNAMMKRNTCLGELEHPQERFLGNCSSLSPSTINLKQRSPARTNCFLSSDGFPRPQRRKLDSSGISDVPHDQTVYHLPIRTRANFKSEQYEVPETQLDSLNSSEMPSEAVLIGANATEVSPWWEQFPKRWLIVLLCFFSFLLCNMDRVNMSIAILPMAAEFNWNPATMGLIQSSFFWGYLLTQIVGGIWADKIGGKLVLGFGVVWWSIATILTPIAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYAFGSLGSVWFALWQSKAYSSPKEDPALSAEEKKLILGGSVSKEPVTSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVFANIGGWIADTLVAKGLSITTVRKIMQSIGFLGPAFFLTQLSHVRTPALAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAVTGFILQRGSWDDVFKVSVVLYIIGTLVWNIFSTGEKILD >Ma08_p27480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39699579:39702183:1 gene:Ma08_g27480 transcript:Ma08_t27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVAVGHHRSQYCSRSKPRITDHFGSSPPRGFKGINCRTFQSGVAILPSPPTKDFFFNGYSEPKSPVYYSEPPKRSRRTKPIPINPVPTPKEATFADDFSCSELWAGPAYSNSPPPSSLPIPKFSLRQKRSMSLEIPVPKSEVTFQPISRSAPSSPSREATTSINDFLNNATATSTATATETLRRILHLDIVDD >Ma06_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6949849:6950939:1 gene:Ma06_g10010 transcript:Ma06_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SGR9, amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G02750) UniProtKB/Swiss-Prot;Acc:Q8GXF8] MAYKEGLLLHPLLSPANLTLIPMDFSSPSSSSGEVVMAALLGLPSRSFSDLTRSLSSNVRLHRRRLAFLLLSPIHFSLTLSYLHSLSLPEKTLLLARHLLSSLQNLLPSLCGPSRPLRLSDLDAAILLMAMCDSYNPNTTHHSSWHSTVADNVLRSILSPSGLGNDAWAVVCEYVDAAVKCRRLMEVLSGSSGSSEKVEGEVGASVAAVVALPSVECRTGGRECVICKEEMEAGRDVCELPCRHRFHWGCVLGWLRKRNTCPCCRHELPTEDVLCEMGRLWRAVTRMGNQWRT >Ma08_p27180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39506843:39508815:-1 gene:Ma08_g27180 transcript:Ma08_t27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPLQPPDLDLHRCPALSEVVEEMRAIGKISIPTALTGLVLYSRAMVSMLFLGFLGELELAGGSLSIGVANITGYSVLSGLAMGMEPICGQAFGAKQRKLLALTLQRAILLLLSASLPISFLWLNMKRVLLWCGQDEQISSAAHVFITFAIPDLFFLSFLHPLRIYLRSQNITFPITYCSLVSVVLHVPLNYILVVHLKMGIAGVALAMVWTNLNLLICLLLFVLCSGVCNDSWVSPSMDCLRGWSKLLKLAVPSCVSVCLEWWWYEFMILFSGLLANPRAAVASMGILIQTTSLVYVFPSALSLGVSTRVGNVLGANRPAKARTATLVSLACAVGLGLAAMAFTTLMRHRWGRLFTTDAEILKLTSVALPIAGLCELGNCPQTTGCGVLRGSARPSTGANINLSSFYLVGMPVAVLLGFVGKMGLPGLWLGLLAAQTSCAALMAYALARTDWMTEMERATELTKASSAPPPPPPQLIPITADTSGDGSGRSPSLVEDRERAGKPCMKHDVEGASAASSETDHLIDCAG >Ma10_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22745952:22755041:-1 gene:Ma10_g08350 transcript:Ma10_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MGKSFCDIRILLVVAAAAFIFIQVRLFATQSEYADRLAAAVESENQCTSQMRLLIDQISMQQGKIVTLEEANKLKDEESAQLKTLLRDLKTKNLQNLIGKHEVPVAAVVIMACNRPDYLERTIESVLKYQRSIATKFPLFVSQDGTNSDVKSKAMSYNQLTYMQHLDFEPIHTERPGELIAYYKIARHYKWALDELFFNHNFSRVIILEDDMEIAPDFFDYFEATAALLDKDKSIMAVSSWNDNGQKQFVHDSYALYRSDFFPGLGWMLTKTIWDELSPKWPNAYWDDWVRLNEVHKDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDVQVDWKSSNLTFLMEENFLKYFAKMVSNARPIRESDLILKANNIDSDIRIQYNDQRHFEHIARQFGIFEEWKDGIPRTAYKGVVVFRYRGPKRVFLVGPDSLRLLGIE >Ma04_p34330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33584609:33587792:-1 gene:Ma04_g34330 transcript:Ma04_t34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANHSPFVTLLVFLAFLTVAAAARAEPPFVGVNIGTDVSNLLPPADLAAFIKAQQIKHVRLYDADPAILSALAGAGVSVAVGVPNNQLLALGSSPATAAAWVARRVLPFHPDTPISAVAVGDEVPAALPSALPLLLQALRFISSALSAANLSSIPVSTPLPFAVILDPFPPSQAYFNQSLANAFLLPLLRFLADTAAPLMLNLYPYYAFMQGHGAVPLDNALFKPLPPALEEVDPNTLLHYSNVLDAMVDAAYVAMRNLNVTTVPVLITETGWPANGSRRDEPYATRELASTYNSNLIRHVLDRAGTPLHPEATPSVYIYELFDEDLRPGPASEASWGLFHGNGTPAYLLRVAGTGGLLANDTTDRTYCVAAEVTDRRTLQAALDWACGPGLANCSEIQPGQSCYAPNNLRSHASYAFDSYYQKEGKAAGSCYFQGVAMVTTTDPSHGDCIFPGSKRMNVTVAGMSVIQTSKAGAPFTLRLRTGIAHDQMTPMILNILVASILSWSIWS >Ma09_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8041887:8049117:-1 gene:Ma09_g11870 transcript:Ma09_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGESGPKPLQQLSGQICQICGDDVGLTVDGDLFVACNECAFPVCRTCYEYERREGNQVCPQCKTRFKRLKGCPRVAGDDEEDDVDDLENEFNFVPGEKQDSQYMAEAMLQGHMSYGRRGDLNTPYVVHTMPQVPLLTNGEMVDDIPPEQHALVPSFVGGGGKRIHPLPFSDPSLPVQPRSMDPSKDLAAYGYGSVAWKERMENWKQKQEKTHMTRSDGGGRDWNNDGDESDLPLMDEARQPLSRKLPVPSSQINPYRMIIIIRLVVVGFFFHFRITNPASDAYPLWLISVICEIWFAISWILDQFPKWLPIERETYLDRLSLRYEKEGKPSQLSPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNVEPRAPEWYLQQKIDYLKEKVHPSFVKERRAIKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNKLPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYFNNSKAIREAMCFMMDPQVGKKVCYVQFPQRFDGIDRHDRYANRNIVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKSKKPPTRTCNCWPSWCCCGCCCSSRKKKKAAKAKQDKNKIGSRKGDTGAPVFALEGIEEGIKGNEIERINMTSQQKLEKKFGQSPVFVASTLLENGGTLKEASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPARPAFKGSAPLNLSDRLHQVLRWALGSVEIFLSKHCPLWYGYGGGLKWLERLSYINATIYPWTSIPLLAYCTLPAVCLLTGKFITPELSNVASLWFLSLFICIFATSILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTTKAGDDEEFSELYTFKWTTLLIPPTTLLIVNFIGVVAGVSNAINNGYESWGPLFGKLFFSFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLPKSDGPLLEECGLDCN >Ma02_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21975235:21975973:-1 gene:Ma02_g13710 transcript:Ma02_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGSDMHRDSHVGGRPMPLRPPPYDQGGGNAAQRSETHTRTERAASGKHPSYRGIRYRSGKWVSEIREPRKASRIWLGTYPTAEMAAVAYDVAASALRGADAVLNFPDDIASRPAPASPSPSHIRVAAAEAAAASQQQLGRYVDEEEIFDMPQLLVNMAEGMLISPPRLSPQGCEEDSPEASEGESLWSYP >Ma05_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6422573:6427577:1 gene:Ma05_g08690 transcript:Ma05_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGMDESQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFCSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKAQQ >Ma06_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3489944:3498479:-1 gene:Ma06_g04690 transcript:Ma06_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGFRGVRDDLSELGRHLLDIACFISPLLPPPHHDSPPPSPRLPAAPPPSPRLSSRALAGILSDLAEIGGGFRSGLSRLSGAFRSPADRYGGYPDRAGYAGTVGVSEELLEFVSDLVKCPESWLDFPVSVDDPFNMSCTQREHISTVEDAIPDLQSLRLSLCPTYMSEEYFWRIYFTLLHPKLSKHDSELLSTSQILDSMRITAKETCNRPSTQSQNLDSESLPSLMSEKCSTIQQEHNEAWQDALMAKSRSQLSIDQWSEVTNPADASSDTIKFVPDDVSLRDTTEGNVLVMEKYMDSLLTEEEQVRLPYSFRRKHVSASEEIMINRKMPKLKMSSDEESGDWQAVEDSDFEILEKSSVNKSTESFHRHT >Ma04_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3869419:3873314:1 gene:Ma04_g05150 transcript:Ma04_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMITLKSSDGEVFEVDEAVAMESQTIKHMIEDDCAENGIPLPNVTSKILAKVIEYCRKHVDASAAAASKSSDDSSKLADDELKSWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Ma10_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23601662:23603215:1 gene:Ma10_g09420 transcript:Ma10_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDTTPARPTRLRRAPWPHAPRDTPAWPARLRRVPRPYTPRDTPARSARLRRAPQPCAPRDTPTRPARLRNPRAFGPEPKPRRPRGHGIKLFPITTAVVRLLRRARRSLLELWGLSRRSRRRSRLPRHAGHHPRRSSRPRRHAPFLPGALREPPLRTHLYGWESESASRPPVPRRSPRISSSPWALPNLTTSPASMSPTSLSAPMASSTSTN >Ma07_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9963484:9969331:-1 gene:Ma07_g13220 transcript:Ma07_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFLNCFLRCVSNRFRLQVFWPKTVLKKWLNLRTNDSEFSADEGSNDSGLEEDDGECGDDNLESIPYKLKRRNSETLRAQYINTKELRVCIGTWNVGGQFPPENLDVSEWVDMEEPADIYALGIQEIVPLNAGNIFGAEDSRPVTKWEDLIRDTLNRIRTIKPKYKCYSDPPSPSRFETSADATITMDELLSETDSDDDEEQLFSHTGSNVENPTSADDSKSSLDSNSDHAESDPPQELDPTKPPTTKRLQRLNHFTLLDYDVNSATTTTTTQQKKLLKTLSTAERIGLIWPEQPLDLLPKHALNKSCSFRSNKSFRTHNPFNPVHGELKESSEIGLVPELDLNVVRCRKKRLAFVRIISKQMVGIYLSIWVRRSLRKHICNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFICSHLSSGQKSGDELRRNADVQETHRRTQFSKVARMGMPQTIHDHERIFWLGDLNYRIDLSFERTHELIASKNWSMLEGRDQLKRELKKGRAFDGWSEGAIDFPPTYKYEFNSTTYVGHDHRGGRRNPAWCDRILSFGKGVRLLSYRRSELKLSDHRPVTAIFMAEVEVFCHRKLQKALSLTDAEVEEGQTLPDLDFNHEMGHPVPGEVSCVFYHNKHSFI >Ma09_p09050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6012497:6016443:-1 gene:Ma09_g09050 transcript:Ma09_t09050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDRVIVSESLEEVKPKSSPRISAAVKRNTSIPLSLPNSDEKILSRYLGPSIGSCHDICKYGIKHDYEAKSRHHFFARFLAHNQMPDGECNQSNFMVVHRKKKSELKPRAIRTTDEFTDKARFSKQMDLPPEKIIRTSNSLTDLAARSVHESSGMKFNAAPYDQANNAFLEQSAVDQDEKSSEESMDISTDEDKSSNLSRKLLCESVTMGLDRTTMQNDSAIEEHAPITQAGESPEEPVSIKFMISSTIQEDDVSTNYKASNPSDGSSVEQTSIESLTASPIKDNIVSADYQKADGAEESPNKLIDIKMKTLPESCEEGPSSLKLMNPKSKSSTKHRPVSGAERASKEAFNTKLKTPVYISPISTIKTPSTQAGFSKEQFQVKSLSTGIKTKRELNKLNSGNEVTGVSDRHKGIKQGKGDISGGPKLVKNSSALRKTTRSVKLEPEQERPSGVKSTTASVTSIAIKKATSSPSKTIDSSSEPAMPLKLKKMVKSSPPFISSTEIYGRRNQEKIIKVAKPLSAPSTKRQSTRVSSMKLRKYRKLIPSFTASNQAKAGNFGVKEKTVHTSEPNLEHVDLRTLRQKLRKHRLHPNSQGGHEESGTQPIRASETAIHIGVSQRSYRDVPKSEMKIKPGRISGANSEDKTETSRKLNFSRRKVVDLRSDNSAPIKLRLRQVKTVGGNQKPKEIETKGSKNRMKSEGAGPSTALSNAINVALRHQNVVDKKDTQGLFNNVIAETASQLVESRKSKVRALVGAFETVLSLQESKVAPLVAVL >Ma09_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6012497:6015671:-1 gene:Ma09_g09050 transcript:Ma09_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDRVIVSESLEEVKPKSSPRISAAVKRNTSIPLSLPNSDEKILSRYLGPSIGSCHDICKYGIKHDYEAKSRHHFFARFLAHNQMPDGECNQSNFMVVHRKKKSELKPRAIRTTDEFTDKARFSKQMDLPPEKIIRTSNSLTDLAARSVHESSGMKFNAAPYDQANNAFLEQSAVDQDEKSSEESMDISTDEDKSSNLSRKLLCESVTMGLDRTTMQNDSAIEEHAPITQAGESPEEPVSIKFMISSTIQEDDVSTNYKASNPSDGSSVEQTSIESLTASPIKDNIVSADYQKADGAEESPNKLIDIKMKTLPESCEEGPSSLKLMNPKSKSSTKHRPVSGAERASKEAFNTKLKTPVYISPISTIKTPSTQAGFSKEQFQVKSLSTGIKTKRELNKLNSGNEVTGVSDRHKGIKQGKGDISGGPKLVKNSSALRKTTRSVKLEPEQERPSGVKSTTASVTSIAIKKATSSPSKTIDSSSEPAMPLKLKKMVKSSPPFISSTEIYGRRNQEKIIKVAKPLSAPSTKRQSTRVSSMKLRKYRKLIPSFTASNQAKAGNFGVKEKTVHTSEPNLEHVDLRTLRQKLRKHRLHPNSQGGHEESGTQPIRASETAIHIGVSQRSYRDVPKSEMKIKPGRISGANSEDKTETSRKLNFSRRKVVDLRSDNSAPIKLRLRQVKTVGGNQKPKEIETKGSKNRMKSEGAGPSTALSNAINVALRHQNVVDKKDTQGLFNNVIAETASQLVESRKSKVRALVGAFETVLSLQESKVAPLVAVL >Ma07_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3033060:3034401:1 gene:Ma07_g04040 transcript:Ma07_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEHGFLEELLSLRRDAWDSFPAGMGEFFSCDGGFDCFQQSPALTAPSLTAFDAVPPNFDCLSEVCCPPGGVYSSSAGAPSEIQSSSVLSTLDDAEFGLVRGEWRSTEAPPAMFGLSGCVERKKKRLEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIKRLQEEIDVGPEQANLLSIFKELNSNEILVRNSPKFDVERRDDDTRIEICCAAKPGLLLSTVGTLEALGLEIQQCVVSCFNDFGMQASCSEVTDQRAAISAEDMKQALLESAGYGGRCL >Ma07_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17585881:17591139:-1 gene:Ma07_g17450 transcript:Ma07_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIMSVTQRVRAPSRDPSGPIDEAYIPLLHPIQRSDPLPMSSNSAADGTRPLRLPPPCSHLAAYRSCGGAAGGGGLRSLHRCLRRRPPLGRPEVHREPGEVPRCASCRRGGPRLYACLACAAVSCLHHAPDHASAPGHEIAVDVDRAELFCAACLDQVYDHDFDAAVVIALTMPAASRPPPPQPGSRAKRPRRVEYRPWAPDAPERAVIGRRSSSLIVREGNASSSSTITTANSTAAAAAATSCATSSAPLPWGLRGLNNLGNTCFMNSVLQALLHTPLLRNYFLSDRHNRMVCQQKSRKKAYSKERSNARDATVGLQFCLACDLDAMYSAVFSGDRKPYSPAKFLYSWWRYASNLANYQQHDAHEFFICMLDGIHEKEQDPGKRPSHVSSGECCIAHRVFSGTLRSDVTCTMCGFTSTTYDPCVDISLDLDSKQDPTKVTGSKLHMNGGEANSMFASQTCGTSTLMECLERFTRPEKLGADQKLFCQHCQVRQESLKQMSIRKIPLVTCFHIKRFEHSSMRKMSRKVDQYLHFPFSLDLAPYLSSSILRARYGNRVFASSGDDSDTSIESISNLELFAVVTHSGKLDAGHYLTYLRLNSQWYRCDDAWITCVSERVVRASQAYMLFYVQKILYYKASENVVVS >Ma09_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9257665:9260897:-1 gene:Ma09_g13650 transcript:Ma09_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNGEGAEMEARGPPSLHLSTRNGNFLGKHRLSAAISRLNQEIQYLQEELNELETMGPSSAACKEILMSTEGKPDAFLPVTPGPENAAWHRWFQRVRSSHSRKWWTHKGSSDIL >Ma02_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22338262:22344943:1 gene:Ma02_g14210 transcript:Ma02_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide-dependent translocon component 52, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25650) UniProtKB/Swiss-Prot;Acc:Q8W496] MAALNSLLAPHSLPSKTPRSFPSPLYPSFQYSVLRLSSSIKNARLHCHASASSSAAAVVTEAEPDAAGEGEKFDWFAHWYPVAPICDLDKRRPHAKTVMGLDLVVWWDRTKGRWQVFDDRCPHRLAPLSEGRIDPSGRLQCVYHGWCFDGSGSCKYIPQAPDDGPPVHTFRKACASVYPSFEQNKILWFWPSTDPQCKDIAVKAKPPYIEELDDPSYTSTMGMRDLLYGYEVLIENLMDPAHVPYAHHGIMRIPKSLTSRVNGDREGGSPIDIRIETSNINGFLAQRDIGYNKFIAPCVFYSAPHRLMSGNGSASSSDVQGGSALKTLQKQRRFLLIFMCIPVSPGRSRLIYVFPRNFAVWVDQVVPRWIFHVGQNLILDSDLYLLHVEERKFAKAGYSNWPKVCFVPTKSDAMVVAFRNWLRKYSNNQINWGTTSSEQLLPTPPREQLMDRYWSHVVQCSSCRDALKVLKALKLSLQIISIASVGIVAALNQSMISTITRTVIVSTAVICFLASKWLSVFIYKNFYYHDYDHAFR >Ma09_p26490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37755654:37756879:1 gene:Ma09_g26490 transcript:Ma09_t26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDTKGVVLLDFWVSPFGQRCRIALAEKGVEYEYREEENLLGDKSPLLLESNPVHKKVPVLIHDGKAVCESLIIVQYIDESWPHRAPLLPADPYARAHARFWADFIDKKIYECGTRLWKLKGEGHSAAKEEFTGILKLLEGELGDKKYFAGDAFGFVDVALVPFVCWFYSFETYAGFSIEELAPKLVAWGKRCMERESVAKTLSDPHKVFEFVGVLKKRFGVE >Ma11_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22690180:22690317:-1 gene:Ma11_g17390 transcript:Ma11_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYSPSICMLKSDIKCWPSSPLIKSPSSLSSLKCISEASDLKT >Ma10_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32007278:32010425:1 gene:Ma10_g22790 transcript:Ma10_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASFQQLRLESLNILFPIIQSSLYLFLLQDSCPSIKNVKYYTDDWPTLAAKSAYEKSVFTRTLKINACTEGHHLPCSSSQNGESILAEHAIGSGERTRAWRWI >Ma09_p29430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39879160:39879933:-1 gene:Ma09_g29430 transcript:Ma09_t29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQVMIAPPEEEASPMANVQLVSRRVSDALLTKFPDTSEFDFEYEKSSLWSPPVPLPASASSSPLACAEGDRRRKRWNKVFWCF >Ma04_p26310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27801636:27811730:1 gene:Ma04_g26310 transcript:Ma04_t26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MLSVRPSSPPPCLAAPPPPLTRPCPARRLLPCVAVAAATGQRGRRRGDDDYHATIRSLNSRGRHTPRKSLGQHYMLNSSVNEELVRVAGVGEGDVVLEIGPGTGSLTNVLIDAGATVVAIEKDPHMATLVQERFRSTDQLMVLQEDFTKCHIHSHLSSLLEKNHKESSPTYAKVVSNLPFNISTEVVKQLLPMGDVFSDVVLLLQDEAASRLADCSLRTPEYRPINIFVKFYSDPAYIIKVERTNFFPEPNVDAAIIRFRLKRSAEYPLVASSKSFFSMVNSAFNGKRKMLRKSLQHICPSVEIEAALITIGQPVTARPEELTLDDFVSLHNLIAKN >Ma06_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6519593:6521193:1 gene:Ma06_g09250 transcript:Ma06_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVELHQIELQVLKPVAAAATGRALSKFSMLTRFHAGYFRISLSLCGQALLWKTLIEPSTDARALRAVVSRLPSFAFVLFWSLAFLTLLALCFLYVARCVLRFRSVRAEFAHHVGMNYLFAPWISWLLLLQSAPFLRPNTNGYLLLWWLFSLPILALDVKIYGQWFTQGKKFLSTVANPTSQISVIGNLVGARAAAQMGWRESALCMFSLGIAHYLVLFVTLYQRLLGSNSLPAMLRPVFFLFFAAPSMASLAWDSISSTFDTPCKMLFFLSLFLFASLVCRPALFRRSMRRFSVAWWAYSFPLSVLALAATEYAQEAKGAVANVLMLVLSVLSVVVTLVLMVFTAIKTNDLLPHDDPFMCSFDNMQ >Ma10_p15880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27809126:27821169:-1 gene:Ma10_g15880 transcript:Ma10_t15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMERVQDLIEEAKVRTVFWAICVFAISYFLSHTSKSMWTNVPISFLILVAFRFFSYEVELHWRMRPVPKQTYLSHLIKKQLRLEDSRLSTALPTSRWKRKIESPLVEAAIKEFINKILQDFVLDLWYSSITPDKEAPELIRSIILDVLGEISGRVKGINLVDLLTRDLLDLIGNQLDLYRKNQSEIGMNVMKTLSSEERDERLKHHLMASKELHPALFSPESEYKVLQRIVGGVLAITLKPREAQCPLVRCFSRELLTCLVVQPVMKFASPEYINELIEYVFLDNKDNSNMEVKSDSSQSHTYAGQNTQSGQWESRKTSSNLSSQLGLVQSGGEKSTDGSGHGHPNALQKDSVPPRPADWAMILEATTKRRSEVLAPENLENMWTKGRNYQKKTANLMKAGTILGSVNASSGYTNTTVRAVSAGKELVTNANKRIKGIDENYMVHLMHGIVNNEHHVSYDLEKEQYMEMGHVSGNERNAGKPDRSNNLQLKRSSSTPDMDATFMTKSDEGASSKESRHLDIVKHKEEQSSDVVFYGERSLHLPKIKCRVVGAYFEKVGSKSFAVYSIAVTDAENKTWFVKRRYRNFERLHRHLKDIPNYSLHLPPKRFLSSSIDDYFVHQRCILLDKYLQDLLSIANVAEQLEVWDFLSVSSKNYSYGKSPSVMKTFAVNVDDAMDDIVRQFKGVSDGLMRKVVGTSPSYVTSLPVADKDLSLSWNQEEISKQIPRFSSMETSHSFSEDEEHDDDQSTSVNNGWHSDNELNSKSFPPRVVKHIKEYTGLESQQSQESDKFDRIGSDASKNSVASVCFEDPVGMPPEWTPPNVSVPMLNLVDKLFQLNRRGWLRCVLHEDKYIGFQNRYCS >Ma10_p15880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27805924:27821169:-1 gene:Ma10_g15880 transcript:Ma10_t15880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMERVQDLIEEAKVRTVFWAICVFAISYFLSHTSKSMWTNVPISFLILVAFRFFSYEVELHWRMRPVPKQTYLSHLIKKQLRLEDSRLSTALPTSRWKRKIESPLVEAAIKEFINKILQDFVLDLWYSSITPDKEAPELIRSIILDVLGEISGRVKGINLVDLLTRDLLDLIGNQLDLYRKNQSEIGMNVMKTLSSEERDERLKHHLMASKELHPALFSPESEYKVLQRIVGGVLAITLKPREAQCPLVRCFSRELLTCLVVQPVMKFASPEYINELIEYVFLDNKDNSNMEVKSDSSQSHTYAGQNTQSGQWESRKTSSNLSSQLGLVQSGGEKSTDGSGHGHPNALQKDSVPPRPADWAMILEATTKRRSEVLAPENLENMWTKGRNYQKKTANLMKAGTILGSVNASSGYTNTTVRAVSAGKELVTNANKRIKGIDENYMVHLMHGIVNNEHHVSYDLEKEQYMEMGHVSGNERNAGKPDRSNNLQLKRSSSTPDMDATFMTKSDEGASSKESRHLDIVKHKEEQSSDVVFYGERSLHLPKIKCRVVGAYFEKVGSKSFAVYSIAVTDAENKTWFVKRRYRNFERLHRHLKDIPNYSLHLPPKRFLSSSIDDYFVHQRCILLDKYLQDLLSIANVAEQLEVWDFLSVSSKNYSYGKSPSVMKTFAVNVDDAMDDIVRQFKGVSDGLMRKVVGTSPSYVTSLPVADKDLSLSWNQEEISKQIPRFSSMETSHSFSEDEEHDDDQSTSVNNGWHSDNELNSKSFPPRVVKHIKEYTGLESQQSQESDKFDRIGSDASKNSVASVCFEDPVGMPPEWTPPNVSVPMLNLVDKLFQLNRRGWLRRQVYWISKQILQLIMEDAIDDWILRQIHWLRRDDVVAQGIRWVQDVLWPNGTFIIKLGSSQGELDGFSIDQKSSQGRTYNDKVTRPNSFEAQLEAARRADDVKKLLLGGAPTALVSLIGPSQYRRSARDIYYFLQSTICIKQLAFSVLEMVLVSVFPELRDLVLDIHEKSRKQS >Ma10_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27805924:27821166:-1 gene:Ma10_g15880 transcript:Ma10_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNVPISFLILVAFRFFSYEVELHWRMRPVPKQTYLSHLIKKQLRLEDSRLSTALPTSRWKRKIESPLVEAAIKEFINKILQDFVLDLWYSSITPDKEAPELIRSIILDVLGEISGRVKGINLVDLLTRDLLDLIGNQLDLYRKNQSEIGMNVMKTLSSEERDERLKHHLMASKELHPALFSPESEYKVLQRIVGGVLAITLKPREAQCPLVRCFSRELLTCLVVQPVMKFASPEYINELIEYVFLDNKDNSNMEVKSDSSQSHTYAGQNTQSGQWESRKTSSNLSSQLGLVQSGGEKSTDGSGHGHPNALQKDSVPPRPADWAMILEATTKRRSEVLAPENLENMWTKGRNYQKKTANLMKAGTILGSVNASSGYTNTTVRAVSAGKELVTNANKRIKGIDENYMVHLMHGIVNNEHHVSYDLEKEQYMEMGHVSGNERNAGKPDRSNNLQLKRSSSTPDMDATFMTKSDEGASSKESRHLDIVKHKEEQSSDVVFYGERSLHLPKIKCRVVGAYFEKVGSKSFAVYSIAVTDAENKTWFVKRRYRNFERLHRHLKDIPNYSLHLPPKRFLSSSIDDYFVHQRCILLDKYLQDLLSIANVAEQLEVWDFLSVSSKNYSYGKSPSVMKTFAVNVDDAMDDIVRQFKGVSDGLMRKVVGTSPSYVTSLPVADKDLSLSWNQEEISKQIPRFSSMETSHSFSEDEEHDDDQSTSVNNGWHSDNELNSKSFPPRVVKHIKEYTGLESQQSQESDKFDRIGSDASKNSVASVCFEDPVGMPPEWTPPNVSVPMLNLVDKLFQLNRRGWLRRQVYWISKQILQLIMEDAIDDWILRQIHWLRRDDVVAQGIRWVQDVLWPNGTFIIKLGSSQGELDGFSIDQKSSQGRTYNDKVTRPNSFEAQLEAARRADDVKKLLLGGAPTALVSLIGPSQYRRSARDIYYFLQSTICIKQLAFSVLEMVLVSVFPELRDLVLDIHEKSRKQS >Ma02_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25205271:25206839:1 gene:Ma02_g18800 transcript:Ma02_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKAKLVACVGIGCLLVLLVIVFSRVEPSKDFLTVAAIGLAVVLVITLWLLVHYIILNRRRTSALHRLSNEGQELRFQYSFFRKVAGLPTKFSLKELDAATDNFQALIGRGGSGAVFKGILDNGTPVAVKRIEGAEYGEKEFRAEISAIASVQHVNLVRLLGYCLVPGGGPCFLVYEFIENGSLDGWIFSSAREHRARRGQCLPWPLRYQVAIDVARALAYLHHDCRARVLHLDIKPENILLDTGFRGVVADFGLSKLMSRDQSRIVASVRGTRGYLAPEWLLGSGISERSDIYSYGMVLLELVGGRRNVRVVDPTADAEQRKWSYFPKIVMEKAREGRVMEVVDERLERVDERQVTTMVNVALWCIQEQPELRPSMARVFDMLEGRLAVGAPPETEMIVVDLLSIMDEDQTSAAATSSSCSTFGPRNEASVGGQSEGPHATYSSYSAMSQLSGR >Ma04_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24051903:24052984:1 gene:Ma04_g21500 transcript:Ma04_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT3G15370) UniProtKB/TrEMBL;Acc:A0A1I9LSN2] MVSLIFFAMLSLAVAKAQWLPGHATFYGANQDPTSLGGACGYDNTIHAGFGINTAALSGALFRQGEACGACFEIACDAGADPQWCLGHATVTVTATNFCPPNNNGGWCDPPRRHFDMSLPAFSRIARVGSEGIVPVLYRRVACKRSGGVRFTLKGQGNFNLVMFSNVGGSGDVKAAWIRGSRASTWSAMQRNWGANWQTNADYRNQRLSFRLALGDGKTLEFSGVVPSSWSPGETFVSQTQFS >Ma05_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6598602:6600986:1 gene:Ma05_g08960 transcript:Ma05_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDATLKIYIEKHGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPDIKHGAFTEEEDTIICTLHNRIGSRWSIIASQMPGRTDNDVKNYWNTKLKKKLMLRHANLSVTNRILHSPPPTSPPPILPTVKTEVFSNTDFDFPTVPLHPDYGITTDQSQKLYSDVMPYAHANGQVVSPSEEVSATSSSITVETGSSHSFLNWCTAGVGSNDLFLSALGFGGAGDFLGGYECQENLCFPNPSIMWAASETDAQGLHQSPTYS >Ma02_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13736085:13737168:1 gene:Ma02_g02480 transcript:Ma02_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFPVPSCFSSGEKLSQDVLPAATAAAATRSRQSMVVSVYRSRVAGHCRLVTVTWCKNLLAHGLSVSVDGPDTDSSSSSSSQRNSSDAANKQQHSCKVQISPWQPWRKQGSNRFQIGGETVEVFWDLHSAKFCNEPEPQSDYYVAVVSEGEVVLLLGDLRKEAYRRTSSRPSLTEAVLVARKEHVFGKKRFATKSRFHDKGSRHEISVECSNGGGGGNMDLDMEIKIDGNVVIHVKHLQWKFRGNDSISIDKRRVEVYWDVHGWLFASGLRHALFIFKPESLSSAGGGGGDSCCLFLHAWKLD >Ma08_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2004766:2008301:1 gene:Ma08_g02670 transcript:Ma08_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKARQRLPSLGYASFGCSMMTHSSHQTHAVFLFFALSLLCVATGAAGCNRTCGSGPAVPYPFGFSAGCPIRLNCSQDGARFLGEFRVRNITTDAVHLDVPPVCDRFIHRATAGLFGANYAMTASNALFLRNCTSKTGSECSISTDIIAKRMKLTSCGPKDDDISCYSSGRTDGFLSDANFTAAATNCRLLYTSVRYGEDASSDQPSLVFGSAEIGWWLNGECRCAANATCKRVDVPVDTQNSSKKGFRCSCHEGFIGDGFAEGERCRRDAASISSIPGGKAGAIVGGAVAGAALALGLALLCYLVRRRGIVSEVEESGTRRLLYETSCTVAVYSHKEVERATGNFSDAYKLGAGAYATVYMGRFSNTGLVAIKRLKHPDTDNIEHVINEIKLVSSVSHPNLVHLLGCCIDSGEHILVYEFMPNGTLSQHLHRERGNGLSWSARVSIATETARAISYLHTAVHPPIYHRDIKSSNILLDYDLRPKLADFGLSRVGIVESSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSYGVVLVEMITAMKVVDFRRAASEVNLASLAADKIGKGLVEDIVDPIIKENWNGQTRASVQKVAELAFRCLAFHRDARPSMAEVAEELEKIMMEECSPAQPEGSEEQHDEPQSRRSAAESVVVEKPQSVSPVSVQDQWTSERSSSPCSSSSVASSRNLNRGI >Ma07_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3523550:3525837:1 gene:Ma07_g04810 transcript:Ma07_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSDHRRAFMNLWNDDNTSVMEAFLSSSATVAAPDHHSFWPPLPPPPASIPASSSSTTSSTHSTVTSSAPAHFSPDTLQQRLHSLIEGAREKWTYAIFWQSSPPSGAAVLTWGDGYYRGCEEDKRKPLRSGASSAAEQEHRKHVLRELNALIYGGCGGGDDAADEEVTDTEWFFLVSMTQTFAPGAGHPGQAFLSGSPAWIAGADRMAAAPCERVRQAQAFGLRTMACVPLESGVVELGSTHDIFQSSEILSKVRLLFGQGSGRRPAAASWPTPQPAVAEQGLLDPCMLWMSEPSAPKPPSHFDKPNSGSLTENPNLNFTGEIKTIPSTPVGGGGGTLYVDNHISYEIKKATSRESNDEAFLSSSADVVVAEVKSEGGCGGGNLFGADSDHSDLEASAREVTSSAVMEPPEKRPKKRGRKPANGREEPLDHVEAERQRREKLNQRFYALRSVVPNVSKMDKASLLADAVTYINELRSKMQALESDKRKLQSELGVLKMERESGSGRQMPPAGPRPAASNWPGRCSEVEVEVKILGWEAMIRVQCDRRCHPSARLMIALRELDLEVYYANVSVVKDLMIQQVTVKMTSRIYTQEQLTAALFSSVTADHPPHAM >Ma05_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5192033:5192437:-1 gene:Ma05_g07110 transcript:Ma05_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSCSSLPLVILFLGLLSFAQVTLAGRSVPANSDKKETECVGQEGTVLIPGVGRYKIGSHEMPALRGLDHSGPAAAHGQYLPGNDDTFVPNPGFEVPNPFRVVIP >Ma04_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26029699:26035093:-1 gene:Ma04_g23950 transcript:Ma04_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLGIISLLLFLLSFPSASESYGLRRLQEKGGYLTQEEHWFHQTLDHFSPTCHSIFKQRFYEFLDYYQAPNGPIFLIIGGESELDGIYHSYVSVLAKKFGAALVSLEHRYYGKSSPFKILSTENLRFLSSKQALFDLAVFHKYYQEHLHGKYNMSEYESAWFVIGSSYAGALSAWFHLKFPHLTCGSLASSASILASYNFIEYDQQVGESAGAECKAVLQEITTLVDRKLEEEGQQVKNLFGATKISNNVDFLYLLADAAAAAFQYGFPDDLCSPLVDAKTNGKDLLRVYATYVKDYYLRRYSSIELYDRQHFRNTTPNEISAGRLWSFQICTEFGNFQVAPKFDAIRSPKIDLRYHLDLCKDMFGEGISPNLNMTNIYYGGTNIAGSKIIFTNGSQDPWRHASKQTSTKDLPSYLIKCHNCAHGIDIIGCPKSASKIKGGLEQCTTAVQQVRQHITDHIGLWLSQCSVTMSS >Ma03_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9649617:9653065:-1 gene:Ma03_g12520 transcript:Ma03_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGFMAGILGGILLAHAGYATIQYRGMLKIVEEEFSRPPMNVVVELLLGLAFCIWAALAAPAKFRSIHPDSEENRIVSLPTNLDFMIFNHRGKVFPCNAEYKLKK >Ma06_p27830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29698587:29700002:-1 gene:Ma06_g27830 transcript:Ma06_t27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPISNLKLTSKMWSKYSTKGLQIHASAEWQSVDDGYSCFEQRWTSGHEQATCHVAAAAAYVTGGVIVLFSAKDPENPWSHEEEERGGGKLCRGHLFRSIYAAVAMAVEASDEGSGDLAFRFARSALSSGTTASVPFGLWSTPRRHTARQWVSRQFTAVDAASTRLRAKRCSTIRLNLCTANTNEILHNTANFFFCNLMIVRCS >Ma08_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22158567:22168687:-1 gene:Ma08_g17020 transcript:Ma08_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MAMLLAALSAGPPTASLSFLAGRSGLGRSSVTLSKAPTTPSSSLLHTSFRSSPFGSLSSPSSFFGLSLCVDLGSSRVVKERYRGLQVRAGKAALCTTKRSRSRKSLARTHGFRRRMRTPSGRAVIRRRRAKGRKVLCTKSNPNSGKRA >Ma02_p15820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23369342:23377297:-1 gene:Ma02_g15820 transcript:Ma02_t15820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCFSKNAHPAGGGRTNGYSNRKEVQPPYQPQQQIPQGRELQEQQPAKPSQPPPQTHHSHHHQQQHQPRPPPAAATVTHHPPPAVTKAPLIVHETILGKPFEDVRSLYTFGKELGRGQFGVTYLCTENATGLRYACKSISKRKLSNKSDREDIKREIQIMQHLTGQANIVEFKGAYEDKDSVNLVMELCAGGELFDRIIAKGNYSEWAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKDENAMLKATDFGLSVYIEEGKVYRDIVGSAYYVAPEVLRRSYGKEVDIWSAGVILYILLSGVPPFWAETDKGIFNAILQGQIDFESAPWPSISYSAKDLVRKMLTPDPKKRITAAQVLEHPWLREGGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIQGLKQMFTNLDTDKSGTITYEELKTGLARLGSKLSEAEVKQLMDAADVDGNGSIDYIEFITATMNRHKLERDEHLYRAFQYFDKDNSGFITRDELESAMQEHGMGDIATIKEIISEVDTDNDGRINYQEFCAMMRSGVQQPAKLI >Ma02_p15820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23369342:23376966:-1 gene:Ma02_g15820 transcript:Ma02_t15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCFSKNAHPAGGGRTNGYSNRKEVQPPYQPQQQIPQGRELQEQQPAKPSQPPPQTHHSHHHQQQHQPRPPPAAATVTHHPPPAVTKAPLIVHETILGKPFEDVRSLYTFGKELGRGQFGVTYLCTENATGLRYACKSISKRKLSNKSDREDIKREIQIMQHLTGQANIVEFKGAYEDKDSVNLVMELCAGGELFDRIIAKGNYSEWAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKDENAMLKATDFGLSVYIEEGKVYRDIVGSAYYVAPEVLRRSYGKEVDIWSAGVILYILLSGVPPFWAETDKGIFNAILQGQIDFESAPWPSISYSAKDLVRKMLTPDPKKRITAAQVLEHPWLREGGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIQGLKQMFTNLDTDKSGTITYEELKTGLARLGSKLSEAEVKQLMDAADVDGNGSIDYIEFITATMNRHKLERDEHLYRAFQYFDKDNSGFITRDELESAMQEHGMGDIATIKEIISEVDTDNDGRINYQEFCAMMRSGVQQPAKLI >Ma02_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23369342:23376966:-1 gene:Ma02_g15820 transcript:Ma02_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCFSKNAHPAGGGRTNGYSNRKEVQPPYQPQQQIPQGRELQEQQPAKPSQPPPQTHHSHHHQQQHQPRPPPAAATVTHHPPPAVTKAPLIVHETILGKPFEDVRSLYTFGKELGRGQFGVTYLCTENATGLRYACKSISKRKLSNKSDREDIKREIQIMQHLTGQANIVEFKGAYEDKDSVNLVMELCAGGELFDRIIAKGNYSEWAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKDENAMLKATDFGLSVYIEEGKVYRDIVGSAYYVAPEVLRRSYGKEVDIWSAGVILYILLSGVPPFWAETDKGIFNAILQGQIDFESAPWPSISYSAKDLVRKMLTPDPKKRITAAQVLEHPWLREGGNASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIQGLKQMFTNLDTDKSGTITYEELKTGLARLGSKLSEAEVKQLMDAADVDGNGSIDYIEFITATMNRHKLERDEHLYRAFQYFDKDNSGFITRDELESAMQEHGMGDIATIKEIISEVDTDNDGRINYQEFCAMMRSGVQQPAKLI >Ma02_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19803617:19808020:1 gene:Ma02_g10120 transcript:Ma02_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 5 [Source:Projected from Arabidopsis thaliana (AT1G52580) UniProtKB/Swiss-Prot;Acc:Q9SSR0] MGKKASYSPAMSDLDAGRRGPWVAPCPPPPQETWVAWLVPLIALANIVSFGYTMYVNDCPSTHRLEASDCVFPSLGRFAFEPFSINPLLGPSLYTLDSLGALDYNKVVVEGERWRLLACIWLHAGVIHLLANMLSLLFTGVRLEQEFGFVKIGLLYVLSGIGGSLMSCFSIQSNISVGASGALFGLLGAMLSELITNWTIYSNKCAALLTLMVVIAINLVVGVVPHVDSSAHIGGFVSGFLLGFVLLMRPQFGWISRRHIPPGYNMELIRPKHNLCQYLLWFTALVVLIIGFLFGLIKLSYVDRQLH >Ma02_p10120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19803617:19806993:1 gene:Ma02_g10120 transcript:Ma02_t10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 5 [Source:Projected from Arabidopsis thaliana (AT1G52580) UniProtKB/Swiss-Prot;Acc:Q9SSR0] MGKKASYSPAMSDLDAGRRGPWVAPCPPPPQETWVAWLVPLIALANIVSFGYTMYVNDCPSTHRLEASDCVFPSLGRFAFEPFSINPLLGPSLYTLDSLGALDYNKVVVEGERWRLLACIWLHAGVIHLLANMLSLLFTGVRLEQEFGFVKIGLLYVLSGIGGSLMSCFSIQSNISVGASGALFGLLGAMLSELITNWTIYSNKCAALLTLMVVIAINLVVGVVPHVDSSAHIGGFVSGFLLGFVLLMRPQFGWISRRHIPPGYNMELIRPKHNLCQYLLWFTALVVLIIG >Ma05_p25450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37381474:37390242:1 gene:Ma05_g25450 transcript:Ma05_t25450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLIEGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLASLIVMTILSASLGWVAPNLISRKWSHHVTTVLFFGFGLWSLWEGFKEESESEELAEVEAKLNADWKAETGSNKVNTKGSDDLKKQQRPFLTQFFSPIFLKAFSITFFGEWGDKSQIATIGLASDENPFGVVLGGIIGQALCTTAAVIGGKSLASQISEKMVALSSGILFLVFGVQSFLSAAGES >Ma05_p25450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37381583:37390242:1 gene:Ma05_g25450 transcript:Ma05_t25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLIEGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLASLIVMTILSASLGWVAPNLISRKWSHHVTTVLFFGFGLWSLWEGFKEESESEELAEVEAKLNADWKAETGSNKGSDDLKKQQRPFLTQFFSPIFLKAFSITFFGEWGDKSQIATIGLASDENPFGVVLGGIIGQALCTTAAVIGGKSLASQISEKMVALSSGILFLVFGVQSFLSAAGES >Ma03_p30090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32876600:32877793:1 gene:Ma03_g30090 transcript:Ma03_t30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRQWRRGQTIGRGSTATVSLAAASPSGHLFAVKSAELSLSAHLQREQAILSSLHHPNIVSCLGFDVAAEAPCAPLSYNLFLEYAPLGSLSDCMMKHGGRLEEAAIRSYTGGMLRGLAYLHAKSFAHCDVKSQNVLIWPDGRAKIADLGCARSIAGDGDAGQPIAGTPMFMAPEVARGEEQGAPADVWALGCTVIEMATGRPPWPDVVDPVAALHRIGFTCDVPKRPTWLSDEAKDFLDKCLRRDARERWPAEQLLEHPFVAKPSTTSCLSESALDQEWISPKSTLEQVLWDSVADEEEEDEEMEAEERIQQLATSGFPTANWAQDDDWITVRISEEESTAVPVDGDEDNVGFGVNAHHSNAVATAEERELCTPVRYVDNEMCSLII >Ma00_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15340002:15340193:-1 gene:Ma00_g02120 transcript:Ma00_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKAELFGHSSRVLYLVGSPLGGVVASAAEDETLKFWNVFETPKPPKPEASTVPFAQFSVIR >Ma10_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32682871:32684944:1 gene:Ma10_g23940 transcript:Ma10_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGMAKKAEDACREARSLVLGKYEMGRVLGKGTFAKVYHGRDFRTGESVAIKVINKDQIRVEAGLMEQIKREISVLRLVRHPNVVELREVMATKTRIFVVMEYVRGGELFARVVRGPLPDDQARRYFRQLISAVGFCHSRGVSHRDLKPENVLLDHNGDLKVSDFGFSALPEQLRHDGLLHTQCGTPAYVAPEVLRRQGYDGAKADIWSCGVILYVLLAGFLPFRDDNLMRMYRRVLKAEYQIPPWFSGDARRLVSRLLVADPEKRISIDAIMHHPWFNKGTYRKDPNPVPPPQAEAAPAEVEEQKPGTPRFYNAFELISSLSSGFDLSSLFENRRPAGTVFTSRLPAATILERLERVGRGLGFAVERTKPFKVKMERKNDGWKGRLGVTAEVFGVAAEVAVVEFSKLSGETSDYNKFCEEDVRPGLKDIVWAWQGDHAGGATNEDGGEEKQ >Ma00_p03220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26620395:26623332:-1 gene:Ma00_g03220 transcript:Ma00_t03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRWLALFAVLAAAASTGSVSGRFVVEKNGVRVIRPEHIRGRHDAAIANFGVPHYGGTLLGVVMYPDKNTTACDAFDGTPFKFRSRRPVILLVDRGDCYFALKAWNAQQAGAAAVLVADNTDEPLLTMDNPEESQASDYVDKISIPSAFINREFGETLKKALAKGTDQVVVKLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQMSFVKNFRGHAQLLEKGGFTQFTPHYITWYCPEAFLLSKQCKAQCINRGRYCAPDPEQDFDAGYDGKDVVIENLRQLCVHRVANETGRPWVWWDFVTDYHVRCSMKDKKYSRDCAQDVLKSLGLPLDKITKCMGDPDADVENDVLRMEQELQIGHDSRGDVTILPTLVINNVQYRGKLERSAVLKAICAGFKESTEPPVCLNGDIETNECLRSNGGCWQDSKSNITACKDTFRGRLCVCPMVNNVQYQGDGYTSCEAVGPGRCAMDNGGCWSETRDGQTFSACSDSVLTGCRCPAGFHGDGYQCEGILP >Ma00_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26619365:26623330:-1 gene:Ma00_g03220 transcript:Ma00_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRWLALFAVLAAAASTGSVSGRFVVEKNGVRVIRPEHIRGRHDAAIANFGVPHYGGTLLGVVMYPDKNTTACDAFDGTPFKFRSRRPVILLVDRGDCYFALKAWNAQQAGAAAVLVADNTDEPLLTMDNPEESQASDYVDKISIPSAFINREFGETLKKALAKGTDQVVVKLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQMSFVKNFRGHAQLLEKGGFTQFTPHYITWYCPEAFLLSKQCKAQCINRGRYCAPDPEQDFDAGYDGKDVVIENLRQLCVHRVANETGRPWVWWDFVTDYHVRCSMKDKKYSRDCAQDVLKSLGLPLDKITKCMGDPDADVENDVLRMEQELQIGHDSRGDVTILPTLVINNVQYRGKLERSAVLKAICAGFKESTEPPVCLNGDIETNECLRSNGGCWQDSKSNITACKDTFRGRLCVCPMVNNVQYQGDGYTSCEAVGPGRCAMDNGGCWSETRDGQTFSACSDSVLTGCRCPAGFHGDGYQCEDTDECKEKLACSCPECSCKNTWGGYDCKCKGNQLYIKGEDTCIAKNMSRFGWILTLLVLSCVAGAGIAGYLFYKYRLRSYMDSEIMAIMSQYMPLDNHNNEIQPLRQDSTA >Ma06_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22489371:22490674:1 gene:Ma06_g24110 transcript:Ma06_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFTRSFLALSLLSLLACAATGKLSASFYDDTCPSLQSIVRSAMSQAVSSKRRTGASILRLFFHDCFVNGCDASILLVGGERNAPPNKDSVRGFDVIAAIKSQVEAACRATVSCADILALAARDAVALLGGPSWQVLLGRRDARTANETATRDLPSPFVDLSNLTSSFDHKGFSAQDMTALSGAHTIGIARCPSFRDRIYHDANIDPDFAALLRQSCPPKGGDDNPAPLDLQTPDLFDNKYYENLLSGRGLLHSDQELFNGGSQDELVSYYVLNETLFFEHFAAAMVKMGNISPLTGSSGEVRLNCSEVN >Ma08_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4317666:4321306:-1 gene:Ma08_g06380 transcript:Ma08_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTLSVAALSSFRHGTEASAINPLPFQNPRHRAFFPSLPLPRRIFLPTASASIWDALTGGGGAARDASLAVRRGMLLFRQGDVSGSLAEFDRAVELDPRQKAYLWQRGLSLYYLNRFEEGAEQFRLDVAKNPNDTEESIWCFLCEAQLYGVGDARSRFLEVGRDSRPVMREAYSMFKDGGDPKKLVEIFANGRADEYFYASLYAGLYYESQNDTDVAKLQIVAACQCPYGSRSDDYMAALAKVHCLCRDWSIS >Ma08_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15217346:15222523:1 gene:Ma08_g15190 transcript:Ma08_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit sigma [Source:Projected from Arabidopsis thaliana (AT1G47830) UniProtKB/TrEMBL;Acc:A0A178W398] MIRFILLQNRQGKTRLAKYYIPLEESEKHKVEYEVHRLVVNRDPKYTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGEIQETSKRAIIERMGELEKQE >Ma04_p33750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33246107:33248968:1 gene:Ma04_g33750 transcript:Ma04_t33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYDDVDMGYEDEPPEPEIEEGVEEDQENNEDAPDDVVGPEAEDKEQEKVERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Ma08_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4251708:4252010:1 gene:Ma08_g06260 transcript:Ma08_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLGKLLLSGATSFLFTKYKYLGIFMVFCGVGIVLFLGSVEGFNKDSEEKFCKLALSNAVFGQLWKPEKVLE >Ma04_p01220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1075761:1082722:-1 gene:Ma04_g01220 transcript:Ma04_t01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQYADINPAMAAQMQHMSGQRLQHSSDMSHFPGGSDSFQHGEEHHYMPSKAEGQWQWDRDGTKGSNQLPSQLYKEGQGSDVLSTLYEAQMSDSKLGREMQINRDPRTHARQDELVATFDDSTLPQTFEGLEKKFLNDIMNLAKEQQEAEDRENARHRERLNEINTQYQEKLLAVRARQATQREDMLHKESQARHQQYQQAYVNSFQSNAGASDAHGFGAAPNAVSTYDDAPRGYAASHYDSYGERAELSRGGARGRGFGSRGRYTGGRAYNSRGRYF >Ma04_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1075798:1082872:-1 gene:Ma04_g01220 transcript:Ma04_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQYADINPAMAAQMQHMSGQRLQHSSDMSHFPGGSDSFQHGEEHHYMPSKAEGQWQWDRDGTKGSNQLPSQLYKEGQGSDVLSTLYEAQMSDSKLGREMQINRDPRTHARQDELVATFDDSTLPQTFEGLEKKFLNDIMNLAKEQQEAEDRENARHRERLNEINTQYQEKLLAVRARQATQREDMLHKESQARHQQYQQAYVNSFQSNAGASDAHGFGAAPNAVSTYDDAPRGYAASHYDSYGERAELSRGGARGRGFGSRGRYTGGRAYNSRGRYF >Ma08_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1302543:1302818:1 gene:Ma08_g01490 transcript:Ma08_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISMSYKKLAEDLKPNSAILCADGTITLMVLACDKKSGLVRCRCENSAVLGERKNVNLLGVIIDLPTLIEKDKEDILKWGIPNKIIMIALSF >Ma10_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31916007:31927114:1 gene:Ma10_g22610 transcript:Ma10_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit beta [Source:Projected from Arabidopsis thaliana (AT3G55480) UniProtKB/TrEMBL;Acc:F4IWW1] MFAQFGATAESLSKASSLVLRIGTDAHLYDDPDDVSIGPLLDSRYDSEKVDALKRLLALIAQGADVSHFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINCFQKDLSDTNPLVRAWALRAMAGIRLHAVAPLVLAAISKCARDPSAYVRKGAAYALPKLYDLHHDEDSTALEELVDILLSDHSPGVVGAAAAAFKSVCPTSLYLIAKSFRRLCETLPDIEEWGQIVLIEILLRYVIARHGLVKESIMFSSNSASHSQADENFATSGDMLDHHHYHHSVVDMACDSNMVTVMYKSYIEGQKESFTQAGCAKGDDDKLDLPPLTSSTNDDVDILLRCTSPLLWSHNSAVVLAAAGVHWIIAPRKEMERIIKPILFILRSSQASKYVILCNILVFAKADPSLFSLNYEDFFVFSSDSYQIKVLKLEILSTIATKSSLPIILEEFQDYVKDPDRRFAADTVAAIGLCAQRLPMVASTCLEGLLGLIFHESSISSSSQLDGEAGVLVQAIMSIKAIIKHDPTSYDKVIVRLACNLDRVKEPAARALIIWIIGEYCSVGQIIPRILPSVLKYLAWTFNSEELETKLQTLNTAAKVLLCTEGEDLLTFRKILSYVIELAKYDSNYDIRDRARFILKLVPRNLTTTSEEETTSCFLQNVGIHHEFAENIFSGKIHSTASSAKSFRIYLPGSLSQIVLHAAPGYEPLPKPCSLHANDLKLRMELGDETKESKKMAKNNSFGTGDHDASSGSSFEESGSVYDSHHSIISSDSEGNEITSESNEIGHSSLEVMHDDWDKTLIDVSDAGVDNDQASQSAKGNLSALVSTDLAELMSKSALESWLDEQPGLTSVQMSQQPPSGRISINNLDCTVTPKIHMLLDPTNGNGLRVEYAFSYEVSTISPVMVQIEVFFENCLSESLVKIALKDGEYNSRVDSSDPVLEEHESLLPTDNAPSMLPSEEIASLDPGQRLKKVIQVRFHHHLLPFKVAVLCNGKKYLTKLWPDIGYFLRPLSMSMDAFIEKERQLPGMFECTKRCTFKEHIDHEKDDSSFHSDKIILISRTIASKVLSNSNVFLVSVDIPVSFNIDDASGLCLRFSGEILSSSKPCLIAILAEGKFSEPLDMAVKINCEETVFGLNLLNRVAAFLQ >Ma10_p26490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34161641:34167640:1 gene:Ma10_g26490 transcript:Ma10_t26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAPSATVRPIAGGYASPLPSRLTPTKVHYNPQPLRSMKKSKKNAAASQSSRLPLLPTVITPNLRLRPQAQGPDYSSPSPSDDATEDAFSWSSVVIPFLFPALGGLLFGYDIGATSGASISLQSPELSGTTWFNLSAVQLGLVVSGSLYGALAGSLIAYPTSDFLGRRRELITASVLYLVGGLVTGCAPDLVVLIIGRLIYGIGIGMAMHGAPLYIAETSPSQIRGTLISLKELFIVLGILLGYLVGSVEITAVGGWRYMYGLSAPIALAMAMGMWTLPPSPRWLLLRAVQGKGALEDYKEKAMNALAKLRGRPAGDKISERQIDETLVSLKAAYAEEESEGSLLEVFQGASLKAFIIGGGLVLFQQITGQPSVLYYATSILQSAGFSAASDAARVSVVIGLFKLLMTGIAVLKVDNLGRRPLLIGGVGGIVLSLFLLAAYYNVLGSLPLVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLKELLGPANIFLLFGAIALLSLAFVFFYVPETKGLSLEEIEAKILN >Ma05_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22871553:22874865:1 gene:Ma05_g18160 transcript:Ma05_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEQRAKVFQDHKSFSNSGGGISEFIVPHLLKLYGSRATARDFEIYAPNATFEDPLMCAHGVKQIKSAFYSLPKVFSESRIVEYTIQENATGPGKAEILIDNKQHYKIFGKDIDLVSLIKLKVEGGQVVHHEDWWDRKPLKNRDTTNLPLVGRLAEYTRRGSMLITHVLMGFGKDPSH >Ma06_p31400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32534422:32544454:-1 gene:Ma06_g31400 transcript:Ma06_t31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADAVANAETSAAAAGASPNNVAPVAVGPSRGGRAAYVPPHLRNRPPSVESPALPLADGPSGARQPPASGALPAGGNRWGGGPIRDVARPGFGGGRGGGGGWHSRREQEANPFANDDETTEEVFDSQENSGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISLMGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAQRQIRSRTAYPHALILSPTRELSIQIHEEARKFAYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQHIGYLALDEADRMLDMGFEPQIRRIVEQMDMPPCGQRQTMLFSATFPKEIQRLASDFLYNYIFLAVGRVGSSTDLIVQRVEFVLDSDKRSHLMDLLHAQRDSGAHGKQALTLVFVETKRGADSLEHWLCTNGFPATTIHGDRTQQEREQALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNESNSSLARSLSELMQEANQEVPQWLSRFAATRSYGGGGGRNRRSGGARFGGRDFRREPSSKGGGGDYYGGGSSGYGGGSSGYGGGSYGASSGYGGGYGSSGVTSAWD >Ma08_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1921108:1925013:-1 gene:Ma08_g02490 transcript:Ma08_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKVHELRGKTKAELHNQLRDLKNELSLLRVAKVTGGAPNKLSKIKVVRLSIARVLTVTSQKQKAALREAYKKKKHIPLDLRPKRTRAIRCPLSKYQDALKTEHQKKKEMYFPTRRYAIKA >Ma07_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4463156:4479116:1 gene:Ma07_g06200 transcript:Ma07_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGSRVSIPAGVRPTIQNIKEIAGNHSDEEIYAMLKECGMDPNETAQKLLLQDPFHEVKRKRDRRKENVREPADPRWRAGLQGRGGRGGRGNYSSRPVLSDAAAGRNLTSGKVNGVNQGSDKGIPSSSSNTPDTEVKSANSILSSISGPTDGASNIDHPISSQRSYVSGVSGIAPQEESFGVVTTKTGTSRLSPTDAKSAPTGGHSIPDSDKISSNKAAAPVSEVYVPTSDPLLAPSLDAHNPAELENIKRITGIQHSVVETATSHAGSQHISGSNLSYMSGKCSSMSSPYMHGKVPMKPHESGSNELSEKSQVAPSFSTATGSRPSSTYSNRSQQLSGLQKAPVPNKEWKPKSTPVIASQASEMTETPDVPLAAEAVAASLPAPCSVASEVTTLMLEKKLEELKLSDRKHVIIPNHLQVSESERHGLSFGSFDPNFELNMGFANGPAKDRIDTPVSDSSQETEETTEQPSLSTHTTSSAAQDDFINHPQSPEQVSDNYSSNEAGIPSSISAAAEYDQSQKEAALVPEGLQNSVVQSAPSYPSLGLVPQVLGNQLGQFESSEHQAQDTSRFPSFLVQQTYDPSTSYYTPFYRPTADSDGRISPFLAPGASMYNRNIAVLPSQTGQASNESTNVAMLSTAGSTPLATQAAGTMQGSVAIPQQPVPVFRQPAGLHISHYPPNYIPYNQYFSPFYVPPPTLHHFLSSPAFPQQPPTGSMFPTPGSANPATPVKYSLPQYKPGANIGNSTIVGMPAGYGMYNSTPAGYTPDAATSGNSTANDDLGSSQFKENNLCIPGQQSESLSVWIPAPGRDISTLQASSYYSIPQGQHMTYAPTQAGHGAFSGVYHPPPTVPASSVHPLLQQSQTVAGAVEMVGPPAGVYQQPQHAQINWTNNY >Ma06_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5613331:5613930:-1 gene:Ma06_g07910 transcript:Ma06_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSBX [Source:Projected from Arabidopsis thaliana (AT2G06520) UniProtKB/TrEMBL;Acc:A0A178W0Y5] MASASAVTMAMPGVGRSRPLAGSDAFFQPLMLPVRPTPAAAGRWRPSSQRKVRASSAKEKAMSGLAAAALAAALVIPDVAEAAQPGVSPSLKNFLLSIVAGGVVLVVIVGAVVAVANFDPVKRS >Ma05_p29640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40262115:40270220:-1 gene:Ma05_g29640 transcript:Ma05_t29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRIGSKSHQFLAAGTSNVNVIGQKGVEWDLNDWRWDGEHFVATPLDAVPADCWSKHLRQDAAKGLVLNSPSSSSEGADCGLVEKDNGESEKKRRIVVVEEDESCGGAGSLSLRLGGHAYPVVESDFANWEVKNEKKNKSQGGSSSNPTCQVEGCDADLCDSRDYHRRHKVCEMHAKASSAIVRNAIQRFCQQCSRFHLLEEFDEGKRSCRRRLAGHNRRRRKNHPDDNVNGSSSIDERASSYLLISLLRILSNLQSNNSERSQDQELLTHFLSNLGTLANSLDPSDLSRLLRAAQDPPKLVTTAGTSSEAIITSVPNGVPEQDSRRPLGSAAKMTCTPGVQGPPQETDHVPSVADVPKIGRESSEELVIDRVRMKDFDLNSDPRDCKKGEMPATSLCTGIGSPNVPSWLLQNTCQLSPPQTSGNSDSTSNHTQSRSHGDAQCRTDRIIFKLFGKNPHDLPLVLRAQILDWLSNSPTDIESYIRPGCIILTIYLRQPEFAWAQLCNDLSSYLARLLHNSHDFWTTGWIFARVQNYAAFIYDGQVVLDMPLLVGHSNHCKILSVTPIAVSHSTNVNFTVKGFNIVQPTSRLLCSFDGKYLFQETTQDLVEGFSRCAGHESAQCLSFSCLLPDKTGRGFIELEDCGLTNGFFPFIVADEDVCSEIRVLEKSINVGSCDDQLQERKDTDNARNQALDFINELGWLLRRNHMVSISRESKLSRNLFPLPRFRWLMSFAMNREWAAVVKKLLDILFSGTVDAGGQSSMELALSVNLLHSAVQINSKAMVELLLRYKPSKPSKQMDPDQFLFRPDMLGPSGLTPLHIASSTSGAESILDALTNDPGQLGINAWKNLRDSTGFTPKDYALVRGHDSYLRLVQNKIDKQFHLNQVVLNISGDASYKTVDVLKSVKADASDRTTWLSSKQPPSCNRCSQQLVYQNSVARTMLYRPVMLSLVGIAAVCVCVGLLFKTPPEVFYVFPSFRWELLEYGFM >Ma05_p29640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40262115:40270220:-1 gene:Ma05_g29640 transcript:Ma05_t29640.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRIGSKSHQFLAAGTSNVNVIGQKGVEWDLNDWRWDGEHFVATPLDAVPADCWSKHLRQDAAKGLVLNSPSSSSEGADCGLVEKDNGESEKKRRIVVVEEDESCGGAGSLSLRLGGHAYPVVESDFANWEVKNEKKNKSQGGSSSNPTCQVEGCDADLCDSRDYHRRHKVCEMHAKASSAIVRNAIQRFCQQCSRFHLLEEFDEGKRSCRRRLAGHNRRRRKNHPDDNVNGSSSIDERASSYLLISLLRILSNLQSNNSERSQDQELLTHFLSNLGTLANSLDPSDLSRLLRAAQDPPKLVTTAGTSSEAIITSVPNGVPEQDSRRPLGSAAKMTCTPGVQGPPQETDHVPSVADVPKIGRESSEELVIDRVRMKDFDLNSDPRDCKKGEMPATSLCTGIGSPNVPSWLLQNTCQLSPPQTSGNSDSTSNHTQSRSHGDAQCRTDRIIFKLFGKNPHDLPLVLRAQILDWLSNSPTDIESYIRPGCIILTIYLRQPEFAWAQLCNDLSSYLARLLHNSHDFWTTGWIFARVQNYAAFIYDGQVVLDMPLLVGHSNHCKILSVTPIAVSHSTNVNFTVKGFNIVQPTSRLLCSFDGKYLFQETTQDLVEGFSRCAGHESAQCLSFSCLLPDKTGRGFIELEDCGLTNGFFPFIVADEDVCSEIRVLEKSINVGSCDDQLQERKDTDNARNQALDFINELGWLLRRNHMVSISRESKLSRNLFPLPRFRWLMSFAMNREWAAVVKKLLDILFSGTVDAGGQSSMELALSVNLLHSAVQINSKAMVELLLRYKPSKPSKQMDPDQFLFRPDMLGPSGLTPLHIASSTSGAESILDALTNDPGQLGINAWKNLRDSTGFTPKDYALVRGHDSYLRLVQNKIDKQFHLNQVVLNISGDASYKTVDVLKSVKADASDRTTWLSSKQPPSCNRCSQQLVYQNSVARTMLYRPVMLSLVGIAAVCVCVGLLFKTPPEVFYVFPSFRWELLEYGFM >Ma11_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5679218:5684213:1 gene:Ma11_g07080 transcript:Ma11_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MEEQQAAPRDPSTGETAPRLPPRRSSSFGHRIPDQPPWAGDYGFVGGDRRLAFSRQPSFRQPEPHSPFPPQRTQSLRANLSRSDSFISIPSSGSDEYGMGYGKSAMNGGRDSRNLSLLHWMVSVVQAISSGNRPMKRLALMISLNVAYSTAELLIGIFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRKKPDGVYTYGYKRLEVLSAFTNALFLLFMSFSIAVEALHAFIQDESEHKHYLIVSAVTNLLVNILGVWFFRSYARVNIVYRKAEDMNYHSVCLHVLADSIRSAGLILASWFLSLGVENAEVLCLGLVSVAIFMVVMPLFRASGNILLQMAPASIPSSAFSKCWRQITSLEDVSEVSEARFWELVPGHCIGSLSVQVKRGAEDLPILRYVHGLYHDLGIQDLTVQVEHL >Ma04_p16460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15781928:15785964:1 gene:Ma04_g16460 transcript:Ma04_t16460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASRATERLKEGDGLYEELWRACAGPLVEVPRVDERVFYFPQGHLEQLETWTDQELNQHIPLFNLPPKILCRVVNINLKAEPETDEAYAQITLIPESDQNEPTCPVPCVVEPPRPAVHSFCKILTASDTSTHGGFSVLRRHATECLPPLDMSQQTPAQELVAKDLHGQEWRFKHIFRGQPRRHLLTTGWSTFVASKKLAAGDALILMRGENDELRVGVRRLVHRQNTMPSSVISSHSMHVGVLATASHAISTHSLFTVYYKPRTSQFIVGVNKYLETIKNEFTVGMRFKMRFEGEDVPEKRFTGTITGIGDISSQWPCSKWRSLKVQWDEASSILRPEKISPWDVEPFGGSMSTSSDAQAGFIKNKRAWSPLDLLGHEPSSTIWNPAKAQISDLGSMSSIIAQSLEKRFLWFSGQTESIDNNSLHSPSSCNRRLSDHWLRDSKSPLESTSSSLINVSLKLSKGTVACDTKTTLTSWLPASNPVTEDPSLELECKMENQKKPKSGSGYRLFGIDLVCPSNDISSTMKLSVEVVSQSNATIEDPVPATPLVEDIDGQSGLSKASKEVKQVLQVSPKEIQRKQNSSTRSCIKVHMQGIGVGRAVDLANFEGYDELMLELEQMFEIKGELRCHKKWEVAFNDDDGVMILVGDYPWPVFCKLARKIFIYASEEVKKMEPKSKLPAVPSTDGEAA >Ma04_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15781928:15785965:1 gene:Ma04_g16460 transcript:Ma04_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASRATERLKEDGLYEELWRACAGPLVEVPRVDERVFYFPQGHLEQLETWTDQELNQHIPLFNLPPKILCRVVNINLKAEPETDEAYAQITLIPESDVRNNQNEPTCPVPCVVEPPRPAVHSFCKILTASDTSTHGGFSVLRRHATECLPPLDMSQQTPAQELVAKDLHGQEWRFKHIFRGQPRRHLLTTGWSTFVASKKLAAGDALILMRGENDELRVGVRRLVHRQNTMPSSVISSHSMHVGVLATASHAISTHSLFTVYYKPRTSQFIVGVNKYLETIKNEFTVGMRFKMRFEGEDVPEKRFTGTITGIGDISSQWPCSKWRSLKVQWDEASSILRPEKISPWDVEPFGGSMSTSSDAQAGFIKNKRAWSPLDLLGHEPSSTIWNPAKAQISDLGSMSSIIAQSLEKRFLWFSGQTESIDNNSLHSPSSCNRRLSDHWLRDSKSPLESTSSSLINVSLKLSKGTVACDTKTTLTSWLPASNPVTEDPSLELECKMENQKKPKSGSGYRLFGIDLVCPSNDISSTMKLSVEVVSQSNATIEDPVPATPLVEDIDGQSGLSKASKEVKQVLQVSPKEIQRKQNSSTRSCIKVHMQGIGVGRAVDLANFEGYDELMLELEQMFEIKGELRCHKKWEVAFNDDDGVMILVGDYPWPVFCKLARKIFIYASEEVKKMEPKSKLPAVPSTDGEAA >Ma04_p16460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15781928:15785965:1 gene:Ma04_g16460 transcript:Ma04_t16460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASRATERLKEGDGLYEELWRACAGPLVEVPRVDERVFYFPQGHLEQLETWTDQELNQHIPLFNLPPKILCRVVNINLKAEPETDEAYAQITLIPESDVRNNQNEPTCPVPCVVEPPRPAVHSFCKILTASDTSTHGGFSVLRRHATECLPPLDMSQQTPAQELVAKDLHGQEWRFKHIFRGQPRRHLLTTGWSTFVASKKLAAGDALILMRGENDELRVGVRRLVHRQNTMPSSVISSHSMHVGVLATASHAISTHSLFTVYYKPRTSQFIVGVNKYLETIKNEFTVGMRFKMRFEGEDVPEKRFTGTITGIGDISSQWPCSKWRSLKVQWDEASSILRPEKISPWDVEPFGGSMSTSSDAQAGFIKNKRAWSPLDLLGHEPSSTIWNPAKAQISDLGSMSSIIAQSLEKRFLWFSGQTESIDNNSLHSPSSCNRRLSDHWLRDSKSPLESTSSSLINVSLKLSKGTVACDTKTTLTSWLPASNPVTEDPSLELECKMENQKKPKSGSGYRLFGIDLVCPSNDISSTMKLSVEVVSQSNATIEDPVPATPLVEDIDGQSGLSKASKEVKQVLQVSPKEIQRKQNSSTRSCIKVHMQGIGVGRAVDLANFEGYDELMLELEQMFEIKGELRCHKKWEVAFNDDDGVMILVGDYPWPVFCKLARKIFIYASEEVKKMEPKSKLPAVPSTDGEAA >Ma06_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15357194:15369333:1 gene:Ma06_g21220 transcript:Ma06_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTACTTKDLHLPRQETMSDNMPIDLREDAGIPVANSGYVNEATVSPKMQMESDSCGCEEVKVKRSLRRRSGIYYGVFDISSEEESDCEQSIKDRTLKRSRQKNDVSRSTNKSRYERQEPSRWNPKEARRPVIDEAPVFYPTEEDFKDTLGYIASIREKAEKYGICRIIPPHSWSPPCPLKENNFWGCTKFTTRVQEVDKLQNREPIRKKFRNRCHKRRKRRKRLRFGMTRRRNASAVSETNESVGSDTDEKFGFQSGSDFTLETFKKYADEFKKQYFGVKGTNGSIEHQDDNHEKKWQPSPEDIEGEYWRIVEDPTDEIEVHYGADLDTAMFGSGFPKASLGNKAELDPYVNSGWNLNNLPRLPGSVLSFEREDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHFGDPKVWYGVPGSDAVKLEDAMRKHLPELFEEQPDLLHELVTQLSPSVLKSEGVPVYRAIQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVNWLPHGQCAVELYSEQHRKTSLSHDKLLLGVAWEAVKEQLEQSHLQGNNPRSLTWQNFCGNDGVLTEAIKARVIMEHKRRENVSSISNVRKMDNNFDLSTERECFLCFYDLHLSAAGCECSPNRYACLSHAKLICSCDPSKMILLVRHNLDELNALVLALGGDLGAVKLCNLEDIGLALPTQSKFLEEPNDSLSKSISEHERPLSDVNALNIDNGVHNQEIDNQLSRALSLANIEHKSHSLFQEPERIHNINKPSVGMVSLSDKEGNSAHTYSDAAPLDVKSDVVLHNDVGCQVSSSGKENILLFSSNEDEGHQFCLDLNVEQITGEPKVETEGCHVECTEPVICTIKEEQIWNSDISRQECSSNFKVMGVNGCGIVRIQMESDIMRKNKNIIGTGSDCGSSMSLGPWADLGSSHASSERNLNQASCSRDTELPRKSSPRLFGVDLQHDLYSSSPSGSQRSQSMRDNSNHSNAVNQSDHDLGMIHPMPKYCVEPLNFGKVMHGKQWCSRQAIFPNGFRTRVKFFSVLDPTKLCNYVSEVLDAGLLGPLFKVTVENNPEMSFTASSALQCWEMIRERLNQEIVRQHNLGKQGLPELQSPESMDGLEMFGFLSTSIIRVVEALDPYHQCQEYWECKFTSPSSFSKRMDVKDLPAAIPTTFDANVGTGSSHQDKTKLFGVNLSTKMEEDASYDNPGESVEEVQNILGGFFKKASLKELRMMQKIFRSKSGSSTWRTAYGALLDEIQKNVHK >Ma06_p21220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15357194:15369333:1 gene:Ma06_g21220 transcript:Ma06_t21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTACTTKDLHLPRQETMSDNMPIDLREDAGIPVANSGYVNEATVSPKMQMESDSCGCEEVKVKRSLRRRSGIYYGVFDISSEEESDCEQSIKDRTLKRSRQKNDVSRSTNKSRYEREPSRWNPKEARRPVIDEAPVFYPTEEDFKDTLGYIASIREKAEKYGICRIIPPHSWSPPCPLKENNFWGCTKFTTRVQEVDKLQNREPIRKKFRNRCHKRRKRRKRLRFGMTRRRNASAVSETNESVGSDTDEKFGFQSGSDFTLETFKKYADEFKKQYFGVKGTNGSIEHQDDNHEKKWQPSPEDIEGEYWRIVEDPTDEIEVHYGADLDTAMFGSGFPKASLGNKAELDPYVNSGWNLNNLPRLPGSVLSFEREDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHFGDPKVWYGVPGSDAVKLEDAMRKHLPELFEEQPDLLHELVTQLSPSVLKSEGVPVYRAIQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVNWLPHGQCAVELYSEQHRKTSLSHDKLLLGVAWEAVKEQLEQSHLQGNNPRSLTWQNFCGNDGVLTEAIKARVIMEHKRRENVSSISNVRKMDNNFDLSTERECFLCFYDLHLSAAGCECSPNRYACLSHAKLICSCDPSKMILLVRHNLDELNALVLALGGDLGAVKLCNLEDIGLALPTQSKFLEEPNDSLSKSISEHERPLSDVNALNIDNGVHNQEIDNQLSRALSLANIEHKSHSLFQEPERIHNINKPSVGMVSLSDKEGNSAHTYSDAAPLDVKSDVVLHNDVGCQVSSSGKENILLFSSNEDEGHQFCLDLNVEQITGEPKVETEGCHVECTEPVICTIKEEQIWNSDISRQECSSNFKVMGVNGCGIVRIQMESDIMRKNKNIIGTGSDCGSSMSLGPWADLGSSHASSERNLNQASCSRDTELPRKSSPRLFGVDLQHDLYSSSPSGSQRSQSMRDNSNHSNAVNQSDHDLGMIHPMPKYCVEPLNFGKVMHGKQWCSRQAIFPNGFRTRVKFFSVLDPTKLCNYVSEVLDAGLLGPLFKVTVENNPEMSFTASSALQCWEMIRERLNQEIVRQHNLGKQGLPELQSPESMDGLEMFGFLSTSIIRVVEALDPYHQCQEYWECKFTSPSSFSKRMDVKDLPAAIPTTFDANVGTGSSHQDKTKLFGVNLSTKMEEDASYDNPGESVEEVQNILGGFFKKASLKELRMMQKIFRSKSGSSTWRTAYGALLDEIQKNVHK >Ma06_p21220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15357194:15369333:1 gene:Ma06_g21220 transcript:Ma06_t21220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTACTTKDLHLPRQETMSDNMPIDLREDAGIPVANSGYVNEATVSPKMQMESDSCGCEEVKVKRSLRRRSGIYYGVFDISSEEESDCEQSIKDRTLKRSRQKNDVSRSTNKSRYERQEPSRWNPKEARRPVIDEAPVFYPTEEDFKDTLGYIASIREKAEKYGICRIIPPHSWSPPCPLKENNFWGCTKFTTRVQEVDKLQNREPIRKKFRNRCHKRRKRRKRLRFGMTRRRNASAVSETNESVGSDTDEKFGFQSGSDFTLETFKKYADEFKKQYFGVKGTNGSIEHQDDNHEKKWQPSPEDIEGEYWRIVEDPTDEIEVHYGADLDTAMFGSGFPKASLGNKAELDPYVNSGWNLNNLPRLPGSVLSFEREDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHFGDPKVWYGVPGSDAVKLEDAMRKHLPELFEEQPDLLHELVTQLSPSVLKSEGVPVYRAIQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVNWLPHGQCAVELYSEQHRKTSLSHDKLLLGVAWEAVKEQLEQSHLQGNNPRSLTWQNFCGNDGVLTEAIKARVIMEHKRRENVSSISNVRKMDNNFDLSTERECFLCFYDLHLSAAGCECSPNRYACLSHAKLICSCDPSKMILLVRHNLDELNALVLALGGDLGAVKLCNLEDIGLALPTQSKFLEEPNDSLSKSISEHERPLSDVNALNIDNGVHNQEIDNQLSRALSLANIEHKSHSLFQEPERIHNINKPSVGMVSLSDKEGNSAHTYSDAAPLDVKSDVVLHNDVGCQVSSSGKENILLFSSNEDEGHQFCLDLNVEQITGEPKVETEGCHVECTEPVICTIKEEQIWNSDISRQECSSNFKVMGVNGCGIVRIQMESDIMRKNKNIIGTGSDCGSSMSLGPWADLGSSHASSERNLNQASCSRDTELPRKSSPRLFGVDLQHDLYSSSPSGSQRSQSMRDNSNHSNAVNQSDHDLGMIHPMPKYCVEPLNFGKVMHGKQWCSRQAIFPNGFRTRVKFFSVLDPTKLCNYVSEVLDAGLLGPLFKVTVENNPEMSFTASSALQCWEMIRERLNQEIVRQHNLGKQGLPELQSPESMDGLEMFGFLSTSIIRVVEALDPYHQCQEYWECKFTSPSSFSKRMDVKDLPAAIPTTFDANVGTGSSHQDKTKLFGVNLSTKMEEDASYDNPGESVEEVQNILGGFFKKASLKELRMMQKIFRSKSGSSTWRTAYGALLDEIQKNVHK >Ma06_p21220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15357502:15369333:1 gene:Ma06_g21220 transcript:Ma06_t21220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTACTTKDLHLPRQETMSDNMPIDLREDAGIPVANSGYVNEATVSPKMQMESDSCGCEEVKVKRSLRRRSGIYYGVFDISSEEESDCEQSIKDRTLKRSRQKNDVSRSTNKSRYERQEPSRWNPKEARRPVIDEAPVFYPTEEDFKDTLGYIASIREKAEKYGICRIIPPHSWSPPCPLKENNFWGCTKFTTRVQEVDKLQNREPIRKKFRNRCHKRRKRRKRLRFGMTRRRNASAVSETNESVGSDTDEKFGFQSGSDFTLETFKKYADEFKKQYFGVKGTNGSIEHQDDNHEKKWQPSPEDIEGEYWRIVEDPTDEIEVHYGADLDTAMFGSGFPKASLGNKAELDPYVNSGWNLNNLPRLPGSVLSFEREDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHFGDPKVWYGVPGSDAVKLEDAMRKHLPELFEEQPDLLHELVTQLSPSVLKSEGVPVYRAIQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVNWLPHGQCAVELYSEQHRKTSLSHDKLLLGVAWEAVKEQLEQSHLQGNNPRSLTWQNFCGNDGVLTEAIKARVIMEHKRRENVSSISNVRKMDNNFDLSTERECFLCFYDLHLSAAGCECSPNRYACLSHAKLICSCDPSKMILLVRHNLDELNALVLALGGDLGAVKLCNLEDIGLALPTQSKFLEEPNDSLSKSISEHERPLSDVNALNIDNGVHNQEIDNQLSRALSLANIEHKSHSLFQEPERIHNINKPSVGMVSLSDKEGNSAHTYSDAAPLDVKSDVVLHNDVGCQVSSSGKENILLFSSNEDEGHQFCLDLNVEQITGEPKVETEGCHVECTEPVICTIKEEQIWNSDISRQECSSNFKVMGVNGCGIVRIQMESDIMRKNKNIIGTGSDCGSSMSLGPWADLGSSHASSERNLNQASCSRDTELPRKSSPRLFGVDLQHDLYSSSPSGSQRSQSMRDNSNHSNAVNQSDHDLGMIHPMPKYCVEPLNFGKVMHGKQWCSRQAIFPNGFRTRVKFFSVLDPTKLCNYVSEVLDAGLLGPLFKVTVENNPEMSFTASSALQCWEMIRERLNQEIVRQHNLGKQGLPELQSPESMDGLEMFGFLSTSIIRVVEALDPYHQCQEYWECKFTSPSSFSKRMDVKDLPAAIPTTFDANVGTGSSHQDKTKLFGVNLSTKMEEDASYDNPGESVEEVQNILGGFFKKASLKELRMMQKIFRSKSGSSTWRTAYGALLDEIQKNVHK >Ma06_p21220.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15357165:15369333:1 gene:Ma06_g21220 transcript:Ma06_t21220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTACTTKDLHLPRQETMSDNMPIDLREDAGIPVANSGYVNEATVSPKMQMESDSCGCEEVKVKRSLRRRSGIYYGVFDISSEEESDCEQSIKDRTLKRSRQKNDVSRSTNKSRYEREPSRWNPKEARRPVIDEAPVFYPTEEDFKDTLGYIASIREKAEKYGICRIIPPHSWSPPCPLKENNFWGCTKFTTRVQEVDKLQNREPIRKKFRNRCHKRRKRRKRLRFGMTRRRNASAVSETNESVGSDTDEKFGFQSGSDFTLETFKKYADEFKKQYFGVKGTNGSIEHQDDNHEKKWQPSPEDIEGEYWRIVEDPTDEIEVHYGADLDTAMFGSGFPKASLGNKAELDPYVNSGWNLNNLPRLPGSVLSFEREDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHFGDPKVWYGVPGSDAVKLEDAMRKHLPELFEEQPDLLHELVTQLSPSVLKSEGVPVYRAIQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVNWLPHGQCAVELYSEQHRKTSLSHDKLLLGVAWEAVKEQLEQSHLQGNNPRSLTWQNFCGNDGVLTEAIKARVIMEHKRRENVSSISNVRKMDNNFDLSTERECFLCFYDLHLSAAGCECSPNRYACLSHAKLICSCDPSKMILLVRHNLDELNALVLALGGDLGAVKLCNLEDIGLALPTQSKFLEEPNDSLSKSISEHERPLSDVNALNIDNGVHNQEIDNQLSRALSLANIEHKSHSLFQEPERIHNINKPSVGMVSLSDKEGNSAHTYSDAAPLDVKSDVVLHNDVGCQVSSSGKENILLFSSNEDEGHQFCLDLNVEQITGEPKVETEGCHVECTEPVICTIKEEQIWNSDISRQECSSNFKVMGVNGCGIVRIQMESDIMRKNKNIIGTGSDCGSSMSLGPWADLGSSHASSERNLNQASCSRDTELPRKSSPRLFGVDLQHDLYSSSPSGSQRSQSMRDNSNHSNAVNQSDHDLGMIHPMPKYCVEPLNFGKVMHGKQWCSRQAIFPNGFRTRVKFFSVLDPTKLCNYVSEVLDAGLLGPLFKVTVENNPEMSFTASSALQCWEMIRERLNQEIVRQHNLGKQGLPELQSPESMDGLEMFGFLSTSIIRVVEALDPYHQCQEYWECKFTSPSSFSKRMDVKDLPAAIPTTFDANVGTGSSHQDKTKLFGVNLSTKMEEDASYDNPGESVEEVQNILGGFFKKASLKELRMMQKIFRSKSGSSTWRTAYGALLDEIQKNVHK >Ma05_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30266069:30267838:1 gene:Ma05_g19910 transcript:Ma05_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSDTSLDCAVFKLSPRRSRCELFVSGNGKTEKIASGFLKPFITHLKVADEQAARVDKSIKLELEKSHDAGSWFNKGTLERFVRFVSTPEVLESANTYYAEMLQLEGARRIYAQGGGDTLPGTSGEDGTNTVAAADITK >Ma10_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23218882:23230791:-1 gene:Ma10_g09010 transcript:Ma10_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSMDDDPFMRGRHFVSEIDGIGAATTAAEAEVAPSIEGSTPLQPQPPGPNQLTLSFQGQVYIFDSVQPERVHAVLLLLNGQQSPEFGGMAVPSHQNYRSVDEASGSKNGQRLASILRFKEKKKNLCFKKKVLYTVRKEVASRMKRNKGQFASSKANSEEVASVTSSWDPAKSNDQEEKDQHAFCLNCGTSKNSTPMMRRGPAGPKSLCNACGLTWANKHSLRSHLKVSAPGTQTMIQDEQGDKDSVVASNSYMFSASTDGHGSIS >Ma04_p35780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34385025:34386002:-1 gene:Ma04_g35780 transcript:Ma04_t35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGLASAASSFVLASSLPTAAINTPSRVSFVTFSRPSRKLVVRAEEAAAAPPPPPPAEAGEKVVAKPPPPPPIGPKRGAKVKILRKESYWYKGIGSVVTVDQDPKTRYPVVVRFNKVNYAGVSTNNYALDEIQEV >Ma09_p29080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39645434:39653403:-1 gene:Ma09_g29080 transcript:Ma09_t29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESPSDDSPKVAGSATPLAAVAQAFEELARLLEAGSGDLRLAPFSDACSLVSILFDSLGLAFKFAEMEYVAKVNDLIEASKTHDTLNKIIDHDLENDTVRKQGSHSRNLRRVRLGLDLVKTLFEQFPSSGGCSLKEAASNAYGQVCAPFHTWAIRKAVGAGMYALPTREQLIVRLNETDQSVHKEMQRFINACNPIIQYIDNLFLSKKISLDW >Ma11_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24154118:24156516:1 gene:Ma11_g19200 transcript:Ma11_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEWDPWGVPEDYECEVIEDDTPIPKHVPQHRPCLLPEEFFKMVEAVCTKSVPEGEPAPQFKA >Ma03_p19160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24542886:24547442:1 gene:Ma03_g19160 transcript:Ma03_t19160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFFKQFGKIKHLRIARNRKTGKSKHYGFIEFENPEVAKVVADEIHNYLLFEHNLQIHLIPPERVHPKLWRGVNWRYNPLNWTKIARKQHNKERTVEEHQRMIKGILKRDEKRRNRIKAAGVEYECPDLVGLIQPAAKKIKFDEED >Ma03_p19160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24542918:24547442:1 gene:Ma03_g19160 transcript:Ma03_t19160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAKKALKKKLKKSSFSQLSISRHTNESSDFLPLEGGPGRKITQEEDEPVKNTATVLYIGHIPHGFYEEQMEGFFKQFGKIKHLRIARNRKTGKSKHYGFIEFENPEVAKVVADEIHNYLLFEHNLQIHLIPPERVHPKLWRGVNWRYNPLNWTKIARKQHNKERTVEEHQRMIKGILKRDEKRRNRIKAAGVEYECPDLVGLIQPAAKKIKFDEED >Ma03_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24542918:24547442:1 gene:Ma03_g19160 transcript:Ma03_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAKKALKKKLKKSSFSQLSISRHTNESSDFLPLEGGPGRKITQEEDEPVKNTATVLYIGHIPHGFYEEQMEGFFKQFGKIKHLRIARNRKVAKVVADEIHNYLLFEHNLQIHLIPPERVHPKLWRGVNWRYNPLNWTKIARKQHNKERTVEEHQRMIKGILKRDEKRRNRIKAAGVEYECPDLVGLIQPAAKKIKFDEED >Ma07_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28245083:28247051:-1 gene:Ma07_g20370 transcript:Ma07_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSLLLMLSSLLHLHTYLDPTSSSNPPSSSAAAASSAAAPLLFFAIASVLSYASSTLRHRRSRRRRRRSSSSPSPPPPPPPPRSVASPSAGFFFLSSDRVLSLEPSARDARFRSLYGVSHPVFETLLKNLRHLDASAAFPSSLPLDRAFSVALARLSRGLSSRSLARSLSLPPALVSRCTHALTRLLSTRLYPRYVTLPANPDHLLSTLQSFKDITALPNLAAAIASSPVRLRLRQAPHPNPSHPNSAPEPGTDLLRSPSRSFPSILLQAVADHRKVFWDACVRAPGSSDPASHLRDSSLYRLLLDNNGSSGALPLRDHVISVRGQHVRPYLVGDSSYPLLPFLLTPFSSSSSAATSSAPALEAFDSALAKGRAASVEAAIGLLKGRWKILRNLNVGLDHAAQTVVACVVLHNMCQFAKEPEDEGRYMWRDPPESPQPASLVESERSLHYMGESLRQALAEDLYERQQKLGSGAR >Ma11_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27640693:27642116:1 gene:Ma11_g24780 transcript:Ma11_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTTFKCLRHSVELSKRSVISPPHRLFSDVVAGEASSKPKRYKYPAVYDPFGPRPPPSDKVLQLADRIVALPPEELKQIGPTLLERLNQPKLQPISAQGFSFGPQTGAAPAKAEEKKVEKTAFDVKLEKFDAAAKIKVIKEVRTFTNLGLKEAKDLVEKAPVMLKQGVTKEEANEIIEKIKAAGGVAVME >Ma11_p24780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27640693:27642116:1 gene:Ma11_g24780 transcript:Ma11_t24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTTFKCLRHSVELSKRSVISPPHRLFSDVVAGEASSKPKRYKYPAVYDPFGPRPPPSDKVLQLADRIVALPPEELKQIGPTLLERLNQPKLQPISAQGFSFGPQTGAAPAKAEEKKVEKTAFDVKLEKFDAAAKIKVIKEVRTFTNLGLKEAKDLVEKAPVMLKQGVTKEEANEIIEKIKAAGGVAVME >Ma01_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8644380:8646327:-1 gene:Ma01_g11930 transcript:Ma01_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENPTNRQVTYSKRRTGIMKKAKELTVLCDAEVSIIMFSSTGKFSEYCSPTADTKKMYDRYQQVTGINLWSTQYEKMQNHLSHLKEINRNLRRDIRQRMGEGLDGLDMKELRGLEQNLDEALKVVRDRKYHLIATQTDTYKKKLKSAHEAHRNLLQEVEMMKDEQAACGFADDDPGNHDGTLVLTGGGSHMYAIRVQPNQPNLQGIAYGSYDLRLA >Ma01_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26384739:26385961:1 gene:Ma01_g23020 transcript:Ma01_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNAVEIDDPLKAPELDRDKQEAALKLMANGTKRLRALMFHLLEFENQVQVSFLC >Ma10_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22779806:22786116:1 gene:Ma10_g08400 transcript:Ma10_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGSLPPQLASTSLHHHRHHLRRPQCSGQASLSWRRAVAPAQLDLISVSTSPGRCFAPMLVKCNAQTAVATSLSQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGAIVVNGDLSKPETIPATLVGVHTVIDCATGRPEEPISTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFLQDSGLNYLIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDISRLTFIAMRNEKINKELLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSILKFTRQLTRLFQWTNDVADRLAFSEVLSSDIVFSVPMTETYNLLGVDQKDIVTLERYLQDYFTNILKKLKDLKAQSKQTDIFL >Ma03_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4370063:4373917:-1 gene:Ma03_g06300 transcript:Ma03_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNVDSPVQTQMAVAVLNRTFSSDYPGNPKSEGKPGARRRVFVQTETGFVLGIELDREDNVHTVKRRLQLALNVPTEESSLTFGDLVLKNDLSAVRNDSPLLLTRNFLHRSSSTPCLSPTGKDLQQRDQSGPIEILGCSSHCNKIKLLVKDAVKAINSGIDPIPVHSGLGGAYYFRNKKGVNIAIVKPTDEEPFAPNNPKGFVGKSLGQPGLKRSVRVGETGFREVAAYLLDYNHFANVPPTALIKITHSVFHVNEGINCNGSTKSYCTKTNVASKIASFQQYISHDFDASDHGTSSFSVVAVHKIGILDVRIFNTDRHAGNLLVRKIDGGDGKFGAQMELIPIDHGLCLPENLEDPYFEWIHWPQSSIPFSEEELEYIANLDPVRDSEMLRMELPMIREACLRVLVLSTIFLKEAAAFGFCLAEIGEMMSREFRGMEEEPSELEVVCIEARRLVAEREVFSPEPDNVDDDMIQFDIDCEDAHTMTPKAAPSCNFGFKGGSSRNPLSKLESLEEDVGDDNEVGNVEKSSCSLSVWDHLPKVSKLSTSLKGVRIADKSQWYPAGVPKLKPSSSKTNIGGGSSRFKGGYGSANEQLPASASFMKLSDMGESEWAAFLEKFQELLQNAFHGRKCGASGLRQRQRLGTSCQF >Ma03_p06300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4370063:4373901:-1 gene:Ma03_g06300 transcript:Ma03_t06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNVDSPVQTQMAVAVLNRTFSSDYPGNPKSEGKPGARRRVFVQTETGFVLGIELDREDNVHTVKRRLQLALNVPTEESSLTFGDLVLKNDLSAVRNDSPLLLTRNFLHRSSSTPCLSPTGKDLQQRDQSGPIEILGCSSHCNKIKLLVKDAVKAINSGIDPIPVHSGLGGAYYFRNKKGVNIAIVKPTDEEPFAPNNPKGFVGKSLGQPGLKRSVRVGETGFREVAAYLLDYNHFANVPPTALIKITHSVFHVNEGINCNGSTKSYCTKTNVASKIASFQQYISHDFDASDHGTSSFSVVAVHKIGILDVRIFNTDRHAGNLLVRKIDGGDGKFGAQMELIPIDHGLCLPENLEDPYFEWIHWPQSSIPFSEEELEYIANLDPVRDSEMLRMELPMIREACLRVLVLSTIFLKEAAAFGFCLAEIGEMMSREFRGMEEEPSELEVVCIEARRLVAEREVFSPEPDNVDDDMIQFDIDCEDAHTMTPKAAPSCNFGFKGGSSRNPLSKLESLEEDVGDDNEVGNVEKSSCSLSVWDHLPKVSKLSTSLKGVRIADKSQWYPAGVPKLKPSSSKTNIGGGSSRFKGGYGSANEQLPASASFMKLSDMGESEWAAFLEKFQELLQNAFHGRKCGASGLRQRQRLGTSCQF >Ma08_p27310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39591963:39599369:-1 gene:Ma08_g27310 transcript:Ma08_t27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPEGLISRLCHLLAFLPFFLLLLLLAVVKAAFIAPIVFVTVLVGNTALILGLYPLHAVWTCYCIARTKRFGSLLKVLILLLMPVPILLWPLSALIGTILAGLGFAIALPLMATFEAVREGVPNKLSECFTAGTWSSIKGGFTIVRDFKDICFHSYFSVMDGLLEAGGETIMVLRISQVPGYILAGILGMLIDVPMISLIVLYKVPIMLFKGWRQLIQDLIGRSGPFLESVCVPFAGLLILLWPVAVGLTSIAGILSSLSLGCYAAAVAYQENSTTSGILYVIAVISMFDEFTNDFLYLREGSCFPRPKYRKAAISRSASLPIKRAPTRDESFPLKRPLIKTASMKMQELKAIVIWDNFFMACESVGKELIRAGAIRISDLEEWQNSKNKTINIGIPSYVFLQCFVRSIKSGSVGFVMRDNVELTYINRPEGRVFDWLFEPMTLLKEQIKAANLESTEEEYLYKLALYCGDARMMTSCQNGGVAPADVVKRAQLEGLSRRLQGFSLTISRMPTFRRRFEAVVKALLQEAWQGLQKNDSMVDQAVDVIPEGSSSGRMIPLVLHRDKGILGSLSYRFLRGAVFSVLYAGRSRQVLVSVF >Ma04_p29090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30033223:30041272:1 gene:Ma04_g29090 transcript:Ma04_t29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAARLATKRLLEIRRALRPSLALTSPAVAARSLSTALNYHIDSPDNNPDKPWEFSEANMKRVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKIMEVAPIRVYEVATFYSMFNRTKVGTYHLLVCGTTPCMIRGSREIEDALLKHLGVKRNEVTKDGMFSVGEMECMGCCVNAPMIVVADYSNGSEGYSYNYYEDVTPKRVVEIVEMLRKGEKLPAGTQNPDRIRGGPAGGNTTLLREPKPPPCRDLDAC >Ma04_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25703715:25707187:1 gene:Ma04_g23560 transcript:Ma04_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRCRLFYLLVVYVAVVLLGPAPQGAGAQNAAAAAAEKEILLEFKGNVTSDPGGRLASWVAGGDPCRDFVGVFCNDAGAVVKILVHGADLVGTLPASLAGLRSLQIISLFGNCFSGGVPPEFAGIQTLHKLNVSRNLLSGDVPGFLGGLPGLRLLDLSYNAFSGEIPAILFSSCVRTRFVSLSHNALSGPIPADIANCSRLVGIDLSFNNLTGELIPQICQPPDINYISVRGNSLSGTVTDKVSMCQSLELFDLGSNSFSGTVPFDLLSLQNLSYFNVSSNKFHGEIPEISVCSEKLRFFDVSSNELSGRIPPSIANCRGLRFLDLGFNNLSGSIPPEIGTLKSLSILRLGNNAGIGGSIPAQLDGIELLQILEVQDLQLSGEIPITLSNCRFLLELDVSGNDLTGVIPDTLYNMTYLKHLDLHQNQLNGSIPSTLGQLSNLVYFDLSDNSLTGTIPDSLGGLTLLTYFNVSHNNLSGAIPLAATIQQFGPSAFLNNSLLCGPPLSTPCTSGNVSRRTRVLTIPAIIAIVAAAIILNGVCVVTVMNIQAYGKKVMEEETLVSESTPPASTGSNVIIGKLVLFSKSLPSRYEDWEAGTKALLDKDCMVGGGSIGTVYKATFEGGVSIAVKKLETLGRIRNQEEFEQEIGRLGGLGHLNLVAFHGYYWSSTMQLILSEFVSNGNLYDHLHGSPDLHSGSSSSGGRGELFWSRRFNIATGAARALAYLHHDCRPQVLHLNIKSTNILLDEQYEAKLSDYGLGKLLPILGSFNLTKFHMAVGYVAPELASQSLRYSDKCDVYSFGVVLLEIVTGRKPVESSGAAKVVVLQDYVRGVLENRTASDCFDRNLRGFVETELIQVLKLGLICTSEAPSRRPSMAEVVQFLESIKPNS >Ma06_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8740585:8744957:-1 gene:Ma06_g12640 transcript:Ma06_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCVRPLERCFGRLGGGDGLMWHMDLKPHASGEFSIAVVQANNSLEDQGQVITSPSATFVGVYDGHGGPEASRFVSNRLFPHLDRFASEQGGLSADVIKKAFDATEEEFFHLVKRSWLSRPQIASVGSCCLVGAIAGDTLYVANLGDSRAVLGRRALGRRTVVAERLSTDHNVSVEEVRKEVAELHPDDKQIVVHTRGVWRIKGIIQVSRSIGDIYLKKPEFSRDPLFHQFVSPVPLKRPVITAEPSIRVRKLMPHDLFLIFASDGLWEQLSDEAAVEIVFKNPRAGIAKRLIRAALNEAARKREMRYDDIKRIGKGIRRHFHDDITVIVIFLDQNHQGVHSKIKGSIYDCTTAPVDIFSLNADEPGDPVRRVE >Ma09_p31040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40881895:40884674:-1 gene:Ma09_g31040 transcript:Ma09_t31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSTGCVLSQLFRSFDQDHCSFQRYLWTYLLLVKNGSLFEVLNEVIFDKDLNLLNLDLTDLTRRRPVYKRQPAGNYSVQKSNGCLLPGLVDDVALVCLALSCRSDYPSLACVNKRFNWLIRSGYLYKLRRQLGVREHWVYLACSLMPWEAFDPVRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYNLVTCDWSRCPMMSLPRCLFGSSSSGEIAIVAGGSDMAGHVLKCVELYDSESGTWEALPDMNMPRRLCSGFFMDGKFYVIGGMSSHTDSLTCGEEFNLKTRTWRRIRNMYPGGNRATQSPPLVAVVNNQLYAADQSTNEVKKYDKENNTWNVVRPLPVRADSSNGWGLAFKACGDKLLVVGGHRGPQGEVIVLHYWCPEEGNMAGADWDILSIRERAGAFVYNCAIMGC >Ma09_p31040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40881895:40884674:-1 gene:Ma09_g31040 transcript:Ma09_t31040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSTGCVLSQLFRSFDQDHCSFQRYLWTYLLLVKNGSLFEVLNEVIFDKDLNLLNLDLTDLTRRRPVYKRQPAGNYSVQKSNGCLLPGLVDDVALVCLALSCRSDYPSLACVNKRFNWLIRSGYLYKLRRQLGVREHWVYLACSLMPWEAFDPVRQRWMRLPRMPCDECFSYADKESLAVGTQLLVFGRELTGFAIWMYNLVTCDWSRCPMMSLPRCLFGSSSSGEIAIVAGGSDMAGHVLKCVELYDSESGTWEALPDMNMPRRLCSGFFMDGKFYVIGGMSSHTDSLTCGEEFNLKTRTWRRIRNMYPGGNRATQSPPLVAVVNNQLYAADQSTNEVKKYDKENNTWNVVRPLPVRADSSNGWGLAFKACGDKLLVVGGHRGPQGEVIVLHYWCPEEGNMAGADWDILSIRERAGAFVYNCAIMGC >Ma08_p29550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41114362:41115760:1 gene:Ma08_g29550 transcript:Ma08_t29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFFFFFFLMDLKVKNHSGRGPHTVVSKSCQEQTREETKLPRVPLDLWF >Ma06_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4530148:4531004:-1 gene:Ma06_g06160 transcript:Ma06_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAALDQKLAMAKRCSHEGAMAGAKAAVVASVAAAIPTLASVRMLPWARSNLNPTAQALIISTAAGAAYFIVADKTVLASARKNSFKNSYQGNSD >Ma05_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3969594:3970652:1 gene:Ma05_g05190 transcript:Ma05_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVVFQHDLPGVAMKELYDVGGAAPGYGFGGERGRHGRWSTCCSSPVQNFDDWDVDSPPTPGAAAALREETAGTGEAVGRSKRQRTKRVKSEEEVENQRMTHIAVERNRRKQMNEYLAVLRSLMPASHSQRGDQASTVEGAINFVRELEQLVHSLEARKRVKQRSKASPFSAFFTFPQYSSASSPCTNTESSGAAADVEVIVIESHANVKIFSRRRAGQLLKLVGGMQSLRLTALHLNVTAVDEMVLYCLSVKVEDGCQLTSVDEIATAIHGILAEIEEEAGHG >Ma09_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:376666:382307:-1 gene:Ma09_g00480 transcript:Ma09_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPISSAIFFFFFSSFSFAFALSWSSSIEVESKVVGGEGAEPVARESFPIDGDVAWVVQVSDLHLSSYHPDRADDLVRLLAPALRAIRPSLLLITGDITDAKNKRRTSTRQDISEWIQYSNSMEAIVKYSGIDKRRIFDIRGNHDKYGVPYVGHELDFFSTYSVSSQLRRPSTVQSISLVGDDRKYIFIGIDDTLSIGIRGPSNLFGHPTEKRMAVVESELQYWDVYPSDSVTKILFGHFPMSFTASSEKGQRYESLFARQSVSSYICGHLHGNFSRQLWRLHPSKLSSDVLVPRKAKGFWEWELGDWKESRLIRILSIDGGVVSFHDIELLRKHDVRDEFQTTIVITYPADSRNMNNMEQNNQSFRNDINALVFSTQQIINVTAKVFDSFREYKIMEEVPLQLISAVDKPLFHGKWNAESYRSASATRYLLQVSVVDSQGKETKSNLRPFSVEGKLAHYASTWLAYLVFQVEWQSLYMVLLWSNFSFLVVFLCLPKVLNYLMERNASYQKWATSHVISSPIKRRKSLFVLFWFLMEGSRNRILWFSMVMYLLCLLKLPWFWGYATSENENIAAMYLSGWRVQSPDSHAILDKLGNPDLMVITLPFMYLVVTPMFILIYSLFAERSSFYLQFCRKLRHKNGPVASNIELEQVTQFVPSNLTRGISTSSTCKFCQGWTRGSLLSGCLIITLVHFKICLALMLAYGVGPVSLSPALSWAPPVFLVATIYSTSANINTEQR >Ma08_p27330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39610572:39614715:-1 gene:Ma08_g27330 transcript:Ma08_t27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWRPWSLLQSKRYEMRLVVRRIEGLPETGSGLGSRAAAVAEVRWKGSKTPLSSLRRGGVRRNRTREEEVREGGVVEWDEEFLTACTLSAHKENGAFLPWEIAFAVFMGLGEGPKIKACMIGMASVNLAEFAPTAEQKEMEIDVPLLPMGIPTESQPTLYIALNLLELRTIQEQTEAVQSSIVPVPSSPPLGDAFPCEKDEPHTLKSGLRKVNILKALALSRKAKKAYQEDYGNEEKCSPRNDDAEHAYPCNTDSLDDVENVEENKDGFSERKSFSYGTLASANYIEGSFYSDMMTNTDYDDLVYYSHQKSDVSYSDVEDAPSISIPEHPVYFVSKRSIIPWKKTRLNFRSPKAKGEPLLKKSYGEEGGDDIDFDRRQLCSVGGLTCAVNWYDNSVANCPAVSNFGDDNFVVGSWELKEVISRDGSLKLCTQAFLASIDQRSEQASGESACTVLVAVIADWFQANPHMMPIKSQFDNLIREGSLDWRTLCKNQTYREHFPDKHFDLETVLEAKIRPLSIVPAKSFVGFFHPEGTDDSDSFNFLHGAMSFDNIWDEINRTKPEYSSDCGPHIYIVSWNDHFFILKVEHDAYYIIDTLGERLHEGCNQAYILKFDDTTTIHKHKNESRPACGNVPDAETQVQHNDGIKQGEFSGEQDIRDANMEDELICRGQESCKEYIKSFLAAIPVRELQVDLRKGLTTSTLIHHRLQIEFHYTELSKEVMAKLFQPASELHLAVSDSEFLCPVESVFEASWTREATADLSLPVESAAESSWPVESAVAFFLTTSVNLEVEVV >Ma07_p26640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33351359:33360137:-1 gene:Ma07_g26640 transcript:Ma07_t26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTLPIPFSGSITKFLATNSRSFVPPLPRLHLPLRGNHRPPGTYRSLSCAENNSSSTLQQYSYMESLKESQEYNSNLYPHIEPYSSGFLKVSDIHTLYWEQSGNPNGHPVVFLHGGPGAGTSASNRCFFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLVDDIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPDKVTGIILRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPENERDNFIVAYNKRLNSDDIDVQNRAAKIWTTWELMTAHLMQNEENIKRGEDDNFSLAFARIENHYFVNKGFLPSSSQLLDNVDKIRHIKTVIVQGRYDVCCPMMSAWDLHKAWPEAEFKVIPDAGHSANEPGIAAALVATNEKFKSLLRSGAA >Ma00_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5331258:5348665:-1 gene:Ma00_g01250 transcript:Ma00_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRATGSVRGGRPDPPPPPVQRSPRPANVDPPHPPAASIDGQDPLGIAGAEVTERIKDESGVLEERDPRYDEMLRHMLGKITSRSGGKLEMGEAGIVERYNRPMPKLRSSREEAGASGQKPLPPGTLSVEQVCQIILLHQGKAVDHPGVMDIQDIANKFGVDPGQVQQITQFMSLPPQDGKRETQ >Ma05_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23138253:23140463:-1 gene:Ma05_g18260 transcript:Ma05_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVDDAYQRSIRTLLEWVHKEVNRSKTQVIFRTYAPVHFRTGNWKTGGNCHLETLPDLSPPQPSLEAWAHFLKPFSDTPSNKNSTTTATSEALGLDLLNVTQMTARRKDGHLSIFYLGKTAHAPLHRQDCSHWCLPGVPDAWNQLLYALFMRREESMLHNTGLLY >Ma03_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9964154:9973120:1 gene:Ma03_g12960 transcript:Ma03_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLDEGTGVGGGAPVSAGDGGGAEGDEALKNDVYTAAAYGDLEKLQRLVEAEGCSVSEPDGAGYYALQWAALNNRTAAALYIIEHGGDVNATDNTGQTALHWSAVRGHIQVAELLLKEGARVDASDLYGYQTTHVAAQYGQTAFLYHIVTKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWSAIRGNLEACTVLVQAGKKEDLMITDNTGLTPAQLASDRGHRQVAFFLGNARRVLDRRCNGNSHLGKLSKLGLAPALWCVIVAMLLTYVHSVIAGSYILKLTFVFGLFAWSGFFLATAGLVMFYRCSRKDPGFINRNVRDAQSLRDDEPLLKTDLNHPALLAGNWSQLCATCKIVRPVRAKHCSTCDRCVEQFDHHCPWVSNCIGKRNKWDFFMFLILEVSAMIITGLVTITRIVKDPSPPSFGGWLSHNASEHPGAISFLIMDFFLFFGVAVLTAVQASQISRNITTNEMANAMRYSYLRGPGGRFRNPYDHGIRKNCLDFFIKGYNEDVELPDQTQPSEGTGMIQMTRSTDLQNGENHLHQSGNGHICVDVQTKNSRPHGHANSLKCCHNNKIDGAPLGLGLGLGRNNRHNSRILPL >Ma06_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8182609:8184829:1 gene:Ma06_g11730 transcript:Ma06_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAHFTEDQEHEKFLLEASFSPSKETILRSREGRTASNLDLSCSFDLSTMGVSYRFASSVLLCPEDGKSILGSDDEEKQEQGLNGEVERRSLCHFPGEGGDFYGEPLPSEDRIALMVERESHHLPEGDYAGRLRRGQLDRAVRSDAIDWIQRVHAHYKFGPLSAYLSVNYLDRFLSAYKLPQGKAWMTQLLSVACLSIAAKVEEAEVPSSLELQVGEAKYVFEARTIQRMELLVLSTLKWRMQAVTPFSFVDYFLYKLSDEKSPDSLLIYRSMDLILGTIRESDFLEFRPSEIAAAAALSALKETQNSGIDMSLTCCIHVDTERVLRCHQVIQEMTLMKNRTYSDNNSPSVSTVTKSPIGVLDAGVASYESDDTTAGSHADCHHSSPATKRRKLNRPSTS >Ma07_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15532067:15549543:1 gene:Ma07_g16780 transcript:Ma07_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNGRNPRPSPHPQPLPSAAASPSSSSSPAASAPTHLVLDSIQQQQQQEAYRQALQQHEYQQQVQQQLRKADGDRTLLTYQSGGTHGIIGGNSFPSLQGTMNLSQPSRKFGDLTHQPVAPQLCEENSNKGQHVQNPIHQAYLQFALKASQNKPHGNLLMHQQGKMNMAAPTGSQSMSMNNIKMQELMSPQAANQSQAYIFNRTGEQCAHADKQLEQGHVSTEQRSDSKQSPLMAGQLGLTNMVGATQSRHSQASTQNIATNQFTMAQMQAMQLWAKENNVDLSVPANINLIAQVLSHWQSNRMAAMQKQSEPSTIVQQSCPPSSKQSSISSPENDKSAYVNCISDYSSQVGPSQVRQPLAADTIPGGDSTSVNPNDFQIQQAHAHQRDNENERPVRPSFTTTNIRQIMHLPQSSGSKTQTMEQSYAKHTYTGNNMQQMQHIRSLQQMNQPISQMAVAPTDAMSTQVSSLSGSVEVPNQRVGFTKQQLYALKAQILAFRRLKRGERTLPPEVIQAIAGLPVDSQPQQSFVQPGTGIQEKSIMNSTKEHTCAETNDQALQPVPSSMASSLPKEEPGSWEEKAGIASQVQEIGGSTKEPVQIGAVAKSEENISIVIPEQEVGRGDQNVPINGDNYSDKGKAIPVDCGKINAGQVKKSTLNTTPSPKVGVTRNYHGPIFDFPSFIRKHDSMGSAAHSNHMTVSYDVKNMLLEEGKVILSKKRIENLKKISGLLAVNLERRRIKPDLVIRLQIEEKKLKLLDLQARLRDEVDQQQQEIMTMSDRPYRKFIRQCERHRVELLRQVQQMQKASREKQLKSIFLWRKKLLETHWAIRDARTTRNRGVAKYHEKMLREFSKKKDDGRNRRMEALKNNDVDRYREMLLEQQNNVPGDAAQRYEVLSSFLSQTEEYLHKLGGKIAAAKSHQEVQEAANAAAAAARAQGLSEEEVRAAAACAGEEVMIRHRFSEMNAPKDSSSAKKYYNLAHALTERVVRQPSMLRYGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKRNYGPHLIIVPNAVLVNWKSELLNWLPSISCIFYVGGKDERARLFSQEVCAIKFNVLVTTYEFVMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNVLLPEIFDNRKAFHDWFSKPFQKDGPSHNPEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAFQGAIYDWIRSTGTLRVDPEDEMRKVQKNPMYQVKMYKNLNNRCMELRKVCNHPLLNYPYFNDYSKNFIVRSCGKLWILDRILIKLHKAGHRVLLFSTMTKLLDILEEYLHWRRLVYRRIDGTTPLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYLEAVVDKVSSYQKEDEMRTGGAGNSEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQETVHNVPSLQEVNRMIARSEEEVELFDQMDEELDWTGDVVKYNEVPKWLRVSSRELDSVVASLSKKPSKNILSSTIELESNGMPSGSSPNKTDRRRGRPKSSTAKKYPTYRESDDEENGDSDVDTDERNTFEEEGDVGEFEDEEFYGAGDVLPSNKDQAEEGLVCDSGGDEFSLAMEGSKDVHAFDEAGSTGSSSGSRRLLQPVTPNTPSQKFGLISALDARPSPLKRMPDELEEGEIAVSGDSLMDLHQSDSLVYDHDDLDDEQVVQPKIKRKRSIRLRPRYSMERTEDKSSSHRAPFHHGSWPLLQAKHEKLAEFNAEEFEAFGEAGSGSQDRSSPPLKQRCTLPSRVISPPVVQKSGRMSASVEDGYDHSIESWSSKAISSSGPSFVATRMTDSTQRKCKNVISKLQRRIQKEGNQLVPFLSEWWRRNENSIFVSPGATSSNLLDLKRIEQRVDNSEYNDVMDFIADLQLMLKNIVRHCNYLCEVKYEAGKLQDMFFDIMKIAFPDTDFREAKNAVTFSSSSGAATPSPRLASADEAKRQAPTKTETGSGPGKALAHGSIPAHDERKTRSCASKIHKESRSIGASARQQVPECSQVLAHPGDLVICKKKRKDRDKCAMKQVSGPTSPSNPGRMTPLAPTNKGSLGLVTAPSMVRNNGAPIQGDSRPSQQAISPLGRAHHEKQQVDRGSGVLPSIRDVKWAKPVKRMRTDTGKRRPSQM >Ma04_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8162303:8172926:-1 gene:Ma04_g11560 transcript:Ma04_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKEAVHKLEQESGFYFNMKHFEDLVQGGEWDEVERYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLTFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPTNGPLVAAIPKPGAFPPMGAHSPFQPVVSPPAGAIAGWMTNANPPLPHAAVAQAPPGLVQPPSTAAFLKHPRTPTSAPGMDYQTADSEHLIKRMRMGQSEEVFSGSSHPPNIYSQDDIPKTVVRTLSQGSNVMSLDFHPMHQTIILVGTNVGDIGIWEVASRERIAHRTFKVREIGTCSLTLQATLMKDASISVNRCLWSPDGSILGVAFSKHIVQTYAFSLNGELRQQMEIDAHVGGVNDIAFSHPTKSLSIITCGDDKTIKVWDATTGQMQYTFEGHETPVYSVCPHSKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYAGFRKRSLGVVQFDTTRNRFLAAGDEFMIKFWDMDNTSVLTTTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANADGQRLVRMLESRAFENSRGAPQQINANIKPPIVNALGTVSNVSSPIAATPERADRALPAVSMSNLAVMDNNRIVEIKPKISEDAEKMKGWKLADIVDSAHLRTLRLPDTVTNSTKVVRLLYTNSGLAILALGSNAVHKLWKWTRNERNPSGKSTASVAPQLWQPSNGILMTNETGDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDTTIQIYNVRVDEVKTKLKGHQKKITGLAFSQSLNVLVSSGADAQLCMWSIDGWEKKKSRFIQAPPARASPLVGDTKVQFHNDQTHLLVVHESQLGIYDSKLDCLRSWSPRDALPAPISTAVYSCDGLLVYAGFVDGAVGVFESDSLRLRCRIASTAYISPSIASPGSVHPMVIASHPSEPNQIALGMNDGAVHVVEPSDPDSKWGVAPPQENGALPSSSNPALNNSQASEPPPR >Ma04_p11560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8162303:8172982:-1 gene:Ma04_g11560 transcript:Ma04_t11560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKEAVHKLEQESGFYFNMKHFEDLVQGGEWDEVERYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLTFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPTNGPLVAAIPKPGAFPPMGAHSPFQPVVSPPAGAIAGWMTNANPPLPHAAVAQAPPGLVQPPTAFLKHPRTPTSAPGMDYQTADSEHLIKRMRMGQSEEVFSGSSHPPNIYSQDDIPKTVVRTLSQGSNVMSLDFHPMHQTIILVGTNVGDIGIWEVASRERIAHRTFKVREIGTCSLTLQATLMKDASISVNRCLWSPDGSILGVAFSKHIVQTYAFSLNGELRQQMEIDAHVGGVNDIAFSHPTKSLSIITCGDDKTIKVWDATTGQMQYTFEGHETPVYSVCPHSKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYAGFRKRSLGVVQFDTTRNRFLAAGDEFMIKFWDMDNTSVLTTTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANADGQRLVRMLESRAFENSRGAPQQINANIKPPIVNALGTVSNVSSPIAATPERADRALPAVSMSNLAVMDNNRIVEIKPKISEDAEKMKGWKLADIVDSAHLRTLRLPDTVTNSTKVVRLLYTNSGLAILALGSNAVHKLWKWTRNERNPSGKSTASVAPQLWQPSNGILMTNETGDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDTTIQIYNVRVDEVKTKLKGHQKKITGLAFSQSLNVLVSSGADAQLCMWSIDGWEKKKSRFIQAPPARASPLVGDTKVQFHNDQTHLLVVHESQLGIYDSKLDCLRSWSPRDALPAPISTAVYSCDGLLVYAGFVDGAVGVFESDSLRLRCRIASTAYISPSIASPGSVHPMVIASHPSEPNQIALGMNDGAVHVVEPSDPDSKWGVAPPQENGALPSSSNPALNNSQASEPPPR >Ma04_p11560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8162303:8172982:-1 gene:Ma04_g11560 transcript:Ma04_t11560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKEAVHKLEQESGFYFNMKHFEDLVQGGEWDEVERYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLTFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPTNGPLVAAIPKPGAFPPMGAHSPFQPVVSPPAGAIAGWMTNANPPLPHAAVAQAPPGLVQPPSTAAFLKHPRTPTSAPGMDYQTADSEHLIKRMRMGQSEEVFSGSSHPPNIYSQDDIPKTVVRTLSQGSNVMSLDFHPMHQTIILVGTNVGDIGIWEVASRERIAHRTFKVREIGTCSLTLQATLMKDASISVNRCLWSPDGSILGVAFSKHIVQTYAFSLNGELRQQMEIDAHVGGVNDIAFSHPTKSLSIITCGDDKTIKVWDATTGQMQYTFEGHETPVYSVCPHSKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYAGFRKRSLGVVQFDTTRNRFLAAGDEFMIKFWDMDNTSVLTTTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANADGQRLVRMLESRAFENSRGAPQQINANIKPPIVNALGTVSNVSSPIAATPERADRALPAVSMSNLAVMDNNRIVEIKPKISEDAEKMKGWKLADIVDSAHLRTLRLPDTVTNSTKVVRLLYTNSGLAILALGSNAVHKLWKWTRNERNPSGKSTASVAPQLWQPSNGILMTNETGDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDTTIQIYNVRVDEVKTKLKGHQKKITGLAFSQSLNVLVSSGADAQLCMWSIDGWEKKKSRFIQAPPARASPLVGDTKVQFHNDQTHLLVVHESQLGIYDSKLDCLRSWSPRDALPAPISTAVYSCDGLLVYAGFVDGAVGVFESDSLRLRCRIASTAYISPSIASPGSVHPMVIASHPSEPNQIALGMNDGAVHVVEPSDPDSKWGVAPPQENGALPSSSNPALNNSQASEPPPR >Ma04_p11560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8162303:8172982:-1 gene:Ma04_g11560 transcript:Ma04_t11560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKEAVHKLEQESGFYFNMKHFEDLVQGGEWDEVERYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLTFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPTNGPLVAAIPKPGAFPPMGAHSPFQPVVSPPAGAIAGWMTNANPPLPHAAVAQAPPGLVQPPSTAAFLKHPRTPTSAPGMDYQTADSEHLIKRMRMGQSEEVFSGSSHPPNIYSQDDIPKTVVRTLSQGSNVMSLDFHPMHQTIILVGTNVGDIGIWEVASRERIAHRTFKVREIGTCSLTLQATLMKDASISVNRCLWSPDGSILGVAFSKHIVQTYAFSLNGELRQQMEIDAHVGGVNDIAFSHPTKSLSIITCGDDKTIKVWDATTGQMQYTFEGHETPVYSVCPHSKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYAGFRKRSLGVVQFDTTRNRFLAAGDEFMIKFWDMDNTSVLTTTDADGGLPASPRLRFNREGSLLAVTTSDNGIKILANADGQRLVRMLESRAFENSRGAPQQINANIKPPIVNALGTVSNVSSPIAATPERADRALPAVSMSNLAVMDNNRIVEIKPKISEDAEKMKGWKLADIVDSAHLRTLRLPDTVTNSTKVVRLLYTNSGLAILALGSNAVHKLWKWTRNERNPSGKSTASVAPQLWQPSNGILMTNETGDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATFLAFHPQDNNIIAIGMEDTTIQIYNVRVDEVKTKLKGHQKKITGLAFSQSLNVLVSSGADAQLCMWSIDGWEKKKSRFIQAPPARASPLVGDTKVQFHNDQTHLLVVHESQLGIYDSKLDCLRSWSPRDALPAPISTAVYSCDGLLVYAGFVDGAVGVFESDSLRLRCRIASTAYISPSIASPGSVHPMVIASHPSEPNQIALGMNDGAVHVVEPSDPDSKWGVAPPQENGALPSSSNPALNNSQASEPPPR >Ma01_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10137070:10137781:1 gene:Ma01_g13840 transcript:Ma01_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFQSEPGPEDADDADATAAAPQVKHHTPEPHQGGGSSAEASATPLPRPSRRVDTLNHDDSSSDRHSRRHQSSGAVSGDNPRLRDTSEESKHGRRHRSSAGIVGAEQTAPDLPTVPKQPRRRKAKGSSSTAGGSSGTTKSSRSKAVIPSESGSEEQRSEPIAPPVLKPTMEEEKENEEEGVGEEKKATGALHSFDAASI >Ma04_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1711544:1712338:1 gene:Ma04_g01950 transcript:Ma04_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPQLASDGVMADVLAQGRAACYKARDAFFACLEKESDKKPTEIATVGLLYPAQCKSARAEFASKCRPTWVKHFDRQYCAKKRVQRLLDDGNDPRRGPISLPQPYTFKP >Ma07_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6170685:6173444:-1 gene:Ma07_g08280 transcript:Ma07_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKASWSVADAVDYKGLPADRSKTGGWAPAALILVIEICERLSTMGIAVNLVTYLGGTMHIPSAESANIVTDFMGTSFLLCLFGGFLADSFLGRYLTIAIFAMIQALGTGLLSISTKLPQLRPPPCNSTVTGQCQRANGFQMGALYLSLYLIAVGTGGLKSSVSGFGTDQFDEKDEKERSQMAYFFNRFFFFISTGTLFACTVLVYIQDEVGRSWAYGICCISMVLALLVFLSGTRRYRYKKSSGSPIVHILQVIVAAIRKRKLMLPSSVVFLYDDCPEASRIQHTDQFRFLDKAAIVAEEDHEVKGETKQPNPWKMCSVTRIEEVKMMIRLLPIWATTFMFWTIYAQMITFSVEQATTMERSIGSFQIPAGSLTAFFVAAILITCAVYDRVIMPLMKKWKGKQGFTSLQRIGIGLSFSIMGMAAAALTEVKRLSVAREAASGTTTLPISVFTLIPQFLFVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSFLVSFVKGVTGGKGGQGWLADNINYGRLDCFYGLLAGLSTMNLGAFLVCAGWSKPRVESPEKDSCGEDKC >Ma04_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24786513:24795974:1 gene:Ma04_g22480 transcript:Ma04_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVALAVPRPPLSRLAARFPPCDMRAVRVWRIRCRAEPSSQDDPEPPLEPSLWGIPTSTWSAGLGALGFLETGYLTYLKFSGAEAFCPVGGASCSDVLNSDYSSVFGLPLPLFGMLAYGSVALLSLQQSGKNLLSGLGDTDARFILLATTTSMATASAYFLYILSTKFAGTTCSYCLFSAVLSFSLFFITLKEIGMEEIRNVVGLQLVIAGVVVTALTNTYNMGDSRLLGLNDLTLEPYETEITSQSSPMALSLAKHLRSIGAKMYGAFWCSHCNEQKQMFGREAAKILNYVECFPDGAGKGKKMALQCAAAGLEGFPTWVIKDKILSGEQNFEALAEASGYVAEDFRPS >Ma08_p15120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15137008:15145537:1 gene:Ma08_g15120 transcript:Ma08_t15120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWTGCFKVSLNPTKAGSCLKVAASLMFSPSSRTLLMPSVNAILFNGDKVQGTGNSVIERLSDSRNISELLVSKLGCSANVWVVEASTFNGPFAVYKEFIPSLTSRGDPKRYDPNGFPASSAIVAILSRCFEQVPSLTSSNLVEQTKGNVDAPTLLPTPFPKTIILGFSKGGTVVNQLVTEFAHLKASPQIFDRRQDHLYPISEDDLLFSISEFHYVDVGLNSAGAYLTDRTVIKKVAELLLVHNASVRFVLHGTPRQWFDRHRPWIRKEKDIMLQLLKDEAHRCEGKLQATERFYFANNLPSLQMHFEIIQVMDLS >Ma08_p15120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15137008:15144398:1 gene:Ma08_g15120 transcript:Ma08_t15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWTGCFKVSLNPTKAGSCLKVAASLMFSPSSRTLLMPSVNAILFNGDKVQGTGNSVIERLSDSRNISELLVSKLGCSANVWVVEASTFNGPFAVYKEFIPSLTSRGDPKRYDPNGFPASSAIVAILSRCFEQVPSLTSSNLVEQTKGNVDAPTLLPTPFPKTIILGFSKGGTVVNQLVTEFAHLKASPQIFDRRQDHLYPISEDDLLFSISEFHYVDVGLNSAGAYLTDRTVIKKVAELLLVHNASVRFVLHGTPRQWFDRHRPWIRKEKDIMLQLLKDEAHRCEGKLQATERFYFANNLPSLQMHFEIIQVMDLS >Ma08_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15137008:15144398:1 gene:Ma08_g15120 transcript:Ma08_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWTGCFKVSLNPTKAGSCLKVAASLMFSPSSRTLLMPSVNAILFNGDKVQGTGNSVIERLSDSRNISELLVSKLGCSANVWVVEASTFNGPFAVYKEFIPSLTSRGDPKRYDPNGFPASSAIVAILSRCFEQVPSLTSSNLVEQTKGNVDAPTLLPTPFPKTIILGFSKGGTVVNQLVTEFAHLKASPQIFDRRQDHLYPISEDDLLFSISEFHYVDVGLNSAGAYLTDRTVIKKVAELLLVHNASVRFVLHGTPRQWFDRHRPWIRKEKDIMLQLLKDEAHRCEGKLQATERFYFANNLPSLQMHFEIIQVMDLS >Ma02_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24658351:24659898:-1 gene:Ma02_g17930 transcript:Ma02_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G42450) UniProtKB/Swiss-Prot;Acc:Q9FIH2] MRSAPCNLSNTFTSLRPTLLDTQKAHAVLLKSGIPIEGSDVVHRYCNCSAFQYARKLFDERFEWDVVSATAIIGAFARRGRHRDSFSILSRLLFLGIRPNQFTFGATLHSSTAVGDLNIGKQLHAFSTKLGLHSDVFVGSSLVDHYAKLGAIKESQYAFEDTHEPNVVSYTALMSGYLKIDRFHEAIQLFYEMPMRNVISWNAIIGGCSQVGLSEESVNLFNAMCREGFRPNQSTFPCVISAAANIAALGMGRSFHASAIKYLGQLDVFVVNSLISFYAKCGCLHDSILAFERIEGRNIVSWNAMICSCAQNGRGEEALEFYKRMQRSGLKPNSVTLLVVLFGCSHAGLVDDGYACFSIAKIEQPDILRAEHYACVVDLLARSRRFGEAERFLQELPFEPGIGFWKALLGGGRIHSNKDLAKTVANRILALDPKDISSYVLLSNLYSAAGNWQSVSVIRREMKEKGMKRTPGCSWIEIRNKVHVFFNGDRRHAQTDEIYMVLATFLDT >Ma04_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25945127:25950770:-1 gene:Ma04_g23890 transcript:Ma04_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRRSHTSSSSQSSSSPKSSWIHLRSLFIVASSPVPDRGSLRSPWSRRKRKHALTKQQWNNFFTSDGKLRDGGVKFLKKVRSGGIDPSIRAEVWPFLLGVYDLKSSKVDRKAIQAQKRKEYEKLRRRCRQMVNRSNDGDELNEIIKTSYADSPSFIQGRDSVCSEEVFSARESLSTERGSPRNDTLEQRVSEETPASGLVIPDEDDKSGITHADASAEDTESSESEYSTDEEPGSILMSSRAEGCIGTYPKHTKIDSVMGEDIKSDRKAEDFATWQRIMRLDAIRANADWITYSPAQAAVSKDKAFKSAIAVGLKDYDHLEPCRVYHAARLVAVLEAYALYDPEIGYCQGMSDLLSPILAIVEEDHMAFWCFVGFMRKARHNFRLDEVGIKRQLNIVSKIIKSKDSHLYRHLEKLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAAIRAGIGKSVWGRIRLRVPPTDDLLLYAIAASVLQKRKLIIERYSSMDEIIRECNSMTGQLDIWKLLDDAHDLVVTLHDKIE >Ma07_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28795643:28797267:1 gene:Ma07_g20770 transcript:Ma07_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLASVPIVVATMLFLIIFIKKVFSESEAQYPRPPPGPWRLPIIGCMHHLAGQIPFRAFRRLSLTYGPLMLLRIGQVDFAVASSRETAQEILKNQDPNFASRPELVVGDIVFYGCSDVIFSPYGPYWKQLRYICFMELLRTKRIRSSASIREEETLYLIRDISTATQPINLREKLLRMSNAAISRAAIGSRSKHQETFILVAREVVDVLGGFYAADVFPSLKILHVLSGAKFKLHRICRRLDKILDDIVKVHEVKAKMNKGREVAEVEEDIVDALLRLKDESELEVPMTVDGIKAVILDMLLGGTENSPAVVEWAMSELMRNPKIMAKAQKEVMEELKGKNRIQETDIVELNYLKSIVKETLRLHPPISLILRMCRKTCEVLGYEIEAGTRVLVNAWAINRDPQYWEEAESFMPERFEGKSVDFKGGNFEYLPFGAGRRICPGTEFGLTTVHLSLAQLLLYFDWKLPDGRKPEELDMSETYGVTVTRKTELKLLATPRIPIPSTV >Ma10_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30284314:30285940:1 gene:Ma10_g19880 transcript:Ma10_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLPPGFRFHPTDEELITYYLTRKVTEFSFATRAIADVDINKCEPWDLPGKASMGEKEWYFFSMKDRKYPTGLRTNRATDAGYWKTTGKDKEIFHCGVVVGMKKTLVFYKGRAPRGEKTSWVMHEYRLQTRFPYEPTKEEWVVCRVFRKSPTGKKPQPDSPAMPASLESQGELDVSVLNKLVGSSGFDRLQTDYSLCNSNSSGRLDMNWFLARAAAAGQASLPWASGLLASGLAPSPAIPKGLSFNGQQQPEGVVDVAGLTSFVAQGDALFGNDLKSSFPTASSSIGVECAQQQQEPPPPPQQQQTQSFNQESIWSSY >Ma03_p31080.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33442231:33446651:1 gene:Ma03_g31080 transcript:Ma03_t31080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCDGYINIGDARIYCTALCLPICSSSLMLSCLAAGSQGPVVVASHAIKIPQMEVADFTKIVIHRIQKLEPENAMKLIGYLLLKYTDQEIMEFAFGPDHQILSLISEAKAYLNLSHKSSISSPMQSLLDQQLHHMSSSSPTIPLPFPSPSNFRGPAPPLDPHLPSDQMPISHNLGLPPSSYADLIGGLYDQAELLSLKSQLHHFHNSYFPEATLARDLGSRTNMRLRPGWLESLPRACHYYYKGYCKNGFNCRFFHGQAIPDGFSAIHNPNMNESGNDDHVFAPESLRKLEFEIAELLKSKQGMPVSIASLPTMYLEKYGKMLQADGYLTESQRHGKGGLSLTRLLSRLNNSIRIIDRPHGQHSVVLAEDAPKYMEYWSERNDHGAVIASSHQIYLTFPAESTFTEEDVFNYFNQYGPVHDVRIPRQEKRMFGFVSFLYPETVKIILAKGHPHYICGARVLVKPYKEKSKFADKKYAEKMGPPVYFPSQCSATDQNPDTMLGISESDGCFQRQLSGDYDLAIELERRRLFDLQLTAKQPKPQSYFNYGMEELKVLDDDLDHHSTIPKSGFATDHVARQTCDNYNNRNSDHIELPDSPFASHLGSGVSSII >Ma03_p31080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33442414:33446641:1 gene:Ma03_g31080 transcript:Ma03_t31080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADFTKIVIHRIQKLEPENAMKLIGYLLLKYTDQEIMEFAFGPDHQILSLISEAKAYLNLSHKSSISSPMQSLLDQQLHHMSSSSPTIPLPFPSPSNFRGPAPPLDPHLPSDQMPISHNLGLPPSSYADLIGGLYDQAELLSLKSQLHHFHNSYFPEATLARDLGSRTNMRLRPGWLESLPRACHYYYKGYCKNGFNCRFFHGQAIPDGFSAIHNPNMNESGNDDHVFAPESLRKLEFEIAELLKSKQGMPVSIASLPTMYLEKYGKMLQADGYLTESQRHGKGGLSLTRLLSRLNNSIRIIDRPHGQHSVVLAEDAPKYMEYWSERNDHGAVIASSHQIYLTFPAESTFTEEDVFNYFNQYGPVHDVRIPRQEKRMFGFVSFLYPETVKIILAKGHPHYICGARVLVKPYKEKSKFADKKYAEKMGPPVYFPSQCSATDQNPDTMLGISESDGCFQRQLSGDYDLAIELERRRLFDLQLTAKQPKPQSYFNYGMEELKVLDVADDLDHHSTIPKSGFATDHVARQTCDNYNNRNSDHIELPDSPFASHLGSGVSSII >Ma03_p31080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33442354:33446640:1 gene:Ma03_g31080 transcript:Ma03_t31080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADFTKIVIHRIQKLEPENAMKLIGYLLLKYTDQEIMEFAFGPDHQILSLISEAKAYLNLSHKSSISSPMQSLLDQQLHHMSSSSPTIPLPFPSPSNFRGPAPPLDPHLPSDQMPISHNLGLPPSSYADLIGGLYDQAELLSLKSQLHHFHNSYFPEATLARDLGSRTNMRLRPGWLESLPRACHYYYKGYCKNGFNCRFFHGQAIPDGFSAIHNPNMNESGNDDHVFAPESLRKLEFEIAELLKSKQGMPVSIASLPTMYLEKYGKMLQADGYLTESQRHGKGGLSLTRLLSRLNNSIRIIDRPHGQHSVVLAEDAPKYMEYWSERNDHGAVIASSHQIYLTFPAESTFTEEDVFNYFNQYGPVHDVRIPRQEKRMFGFVSFLYPETVKIILAKGHPHYICGARVLVKPYKEKSKFADKKYAEKMGPPVYFPSQCSATDQNPDTMLGISESDGCFQRQLSGDYDLAIELERRRLFDLQLTAKQPKPQSYFNYGMEELKVLDVADDLDHHSTIPKSGFATDHVARQTCDNYNNRNSDHIELPDSPFASHLGSGVSSII >Ma03_p31080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33442504:33446641:1 gene:Ma03_g31080 transcript:Ma03_t31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADFTKIVIHRIQKLEPENAMKLIGYLLLKYTDQEIMEFAFGPDHQILSLISEAKAYLNLSHKSSISSPMQSLLDQQLHHMSSSSPTIPLPFPSPSNFRGPAPPLDPHLPSDQMPISHNLGLPPSSYADLIGGLYDQAELLSLKSQLHHFHNSYFPEATLARDLGSRTNMRLRPGWLESLPRACHYYYKGYCKNGFNCRFFHGQAIPDGFSAIHNPNMNESGNDDHVFAPESLRKLEFEIAELLKSKQGMPVSIASLPTMYLEKYGKMLQADGYLTESQRHGKGGLSLTRLLSRLNNSIRIIDRPHGQHSVVLAEDAPKYMEYWSERNDHGAVIASSHQIYLTFPAESTFTEEDVFNYFNQYGPVHDVRIPRQEKRMFGFVSFLYPETVKIILAKGHPHYICGARVLVKPYKEKSKFADKKYAEKMGPPVYFPSQCSATDQNPDTMLGISESDGCFQRQLSGDYDLAIELERRRLFDLQLTAKQPKPQSYFNYGMEELKVLDVADDLDHHSTIPKSGFATDHVARQTCDNYNNRNSDHIELPDSPFASHLGSGVSSII >Ma03_p31080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33442354:33446651:1 gene:Ma03_g31080 transcript:Ma03_t31080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADFTKIVIHRIQKLEPENAMKLIGYLLLKYTDQEIMEFAFGPDHQILSLISEAKAYLNLSHKSSISSPMQSLLDQQLHHMSSSSPTIPLPFPSPSNFRGPAPPLDPHLPSDQMPISHNLGLPPSSYADLIGGLYDQAELLSLKSQLHHFHNSYFPEATLARDLGSRTNMRLRPGWLESLPRACHYYYKGYCKNGFNCRFFHGQAIPDGFSAIHNPNMNESGNDDHVFAPESLRKLEFEIAELLKSKQGMPVSIASLPTMYLEKYGKMLQADGYLTESQRHGKGGLSLTRLLSRLNNSIRIIDRPHGQHSVVLAEDAPKYMEYWSERNDHGAVIASSHQIYLTFPAESTFTEEDVFNYFNQYGPVHDVRIPRQEKRMFGFVSFLYPETVKIILAKGHPHYICGARVLVKPYKEKSKFADKKYAEKMGPPVYFPSQCSATDQNPDTMLGISESDGCFQRQLSGDYDLAIELERRRLFDLQLTAKQPKPQSYFNYGMEELKVLDDDLDHHSTIPKSGFATDHVARQTCDNYNNRNSDHIELPDSPFASHLGSGVSSII >Ma09_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9545276:9558737:-1 gene:Ma09_g14030 transcript:Ma09_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DEAD-box ATP-dependent RNA helicase 29 [Source:Projected from Arabidopsis thaliana (AT1G77030) UniProtKB/Swiss-Prot;Acc:O49289] MARTGQKTPRKPVETRERFTVADAEEEMAAMVGVSSKAELKRRMKEIKKAKSGGFESLGLCSEVYRGVKRKGYRVPTPIQRKTMPLILSGADVVAMARTGSGKTAAFLVPMLQKLRQHVPQAGVRALILSPTRDLALQTLKFTKELGRFTDLRTSLLVGGDNMEDQFEELAQNPDIIIATPGRLMHHLSEVEGMSLRTVEYVVFDEADSLFGMGFAEQLHKILSQLSDMRQTLLFSATMPSALAEFAKAGLRDPQLVRLDLDTKISPDLKLMFFTLRHEEKLAALLYLVREHISSDQQTLIFVSTKHHVEFLNILFREEGIEPSISYGDMDQDARKIHISKFRARKTMLLIVTDVAARGLDIPLLDNVVNWDFPPKPKLFVHRVGRAARAGRTGTAYSFVTTEDMPYLLDLHLFLSKPLRPAPSEEEILSDMEAVYSRIDQALANGETIYGRFPQPTLDLVSERVREVTDGNTELISLQNVCANAFRLYSKGKPLPSRESIRRIKDLPREGLHPVFRSVLGSNELAALAFSERLKAFRPKQTILEAEGEAAKSKNAQGSNQCLEVMRKKRAVHEEVIKLARQKRSVDDASKGMEAAKELSVDWMEKDICCAKRKVSNFKDDEYYISSVPTNQHLEAGLSVKNDEGFGSSRLDSAVLDLVGDDSTGLQKQKSQYHWDKRSKKYIKLNSGDRVTVSGKIKNESGAKVKADKTGLYKKWKERSHQRISLGGMEKDNLQEGGLAERGNKQHYKGKRKRWSVPNANVPSELKDPEQVRKGRQQKANKIAHLKTKSSKGKRFSKQKRGKRER >Ma09_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30826204:30827941:1 gene:Ma09_g20900 transcript:Ma09_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRAAAEAESSASDFSYASSSSDEKGEQEEDVRLSSVPQVPKPMKPSKHPSAATSSHDGEEDDDDSSNEEDGQRNKHQGDNGSEEEEDDDDEGSSDEGSDSDDANTMNKPLPPPPPPPPPPPKPQFQPLPKPTPEPSDSGSSGDSDSESDSSDDAPVTPASRPSRAVDPSIKPINTKPMDTPNKPSKQANSPAPLQDRESLKRKHDVVERSELDNHGGQKRQLFQRLWSLDNEIVLLKGLVEYRSKKGTVAASAHDMEDLRNFIKGSFQTDFSNTQLADKVRRLKKKFETNNARAKNGADPTFTKPHEQDGYKLSKKVWGVNRITSEHLDANSADSEDNEESESEMMNIRKSNEQQLTSLVVSKCHDAIVCESGDIVRVKIQFPYLWETVTKMADEYLCGIAIKRALEMVDVAKAKSMEEKLKKLKTTQMRQHLRRIDLNKETVKLILDAIGRSK >Ma02_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24227162:24229503:-1 gene:Ma02_g17200 transcript:Ma02_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDQKPQTLYRCKRCRRIVASQGNVVPHEQGKGESCFKWNKRSDPSNTDKKPECSSIFVEPMKWMEAVEGGHVEEKLWCLGCKARLGSFNWAGMQCSCGAWVNPAFQLHKSRIDESTC >Ma02_p17200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24227162:24229494:-1 gene:Ma02_g17200 transcript:Ma02_t17200.2 gene_biotype:protein_coding transcript_biotype:protein_coding METDQKPQTLYRCKRCRRIVASQGNVVPHEQGKGESCFKWNKRSDPSNTDKKPECSSIFVEPMKWMEAVEGGHVEEKLWCLGCKARLGSFNWAGMQCSCGAWVNPAFQLHKSRIDESTC >Ma02_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22540403:22544309:1 gene:Ma02_g14490 transcript:Ma02_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRPLVTVQPLEGHMATNALAGVPRPDVLKAPMRPDVVRFVHASLSRNSRQPCAVSKHAGHQTSAESCGVPAAPSPVSPASPAAEHTAPARVPSATCAAAAVCSPPTKTWRRWHRHVNVNIRRYATASALAASAVPSLVLARGHRIESVPEFLLVVSDTAEGVEKTASAIKILKQVGAVPDAEKARDSQGIRPGMRKMRNRGYIFRMGPLIVYGNIPMSSALNLVELASGDHIDRLVILTYHPYTLKLYGENPKLNSMFGTFDKSSEKKKGYVLLRSKMHNADLSMIINSDEVQLVVQPIKKDVKRHTLKRNPLKNLYTLLKLNPYLDKKRTQLPKEEAAAIKAAGPARYKTMISDSECLVFSISFTFIESTSDDHRMQVEPTESQCPVHVRRITLTTA >Ma07_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5566608:5570778:-1 gene:Ma07_g07480 transcript:Ma07_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like tyrosine-protein kinase PXC3 [Source:Projected from Arabidopsis thaliana (AT2G41820) UniProtKB/Swiss-Prot;Acc:O22938] MLAVSSLRCCHVFFSLVMATHLLLTSRPPAAAQLADQATMAALQQELAVAGWDSDSSGYCAWRGVTCGGGADRPVEALELPHRNLQGNISLVSQLRSLKRLDLSGNSFRGAIPPSLGSLEALQFLDLSMNRFEDRIPSSLGGLKDLRSLNLSNNVLLDEVPDELRNLARLQELQISGNKLSGRIPGWVGDLADLRVFSAYENILVGVIPRNLGSISQLKVLNLHSNQLEGGIPDSIFRSGNLEVLVLTLNRLNGSLPASIGNCQGLSNFRIGNNELVGSIPFSIGNISSLTYFEADNNRLSGEIPPEFAQCSNLTLLNLAYNGLTGTVPDNLGQLKNLQEFIVSGNSLGGEFPKSMLRCRNLSKLDLSYNRFNGSLPEDLCNMSRLQFLLLDHNSISREIPRGIGNCNRLLELQLGSNYLSGVIPPEIGKIKNLQIALNLSFNRLWGQVPRELGKLDKLVELDISNNQLSGCIPLELKGMLSLIEVNFSNNQLRGQIPIFGPFQKSPRSSFLGNEGLCGDPLNSDCGTLFGSDYDSDHHKVSYKIILAVVGSGLTVFTMVSVVVGFFMLREKQEMDAKAAKVAGDVVVPRPQIAAGNVFIENLKQAIDFESVVKATMEDASKISSGTFSTVYKAVMPSGLIVSVRRLKSVDKTVTHHQNKMIRELERLGNLCHANLMRPIGYVIYEDVALLLHHHMPNGTLAELLHGTVQAEYEPDWPRRLSIAIGVAEGLAFLHHIAIIHLDISSSNIFLDSHFNPLIEEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTVSGNVYSFGVVLLEILTSKLPVDEVFGEGMDLVKWVHNASERGETPEQIMDARLSTFSFAWRKQMLGVLKVAMLCTDKTPAKRPKMKKVVEMLLESKDN >Ma07_p07480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5566608:5570778:-1 gene:Ma07_g07480 transcript:Ma07_t07480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like tyrosine-protein kinase PXC3 [Source:Projected from Arabidopsis thaliana (AT2G41820) UniProtKB/Swiss-Prot;Acc:O22938] MLAVSSLRCCHVFFSLVMATHLLLTSRPPAAAQLADQATMAALQQELAVAGWDSDSSGYCAWRGVTCGGGADRPVEALELPHRNLQGNISLVSQLRSLKRLDLSGNSFRGAIPPSLGSLEALQFLDLSMNRFEDRIPSSLGGLKDLRSLNLSNNVLLDEVPDELRNLARLQELQISGNKLSGRIPGWVGDLADLRVFSAYENILVGVIPRNLGSISQLKVLNLHSNQLEGGIPDSIFRSGNLEVLVLTLNRLNGSLPASIGNCQGLSNFRIGNNELVGSIPFSIGNISSLTYFEADNNRLSGEIPPEFAQCSNLTLLNLAYNGLTGTVPDNLGQLKNLQEFIVSGNSLGGEFPKSMLRCRNLSKLDLSYNRFNGSLPEDLCNMSRLQFLLLDHNSISREIPRGIGNCNRLLELQLGSNYLSGVIPPEIGKIKNLQIALNLSFNRLWGQVPRELGKLDKLVELDISNNQLSGCIPLELKGMLSLIEVNFSNNQLRGQIPIFGPFQKSPRSSFLGNEGLCGDPLNSDCGTLFGSDYDSDHHKVSYKIILAVVGSGLTVFTMVSVVVGFFMLREKQEMDAKAAKVAGDVVVPRPQIAAGNVFIENLKQAIDFESVVKATMEDASKISSGTFSTVYKAVMPSGLIVSVRRLKSVDKTVTHHQNKMIRELERLGNLCHANLMRPIGYVIYEDVALLLHHHMPNGTLAELLHGTVQAEYEPDWPRRLSIAIGVAEGLAFLHHIAIIHLDISSSNIFLDSHFNPLIEEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTVSGNVYSFGVVLLEILTSKLPVDEVFGEGMDLVKWVHNASERGETPEQIMDARLSTFSFAWRKQMLGVLKVAMLCTDKTPAKRPKMKKVVEMLLESKDN >Ma07_p07480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5566608:5570778:-1 gene:Ma07_g07480 transcript:Ma07_t07480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like tyrosine-protein kinase PXC3 [Source:Projected from Arabidopsis thaliana (AT2G41820) UniProtKB/Swiss-Prot;Acc:O22938] MLAVSSLRCCHVFFSLVMATHLLLTSRPPAAAQLADQATMAALQQELAVAGWDSDSSGYCAWRGVTCGGGADRPVEALELPHRNLQGNISLVSQLRSLKRLDLSGNSFRGAIPPSLGSLEALQFLDLSMNRFEDRIPSSLGGLKDLRSLNLSNNVLLDEVPDELRNLARLQELQISGNKLSGRIPGWVGDLADLRVFSAYENILVGVIPRNLGSISQLKVLNLHSNQLEGGIPDSIFRSGNLEVLVLTLNRLNGSLPASIGNCQGLSNFRIGNNELVGSIPFSIGNISSLTYFEADNNRLSGEIPPEFAQCSNLTLLNLAYNGLTGTVPDNLGQLKNLQEFIVSGNSLGGEFPKSMLRCRNLSKLDLSYNRFNGSLPEDLCNMSRLQFLLLDHNSISREIPRGIGNCNRLLELQLGSNYLSGVIPPEIGKIKNLQIALNLSFNRLWGQVPRELGKLDKLVELDISNNQLSGCIPLELKGMLSLIEVNFSNNQLRGQIPIFGPFQKSPRSSFLGNEGLCGDPLNSDCGTLFGSDYDSDHHKVSYKIILAVVGSGLTVFTMVSVVVGFFMLREKQEMDAKAAKVAGDVVVPRPQIAAGNVFIENLKQAIDFESVVKATMEDASKISSGTFSTVYKAVMPSGLIVSVRRLKSVDKTVTHHQNKMIRELERLGNLCHANLMRPIGYVIYEDVALLLHHHMPNGTLAELLHGTVQAEYEPDWPRRLSIAIGVAEGLAFLHHIAIIHLDISSSNIFLDSHFNPLIEEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTVSGNVYSFGVVLLEILTSKLPVDEVFGEGMDLVKWVHNASERGETPEQIMDARLSTFSFAWRKQMLGVLKVAMLCTDKTPAKRPKMKKVVEMLLESKDN >Ma02_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20661790:20667254:1 gene:Ma02_g11560 transcript:Ma02_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALQYLESQQNAQPELADWYGALADLYQRKLWHQLTIKLEQFVALAVFRAGDTLIQLYHNFITDFETKINLLKLAHFATVISRQYSEKEAAISYLEGIIEKLNATRELRIEEPILYAKMQIAAFYLEKGSQKECKKLLEEGERTLSSMTDVDPSVHASYYWITSQYHKSRQEFAEFYKSALLYLAYTSVESLSASFKLDLAFDLSLSALLGDNIYNFGELLAHPIINSLTGTKMEWLYHILQAFNVGHLIRYQELCRIHNAALNAQPALVENEKKLLEKINILCLMEIIFSRPSEDRTIPLNIIAERTKLSIQDVEYLLMKALSVHLIEGIIDQVEGTVHVSWVQPRVLGIPQIKSLRDHLDAWVGKVRTALSTVEAETPDLIAT >Ma07_p28800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34717233:34718017:1 gene:Ma07_g28800 transcript:Ma07_t28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASGSRTHSECNPKCDWTEVGEFDALTVDLSGLGFKKEELKVLVDTSRKLTISGERALRDGQWRRFLRSFQLPKHCNIRELGAKFDQEILYVILPKPTNEMKQSGGVLKALSKRRLILTGVAAIVLVAGLGAFAAYKLTRS >Ma05_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34579085:34579504:1 gene:Ma05_g22780 transcript:Ma05_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAQAMGNGGIGSAREERRRRTAGRCSTMNISFSARLPGDVRGDLKESICAVKYSVDPFADFRKSILEMIRDGGVRDWEEMEELVYCYVVLNPSDLHCFIAEAFLSVCSLAR >Ma11_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23010352:23020677:1 gene:Ma11_g17800 transcript:Ma11_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Regulator of both biotic and abiotic stress response [Source: Projected from Oryza sativa (Os08g0112700)] MVRGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDADIGIIIFSAHGKLYELATKGTMEGLIERYKMTSEEPQPASADVNPPQESEREISMLKQEINLLHKSLRYMFGEGTSGHMTLEEMYALERHLEIWMEHIRTMKMQIMFQEIQSLKNKEGMLRATNEFLQEKIVEQNGHFDVAPMTVQQNGHFDVAPLTATDIPYPLTIQSQLNNFWGPEQGFSF >Ma06_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12128934:12130629:1 gene:Ma06_g17870 transcript:Ma06_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVQEIRSSQRAEGPATVLAIGTATPANVVYQAEYPDYYFRITKSEHLTELKEKFKRMCDKSMIRKRYMHLNEEILQENPNMCAYMAPSLDARQDIVVVEVPKLGKEAAAKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVNRFMMYQQGCFAGGTVLRLAKDLAENSRGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAIIVGADPDPVTERPIFQLVSASQTILPDSEGAIDGHLLEVGLTFHLLKDVPGLISKNIERSLVEAFKPLGISDWNSMFWIVHPGGPAILDQVEAKLGLEKEKMKATRQVLSEYGNMSSACVLFILDEMRKRSAEDGKATTGEGLEWGVLFGFGPGLTVETVVLHSIPIAAR >Ma04_p36670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34928471:34929271:-1 gene:Ma04_g36670 transcript:Ma04_t36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGDWGPRVHVRPAGKRRIELGPWARGSGGGASGGRPHPGSEDWVRVLRGGVVGTQRQLGREVAVKEIDLKQVDSKVRDGLLKESHISHPDITRLCQAIETEDKVFIVLDHCASGDLAADIQCHRIHGRVSVGVARHFMRQLGRRLKILGEINLIHRGGLLYLDCYLSFPLV >Ma09_p29120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39671233:39673047:-1 gene:Ma09_g29120 transcript:Ma09_t29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEDPRTHSLPPYPQMILAAVAASGDKDGTSMSAIAEYVESSYEGQLPPSHASQLDAHLARMTEAGELLLVGSGYFRPGPEAPPPVKRRRGRPPKPKLPDPAGPADAAPRRRGRPPKPVDPLAPAKIPRPRGRPPKRAADGPDPGQPGLTKRPRGRPPKVRQLTFSSWNQVDGNGGDVGFLYVVQDHQRPLVGEAKVNVVKLWIVSPSEFLQESGNSMGHKKKKNPLST >Ma09_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4196300:4197410:-1 gene:Ma09_g06530 transcript:Ma09_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRGYPRPIGPGVTRVGWIGAGVMGAAMAARLRTAGYAVAIYARTPSKADHLRRLGAHLVPSPADAARSADVLFTMVGHPSDVREALLDPASGALAAIPPGGVLVDCTSSDPALAREVAAAARARGCWAVDAPVSGGDVGARDGTLAILAGGDEGVVHWLSPLFEALGRATWMGPAGSGQSSKIANQITIGGTIVGLSEAIVFAGRAGLDVPRFLDAVRGGAAGSRAMEIFGERMARRDFAPGGFAEYMVKDLGMGLRGGEDGGEEETGKGVVLPGAALCQQLYLAMVANGDGKMGGQGLITVIERLNGKHA >Ma04_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5516594:5520323:-1 gene:Ma04_g07620 transcript:Ma04_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFDPFIIFGSPSWLLTLTSALIVPFLLSEPAASATGVTSDTSDLSALLSIRAHLNPFTSLSSWDSHNSSIPFCQWPGVTCGSSNNPGRVTALNLANLGLTGSVSSDIGNLTFLRSLNLSFNNLQGQLPPELGRLSHLELLVLSQNALEGRIPVTLANCSNLWRISLGSNRLAGEVPAEIGALPKLQILSLHDNDLSGRIPASLGNLSSVTHIDLVGNRLAGTIPPSLGRLQSLVHISVTRNSLTGAIPVSILNLSSLSYLYVGYNQLSGTLPPDMGNTLVSLEVLQAFGNILEGPLPISLPNASMLREIVLPYNRLTGPLPPDIGKLRYLSSLNLRANELEARKAEDWEFFTSLANCSNLKTLDLEYNKLEGPLPSTIVNLSTQLTWLGLGGNEVHGSIPEGIGRFIHLERLHLDQMALTGHVPAAVGKLRNLHVLSLDDNQLSGVLPSAVGNLTQLENLYLNGNGLQGEIPKSFGNLRQLTVCDLSFNKLEGRIPEELTELTSLTRYLNLSRNLFTGPLPAGVGSLKNLEALDISKNRLSGEIPSTIGECQVLQYLYLQGNHLEGAIPDSLGSLTGVQVLDLSCNNLSGHIPLSFERLEHVRFLNLSFNDLQGQVPNEGVFRNANVYLVTGNNKLCGGIQALHLQPCPDHAPGKKGGSPAVRSLVSIVITVTIFVLSLAAASYLLHRQRTRKCMPVVPSKRQYPIASYSEIYRATDGFSPSNIIGRGSFGQVYRGTMSYDSIDVAVKVFDTLQVGAFQSFKAECETLGAIRHRNVNKILTVCSSADHNGDAFLAIVTAYMPNGSLNDWLHPGADMNGDASSALTLLQRLNIAIDVASALDYLHHYSGTTIVHCDLKPSNVLLDNDMVAHLCDFGSAELLKETTSGDLAKEISRISRLKGSIGYVAPEYGLGGTVSTKVDVYSYGVLMLEMFSGRRPTDSHFKDGENLHRYVKMAYPAQIFDIVDPSLLLHEKDAKANVDKGIHKCLLSVIKVGLSCSNESATARMEMEDVIKTLHVARRILVEGTLAENRRGADM >Ma03_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5722823:5730301:-1 gene:Ma03_g07960 transcript:Ma03_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGRKDLDSYTIKGTNKVVRVGDCVLMRPAESEKPPYVARVEKIEADHRNNVRVRVRWYYRPEESIGGRRLFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVGAEDYFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLDHFLCSDCDSENDASRSINGFPASSVSEPKAEPKRRKR >Ma08_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17861984:17862645:-1 gene:Ma08_g16330 transcript:Ma08_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFEIDTQYMLSSSSIMQPSTQICVGSNRVYITVSSCASSRINISENMRKIATHLRSLSEKTRIIFLTSPPLNDPFVSELARTNERCRICSEACVKLCKEMNVKVVDLFTTIQMQDDWHTTCFT >Ma01_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4678875:4680450:-1 gene:Ma01_g06510 transcript:Ma01_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLQIEESQIPEMSLELYKEYGTTIAGLKALGYKFDNDEFHAYVHGKLPYHTLKPDPLLRNLLLSMPQRKMIFTNADKAHAARVLSRLGLENCFEGIICFETLNPTEKVTTTLRDNVSNTDRANCEGRILCKPSLEAIETAMRIANIDPKKTIFFDDSAKNIAAGKAAGLHTVLVGRSVPVPGADLALESIYNIKEALPEIWQDGKQTKPVIAATAVEETTVLA >Ma05_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19281959:19291988:1 gene:Ma05_g17070 transcript:Ma05_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAAVNVMLAVHEKKTTAVDLYRPLRNYIAYTFSEHDAQVADDDLQTIRQLRLNLEKPSPSSLDLRRDLLISYFRAIAAVEPRFPISPDRSHVHSLTFTWFDAFRSNKKAALPSIHLEKAAVLFNLGAVYSQIALAADPTSAAGLKQACNGFQSAAGAFAFLKDHAAPKAVAAGATVDLSVECAVMLEKLMLAQSQECFFEKVIGDAKPPGLCSKVARQVGVYYEEAYAALNASPLNQHFDRTWISHVQLKAAQFYAEACYRYSLELHEKEEIAEEIARLRIGISALVDAKKSAKGVAQPLLDAANKLETNMNHNLERSVKENDRVYLMRIPAASSLAALPAASLAKPIPLGEVLNASKEKFFSNLVPDSSTKALSKYTDMVDNIIRTQLEKLQQGSEITRVKLKEMDLPDSILALEGNLSLPLDLTEDVEAVQISGGPSGLETEIQQLRDLRRVNQELLVQAEELLQKEANADAQFRNQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQAADSDSRIDREVRGNGELMAILDSRPIESALPSLARPIMSLDGNEDAIVGALKQSLRQLENLGEQRAGLEDMLKEMKRKDDILPKLMASTGSQEDLFKKEIAKYDQICEEIAQNIEAQEQVLLQLQSKNDDFAAMFNLEDFKVAREKSYKQIAAAVAKYREIKENINEGLKFYVTLQDALTNVKQQCSDFIMTRSIQCRELIEDVQRQIAGLNFATDGKTGYNYPSGGQLNHSRTDAQQAEPQTTPPPHPPPTYQHIPAEQPGPGYLQPYPSTGQPDHQRNTQQPEPQSTPPPPHHQPSYHRLPAEQPRPGYL >Ma00_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:32630570:32630725:1 gene:Ma00_g03910 transcript:Ma00_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSFCILVLSRIIERLWVSWISISRSGHKEIVLVAPAPLFSWSISKICR >Ma09_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4262580:4268102:-1 gene:Ma09_g06680 transcript:Ma09_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLAALRISAAPPPTSAREGGSPLLLLLPSSRIFHCESSSLSPFSSRSPFRPPVARLAASLENSQRNSIHCGSNEDEEEGEPYLTSSNPSAPLLSLSEKPDRSLALLDEYELEELDPDRSTCRNHRSGYVAVLGRPNVGKSTLSNQMIGQKLSIVTEKPQTTRHRILGICSGPEYQMILYDTPGVIEKKMHMLDSMMMKNVRSAAINADCVLVVVDACKVPHKIDDVLEEGIGSLRDNLPILLVLNKKDLIKPGEIAKRLEWYQKFTNVDDVIPVSAKFGQGVDDVKDWILSKLPFGPAYYPKDIASEHPERFFVAEIVREKIFTQYRNEVPYACQVNVLNYISRPTSKDFIQVEIVVEKESQKIILIGKGGKALKVLATAARLDIEDFLQKKVFLEVEVKVKENWRQDEGLLRFYGYGGQIRAL >Ma09_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9029600:9032753:-1 gene:Ma09_g13390 transcript:Ma09_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPVEPTNPKDHVDDGTFRGVLVFDRGLRREERGMSGKPGQTAELRTAGFVRQPGVAEGHMIAEADARAMQTYGNDRDNNGGGDGVDEDFDGAVEGEGMEGDALPDHGGLGDPHAVVVPPVTSNQLTLSFQGEVYVFDSVSPEKVQAVLLLLGGREIATNPASIVSASNPLNKRLNFPHRVASLMRFREKRKERNFDKKIRYAVRKEVALRMQRNRGQFTSSKSKPEDATSSVTNCEGIQRWGSIEGRPPSAAVCHHCGISSKSTPMMRRGPEGPRTLCNACGLMWANKGTLRDLSKNPSLLTHNGPSEGNEVNGASAPVAEHQPPNTANDRDTASS >Ma10_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24181861:24185443:-1 gene:Ma10_g10070 transcript:Ma10_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDARPRPPISAGKRPDRPLESPAKGTSMADQIWTPEKPARLPRRSGNRSIAFSVKEVRKVALGLQTAADRPDHSRSGNDDDLQSVEQQLGASSVPGSSPKSSKARGVIKLPEKYEILCEFFNCMESSIRLLRLKGSMCTFANILSSIQHLTERRFTYAHLAQLKYIMPEAIIIKKVILHDEATCCMKPELQVTLQVDAVAKNINGKTESGYSILRKVFRERIVGFNKEHPEGDDVPEEQLPHPFNQTKPSVLPIVSNANAKLTCTKPSSSTTSHQQFSVPSHLSQSFQRRFSRKFPTHGSEQTPLMTFGKACPKDDLSVPLASSPSKCNLKPPIFRNSMLGSPISLTISSKCGTSEEETQKFIRTDNFPHNEQNVKEGTPAKLVSTPLRLMSNTPDMATPKRCRTTPSCDSPLSNKSVKRSTRTKLFMTPTKSAKAGDEEYEDRSLSADDDVLNIIPESLLQSIKEKERKTAQEEEAGVSNAIRRQKLIASLPCIFDMILLIFQSWKRSVMTKNELIYKLLSNNCKIVDRGEVEEQLKLLLELVPDWISEKIAYSGDILCCVTGSSNPEEIRRRLAEAE >Ma03_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3884665:3886651:1 gene:Ma03_g05660 transcript:Ma03_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPKAFLLLFLLLLLSAPCSGSSSSSFVPSTTFSSNLRFAFSEIQKLTSLISGSSGVLGGNLRLSSAIHDCLDLLDFSADELTWTLSVVNDQRVKTPATGNHRYDIRSWLSAAVGNQDTCKEGLDSAGGPLGGLAAGGLKIVSSLIANSLHEVAASSGGGGGGRRLLGFPEWVSAGDRRLLQTPAPVEPDAVVAQDGSGDYTSIGAAVEAAPSESESRYVIYVKKGVYMENVEVNKKKWNLMLVGDGIDQTIISGSRSVAAGWTTFRSATVAATGRGFIARDLTIENTAGPQGNQAVAFRSGSDLSVFYRCGFSGYQDTLYPHSLRQFYRECRIAGTVDFIFGDAAVVFQSCDVLSRLPSPGQINTITAQGRRDPDESTGFSFQFCNVSADADLIGNTDRTATYLGRPWRQYSRTVFMQSFLDTLIRPEGWVEWNGNTVGLDTLYYAEYMNDGPGSGLEGRVNWPGYHAISDPAEAGEFTVAQFIDGDAWLPATGVKYTSGLTM >Ma09_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2479933:2480791:1 gene:Ma09_g03730 transcript:Ma09_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G37970) TAIR;Acc:AT2G37970] MGLILGKISVETPKYEVIHACPDYEIRKYSPRVVAEVTYDPSKLRGDPDGGFMILANYIGALGKPQNVRPEKIAMTAPVITKAGPEKIAMTAPVITNVAGEGKEVTMQFVLPSKYSRAEEAPAPVDERVVVRDEGEAKFGVVRFSGLTTDQAVAERVEQLRRCLERDGHKVVGDFLLARYNPPWTLPFLRTNEVMLPIE >Ma07_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28958080:28961838:-1 gene:Ma07_g20970 transcript:Ma07_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDFSDWWVKDSRKGTPVVVTMENPNYSVLQIDGPDDEQESFRSMDKDRGKNAKQFTWVLLLKAHRAVGCVAWVAAALWTLLGVIKRRLVSRQGAEAQSDKPRKARLLLKLLRMFLALSLIAFAFELVAYRNGWHFPKPNLHLPDNLHMPKKIEVQGWLHLAYLSWLSFRADYIAYPIQILSYICIILFIIQSADRMILCLGCFWIKLNKIKPRIDEDPFKSGDGPEYQYPMVLVQIPMCNEREVYEQSISAVCQIDWPKDRLLVQVLDDSDDESIQFLIRAEVSKWSQRGVNIVYRHRMVRTGYKAGNLKSAMSCHYVRNYEFVAIFDADFQPDPDFLKLTIPHFKGNPELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFCNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLKGWKFMFLNDVKVLCEIPESYEAYRKQQHRWHSGPMQLFRLCLPDIIASKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPFSMFVPEAELPVWVICYVPVLMSILNILPALRSFPFIVPYLLFENTMSVTKFNAMVSGLCQLGSSYEWVVTKKTGRSSESDLLEAAERESKSFALPTLYRGASEGGLGELNRLKEQEQRAAATPAVKKANKIYKKELALALLLLVAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIN >Ma07_p20970.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28958080:28961838:-1 gene:Ma07_g20970 transcript:Ma07_t20970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDFSDWWVKDSRKGTPVVVTMENPNYSVLQIDGPDDEQESFRSMDKDRGKNAKQFTWVLLLKAHRAVGCVAWVAAALWTLLGVIKRRLVSRQGAEAQSDKPRKARLLLKLLRMFLALSLIAFAFELVAYRNGWHFPKPNLHLPDNLHMPKKIEVQGWLHLAYLSWLSFRADYIAYPIQILSYICIILFIIQSADRMILCLGCFWIKLNKIKPRIDEDPFKSGDGPEYQYPMVLVQIPMCNEREVYEQSISAVCQIDWPKDRLLVQVLDDSDDESIQFLIRAEVSKWSQRGVNIVYRHRMVRTGYKAGNLKSAMSCHYVRNYEFVAIFDADFQPDPDFLKLTIPHFKGNPELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFCNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLKGWKFMFLNDVKVLCEIPESYEAYRKQQHRWHSGPMQLFRLCLPDIIASKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPFSMFVPEAELPVWVICYVPVLMSILNILPALRSFPFIVPYLLFENTMSVTKFNAMVSGLCQLGSSYEWVVTKKTGRSSESDLLEAAERESKSFALPTLYRGASEGGLGELNRLKEQEQRAAATPAVKKANKIYKKELALALLLLVAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIN >Ma07_p20970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28958080:28961838:-1 gene:Ma07_g20970 transcript:Ma07_t20970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDFSDWWVKDSRKGTPVVVTMENPNYSVLQIDGPDDEQESFRSMDKDRGKNAKQFTWVLLLKAHRAVGCVAWVAAALWTLLGVIKRRLVSRQGAEAQSDKPRKARLLLKLLRMFLALSLIAFAFELVAYRNGWHFPKPNLHLPDNLHMPKKIEVQGWLHLAYLSWLSFRADYIAYPIQILSYICIILFIIQSADRMILCLGCFWIKLNKIKPRIDEDPFKSGDGPEYQYPMVLVQIPMCNEREVYEQSISAVCQIDWPKDRLLVQVLDDSDDESIQFLIRAEVSKWSQRGVNIVYRHRMVRTGYKAGNLKSAMSCHYVRNYEFVAIFDADFQPDPDFLKLTIPHFKGNPELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFCNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLKGWKFMFLNDVKVLCEIPESYEAYRKQQHRWHSGPMQLFRLCLPDIIASKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPFSMFVPEAELPVWVICYVPVLMSILNILPALRSFPFIVPYLLFENTMSVTKFNAMVSGLCQLGSSYEWVVTKKTGRSSESDLLEAAERESKSFALPTLYRGASEGGLGELNRLKEQEQRAAATPAVKKANKIYKKELALALLLLVAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIN >Ma07_p20970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28958080:28961838:-1 gene:Ma07_g20970 transcript:Ma07_t20970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDFSDWWVKDSRKGTPVVVTMENPNYSVLQIDGPDDEQESFRSMDKDRGKNAKQFTWVLLLKAHRAVGCVAWVAAALWTLLGVIKRRLVSRQGAEAQSDKPRKARLLLKLLRMFLALSLIAFAFELVAYRNGWHFPKPNLHLPDNLHMPKKIEVQGWLHLAYLSWLSFRADYIAYPIQILSYICIILFIIQSADRMILCLGCFWIKLNKIKPRIDEDPFKSGDGPEYQYPMVLVQIPMCNEREVYEQSISAVCQIDWPKDRLLVQVLDDSDDESIQFLIRAEVSKWSQRGVNIVYRHRMVRTGYKAGNLKSAMSCHYVRNYEFVAIFDADFQPDPDFLKLTIPHFKGNPELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFCNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLKGWKFMFLNDVKVLCEIPESYEAYRKQQHRWHSGPMQLFRLCLPDIIASKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPFSMFVPEAELPVWVICYVPVLMSILNILPALRSFPFIVPYLLFENTMSVTKFNAMVSGLCQLGSSYEWVVTKKTGRSSESDLLEAAERESKSFALPTLYRGASEGGLGELNRLKEQEQRAAATPAVKKANKIYKKELALALLLLVAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIN >Ma07_p20970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28958080:28961838:-1 gene:Ma07_g20970 transcript:Ma07_t20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDFSDWWVKDSRKGTPVVVTMENPNYSVLQIDGPDDEQESFRSMDKDRGKNAKQFTWVLLLKAHRAVGCVAWVAAALWTLLGVIKRRLVSRQGAEAQSDKPRKARLLLKLLRMFLALSLIAFAFELVAYRNGWHFPKPNLHLPDNLHMPKKIEVQGWLHLAYLSWLSFRADYIAYPIQILSYICIILFIIQSADRMILCLGCFWIKLNKIKPRIDEDPFKSGDGPEYQYPMVLVQIPMCNEREVYEQSISAVCQIDWPKDRLLVQVLDDSDDESIQFLIRAEVSKWSQRGVNIVYRHRMVRTGYKAGNLKSAMSCHYVRNYEFVAIFDADFQPDPDFLKLTIPHFKGNPELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFCNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLKGWKFMFLNDVKVLCEIPESYEAYRKQQHRWHSGPMQLFRLCLPDIIASKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPFSMFVPEAELPVWVICYVPVLMSILNILPALRSFPFIVPYLLFENTMSVTKFNAMVSGLCQLGSSYEWVVTKKTGRSSESDLLEAAERESKSFALPTLYRGASEGGLGELNRLKEQEQRAAATPAVKKANKIYKKELALALLLLVAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIN >Ma07_p20970.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28958080:28961838:-1 gene:Ma07_g20970 transcript:Ma07_t20970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDFSDWWVKDSRKGTPVVVTMENPNYSVLQIDGPDDEQESFRSMDKDRGKNAKQFTWVLLLKAHRAVGCVAWVAAALWTLLGVIKRRLVSRQGAEAQSDKPRKARLLLKLLRMFLALSLIAFAFELVAYRNGWHFPKPNLHLPDNLHMPKKIEVQGWLHLAYLSWLSFRADYIAYPIQILSYICIILFIIQSADRMILCLGCFWIKLNKIKPRIDEDPFKSGDGPEYQYPMVLVQIPMCNEREVYEQSISAVCQIDWPKDRLLVQVLDDSDDESIQFLIRAEVSKWSQRGVNIVYRHRMVRTGYKAGNLKSAMSCHYVRNYEFVAIFDADFQPDPDFLKLTIPHFKGNPELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFCNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLKGWKFMFLNDVKVLCEIPESYEAYRKQQHRWHSGPMQLFRLCLPDIIASKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPFSMFVPEAELPVWVICYVPVLMSILNILPALRSFPFIVPYLLFENTMSVTKFNAMVSGLCQLGSSYEWVVTKKTGRSSESDLLEAAERESKSFALPTLYRGASEGGLGELNRLKEQEQRAAATPAVKKANKIYKKELALALLLLVAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIN >Ma07_p20970.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28958080:28961838:-1 gene:Ma07_g20970 transcript:Ma07_t20970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDFSDWWVKDSRKGTPVVVTMENPNYSVLQIDGPDDEQESFRSMDKDRGKNAKQFTWVLLLKAHRAVGCVAWVAAALWTLLGVIKRRLVSRQGAEAQSDKPRKARLLLKLLRMFLALSLIAFAFELVAYRNGWHFPKPNLHLPDNLHMPKKIEVQGWLHLAYLSWLSFRADYIAYPIQILSYICIILFIIQSADRMILCLGCFWIKLNKIKPRIDEDPFKSGDGPEYQYPMVLVQIPMCNEREVYEQSISAVCQIDWPKDRLLVQVLDDSDDESIQFLIRAEVSKWSQRGVNIVYRHRMVRTGYKAGNLKSAMSCHYVRNYEFVAIFDADFQPDPDFLKLTIPHFKGNPELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFCNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLKGWKFMFLNDVKVLCEIPESYEAYRKQQHRWHSGPMQLFRLCLPDIIASKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPFSMFVPEAELPVWVICYVPVLMSILNILPALRSFPFIVPYLLFENTMSVTKFNAMVSGLCQLGSSYEWVVTKKTGRSSESDLLEAAERESKSFALPTLYRGASEGGLGELNRLKEQEQRAAATPAVKKANKIYKKELALALLLLVAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQIN >Ma04_p30910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31405978:31407092:1 gene:Ma04_g30910 transcript:Ma04_t30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANGRIPQGWSSSHALIHGGWAASPQLSLNELFEGDPIYSFSSSHALGVANPCSLEEARSVVDFRGIPGGWCGSNSSLLDFEQGDGVTCTGIADSWLADGRIYSDMMSAYGSLGSPVREVEIQKRSRSMPITQEGDVPSPKKRCGNARTIKEKSSPSKDPQTLAAKNRRERISERLKTLQDLVPNGAKVDMVTMLEKAINYVKFLQLQVKVLATDEFWPAQGGKAPDTAQVKEAIDAILSSHRDRDCSSNQ >Ma07_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26259109:26260259:1 gene:Ma07_g18540 transcript:Ma07_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPTPCSIILLGFDYHFQTGISDALSQIHDADINSKDIMCPQAVFKILCISVVVFNKFKDEGGSFMSTLGSDVKGLLSLYNAANLGTHGEIILDEAISFTRNSLVSASADLKPPLTTQVSLDLETLLCRRFKELP >Ma01_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19024266:19027374:1 gene:Ma01_g20540 transcript:Ma01_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVFVDDYLEYSSTLAAELQRLLSTMRELDERAHGIINQTKEQTRYCLGMPSHYSKKVIHEDDEAEFEKMKKEIEASQENALSLCTEKVLLAQQAYEL >Ma01_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6005149:6005966:-1 gene:Ma01_g08390 transcript:Ma01_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTTTAVTIPSFTGLKATAARSGAIVAVASPRAKVAGVSARASLKEVKVAAVAAAAAASALLATGNALALEVLLGGNDGSLAFVPGEFSVAAGEAIVFKNNQAFPHNVIFDEDEIPKGVDAAAISMSEEDLLNAPGETYSVTLNEKGTYTFYCSPHQGAGMVGKVTVN >Ma01_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2696525:2702789:1 gene:Ma01_g04170 transcript:Ma01_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKASNNLNHSKDGISNSYGASIKGGTGCEEKRAAVQTEIARVNQLPSNSSYAVHRMRVLNKLLHLLSVQRSVSQDEELELLFASLSL >Ma01_p04170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2696524:2702789:1 gene:Ma01_g04170 transcript:Ma01_t04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKASNNLNHSKDGISNSYGASIKGGTGCEEKRAAVQTEIARVNQLPSNSSYAVHRMRVLNKLLHLLSVQRSVSQDEELELLFASLSL >Ma08_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34001657:34015214:-1 gene:Ma08_g20180 transcript:Ma08_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDGGEVEKKGRPKFAVLLCADDSEYVKKTYGGYFKVFVGLLGEEGEAWDLYRAAHGELPCMADVDGYDGFVITGSCNDAHGDDQWIKDLVSFLKALDAKKKKVLGVCFGHQILSRALGGKSGRAMKGWDIGVTCIHPSHSTIKLLSSLHIPSHLPVIECHRDEVWQVPPQAEVMAWSEKTGVEMFRYGDHILGIQGHPEYSKDILRHLLDRLLQRNLIQTCHAEAAQASLDAREPDREAWKRLCKGFLRGNLSWQL >Ma06_p32170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33121334:33122320:1 gene:Ma06_g32170 transcript:Ma06_t32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRHRRPTHGGSNDNHGLHLSLLLLLLILTPWCAHAQPSPPSDDISNDNDNNYGKLNPTVTVVVLASFSTFFFLVVFIIYIRRRTAEDNFVRSFHHRGPAARSLRQQQRRRRVSPQLIETFPTLTHAKVKGLEVGKGALECAVCLSEFDDDDELRLLPRCSHLFHTDCIDVWLASHVTCPVCRANLAEPATADGVELPLFVAEVGDTQPETATAPDHVTIVVDRTAAAEEEKDEVALIGRSRREARQQSGSRPAKFSRSYSTGHSVFRSLEDVDRCTLRVPDQELNEIFAARKLDRSASCVAFPTSGEESSRRGPGTRRSSSGRSR >Ma01_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10574967:10596454:1 gene:Ma01_g14450 transcript:Ma01_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MGGNEFRFFLSCDINLPVTFRIERLEGCLPNPPAEIDSPTGNRNAEIFVECTLHIDGAPFGLSTKTRLEASGCPYCWNELITLTAKYRDLTSRAQLAFTVWDVSCGNDNGLVGGATVFLFNRKKQLKTGRQKLRLWPGKEADGAIPTTTPGKVSKHERGEIERLERLVNKYERGQIQQIDWLDRLTFKTVDKIKERESITSENSHLSLVVNFCSFEHRVVFQESGANFFAPSPVSSTNELVTVWDPEVGRTNPSEHKQLKLARSLTRGIIDRDLKPSVNERRLIQGILKYPPTRALTGDERQLLWKFRFSLMSEKKALTKFLRCVEWSDVQEAKQAIDLMGKWETIDVTDALELLSPVFESEEVRAYAVSVLERADDEELKCYLLQLVQALRFERSDKSRLSHFLVQRSLSNIEIASFLRWYVAVELHDPAYAKRYYCTYDMLEDSMMRLEAGVNQDEDGFKLWQSLVRQTELTAQLCSIMRDVRNVRGGTQKKIDKLRQLLSGLLSELTYFDEPIRSPLAPGILITGIVPTESSIFKSALHPLRLTFRTASGGTCKVIFKKGDDLRQDQLVIQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSSSLAQILSEHRSIVSYLQRFHPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEDAIHFFQDLITDSVSALFPQMVETIHRWAQYWR >Ma10_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1387497:1390294:1 gene:Ma10_g00160 transcript:Ma10_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31430 [Source:Projected from Arabidopsis thaliana (AT1G31430) UniProtKB/Swiss-Prot;Acc:Q9C866] MSRGPPDSSHMLHKTQKRLVATPVLSKLARHSLTSFTSTSTHTRRLTKKSCFSLLQRCSSMKQLKQVHCQMLATSLHRSRDVVEDLLVFCTHPDSGDLNYAAMVFENLEGDPSLFLYNLMIKAFTKKNRFDYALMLFYRMRSQDVSPDNFTYPLVLKSMAGLSMASDVQKTHVLIVKSGFVFDRFTRISLMDVYSEMGHVEMSRTLFDETPEMCVISWNVMIGAYVKCRKFEDAISVYQKMVREGVKPDEATLVSTVSACNALGNLELGTKLHVRMHKELWFSVTLGNALLDMYTKCGPVDVARRFFDSMPTKNVVSWTSMVSGYVKCGELDKARQLFDRSPGRDVVLWTALINGYVQCNRFDEALATFREMQSKGIKPDKFMVVSLLTACARLGALEQGRLIHGYIQDNRIQLDAVVGTALIDMYAKCGCIDKSLEVFSIVERRDTTIWTSIIYGLATNGESGKALELFSEMRRAGAKPDDITFISVLSACSHGGLVNEGRRFFHAMKEMYRMEPKLEHYGCFIDLLGRAGLLDEAEGLIRNIPKGNVKDVLPLWGSLLGACRIHGNVEMGDRLAKQMLELESRNSGAHALIANIYAAAERWEDVKKIRRKMKDWGIKKTPGCSSVEVTGATHEFLVGDSSFPETG >Ma08_p32600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43270030:43272182:-1 gene:Ma08_g32600 transcript:Ma08_t32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g14470 [Source:Projected from Arabidopsis thaliana (AT1G14470) UniProtKB/Swiss-Prot;Acc:Q9M9R6] MSRDPAVPPGRIKSLDHLKQFHARVIRRTPLDGSHHVAATLLVAHCAGIGAPLPYARLLFDSIPHPDARSFASILRICSRSGAHEDVVFLFRRMSRLAVTPHAPSVFPVLIKSLGPAAACAHSLVLKLGHHRDLFVRNAVMSSYAHHGPVETARRLFDEMPEKAVADWNVMLSGYWKWGRKEEALRTFDLMPERNVVSWTAMVTGLSRLRELEAARRFFDWMPERSVVSWNAMLSGYVQNDRLDEGLRLFDQMVSAGVRPNETTWVSIIAVCSAKGDIRFAESIASTIMERKVPMNCFLKTALIDMYASCGNLVKARMIFDEMEDRNSASWNAMIAAYAKEGDLNAARELFDSMPAKDVISWNTMISGYSQNGRFDLAMELFRDMTMVKGLVPDEVTMSSLISACGHLGSLEHGRWLVLYMQENQIKLTVSVYNALIFMYSSCGSLEEAKRVFEEMPKRDVSSYNSLISGLAANGDGYEALALMGQMEEGIKPNAITYLGVLTACSHAGLTEEGCRVFEMIESPTVDHYACKVDLLGRAGRLDEVKKVIDDMPVRPHAGVYGALLHASRIHKRVELGEFAAEELFKLEREDAGNYVLLSNIYASARKWEFVEKVRREIRERGVDKVSGCSRIELDGKLHLQ >Ma01_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6885144:6885419:-1 gene:Ma01_g09580 transcript:Ma01_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVINSFVSLDHWPSAGRFRFNTDIITTNPLNLSVVLGVLICFRKGVCASCVFQEEVRSIRLHVTIASSSWKSFSVKLLTWLHFHMVQFA >Ma05_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1764001:1769955:1 gene:Ma05_g02690 transcript:Ma05_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRSYITCLLTVFVVLVLLPHTHQLQSSQAWSLLRIQRLLNYPPVLSSWNTSTDFCNADPNPYLTVVCYEESITQLHISGSDSSPPLPLSFSIDSFFTTLTRLPNLKVLSLTSLGLWGPLPPKISRLSSLEIVNMSSNYLYGAIPRQVSSLRHLQTLILEHNMFTGQVPDVLSELSLLAVLNLQNNTLSGPLPQSISGLQSLRVLVLSSNSLSADLPDISGLTNLQVLDLENNYFGPQFPRLQRKLVTVVLRKNRFGGGLPADLSSYYLLERLDVSFNKFVGPFLPSLLSLPSIRYLNIAGNRFTGMLFQNTTCNDDLKFVDLSSNLLSGNLPTCLLSNTKDKVVLYSSNCLGIEDHGQHPTSFCQTQALAVGILPHQEKRTSGGKKVIAIGVAVGIVGSISIVGFAVFFAIRRGIIKRLMKQPPRRIVEHASSGYPFKLLADARYISQTMKLGALGIPSYRSFSVEELEAATNNFELSSFMGEGSHGQIYRGRLKDGSWVAIRCLKLKKGQTSQNFNRHIELISKLRHRHLVSALGHCFEYNLDDSSVSRLFLIFEYVSNGTLRSNISEGGQRLTWIQRLSAAIGVVKGIQFLHGGIMPGFFSNDLKVTNIFLDQNLVAKISSYNLPVLAENMITMVSAGGSSSGSNEPGGRLKHLDKIDIYDFGIILLEIVSGRPITLTSEVHIMKDELQESILADGAARRSLVDHFIRRQSCDESLKTVMEICLRCLSEEPTQRPSVEDVLWNLHFAVQVQESWRWDSQSSEDSPLSPRQPPRSPVSLN >Ma01_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7159247:7160055:-1 gene:Ma01_g09990 transcript:Ma01_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISLRPWGVSPYKTLSLPLFIPPLQYHSRGEEEREEAMGRCSRVRHVVWLRQILRRWRVTAAAAMTSSRRRRSGWAAPSDVPLGHVAVCVGSSSRRFVVRVTHLNHPVFRQLLRQAEEEYGFPSRSGPIALPCDESLFEDVLCLISFSSSSSSSSSSSRFANGNLEDCDFNNIC >Ma04_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10525169:10530395:1 gene:Ma04_g13880 transcript:Ma04_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAAPVDPEKKKAKKKKKPNPFSTDGHHGPTPSLVVLKDSAGRDIRSRYDLGRELGRGEFGITYLCTDKDSGDLFACKSISKKKLRTIVDIEDVRREVEIMRHLPSHPNIVSLRDTYEDDAAVHLVMELCEGGELFDRIVARGHYTERAAAMVIRTIVQVVQNCHMHGVMHRDLKPENFLFGNKNENAPLKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLKRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGIAQAIIRSVIDFRRDPWPKVSDAAKDLVKRMLDPDPNKRLTAQEVLDHPWLQNAKKAPNVNLGESVRARLQQFSVMNKFKKKALRVVAEHLSVEEVADIKDMFEKIDVNSKGQITLEELKHGLHKLEYPISDADLKILMDAADADGNGSLNYREFVAVSIHIRKIGNDEHLHKAFRYFDRNNTGYIEIEELSDCLADELGPNHEEVINAIIRDVDTDKDGKISYEEFATMMKAGTDWRKASRQYSRERFSNLSSKLMKDGSLQLKSEGR >Ma04_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25362149:25372526:-1 gene:Ma04_g23170 transcript:Ma04_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDNIVVEDDRNHEDGLRQDKPNIKQTSELYSFKRKQSLQARYVYGFIFFITNLLAWFLRDYGHKVLHSFLPERACGVEGNNCVHAGGVLRVSLGCFTFFSLMCVTSFRVHKLQEARNSWHSGWWSLKCMVYLLSTGFPFIIPSNIIQIYGEVARVGAGVFLLLQLISMIRFLKWCDNQWMADLETNKCALLGLFLSTIFYIASFFGIILMYYLYALESTCVINIFFITWTAILVKVMMIVSLHSKVNVGLLSSAIMGSYIVFLCWSAIQSEPHTQKCNSQKKMADRDDMTTILSFFIAICAIVMATFSTGIDSQSFQFRKDEVPSEDDIPYKYEIFHFIFSMGSMYFAMLFINWELKHPTRKWSIDVGWVSTWVKIINEWLAASIYLWKLIYPVIVRDMAICCNESAQHTDVSV >Ma11_p15630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21289450:21294467:1 gene:Ma11_g15630 transcript:Ma11_t15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEKGQEETSSRGADWEVVSLTASAYAAAPGPNEFDPTDESKEKESITNHDTSAALFMSGHFIFPPSEHENLPIEPAISEINSEPDGHDVSSEVFYDDNLLDNLGKQKLQTESDDDLHGIEFFDKENIGFQEGIGFQGLNSVGKGQGKFADSQSVAFQSGAHESGTKFETEGTSNTNTGTSQEHARPNEDKSDGSDLPSQSWWKRHAASMYDRAKEANTFWSVVVAAAFVGLVILGQQWQRDKLQLHKTKWRFSINDERMIRMLGPVGRFKHVLVGSHHPSKLAHGVGAASSI >Ma11_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21289504:21294467:1 gene:Ma11_g15630 transcript:Ma11_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEKGQEETSSRGADWEVVSLTASAYAAAPGPNEFDPTDESKEKESITNHDTSAALFMSGHFIFPPSEHENLPIEPAISEINSEPDGHDVSSEVFYDDNLLDNLGKQKLQTESDDDLHGIEFFDKENIGFQEGIGFQGLNSVGKGQGKFADSQSVAFQSGAHESGTKFETEGTSNTNTGTSQEHARPNEDKSDGSDLPSQSWWKRHAASMYDRAKEANTFWSVVVAAAFVGLVILGQQWQRDKLQLHKTKWRFSINDERMIRMLGPVGRFKHVLVGSHHPSKLAHGVGAASSI >Ma10_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14483424:14484728:1 gene:Ma10_g03900 transcript:Ma10_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVDLVPSGYRFLPTADELVVDYLANWVAGKPLPGRAVAFADVYGTEPWNLLGSDRKEGYFFAERQPKASGGSRVDRTAGTGSWLLNRRQEPVKSIVDGREIVVGRRSYLSFKDGRRKNSGWVMYEYQMCSSTFETRVLCHVKKSSYQPVSGGKFMKSVESTFTEATTETLTGASSFVGQKRNREESSTLSSAAPKKPCRGLVAHSNGAFQSDLSPPPTAVVQQLLLAPVVTIPESRLSSIDSVAPNEAGVPAASPSSTDVGGGDVGITAEELEAFDLGMTAEELEAFLASPSSSVDLGGDQHCTDDAFFTREVDALLMSDATDTVSTTIPKASPSGPFFTSLEEVHAFLMSDDTFIASTTIPGASLSSELQACLMSDSTTVEKASTSSSNDSVGCAQTENIDDDDGLLQEIEALMKFDDTPMDSTMIPWLEQ >Ma09_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2237509:2238421:1 gene:Ma09_g03300 transcript:Ma09_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEKKKKKKKKHKHDDQKNDQQKPASDAHFKPSADVKGIRFGAQFVVKSFTVRQAAPLELLRLLDIPPSCLSQRQSLPFLSTTTYLPTNFTILAHHAWHTLTLGLGTNKSKVVIFVFESESMKLAVDQLWPRMIPLGDVNKKLIRGLSGCEMSRFKFRKGCLTFYVYALRRLGATGFSCADDLRRILEAVVALKDFLDHTAMLALPTQRSITFPNPPAMAR >Ma11_p14990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20719253:20721875:-1 gene:Ma11_g14990 transcript:Ma11_t14990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKKGILKPLKYFSQIFDKEEADMQIGFPTDVKHVAHIGWDGPSVSSPSWMKEYHSAPLGSSCGEDGRESSPPESWGSQEFASGGMEDSSVRQAAGRSKPSSSAVEPSPDSPDLLPASTKPKHSRRHQSDGSMSGDSAEAPRRSRKPRKKEAAGPSDSPGQDMPAIPKQSHRKKNKGSSSTGGSVKSSKSKAPTSAPPAGEESNTKPSSEAAEEDYRVQ >Ma11_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20719253:20721174:-1 gene:Ma11_g14990 transcript:Ma11_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIQFLLIVFKVRRAHIVPEAEADMGTKMKKGILKPLKYFSQIFDKEEADMQIGFPTDVKHVAHIGWDGPSMKEYHSAPLGSSCGEDGRESSPPESWGSQEFASGGMEDSSVRQAAGRSKPSSSAVEPSPDSPDLLPASTKPKHSRRHQSDGSMSGDSAEAPRRSRKPRKKEAAGPSDSPGQDMPAIPKQSHRKKNKGSSSTGGSVKSSKSKAPTSAPPAGEESNTKPSSEAAEEDYRVQ >Ma11_p14990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20719253:20721875:-1 gene:Ma11_g14990 transcript:Ma11_t14990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKMKKGILKPLKYFSQIFDKEEADMQIGFPTDVKHVAHIGWDGPSVSSPSWMKEYHSAPLGSSCGEDGRESSPPESWGSQEFASGGMEDSSVRQAAGRSKPSSSAVEPSPDSPDLLPASTKPKHSRRHQSDGSMSGDSAEAPRRSRKPRKKEAAGPSDSPGQDMPAIPKQSHRKKNKGSSSTGGSVKSSKSKAPTSAPPAGEESNTKPSSEAAEEDYRVQ >Ma08_p32460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43154571:43163656:1 gene:Ma08_g32460 transcript:Ma08_t32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWFCCSRHLDGSFHVHENEYLTSSMHDAYDMPNGSNYCTFPKNEPPKATPSIEVPALSLDELKEKTDNFGTKALVGEGSYGRVYLAVLNNGNQVALKKFDSSSDSEASTEFLVQVSIVSRLKHENVVEMLGYCIEGNLRLLAYEFATMGSLHDILHGRKGVQGAQPGPPLDWMQRVRIAVDAARGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELMTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGDYAPKAVAKLAAVAALCVQYEAEFRPNMSIVVKALSALVNKQVPNPTTAAAPPSDP >Ma09_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34397185:34403079:1 gene:Ma09_g22470 transcript:Ma09_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREPLLPIKTTSPSAASSPSLPSHRRKPSLPPSTPTSSSSFALCPLPEDGEISLPISSPSAAASSSLKDRLIFGLPSPADLHHDDDAAAAAALPASSPPASPNAIPIPGSPGPHPLSSAAADAWLSDPSAVRWKTNLHRSRTAPAMSAINEVGPSRVDSPGRPSIIAQAFLLLVVYLAFGVAVYTFNRHHFTAAETHPVVDALYFCIVTMCTIGYGDITPTTASAKIFSITFVIIGFGFVDILLSGMVSYVLDLQESLLLSAVTKPERVDDKKKHKQQQQQQQDHHHHRHEIARNYIIDVKKGRMRIRMKVALALGVVLLCIGLGTGVLRFVERLGWLDSFYLSVMSVTTVGYGDRAFKTMPGRLFASLWLLVSTLAVARAFLYLAEARIDKRHRKIAKWVLSRDMTVSEFLAADIDNNGFVTKSEFVIYKLKEMEKVTETDIMLICNQFDKLDTGKCGKITLSDLMSHH >Ma01_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12976122:12977642:1 gene:Ma01_g17690 transcript:Ma01_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMVLDLFCFTVLVLCMANPSLEQEIFDPMDFEAMGDGETDDGQALVDAWDSACEHRAPAIFVIPKRTFLAGPATFEGPCRVTPKVQILGTVKAVPSMNDYYNPGWFEFKHLNGLEIGGGGTLDGQGAASWNKTNCPPKRSCKSLPISMRLLRVSNATISNLTFMNSKGFHIGLQQSSSVTISRLRISAPADSPNTDGIHISRSDNVMLTSLNIGTGDDCISIGQGVNNLQISDVTCGPGHGISVGSLGKYMNEEDVSGVSVRNCTVSGTTNGVRIKTWPGSPPSQASNFTFEDIIMHNVSNPIIIDQHYCPDHDCVVSPSRVKIQNVTFRRIQGTSNDPVAVKLMCSETMACENVHLQDISLIMENNTATSNITSSCSNVKGVAVGLQNPESCLY >Ma10_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:6404170:6409238:1 gene:Ma10_g02020 transcript:Ma10_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRSRRKRGRPPPPVGCSSFNRTVFPLLLAAAATSSSSFASGDLQNPHARFILRRFLRARLALLTTRTPPCSSPSALERLLPEGLLTLFPVLLTSRWASVAALGAEVVGAAALYSLEANEMMASDEGVVKGLVRALGSKSRRIVKAALHAVMDLSTSPVGREQLWKASAVERLLSFFYQLAKTSAISAVHHSMEKGSDVCSNRRPVEEKIVALVLDVMVILINTSTEILLNMIPRDLVNRSLPLLQELWKKIRGASIPRNWGRCLHFTEYDLASTIFRLSMKQANPAPCEIDKIRISIFGNEISNFENFMLKYWENSPFLLSGSSNILEKDNAVFSSLVQSLNPTSTDDVLDSILMELVSCPPLASDELDINCFMNEMNSSLGSPLIYGQDIRVLKARELMAESFKNYVKKEVHFFENGMRKVFIDGDNAQKCKEAFQNGFTVALRGMEFRFAKVAAIAKGLEVLFGQPSVGANLYLTPPGSQGLAHHYDDHCVFVWQLFGQKHWTISYSPTSVLPRLYEPLSSFPCLESEKGGGLQLTLNEGDILYIPRGYPHEAHTNTDASESQNNMSSGFSLHLTLSIEVEPPFEWEGFAHVALHCWHEKEKELSDSITSSEARTMRILSVFLLHVAIRLTANCNPIFRKACLVAAKLGSAEVLDEPHSETLMLSQKATFWNIMNIIRNSSNFMEVYKIVAVIQESNDDSLQWMRWLRHLPQDGADDAKIDFSNLLRMWDKLVEFKGNGELKDEFFKTKSKFCRSVVYDDACKMFHMLLERYRRTRRQYMCGMLSLNSA >Ma09_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10733407:10749375:1 gene:Ma09_g15410 transcript:Ma09_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRRRKASPPADDHASTSAVGSSIKEEVGEKKQAKASKKGGKGRWSCLDNCCWLIGIICSVWWLLLFSYKAMPASLPQYVTEVITGPLPDQPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRSVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRMSFQNTEVRDQTLSRIKSNIELLVSTNGGRKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGPNWCAEHIKAVMNIGGPFLGVPKAVSSLFSAEAKDVAVARAIAPGVLDSDFLGLQTLRHVMRLTRTWDSIMSMIPKGGDTIWGGLDWSPEESYECSPKKQKNNDSHASSNDDGEMVECQSQSVNYGRIISFGKDIAETPSAKIEQNDFRDAVKGNNVAHSNVSCREIWTEYHELGWAGIKAVADYKVYTASSLIDLLNFVAPKMMQRGCAHFSHGIADDLDDPKYMHHKYWSNPLETKLPNAPDMEIYSLYGVGIPTERAYVYKLSPSAECYIPFQIDSSANGGHEGSSLQGGIYLANGDETVPVLSAGYMCAKGWRGKTRFNPSGIKTFIREYDHAPPANILEGRGTQSGAHVDIMGNFALIEDIIRVAAGASGEELGGDQVYSDIFKWSEKINLHL >Ma09_p15410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10733407:10749375:1 gene:Ma09_g15410 transcript:Ma09_t15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRRRKASPPADDHASTSAVGSSIKEEVGEKKQAKASKKGGKGRWSCLDNCCWLIGIICSVWWLLLFSYKAMPASLPQYVTEVITGPLPDQPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRSVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRMSFQNTEVRDQTLSRIKSNIELLVSTNGGRKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGPNWCAEHIKAVMNIGGPFLGVPKAVSSLFSAEAKDVAVARAIAPGVLDSDFLGLQTLRHVMRLTRTWDSIMSMIPKGGDTIWGGLDWSPEESYECSPKKQKNNDSHASSNDDGEMVECQSQSVNYGRIISFGKDIAETPSAKIEQNDFRDAVKGNNVAHSNVSCREIWTEYHELGWAGIKAVADYKVYTASSLIDLLNFVAPKMMQRGCAHFSHGIADDLDDPKYMHHKYWSNPLETKLPNAPDMEIYSLYGVGIPTERAYVYKLSPSAECYIPFQIDSSANGGHEGSSLQGGIYLANGDETVPVLSAGYMCAKGWRGKTRFNPSGIKTFIREYDHAPPANILEGRGTQSGAHVDIMGNFALIEDIIRVAAGASGEELGGDQVYSDIFKWSEKINLHL >Ma03_p21680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26626730:26632073:-1 gene:Ma03_g21680 transcript:Ma03_t21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPLQGEIRGVSFLESNRGTSPQLKVGLHLKRKEKGKVLLRKTSCSVQQAPQPAWPGRAVAEPGHKSWDVPKPISIVGSTGSVGTQTLDIVAEHPDKFKVVALAAGSNVTLLADQVKRFKPQLVSVRHESLVGELKEALADAEQKPEIIPGEEGIVEVACHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPDGALRRLILTASGGAFRDWPVEKLKEVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDNIDIVIHPESIIHSMVETQDSSVLGQLGWPDMRLPILYTLSWPDRIHCSETTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVERTCDAHRNDLVANPSLEEIVHYDLWARKYAADLQPSSALNPVPA >Ma03_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26626730:26632073:-1 gene:Ma03_g21680 transcript:Ma03_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPLQGEIRGVSFLESNRGTSPQLKVGLHLKRKEKGKVLLRKTSCSVQQAPQPAWPGRAVAEPGHKSWDVPKPISIVGSTGSVGTQTLDIVAEHPDKFKVVALAAGSNVTLLADQVKRFKPQLVSVRHESLVGELKEALADAEQKPEIIPGEEGIVEVACHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPDGALRRLILTASGGAFRDWPVEKLKEVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDNIDIVIHPESIIHSMVETQDSSVLGQLGWPDMRLPILYTLSWPDRIHCSETTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVERTCDAHRNDLVANPSLEEIVHYDLWARKYAADLQPSSALNPVPA >Ma10_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14203468:14204830:1 gene:Ma10_g03550 transcript:Ma10_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTHFIYISACVAAFSPSCLLSFSVAAMQVTEAFKHAFLKQMLLGFQASAVSTNSMGFQERKNAIKLSADVAMAVARGSRKWTRGLIAGLSEEQQNRSFLQLILGEQYERRLMKPCYSSWKIPRCRKIVRRSLRVCCRRQKKKKNQSGVLARTLVEKRTQQLKRLVPGGESMDGFSLLDETLDYVLSLRAQVDLMQSLLTTVESSKLRSHSKRTLPERKASYVGK >Ma03_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2047284:2052956:1 gene:Ma03_g03020 transcript:Ma03_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGAISSAGSGIAGLLRLPRKATRDPAFAAHATARPAGSVTDGGNLIWGRQLRPALLHLDKSSPPTRRVLLRPVAAAASSSPAEGGDSVGETKVGFAAKYPALVTGFFFFMWYFLNVIFNIINKKLYNYFPYPYFVSVIHLFVGVVYCLVSWTVGLPKRAPIDSNLLKLLIPVAVCHAIGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQQIPLTLWLSLAPVVLGVSMASLTELSFNWTGFISAMISNVSFTYRSIYSKKAMTDMDSTNVYAYISIIALFVCIPPAILVEGPQLMQYGFKDAIAKVGLTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNKISTQTGIGTCIAIAGVAIYSYIKAKMEEEKRQMKAA >Ma10_p07910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22276376:22279665:1 gene:Ma10_g07910 transcript:Ma10_t07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDIPQVHITSDFSCDHEVEKPREKEPPMKCSTSDDSDPAPTMDGFFDCSICLDCSVDPVITLCGHLYCWPCIYKWMQVESISHHQCPVCKSHLSEDTLIPLHGHGSHRIKRDSDVPCRPTFHLNRHHVLDHGASNTDEEDNSLYQPMQQPQFHHNYYHQDRSSPPIAPLFHSMTRDVLGGLAASILPWVDRNHGLNLYYAEPYQFALSGNSARLRRQQMQVENSLHEIWLFLCCCALLCLLLF >Ma10_p07910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22276506:22279665:1 gene:Ma10_g07910 transcript:Ma10_t07910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDIPQVHITSDFSCDHEVEKPREKEPPMKCSTSDDSDPAPTMDGFFDCSICLDCSVDPVITLCGHLYCWPCIYKWMQVESISHHQCPVCKSHLSEDTLIPLHGHGSHRIKRDSDVPCRPTFHLNRHHVLDHGASNTDEEDNSLYQPMQQPQFHHNYYHQDRSSPPIAPLFHSMTRDVLGGLAASILPWVDRNHGLNLYYAEPYQFALSGNSARLRRQQMQVENSLHEIWLFLCCCALLCLLLF >Ma10_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22276376:22279665:1 gene:Ma10_g07910 transcript:Ma10_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDIPQVHITSDFSCDHEVEKPREKEPPMKCSTSDDSDPAPTMDGFFDCSICLDCSVDPVITLCGHLYCWPCIYKWMQVESISHHQCPVCKSHLSEDTLIPLHGHGSHRIKRDSDVPCRPTFHLNRHHVLDHGASNTDEEDNSLYQPMQQPQFHHNYYHQDRSSPPIAPLFHSMTRDVLGGLAASILPWVDRNHGLNLYYAEPYQFALSGNSARLRRQQMQVENSLHEIWLFLCCCALLCLLLF >Ma08_p26080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38704957:38706623:-1 gene:Ma08_g26080 transcript:Ma08_t26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRESTANGDDQPHGDDFQRSEEAVRPRDGNERRVDPSTPPPSLTSPHDQSRSVEYDEHYGDLNIGEDFNRSEEAVGIRDGNVRRTDPSTVPPTPPQGNELLGSPSRGAGSAGGSEETGGLLDEGGEQPGDTSLLVGELHWWTTDAEIEAELCKYGRVKEIKFDVWDNGKSNGMCIVDFYDPMAAAACRDGMNGHVFNDRPCVVEWAPPPIVPRTLALPAQPRGSGGGDGSMLPCPRVAAAPPRPPPPPPRPAAAPPPPAAAPVNLVFFGTPPLPVNLASMLPGLLVTAPPPSPPLAAPLPPPPSMNQASFGSMLPCSPVVALPPPVNPSFFGSMLQCPPFSAAPLLSPIVNPATTCPPVWAAPLSPTVNPAFFGSMLQWPQVSSAATVNPAATCPPVWAAPLSPTVNPAFFGSMLQWPQVSSAATMNPAAPCPP >Ma04_p34400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33608286:33610835:1 gene:Ma04_g34400 transcript:Ma04_t34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLLQAYGGGEKGGPWWRRLIDVGEAKAQLLFAFPMILTNVSYYAITLISVMFAGHLGDVELAGATLGNSWGTVTGLALMTGLSGALETLCGQGYGAKLYRMLGIYLQSSVLISTFFSIFISILWWYSESILIWLHQEPQVARMAALYLRYLIPGLFAYGSLQCMLRFLQTQTVVIPLVVCSVVPLVVHVALTYVTVHVLGLGFKGTALSGSISLWISFLMLALYVRYSDKFRYTWEGFSAEAFHQVLPCMKLAVPSAVMVCFEYWAFEILVLLAGLLPNSELSTSLIAMCVNTEAIAFMVTYGFSAAVSTRVSNEIGAGNIEKAKNAVSVTLKLAVFLGITIVLVLAFGHNLWASLFSSSHEIIRAFAYMTPLLTVSIVLDSAQGVLSGVARGCGWQHLAAWTNLVAFYVIGMPLALLFSFKLGFHDKGLWLGLICGLFCQTCTLVVLTLRTKWNRVQLSDRDGESNVLV >Ma05_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11054572:11054996:-1 gene:Ma05_g15030 transcript:Ma05_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVHLLRICLRRKGAF >Ma04_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10880234:10884493:-1 gene:Ma04_g14380 transcript:Ma04_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKKLEMPTKLLDASADDKKLDLQKQIGCISGIFRMFDRRHLLAGRSLSDRSHRKLPSGHALLNTGRREQTPCFSQILQEKHLSKSLNENQTVSLESSRASFSSSSSSFSSLEYSKLSHQEACSFDKAFFTERSPRSSPKQKNSDHVAKSIGFDLRSDISVAKSGAQSFVFHNAAEDPTQKDTRGFAIETSSTEEVKNHSVKQADSPMPLLFSKTRMPNDLNEAIRLLVELKEAPWKFRDHGARDASLYQASQRTPRLSCDEREFSRPSMDSRDTGKSVTKLRELPRLSLDSRQGSQMASKFVSRTSSALESFDKANTSPRINRASNLLQDPPSNQKRFPTVVVKLMGLVDEAPDLSSADQAITRKSCSSLHEPRDRNSTTRSKGARDAKGDPVQSRDSIVTKPKGHHSSLRHNSRIMTEAAPWKQRDRGHSPHKSRTGYQEAQMKQRTEAIIKEVEERLKGDQFQKQQKDLKALKQMVDAMRASRLAQTTRDKDHPHKGSNQNLGSPKISKRPAKAGGSPKAFDPPIVIMKPAKNVDISDASGHPVILLESLSTLPKLHTSNPGNRKTGSANMTADRVHSPRVRNIQGILPTDKQFIGRTTESNSSPRFRSNSAGESSGISTKTSTVLSPRLQQRKREAEKSSPATPDSMNKAQIHCNNRNPIESVSPRGKLRSKQSQAREKKDQDDEITCEKRVLSCVDDEISPGSYKNRSLALQSTVLQRRNQSSSSRASILNQKNSALNMNQRIPEKELASIAFEQPCPVSVLDASCYQGEFSPSPVKRSSNSVKDDAPCTSGQGCFRRSGLSDGQPLKWSDETNPKKLEGVENFVQLKVLNSTGEEPRTGDSITSKCNLDNPDHGYVLEILLATGFLSRQQAVPFQLHSSGHAINPDLYGVLEKPKHGWFSELEPIYRKADTEKKNRRKLVFDVVNEILSRQMESYDYRNRPDLLLLQTGRKLNGQQLLEEVCSEITRLEAENTRSASSGDDVDFMFGEEVLDRSEGWVDYGMEQSKVALQMERLIFKDLINEVVSDATEAGLQHKPSKLRMQPFAKRMN >Ma03_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24813638:24820453:-1 gene:Ma03_g19500 transcript:Ma03_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVHVSDVPTLDQVPAAPTVALSSSLTRLFKAAERLVVIGHRGKGMNALASPDRRLKEVKENSLRSFNEAARFNIDFVEFDVQVTKDDCPIIFHDNLILTEEHGNLSEKHVTDLCLGEFLSYGPQRDPAKVGKPLLRKTKDGRILTWDVEADDPFCTLQDAFQGVDSHMGFNIELKFDDHVIYKEEQLAHALRVVLRVVFEFAEERPIVFSSFQPDAARLMRGLQNVYPVFFLTNGGTEIYRDARRNSLDEAIKLCMASGLQGIVSEVRAFFRNPAAVARIKESNLALLTYGQLNNVPKTVYMQQLMGVNGVIVDLVKEITEALRPHPMQLKPLGQGVKKSRSIDKAALKISQIVFSQGRDLRMSPTPNIKYVSATEIRIPVLITSSGTVTYIRCFPIGVPKKPYPCKEINCVTQKELIFVLKSISQILSNP >Ma06_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1150626:1161182:1 gene:Ma06_g01430 transcript:Ma06_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAGGLSLVPQPFDGPAAAAVAKHASRCRLPPRRRSLFLGRAACAARPATSGGSGVDQEEASREEASRIGDADPRQLRRSADWRAARAYKDSGVLFDGKIEAFNNGGLLIRFYSLLGFLPYPLLSPSHSCKDPSRTIQDIAKDLVGSSISVKIIEANEEEKKLIYSEKDAAWSKYSSQINVGNVFDGRVGSLEDYGAFVHLRFPDGYYHLTGLVHVTEVSWDLVQDVRDFLHEGDEVKVKIIQIDKEKSRINLSIKQLEDDPLMETLDKVIPQEGQLVSDSIDTSSSMNIEPLPGLESICKELLQEDGISDVQLGRQGLEKRVVSQDLQLWLSNVPAKDKQFTLLARAGRQVQEVYLTTTLDQDGIKKAVQRVLGRVP >Ma10_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21435178:21438291:-1 gene:Ma10_g07100 transcript:Ma10_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNGVGRRSGCEYCGGAAATLFCRADAARLCVACDRHVHAANALSGKHVRSPICDDCGSQPAAARCVVDGRALCADCDWDSHGGGGHHDHPRVPIEGFSGCPTALELAASWGFDLSSKEASPPPPSLNPTADQLLSNWSSLDPILSVDPLFQDIYVPCAPKMPGAVKRQKNHQNLKPLFHQLVELAKTEVAASAACDLSPSTPHRTARGHEELQESQPMPYTALLMSAPTELQGSDRLVEEEVEEEVEEEDILWDCRLPHHPAQIWDFNLGRLGDQKDSFDHEIAYGTNNEAFMIKNFNDLHKENSLETTKVLEDIGDARCPSSNDDILSTNVRHRQSQTLRTVSTATKRKHGSSCSPVEGPTASGNNTLTRIRPFVALSHEHGSVGAKQISFREKGEPLVGNETVQETKRLDSEMVAKNRGNAMLRYREKRKTRRYDKHIRYESRKVRADTRKRVKGRFVKSTEALDVVNNG >Ma07_p27670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33958522:33960713:1 gene:Ma07_g27670 transcript:Ma07_t27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPAPAMSFSDFPEDIQLNILSFLSPTEISAFACTSRRFAALCGAAAHESPLWVFMCERRWGFSTHLRSWSSSAAAGRRSPFARLYKALDRWEDLIGFWRRIGPGDPLLVFFEWGPSYIVGSRVSPSTEAARDGYGILKVPFLWLGLSSHGEPGSFLHPGCRLDSVADLLGAVSDSSISSSGFSDPDLVPVTVSFMGRNHFVLEVNRGHDANARAESSNWVQKEVLRIEGTSPPDRLMSEIYQHFANRTSPIDGKPTRRQRKKEKERFERRRRWHAEHFVKICNCYPTPERPLQGLWKGISEDRVLEFYLVAYDDIGGITCRRVGEAGGQFSCYSPVFWTSNTAFLESPFPREEQDLYGSREHIRSVASDWRSTESEVVSRILHVNSGCCDLVIRSLSDSSSSDPRNADGRIWEYDDGTFGFGFLRNDYIIDLKHVTLNGSLLDTVELPSLRTLATDKSRSCDSVDF >Ma06_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9140235:9140863:-1 gene:Ma06_g13340 transcript:Ma06_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFVSKEDDHDYHQHYQAPSPPGVRRTWSSNSSACGQGAVPKCVCAPTTHAGSFKCRLHRTSSHSHTPASPTSIPPPPRVNTSRAPTVEAQ >Ma00_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26453410:26484562:1 gene:Ma00_g03200 transcript:Ma00_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSLFLKHRYGVGYTLTIVQTSPGVSVATDIVHRHVPTATCLSNVGTEISFRLPLASSTSFENMFREIENYIRGPSKYQESCSSFCQGIESYGISVTTLEEVFLRVSGDNFHEDDENGYYISRTGSMNTIIEASTYTLTKSPNSKFLFGVHLKFVRWICATLGRICTSIFDAACGFVTLFTLKFCSCGLIPRSIFWQHSKALLIKRAIYCRRDRRSVIFQLFIPALFLLFGLLFLKIKPHPDQYSITLTTSYFNPLLNGGGGGGPIPFNLSLSIAEKVASHVHGGWIQKQEPRSYRFPDSEKILADAIEAAGPQLGPALLSMSEYLITSFNESYQSRYGAVVMDDQKNDGSVGYTVLHNSSCQHAAPTYINLMNAAILKMATGNEHLMIQTRNHPLPMTISQRSQRHDLDAFSASIIVNIAFSFIPASFAVTIVKEREVKAKHQQLISGVSVLSYWVSTYIWDFVSFLFPTSLAVILFFMFDLNQFVGTGCFLPTIVLFLEYGLAIGSSTYCLTFFFSEHTIAQNVVLLIHFFSGLVLMVISFVLGLMDATKSANSLLKNFFRLSPGFCFADGLASLALRRQGMKQGSGSSTLDWNVTGASICYLAFESIMYFLFTIALEILPFQKLNLMAIKEWWQNVLTLQHDGSNDHFQHLLGSYEDSSSSIANEDIDVKAERQRINSGLVDNAIIYLHNLRKVYHARKNHARKVAVHSLTFSVQEGECFGFLGTNGAGKTTTLSMLTGEEKPTDGTAYIFGKDIRLYPKAARRHIGYCPQFDALLENLTAREHLQLYARLKGVPEINLDDVVKEKMVEFDLWKYADKPSYCLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWDVISRLSTRQGKTAVILTTHSMNEAQALCTRIGVMVGGQLKCLGSPQHLKTRFGNYLELELKPSDVSSMEIENLCKKIQENLFDIPSHSKSIISDLEMCIRGTGTISVQNISEISLSREMINLIGRMLGNEESTQMAVLPVPSSDGLYGEQFSEQLFRDGGIPLRIFSEWWLAKQKLLLIDSFFLSSFPGASFHGSNGLSIRYQLPYGEGSSLADIFGHIEHNREALGIEEYSINQSTLETIFNHFASAQ >Ma11_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23496944:23498438:-1 gene:Ma11_g18450 transcript:Ma11_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSGDVVDVPGELMAVGSSTPCPKTPASELVNRFLECTPPVMLLKVGGFGHLAFRPISKSSIHTRFAAEDAIQCLFKGVLTNLGSLRHQYDLRNSDDEAVTVIKAYKVIRDRSPYPPNLLLSHLSGSFALVLFDMNSSSILVASDVDGRVPLFWGITSDGCLAVSDDLEVLKGSCGKSLAPFPPGCFYSNTLGGLKSYHSPGDRVIAVLGSEEDVCGVTFKVERSADRK >Ma06_p30830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32055470:32057465:-1 gene:Ma06_g30830 transcript:Ma06_t30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSIARDIEHGDHKRQGTVWTATAHIVAALIGSGVLALAWSVAQLGWVVGPLVLLGFSCITYYTSALLANCYRFPDPITGTINRAYIDAVRSYLGPKHVFFCGCAQYVNLWGTLVGYTITASTSMIAVKRANCFHRNGHSARCDASGNTLMVVFGLFQLVLSQFPSLENITWLSVVAVATSFCYSFIGLGLCVGKWASHGEFRGTLGGTTTAAPSQKVFDVLLALGNVAFAYTFADVLIEIQDTLKSPPPENKTMKRATFSGIGLTTVFYLLLGCIGYAAFGNDAPGNILTGFGFYEPFWLVDIANICVVVHLIGAYQVYAQPIFARFEDYLAFHWPDNKFIHRTYSVPLPVKEVGSWSFTLSKLVLRTIFIMFTTLVAMLLPFFNAVLGLIGALGFWPLAVYFPLGMHMAQERIKRGAPKWFLLQGLSFFCFLISIAASIGSVADIVHNLKAAAPFKTSY >Ma05_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35421965:35426633:-1 gene:Ma05_g23380 transcript:Ma05_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCTETLEWVAYGPCRHREVCSTCVVRLRFVLGDRRCCICMTECPVVFVTKALGDYTRMVVDFSVFPVSSTEGQVGRYWYHEDTQAYFDDMDQYRVIKAMCLLSCSVCDKNTDRQGSERTKRRARFRSIEQLKGHLFHQHKLVMCKLCLEGRKVFTCEQKLYTRSQLNQHMSTGDSEVDGSESDRGGFMGHPMCKFCRKPFYGDHEHYMHMSTVHYTCHICRRQHAGQYDYYRNYNDLEMHFRQDHFLCENQACLERKFVFFQTEAEMKRHNVLEHGEHMSHSKRNAALRIPTSFRYRQNEQEHRRGRSRGFHSDPSVNQLSMGTQANLETALTSGGFHDSSSSSRLNAEQGETSHADVVRDSSEASTSIAVSEAPSRTPLSLSQSHTTTPMLVEFPPFGDRDSREPSYGYAQALSQSSRKAVKLEKESFPPLPGATGQSKPIHTSESFYMNSLAVGLQRSRGSVVTNSAQSRPPEYHGRFPSFSEFRVTPTNGPTSSTSSISQMGARPSASSACNSNTARGMRQSTLAPNLAEGRLSGHAAPCMNSAVDGKETLTTGNQSITSMGDVYSANKSLVEKIRADLGMDEGRYGAFKSMSSEYRRGLINTWEYLSYVEQFGLSHLGVELARLCPDAQKEKELIDAFHANMWNKSLLENGGSKGGRKGKGKGKAIVHAETRATSARDTLDTVRKVEDGCRIAKDKLQSSARDACANSSSVDVNLAGKNTAGEVESVKDTAKQNVADGGNSNQT >Ma10_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26405112:26414496:-1 gene:Ma10_g13680 transcript:Ma10_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALNHPLSLPASRFHRVKVCGTHAAPWLRLRVSATISPETVTASSYIPAAPIFLPEGPWTQIPGGVTAPKGFKAAGIYGGLRAKGDKPDLSLVTCEVDAVAAGAFTTNIVAAAPVIYCRNVLDTSTTARAVLINAGQANAATGDAGYQDTIECANALAELLHMRSEDVLLQSTGVIGYRIKKEALVNSLPRLVASLSSTVEGADSAAVAITTTDLVSKSVAVETKVGGIPIRVGGMAKGSGMINPNMATMLGVITTDALVTSGIWRDMVRTSVNRSFNQITVDGDTSTNDCVLALASGLSRSNCISSLNSNEALQLQACLDAVMQGLAKSIAWDGEGATCLIEVTVTGAGEEAEAAKIAHSVASSSLVKAAIYGRDPNWGRIACAVGYAGIRFNPNELHISLGDIPLMRDGQPLPFDRALASKYLRLAGESHGTVKIHVSVGNGQGCGKAWGCDLSYDYVKINAEYTT >Ma02_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23890073:23894146:1 gene:Ma02_g16600 transcript:Ma02_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1 MQRSRFLLAGYTAVRSVSGLRGYRPLSSASADTPAQVLSSTPPPPARTLADLRRRLAEESPAFSDFVYSVEVGTKKRSLPKPKWMKETIPGGAKYAAIKSKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPSNVAEAIASWGLDYIVITSVDRDDLPDQGSGHFTETVQKLKAFKPKILIEALVPDFRGDPSCVEKVAKSGLDVFAHNIETVEELQNMVRDHRANFEQSIDVLKMAKEYAPSGTLTKTSIMLGCGETPEQVISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFEKYRSLGVEMGFRYVASGPMVRSSYKAGEFYIKSMIEADRAMASSTSNDGS >Ma02_p11500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20621055:20629560:1 gene:Ma02_g11500 transcript:Ma02_t11500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILHVFCIGTADTKLEELRFLADVLRSRLATFSNDSPTFKIQASLVDVSTSNKKIECLEDIPFISRDAILSCYMGVEEHPSYKLPDDRGKAIAVMGNALGCFLQKAYEDGNLVGAIGLGGSGGTSLIAPALRSLPLGVPKIIVSTVASGQTDPYIGTSDLILFPSVVDICGINSVSRLVLSNAGAAAAGMIIGKFLISDMSGQMAKKPTIGMTMFGVTTPCVNYAKERLEKEGFETLVFHATGIGGKAMEELVRAGLIQGVLDITTTEVADYIVGGVMACDNTRFDAIMEKNVPSVLSVGALDMVNFGAKHTVPSLFQHRNIYVHNDQVSVMRTNMDENKKFAKFIAEKMNKSSSSIRICLPQKGVSALDALGKPFYDPEATSVLINELDKLIEKNEDRQVMIFPNHINDPEFAEALVDSFLEIFKFSRSGTPQQTVRTPESQSLVNDILKGNYSDGTAIWRAPIDFPDAKPETLQRTRKILDHLRQQINKGVPIIGAGAGTGISAKFEEAGGVDLIIVYNSGRFRMAGRGSLAGLLPFADANAVVLDMANEVLPVVKRVPVLAGVCATDPFRRMDYFIKQVEATGFCGVQNFPTVGLFDGNFRQNLEETGMGYGLEVEMIHKAHELGLLTTPYAFNEDEAIAMTKAGASIIVAHMGLTTSGSIGAKTAVTLDDSVGRVQAIADAAVGINPEVIVLCHGGPISGPRETEYILKSTKGVHGFYGASSLERLPVELAITNTVKEYKSISIKRD >Ma02_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20621055:20629560:1 gene:Ma02_g11500 transcript:Ma02_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILHVFCIGTADTKLEELRFLADVLRSRLATFSNDSPTFKWQIQASLVDVSTSNKKIECLEDIPFISRDAILSCYMGVEEHPSYKLPDDRGKAIAVMGNALGCFLQKAYEDGNLVGAIGLGGSGGTSLIAPALRSLPLGVPKIIVSTVASGQTDPYIGTSDLILFPSVVDICGINSVSRLVLSNAGAAAAGMIIGKFLISDMSGQMAKKPTIGMTMFGVTTPCVNYAKERLEKEGFETLVFHATGIGGKAMEELVRAGLIQGVLDITTTEVADYIVGGVMACDNTRFDAIMEKNVPSVLSVGALDMVNFGAKHTVPSLFQHRNIYVHNDQVSVMRTNMDENKKFAKFIAEKMNKSSSSIRICLPQKGVSALDALGKPFYDPEATSVLINELDKLIEKNEDRQVMIFPNHINDPEFAEALVDSFLEIFKFSRSGTPQQTVRTPESQSLVNDILKGNYSDGTAIWRAPIDFPDAKPETLQRTRKILDHLRQQINKGVPIIGAGAGTGISAKFEEAGGVDLIIVYNSGRFRMAGRGSLAGLLPFADANAVVLDMANEVLPVVKRVPVLAGVCATDPFRRMDYFIKQVEATGFCGVQNFPTVGLFDGNFRQNLEETGMGYGLEVEMIHKAHELGLLTTPYAFNEDEAIAMTKAGASIIVAHMGLTTSGSIGAKTAVTLDDSVGRVQAIADAAVGINPEVIVLCHGGPISGPRETEYILKSTKGVHGFYGASSLERLPVELAITNTVKEYKSISIKRD >Ma04_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24991330:24993227:1 gene:Ma04_g22720 transcript:Ma04_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02980, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02980) UniProtKB/Swiss-Prot;Acc:Q8LK93] MSTTFTASPLSPAKSRPNEAIAKETPPPFPFSLLTKCTSLRQLQQLHALAIKSRLQHHPPLLTKLITSCPPSAMDYARRLFDQIPDPDVVLFNTLSRGYSRSDDPIQSVAVFARMLDAGVGPDDYSFPTLLKACASAKASEEGRQAHGAAVKLGFADNIYVLPTLISMYAECGELNVARALFARTDGRCVISYNSMITACVRSSRPSEALALFRELQAKGLEPTDVTMLSVLSSCALLGALELGKWIHEYVRKNGFGSFVKVNTALIDMYAKCGSLEDAIGVFGDMGSKDTQAWSAMIVAYAIHGHGSKAISLFEEMLKEGIKPDGITFLGVLYACSHSGKVEEGLGYFRTMRGRHGVIPGIKHYGCVVDLLARAGRLDEAFEFIDGLPMQPTPILWRTLLSACGGHGDVDLGKRAFERILELDDTHGGDYVIISNMCASDGRWEDVNRIRQLMSERGAVKVPGCSSIEVANTVHEFFSGDGKHPQSEAAHRMVDEVVERLKSVGYVPDTSRVFHVDMGEEEKEASLRYHSEKLAIAFGLINTPPGTTLRVVKNLRVCGDCHSMAKMVSMAFDREIVLRDLNRFHHFKDGLCSCGDFW >Ma06_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2124245:2124581:-1 gene:Ma06_g02830 transcript:Ma06_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSATTFVEAYANMGLSELNLHDADRNVEGKLVRRNDGGVLSVQATELKRAGGWWGCRGRPGVRSPRRRRLLHQHVPRRMGRMRTA >Ma10_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30187323:30187976:1 gene:Ma10_g19740 transcript:Ma10_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRKVFARYDADGDGRISASELADVLRSLGSDASPAEVRGMIAEMDADGDGFVDLQEFADFHRRRRRDDGAEERELREAFDVYDLDRNGLISAEELHRVLRLLGEKCSVKDCSRMIRSFDDDGDGSVNFEEFKKMMSNGGVGGRKSSASGRGGPSSSAA >Ma05_p25190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37196059:37202870:1 gene:Ma05_g25190 transcript:Ma05_t25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSICQEPTNLHDLCCLLPFDSLVARFPFFPIASSSVPLNSTYLYRRSPSLAALPGRASSSLSSKLNRLWSEFNRFSRIHCERRASIGFASLGVSGGEIRLDDEGPSVVEEDGAPVNGVVECERSKKVLILMSDTGGGHRASAEAIKAAFNQEFGEEYQVFVTDLWTDHTPWPFNQLPRSYNFLVKHGALWKMTYYGSAPRLVHQPHFAATSTLIARAKGLLKKIIFTTVVTDLSTCHPTWFHRLVTRCYCPSTEVAKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKVELRRDLGMDEDLPAVLLMGGGEGMGPIEATARALGDSLYSENLGEHIGQILIICGRNRKLANRLQSIDWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPFVVDNGCGKFSKSPKEIAKIVAEWFGPKSDEFRAMSQNALKLARPDAVFKIVHDLHELVRERSLVPQYSCAA >Ma05_p25190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37196059:37203293:1 gene:Ma05_g25190 transcript:Ma05_t25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSICQEPTNLHDLCCLLPFDSLVARFPFFPIASSSVPLNSTYLYRRSPSLAALPGRASSSLSSKLNRLWSEFNRFSRIHCERRASIGFASLGVSGGEIRLDDEGPSVVEEDGAPVNGVVECERSKKVLILMSDTGGGHRASAEAIKAAFNQEFGEEYQVFVTDLWTDHTPWPFNQLPRSYNFLVKHGALWKMTYYGSAPRLVHQPHFAATSTLIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLKKIIFTTVVTDLSTCHPTWFHRLVTRCYCPSTEVAKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKVELRRDLGMDEDLPAVLLMGGGEGMGPIEATARALGDSLYSENLGEHIGQILIICGRNRKLANRLQSIDWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQE >Ma05_p25190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37196059:37203293:1 gene:Ma05_g25190 transcript:Ma05_t25190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSICQEPTNLHDLCCLLPFDSLVARFPFFPIASSSVPLNSTYLYRRSPSLAALPGRASSSLSSKLNRLWSEFNRFSRIHCERRASIGFASLGVSGGEIRLDDEGPSVVEEDGAPVNGVVECERSKKVLILMSDTGGGHRASAEAIKAAFNQEFGEEYQVFVTDLWTDHTPWPFNQLPRSYNFLVKHGALWKMTYYGSAPRLVHQPHFAATSTLIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLKKIIFTTVVTDLSTCHPTWFHRLVTRCYCPSTEVAKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKVELRRDLGMDEDLPAVLLMGGGEGMGPIEATARALGDSLYSENLGEHIGQILIICGRNRKLANRLQSIDWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPFVVDNGCGKFSKSPKEIAKIVAEWFGPKSDEFRAMSQNALKLARPDAVFKIVHDLHELVRERSLVPQYSCAA >Ma05_p25190.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37196059:37202892:1 gene:Ma05_g25190 transcript:Ma05_t25190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSICQEPTNLHDLCCLLPFDSLVARFPFFPIASSSVPLNSTYLYRRSPSLAALPGRASSSLSSKLNRLWSEFNRFSRIHCERRASIGFASLGVSGGEIRLDDEGPSVVEEDGAPVNGVVECERSKKVLILMSDTGGGHRASAEAIKAAFNQEFGEEYQVFVTDLWTDHTPWPFNQLPRSYNFLVKHGALWKMTYYGSAPRLVHQPHFAATSTLIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLKKIIFTTVVTDLSTCHPTWFHRLVTRCYCPSTEVAKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKVELRRDLGMDEDLPAVLLMGGGEGMGPIEATARALGDSLYSENLGEHIGQILIICGRNRKLANRLQSIDWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPFVVDNGCGKFSKSPKEIAKIVAEWFGPKSDEFRAMSQNALKLARPDAVFKIVHDLHELVRERSLVPQYSCAA >Ma05_p25190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37196059:37202870:1 gene:Ma05_g25190 transcript:Ma05_t25190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSICQEPTNLHDLCCLLPFDSLVARFPFFPIASSSVPLNSTYLYRRSPSLAALPGRASSSLSSKLNRLWSEFNRFSRIHCERRASIGFASLGVSGGEIRLDDEGPSVVEEDGAPVNGVVECERSKKVLILMSDTGGGHRASAEAIKAAFNQEFGEEYQVFVTDLWTDHTPWPFNQLPRSYNFLVKHGALWKMTYYGSAPRLVHQPHFAATSTLIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLKKIIFTTVVTDLSTCHPTWFHRLVTRCYCPSTEVAKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKVELRRDLGMDEDLPAVLLMGGGEGMGPIEATARALGDSLYSENLGEHIGQILIICGRNRKLANRLQSIDWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPFVVDNGCGKFSKSPKEIAKIVAEWFGPKSDEFRAMSQNALKLARPDAVFKIVHDLHELVRERSLVPQYSCAA >Ma02_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27973014:27979452:-1 gene:Ma02_g22740 transcript:Ma02_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGATLLRTATESVVFRFGIFSWLVTAGSFGLLAVVYVFLKLQRQASVNWVKAAAREKKKAWAHLKCPTAPHAWTEDCSHSGQPSTCFVCLYSLASPQTCGANGTGNGLIHRCTVCGVAAHFHCSQYATKDCKLSAQAGASHLLHHWSERWVNSDENPEMSCFCCFCDELCGIPFLGASPIWCCLWCQRLVHVDCHAKLLKETGNVCDLGPLRRLILSPLSVQNPNGRQTTSGMLNSIKEEIIASSMRGGLRRRQNRSKYGNNHPVPSGIPNSKLQNDVEENSLFESMLRRLAGWNKSNKKNNQDDGSANLRSTSKVLYKKNKYTVDVDGIDKYKVVDLPQDARPLLVFINAKSGGQNGTSLRRRLNMLLNPVQIFGLSAMRGPEVALKMFHDIRYFRVLVCGGDGTVAWVLDAIEKENFESPPPVAILPLGTGNDLSRVLQWGGGLSSIEGQGGLEALLHDIDQAALTMLDRWSVTIKEHNVEKGKNVKQLKFMTNYLGIGCDAKVAYDFHMTREERPDKFYSQFVNKLRYAKEGAKDIMDRTCADLPWEVKLEVDGHEIEIPEDAEGVLVLNISSYMGGVDLWQNDYEHDDDFDMQSMHDQTLEVVCISGAWHLGKLQVGLSKARRLAQGKVIRLHMDSPFPVQIDGEPWIQQPGCLEIMHHGQAFMLRRASEEPTGHAAAIMTEVLVNAECSGLINAAQKRVLLQQMALRLSS >Ma08_p11050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8169275:8170251:-1 gene:Ma08_g11050 transcript:Ma08_t11050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAISELDPSKDAAAAVVEEIVRLERKIFPKHESLSKSFHDELRKKNSGVMYLKTNKGKEGEEEIVGEGESQKAGSWRSFTESSNPKV >Ma08_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8169275:8170251:-1 gene:Ma08_g11050 transcript:Ma08_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAISELDPSKDAAAAVVEEIVRLERKIFPKHESLSKSFHDELRKKNSGVMYLKTNKGKEGEEEIVGYVMYSWISSLCASITKLAVKESHRRQGHGEALLKAAIQRCRTRKIQRICLHVDPTRIAALSLYRKLGFQIDELIRHYYSPGRNAYRMYLEFDE >Ma04_p25600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27338066:27338928:1 gene:Ma04_g25600 transcript:Ma04_t25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAFLEVDRCGLFSLPLSLSPRSFLLPRRQLPGLRLFLPSRRFYPCRLSAALVSSRKAASKSDSHREDSLEGVEAVVVEPKGSQGMQLRKRVIFGLGIGLGAGGVVVAGGWVFTVALGGRSVRRGEGVLRAGPESGNCYRDDTAAKICVEGLLSHLCSHADSDTKFQIFSVL >Ma11_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5739244:5741769:-1 gene:Ma11_g07160 transcript:Ma11_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSVAESAAAVATMRLGAYGRDAEVVEEAAGEILLLWALHQPAAQRQNAFVRHSSRTLAIDACGHRLTVLQSPSSMSTPGVTGAVMWDSGIVLGKFLEHAVDSGTLSLHGKRVVELGAGCGLVGCIAALLGADVMLTDLPDRLKLLKKNVDLNVEGWNKRGSARVSELTWGDDIDTELLDPMPDIVLGSDVVYSEAAVEDLLSTLKQICGCHTTVFVAGELRNDAVLEYFLEGAMKEFVVGRVDQSQWHPDYRSDRVALYILVKK >Ma11_p07160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5739243:5741768:-1 gene:Ma11_g07160 transcript:Ma11_t07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGAYGRDAEVVEEAAGEILLLWALHQPAAQRQNAFVRHSSRTLAIDACGHRLTVLQSPSSMSTPGVTGAVMWDSGIVLGKFLEHAVDSGTLSLHGKRVVELGAGCGLVGCIAALLGADVMLTDLPDRLKLLKKNVDLNVEGWNKRGSARVSELTWGDDIDTELLDPMPDIGMIHILGSDVVYSEAAVEDLLSTLKQICGCHTTVFVAGELRNDAVLEYFLEGAMKEFVVGRVDQSQWHPDYRSDRVALYILVKK >Ma06_p25780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26285897:26286633:-1 gene:Ma06_g25780 transcript:Ma06_t25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRVKEHASLTQEDIVSHPKVLEVSGSD >Ma08_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10466335:10468214:-1 gene:Ma08_g13190 transcript:Ma08_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEKQNPTGVQRKRRFSSCIPCFLGSPVSDSDASQRPPADARSGRRHSAWYSRCRLRKEEEMTETAQLDASARAAADAKVTTAAKDSLSKKSSAKDRRDSIEQQQEQALRRHTNPRSQHTSLPSISPKYNARRAPIPRSTRTKSKGNEPVTAEHIPGTSSDDSARAAAALEPFVGVGVMVVILAVLVFSGRAAAVVYLCCSLFILHLSRVMSTRPAAAHDEIASREVDMDSDEYKKRVILGGLLQRDGRRSSSTIILDNT >Ma07_p08630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6411441:6421256:1 gene:Ma07_g08630 transcript:Ma07_t08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVKEDEKNEKIIRGLLKLPANRRCINCNNLGPQYVCTNFWTFICTNCSGIHREFTHRVKSISMAKFTSQEVTALQEGGNERAREIYFKDWDPQRHSFPDSSNIDRLRDFIKHVYVDQRYSGGRHGDRPQMLKGDKDVYNENRRAEPYRGGSRSPPYEDRYSPSYGGRNDDRSFRYNYGERSPAYNQGDYKRSPARFEVLDDRQRDDKFGNGSQNRKTEDRRLPDAPKPEGRSPDHQKDFNKMSPPVVRPVRDILGDNAPQLQVGGAAKTNGIRGPDDSAKIKSTLSSNSIDSTDANSAQLKGSFSESLIDFDVDHEPPVAAITEQPVAQQTTSGSDGGADWAAFDTSGQQKVPQVDANANPLVSALAQLSVSGSTPVGNLPTLSFSQIESSPKAGGGGNLLTMQQQQQPLVFPSIDNPPGNQSSNVSVVGTSNNQTWIPSPVPHGQGNFTNLAINPAGHLPRIATKLPQEKVAGVSSQPPSAESKTSGRKELPVDFFTSLYPSAPVTAQGWQRGPYPGMGYNIQYPSGVAMPTYSQTPKSVNPFDLTSDPAAVYPSVTPLQAALSNMTAPATLLRTSSFGAPSPHSVHLQQSPYASSVSPGPFMMHQVPDNMPQQFAASMMPMGNQGIVAPASNGATFSTSGIHQNPAVRYSQLSTPNSFGSVGGNPFG >Ma07_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6411340:6421256:1 gene:Ma07_g08630 transcript:Ma07_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVKEDEKNEKIIRGLLKLPANRRCINCNNLGPQYVCTNFWTFICTNCSGIHREFTHRVKSISMAKFTSQEVTALQEGGNERAREIYFKDWDPQRHSFPDSSNIDRLRDFIKHVYVDQRYSGGRHGDRPQMLKGDKDVYNENRRAEPYRGGSRSPPYEDRYSPSYGGRNDDRSFRYNYGERSPAYNQGDYKRSPARFEVLDDRQRDDKFGNGSQNRKTEDRRLPDAPKPEGRSPDHQKDFNKMSPPVVRPVRDILGDNAPQLQVGGAAKTNGIRGPDDSAKIKSTLSSNSIDSTDANSAQLKGSFSESLIDFDVDHEPPVAAITEQPVAQQTTSGSDGGADWAAFDTSGQQKVPQVDANANPLVSALAQLSVSGSTPVGNLPTLSFSQIESSPKAGGGGNLLTMQQQQQPLVFPSIDNPPGNQSSNVSVVGTSNNQTWIPSPVPHGQGNFTNLAINPAGHLPRIATKLPQEKVAGVSSQPPSAESKTSGRKELPVDFFTSLYPSAPVTAQGWQRGPYPGMGYNIQYPSGVAMPTYSQTPKSVNPFDLTSDPAAVYPSVTPLQAALSNMTAPATLLRTSSFGAPSPHSVHLQQSPYASSVSPGPFMMHQVPDNMPQQFAASMMPMGNQGIVAPASNGATFSTSGIHQNPAVRYSQLSTPNSFGSVGGNPFG >Ma01_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28098109:28113490:-1 gene:Ma01_g23500 transcript:Ma01_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPAEVNETFESSVDAPHIKKTENDQPPEQPSPDNAAETHAPLVSQDAEWAEHFTWLQTPEMFLERMAGGSLNCDPHAHSGPEPHSSDAHSLSNQGEMVEELTLNNYKNPNLSLGSSTSSGEKTSVRMGLWQNFTRHAGKSRDTATRKSLSMGHNDDVDNRFLPPSGTQRPSLATQSEPKDSRFPEHVSKIDKHIIPSTTTTKSPAAIRTKVLSASGFQQYLVKTTLKGKGVVYNHQENRDEPGVVISRQNIEKPNANLNVTFKSSHSPSCKVDSISFKHLGTSNPYSEGITLREWLKPKRHKINKAERMHIFEQILDFVDICHSQLLVLQYLRPSYFIKYPSNQVKYIGSFVPQSQMELPDLVMQDIHHLDHQSKRKRCTDQDKETHEVSVLKLQKFRDHNSDSNEHHTYPFTGGSVGDDQGEEKEADSFRAGTTGSAFRAVKLEKWHKGHNVNCSPGISSSISQQSISELVKLEEKWYASPEEINDYVCSSASNIYSLGVFLFELLCCFETWEVQSAAMLDLQHRILPRTFLSESPKEAGFCLWLLHPDPSSRPMSRDIIQSDLLSERRNFPSLDNSSALIEEEDAEADLLLHFLLSLKEQKKMQASKLEAQLSYLKADIEEAERRLISKTQLFSDDRGFRSKFIESSSTYYSEKSVGNAGAISTLCKSNKYEERLMRNIDQLESAYFSRCSRIGTPEFIAAMRSDYDVLKIRDRCSQLLNDADEATDHLGTFFDGLCKFAQYSKFEVCGSLKNLDIVNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFGALLNESVDVHYPLIEMTSGSKLSCVCWNDYIKNYLASTDYEGIVQLWDASTGQGFTKFAEHKRRAWSVNFSVLDPTMLASGSDDCTVKIWSINEKGSLDTIRNVANVCCVQLSHSSHLLAFGSADYKIYCYDLRNTRIPWCTLSGHGKAISYVKFLDSETIVSASTDNTLKLWDLKRTNPSGLSTNACSLTLSGHTNEKNFVGLSVCDGYIVCGSETNEVYAYYKTFPMPMTSHKFGSIDPNTGQETSDDDGQFVSSVCWRGKSDMVIAANSTGRIKVLQLV >Ma09_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:220810:232993:-1 gene:Ma09_g00270 transcript:Ma09_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTYSSTIPFSSSSSPSSLSSRRFPATPFRTHVSGPLNPWRFRSRLPRPHAPTRAKATLTVDSPATGDASSRNGPPRVLLEVKDLKAVIKESGQEILRGVNLTIHGGEVHAIMGKNGSGKSTFSKVLVGHQDYDVTGGSVLFKDQNLLEMEPEERSHVGLFMSFQTPVEIPGVSNFDFLLMAFNARRKNHGLPPVEPLEFYSLVTPKVASLNMNPKFLDRNVNEGFSGGEKKRNEILQLAVLEADLAILDEIDSGLDVDALQDVAKAVNGLLTPNNSVLIITHYQRLLECIKPSYVHIMEDGMIVRTGDVSLAEQLEREGYRGISMS >Ma01_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11747624:11755567:1 gene:Ma01_g16200 transcript:Ma01_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQIPAAISSSTSAFFGRLPSNNKNSRSWEVSLPRRKHGVCKCISSPSAEQTAYKTKVTRNANMAKLQAGYLFPEIARRRSAHMLKYPDVQVISLGIGDTTEPIPEVITSSMAMRAHFLSTVEGYSGYGAEQGDKKLRATIASTYYKDLGIEESDIFVSDGAKCDISRLQLLFGSEVKMAVQDPSYPAYVDSSVITGQTGLFQKDIEKYGDIEYMRCVPENDFFPDLSTISQADVIFFCSPNNPTGSAATREQLTHLVQFAKNNGSIIVYDSAYAMYISDDSPRTIFEIPGAKEVAIEISSFSKYAGFTGVRLGWTVVPKELLFADGFPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLKAMTETINFYKENTEIIVDTFSSLGFNVYGGKNAPYVWVQFPGRSSWDVFAEILEKAHVVTTPGSGFGPGGEGFIRVSAFGHRANILEAAKRIKQLYK >Ma01_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3889587:3897547:1 gene:Ma01_g05530 transcript:Ma01_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDQRKKNSPKLDFFSEYGNVNRYKIQEVIGKGSYGVVCSAVDTQTGEKVAIKKINNIFEHKSDAARILREIKLLRLLRHPDIVEIKHILLPPSTRNFKDIYVVFELMESDLHEVIKVNDDLTSEHYRFFLYQLLRALKYIHAANVYHRDLKPKNILANANCKLKICDFGLARVAFNDAPTTIYWTDYVATRWYRAPELCGSFFGKYTPAVDIWSIGCIFAEILTGKPLFPGKNVVHQLELMTDLLGAPSIDTISKICNEKAKRYLSSMSKKQPLSFAQKFPNADPLALGLLKKLLAFDPKDRPSAEEALTDPYFKGLAKVEREPSRKPIRKMEFEFEQLRLTKEEIQELIFREILEYHPKLLSDHLNGMERAKFLYPSAIDKFTKQFAHLEENGNRTDLHMLDRKHVSLPRSTVVHCNTIPPKEESNRGSLRKASDLSNKHAHVPERLTGYMERNSQACQRIPTDRLGKVVGSVMLYDKQSVKVAYDSRQLIPKSVHPPQPAIPMIPMACCFCGTLGKSEISNKDPTETKQGYTQHNPLQQSMSSKIFPDNALDLRSTPSYLPGGAKADPSKLTMMDTSLLQPKLPFNGIAAATAAAATGAHRNVCMVQRSVSRMS >Ma05_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8325237:8328635:-1 gene:Ma05_g11440 transcript:Ma05_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLNVQYINKNMHSHIGRRNEAVQRGDHGGGVVDERGAPAVAAGGVVDLRRVPVRRRRLLPHRDPSEASQEARSISSLFLLIIPSQMRCSMWHVRQEKQLPLDFCGKHFIGVHNLVSALRIRLLFRDAHSGQMFSRDLEGTS >Ma08_p29390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40988721:40991517:1 gene:Ma08_g29390 transcript:Ma08_t29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESCNLDKDEAEIFKPSPSSSPSPSPPSPPSSSQPPPPSSVLLKPSEESGIQRPEIPESPPAPTITFAASSTPKLDEEPSNPGEESPAPVRFSNRCSACRKKVGLTGFRCRCGDLFCGRHRYSDAHECSFDYKAAGREEIAKANPVIKAAKIIKI >Ma05_p20970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32667744:32668985:1 gene:Ma05_g20970 transcript:Ma05_t20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVPNPDSPHSDNSGGLNSSPQQVASSSTATSTGAPSSSSSSSSPSLSRYESQKRRDWNTFGQYLKNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTQMCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPESNPFGARAVRLYLREVRETQSKARGVSYEKKKRKKPQQHQQHPPPPPAAA >Ma05_p20970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32667365:32668985:1 gene:Ma05_g20970 transcript:Ma05_t20970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVPNPDSPHSDNSGGLNSSPQQVASSSTATSTGAPSSSSSSSSPSLSRYESQKRRDWNTFGQYLKNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTQMCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPESNPFGARAVRLYLREVRETQSKARGVSYEKKKRKKPQQHQQHPPPPPAAA >Ma05_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32667365:32668985:1 gene:Ma05_g20970 transcript:Ma05_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVPNPDSPHSDNSGGLNSSPQQVASSSTATSTGAPSSSSSSSSPSLSRYESQKRRDWNTFGQYLKNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTQMCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPESNPFGARAVRLYLREVRETQSKARGVSYEKKKRKKPQQHQQHPPPPPAAA >Ma08_p27010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39410993:39414677:1 gene:Ma08_g27010 transcript:Ma08_t27010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVPGGAVMERSLSFKNWEPGVTELDRSFSEQATDYVAAQPSSLKVPVNFVLPHVKLPEQLPAFSSPRPLRELDAAAITLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKSSSVSFFRVEKPETAVSKWTRAKKRLAKVGKGLSKDEKAQKLALRHWLEAIDPRHRYGHNLHLYYDVWFASESTQPFFYWLDVGDGRGVNIDECPRSKLQKQLITYLGPKEREAYEVSVRNGKLVYKQSERLLNTPQGSKWIFVLSTSRSLYVGKKKRGTFQHSSFLAGGATTAAGRLVAEEGVLEAVWPYSGHYLPTEYHFGEFISFLRDNHVDLTNVQRRSVDDDKIPAYSNDNSEAAVEAEAEAAAVEEIAESETKVDSKEAAREESVEKEVEFALGRRLSCKWTTGAGARIGCVRDYPTDLQFKALEQVNLSPRLIPSPIGNKTPIPSPRPSREHKLSPRLQFMGHPTPVFSPTLPKPNK >Ma08_p27010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39410993:39414677:1 gene:Ma08_g27010 transcript:Ma08_t27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVPGGAVMERSLSFKNWEPGVTELDRSFSEQATDYVAAQPSSLKVPVNFVLPHVKLPEQLPAFSSPRPLRELDAAAITLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKSSSVSFFRVEKPETAVSKWTRAKKRLAKVGKGLSKDEKAQKLALRHWLEAIDPRHRYGHNLHLYYDVWFASESTQPFFYWLDVGDGRGVNIDECPRSKLQKQLITYLGPKEREAYEVSVRNGKLVYKQSERLLNTPQGSKWIFVLSTSRSLYVGKKKRGTFQHSSFLAGGATTAAGRLVAEEGVLEAVWPYSGHYLPTEYHFGEFISFLRDNHVDLTNVQRRSVDDDKIPAYSNDNSEAAVEAEAEAAAVEEIAESETKVDSKEAAREESVEKEVEFALGRRLSCKWTTGAGARIGCVRDYPTDLQFKALEQVNLSPRLIPSPIGNKTPIPSPRPSREHKLSPRLQFMGHPTPVFSPTLPKPNK >Ma06_p37690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36678697:36685719:-1 gene:Ma06_g37690 transcript:Ma06_t37690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASPKISQTSLSNYSHAKVPAVSPSSLTSPAVSWNRHNVPVPAPQMESSSHLSPTNYSQPKVLAVSPSLIPSPNSHSDSHGAPVAAPPKELSNHGPPDNHLNQKGSPFSPVSSISPVNHERNNGKSVAPPPKYRPKHSIPVNYSHSKGPATPPLTSMSPAIYKSYGVPVAAPPKNPSNHSTRIIYSHPKGPAMSPVAALSPAIHENHNMPVAGPPEDRSNHSTSVNYSHPKVPAMSPLTAAPPATSERHGMPVASPPKERSSHISPVNHPHLRGSFPVISPAPHEVEVPSDHTHAPFSSHPQPPTEKVPDSPSPMPVVPSHQFTPGKGKGNPSSAPSPLLPPEPYHPALPARIRPKVHSPPPYAPVPFHSIDSQGTPAPTQSIVAPFTHNRGHVQFPVASPSGPMHSKPMAPKMQPIHALPPPPPSLDCTPPTCYDPLTNSRPGSPCACVLPIKVGLRLSIALFTFFPLVFEFAQEIAFGTFMDQSQVRIMGANAASEEPDKTDVLIDLLPLGDIFENSTVFLVYERFWHKQVFINSSYFGDYEVLYVRYPGLPPSPPTAPGNININDGSYGNDNNPRTIHPLAVDVRRQKEKQNHSLVAVLAISAVIAFILCVGAVWLLLLKFRAGSHLPQTPQALTRPLAKASGIGPTMLGSKQTSASASFSSSMRTYAGSVKTFSLAEMEKATNKFDNLKIIGEGGFGRVYEGTLEDGTRVAIKVLKRDDQEGNREFLAEVEMLSRLHHRNLIKLIGICTEEHNCLVYELVPSGSVESHLHGADKETTPLNWNTRLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEDDFTPKVSDFGLARTAMGEGNEYISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMLRPPGQENLVTWARPLLTSRDGLESIIDPSLGNDIPFDSLAKVGAIASMCVQPEVDQRPFMGEVVQALKLVYNEGDDCRVSGNFSPEETSTLDKEPSISGGWDLGSGRVLSESVILNVSARFTRDASGSFHQYSSSGPLRPGSSQQFWHRARGLTSGSASEHGTFDKGLEAGDQWV >Ma06_p37690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36678697:36688026:-1 gene:Ma06_g37690 transcript:Ma06_t37690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRRRVLCPWLLCALVVLFSIHGSKGPYFKRQLVSQRMLLQMRPGLPTTNIKMEIKTLEMLDFQTPALGSYISPSLDLATMVPHIKEKAPPPMHLSEPLTNYAPSPSIVTQGSVLNSPTVLPKSEVLPPTVQAVVPSTQPSAPPDHVAKAEKPRMRPSVQSPAPDVPVSTSISSVGVPDADFHGKAPDNISPVVATVTQGPAVSPTSFSSPAIHGNRDGMPVAASPKISQTSLSNYSHAKVPAVSPSSLTSPAVSWNRHNVPVPAPQMESSSHLSPTNYSQPKVLAVSPSLIPSPNSHSDSHGAPVAAPPKELSNHGPPDNHLNQKGSPFSPVSSISPVNHERNNGKSVAPPPKYRPKHSIPVNYSHSKGPATPPLTSMSPAIYKSYGVPVAAPPKNPSNHSTRIIYSHPKGPAMSPVAALSPAIHENHNMPVAGPPEDRSNHSTSVNYSHPKAAPPATSERHGMPVASPPKERSSHISPVNHPHLRGSFPVISPAPHEVEVPSDHTHAPFSSHPQPPTEKVPDSPSPMPVVPSHQFTPGKGKGNPSSAPSPLLPPEPYHPALPARIRPKVHSPPPYAPVPFHSIDSQGTPAPTQSIVAPFTHNRGHVQFPVASPSGPMHSKPMAPKMQPIHALPPPPPSLDCTPPTCYDPLTNSRPGSPCACVLPIKVGLRLSIALFTFFPLVFEFAQEIAFGTFMDQSQVRIMGANAASEEPDKTDVLIDLLPLGDIFENSTVFLVYERFWHKQVFINSSYFGDYEVLYVRYPGLPPSPPTAPGNININDGSYGNDNNPRTIHPLAVDVRRQKEKQNHSLVAVLAISAVIAFILCVGAVWLLLLKFRAGSHLPQTPQALTRPLAKASGIGPTMLGSKQTSASASFSSSMRTYAGSVKTFSLAEMEKATNKFDNLKIIGEGGFGRVYEGTLEDGTRVAIKVLKRDDQEGNREFLAEVEMLSRLHHRNLIKLIGICTEEHNCLVYELVPSGSVESHLHGADKETTPLNWNTRLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEDDFTPKVSDFGLARTAMGEGNEYISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMLRPPGQENLVTWARPLLTSRDGLESIIDPSLGNDIPFDSLAKVGAIASMCVQPEVDQRPFMGEVVQALKLVYNEGDDCRVSGNFSPEETSTLDKEPSISGGWDLGSGRVLSESVILNVSARFTRDASGSFHQYSSSGPLRPGSSQQFWHRARGLTSGSASEHGTFDKGLEAGDQWV >Ma06_p37690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36678697:36688026:-1 gene:Ma06_g37690 transcript:Ma06_t37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRRRVLCPWLLCALVVLFSIHGSKGPYFKRQLVSQRMLLQMRPGLPTTNIKMEIKTLEMLDFQTPALGSYISPSLDLATMVPHIKEKAPPPMHLSEPLTNYAPSPSIVTQGSVLNSPTVLPKSEVLPPTVQAVVPSTQPSAPPDHVAKAEKPRMRPSVQSPAPDVPVSTSISSVGVPDADFHGKAPDNISPVVATVTQGPAVSPTSFSSPAIHGNRDGMPVAASPKISQTSLSNYSHAKVPAVSPSSLTSPAVSWNRHNVPVPAPQMESSSHLSPTNYSQPKVLAVSPSLIPSPNSHSDSHGAPVAAPPKELSNHGPPDNHLNQKGSPFSPVSSISPVNHERNNGKSVAPPPKYRPKHSIPVNYSHSKGPATPPLTSMSPAIYKSYGVPVAAPPKNPSNHSTRIIYSHPKGPAMSPVAALSPAIHENHNMPVAGPPEDRSNHSTSVNYSHPKVPAMSPLTAAPPATSERHGMPVASPPKERSSHISPVNHPHLRGSFPVISPAPHEVEVPSDHTHAPFSSHPQPPTEKVPDSPSPMPVVPSHQFTPGKGKGNPSSAPSPLLPPEPYHPALPARIRPKVHSPPPYAPVPFHSIDSQGTPAPTQSIVAPFTHNRGHVQFPVASPSGPMHSKPMAPKMQPIHALPPPPPSLDCTPPTCYDPLTNSRPGSPCACVLPIKVGLRLSIALFTFFPLVFEFAQEIAFGTFMDQSQVRIMGANAASEEPDKTDVLIDLLPLGDIFENSTVFLVYERFWHKQVFINSSYFGDYEVLYVRYPGLPPSPPTAPGNININDGSYGNDNNPRTIHPLAVDVRRQKEKQNHSLVAVLAISAVIAFILCVGAVWLLLLKFRAGSHLPQTPQALTRPLAKASGIGPTMLGSKQTSASASFSSSMRTYAGSVKTFSLAEMEKATNKFDNLKIIGEGGFGRVYEGTLEDGTRVAIKVLKRDDQEGNREFLAEVEMLSRLHHRNLIKLIGICTEEHNCLVYELVPSGSVESHLHGADKETTPLNWNTRLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEDDFTPKVSDFGLARTAMGEGNEYISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMLRPPGQENLVTWARPLLTSRDGLESIIDPSLGNDIPFDSLAKVGAIASMCVQPEVDQRPFMGEVVQALKLVYNEGDDCRVSGNFSPEETSTLDKEPSISGGWDLGSGRVLSESVILNVSARFTRDASGSFHQYSSSGPLRPGSSQQFWHRARGLTSGSASEHGTFDKGLEAGDQWV >Ma06_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4571897:4576719:1 gene:Ma06_g06250 transcript:Ma06_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPHLGDGSSSAGSSRGAFLYLPSSAVATTTTSAPPPPRSAVYEQSYYYYPTSHPYFHTPPPKTEAGSSQFQTSRVETLSQGVISQSDIEEIKARIMSHPRYSTLLGAFVDCQKVGAPPEVVERLSAITHELASRPRFHSGSYPDPELDQFMESYCEMLIKYREELTRPLQEATDFLKKMESQFNALTNTSTRGIFSSDEKCGGGGVDSSTEEEQDASGGEAELREIDPHAEDKELKHHLLKKYGNYLSSLRQQLSKKKKKEKLPKDARQKLLHWWELHYTWPYPSEAEKVALAESTGLDQKQINNWFINQRKRHWKPSADMQFALMDGFHPQNAAAALYTQWQSMGDGTYHLHP >Ma02_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25629339:25630484:1 gene:Ma02_g19470 transcript:Ma02_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGRSMRASVGGLAWLLCLGLFISACVVGGGTNATPPVTVPIVASSRDRPLGDRSLDPLRASKRRVPNGPDPIHNRRAGRFGRPPVRA >Ma04_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20301721:20321131:-1 gene:Ma04_g18400 transcript:Ma04_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDRGGNGSRVETGASYRRRINGALDKHLERSSTATSEVLNRRERERDRLSVPSTSSGKHLEHQDQRSASLSKNKYSDESETDSEESDVSGSDGEDTSWISWLCNLKGNEFFCEVDDEYIQDDFNLCGLSSQVPYYAYALDLILDVEPSHDDLFTEEQNKLVEAAAEMLYGLIHVRYILTSKGMAAMLEKFKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNVDGAYFGTTFPHLFLMTYGHLKLKKPSQRYTPRVFGFKIHNP >Ma04_p18400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20301721:20321131:-1 gene:Ma04_g18400 transcript:Ma04_t18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDRGGNGSRVETGASYRRRINGALDKHLERSSTATSEVLNRRERERDRLSVPSTSSGKHLEHQDQRSASLSKNKYSDESETDSEESDVSGSDGEDTSWISWLCNLKGNEFFCEVDDEYIQDDFNLCGLSSQVPYYAYALDLILDVEPSHDDLFTEEQNKLVEAAAEMLYGLIHVRYILTSKGMAAMLEKFKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGSNVDGAYFGTTFPHLFLMTYGHLKLKKPSQRYTPRVFGFKIHNP >Ma04_p34150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33469225:33470511:-1 gene:Ma04_g34150 transcript:Ma04_t34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIAFAIFLAAVAAGAAADGFRVDLIHRDSPRSPLYDPSSTAFDRVRAAAERSALRPSRVARAGLAASGDTSIEAQVLPDLTEYLIEVELGTPKFKVVAIVDTGSDLIWANCKPCTQCYEQTPLLFDPKDSSTYRDLACDSRPCKELPVSGCSSNSKCQYQYIYGDGSQVVGNLASETFTFTTTGSNTIAIPSMTFGCSHQSGGIFSKRTGGLVGLGPGQLSLVSQLGSSIDGKFSYCLVPLSQTSATSKLVFGDGSGASGSDVLTTPLTIQDSFYYLTLNGISVGDTNISATSPTTSGSPNIIIDSGTTLNILSPEMAYELGKAVKDIVNLPVANDPELSTYAACFHVKGSRDYKFPDITYNFEGAPLKLGPLNTFLEVAQDVVCLAASSSVDLQIFGNVAQQNLHVEFDLVANELSFAQADCTDF >Ma09_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4205903:4213675:-1 gene:Ma09_g06560 transcript:Ma09_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKNSPDLDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAVRILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTTAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARKYLSNMRKKLSVPFSQKFPNADPLALKLLERLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRLTKEDIRELIFREILEYHPQLLKDYMNGTQSANFLYPSAVDQFRKQFAYLEENGGKSGPVIPLDRKHVSLPRSTVVHSATIPPMEQPNMGPSRDKQGTVEACKNPRDNERSSGSIAKASQAQRIPTARPGKVVGPVVPYDIGSMKDAYDPRRFIRNPVLPLQSAIPPFCFHGPAGKLGSSNGDPVEAEGESVQHKPPPHPCIPSKMAPDIALDMRASPFNGIVAAATAGGHRKVGTIQFGMTRMY >Ma11_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24366300:24368076:-1 gene:Ma11_g19530 transcript:Ma11_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHRGESRRGDEPGKTCEPDAGALASGNGCFECNICLDVAAEPVVTLCGHLYCWPCIYRWLQQAESAAPQLCPVCKAALSLDALVPLYGRGHRGCGRKPHPGVEVPRRPRPARRGAIGPNEARYPEIRPHRYLQPSHSMAGGVLGGLAVAVLSWMVRDQEWASVDYSNPNHMGGNEGSRRRRRREMELERSLHQIWVFLLCCAIVCLIFF >Ma01_p11000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7891702:7897063:1 gene:Ma01_g11000 transcript:Ma01_t11000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEASCSPSWTREQDKAFEYALATHHEDCDNRWEKIAVDVPGKTIEDIKHHYELLVEDVNGIESGRVPLPCYPSSSEGGDLANEGGGSKKGGHSHGDSAHGGKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKDRRRTSIHDITTVTNGDTPTPQGPITGQINASVATAGKSAKHSLQSAADPAGVGIFGTTIGQPVVGGPLMPAVGTPVNLPVPAGSHIGYPVRTPASISSTTYQMPPTSSGS >Ma01_p11000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7891702:7897063:1 gene:Ma01_g11000 transcript:Ma01_t11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEASCSPSWTREQDKAFEYALATHHEDCDNRWEKIAVDVPGKTIEDIKHHYELLVEDVNGIESGRVPLPCYPSSSEGGDLANEGGGSKKGGHSHGDSAHGGKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKDRRRTSIHDITTVTNGDTPTPQGPITGQINASVATAGKSAKHSLQSAADPAGVGIFGTTIGQPVVGGPLMPAVGTPVNLPVPAGSHIGYPVRTPASISSTTYQMPPTSSGS >Ma01_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7891702:7897063:1 gene:Ma01_g11000 transcript:Ma01_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEASCSPSWTREQDKAFEYALATHHEDCDNRWEKIAVDVPGKTIEDIKHHYELLVEDVNGIESGRVPLPCYPSSSEGGDLANEGGGSKKGGHSHGDSAHGGKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKDRRRTSIHDITTVTNGDTPTPQGPITGQINASVATAGKSAKHSLQSAADPAGVGIFGTTIGQPVVGGPLMPAVGTPVNLPVPAGSHIGYPVRTPASISSTTYQMPPTSSGS >Ma01_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4600926:4605341:1 gene:Ma01_g06420 transcript:Ma01_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHARIINPSLTTTSVEFYSTEVAAELLVIALKRPPVIVKQQRAVTTTVELRPQRSHHLHDWYCGNGYSGNSSHGQGKEEEQRVVVIMGATGTGKSKLSVDLSVMFSGEVVNSDKIQVYRGLDITTNKIPVVERRGVPHHLLGELDPAAGELPPVGFRALAERAIGDISARGRVPVLAGGSNSFIHALLSDRYDPRRDPFGPAGARWRRVTEVEGLRYRCCLLWVHVEAAVLAEHLDRRVDEMVGEGMVEELKRYFAAEGKAERHPGLGKAIGVPEFRGYFTGRGGRTAAAYEAAVAAIKSNTRRLAEEQVRKIERLAALGWPLRLVNATNTVAARLAGEGPAAATTAPWRRDVAGPSAAAVERFLENNDWDHRRSRSRHRLTRTRTRAVLPPIKVC >Ma04_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24497832:24499282:1 gene:Ma04_g22120 transcript:Ma04_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQGYIDFESQPWPKISRGAKNLIRKMLTKDPKRRITAAQALEDPWLKEAGEASDKPIDSAVLTRMKQFRAMNKLKKLALKVIATNLSEEDLKGLQHTFNNIDTDKSGTITLEELKTGLRRLGSKLTEDEIKQLMDAADVDKNGTIDYLEFITATMHRHKLEKEEHLLKAFEYFDKDHSGYITRDELKHAMSQYGMGDEATINEVLDDVDTDKDGRISYEEFVAMMRKGHT >Ma06_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3951496:3951948:-1 gene:Ma06_g05310 transcript:Ma06_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSKEGSKTTEGATLQQVLKKWKKLAAAPKDNSKKSIKFLKKTLSFSNAWAQSTGVPKGFLAVCVGEEMKRFVIPTDYLHHEAFQVLLREAEEEYGFRQEGVLRIPCQVAAFERILEMVQKKKEGFCYISPEVEAEMAMYHLPHKMMCR >Ma07_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9244803:9246897:-1 gene:Ma07_g12360 transcript:Ma07_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGAKKKTAKVMKIDGTTFKVKPPARAINVLRDHRGHDLLESGEVSRLGLRARPLDPDAPLKPGKLYFLVELPTRRAPRRAWSGKLQVSAKERLESLRLTRRSMSDLSLAGRSFAADVEEAKDGAVRLRMRLPKVQVEKLMQESRDAAEAAQKIMRLCVEKDGAAAATARVLQPMIPAVQTDRQKERQTRFAVTPDEIIAYV >Ma04_p26260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27782833:27784197:1 gene:Ma04_g26260 transcript:Ma04_t26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEVSGDGAKVEEENMAAWLVAVNTLKIQPFRLPPLGPHDVRVRIKAVGICGSDVHHLKTMRCAHFVVKEPMVIGHECAGVIEEVGCEVKSLVVGDRVALEPGISCGHCKYCKGGRYNLCRSMRFFGSPPVNGSLANQVVHPANLCFRLPENVSLEEGQCVSPVVLRFMLAVMLL >Ma09_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9911795:9922324:1 gene:Ma09_g14520 transcript:Ma09_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGVCSSYSSSALRHRRPLLPPKPIPSSRHAAAIPIFPSGRLPHLRPPCSIADLPRSPSTTSSASLPPEKKTLGIEKDPIKLWRRYVDWLYQHKELGLFLDVSRIAFTDEFLAEMEPRLQKAFHAMQELEKGAIANPDEGRMVGHYWLRDPKLAPNSFLRLQIENTLDAICKFADDIISAKIKPPSSPAGRFTQILSVGIGGSSLGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFSKQGVAITQQNSLLDNAARIEGWLARFPMFDWVGGRTSEMSAVGLLPASLQGIDIKEMLVGASLMDEANRTTVVRNNPAALLALCWYWASDGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGITVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESITVTVQEVTPRSVGALVALYERAVGIYACLININAYHQPGVEAGKKAAGDVLALQKRVLSILNEASCKEPVEPLTLDEIAERCHAPEQIEMIYKIIAHVAANDRALIAEGNCGSPRSVKVFLGECNVDELYD >Ma07_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3566256:3572789:1 gene:Ma07_g04870 transcript:Ma07_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDRFFSPVEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFSNTDALIYVVDSLDRERIGKAKEEFQAIIRDPFMLSSVILVFANKQDLKGAMTPVEVSEGLGLYDLRNRTWHVQETCALSGDGLYEGLDWLVTALKELQVSGRHIT >Ma03_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6469889:6478276:-1 gene:Ma03_g08810 transcript:Ma03_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTCFGSPSQREEEEEVKKKRREGKGGGDRKREPSSRRGSSDKLTARTGSDSKKEASASKEGNAGHIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYRGRLENGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMRLGSLEDHLHDIPADKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLSEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTRPAGEQNLVAWARPLFKERRKFPKMADPLLQGHYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALSYLASQTYDQNAATAQNTRVGPSTPRSRSGFDNQQAVHSPHRNSPDLRQRDLVKGVSKGAKHGRGGSGGGSGRKWGLDELETQESQMDSPVHVGRARDSPKNIDRDVVREHAVAEAKVWGENSRERDSRKMH >Ma05_p30740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41002020:41005560:-1 gene:Ma05_g30740 transcript:Ma05_t30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDRSKKRSHLLKKALVHFSLCFVMGFFTGFAPHSTATLFHHRPVKSVGVVPSAPVDPMERVIDASLIQIPRSFPAAVLPEGDANPPPPKDVGRGHEPQSPSRRLLIVVTTTRSNDRLQGAYLRRLAHTLRLVPPPLLWVVVQAHADALATAEMLRTTGVVYRHLTFMENFTDPEAEADHQRNVALSHVEYHRLAGIVLFAAASNVYDLRFFDEIREIEAFGTWTVAVMSTNRKRAALDGPICHSSKVEGWILKDLSDDKRLLVTSTDLNQKPPKINISGFGFNSSILWDPERWGRPTSLPDTSQDSIKFVHDVILEDETKLKGIPADCSRIMVWQL >Ma01_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1815836:1817283:-1 gene:Ma01_g02760 transcript:Ma01_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDILHDGSTRNFFHCGNPPPPLSESMVDDGIPDDAPAYHNFGEMVGHGKESLKMEGSARTESGSDGSDDDEEHRPARRSGKQHCSKNLFAERKRRKKLNDRLFALRALVPKITKMDRASILGDAIEYVMDLQKQVKDLQDELEETNQEDAGHDKQIGSNLHNSNGQMDVPIANGWTDHDDSGNNPRTVAAADDNKPSSDKGQQMEVIDHLYMFYRRNARKPSLLHLDANEQPQVEVRQLEANEFFVKVLCEHKQGGFARLMEAMSSLGLEVTDASVTSYESLVLNVFRVERRDAQVVEADRVRDSLLEVTRDPQGWSGLAQAAEQQQQQLGFHHHLRYLHHQA >Ma11_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11479680:11501625:-1 gene:Ma11_g11070 transcript:Ma11_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSLKSSIYDREEKKMQYQSQIRGLNAYDRHNKFMKDYVQFYGKTSHTHDKLPIKTDQDTIREGYRFIISEDDDMESTWEKRLVKRYYNKLFKEYCIADMSLYKQGKIGLRWRTEKEVVSGKGQFICGDKKCNEKDGLGSYEVNFSYVEVGENKQALVKLVACKGCAEKLAYRRQKERERLKDNDRDTSKRKREKDKDNDIDDEYDKNSNTIKKGRRTSASVTKETDAVEDFEEFLEGMFP >Ma11_p11070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11479680:11501625:-1 gene:Ma11_g11070 transcript:Ma11_t11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSLKSSIYDREEKKMQYQSQIRGLNAYDRHNKFMKDYVQFYGKTSHTHDKLPIKTDQDTIREGYRFIISEDDDMESTWEKRLVKRYYNKLFKEYCIADMSLYKQGKIGLRWRTEKEVVSGKGQFICGDKKCNEKDGLGSYEVNFSYVEVGENKQALVKLVACKGSKDFSFSD >Ma06_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15679425:15679649:1 gene:Ma06_g21420 transcript:Ma06_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEVSVVLSSSLIICSFDAEEESVEAKICRLPSIGFRGPCTSDKNCAKVCRREGFDSGDCEGYTMGCLCNKHC >Ma05_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:921230:922279:1 gene:Ma05_g01510 transcript:Ma05_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCNLLPRLVKEGSDRNCGSSAVCDTICLQALSKRIHYGKFVAEAKFLESPDVYKHAIRAQDSDQLMRLLTYESVETLIKQRVEAKAKIFGQEVTVSEKDVGPPVFKIKPSLFAELYGDWIMPLTKEVQLMYLLRRLD >Ma03_p28110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31268659:31269879:-1 gene:Ma03_g28110 transcript:Ma03_t28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISTLSILFMVWTIKAVDGAGIGISYGRAASNLPPPVQVAQVLAHSATFDCVKLFDLRFAHKWVRTNIVPRVDDTNNARILVGNEVISTANRSLVSSLVPAMQNLHTVLTSLSLQHRIKVASPQSLGVLSTSNPPSTGKFREGRVAEVMRPLLSFLRATGSPFTVNAHPFFRFAVDTVDYALFRLNPGVEDQNTGLVYSNMLDGQLDAVFSAIKRLGFDDVDIVISETGWPSVGDPRELGVNVDNARDYNKNLVQHVSSGTDTPLKPNRTFEAYIFSLFDENLKPGPLSQRNFGLFHPDLLPVYIGVLTTEVGGHATAAVQTLNPNTLRFKTMVHSEAEHRRPIVA >Ma06_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12475352:12476679:1 gene:Ma06_g18360 transcript:Ma06_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQGLALEASWKSFDPLMSVEESEVMTQLFCSMQDQDPSTGIQHLLCFDHNANTFHCSDDSGCNPYYWNQGICTPAADEGYYLLEQIATPSFPVEVSSDEAVESIPKPHLPDPHASQAIKRGLHVGDHEVPAMVEEDDNHVGSLRKKARAMAPVTSKKVHRSGRYADEEERNGDMNLHGSCCYSSEDDSNGSQELKGAGATSCSSKGSAVMNPNERKTRAGRGSATDPQSLYARKRRQRINERLRILQSLIPNGTKVDISTMLEEAVRYVKFLQLQIKLLSSEELWMYAPIAYNGMNLGFDLKISPQSQQ >Ma05_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5258807:5260215:-1 gene:Ma05_g07220 transcript:Ma05_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAREKLDEVGKKIKREVDVSDHMARPGPVGTLNKTTPCAACKLLRRRCAQECPFSPYFSPHEPQKFASAHKVFGASNVSKMLMEVSESQRADAANSLVYEADIRLRDPVYGCMGVISALQQQVQALEAELAAVKAEILKYKYRQTNAAILPTSHATALLASSVEISVAAPPRLTTTAPATSSSSMYTAASSSTDYSSITNENVAYFG >Ma04_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15264237:15272762:1 gene:Ma04_g16350 transcript:Ma04_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKDVIRLERESVIPILKPKLVMKLAVLVEHDYDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPNFLLYFFQVMEQSNFKIVTDEEIDVAHCGQYLLNLPIKVDESKLDKRLLSRYFNDHPHENLPEFSDKYVIFRRGIGVDQTTDYFIMEKLDMIISRIWLWFLKKTRLQILFFRRLSRTTKSYSKKTDKTNPDSEEDLYVECIRIENMELSMQNLFGKIRIQEPAFDRMIVVYRRAGTKNMVDRGIFVKHFKNIPMADMELVLSEKKNPSLTPMDWVKFLISVIIGLVTLVSSLEMPKADIWVAIAILSGVVSIALSCNFALQVSAKHGTYRNLITQSMYDRQLDSGRGTLLHLCDDVIQQEVKEVIVSYFILMEQGKATMEDLDIRCEELIQEEFGMKCNFEVLDAVQKLERLWNCCERLYWKNILPFFEAGQRDHRPHHRGVGIKGHTEPCLTFPG >Ma08_p12390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9381273:9384190:-1 gene:Ma08_g12390 transcript:Ma08_t12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVFPVGQESLEDKHKKNNAGVENDNHYTLSECKLDCEHVTNKLPLILDIKQPKRCRRCCQLHKSCSRTNQFVLSDSFDFSSGTHEADFGLETLRIFCRKSSSLTLPGLKSNSKQQRKSRSTHSVTDLVAECSPVARSGNIVVSPWNRRVFDSIEATLRSRGLMKSPVILGTASPDDDMKRVRTKSISLSDAKSPESISFHSGLQNSTSGSPRIVATPCRRQSSELSISQEMPSSCSDTSYRTDSSAGFNSALLHCVWEDGNPYFVFSVGEDQADVYMASPHKTKSSADRALDYIYLFHACKNNRKKSEFHLHNGSIFVAKMKVRSSLVLNSSRTKFVESEFVLSAAKEDSFNEMQRSSPCFTKSKGMTGRLSEIFRRSHRYKSNSIKRVGGGSSCQVEDLPQTYASELGIIDETGCPKLPSDDIPHNLELAAIVVQDFGYQSKHLASFDGWGLKFLEKAGTDSSQGPPSSSARYKENYTEHMNSDRNVSVLLPAGFHGGPMARAGGPSSLTERWRSNGHCDCGGWDVGCPLTILSNNNSIYSEGLEETEDDCKPLRLFIEVS >Ma08_p12390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9381273:9385291:-1 gene:Ma08_g12390 transcript:Ma08_t12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVFPVGQESLEDKHKKNNAGVENDNHYTLSECKLDCEHVTNKLPLILDIKQPKRCRRCCQLHKSCSRTNQFVLSDSFDFSSGTHEADFGLETLRIFCRKSSSLTLPGLKSNSKQQRKSRSTHSVTDLVAECSPVARSGNIVVSPWNRRVFDSIEATLRSRGLMKSPVILGTASPDDDMKRVRTKSISLSDAKSPESISFHSGLQNSTSGSPRIVATPCRRQSSELSISQEMPSSCSDTSYRTDSSAGFNSALLHCVWEDGNPYFVFSVGEDQADVYMASPHKTKSSADRALDYIYLFHACKNNRKKSEFHLHNGSIFVAKMKVRSSLVLNSSRTKFVESEFVLSAAKEDSFNEMQRSSPCFTKSKGMTGRLSEIFRRSHRYKSNSIKRVGGGSSCQVEDLPQTYASELGIIDETGCPKLPSDDIPHNLELAAIVVQDFGYQSKHLASFDGWGLKFLEKAGTDSSQGPPSSSARYKENYTEHMNSDRNVSVLLPAGFHGGPMARAGGPSSLTERWRSNGHCDCGGWDVGCPLTILSNNNSIYSEGLEETEDDCKPLRLFIEVS >Ma08_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9381273:9385416:-1 gene:Ma08_g12390 transcript:Ma08_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVFPVGQESLEDKHKKNNAGVENDNHYTLSECKLDCEHVTNKLPLILDIKQPKRCRRCCQLHKSCSRTNQFVLSDSFDFSSGTHEADFGLETLRIFCRKSSSLTLPGLKSNSKQQRKSRSTHSVTDLVAECSPVARSGNIVVSPWNRRVFDSIEATLRSRGLMKSPVILGTASPDDDMKRVRTKSISLSDAKSPESISFHSGLQNSTSGSPRIVATPCRRQSSELSISQEMPSSCSDTSYRTDSSAGFNSALLHCVWEDGNPYFVFSVGEDQADVYMASPHKTKSSADRALDYIYLFHACKNNRKKSEFHLHNGSIFVAKMKVRSSLVLNSSRTKFVESEFVLSAAKEDSFNEMQRSSPCFTKSKGMTGRLSEIFRRSHRYKSNSIKRVGGGSSCQVEDLPQTYASELGIIDETGCPKLPSDDIPHNLELAAIVVQDFGYQSKHLASFDGWGLKFLEKAGTDSSQGPPSSSARYKENYTEHMNSDRNVSVLLPAGFHGGPMARAGGPSSLTERWRSNGHCDCGGWDVGCPLTILSNNNSIYSEGLEETEDDCKPLRLFIEVS >Ma08_p12390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9381273:9385291:-1 gene:Ma08_g12390 transcript:Ma08_t12390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVFPVGQESLEDKHKKNNAGVENDNHYTLSECKLDCEHVTNKLPLILDIKQPKRCRRCCQLHKSCSRTNQFVLSDSFDFSSGTHEADFGLETLRIFCRKSSSLTLPGLKSNSKQQRKSRSTHSVTDLVAECSPVARSGNIVVSPWNRRVFDSIEATLRSRGLMKSPVILGTASPDDDMKRVRTKSISLSDAKSPESISFHSGLQNSTSGSPRIVATPCRRQSSELSISQEMPSSCSDTSYRTDSSAGFNSALLHCVWEDGNPYFVFSVGEDQADVYMASPHKTKSSADRALDYIYLFHACKNNRKKSEFHLHNGSIFVAKMKVRSSLVLNSSRTKFVESEFVLSAAKEDSFNEMQRSSPCFTKSKGMTGRLSEIFRRSHRYKSNSIKRVGGGSSCQVEDLPQTYASELGIIDETGCPKLPSDDIPHNLELAAIVVQDFGYQSKHLASFDGWGLKFLEKAGTDSSQGPPSSSARYKENYTEHMNSDRNVSVLLPAGFHGGPMARAGGPSSLTERWRSNGHCDCGGWDVGCPLTILSNNNSIYSEGLEETEDDCKPLRLFIEVS >Ma10_p26240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34020558:34020854:1 gene:Ma10_g26240 transcript:Ma10_t26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACILMPNRVAIATLSSPSWLLKPTYCKHAILLRMKKEKQSEKPALSNNIKI >Ma03_p27980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31192213:31194443:1 gene:Ma03_g27980 transcript:Ma03_t27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNLGNNESTESCTDSVISCLPREGMEFDSEQDAHEFYTQYGWKTGFSIRREYGNRSRKTDQITSRKFTCSKEGFRGPDKRQEKVRHPKSPRPETRTGCLAHMTIKLSKTNGKYLICSFEAEHNHPLHIPSCSYLIRSNPKISEAQGSEVLLANDYSLCKQDHRNHLSTKRQRDMKYGEAGSLLKYFQTQLMENPSFYYAVQLDADEKIANVFWTDPRMIINYCHFGDVVSFETKFRSNKELRPFASFVGFNHHRETIVFGAALLYDETTASFQWLFETFLEAMSGKKPKTMFTDQDDAISKAVSLVMPETYHQFCISHMKQNAGKNLSQLFKGDCDFKKEFKACISQYEEVNEFLHAWDAMLDKYSIHDNSWLQKIFEVKEKWARAYIKYSFSAGIRSSNLSESLNSSLRNYLKSDMDLVQFFRHFERVFNDNWYKELESEYNSREKLPKFKIKAPMLMQTAVIYTNNIFQLFQSEYEEFQSAYITYRNESGPTHEYLVAICDQPTVYKVIGDPLEQSVSCTCRKFETHGYLCSHAVKVLDAMDIKYLPSKYISRRWSKDAWDESMKDQDGKNIQLTTKMKASMHYRYLCPKYVRLVARASECEEAYKFLDKCSADLSMKVEEIIQKGKDINEAAFETRDPLSLSTSYQKDESVKDFESSDIIRAKGLKN >Ma03_p27520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30882551:30884161:-1 gene:Ma03_g27520 transcript:Ma03_t27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQAGPGVAPSTRPQWATGPPGRTSLVRRLQEQAPNSTQVIGFLTFVVSGAILLLLTGLTLTGAVMALIFFGPIILLSSPIWVPAVFVMFVATVVVLSACGLGVAVLAGSSWLYRYFMGRHPMGSDRVDYARSRIADTATHVKDYAREYSGYLKSRVKDAAPGA >Ma08_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7765238:7766798:1 gene:Ma08_g10610 transcript:Ma08_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNMKAKGKGKQAGGKPSRAYVTFLAGDGDYVKGAVGLAKGLRKVGSAYPLVVAVLPDVPESHRRLLAAQGCIVREIEPVYPPESQTRFAMAYYVINYSKLRLWEFVEYKKMVYLDADIQVFENIDHLFDLPDGHFYAVMDCFCEKTWSHSPQYKIGYCQQCPDRVAWPSDELGPPPSLYFNAGMFVHEPSLATSESLLAALKITCPTPFAEQDFLNMFFKDIYKPIPLVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRFTGKEANMDRKDINVLVKRWWDVYHDESLDYKGPAVTSKQPLRGALPEAGPVKYVTAPSAA >Ma04_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7333097:7334601:1 gene:Ma04_g10270 transcript:Ma04_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRDAEAELNLPPGFRFHPTDEELVVHYLCRKMACLCLPAPIIVDIDLYKHNPWELPDKASFGQREWYFFTPRNRKYLNGSRPNRAAGKGYWKATGADKPISAKGSERTLGIKKALVFYTGKAPRGVKTDWIMHEYRLADASRSSNKGSLRLDDWVLCRLYNKKNTWEKMQQQQETSSGETMGSVGETGSDSLRTPEFDVEHKVGLPYFDDLGYPSQAAARMQASSNSKTTAGIQMAEESQKEDNEWFMDLKLDDLQSSYMNVRSMQMMDATNQDFVPPVPRPNHTDMLPF >Ma05_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32016430:32018110:1 gene:Ma05_g20370 transcript:Ma05_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDQESPFKELNLKNRRVMGGGGPADDNRWPPWLRPLLSTRFFVPCKLHADSHKSECNMYCLDCTGGALCSLCLNHHRDHRTIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKISGTGNDYNGSRTNKSTTKKAMAEASDSEESYTSSSRGNEKSNNVKQSFTPSTPPPAVVSYRSAKRRKGIPHRAPFGSRILEF >Ma04_p31390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31703155:31710328:-1 gene:Ma04_g31390 transcript:Ma04_t31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase [Source:Projected from Arabidopsis thaliana (AT4G24040) UniProtKB/Swiss-Prot;Acc:Q9SU50] MPQCVVSSIHNPKTSSYKPPSAPPVLLLLVIAAMLPAAASAAVVATTPLVAFLQRLQSAALATLGSDGFDPKLYVDLPLKRNLSEVEAAFAALPNVTGVVPAPELERFVDEYFGAAGSDLVQAAPADFVAEPEGFLPKVKHPKVRAWALEVHALWKNLSRREADDVKERPELHTLLSLPGSVIVPGSRFREVYYWDSYWSIRGLLASKMYETAKEIARNLLSLIEKYGFVLNGARAYYTNRSQPPLLSSMILEIYKKTHDLKLVKQSLPLLLKEHKFWNSEIHKVTIQVARGKKHSLSRYNAMWNNPRPESATTDEESASKLSTAAQKKNLYHQLASTAESGWDFSSRWMSNSSDLTTLETTSIIPVDLNAFIYKMESDIAFFAKLTGDSVTSESFLAASKARRVAITSIFWNSQKDQWLDYWLIKKSNSEEFYQWEAHHQNHNIFASNFVPLWIGAYSSDAYKDGPKVEKVLKSLQNSGLLQPAGIATSLTNTGQQWDFPNGWAPLQHMIVEGLANSGSEEARSLAEDIAVRWIRTNYAAYKKTGAMHEKYDVEACGKIGGGGEYKPQTGFGWSNGVVLAFLEEFGWPHDKEIDCDS >Ma02_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21818285:21818701:1 gene:Ma02_g13410 transcript:Ma02_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSWMFKCLEQSHMCCKKACLTPSLQELVLSLSLEVSSHRRVDEAKQAKSGFEPWKGSSFSRKLLL >Ma05_p27780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38929442:38929552:-1 gene:Ma05_g27780 transcript:Ma05_t27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDKFCIMELPKSNMSAAFNTISTLFQNDNIYYPN >Ma03_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28472639:28477898:-1 gene:Ma03_g24120 transcript:Ma03_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAQHICFAWQRVLAIHDGESRAVIGVTDMLQRLQQDALRLAGKALVDLFDITEATEIARFIKKEFDGSYRAGWQYMVGTHFGSFATCHNGYFIYLSIGSLAIVLLGGAPDAEADKSHFISLESVRVSCTCLCIHSGGGR >Ma09_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35749383:35761035:-1 gene:Ma09_g24080 transcript:Ma09_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDVSENSDITAFISLASYDQWFSLCPSGWCPPSRYKHATEIVNEKLYVIGGSRNGRYLSDVQVFDFRTLKWSTLSLKVDNDSSELEKINLENAFPAVADHSLVKWEKGLLVVAGHTKELSDNVTVWSIDLDTNNCSLVQTNGKIPIARGGQSATLVGSKLFLFGGEDRKRHLLNDLHILDLTTMTWDEIEIKNTSPAPRFDHTAAVYGDQYLLIFGGSSHSTCFNDLHLLDLLTMEWSQPQTQGAYVTPRGGHASTIVDENWYIVGGGDNMSGATETIVLNISKFVWSMATSVGNREPLASEGLTLCSTMIDGEKLLIAFGGYNGKYNNEVFVLKIKPKEPIRPRLLQSPAAAAAAASVTAAYAIIAATDEKNNSSKNIDDSNSKSNHESAQNDTDSLKAETKILKSRIVEVRDEKSRIQARIDELNISHTELLKELQSVQSQLAAESARCLKLETNIAQIQKSLVSFSSLENELEVLRHQKSQMEQETVDAQKQRSGGVWQWLSGTTQNSEQ >Ma07_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10716068:10719508:-1 gene:Ma07_g14300 transcript:Ma07_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSKSESDVTSLAPSSPPRSPKRPTYYVQSPSRDSHDGDKSSSMHATPVYNSPMESPSHPSFGRHSRTSSASRFSGPFRSSSGRKGHRKRVNDMGWPECNVIQEEGSYDDLDEDKGLSRRCQIILALLGFILLFTVFSLIIWGAARPYKPDAIVKSLSMDDFYAGEGTDSTGVPTKMVTVNCSLKISVYNTAAMFGIHVTSSPINLMFSEITIATGELQKYYQPRKSHRTVSVVLHGERVPLYGAGAGLALSSTGGEVPLTLDFDIISRGYVIGKLVRVKHRKHITCLLVADSSKNKPIKFAQRSCTYT >Ma05_p26620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38182249:38190637:1 gene:Ma05_g26620 transcript:Ma05_t26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPGTPRWNLDRPFLTGRFHQEIKAPAQAAGSRLFSMESFSRGSDNVIGSYPVSVQELLVIDDLLSALVGIEGRYISIKRVRGKAGHVIFHIDPSMDLALQELTERIFPLCQNYVLINHFVESKSHFKSGLVNHAFAAALRALLLDYQAMVAQLEHQFLLGRLSVQGLWFFCQPMMGSLHALSIVVEKASSNNFSGATTLNLLQSQAKAMAGDNAVRSLLEKMTQRASSAYLAILERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDTKYWVQRYSLKDGIPSFLVSVAGIILTTGKYLNVMRECGHNVQVPLSENSKLTSIESNHHYLECIKSAYDFASGELLNLIKHKYDLIGKLRSMKRYFLLDQGDYLVHFMDISRDELAKRPEEISVEKLQSLLDLALRSTAAASDPCHEELTCCVERVSLLKRLSTLKDLDSTEPSEGNILPDSDPQSELFSITGLETFCLSYKVQWPLSLIIPRKALTKYQLIFRLLFHCKHVNRQLCMAWQVHQGFRAINILGTAILRSSILCRSMIKFINSLLHYLTFEVLEPNWHLMHDRLQTVKSVDEVIQFHDFFLQKCLKECLLLLPQVFKKIEKLKSVCLQYAASIQLLVPSMYVPEVASTNDGTGSFGLGRSKPWRSKNKNRQLKLAAENTIICDSIMKFEKEFNDELQSLVPILSSSSQAEPYLTHLAQCILGLGSEQ >Ma03_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22419091:22422856:-1 gene:Ma03_g17170 transcript:Ma03_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWRSESGAMTFDEVSMERSKTFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKNYAVRALVNAIDHLGTVAYKLTDLFEQQMLDISTIEMKISSLNERTFTCQAYVDKEGLNQLQMSARTSRHHKHYILPDSVCNDVQNKSHIPADGNVNPIQAKSHSHAPGKSTSKTLSWHLASEVNSASNGSPLTAPCTQDAKAPKVNSEVFHLLVAEEPAPSLPLSSHLRASSGNVTFDMTSDKFGSMDPLGASKPSTSKTFGTHSKLEIYRPHSRSKSMLSTFFTKNKTFKTRKVSVP >Ma07_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7907279:7911030:1 gene:Ma07_g10610 transcript:Ma07_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPNNDCAGWLFASDFIWDARIIDGPSASSAMLGFDVLSKEDNCPNNGSRKKRNRVESCAAPGTKACREKLRRDRLNDRFTELCSVMDPGKPPKTDKSAILSDATRLMNHLRLEAKKLKDSNEAFKDAIKSLKHALQAEKLELRDEKMRLKAEKERTEQMLKAISTTPQFMTQPAAATPHSASVAAHIKTIPHPSYMPMGMWRWIPPAALDTSQDHVLRPPVA >Ma07_p10610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7908187:7911030:1 gene:Ma07_g10610 transcript:Ma07_t10610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPNNDCAGWLFASDFIWDARIIDGPSASSAMLGFDVLSKEDNCPNNGSRKKRNRVESCAAPGTKACREKLRRDRLNDRFTELCSVMDPGKPPKTDKSAILSDATRLMNHLRLEAKKLKDSNEAFKDAIKSLKHALQAEKLELRDEKMRLKAEKERTEQMLKAISTTPQFMTQPAAATPHSASVAAHIKTIPHPSYMPMGMWRWIPPAALDTSQDHVLRPPVA >Ma07_p10610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7908207:7911019:1 gene:Ma07_g10610 transcript:Ma07_t10610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPNNDCAGWLFASDFIWDARIIDGPSASSAMLGFDVLSKEDNCPNNGSRKKRNRVESCAAPGTKACREKLRRDRLNDRFTELCSVMDPGKPPKTDKSAILSDATRLMNHLRLEAKKLKDSNEAFKDAIKSLKAEKLELRDEKMRLKAEKERTEQMLKAISTTPQFMTQPAAATPHSASVAAHIKTIPHPSYMPMGMWRWIPPAALDTSQDHVLRPPVA >Ma04_p23980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26056576:26080813:1 gene:Ma04_g23980 transcript:Ma04_t23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCEDKEQDPRIRRIASSIRVVPDFPKKGIMFQDITTLLLDPKAFKDTADLFVERYTGKGISVVAGVEARGFIFGPPIALAIGAKFIPLRKPRKLPGEVISENYVLEYGTDCLQMHVGAVQPGERALVVDDLIATGGTLCAAISLLERAGAEVVECACVIELPELKGREKLNGKPLYILMESH >Ma07_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8908984:8917195:-1 gene:Ma07_g12000 transcript:Ma07_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLFFSALLCIGAPANALYSPSSPVVQLNPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAATVLKGVATIAALDADAHKSLAQEYGIKGFPTIKVFSPGKPPVDYQGARDVKPIAEFALQQVKALLKERLSGKASGDSSEKSEPSASVVLTSQNFDELVIKSKDVWIVEFFAPWCGHCKKLAPEWKKAANNLKGKVKLGHVDCDAEKSLMSRFNVQGFPTILVFGLDKSSPYPYEGARTALAIEAYGLEQLEANIAPTEVSELTGPDVMEDKCASAAICFVAFLPDILDSKAEGRNKYLEHLLSIAEKFKRSPYSFVWSAAGKQADLENHVGVGGYGYPALVALNVKKGIYAPLKSAFENDQIIEFIKEAGRGGKGNLPLQNTPTIVKIEPWDGNDGEIIEEDEFSLDELMGEDKSAKDEL >Ma07_p12000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8908984:8917196:-1 gene:Ma07_g12000 transcript:Ma07_t12000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLFFSALLCIGAPANALYSPSSPVVQLNPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAATVLKGVATIAALDADAHKSLAQEYGIKGFPTIKVFSPGKPPVDYQGARDVKPIAEFALQQVKALLKERLSGKASGDSSEKSEPSASVVLTSQNFDELVIKSKDVWIVEFFAPWCGHCKKLAPEWKKAANNLKGKVKLGHVDCDAEKQSLMSRFNVQGFPTILVFGLDKSSPYPYEGARTALAIEAYGLEQLEANIAPTEVSELTGPDVMEDKCASAAICFVAFLPDILDSKAEGRNKYLEHLLSIAEKFKRSPYSFVWSAAGKQADLENHVGVGGYGYPALVALNVKKGIYAPLKSAFENDQIIEFIKEAGRGGKGNLPLQNTPTIVKIEPWDGNDGEIIEEDEFSLDELMGEDKSAKDEL >Ma06_p32280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33209007:33220155:-1 gene:Ma06_g32280 transcript:Ma06_t32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MERETERPPPPQLHGVVIISLPPPDNPFKGKTITAFTLSDDAPPPPPHVPPAARSPLTRAARRSLLSPRSAAAAVLGASLLLFSLWICLFSEAPMQLLDEPSREEDGRRQEEERSFLFPLYPKSGGRALREVGGVKLGSISRKRKQSGKMETYASANSSTVLPIGGNLFPDGQYYTTLFVGSSPRPYYLDVDTGSDLTWIQCDAPCASCAKGPHPLYKPRKGNLVLPKDSLCLEVQKNQNNHYCDSCHQCDYEIEYADHSSSMGVLATDEMHLIAANGDRAKLNFVFGCAYDQQGQLLVSPAKTDGILGLSSSRISLASQLESQGIISNVIGHCIKRDADGGGYLFLGDDYVPRWGMTLIPRQNDLTNFYRAKVLKINYGGKQVIGGKRGYAIPVIFDTGSSYTYFPVEAYRKLITSLKTISNIFVQDSSDLTMPICWRANFPVRSFKDVKHFFQPLTLQFEKRWWIMSRSFTIPPEGYLMISNKGNVCLGILNGTEVHDGSTIILGDISLRGKLIVYDNVQRTIGWTHSDCNNPQKATGFPFFF >Ma04_p37130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35172744:35173371:-1 gene:Ma04_g37130 transcript:Ma04_t37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTTPSTRWCPTPEQLMILEEMYRSGVRTPNASQIQQITAHLSLYGKIEGKNVFYWFQNHKARERQKLRRRLGWQHQLLHQSEGPSSPTPPLHHQTPPNFPYQGCFHEVSSQGMNLVGKLEAIQGQEEEPTGRNVLYAQPCGSTDGPPCCRPLRTLDLFPTKSTGMADECSSSKSSACSTSTN >Ma03_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8460842:8464381:1 gene:Ma03_g11210 transcript:Ma03_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQHLLSSMLLLLFLSSFIQMAALGHTPSSTKLLYIVYMGERQHEDPNLVTASHHDMLSSVLGSKEEAVSSIVYSYKHGFSGFAAMLTESQANKIAEMPEVISCNPSRSVPLLTTRSWDYLDLGFEQPQPTGLLVRGNFGDDIIIGIVDTGIWPESRSFDDHGYGPVPSRWKGTCEVGQNFTVNHCNRKIIGARWYAGGVDPSLIEGDYQSPRDSEGHGTHTASTAAGSLVSDASFHGLGAGTARGGAPRARLAIYKACWAKAGCPYASVLKAIDDAIHDGVDILSLSLGAIHFSIFASIHAVAKGITVVFSGGNAGPVPQTIYNDLPWVITVAASTIDRSFPTLLTLGDNRTVVGQSILYESTDEGFKELVDGGSCSRDVLNSSDVVGKIVLCYQLAIASSSPPRRHFPLAASNVQEAGGKGIIFAQYSANILSFIEDICNGTVCVFVDYEIGKQIKDYVTNTRSPLVKVSLTQDKVGSGVMSPRVAAFSSRGPSILFPDLVKPDIAAPGFLILAAVKDSFKFESGTSMSCPHVSGVAALLKAAHPHWSPAAIKSALVTTAHTANAYGFPIEAEGVPRKHSDPFDFGGGHIDPNKAVDPGLIYDVDPEDYFKFFNCTYGPSTTCDLVDSRLYHLNLPSISIPDLKKRQLTVWRTVINVGDTDSIYRAMLESPSGVNMVVEPSLLQFNASTTTHTFAVMFTPLQMVQGDFNFGSLTWLDDGKHAVRIPIAVRVIIHDSFSDTS >Ma01_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4809642:4813202:1 gene:Ma01_g06690 transcript:Ma01_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPTAVAVKSLPADAAGAQPRKTRMHRLIEEEGIVLMPGIYDALSAAVLQSVGFKAGFVSGYAVSASRLGMPDIGLLTPPEMADAARAICAAAPDVAFIVDADTGGGNALNVQRTVKDIIATGAAGLFLEDQVWPKKCGHMQGKQVIPAGEHAAKIAAAREAIGDADFFLIARTDARATAGGLNEAIARANLYMEAGADACFVEAPRSDDEMREISKRTNGFRAANMLEGGFTPLHTPQELKELGFHLIVHSTTAVYASARALIDILKVMKDEGSSRDHLHKLTTFEEFNGLIGLKTYNETGARYEKFRVPSN >Ma03_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28306291:28307113:1 gene:Ma03_g23900 transcript:Ma03_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCCNQRTVLKFFSQGVASRYKKVICPHYGNRKCKSTFVYFLFGSSKENYVYNLYHQRKEISKELHQFCLDQGHADHNLIVKGEKPGNEHLAG >Ma07_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30214861:30221249:1 gene:Ma07_g22170 transcript:Ma07_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADAGEYSVRSGVELEGTVGLGLEIEGDRKEGGDPNGIACWVGEEKDGGRVGRSVVVENGGFDNSPRKDLDLDVKVDPEAIGDQETSDGNEEKGELIVENGDGYHESVDHVIELATTGASVCKDTTTFDDVKEVNVEADSKGTVAEEGHAESEADEPKDKINIDMLGEKESDAKGGMCEEEVEMSKEEVNVELSSENNQDHLVDNAKLENFRDKSEVELDSTTIEEGEKQGGETTVEEMNLEVESDATVEVEKKPENAVAAVNDHMSSGSSDDDDKRQEPEIVGIGTDQQEVPKSSTVECNDQEAKIMVNNIYHQLGQKVVDRMDRKLEIIAATTYDDGETDKIAPTLAEVTKEPRSMPSTPNNHDQEESVISEFQFMRTKDQVESELTTEVEPEEELKTGVTNFVDQAEPTPAAEVAKEQKSKTSVTEILPSESSVEQKEMRLLNGSNNLLTHKCLDKNRTVLDVNKRTTLQTVDDSESSESQNAKYISDSDAKLNKAEAGSEPIKNCNTIVVEHSNDLHPTEGSSSLDVPHVVYKEVEVPISMEELLDASDQSIGSCTQPCVEASPSEMEGGNFSAVDVPSPLDTNSGMPVESSQLANAGESMSDFANTCADIQDKLGNDVAGSDSHEAETGFNVAVDHVDKVKSNISLISSTEDGLCMTVNGNEEAVQGEAGVIEGIQVPTKDPSASNLGGEKVDTPSGKPQAIYIIRVPRYVDDQLSSKIQIAQSEVNEKTQRRDSIKVAIEKQKVTCNEFWKRFETAKAEERAARAAVTAKRQQIDSVQLMMNKLKNAHSIEELHDKIQTMEFELQHATMPLKEEKQYIRELKQLRQQVDQLTSSMGSEAEIDEVFDQKEVDERFKSLKKELEPLRTEVSRTEANSAAARKKYDEQQLLLKGLQQQFKDADAVRQKAYGHWRELKNVLIEKYKHFGMYKNDQKSAENYISSKDFEGLQLHCSKQVEKVMNLWNNDDEFRTQYVRSNMNSTLRRLKTSDGRSLGPDEEPPVLRSNQGKSPSFPLPPANISNSVPPVALEAKIEASKGVDLFPTLQAATKNQPVKTKKTAKPISDKTKETVVAKVLDREVENNEVDSRTKEEEERIKKEEELLKKEEELRKQKAEAELKEQCRLEQRAKAKEAEERKKRQAEKAHARAEYRAQKEAELREKKRAKKHKKKGVTGEDTTVSGAEEDPAPSTETSPPEAAREADVTATKNRPSRPVVTAKQYNKIQSIPLPLRKKGKRKMATWMWVLLTVLVVLLLFLAGNYISISGLTFPQSAF >Ma10_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25671265:25677511:-1 gene:Ma10_g12630 transcript:Ma10_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MEASVLAPRVPFLLRPPPPPRPSPRPIGFSSCRPALRLKSPWFAAAQKNAEEAVGAGDGEHAGLPEEFNDDEWQARQREKTKEWHAYRQKEEEEEKRKTNEYREIGMRLKGYPEEEVRKARKLVSSFIRSAEEVEEKIEEAAEKGELTELVLMVIWNRLDLARKDDEKDVIRSLDLLYRRIETEILKRESTPAMRLLNDLLNLHDGFDDEGWLKKCRKCMIDMFPREDPFSMLVPSGFDMENHQGRIGLPPEDDDVLLRVDFVREVDLLLQEVRAEQQNFQPPEGFDPEAVAARLRQQEKQTTIQLVEALLELAISLKW >Ma10_p12630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25671575:25677511:-1 gene:Ma10_g12630 transcript:Ma10_t12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MEASVLAPRVPFLLRPPPPPRPSPRPIGFSSCRPALRLKSPWFAAAQKNAEEAVGAGDGEHAGLPEEFNDDEWQARQREKTKEWHAYRQKEEEEEKRKTNEYREIGMRLKGYPEEEVRKARKLVSSFIRSAEEVEEDEKDVIRSLDLLYRRIETEILKRESTPAMRLLNDLLNLHDGFDDEGWLKKCRKCMIDMFPREDPFSMLVPSGFDMENHQGRIGLPPEDDDVLLRVDFVREVDLLLQEVRAEQQNFQPPEGFDPEAVAARLRQQEKQTTIQLVEALLELAISLKW >Ma02_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24763381:24765298:-1 gene:Ma02_g18160 transcript:Ma02_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSASIPLFLCLLMFQNLNLLCFVMAARTMAPDSKAKPLLLPLRTQKMSTSSLPMSPNKLRFHHNVSLTVSLSVGSPPQNLSLVLDTGSELSWLLCGGSTAAFPSFRPRASSTYSPVPCSSPTCRDRTRDLPIPATCEESTRLCHVALTYADASSSEGTLASDLLRVGESSPLRTVFGCMTSAYSSATGDLYAAGMLGMNRGALSFVSQTATRRFSYCISDRDAAGVLLLGHDTLSFLPLKYTPFVQIALPLPYFDRVAYSVQLEGIRVGRTLLPIPKSALVPDHTGAGQTMVDSGTQFTFLLGDAYSALKQEFQRQTKGVLPVLNEPDFVFQGAFDLCFRLPTGSGAPPKGLPAVVLMFSGAEVAVTEERLLYRVAGERRGRDEVWCFTFGNSDLVPMAAYVIGHHHQQNLWVEYDLEKGRVGFAPVRCDLASQRLGLVL >Ma01_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22750467:22751069:-1 gene:Ma01_g22050 transcript:Ma01_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSTIAPAEVLYHSRRDDGHHRVYVHRSEEAMLVTNNQEDRSFIIEESYERLQRSRMQYIHLGTLQVRLQTLHRQEKGTLALLVFRDSRWIDDRSIMATMEVDLTRGSQLVYVIPDIMMTIGDFYRNIQLSILTRGYDTWWNGEANLLVTRGMIGRLSNTPNVTFAYEVSGVVDYLTSHGVRALPGRRYSTTDIQGRD >Ma08_p34490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44467237:44468430:-1 gene:Ma08_g34490 transcript:Ma08_t34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAITRRAMWAGLSSHLVNITSKFLRSAADFLRFRSVCRSWRSALPLSRCHLPPQLPILLVISAFEDWITFRLTGDSAGHCCRTGIFAYISCLGSSYGWLILFHEGSKEVSLFNPYTAEAIPLPSLTPLLDKIEQENGNKPDDGATVEHALLSSDPTLHRDFVVIVFLEAVHLRCVTCRPGERSWTANPNSIFLDLRLTIPGEDLSFPMMEVVPYGEGRLCAIYGDNRYWAVLGVDPGPPGRVKVTAWGRLPSCVPRTIPSALVPSAGELLLVTWCHERTANATKDLILRVFRFDPGEMGREAVASEVEDIGDRMLFLGKNHSVSVAARDFTGFQGNAIYYVDYELILGKMMTALCVFRLRSSETAYVFESNELRFTAWWASANLRSYNGSLRGYN >Ma09_p29270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39763875:39767838:1 gene:Ma09_g29270 transcript:Ma09_t29270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDDGPLKISSTNVFAALETLKKKKKSNKELKSKGSSKSGAKESEPQVFWAPTPLTAKSWADVDDDDDYFATTAPPQTVWGLSEQQQNKEAVTAVEEESESEEDGLDVGDDDVEEEPENETEVAVANEPKMEKPVPVPPKDAERQLSKKELKKKEMAELDALLHELGISGKDSDVAQDETNDKKQHEQSGDGEKNENTGAPSESKASKRKKAKKEKSSKDTKEQEEQLADLNNNKNSGEAVAEPEEEDTSAVDVKERLKKVTSTKKKKSNKEMDAAAKAAAVEAAARSARLAAAKKKEKSHYNQQPAR >Ma09_p29270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39763898:39767838:1 gene:Ma09_g29270 transcript:Ma09_t29270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDDGPLKISSTNVFAALETLKKKKKSNKELKSKGSSKSGAKESEPQVFWAPTPLTAKSWADVDDDDDYFATTAPPQTVWGLSEQQQNKEAVTAVEEESESEEDGLDVGDDDVEEEPENETEVAVANEPKMEKPVPVPPKDAERQLSKKELKKKEMAELDALLHELGISGKDSDVAQDETNDKKQHEQSGDGEKNENTGAPSESKASKRKKAKKEKSSKDTKEQEEQLADLNNNKNSGEAVAEPEEEDTSAVDVKERLKKVTSTKKKKSNKEMDAAAKAAAVEAAARSARLAAAKKKEKSHYNQQPAR >Ma09_p29270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39763898:39767838:1 gene:Ma09_g29270 transcript:Ma09_t29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDDGPLKISSTNVFAALETLKKKKKSNKELKSKGSSKSGAKESEPQVFWAPTPLTAKSWADVDDDDDYFATTAPPQTVWGLSEQQQNKEAVTAVEEESESEEDGLDVGDDDVEEEPENETEVAVANEPKMEKPVPVPPKDAERQLSKKELKKKEMAELDALLHELGISGKDSDVAQDETNDKKQHEQSGDGEKNENTGAPSESKASKRKKAKKEKSSKDTKEQEEQLADLNNNKNSGEAVAEPEEEDTSAVDVKERLKKVTSTKKKKSNKEMDAAAKAAAVEAAARSARLAAAKKKEKSHYNQQPAR >Ma01_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2171038:2183720:-1 gene:Ma01_g03350 transcript:Ma01_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLGPPESGKSTFLKALSGKLDSSLNFAGKVTYNDEKMDRGILQRMCAYVGQRDLHHAEMTVKETIEFARRMLNAGNQSGYSHHLMASQEMGAGKNEGVDSIAEGKDHTREDEGDFVVNYILKITGLDECADTLIGDEMRRGISGGQKKRVTIGEMLAAPAQCFFMDDISTGLDSSTTFQILKYLQQLTHVLDLTMVISLLQPTREAFNLFDDIILLCEGRIAYQGPRQHVLEFFESMGFKCPDRKNVANFLQEVTSKMDQEQYWVNNRSTYQYVPVQKFSDSFGSSHYGQLLQKDLHMRYSSKKSDQMIGIKERYSIPKWDVFKVCFSREKLLMKRNSPVHIFKAIQIVLLAIVIMTVFFRSKMKHQTIDDGSRYMGAIFAAVVIVKFNGMTELAIMVKRLPIYYKQRKVLILPGWALLLSITILSIPMSFIETGLWTSLTYYMIGFAPSAVRFLQQFLALFCVHQMSMALFRFIAIIGRTQLMANTIGTASLIAIYILGGFVISKDSIQSWLIWGYWFSPVTYGQNAVAINEFLDERWSMNSEDGESTNDTIGKTILKSRGMLTESHWYWYSVAILLIFALVFNIFGIFALEYLKAPKKRRANMKMWPKDFKKINKADDQATSAASQSRMSLPFQPLTIAFSNISYYVDVPKQLKKFGIKEKRLQLLKGVSGAFRPGVLTALMGVTGAGKTTLLDVLAGRKTVGHIEGSINISGYPKKQETFARVSGYCEQVDNHSPCLTVFESLWYSAWLRLPSQVDGHTISIFINEVMELVELKSLKDAMVGLPGIKGLTAEQRKRLTIAVELVSSPSIIFMDEPTTGLDARAAAIVMRTVRKTADTGRTIVCTIHQPSIEMFEAFDELVLMKQGGQLIYGGPLGSLSQNMIQYFEDIPGVPHIRDGQNPATWMLDVTSPAMEYKLGIDYGIIFSKSSLHIENMKLVDELSRRKTNEKDLHFPSKYAKSFWSLCICCLWKQHKSYWKNPEHNIVRFIITITVSVLFGIVFFRIATKIRTEQDIFNIQGAIYGSALFIGFANASILQPVVEMERTTFYRERASGMYSSMTYAIAQVAIEIPYIIVQVLIFSIIVYPMMGFQFVVAKFFWFMFFMFLSYIYYALFGMMTVAITPNQEIAAALSFFLFVLWNIFSGFFIPRKMIPSWWRWYYWVDPAAWTVYGLMVSQLGDNVDLLHVAGRSDETVKEFLKEYFGLQDKYLSLIVSLHVAVIILFLFVFGFSIKNLNFQKR >Ma01_p03350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2171038:2183716:-1 gene:Ma01_g03350 transcript:Ma01_t03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLGPPESGKSTFLKALSGKLDSSLNFAGKVTYNDEKMDRGILQRMCAYVGQRDLHHAEMTVKETIEFARRMLNAGNQSGDPNYIIRYSHHLMASQEMGAGKNEGVDSIAEGKDHTREDEGDFVVNYILKITGLDECADTLIGDEMRRGISGGQKKRVTIGEMLAAPAQCFFMDDISTGLDSSTTFQILKYLQQLTHVLDLTMVISLLQPTREAFNLFDDIILLCEGRIAYQGPRQHVLEFFESMGFKCPDRKNVANFLQEVTSKMDQEQYWVNNRSTYQYVPVQKFSDSFGSSHYGQLLQKDLHMRYSSKKSDQMIGIKERYSIPKWDVFKVCFSREKLLMKRNSPVHIFKAIQIVLLAIVIMTVFFRSKMKHQTIDDGSRYMGAIFAAVVIVKFNGMTELAIMVKRLPIYYKQRKVLILPGWALLLSITILSIPMSFIETGLWTSLTYYMIGFAPSAVRFLQQFLALFCVHQMSMALFRFIAIIGRTQLMANTIGTASLIAIYILGGFVISKDSIQSWLIWGYWFSPVTYGQNAVAINEFLDERWSMNSEDGESTNDTIGKTILKSRGMLTESHWYWYSVAILLIFALVFNIFGIFALEYLKAPKKRRANMKMWPKDFKKINKADDQATSAASQSRMSLPFQPLTIAFSNISYYVDVPKQLKKFGIKEKRLQLLKGVSGAFRPGVLTALMGVTGAGKTTLLDVLAGRKTVGHIEGSINISGYPKKQETFARVSGYCEQVDNHSPCLTVFESLWYSAWLRLPSQVDGHTISIFINEVMELVELKSLKDAMVGLPGIKGLTAEQRKRLTIAVELVSSPSIIFMDEPTTGLDARAAAIVMRTVRKTADTGRTIVCTIHQPSIEMFEAFDELVLMKQGGQLIYGGPLGSLSQNMIQYFEDIPGVPHIRDGQNPATWMLDVTSPAMEYKLGIDYGIIFSKSSLHIENMKLVDELSRRKTNEKDLHFPSKYAKSFWSLCICCLWKQHKSYWKNPEHNIVRFIITITVSVLFGIVFFRIATKIRTEQDIFNIQGAIYGSALFIGFANASILQPVVEMERTTFYRERASGMYSSMTYAIAQVAIEIPYIIVQVLIFSIIVYPMMGFQFVVAKFFWFMFFMFLSYIYYALFGMMTVAITPNQEIAAALSFFLFVLWNIFSGFFIPRKMIPSWWRWYYWVDPAAWTVYGLMVSQLGDNVDLLHVAGRSDETVKEFLKEYFGLQDKYLSLIVSLHVAVIILFLFVFGFSIKNLNFQKR >Ma03_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1404128:1408101:-1 gene:Ma03_g02060 transcript:Ma03_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCGIECVFCLGCTRWACRRCGYVGADDSAAWAPATPDEFAPVPRACRAVLAAYEDDLARPRWAPARPGGYRMDPAAVVKRASYADTRGRCPPYLLYVDRAAREVVLAVRGLNLGHDADYRLLLDNPPGSQPFDGGYVHLGLLKAAAWLLNREADTLCHLLRDCGPEYGLVLVGHSLGAGVAALMAMLVVNQLDRFGEIPRSRVRCYAISPARCMSLNLAVKYADVIHSVVLQDDFLPRTPTPLQHIFGSVFCLPCLLFLVCMRDTFISEDRKLKDPKRLYAPGRMYHIVERKFCRCGRLPPEVRTAVPVEGRFEHIILSCNAMFDHGIIWTEREAQKALEIMLKGNVMTTPPSRQQMEGRGSLQEEHNEALERALSLHLPHPASQHSLPFQDQAASTCEHYDNMSSCSDDSASSRTMNWDELVEKLFDGNEPQGMVSDEDDNSLGSSVKMSLPVR >Ma07_p11640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8661855:8669851:-1 gene:Ma07_g11640 transcript:Ma07_t11640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGRKEGAFRLGRGGMDSKQQQQRRQKQEEEGRQRRLERRNAAKNFDYGMAAGGVSWSSSSSSSCSSCHALSDESAALRPTRSLDLPYSNQTSFRIAGSIDGEVDSLCRTLGLSGPEDFAIPLLAWEARKVRSSSDLLHKRSPIFHTDSPTRQNPTLASQSRILVPDSSPTVRSSPSIEEATRRQDVEEATPVKNSCDSSMDEIAVADDEAVKNSCPSPISGGGDEGIQVVRPPVFTPTAILLSSQINSMLPTPPLALPPPPSMSLPAIDRMGSAWDIVRSFAPDEEAGEFGASPRKSSSLTVDVDEEDERDLIGQAVGEEEFEELRLGETLDGFTGMSSYSPMNDDDTHSMTTKTMFKISPNGKFKRKIKSWMRGVLLGSGSYGKVYEGISDDGVFFAIKEVSLLDQGINAPLQLEQEVALLSQFEHENIVQYYGTDKEESKLFIFLELVTQGSLASLYQKYHLRDTQVSAYTWQILHGLNYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEITKFDMLKSCKGSVYWMAPEVVNPKKTYGPACDIWSLGCTVLEMLTRQIPYPSLEWTQALFKIGHGEQPPIPNYLSRDARDFISKCVKVNPDDRPSASQLLEHPFVKRSLPISTDPKSSSHLHKEI >Ma07_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8661855:8669851:-1 gene:Ma07_g11640 transcript:Ma07_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRIAGSIDGEVDSLCRTLGLSGPEDFAIPLLAWEARKVRSSSDLLHKRSPIFHTDSPTRQNPTLASQSRILVPDSSPTVRSSPSIEEATRRQDVEEATPVKNSCDSSMDEIAVADDEAVKNSCPSPISGGGDEGIQVVRPPVFTPTAILLSSQINSMLPTPPLALPPPPSMSLPAIDRMGSAWDIVRSFAPDEEAGEFGASPRKSSSLTVDVDEEDERDLIGQAVGEEEFEELRLGETLDGFTGMSSYSPMNDDDTHSMTTKTMFKISPNGKFKRKIKSWMRGVLLGSGSYGKVYEGISDDGVFFAIKEVSLLDQGINAPLQLEQEVALLSQFEHENIVQYYGTDKEESKLFIFLELVTQGSLASLYQKYHLRDTQVSAYTWQILHGLNYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEITKFDMLKSCKGSVYWMAPEVVNPKKTYGPACDIWSLGCTVLEMLTRQIPYPSLEWTQALFKIGHGEQPPIPNYLSRDARDFISKCVKVNPDDRPSASQLLEHPFVKRSLPISTDPKSSSHLHKEI >Ma05_p13840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10052797:10072346:-1 gene:Ma05_g13840 transcript:Ma05_t13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQNASNDGTTNQVLVEDCRFTRILTLNRPRQLNALSTPMIMKLLKLFVAYEKDSDVKLLIMKGNGRAFSAGGDVAAVVQSVTQGHWTLGAEFFRNEYTLNYIIATYSKPQVSILDGIVMGGGAGISIHGRFRVVTEKTVFAMPETALGFFPDIGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSMNLTYLEDLLTKVETSDPFVICAIIDQFSKMVPLKVSSAYNRLDIIDKCFSKETVEDIISALEKESASMADEWIVVAIQELKKASPISLKITLRSIREGRLQGVDRCLMKDFRLCCHILRFEASKDFSEGCRAILVDKDRNPKWEPSRLDLVDSKVLDQYFAEVDDPNWEDLKLPSRCSLDVKFVSKI >Ma05_p13840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10052797:10072342:-1 gene:Ma05_g13840 transcript:Ma05_t13840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQNASNDGTTNQVLVEDCRFTRILTLNRPRQLNALSTPMIMKLLKLFVAYEKDSDVKLLIMKGNGRAFSAGGDVAAVVQSVTQGHWTLGAEFFRNEYTLNYIIATYSKPQVSILDGIVMGGGAGISIHGRFRVVTEKTVFAMPETALGFFPDIGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSMNLTYLEDLLTKVETSDPFVICAIIDQFSKMVPLKVSSAYNRLDIIDKCFSKETVEDIISALEKESASMADEWIVVAIQELKKASPISLKITLRSIREGRLQGVDRCLMKDFRLCCHILRFEASKDFSEGCRAILVDKDRNPKWEPSRLDLVDSKVLDQYFAEVDDPNWEDLKLPSRCSLDVKFVSKI >Ma05_p13840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10052797:10072300:-1 gene:Ma05_g13840 transcript:Ma05_t13840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQNASNDGTTNQVLVEDCRFTRILTLNRPRQLNALSTPMIMKLLKLFVAYEKDSDVKLLIMKGNGRAFSAGGDVAAVVQSVTQGHWTLGAEFFRNEYTLNYIIATYSKPQVSILDGIVMGGGAGISIHGRFRVVTEKTVFAMPETALGFFPDIGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSMNLTYLEDLLTKVETSDPFVICAIIDQFSKMVPLKVSSAYNRLDIIDKCFSKETVEDIISALEKESASMADEWIVVAIQELKKASPISLKITLRSIREGRLQGVDRCLMKDFRLCCHILRFEASKDFSEGCRAILVDKDRNPKWEPSRLDLVDSKVLDQYFAEVDDPNWEDLKLPSRCSLDVKFVSKI >Ma05_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10052797:10072098:-1 gene:Ma05_g13840 transcript:Ma05_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKLFVAYEKDSDVKLLIMKGNGRAFSAGGDVAAVVQSVTQGHWTLGAEFFRNEYTLNYIIATYSKPQVSILDGIVMGGGAGISIHGRFRVVTEKTVFAMPETALGFFPDIGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSMNLTYLEDLLTKVETSDPFVICAIIDQFSKMVPLKVSSAYNRLDIIDKCFSKETVEDIISALEKESASMADEWIVVAIQELKKASPISLKITLRSIREGRLQGVDRCLMKDFRLCCHILRFEASKDFSEGCRAILVDKDRNPKWEPSRLDLVDSKVLDQYFAEVDDPNWEDLKLPSRCSLDVKFVSKI >Ma01_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26251301:26288423:-1 gene:Ma01_g22930 transcript:Ma01_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLPHANVAARVATRNHPPPASTPTLLRRRNPNPLQRHSSSSSSDAAATSTALQCPHFQSCSGCTHEWNLDRPPVIEEAIEFFKSLGVSDFTFESGRLWEWRCRAKLVVRGTPENPLIGLYQEGTHDIVDIPMCRAHHPNINAAVDLLKQGISKLKVELYDEELGTGDLRYVQMAVTTYNTSLPVSDRYQNGKVQISLVWNSRNEHSENHEKLNALSNFLWRNGGARCSNHLIHSIWANFQTSNSNVIFGNRWRHLLGQREFWEHIGGIDICLDPSSFGQANTQAFNSLLRKLQKHVSYGSSVVDLYAGSGIIGLSLAATRKCRSVKCVEINKESELSFEKSVSRLPKTIDSTISWHNTDASLEPLRWLEGSDVVIVDPPRKGLHPSLIDALRHFALSERKIRQAPDGSIVKMKDEKRPWILRAREASVHVEGKTTWDKSQKWPTTLIYISCGWESFKEDSRNLLSSKAWYMEKAHAFNFFPGTQSIEVLAVFKRGGPRIAQAKKKAGKKKTPR >Ma01_p22930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26251301:26288411:-1 gene:Ma01_g22930 transcript:Ma01_t22930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLPHANVAARVATRNHPPPASTPTLLRRRNPNPLQRHSSSSSSDAAATSTALQCPHFQSCSGCTHEWNLDRPPVIEEAIEFFKSLGVSDFTFESGRLWEWRCRAKLVVRGTPENPLIGLYQEGTHDIVDIPMCRGISKLKVELYDEELGTGDLRYVQMAVTTYNTSLPVSDRYQNGKVQISLVWNSRNEHSENHEKLNALSNFLWRNGGARCSNHLIHSIWANFQTSNSNVIFGNRWRHLLGQREFWEHIGGIDICLDPSSFGQANTQAFNSLLRKLQKHVSYGSSVVDLYAGSGIIGLSLAATRKCRSVKCVEINKESELSFEKSVSRLPKTIDSTISWHNTDASLEPLRWLEGSDVVIVDPPRKGLHPSLIDALRHFALSERKIRQAPDGSIVKMKDEKRPWILRAREASVHVEGKTTWDKSQKWPTTLIYISCGWESFKEDSRNLLSSKAWYMEKAHAFNFFPGTQSIEVLAVFKRGGPRIAQAKKKAGKKKTPR >Ma04_p23070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25265179:25271580:1 gene:Ma04_g23070 transcript:Ma04_t23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMIQDAFDRVAKKQKLSSSKIQELIDQVRREIEQATAKLQMTENATGCSDQKSILVDLKTKLNEIAPMKQLESLQKELNVGLGKYVKIIEKCFNPDISKAYRNVDFDVHIVNQIIASHFYRQGLFDLGDCFISEANEPEAAALKSPFMEMYSILEAMRCRNLEPALSWASKQSERLLQNGSDLELKLHQLQFVEILQNGSRSEALNYARTYLAPFASVHKVEIQKLIACLLWAGRLHESPYADFMYPSHWERLAEEFMQQFCSLLGQSFQSPLSVAIAAGVQGLPTLLKLESVMAAKKQEWQAMKQLPVPVDLGREFQFHSIFVCPVLREQGSDENPPMLMPCGHVLSKQSIAKLSKSSTRAFKCPYCPLEASVAQCKLLHF >Ma04_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25265012:25271580:1 gene:Ma04_g23070 transcript:Ma04_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMIQDAFDRVAKKQKLSSSKIQELIDQVRREIEQATAKLQMTENATGCSDQKSILVDLKTKLNEIAPMKQLESLQKELNVGLGKYVKIIEKCFNPDISKAYRNVDFDVHIVNQIIASHFYRQGLFDLGDCFISEANEPEAAALKSPFMEMYSILEAMRCRNLEPALSWASKQSERLLQNGSDLELKLHQLQFVEILQNGSRSEALNYARTYLAPFASVHKVEIQKLIACLLWAGRLHESPYADFMYPSHWERLAEEFMQQFCSLLGQSFQSPLSVAIAAGVQGLPTLLKLESVMAAKKQEWQAMKQLPVPVDLGREFQFHSIFVCPVLREQGSDENPPMLMPCGHVLSKQSIAKLSKSSTRAFKCPYCPLEASVAQCKLLHF >Ma01_p19790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15652684:15657650:1 gene:Ma01_g19790 transcript:Ma01_t19790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNILKACWQPAVGGHVHTGSDVVGRQDGLLWYKDSGQHINGEFSMAVIQANSLLEDQSQIESGPLSLLDSEPYGTFVGVYDGHGGPETSCYINNHLFQHLKRFAAEQQSMSAEVIRKAYQATEEGFLSLVTKQWPIKPQIAAVGSCCLVGVISGGLIYTANLGDSRVVLGKLVKATGKVLAVQLSAEHNAGIESVRQELQSMHPEDKQVVVLKHNVWRVKGLIQITRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSAEPSISVQPMQPQDLFLIFASDGLWEHLSNQEAVDIVHNNPHSGIARRLIKAALREAAKKREMRYSDLNKIDRGVRRHFHDDITVIVVFLNADLVSGASSLKGPTLSMRGGGINLPAHSLAPCTTPTGPCAT >Ma01_p19790.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15652684:15657650:1 gene:Ma01_g19790 transcript:Ma01_t19790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNILKACWQPAVGGHVHTGSDVVGRQDGLLWYKDSGQHINGEFSMAVIQANSLLEDQSQIESGPLSLLDSEPYGTFVGVYDGHGGPETSCYINNHLFQHLKRFAAEQQSMSAEVIRKAYQATEEGFLSLVTKQWPIKPQIAAVGSCCLVGVISGGLIYTANLGDSRVVLGKLVKATGKVLAVQLSAEHNAGIESVRQELQSMHPEDKQVVVLKHNVWRVKGLIQITRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSAEPSISVQPMQPQDLFLIFASDGLWEHLSNQEAVDIVHNNPHSGIARRLIKAALREAAKKREMRYSDLNKIDRGVRRHFHDDITVIVVFLNADLVSGASSLKGPTLSMRGGGINLPAHSLAPCTTPTGPCAT >Ma01_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15652684:15657650:1 gene:Ma01_g19790 transcript:Ma01_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNILKACWQPAVGGHVHTGSDVVGRQDGLLWYKDSGQHINGEFSMAVIQANSLLEDQSQIESGPLSLLDSEPYGTFVGVYDGHGGPETSCYINNHLFQHLKRFAAEQQSMSAEVIRKAYQATEEGFLSLVTKQWPIKPQIAAVGSCCLVGVISGGLIYTANLGDSRVVLGKLVKATGKVLAVQLSAEHNAGIESVRQELQSMHPEDKQVVVLKHNVWRVKGLIQITRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSAEPSISVQPMQPQDLFLIFASDGLWEHLSNQEAVDIVHNNPHSGIARRLIKAALREAAKKREMRYSDLNKIDRGVRRHFHDDITVIVVFLNADLVSGASSLKGPTLSMRGGGINLPAHSLAPCTTPTGPCAT >Ma01_p19790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15652682:15657650:1 gene:Ma01_g19790 transcript:Ma01_t19790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNILKACWQPAVGGHVHTGSDVVGRQDGLLWYKDSGQHINGEFSMAVIQANSLLEDQSQIESGPLSLLDSEPYGTFVGVYDGHGGPETSCYINNHLFQHLKRFAAEQQSMSAEVIRKAYQATEEANLGDSRVVLGKLVKATGKVLAVQLSAEHNAGIESVRQELQSMHPEDKQVVVLKHNVWRVKGLIQITRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSAEPSISVQPMQPQDLFLIFASDGLWEHLSNQEAVDIVHNNPHSGIARRLIKAALREAAKKREMRYSDLNKIDRGVRRHFHDDITVIVVFLNADLVSGASSLKGPTLSMRGGGINLPAHSLAPCTTPTGPCAT >Ma01_p19790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15652684:15657650:1 gene:Ma01_g19790 transcript:Ma01_t19790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNILKACWQPAVGGHVHTGSDVVGRQDGLLWYKDSGQHINGEFSMAVIQANSLLEDQSQIESGPLSLLDSEPYGTFVGVYDGHGGPETSCYINNHLFQHLKRFAAEQQSMSAEVIRKAYQATEEGFLSLVTKQWPIKPQIAAVGSCCLVGVISGGLIYTANLGDSRVVLGKLVKATGKVLAVQLSAEHNAGIESVRQELQSMHPEDKQVVVLKHNVWRVKGLIQITRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSAEPSISVQPMQPQDLFLIFASDGLWEHLSNQEAVDIVHNNPHSGIARRLIKAALREAAKKREMRYSDLNKIDRGVRRHFHDDITVIVVFLNADLVSGASSLKGPTLSMRGGGINLPAHSLAPCTTPTGPCAT >Ma07_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:658020:666701:-1 gene:Ma07_g00800 transcript:Ma07_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRCLPRNFRFSRKASPIGSEPIRQSPWTAPRSFSTDSVGRSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMDSKGTCQGVIALNMEDGTLHRFHAANTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVHIEGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEICKPGEKQKPLEKDAGEKTIAWLDKLRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKAWESFHDVKIHDRSLIWNSDLIETVELENLLINACITMHSAEARKESRGAHAREDFTKRDDEKWLKHTLGYWENGKVKLTYRPVHMNTLDDEVESFPPKARVY >Ma10_p11770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25165692:25167616:-1 gene:Ma10_g11770 transcript:Ma10_t11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAVSITVAEAVWEQIKSSRSASDDHLSILQFLFGRNLERATRIVDQGGVRKVSAAPSGRSFFLVVGESKRKEEYICFPEHHCTCYSFFYDVVNRGEQLCCKHQIAARLAEAVGEVVEVEVSDEQLATMLSKL >Ma10_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25164104:25167616:-1 gene:Ma10_g11770 transcript:Ma10_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAVSITVAEAVWEQIKSSRSASDDHLSILQFLFGRNLERATRIVDQGGVRKVSAAPSGRSFFLVVGESKRKEEYICFPEHHCTCYSFFYDVVNRGEQLCCKHQIAARLAEAVGEVVEVEVSDEQLATMLSKL >Ma04_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11063568:11067226:-1 gene:Ma04_g14600 transcript:Ma04_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAISPFIPSSAPRLLPSNSFHSFSLPLLSHRRLRSPARASERLSSYHKEKVAVVLDPGAWVGDIGGEESGGEDDDYNEEDEEEQDQSLDLIVGFLYSVFRKISRSMRKAARSVLPPFIPSKLVGFSVNGVLILAFLWILKAFLEVVCTLGSMVFVSMLLVRGIWSFVTYTMENQYNYASRNDEEGSRWSGVGAA >Ma09_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29947247:29948218:1 gene:Ma09_g20730 transcript:Ma09_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G59400) UniProtKB/Swiss-Prot;Acc:Q9LX31] MASTSLQSLHQSILRHHNHHQHYFTSSPGSIFFKPSKSYRLFSNVPCSSSPPSTTSSSTVAPAGVSSSAPLDALANYLSTSDFRQADEETRRLLIALGGEAAQKRGYVFFSEVQFIEAADLQAIDRLWRQHSGGRFGYAVQRRVWEKSGRDFTRFFIRVGWMRRLDTEVEQYGYRSFPGEFMWEFKDDTPEGHLPLTNALRGTQLLRSILTHPAFEEIIEEGREKSEEEGRMAVEEKGKEQPKLLGGKRVFEPDYSF >Ma08_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15004199:15007126:1 gene:Ma08_g15040 transcript:Ma08_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVFHPTFFCSRSAQKPLSSTATARTARSLPCQFLGNAIRLDDSSFRSGKKSSSAARMSWDGPLSSVRLIIQGKNLDLTDAVKKHVEDKVGKAVQKHSYLVREVDVRLSLRGGEVGRGPRLCRCEVTLFSKKHGVIRAEEEAETMYGSIDLVSSVLQRKLRKIKEKDTDHGRHMKGFNRLKVRDTELKGVEDAEEVEDLEEFAPAEEDVERLGRIVRTKYFDMPPLTVDEAKEQLENVDHSFYAFRNDETGEINILYKRKEGGYGLIIPKDDGQARDLETSSERTRESITERVSG >Ma05_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4337542:4346491:-1 gene:Ma05_g05710 transcript:Ma05_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDMSLDDLIKNRTGSQRGRGRGPGRGRGRGGSFRGRGMGMLHQGSLRVNSRPSPYKIAKSFSRTKDMIWRHDLFDDSMVAAGISGIETGTKLYISNLDYGVSNEDIRELFSEVGDLKRFAVHYDRTGRPSGSAEVVYTRRSDAMAALKRYNNVQLDGKPMKIEVIGTNLGLPVTPRVNVLGGPNGRGKRTVVMTPQFVRGGSRSFNRASGTNRGGFQRGRGRGRGRGSSVRGIGRGSVRGIGRGRGSGRMQNVEKSADDLDKELDTYHAEAMNTS >Ma02_p07730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18286775:18298623:1 gene:Ma02_g07730 transcript:Ma02_t07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVASCNLNQWAMDFDNNLQNIKDSISRAKEAGARIRIGPELEVTGYGCEDHFLEQDTVSHAWECLKDILLGDWTEDILCSIGMPIIHAGVRYNCQVFCLNRRILMIRPKMALANDGNYREFRWFSAWTFKDKLIEFQLPTDVSESISQHSAPFGYGYIQLLDASVAAETCEELFTANAPRIALALKGVEIFTNASGSHHQLRKLNLRMDAIKNATRFCGGVYMYSNHQGCDGGRLYYDGCSCIAVNGDIVAQGSQFSLKDVEVSTAIVDLDAVSSYRGSTSSFREQASHQEDVPSVRAPYKLCQAFNLGMVPSSPVEIRYHCPEEEIALGPSCWLWDYLRRSGASGFLLPLSGGADSSAVAAIVGCMCQLVIKDIEDGDDQVKADALRIGQYKNGEFPTDSREFAKRIFYTVYMGTENSSEATRSRAKRLADEIGSWHLNVDIDSVVSALLSLFQTLTGKRLRYKVDGGSNTENLALQNIQARVRMVLAFMLASLMPWVHSKSGFFLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRSFLRWAAIHLHYPSLAEVEAAPPTAELEPIRSDYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHKWCGKLTPSEVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKIDELINKAADQRAANLPEQRPEDSDIKSGCGSGTGVVAVGSSNTNAGL >Ma02_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18286775:18298628:1 gene:Ma02_g07730 transcript:Ma02_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVASCNLNQWAMDFDNNLQNIKDSISRAKEAGARIRIGPELEVTGYGCEDHFLEQDTVSHAWECLKDILLGDWTEDILCSIGMPIIHAGVRYNCQVFCLNRRILMIRPKMALANDGNYREFRWFSAWTFKDKLIEFQLPTDVSESISQHSAPFGYGYIQLLDASVAAETCEELFTANAPRIALALKGVEIFTNASGSHHQLRKLNLRMDAIKNATRFCGGVYMYSNHQGCDGGRLYYDGCSCIAVNGDIVAQGSQFSLKDVEVSTAIVDLDAVSSYRGSTSSFREQASHQEDVPSVRAPYKLCQAFNLGMVPSSPVEIRYHCPEEEIALGPSCWLWDYLRRSGASGFLLPLSGGADSSAVAAIVGCMCQLVIKDIEDGDDQVKADALRIGQYKNGEFPTDSREFAKRIFYTVYMGTENSSEATRSRAKRLADEIGSWHLNVDIDSVVSALLSLFQTLTGKRLRYKVDGGSNTENLALQNIQARVRMVLAFMLASLMPWVHSKSGFFLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRSFLRWAAIHLHYPSLAEVEAAPPTAELEPIRSDYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHKWCGKLTPSEVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKIDELINKAADQRAANLPEQRPEDSDIKSGCGSGTGVVAVGSSNTNAGL >Ma10_p28000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35034504:35035055:-1 gene:Ma10_g28000 transcript:Ma10_t28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPSAKPNPQPSQALNGGGGAGGAPSFPPTKGQTYSATLPAYRPQPRKPPPPRRRSRRGCCCSCCLWLTLIIVALVFLAAIAAGVFYVIYRPRRPTFEVDGLRLSAFNVSGAGQLTSRLDLNVTARNPNAK >Ma06_p31050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32251827:32252507:-1 gene:Ma06_g31050 transcript:Ma06_t31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESINSSSVDGAPGSKVGSASSEESGWTMYLEDFMASEEKQEAGGFYSSAVNGPSAISDAASCVAWTPLSLKKRKRKGLLEDDSLEDTAISPASSSKVTDLNYLTMNPTKKDDHRETPQEGVVGSRSSQELNGLSSTERKNECTEQKKNGVCLVPLSMLVHYLG >Ma11_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25375073:25378620:1 gene:Ma11_g21110 transcript:Ma11_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSARATRNTEATVQKAAGPHSEGRPSVFGLFDETQDPKSSDETKVVIYVSRSQEVTEFVRSNKGLRSSHISEKTMPRLSTPLSRSVGGRNTEATSLAALSAPPRQCPPHPPRFNSFGASGLPSTPGSRD >Ma04_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1395404:1404151:1 gene:Ma04_g01550 transcript:Ma04_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEESSKSRLEELGGENRSETTVKMAPLSEINAEFGGELGGEEPSGIDSPIGTGGADQIWEEKGNVSPGEAAVSSPGAVKVNRDPVGGEFLAESPSNSIGSPSSAAAEVVASKLAPSKGFGLKKWRRIRRDLNKDITGCADTAQILKRRLSLAEPSKAHEDNKHKSDGEDEVEGEGSVASSVSMNIGGPPFVVAPTTLDPELGLLVTATGFNIGIESESSDDHGSKSSTAASALRLRHETVGFGRDRSRARNVIGRVPGHVVQQRGQRAKGVRADVKKITENQVKIEMENSYSDLQSSNVAVFCTNSMASNGKQSEKSVNYCGEQSDDAQPSVEVRSGFFKENGGIGDVLRDDLDGEYSGGENKSKSQPLSDLDPFLESIDSLQAIKEALENEIQNFWEIGKDVLPDDYGGQYEETEGSSSPAVEVNLVEQNEKIEHLECKLGEALAVVRAKETKILELEAVLNRTERPNKESDSTDLLFFQEKCRDMETELENLLEKKIEVEIKYTILARTTQIWRVLEEDHIALLEEQKSPSGDQLKMMCEVENAENKTVLSRGGAEELEKDLSAAEEVLKLQRIAYKYSLCFCVQIILLCIAFGLFLMQLFPSSSGVAPT >Ma05_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1364234:1366260:1 gene:Ma05_g02140 transcript:Ma05_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAGRQHGTASPCAACKLLRRRCAWDCVFAPHFPADEPQKFANVHRVFGASNVSKLLQEIAVQHRGDAVSSLVYEANARVRDPVYGCVGVISSLHCQVQALEAQLAMARAQMVRLRMLAHLDLGRSPTGTGSTTTGSSSMCPSPIYTPGHGDAGQTPMLSFSYER >Ma02_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17164345:17165412:1 gene:Ma02_g06110 transcript:Ma02_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNLHCRSHDEFSSESSGRSPDSLPFNVNDSDEMVLFDMLAEATAPRPDEARDGEAESKSRDEEGLLRRRTPEDRCYRGVRKRPWGKFAAEIRDSTRNGIRVWLGTFDTAEAAALAYDQAALSMRGQLAVLNFPVERVQASLRELEWGKDDCSPVMALKKKHSLRRRRSSSIKDKVAPTRIPNVLELEDLGADYLEELLSVSESSKPW >Ma10_p29070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35753199:35756090:-1 gene:Ma10_g29070 transcript:Ma10_t29070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDQPSRLFHELCALLLAVLHTPHLALPPSDADASPPAPAPTRQARPRAQMSPAGFASLLLGASLALMLCGSVTFVIGFIMMPWVVGLVMLFYFVGILSNLPGLGRAIVYPGSDPSSPKETSGSLISKLPII >Ma10_p29070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35753193:35756090:-1 gene:Ma10_g29070 transcript:Ma10_t29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDQPSRLFHELCALLLAVLHTPHLALPPSDADASPPAPAPTRQARPRAQMSPAGFASLLLGASLALMLCGSVTFVIGFIMMPWVVGLVMLFYFVGILSNLPGLGRAIVYPGSDPSSPKETSGSLISKLPII >Ma01_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19811384:19814000:-1 gene:Ma01_g20970 transcript:Ma01_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLLLVLAVAMSSGSDAAWCVCRSDMSNTALQKALDYACGAGADCTPIIQNGACYNPNTVLAHCSYAANSYYQRKGQAQGACDFAGTASLTSTDPGGNGCTYPATSSVAGTSGTPTTTTPTSSTPAIGTPTSTSTTPPTTTTTPTTFSPPTTGATPTGTGGVLGGLGPTGTTSSIDGSDGGLLPGAEVASLLSTLFLSSVFLLRL >Ma11_p11580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14027964:14032028:1 gene:Ma11_g11580 transcript:Ma11_t11580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEIVSETNPTASPDLSLHIGPPCVVPSSLSNADSVSRVSSEANIHQRFRGPPPQVNDDRNPKSGLVQQGFSSFLSSSSSSSNGYSNNVYLMGSYLQPPNGSQPSVSSYQWTPQLPPPTWIAGLYRHLPCGVGSLSRSGFMPRYPTKRSTRAPRMRWTSSLHARFVHAVELLGGHERATPKSILELMDVKDLTLAHVKSHLQMYRTIKSTDKPAASSGQSDGSGEEDLVPGDGHLPIMDHKVSDAPKQVHPLDSDIGWINSSSRQEWMQINSNGINSAVLRSTSLSSQIEDGYCRSSSTDDSCQEHQIPSLEFTLGRSDWHSK >Ma11_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14027964:14032028:1 gene:Ma11_g11580 transcript:Ma11_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPFFALQRRQRLPSLFGGQHPSEVSRTATTVSSYQWTPQLPPPTWIAGLYRHLPCGVGSLSRSGFMPRYPTKRSTRAPRMRWTSSLHARFVHAVELLGGHERATPKSILELMDVKDLTLAHVKSHLQMYRTIKSTDKPAASSGQSDGSGEEDLVPGDGHLPIMDHKVSDAPKQVHPLDSDIGWINSSSRQEWMQINSNGINSAVLRSTSLSSQIEDGYCRSSSTDDSCQEHQIPSLEFTLGRSDWHSK >Ma04_p13180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9966582:9967523:1 gene:Ma04_g13180 transcript:Ma04_t13180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRGVVGEKWSQRILWFCAIGSAISLYMVAVERQAQNRQRMISEGLRDLDGASDKSGVEDV >Ma04_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9966591:9967523:1 gene:Ma04_g13180 transcript:Ma04_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRGVVGEKWSQRILWFCAIGSAISLYMVAVERQAQNRQRMISEGLRDLDGASDKSGVEDV >Ma08_p03520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2538675:2557297:1 gene:Ma08_g03520 transcript:Ma08_t03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:G patch domain-containing protein TGH [Source:Projected from Arabidopsis thaliana (AT5G23080) UniProtKB/Swiss-Prot;Acc:Q8GXN9] MAMDEDEEDFVFYGTPIEREEDTSARKRKAVADAGQLRSLPLWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWTPQTFSSSRKNRAEVKQQSIYNFLDEDDLKGMGGQALETSMQFDTFGFTAAEFARKEVEKDQLKRPSAIPGPVPDEIVIPASNSIGVKLLLKMGWRHGHSIKDTHSDSLYEARREARKAFLAFSGNEGGAKTAKEGSHAWDSEEYAERVNNGLYTSKSMPEYVLQPKQDLYGLGYDPYKHAPEFRDKKRLRESKRKNHGIKSSVRGNLLSSNSGKYAPGFGIGALEELDFEDEDIYASGFDFEQTEVDEDEPVQMIRDNIYRLEDKKNDCLPGFKVASSPDYTIERFHPPVIPVNFDPHHKFSAPLESATTIAELPPPEVPPPEDNNLKLLIDGFATLVARCGKIFEDLSKEKNRSNPLFYFLSGGDGHNYYERKLWEEKQKSVNHLRLVEDSKSKSSTRTMTAESRGRILGEKKLERTSNETSSAVAVKEFINLQSNLADTFTKPVSLVGLLEIAKPFKDDPAKQERFEQFLKDKYQGGLRSTQPSGIMSENDRARERLDFEAAAEAIEKGKKLIASSSMDQFTALSGVVDQQFIASTGLTKHQIPRDEDKLTNKLYPKREEFQWRPLPILCKRFDIIDPFMGKPAPLPRPKSKMETLIFMTESIKDVKSEETNTIRKDFMHISHSESNVAEKQLDSKEPEIEQSSASVQKPVDLYKAIFSDDSDDDGDDSSSTKVADPVKKSEGANMTLNRLVAGDFLESLGKELGLEVPADVSGKPFKGNGSSNITETACAGEIKIPEKNERTIFPLETHEAPPETDVMASQQRFPGSTRNTTPTGISATGLSSFARDGDDHDNGCKESADKFVSGSAGGRFSDFHKEEVDAEKTRSQARNNRSHSRYHDGRSSPDTGSSSGHQQLKKSATHTRLQRRRSRTPDTHSDGDGYQPKRNKSHSGHRWRIRTPDADFSDDEYQQRESRSHSRHHQRSRTPETDSSSDPQDHFQSTSRSKKLHRHERRKKHSGHSKRKKRTSPTRDSGYDKGRDAREDDVRDQKPSDLKHTSHSKSEHHKSHHRGRR >Ma08_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2538675:2557297:1 gene:Ma08_g03520 transcript:Ma08_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G patch domain-containing protein TGH [Source:Projected from Arabidopsis thaliana (AT5G23080) UniProtKB/Swiss-Prot;Acc:Q8GXN9] MAMDEDEEDFVFYGTPIEREEDTSARKRKAVADAGQLRSLPLWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWTPQTFSSSRKNRAEVKQQSIYNFLDEDDLKGMGGQALETSMQFDTFGFTAAEFARKEVEKDQLKRPSAIPGPVPDEIVIPASNSIGVKLLLKMGWRHGHSIKDTHSDSLYARREARKAFLAFSGNEGGAKTAKEGSHAWDSEEYAERVNNGLYTSKSMPEYVLQPKQDLYGLGYDPYKHAPEFRDKKRLRESKRKNHGIKSSVRGNLLSSNSGKYAPGFGIGALEELDFEDEDIYASGFDFEQTEVDEDEPVQMIRDNIYRLEDKKNDCLPGFKVASSPDYTIERFHPPVIPVNFDPHHKFSAPLESATTIAELPPPEVPPPEDNNLKLLIDGFATLVARCGKIFEDLSKEKNRSNPLFYFLSGGDGHNYYERKLWEEKQKSVNHLRLVEDSKSKSSTRTMTAESRGRILGEKKLERTSNETSSAVAVKEFINLQSNLADTFTKPVSLVGLLEIAKPFKDDPAKQERFEQFLKDKYQGGLRSTQPSGIMSENDRARERLDFEAAAEAIEKGKKLIASSSMDQFTALSGVVDQQFIASTGLTKHQIPRDEDKLTNKLYPKREEFQWRPLPILCKRFDIIDPFMGKPAPLPRPKSKMETLIFMTESIKDVKSEETNTIRKDFMHISHSESNVAEKQLDSKEPEIEQSSASVQKPVDLYKAIFSDDSDDDGDDSSSTKVADPVKKSEGANMTLNRLVAGDFLESLGKELGLEVPADVSGKPFKGNGSSNITETACAGEIKIPEKNERTIFPLETHEAPPETDVMASQQRFPGSTRNTTPTGISATGLSSFARDGDDHDNGCKESADKFVSGSAGGRFSDFHKEEVDAEKTRSQARNNRSHSRYHDGRSSPDTGSSSGHQQLKKSATHTRLQRRRSRTPDTHSDGDGYQPKRNKSHSGHRWRIRTPDADFSDDEYQQRESRSHSRHHQRSRTPETDSSSDPQDHFQSTSRSKKLHRHERRKKHSGHSKRKKRTSPTRDSGYDKGRDAREDDVRDQKPSDLKHTSHSKSEHHKSHHRGRR >Ma02_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13414864:13418600:1 gene:Ma02_g02280 transcript:Ma02_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHTEATFLFLLLLCFFSATAKGGRFARLGINYGTLGDDLPSAARSVHLLHSIGAGAVKIYDANPAILRALAGTRFRVSIMVPNEIIPSLGVNASAADAWVGTNLGPFYPAVRVRYLLVGNEILSYTSLANSTWPFLVPAMVNIHRALSARSIRDVKVGTTLAMDALVTSFPPSAGAFRSDIAEPVMRPLLRFLHRTRSYYFVDAYPYFAWASNPSSIRLDYALFTSNASFNYFDPGSKLTYTNLFDQMLDAVAAAMGRLGSGDVRIAVAETGWPNAGDLDQIGANVHNAAIYNRNLARRLAARPAVGTPARPGAVMPVFVFSLYNENQKPGPGTERHWGLLYPNGSKVYEVDLSGRRPLDSYPPLPPPDNNEPYKGKIWCVFGGDRKAAANATTVGAALAYACGQGNGTCDAIRPGGPCYKPNTLLAHASYAFNSYWQQFRQAGGTCFFDGLAVQTKTDPSYGTCKYASLTN >Ma02_p02280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13414864:13418600:1 gene:Ma02_g02280 transcript:Ma02_t02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHTEATFLFLLLLCFFSATAKGGRFARLGINYGTLGDDLPSAARSVHLLHSIGAGAVKIYDANPAILRALAGTRFRVSIMVPNEIIPSLGVNASAADAWVGTNLGPFYPAVRVRYLLVGNEILSYTSLANSTWPFLVPAMVNIHRALSARSIRDVKVGTTLAMDALVTSFPPSAGAFRSDIAEPVMRPLLRFLHRTRSYYFVDAYPYFAWASNPSSIRLDYALFTSNASFNYFDPGSKLTYTNLFDQMLDAVAAAMGRLGSGDVRIAVAETGWPNAGDLDQIGANVHNAAIYNRNLARRLAARPAVGTPARPGAVMPVFVFSLYNENQKPGPGTERHWGLLYPNGSKVYEVDLSGRRPLDSYPPLPPPDNNEPYKGKIWCVFGGDRKAAANATTVGAALAYACGQGNGTCDAIRPGGPCYKPNTLLAHASYAFNSYWQQFRQAGGTCFFDGLAVQTKTDPSYGTCKYASLTN >Ma08_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33672321:33672659:1 gene:Ma08_g19790 transcript:Ma08_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINVKHLGRRIGIDHLKECMFGREERTEGMLSDEEKLHPESAWLTDWS >Ma09_p30670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40689796:40690291:-1 gene:Ma09_g30670 transcript:Ma09_t30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSRACVVVAASMSAVEALKDQAGLCRWNYVVRSLQQRAKSSMGSLSQAKRTSSSVDRSRRGWQAAAEKGKQSEEALRTVMYLSCWGPN >Ma05_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25412169:25412675:-1 gene:Ma05_g18810 transcript:Ma05_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSQGLEVSRQGLGCMGMSAFYGPPKPETDMIALIHYAIRSGVTFFDTSDLYGPFTNEILLGKALQGGLREKVELATKFGFSFQDGKREIRGDPAYVRAACEGSLQRLGIDCIDLYYQHRVDTRVPIEVTVS >Ma07_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31323857:31324768:-1 gene:Ma07_g23800 transcript:Ma07_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAPSPPPLPISDPPSGAASAGSTAPVSTPAFRLFLSRLSDSVSRFLSERRPWSELADRSAFSRPDTLADATSRLRKNLAYFRVNYAAVVAAILALSLVTNPFSLLVLLALLAAWCLLYLFRPADPPLVLFGRTFSDRETLGGLVLFSVLVVFLTSVGSIIISALVAGAAMVAAHGAFRVPEDLFLDEKETGAATGLLSFLGGVASSAASAASPAVVAARV >Ma05_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:725787:726432:1 gene:Ma05_g01220 transcript:Ma05_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTNSCLFFFLLVLLSAVAASADDKFTHLHFYFHETDSGPNATLVTAVEPPKNSTTSFGSIVVYDNVLREGADPASALIGRAQGIGAISALDGGSGLAAMNLVFTTGEYNGSTLALLGRFVAGAVSERGIVGGSGRFRLARGFSLSKVVSSTATTAVAEFDVYVTHYY >Ma11_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5711535:5714803:-1 gene:Ma11_g07130 transcript:Ma11_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILNFFVTRYIGEIADFVEREVCEVLGVKKEIETLHRRLETIKVYLQDADRKRHDSAAIDAWVRKLKDVMCDADDVMDLCMMEGGRLLEAPRSASAVSSPLRFVSSCFRCAKYRHEIAGRIRALDDRLKKMAEDNAIISTLQLTIQVPQIYETVSHATSHLEVKGDIVGAQIEIAAENLIGRILEEDQQKCRVFGIVGMGGIGKTTLARKIFNDERIKDNFPIRKWLYVPKNYSDTDLLKELIRCVAERSEGGEPKAETFKGKSRAELEPKLASLLTSNFFLVLDDVWSANVWTDLLRRPIITGEASSTILVTTRTVNVAKIMKCRYTHPVEKMDEESGWKLLRKIVFEAGEEAEIAGLEDTGTRIVEMCDGLPLAIKAMGGVLSPKEKTKAEWENVLRSDAWSMNSTDKELPRALHLSYEDFPPHLKQCFLYCSLYPEKSDLYYKEIVRLWVAEGLILKQGDRLVEDIAEEYYRELVCRSLLQVNPSYADHSYFSMHDLYRTLGANLMQEEGISIVHGRTFTTNTNTKIRRLSVSKMGHRLELPDEVMRHKCLRTLILADSFNTLTIEENLLRSLPHLRVLDLSNTSIEGIPDFIGDLLHLRYLNLNGTSVQEIPESIGRLANLQTLNVSECESLRKLPMAITRLHNLRCLHMEQTPLTHIPKGIRKLDKVNNLQGFVVGHEDPTKPGQGCALEELQSLCKLSCLSISSLERAVAGASVLAEKPFLKELTLDNTRVEGATWSEEQIQGAEKICNELSPPSSLRDLALEQFPALRLPVVHGAAPLGTLPKLKFLNISGAKALKTIGPEFVGHSSLAFPKLETLQFINMPKWEEWSLGWAEEAGNGTQPKLLPNLKNCLLKDCPKLKALPQGLSYATNLKGLSLRQTYELREITNLPLADKLEVTNNMMLSRISDLSAVKYLKVYYDCPSLENVENLHSLRHLFVKCPPTMTHLPPWLSGLVDERQSAPAAQWSFSKFELQCNLVLLKSCLRGHQNWHIIQRIPNVKIQTFSGNEYIRYVKDTDMYDTNVLQS >Ma06_p37180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36323554:36323985:1 gene:Ma06_g37180 transcript:Ma06_t37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFPQAILHRSRRRRTRASSEYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDTAEEAALAYDLSSISFCGPARARTNFRYPFLPAPSPPPPPPPPPPSPLSEETNVCYFEVDSTGDDDSMTIAVILQSFRQSTSLSSSSLLF >Ma11_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22887848:22889408:1 gene:Ma11_g17590 transcript:Ma11_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSNLHQDVLNLIVSELSLHDLLCCTIVCGTWLRTIRDLRRYCSKLCHQSPWLAFSGSGDDIGSATDDPSAAHFFSLSEQKVYTIPLPQPPIRNRLFLGSSYGWLITIDECFKVQLLNPISGAQINLPFILTLDNIGSFRDIWGRIRGDVNDLIHNQYPGEGIPKLKFKAMLSSDPSRGDYIVTLIHYPYGGISIARSNDNKWTTMSFPDFYEDAIFYKDQLYATFYGRIDIWDDLNQEWKMVVPEPEVDEIEPFNIPFWLLVQTPTCDLLQVRGNIVPIAQHDNTKIEVPLMIVDRLDIKNGTSLQVKNLGEYALFLCNNQSLCLSCKDLPELKPNHIYFTNDFWWLNNNWWWKDHVKKCRDLIVYDLENETLSYILYSDARLNMPPPIWITPSPLLND >Ma05_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37080176:37085972:-1 gene:Ma05_g25000 transcript:Ma05_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMHTSALTFYTETMCIPPSKYSRSRFFHASFMQRCVFGHEERIYPCICFLKLAAPSANNPRRMSWAASSMADGNRSNASESGTNPTTRLVRTIQSFQTKLNTRIKQLKKGLSVKVFFFLVGFYCATAFATVIGQTGDWDILSAGLAVVVVEGIGALMYRASLPYANKIRNLVTVFNYWKAGLSLGLFLDAFKYEIDSFFESCKPMNIEIDIFPPFW >Ma03_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1770762:1773593:-1 gene:Ma03_g02610 transcript:Ma03_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDAEPMNTEITPPTSRRRRKKSMVWEHFTIETISGSCTRACCKLCKQTFAYSNGSKVAGTSHLKRHIALGSCPKIKNLEKQQLALTSDTKADGDTIEPTKRRYRTSSFGYAFDQEQSCAYLAKMIIVHEYPLHMVEHPAFLSFVQSIQPRFKMIDVNAMEGEVLSVYHKEKLNLMQVLGTLPGRISLTIGLWTTSQTLGYICLSGQYIDLDWKLHRRMLNFMMVASPHSENALSEVISVSLSDWNMKTKLFTITLDNNCSSHDIYSANLRDHLSNKNTLVLKGQLFVVRCYANILNVVAQDVIASIHGIVYNIRESVKFVKASPAREEKFSEIALQIGIPGTKALSLDVTTLWNTTYIMLDAALEYKEAFTFLETCDDNYNEAPSADDWKKVEVVCTYLKLLYESANTVMATIDQTANIFFQEAWKIQLELTNATLSQDLMVSSIAKEMHEKFDKYWKDCSLVLALAVVMDPRFKMKLVEFSFSKIYGEDSARYVKVVNDSIHELYIEYVAQPLPLTPAYIDQGEANHVNGNDNNPHTTPNPMGDGLLDFDIYLSETAVNQATKSELDQYLEESLVPRIQGFDILNWWKLNNLKYPILSKMAKDILGIPVSIVGTGCSIFGSGTGSRVLDEYRSSLRPETVEALFCAKDWLQYLPTFTESPSTMFAKLEF >Ma03_p02610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1770762:1776010:-1 gene:Ma03_g02610 transcript:Ma03_t02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDAEPMNTEITPPTSRRRRKKSMVWEHFTIETISGSCTRACCKLCKQTFAYSNGSKVAGTSHLKRHIALGSCPKIKNLEKQQLALTSDTKADGDTIEPTKRRYRTSSFGYAFDQEQSCAYLAKMIIVHEYPLHMVEHPAFLSFVQSIQPRFKMIDVNAMEGEVLSVYHKEKLNLMQVLGTLPGRISLTIGLWTTSQTLGYICLSGQYIDLDWKLHRRMLNFMMVASPHSENALSEVISVSLSDWNMKTKLFTITLDNNCSSHDIYSANLRDHLSNKNTLVLKGQLFVVRCYANILNVVAQDVIASIHGIVYNIRESVKFVKASPAREEKFSEIALQIGIPGTKALSLDVTTLWNTTYIMLDAALEYKEAFTFLETCDDNYNEAPSADDWKKVEVVCTYLKLLYESANTVMATIDQTANIFFQEAWKIQLELTNATLSQDLMVSSIAKEMHEKFDKYWKDCSLVLALAVVMDPRFKMKLVEFSFSKIYGEDSARYVKVVNDSIHELYIEYVAQPLPLTPAYIDQGEANHVNGNDNNPHTTPNPMGDGLLDFDIYLSETAVNQATKSELDQYLEESLVPRIQGFDILNWWKLNNLKYPILSKMAKDILGIPVSIVGTGCSIFGSGTGSRVLDEYRSSLRPETVEALFCAKDWLQYLPTFTESPSTMFAKLEF >Ma03_p02610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1770762:1776233:-1 gene:Ma03_g02610 transcript:Ma03_t02610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDAEPMNTEITPPTSRRRRKKSMVWEHFTIETISGSCTRACCKLCKQTFAYSNGSKVAGTSHLKRHIALGSCPKIKNLEKQQLALTSDTKADGDTIEPTKRRYRTSSFGYAFDQEQSCAYLAKMIIVHEYPLHMVEHPAFLSFVQSIQPRFKMIDVNAMEGEVLSVYHKEKLNLMQVLGTLPGRISLTIGLWTTSQTLGYICLSGQYIDLDWKLHRRMLNFMMVASPHSENALSEVISVSLSDWNMKTKLFTITLDNNCSSHDIYSANLRDHLSNKNTLVLKGQLFVVRCYANILNVVAQDVIASIHGIVYNIRESVKFVKASPAREEKFSEIALQIGIPGTKALSLDVTTLWNTTYIMLDAALEYKEAFTFLETCDDNYNEAPSADDWKKVEVVCTYLKLLYESANTVMATIDQTANIFFQEAWKIQLELTNATLSQDLMVSSIAKEMHEKFDKYWKDCSLVLALAVVMDPRFKMKLVEFSFSKIYGEDSARYVKVVNDSIHELYIEYVAQPLPLTPAYIDQGEANHVNGNDNNPHTTPNPMGDGLLDFDIYLSETAVNQATKSELDQYLEESLVPRIQGFDILNWWKLNNLKYPILSKMAKDILGIPVSIVGTGCSIFGSGTGSRVLDEYRSSLRPETVEALFCAKDWLQYLPTFTESPSTMFAKLEF >Ma11_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22856184:22864855:1 gene:Ma11_g17560 transcript:Ma11_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECILCACCWTSCPSCWWNPEVYLGPVALLHAHSWDQNTKVRLDAVNDEFKPYRYHTIMNCAHACPMGLNPVKQIEYRSKSFSFIKFFLRYHLLL >Ma07_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13120591:13122490:1 gene:Ma07_g16300 transcript:Ma07_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAEDVSREMRNLVLGKYEMGRVLGKGTFAKVYHGRDLHTGESVAIKVIHKDKIRREAGMMEQIKREISVLRLVRHPNVVELREVMATKSRIFFVMEYVRGGELFARVADGPLPEDQARRYFRQLISAVHFCHSRGVSHRDLKPENLLLDHNGDLKVSDFGLSALPEQLRQDGLLHTQCGTPAYVAPEVLRRRGYDGAKADIWSCGVILYVLLAGFLPFQDENLVRMYRKVLKAEYQIPPWFSGDACRLLSGLLVADPEKRISIPAIMQHPWLKKGTHHKDPNPVPPPPQAEPAAVEEEQKQGTPRFYNAFELISSLSSGFDLSSLFENRRPPGTAFTSRLPAAAIVDRLEKVGRALGFRVERTKPYKVKMEKEEEEGWKGRLGVVAEVFEVAAGVAVVEFSKSSGETSEYTKFCEADIRPGLEDIVWTWQGDDAGGTTNTVDAAVT >Ma01_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26338377:26339077:1 gene:Ma01_g22970 transcript:Ma01_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIYLQRKKAVLMSYLDVLHNLSCSANWLTVIQNSDPYYHLYKHCFQFFIVETSFCPACSLSDNNNVIIIFFMLVRTICLHSYQLVFVGFIPSLIMNRQLTFWLSK >Ma02_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20068633:20076030:1 gene:Ma02_g10550 transcript:Ma02_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIALFASGLAVLLLLVQGSPPGPVVQCRSGNTNCTVTNGYGAFPDRSTCHVAAVAYPKTEQELLFAVSGATAKQQHMKAVTRYSHSIPKLSCPGGPSGQGLVISTQRLNRSVRVDMATSRMTFEAGITLRQLLDAAAARGLALPHSPYWQGVTLGGLLSTGSHGSSLFGKGSAVLVVPRPVPVNGYYAKIVNLGEDDPDLLAAKVSLGVLGVISQVTLQLEPMFKRSITHRVIGDVGFEQTISSYAVTTYYGDISWFPSQGRVVYRDDIKVPITTKGKGENDLLVFRAQSSIDVVSARASEELLELTGNAGGKCVLSRSQVDTQIENGMGLKNNDGGLSDFTGYPVIGNQSDMQSSGSCLRSAEDNLLTACGFDPRFAGSFYHQTAISIPFTTVADFIADVKKLRDAHSNALCGTELYFGFFMRFLRNSTAY >Ma03_p31170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33486224:33486570:1 gene:Ma03_g31170 transcript:Ma03_t31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSCRSSEKDQENTARYHQPILRSIIVVIIILATLFDQKGDRPAIMPSHEEGCVARERVGICVLGLLFYRVYHDSRLIK >Ma07_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29284736:29287277:-1 gene:Ma07_g21220 transcript:Ma07_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKRIVKKRVKKFKRPQSDRKICVKTNWRRPKGIDSRVRRKFKGCILMPNIGYGSDKKTRHYLPNGFKKFVVHNVAELELLMMHNRTYCAEIAHNISTKKRKHIVERAAQLDIVVTNKLARLRSQEDE >Ma07_p07970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5919830:5925270:1 gene:Ma07_g07970 transcript:Ma07_t07970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPCFSFLFKKKGPSRLQLKYQEFAEIENVKIYSYNELRHATEDFSLGNKIGEGGFGYVYKGKLKDGSIVAIKVLSAESKQGVREFLNELKAISTIAHENLVKIYGCCVEQDQRILVYNYVENNSLAQTLLGKGYSNIQFTWRIRAKICIGVARGLAFLHEEVQPHIIHRDIKASNVLLDKDLTPKISDFGLARLLPSNMTHISTRVAGTVGYLAPEYALRGQLTRRADIYSFGVLLLEIVSGRSNVNTRLPYEDQLLLERTWALYERGELEQIVDTSLNDDFDAEEACKYLKVGLLCTQDNPKLRPAMSAVVMMLIGEKDVSEQIMKPGLISDFMDLKIKHQKVSEDFMDQKIKHQKMSVNMFPASTSLEASTVSSMMTTNMSVTFTSTADHA >Ma07_p07970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5919801:5925270:1 gene:Ma07_g07970 transcript:Ma07_t07970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPCFSFLFKKKGPSRLQLKYQEFAEIENVKIYSYNELRHATEDFSLGNKIGEGGFGYVYKGKLKDGSIVAIKVLSAESKQGVREFLNELKAISTIAHENLVKIYGCCVEQDQRILVYNYVENNSLAQTLLGKGYSNIQFTWRIRAKICIGVARGLAFLHEEVQPHIIHRDIKASNVLLDKDLTPKISDFGLARLLPSNMTHISTRVAGTVGYLAPEYALRGQLTRRADIYSFGVLLLEIVSGRSNVNTRLPYEDQLLLERTWALYERGELEQIVDTSLNDDFDAEEACKYLKVGLLCTQDNPKLRPAMSAVVMMLIGEKDVSEQIMKPGLISDFMDLKIKHQKVSEDFMDQKIKHQKMSVNMFPASTSLEASTVSSMMTTNMSVTFTSTADHA >Ma07_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5919836:5925270:1 gene:Ma07_g07970 transcript:Ma07_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPCFSFLFKKKGPSRLQLKYQEFAEIENVKIYSYNELRHATEDFSLGNKIGEGGFGYVYKGKLKDGSIVAIKVLSAESKQGVREFLNELKAISTIAHENLVKIYGCCVEQDQRILVYNYVENNSLAQTLLGKGYSNIQFTWRIRAKICIGVARGLAFLHEEVQPHIIHRDIKASNVLLDKDLTPKISDFGLARLLPSNMTHISTRVAGTVGYLAPEYALRGQLTRRADIYSFGVLLLEIVSGRSNVNTRLPYEDQLLLERTWALYERGELEQIVDTSLNDDFDAEEACKYLKVGLLCTQDNPKLRPAMSAVVMMLIGEKDVSEQIMKPGLISDFMDLKIKHQKVSEDFMDQKIKHQKMSVNMFPASTSLEASTVSSMMTTNMSVTFTSTADHA >Ma09_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10279400:10284773:1 gene:Ma09_g14970 transcript:Ma09_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MYSSHLYRGEQAPSGFNIGDAVLDLTRSPILSPKSSSNLTAVNSSPLHLHFGAINHASMASLDVAAPTAAAATHVDAGRMAFSRGQIENWGDSAMVVSSPRTDTSTDVEIEDRTKMFGGVQQGAIICADSSAMSKGKAGEQKTLRRLAQNREAARKSRLRKKAYVQQLENSRVKLIQLEQVLQRARQQGILIASGFMGDHSYSIGGNGALAFDLEYARWLEDHQRRINDLRSALNSQVNDDELRLTVETFMGHYDEVFRLKSIGIKSDVFHILSGMWTTPAERCFMWLGGFRSSELLKILVSHLEPLTDQQLMGLCNLQHSSQQAEDALSQGMEALQQSLSETLASASLVPAGGADNVSNYMGQMTIAMGKLSTLENFLRQADLLRQQTLQQMHRILTTRQAARALLTISDYFSRLRALSSLWLARPRD >Ma03_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11238027:11250220:1 gene:Ma03_g14080 transcript:Ma03_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTMDASSWQEMLRRILPPGTPIPEAPANLDYSIAIEYDGPPVSYELPRVDPVDLIPTAETAPGSHSSVNGTTPPVVDPIPLPVSRIARCADPPPRSSQTSGSSESVDSVLQNEEFSDASRSDSPVSTHSVPNGQPSQPVNEERRTSVVTFEEKSESKELYSDLSGSTRDVGVTRREKRKRVCYRCGKRKWESKEVCLVCDARYCSYCVLRAMGSMPEGRKCVSCIGQPIDESKRLRLGKSSRTLSRLLSPLEVRQILKAEKECPANQLRPKQLIVNGFPLRPEEMAELLSCPIPPQKLKPGKYWYDKESGLWGKEGEKPNRIISSNLNFTGKLHADASNGNTEVYINGREITKIELKVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWESTLTRLACSLFSLPVPHPVPNDHKNVAPYVARPVPDYLEQRIQKLLLLGPQGSGTSTIFKQAKFLYGNKFSQEELENIKLMIQSNMYKYLSILLEGRERFEEEALARLEGTGSHDQHPSEGVSGQHKSEASQPNLCIYSINGRLKQFSDWLLDIVAMGDLDAFFPAATREYAPLVDEMWREPAIQETYKRRNELHYLPDIAGYFLSKAIEVSSNEYEPSEKDILYAEGVTQGNGLACIEFSLDDHSPMSEPYNDNHDAYSQPLTKYQLIRVSVKGMNEGCKWVEMFEDVRLVIFCVSLGDYDQLAADVNDSSKPLRNKMMQSKELFEATVRQPCFQDTPFVLVLNKYDLFEEKINRVPLSACEWLNEFSPVKTNQNSQSLAHQAYYYIAMKFKDLYLSITNRKLFVWQARARDRPTVDEAFKYMREVLKWANERDENCIEDSFYSTTEMSSSPFIRQE >Ma04_p29250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30176239:30204231:1 gene:Ma04_g29250 transcript:Ma04_t29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLDQEDEEMLVPHQEFPEGPQPMEVIPNEMGTAPESQQVEDPPSFKFRWTIENFSRLNIKKLYSDIFYVGGYKWRVLIFPKGNNVDHLSIYLDVADSATLPYGWSRYAQFALAVVNQIHSKYTIRKDTQHQFNSRESDWGFTSFMSLSELYDPSRGYLVNDTCVIEAEVVVRRVVDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQHLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDSDNGKYLSPEADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCDVDEKDIAEHLRIRLKKEQEEKENKKKEKAEAHLYTIIKVARNEDLAEQIGKEIFFDLVDHDKVRNFRVQKQLPFSHFKEEVAKEFGIPVQFHRFWLWAKRQNHTYRPNRPLTPQEEAQPVGQLREVSNKAHNAELKLFLEVELGPDLCPVPPPSKTKEEILLFFKLYDPEKEELRFVGRLFLKSLGKPIEVLTKLNEMAGFPPNEEIELYEEIKFEPNVMCEHIDKRISFRSSQIEDGDIICYQRASALGNEDQYRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFSLELSKMFTYDDVVERVAHQLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVAVHSIRLPKNSTVADVINDLRTKVELSHPDAELRLLEVFYHKIYKIFPPGEKIENINDQYWTLRAEEIPEEEKNLSPHDRLIHVYHFTKDPNQNQTQVQNFGEPFFMVIREGETLADVKIRIQKKLQVPEEDFSKWQLAFVALGRPEYLQDSDIVSNRFQRRDIYGAWEQYLGLEHSDTAPKRAYTANQNRHTFEKPVKIYN >Ma04_p29250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30176255:30204231:1 gene:Ma04_g29250 transcript:Ma04_t29250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLDQEDEEMLVPHQEFPEGPQPMEVIPNEMGTAPESQQVEDPPSFKFRWTIENFSRLNIKKLYSDIFYVGGYKWRVLIFPKGNNVDHLSIYLDVADSATLPYGWSRYAQFALAVVNQIHSKYTIRKDTQHQFNSRESDWGFTSFMSLSELYDPSRGYLVNDTCVIEAEVVVRRVVDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQHLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDSDNGKYLSPEADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCDVDEKDIAEHLRIRLKKEQEEKENKKKEKAEAHLYTIIKVARNEDLAEQIGKEIFFDLVDHDKVRNFRVQKQLPFSHFKEEVAKEFGIPVQFHRFWLWAKRQNHTYRPNRPLTPQEEAQPVGQLREVSNKAHNAELKLFLEVELGPDLCPVPPPSKTKEEILLFFKLYDPEKEELRFVGRLFLKSLGKPIEVLTKLNEMAGFPPNEEIELYEVVHFRSLEKPKEDDFSLELSKMFTYDDVVERVAHQLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVAVHSIRLPKNSTVADVINDLRTKVELSHPDAELRLLEVFYHKIYKIFPPGEKIENINDQYWTLRAEEIPEEEKNLSPHDRLIHVYHFTKDPNQNQTQVQNFGEPFFMVIREGETLADVKIRIQKKLQVPEEDFSKWQLAFVALGRPEYLQDSDIVSNRFQRRDIYGAWEQYLGLEHSDTAPKRAYTANQNRHTFEKPVKIYN >Ma09_p15740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11069486:11072279:-1 gene:Ma09_g15740 transcript:Ma09_t15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRTANSRHAIDSCTLLLHAWKPFQLQTLVAADPPRSYPFRAKKPCLADRSTAPSPANAVGLDISRLSLKDDPPPPRPPRREEGLRWFAGKRRRRHGSRSISGRSSDRSGTRPRGGVSATYATCSDFPLAAGGTDSSGEFFVIGDWSWGSDASEAARVTRREGREVAVGVRLERETSGFGGIPGVGGAGMLESQANESGYGSEAGYRGDGELGYDDEIEDEDEDDDGKQLFWGEELGATDADQMEIVSENKFAEQKAHHRGRHRKHDWRIMASLR >Ma09_p15740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11068760:11072279:-1 gene:Ma09_g15740 transcript:Ma09_t15740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRTANSRHAIDSCTLLLHAWKPFQLQTLVAADPPRSYPFRAKKPCLADRSTAPSPANAVGLDISRLSLKDDPPPPRPPRREEGLRWFAGKRRRRHGSRSISGRSSDRSGTRPRGGVSATYATCSDFPLAAGGTDSSGEFFVIGDWSWGSDASEAARVTRREGREVAVGVRLERETSGFGGIPGVGGAGMLESQANESGYGSEAGYRGDGELGYDDEIEDEDEDDDGKQLFWGEELGATDADQMEIVSENKFAEQKAHHRGRHRKHDWRIMASLSKPC >Ma09_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11068760:11072279:-1 gene:Ma09_g15740 transcript:Ma09_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRTANSRHAIDSCTLLLHAWKPFQLQTLVAADPPRSYPFRAKKPCLADRSTAPSPANAVGLDISRLSLKDDPPPPRPPRREEGLRWFAGKRRRRHGSRSISGRSSDRSGTRPRGGVSATYATCSDFPLAAGGTDSSGEFFVIGDWSWGSDASEAARVTRREGREVAVGVRLERETSGFGGIPGVGGAGMLESQANESGYGSEAGYRGDGELGYDDEIEDEDEDDDGKQLFWGEELGDADQMEIVSENKFAEQKAHHRGRHRKHDWRIMASLSKPC >Ma10_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14261189:14264397:-1 gene:Ma10_g03660 transcript:Ma10_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLKPLPLLRRSFLSVPGSHPSAFDENLLRLLRNEISYLSDYLRPRPPPQRFGSFSIEDRPGEQWIRLRSKHGDEDVKVDVTMFDGAAPVPSAPIAEKVKALERGPRLHISLIVEVSRGEASDSVLELICSAWPDSLVVETLFPLHRKVAVFRPYFGRNFKNLEQEVRRQVISYLEERGVDDDLAEFLHEYMTNKDKVELLRWLRIVESYVLK >Ma10_p03660.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14260577:14264397:-1 gene:Ma10_g03660 transcript:Ma10_t03660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLKPLPLLRRSFLSVPGSHPSAFDENLLRLLRNEISYLSDYLRPRPPPQRFGSFSIEDRPGEQWIRLRSKHGDEDVKVDVTMFDGAAPVPSAPIAEKVKALERGPRLHISLIVEVSRGEASDSVLELICSAWPDSLVVETLFPLHRKVAVFRPYFGRNFKNLEQEVRRQVISYLEERGVDDDLAEFLHEYMTNKDKVELLRWLRIVESYVLK >Ma10_p03660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14261189:14264397:-1 gene:Ma10_g03660 transcript:Ma10_t03660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLKPLPLLRRSFLSVPGSHPSAFDENLLRLLRNEISYLSDYLRPRPPPQRFGSFSIEDRPGEQWIRLRSKHGDEDVKVDVTMFDGAAPVPSAPIAEKVKALERGPRLHISLIVEVSRGEASDSVLELICSAWPDSLVVETLFPLHRKVAVFRPYFGRNFKNLEQEVRRQVISYLEERGVDDDLAEFLHEYMTNKDKVELLRWLRIVESYVLK >Ma10_p03660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14260466:14264397:-1 gene:Ma10_g03660 transcript:Ma10_t03660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLKPLPLLRRSFLSVPGSHPSAFDENLLRLLRNEISYLSDYLRPRPPPQRFGSFSIEDRPGEQWIRLRSKHGDEDVKVDVTMFDGAAPVPSAPIAEKVKALERGPRLHISLIVEVSRGEASDSVLELICSAWPDSLVVETLFPLHRKVAVFRPYFGRNFKNLEQEVRRQVISYLEERGVDDDLAEFLHEYMTNKDKVELLRWLRIVESYVLK >Ma10_p03660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14260466:14264397:-1 gene:Ma10_g03660 transcript:Ma10_t03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLKPLPLLRRSFLSVPGSHPSAFDENLLRLLRNEISYLSDYLRPRPPPQRFGSFSIEDRPGEQWIRLRSKHGDEDVKVDVTMFDGAAPVPSAPIAEKVKALERGPRLHISLIVEVSRGEASDSVLELICSAWPDSLVVETLFPLHRKVAVFRPYFGRNFKNLEQEVRRQVISYLEERGVDDDLAEFLHEYMTNKDKVELLRWLRIVESYVLK >Ma01_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12450759:12451369:-1 gene:Ma01_g17020 transcript:Ma01_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDGTSSGSSHPLNSGSGEDLQAIMHQKKLKRMISNRESARRSRMRKQQHVDELMAQANQLRKENRQLLTNLSLTKQHYAAVEYENSVLWARVMELSSRLQSLDEILDYLNVSNMSVADTSPSGAWNCSYMNKPIMASADSMFYY >Ma08_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18027082:18031861:1 gene:Ma08_g16370 transcript:Ma08_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDSGNYSWGSEAVTRKTRVLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYFQHYGIEIGRKKKAPTASKKEAAEEGEAAVEEGKKSNHVTRKLEKRQQGCKLDPHIEEQFGAGRLLACISSRPGQCGRADGYILEGRELEFYMKKIQRKKGKGTGAAA >Ma11_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26893570:26896296:-1 gene:Ma11_g23630 transcript:Ma11_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAATAAAAEKEEKREMDAGKKKREEEIKGVNGKADVYEVDDDEVEEDGEDDEEPEEIVELDDDDDDGEGSDDDDDDADDDDDEEEEEDDDVEEVTPQGHRPQVQAADDDEEEDEDEDEGGDGDDDDDDSDDDEEDGGGEEEEEELGTKYLVPPVGRAEEEEDASDFEPGEETDDNDEDDHQGHGGGGSIKDGTSSKRKRSTKDDSDGDSKGDDDDDERPPKR >Ma05_p15850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12585232:12608333:1 gene:Ma05_g15850 transcript:Ma05_t15850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQPSTMYLQSYLQSSYVIVCSEGFASMQMLKLEEQQLFGEASSLLSEVVTTPTRSLTLNLDRKENNETHLKKLGKLNVCVNESLGSKTIMEIVFRCLELENKDLFSKSDPFLLMSRKEESGVLVPISKTEVKKNDRNPIWRPVIVNLQQIVSKENPLAIECFNFNSNGKHELIGKVIKSLAELEKLHHSQHGEDLFLPTLVGNDYEDKVLKSQIFVERFSQSKSKTFLDYISDGCEMNFMVAIDFTASNGNPRLPDSLHYIDPSGRPNAYQRAILEVGEVLQFYVAHRRFPAWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALHNVSLAGPTLFGHVISAAALIAGQSLSNSRQKYFILLIVTDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDAEKGERIESPTGRVASRDIVQFVAMRDAQSGEVSVIQSLLAELPRQFMSYMQSRDVDQLN >Ma05_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12583058:12608333:1 gene:Ma05_g15850 transcript:Ma05_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSGDGQGGRPVGGAAAAVAGGAGLKSGADGAVDLFLKSRCLRSPYTQIELSLSATDLCDQDVLSKSDSLAIVYAKQRDGKLEELGRTEVILNSANPVWTQNIIINYQFEVLQHLVFQVYDVESAFHNVPAKMLKLEEQQLFGEASSLLSEVVTTPTRSLTLNLDRKENNETHLKKLGKLNVCVNESLGSKTIMEIVFRCLELENKDLFSKSDPFLLMSRKEESGVLVPISKTEVKKNDRNPIWRPVIVNLQQIVSKENPLAIECFNFNSNGKHELIGKVIKSLAELEKLHHSQHGEDLFLPTLVGNDYEDKVLKSQIFVERFSQSKSKTFLDYISDGCEMNFMVAIDFTASNGNPRLPDSLHYIDPSGRPNAYQRAILEVGEVLQFYVAHRRFPAWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALHNVSLAGPTLFGHVISAAALIAGQSLSNSRQKYFILLIVTDGVITDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDAEKGERIESPTGRVASRDIVQFVAMRDAQSGEVSVIQSLLAELPRQFMSYMQSRDVDQLN >Ma09_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1679632:1684635:1 gene:Ma09_g02370 transcript:Ma09_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKAHKSKAYFKRFQVKYKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIISSSIAGDLVLASAFAHELPRYGLEVGLTNYAAAYCTGLLLARRVLKTLEMDEEYQGNVEATGEDFSVEPAESRRPFRALLDVGLVRTTTGNRVFGVLKGALDGGLDVPHSDKRFAGFKKDEKQLDADFHRNYIFGGHVASYMRTLMEDEPEKYQTHFSDYIKRNVGPDDMEDLYKKVHAAIRADPSTVKSTKEPPKEHKRYNLKKLTYEERKAKLIDRLNALNSATADGSDEDEDDE >Ma01_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1818580:1845833:-1 gene:Ma01_g02780 transcript:Ma01_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYVPLSPGNEEAEASRKEGRRAGGTRVASLDVFRGLSIALMIFVDYAGSVLPFVAHAPWVGVRLADFVMPFFLFIAGISVSIVYKNKSRKLQLTWKAMIKAVKLFIVGIFLQEQRIAIGYIVAALCEIWFSSNRTMNVERGMFKNYQYQWIIVVLLSGIYLGLLYGAYVPDWQFEMQEISLNSSLPTSYTIETVKCEVRGDLGPACNAAGMIDRNVLGIKHLHKTPVYRHLKECMTPKDGNGVNTYPPWCHAPFDPEGILSSLTAVVTCIFGLHFGHILVLLEDHKDRLTKWLLFSLSVFTLGLFLAFIGVPLNKSLYTISYMLLTTGVAGFVLCALYVLVDVYGYRCPTFVFEWMGRHSLCIFVLVASNIAVIALQGFYWRNPKNNIEDDLGKAMANSESAGQEPTLITESPSLAPFAGTFQPGLCPAALPSFTRSAASSGGEGDVRPATTVSAAVPGVGGRSAGSEVEGAAARGSPQTTRLQERAGVRLTVAADAGVEGSKAVVMIVDSRNGGGRAATGGLLRPATGASVRLPRASPMVLLPGLAWAFGGAILAYCTVRLPGEHINPAVSFGLLLGRKISLLRAVLDMVLQCMGAICGVGIVKGIMNHPYNSLGGRANQVAAGCSQGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVMAPLPIGFAVFTVHLGTGINPARSLGAAVLYDQRKAWRDHVNFAVVQWIFWVGPFAGALAAAVKASSSFRSSNGK >Ma03_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18499106:18500435:-1 gene:Ma03_g16240 transcript:Ma03_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDNLKKVLSSLLASCIQAPRTSRASLIRGSRSQANAMAQQALIAHWQSIVKSLTNYLKILRANHVPPFLVHKVFTEIFSFINVQLFNSLLLRRECCSFSNGEYLKAGLTELEHWCYDATEEYAGSTWGELKHIRQAVGFLVCFFMKSTLTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTQRIIRGHFKYESYDD >Ma04_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3687671:3690911:1 gene:Ma04_g04840 transcript:Ma04_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPLCCANDPTAYFNWDVSYVTASPLGVPQQVIAVAKQFPGPIVNVTTNWNVVVNVRNSLDEDLLLTWDGIQMRKNCWDDGVLGTNCPIPPGWNWTYDFQVKDQIGSFFYFPSLGLQRAAGGYGGIIVNSRDVVPVPFAKPDGDITLFIGDWYIKNHTDLRKMLDDGKDLGMPDGVLMNGKGPYRYNATLVPDGIDHETINVEPGKTYRFRIHNVGVSTSLNLRIQNHNLLLVETEGTHTLQQSYTNLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESLWTRVTGVAILHYSNSRGKASGPLPDPPNDFYYKGFSMNQARSIRTNLSAGAARPNPQGSFRYGLINVTRTYMLRNMPPVIINGKLRTTLNGISYSPPTTPLRLADHHNKTGVYTLDFPTRPLDEPPRIGTSVINGSYRGFMEIVFQNDDTKVQTYHIDGYSVFVVGMDYGEWTEDRRGNYNKWDAVYRSTTQVFPGAWTAVYVSLDNVGIWNVRAENLDTWYLGQEAYMKIVNPERTNKTELPVPDNVLYCGLLQYLQKPQTHKHSSASSVSNGGKLLLAWILLLASLALVP >Ma06_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6521981:6524144:-1 gene:Ma06_g09260 transcript:Ma06_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDHHRSGVGFKDAHVAEASTPLPRENRAVVISEMDFFSNEKKKMTMTSCAAPELDLKVPSLCIKREDLSVNTGLHLSNTRSDLSTVDDGMSPPEDDKEGKSELTAVQAELARMNQENNKLRLMLNQLTTNYNALQMQLVALTQQRRTSGNPQEPENKKMEEKSHDEHDGAVVPRRQFMDLGPGGDIDEPSHSSSTSRDPCLSLRSHTEVSLDHEKHVREDDRAEHGWNSNKTPRLAPSKSAERAEDATMRKARVSVRARSEAPTITDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCADDRSILITTYEGTHSHPLPPAAIPMASTTSAAASMLVSGSMPSADGPMSSNFLARTVLPCSSSMASISASAPFPTVTLDLTQSPSPLQFQLPFPSAAPPLPQVFGQPLQNQSRFSGLQMSPEMDPAQLAHPKAQSVAPPSLADTVSAVITADPNFTAAVAAAISSIIGGAGNHRTGNDDNSHSSANTTSCSKQRREPEQYQ >Ma07_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3929239:3935139:-1 gene:Ma07_g05420 transcript:Ma07_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGLARRWAVEFAENSSYSSSTSDVPDPIGFNRSASDPDDANASRQKKDAESAWKSQKAWEVAQAPFKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDSKVDILAPKLLFIALNLAALALGVWKLNTLGLLPSHASDWVSSLPPAPEVEYSGGGLPIH >Ma05_p30090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40610998:40611881:-1 gene:Ma05_g30090 transcript:Ma05_t30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKPLSHLLALLLLSCLLSVDAAPLSGTFALKNQDEAEMKVADQVINKETPEEGGVSLNERMDFELDDYPGSGANSRHDPKNPGKP >Ma10_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24558364:24558690:1 gene:Ma10_g10790 transcript:Ma10_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNAACQGEEGGAEDIDLGEEQIIVVAVTAAKRAAVAAAERAAVAIVAERAAAVATAKRATAAAAAKRAVAAAATKRVAVAAVERAAAIAMSKMAATACSSEMRERG >Ma06_p33230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33939501:33940615:1 gene:Ma06_g33230 transcript:Ma06_t33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSISALSKPLSPNPKAVTFKPRFSISCSSSLPQQQQGEDSISSSLKTFSAALALSSLLVSSAAAPPPAAADISGLTPCKESKAFAKREKQSIKKLEASLNKYAPDSAPALAIKASIEKTKRRFENYGKFGLLCGSDGLPHLIVNGDQRHWGEFITPGLFFLYIAGWIGWVGRSYLIAIRDDKKPTMKEIIIDVPLASRLLWRGFIWPVAAYRELVNGELVAKDV >Ma03_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:930016:930836:-1 gene:Ma03_g01240 transcript:Ma03_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAASADTTRFKKKKKKKKKKNSAGKEEAGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLTRARQLVFGNEGLAGAGVGAIGDLSLGGFRQRGGDIGAPCLRFQQVYPSIPTRPSNPPPWQQYRHRPTHPPVRNYCIGHVVSGSAQRLPSYICAHRCQDT >Ma04_p39670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36686192:36705764:1 gene:Ma04_g39670 transcript:Ma04_t39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G79560 protein [Source:Projected from Arabidopsis thaliana (AT1G79560) UniProtKB/TrEMBL;Acc:B9DHQ6] MGSFAARSPPISPSPSPSPIFPTARSLLFLRPFPPPSPTYRRGRRRFPRTTVFRASSSSAGDGSASFTWENFYESLRRGSARFTSSFGEHLKKETGIDLESANATAAVLVERTRDVTKKGLAAVDQFRSEWLSEFLEWNKWENWKDLRKWEPRRIGALILYIIVVSISSRKFYVALTSQINRQSKRELTEAYMEALIPEPSPANVRRFKKGVWRKTMPKGLKIMKFIEGPSGQLIQDNSYVGEDAWDEDPELPQDTISKIIDSDKKLSLEDKKSLKVNLSISDAVGTSVDGQESSTTWQERLSKWKEILQKEKLTEQIDSLNAKYVVDFDMQEVEKSLRKEVVERKSDTDASRALWISKRWWHYRPKLPYTYFLNKLDSSEVAAVVFSEDLKKIYVTMKEGFPLEYIVDIPLDPYLFEIVSSSGVEVDLLQKRQIHYFLKVVFALLPGLLILYLIRESVMLLYITNKRFLYKKYNQLYDMAYAENFILPVESSGETKSMYKEVVLGGDVWDLLDEIMIYMNNPMDYYEKQVAFVRGVLISGPPGTGKTLFARTISKESGLPFIFASGAEFTDSEKSGAARINEIFSIARRNAPSFVFVDEIDAIAGRHARKDSRRRATFDALMTQLDGEKEKTGVNRFSLRQAVIFICATNRPDELDAEFVRPGRIDRRLYIGLPDAKQRVRIFDVHSAGKKLAEDVDFGKLVFRTVGYSGADIRNLVNEAAIMSVRKDHSLITHQDIIDVLDKQLLEGMGVLLTEEEQQKCEARVSIETRRLLAVHEAGHILLAHLFPRFDWHAFSQLLPGGKETAISIFYPREDMVDQIQEYTTFGYMKMQMVVAHGGRCAERICFGDDITDGGRDDLKKLTKIAREMVISPRNSRLGLTTLVKRVRRMDRPDNPDGELIKFKWDDPNVIPADMTPEVSELFTRELTRYIEETEELAMKGLLQNRHILDAIAIELLEKSRITGLEADERVKQMSPVMLEDLAQPFQVNLDEDGPLPVNKHLQYQPLDIYPAPLHRC >Ma11_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3779748:3780252:1 gene:Ma11_g04840 transcript:Ma11_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKIAHATLKGPSVIKEICIGLTLGLFAGGLWKMHHWNEQRKTRAFYDMLEKGEISVVAAEE >Ma00_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35021899:35023104:1 gene:Ma00_g04100 transcript:Ma00_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPMFQLGNEDMGPPWLRPLLKASFFHSCEIHGDSSKSECNLYCLDCMGDALCSYCLPDHSDHHVVQIRRSSYHNVIRVSEVSKLIDISCIQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRTLLDSFRFCSIGCKLRGLRTDPELTFKLRAKPSRELAHGSDSDDELRTPRKVAKTSNEGSSSISSGTPPTISYRTHPRKGIPHRSPLQ >Ma01_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9584390:9592195:-1 gene:Ma01_g13100 transcript:Ma01_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGAVPSPAAVEGSGSYRPPSSPLTAGLVREEKPASEIGGMAPAAVAASASPAVVAAPPVAAPAGVVVGPAAAVPEVVAAAAVVGLVAAEPMKKKRGRPRKYGPDGSLLRPLNPMPISASVPAGVQYTSAAAVGAAMKRGRGRPVGLVSKTLQYGLESEPLGEMVACSAGANFTPHVINVAAGEDVTMKIISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENGGTRSRSGGLSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLPSFQMEQKIKKPRIETGSASTPASAAMPHSTTDMEEAFGGGQGLSSSVTGKPNPSTTSSFKVENWAPSLHPVPGSSNSTTDINIGLPGG >Ma04_p28270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29351741:29352861:1 gene:Ma04_g28270 transcript:Ma04_t28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHACAGGDDLSLNASAFSGPLPWVGLFAAAASSTCALAMAYDAISAVCRRRLWFPSSLFSLNATTITLLSIASKLPLDLSSPMPSRFDQLAKLSSSALLATAAANLLLSVASFRNFASAASNLGALAIFLVAFVSNVAIQISTGVIYAFLPEHCIVLALILALLLILCSSAVAVQTTKLLLESQFTDKLDINQRVGDALLVDGAHFQPTVRARPRPTRFWLMAHTSSPQYVLGRFATSTASGVLGLLNCIILVEATVRSFIGSVSFCGKGTSEYKWSTTVVFCVQAVAVVVGTIAPAGRLWNAVRFQVPHARSRSCMDELKVEKYWTESLTEWKVNPSLMIRFHGPSRQTRKMIIHK >Ma03_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27169854:27174517:-1 gene:Ma03_g22430 transcript:Ma03_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWREEEEATLIREYAALLSPPSGGALLLARLRTREKKFQPIADRVNAAHHLRDPVAFPFRWSWRDVSVKIHNMRHQFLHVKRKLLPLPAHLHPDHALHLWPNFLLYKQVFGDHLELHLRPSSKTPASDGDREDDDSLNEEDYENENDDVEEEDEAQLATDLSASDQEVADDEERIDAELVHREEEEEEALGLRRLLPRRRRGKKMGFLGADMVRLGEIAMRREERRREREASREEEDRERERRKRALEHQRQMDEEEERREQWRRRMSREERREEEEMEWRERMLVMQMEHEKQVMQMQADAFQDQMQMIAILVRVICQFLGGGTAGGGDGGIGGIQHHVMQQQQQKQEESPESLVGDGGKNGDHSGGRYT >Ma03_p22430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27167942:27174517:-1 gene:Ma03_g22430 transcript:Ma03_t22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWREEEEATLIREYAALLSPPSGGALLLARLRTREKKFQPIADRVNAAHHLRDPVAFPFRWSWRDVSVKIHNMRHQFLHVKRKLLPLPAHLHPDHALHLWPNFLLYKQVFGDHLELHLRPSSKTPASDGDREDDDSLNEEDYENENDDVEEEDEAQLATDLSASDQEVADDEERIDAELVHREEEEEEALGLRRLLPRRRRGKKMGFLGADMVRLGEIAMRREERRREREASREEEDRERERRKRALEHQRQMDEEEERREQWRRRMSREERREEEEMEWRERMLVMQMEHEKQVMQMQADAFQDQMQMIAILVRVICQFLGGGTAGGGDGGIGGIQHHVMQQQQQKQEESPESLVGDGGKNGDHSGGRYT >Ma01_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10840511:10842713:-1 gene:Ma01_g14880 transcript:Ma01_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPATNLLHRLSSSSVLSATERGNRQPGLASFLPKTCRIRPRRSISSVHAAEPAKTPVVTNKPKKLDTAPAPSTKWAVDSWKAKKALQLPEYPVEKELSEVLRTIESFPPIVFAGEARHLEERLADAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGAVLMFGGQMPVVRVGRMAGQFAKPRSEPLEERDGVKLPSYRGDNVNGDAFDAKSRVPDPQRMIRAYTQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYQELAHRVDDALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQALTRQDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPKELVKLTEILNPHNKPGRITVIARMGAENMRVKLPHLIRAVRSAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAELRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRAVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIQSLHRTGPSSSPPEPF >Ma02_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21516604:21519136:-1 gene:Ma02_g12920 transcript:Ma02_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSQLIRCSSIVAVAVLCVVTKAQSLEFYYPDFSAQNSTDFVFSNSSSIGDGALQITPNSGNPAYQSGRVFYKEAFKLRRSNGSSLTSINTSFVFNIRSLSQPGGEGLAFILTNNPRLPTNSSGQWLGVVNNRTDNMTSNHFVAVEFDTRKSYANDLDDNHVGLDVNGIESVYQVPFGAVGINISSGTDVGVSISFDAVSKSFLLYAALVNGTSAISNTLMFTWSIDLSVYLSEDVWVGFSGSTSNFTQLNQIKYWSFSSLDTEDIGTPKKKKSLRSIWLLTMLPLLPAIAVFLYRKKKEKGRLFRYDRKMVRQDIELILEDCSKRPVRFQLKELKDATANFDPSRQLGKGGFGTVYRGYLKDFDMEAAVKRISRNSHRGEREFIAEVTTISQLSHRNLVKLIGWCNEDRELLLVYEFLHRGSLDRYIFGKEGTVAELPVLDWATRYKIISGVASALDYLHHGSIKRVLHRDIKASNVMLDDEYNARLGDFGLARAIERDDKSHHSTTAVAGTRGYMAPECYFTGRASPETDVYAFGVFAMEVACGRRPGNNYVRPCDEEAEFDGGGSDYIVDWLWDLHGSERILAAADPRLSEEYDEVQMERVLKLALACCHPNHQKRPSMRMALQVLGGGALPPNPAAEKPAFVWPVMNTQHEIELPLVGLLFVGGRLSQSSISGR >Ma09_p28060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38898818:38902735:1 gene:Ma09_g28060 transcript:Ma09_t28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALEKRGDIGRSGLLQRPACFPIYEVPEAAARLAVAGDGGEDSCSSSSIGRNSDLSAGGSGSDGGEAEVQSRLKGPLETMDALEDSLPLRRGISKFYTGKSKSFTSFVDAKSSSSCKDLAKSENAYTRKRKNLLAFSVLSDKSSKLGNMEGRISKRPASSSRSMLSPILNSASSSSNSFSSEEDNVLGHLLPPPHHQGKYSGDATSATAVSLSTTPFGSSPMRSFSVTDLHGILRSSSIQIQTRDDHKKNQ >Ma09_p02870.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1970838:1980502:-1 gene:Ma09_g02870 transcript:Ma09_t02870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARVHRLNLRLFLWVGLMLILGLPYCCAYTNELDVSAINSFYAALGSPPLPGWVPSGGDPCMEGWQGVQCVDSNITGIVINGANLGGQLGDGLGIFSSITTIDLSNNNIGSGIPENLPLTLQKFFLSANQFTGSIPSSLSKLTLLTDMSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEAAKKGHRERKEYVTDMAMTVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma09_p02870.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1971124:1978145:-1 gene:Ma09_g02870 transcript:Ma09_t02870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEAAKKGHRERKEYVTDMAMTVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma09_p02870.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1970838:1980632:-1 gene:Ma09_g02870 transcript:Ma09_t02870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARVHRLNLRLFLWVGLMLILGLPYCCAYTNELDVSAINSFYAALGSPPLPGWVPSGGDPCMEGWQGVQCVDSNITGIVINGANLGGQLGDGLGIFSSITTIDLSNNNIGSGIPENLPLTLQKFFLSANQFTGSIPSSLSKLTLLTDMSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEAAKKGHRERKEYVTDMAMTVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma09_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1971124:1980502:-1 gene:Ma09_g02870 transcript:Ma09_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARVHRLNLRLFLWVGLMLILGLPYCCAYTNELDVSAINSFYAALGSPPLPGWVPSGGDPCMEGWQGVQCVDSNITGIVINGANLGGQLGDGLGIFSSITTIDLSNNNIGSGIPENLPLTLQKFFLSANQFTGSIPSSLSKLTLLTDMSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma09_p02870.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1970838:1980674:-1 gene:Ma09_g02870 transcript:Ma09_t02870.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARVHRLNLRLFLWVGLMLILGLPYCCAYTNELDVSAINSFYAALGSPPLPGWVPSGGDPCMEGWQGVQCVDSNITGIVINGANLGGQLGDGLGIFSSITTIDLSNNNIGSGIPENLPLTLQKFFLSANQFTGSIPSSLSKLTLLTDMSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEAAKKGHRERKEYVTDMAMTVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma09_p02870.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1971124:1980502:-1 gene:Ma09_g02870 transcript:Ma09_t02870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWQGVQCVDSNITGIVINGANLGGQLGDGLGIFSSITTIDLSNNNIGSGIPENLPLTLQKFFLSANQFTGSIPSSLSKLTLLTDMSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEAAKKGHRERKEYVTDMAMTVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma09_p02870.10 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1970838:1980502:-1 gene:Ma09_g02870 transcript:Ma09_t02870.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARVHRLNLRLFLWVGLMLILGLPYCCAYTNELDVSAINSFYAALGSPPLPGWVPSGGDPCMEGWQGVQCVDSNITGIVINGANLGGQLGDGLGIFSSITTIDLSNNNIGSGIPENLPLTLQKFFLSANQFTGSIPSSLSKLTLLTDMSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEAAKKGHRERKEYVTDMAMTVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma09_p02870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1970838:1980502:-1 gene:Ma09_g02870 transcript:Ma09_t02870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARVHRLNLRLFLWVGLMLILGLPYCCAYTNELDVSAINSFYAALGSPPLPGWVPSGGDPCMEGWQGVQCVDSNITGIVINGANLGGQLGDGLGIFSSITTIDLSNNNIGSGIPENLPLTLQKFFLSANQFTGSIPSSLSKLTLLTDMSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEAAKKGHRERKEYVTDMAMTVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma09_p02870.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1971124:1980502:-1 gene:Ma09_g02870 transcript:Ma09_t02870.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARVHRLNLRLFLWVGLMLILGLPYCCAYTNELDVSAINSFYAALGSPPLPGWVPSGGDPCMEGWQGVQCVDSNITGIVINGANLGGQLGDGLGIFSSITTIDLSNNNIGSGIPENLPLTLQKFFLSANQFTGSIPSSLSKLTLLTDMSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEAAKKGHRERKEYVTDMAMTVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma09_p02870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1970838:1980502:-1 gene:Ma09_g02870 transcript:Ma09_t02870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARVHRLNLRLFLWVGLMLILGLPYCCAYTNELDVSAINSFYAALGSPPLPGWVPSGGDPCMEGWQGVQCVDSNITGIVINGANLGGQLGDGLGIFSSITTIDLSNNNIGSGIPENLPLTLQKFFLSANQFTGSIPSSLSKLTLLTDMSVNNNHLTGVLPDAFSSLTGLINLDLSVNNISGQLPPSMGSLSSLTTLHVQNNQFSGILDVLEDLPLKDLNIENNLFSGPVPEKLLNIPNFKKDGNPFNTSITPSSAPHQSPPRASPSGSPIPDTMHTNSSDGPSSQESPHKLSTVKIVGYVVVAVFLVIVIVLMVIFCLSKYQERKSKHDELFRSQVGRTEMGYKKPRSEEHFAETKNEVPEAAKKGHRERKEYVTDMAMTVVKDSEKEREHIIDMNETADIVMPQPPVENDTVTPIVLRKNVVGHSPEMMNTTPTSVRSFSVGDLQQYTDNFCEENLIRDDRLGKAYLAQSSDGKLLEVMKLDNVNSRVPADDFLELVLAVSELRHPNILELVGYCAEFDQRLLVYRYFSQKTLHDILHVGDDLNTRLSWTARLEVALGAAKALEYLHENCQPSFVHQNFGPANLLLNDELAVCVTECGLSSLMSTNSVMQLSGRMRAMYNYEAPEVNESGKFTDRSDVYSFGVVMLELLTGRKPYDSARPHSEQHLVRWASSQLYDINALSKMVDPLISGSYPEKSLSCFADIISRCIQQEPEFRPPISEVVHDLIRMVNDVKHATNDDHV >Ma01_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11072273:11073209:1 gene:Ma01_g15300 transcript:Ma01_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGNVGMRYFHRLRNKFHCPAVNVDRLWSLVPDAVKDAAAKDAAPLIDVTQFGYFKVLGKGMLPPDRPVVVKAKLVSKIAEKKIKAAGGAVVLTA >Ma01_p15300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11072273:11074475:1 gene:Ma01_g15300 transcript:Ma01_t15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGNVGMRYFHRLRNKFHCPAVNVDRLWSLVPDAVKDAAAKDAAPLIDVTQFGYFKVLGKGMLPPDRPVVVKAKLVSKIAEKKIKAAGGAVVLTA >Ma07_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1543294:1543521:-1 gene:Ma07_g01970 transcript:Ma07_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSHGLHDSNEQGNSYFVPPVMLLVGKGSMKGISSPLGFFRPSAYPVEGNCILLGKMISLLRELNSGKWCLLSV >Ma06_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3211178:3211640:-1 gene:Ma06_g04430 transcript:Ma06_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRVSEEEINELISKLQSLLPEARRRGGGRASAAKLLKETCNYIRSLNREVDDLSGRLSALMATMDNNSAEAEIIRSLLRS >Ma10_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22128980:22130114:1 gene:Ma10_g07810 transcript:Ma10_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEKKSNTDLAEEAMDYGNRSSNSDQFTTASPVVKGKRTKRQRPAAAAPASSISSAELSSSTTEEEEDMANCLILLSRGRALDADPPGTQAAAEETAMATTGKAAPDVYQCKTCNKCFPSFQALGGHRTSHKKPKADEDRVQISTSSSTSKPAPTPSATNTGAAAGSNKPRVHECSICGSEFPSGQALGGHMRRHRPITPAEPPEAKKEKHVLSLDLNLPAPPDDDREEPPRPTSSAMPFPFELQQPLVFSASALVDCHY >Ma10_p22620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31927997:31934124:-1 gene:Ma10_g22620 transcript:Ma10_t22620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQHACTAPLARALRSRAAASIATSPPVRLPRADSRVLLGLSEPELRQLALDFGQQSYRGKQLHDLLYKTKVKEIQDFSHLPQAFRESLREAGWRVGRSPVHHVVSAADGTLKILIKLEDNRLIETVGIPVEDGNGSFRLTACVSSQDVQIGQRMMTISTVGVPNTIKMLATHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLNALIDDCKHYFSETRRRVTFEYTLLAGINDGVEHAAELAELIHTCGRGYHVNLIPFNPIEGSEYRRPYKKAVLAFMATLESKKITVSVRQTRGLDASAACGQLRNAHQKSPLVEVEPSAALPQLSPS >Ma10_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31927997:31934124:-1 gene:Ma10_g22620 transcript:Ma10_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQHACTAPLARALRSRAAASIATSPPVRLPRADSRVLLGLSEPELRQLALDFGQQSYRGKQLHDLLYKTKVKEIQDFSHLPQAFRESLREAGWRVGRSPVHHVVSAADGTLKILIKLEDNRLIETVGIPVEDGNGSFRLTACVSSQVGCPLRCSFCATGKGGFSRNLLPHEIVEQVLAIEDLFKHRVTNVVFMGMGEPMLNLKSVLESHTCLNKDVQIGQRMMTISTVGVPNTIKMLATHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLNALIDDCKHYFSETRRRVTFEYTLLAGINDGVEHAAELAELIHTCGRGYHVNLIPFNPIEGSEYRRPYKKAVLAFMATLESKKITVSVRQTRGLDASAACGQLRNAHQKSPLVEVEPSAALPQLSPS >Ma05_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4102053:4102911:-1 gene:Ma05_g05400 transcript:Ma05_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSDPQRSFPSFSGASREQETSAIVSALVDVLSGHATAPAALPLPDTCRLCGIAGCLGCDFFASADDEVSAAPAVAATDPGRRRRRRRNDTKKSKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEAAAMAYDRAAIEFRGRRAKLNFPFPDQTPPAPEQQQVSEMVGYGTGYMI >Ma10_p05650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16986725:16989982:-1 gene:Ma10_g05650 transcript:Ma10_t05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVQDQPVAGSSPALQRSLHEILGGGIHAFSRRNPTLIWFILNGSGVTVHCMGQSYLLRSSVGIDRNPKRNYKELCLTVADVILWRRRNVTIAVLLGALASWLVFEVVGYTLLSLVSNVLLLLISILFLWAKAAEVLNRPPPPMPEMHLSEEMIYEAAVLFRFHVNKVLSAFNDIVQGKDPKLFYTVALCLWLISMVGGLTDMLTLGYTSIAIILTVPALYEKYEDGLDRCMKLAHMQVKMYEAVYTECLNKYFIKAKKWILEKKKLITDV >Ma10_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16986725:16989975:-1 gene:Ma10_g05650 transcript:Ma10_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVQDQPVAGSSPALQRSLHEILGGGILADVILWRRRNVTIAVLLGALASWLVFEVVGYTLLSLVSNVLLLLISILFLWAKAAEVLNRPPPPMPEMHLSEEMIYEAAVLFRFHVNKVLSAFNDIVQGKDPKLFYTVALCLWLISMVGGLTDMLTLGYTSIAIILTVPALYEKYEDGLDRCMKLAHMQVKMYEAVYTECLNKYFIKAKKWILEKKKLITDV >Ma03_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10008844:10012657:-1 gene:Ma03_g13000 transcript:Ma03_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNHLSHELTLPQYVEQQQLGGDSSAVLRTTMDQLAATGPSGAAPDADGAKDGKDLRRLMADAAASGKPPMPGGGGPTWLNSAILRQQAHHYADGSFLHLQTTSDSSASPVAAGGGGGRAATGHWFSRTPILQSSGSDVEVPVSSQSVMAAAISADGGGGGERGHPGGELGEAEAVAQGGGTGGEGTWQNARYKAEILAHPLYEQLLAAHVACLRIATPVDQLPRIDAQLAQSQQVVSKYSVLGNSGQLLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDEDDQADSETNLFDGGFDGTDSMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDSTSMLKAWWQSHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNASSSSSLKTKRKR >Ma08_p28660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40492697:40497430:-1 gene:Ma08_g28660 transcript:Ma08_t28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRPGQRRLSYWICSLLGISIAAGFVLFFFQHRHQDRFRPPVRKKIPTDGNNSHGTIYLMQELLNSSSFSRQLADQLTLAKAYIIIAKEHNNLQLAWELRSQIRNGQRLLSQAAVRGKPITLEEAHHIVSELAQLIYKAQDFHYDIATTIAKLKSHTLALEEHANAATVQSAKFGELAAKAMPKNLHCFNIKLTEEWYKNPSLRKLSEEGRNSPRLVDNSLYHLYIFSDNVLATSVVVNSTASNADHPQQLVFHVVTDKVNYWAMTTWFLRNDFRGCTVKVLSIEELSWLNASSSPLIKRLVHSETWAYNIAGSSKDQSWETKLKDPNFASLLNHLRFYIPQIQPQLEKVVFLDDDVVVQKDLTPLFSVELHGNVIGAVETCLEAFHRFYKYLNFSNPVISSRFDPQACGWAFGMNIFDLVAWKKENITDKYHYWQEQNADQMLWKTGTLAPGLLAFYGVMEPLDRRWHVLGLGYDSDIDDRLIKSAAVVHFNGKMKPWLKLAISRLCSYRIRSANAAPNIFVSPQLSMRKGDVVLIALGENGWLPLPAPHEGFI >Ma08_p28660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40493817:40497430:-1 gene:Ma08_g28660 transcript:Ma08_t28660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAPEFRRPGQRRLSYWICSLLGISIAAGFVLFFFQHRHQDRFRPPVRKKIPTDGNNSHGTIYLMQELLNSSSFSRQLADQLTLAKAYIIIAKEHNNLQLAWELRSQIRNGQRLLSQAAVRGKPITLEEAHHIVSELAQLIYKAQDFHYDIATTIAKLKSHTLALEEHANAATVQSAKFGELAAKAMPKNLHCFNIKLTEEWYKNPSLRKLSEEGRNSPRLVDNSLYHLYIFSDNVLATSVVVNSTASNADHPQQLVFHVVTDKVNYWAMTTWFLRNDFRGCTVKVLSIEELSWLNASSSPLIKRLVHSETWAYNIAGSSKDQSWETKLKDPNFASLLNHLRFYIPQIQPQLEKVVFLDDDVVVQKDLTPLFSVELHGNVIGAVETCLEAFHRFYKYLNFSNPVISSRFDPQACGWAFGMNIFDLVAWKKENITDKYHYWQEQNADQMLWKTGTLAPGLLAFYGVMEPLDRRWHVLGLGYDSDIDDRLIKSAAVVHFNGKMKPWLKLAISRYRHLWERYINFSDPFVKDCWMH >Ma05_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32538965:32552073:-1 gene:Ma05_g20850 transcript:Ma05_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein REVOLUTA [Source:Projected from Arabidopsis thaliana (AT5G60690) UniProtKB/Swiss-Prot;Acc:Q9SE43] MAAVVAMRSGGMEKHSMDAGKYVRYTAEQVEALERVYSECPKPSSTRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKETSRLQAVNRKLTAMNKLLMEENERLQKQVTQLVHENAYMRQQLQNVSLANDTSCESIVTTPQNPLRDANNPAGLLSIAEEALAEFLSKATGTAVDWVQMPGMKPGPDSIGIVAISHSSSGVAARACGLVNLEPTKIAEIIKDRPAWFHDCRNLEVFTMFPTGNGGTIELVYMQMYAPTTLAPARDFWTLRYTTSLEDGSHVICERSLSGSGSGPNATTAHQFVRAEMLPSGYLIRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTIAALRHVRQIAQETTGEVVCATGRQPAILRTFGQRLNRGFNDAINGFTDDGWSLMGSDGMDDIVIATNSTKKTGANASSNAFASAGGVICAKASMLLQSVPPALLVRFLREHRSEWADYNVDAYSAASLKAGVSSFSGSRPNRFSGSQIIMPLAHTVENEEFLEVVRLEGQALIQDGAILSRDIHLLQLCCGIDENSLGACFQLVFAPIDELFPDDAPLLPSGFRVIPLDAKTNGLSSTRTLDLASSLGVGSTVNRSAGDASTDDYNLRSVLTIAFQFPYEVHLQDSIAAMARQYVRSIVSAIQRVSMAITRSRPGHGIGQKLVPGSPEAVTLARWICQSYNYHLGIDLLRYDGETEESLLKMLWHHQDAVLCCTFEMQPIFTFANQAGLDMLETTLVALQDITLDKIFDEAGRKELHSEFAKLMEQGYLYLPAGTCLSGMGRQVSFEQAVVWKVLGEDNDVHCLGLCFVNWSFL >Ma10_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24003513:24008922:-1 gene:Ma10_g09880 transcript:Ma10_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGTVLQSAPQTARPPSIPCWPLFPRSHNPPRSTGCGVARLRHGRCTPWVAEQLRYCCCLNKKRYLLVHKVVQHSMARVFSSGGPQETNLGSEEMKKDKFLIDCGSDQECVLGGIVALGKFDALHTGHRELVIQASKAGSPFLLSFVGIAEVLGWESRPPIVAQCDRKRVLASWAPYCGNEVPLEYQVQFSIVRHLTPRQFVEKLSKELKVSGVVAGQNYRFGYKASGDASELVRLCKEYGLAAFIVSPVMDKNQRSYNGAYRSINSSDKGQVSSTRVRHALAMGDVDYVVELLGRKHRLVLSLDKQFCSQKRILVPRSCMLNQPPKDGAYYNCTILVDDKLIGPASVVIDTDNINIELDDESLEAQDITLDHQFIGIEFG >Ma11_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24860876:24863676:-1 gene:Ma11_g20360 transcript:Ma11_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACLEMAGEGDTPEKCRGARRRRIEMCQFVSAAEGASPPSSRRTGKRQEAFRESEPLEGQTAGNEAPLPTPPANSSLVTTSSSSPSTVSASGGEPGLPEIASSSRSAPAVVEPSVAYGTISLTGRSREMEDAVSVWPGFFRPQGSGPLHFFAVFDGHGGYHVAALCKDWMHVLLAEELGREMAAATEEEDMARTRAAVGRSFARMDELALAACACGKIGLPPCGCERSGIESEIVGSTAVVALVGVDRVVVANCGDSRAVLSRGGQAVPLSSDQKPDRPDELARIEAAGGRVIYLNGARVHGMLAMSRALGDKYLKPFVISEPEICVVERTAEDECLIMASDGLWDVLPNDVACDVARRCLEEADQSRGAEDVDGGDATAGGREQEQASDARCSLAAVLLARLALARRSADNISVIVIDLRRK >Ma11_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26502298:26504288:-1 gene:Ma11_g22940 transcript:Ma11_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQFAETTLTKVFVGGLAWETQKDALREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKQADAAKKACVDATPVISGRRANCNLASLGAKRGGLRPSPPSTTAPLPSGAHHQPPGMAVGSRSVSSGSPAAQWYYRHPSGTPPLPSPFHVHHGLLPLYATTAAYGYSPNYMTDLGYDAKLSQGHFQGQLSSYPAQGGMAAPNGGFLPMYHPFYHHQSPGMGVPAHFFPPASAATAAVATIPTIISEPAAIPPPSAVCCVAVGQVKGGS >Ma05_p12640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9126440:9132701:1 gene:Ma05_g12640 transcript:Ma05_t12640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTRSLLGLKTLPSFAPLNCGPASRNAVSSPVLIVAGCRSNSQVDRILRFRCPRPGILIRTRPTVQRDTVARSAIAASGPADAAATSLTGSEFQMVPRIRGICFYSVTAVAAIFLFAVMVVVHPFVVLFDRYRRRAHHLIAKIWATMTIVPFYKFEFEGMENLPPQGTPAVYVSNHQSFLDIYTLLTLGRSFKFISKRSIFLFPIIGWAMFLMGVIPLRRMDSRSRLDCFKRCMEFVKKGASVFFFPEGTRSKDGMLGAFKKGAFSVAAKAGVPVVPITLIGTGKIMPPGKEGILNSGSVKVVIHKTLDGKDADKLCNEARDAIARTLLLHGYGVH >Ma05_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9126440:9132701:1 gene:Ma05_g12640 transcript:Ma05_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTRSLLGLKTLPSFAPLNCGPASRNAVSSPVLIVAGCRSNSQVDRILRFRCPRPGILIRTRPTVQRDTVARSAIAASGPADAAATSLTGSEFQMVPRIRGICFYSVTAVAAIFLFAVMVVVHPFVVLFDRYRRRAHHLIAKIWATMTIVPFYKFEFEGMENLPPQGTPAVYVSNHQSFLDIYTLLTLGRSFKFISKRSIFLFPIIGWAMFLMGVIPLRRMDSRSRLDCFKRCMEFVKKGASVFFFPEGTRSKDGMLGAFKKGAFSVAAKAGVPVVPITLIGTGKIMPPGKEGILNSGSVKVVIHKTLDGKDADKLCNEARDAIARTLLLHGYGVH >Ma05_p12640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9126440:9132701:1 gene:Ma05_g12640 transcript:Ma05_t12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTRSLLGLKTLPSFAPLNCGPASRNAVSSPVAGCRSNSQVDRILRFRCPRPGILIRTRPTVQRDTVARSAIAASGPADAAATSLTGSEFQMVPRIRGICFYSVTAVAAIFLFAVMVVVHPFVVLFDRYRRRAHHLIAKIWATMTIVPFYKFEFEGMENLPPQGTPAVYVSNHQSFLDIYTLLTLGRSFKFISKRSIFLFPIIGWAMFLMGVIPLRRMDSRSRLDCFKRCMEFVKKGASVFFFPEGTRSKDGMLGAFKKGAFSVAAKAGVPVVPITLIGTGKIMPPGKEGILNSGSVKVVIHKTLDGKDADKLCNEARDAIARTLLLHGYGVH >Ma11_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2174542:2176346:1 gene:Ma11_g02950 transcript:Ma11_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGNELMQQLRGNPFLPRLKQQQYSSNAAEAGKFLFPAPRLPPMAHQLPPAGHLHSHSRSQPTVFSLDSLQSPSCNVDSTPTADVSMDEHHVRSSHSPPLPPCNAASCAADLARTKEGPPPRKAHRRSQSDVPFAFLSPSQPVQAEDAAPLAAGFLDSAKLTAAAVKVEIDWNRGLDAAGVTGDDLFNAYMNLDGLDALNSSEDNHEDFDSRDSGSKTNAADSSENEADSNVKEHTGGSCSVGLRANDASRKEGLKRNAAGDPAQVMAASRHSRSLSMDSFVGKFNFVEEPPKPLPFPGSRAGHAAPNTFSLEFGNGHFSGVEMKKIMENEKLVEMARTDPKRVKRILANRQSAARSKERKTRYIAELEHKVQALQTETTTLSTQLTSLQRDSAGLTNQNTELKFRLQAMERQAQLRDALNEALTAEVQHLKLAAAGLTDAHSSNSLNPGETSVNPHIFLLQQQYPTPETTDRGSHGFQFRSIT >Ma03_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17322063:17324540:1 gene:Ma03_g15830 transcript:Ma03_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLTGKKDANKEKAESSSPLPTKTRSVPISVPAPKEKKRWSFRRSACPGKSSGSPELSISAPVQGLSEAEIEQKRHAMAVVVATAAAADAAVAAAQAAAAVIRLTTASTKQKTSAVEEDAAVKIQSAFRAYLARKALCALRGLVKLQALVRGHLVRKQAAATLLRIQALVTAQERARAQRIRMAEESHVVPQRQSIHRRSPQHPRSRQSHDMDRNSEENIKIVEMDLGGPRGNTKSRYSYSITETEAKDHRFSGYYGHVHTPSKIVQYQQFSPAPSVLTEMSPRTYSGHFEDFSFATAQSSPQYLSAISVPDPSHSCDYPFPNYMANTESSRAKARSQSAPRQRTDTIERQTSRRRPSVDGRNIPRSIKMQRSSSHLGMTANGYQYHPWSIKLDKSNMSLKDSECGSTSTVLTNINYCRSLAGFEVHRNRH >Ma03_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5676308:5689818:1 gene:Ma03_g07930 transcript:Ma03_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MATANSVLANDGAKGPAPPRLASVYSEVQTSRLNHPLPLPSVLKGPFKLVDGPPSSAAGNPDEIAKLFPNLFGQPSANLVPTGSDPSDLAGGLRIGVVLSGGQAPGGHNVISGIFDYLQERTNGSILYGFKGGPAGIMKCKYVELTPDFIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAMKLDLDGLVVIGGDDSNTNACLLAEYFRQKNMKTRVIGCPKTIDGDLKCKEVPASFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNIAFIGEEVAAKKQTLKNVTDYITDVICKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEDGLWKKKLQHQSLHLFEFLPQAIKEQLLLERDPHGNVQVSKIETEKMLISMVETELEKRKLEGKYAGHFKGQSHFFGYEGRCGLPTNFDATYCYALGYAAGALLCSGKTGLISSVGNLNAPVNEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFQKFASMRDVWALKNRYISPGPIQFIGPGSNDVNHTLLLELGAQA >Ma08_p22580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36120284:36128625:1 gene:Ma08_g22580 transcript:Ma08_t22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G19180) UniProtKB/Swiss-Prot;Acc:O65041] MADVSSDPGRWRDVDKLLARPGNIVGQDFEPSPTLREDIGEIARVLVVGAGGLGCELLKDLALSGFKNIDVIDMDTIEVSNLNRQFLFRLQDVGKSKAEVAAERVMKRISGVNITPHFCRIEDKDIDFYNDFNIIVLGLDSIDARSYINSVACGFLEYDTDDKPLPETIKPIVDGGTEGFKGHARVILPGRTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWGEVHHGKDFDADDPEHMQWVYSQALKRAELFGITGVTYSLTQGVVKNIIPAIASTNAIISAACALEALKIVSGCSKTLCNYFTYNGTEGTHIKVTDFVRDTDCLVCGPGTLVELDTSTTLLQFIILLGEHPNIHLSKASVTCRGKNLYMQSPPVLEEMTRSNLQLPLFDLMGKVAKDVIHASGTSEKNDKKTSCLRKLRVVFKGVADGITSMDTTDGS >Ma08_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36120284:36128674:1 gene:Ma08_g22580 transcript:Ma08_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G19180) UniProtKB/Swiss-Prot;Acc:O65041] MADVSSDPGRWRDVDKLLARPGNIVGQDFEPSPTLREDIGEIARVLVVGAGGLGCELLKDLALSGFKNIDVIDMDTIEVSNLNRQFLFRLQDVGKSKAEVAAERVMKRISGVNITPHFCRIEDKDIDFYNDFNIIVLGLDSIDARSYINSVACGFLEYDTDDKPLPETIKPIVDGGTEGFKGHARVILPGRTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWGEVHHGKDFDADDPEHMQWVYSQALKRAELFGITGVTYSLTQGVVKNIIPAIASTNAIISAACALEALKIVSGCSKTLCNYFTYNGTEGTHIKVTDFVRDTDCLVCGPGTLVELDTSTTLLQFIILLGEHPNIHLSKASVTCRGKNLYMQSPPVLEEMTRSNLQLPLFDLMGKVAKDVIHASGTSEKNDKKTSCLRKLRVVFKGVADGITSMDTTDGS >Ma06_p36640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35955593:35961053:-1 gene:Ma06_g36640 transcript:Ma06_t36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRVVVPVDVKKKPWEQKLPLHNRWHPDIPAVADVTEGEVFRVEMVDWTGGRVGDDNSAEDIKSLDLTITHYLSGPLRVVDSKGIPAKPGDLLMVEICNLGPLPGDEWGYTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLAHPGIVGTAPSMELLCIWNEREKELAENGIGLLKLCEVLHQRPLANLPTAENCLLGMINEGTPEWKKIADEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRDGMREYLTPMGPTVLHVSPIFEIGPVEPRFSEWLVFEGISVDEAGRQHFLDATVAYKRAVLNAIDYLSKFGYSKEQAYLLLSCCPCEGRISGIVDSPNAVATLAIPTSIFDQDIRPRSGKVPAGPRVLKRLPDVLRCTYDGNLPTTQNPCGSM >Ma06_p36640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35955593:35961053:-1 gene:Ma06_g36640 transcript:Ma06_t36640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRVVVPVDVKKKPWEQKLPLHNRWHPDIPAVADVTEGEVFRVEMVDWTGGRVGDDNSAEDIKSLDLTITHYLSGPLRVVDSKGIPAKPGDLLMVEICNLGPLPGDEWGYTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLAHPGIVGTAPSMELLCIWNEREKELAENGIGLLKLCEVLHQRPLANLPTAENCLLGMINEGTPEWKKIADEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRDGMREYLTPMGPTVLHVSPIFEIGPVEPRFSEWLVFEGISVDEAGRQHFLDATVAYKRAVLNAIDYLSKFGYSKEQAYLLLSCCPCEGRISGIVDSPNAVATLAIPTSIFDQDIRPRSGKVPAGPRVLKRLPDVLRCTYDGNLPTTQNPCGSM >Ma06_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:983624:986518:-1 gene:Ma06_g01230 transcript:Ma06_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIVWLILVSTTRNFRGNAFILLRVDSNCRGIEAKEKTRHPEVCLRSADCKCKKISDILPNDGCLHFIKENDEVCMWLKNFQVFFL >Ma06_p00610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:456229:457545:-1 gene:Ma06_g00610 transcript:Ma06_t00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTRYFGGKKAPSEDAYDSTAGAPVAGHEPHGFPGPLNNIQFQPSETCPKNMVIFDQTHQQSRVMFHPWLAHKLGSPSFDAYGANAHEAGKSGYGNDEEEAEDIDALLSSEEGEEDEVVSTGRSPSKKEKMKKMVKTLKGIVPGGDGMDTPAVLDEAVKYLKSLKVEVKKMGMKHN >Ma06_p00610.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:456229:457604:-1 gene:Ma06_g00610 transcript:Ma06_t00610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTRYFGGKKAPSEDAYDSTAGAPVAGHEPHGFPGPLNNIQFQPSETCPKNMVIFDQTHQQSRVMFHPWLAHKLGSPSFDAYGANAHEAGKSGYGNDEEEAEDIDALLSSEEGEEDEVVSTGRSPSKKEKMKKMVKTLKGIVPGGDGMDTPAVLDEAVKYLKSLKVEVKKMGMKHN >Ma06_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:456229:457545:-1 gene:Ma06_g00610 transcript:Ma06_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTRYFGGKKAPSEDAYDSTAGAPVAGHEPHGFPGPLNNIQFQPSETCPKNMVIFDQTHQQSRVMFHPWLAHKLGSPSFDAYGANAHEAGKSGYGNDEEEAEDIDALLSSEEGEEDEVVSTGRSPSKKEKMKKMVKTLKGIVPGGDGMDTPAVLDEAVKYLKSLKVEVKKMGMKHN >Ma06_p00610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:456229:457604:-1 gene:Ma06_g00610 transcript:Ma06_t00610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTRYFGGKKAPSEDAYDSTAGAPVAGHEPHGFPGPLNNIQFQPSETCPKNMVIFDQTHQQSRVMFHPWLAHKLGSPSFDAYGANAHEAGKSGYGNDEEEAEDIDALLSSEEGEEDEVVSTGRSPSKKEKMKKMVKTLKGIVPGGDGMDTPAVLDEAVKYLKSLKVEVKKMGMKHN >Ma04_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:896344:897266:-1 gene:Ma04_g01010 transcript:Ma04_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDAALKSYIHHHGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDAIICTLYDRLGSRWSVIASHLPGRTDNDVKNYWNTKLKKKLMAKQAIISAHNTAPPPPLLPTVIESDAYSSNKLSSMPLQQPLKVVPFPMTSLIEQPHDSSLSSSISVQHNSSSNSDSLLAAMIGFGDAGDLLSDSSNMWATAGQTLTYS >Ma05_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3893572:3899460:-1 gene:Ma05_g05100 transcript:Ma05_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASGQLNLEETPSWGSRSVDCFEKLEQIGEGTYGQVYMARETRTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPEKDEQGKPDGNKYKGSIYMVFEYMDHDLTGLSDRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPILPGKNEPEQLSKIFELCGTPDEVNWPGVSKMPWYNNFKPSRPIKRRVRETFKHFDRHALELLERMLTLDPSQRVSAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQQEETAKRQKLQHPQPHARLPPIQQTGQPHPQIRPGPSQPIHNAPPPMAAGPGHHYGKPRGPSGGPNRYPQGGNPSGGYNPNRGGQGGGYNSGPYSQPGRGPPPYAGGGMPGSGGPRGGSGSGYGVNAPNYPQGGPYGASGAGRGPNMSGNRNQQQYGGWQ >Ma06_p33590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34165832:34168533:1 gene:Ma06_g33590 transcript:Ma06_t33590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWRPESGAMTFDEASVERSKSFVKSLQELKNLRPQLYSAAEYCEKSYLHNEQKQTVLDNLKNYAGRALVNAVDHLGTVAYRLTDLFEQQMLDVSTMEMKISCLNQRAFACQAYGDTEGLSKLQMTGRISRHHKHYSLPNSGRRSLQSSSQWPAGGNANPCKARPHSHAPGKPGSKTLSWHLASEANSAQNEAPPLPAPCTGDAKSSKIDSQVFHLLEEPATSQPLSSLLLQAASGNGTSYMISNKFNLMGPLEDSKPISAFRSFDNPSSRREICKPLPQHGKSLLSTLFTKNKTLKARKISI >Ma06_p33590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34165832:34168533:1 gene:Ma06_g33590 transcript:Ma06_t33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWRPESGAMTFDEASVERSKSFVKSLQELKNLRPQLYSAAEYCEKSYLHNEQKQTVLDNLKNYAGRALVNAVDHLGTVAYRLTDLFEQQMLDVSTMEMKISCLNQRAFACQAYGDTEGLSKLQMTGRISRHHKHYSLPNSGRRSLQSSSQWPAGGNANPCKARPHSHAPGKPGSKTLSWHLASEANSAQNEAPPLPAPCTGDAKSSKIDSQVFHLLVAEEPATSQPLSSLLLQAASGNGTSYMISNKFNLMGPLEDSKPISAFRSFDNPSSRREICKPLPQHGKSLLSTLFTKNKTLKARKISI >Ma05_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24083346:24090863:1 gene:Ma05_g18540 transcript:Ma05_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCSKGLVLDEDGVTQRATSLNHLVSLSKKDEVVAAIINVALSGSHGNSRFLSKSRDNADTGSSSSSSSDEEEKKDATTFDSTREVRAHQRRATVDVGAHRDGSGVICVPNNIEDDLGIVDVPDGIAGEHAAAGWPSWLATVAGEAISGWLPRKGDSFEKLDKIGEGTYSNVFRARDLETGKIVALKKVRFVNMDPESVRFMAREIHILRKLDHPNVIKLEGIVTSRMSGNLYLVFEYMEHDLAGLLARSGLRFTEPQVKCYMRQLLEGLAHCHGRGALHRDIKGSNLLIDNNGILRIADFGLATFFNPEQNQQLTSRVVTLWYRPPELLLGATEYGAAVDLWSSGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWEREKLPHATVFKPQHQYRRCFAEIFKDFPPSALSLLDSLLAIEPEKRGTAVSALESKFFLTKPFACDPSNLPKYPPSKEYDAKLQDEARRRRAEVTNGRGCESVRPGRREFKAMPAPDANIDLQKLQVQANPKSISHKYNPQDETGPEATARNGFSHSGVHPNGLGPSWTRKRNQEQLRQMPSRTYSSVRVSNGPQLKTQMSYRPQPGVADFSDISGSLAARNTANSTHNRLAEPAARHALDRPSTHKKDDSLGGKDPTTGYGTRIRRIHYSGPLMPPGGNIEDMLKEHERQIQQAVRKSRIDKVKTKF >Ma08_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4220985:4221380:1 gene:Ma08_g06230 transcript:Ma08_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAQPQLQGVLILKVKLICFDLLQQPCRFPLIADKDFLNCMRKSLSAITLGRIFHAELKITFHQIRNLVVHENLFDKVVLVLLVAKV >Ma01_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24885364:24889523:-1 gene:Ma01_g22450 transcript:Ma01_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPPATAPTTNDTLRHLSLSLPSAPSRLRGRHRRPRPNFTGMPFLLGGFGCVCIIFFSLTGSIYFVLNQLQQQPEDRQLHPDDGSLNRPDSPSIVIFAAPRRFSTDKPDLVGARQDMAVRSWLALSPDVSVVLFGQHPSIFALARSLGPRVTVESAIDFTFMGTPFFHSMVSRSQAFNSGISVLIDPETILLPDFLGILHYSQNLNHGWFLFAKPHCVLHFPFQLLGTGKHWLQEDGEVIEVEKLQEHLIQKGNWSTCGERLLMAWNNGVRPLHAGIVPPFVYGEGLHNEWLVNEVLASDLRFAFDSSLVLSSLYPQSLGQWFSNFSKDAGSADELVWRHRGNYQLSALYGSFSFQQSKFCKNPSKLVRCLGKYYLINRVEDDVSSLQVSDGIATYSTDPHLSSREQKSHMFTIFSRSQRDKKWKACVNNIDALDLSYQPIVKKLNEDNSEVSSALSLPFSIELLLRIIADKDKSIVLAIAGNNYRDMLMNWVCRLRHLAVKNFVICALDSEIYHFSILQGLPVFKNPQAPTNISFNDCHFGTECFRRVTKVKSRIVLQILKLGYNVLMSDVDVYWFNNPLPFLVSFGPGTLVAQSDEYNETGPINLPRRLNSGFYFARSEMSTIAAFEMVVKHASASELSEQPSFYDVLCGEGGVNRMGDDKCQEPNTNLTVIFLDRNLFPNGAYRGLWEKHDVRSSCMKLGCLILHNNWISGRKKKLERQVFSGLWDYDPSLRMCLQKWQIPNQLIFLDH >Ma04_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26289584:26294933:-1 gene:Ma04_g24240 transcript:Ma04_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLISGEVLKAVFPLLDGEDLVSCMLVCHQWRDIARDDYFWKCVCSKRWPSICKRPPPSLSYHNLFVTFSRSQPPQPLPPSRLSFDDLEFYIDLWSEQRLVFSEAVSGTALLRGIKNPPPGIPDALKVHLDSDDYKMTMQVEPRFSLSLGRMITVSILVSRKDTHQIARIVNQSHFGYVDGNAFRALAYDYLNFAPGHPFVSGIRAWVSLLFMANNALRITDVFGIEIDFCDAASSENEVLWLLDMLDWK >Ma09_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4805634:4815314:1 gene:Ma09_g07350 transcript:Ma09_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRYFKGSSHRVSEGQYHGNYGDYGVWNERSSSWVIDALSEYGNEDVDRAIAISLSEEEQKKAKATENASTLEEDEQLARALQESLNAASPPRENGHAFQQAPLLFSSGFRICAGCNTEIGHGRFLSCMDAYWHPECFRCHACSQPISDYEFSMSGKYPYHKSCYKELYHPKCDVCKQFIPTNMNGFIEYRALPFWLQKYCPSHEMDGTPRCCSCERMEPRDAKYVTLDDGRMLCLECLDSAVMDTNECQPLYLDIQEFYEGLHMKIGQRVPLLFVERQALNEAIEGEKNGHHHLPETRGLCLSEEQTVRRILRKPRIGAGNKIMNMITEPCRLTRRCEVTAILILYGLPRLLTGCILAHEMMHAWLRLQGYRSLSQEVEEGICQVLAHMWLDSQIISGSGDNVASTSSSSASTTSKKGSRFQFERKLGNFFKHQIESDTSPAYGDGFRAGNRAVLQYGLRRTLDHIKLTGTFPC >Ma04_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4580905:4581816:-1 gene:Ma04_g06210 transcript:Ma04_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQVTYVVDASVTQDDNFRRYYFPTHNFTVASFWSPFLVRAHKVDPNASTYSGMFNLYLDEPDTNWTTKMTEFDYVIMSAGHWLLRPTMFYEGGQLVGCHNCLAPNVTDLTMHYSYRRVFRAAFRVFNSLEGFRGTVFLRTFSPWHFENGTWDNGGDCVRRQPFRRDETRLDGINLKMYMTQVEEFREAQREGREKGVRFRLLDTTDAMLLRPDGHPSRYGHLPHENVPMYNDCVHWCLPGPIDTWNDFLLHMVKNEGG >Ma03_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27857601:27859595:-1 gene:Ma03_g23230 transcript:Ma03_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLLKEGMNEKDWRNIAESEIWQLPQNEEGVLPVLQLSYQCLPPHLKRCFVFCSLFPKDHRFYEDDLVWLWMAEGYVDQANNMEMEDTGSRYFLDLVNRSFFQEAHWESTYVMHDLIHDLAQFISEGEFCRIDDDESKEIPNTTRHLSATLTDGTKLMELSCYDKLRTLEINSKSFWFDFRVKSPLFIQFEKLKNIRVLILQNYGLRELPEKIGGLIHLRYLDISYNNYIRRLPESLCGLYNLRVLDLLFCELQSLPHGMSKLINLMHLIVEDKIISEINDVGKLTSLQKLCSFKVLKDQGHEVAQLGSLKQLHGQLRITNLENVESKQEASKANLNNKQYLEKLVLEWTSDDGNELIMSKEVLEGLQPHQALKRLTIRGYTGVRSPSWLQAQLLANLITLGLKNCKAWEDISCIGQLPNLKKLSVKGMPAVKQISHGLSTESKFLPNLEELVLENMVALEELPSLGQLPCLKVLRIDQMSTMTKVGHGFFGYRDQGKCFPCLEELRFNGMPKWEEWSWADGRELFPCLRKLQIAKCPRLKRMPPLPPSLKSLSLCQVGLTEVPRLWEEIDGSSSSMIVSELKIYSLEEIKLVDIPECEELPYLGQLPSLKVLRIEGMPQ >Ma01_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1857904:1858871:-1 gene:Ma01_g02820 transcript:Ma01_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYSTILLWWSLPCHHSFRSRLSIEASQVAFKTKVFHPNNNSNGNICSDILKDQWNPAQIISKVLLSVCSLLADPNPDGPLVPEIAHMCKNDSSRYESTARSWTQKHAMV >Ma01_p01490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1031964:1035428:1 gene:Ma01_g01490 transcript:Ma01_t01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPESYPTETEFSTVGMETDRVPSYKSSAASGAKAGISSTGSVHELLECPVCTNSMYPPIHQCPNGHTLCSSCKLRVHNHCPTCRQELGNIRCLALEKVAESLELPCRYQNLGCLEIHPYYSKLKHEQLCRFRPYNCPYAGSECLVTGDVPMLVAHLKTDHKVDMHDGCTFNHRYVKPNPNEVENATWMLTVFSCYGHYFCLHFEAFLLGMAPVYMAFLRFLGEDSEARHFSYSLEVGGNGRKLTWQGVPRSIRDSHRKVRDSYDGLIIHRNMALFFSGGDRHELKLRITGRIWKEQ >Ma01_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1032043:1035428:1 gene:Ma01_g01490 transcript:Ma01_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPESYPTETEFSTVGMETDRVPSYKSSAASGAKAGISSTGSVHELLECPVCTNSMYPPIHQCPNGHTLCSSCKLRVHNHCPTCRQELGNIRCLALEKVAESLELPCRYQNLGCLEIHPYYSKLKHEQLCRFRPYNCPYAGSECLVTGDVPMLVAHLKTDHKVDMHDGCTFNHRYVKPNPNEVENATWMLTVFSCYGHYFCLHFEAFLLGMAPVYMAFLRFLGEDSEARHFSYSLEVGGNGRKLTWQGVPRSIRDSHRKVRDSYDGLIIHRNMALFFSGGDRHELKLRITGRIWKEQ >Ma03_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26571117:26573041:-1 gene:Ma03_g21590 transcript:Ma03_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTWGMSSMCTVIVRSVACVLRRVPPLATNAQSRQFRHRSLCACRTLLSPPHRAGQHKEPVFPEISIVITSAMSKGWSFDSLTTSFGSVELTQPLVESVLLDLKEPDDAKKALTFFHWSSRTRRFEHDLRSYCFIVHILVRAGLLVDARALLESAIGKYARGSSVAEVLLSTYEAVLPGRRVFDLLLQTYSNMRMVGAAFDACRYLGDRGFDASLISFNTMLRVAQRSDQSGLAWKVFEYMLVRRIYPNKATTQVMVDVMCKAGVLPKMVGVLDRIHGKRCPPGVIVNAALAFRIIEEGRAEEAIMLLKRMLQRNMVLDDIAYSLIISAYCKMPNLDSAYETRNEMINRSCSLNSFVYTSLIGSYSERSIEEAVRLMEEMLSMGLRPYDETYNHLIVGLSRTGRREESLKHCEKMLDDGFMPSCSACNEILSTLCKAGEVEEANRMLTSLLEKGLVPDRDMYLSLIDGYGDTGNAREVLKLYYEMEHRGIGSDPVVYTSMIRNLCRCGKVNEAEKFLSIGGTTKELVPTSCMYDSLIAGYCIEGDVRRALLLYDDMIMKELVPCSDTFMRLVKEVLRTRASRHL >Ma04_p33230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32974533:32977094:1 gene:Ma04_g33230 transcript:Ma04_t33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASGKPQQPRLRLLATLCALFWIIIFYFHFAVLRRSSPMSPPEQTLSFSSSFPSNSHQHFVVDSTPSDESRASHSSKLKPPIASKPSDARREPPHHPLPSSSNSSIPKRQLPSHSSKSIPPPLESKPSDAHRSPQKTFPFHRAIQTLENKSDPCGGRYIFVHDLPPRFNDDMLKDCRKLSLWTNMCKFTSNAGLGPPLENAEGVFSNTGWYATSQFAVDVIFGNRMKQYECLTNDSSIAAAIFVPFYAGFDISRYLWGYNTSVRDAASLDLVDWLMKRPEWSVMGGRDHFLVAGRITWDFRRLTDSDSDWGSKLLFLPAAKNMSMLVVESSPWNANDFAIPYPTYFHPAKDADVFVWQERMRKLERKHLFSFAGAPRHDNPKSIRGQIIDQCRKSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPRNYSTYSVFIPEDDVRKRNVSIEERLKRIPPAVVKVMREKVISLIPGLIYADPRSRLETLKDAFDVSVAAIVDKVTKLRRDIMEDNEDKDFIEENSWKYVLLEEGQRTVGAHEWDPFFSKPKDGNSDSGGSSATTAKNSWRSEQRNQY >Ma11_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22177958:22182402:1 gene:Ma11_g16740 transcript:Ma11_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMQLLPARLIKPRAIPSRPASSALLSSSSPAAGATTTLEDVAANRKGLAKVVLKKGKTQVFKNGNPMVYSGAVDRIIGRPPPKTGDAVLVADGSERAIGWGMYNSVSMFCVRLMQLEEEAARDPSYALNMEKLLEARLDAAVDLRQSMGLPSDDTNVYRLVNSEGDRLSGLIVDVFGDVAVIASSAAWIEKYKQEIEFLVGRVRNVNHIKWRPSIDILKEEGLEISDQGDPGSSSCPGRVKVMENGICYLISLEGQKTGFYADQRESRRLISSISRDRRVLDVCCYSGGFALNASFGGAKDVIGIDSSSPALELAKENIQLNKLDPGRISFLREDATEFMKGAAARNESWDVVILDPPKLAPRRKVLQSASGMYRNLNSLAMQITKRGGLLMTCSCSGAMTQSGLFLRVLQGAASMAGRRITVLRQAGVACDHPIDPSYPEGAYLTNFLLRVL >Ma10_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15159271:15162489:1 gene:Ma10_g04500 transcript:Ma10_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRILIKIQSLFLLLGFVSVAFGDTDPGDYKILDEFRKGMTNAELLKWPDDNEDPCGPPRWPYVFCSGSRVTQIQAKNLGLVGTLPPDFNKLSMLANIGLQGNNFTGTLPSFKGLASLQYAYLDNNQFDTIPLDFFVGLDSLQVLALDRIPLNRSTGWMVPADLANSAQLTNLSLSNCNLAGPLPDFIGNMRSLLVLKLSYNRLTGEIPASYSGLPLQILWLNSQQAPGLSGSLGVVASMTFLTDAWLHGNQLSGTIPSSISALTSLNRLWLNNNQLVGLVPANLTSLPQLQSLHLDNNAFMGPIPKVSFSDFTYANNPFCHPTPGVACPPDVAALLGFLDGVGYPMRLARSWSGNDSCTGSWLGVSCASGKIAIINLPNFHLNGTLSPSLGELDSLVKIALGGNNLNGTIPSSLTRLKSLRSLDLSSNNLSPPVPQFPAGVTVVLDGNKMLGTSTPPGGDAPPKSPASSGASSSSSSSKTLLVIVPIAVVVIIVLLAVLFLLWRKKRKTKAPTGIVIQPRDSSADRDNFVKIAIASNGNISASASELRSMNSSSTGETHVIEAGNMVISIQVLRAATGNFAPENVLGRGGFGVVYKGELHDGTTIAVKRTESARVGNEALGEFQAEIAVLSKVRHRHLVSILGYSVEDNERLLVYEYMPQGALSKHLFHWKQLGLEPLSWKKRLNIALDVARGIEYLHNFAKECFIHRDLKSANILLGDDYRAKVSDFGLAKLAPDGKNSVATRLAGTFGYLAPEYAVTGKVTTKVDVFSFGVVLMELLTGLKALDEDRPDESRYLAFWFGKMKNTREKLESIVDPSLAVTEETLESICVMAELAGHCTARDPHQRPDMGHAVNVLAPLVEKWSPVDGDEPDDYLGIDLDQPLLQMVQGWQAADGAASGVVSLSVDCSKISIPARPSGFAESFTSADGR >Ma08_p33930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44079892:44085831:1 gene:Ma08_g33930 transcript:Ma08_t33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIFSGFVIDPTKCSHLSLEEKRELVHEISRWADNAPEILQSWSRRELLQLICAEMGKERKYTGVTKPKMIEHLLRLVSQNKGKTNEDKAGLLSASPKSPTGIKKKRKKENPLQNSTDLTHETLKTKEEHVDALICQNPACRATLSLDVGYCKRCSCCICHHYDDNKDPSLWLVCNSDPPYCGNSCGMSCHLKCALKHEKAGILKTGCSAKLDASFYCVCCGKVNWLIGSWRKQMLIAKEARRVDVLCERLSLSYKMLKWTECYKELQNIVNAAVRILKKEVGPLDKVSTVMARGIVNRLNCGAEVQKLCVTALEVVDSLLCTSSDNLSGMSMKNSGGVGSQIFHIDFEDTSPFSVVVSLHSRDDMFEENIIGCSLWYRKSDDISYPEEPNCLVLRPDTKIMISGLSPSTEYHFRVSPFSSTKELGKWDAKCVTESLNGNSGQCSTRNSDSTYINEDFLSVPKKEQDLGELPVIIQSDSQKGSTNSSENNQAPELPKFSRANHHKVLPSEDASDNNESHLPPPSNPVPFICSKPVLLEPCKPDINNTPDSANKKESAERQYEYCVKVIRWLECEGHMEKEFRVKFLTWFSLKATAQERRVVNAFIDVLIDEPASLVAQLMDTFMDGISNKEKPMLHKGFCTRMWH >Ma08_p33930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44079892:44085831:1 gene:Ma08_g33930 transcript:Ma08_t33930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIFSGFVIDPTKCSHLSLEEKRELVHEISRWADNAPEILQSWSRRELLQLICAEMGKERKYTGVTKPKMIEHLLRLVSQNKGKTNEDKAGLLSASPKSPTGIKKKRKKENPLQNSTDLTHETLKTKEEHVDALICQNPACRATLSLDVGYCKRCSCCICHHYDDNKDPSLWLVCNSDPPYCGNSCGMSCHLKCALKHEKAGILKTGCSAKLDASFYCVCCGKVNWLIGSWRKQMLIAKEARRVDVLSVRILKKEVGPLDKVSTVMARGIVNRLNCGAEVQKLCVTALEVVDSLLCTSSDNLSGMSMKNSGGVGSQIFHIDFEDTSPFSVVVSLHSRDDMFEENIIGCSLWYRKSDDISYPEEPNCLVLRPDTKIMISGLSPSTEYHFRVSPFSSTKELGKWDAKCVTESLNGNSGQCSTRNSDSTYINEDFLSVPKKEQDLGELPVIIQSDSQKGSTNSSENNQAPELPKFSRANHHKVLPSEDASDNNESHLPPPSNPVPFICSKPVLLEPCKPDINNTPDSANKKESAERQYEYCVKVIRWLECEGHMEKEFRVKFLTWFSLKATAQERRVVNAFIDVLIDEPASLVAQLMDTFMDGISNKEKPMLHKGFCTRMWH >Ma10_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21424666:21434391:-1 gene:Ma10_g07090 transcript:Ma10_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVFWHIKFLNLRSITMNGSFNMQILVDKLAKLNNSQHSIETLSYWCIFHRNKAKQVVETWDQQFHCSPRDKRVSFLYLANDILQNSRRTGSEFINEFLKVLPDALTDVLDNGGDFGRKAALRLVDIWEERKVFGSRGQFLKEEILGRKLDNGNKYGNSSNCKLKHCSGELLQKIILSYDHVHDEEALFRKCEGSISIVDKLEKEFCSDDKLGSKNESEVTRELQKQHGILGECIEQLKAAELSRATLVTNLREALHEQETKIEQVRHELQAAKSQYELASSLSAQLHDAQPPAEQRQMESSLAFSDTSSGFIPEATTSSADTAQITPPMGTQEEPLITDSNSSHTEAEQRKIAAAAMAAKLTSSASSAQMLSFVLSSLASESMIGQLDREDYAPDSKRLKTPNSAPSHVPSPPDSLLQLPMPSFPHPESLQPASAVTHSSPSLEPSPLLPQPSTSLPPTQPPPTATATTQFMQAAAGPMSRVPYNYGSAPPPLPSYPMFGMHSNPSPRTAYYSFQGLEAGNRLVQPPFPMVPPPLARQ >Ma10_p07090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21424666:21434391:-1 gene:Ma10_g07090 transcript:Ma10_t07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSFNMQILVDKLAKLNNSQHSIETLSYWCIFHRNKAKQVVETWDQQFHCSPRDKRVSFLYLANDILQNSRRTGSEFINEFLKVLPDALTDVLDNGGDFGRKAALRLVDIWEERKVFGSRGQFLKEEILGRKLDNGNKYGNSSNCKLKHCSGELLQKIILSYDHVHDEEALFRKCEGSISIVDKLEKEFCSDDKLGSKNESEVTRELQKQHGILGECIEQLKAAELSRATLVTNLREALHEQETKIEQVRHELQAAKSQYELASSLSAQLHDAQPPAEQRQMESSLAFSDTSSGFIPEATTSSADTAQITPPMGTQEEPLITDSNSSHTEAEQRKIAAAAMAAKLTSSASSAQMLSFVLSSLASESMIGQLDREDYAPDSKRLKTPNSAPSHVPSPPDSLLQLPMPSFPHPESLQPASAVTHSSPSLEPSPLLPQPSTSLPPTQPPPTATATTQFMQAAAGPMSRVPYNYGSAPPPLPSYPMFGMHSNPSPRTAYYSFQGLEAGNRLVQPPFPMVPPPLARQ >Ma02_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27776131:27782048:1 gene:Ma02_g22470 transcript:Ma02_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKTHVQDLPTRPPQGHCRRASRGRPWYDLPENPKAAEALRRLRSPFLLFSVLLSYGLGLLYCTSIFSAVAPPPAPLPGSVYRSKELFEKLLPDLLSVNSSTVQLNTIWTSRRKLKQWKDCTNHKTEHPGSTEPFGYLIVDANGGLNQQRSSICNAVALAGLLNAVLMIPNFHLHNIWMDPSEFKDIYDEDHFINTLEGHVTVVRDIPEMVMERFGYDISNVPNLKVKAWASSSYYSDVAVPLLQEHGVIRISPFANRLSNEVPSDMQRLRCLANYKALRFSLPIAAMAKKLAGRMVEKSSLSGGKYVSIHLRFEEDMVAFSCCIYDGGMSEKVEMDSARQRGWKEKFKLRGHCLRPDLNRMQGKCPLTPLEAGMMLRGMGFSNDTPIYLASGKLYKEETHLAPLLQLFPLLQTKESLATSEELAPFQNYSSRMAALDYSVCLHSEVFVTTQGGNFPHFMMGHRRFLYGGHAKTIKPNKPKLAVLFQDTNISWKAFRGQLEVMLDESERKGMVLRKSSQSVYSFPSPDCACLHDHQANSTLFKRYQHHHGVG >Ma10_p28920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35653451:35654652:-1 gene:Ma10_g28920 transcript:Ma10_t28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIWAKRVSVQLDDEARARLRGDDARGVAGYASSGSDHGTTTCLSGLVHAFLETGGGDSTSSAAADYGGGGESDHDENLEDLDVAEDRDRAASETVRELMSSTAEKDSFRTRLATDVSKAAEGVAWLRSSCGAAASRRAVMARLRTAGYNAGICKARWNASGGLAAGNYEYIDVVAAPGDGDGQGRRYIVDLDFAAEFEVARPTEAYKGVVACLPRAAVAGEEAVRQVVRAVADAARRSLRARGLHVPPWRKSRYMLAKWLGPYRRTTNPVPTSLTATAPGGDVKCRAVGFPTAGPPA >Ma04_p28060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29211594:29212294:1 gene:Ma04_g28060 transcript:Ma04_t28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIEEKLHIGGDHKEEAHKKEDKHPHNEHRKEEKHHKEGEGGMMNKIKEKIHGGEEHGEKKEKKKKKERKHGAEHDGHSSSSSSDSD >Ma10_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24458810:24460483:-1 gene:Ma10_g10600 transcript:Ma10_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKVASDTGAGDGGGGSDGEAHVPPTGRLTSSFMEYERSVMVSALVHVVAGGRGTEAPPGGGNGQTRWNEGFVHEAASELHASFGDFGRSSSSAASADATEQTAAPAAEAAAAMAGTEQGERVRYRGVRRRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPEEAGLRKAPAVTPEAHMESQPFGRHGASGSTAAVAARDYMEYSRLLRGEGEYQRMPPTALLDQMMYSGASAASPFTDASLASSSFASAPFPPSSPLIYPPESEQQMDYLQPPPWSGCSHYPPSSSSH >Ma04_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11487434:11488259:1 gene:Ma04_g15180 transcript:Ma04_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTNMPLLPRPAAGSPATQEVLRRYHSRHKGPGQCGSVNVQYVSAPLPTVWSLVRRFDRPQDYKKFVKDCELSAGDGGVGSVREVTVVSGLPAGTSTERLDTLDDDRHVISFSVVGGDHRLSNYQSTTTLHVSGGGTGTVVVESYVVDVPPGNTAEDTCVFADTIIRCNLRSLASISEEMAGSPADG >Ma02_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14245350:14250633:1 gene:Ma02_g02830 transcript:Ma02_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSPSANSDLTRQSSACSLPIADLQSSVSGGGGRGLGKTLGSMSMEDLFRNIYGGGGGGEGGDPMSRQGSFVLPKVVGEKAVEEVWRQMGADRRPDGGDGSVAEMTLEDFLARAGAVGEEDVGVASGWSPVILGPSPIMVDRLVQQQQFAAGLGKAEGAERGGRGKKRPMLDPVDRATLQRQKRMIKNRESAARSRERKQAYIAELESLVTRLEQDKAQLLRSLEELKRTRFKQLTENVVPVTESKRPNRPLRRTSSMEW >Ma08_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4695555:4699030:1 gene:Ma08_g06880 transcript:Ma08_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDIPATSRASSEPSASGGGGVNGAEGPAAGHEGWDALARAIASTLGVVMREFDSRAEGTARSQDELSLSLDRLTGELDILLEDAPLPFIMQYAAKISSLCKRVSALNLLLKSIQRRIDNMDQMLSTGLPTDNHQLTEQSLHY >Ma08_p33110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43569266:43576782:-1 gene:Ma08_g33110 transcript:Ma08_t33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGRRLVAGSRNRNEFVVINADDFERPKFAQVSSRQICQICGDDIEVLVEEEFFVACNECAFPVCRTCYEYERREGTQACPQCKTRYKRHKGSPRVEGDEEEDGDDDLEKEFNFGNFDSNETLNDPLTNLERPCGVGQSSLSGLGTSNPIVQSNGTNILLLTDAEEVDGISPDHHALIVLPYLGFRGQIIPSNATQTSASTQHRAINPNKDISVYGYGTVAWKDRIDEWKRKQLNKCQHLQHEGWDGNGFDGYGKENDEFPMSDESRQPLSRKLPIASSKISPYRIIILLRLIILGFFFHYRLLNPVLDAYGLWLTSVICEIWFAISWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELADVDIFVSTVDPMKEPPLITANTVLSILAVDYPVAKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFAQKIDYLKDKFHPDFVRERRAIKREYEEFKVRINALVSMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVLDEEGNNLPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVISNAPYILNVDCDHYINNSKALREAMCFLMDPISGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPIEEKPPGKTCNCWPKWCFFCGGSKRKNSKSNHKQEEETKKKRVKHREASTQVHALDIFEEHRGQESENSFLVPREKLEKKFGQSPVFVASTLQENGGTARGVGFASCLSEAMHVISCGYEDKTDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSKHCPIWYGYRGGLKWLERFSYINSVVYPWTSIPLVAYCTLPAICLLTGKFIVPEISSYASIVFMALFVSIAATSILEMQWGGVTIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVETNFTVTSKGADDGEFSELYLFKWTSLLIPPMTLLILNIIGVVAGISNAITNGYESWGPLFGKLFFAIWVIMHLYPFLKGIVGKQDRLPTIIIVWSILLASICSLLWVRVNPFIGKYDGPVLEVCGLDCN >Ma09_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1820190:1821489:-1 gene:Ma09_g02580 transcript:Ma09_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGRRKFLVRHPVVVDIGCSCRRPKLPSFFSFSQKPKPPKSPSLLSPSTTISHWETSLTAAAATSTTTATATDSSPSRYGDYTSPQYPASYPLESPQKTAATGQRKPYQKKKKHKCPATAAAKMGVVEGSMAVVKESSDPYLDFRDSMLQMIVEMEIYAWEDLRDLLHRFLALNAPRHHHLILRAFAEILNGLFSPP >Ma09_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19720100:19720846:-1 gene:Ma09_g19090 transcript:Ma09_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRVSYVDVELELDGIRVIHDMFHLIEDYMSRSIPFDTITKVQGQLRLFFFDIPVQIGSV >Ma02_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23084042:23087001:-1 gene:Ma02_g15330 transcript:Ma02_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALREEVARGLSPARARSEIARRGPSTMAGLLLPRWWRRSYQLDGEPVVPRSGSLAPLMEGPDPGEAEREDARRERGWGQWVKDQLSRAPSGSAASASYRRSDLQLLLGVMAAPLAPIHVCSTDPLPHLSIKDTPIETSSAQYILQQYTAASGGLKLLSSIRNAYAMGKVRMVATDFETATRVIKARNASRDAESGGFVLWQMAPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGIDPLITASMFAKARCIGEKKVNGEDCFILKLCADPQTLKARSEGPAEVIRHVLFGYFSQKTGLLIHIEDSHLTRIQSSAGGDAVYWETSINSFIDDYRPVEGMMIAHSGHSVVTLFRFGEVAMSHTKTRMEESWTIEEVAFNVPGLSVDCFIPPADVKHGSIDEACELPQGERGKNLMVGGHRAKVAALEKFGSIDEAYELPQGERGKNLMVGGHRAKVAALEK >Ma10_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30440016:30441449:-1 gene:Ma10_g20170 transcript:Ma10_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHREFIHPTSQSEQFPATSAADPPTYDFLQLPQNPLAQFHDMHEVVGHTQPLHPSNFMELQKWHLQQFWQQQMLELGNLADYKQHQLPLARIKRIMKLDGAAKMVSSDTPIIFAKACELFILELTVRSWLHAEQCKRLTIRRTDVAGAICHADMLNFLVDMLRPDEFQVFIRQPQMLQQHMMHRQQSYPNHILSEPPPPLVSLRNLDRHLNCWEQNCEASRDHSRTVLMEGKTPCNVHSCLVKS >Ma05_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34655042:34661396:-1 gene:Ma05_g22890 transcript:Ma05_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWKDKVAGKLSRLLAETPSAPSPRHVDSAVAPPEPQEIGSQEFVSPKRSSFFSRVLSLLNPASCGIDASERKSPTNSYGGSSSSRRRRKLKFFAQKDRSPNFLEESVPRSESDAVSEGSKRNMDHFSDNPNGSHILDKASTSHDSAEYLCYLTDKSVFMSAILFEFFGSCLPNIVKGCQWILLYSTWKHGISLHTLLRNSINLPGPCLLIVGDMQGAVFGGFFDGPLKPAPKMKYQGTTQTFVFTTIYGEPRLFRATGANRYYYLCLNDVLAFGGGGGFALSLDEDLLHGISGPCETFGNLCLAHSPEFELKNVELWGFAHSSQYLT >Ma06_p38620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37325348:37327918:1 gene:Ma06_g38620 transcript:Ma06_t38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAEKLPPEFHDSLGVMHGQAETFLKHTEHVRSDFSSSTMDSSSNFQSHLTNEGIDTRYELELSHSAIEGGAQNSSKLVVPDNRESTSQHSIDNGLKSPPSSRQSTDGEAELVEQFEPGVYITFIQLKNDIKMFKRVRFSKRRFAEQQAEDWWNKNKERVFKKYSYPGQATATLSTSAPNEEEDATPSS >Ma05_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37048168:37053117:1 gene:Ma05_g24930 transcript:Ma05_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLLPAVDGLRLSSPEPPPTLRRRSPRPLTAVRASAAPPRRETDPKKRVVITGMGLVSVFGNDVDAYYDKLLQGECGIGLIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDGCLRYCLVSGKKALESAGLGVGSQTLNKIDKVRAGVLVGTGMGGLSIFSDGVQALIEKGHRKITPFFIPYAITNMGSALLAMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCVQKSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIKQVFKDPSAVKMNATKSMIGHCLGAAGGLEAIATVKAITTGWLHPTINQFNLEPAVEFDTVANEKQQHEVNVAISNSFGFGGHNSVVVFAPFKP >Ma03_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6298564:6298680:1 gene:Ma03_g08550 transcript:Ma03_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVGSPWVVMLQGRRCRPAGKALVLSPRRSPPLLRLE >Ma07_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:970410:970928:1 gene:Ma07_g01270 transcript:Ma07_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITSAVVAMVVVILGWITIEIACKPCLEQGREAIDRALDPNYDPDSSLMSQNRPTAATEEPLLGPAPSATPASSIK >Ma05_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:434810:452081:-1 gene:Ma05_g00710 transcript:Ma05_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MEGGSGSSSPCPLDKEFSDQIAVLLSPPSPESIKDYYDELMKTRKCNNLKINFSNSSGKAVYAIKEFEEGELVLKDQILVAAQHISNKVDCLVCSYCFRFIGSIELQIGRKLYLQELGLSANKECKESLSRFPEKACTRVLDDIKKHSVSNGSHGIGSSTYTNSKDNSIPTEVLQSLINGNMSLPYTNLFALPSIFYCPGGCKEEHYCSKLCTDLDWESFHSLLCTGRNTEPSKRDAILKFIEHSNGTNDIFILAAKVISYTILKFRKLKRLRFKENEKRAKLDETDFPLLLEAWKPVSMGFKKRWWDCIALPDDVDSSDEASFRMQLRDLAFTSLQLLKEAIFEDECAPLFSLEIYGHIIGMFELNNLDLVVASPVEDYFIHIDDLPSPEKVEAEKLTRPLLESLGDEYSVCCQGTGFYPLQSCMNHSCCPNAKAFKRDEDKDGQAIIIAARPIVEGEEITISYIDEDLPYDERQTLLADYGFRCMCPRCLEEMPGM >Ma06_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9530189:9531801:-1 gene:Ma06_g13890 transcript:Ma06_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAATTAYHWHGIMEEEEQQHGETLVSPTGFRRWLEAGNDDTPPTDARIVTSGQRRLPAHSTVLASASPVLESMLHRPRKGGSNREMEIPVLGVPCDAVHAFLRLLYSARCVTLAEEEIVGEHGMHLMVLSHAYGVGWLKRACERVLSSRLTAEGVVDVLVLAQQCDAPRLHLRCMQLLAEDFAAVEQTEAWRFLRDHDPWLELDILQFLEDAHLRRRRLGRRKAERRLYMELHETIECLHHIFKDGYAAMGHSGREEKRARCPNPVTCRGLRQLVRHLAACDSEKRRWCRRCKCLWQLLRLHASTCTELDDASCEVPLCMYLCNWCR >Ma04_p39200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36387342:36409421:1 gene:Ma04_g39200 transcript:Ma04_t39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEITERVYVFDCCFSSDIMEEDDYRKYMDDIVTQLHEHFPDASFLVINFKGEVESKISDILAQYGITVRGYPRHYEGCPVLPLEIIHHFLRLCENWLTLERQQNVLLMHCERGGWPILAFMLASLLLYRKHYSGEQRTLEMVYKQAPKELLQILCPLNPQSSHLRYLQYITRIGSETWPPQDTPFTLDCLILRVIPNIDGEGGCRPIVRVYGQDPLFPADRSSKVLFSTAKTKKHVQHFRQAEDAPIKLNVRCCVQGDLVLECINVDEYLEDEELMFRVMFNTAFIQSHILLLNPEDIDIPWEAEDHFSKDFKAEVLFSEFDAESDTSTGTGATDEVEMEVGSTEEFFEAEEIFSSPDWHDGQKDLDIQTAVFSNTLETFSPRSEMSNPEADGRSQLESFYSEQVTLVDEETLVLDALTGVSMDLDHADHENNPGVKALNTLDDMFNEAKSTTLAGEESTSDNSKQDTTDNISLAVEEITSSASSSFEQDRVWQRAILTSDHVAHELGVSFLADDQMSSRSVRDSIEDTGNNSDEVRCKVEMCDVTDNTRNFATENRTDSGLAYQRLDSDIEVQNSEKLKHHMSNEALTVDIGQSPFSVLYKEKDEKPEPLGNSIELLNKRTISQSFHPSRGIDAILVDASSQPEIQFAGKTVSTSRVTATSMTITGSSPAEPLSPPSTEALLEASSTPCTGPLFVSIQPSNSPTLSVASPLSSTPPPPPLPPPPSSPHIYSRIRRKVPPHPSLLASYGALSFALSRPLQIHDVFQNPDQHLEPQMICDSVAISATSSSHLPHQPPSMQLDSLSLPPLLPSQGQSLPSPHHLSHSSPPTAIIPSSNYPLELSPPLASSTLFPPSPHSSNTIYDPSHLSSTEPESGLNKISDPSPPTSLPSSSPPGQNVDIFISPLSNLSTKNDPFDPPPVAASPPPNTIWHNIPMFANITLSDPPFTHGGASPTCSLHDASKEVLAASQDFASYSCSLQLSPSKAICKGVPPPSPPPPPHPTTPPRPQHPPPPPPPLGLPEPHTRATPLTLSPPPPPTNSHRAHIRVPPPPPPPLLPPTLRDLPKGHIRSPSSSYLEVDKSSLILPTSLPPQADEHGIAPNPKPFTIVHETTTPATSSPMEHIMSPPPPQGASLRLPLIGENGKAPPPPSFLENFGQSPHPPSLPGSYERPPPPPPPPPPLRCCGGASLPPLLGDTSEAPSSLSFVGAFEKAQSPPLHPKDHMQDPPPEPPPPPPPPGGGLFAPSPLLLHLKGGLGGISIPLLSAPHLGGHHVGVPIPPPPPPLRDVISPPLLSHHRVVYAPLSTRGGVSTDLSSPMVQRGVSAPPPSSSPSGGVSCPPPPPPLPSPPPSDVFISPSQPPSRVVSISPLSSPPFGVVHAHPPPPPPHPPPLAPPPPHSIPPPIDVFISPPQPPSQFVSIPSLSPSTGVVHAHPPPLSPPPPPSGVVVAHPPPPPPLPPPFRVLVAHVPPPPPLSPPFGVIVAHPPPPSPPTPPPPPLPPPPPPLPSFGVVSPLSPSSGVVSPPSPWPPLGGAMSTPPLPPPPPKGVVFAPPMSPPSRASVSTPPLPPLGSVSTPPPSLPPLGGDVSTPPPPPPPPPPSPSLGANVCTPPPPPPPPPSLSPSEGGVFAPPTTPPPRGGVFAPPPPPPPIGGGVFAPPPPPPPLGEGVVTSPPPPPPPGGGVVTPPPPSPLVGGGVVAPPPPPPPLGGGVFVQPPPPPPTPGGGVFVTPPPPPPPGGGVFAPPTLPPPGGGGQAPPPPPPPGGVFAPPPPPLPGGCGQAPPPPPPPGGVVSTPPPPPRAPGAPPPPRAPGAPPPPGAPGAPPPPQAGIRGLPPNSMVGGRGNMLARPGGPGMSAARRSPFKPLHWVKVSRAMQGSLWAELQKHADAHSTSEFDVSELESLFSAVVPKSKDSSKSDGRQKSAGSKSDKVHLIELRRANNTEIMLTKIKMPLSDMMSAVLALDDSLLDADQVENLIKFCPTKEEMELLKAYSGNKENLGKCEQFFLELMKVPRVESKLRVFAFKIQFNSQISDIRKILHTVDSACEEVRGSEKLKEIMKKILHLGNTLNQGTARGSAVGFRLDSLLKLSDTRATNNRMTLMHYLCKVLAEKSAHLLDFHEDLVSLEAASKIQLKSMAEEQQAIVKGLEKVELELTASESDGPVSEIFCKTLKEFTAVSGAEVRSLTSLYNAVGKNADSLAMYFGEDPARCPFEQVVSTILNFVRIFRKAHDENRKQAELEKKKAQKEAETEKSKEANIAKNVSR >Ma06_p19960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14041583:14052407:-1 gene:Ma06_g19960 transcript:Ma06_t19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQSAEMCNNEAVIATNLEQTPLLICCKVSINVKITAGTPAKVVILQAVVSNKASWVILDRHLRRDLKFYMKHISCKVALISDNLSIEVPKPFVTNSSSKGILEQKFYSVWKTIQPSSNIQEDNQQENQTNLSSLMYSADYYAPVTAQDCSNALKPKSSMISSSPGRSWDYSILASDTTVSSSKQSGTSSKEHRSLFSAKVLAGNNENVFQHDFLEKPILCATCGLRSMFYIKESMKFRFSEIQDATSDFSKENLLGEGGFGLVYKGQLKDGQIIAAKMRKEESTQGYTEFFSEVHVLSFARHRNIVMLLGYCCKEKYNILVYEYICNKSLHWHLFSQPAELLEWHQRYAIAMGIAKGLRFLHEECRGGPIIHRDLRPRNILLTHDFVPMLGDFGLAKWKSNSDSFQTRVLGTSGYIAPEYAEFGIVSVRTDVYAFGIILFQLISGRGVLDEANGHHQHLLQWVEPLVENLALHELIDPRLGESYDIYELYHLARAAFLCVRRNPEMRPSIGEVVHLLEAGHVRDLAQQFVPHYIK >Ma06_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14041583:14052546:-1 gene:Ma06_g19960 transcript:Ma06_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEERRSSLPPHNVVILACDATRDHNEVELRLIVSAIRTRGGILCGGDTLLVLGVLHTVTNPMGYQTKACADSFGGTNFWALEEEVSKKVDKYESMLQQSAEMCNNEAVIATNLEQTPLLICCKVSINVKITAGTPAKVVILQAVVSNKASWVILDRHLRRDLKFYMKHISCKVALISDNLSIEVPKPFVTNSSSKGILEQKFYSVWKTIQPSSNIQEDNQQENQTNLSSLMYSADYYAPVTAQDCSNALKPKSSMISSSPGRSWDYSILASDTTVSSSKQSGTSSKEHRSLFSAKVLAGNNENVFQHDFLEKPILCATCGLRSMFYIKESMKFRFSEIQDATSDFSKENLLGEGGFGLVYKGQLKDGQIIAAKMRKEESTQGYTEFFSEVHVLSFARHRNIVMLLGYCCKEKYNILVYEYICNKSLHWHLFSQPAELLEWHQRYAIAMGIAKGLRFLHEECRGGPIIHRDLRPRNILLTHDFVPMLGDFGLAKWKSNSDSFQTRVLGTSGYIAPEYAEFGIVSVRTDVYAFGIILFQLISGRGVLDEANGHHQHLLQWVEPLVENLALHELIDPRLGESYDIYELYHLARAAFLCVRRNPEMRPSIGEVVHLLEAGHVRDLAQQFVPHYIK >Ma01_p07220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5203414:5206369:-1 gene:Ma01_g07220 transcript:Ma01_t07220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEEASGWAARSSASGAPGAAYPASSSSLGLVRHRRRTLVREEDEFEEEEEVEAAQEQVSRILSSADKGGGGDADVSLREWLDRPGRAVDLLECLHIFRQIAEAVGSAHGQGVVVANVRPSCFVMSPLNSVSFIESASCSTSGSVSASSSDDDDAAGGSGPGPPERNRSFYGPSSSTRLKDRREEDGADEKKTFPLKRILLMEWSWYTSPEEADGVGRGTFAADVYRLGVLLFELFCTFDSLEEKLTTMADLRHRVLPPQLLLKWPKEASFCLWLLHPQPDTRPKISEVLRSEFLNRPTSKLEERVAAIKLTEEIEDEELLLEFLLHLKQRKQEVADRLRDSICFISADVQEVQDQRSILLQNSYPELDRGGRSATSTLDHPVADVDSSSFASRKRFRSEFNNGVGEEELGHLMAEAPRSGTELQIQVSIASKSSRLMKNFKKLEAAYFSTRRRGSRSTRRPESKQLQGTSSGTGSAVRTERSSVDDVVLQEGRGGGRRNEWINPFLEGLCKYLSFSRLKVKADLKQGDLLNSMNLVCSMDFDRDNEFFATAGVNKKIKVFECDMILNEDRGIHYPVVEMSNRSKLSCICWNGYIKSQIASSDFEGVVQVWDVTRSQSLAEMREHEKRVWSVDFSLADPTRLASGGDDGTVKLWSINKAILFLHLLPEASAPSEPRRTCVPFSSSRSRRTCWRWAQRITRSTASISGT >Ma01_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5191791:5206369:-1 gene:Ma01_g07220 transcript:Ma01_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEEASGWAARSSASGAPGAAYPASSSSLGLVRHRRRTLVREEDEFEEEEEVEAAQEQVSRILSSADKGGGGDADVSLREWLDRPGRAVDLLECLHIFRQIAEAVGSAHGQGVVVANVRPSCFVMSPLNSVSFIESASCSTSGSVSASSSDDDDAAGGSGPGPPERNRSFYGPSSSTRLKDRREEDGADEKKTFPLKRILLMEWSWYTSPEEADGVGRGTFAADVYRLGVLLFELFCTFDSLEEKLTTMADLRHRVLPPQLLLKWPKEASFCLWLLHPQPDTRPKISEVLRSEFLNRPTSKLEERVAAIKLTEEIEDEELLLEFLLHLKQRKQEVADRLRDSICFISADVQEVQDQRSILLQNSYPELDRGGRSATSTLDHPVADVDSSSFASRKRFRSEFNNGVGEEELGHLMAEAPRSGTELQIQVSIASKSSRLMKNFKKLEAAYFSTRRRGSRSTRRPESKQLQGTSSGTGSAVRTERSSVDDVVLQEGRGGGRRNEWINPFLEGLCKYLSFSRLKVKADLKQGDLLNSMNLVCSMDFDRDNEFFATAGVNKKIKVFECDMILNEDRGIHYPVVEMSNRSKLSCICWNGYIKSQIASSDFEGVVQVWDVTRSQSLAEMREHEKRVWSVDFSLADPTRLASGGDDGTVKLWSINKAGSVGTIRTKANVCSIQFQPESAHLLAVGSADHKVYCFDLRNLRMPCCTLAGHTKTVSDVKYLDSSHVVSASTDNSLKLWNLPASTSGVHEAPLQTFAGHTNNKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPVLSYKFSTTDPISGQENDDASQFVSCVCWRGQSSTLLAANSSGNIKFLEMV >Ma05_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9390706:9393412:1 gene:Ma05_g12970 transcript:Ma05_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLHKTLFCATLLLLLLLLPRVPLVKASLGSQGSALLHWKATLRSRQSLRSWNLNSSPCNWTGVTCNPVTGRGRSVITEISLPSMGLAGLLDSLDFSTLGSLLRLNLSYNQLGEVIPPAISTLSMLVSLDLTSNQFTSKIPIEMSSMKDIQFLSLSQNQMVGAIPPSLSNLTGLVYLDLDNNKFVGAIPKELGRLHELMYLDLGANSLFGSIPFGLGNLTKLYHLGLYQNQLTGVIPRELENLVNLIYLSVANNNLTGGVLSSFGNLTKLQSFVLWRNKLSGPIPFEIGNLVEVTDLDFSENLLTSSIPSSIGNMTKLRELSVFNNQLSGLLPMEINNIIGLTYLQLGNNNFVGHVPPNVCKGGTLKHLSLHTNNFQGLISTTLKNCTGLVRVRLEHNQFTGDVSQYLGVYPHLSYMDLSFNRLSGTLSPDWAKWHNLTLFRISNNNITGVIPTEFGQLTKLRELDLSSNDLQGEIPNSFGSLTLLYNLSLSNNQLVGHVPLEIGMLSNLELLDLSSNNLAGRIPDQLGDCMKLRSLMLNNNNFSGTIPLAIGNLVVLQDTFDVSHNSLTGEIPSQLSKLVMLQSLNLSHNSLSGHLPSSLTYMTSLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNIDLCGVVRGLPPCVSPGTPTRIASMVVITIIASVVFFLLLFISIAAALRFHKRKKPPVPVDNNHVKEGAFCILNFVGRDVYKGIIEATEDFDAKYCIGSGAYGSVYRAELASGELLAVKKIHLPDTEGTCDEQPFQTEIQALTQIRHRNIVKLYGFYSSPRRKFLVYEYMERGSLGSVLRSEIAAELDWVKRLSIVKDVARALFYMHHDCTPPIVHRDITSNNILLDSEFKACVSDFGIARLLKPDSSNWTMLAGTRGYLAP >Ma09_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6127809:6134468:1 gene:Ma09_g09250 transcript:Ma09_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSSTTSSSADEILSSAVSLAATKTGKSSLRSLIFSLPASADLVTSLPPALHLSISRSLDAFKNSLRACSSASAAALGRSSRSPPTKRSRQSSRAQTPEAAGAEGSDNPISPETLDHLRNLKAYAFVAHLCVSHPNNLFAPSVLLPSVRSLHDGLVLYEWDPALLSQVASLCEEWWKANLPGRENLVSQSLPFLLSSSLTEGKKADVRRVYALREAFLLFDYVDESIEDMKTLLVRCVITPVYLKMDEGRKFVAFMLGLNGQLMKESLVLMKSQIPFGRKSVLEAYADILFRSWKGSEESLREEIEDGFLQGLIEGAIHASSKLLAASVRRVLGGFIEQRMTVGVEKLLLRLVEPVLFRSLQVANSNVRQNALHLLLDVFPLEDPDATNEVKDSLLNKQFFLLEKLLLDDCPEVRSVAVEGSCRILHLFWEIIPSSTITKFLAKIVDSMSIDICYEVRMSSINGIIYLLQNPQSHEVMKVLLPRLGSLFSDPVLSVRVSVVDLLLAVRDLRTIQFNKVVSLNDLLSSLANDHPRVASKITKLLIPSYFPSKLAIKEACSRCLALIRRSPDAGARFCEFALSQGSSPRSLMELARVCVTLALSPKGLGVEQIDGLVVASSNICQCLSSELSVKRALSELLSAKKLKHLLTAATSERAQTAILSIASVVPTENLGGIYDSCMVIIRNSTGLSDNVERQGVVKAAQKLIFSCGWFDEMFKELANILQDTASIFRVRFGLGSTQQILQTSKKKKARLPMKISSTDQVTGKRSQDPGTSSDDKDFAIAAAAAWQVKSLLASETTRNAVLKSSISEMAFSALGVISEVCIQQCMELKQLDMEPLMAYTTFAISMSSQNVGSTTTNDVGGVKDNDFHQKRSSVEETLDHALDHIVNCADKIFSEHASGKPNQNSKYGVETSQRRKSKRKEAQEGIPNSTEGDQVASFEVKRIENMVKLHMAIMKFVVDAETIRHTNQNHRRYLKFASDHIRHIVSVLKRHQHQKSSNQEEVLKDKQDESFKDIITYLKSSFSYAAKLLHLVLKCSNESSAPSPEAFYLANDLLDLITSVEMYVGSRHASNVVSVAKSWLPTLILGLGCNQLMMSEKESNLELADLVEAKFPVWLSVLGKIELHRDGDLSQYDDDQTPKLPTSAFENLIEMLLILLNKGSPRILDAVGVVILAGLEVALKRADFSLVFGLVHFTCMKMLGNESAPLEELELTSCSLQKIYQWIEQDLRDHHINKDGRHQLESAHSLIQSLL >Ma08_p30780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41929892:41934494:-1 gene:Ma08_g30780 transcript:Ma08_t30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKYRNPRDGFRPGSPFGRNRTRGGFSRSYSKPQSPPASKMEILMEAGRLAAEYLVSKGVLPASSLPSTMSKSGVQEFRGQGRENPSAPPPFSVGRTSALARLGDAGSDVGYGRKRFNDDYDRMGSRKNGRGRKRSGFYNRGYDGPDWSRERKRNGPWNERSRDYSDSMEEDNDDFAPGYRRDRLSGYEEVGSSIAENEQHSKGEVVGESGSELDDAGSKASSNSTRKDVPAEVDADENKGADEVLASNSEAGGVKSGKHDELEKKISTEEYSTVKPDGVEEGVSSVSNDGSDLLKLSGFPKVPTRPRSSLSHKGPTDDHCLSTDGGNKIEVVPKGDFEMVIDDVPTDGFLKESHVDHSDHLKCEEQANSGDVDIKSSKETIESPCEPQMNLLRSTSSAMMVDVGKEDKFANHVSQEEELEKQINSSSPTASQQNQFSQLDGSRQTQASLFMEMPPQSEEMEAIDQLKQVTANLPPKVEAQSFMEMEDVKQNQPTSFKICDLNLMEAPEMTEIPSDPLLNDCNISAPPLETEKQLSVDFGLSINSKAKVTYDFNRLSGDDKVIPVIDLEDDSPIEVNACNPSKAKNELIYPVENVMNHTTHSDDLPVIQDSYSLAISDYLGADMSCSPSVQADLNNLQVGIDLHGAEGFPGVDDSIYGSLGDIGFMEVWDQPTQDYEKFF >Ma08_p30780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41929892:41934497:-1 gene:Ma08_g30780 transcript:Ma08_t30780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKYRNPRDGFRPGSPFGRNRTRGGFSRSYSKPQSPPASKMEILMEAGRLAAEYLVSKGVLPASSLPSTMSKSGVQEFRGQGRENPSAPPPFSVGRTSALARLGDAGSDVGYGRKRFNDDYDRMGSRKNGRGRKRSGFYNRGYDGPDWSRERKRNGPWNERSRDYSDSMEEDNDDFAPGYRRDRLSGYEEVGSSIAENEQHSKGEVVGESGSELDDAGSKASSNSTRKDVPAEVDADENKGADEVLASNSEAGGVKSGKHDELEKKISTEEYSTVKPDGVEEGVSSVSNDGSDLLKLSGFPKVPTRPRSSLSHKGPTDDHCLSTDGGNKIEVVPKGDFEMVIDDVPTDGFLKESHVDHSDHLKCEEQANSGDVDIKSSKETIESPCEPQMNLLRSTSSAMMVDVGKEDKFANHVSQEEELEKQINSSSPTASQQNQFSQLDGSRQTQASLFMEMPPQSEEMEAIDQLKQVTANLPPKVEAQSFMEMEDVKQNQPTSFKICDLNLMEAPEMTEIPSDPLLNDCNISAPPLETEKQLSVDFGLSINSKAKVTYDFNRLSGDDKVIPVIDLEDDSPIEVNACNPSKANRNELIYPVENVMNHTTHSDDLPVIQDSYSLAISDYLGADMSCSPSVQADLNNLQVGIDLHGAEGFPGVDDSIYGSLGDIGFMEVWDQPTQDYEKFF >Ma02_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17768187:17769587:1 gene:Ma02_g06880 transcript:Ma02_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHGAEQLASRHKHVWICEACKQAPAAVICKADAAALCDACDADIHSANPLACRHKRAPIAAPIKPSTASAAFAFGAGAGDGVDEKEENDAEEATAASWILPNSGHAQFKGLIEAPLLKSMEYYSSVGSYLDLEYALSMETQFHQMNSVVPVRAKTAIVDSGAPPISSFLPPDAGAELDFVPTKPCHTIHSMSQSMPPSEVGVVPDESGGAGNAAAQMDREARVMRYREKRKNRRFEKTIRYASRKANAETRPRIKGRFAKRASIEAEVDRIYSSASAAPAALMADAGFGVVPSF >Ma06_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4135315:4135974:1 gene:Ma06_g05540 transcript:Ma06_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAQQLRGFDGSDESKPIYVAIRGAIYDVSSGKGFYGPGGPYAVFAGREASRALAKMSKSEDDVCGDLDGLSDKELGVLADWENKFQAKYPVVGRLAS >Ma10_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26220471:26222928:-1 gene:Ma10_g13390 transcript:Ma10_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRLGSVSRLLLSRSTATAQLSPRPLPSRRISWSDHLPSRHGTWNHYYAVTCSTSMPLKVGSITSETNISDQKRWASQAAYAKSENGESKISIGPRRGETEKDGKETGFIYRGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPEMNVILKGAVASTVILLSASTTAALHWFVSPYIHKLRWQPGSDNFEVEMMSWLATPVPRTIKFADVRPPETNRPFVTFKAEDNFYFVDVEHCHNKALLARLTPQKASHESAFKNL >Ma03_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10217875:10219661:-1 gene:Ma03_g13190 transcript:Ma03_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLKNKLSSSTAMSCSPLLLRRDPFPIPSSQKHSALTVFAAKCYKMKTHKVPSLYVFWSFLIHLTGKFPSLMGLKASAKRFRVMGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMHPVNKSDYDNVIGALPYLKVNRHAT >Ma08_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10698548:10703102:1 gene:Ma08_g13560 transcript:Ma08_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDASLSSYPHTHPVDRPREPAAGDHGPAVEDPKEASGEDRIEGDSSAYRKEERLVGPPSHLPQPQAPPGLTKARSTGPDEERRPIERSVSLNSTAAAVDVSAIGKYLHNRGSVFSAAIAKRISSLKVPPSGDGDCGPNGSVTEFRLSGLKVIVRLKGENERAEAEPEGGEIKGRVSFFSRSGCRDCGAVRSFFREQGLPYVEINVDVFPERQRELVERTGSSALPAIFFNEKLLGGLVALNSLRNSGEFERRLRDMAGVRCPDAAPRVPAYGFDDEEELRGERPDVMVAMARVLRHRLPIQDRITRMKLVRNCFSGSDMVEAIINHLDCGRKKAVEIGKELARKHFIHHVFRENDFEDGNNHFYHFLEHEPVIPKCFNFRGSTNDNEPKPATIVGQRLTKLMTAILEAYASDDRRHLDYGRIGASEEFRRYVNLVQDLQRVDIFGLSADEKLAFFLNLYNAMVIHAIIRIGQPGVIDRRAFYTDFQYIVGGYPFSLSSIKNGILRSNRRQPYSLVKPFSAGDKRLELASTKVNPLIHFGLCNGTRSSPTVWFFSTEGVEVELRHAAREFFLRGGIEVDLERRVVYLTRIIKWYDADFGEEKDILNWILSYLDATKAGLLTHLLNDGGHINISYQNFDWSPNY >Ma09_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17765331:17766161:1 gene:Ma09_g18330 transcript:Ma09_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAMGFAEGVTTSLLGKLGNILAEEAGLLAGVEDDIQYILEELKSMDSFLAVLSSSLDHNKQVKTWMEQVRDLAYDAEDCVDVFRHRLRRPRHQHPLAGVLLHTVRLLRTLKARHSIATDLRKLKLRARDVSERRARYALGIGPSPGGARSFSSSSASSSSGLLRRCASFVKEVGPMGMDHYKREIVGRLMEENDPQLKVISIVGIGGLGKTTLAKMVYQSSAVTGGYFQARAWIEMPRCFKIEPLLRNMIRQFSSRGQQILDILGVDPMNPGAK >Ma07_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2102562:2103999:-1 gene:Ma07_g02640 transcript:Ma07_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFLFLSDCIVGYVDVTSGIRFSAKYRKMGKESGVIAVEEKGAQML >Ma04_p03700.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2861244:2868575:1 gene:Ma04_g03700 transcript:Ma04_t03700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSVHSCIGSLGSDLSLLADGVLLVDREMSKSGALDLATGVGGKIKKEDVQSAVEQYEKYHVCFGGGEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGRELNRVAGLSESCNFVKADFMKMPFPDNSFDGIYAIEATCHAPDAVGCYKEIYRVLKPGQRFAVYEWCMTDHFDPDNESHQKTKAEIELGNGLPDIRTTKQCLDALKLAGFEVISEKDLAADSPVSWYLPLDTSQFSITSFRLTSFGRFITRTMVKTLEFVGIAPAGSNRVSSFLEKAAEGLVEGGRKEIFTPMCFFLVRKPLSES >Ma04_p03700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2861151:2868573:1 gene:Ma04_g03700 transcript:Ma04_t03700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKIKKEDVQSAVEQYEKYHVCFGGGEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGRELNRVAGLSESCNFVKADFMKMPFPDNSFDGIYAIEATCHAPDAVGCYKEIYRVLKPGQRFAVYEWCMTDHFDPDNESHQKTKAEIELGNGLPDIRTTKQCLDALKLAGFEVISEKDLAADSPVSWYLPLDTSQFSITSFRLTSFGRFITRTMVKTLEFVGIAPAGSNRVSSFLEKAAEGLVEGGRKEIFTPMCFFLVRKPLSES >Ma04_p03700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2860965:2868575:1 gene:Ma04_g03700 transcript:Ma04_t03700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLCDQRSVHWRNQNTISVDREMSKSGALDLATGVGGKIKKEDVQSAVEQYEKYHVCFGGGEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGRELNRVAGLSESCNFVKADFMKMPFPDNSFDGIYAIEATCHAPDAVGCYKEIYRVLKPGQRFAVYEWCMTDHFDPDNESHQKTKAEIELGNGLPDIRTTKQCLDALKLAGFEVISEKDLAADSPVSWYLPLDTSQFSITSFRLTSFGRFITRTMVKTLEFVGIAPAGSNRVSSFLEKAAEGLVEGGRKEIFTPMCFFLVRKPLSES >Ma04_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2861101:2868575:1 gene:Ma04_g03700 transcript:Ma04_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSWISSVGVGIEVDREMSKSGALDLATGVGGKIKKEDVQSAVEQYEKYHVCFGGGEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGRELNRVAGLSESCNFVKADFMKMPFPDNSFDGIYAIEATCHAPDAVGCYKEIYRVLKPGQRFAVYEWCMTDHFDPDNESHQKTKAEIELGNGLPDIRTTKQCLDALKLAGFEVISEKDLAADSPVSWYLPLDTSQFSITSFRLTSFGRFITRTMVKTLEFVGIAPAGSNRVSSFLEKAAEGLVEGGRKEIFTPMCFFLVRKPLSES >Ma04_p03700.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2861072:2868573:1 gene:Ma04_g03700 transcript:Ma04_t03700.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKIKKEDVQSAVEQYEKYHVCFGGGEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGRELNRVAGLSESCNFVKADFMKMPFPDNSFDGIYAIEATCHAPDAVGCYKEIYRVLKPGQRFAVYEWCMTDHFDPDNESHQKTKAEIELGNGLPDIRTTKQCLDALKLAGFEVISEKDLAADSPVSWYLPLDTSQFSITSFRLTSFGRFITRTMVKTLEFVGIAPAGSNRVSSFLEKAAEGLVEGGRKEIFTPMCFFLVRKPLSES >Ma04_p03700.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2860961:2868573:1 gene:Ma04_g03700 transcript:Ma04_t03700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKIKKEDVQSAVEQYEKYHVCFGGGEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGRELNRVAGLSESCNFVKADFMKMPFPDNSFDGIYAIEATCHAPDAVGCYKEIYRVLKPGQRFAVYEWCMTDHFDPDNESHQKTKAEIELGNGLPDIRTTKQCLDALKLAGFEVISEKDLAADSPVSWYLPLDTSQFSITSFRLTSFGRFITRTMVKTLEFVGIAPAGSNRVSSFLEKAAEGLVEGGRKEIFTPMCFFLVRKPLSES >Ma04_p03700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2861275:2868573:1 gene:Ma04_g03700 transcript:Ma04_t03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLATGVGGKIKKEDVQSAVEQYEKYHVCFGGGEETRKANYSDMVNKYYDLATSFYEFGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSITGLNNNEYQISRGRELNRVAGLSESCNFVKADFMKMPFPDNSFDGIYAIEATCHAPDAVGCYKEIYRVLKPGQRFAVYEWCMTDHFDPDNESHQKTKAEIELGNGLPDIRTTKQCLDALKLAGFEVISEKDLAADSPVSWYLPLDTSQFSITSFRLTSFGRFITRTMVKTLEFVGIAPAGSNRVSSFLEKAAEGLVEGGRKEIFTPMCFFLVRKPLSES >Ma05_p25310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37273384:37276817:-1 gene:Ma05_g25310 transcript:Ma05_t25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTGIPNNHPLPTSSVPVAVAAPPRNSQMNFALHWPEQAVKEAIEKGRAFKATFRVNAYDRKEAFCTVDGLPVDVLINGADAQNRAIEGDVVAVMLDPVVYWTKLRGSNDALISKATTDSTENRDSEKGEAARALERIRATLSCNPSKRPTGRVLSIIRSSPRREAVIGLLASNPWFPEGEEYGRELDYIQLIPTNSKLPMMVITVESLPGCVKERLINGDVSIERELVAARIEEWKEGSVCPKAQVIRMLGRAEEIGPQISAVLFEHAIRAADFSPESLSCLPEAPWKIPTEEYETRKDLRNTCTFTIDPASATDLDDAISIEKVSEKVFRIGVHIADVSRFVLPDTALDREARIRSTSVYIPQHKLPMLPPELSEEACSLVPGEDRLAFSITWDIDDTGNITGRWIGRSVIHSCCKLSYDDAQDIIDGGFEVDVSGKTVPKLHGQFELKDVVDSLRSLHGITKKMREIRLRNGAFWIETPKLVFLLDESGNPYDSLLGVRKESSCLVEELMLLANRSVAEVISKAFPDCALLRRHAEPMSMKLKEFQEFCRKLELDASSSGKLQLALPRMRQKLKNDPVLLQILLARAARTMQLAVYFCTGDLRGREDEWAHYGLSIPLYTHFTSPLRRYPDIIVHRTLAAVVEAEEAYAEKRLSCAAYDSGDGIGNGCFTGLYFDREAAESEEGRETLLSAAVRYRVPASEVVSEVAAYCNEKKRASKHAEQSAENVYLWGLLKNKKVMFSEARVLTVGPYFMTVYIYKFAIERRIYFGEVEGLAVKWIATTGILVLSTNTEPSQKSYLPRSCRKIEDIELTMSQCRIVTPEDKNKCITIAPSSYNTESDVTATPPLRFPSVIRVLSIVPVAIHAIIEHNGRVNFEAKLYIQ >Ma11_p04600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3590848:3592887:-1 gene:Ma11_g04600 transcript:Ma11_t04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSSRLQLSHLFLRRRLLRPPPWLLGWTASISSLKVAWRKDPLLDSAIDRDKQWRLISRVVREVLNEPGQTIPLRYLEKRRERLRLPVHVKTFLARYPNLFDLHLAPIKPRQAPVPFLRPSPCLRSFLDLDARLRSLHEPLALAKLCKLLMMSRHRALPAEKLLNAKRDFGLPDDLLCSLVPRHPHLLRLVHATGDRSFLELVSWEDDYAKSVIERRAEEEARLTGVLMRPNFDVRLPPGFFLRKEMREWTRDWLELPYVSPYADTSGLHPASPEMEKRSVGVLHEVLSLTLHKRMAVPIIGKFSEEFRLSNAFANAFTRHPGIFYVSLKGGIKTAMLREAYERGNLVDRDPLLEIKDRFVEILDEGHKVYLDRINTKREAMQKDLELMASKNAELPEDEEESAETEQPL >Ma11_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3589580:3592887:-1 gene:Ma11_g04600 transcript:Ma11_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSSRLQLSHLFLRRRLLRPPPWLLGWTASISSLKVAWRKDPLLDSAIDRDKQWRLISRVVREVLNEPGQTIPLRYLEKRRERLRLPVHVKTFLARYPNLFDLHLAPIKPRQAPVPFLRPSPCLRSFLDLDARLRSLHEPLALAKLCKLLMMSRHRALPAEKLLNAKRDFGLPDDLLCSLVPRHPHLLRLVHATGDRSFLELVSWEDDYAKSVIERRAEEEARLTGVLMRPNFDVRLPPGFFLRKEMREWTRDWLELPYVSPYADTSGLHPASPEMEKRSVGVLHEVLSLTLHKRMAVPIIGKFSEEFRLSNAFANAFTRHPGIFYVSLKGGIKTAMLREAYERGNLVDRDPLLEIKDRFVEILDEGHKVYLDRINTKREAMQKDLELMASKNAELPEDEEESAETEQPL >Ma03_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10279348:10284117:1 gene:Ma03_g13260 transcript:Ma03_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEAASVLTCSIAEAARRNHGQTTPLHVAATFLAAPSGLLRQACIRSHPQSSHPLQCRALELCFSVALDRLPASNPGADGRSRAGALAEPPISNALMAALKRAQANQRRGCPEQQQQPLLAVKVELEQLLMSILDDPSVSRVMREASFTSTAAKAVVEQSLSSSSSAATAASASPPFIASLATVSPSPVASLVPGLTSSAAPFRNLYMNPRLQQRKNNNACDVPTSVEGCGDQPRTEDVKRVLDILLRSQKRNPIPVGDCNPDALMREVLRRIQSDDGPSLLRNTRVVPFGKEIATTSPDQSQITIKIRELTSSIESMICGSGRGVILDLGDLKWLVESPAVSAGSGPMQLPKPVVSEVGRAAVEEMGRLLKRFADGGRVWLVGAAASATYLRCQVYHPTMEKDWDLQAVPIAPRSSHPNMFPRPESSGVLGDSVGTSAPAKGLMGMGAAAVASSRPPESTIPSQRTTLCPLCLERYELELAKLVAKVSDYTTKTEAGQTLPQWLRGGTESSSAPLQSMEEELLKRWCETCSRLHPNIHQLHLASKLPLAPAPSKTSSVLRPHPPSEPMSTLSRCLSPLQLASNQNRDAAKQPTSPSGSPVKTDLVLGSSKVADSSSDTIHKELLKDFTGCMQDAFSVQQSAKISGNLDIDMFKRLFKGLSEKVSWQQEAASAIATVVMQCKSVNGKRRSGGGKGDTWLLLVGPDKVGKRKMAGALSELVFGVGPTVINFGRASCTCGNDGESNLSFRGRTSVDRVVEAVRRNPFSVIVLEDVDQADMLLQGKIKQAIERGRLPDSYGREVSMGSVIFVLTADWLPEELKSSYYPLLKREERILDSAYRGLELEITAGERPGKRRPTWLCEDDQPIKLRTESLVGTNLSLDLNLAAGIDSESGEGSWNSSDLTSEREYDKGRLVSKCSTSSLASELVELVDEAVTFKPVDFVTLRRNVVESISVKFTAIMGKGRAIKIDEDAVDRIVGGLWLSGAAFDDWAERVLAPSLRQLKDHPQVGGRVVVARLSTGKEDRVQRSCVKDWLPTTVAIAVDDGHGS >Ma03_p13260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10279346:10284117:1 gene:Ma03_g13260 transcript:Ma03_t13260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEAASVLTCSIAEAARRNHGQTTPLHVAATFLAAPSGLLRQACIRSHPQSSHPLQCRALELCFSVALDRLPASNPGADGRSRAGALAEPPISNALMAALKRAQANQRRGCPEQQQQPLLAVKVELEQLLMSILDDPSVSRVMREASFTSTAAKAVVEQSLSSSSSAATAASASPPFIASLATVSPSPVASLVPGLTSSAAPFRNLYMNPRLQQRKNNNACDVPTSVEGCGDQPRTEDVKRVLDILLRSQKRNPIPVGDCNPDALMREVLRRIQSDDGPSLLRNTRVVPFGKEIATTSPDQSQITIKIRELTSSIESMICGSGRGVILDLGDLKWLVESPAVSAGSGPMQLPKPVVSEVGRAAVEEMGRLLKRFADGGRVWLVGAAASATYLRCQVYHPTMEKDWDLQAVPIAPRSSHPNMFPRPESSGVLGDSVGTSAPAKGLMGMGAAAVASSRPPESTIPSQRTTLCPLCLERYELELAKLVAKVSDYTTKTEAGQTLPQWLRGGTESSSAPLQVSIRLSMEEELLKRWCETCSRLHPNIHQLHLASKLPLAPAPSKTSSVLRPHPPSEPMSTLSRCLSPLQLASNQNRDAAKQPTSPSGSPVKTDLVLGSSKVADSSSDTIHKELLKDFTGCMQDAFSVQQSAKISGNLDIDMFKRLFKGLSEKVSWQQEAASAIATVVMQCKSVNGKRRSGGGKGDTWLLLVGPDKVGKRKMAGALSELVFGVGPTVINFGRASCTCGNDGESNLSFRGRTSVDRVVEAVRRNPFSVIVLEDVDQADMLLQGKIKQAIERGRLPDSYGREVSMGSVIFVLTADWLPEELKSSYYPLLKREERILDSAYRGLELEITAGERPGKRRPTWLCEDDQPIKLRTESLVGTNLSLDLNLAAGIDSESGEGSWNSSDLTSEREYDKGRLVSKCSTSSLASELVELVDEAVTFKPVDFVTLRRNVVESISVKFTAIMGKGRAIKIDEDAVDRIVGGLWLSGAAFDDWAERVLAPSLRQLKDHPQVGGRVVVARLSTGKEDRVQRSCVKDWLPTTVAIAVDDGHGS >Ma09_p08170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5375668:5385138:1 gene:Ma09_g08170 transcript:Ma09_t08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSSKVDESKLVSLCRERRERIATAVDRRYALAAAHAAYFDSLAAVGDALQRFVQEELTVASSSPTGSPVLTLPSSDGKRKTKRGRGSASAAASVSSSASPLSHSLSRNGSHLPLSDISESETDPDGGDGGASEAGGGRGAAVAGDGGRDSSTSPRRHISSSSNSSFLKSSMEIPQTVYQDPLASPWSNSPYNGDAYGFGYPPYDAPFGFKTPARDETYGPPTPTLAPATPPPPSPAKTSGWEFLDPFNMYEHFLHHYSQGKLRMGSFTSSPDLREVRKQEGIPDLEFEMDVKPMKEAKKENVLAKKVNLKAKKENVLDNGLEGKDFSVEKLSAVPAEDVGRKDAKDGIVGLAKEGRKNSWASSKGRSIREDKKSNGKDRVMKFYDKSYETEESAPQSVKSLSTTSSEQSFFLHATRDVVDVVKEIKKQFTSAADCGEEVSKMLEGDRFPYPSRSKRFGDISSRILDPITLPVSLPFKRPQHTNMSSVSREAGNCNPENNDIVESGNLSSTLEKLYLWEQKLYKEVKDEEKLRIIYDKKCKHLKALHARGAEPSEINMTQVLVRKLHTKISVIIKSVDSISNRMHKIRDEELQPQLTELIQGFIRMWKTVLICHQKQLQAIVNTTSRKLVVKTRSQKESIAKATKELELELVNWYQCFNNWIGIQKSYIEALNGWLISWLPQEQEQTPDGVAPFSPGGIGAPAVYVLSNDWFHANKNISGKEVIKSMRAFIKILHILLESQEEEQRQRLEAEYLSGAYDRRLGSLQEKGRYGHLDIVSVTKDGLEHHDDGIVELDLLRKKLDEKIYKHKEALRRIKHVASSALQTGLTPLFGALEDFTSQILRAYDGLRIPNHGGET >Ma09_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5375668:5384472:1 gene:Ma09_g08170 transcript:Ma09_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSSKVDESKLVSLCRERRERIATAVDRRYALAAAHAAYFDSLAAVGDALQRFVQEELTVASSSPTGSPVLTLPSSDGKRKTKRGRGSASAAASVSSSASPLSHSLSRNGSHLPLSDISESETDPDGGDGGASEAGGGRGAAVAGDGGRDSSTSPRRHISSSSNSSFLKSSMEIPQTVYQDPLASPWSNSPYNGDAYGFGYPPYDAPFGFKTPARDETYGPPTPTLAPATPPPPSPAKTSGWEFLDPFNMYEHFLHHYSQGKLRMGSFTSSPDLREVRKQEGIPDLEFEMDVKPMKEAKKENVLAKKVNLKAKKENVLDNGLEGKDFSVEKLSAVPAEDVGRKDAKDGIVGLAKEGRKNSWASSKGRSIREDKKSNGKDRVMKFYDKSYETEESAPQSVKSLSTTSSEQSFFLHATRDVVDVVKEIKKQFTSAADCGEEVSKMLEGDRFPYPSRSKRFGDISSRILDPITLPVSLPFKRPQHTNMSSVSREAGNCNPENNDIVESGNLSSTLEKLYLWEQKLYKEVKDEEKLRIIYDKKCKHLKALHARGAEPSEINMTQVLVRKLHTKISVIIKSVDSISNRMHKIRDEELQPQLTELIQGFIRMWKTVLICHQKQLQAIVNTTSRKLVVKTRSQKESIAKATKELELELVNWYQCFNNWIGIQKSYIEALNGWLISWLPQEQEQTPDGVAPFSPGGIGAPAVRRNNANDLRQSIYQELTIEG >Ma08_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25108440:25109409:-1 gene:Ma08_g17390 transcript:Ma08_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDITPHFDSQHPSWRFSHMNSASNIQRFDIGPQNDNSMLLPACVNPYGCVFSVNAAKQFPGVCTNKSLQKISSLIPPLTPSQRPPEFDVLEKRYLAFDQLRDGRSYIFSSSSIPYPFFNSVDLGFGLQGSTDTNVSNGHGAEEMHEDTEEINALLYSDSDEDHDDEEASTGHSPVGVTGRSLSEVASSMLPAKRRRVNADEFDASLVDTASSQVLHCPDVPTDHRNKGDGIHSESSCVKGGDHNQNGDDVRIKRARIQETVSILRRIIPGGNGKDTATVLDVAISYLKSLKLKAKTLNASP >Ma08_p17390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25108440:25109375:-1 gene:Ma08_g17390 transcript:Ma08_t17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASNIQRFDIGPQNDNSMLLPACVNPYGCVFSVNAAKQFPGVCTNKSLQKISSLIPPLTPSQRPPEFDVLEKRYLAFDQLRDGRSYIFSSSSIPYPFFNSVDLGFGLQGSTDTNVSNGHGAEEMHEDTEEINALLYSDSDEDHDDEEASTGHSPVGVTGRSLSEVASSMLPAKRRRVNADEFDASLVDTASSQVLHCPDVPTDHRNKGDGIHSESSCVKGGDHNQNGDDVRIKRARIQETVSILRRIIPGGNGKDTATVLDVAISYLKSLKLKAKTLNASP >Ma07_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6776198:6778467:-1 gene:Ma07_g09040 transcript:Ma07_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 711A1 [Source:Projected from Arabidopsis thaliana (AT2G26170) UniProtKB/Swiss-Prot;Acc:B9DFU2] MEDRVLEIVESVESLVRSCAPFFLASMALLLGFLVYFYAPYWRVRRVPGPPTTFPLGHIPFLAKHGPDILRVFAKNYGPIFRFHLGRQPLVIVADAELCRKVGIKNFKDIRNRSSPSPATGSPLLQNGLFLLRDSRWTSTRNIITSLYQPAHLASLIPTMHHYATSFCHTISTIQRNREDVPFSELSLRLAIDIIGKTAFGIEFGLLNDDDDDDDGSCFLRQHTYAISSLKMDLSGSLSTALGLIAPVLQNPCREIFKRIPGAADYKLHQMNQQLCDRIDAIIAKRSSEMTRESKDFLAALLNSRQTRLAENLLTDSYVRALVYEHLIAGTKTTAFTLAMTVYLVSRHPDVEKKLVDEIDRFGPRDLIPTFDDLHSKFPYLDQVIKESMRMYTVSPLVARETSQQVEIGGYVLPKGTWVWLALGVVAKDSKQFPAPDVFRPERFDPARDEEKRRHPYAHIPFGIGPRACIAQKFAIQEVKLALIQLYRHYVFRRSPEMELPPEFQYGLILSFKRDIMLRAIKRAND >Ma05_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4964437:4967178:-1 gene:Ma05_g06740 transcript:Ma05_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNIECISVSDATVVDDEEVAHVPHPFLKPHGDGSGTVAGGGRSPVMSPATRVHELLECPVCTNSMYPPIHQCHNGHTLCSACKSRVHNRCPTCRQELGDIRCLALEKVAESLELPCRYFSLGCPEIFPYYSKLQHEAWCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHIGSTFNHRYVKSNPREVENATWMLTVFHCYGQYFCLHFEAFQLGVAPVYMTFLRFMGDETEARHYSYSLEVGSSGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNTDPAACIPNPCSS >Ma06_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3183367:3186345:1 gene:Ma06_g04400 transcript:Ma06_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAGGPRRPNFPLQLLEKKEEDSCSSSGYPSLAISSGHTGGGGGGCSHDPAANPARKLPPKRSSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSISAPSHLRAANYFNTAATALAGQPLSRLHNEWDFSGGPGFSSEGPSSASSASMLLNFNSGSIGLEGSSDADIGSIRKRRWESEIHHQQQQAQHQMAGYSQASHGGRMPGTVWMVTNPNTQGMLGGGRSGSGGESIWTFPQLGGSTSPTMFRGSLSSGLHFMNFPTPMALLPSQQLGLGSSTGGGEGHMGILAALNAYRPPPSTSEAAPSQSQQALGGSQRHDTLNTSDS >Ma02_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28916599:28917592:1 gene:Ma02_g24220 transcript:Ma02_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSSKRRQIGELAVMEATKPMGARTRTARRLALAALDGRRSSKKRKATGEYHQISNLELRSCSVVLKPRIARSAANSGGRRNSSPELSLISRSSSDASCEAAETPFRSSELGQELDDATFFRCNGEREETAASSNDGQATSDLESTVEMGSRRRSTAIATPSVAELEEFFAGAERDLRRRFVERYNFDVVKDVPLAGRYEWIPLTP >Ma02_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11935509:11944273:-1 gene:Ma02_g01780 transcript:Ma02_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGFWIRETGQAIDRLGCRLQGNYLFQEQLSRHRTLMNIFDKVPNVHKGAFVAPGASVIGDVQVGQGSSIWYGCVLRGDVNSIHVGSSTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTIGHSVVLHGCTVEDEAFVGMGAVLLDGVVVEKHGMVAAGALVRQNTRIPCGEVWGGNPARFLRKLTEEEVAFISQSATNYTNLAQVHAVENAKSFDEIEFEKVLHKKFARRDEEYDSMLGVVREVPPELILPDNVLPDKSPKPSH >Ma06_p37880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36811303:36822114:-1 gene:Ma06_g37880 transcript:Ma06_t37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62940) UniProtKB/Swiss-Prot;Acc:Q9LQ12] MGDTCIDGEEQFIFRSKYSSVSIPEHVTLPEFVLGDAEAYADKVAVVEASTGKSYTYREVVRETSRFAKALRLLGLRKGNVVVVALPNIALYPVVALGVMAAGGVFSGVNPLAMPAEIAKQVENSEAKFIVADKLAHDKVKDLGVPVILTGGDQVVGAVAWDDLLQATDRAGTSHHGEKVSPGDLCALPYSSGTTGCSKGVMLTHRNLVANLCSTLFCCGEEMVGQVTTLGLVPLFHIYGITGICCATLRSKGKVVAMDRFELRAFLHALIAHEVDFAPIVPAIMLAMVKSPITNEFNLDKLKLKSVMTAAAPLAPELRAAFEAKFPGVQVQEAYGLTEHSCITLTHGDPRKGHGIAKKNSVGFILPNIEVKFVDPNTGRSLPKNTPGEVCVRSQCVMKGYYKNKEETERTLDQEGWLHTGDIGYIDDDGDLFIVDRMKELIKYKGFQVAPAELEAILVCHPSVEDAAVFSLPDEEAGEIPAACVVMGRDAKESEDDIMGYVASSVSTYKRVRMLNFVDSIPKSASGKIMRRVLRDNMGTGTKPNLCDMIRAQVHRGEASLQTIFRV >Ma04_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4816528:4817237:-1 gene:Ma04_g06590 transcript:Ma04_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQARPSLRVAAAGRRMTLSEGWDMSGSTPGAFRMLRFEELDSTNMVLRQRIVFLGSQVDTVTAD >Ma06_p34050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34453547:34456806:-1 gene:Ma06_g34050 transcript:Ma06_t34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVWLSLWAVGVCGLLLIYFYSVAWLRPESIRNKLRQQGITGPPSSFLYGNSLEMKKLVMAERSRGGRGVEIKHDYTPLVFPYFERWRKHYGPVFSYSMGNVVALHVSHPDLVKEISLCSSLDLGKATYLKKTHEPLFGEGILKSNGVSWSHQRKIIAPEFFSDKVKGMVDLMVDSAVPVLKSWEETVELGGGTAEIKVDEDLRCYSADVISRTCFGSNYIRGKEIFQKLRALQKAVSRPNLFAEITGLRCLPTKRGREVRRLNKEVNSLILKTVKEEGEGRENRTSQHSLLHAILRSANNSLTYTHASDSFIVDNCKNIYFAGHEATAVATTWCLMLLALHPEWQARARAEAAEVCGGRSLDAHALQKMKTLTMVIQETLRLYPPGAFVAREALQEMEFGGIHIPKGVNIYVPVSTLHHDATIWGADVLEFKPERFARGIMGACQLPQTYLPFGAGPRTCLGQNFAMIELKVILSLILLRFSFSLSPNYFHSPSLRLIVEPEFGVKLMVKKA >Ma07_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31906880:31908279:1 gene:Ma07_g24600 transcript:Ma07_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLVSSIGGKEAALLQSQTHRLRPTPFSHLLLPRVPHGRQLPPPAASFFPTLAIFKPKTKAPAKKQVAKPKPKRKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASILGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDPPTGLEGAVIPPGKGFRSALGLKEGGPLFGFTKANELFVGRLAQLGIAFSIIGEIVTGKGALAQLNIETGVPISDIEPLVLFNVVFFFFAALNPGTGKFVTDVEEEE >Ma05_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9588607:9589164:-1 gene:Ma05_g13240 transcript:Ma05_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLASLAITLHVCADSCFRYSLTEPPCTASGYLRGKSHSCNTENYSECCKDGEMYPQYQCSPPVTSSTPAQMMIVSFAINGDGGGPGACDGQYHNDTEMAVALSTGWFDGGSRCNRNIRISANGRSLLAKVVDECSSVEGCEAEQNYTPPCPNNVVNASPAVWDALGIPELQRGDYNITWSDA >Ma02_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17150117:17153727:-1 gene:Ma02_g06090 transcript:Ma02_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEIIEFGVDGGPAAKVVAPKYDTFVNHVTLHLGVKVPEVEMKHVIAATIVLKGLGGLLFIFSSSFGAYLLLLHLAVVTPIVFDFYNYDIQKPEFVELFFKFTQNLALFGALLFFLGMKNSIPKRQSKRKAAPKTKTV >Ma03_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25825484:25826432:-1 gene:Ma03_g20680 transcript:Ma03_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYYCSFPGYSIKQTTVPFLPHALLLSPSPSHALISFCFSLPPSMAALFPGSGNNNNSTDKLDADALSCSSAAVTGSAGDNTTYVHADPANFRALVQRLTGPAGNYSSVHKLPVASPAAARNVGSKRQKLQERRRASTKLEIDIGHSLYRASSTTRCYRPHHHHCSNTTVSRRNGDEVGLLLSPISTIDSCLLASSASPTTATKDEEEDRAIAEKGFYLHPSPGSYNGDPPKLLPLFPLHSPKNSVSSSE >Ma07_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10385443:10386188:-1 gene:Ma07_g13840 transcript:Ma07_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGADPLHPQTQSPTTRRGGRTIGGMSTQALIESQREGAEVSYGADICKEQSMSLLEGIHLPKGLLPLAAIEEVGYNRATGFVWLKQKKSTTHVFKAIGKTVSYAQEVTAFVEDRRMKRMTGVKSREFLIWISVSDMYIDDPESKRITFKTPAGIGRSFPVSAFQAEEEEEEKPEAKEGDGK >Ma05_p27650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38849517:38856229:-1 gene:Ma05_g27650 transcript:Ma05_t27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEGVVVSDPSLHNQFTQVELRSLKSQFLSMRTSSGGGDGGPLTVRDLSVAMSKLKIAGDGLSEDERAVLLGESFPDLDHQVDFELFLRVYLKMQGRVGAKNSSSAFLKAATTTLLHTISESEKSSYVSHINSYLGEDPFLKKYLPIDPMTNDLFEISKDGVLLCKLINIAAPGTIDERAINTKRELNPWEKNENHTLCLHSAKAIGCTLVNIGTQDLAEGRPHLVLGLISQIIKIQLLADVNLKKTPQLVELIGDSKDVEELMSLSPEKILLRWMNFQLKKGGFRRLITNFSSDVKDGEAYACLLNVLAPEYSRKPYPSTVKELLERAKLVLEHADRMGCKRYLTPKDIVEGSPNLNLAFVAHIFQKRNGLSSQMKQITFLEANSDDPQVSREERAFRLWINSLGIPTYINNVFEDLRTGWVLLEALDKVAPGIVCWKSANKPPIKMPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSREKEIKDVDILNWANDRVKNSGRHSRMDSFKDRSLSSGIFFLDLLSAVEPRVVNWSLVTKGERDEEKKMNASYIISVARKLGCSVFLLPEDILEVNQKMMLTLTASIMYWCLKRPITEDRTASSDGENGSSSETTSTSALDDTASESSLDDSGGR >Ma08_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6978732:7017050:-1 gene:Ma08_g09610 transcript:Ma08_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSWGLAEGELSAAANGRSADWRTQLQPKARQMIVNKILETLKRHLPNSGPEDLNQLRNIAARFEERIFTEADNQSEYLRKISLKLLSMESKTQHSASINTSVSNNNNQNSTDPGTLFTGSDDSDETSGHEILKRHLPFPEPVDSNILQNVAARLEEAIFNAAHNQSEYLRKISMKMLSVVSETQHSASINTSVSNNNNQNPTDPGTLFTGSDDSDETSGWSLAEGELSTAASGSSADWRTQLQPKARHMIVNQM >Ma04_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7208466:7209775:-1 gene:Ma04_g10050 transcript:Ma04_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MCP2f [Source:Projected from Arabidopsis thaliana (AT5G04200) UniProtKB/TrEMBL;Acc:A0A178U6S6] MEAGKKRLATLVGCNYAHTRHELSGCINDVHAMRDVLVSRFGFAPDDVVLLTDAPGSVVLPTGANIRGALAAMIGRAELGDVLFFHYSGHGTLIPPVRPHHGWHKPDEAIVPCDFNLITDVDFRQLVDRVPRGASFTIVSDSCHSGGLIDKEKEQIGPSTIAAGSSAAVRKARAMPFESVLSHLASLSGIDSLHVGDHLVELFGAEASARFSNGGKHPMPVSLGHDDGILLSGCQANETSADMSPFEAGGKAYGAFTNAVQVVLFEKEGAVSNKELVMGVRKVLREQGLSQHPCLYCSDENADAPFLWPPPHHQQLRACM >Ma11_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23764929:23770219:-1 gene:Ma11_g18730 transcript:Ma11_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLSPFLLSNAQWPSVLATVSNKTFCKLPCIHVSNWHMREHQRKSHASTFIQSSATVHGCEFDESDEKEEIKLKWMNVGPDLTEDQKKAISQLPLKMSNRCKALMKRLICFSPDADDLSLLLAAWVKVMKPRRADWLAILKEIKRMENPLLFEVMEYALLENSFEANVRDYTKLIDLYAKQNLLENAENSFQAMIKRGFPCDQVTLTVLIHMYSKAGHLDRAKEAFEEIKLLGLPLDKRAYGSMLMAYIRAEMLEHAERLMKEMEEQEFYASKEVYKALLRAYSTNGNADGAQRVFNSIQFAGIVPDSRLCALLINAYCMAGQSSKAHSVLENMRIAGLEPSDRCIALMLGAYERENNLDAALSFLIELEHNGVLIADEASQVLVGWFRRLGVVGEVQQVLREFVVEGAGSFTPYCKTK >Ma03_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2847092:2848312:-1 gene:Ma03_g04330 transcript:Ma03_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLECFSNFLGSGRRHKKRKQFQTVELKVRMDCEGCELKVRNALSTMRGVQSVDINRKQYKVTVTGYVEPHKVIKRVQSTGKKAELWPYVPYNLVAHPYVAPTYDKKAPPGYVRNMEVIAVSSQVVRPEDQLTSLFSDDNPNACSIM >Ma04_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4737137:4738569:1 gene:Ma04_g06410 transcript:Ma04_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLEQKIRKGLWSPEEDEKLYNHIIRYGVGYTYFLLAGLQRCGKSCRLRWINYLRPDLKRGNFSQQEEDLIISLHEIMGNRWSQIASQLPGRTDNEIKNYWNSCLKKKLRQRGIDPSTHKPLCETETEAQEASRTHLEQLPLQPVFDPFPLIETETCLDSVENNVNIYNQFHQSFESSLAQTECYANSGLREYSSVLDVYGDSSSNSSNWNCNTGAEMKDVVGDEALNWVAQSEGEAPPHVHMNGGEAHEHKFSPWQEKTNAESSEDYSTYSMRFLSCDVAETCFDIHRGALASEFNVDFF >Ma06_p27960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29798883:29801543:1 gene:Ma06_g27960 transcript:Ma06_t27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGLTGFTGDSISPLGAVTLPITLGVPPRSKTVMSTFLVVDLPTAYNAILGRPTLNKIRAVVSTYHQTVKFSTHAEAGEVWGSPRETKQCYLTTVSLHKRARTEQPPGDPREMKKPTPHPEPTAPTCDVPLMKDRLDRTIRIGSELPEQEREQLVGFLQENADVFAWSPSDMTGVDLKTVGKSWDCYPLPRIDQFVDATAGHARLSFMDAFSGYNQIRMAPEDQEDTAFLTDRGAYFYKVMSFGLKNTGATYQRIVNKMFAQQIGLNIEVYVDDMIMKSRATADHLTDLAETFATLRRYGLRLNHDVVCVPPG >Ma09_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11935649:11944496:1 gene:Ma09_g16560 transcript:Ma09_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLSPACGDGIVVDDLGGVRAYTAGSPNSKIAVLLISDVYGFEAPNLRKIADKIATSGFFVVVPDLLYDDPYSADNPERPISIWIQSHSPDKGCQDAKPIIAALKNKGISAVGAAGFCWGGKVVFELAKSSEIEAAVLCHPSFVNVDDVKGIPSFKNWSNSCFLNMFLLLRVETICFHHLPGVKCPISILGAENDHISPPELLKQFERALSLTSEERHIVKIFPGVAHGWTVRHKADDAAAVKRAQEAHQDMLNWFIQHVKKEHVN >Ma09_p16560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11935649:11944496:1 gene:Ma09_g16560 transcript:Ma09_t16560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLSPACGDGIVVDDLGGVRAYTAGSPNSKIAVLLISDVYGFEAPNLRKIADKIATSGFFVVVPDLLYDDPYSADNPERPISIWIQSHSPDKGCQDAKPIIAALKNKGISAVGAAGFCWGGKVVFELAKSSEIEAAVLCHPSFVNVDDVKGVKCPISILGAENDHISPPELLKQFERALSLTSEERHIVKIFPGVAHGWTVRHKADDAAAVKRAQEAHQDMLNWFIQHVKKEHVN >Ma08_p29340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40972226:40975146:-1 gene:Ma08_g29340 transcript:Ma08_t29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLISGYRLLPHVFATFSVIYLIGYFVVFRNWSPKCRLDASSCFISLFHGTPAVFLAVAAILNQPVRGFASPNTDFQNLVLDFSIGYFTVDLLHYLIFIPGDYLFIAHHLATLFVFVTCRYLVAHGAFSILVLLVLAEVTSACQNVWTLARLRKAELSVAAKIHKNLAPPFYVLYTIMRGFIGPVFFYKMSTFYLSGKASNVIPIWVSVSWVIVVGGAILVSIMWISNLWLELFREENGEKKDR >Ma06_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8512506:8518490:1 gene:Ma06_g12250 transcript:Ma06_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTAAASSSSAMAIAVPHRGRLRRLSLSPPPDSIGVRRKLQTVVKASARVERYSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTNLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQVKSLGAKAGIVLNPATPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISELRRLCAEKGVNPWIEVDGGVGPSNAYKVIEAGANAIVAGSAVFGAKNYAEAIKGIKTSKRPTAVAV >Ma11_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19553262:19554149:-1 gene:Ma11_g14280 transcript:Ma11_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLADDFSPPSSSSPSSSSSSAASLFKKPSSFLRSFSYHHRHPASKTSYKRFADEPKRPKVILYSTSLRGVRRTFADCCAVRAILRGFHVAVDERDVSMDVSYRCELQRLLGKGPPFSLPQVFIGNRWLGGADEVRQWHDAGELGRMLEGVVAQDPAFVCGGCGGVRFVLCSTCHGSRKVFVQEEGSVRRCDACNENGLLRCPHCCS >Ma01_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7325012:7331098:-1 gene:Ma01_g10190 transcript:Ma01_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSMDSPSRSFRSYSVSSSEDEGRCAAVTCLIRKVTVATGTANAISTSKVTPFPLMATGQGMAGTPRLLRSRAVSRDLVRDWNFDQLHVEG >Ma11_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27659275:27661800:1 gene:Ma11_g24850 transcript:Ma11_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETGGRSKKRLLLVLAAISLQSLLGLADDPSSSNVVKDETRPSSSSKMDREVFFICLVVAGVVLCSVLLFKLWQKKKREEQHARLLRLFEEDDELELELGLRD >Ma02_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13762781:13764326:1 gene:Ma02_g02510 transcript:Ma02_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNTTICLAIFLLSFVNFSSPANSQSDSCSSQLSVSNLIPFDTASFHCFSAWNSEGFILRYAQSGQNLWNFVLSAPDAGSYISIGFSSDGRMDGSSAVAGWITSNGPGIVKRYYLGGKSSRQCPPDQGSLQLVANNSLIVSQSSRLYLAFQLSTSQPAPHLIYAVGPSNSIPSSDYYLSTHQNQASASINYATGVASNAGSRGFPARRWHGLLTMLGWGVLMPVGVIMARYFKHLDPLWFYSHISIQGIGFVLGLVGIIAGFNLDDNLPNADTHQAIGICILICGSLQVMAFLIRPDKSSKIRRYWNWYHHYVGRAAIALAVANIFLGLSVAHEDRSWTVGYVIFLVVWVIASLLLELKRRMKKDDL >Ma01_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11566889:11569738:1 gene:Ma01_g15860 transcript:Ma01_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWQELGVVDTIYEDDQEEEEEEEEAEDESFSSSLVPSVIHSPSPPPPPRYFLASPLSLQSWVEKWTEATGSKADVVVRVHDQCFHLHRHPLVTNSGYLKRYLTENSDVTVSPPSSMTAATFEEVARFCYGGDVALTPSNLAPLRAAAEWLEMGADSGLVRRAEGYFFREVAADAGIAAEVLRSCAGLLGGPDAEAAAAAGVAAGCVEVLAASGDEEWLDDMAALSAEELGRIATAMRARFADDHDLLYRVVDYYLHNHEGKLTEEETSRICCNVNCAKLSHDILVHLVQNPRLPLRFVVQAMLIEQLHTRSCILDRATPSPAGPAPINRSHGDGQLTLGAILQRDATRRQSAHIRTAMEATSLRIENLERDLAGLRQRLRWSEEKQPEMDSLKSASFRVVRPRGSELEEEPAVAEASCDRQPARSGGGLGRMLVCGFKNMFRKSDRVKESNGSSLGQSGGGMALVVKKARPGHRRNRSLD >Ma05_p31670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41503363:41511917:-1 gene:Ma05_g31670 transcript:Ma05_t31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGQDPVEAILHAFRDALSPLESGFLRAAKDLESHWLNSTDRSNNVESPKRCHGSMKRKSGHDEVATVVTSEERKRSPIQGLLGALFPNASRVGSVRGRGGNSSKTGRDEEEKNGSCVDCSPFAVTWSIMLNSFVQAFPRPLKSFRKCFGDQCHDDDFVSEPWHGKPSERAPYKIVFWDKSKKTSSADREMLPLELILCIALESLVQNLQMLNLPCQGSSPQICNQPVASKSSGAPQFEHLKMINGLINGKKADFDGFLSNLSFARVGGAPANFVEDTPSAKAEDENHANSGDKEDTASSPPQNIASGLLNIPLSNVERLKSTLSTVSLTELIEFIPQLGRSATDYPDKKKLFSVQDFFRYAEVEGRRFFEELDRDGDGQLNLEDLEIAMRKRNLPRRYAKDFLRRTRSYLFAKSIGWKQFLSLMEQKEPKILRAYTTLCLSKSGTLQKNQILTSLRSAGLPASEDNAIAMMRSLNVDSEGSISYSHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPVEISTGNVLKSALAGGLACALSTSIMHPIDTMKTRVQASTLSFPELVSKLPEIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLQELQVQSMASFCSTILGTAVRIPCEVLKQRLQAGIFDNVGEAIVGTLHQDGLKGFFRGTGATLCREVPFYVAGMCLYAESKKVAQNLLNRDLAPWETVAVGALSGGLAAVVTTPFDVMKTRMMTAPQGLPVSMQMVAFSILRKEGPLGLFKGAVPRFFWIAPLGAMNFAGYELAKKAMDKTEHVPE >Ma01_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7075312:7085927:-1 gene:Ma01_g09870 transcript:Ma01_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISRPACYEQEIATSDKIHPGTNSTMRNVAEKFCKRGFLEQVHRVPKMPSSPAKRVVHSEEFSDHLGEEIADNACSSVNAINTLHQEKSSFHMSDDTHIAKLQRVSVEHAFTNSPMPKVQDNALAKNFVRTDPSYLRTLSQTHAGWIFGAIAELVDNSRDAKASRLDIFVEYLYFKKSGDKIPVLSIVDDGHGMSHTEIMRMLSFGHKQPEEDPDQIGRFGIGFKTGSMKLGRDVLVLTQTSSSRSVALLSQCYNENKEILEIPIITYSKQGRYMEVDLCIQSEECAASNLNAVKEFSPFNEYFIGEKFGPFGETGTGTQIYIWNLDEWGSNYILEWDNANSSGNTQQSRGDILIRSRRVRSRPGQISQKVPLDYSLQAYLEVIFFNPRMKIYVQGSLVKSQPLAKCLNKTVQVLGQIMDREVLLTLGRSKVEWERMNCGMFLYWHGRLIEAYKRVGGQVHNADMGRGVIGVIDVTNLMDGGNGGALVLNNKQGFQDSEVYAKLEEWLGVKADEYWDKNFDILDVRKVDERYKPDHDWVQCNKCRKWRILSTDFDCESLPPEWFCYMPPFNGKCEIPEQQVSRGVITIAAKRSHHEVKQNVKQHEGETPKKNKNSSFTKNNSKQHPDGTALKVKRSSEDGSEYYSSQTEDDVPRHNLKRLRRGPSRSKRRNISG >Ma01_p09870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7075302:7092224:-1 gene:Ma01_g09870 transcript:Ma01_t09870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNELPGNDEGPIQYVMLTKDNKPICRTKSSNLPFDTPNLWPITKFRPSKPFRQSEMPRCRLYPEPEDSHQSREWKTFLQFLWKNEKVAVVNSECCDFYLLPSDDWPESTHAVVPYQMRISRPACYEQEIATSDKIHPGTNSTMRNVAEKFCKRGFLEQVHRVPKMPSSPAKRVVHSEEFSDHLGEEIADNACSSVNAINTLHQEKSSFHMSDDTHIAKLQRVSVEHAFTNSPMPKVQDNALAKNFVRTDPSYLRTLSQTHAGWIFGAIAELVDNSRDAKASRLDIFVEYLYFKKSGDKIPVLSIVDDGHGMSHTEIMRMLSFGHKQPEEDPDQIGRFGIGFKTGSMKLGRDVLVLTQTSSSRSVALLSQCYNENKEILEIPIITYSKQGRYMEVDLCIQSEECAASNLNAVKEFSPFNEYFIGEKFGPFGETGTGTQIYIWNLDEWGSNYILEWDNANSSGNTQQSRGDILIRSRRVRSRPGQISQKVPLDYSLQAYLEVIFFNPRMKIYVQGSLVKSQPLAKCLNKTVQVLGQIMDREVLLTLGRSKVEWERMNCGMFLYWHGRLIEAYKRVGGQVHNADMGRGVIGVIDVTNLMDGGNGGALVLNNKQGFQDSEVYAKLEEWLGVKADEYWDKNFDILDVRKVDERYKPDHDWVQCNKCRKWRILSTDFDCESLPPEWFCYMPPFNGKCEIPEQQVSRGVITIAAKRSHHEVKQNVKQHEGETPKKNKNSSFTKNNSKQHPDGTALKVKRSSEDGSEYYSSQTEDDVPRHNLKRLRRGPSRSKRRNISG >Ma01_p09870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7075312:7092224:-1 gene:Ma01_g09870 transcript:Ma01_t09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNELPGNDEGPIQYVMLTKDNKPICRTKSSNLPFDTPNLWPITKFRPSKPFRQSEMPRCRLYPEPEDSHQSREWKTFLQFLWKNEKVAVVNSECCDFYLLPSDDWPESTHAVVPYQMRISRPACYEQEIATSDKIHPGTNSTMRNVAEKFCKRGFLEQVHRVPKMPSSPAKRVVHSEEFSDHLGEEIADNACSSVNAINTLHQEKSSFHMSDDTHIAKLQRVSVEHAFTNSPMPKVQDNALAKNFVRTDPSYLRTLSQTHAGWIFGAIAELVDNSRDAKASRLDIFVEYLYFKKSGDKIPVLSIVDDGHGMSHTEIMRMLSFGHKQPEEDPDQIGRFGIGFKTGSMKLGRDVLVLTQTSSSRSVALLSQCYNENKEILEIPIITYSKQGRYMEVDLCIQSEECAASNLNAVKEFSPFNEYFIGEKFGPFGETGTGTQIYIWNLDEWGSNYILEWDNANSSGNTQQSRGDILIRSRRVRSRPGQISQKVPLDYSLQAYLEVIFFNPRMKIYVQGSLVKSQPLAKCLNKTVQVLGQIMDREVLLTLGRSKVEWERMNCGMFLYWHGRLIEAYKRVGGQVHNADMGRGVIGVIDVTNLMDGGNGGALVLNNKQGFQDSEVYAKLEEWLGVKADEYWDKNFDILDVRKVDERYKPDHDWVQCNKCRKWRILSTDFDCESLPPEWFCYMPPFNGKCEIPEQQVSRGVITIAAKRSHHEVKQNVKQHEGETPKKNKNSSFTKNNSKQHPDGTALKVKRSSEDGSEYYSSQTEDDVPRHNLKRLRRGPSRSKRRNISG >Ma11_p14040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19261686:19267096:1 gene:Ma11_g14040 transcript:Ma11_t14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFGPIEQVLWSVSVFSGVIMCKVVYDLTRKISTVHFKGYDKLSRLQRIEWNNRGFSTFHAIVVAAVSLYLLVVSDLFKSGTQEELVINRKSLLSDTIFGISLGYFLSDLAMILWHFPSLGGKEYVLHHGLSMFSIFLSLVSGKAHMYILMILLTETTTPFVNLRWYLDHAGQKSSNLYLYNGVALFLGWMAARILLFIYFFMHIYLHFHQVKTIFPLGLYTLLTVSPILTLMNLFWFWKILKGMLKTLSKKRHVH >Ma11_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19261680:19267096:1 gene:Ma11_g14040 transcript:Ma11_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFGPIEQVLWSVSVFSGVIMCKVVYDLTRKISTVHFKGYDKLSRLQRIEWNNRGFSTFHAIVVAAVSLYLLVVSDLFKSGTQEELVINRKSLLSDTIFGISLGYFLSDLAMILWHFPSLGGKEYVLHHGLSMFSIFLSLVSGKAHMYILMILLTETTTPFVNLRWYLDHAGQKSSNLYLYNGVALFLGWMAARILLFIYFFMHIYLHFHQVKTIFPLGLYTLLTVSPILTLMNLFWFWKILKGMLKTLSKKRHVH >Ma02_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21828215:21830709:-1 gene:Ma02_g13440 transcript:Ma02_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRALLRSSIDAIKLALAWNVDHPMAPSERFVFNFNSKEELKRWHLYSDSVYGGCINSSICSYLNLVNVFIVLKNFEVSFLIGGMANFCDFTTCTCLFFFPEACCSMVINQCRIHDFLYTENWVNSPEQQEDNSMQAFCLHSQGSLAYCEGNLQIPLDRYLLTWIGIVISTMLE >Ma10_p22430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31822456:31824371:-1 gene:Ma10_g22430 transcript:Ma10_t22430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRSWMDVLPCSTNRFVRQENGGRWTQEENKRFEDALAKFDGDTPDRWEKVAALIPGKTVPDVLSHYRELVDDVSEIEAGRVPCPVYYGTSSFTLDWENSYDSEGWKNTYCAGGGGGGGKRSGARASHHERKKGIPWTEEEHRLFLLGLDKCGKGDWRNISRNFVMTRTPTQVASHAQKYFIRLNSGSKDKRRTSIHDITTVDLPDNKPPSPSSQPSTVTTQSSLAMTPSLSGQLSAILDSDQLGEVASVFNPSSHGNKLMQRHFGISQHRMKLQPQMLPSLMDEVSLNVTSHR >Ma10_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31822456:31824371:-1 gene:Ma10_g22430 transcript:Ma10_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRSWMDVLPCSTNRFVRQENGGRWTQEENKRFEDALAKFDGDTPDRWEKVAALIPGKTVPDVLSHYRELVDDVSEIEAGRVPCPVYYGTSSFTLDWENSYDSEGWKNTYCAGGGGGGGKRSGARASHHERKKGIPWTEEEHRLFLLGLDKCGKGDWRNISRNFVMTRTPTQVASHAQKYFIRLNSGSKDKRRTSIHDITTVDLPDNKPPSPSSQPSTVTTQSSLAMTPSLSGQLSAILDSDQLGEVASVFNPSSHGNKLMQRHFGISQHRMKLQPQMLPSLMDEVSLNVTSHR >Ma10_p22430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31822456:31824371:-1 gene:Ma10_g22430 transcript:Ma10_t22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRSWMDVLPCSTNRFVRQENGGRWTQEENKRFEDALAKFDGDTPDRWEKVAALIPGKTVPDVLSHYRELVDDVSEIEAGRVPCPVYYGTSSFTLDWENSYDSEGWKNTYCAGGGGGGGKRSGARASHHERKKGIPWTEEEHRLFLLGLDKCGKGDWRNISRNFVMTRTPTQVASHAQKYFIRLNSGSKDKRRTSIHDITTVDLPDNKPPSPSSQPSTVTTQSSLAMTPSLSGQLSAILDSDQLGEVASVFNPSSHGNKLMQRHFGISQHRMKLQPQMLPSLMDEVSLNVTSHR >Ma04_p30930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31415058:31424581:1 gene:Ma04_g30930 transcript:Ma04_t30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERLQIDWKEMLAGRDDGPPPEVEVVAAASGHGEAGPADASELVQFSDHELQERIQRVVKQLSLGIGSRLNDSGAKLRASLRQMQAELDRRKLVRVPKLQGSGRCSKVLQSENIESSGTVKDSSENSTAPKSHPQSSFTSKFLEKLQGKADAASNEDLKVISRGKSRGSEKNELQKDERQHQIATSSQSARLSSRQTHFQCASSIAKKDQHMSLTSKYRDLELSNLPKGKSYSNCKRRALDSEDTNSVAFKWKKVREVVLLDEEEVHTVEPMEDGCDKWKEVKVYYPSRDDPESVELSYADIKCLNPESYLSSTIMNFYIQYLQRPLAVTDRPRGDYHFFNTYFYKKLEEAVSFKVDKACFEKLRRWWKGVNIFQKSYIFIPVHGDMHWSLAVICIPAQEDESGPIVLHLDSLGFHNSQSIFHIIDRFLKEEWNYINQNSSRPDLPFSVNIWRHLSSSIEKKKITVPQQKNEYDCGLFVLYFMERFIEEAPERLKRKDLAMFGSKWFHPEDASGLRKQIRDLLLEVFRCAKRENNKAGSTSSCGSSEDD >Ma04_p32590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32551343:32553000:-1 gene:Ma04_g32590 transcript:Ma04_t32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAVHFAMTKLGDDYCPREIHTIESMPLICQWTLSVLNKAVWKTISAFESYKFSDASTVLYSWWQYQFCDVFIEAVKPYFENLSEFEYAREASRDTLWISLDTGLRLLHPVMPFITEELWQRLPRAEGKKESIMISEYPSVVEAWTNEGIEEDLEIVNAAVRKFRSLRPQCNENRRFPAFALCRGHHITNIMKTYEFEITTLASVSSLKVLVENDMAPAGCAEDIVNKNLTVYLKLEGTLDTETN >Ma04_p27300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28483339:28490959:-1 gene:Ma04_g27300 transcript:Ma04_t27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRKAYGALKDSTMVGLAKVNSEFKELDVAIVKATNHVECPPKERHVRRIFVATAATRPRADVTYCIYALGRRLSKTRNWTVAVKTLIVIHRTLREGDPTFQEELLSCSHRGSILQISNFKDDLGSLAWDCSAWVRTYALYLEERLKCFRILKYDIETERQMKSPQESAKWHSRTRSLCCPDLLEQLPALQQLMFRLIGCQPEGAAFGNFLIQYALALVLKESFKIYCAINDGIINLMDMFFEMPKCDAIKALEIYKRAGRQAEALSEFYEVCKHLELARRFRFPTLRQSPASFLATMEEYIKEAPGIGSVSSKNLEYEDSNPPSYNQDEAPSPENKKPDEEEEEQPPAGEQPPESEPVTEVESQPATTGDLLGLNEINPAAAELEENNALALAIISPGDNTNPSTTGDLLGTDSSGWELALVTAPSSNTSHLVESKLAGGFDMLLLDSLYEDSARRQQMASADSGGLDANPFDPFAMSNSIAPPPSVQMALMAQQQQQYYQQQLQQQYCSPQQQQQFYQPQYPSPQQMGSANPFGDLFVGFSPGATPQGNQYLH >Ma04_p27300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28483339:28490332:-1 gene:Ma04_g27300 transcript:Ma04_t27300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPQESAKWHSRTRSLCCPDLLEQLPALQQLMFRLIGCQPEGAAFGNFLIQYALALVLKESFKIYCAINDGIINLMDMFFEMPKCDAIKALEIYKRAGRQAEALSEFYEVCKHLELARRFRFPTLRQSPASFLATMEEYIKEAPGIGSVSSKNLEYEDSNPPSYNQDEAPSPENKKPDEEEEEQPPAGEQPPESEPVTEVESQPATTGDLLGLNEINPAAAELEENNALALAIISPGDNTNPSTTGDLLGTDSSGWELALVTAPSSNTSHLVESKLAGGFDMLLLDSLYEDSARRQQMASADSGGLDANPFDPFAMSNSIAPPPSVQMALMAQQQQQYYQQQLQQQYCSPQQQQQFYQPQYPSPQQMGSANPFGDLFVGFSPGATPQGNQYLH >Ma04_p27300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28483339:28490959:-1 gene:Ma04_g27300 transcript:Ma04_t27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRKAYGALKDSTMVGLAKVNSEFKELDVAIVKATNHVECPPKERHVRRIFVATAATRPRADVTYCIYALGRRLSKTRNWTVAVKTLIVIHRTLREGDPTFQEELLSCSHRGSILQISNFKDDLGSLAWDCSAWVRTYALYLEERLKCFRILKYDIETERQMKSPQESAKWHSRTRSLCCPDLLEQLPALQQLMFRLIGCQPEGAAFGNFLIQYALALVLKESFKIYCAINDGIINLMDMFFEMPKCDAIKALEIYKRAGRQAEALSEFYEVCKHLELARRFRFPTLRQSPASFLATMEEYIKEAPGIGSVSSKNLEYEDSNPPSYNQDEAPSPENKKPDEEEEEQPPAGEQPPESEPVTEVESQPATTGDLLGLNEINPAAAELEENNALALAIISPGDNTNPSTTGDLLGTDSSGWELALVTAPSSNTSHLVESKLAGGFDMLLLDSLYEDSARRQQMASADSGGLDANPFDPFAMSNSIAPPPSVQMALMAQQQQQYYQQQLQQQYCSPQQQQQFYQPQYPSPQQMGSANPFGDLFVGFSPGATPQGNQYLH >Ma10_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27124859:27126643:1 gene:Ma10_g14790 transcript:Ma10_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSLLKYWRDGGGGATVAAAIRSSISTAAADFPHPVSATSDDGGEDDDEGPFFDLEFTALSVDDGGSDDGSGAESEGELNFELSPVGSGVGRGGECDGIRAEGLSPSDGLFFKGKLVPLEPSSPMIAASQPENKPHVPVVSLLKPAAKFRVFLTRLRRPKPTAAKPDTAVGIASPKQQPQRHQNRFFVKFKAEDVPIISLFARDNSPRNSTTDGVANPPGDTAASVPAAVVAAEEKRYAREVVHKYLNMIKRSRKQGEKPKRPGEPAPPKTGPGAEEPQEAAPAPAAGVAEDKGLPAGLRMVGKRPGKNRPASAAVAAVPSPPPQRRDDSLLEQQDGIQSAIAHCKRSFTAPDKDTQALTHPILDRFTAGDF >Ma05_p20750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32411014:32417001:-1 gene:Ma05_g20750 transcript:Ma05_t20750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFWFAGLLFLARWVHGNEYWNATELEAAYYGAGDGSPPLLVGLTLIQSAAAKGAVCLDGSLPGYHLHRGYGSGANSWVVNLEGGGWCNDIKSCVYRKRSHHGSSYFMEKQLQFTGILSDKPDENPDFYNWNRVKIRYCDGASFLGEGYNKAAGLYFRGQRIWLAAMEELMSNGMHYANQALLSGCSAGGLATIQHCDEFRALFPRNTKVKCLADAGMFLDVVDVAGGHTMRSFFGGVVSLQGAWRNLPRTCTSRMDATSVMFLVTQRYMTKIMGANFLCSSFLDLPLQCFFPQNVIDNIRTPLFLVNTAYDVWQLQQSLAPKTADPHDNWGGCKMNHANCNGYQLQFLLGFRNQMLSAVRGFSMSRKNGLFINSCFAHCQSERQDTWYANNSPQLGYKRIATDVGDWFFDRSKVNAVDCAYPCDNTCHHIVFRGRQ >Ma05_p20750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32411014:32416996:-1 gene:Ma05_g20750 transcript:Ma05_t20750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFWFAGLLFLARWVHGNEYWNATELEAAYYGAGDGSPPLLVGLTLIQSAAAKGAVCLDGSLPGYHLHRGYGSGANSWVVNLEGGGWCNDIKSCVYRKRSHHGSSYFMEKQLQFTGILSDKPDENPDFYNWNRVKIRYCDGASFLGEGYNKAAGLYFRGQRIWLAAMEELMSNGMHYANQALLSGCSAGGLATIQHCDEFRALFPRNTKVKCLADAGMFLDVVDVAGGHTMRSFFGGVVSLQGAWRNLPRTCTSRMDATSCFFPQNVIDNIRTPLFLVNTAYDVWQLQQSLAPKTADPHDNWGGCKMNHANCNGYQLQFLLGFRNQMLSAVRGFSMSRKNGLFINSCFAHCQSERQDTWYANNSPQLGYKRIATDVGDWFFDRSKVNAVDCAYPCDNTCHHIVFRGRQ >Ma05_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32411014:32417001:-1 gene:Ma05_g20750 transcript:Ma05_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFWFAGLLFLARWVHGNEYWNATELEAAYYGAGDGSPPLLVGLTLIQSAAAKGAVCLDGSLPGYHLHRGYGSGANSWVVNLEGGGWCNDIKSCVYRKRSHHGSSYFMEKQLQFTGILSDKPDENPDFYNWNRVKIRYCDGASFLGEGYNKAAGLYFRGQRIWLAAMEELMSNGMHYANQALLSGCSAGGLATIQHCDEFRALFPRNTKVKCLADAGMFLDVVDVAGGHTMRSFFGGVVSLQGAWRNLPRTCTSRMDATSVMFLVTQRYMTKIMGANFLCSSFLDLPLQCFFPQNVIDNIRTPLFLVNTAYDVWQLQQSLAPKTADPHDNWGGCKMNHANCNGYQLQFLLGFRNQMLSAVRGFSMSRKNGLFINSCFAHCQSERQDTWYANNSPQLGYKRIATDVGDWFFDRSKVNAVDCAYPCDNTCHHIVFRGRQ >Ma08_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36883497:36884432:-1 gene:Ma08_g23520 transcript:Ma08_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAQKVVVKVDVHDDKDKKKAMKAVSSLQGIDSISMDMKDMKLTVIGIVDPIAVVGKLRKHWCAAIVSVGPAKEPEKKKEEPKKEEEKKKDPNELTAELIKAYKAYNPCMTTYYCVQSAEENPNACVIM >Ma08_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10665352:10672171:1 gene:Ma08_g13530 transcript:Ma08_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSFAPSGAFYYDPSSLPASLGTPRIGSVEVRALAFRSNPNPALASSPKEAVFLAAFLDRGDGGFAQTRKWSCFAGLDGDNGAGDDGGSGDRAGGGDGGDEGDGEDGSDEAEFGPVMKFEEVIRETEARGASLPPDLLEAAKSIGIRRVLLSRYLDLQGSWWPIGVAIRHCPLLRNRMLADPSFLFKVATEIVIDSCCATFAEVQKRGKDFWTEFELYAADLLVGIVVDIALVGLLAPYVRIGRPSVSASTGFWGSLKRGLEALPSSVFEAERPGCKFTIRQRIGTYFYKGVLYGSVGFVCGIVGQGIANLIMTAKRSVRKSDEDIPVPPLVKSAVLWGVFLAVSSNTRYQIINGLECVVEASPFAKRIPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >Ma07_p28230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34352818:34360346:1 gene:Ma07_g28230 transcript:Ma07_t28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKVNRQVSFAKRRNGLLKKAYELSLLCDVEVALVIFSSRGRLFEFCSSSSVLKTIERYRSCRYNVSEHTLSSNEPQKSMNNYQEYLKLKERVEYLQHSQNNLLGEDLGPLSIHDLQQLENQIEMSLKQIRSTKMQVMFDQLCDLNHKEQALQEANTNLRRQLQEAGSPNLLQLSWPNGDSSAANEPPQAAGFYKSQGEEPPLQTGFNLSCTAPWNNEATEGYGFISRWI >Ma04_p32490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32428351:32430095:1 gene:Ma04_g32490 transcript:Ma04_t32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEASETSVASSSVSANSRWEIHDDFPSTWSAINQWPQSSHRSISSSCDEGLCISNSSSFTNTYLSGERVENQLWNQVLLNVGSGGDMHNHHGDGDNFLQTLTSKGPSRRNQMSNPACDYPKKLDTSFDFPNPLSLNTFEKHLSSYNGSTNQDERTPQLDQHIAPSPWSSPMAPFMVQYSTSRITPVKHELPTSPSYPGNKSVRERSTSYKPPYGRNIEGESDHRDMEAPTAFPPPPSNNGFGYQFGVSSLSDLISFGDCVNRPSTELRPSRSYMRGSDSSYGRIQGHDGSSSREHGKSTGTTEGKKKRSDENSQTQLKKSKHENSTVSSLQAPKVKMTDKITSLQQLVSPFGKTDTASVLFETFNCILVLQKQVQVTL >Ma11_p02730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1991965:1994371:1 gene:Ma11_g02730 transcript:Ma11_t02730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDETCESKIYVGNIDQRVPESNVIKMFSPFGKIISEDFLWHTRGPKRGEPRGYAFIQYSAKEEALLAKTKMNGRFVSGRPLVVRLASEKHLGDMESKFACAETKANDARSSTLGQMNRNAKIAAIRNKLKSLEEQGGCAPKKPRLLTNSLPPGNKDHSLTGYQESD >Ma11_p02730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1991932:1994371:1 gene:Ma11_g02730 transcript:Ma11_t02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDETCESKIYVGNIDQRVPESNVIKMFSPFGKIISEDFLWHTRGPKRGEPRGYAFIQYSAKEEALLAKTKMNGRFVSGRPLVVRLASEKHLGDMESKFACAETKANDARSSTLGQMNRNAKIAAIRNKLKSLEEQGGCAPKKPRLLTNSLPPGNKDHSLTGYQESD >Ma11_p02730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1993462:1994371:1 gene:Ma11_g02730 transcript:Ma11_t02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGGGMTDETCESKIYVGNIDQRVPESNVIKMFSPFGKIISEDFLWHTRGPKRGEPRGYAFIQYSAKEEALLAKTKMNGRFVSGRPLVVRLASEKHLGDMESKFACAETKANDARSSTLGQMNRNAKIAAIRNKLKSLEEQGGCAPKKPRLLTNSLPPGNKDHSLTGYQESD >Ma11_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1991878:1994371:1 gene:Ma11_g02730 transcript:Ma11_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDETCESKIYVGNIDQRVPESNVIKMFSPFGKIISEDFLWHTRGPKRGEPRGYAFIQYSAKEEALLAKTKMNGRFVSGRPLVVRLASEKHLGDMESKFACAETKANDARSSTLGQMNRNAKIAAIRNKLKSLEEQGGCAPKKPRLLTNSLPPGNKDHSLTGYQESD >Ma09_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24167132:24167644:1 gene:Ma09_g19510 transcript:Ma09_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKAYAWAAAAEVTRRGPDPEVTFPAKGAGRSKNDDALVITARIANAQVRRIMIDTGSSADVLYFDAFQKLGLAREALEPMTLALTEFTGNSISPSGAVTLPLTLGVPLRSKTVMSTFLVVDLPTAYNAILGCPTLNKVRAVVSTYHQKGEVPDPCWGRRSLGKPPRV >Ma03_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5482420:5489944:1 gene:Ma03_g07750 transcript:Ma03_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRRRKGPPAPPPPPPSEDHSSASPVASSPKAEVNETEKAKASEKVRKERKGRWSCLDSCCWFVGVICSAWWLLLFSYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLKAKHPVVFVPGIVTGGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRPVTGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRMSFQNTEVRDKMLSRIKSNIELMVSTNGGRKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGPNWCADHIKAVMNIGGPFLGVPKAVAGLFSAEAKDVAVARAIAPGVLDSDFLGLQTLRHVMRMTRTWDSTMSMIPKGGDTIWGGFDWSPEGGYECSPKKHKNNDSHASKDVDGASKHKNNDSHASKDVNGASKDVDGETVKSQSQSVNYGRIVSFGKHVAETHSAKIQQIDFRGAVKGNNVAHSNASCREIWTEYHELGWAGIKAVADYKAYTASSLLDLLHFVAPKMMQRGSQHFSYGIADNLDDPKYMHHKYWSNPLETKLPNAPDMEIYSLYGVGILTERAYVYKLSPSAECYIPFQIDTSANGGHQQNCLQGGVYLADGDETVPVLSAGYMCAKGWRGKTRFNPSGIKTYVREYNHAPPANLLEGRGTQSGAHVDIMGNFALIEDVIRVAAGATGEDLGGGDQVYSDIFKWSEKINLRL >Ma05_p23860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36031911:36034146:1 gene:Ma05_g23860 transcript:Ma05_t23860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKQTTLRSAENLSLPSVQMVVMNASADCNHCRERVSKVVSKMNFICSRTAGLHVRLAQQRGDSDGGGCGHQKLQASQRITAYQDKKISSCSLALFRLICSAVFEVFHNA >Ma05_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36031911:36034146:1 gene:Ma05_g23860 transcript:Ma05_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKQTTLRSAENLSLPSVQMVVMNASADCNHCRERVSKVVSKMNSGLLDYTFDLRNKEVTVTGVVVDTRNCKQAKGSLLTKIKRYLHAHWPSLD >Ma01_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11679861:11681311:-1 gene:Ma01_g16110 transcript:Ma01_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFRLRLWRAFPGFKSCRSKDAAASSPVYRLSRVGSDGFDVELQLADHHRHRHPPLISSRCCRPWRFRSPLASAARKGAEADDLPLARETPGYLWREEERWHVVATTGGGGDVPSSPRRKIDSDDGGAFLRKANRRRGAGLRRRRRREATRRRWGNNSSADEDSGWFSSDEENEERLSECFGRCYGDGSEKEEETLMSSTDVDSCDNLVWRRRAKRSWAAEAAVAVVKRSEDPREDFRQSMAEMVVEKKIFDAAGLEQLLRCFLSLNSSHHHAAIIAAFEDIWAALCSAAGVGAHG >Ma06_p26780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28680005:28680538:-1 gene:Ma06_g26780 transcript:Ma06_t26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSDQRPSILSRVERLDLMLGYLEELRSSRGGAERSPGTSTTSGGGAVTTSDGGNSSVDSSPKSLDHRMCRPIGDVVVETQVKGNLIDRVEHLEIRLLKIELEKKKSEEEKWTRRNGERRTRGRGLRRLITSCVKGDLETKE >Ma09_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11646320:11652013:-1 gene:Ma09_g16290 transcript:Ma09_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPLLDPFIHPAFRDVFETMPFCDKLTFLYVHSLDRLKLWHKLPVFLGLTYLQQRRTLHEKYSLLNVGMPDTTPFNPDDYAYRTDDGEYNDPENSKAGSQNTFFGRNMPPMEQNNHLLSPDPAVVATKLLARRTYKDTGKQFNLIAASWIQFMVHDWMDHLEDTEQVVLHAPPLVAHECPLKSFRFYSTKEVPTGGEAIETGHLNIQTSWWDGSAIYGSEGKKEAKVRTHVDGKLKIGDNGLLQHEVNGIAISGDIRNSWAGVSALQALFVKEHNAVCDALKEEDRDLNDEALFRHARLVTSAVIAKIHTIDWTVELLKTHTMNAAMHTNWYGLLGKKIKDTFGHIGGPALGGLVGLKKPNNHGVPYSLTDEFTSVYRMHSLLPDSLKLRNINTNPGPNKSPEYLKDVKMEELVGITGESTLNEIGFERQVVSMGHQACGALELWNYPFFFRDLIAQNVDGTERSDHVDMPVLEIYRDRERRIPRYNQFRRKLMMIPISKWEDLTDDKEAIETIREIYGDDVEKLDLLVGLMAEKKIKGFAISETAFVIFILMASRRLEADRFFTSYFNEKTYTKRGFKWVNTTESLRDVLLRHYPHTVSKWMNSTSAFSVWDAPPNSFNPIPLLLRFPS >Ma10_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29620788:29624706:1 gene:Ma10_g18840 transcript:Ma10_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLEKENNPISVAPSAKPPAKKDRHIVTWTSQEDDLLREHIALHGTDNWTSIAALFKDKTSRQCRRRWYTYLNSECKKGGWSAEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRVKLEASSKENKGSSFDQSNKRVIVQDGYAAAVARESSTSNKQIRYHVLHPKEINEGHKRFLGEHGPEQNQLRPPLAVLVQNSDTSNGLTENLRGPLYDVSNKDNQGTFIRRDDPKLTALLQQAELLTSLSKKVNAENTNQSFDEAWKELQDYLIQTEDSGSLRRKLSGMGCMLDELRDLIEDLNSNKEEEQQPLRQLDSHEDSQGSSGCSTGSTHDLNSAQGKSNPQYEDCSLQTDNEVSYLNDDAACSSMTASPEAILSVSEMPKDEVVSGCALSEFASPLQTIPPFQSFTDGIPSPVFTSSERHFLLNVLDLSSPATNTNSSKQPSCKRALLDTFKPS >Ma08_p29420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41006802:41021511:1 gene:Ma08_g29420 transcript:Ma08_t29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDLESVEDDFYSGDTGMGSDDGDAHYDFGDNESDDSDDITSRQQQNYTILSEADIRQHQEEDISRVSTVLSIPRYAACILLRHYNWSISRVHDEWFADEERVRKVVGLLEKPVEMPNARELTCGICFENYPRDCMNSASCGHPFCWACWRGYISTSISDGPGCLMLRCPDPSCSAAVGQNIVELLATDEDKEKYSRYLLRSYVEDNRKIKWCPAPGCEFAVEFVIGSGNYDICCSCSYNFCWNCTEEAHRPVDCVTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYEAAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHSMQNEKLEKLSDRQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHSKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAESPMKDFNDFRTKLAGLTRIS >Ma08_p29420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41006802:41021345:1 gene:Ma08_g29420 transcript:Ma08_t29420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDLESVEDDFYSGDTGMGSDDGDAHYDFGDNESDDSDDITSRQQQNYTILSEADIRQHQEEDISRVSTVLSIPRYAACILLRHYNWSISRVHDEWFADEERVRKVVGLLEKPVEMPNARELTCGICFENYPRDCMNSASCGHPFCWACWRGYISTSISDGPGCLMLRCPDPSCSAAVGQNIVELLATDEDKEKYSRYLLRSYVEDNRKIKWCPAPGCEFAVEFVIGSGNYDICCSCSYNFCWNCTEEAHRPVDCVTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYEAAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHSMQNEKLEKLSDRQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHSKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAESPMKDFNDFRTKLAGLTSVTRNYFEHLVQALETGLEDVGSSNSQATCSKSSSSKILGNKSKNGKIKPAGTSSAAGAPSRSMDDGNLWSCDHCTFANPKSTNTCHMCEHHR >Ma08_p29420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41006802:41020822:1 gene:Ma08_g29420 transcript:Ma08_t29420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDLESVEDDFYSGDTGMGSDDGDAHYDFGDNESDDSDDITSRQQQNYTILSEADIRQHQEEDISRVSTVLSIPRYAACILLRHYNWSISRVHDEWFADEERVRKVVGLLEKPVEMPNARELTCGICFENYPRDCMNSASCGHPFCWACWRGYISTSISDGPGCLMLRCPDPSCSAAVGQNIVELLATDEDKEKYSRYLLRSYVEDNRKIKWCPAPGCEFAVEFVIGSGNYDICCSCSYNFCWNCTEEAHRPVDCVTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYEAAKQEGAYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHSMQNEKLEKLSDRQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHSKRQFFEYLQGEAESGLERLHQCAEKELQVYLDAESPMKDFNDFRTKLAGLTSICATQRN >Ma08_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5120478:5123824:1 gene:Ma08_g07480 transcript:Ma08_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYHDELIKNAAYIGTPGKGILAADESTGTIGKRLASINVENVEANRRALRELLFCTPGALQCLSGVILFEETLYQKTADGKPFVEVLKEGGVLPGIKVDKGTVELAGTNGETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIAPNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGPHDINRCAQVTERVLAACYKALNDHHVLLEGSLLKPNMVTPGSDSPKVAPEVVAEYTVRALLRTVPAAVPAIVFLSGGQSEEEATLNLNAMNQLKGKKPWSLSFSFGRALQQSTLKTWAGKEENVEKAMAAFLSRCKANSEATLGAYKGDATKGEGVSESLHVKDYKY >Ma08_p07480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5120478:5124293:1 gene:Ma08_g07480 transcript:Ma08_t07480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYHDELIKNAAYIGTPGKGILAADESTGTIGKRLASINVENVEANRRALRELLFCTPGALQCLSGVILFEETLYQKTADGKPFVEVLKEGGVLPGIKVDKGTVELAGTNGETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIAPNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGPHDINRCAQVTERVLAACYKALNDHHVLLEGSLLKPNMVTPGSDSPKVAPEVVAEYTVRALLRTVPAAVPAIVFLSGGQSEEEATLNLNAMNQLKGKKPWSLSFSFGRALQQSTLKTWAGKEENVEKAMAAFLSRCKANSEATLGAYKGDATKGEGVSESLHVKDYKY >Ma03_p32130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34082911:34087779:-1 gene:Ma03_g32130 transcript:Ma03_t32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase (EC 2.5.1.47 [Source: Projected from Oryza sativa (Os03g0747800)] MGESSPMIAKDVTELIGRTPLVYLNKVVNGCGARIAAKLEMMEPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLVLTMPASMSIERRIILKAFGAELVLTDPVLGMKGAVEKAEELAAKTPNSYILQQFENPSNPKIHYETTGPEIWKGTAGRVDILVSGIGTGGTITGTGRFLKEQNPNIKLYGVEPAESAVLSGGRPGAHKIQGIGAGFIPGVLDVNLVDEVIQISSNESIEMAKLLALKEGLLVGISSGAAAAAAIRVAQRPENEGKLIVVVFPSFGERYLSSVLYQSIKKEAENMVFEP >Ma03_p32130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34082911:34087779:-1 gene:Ma03_g32130 transcript:Ma03_t32130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase (EC 2.5.1.47 [Source: Projected from Oryza sativa (Os03g0747800)] MGESSPMIAKDVTELIGRTPLVYLNKVVNGCGARIAAKLEMMEPCSSVKDRIGYSMIADAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLVLTMPASMSIERRIILKAFGAELVLTDPVLGMKGAVEKAEELAAKTPNSYILQQFENPSNPKIHYETTGPEIWKGTAGRVDILVSGIGTGGTITGTGRFLKEQNPNIKLYGVEPAESAVLSGGRPGAHKIQGIGAGFIPGVLDVNLVDEVIQISSNESIEMAKLLALKEGLLVGISSGAAAAAAIRVAQRPENEGKLIVVVFPSFGERYLSSVLYQSIKKEAENMVFEP >Ma04_p34600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33703682:33705265:1 gene:Ma04_g34600 transcript:Ma04_t34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHHHRETNRCCCCCSIHSSPPPHLTTSDQLLQALASHLLLQSQNPPTLSDGHCLKPQQHPPPLHSFFQIHQLGDDHLPPAPPHYHRHEQQQQQQQFYQHQAQPLIESLLRRIAALESSFPHLSSPISSPSPLPCHGRQRQERPLTPSPPSSFALSLRDLAARRIQASFRRFLLRRSQTLRHLKDLAAMKSSVAACRSALSDETHVDPRYLTEKAMDLLLRLDAIQSGDPMVREGKRSISRELVRMLDFIDKVVVKGHQLSLDAIEITGNCGIERDSVEDMRREIVGTEEVPKLAKKVSFLEDGKRPRFSLSGLHQLEEELIDAGNQTAPPESLGGEITSTESSDHLGPERFHEISNGDWSSESSIENGGKYVKGKHFQNQNGKLGLSAPLPLQMELRKT >Ma09_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23320094:23324816:1 gene:Ma09_g19380 transcript:Ma09_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVAASSLLLMAMAMGAARGSSRREADRVGALPGQPAVEFRHYAGYVRVSDDKALFFWFFEAKRRPEEKPLVLWLNGGPGCSSIAYGAAQELGPFLVKSNSPNLTLNPYSWNNVANLLFLESPVGVGFSYTNRSLDLEELGDRTTAQDSHTFLLNWFKRFPEFKHHDLYIAGESYAGHYVPQLAELIYEGNKKASKDSFVNLRGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSTVRKECDSFKEEGEGGRASKACSPAVRAFLQAYNDIDIYSIYSPICLSSLTKSSPSRKLVAAPKFFSQHEIWHDMRKMPTGYDPCTEDYVEKYFNREDVQRALHANVTRLSYPYSPCSELIHNWNDSPSTVLPILMKLMKAGLRVWVYSGDTDGRVPVTSTRYSINEMRLRPKGEERKRWGGWRAWYHKEQVAGWVVEYEEGLTLATVRGAGHQVPVFAPDRALSLLSHFLGGQPLPFSRSP >Ma04_p39480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36610002:36610632:1 gene:Ma04_g39480 transcript:Ma04_t39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAANLYDFSAPAAPFTVPPSSEIGIDFGHPSRLSRSPAAPCWGWHVVPSPASQAHSRCRQFNYGKHLIFDGQQAPKRLNELPALHCLEVGGDHRGRHATAVGAAQPVGGADGGSIIERPIRVLEVGMELGIQAGRGDHTSAVLGARTKLLLEQLALLC >Ma02_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18822645:18823288:1 gene:Ma02_g08480 transcript:Ma02_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPFIYKSPPPPTQPTPPSTPPSPSSSSSPHISNSPPPPSSAPPPSLSPPPLIYKSPPPPVLTTPPTPPSSSSSPPHISKSPPPSSISPPPPTPLTPPSSPPSPSSSSSPPHVTTSSYTNNSSPITSIVISSSSPPHVYKSPPSTSSPPSIYKAPPPPLLSPPPSLSSPPPHSISPPTYIYKSPPPPSSI >Ma03_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1918332:1923869:-1 gene:Ma03_g02830 transcript:Ma03_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLLSPSHFPSSPRGALSCESCDEASGFLGPFNALGGGEDEAGRSGGGGPIEEKRERRVRGGGGQEVVEEDQQRQLSVLALVLTVVRKSLLGCKTEGAGDKDSCSMDIGRPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRIAPSASATVFGVSTESMQCSYDSRGNTVPTILLQMQRCLYEQGGLRAEGIFRINGETSEEEYVRNQLDNGIVPEGVDVHCLAGLIKAWFRELPMGLLDSLSSEQVMQCQTEEACDHITRLLPPTEAALLDWAINLMADVAQEEQQNKMNAHNVATVFAPNMTKVADPLTALMYVVQVMNFLRMLIVRTLKERQQSILEVAYVYDADPSDDDGHYSPKPHLEASSEEAVELVCVTKKPVFNILTQVPKLTIDEAAVSPQTFCATAAASEETAFPTNAAQTGGSSSGYDVAADGSNAVHTNYRRKRMGRLNGHNHKKGRKGKLHHASFPAERSKGASIVSRINSETDRAEAWR >Ma07_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3488010:3489344:1 gene:Ma07_g04760 transcript:Ma07_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPSVFLFLLLVFLIVLHQSMAAAHHHHHHSIVSETCKHSANGDPNVNYTFCVESLQSVSKSKHADLRGLAIIAARLAKADAKHAKSRVKTLLKAKKMSRYRKSCLQTCRELYSDAMASLRDSVKLIKAGRYGDANVYISSAVDAPGECEDSFKEGSIKSPLVKENHDLFQLAVIALSITSRLG >Ma04_p35820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34407711:34416695:-1 gene:Ma04_g35820 transcript:Ma04_t35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGKKPFSFFSKKGRSANRLELQPLPSPIPNHLAAKNSSNPAAASDSATAAAQLHGVKAAKKKAGSRLWMRFDRAGQSEVLELDKSAIIKRAGIPPRDLRILGPLFSHSSNILAREKAMVVNLEFIKAIVTAEEVLILDPLCQEVLPFVDQLRQQLPLKSPFRVDDPNLDKQSKDKHATGEEWTKINEAAESEHELPFEFQVLEIALEVVCSYLDCSVSDLEKNAYPVLDELAMNVSTKNLERVRSLKSNLTRLLAHIQKVRDEIEHLLDDNEDMAHLYLTRKQIQNQQFEALIASGASNSIVAAGPNLARLGSNLNCSASIISSIYADDNDVEDLEMLLEAYFMQLDGMRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLVLTIASFGIAVDTLIVGAFAMNIPCQLYDINHIFTPFVGGTSGGCVLITLLMLAYARWKKLLGS >Ma05_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:313800:314150:-1 gene:Ma05_g00520 transcript:Ma05_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFLLQISKLDSSKRWVFGAENWCQILPRLQTVPFRHGPQPGKLLPETQIMPSFGVDLVASLLG >Ma02_p00710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6143210:6144051:1 gene:Ma02_g00710 transcript:Ma02_t00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44620) UniProtKB/Swiss-Prot;Acc:P53665] MASALRGAILGHVRVPIRTLDRLGSLSCLQTSIRSMSSHGDDHLTREEVVDRVLDVVKSFPKVDPAKVNPDVHFQTDLGLDSLDNVEIVMALEEEFKLEIPDKEADQIVSCPLAVEYIAAHPMAS >Ma02_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6143210:6144010:1 gene:Ma02_g00710 transcript:Ma02_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44620) UniProtKB/Swiss-Prot;Acc:P53665] MASALRGAILGHVRVPIRTLDRLGSLSCLQTSIRSMSSHGDDHLTREEVVDRVLDVVKSFPKVDPAKVNPDVHFQTDLGLDSLDNVEIVMALEEEFKLEIPDKEADQIVSCPLAVEYIAAHPMAS >Ma04_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2013058:2013969:1 gene:Ma04_g02330 transcript:Ma04_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVSVVVLQLLTIHYIIVTGAQNSTTDTASPDGVSSATVISQFLASHNEARQQVGVPPLRWDAKLASFARAYANQRRGDCQLVHSPGYAYGENIFWGQGRRWAIPDAVAKWVEEKQWYRYDTNTCAGPDCTHYTQMVWRTTELLGCAKIVCSSGDTFIVCEYYPPGNYVGARPYIKPPKA >Ma07_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6394741:6395871:1 gene:Ma07_g08590 transcript:Ma07_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSELTVNVLGMIHGELLISDYVRFRAVCKQWNLVSKFEDHRRLRLQAPWLMFSGDDNSTAKFFSIVEKKIYKIQCPEPMIGKRIYVGSCHGWLATLDGRCNMHLLNPLTGAQIPLPSVLTLPFIRDNYNLEGQITNFNVEQDHNQYSLWLKFIRKVVISKAPDADNDFTIMMIYSHWCKLAFARAGDKAWTPISSPYYYSDIIYRNAKFYTINYRQMIEVWEADELVFSIINLDLPPDVLLRGIYYLGESLDGNLMLVHKNQKKWGSTDNPKNIMCTVFSLDEQTCKWKIVKNLHEQTLFIGTNQPMCLSTINFPELKQNCIYYTDDMLDICGSYRSTRRNIGIFYLEDEMTRPIDHLGYHYWPPPLWLTPSLS >Ma09_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12437966:12439652:1 gene:Ma09_g16940 transcript:Ma09_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPSNTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQSLLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKIKKSHTATDGYNMSSGTSPSCHDYMPEGYDMETRKQDITVLTFPLPSMRLTPPIYACSTGNISRLLEGWTRSSKKNTQGKLQERAIADDSHSSNNDATAAASVREKSRAAGDQGCSTAMTHEDLGTLLSFEKVSGGSWEKTAAGKASFGDAEATQGGENHQPPLSLLEKWLFDEASGQVDELMDLPVDCCSLSMF >Ma08_p29680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41181832:41183712:-1 gene:Ma08_g29680 transcript:Ma08_t29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MTEEPKDTCDMIGSQKTNPSRDKDLAPYIKIKVLFFARARDLTGSTELCLEMPDGSTARDCMNKLLIEFPNLREIYNSMVLALNEEYAPESTVLRNKDELAIIPPISGG >Ma09_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3142220:3142827:1 gene:Ma09_g04900 transcript:Ma09_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKVDLNRCEPWDLPSKAKMGEKEWYFFCRRDRKYPTGAGFWKATGKDKEVFRGRGVLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGTSMSIPDLPKSAKARTDEWVVCRVFHKDTGHSKTHCRAWKATLCQMTYWMQK >Ma09_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7877878:7880654:-1 gene:Ma09_g11640 transcript:Ma09_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAWNYFPMLAQLLFLLSLCNTRHTASWETCPTDSNFTTNSTYHFNLNLLLPSLTSATVSTGYANTSAGRSPDQVFGLARCQLDVSQDKCQACLATAVDTLRSSCPSAKDAATWGDLCFLAYSNTTFSNDRDKSSFTQIIFNGGEVSETSRFVDLVGELMNALINWAAYKTDSMFAIGQANFTSATNLYGLVQCTRDQSDDDCFQCLRQSLASMQSCCWKNQGGVVLKYRCFLRYETYSYYNMSVPTSPLPPLSSALSSAPPPGATANPRPPPAVVDSNSSSSSARDAEVGGAKSEKPNSLLFDFETLKVATNNFSDANKLGEGGFGPVYKGVLSDGQEVAVKRLARSSQQGFAELRNEVAFVAKLQHRNLVRLIGFCSEEEKLLVYEFLPNTSLDKILFDPTKCGQLNWERRYKIIEGIARGLLYLHEDSRLRIIHRDLKPGNILLDQHMNPKISDFGLSKLLVDQDRSEESASRIVGTNGYIAPEYALLRHVSDKSDVYSYGVLVLEIITGRRISEFRGSGHRANLQSYAWKNWNKGEALQIVDQNLCGRFQREEALPCIRTALLCVQENPSKRPTMASVVLMLSSSSMTTLSPSAPGFLIETSGTTDSNESTGNRSPEIKNEREGSSTSINEVSITVLEPRR >Ma04_p35550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34277367:34279687:1 gene:Ma04_g35550 transcript:Ma04_t35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLFRFLLSTFRFVPSSDPDFHEWAVIDGLGLRSNGRNPAGLKFLIGGPLGRLCEDHGIPFAFGSGRLENRAQLEADIAAAALTHVINAAGVTGRPIVDWCEDHRVDVETIRANVVGTLTLGDVCRERGLLLVNCATGCIFEYDGAHTLGSGAGFKEEDTPNLVGSFCSKTKAMVEELLKNVCTLHVWMPVSSDLSTLAISLPKSPAMRRLLTYQTP >Ma02_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24704939:24706637:1 gene:Ma02_g18040 transcript:Ma02_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGCLGIGLSLVSGCLFLAVVAQLYYFFWWKKRKIDGDLFCWKKSSFSSSADLNPQEICVSVIGAIPSDEEQLDSNSTRDLLWKPFGEEDTVEAELMRLHRLAGPPRSLCTIAEETQQDLVSEDVRYRVGKSLRDLLLIAESPILTPLSSPPFFSSSPTPMACYSQNGFNPLFEPSDEDGLTWIWSSPPPKLKFLKDAEEKLYRKTLLEEELKDHSSSGSMKNKDIIEACAPSLSPVATSSPEGPEEQNGFFITTVTKTTPAIRR >Ma03_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6945756:6951753:1 gene:Ma03_g09410 transcript:Ma03_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLLLLLMCFVCVRHGFSRTDSQDVSALKALSNQWKNTPPSWRRSNDPCDQWDGVNCTGSRVKELKLFSMNIEGRLSGDIQNLTELTLLDLSSNKNLGGPLPRSIGNLKQLQTLRLIGCSFSGSIPVEVGNLLNLKILSLNSNQFNGTIPGSLGRLSNLEWLDLAENQLTGIIPTSSNGASGLDQLVNNLHFHLNLNQLSGPIPSDLFRSNMKVIHMLLDHNNFSGEIPESIGLAQSLQVLRLDANSLNESIPSSINNLTRLNVLNLGNNKLTGLMPNLTGMTALNYLNLSNNLFDPSEAPAWLSDVQNLTTLIIESGKLHGEVPQTLFSLPYLQEVRLNDNAFNGTLNMGSNISQQLKMVNFQNNNFISVTLSSNYNKTIILVGNPVCSNRYLQETEFCGQEQDSQSYSPEVSCSHPYEGPIICRAPSFSDQSIDTSQLEKKISTLLHTFPVHHSLRNHFFDVNAYLVVELKICPQSAKYFTRNQTLQWFDLSTQNLDLPSIYGPCVFKPDTYTFQHNVSPAWIIGTAVGCAAAVFIIAGLGIYALRQKKQAKTAIDLNNPFASWGSTGEDAGDAPHLKLARCFSLDELRKFTDGFSVDNEIGSGGYGKVYKGMLSDGQMVAIKRSQKGSMQGGLEFKTEIEMLSRVHHKNLVELVGFCFEKGERTLVYEYISNGTLTKNLSGRSHIKLDWKQRLNIALDSARGLAYLHELAKPPIIHRDVKSTNILLDDNLSAKVADFGLSTLILDSDHGHVSTNVKGTLGYLDPEYFMTQQLTTKSDVYSFGVVMLELITARLPIEKGKYIVREVKMAMDKSDKEYYGLRDIIDPAILNVGSLIGFQRFVELALQCLEDTSEDRPTMNDIVKEIEILLKDNRLETNSISASSSATYFGNARGASEQPYDEMPISSEVNSGVYGSDKYLLSQ >Ma03_p29610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32461336:32462517:-1 gene:Ma03_g29610 transcript:Ma03_t29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWIASLGMEFGSLSPQERNTRLENVLANLEFDPQEETIHMYRQLVLPVCNNTRSFSYSVHCFWLLAEGANNTCPHPNAKGWAISLSHKHSTEASVTNANAYIYTKHCIKFETHSALVHKLSSSFPLSHSLYI >Ma04_p31640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31911227:31913880:1 gene:Ma04_g31640 transcript:Ma04_t31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSNLATTWASPKPSDHTHLSSRAFLAPSRLLFAPASASSPRPPPSTAVYCGLRELRGRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVSGRPFSESLVEVLYNINEQLQTEDVDVPLTRVRPVRKIALVVVTGDRGLCGGFNNYIIKKAEQRRAELSALGIASTVISVGKKGNTYFQRRPYIPVDRFLEVGSLPTTKEAQAIADDVFSLFVSEDVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDVNGVCVDAAEDELFRLTTKEGKLTVERETVRTPTPAFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMTAMSNATDNAMELKRTLSTLYNRERQAKITGEILEIVAGAEALT >Ma04_p31640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31911228:31913223:1 gene:Ma04_g31640 transcript:Ma04_t31640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSNLATTWASPKPSDHTHLSSRAFLAPSRLLFAPASASSPRPPPSTAVYCGLRELRGRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVSGRPFSESLVEVLYNINEQLQTEDVDVPLTRVRPVRKIALVVVTGDRGLCGGFNNYIIKKAEQRRAELSALGIASTVISVGKKGNTYFQRRPYIPVDRFLEVGSLPTTKEAQAIADDVFSLFVSEDVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDVNGVCVDAAEDELFRLTTKEGKLTVERETVRTPTPAFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMTAMSNATDNAMELKRTLSTLYNRERQAKITGEILEIVAGAEALT >Ma02_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28808772:28813827:-1 gene:Ma02_g24050 transcript:Ma02_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVSNRQSFLSTSKWIEEVHTERGGDVIIVLVGNKTDLVDKRQVSTEEGEAKAREVGVMFIETSAKAGFNIKPLFRKIAASLPGMETLASAKQEDMVDVNLKPTVSSPETQQQSGGCSC >Ma04_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16448696:16464512:1 gene:Ma04_g16690 transcript:Ma04_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAKFLRLPLRSSLNAVSSAPFFRREEPLLLCSPLLHLLRHYRSDGRSRDDFPSSRYEQPPPPINWGIRIVPEKKACVVERFGKYLRTLGSGIHILVPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPVLASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNENIVRAINEAASDWGLKCLRYEIRDISPPPGVKAAMEMQAEAERRKRAQVLESEGERQAKINIADGTKASVILASEAAMMDQVNRAKGEAEAILARSRATADGLKILSQAMKAEGGTEAASLRIAEQYIKAFGCIAKEGTTLLLPSAVGNPSSMITQALAIYKKLNVDNTSAPLAENPHPETSEESKSNGDSRIDALGTSSDMASVSDPSERVFSLQSPPKGLS >Ma04_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4745105:4748469:1 gene:Ma04_g06430 transcript:Ma04_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYGCGGGALVPMYVMLMALLISSRAISRLEAKGSCVESERRALLAVGADMYDPSGDWLASWTGDDCCTWRGVACDGATGHVTKLDLRFPYLDVVGDVDASRVNPSLLELTHLKYLDLSMNNFSSAAVPETFASLVHLEYLNLSSAMFAGAVPPRLGNLSALRYLDLNGCYGDLHVDDLGWLSHLPYLRYLDMSCVDMSLATNWFHSINSIPSLQVLHLQWSNPTYVPPTLPPFNLTSITMLDLSGNLNINTSILDWLSNASTLEHLQLGSCGGFDIQPLQPALAALTNLLELDLSANDIEGEIYGIVGNASKRLRNLDLQWNKLTGDIARILVSLRHLEYLVIDNNQITGHLPEMLGNLSSLRYLSFSSNQISGDIPQTVGNLLRLEFIYFSGNNLSGEIPQSIGNLTNLIQLYLGRNTIAGSIPESIGNLRNLEELYLSHNSITGHLPPSIGNLENLQAMYLQNNFITGRIPATVGGLRSLRRLDMSSNSLTGNIPRGMGSLCNLEYIDLSDNNVAGELADLIDGLSNCSPPLRLSSLHVSNNNLSGIIPPSLGQLSELSELYLPSNSLVGNVTESHFANLAILKFLDISQNTLRVILPDDWVPPFDAFTIGMSSCHLGTIIPAWIRTQTSLENLYLSRTGLWGTIPAWFSGFNPSGWHYLDLSSNSLHGSLPVVRSVEQSIINLSNNSFSGPLPRSFAADLNPSILTLSDNRISGDFPPFFCNMTLLEVLDLSNNGLSGELPDCHSSYPTSLQSLHLNNNSLSGSLPAFLKHCKQLITLDLGENRLSGELPRWIGSSLSSLKVLRLRSNLLHGTISAHISNLTSLHVLDLSCNNLSGAIPSSIGLLDAMVVIQNVIEPLIDSNARYYSEHVLITTKGSTIEYSTVLSLVTSIDLSNNDLHGEIPVELTDLHGLHFLNLSKNHLAGEIPTDIGGMRQLESLDLSMNNLGGEIPLSLSALNFLSHMNLSYNHLSGRIPTSNQLQTLNDPSIYVGNKGLCGTPLPKCPGDEASQGPASAGIQEEDNSDKLEMILNIASIVIGFVVGFWGFVGTMIVKQGMRIAVFQWIDRIYWRLAVKLAKLKLKGQRMT >Ma01_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17326153:17335090:1 gene:Ma01_g20210 transcript:Ma01_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRKLYKTKLCILFQRGRCPRQNCNFAHGEAELRRFGGSFHGRRDHRSGDLRDKLDRRHSPHRRLSPDRDARGYHTFRYQKPISRDRGYSLSRSPVRRSERRHRKKQHTDGESDVSDSFKGSDGPEDRKKEDKTSSYDEKDGLEEQLKQLQLDIEMLDDHKSQLVIFLEEKVDEAHKLSSRIADLESQLSKEQEDCRRTTSKIKKFIKAHGRYMKAQEELKRSQTRLQKIGDQLGSDTLKSNANEDDPSINVVSDGEPNDDGKTSPRNDDILSNALSNKKRSLGYLAASEEVKIGISRKRERDSEVVYKSEKLPRSEGPVPLSEMISKGTETMKTILTRNKSLVEDYKHKQGRSASSSIASLDKGKGSEVKHSLPSTGMAANAADEFIEAVEIDDKPEAIDATTVFDYGDVDYGTKSSYIPPAPPPVTQNTYKQYEGDDEEVDVEKVDSEMLDIDLNSEVEIEQV >Ma03_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1735106:1738685:-1 gene:Ma03_g02570 transcript:Ma03_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDALVVGNVVGDVLDPFVKSATMKITYNKELTNGSELKPSMVATEPRVEMRGHNTRNLYTLVMVDPDAPSPSNPTKREYLHWLVTDIPETTNATYGNEIVSYESPRPTAGIHRFVFVLFRQSVRQTIYAPGWRQNFSTRDFAAVYNLGDPVAAMFFNCQRENGCGGRRYQAVSGWT >Ma11_p13140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17400897:17415696:-1 gene:Ma11_g13140 transcript:Ma11_t13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVCRKLYDYVCYDLKEIAFPSSLPDPPHIKKRRKLTWRERWCVLKEASRLYAASWVQDIGPDLRPNDYKKVTNDDGGSHQDKTTSERREPSTSEDLAVAARGGMETLRPALQRVYMMRASAYRDALKSFIQGYHEGIKQVMEGKKGEKSHAQENNAKKSN >Ma11_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17400897:17415712:-1 gene:Ma11_g13140 transcript:Ma11_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVCRKLYDYVCYDLKEIAFPSSLPDPPHIKKRRKLTWRERWCVLKEASRLYAASWVQDIGPDLRPNDYKKVTNDDGGSHQDKTTSERREPSTSEDLAVAARGGMETLRPALQRVYMMRASAYRDALKSFIQGYHEGIKQVMEGKKGEKSHAQENNAKKSN >Ma06_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2341994:2345966:1 gene:Ma06_g03160 transcript:Ma06_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLPPAVDNKQGPSNRTSGETKSGLPPQSSTTEKILEMEDQINMAKAYLLFSSSNSKSHLVRELKIRIKEIERVLGRTNKSSDLFMSDLQKLEAMEVTLSKARKTYPDCSAVASKLHAQLYNAEEQLRAQKHQASYLIHLTARTFPRGLHCLSMRLTTEYFALQPEQRKLPNSQNVHKLALYHYAIFSDNVLACAVLVNSTTSTSMEPEKIVFHVVTNSYNFPAMVMWFLLNPPGKSTIQIQSLDDFRFLPAGFSSFFVQSAKADPRYTSPLNHLRFYLPELFPLLNKIMLLDHDVVVQRDLRRLWSVDMNGKVNGAVDICRDNKTSHKLETLVNLSDPVIANIFDAKACSWAFGMNIFDLQEWRRRGLTGSYHHWKQLENSKQPWKAGSSLLGQLLCYNHTMTLDRQWHVLGLGRHSSVRRSEIERAAVIHYDGNMKPWLDVALAKYRKYWTRFLDYSNPYFQQCNIHE >Ma04_p29580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30480494:30484750:-1 gene:Ma04_g29580 transcript:Ma04_t29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MMLCHGHRHQPTVLLPSHLPPNPNTVLPVCGTLFSRKPLLFSSLRAALDSKHHLQPLGPDAETDPPRLSRRQNALLLDRSHSHPIDGPPIDDEEREDELTRKKVVEEYSLATRRVPRFPGSVDFPRAGGLDLPPPDLRRVFDGDDRSLKRALEVRRGVAAETLKDALRAGKMSINYSTNLVSRLTEFVDRVVIGAATLKAVPEFAHLSFNARAKSYIQSSCVVSLVKWLKHNHMTYPQIAKVICMCPGDLQLVRRITEWLKSIHVKGESIGVVLVKAGPLFEHSLDELEEIVNYLENTGVRKDWMGFVVSRCPQVLGLTMEELESRVKFYLDMGMNKKDFGTMVFDYPRALGFFSLEEMANKVQYLKEFGLSTEDVGRLLAFKPQLMGCSIEERWRPLVKYLYYLGVQRDGMKRILIVKPMVFCIDLETIIAPKVRFLQDIGVRTEAIGGVLVKFPSFLTYSLYKKIRPVVVFLMTKAGVTQRDIGKVIALDPQLVGCSITKKLDISVKYFLSLGIHLQSLGEMIADFPMLLRYNIDILRPKYRYLRRVMVRPLQDLIEFPRFFSYSLDERIIPRHKILVANRVNFKLRYMLSGSDEEFNQRVQFAIEKRKRFESGYANLDASDDESTHVVPVASS >Ma07_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30454769:30457839:1 gene:Ma07_g22550 transcript:Ma07_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGFCCCFFLVYLLIVLISFVVDHAFRDRGGGASQRDEREGPPESLGEKEESCVRFKFQHQISNYQRGNCDEEPEAAVPVDGGDESSHGFSSEKDFRGFMEEPKAATSCTELDSGEEAAAAADDDAVHNASDSIEIKDKILPRNYEVVEENGACSLSEEFSGFDSETDSISMSDGYSVHDLVVDSDGFLSERDFDGEEEQLRKSLNQEEEEEEEEADDRLQETTNLPCSHAPQIEFTDSSDDDLDSTSRGCSPMKSPEEVLDAEEAGDEPDAAADESEKKNQFKVSEDEEYSELELLWEHQDLIEQLRMELRRARDIGLPTILEESESPRTVEDLKPLKMDESFLHEDPLDELHRAYRSYRERMRKFDILNYQKMYAIGFLQVKDPLRSLGPRKTLALAISSILSQSFWSIRRKPSSEPSDKFIKELQSDLEVVYVGQTCLSWEFLRWQYEKARELPESDPYRSNHFNQVAGEFQQFQVVIQRFVENETFQGPRLPNYIRNRCVLRNLLQVPLIREDGAREKMEDHQKGCYDITSEMIEDVMEESIRIFWEFVKADKDETPGILKGFMGAHVDLQDPSDFDLMEDIQSDLRKKEKKLKDIVRTGNCIVKKFKRPKEDRSNQDLFFSQVDLKLVARVLRMSTITTDQLVWCHKKLSKIRMVERKIYREPSFLLFPC >Ma07_p22550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30454769:30457314:1 gene:Ma07_g22550 transcript:Ma07_t22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGFCCCFFLVYLLIVLISFVVDHAFRDRGGGASQRDEREGPPESLGEKEESCVRFKFQHQISNYQRGNCDEEPEAAVPVDGGDESSHGFSSEKDFRGFMEEPKAATSCTELDSGEEAAAAADDDAVHNASDSIEIKDKILPRNYEVVEENGACSLSEEFSGFDSETDSISMSDGYSVHDLVVDSDGFLSERDFDGEEEQLRKSLNQEEEEEEEEADDRLQETTNLPCSHAPQIEFTDSSDDDLDSTSRGCSPMKSPEEVLDAEEAGDEPDAAADESEKKNQFKVSEDEEYSELELLWEHQDLIEQLRMELRRARDIGLPTILEESESPRTVEDLKPLKMDESFLHEDPLDELHRAYRSYRERMRKFDILNYQKMYAIGFLQVKDPLRSLGPRKTLALAISSILSQSFWSIRRKPSSEPSDKFIKELQSDLEVVYVGQTCLSWEFLRWQYEKARELPESDPYRSNHFNQVAGEFQQFQVVIQRFVENETFQGPRLPNYIRNRCVLRNLLQVPLIRVLLQRMERERRWRITRRDAMTSQVR >Ma07_p26580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33323315:33324026:-1 gene:Ma07_g26580 transcript:Ma07_t26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIVLQGRFAGRKAVIVRAFDDGTRERPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKAFLKLVNYSHIMPTRYTLDVDLKDVVTLDALQSRDKKVTASKETKARLEERFKTGKNRWFFTKLRF >Ma07_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3902787:3905427:1 gene:Ma07_g05350 transcript:Ma07_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEHSTAATSEMDEEKEAPPPQDLTLGWVRDALIRQEDSIVFALIERARFPYNAPAYDPCLLPQQGGRSLVEAFARGAEVLQAKFGRYQNPEEVSFFPDDLPAPSGIPYNFPEVFHPASASVTANNAIWNMYFNDLLPLFTVEGDDGNYTSTAAADLVCLQALSRRIHYGKYVAEVKFRDAPQDYMTAICAKDRDALMKLLTFEAVEEMVKRRVLKKAMVFGQTVTLEDKTFDDETKYKVDPAVVARLYDEWVIPLTKDIEVEYLLRRLD >Ma03_p29840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32691613:32712416:1 gene:Ma03_g29840 transcript:Ma03_t29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGSFQCGRIASKRLMMLVALLLVSCCLGQGFGDDDHVEVEGISSSCMESERRALLAIKSDMYDPGDRFSSWTGKDCCGWRGVACDNTTGHVTKLDLRYPYTDDIWDTFWKPIGISKVNPSLQELKHLEYLDLSVNNFFHAPVPKMIASLVHLEYLNLSHAMFDGLIPPQLGNLSNLHYLDLQGWYDGQLHVDDLDWLSRIPYLKYLDMSYVNLSRATNWLSEINSIPTLELLRLSAADLPYVPSPLPTFNLTAILTLDLSWNLNMSTMLRWFSNATSLEYLILFGTTSQFIDSVGTGSLTIESVQIALGALSNLKELDLSDNSLKGEILEILNNVRSRDLKHLDLNSNYLSGDIPQTLWSLGNLEYLDLSWNFNVTGRIPALPGNLTSLRYLSLDGNSITGEIPSSIGNVTNLVFLDLSSNNIVGRIPEIIGALIHIQVLYLNHNYISGQIPAIIGDLQNLRKLILEDNLITGQIPDTIGGLHNLTDLDISNNNLSGQIPRTMGGLCNLTLLDLSQNSIGGELTSLFDGLSACAQWTSLLSIHMDRNRLSGTIPSSMGRVSQLQYLYLSSNSLVGNITEAHFSNLTNLLGFTISSNSLNVILPNDWHPPFNVEFIGMSFCHLGAELPTWLQTQTQLTNLYLCGVGLSGNLPVWFSNFSRGLWSLNMSSNNLQGRLPSAPQSMLDLSNNSFVGPIPQSFAKDTGLSLLSLSHNHITGNLPPFFCDIVIQILDLSNNYLIGEVPNCHYSFPTSLQSLHLNNNNLSGTIPLFLKYCDQLITLDLGENKLHGRIPTWIGRNLSSLRVLRLRSNFLNGTIPMNIVNLTSLQLLDLSSNNLTGSLPSSLGNFSAMVEIQNDIRSMLHIETYYYSESSFITTKGSTVDYTTILSLVTCIDLSNNHLSGEIPKELTQLLGLRFLNLSNNHLTGRIPEKIGDMKQLESLDLSVNDLTGEIPSSFSAMHFLERLNLSYNNLSGKIPTSGQLSTFDSWAYVGNKGLCGMPLPDCLVYQTPPDARHIATSSPEVGVKLEEDDDGDMLETITLDVTSVVVGSNKMTTSMAAYR >Ma07_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6438960:6443680:1 gene:Ma07_g08660 transcript:Ma07_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYSDIGKKARDLLYKDYQTDQKVTLTTYTLNGIAISASGTKKNELIFGELQSQLKNKNISFDVKATSDSKLLTTVTVDELATPGLRSIFNFIIPDQQLGKVELQYLHDYVGVTAAVGLTANPIVNLSGVVGTNVYSVGADVSFDTATGNFIKCNGGLSVINADLIASLTVNDKGDSINASYYHLVSPLSSTAVGAELTHNFSTNENSLTFGTQHALDPLTIVKARLNNYGKASALIQHEWRPKSFFTISGEIDSKAIEKGAKVGLALVLRP >Ma11_p01940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1398794:1408655:-1 gene:Ma11_g01940 transcript:Ma11_t01940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELF6 [Source:Projected from Arabidopsis thaliana (AT5G04240) UniProtKB/TrEMBL;Acc:A0A178UAR7] MSDSSEIPAWLKNLPLAPEYHPTETEFADPIAFISRVEREAAAFGICKVIPPLPRPSKKFVLANLNRSLSASPDHLKPPPSSPRSPAAVFTTRHQELGARRGRALPVQKQVWQSGEFYTLEQFEAKSKAFARSQLGGIKEVSPLLIETLFWKAASEKPIYVEYANDVPGSGFGVPEVPFRYYPHHRRKRKRGLNRGSIQEPRRLCPQAIGEREGMGGVGWKLSNSPWNLQAIARAPGSLTRFMPDEVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHLGSPKTWYAVPGDYAATLEEIVRVQGYGGNMDRLAALIMLGEKTTLLSPEVLVASGVPCCRLVQHPGEFVVTFPRAYHVGFSHGFNCGEAANFATPKWLMVAKEAAVRRAAMNYLPMLSHQQLLYMLSISFISSVPRELLSGARSSRLRDRKKEEREILVKKAFLDDMMNESNLLFVLLAKATISSAVLWEPEMLPATCLGTQVQQSSSVSSEAHGPTSGDLHGIKMECQSMGKDSLDYEGDACCTQDAHETTADLSGRSSHSALFSEANENACNNTEGIMDVDEIDLPFGLDVDSGSLACVACGILGYPFMAILQPSEKASRNIIAANSNESHPSLDMSQNLNLPSCQPCTAKKLDSDECVGVEEQRNPDARSNSHEDTVNVSLVENRSAVKESLSDTTPDMLGEDVERSRFCHLIGPEKLSTGNSLPVNCASNEEHQQILSLMERQIHELRAQDKNDGCVQAKRTHCSCTYETTVVCGQHSCDKMKEPGPHISLTSHSEVDNKACQGDYPGNISLDETDDVPERKSSDEISNWNTCNGFLRPRIFCLQHALEIEDLLRCKGGVRVLIICHSDYLKIKALAISIAEEINIRFNCEDVPLVNASPSDLDLINVSIDDEGHEEDGNDWTSKLGLNLRYCAKLKKQSPSNQEHLTLSLGGIFSDPSPTAVSNLKWLSRKSRTPHKVVGVIQSKSQFDANNEKCELIDGNTNSITDSAKVTEVNRSLEPHQIGTMHHSLLIKGMLKESGSGNTIVKVDDRGDERRKCGSANKIISQMKDDTGDNLHTIPVLIAECPQMHQVSWVTLRVTTYSESVPPVKLPASHESDVGYSERLEIHSDGLSFKEAVCEPVKSASKQPFVDPELSQGFEEAYRSQDKFCSSDKSEILADRLTLESGTSEAQQVSAVDTANLGDEFNGNREAACGTGRRPLHYSLENLEDSCRTSLSNDQFLCLSESLINSEIQLNNLSVQEPLGDDMVANPANSNRMPDISINDGSKMLQEILARTEHIADGANIIKSENYFAVNNLVVNNSEMPQKAHCADEMEAFVKADGKTAFNHSMKLAEILAISMAEGSVMQEVHSTELCVSADSSSLLNGAQPNYHNPARVDLIQYVRRRNKRKRQQEQSTGCQDSSVCFVRSPCEGLRPRSWLKTDVKADIFTLEKSSAIKERRRPGNSIVQREDKSKAFKCDIEGCFMSFQTRGELSLHKRDRCTIEGCGKRFSCHKYAVRHQCVHNDDRPLRCPWKGCNMTFKWAWARTEHVRVHTGERPYKCKFSGCGQTFRFVSDFSRHRRKTGHYATPSAG >Ma11_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1398794:1407484:-1 gene:Ma11_g01940 transcript:Ma11_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELF6 [Source:Projected from Arabidopsis thaliana (AT5G04240) UniProtKB/TrEMBL;Acc:A0A178UAR7] MRLIIFFYVFSAALIMLGEKTTLLSPEVLVASGVPCCRLVQHPGEFVVTFPRAYHVGFSHGFNCGEAANFATPKWLMVAKEAAVRRAAMNYLPMLSHQQLLYMLSISFISRELLSGARSSRLRDRKKEEREILVKKAFLDDMMNESNLLFVLLAKATISSAVLWEPEMLPATCLGTQVQQSSSVSSEAHGPTSGDLHGIKMECQSMGKDSLDYEGDACCTQDAHETTADLSGRSSHSALFSEANENACNNTEGIMDVDEIDLPFGLDVDSGSLACVACGILGYPFMAILQPSEKASRNIIAANSNESHPSLDMSQNLNLPSCQPCTAKKLDSDECVGVEEQRNPDARSNSHEDTVNVSLVENRSAVKESLSDTTPDMLGEDVERSRFCHLIGPEKLSTGNSLPVNCASNEEHQQILSLMERQIHELRAQDKNDGCVQAKRTHCSCTYETTVVCGQHSCDKMKEPGPHISLTSHSEVDNKACQGDYPGNISLDETDDVPERKSSDEISNWNTCNGFLRPRIFCLQHALEIEDLLRCKGGVRVLIICHSDYLKIKALAISIAEEINIRFNCEDVPLVNASPSDLDLINVSIDDEGHEEDGNDWTSKLGLNLRYCAKLKKQSPSNQEHLTLSLGGIFSDPSPTAVSNLKWLSRKSRTPHKVVGVIQSKSQFDANNEKCELIDGNTNSITDSAKVTEVNRSLEPHQIGTMHHSLLIKGMLKESGSGNTIVKVDDRGDERRKCGSANKIISQMKDDTGDNLHTIPVLIAECPQMHQVSWVTLRVTTYSESVPPVKLPASHESDVGYSERLEIHSDGLSFKEAVCEPVKSASKQPFVDPELSQGFEEAYRSQDKFCSSDKSEILADRLTLESGTSEAQQVSAVDTANLGDEFNGNREAACGTGRRPLHYSLENLEDSCRTSLSNDQFLCLSESLINSEIQLNNLSVQEPLGDDMVANPANSNRMPDISINDGSKMLQEILARTEHIADGANIIKSENYFAVNNLVVNNSEMPQKAHCADEMEAFVKADGKTAFNHSMKLAEILAISMAEGSVMQEVHSTELCVSADSSSLLNGAQPNYHNPARVDLIQYVRRRNKRKRQQEQSTGCQDSSVCFVRSPCEGLRPRSWLKTDVKADIFTLEKSSAIKERRRPGNSIVQREDKSKAFKCDIEGCFMSFQTRGELSLHKRDRCTIEGCGKRFSCHKYAVRHQCVHNDDRPLRCPWKGCNMTFKWAWARTEHVRVHTGERPYKCKFSGCGQTFRFVSDFSRHRRKTGHYATPSAG >Ma08_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1807850:1810322:-1 gene:Ma08_g02280 transcript:Ma08_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSRPCILAALLVVVSTLPPFCSALRRDSLTRGSSLSVEDDTDILVSQDESFACGFYKAGSNAYAFSIWFANSANATVAWTANRDQLVNGRGSRITLRRSGRLALTDFDGTLVWSSNTSSGSADRALLLNTGNLVVVDADNTTLWQSFDSPTDTLLPMQPITKSTPLVSASASGLLSSGYYRFYFDTDNVLRLIYDGPDFSSIYWPNPMYNVWVNGRTSYNSTRYGVLDEMGHFYASDQLEFNASDYGHGITRRLTLDYDGNLRVYSLSKQTRTWSVSWQAVLQPCDVHGTCGRNGLCVYSARVGCTCPPGFEVNDPSDWSKGCKRKHNISCHPHQNRFLRLPYTDFWGFDLNYTSGLSLGECRKICSEDCSCEAFGYKQGSGECYPKTSLFNGRSSQSTGNTIYMKLARNVGEHSRYPVIPAAAEEPACNGTKVQPLAGQSELRRKAGGMTKWEYFYGFVSAFFAVEALFIASGWWFIFRREKKRSSTDEGYQAISSQFRRFTYAELKRATRDFKDVVGRGGSGAVYKAALDDERVVAVKKLEDVIEGEEEFKAELNLIGRIYHKNLVRMFGFCSERSHRLLVSEFVENGSLDKALFGCGAAGRLLRWSERYQIGVGVAKGLAYLHHECLEWVIHCDVKPENILLDQDWEPKIADFGLAKLLNRGGAGSNPSRIRGTRGYIAPEWASSLPINGKVDVYSYGVVLLELVKGERVSNWVADGVEEEVGLVLRRTIMTLKAELESGEEAWIGEFVDHRLEGEVNWRQAMVMMEIAFACVEEERNRRPTMDSVVQMLLSCDDEIPSGCRDM >Ma06_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14709211:14714000:1 gene:Ma06_g20590 transcript:Ma06_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAALAAAAASSFFSRSSSVAPAARLVPRRGLAGGGDHHGPPKVNFWEDPLSPSKWKEEHFVLVSLASWGLIFYGAYKTFGGKKNKEEVGEKSGH >Ma08_p16350.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17993949:18006020:1 gene:Ma08_g16350 transcript:Ma08_t16350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGSGGCPAMAFRYNGTLCACNPGRYLVKGSCALFETGGDWMVSSGVSPAPTFLTTVLPIDDIKRFTQSQAVLLEATLVLLLVWLAFCLALRFTRVDNGRSFWFRLRWWISRFDTFYDTKHWLDDNKVVIKRKTELGGTFSVASWILFVGLLSALLYQIITKWSIEVHRVRPANAPDLLSFVNDLEFNITTISSMSCSHLRGLDSLVIGTVGSIDYRVYPLSTYVEYNCQNTSSGPTISLKCNSCQIPRRNHYISWQFVDLPNDPAIAVGFRFNLSAKDHADGKHVSFVTGTMISDTYTNDEPKTFRGSDVNVLRIHLFPQVYNNLNNLKLIQPLFHDFISGSSFSEASDLQASLQGSKNGLVNTTLFISYLSDYIVEINKENMMGIVGFLADVGGLYAISLAIFLFFLVQVFG >Ma08_p16350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17993949:18006020:1 gene:Ma08_g16350 transcript:Ma08_t16350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGSGGCPAMAFRYNGTLCACNPGRYLVKGSCALFETGGDWMVSSGVSPAPTFLTTVLPIDDIKRFTQSQAVLLEATLVLLLVWLAFCLALRFTRVDNGRSFWFRLRWWISRFDTFYDTKHWLDDNKVVIKRKTELGGTFSVASWILFVGLLSALLYQIITKWSIEVHRVRPANAPDLLSFVNDLEFNITTISSMSCSHLRGLDSLVIGTVGSIDYRVYPLSTYVEYNCQNTSSGPTISLKCNSCQIPRRNHYISWQFVDLPNDPAIAVGFRFNLSAKDHADGKHVSFVTGTMISDTYTNDEPKTFRGSDVNVLRIHLFPQVYNNLNNLKLIQPLFHDFISGSSFSEASDLQASLQGSKNGLVNTTLFISYLSDYIVEINKENMMGIVGFLADVGGLYAISLAIFLFFLVQFEARIIKLRHEDTAMRNIVGQKHAQKN >Ma08_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17993949:18006020:1 gene:Ma08_g16350 transcript:Ma08_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGSGGCPAMAFRYNGTLCACNPGRYLVKGSCALFETGGDWMVSSGVSPAPTFLTTVLPIDDIKRFTQSQAVLLEATLVLLLVWLAFCLALRFTRVDNGRSFWFRLRWWISRFDTFYDTKHWLDDNKVVIKRKTELGGTFSVASWILFVGLLSALLYQIITKWSIEVHRVRPANAPDLLSFVNDLEFNITTISSMSCSHLRGLDSLVIGTVGSIDYRVYPLSTYVEYNCQNTSSGPTISLKCNSCQIPRRNHYISWQFVDLPNDPAIAVGFRFNLSAKDHADGKHVSFVTGTMISDTYTNDEPKTFRGSDVNVLRIHLFPQVYNNLNNLKLIQPLFHDFISGSSFSEASDLQASLQGSKNGLVNTTLFISYLSDYIVEINKENMMGIVGFLADVGGLYAISLAIFLFFLVQFEARIIKLRHEDTAMRNIVGQKHAQKN >Ma08_p16350.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17993949:18006020:1 gene:Ma08_g16350 transcript:Ma08_t16350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGSGGCPAMAFRYNGTLCACNPGRYLVKGSCALFETGGDWMVSSGVSPAPTFLTTVLPIDDIKRFTQSQAVLLEATLVLLLVWLAFCLALRFTRVDNGRSFWFRLRWWISRFDTFYDTKHWLDDNKVVIKRKTELGGTFSVASWILFVGLLSALLYQIITKWSIEVHRVRPANAPDLLSFVNDLEFNITTISSMSCSHLRGLDSLVIGTVGSIDYRVYPLSTYVEYNCQNTSSGPTISLKCNSCQIPRRNHYISWQFVDLPNDPAIAVGFRFNLSAKDHADGKHVSFVTGTMISDTYTNDEPKTFRGSDVNVLRIHLFPQVYNNLNNLKLIQPLFHDFISGSSFSEASDLQASLQGSKNGLVNTTLFISYLSDYIVEINKENMMGIVGFLADVGGLYAISLAIFLFFLVQFEARIIKLRHEDTAMRNIVGQKHAQKN >Ma08_p16350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17993949:18006020:1 gene:Ma08_g16350 transcript:Ma08_t16350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGSGGCPAMAFRYNGTLCACNPGRYLVKGSCALFETGGDWMVSSGVSPAPTFLTTVLPIDDIKRFTQSQAVLLEATLVLLLVWLAFCLALRFTRVDNGRSFWFRLRWWISRFDTFYDTKHWLDDNKVVIKRKTELGGTFSVASWILFVGLLSALLYQIITKWSIEVHRVRPANAPDLLSFVNDLEFNITTISSMSCSHLRGLDSLVIGTVGSIDYRVYPLSTYVEYNCQNTSSGPTISLKCNSCQIPRRNHYISWQFVDLPNDPAIAVGFRFNLSAKDHADGKHVSFVTGTMISDTYTNDEPKTFRGSDVNVLRIHLFPQVYNNLNNLKLIQPLFHDFISGSSFSEASDLQASLQGSKNGLVNTTLFISYLSDYIVEINKENMMGIVGFLADVGGLYAISLAIFLFFLVQFEARIIKLRHEDTAMRNIVGQKHAQKN >Ma08_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21631068:21636089:-1 gene:Ma08_g16930 transcript:Ma08_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDPATTANESIVAAESPGSLTDRKNQSRVPKKMHKAEREKLKRDQLNELFLELGHVLEPDRQNNCKASILGDTTRVLRDLFAQVETLRKENAALLTESRYVTVERNELKDENNALQAEISQLQTELQGRSLSVTMWNNNTNSSSFTQPQPTATVLPMQQQPIIIGSVQANPVRELQLFPVERITTPPSTPPAPPSQVTRPHARYPAPLDLWPGQLLSTLPRTSQEEICGSSSISTGSKEGSDKV >Ma08_p16930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21631068:21635286:-1 gene:Ma08_g16930 transcript:Ma08_t16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKAEREKLKRDQLNELFLELGHVLEPDRQNNCKASILGDTTRVLRDLFAQVETLRKENAALLTESRYVTVERNELKDENNALQAEISQLQTELQGRSLSVTMWNNNTNSSSFTQPQPTATVLPMQQQPIIIGSVQANPVRELQLFPVERITTPPSTPPAPPSQVTRPHARYPAPLDLWPGQLLSTLPRTSQEEICGSSSISTGSKEGSDKV >Ma11_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18231617:18232390:1 gene:Ma11_g13580 transcript:Ma11_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFRKNRKKRGHVSSGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFYCPAVNVDRLWSLVPEAVKDAAAAKGGATAPLVDVTQFGYFKVLGKGILPPDRSVVVKAKLVSKIAEKKIKAAGGAVVLTA >Ma04_p37520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35393075:35397714:-1 gene:Ma04_g37520 transcript:Ma04_t37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGSSWVRRAKFSHTVCHRLDSAKLPSIPLLVRSESSLELKPRRTAEASVSLPVNRGAAPKATGSITHSTSLPSLHLLLQDGHHGIKTEKSSSVIPTSLHSEQVPKTGVTNLILESLISPRKSDKHPNLKPKGLSSYEFSNFASHPDRETKVKPKNLSLGSSAFTSQRDLDQRLKPRSSNSGTTLFSRPSIKSHLNSHSQKSGTYMDWKKFKPKQRSASPLPRTSISDVFREAKANKKRFSTPPPKRRGSDKSAFSKLFSREVHDHVIFHSPPPETSPLHHFSLMKESDKHESQKEALWTRYFEHGGGKVNAVEALEEWMVDLSQLYLGHRFASGAHSKLHHGIYKDQPVAVKFIRQPDDDENGMMTARLEKQFTREVTLLSHLYHRNVIKLIAAFKKPPVFCIITEFLSGGSLRAFLHKLEHKSVPLQKLIMIALDIARGMEYIHSQGVIHRDLKPANILFDQELCVKIADFGIACEEAYCDTLAEDPGTFRWMAPEMIKHKPYGHKVDVYSFGLVLLEMATGSIPYEEMTPIQAAFAVANKNLRPVVPPECPAALRALIEQCWALQPDKRPDFWQIVKVLEQFESALAQNGTLDTVANMNCEDHKNRLLHWIQKLKPTHADGYGSGLPITKLSPSMPKLL >Ma06_p09630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6708758:6711807:-1 gene:Ma06_g09630 transcript:Ma06_t09630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIVDFLGSHRDRNAVSTVCKAWYQVERLSRRNVFVGNCYAIRPERVMARFPWMKSLGVKGKPHFADFNLVPYDWGGFAQPWIEAAARGCPGLEELRLKRMVVTDDDLELLARSFPSFKSLVLVSCEGFSTDGLAAIATYCRGLRELDLQENEVEDHGRQWLSCFPDSCTSLVSLNFACLKGEINTNALERLVARCPDLRSLKLNRAISVESLNRILARAPHLVDLGTGSMMVNHHTEAYHRLINAFLGCKSLRSLSGFWDASSCCLQAVYPVCVNLTALNLSYAPAIQGDDLIKLICLCFKLQKLWVLDCIGDKGLAAVASTCKDLQELRVFPSDIYGAGTTAVTEEGLVAISSGCSKLNSLLYFCYQMTNTALVTVAKNCPHFTRFRLCILDPGKPDPVTDQPLDEGFGAIVRSCKNLRRLSLSGLLTDKVFLYIGMHAEHLEMLSIAFAGDSDKGMVYVLNGCKNLRKLEIRDCPFGDDALLKDVTKYETMRSLWMSSCDVTLGGCRALAAKMPSLNVEIINESDEADEFQENLSDLHKVEKLYVYRTVARGRTDAPDFVWIL >Ma06_p09630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6708758:6711807:-1 gene:Ma06_g09630 transcript:Ma06_t09630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIVDFLGSHRDRNAVSTVCKAWYQVERLSRRNVFVGNCYAIRPERVMARFPWMKSLGVKGKPHFADFNLVPYDWGGFAQPWIEAAARGCPGLEELRLKRMVVTDDDLELLARSFPSFKSLVLVSCEGFSTDGLAAIATYCRGLRELDLQENEVEDHGRQWLSCFPDSCTSLVSLNFACLKGEINTNALERLVARCPDLRSLKLNRAISVESLNRILARAPHLVDLGTGSMMVNHHTEAYHRLINAFLGCKSLRSLSGFWDASSCCLQAVYPVCVNLTALNLSYAPAIQGDDLIKLICLCFKLQKLWVLDCIGDKGLAAVASTCKDLQELRVFPSDIYGAGTTAVTEEGLVAISSGCSKLNSLLYFCYQMTNTALVTVAKNCPHFTRFRLCILDPGKPDPVTDQPLDEGFGAIVRSCKNLRRLSLSGLLTDKVFLYIGMHAEHLEMLSIAFAGDSDKGMVYVLNGCKNLRKLEIRDCPFGDDALLKDVTKYETMRSLWMSSCDVTLGGCRALAAKMPSLNVEIINESDEADEFQENLSDLHKVEKLYVYRTVARGRTDAPDFVWIL >Ma06_p09630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6708758:6711807:-1 gene:Ma06_g09630 transcript:Ma06_t09630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIVDFLGSHRDRNAVSTVCKAWYQVERLSRRNVFVGNCYAIRPERVMARFPWMKSLGVKGKPHFADFNLVPYDWGGFAQPWIEAAARGCPGLEELRLKRMVVTDDDLELLARSFPSFKSLVLVSCEGFSTDGLAAIATYCRGLRELDLQENEVEDHGRQWLSCFPDSCTSLVSLNFACLKGEINTNALERLVARCPDLRSLKLNRAISVESLNRILARAPHLVDLGTGSMMVNHHTEAYHRLINAFLGCKSLRSLSGFWDASSCCLQAVYPVCVNLTALNLSYAPAIQGDDLIKLICLCFKLQKLWVLDCIGDKGLAAVASTCKDLQELRVFPSDIYGAGTTAVTEEGLVAISSGCSKLNSLLYFCYQMTNTALVTVAKNCPHFTRFRLCILDPGKPDPVTDQPLDEGFGAIVRSCKNLRRLSLSGLLTDKVFLYIGMHAEHLEMLSIAFAGDSDKGMVYVLNGCKNLRKLEIRDCPFGDDALLKDVTKYETMRSLWMSSCDVTLGGCRALAAKMPSLNVEIINESDEADEFQENLSDLHKVEKLYVYRTVARGRTDAPDFVWIL >Ma06_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6708758:6711807:-1 gene:Ma06_g09630 transcript:Ma06_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIVDFLGSHRDRNAVSTVCKAWYQVERLSRRNVFVGNCYAIRPERVMARFPWMKSLGVKGKPHFADFNLVPYDWGGFAQPWIEAAARGCPGLEELRLKRMVVTDDDLELLARSFPSFKSLVLVSCEGFSTDGLAAIATYCRGLRELDLQENEVEDHGRQWLSCFPDSCTSLVSLNFACLKGEINTNALERLVARCPDLRSLKLNRAISVESLNRILARAPHLVDLGTGSMMVNHHTEAYHRLINAFLGCKSLRSLSGFWDASSCCLQAVYPVCVNLTALNLSYAPAIQGDDLIKLICLCFKLQKLWVLDCIGDKGLAAVASTCKDLQELRVFPSDIYGAGTTAVTEEGLVAISSGCSKLNSLLYFCYQMTNTALVTVAKNCPHFTRFRLCILDPGKPDPVTDQPLDEGFGAIVRSCKNLRRLSLSGLLTDKVFLYIGMHAEHLEMLSIAFAGDSDKGMVYVLNGCKNLRKLEIRDCPFGDDALLKDVTKYETMRSLWMSSCDVTLGGCRALAAKMPSLNVEIINESDEADEFQENLSDLHKVEKLYVYRTVARGRTDAPDFVWIL >mito3_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:774842:775057:-1 gene:mito3_g00130 transcript:mito3_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYKISIHSDRAKPYNRSILTQGASSLFYLDRIEWIFPTTEEMKQKKDRVGTRTLLLYEVYPMLDAEAHI >Ma04_p31530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31805565:31806602:-1 gene:Ma04_g31530 transcript:Ma04_t31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGRRDGFYIIGEESKHPSLCPLSSSSSSTPPISSAFRWHVGPSDSASNGTGGGRRESNFFTVKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDLAANEKGLLLSFEDRTGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDIVSFGRGVGESGSDHLYIDWKPRLENHDIPRLPPLVPLTGVSLTPPLGPWGGRFFVPPGAGHYHHRLAFGYDTMISGTTSADQFHFFGSSVAGAAPQVGVQHDSGSAPPLVLNSQPLLHNQAEAKRVRLFGVNLDSPETQDDPGAPADRSPSARRLRTCSTHPFPPFPRGSTESSAASSSTSKEQHLTLDLGL >Ma06_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8265846:8277374:-1 gene:Ma06_g11870 transcript:Ma06_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRSDGGMGVATGGPSLANGILANGDSGHRTCESDFRGLDRPGVAGAAAAPLPPPPPPPSPSTLPAGIRRAPDEERRRLRRCVEAATKGFAIGAGLKGGLALFSVLVRLKSRRSLRPSARKAGVFTNEEAVVLALKETLRYGLFLGTFAGAYVSVDEVIAAFGGHRRTAGWRSLIAGLIAGPSMLLTGPNTQHTSLAIYILMRAAVLASRCGIKSERFGGLCRPLTWLHGDIFLMCLSSSQILSAYILKQDSLPSSYKSFLNKHGGKDAVILQGVKEIASSIPFSNLDKIEKYYKSISVDVKLDPNMKVPCSIVHGNQSCSRHFITFLFQEYGRAIPVYLPVYLVPALIVHRQGLLKRPYTILGKSLLGTARSSLFLSVYCASAWAWTCLLFRILKRCNIPMVAIGTFPTGLALLIEKKTRRIEISLYCLARAIESFFTCLADAGLLPQASKFKRADVAVFSLATAIIMHCYAQEREVFRSKYLNVLDWVFGVPLSPDNEHVKKS >Ma02_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11881844:11882799:-1 gene:Ma02_g01750 transcript:Ma02_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNCSVLDPWVYHSESAWISEVSARENAAVAMALQISLSDTTTSSSSSSSAASADTLSSPLLLLQHQFTPPSCSSASGDATLRRRNALGPALQGRVSKRKSHASKRSATTYISADPIDFQEVVQRETGFRLAGEPLVKPEPVRSAAGDRAALQQIRLPTLDTSVSFLDTGAVGIPSGGFSSGLPPPAYAPDFDFDPLLPAFPTLDSWGVM >Ma03_p33560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34883459:34887030:1 gene:Ma03_g33560 transcript:Ma03_t33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) UniProtKB/TrEMBL;Acc:M5BEI3] MDHLTTDSLRSSSLTVGERLCAVFIPIIAIVEALICVVADCFDHRSFLVRRAPPPKTFDFARLAHDSRCFTVNEVEALYELYKKLGNSITTDGLIHKEELQLALLNTLTGDNLFLDRVFDLFDEKKNGVIDFEEFIHVLCVFHPCAPLDDKIEFAFRLHDLRRTGFIERKEVKQMVIAILRESDIMLSDDLLEAILDMTFAEAVNGDDKINREEWKDFVIRHPNLLKNMTLPYLKDITTAFPSFVFNTEVEE >Ma08_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34784619:34785914:1 gene:Ma08_g20680 transcript:Ma08_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR3 [Source:Projected from Arabidopsis thaliana (AT3G03750) UniProtKB/Swiss-Prot;Acc:Q9SRV2] MRRTRGGADLRQWAELVLPWLPPPDLAAAASTCKALSRVGKSVSSRRASDATRGLERHSIPFLDPTGDGQPYSYFLYTRFPVLALSSPAPSAQPWGGDPDKNRILDASSLAVFESPITGSGAGCGCNVCAPLAVGDYGRCPCSSPKMGSFSSSNAGNGTDLMTECGTNCSCGVECVNRLTQRGVSVKLRIIKDRNKGWGLHAAQFIHRGQFVCEYAGEFLTTEEARRRQRTYDELACGGRLHPALLVVREHLPSGKACLRVNIDATKVGNIARFVNHSCDGGNLSTVLVRNSGSLLPRLCFFAAKDVVDGEELTFSYGVADLTKQGLPCFCGSSCCVGRLPSEET >Ma05_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36396242:36399162:-1 gene:Ma05_g24140 transcript:Ma05_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRAEAESSSAAARAPPEISDDLAEEAKAEFGVGDAEDDGDNEVGRDEGEEPGADGFVPSPLIPLSDQVEKDKEDESLRRWKEKLLGGVDGELNSKVEPEVTFHSIGVVSEGFANLITSLPVAKNQSQILFTLKEGSKYRLRLTFTVRHNIVSGLTYSNVVWKRGIKVDQIKGMLGTFAPRRDPYEHLLEEETTPSGVLARGIYSAKLKFEDDDKRCHLELSYSFEIKKH >Ma11_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:232214:232828:1 gene:Ma11_g00320 transcript:Ma11_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGLNRQLPLPTKKAWRKLASKFRTKQFKIRRPKSLTAMLRRRTRTAYRQACVDHNHRYFAPVYVDRPYIQPMQAREETNHEAMAASKNNTTTNDRSHLFVGAASASSSRVVEEIGGVDLRAEMFIRKFKEEKKLERQRSVEEYREMLARGV >Ma09_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2147548:2151681:1 gene:Ma09_g03140 transcript:Ma09_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MAESISRSLRDGCLEGEHAPALTIEDSLACPLGSHVFDHFLANLASQIADGTSQARGLVLVALNRSPSFYIDLLRRKGVDASLVDKWVRILDCYSDPLDWKDRIPPLMNVQKPSTRENVSFFRDVRDINKLLSSVLDLGKGFVGQGKTRFAVAVDLVSNLLRHTSLPSVAGLLNNLRSNDQISCIFWLIHSDLHEPRVSTALAYVSTVVASVQPIMQLTDEHRSRRDFLWLEKNSCKAKFYVRLKRRNGRVKLLAEELHMDQVGVKFAAVTSENSFVNKSLLPKVQFNLQLSDQERVDRAKVVLPYEHQGNGEAIQIYDGRRSLSDDQKDPHLVQPSTFSVMTDAQATSGNGEIHYIRDSDDEQPDSDEDPDDDLNI >Ma06_p37050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36232018:36235135:1 gene:Ma06_g37050 transcript:Ma06_t37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol kinase [Source:Projected from Arabidopsis thaliana (AT1G80460) UniProtKB/Swiss-Prot;Acc:Q9M8L4] MSGGEEFFIGSIDQGTTSTRFIIYDRHAQPIASHQVEFTQFYPEAGWVEHDPTEIVQSVRVCMAKALDKATAAGHNVDAGLRAIGLTNQRETTVIWSRSTGLPLYNAIVWMDARTSTICHRLVRDLPGGRDHFVPTCGLPISTYFSALKIMWLLENVDVVRDAVRSGDAMFGTIDTWMIWNLTGGCGAVDREENPVHGLHVTDCSNAARTMLMDLRTLDWDRPTLDALGIPIEILPKIISNSEKIGVIANGWPLAGIPLAGCLGDQHAAMLGQLCRKGEAKSTYGTGAFILLNTGDEIVRSSHGLVTTVAYKLGPEAPTSYALEGSIAIAGAAVQWLRDGMGIIHTASEIEDLAELVENSGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKGHIARAVLESICFQVNDVLASMHKDAGERGEAKTNVREFLLRVDGGATVNNLLMQIQADLLGSPVVRPADVETTALGAAYAAGLAIGVWTEEQIYSAGHKEKTTVFHPKLDEAHRKKRSESWNKAVSRTFDLADLSL >Ma01_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25884714:25897249:-1 gene:Ma01_g22760 transcript:Ma01_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MHRLPFAIGVTARAQRAGSGLFLNALSRTLHSTSFATVTVDAISSSHPAEVKNLVQGEWKVSSGWNWLLDPLNGSPFIKVADVQEAEIEPFVHSLCRCPKYGLHNPFRAQDRYLLYGDVSAKAAQMLAQPQVSEFFARLIQRVSPKSYNQALGEVNVTQKFLENFSGDQVRFLARSFAVPGNHLGQQSHGYRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPIIKVDSKVSIVMEQMLRLLHDCGLPREDVDFINSDGKTMNKLLREAKPQMTLFTGSSRVAESLAVDLKGRIKLEDAGYDWKILGPDVQEVDYVAWVCDQDAYACSGQKCSAQSILFIHENWASSELLSKMQLLAGRRKLQDLTIGPVLTVTTESMLEHIQKLLKIPGSELLFGGEELENHSIPKIYGALKPTAVFVPLAEILKPDNFELVTKEIFGPFQIITYYKHDQLTEVLNVCERMHAHLTAAVVSNDPLFLQEVLGKSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIHDIGPLPQNWEVPPPS >Ma01_p22760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25884714:25897319:-1 gene:Ma01_g22760 transcript:Ma01_t22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MHRLPFAIGVTARAQRAGSGLFLNALRTLHSTSFATVTVDAISSSHPAEVKNLVQGEWKVSSGWNWLLDPLNGSPFIKVADVQEAEIEPFVHSLCRCPKYGLHNPFRAQDRYLLYGDVSAKAAQMLAQPQVSEFFARLIQRVSPKSYNQALGEVNVTQKFLENFSGDQVRFLARSFAVPGNHLGQQSHGYRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPIIKVDSKVSIVMEQMLRLLHDCGLPREDVDFINSDGKTMNKLLREAKPQMTLFTGSSRVAESLAVDLKGRIKLEDAGYDWKILGPDVQEVDYVAWVCDQDAYACSGQKCSAQSILFIHENWASSELLSKMQLLAGRRKLQDLTIGPVLTVTTESMLEHIQKLLKIPGSELLFGGEELENHSIPKIYGALKPTAVFVPLAEILKPDNFELVTKEIFGPFQIITYYKHDQLTEVLNVCERMHAHLTAAVVSNDPLFLQEVLGKSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIHDIGPLPQNWEVPPPS >Ma08_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8382666:8385111:-1 gene:Ma08_g11330 transcript:Ma08_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAFSLDHLSPPPSEQLCYVHCNFCDTVLAVSVPYTSLFKTVTVRCGHCTNLLSVNMRGLLLPAANQLHLSHACLTPAHHNLLDDLQCPPPSLLLDPSILCSGNANNNGNLVHSNATVNHNDSINSNCSTTAPVKGVEEVLPRSPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKASVRQAPEGEDVLPKEGFYATAAANMGVTPF >Ma09_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36050625:36053063:-1 gene:Ma09_g24380 transcript:Ma09_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKPDAQLFQLLSTLLEQVETLSNQEEVELRAKIEALGLEVTKVPPKPSKHLDELEIAEELDKLSAKLDEVDQMISSAMAADPQVQSLLSSTADVWMPVITANADERRAFTVTDGESSHEEQPVLPSQ >Ma03_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23294672:23299971:1 gene:Ma03_g17700 transcript:Ma03_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) UniProtKB/TrEMBL;Acc:F4K1P9] MLPSSLSSSSSSWWVSCLRFVLALSLLGVGSGGDPYVYYQWEVSYISASPLGVKQQVIGINGQFPGPILSATTNWNVVVNIKNNLDEPFLMTWNGLQQRKNSWQDGVLGTNCPIPSGWNWTYAFQVKDQIGSFLYFPSVNFQKAAGGYGGIIINNRDVIPLPFGLPDGDITIFISDWYIKGFKDLRKTIEEGGDLGTPDGVLINGLGPYRYNESLVPDGIIYETINVDPGKTYRIRVHNVGFSSSLNFRIQNHNLFLVETEGSYTVQQNYTNMDIHVGQSYSYLVTMDQNAINDYYIVASARFSNSTTRDRLTGVAILHYSNSQGPASGPLPDGPDEGDTYFSMNQARSIRWNVSAGAARPNPQGSFRYGEITVTDVYVLLNRPAEIINGQMRNTLNGISYIAPFTPLKLAQQFDVPGVYKLDFPNRMMNRPAKVDTSIINGTYKGFMEIIFQNNDTTIQSYHMDGYAFFVVGMDFGIWTENSRGTYNKWDGVARSTIQVYPGAWTAILVYLDNVGIWNLRAENLDTWFRGQEVYISVVNPEDSNKTELPVPDNAIFCGALSSLQKQQSHRFSFSESSSVANLNKMLLLWLFISWTGIIFL >Ma04_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2960262:2966640:1 gene:Ma04_g03850 transcript:Ma04_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLATLHLTLPQSTYDCCNQFVRKQYTFALFVGEFFFNWASNVSESSMYIFVTCQILGHHRNYSVSSFSSMKLSSPTIIFLVLGLLFASMATTSSRETPTHGVREKGRDGATVQSEGVVFGLARASTFTPPAPKANHHHDPPFNNP >Ma01_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7733730:7738096:1 gene:Ma01_g10770 transcript:Ma01_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTQIPTKNSALIAMIADEDTVTGFLLAGVGNVDLRRKTNYLIVDSKTTVKAIEDAFKEFTAREDIAVVLISQYIASMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVRYLFSAESVASGRR >Ma00_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17046475:17048266:1 gene:Ma00_g02290 transcript:Ma00_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQQKESLSIVASHEEEAIDVVNEGGSLQVTDAGEASKNKDTPLNKSTGGSEKNKKQVDALKKKIDRLKKEVKGIKTAIRKALSEKQKKKKKKKTKMATSLGLAIRKLRKSSSSSDSSDSSSDSDSSSSDSTSGLSRRNKKGDDFDKEYPEKKKSKGSKQKKKKLAVGKLSKSSSSSSSSSSSSSSSSSSSSSSSSSSSSSDSSNSEGIDSGSVRKLKGRKHKKKNSGIVKQRRSLRSSSSSSSSSSSSDSKSSHSSCSKGGEVPQLKAEKQKNRNLKQKKIGMVKKEVAKKHRKHKISDSSSSSSVGGPRPWHQSEKQKKKKKKKELMKQKKEAAVA >Ma02_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14971087:14977016:-1 gene:Ma02_g03530 transcript:Ma02_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLGTMTTNPGDSTFDYASVVSINIFVALLCACIVIGHLLEENRWLNESTTALIIGLCTGAVILFARGGRNYVIMMFSEDLFFIYVLPPIIFNAGFQVKKKQFFRNFMTIMLFGVVGTVISFIIISFGASQVFRIMDLGALEIRDYFAIGAIFSATDSVCTLQVLNQDDTPLLYSLVFGEGVVNDATSVVLFHAIQKFDLVHIDIKVVMKLVVNFFYLFSTSTFLGAFTGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTQNSRVTTKHAFATLSFIAEVFLFLYVGMDALDIEKWRSVSGSPEKSMRVSVVLLGLVLVGRAAFVFPLSLIANISRNSQNDKLTLKQQVIIWWAGLMRGAVSIALAYNQFSRSGQTHQQGNAFMITSTITVVLFTTVALGLMTKPLLHFFLPPSTRHLTMSLSLDPSSQESFLSLLLSNGQAIEVEAENSVPRPTSLRMLLTTPSRSVHHYWRMFDDAFMRPVFGGRGFVPLVPGSPTERDGPD >Ma11_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15874636:15877612:-1 gene:Ma11_g12070 transcript:Ma11_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLCTLLLLFLRASYIEMTWADEADDFIKDCTNATCGGLPIRYPFRLQTSPSYCGAPGLEVSCSGGDAVLALPQIGPCKVIDIEYPYGMVRIKLGESWARCPLRTLNSTNLTTTIYQPVYGRGLLLLSCSKEITRVPEWIAGPISCLGSEGRFGYAAVAYESMDEMPAGCNVDSPVLEISYYENRNYSSFNGTVEYFIRTQEMNLQLTMPEIDTCTACETANERCGFSRRRNKTYCMSGPHHGPNFKLIVGLSSGGFVVLVLAALLTLLYVIRKSARDQETRVKVEKFLATYNSDAKPTRYSFADVKRMTKRFKDKLGQGGYGSVYKGELPNGIPVAVKMLEKSKGEGEEFINEVATIGRIHHVNIVRLLGFCSEGSTRALVYEFMPNESLEKRIFSRDAKEADKPSLSLEKLLHIATGIARGVEYLHQGCQQRILHFDIKPHNVLLDDELNPKISDFGLAKLCSREQSLVTMTAARGTMGYIAPEMYSRNFGTVSYKSDVYSFGMLVLEMVGGRKNLDPEIGKESEIYFPEWVYDRLVQNQDLGLAMQMEVKEQEEIMKKLVIVALWCIQWSPMDRPSMTRVLQMLTGNLQSLQIPPKPFVSSLDHGN >Ma02_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23297386:23304745:-1 gene:Ma02_g15690 transcript:Ma02_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MESLPDVAQFARNFSVLVRSQGPDPKGLKMRNHAFHLHQTGSTTLSASGILLPDGCLSDRPPIFDHVCGIHRHSGDLVVTPASVVEPFLTAEYRNKTAQEFSPKLIPAARIDVLIEGKEEGTSSNGAATIPRWSNCKLMALVDVTASSVALLSLLGGDSGLQESSSWEVGWSLAAREGDTQAGMIESATRIAILGIPKVELKNMLHVDISEVQQRGDLLIVMGSPFGILSPSHFLNSISSGVVANCCSAGSVKNSLLLADIRCLPGMEGGPVFDRHACLVGMLTSPLRQKSSNAEIQLVITWNVIATAWGNGLQNEPQNVQQEVAGRYINKERRVLLNLANSRGPIRCLPEGSDFPNLVPSLRKAMSSVALVTVGDGTWASGIVLNPKGLILTNAHLLEPWRFGRKSLVNLVKKSTRFSVECSVSFSEQEEKISEDKRQRFFLSASGSSSAYGDIAHDASLLNRSHKNYRKISVRLDNMECQFWCDASVVYVSNGPIDVALLQLDHVPCQLCPINPEFHCPSIGLPVHVIGHGLLGPRSGIWPSVSTGIVSHVVRVPEPLHIEKSGVVETEKRSVPVMLQTTAAVHPGASGGAVVDSDGHMIGLITSNAKHGGGRTIPHLNFSIPCAALLPIFRFSDEHDWSMLKVLDEPNDLLSSVWALAPPPSQSKQSISEKNDQEGKGSRFSKFLAKKHSGLEDLTHVIKEKLPSKL >Ma07_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6332528:6333704:-1 gene:Ma07_g08500 transcript:Ma07_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPPGAQDMSYLDHVQRRHEEKGCLYACLFALCCCFCCYETCECCLDCLCCCCN >Ma11_p18360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23444567:23449315:-1 gene:Ma11_g18360 transcript:Ma11_t18360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRESHYSFQSSDHLPQDNSSFHHSNQPSVRCLLCRRVFSLEAELNDGFEAIHICRECKIMVLDDNHTNLNVRDIRRIRRQRRDWFRSSEPIEDLFSHRFSRLINLASHNNETQSGGDTPVTVRRHASYYASRSRSWRRQSALSDNDSDALDQSESALGETDSNVSFGGYGGDSDASLDRHSLIDRQVLLQHENESYVSTDTDIDPMHAGLDQWNSDGEDDEDGEWVEASWVELSMFADPQQQFQDANGSPSSNGMGRAQDGAWFQLRIGETPAEYSDVFADFEESDIRPTYVGNPGDYVDARGFEELLEQLAETDSSRRGAPPAAASFVRTLPSVVVSKDHQRKGTQICAVCKDPLLVDTEAKRLPCRHLYHPSCILPWLNVRNSCPVCRYELPTDDPEYEEAKRNLNQTERHVSQPTDTAVETYDTSSELENEEASDISIANAEHRSLDHAANRSGEGSRGGWLFLAAAPIVSIVGIVLIFWLRKPSGDVRIQGGAREQHLHRSQSNSSPADRNRRWWSIF >Ma11_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23444567:23449316:-1 gene:Ma11_g18360 transcript:Ma11_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRESHYSFQSSDHLPQDNSSFHHSNQPSVRCLLCRRVFSLEAELNDGFEAIHICRECKIMVLDDNHTNLNVRDIRRIRRQRRDWFRSSEPIEDLFSHRFSRLINLASHNNETQSGGDTPVTVRRHASYYASRSRSWRRQSALSDNDSDALDQSESALGETDSNVSFGGYGGDSDASLDRHSLIDRQVLLQHENESYVSTDTDIDPMHAGLDQWNSDGEDDEDGEWVEASWVELSMFADPQQQFQDANGSPSSNGMGRAQDGAWFQLRIGETPAEYSDVFADFEESDIRPTYVGNPGDYVDARGFEELLEQLAETDSSRRGAPPAAASFVRTLPSVVVSKDHQRKGTQICAVCKDPLLVDTEAKRLPCRHLYHPSCILPWLNVRNSCPVCRYELPTDDPEYEEAKRNLNQTERHVSQPTDTAVETYDTSSELENEEASDISIANAEHRSLDHAANRSGEGSRGGWLFLAAAPIVSIVGIVLIFWLRKPSGDVRIQGGAREQHLHRSQSNSSPADRNRRWWSIF >Ma11_p18360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23444567:23449314:-1 gene:Ma11_g18360 transcript:Ma11_t18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDDNHTNLNVRDIRRIRRQRRDWFRSSEPIEDLFSHRFSRLINLASHNNETQSGGDTPVTVRRHASYYASRSRSWRRQSALSDNDSDALDQSESALGETDSNVSFGGYGGDSDASLDRHSLIDRQVLLQHENESYVSTDTDIDPMHAGLDQWNSDGEDDEDGEWVEASWVELSMFADPQQQFQDANGSPSSNGMGRAQDGAWFQLRIGETPAEYSDVFADFEESDIRPTYVGNPGDYVDARGFEELLEQLAETDSSRRGAPPAAASFVRTLPSVVVSKDHQRKGTQICAVCKDPLLVDTEAKRLPCRHLYHPSCILPWLNVRNSCPVCRYELPTDDPEYEEAKRNLNQTERHVSQPTDTAVETYDTSSELENEEASDISIANAEHRSLDHAANRSGEGSRGGWLFLAAAPIVSIVGIVLIFWLRKPSGDVRIQGGAREQHLHRSQSNSSPADRNRRWWSIF >Ma11_p18360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23444567:23449316:-1 gene:Ma11_g18360 transcript:Ma11_t18360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLRRVFSLEAELNDGFEAIHICRECKIMVLDDNHTNLNVRDIRRIRRQRRDWFRSSEPIEDLFSHRFSRLINLASHNNETQSGGDTPVTVRRHASYYASRSRSWRRQSALSDNDSDALDQSESALGETDSNVSFGGYGGDSDASLDRHSLIDRQVLLQHENESYVSTDTDIDPMHAGLDQWNSDGEDDEDGEWVEASWVELSMFADPQQQFQDANGSPSSNGMGRAQDGAWFQLRIGETPAEYSDVFADFEESDIRPTYVGNPGDYVDARGFEELLEQLAETDSSRRGAPPAAASFVRTLPSVVVSKDHQRKGTQICAVCKDPLLVDTEAKRLPCRHLYHPSCILPWLNVRNSCPVCRYELPTDDPEYEEAKRNLNQTERHVSQPTDTAVETYDTSSELENEEASDISIANAEHRSLDHAANRSGEGSRGGWLFLAAAPIVSIVGIVLIFWLRKPSGDVRIQGGAREQHLHRSQSNSSPADRNRRWWSIF >Ma02_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17876948:17877961:1 gene:Ma02_g07030 transcript:Ma02_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPISVDLDLSIGSVRFSGKSAVKAKHADDDVSQHLHQRCAVKALEVELDSVNEENKVLKETLAAMVAKYGALRRQMTDLLPKHTSSEGGCVSSGTKDAADTGMRVREGEHNPKVSKLYVRTDPSDSSLVVKDGHHWRKYGQKVTRDNPCPRAYYRCSFAPSCPVKKKVQRSAEDTSMLVATYEGEHNHGQPSHPGSVHAPRHCSLASDRSHASKKHKQDYLVEQMAVSLTNDIAFKTALAAAISGRLYRSYSPK >Ma11_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21448281:21454704:-1 gene:Ma11_g15810 transcript:Ma11_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGLFTEWPWQRLGNFKYLVLAPWSLHSLYLAVSKERKELDLTYLIILPSLLLRMLHNQVWISLARFQNARSKNRIVDKSIEFEQVDRERNWDDQIIFNGILFYLGYFYIPGATNLPVWRTDGALLMALLHMGPVEFLYYWFHRALHHHFLYSRYHSHHHASVVTEPITSVIHPFAEHVVYFLLFSIPMVTTIVTRRASILALLGYVAYIDLMNNMGHCNFELVPKWLFTVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYSTMDKSSDDLYERSLKGKEEVPDVVHLTHLTTLQSIYHLRIGFASLASKPYNSKMPILLMWPVVWTSMLLTWIYSSSFTVERNGFKKIKMQTWAIPRFKFQYGLPNERDAINDLIEKAILEAEDKGVKVLTLELLNQANELNGNGELYLHKYPNLRLRIVDGSSLAAAVVLNSIPPETKKVALCGNLSKVAYRVASVLCQRCVEVIITRKHEYYMLKSQIPESIAGYLLLSNNHNTQVWLVGDGLEDVEQRTAPKGTLFVPYSSFPPKKVRKDCTYCTTPAMKIPDTVENMHSCENWLPRRVMSASRVAGIVHALEGWDSHECGDKTQDIDKMWSAALRHGFLPLFGISNKALSN >Ma05_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10335079:10336224:1 gene:Ma05_g14220 transcript:Ma05_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAWRFLFLVLLRLLPLHVHGDGEGDCSTDDEGRDKKKALPLKIAAIVSILVCGGIGVGVPVLGMWIQSLRPEKDIFFVIKAFAAGVILATGFIHILPDAFETLTSSCLAASPWQDFLFAGFCAMVGAIWTLMVDTLATGYFSRLNGDRLLPTSLSEATNGDVEATHDHTHGAAVMQPEDSSAQLIRHRVVSQVLELGIVVHSVIIGISLGASEPPSTIRPLVAALSFHQFFEGMGLGGCIVQARFEFKAMVTMGLFFSLTTPVGIAIGTGISSVYNENSPTALIVQGLLDSVIRNSMTSPMPLHGRVTFVVFLITCITCTMFAA >Ma10_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16431880:16433798:1 gene:Ma10_g05360 transcript:Ma10_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGVKVSSSPGREKLPPPPGLARLLYGKAVGSRSRGRSASTVARSSPMFASRSRSRSRSSSAAAAAAKEGGEPSSPKVTCIGQVRIRNKRSAKPNKPQPKKTRSKSSLMPCRCLHKSLLCGLFPVRKRPTGRGGAGRGSGGRRSLWPRWSRVRSGESGGYQQQKPDPVKPSPQPELITGVRVSKREEDGDDKDEDDEEAARHGEKTKVFEPLATATPPKNALLLMRCRSAPHNRSSSLATSQITVSPLLSPDSPAASPLEEVSRGKARDQELLQQQEQRTSSSGEALVHEEEEEEEEQGDEAKGSESRRPLVLPRSKSEPARRAAAKLAVPEASYCFWTSRCQRRHFVPAHEERAPPTLTDV >Ma04_p30940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31424961:31428542:-1 gene:Ma04_g30940 transcript:Ma04_t30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGDGFVRADQIDLKRLDEQLERHLSRPRTIEKRKEEERQREEWEIDPSKLVIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEEGNRTEAEISALRAAFSQEVSVWHKLDHPNVTKFIGAAIGAADLNIQTENGHLGMPSNVCCVVVEYLPGGALKSFLIKHHRRKLAFKVVVQMALDLGRGLSYLHSQKIVHRDVKTENMLLDRTGTVKIADFGVARIEAQNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEITSAVVRQNLRPEIPRCCPSSLANVMKVCWDANPDKRPEMDEAVTMLEAIDTSKGGGMIPPDQQQGCFGCFRKHRGP >Ma10_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25190736:25192423:1 gene:Ma10_g11800 transcript:Ma10_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGGWVGGGAPPTRALEILRETVRILRADPSPFMTALALICPVSAALLSGALVPLATAALLSRRLDLLAVASGLPPARPLFQLCRHFVAILLSAAACFPLLVTALLLARSSIAYSVACSYAARKVRRVEFFAAVRPIWRCILFTYLWICAAISACVVLFLALLLLVCNLCAILGYPPEIIVYPALLVVLVFSIVYAHTIIVCNLAGVVSVLEEISGLRAFLRSVRLIRGKRQVGLLMFLGSTIGMGFVQGLFEHRVKTVSYGDGSSRLWEGPLLVFMYSFVVLVDSMMSAVFYFTCRSSIIEETDEDVHELEEKENVSTEVTDIK >Ma02_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23456423:23457235:1 gene:Ma02_g15980 transcript:Ma02_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESQAIIGETDMLQTMQQDALRLAGKALDEFDVTDSTEIACFIKKEFDKSYGPGWQCIVGTDFGSFVTHHCGCFIYFNIGSLAILLFRGAAAPEADTGLLVATEAVKA >Ma06_p31060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32254741:32257275:-1 gene:Ma06_g31060 transcript:Ma06_t31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKACKKHTLHKVTQYKKGKDSLSVQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Ma06_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4367376:4372751:1 gene:Ma06_g05910 transcript:Ma06_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPSSPSLSTVVCFGLEEREGTVGVSVGMGRSCGGLLKELQQIWEEIGESEAEKERMLLEIERECLDIYQRKVDEASKAKAHLHQSVVAKEAEIAALAACLGEHTVHAKKDNKPVSIKEQHNLVANTLEHLRTKKEERVKKFADVLLQIEKISVEIREYAHQHDTMEGPVVMDEHDLSTRKLDEYRAQLRALQKEKSDRLQKVLEYVSEVHSLCGVLGLDFRKTLDEVHPSLHDAHPEQSTNVSNNTLEGLAQAILKLKAEKKIQIQKLQETMDSLFELWKLMDSSQEEREHFEKLAHILESPEDEITHIGLLSHETIEKIESEVCRLSKLKASRMKQLVLKRRVELEEECRRAHTEPDANTATEKITALIDSGLVDPSELLANIEAQIVKAKEESISRKDIMDRISKWLAACEEENWLEEYSQDQNRYNAGRGGHINLRRAEKARISISKIPAMVDNLISRTFAWEDDRNKIFLYDGVRLVSILEEHKLTRQHKEEEKRRLRDQKKLHSLLLTEKEASFGAKSVAKRSNSLGRKPSGYQVNGFMTPAPRRLSAGGATPELLTPRSYSSGRHNGYFKETRRLSATPLNFVAIPKDDSMSTLTSVSGSEPESPLLNRSSLLWDTNQVMK >Ma06_p05910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4367376:4372751:1 gene:Ma06_g05910 transcript:Ma06_t05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPSSPSLSTVVCFGLEEREGTVGVSVGMGRSCGGLLKELQQIWEEIGESEAEKERMLLEIERECLDIYQRKVDEASKAKAHLHQSVVAKEAEIAALAACLGEHTVHAKKDNKPVSIKEQHNLVANTLEHLRTKKEERVKKFADVLLQIEKISVEIREYAHQHDTMEGPVVMDEHDLSTRKLDEYRAQLRALQKEKSDRLQKVLEYVSEVHSLCGVLGLDFRKTLDEVHPSLHDAHPEQSTNVSNNTLEGLAQAILKLKAEKKIQIQKLQETMDSLFELWKLMDSSQEEREHFEKLAHILESPEDEITHIGLLSHETIEKIESEVCRLSKLKASRMKQLVLKRRVELEEECRRAHTEPDANTATEKITALIDSGLVDPSELLANIEAQIVKAKEESISRKDIMDRISKWLAACEEENWLEEYSQDQNRYNAGRGGHINLRRAEKARISISKIPAMVDNLISRTFAWEDDRNKIFLYDGVRLVSILEEHKLTRQHKEEEKRRLRFLLQDQKKLHSLLLTEKEASFGAKSVAKRSNSLGRKPSGYQVNGFMTPAPRRLSAGGATPELLTPRSYSSGRHNGYFKETRRLSATPLNFVAIPKDDSMSTLTSVSGSEPESPLLNRSSLLWDTNQVMK >Ma11_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10802013:10816857:1 gene:Ma11_g10860 transcript:Ma11_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYDILGVSVDASAAEIKKAYYLKARVVHPDKNLGDPQAARNFQVLGEAYQVLSDPAKREEYDKHGKEGVPQDSMIDPATVFGMLFGSDFFEDYVGQLALATIASVEIEEESQVPEIRKQRVQEKIKELQKEREQKLVEILKDHLHLYVSGQIEEFVNWANTEASRLSQAAFGEAMLHTIGYIYARQAAREIGKSKRYMGMPFIAEWVRNKGHHIKSQVNAASGAVALIQLQGGMQKLEVGGDEDIMKHFEEKKDAMLNSLWKINVLDIESTLLHVCQAVLRDNSVSKDVLKLRAKALKKLGTIFQGAKAIYRRENSLRVENGTGAVP >Ma04_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13203001:13227462:1 gene:Ma04_g15770 transcript:Ma04_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENAYTNLVLSDVKQFQNQLYTEIRGRIKEDDITVPIRRGGYYYYKRTLKGKEYVQHCRRCIRDDGAASVFDVMSTGPEASEEHLILDENIKAEGRDYYSIGAFKVSPNNKLVAYAEDTKGHEIYNVYIMDIENGTLLGKPLTGITSYMEWAGDDSLVYITMDEILRPDKVWLHKLETDQSNDLCLYHEKDDMFSLDIQASESKQYLFIASESKTTRFLFYLEKLKLESGLMALTPRVSGIDTTASHCGNHFFIKRRSDEFYNSELLACPVDNVTETTILLPHSESVKLQDFQLFNDHIVVYERENGLPSITVYNLPPIGEPIGRLQNGRTVDFIDPVYSVDPEESQFSSTIVRYSYSSLRTPCSVYDYDMKTGISVLKKIKTVLGGFDPSSYVTERKWAVASDGTQVPISIFYKKDLVKLDGSDPMLLYGYGSYEVCIDPSFKASRLSLVDRGFIFAIAHIRGGGEMGRLWYENGKLLKKKNTFTDFIACAEYLIENKYCSKEKLCINGRSAGGLLIGSVLNMRPDLFKVAVAGVPFVDVVTTMLDPSIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNIKAQHYPDILVTAGLNDPRVLYSEPAKFVAKLRELKTDDNILLFKCELGAGHFSKSGRFEKLEEDAFTYAFILKALNMIPLTTS >Ma08_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2520741:2522817:-1 gene:Ma08_g03490 transcript:Ma08_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSLRFLAKIPSLIIQIRGFGSPSSFRKPHPLPPPPILDSEAVLEAVCINLRRRDWNFLQQFSSYLVGPLVRRVILRCRSSPRLSLDFFNWALEQQRNNERSSLDLDTYSVLIHVLVSARMFDEALCIMRQLMQERRVAALELLDALARSRKRYGGSRDVYDAMVRCCTQIGATKDACLVMETLRARGVWVSVHACNNLLNHLLMSSQDGGVAFWEMYKEMLALGYVENVNTFNLVIYGLCRECKISEAFSVFYRMLKGGVFPNIVTFNMLIDGCCRNGELSLAYELFKKIKLVSGNNTEPNAVTFNCLINDLCKAGRAEDGEHVVKKDMLKKGFLPNLRTYGTLIDGYAREGKMEEALRLFIEMLDDGMVPNVVVYNSLLNWLLKQGHIEEACFLLSDMRKVHASHDHYTYAILVDGYCRNGCMQEAFGYYNQCREEKLVKDVVPYNSLINHLYKQGRVCEVNQLLGRMFVSCLAPDVVTYSTLIDRFFKDGKIDDALKVYDEMIEVGQRPNLITYNSIIHGFCQVEYVDMARLAIEELRSSGMLLDVITYNTVVNGYLRSQRDEEALDLCKDMQKLGIAVNGVTCNIFMNYLCKFGYFEQAKGLLRIMLDGGLSPDQITYTTIITALSKSSQANEIIELHDNMVLREVPPDEYTYNTIVSCLIQGKAHSKS >Ma10_p28540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35402223:35404126:-1 gene:Ma10_g28540 transcript:Ma10_t28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAAADVSLDLKLFTARTVAGFLKEALVMEIGDGRVAKLEESVRSLEEEKRKIEAFKRELPLCMHLLTDVIEGLEKELEKCRGERCARVFGESIPIKEKFEEEGREKVEKDCEAKMNWMSSAQLWSDNPNRNNCKDNKNEKKVTPEGGGELKRQQKKENLFLESKSLSGGGAFVPFKGLSAVTANGEEGKPTVALPDLSLQAPAMDNGALTLSAVTEGHFVSGSGSKGAGRAPTSAPATAGDHPSSQAQQPPPRKVRRCWSPELHRRFLFALQKLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHARRMPNSSDAVNRRVAVAGGVWVPEEQYSNSSQQSVSQSGSPQSPLQLAGATPVVSVTAGDSCEEEDGKSESFSWK >Ma04_p23870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25936890:25938123:-1 gene:Ma04_g23870 transcript:Ma04_t23870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAPRKETWIRAEREGNDALPVAEAGDLGDRSFATSEPASDLKEEVKGFVYGRSGGVDGSGLDGSSWNDASTHLVNNVPETDKGVHFSQPFLVFGANKYASVYLYTFP >Ma04_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25936161:25938123:-1 gene:Ma04_g23870 transcript:Ma04_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAPRKETWIRAEREGNDALPVAEAGDLGDRSFATSEPASDLKEEVKGFVYGRSGGVDGSGLDGSSWNDASTHLVNNVPETDKGVHFSQPFLVFGANK >Ma07_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29730438:29735972:-1 gene:Ma07_g21650 transcript:Ma07_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLCDFCTEQRSVVYCRSDAASLCLSCDRNIHSANALSRRHSRTLLCDRCTTQPAIVRCIEENASLCQNCDWNGHGGLALTSEHKRQTINCYSGCPSAAEFSRIWSFFEFPDMEEPDFEKGLMTINENSVTNCWGPPEDSSTANIGSTGKMNDIKAVDKANPWVESSSASGLNAMSCSADRPAGSMDSTTPKTSCPRTDDVDFCKDDFYEGFTMGDADMTFENYEELFGASHNLTGDLFDDAGIDSFFDMKENSATNSICHGESTEEVKQMQATCGNAVSADPAMSNPEGNADSSLALPGCQVQSTLSFSFSGVTGESSAGEYQDCGMSGMLLTGEPSCYHAGPGSSSLPTSNRESALIRYKEKKKTRKFEKKIRYASRKARADVRRRVKGRFVKAGEAYDYDPLSETRSC >Ma02_p14450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22520292:22529331:-1 gene:Ma02_g14450 transcript:Ma02_t14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSADEIADSFWAASPASAGLPSPTGAAMSRCPSEWYLEKFLEEAAAFSAPSPNPSLNANQNNCNTVPYPPSAVSSASSRNPSPNLAPNPSASSSTVGSNFCGAPRASGRVGNGEVVEIKAPFGPPAAVDPGNYQALLKQKLDVICAAVAMSRSSSVNPQNSASVADSRSPISDASQFGSQVPVKALSILQNSGTQGKPATSGSSRDQSDDDDDDDDELEGEAETNENMDPAERRRMRRMLSNRESARRSRRRKQAHLSELEGQVSELKIENSTLLKRLTDINQKYGDAAVGNRILKADVETLRAKVKMAEETVKRVTGVCPLYPIISEMSNISLPFNGSSSDATSNVVIPVHDDTNHFFHVSAYDQRKNTCLPDIGIPPTVEDAVHGAVAAGKTAGAASMRQADSLEHPHKRILGGPNSSPEWNSAAAWDPKTSVTGKKNQG >Ma02_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22520292:22529329:-1 gene:Ma02_g14450 transcript:Ma02_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSADEIADSFWAASPASAGLPSPTGAAMSRCPSEWYLEKFLEEAAAFSAPSPNPSLNANQNNCNTVPYPPSAVSSASSRNPSPNLAPNPSASSSTVGSNFCGAPRASGRVGNGEVVEIKAPFGPPAAVDPGNYQALLKQKLDVICAAVAMSRSSSVNPQNSASVADSRSPISDASQFGSQVPVKGDGPGGVPALSILQNSGTQGKPATSGSSRDQSDDDDDDDDELEGEAETNENMDPAERRRMRRMLSNRESARRSRRRKQAHLSELEGQVSELKIENSTLLKRLTDINQKYGDAAVGNRILKADVETLRAKVKMAEETVKRVTGVCPLYPIISEMSNISLPFNGSSSDATSNVVIPVHDDTNHFFHVSAYDQRKNTCLPDIGIPPTVEDAVHGAVAAGKTAGAASMRQADSLEHPHKRILGGPNSSPEWNSAAAWDPKTSVTGKKNQG >Ma10_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21800939:21801841:-1 gene:Ma10_g07370 transcript:Ma10_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKNVVSWWRREVVFRVKRAWLAVSSRVETRKHGKGILKLYDDVQMCGYQDVQVMWEILTKPEMETPKRLKQRKGSIWRLSSCCRRTRFSLMQWKTISETVDEKGKQSLAMS >Ma01_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2362682:2367996:1 gene:Ma01_g03570 transcript:Ma01_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDVCLTDGTCARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVANVNAIIGPALIGKDPTEQVEIDNFMVQQLDGTSNEWGWCKQKLGANAILAVSLAVCKAGASVKKIPLYQHIANIAGNKNLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHNLKGVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYSDGDKTYDLNFKEENNDGSQKISGDKLKDVYKSFVSEYPIVSIEDPFDQDDWTHYAKMTEEIGQQVQIVGDDLLVTNPTRVSKAINENACNALLLKVNQIGTVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQASHLYDVVCFAVSSSFWSSL >Ma08_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10968726:10971638:1 gene:Ma08_g13830 transcript:Ma08_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVLAGMESQELHEVTSPKPVCDSYNQMDVDAKTSSMSIPRSKEIDSPVTEQAPRVRKPYTITKQRERWTEEEHNKFLEALQQYGRAWRRIEEHIGTKTAVQIRSHAQKFFSKVVRESGSNDNTGTSKGIEIPPPRPKRKPVHPYPRKLSHSSNKEIPALKQLERPRLQSPIICEQDNRSPTSVLSAVGSETMGSVFSIGQNGCSSPVSSAAGSNDQDDRGQSPIMMVQEEHKLRGFDPAVPASARQDQLPEVIDHCPNAYTSSEAPLPTLKLFGKMVVVTDSNVSSASGAANITQPQPTSSVDIKDLQENKALNLHSKAEMWLQRARHGVFTGDLIRSTCDAYPGQPPPLLYRFPLVGGHSVEPTFLSPPWWSIYGNLPFSYIDLQPQYPLQFGMEAAGNEDMQREGSWTGSNTASTSGAGLTDPNAVVVNSNKAENVAESDSMPCTSLKPSSIPASRRGSGGSGRGFVPYNRCAVESEVQQSVTASEDGESQAIRLCL >Ma08_p24990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38023063:38034969:-1 gene:Ma08_g24990 transcript:Ma08_t24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSNILVPVSVSQNPKNQRRFVVVVGEERERKNKKKKVISGSQDGAGSDAPAGAERDVSNDGGGVAGSGGNQFPSTSLYVGDFQASVTETQVYDLNPVNATTALEVLNFMPLNNKPIRILDSNRDPSMHRNGAANIFVKNLDKAICNGQLFDIFSAFGRIVSCTIAKDASGQSKGYGFVQFEQEEAAQNAINEINGMLLNDKPVFVGPFIRKKERQNSLDKTKFSNVFVKNLSESTTNEDLENIFGEYGKITSAIVMREEDGKSKCFGFVNFENPDDAARAVQELNEQKFDDKEWYVGKALKKSERELALRKSYNQSARNTVDRDQGLNLYLKNLDDSVGDDELKELFSDFGTITSCKIMRNPDGISKGFGFVAFSAPEEANRALEDMKGKMVRGKPLYVAPAQRKEDRRASFQAHFSQVRPVAMGLGPSVGPRVSIYPPSAPGLGQQIFYGQPPPNLIPPQPGFRFQPQLIPGVQPAEAPMPNFLPAPVQQRRKVHQPLTLQQVLDHLACKLQLVESRKSTRMVPKFHVHSYPPGTPGAVGGMLHPNEMGGLPMQDVAVPQPIPIAALPSALANAPPEQQRLMLGDSLYALVKQLEPVDTAKVTGMLLEMDQTEVLHLLESPDALRAKVAEAMEILRSVAQQQQG >Ma02_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19379177:19379929:1 gene:Ma02_g09290 transcript:Ma02_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATERNELKDENTTLQAETSQLQKELQGRSSYNPTWSNNINSMTPTQPQPTATGLPMLHQPVANGSTQATPIRQLQHFSGGKNKHSTTNAAWSFPGDETTCRISDFVGLGSGLLLWTSHQYHKKRDVVAVALVLAARRKDVIKHPLVYHYNQEMYIANPIIFSPFVNDQNEECH >Ma07_p22590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30469161:30473617:1 gene:Ma07_g22590 transcript:Ma07_t22590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRLLLHLVYPTSSSLLLANCKPSPLPVLNIGCRRISTAAAQRAPPSEAAVDDFWRWLCERGAVAASSAATVKPGFVPEGLGLVAQRDLPRNEVVVEVPKRLWIDSDTAVASEIGRLCAGLKPWVSIALFLLRERALGTASPWHPYLDILPPTTNSTIFWSEEELSEIEGTQLLNTTMGAKEYVESEFVKAEAEVILPNKHLFPSAITSLDFLWAFGILRSRAFSRGRGENLALVPLADLINHSSSITQVDSCWEIKGKGIFSRELIFSLRTPVYVKSGEQVHIHYDIAKSNADLALDYGFVEQRPDRDAYTFTLEISESDPFYGDKLDIAESNGLDETAYFDIALGCPLPPLMLPYLRLVALGGTDAFLLESVFRNTIWGHLELPVSRANEEAICRVVRQACKSALSAYHTTVEEDEKLMEGDNLDERLRIAVCIRAGEKKVLQQIDDAFRERESELDVLEYYQERRLKDLGLVGEQGEIIFWESK >Ma07_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30469161:30473617:1 gene:Ma07_g22590 transcript:Ma07_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRLLLHLVYPTSSSLLLANCKPSPLPVLNIGCRRISTAAAQRAPPSEAAVDDFWRWLCERGAVAASSAATVKPGFVPEGLGLVAQRDLPRNEVVVEVPKRLWIDSDTAVASEIGRLCAGLKPWVSIALFLLRERALGTASPWHPYLDILPPTTNSTIFWSEEELSEIEGTQLLNTTMGAKESRAFSRGRGENLALVPLADLINHSSSITQVDSCWEIKGKGIFSRELIFSLRTPVYVKSGEQVHIHYDIAKSNADLALDYGFVEQRPDRDAYTFTLEISESDPFYGDKLDIAESNGLDETAYFDIALGCPLPPLMLPYLRLVALGGTDAFLLESVFRNTIWGHLELPVSRANEEAICRVVRQACKSALSAYHTTVEEDEKLMEGDNLDERLRIAVCIRAGEKKVLQQIDDAFRERESELDVLEYYQERRLKDLGLVGEQGEIIFWESK >Ma06_p28170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29927387:29928469:-1 gene:Ma06_g28170 transcript:Ma06_t28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDANAPSDAGDVNAMMMIEAWYKIKRNWMGDPCSPKIQHLESSKDHYSVSFFKRELNLSSSGLTGEINKSFASLGAIDTCKATDLKLISFLFLGKAIEHGKQSLAFIGANAEFHVEARHLF >Ma11_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24894614:24895652:1 gene:Ma11_g20400 transcript:Ma11_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYLFDSLNHEHSPESSTGSTEPFPWAGVGLFYPDVPLPFNMDDSEEMLLLGMLAEASGKASSSSEACDRSVIRAKEEEVDSRSKAADEPKEKSYRGVRKRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFSMRGSMAVLNFPVERVRESLNGIKCWKEEEKVSPAVALKRRHSMRRKWMNKKAKESETSSSSSSSVESVLELEDLGTEYLEELLRTSEVANTC >Ma06_p03930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2844098:2854178:1 gene:Ma06_g03930 transcript:Ma06_t03930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLLTHLKKPPGTPSGRCSPHPRVRKLADSVVRVLLTRKADPRWEQTLEEMLSCHAEEEYMAPIIARIRDPDAALDFFDWARRRRPSWADPPDSLSYSELLRLLALPGRLPEAGLVLDAMRSDGRTPTREASSALLVAYADSGAEEKALDVYASMRDQDGCFPDVSGCNSLLELLVRQRHYELARKVYDEMVEREGGADNYSTGIVVRGLCSEGRMDEAKRLIEDRWGAGCIPNVVFYNMLVDGYCRKGDIRRGYALFEEMKLRGFLPTVVSYGIVIHGLCMKGNIAEINRLISEMKARGLQPNVQIYNDVIDSRCKHGSIVEAKAALRQMIGSGCEPDIITYNILIAGFCRDGKVPGAQQLLREAISRRLSPNKFSYTPIIHGYCQIGDVVTASNLLVEMIERGHSPDLVTYGALIHGLVVLGEVNDALEIRKKMMEKGVLPDAAIYNVLISGLCKKGMLPSAKKLVAEMLDQNVILDEFVYATLVDGFIRNEDLNEAKKVFEFMDQKGIKRGIVGYNAMIKGYCKFGMIHDAILCISRMRKDGYLPDEFTYTTVINGYARQGDLDGAMRVFIDMMKHRCKPNVVTYSALINGYCQIGDTDTAEVLFKYMQRNEITPNVVTYSILIGGCCKIHRMARAIIYFEEMLQYKCLPNDFTYRYLIKGLTDSISNCEIINSNDLQHRHEYATLNIYKIMVLEGWDHKTAAYNAIIFCLCKHRMLRSALELRDKMIEKGCLPDHITVVFLLHGACAEGKLEDLKSTLSCHFQQNEFEVALKYARLVDKYLYQGERSEASMILETLLEDRAQSPELGHCVALSYQRYP >Ma06_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2844098:2854178:1 gene:Ma06_g03930 transcript:Ma06_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLLTHLKKPPGTPSGRCSPHPRVRKLADSVVRVLLTRKADPRWEQTLEEMLSCHAEEEYMAPIIARIRDPDAALDFFDWARRRRPSWADPPDSLSYSELLRLLALPGRLPEAGLVLDAMRSDGRTPTREASSALLVAYADSGAEEKALDVYASMRDQDGCFPDVSGCNSLLELLVRQRHYELARKVYDEMVEREGGADNYSTGIVVRGLCSEGRMDEAKRLIEDRWGAGCIPNVVFYNMLVDGYCRKGDIRRGYALFEEMKLRGFLPTVVSYGIVIHGLCMKGNIAEINRLISEMKARGLQPNVQIYNDVIDSRCKHGSIVEAKAALRQMIGSGCEPDIITYNILIAGFCRDGKVPGAQQLLREAISRRLSPNKFSYTPIIHGYCQIGDVVTASNLLVEMIERGHSPDLVTYGALIHGLVVLGEVNDALEIRKKMMEKGVLPDAAIYNVLISGLCKKGMLPSAKKLVAEMLDQNVILDEFVYATLVDGFIRNEDLNEAKKVFEFMDQKGIKRGIVGYNAMIKGYCKFGMIHDAILCISRMRKDGYLPDEFTYTTVINGYARQGDLDGAMRVFIDMMKHRCKPNVVTYSALINGYCQIGDTDTAEVLFKYMQRNEITPNVVTYSILIGGCCKIHRMARAIIYFEEMLQYKCLPNDFTYRYLIKGLTDSISNCEIINSNDLQHRHEYATLNIYKIMVLEGWDHKTAAYNAIIFCLCKHRMLRSALELRDKMIEKGCLPDHITVVFLLHGACAEGKLEDLKSTLSCHFQQNEFEVALKYARLVDKYLYQGERSEASMILETLLEDRAQSPELGHCVALSYQRYP >Ma06_p03930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2844098:2854178:1 gene:Ma06_g03930 transcript:Ma06_t03930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLLTHLKKPPGTPSGRCSPHPRVRKLADSVVRVLLTRKADPRWEQTLEEMLSCHAEEEYMAPIIARIRDPDAALDFFDWARRRRPSWADPPDSLSYSELLRLLALPGRLPEAGLVLDAMRSDGRTPTREASSALLVAYADSGAEEKALDVYASMRDQDGCFPDVSGCNSLLELLVRQRHYELARKVYDEMVEREGGADNYSTGIVVRGLCSEGRMDEAKRLIEDRWGAGCIPNVVFYNMLVDGYCRKGDIRRGYALFEEMKLRGFLPTVVSYGIVIHGLCMKGNIAEINRLISEMKARGLQPNVQIYNDVIDSRCKHGSIVEAKAALRQMIGSGCEPDIITYNILIAGFCRDGKVPGAQQLLREAISRRLSPNKFSYTPIIHGYCQIGDVVTASNLLVEMIERGHSPDLVTYGALIHGLVVLGEVNDALEIRKKMMEKGVLPDAAIYNVLISGLCKKGMLPSAKKLVAEMLDQNVILDEFVYATLVDGFIRNEDLNEAKKVFEFMDQKGIKRGIVGYNAMIKGYCKFGMIHDAILCISRMRKDGYLPDEFTYTTVINGYARQGDLDGAMRVFIDMMKHRCKPNVVTYSALINGYCQIGDTDTAEVLFKYMQRNEITPNVVTYSILIGGCCKIHRMARAIIYFEEMLQYKCLPNDFTYRYLIKGLTDSISNCEIINSNDLQHRHEYATLNIYKIMVLEGWDHKTAAYNAIIFCLCKHRMLRSALELRDKMIEKGCLPDHITVVFLLHGACAEGKLEDLKSTLSCHFQQNEFEVALKYARLVDKYLYQGERSEASMILETLLEDRAQSPELGHCVALSYQRYP >Ma06_p03930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2844098:2853714:1 gene:Ma06_g03930 transcript:Ma06_t03930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLLTHLKKPPGTPSGRCSPHPRVRKLADSVVRVLLTRKADPRWEQTLEEMLSCHAEEEYMAPIIARIRDPDAALDFFDWARRRRPSWADPPDSLSYSELLRLLALPGRLPEAGLVLDAMRSDGRTPTREASSALLVAYADSGAEEKALDVYASMRDQDGCFPDVSGCNSLLELLVRQRHYELARKVYDEMVEREGGADNYSTGIVVRGLCSEGRMDEAKRLIEDRWGAGCIPNVVFYNMLVDGYCRKGDIRRGYALFEEMKLRGFLPTVVSYGIVIHGLCMKGNIAEINRLISEMKARGLQPNVQIYNDVIDSRCKHGSIVEAKAALRQMIGSGCEPDIITYNILIAGFCRDGKVPGAQQLLREAISRRLSPNKFSYTPIIHGYCQIGDVVTASNLLVEMIERGHSPDLVTYGALIHGLVVLGEVNDALEIRKKMMEKGVLPDAAIYNVLISGLCKKGMLPSAKKLVAEMLDQNVILDEFVYATLVDGFIRNEDLNEAKKVFEFMDQKGIKRGIVGYNAMIKGYCKFGMIHDAILCISRMRKDGYLPDEFTYTTVINGYARQGDLDGAMRVFIDMMKHRCKPNVVTYSALINGYCQIGDTDTAEVLFKYMQRNEITPNVVTYSILIGGCCKIHRMARAIIYFEEMLQYKCLPNDFTYRYLIKGLTDSISNCEIINSNDLQHRHEYATLNIYKIMVLEGWDHKTAAYNAIIFCLCKHRMLRSALELRDKMIEKGCLPDHITVVFLLHGACAEGKLEDLKSTLSCHFQQNEFEVALKYARLVDKYLYQGERSEASMILETLLEDRAQSPELGHCVALSYQRYP >Ma11_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20779908:20780746:-1 gene:Ma11_g15070 transcript:Ma11_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLPSCLFPMLVPSAMPHCKTLFCGGFPFLTIGILCGAVWANEAWGSYWNWDPKDTLAFLTFTIFYIYSHTHTRRINLLRIGLHSYGSFTLTST >Ma07_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:883066:883431:-1 gene:Ma07_g01150 transcript:Ma07_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSGPYEMVARMASGNAVVVFSVSGCCMCHVVKHLLLGLGVGPTVYELDQLGKGGREIQAVLSHLLSAASPSPSTSISAAAVPAVFIGGQLLGGVEKVMSCHINGSLVPLLKQAGALWL >Ma06_p27540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29503383:29506043:-1 gene:Ma06_g27540 transcript:Ma06_t27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQTVTQKFSSFSSSSSSTSGRDDKPREIDRSRSLGPVKLEKSYGKKERDTETVTIEEDEEEGQEEEEVEVVVVVNKEEEASSAPEEPPEASFGSISEEVDGFLSFLLSIDDGRDQWPEPPAMPEPTIKKFLDFVEEELVKYESGKDGAPPPANDELVLFDAIDRVAKLTSALSIFSSDTKYNKAMTRASSILRYAMCFLEDEFHSLLEDSKPKKDTGTARPKTKRRPSIGHLHDAIDRCVPPPSEPDLAEFPSTYTPEIIERLSGIAGAVVSAGYATECCQVFTISRRNAFDVALSNLGYEKLGVDDVQKMPWDSLETKIATWNKVFRQTVEVAFPREHDLCEAVFAGHSAIAYSIFHNFARGVTVLLLGFAEAVATTKRSAEKLFKVLDMYETLRDMVPRIDALLRTTESEPDDSSAAHDLTTEVALVRSRLGEAVVAIFCDLETSIKTDMGKNAVPGGAVHPLTRYVMNYLKYACEYKNTMEQVFRDHKNSENPSSQVEDAAKGGSDDNHNPFAIQLMEAMELLHTNLESKSKLYRDPALCSIFLMNNGRYVMQKIKGATEIHQLLGDTWSRKRSSDLRQYHKNYQRETWSKVLACFKDDGLQARGHVAKPVLKERLKSFNSMFEEIHKAQSSWVVSDEQLQSELRVSVSAVIVPAYRSFLGRFSQYLDPGKKSGKYIKFGPEELENYIDELFSGNPSSMVSRKRT >Ma04_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17615663:17617491:1 gene:Ma04_g17350 transcript:Ma04_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLRLPFFRFHFLLLLLALIYPSKAEIKTLDISSDSRQIILFEKFGFNQRGTVSISLSGVSSSSSSAAAPTVDPSLLGFFLISDESLIQAAYYSQQNPNPSSYPDCVLMSPYVHVLFNFRDLSPPLPAGAYNHSFNVTQPDEYSLYFANCASDAAAVSMSVRTEMYNARSDGSPDYLSVGQSPVPSLYTFFAVAYAVFLGLWIYLTLFQDRLSAHRIHHLMSGLLLTKALYLVFAAEDQHYIRKTGTPHGWDVPFYLFQFLKGVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQIIANIASVVIGETGPFIKDWVTWNQVFLLIDIICCCAVLFPIIWSIRSLRETSKTDGKAARNLKKLTLFRQFYIIVIGYLYFTRIVVYALKTIASYKYRWVSVAAEETVSLLFYIFMFNMFRPAERNQYFVLDEEEEEAAELALREEEFEL >Ma03_p29310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32250832:32252300:-1 gene:Ma03_g29310 transcript:Ma03_t29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAVKLIPKMKPNPLLCILLLLFLQVMWSVATDIISHGAGDQARQLPQLLEDLPLHGRNVTVKLSSGDKSGEESVEVDGGERLSHSKKSGTGGKGGKGVGGAGSQSLRQPRSRKSGSTSMRRPYDSLWGIGLPSLLLCVALT >Ma02_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22358152:22360940:-1 gene:Ma02_g14230 transcript:Ma02_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGSVDRSLVALLALMACLSCAAAGRAGGVARQRLELRRHLKRLNKTPVKSIKSPDGDIIDCVHVSHQPAFDHPFLKNHTIQTRPAYHPEGLFGGNKVVSQTKTPSMAQLWHQNGRCPEDTIPIRRTTREDVSRASSVKRYGRKKHRSLPNPMSVDPDLLNESGHQHAIAYVEGDKYYGAKATINVWQPKIQQSNEFSLSQIWILGGSFGADLNSIEAGWQVSPDLYGDNYTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASISPISNYDGSQYDISILVWKDPKEGNWWMQFGSDYVLGYWPSFLFSYLADSATMIEWGGEVVNSEPDGEHTSTEMGSGRFPEEGFSKASYFRNIQIVDGSNNLRAPEGVGAFTEQSNCYDVQNGNSNAWGQYFYYGGPGRNSNCP >Ma04_p16310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15211452:15226489:-1 gene:Ma04_g16310 transcript:Ma04_t16310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSFNGQVLADKLTKLNNGQQSIETLSHWCIFHRKKAKQVVETWQQQFYCAPRDQRISFLYLANDILQNSRWKGLEFIDEFWKVIPGALSDVFNNGGEIGRSTVVRLMDIWEERKVFGSHGQVLKDNILGSDNGNKNINEKVIRYKLKHPSGEQLEELISGYTHIYDLPYDEDTLYGNCQAATNLVDEVDKELVSELGGNSNGSGVVRKMQVQHGVLRECIEQLKVIESLRATLITYLRKALHEQESKFEQVHQQLQAAQSRYEQSVNLHKQLGTGPSSPDQRLNNSSAFPDSPPKLIPQSGAGTRSQTTAGRYSQDRLPGDNNAPVTDRYPETAAAAVAPQFAASTSTSQMLIHVHTSPASDGIFSLSIKEDHPTDNKRLKLEDNVPPPLPQLRPLLPSFLHPDLLNQPPPLQPSFPVTQPSSRTMPPPPPFLPMPLPPPPPTTPQFMQFSSGPTMPFGYGSVPFPNYPMHGMQLYPSLINQPYGLQSSEGFIQLGQPPLPTAPPPPPPPP >Ma04_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15211452:15226479:-1 gene:Ma04_g16310 transcript:Ma04_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSFNGQVLADKLTKLNNGQQSIETLSHWCIFHRKKAKQVVETWQQQFYCAPRDQRISFLYLANDILQNSRWKGLEFIDEFWKVIPGALSDVFNNGGEIGRSTVVRLMDIWEERKVFGSHGQVLKDNILGSDNGNKNINEKVIRYKLKHPSGEQLEELISGYTHIYDLPYDEDTLYGNCQAATNLVDEVDKELVSELGGNSNGSGVVRKMQVQHGVLRECIEQLKVIESLRATLITYLRKALHEQESKFEQVHQQLQAAQSRYEQSVNLHKQLGTGPSSPDQRLNNSSAFPDSPPKLIPQSGAGTRSQTTAGRYSQDRLPGDNNAPVTDRYPETAAAAVAPQFAASTSTSQMLIHVHTSPASDGIFSLSIKEDHPTDNKRLKLEDNVPPPLPQLRPLLPSFLHPDLLNQPPPLQPSFPVTQPSSRTMPPPPPFLPMPLPPPPPTTPQFMQFSSGPTMPFGYGSVPFPNYPMHGMQLYPSLINQPYGLQSSEGFIQLGQPPLPTAPPPPPPPP >Ma04_p16310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15211452:15224634:-1 gene:Ma04_g16310 transcript:Ma04_t16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWEERKVFGSHGQVLKDNILGSDNGNKNINEKVIRYKLKHPSGEQLEELISGYTHIYDLPYDEDTLYGNCQAATNLVDEVDKELVSELGGNSNGSGVVRKMQVQHGVLRECIEQLKVIESLRATLITYLRKALHEQESKFEQVHQQLQAAQSRYEQSVNLHKQLGTGPSSPDQRLNNSSAFPDSPPKLIPQSGAGTRSQTTAGRYSQDRLPGDNNAPVTDRYPETAAAAVAPQFAASTSTSQMLIHVHTSPASDGIFSLSIKEDHPTDNKRLKLEDNVPPPLPQLRPLLPSFLHPDLLNQPPPLQPSFPVTQPSSRTMPPPPPFLPMPLPPPPPTTPQFMQFSSGPTMPFGYGSVPFPNYPMHGMQLYPSLINQPYGLQSSEGFIQLGQPPLPTAPPPPPPPP >Ma04_p16310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15211452:15226479:-1 gene:Ma04_g16310 transcript:Ma04_t16310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSFNGQVLADKLTKLNNGQQSIETLSHWCIFHRKKAKQVVETWQQQFYCAPRDQRISFLYLANDILQNSRWKGLEFIDEFWKVIPGALSDVFNNGGEIGRSTVVRLMDIWEERKVFGSHGQVLKDNILGSDNGNKNINEKVIRYKLKHPSGEQLEELISGYTHIYDLPYDEDTLYGNCQAATNLVDEVDKELVSELGGNSNGSGVVRKMQVQHGVLRECIEQLKVIESLRATLITYLRKALHEQESKFEQVHQQLQAAQSRYEQSVNLHKQLGTGPSSPDQRLNNSSAFPDSPPKLIPQSGAGTRSQTTAGRYSQDRLPGDNNAPVTDRYPETAAAAVAPQFAASTSTSQMLIHVHTSPASDGIFSLSIKEDHPTDNKRLKLEDNVPPPLPQLRPLLPSFLHPDLLNQPPPLQPSFPVTQPSSRTMPPPPPFLPMPLPPPPPTTPQFMQFSSGPTMPFGYGSVPFPNYPMHGMQLYPSLINQPYGLQSSEGFIQLGQPPLPTAPPPPPPPP >Ma09_p30300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40486549:40487600:-1 gene:Ma09_g30300 transcript:Ma09_t30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLEDAKEPIEVQVRSVWADNLEAEFDLIREAVECHPFAAMDTEFPGVVHRSRKHPAILCPAERYALLKANVDALHLIQVGLTLSDAAGNLPDLGAGGSVRYVWEFNFRDFDIYRDRYAPESIDLLRSNGIDFEKNRARGIDSRRFAELLMSSGLVCNDSAVSWVTFHSAYDFGYLIKILTCRRLPSNLGDFMGLVRVFFGDRVFDMKHMIKHCHSLYGGLDRVASTLQVDRAVGRCHQAGSDSLLTWQAFRRMKELFFIGNDDGKHAGVLYGLEVC >Ma03_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8925866:8927014:1 gene:Ma03_g11500 transcript:Ma03_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGAMDQGSLKGKVVMVTGASAGIGREICLDLAAAGCKIVAAARRVDRLKSLCDEINGSSNTVQAVAVELDVSRKSPAIEAAVHDAWNAFGRIDALVNNAGVRGGVYSAVDWSEEEWHRIMTTNLTGLWLVSKHVCIRMRDATQKGAVINISSIAGVARGQLPGSLAYTASKTGVNSITWVMALEMGRYGIRTNSVCPGIFKSEITERLMEKEWIGKVARRTVPLGTFGTANPSVISLIRYLVDDASEYVNGNVFIVDAGATLPGVPLFSSL >Ma08_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33174405:33181054:1 gene:Ma08_g19410 transcript:Ma08_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPAKLSVYRDKRFRGNQEEYERVLQASTTVYIGNMSFYTTEEQVYELFTRAGEIKKIIMGLEKNTKTPCGFCFVLYYSREDTEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQRELEAQRELVDYGAGALGAFQPPTQYGKHGVYGDSHRHGRDYRKRYREDEQSASEMSRRTSGYESRRNPEHDLRPEKNPRFRESGDSDDEDEDDRKRRR >Ma09_p02070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1512691:1514934:-1 gene:Ma09_g02070 transcript:Ma09_t02070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMANIEIASENMKHDNIQPVKPRILLAVSGSVAAIKFEILCRSFLEWAEVRAVATKSSLHFLDKASFPRDVILYTDDDEWSSWKKIGDGVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPIFVAPAMNTFMWNNPFTKRHLDAINELGVNLIPPVTKRLACGDYGNGAMAEPSVIYSTVRLSYKPPLNGSS >Ma09_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1512691:1514934:-1 gene:Ma09_g02070 transcript:Ma09_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANIEIASENMKHDNIQPVKPRILLAVSGSVAAIKFEILCRSFLEWAEVRAVATKSSLHFLDKASFPRDVILYTDDDEWSSWKKIGDGVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPIFVAPAMNTFMWNNPFTKRHLDAINELGVNLIPPVTKRLACGDYGNGAMAEPSVIYSTVRLSYKPPLNGSS >Ma09_p02070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1512691:1514934:-1 gene:Ma09_g02070 transcript:Ma09_t02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMANIEIASENMKHDNIQPVKPRILLAVSGSVAAIKFEILCRSFLEWAEVRAVATKSSLHFLDKASFPRDVILYTDDDEWSSWKKIGDGVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPIFVAPAMNTFMWNNPFTKRHLDAINELGVNLIPPVTKRLACGDYGNGAMAEPSVIYSTVRLSYKPPLNGSS >Ma05_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21149580:21155738:1 gene:Ma05_g17710 transcript:Ma05_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSLGLEITYEAFGWIAFFSWSFSFYPQVILNYKRKSVVGLNFDFLVLNVTKHSSYLVYNAAMFFSPVIKRQYHEKYGFGEMIPVAANDVAFSVHAVALTAFTLFQVLIYERGSQKVSKTCIGITVIVLLYAVVCVFLAWPNHSWLWLISVFNTIQVIMTAIKYIPQAFMNFQRKSTVGWSIGNILLDLLGGVLNFGQMGVQSIDQETLVNFYGNFGKTLLSLEVVLFDILFIFQHYVLYPVKNEGNPTIMEENITPLINSEEKPQLANV >Ma03_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1100706:1103979:-1 gene:Ma03_g01600 transcript:Ma03_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVLRDLGSGNFGVAKLVRDVLTNELFAVKFLERGHKIDEHVQREIMNHRSLKHPNIIRFKEVLLTPTHLAIVMEYAAGGELFEKICNAGKFTEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDDSSAPRLKICDFGYSKSSLLHSQPKSTVGTPAYIAPEILSKREYDGKIADVWSCGVTLYVMLVGAYPFGDPSDPKNFRKTIVRILTVQYTIPDHVQVSMECRHLLSRIFVPNPEERITIPEIKKHPWFMMNLPIELADEHQKGMQNVDTNNRLQSIEEIMAIIQEARKPAAVSPILGLFVGGGSLELDDLDEDMDDIETSGDFVCAI >Ma08_p34630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44611252:44628324:-1 gene:Ma08_g34630 transcript:Ma08_t34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSSLHLIHELGLVRSFGPTNQHKSSKLKSCRQLETFLLSSCASRQDVWGLQISDSIRKPIVSIPYRYNVVKCQSSTLPSFGHVIPLLRNTTLSLTRSCNVLLGSPHSLQLIPAIGIIAFAVWGLGPLMCYLRSLFRNDSNWKKSKTYFISVSYVQPLLLWTGTVLICRVLDPIVLPSEASQAVKIRFLNFIRSLSTVLAIAYCLSSMIERSQKFFMETGVADDTRKMGFQFAGKAVYTAVWVAAVSLFMELLGFSTQKWITAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVINEWIQTKIEGNEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVSVVRNLSQKTHWRIKTHLAISHLDVNKISNIVADMRKVLAKNPQIEQQRLHRRVFLDNIDPENQALLILVSCFVKTSHFEEYLCVKESVMLDLLRVISHHRARLATPIRTVQKIYGDPDIENVPFAESIFRHSAAASRPFLLLDSQSRINGDEKTKPRQTPHVSEDQTNKSATTVDPNPTSSSDGTVPSNFDKHQHKKANSGDGSPKSVKAEPAVAPSSNPPSTVQLENSDAVGSTPKAGSQRAATSEAATEQGDAKNEGERVQTMKSQVARPAFEDNIVLGVALEGSKRTLPIEEGMGPSSTQLEANELAAGRNGNVPSASSKKIKGSDPLVDQRDQDS >Ma05_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4454059:4457112:-1 gene:Ma05_g05910 transcript:Ma05_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTATPLRGIINDVKGRAACYRQDWISGCHSGFSILAPTMYIFFASALPVIAFGEQLSKETDGALSTVETLASTAICGILHSILGGQPMLIVGVAEPTVIMYTYLYNFAKGREDLGARLYLAWAGWVCFWTACMLFLLAIFNASDIISRFTRIAGELFGMLITVLFMQEAIKGIVSEFNIPKGEDQTQPIYQFEWLYTNGLLGIVFAFGLLFTALKSRRARAWRYGTGWLRGFIADYGVPLMVLLWTAMSYAVPSKVPSGVPRRLFSPLPWETKSLYHWTVAKDMLSVPPLYIFAAIIPALMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILILGFMVLACGLLGIPPSNGVLPQSPMHTKSLAVLKRRIMRKKMVESAKESIRQQASNSEIYGKMQEVFVKMDNGPTVISIDTELKNLKDAVMNNGAGGEDSKVAFDPEKHIDAYLPVRVNEQRVTNLLQSLFVGACLGAMPIIKMIPTSVLWGYFAYMAIDSLPGNQFWERTLFLLVTPSRRYKVLEGMHASFMESVPFRKIAAFTILQFVYLLVCFGVTWIPIAGILFPLPFFLLISIRQHILPKFFHPHHLWELDAAEYDEIAGTPRRARSLSFGEGEASQSNSDDNEADMCDAEILDDLTTSRGELKHRTKSFNDDRFHQVGISSSMPNGSSFLVFSLSWFDAYLLYRMQIYPEVSQQRT >Ma04_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3829656:3832828:-1 gene:Ma04_g05060 transcript:Ma04_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEGEKTNPAAMAAGAGREEGEEHDVADLVGELLEIIDSVGSFGEYRRTQRKESFNLVRRMKLVAPLLEELQDLEYPIPDAAYARLCGLLKAFSAARKLLRCCHDGSKIYLALESEAVMGRFHMVYDRMNQALEGMPYDALVISDEVKEQVELMSLQLRRAKRRIDTQDMELAMDLMVVLSKRDDRNADGAILERLAKKLELQTLPDLRAETMAIKKLIKERCGANADSTQQIMDLLNKFKRVAGVEDSKGLSDVTLPKYLEKCPSLMIPNDFLCPVSLEIMSDPVIVATGQTYERRSIQKWLDAGHRNCPKTRQTLAHLSLAPNYALRNLIMQWCEKNQVELLKRDADQDSDHLEQKEEIPSLVRDLSSIHLDVQRKAVKKIRMLSKENPDNRILIAKHGGIPALVGLIPYPDSKIQEHTVTALLNLSIDERNKRIIAKEGAIPAIIEILKSGTVEAKENSATALFSLSMLEENKVMIGNMNGIPPLVDLLRDGTIRGKKDAATALFNLVLNYGNKARAIDAGIVAPLLNVLCDKNLGMVDEALSIFLLLASHPDGHTAIGQLPFIETVVQLIKEGTPKNKECALSVLLELGTHNSSLVSAALRLGVYEHVCEVEKSGTNRAKRKAKSLFPLINKCKEG >Ma11_p05760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4467548:4479823:1 gene:Ma11_g05760 transcript:Ma11_t05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTLSGSDNKNLFDLHEMFKSLPSIGKEKKIYSTRIRPDGEFVSPGIWDISDDVLTNVLNQLGPKDLVNVAATCHHLRSLARPIMPCTKLKLFPHQEAAVEWMLKREHHTAVLAHPLYRDFSTVDGFSLYMNSVSGELSTEMAPTVCDFHGGMFCDEPGLGKTVTALSLILKTHGTVADPPHNADVVWCMHNMDQRCGYYELVADNLNSVNFMSARKRSIAQNLGRGETQFNQPLLRPSSVENSNSFPYDRCRSIDSKFTAELIDSSSWKSDISTCTKSSPMPVTCIVRSTRSLSRVKRNLLNKYGKGIISDNNIKTAGKVVGCTNISTAAKGEHLMNQEHVFPAVRNNYKKLKKETVGSDSSETWVQCDACRKWRRLSERSTLDTAAAWFCSMNNDPIHQNCGAPEESWDSKTRITYLPGFYTKGTAEGKEQNISFFTSVLKDNFSLINHETNKALNWLANLSHSKFQEMEKNGLTRPVLNAQWEVYTREAQGYHKIFQAFGLVRKLEHGTIKWYYPSSLDNLAFDSAALRIALTKPLDSIRLYLSRATLIVVPANLIDHWITQIQKHVSPGHLRVYVWADNRKPSAHNLAWDYDIVLTTFNKLSAEWGPRKRSILMQVHWLRIMLDEGHTLGSSLSLTNKLQLAVSLTAASRWILTGTPTPNTPNSQVAHLQSMLKFLHDEAYGQNQESWEAGILRPFEAQLEEGRLRLFHLLKRIMISARKIDLKSIPPCIKKITFLHFTEEHATSYNELVLTVRRNILMADWNDPSHVESLLNPKQWKFRSSTIRNVRLSCCVAGHIKVTDAGQDIQETMDILVQHGLDPLSEEYGFIKNSLLNGCSCFRCENWCRLPVITPCRHMLCLDCVALDSERCTLPGCGYHYEMQSPETIARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLVERLKVLQETNRKFGESVDGIDKTKELLYSSKVNCSFFVQRKAWSAQNSESCKVLPEKVIVFSQFLEHIHVVEQQLTVAGIIFAKMYSPMHSSNKMKSLMTFQLDPNCMVLLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPINVETLAMYGTIEEQMLKFLQDVNACRETFKQEVDKDEYEGTRAHRTLHNFAESNYLARLSFVCTNVKA >Ma11_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4465698:4479823:1 gene:Ma11_g05760 transcript:Ma11_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHKLCGFLQVVLSVPSPTQILTPGASCSLFSDGPNVGFRSDEGVLLLPLPDRTASPPPAAADTAAATATPGRTMAAFGNAAVTLPSSASKRRRRRVAGLVNGSMSVVHQLQALTAYKCVKIDARVVRISARGDGEVRAVVLIDLYLPIAVWSGWQFPRSGALAASLFKHASCNWEVRISLLTFDWKAKDYCKLDDESIWNYSDCHILGCKMRCTLSGSDNKNLFDLHEMFKSLPSIGKEKKIYSTRIRPDGEFVSPGIWDISDDVLTNVLNQLGPKDLVNVAATCHHLRSLARPIMPCTKLKLFPHQEAAVEWMLKREHHTAVLAHPLYRDFSTVDGFSLYMNSVSGELSTEMAPTVCDFHGGMFCDEPGLGKTVTALSLILKTHGTVADPPHNADVVWCMHNMDQRCGYYELVADNLNSVNFMSARKRSIAQNLGRGETQFNQPLLRPSSVENSNSFPYDRCRSIDSKFTAELIDSSSWKSDISTCTKSSPMPVTCIVRSTRSLSRVKRNLLNKYGKGIISDNNIKTAGKVVGCTNISTAAKGEHLMNQEHVFPAVRNNYKKLKKETVGSDSSETWVQCDACRKWRRLSERSTLDTAAAWFCSMNNDPIHQNCGAPEESWDSKTRITYLPGFYTKGTAEGKEQNISFFTSVLKDNFSLINHETNKALNWLANLSHSKFQEMEKNGLTRPVLNAQWEVYTREAQGYHKIFQAFGLVRKLEHGTIKWYYPSSLDNLAFDSAALRIALTKPLDSIRLYLSRATLIVVPANLIDHWITQIQKHVSPGHLRVYVWADNRKPSAHNLAWDYDIVLTTFNKLSAEWGPRKRSILMQVHWLRIMLDEGHTLGSSLSLTNKLQLAVSLTAASRWILTGTPTPNTPNSQVAHLQSMLKFLHDEAYGQNQESWEAGILRPFEAQLEEGRLRLFHLLKRIMISARKIDLKSIPPCIKKITFLHFTEEHATSYNELVLTVRRNILMADWNDPSHVESLLNPKQWKFRSSTIRNVRLSCCVAGHIKVTDAGQDIQETMDILVQHGLDPLSEEYGFIKNSLLNGCSCFRCENWCRLPVITPCRHMLCLDCVALDSERCTLPGCGYHYEMQSPETIARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLVERLKVLQETNRKFGESVDGIDKTKELLYSSKVNCSFFVQRKAWSAQNSESCKVLPEKVIVFSQFLEHIHVVEQQLTVAGIIFAKMYSPMHSSNKMKSLMTFQLDPNCMVLLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPINVETLAMYGTIEEQMLKFLQDVNACRETFKQEVDKDEYEGTRAHRTLHNFAESNYLARLSFVCTNVKA >Ma01_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23718808:23719027:1 gene:Ma01_g22150 transcript:Ma01_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLSKYKEFKHRLLFRLEMLEPFLDLVITGTKNKDAYDIHLEK >Ma00_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28727470:28729898:1 gene:Ma00_g03410 transcript:Ma00_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKRGKAKGGSAAAPPTAASAESKVPGCLRLLPPSTVAITIHAKPGSKVATVTDVGDESVGVQIDAPARDGEANAALLEYIGSLLGVKKRQVSIGSGSKSRDKVVLVQDATLQSVFEALNKACKCE >Ma03_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3331635:3333310:-1 gene:Ma03_g05100 transcript:Ma03_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWEWNSIWQLFATLVFLRTAYRDFLPPELHHFVGFLLRGLMTRFNADIKIIVDEYDGSCSNELYSAAQAYLGSHCLDDARVVRLSKRHDCPLPTASLPSYHTTHDSFQGIPLQWSSVVERASASSSSPFRSSSLSSDHRYLELSFHSRHREAVRSQYIPHVLAEAERIRLRARERRLYTNRSVVFGDDHRNPWSPAPFSHPSTFDTLAIDPVLRDEIRDDLLRFVSRRNYYSRVGRAWKRGYFLYGPPGTGKTSLVAAIANLLEFDVYDLELTAVHSNTALRRLLVSTNPKSVVVIEDVDCSLDLSDRKKKKKKKSKPDSETDEREMDPSEGGVGSWTSSVNLSGVLNFVDGLWSSCVGERLMIFTTNHPERLDPALLRPGRMDRKIHLSYCCPAAFRMLASNYLEIGEEHELMAEAEALLGEVDMTPAEIAEVFMRCDGEGKGADAAMEKVIGEMRQRRKAAAASPPERGPAALGMENGFLIGCDDDAH >Ma05_p30930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41096439:41102697:-1 gene:Ma05_g30930 transcript:Ma05_t30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAVSGVARLAIRRNLSQAPHHIGRPRALPSPPSRGFHSAALRRKAESAAPIPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPEDLDLGLYGFTEADLDREFFLGVWRMAGFLSDNRPVQTLREILNRLEQAYCGNVGYEYMHIPDRDKCNWIRDKIETVKPREYSRERREVILDRLIWSTEFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRAADQGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSSGTKPVDGEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPLVVGKTRAKQYYSNDIDRIKNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVINNQVAFTTDPGSGRSSQYCTDVAKALNAPIFHVNGDDMEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYQSKLLESGEITKEDIERIHNKVTSILNEEFINSKDYVPKRRDWLSAYWLGFKSPEQISRIRNTGVKPEILKRVGQAITTLPETFKPHRAVKKIFEQRAQMIESGEGIDWAMGEALAFATLIIEGNHVRLSGQDVERGTFSHRHSVIHDQQTGEKYCPLDHIVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLILWEAQFGDFSNGAQVMFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDLEGHPGFDKQGTRFKRLIKDQNNHKEIEEGINRLILCSGKVYYELDEGRKKLDRQDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLYTAMRALGRGEFEDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQPEAISFPY >Ma01_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1820649:1827502:1 gene:Ma01_g02790 transcript:Ma01_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAFDPSTPASAATVRRTPALSWSRVVCGEPRAAAPSTSDPADRPPTPGTAAETVVAGRTSPSPPLEAAERVNDGNAAGQRPGWNVPANGASEGDSVMSVGSWPALSELAMAFPRSSSSSQHSNVPADGSNGDPVGSVIETPPPMANSNDPNPRSSPCRPNSTPSSMDTSSTSSASSTNSAPVSPQPTPTLEEAFPVGMEVGWEPYSRDHNRVNNTWDRNRGSGFAPQHHSGSDRHGGYNRNRQRNNGGGGFHHGNHGRRQDHEWGGYDWNASQGFNGRDPHMTTPLVPQWGYSRPFLQQSPYTPVPFIGIPPHVQPFVGGPMGFPDVRSVYYVAPVPPFDPMGNVPSVSHQAPTAARPTVFLSPLDHTRNMLMRQIEYYFSRENLCKDSFLRVHMDDQGWIPVSLIASFNRVRQLSSSIPFILDSIRGSLVVEVQDERVRRRSDWMDWILPRAQGQNGVVASPLESPATSAHDQSLGLDEGPSYRSNTMGQSGAETVLGSSSVDRLPDGGPVESQMGEVEATQNQNDPSV >Ma03_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8468905:8472333:1 gene:Ma03_g11220 transcript:Ma03_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQHEDPDLVTASHHDMLSSVLGSKEEAVSSIVYNYKHGFSGFAAMLTESKAHQIAESAYLLRPLKQKIERLYLNLSCDFMRERERERIHNVFKLPEVISVNRSRSVPLHTTRSWDYLGLGYEKPQSTGLLRRGNFGDGIIIGVVDSGRRIWPESRSFDDHGYGPVPSRWKGTCVDDSDISVDYRSPRDFQGHGTHTASTAAGSFVGNASFHGLGAGVARGGAPSARLAIYKACWAIGGCPDAAVLKAIDDAIHDGVDILSLSLSELLHPIFASIHAVAKGITVIFAGGNDGPVPQTISNDLPWVITVAASTIDRSFPTDIILGNGRTLVGQSMCYASSDPGYKELVPFGSCSVVPQYLTQLADKIVLCFDAAGETSLLLAGLTQAGARGAIIARFPRSLLPDCSGIACVLVDYDVGGQIANYAKVENASGRIPVVRVSPASNIVGNQVMSPRVAAFSSRGPSIYYPDLVKPDITAPGVDILAAVRDGYQFMSGTSMACPHVSGIAALLKVVHPHWSPAAIKSALVTTAHTANAYGFPIEAEGVPRKLADPFDFGGGHIDPNKAADPGLIYDKTPLTVWRTVTNVGDTDSIYRAMVESPPGVNTVVEPSLLLFNASTTTHTFAVTFTPLMMVQGDFNFGSLTWFDDGKHAVRIPIAVRVIIHDFISDTS >Ma06_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19475257:19480179:-1 gene:Ma06_g22730 transcript:Ma06_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRRLPSRPPSVVAPSPFRDGGACLPPSSSPAVPRLQASDALPLPIRHTNLLFSALFVGSLVFLMRRWREKVRCSTPLHLLGLSEILAIVGLIASLIYLLSFFGIAFVQSIVSSHDEEDDFLLSGAAPDSAPSPAPICPLLSTDGTISSQRNLEITADDEDIVSSVVSGKIPSYVLESRLGDCRRAAGIRREALRRITGRTLEGLPLDGLDYASILGQCCELPVGYVQLPVGIAGPLVLDGREYHVPMATTEGCLVASTNRGCKAIAESGGATSVVLRDGMTRAPAVRLPTARRAADLKAFLEDPNNFETIALVFNRSSRFGRLQGIHCALAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQNDFEDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVRKVLKTNIPALVELNTIKNLAGSAIAGSLGGFNAQASNVVSAIYIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGASLESPGANARRLATVVAGAVLAGELSLLSALAAGQLVMSHMKYNRSSKDFSKAAC >Ma08_p13150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10433257:10448737:1 gene:Ma08_g13150 transcript:Ma08_t13150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSAVYYENSLVGEVEVYPQNPNTGSWLREIRISHLSPSSERCPPLAILHTVASGVVRFKMESKSPLSKDSPMSSLHATLFSENKTAVIALGEEELHLVAMASRKNPMPYACFWGFSVLSRLYESSLLMLNLRCLGIVFDLDETLLVANTMRSFEDRIDALQRKISNETDPLRIAGMLTEIKRYQDDKSILKQYAENDQVVENGKVFKVQSEMVPPLSDNHQLITRPVIRIQEKSIILTRVNPSIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSSLINSSKLLDRIVCVKSGSRKSLLNVFQDGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANSTIPVLCVARNVACNVRGGFFKDFDEGILPRISEVLYEDEMKDFPPAPDVGNFLISEDDALTANANKDQVCLDGMEDAEVGKRLKEASCSMQAVQPMVTNFGPRPVSSLQNVPSSFNTTSLTAMRMAVPLPNNQCAQSVPVGRPLGQLASPEPSFQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREPTPSFPVSPPLRVSIPPVQPQGSWFPLEEEIDPRQQDSAPKEFSREPDPGRYRKRSRHPSFMHGGENSVPSDRVLHEPRRLPIQLRNGGDRLQLNNSLSNFNSFQGEEMPMGRNFSRHKDAQLEPKQATIKQAGSPPGVLQEIAIKCRNKVEFRSTLCDTAELQFSIEVWFVGEKVGEGVGKTRKEAQHRAADMSLRNLADKYLSNALGGPNTVHGDLLKLPQTKEMGLLSDSNSYGYQPCPRNDLLPVASTSEDSRSMDQRLESSRRTSATTSLKELCVMEGFDLVFRAEPSPSNGSISKGEVSAQVEIARQILGRGVGMSWEDAKLQAAEEALGTLRSMLGQYSQKHSSSPGSLSMMSNKRFKPEVSHILHRIPPSGRYSKSETPVP >Ma08_p13150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10433741:10448737:1 gene:Ma08_g13150 transcript:Ma08_t13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGDLVCYHDFANTMRSFEDRIDALQRKISNETDPLRIAGMLTEIKRYQDDKSILKQYAENDQVVENGKVFKVQSEMVPPLSDNHQLITRPVIRIQEKSIILTRVNPSIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSSLINSSKLLDRIVCVKSGSRKSLLNVFQDGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANSTIPVLCVARNVACNVRGGFFKDFDEGILPRISEVLYEDEMKDFPPAPDVGNFLISEDDALTANANKDQVCLDGMEDAEVGKRLKEASCSMQAVQPMVTNFGPRPVSSLQNVPSSFNTTSLTAMRMAVPLPNNQCAQSVPVGRPLGQLASPEPSFQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREPTPSFPVSPPLRVSIPPVQPQGSWFPLEEEIDPRQQDSAPKEFSREPDPGRYRKRSRHPSFMHGGENSVPSDRVLHEPRRLPIQLRNGGDRLQLNNSLSNFNSFQGEEMPMGRNFSRHKDAQLEPKQATIKQAGSPPGVLQEIAIKCRNKVEFRSTLCDTAELQFSIEVWFVGEKVGEGVGKTRKEAQHRAADMSLRNLADKYLSNALGGPNTVHGDLLKLPQTKEMGLLSDSNSYGYQPCPRNDLLPVASTSEDSRSMDQRLESSRRTSATTSLKELCVMEGFDLVFRAEPSPSNGSISKGEVSAQVEIARQILGRGVGMSWEDAKLQAAEEALGTLRSMLGQYSQKHSSSPGSLSMMSNKRFKPEVSHILHRIPPSGRYSKSETPVP >Ma08_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10433248:10448737:1 gene:Ma08_g13150 transcript:Ma08_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSAVYYENSLVGEVEVYPQNPNTGSWLREIRISHLSPSSERCPPLAILHTVASGVVRFKMESKSPLSKDSPMSSLHATLFSENKTAVIALGEEELHLVAMASRKNPMPYACFWGFSVLSRLYESSLLMLNLRCLGIVFDLDETLLVANTMRSFEDRIDALQRKISNETDPLRIAGMLTEIKRYQDDKSILKQYAENDQVVENGKVFKVQSEMVPPLSDNHQLITRPVIRIQEKSIILTRVNPSIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSSLINSSKLLDRIVCVKSGSRKSLLNVFQDGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANSTIPVLCVARNVACNVRGGFFKDFDEGILPRISEVLYEDEMKDFPPAPDVGNFLISEDDALTANANKDQVCLDGMEDAEVGKRLKEASCSMQAVQPMVTNFGPRPVSSLQNVPSSFNTTSLTAMRMAVPLPNNQCAQSVPVGRPLGQLASPEPSFQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREPTPSFPVSPPLRVSIPPVQPQGSWFPLEEEIDPRQQDSAPKEFSREPDPGRYRKRSRHPSFMHGGENSVPSDRVLHEPRRLPIQLRNGGDRLQLNNSLSNFNSFQGEEMPMGRNFSRHKDAQLEPKQATIKQAGSPPGVLQEIAIKCRNKVEFRSTLCDTAELQFSIEVWFVGEKVGEGVGKTRKEAQHRAADMSLRNLADKYLSNALGGPNTVHGDLLKLPQTKEMGLLSDSNSYGYQPCPRNDLLPVASTSEDSRSMDQRLESSRRTSATTSLKELCVMEGFDLVFRAEPSPSNGSISKGEVSAQVEIARQILGRGVGMSWEDAKLQAAEEALGTLRSMLGQYSQKHSSSPGSLSMMSNKRFKPEVSHILHRIPPSGRYSKSETPVP >Ma07_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6784018:6803622:-1 gene:Ma07_g09060 transcript:Ma07_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSKKKCQVSSKCFPELSEERPCKNKINAVKNKIRKRSLSDMLGSQWSKVEVVQFFEAYHKYGKDWKKVAATLPNKSSDAVEALYNMNKAYLSLPEGSANATGLIAMMIDHYNILEGGDSDQENYRFLRTYTRPQKHGRVSQIVLPKDTLGGGKIRCVGKRTPRFPVAFLSENIIRYNLTSSNKQCSKSKAEVFADEGMHLAALALIEASQIFGSPLGSQSRDRVNEQIGSPPVLSGDSKMANIDIVSAGVDGCFLEGKLASKEGCVAVINRDTRLQDTDISSAIPMEYKMQKLQTKRSKGQINMNYQAEKGTCINTEGVNVRNITCKIDAEDREAKCAFSSQGRKKKLLKELSSDERNALDALLDLGNLTPDLFHTSASEAELRSHESNWPKVRKDRDHISNIEVHCVTQKAKKGSNIPPQQDYLSEANQRSCPTTISKRHKGKGKFLGEEQIQKCGTGDKSHLTELQKNGVSIEDMEKSFASQPIQLSEQGKLSHKLEISLLNRKIGGTTEDKTISTKCLAADNLSMHNGTRTRCKLNLKKEMAQKQLMSSCGVGDECQNNHSRFMNMKGTLANCLSSQLFRRWCTFEWFYSAIDYPWFAKNDYVEYLNHARLSHIPRFRRAEWSIIRRSLGKARRFSNKFLSEERMKVEQYRESVRTHYTELLAGTTKGLSRDLAIPLSVGQHVIACHPKTREIHDGTILSVGRSSYRVQFDRPELGVEFVMDIDCMPLGPWKNMSDDLERQNVPSQLCESLIVKKTDDFIQQKTNDIPNIASNEIVEVAHMPRFKFSQSSPYKQAKQEICSKQCPLSQMETREADTKALVELMRALKKKKALMTELSHMNEEVTRKQNDDSITNVEHLRMQLLLQLQEAGDQVGSAILELRQHNTFQGKLTSPWFRSTENSVLAGPCSNSESYVLEVVETSRQKAKTLVDQSVQAMFSVEGRKDALASTREVLYPSKNWSSGVDSDRLDTAYTHIPEVHKLATRDEKTSDRLGPANIQIPGLNLEISSDANLGRIPSELISTCVAALFMIEGCTRRQYPPAEVAEILELAVTSLQPCFSQNLPIYWEIQAYMRIIKSQMLALVPTPSIGLSTVSVQ >Ma03_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5206131:5238618:1 gene:Ma03_g07440 transcript:Ma03_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 [Source:Projected from Arabidopsis thaliana (AT1G23230) UniProtKB/Swiss-Prot;Acc:F4I4P3] MEANHRSSRPLQLHPARVAVVDLFNLYLGRNGRQRSEDRSPEASNKLQKRVTAPHGELPPRDEQFILDFEQLQGQFPDPEQLRTATETVIMSLVVQCSSHAPQSEFLLFAIRSLCSIGYLKWDTFLLSLLSAVSAAEATLAQGTPATPASPLATIHGVSSPAQSATDQSAGATLSPVKPSELSGSGHQSITRSGQMTRGTAMGSLRQFSCKIILAGLEFNLKPITHAEILSQMLNWLVNWDQKPVGSDDSDGKKVWKPERPVHEWMHTCLDVIWRLVDEDKCRIPFYELLRSNLQFMDNIPDDEAMFGIILEIHRRRDMVAMHMQMLDQHLHCPTFATHRFMSQSYPSITGESLANVRYSPITYPSVLGEPLHGEDLATSIPKGSLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYKPQATQASTPGAVFSPEMICEAVVDRTMELLKITNSETQCWQEWLIFADIFFFLMKSGCIDFLDFINKIASRVTKGDQQILRSNHVTWLLAQIIRIEIVMSTLSSDPKKVETTKKIISFHKEEKNIDPNNVSPQSILLDFISSSQTLRIWSFNSSIREYLNNDQLQKGKQIDEWWKQVIKVSGDRMMDFTSLDDRSMGMFWVLSFTMAQPACEAVMSWFTSAGVTDISQGSNVQSNDRMMMMRETFPLSMTLLSGLSINLCLKLAFQLEETIFLGQAIPSIAMVETYVRLLLIAPHSLFRPHFTTLTQRSPSILSKNGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSIRDFFLVKKEIKGPTDFTETLNRITIISLAITIKTRGVAEVEHTLYLQPLLEQIMATSQHTWSQKTLRYFPPTIREFLMGRMDKRGHTIQAWQQAESTVINQCTQLLSPSADPTYVTTYLSHSFPQHRQYLCAGAWMLMNGQPESINSTNLGRVLRELSPEEVTSNIYTMVDVLLHHIQIELQHGHPAQDLLSKAIANFSFFIWTHELLPLDILLLALIDRDDDPYALRIVINLLDKPELQQRIRVFCTNHSSEHWAHNQPPKRVELQKALGNHLSWKDRYPTFFDDIAARLIPVIPLIVYRLIENDGTDIADRVLSSYTQLLAFHPLRFSFVRDTLAYFYGHLPNKLVLRILKVLDLSKISFSESFPQYMGSSNSVGCPPQEYFANLLLGLVNNVIPSLNSKAKSDSTGDPCSNFGRPAANRSQATSINCSDGQKAFYQNQDPGTYTQLVLETAAIEILSLPASASQVVASLVQIVVHIQPTLIQSGNGLQSMSHGQNSGLPTSPSGGSPESMNTSRSTTSTTWVNANNFVSKSGYSCQQLSCLMIQACGLLLAQLPPEFHMQLYSEASRIIKDCWWLVDSKKSLKELDSAVGYALLDSTWASQDNTSTAIGNIVALLHSFFSNLPHEWLESTHTIIKHLRPLNSVAMLRIAFRIMGPLLPRLAFARPLFMKTLALLFNVMADVFGKNSQPAVHAEASDIRDLIDFLHHAVMYEGQGGPVQNTSKPRVESLTLCGKVMELLRPDVQHLLSHLKTDPNSSIYAATHPKLVVQNPTQTNL >Ma07_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3944029:3944409:1 gene:Ma07_g05440 transcript:Ma07_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSNSVPIDRVQEQEVFQTTNNTPTDPSVASLRYLQAFQYKQQKKSECIPPINSGILQGANDSNNA >Ma10_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29500658:29502693:-1 gene:Ma10_g18640 transcript:Ma10_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEARHVPSNLCNIYYLAKFLRSLQKLADEFGVAVIITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRAEERICKVTSSPCLAEAESRFQISSEGVTDVKD >Ma04_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3294536:3301639:-1 gene:Ma04_g04350 transcript:Ma04_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGGFLCPTYRLPNPFSFTSRSRDAGRRFAESATAAVAGRRKRPQNVEGEFFVDHLCIDCDTCRWMAPEVFTRVDDMSAVTRQPSCEEERIKALQALLSCPTSSIHTERPTNKILEVQKMFPLPIDEQKIPGVYHCGYHSERSYGATSYFVTHPEGNILVDSPRFTERLACNIEMLGGVRYMFLTHKDDVGDHEKWSKRMRCERILHSGDVEISTADVEKKLCGEGPWDIATDLKLIYTPGHTEGSVCLYYKPLKVLFTGDHLYGSEESDLAFSVIYNQQSVSLQLKSIRKLLDFDFEWILPGHGRRVTFRDNQEKNSALEAFLARQEPLYA >Ma07_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2244508:2248284:1 gene:Ma07_g02870 transcript:Ma07_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYSKEIFFICMRLLQWSFFLSSLYAGICKKYSEKREENTYNLMQSCSNYVFLMFVSSVFFYHFISILVQSLNSSKCLFKSLTLYADMAGVRKAFRWIQDSRDQYTKERLEAINDEFKLYRCHNIQNCVHACPKGLNPAKQINSLKKPQLQ >Ma01_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19911330:19912548:1 gene:Ma01_g21040 transcript:Ma01_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASCFLTCKSFKPKSPLLHKKRSGQGFHGSGGTSSSTVTCCNLRPQPQDEDGELVIRSDWRSFRARLVAGEQASSLAASLALCGMDRSPTPPEPVGDKWAHLLHEPERGCLLIATEKLDGVHIFERTVILLLSAGPLGPTGVILNRPSLMSIKETPSVDLDVAGVFSDRPLFFGGPLEEALFLVGPRGGEDGVFEEVMEGLYYGTKECVGCAAEMVKRDVVGAGDFRFFDGYCGWEREQLRDEIRAGFWRVVACSPSVAGVASVGSLGLWEEVSGLVGERKVW >Ma07_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3333903:3336643:-1 gene:Ma07_g04500 transcript:Ma07_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAEKVQVSVEPPPPAEEKPDDSKALAVVEKSASQETKSVGSSIDRDVALAQVETEKKLSLIKAWEESEKTKAENKSQKKLSAITSWENSKKATLEAELKKIEEKIENQKAEYAEKMKNKIAMIHKAAEEKKALVEAKRGEELLKAEETAAKYRATGQAPKKGFSCFSA >Ma08_p28200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40219849:40225432:-1 gene:Ma08_g28200 transcript:Ma08_t28200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSQDMEISSAATLGFSCCRCRRTVHRKLGCAGFIYIDCGIPENTSYVDAVTGITYVSDDQFVDAGINYKVWSAYVPSTLARRYETVRSFPVGARSCYTFESTTPGLKYLVRATFLYGNYDLKDKPVQFDLYLGVNLWKTINITNPTAYFFTEVVAEAAAGPMSVCLVNTGLGTPFISGLDLRPMGTFLYPMVGAARSLVLLKRLNMASSADIRFPLDRYDRYWFPFNEPEWKNMSTTSTVQNPADDHFQVPSAIMQTAVYPADSTKLEISLTTEPGDVDELYAVMYFSELQTPPLQNATTRRFFVYLNGAPLNDAQPLAPEYLRSHTLYNTDPAAGNGQYNISLVEASDSKLPPILNALEVLSAMRNTNMASDSRDVGAMMAIKELYQVKRNWAGDPCAPKAYTWDGLNCTFSSSGIPRITAVNLSYSGLTGEMITSFANLSALRILDLSHNNLTGSIPDALAFLPSLKLLDLTNNQLEGSVPSALIAKSQNGSIALRIEGNPNICIDTTSCKAKPKSSRKRKIAVLTIIISCIVPVIIPLLVVVILCIVRKQQASKRGTTEKLQNEGTLQLENRKFTYIQLQKITNNFERTLGKGGFGTVYYGRLEDGTEVAVKMLSQTSSQGTKEFLAEVQHLTRVHHKNLVSMVGYCKDGGDHLALVYEYMSQGTLKDHLRGTCSAMLLSWRQRLQIALEAALGLEYLHTGCKPPLIHRDVKSANILLNERLEAKISDFGLSKAFLSDDHTHISTKVVGTLGYLDPEYYIKNQLSQKSDVYSFGVVLLELITGQPPVLSDPESSHLVEWVRRKLAKGNIEEVVDARLQQEYCLNSSWKVANIALECVAHSSVRRPTMTEVVLQLKESLALCNAGEENQYQNMCSNILYTESSEISKVGTLRLQETDSDGPSAR >Ma08_p28200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40219849:40225543:-1 gene:Ma08_g28200 transcript:Ma08_t28200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRLSTSLFRRGREGEMAAGMRCFSSPKIWRLALLLHLALAVAGVEGQSTGSLGFIYIDCGIPENTSYVDAVTGITYVSDDQFVDAGINYKVWSAYVPSTLARRYETVRSFPVGARSCYTFESTTPGLKYLVRATFLYGNYDLKDKPVQFDLYLGVNLWKTINITNPTAYFFTEVVAEAAAGPMSVCLVNTGLGTPFISGLDLRPMGTFLYPMVGAARSLVLLKRLNMASSADIRFPLDRYDRYWFPFNEPEWKNMSTTSTVQNPADDHFQVPSAIMQTAVYPADSTKLEISLTTEPGDVDELYAVMYFSELQTPPLQNATTRRFFVYLNGAPLNDAQPLAPEYLRSHTLYNTDPAAGNGQYNISLVEASDSKLPPILNALEVLSAMRNTNMASDSRDVGAMMAIKELYQVKRNWAGDPCAPKAYTWDGLNCTFSSSGIPRITAVNLSYSGLTGEMITSFANLSALRILDLSHNNLTGSIPDALAFLPSLKLLDLTNNQLEGSVPSALIAKSQNGSIALRIEGNPNICIDTTSCKAKPKSSRKRKIAVLTIIISCIVPVIIPLLVVVILCIVRKQQASKRGTTEKLQNEGTLQLENRKFTYIQLQKITNNFERTLGKGGFGTVYYGRLEDGTEVAVKMLSQTSSQGTKEFLAEVQHLTRVHHKNLVSMVGYCKDGGDHLALVYEYMSQGTLKDHLRGTCSAMLLSWRQRLQIALEAALGLEYLHTGCKPPLIHRDVKSANILLNERLEAKISDFGLSKAFLSDDHTHISTKVVGTLGYLDPEYYIKNQLSQKSDVYSFGVVLLELITGQPPVLSDPESSHLVEWVRRKLAKGNIEEVVDARLQQEYCLNSSWKVANIALECVAHSSVRRPTMTEVVLQLKESLALCNAGEENQYQNMCSNILYTESSEISKVGTLRLQETDSDGPSAR >Ma08_p28200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40219849:40225543:-1 gene:Ma08_g28200 transcript:Ma08_t28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRLSTSLFRRGREGEMAAGMRCFSSPKIWRLALLLHLALAVAGVEGQSTGSLGFIYIDCGIPENTSYVDAVTGITYVSDDQFVDAGINYKVWSAYVPSTLARRYETVRSFPVGARSCYTFESTTPGLKYLVRATFLYGNYDLKDKPVQFDLYLGVNLWKTINITNPTAYFFTEVVAEAAAGPMSVCLVNTGLGTPFISGLDLRPMGTFLYPMVGAARSLVLLKRLNMASSADIRFPLDRYDRYWFPFNEPEWKNMSTTSTVQNPADDHFQVPSAIMQTAVYPADSTKLEISLTTEPGDVDELYAVMYFSELQTPPLQNATTRRFFVYLNGAPLNDAQPLAPEYLRSHTLYNTDPAAGNGQYNISLVEASDSKLPPILNALEVLSAMRNTNMASDSRDVGAMMAIKELYQVKRNWAGDPCAPKAYTWDGLNCTFSSSGIPRITAVNLSYSGLTGEMITSFANLSALRILDLSHNNLTGSIPDALAFLPSLKLLIEGNPNICIDTTSCKAKPKSSRKRKIAVLTIIISCIVPVIIPLLVVVILCIVRKQQASKRGTTEKLQNEGTLQLENRKFTYIQLQKITNNFERTLGKGGFGTVYYGRLEDGTEVAVKMLSQTSSQGTKEFLAEVQHLTRVHHKNLVSMVGYCKDGGDHLALVYEYMSQGTLKDHLRGTCSAMLLSWRQRLQIALEAALGLEYLHTGCKPPLIHRDVKSANILLNERLEAKISDFGLSKAFLSDDHTHISTKVVGTLGYLDPEYYIKNQLSQKSDVYSFGVVLLELITGQPPVLSDPESSHLVEWVRRKLAKGNIEEVVDARLQQEYCLNSSWKVANIALECVAHSSVRRPTMTEVVLQLKESLALCNAGEENQYQNMCSNILYTESSEISKVGTLRLQETDSDGPSAR >Ma11_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1152875:1153712:-1 gene:Ma11_g01630 transcript:Ma11_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSAFPLLVVVLSLLAASAAARPGVPFHPCNTVFISYTISTTTDAAIPGNNRPNGFVSVYRIITPVRTTFHSDPRSAMIPRPGLLLPRREVAPAEPAPFGFSSLRDRAKDILVVVIGLLFGVGCGALTAVTMYLAWSLVAHRHEICGSDGYSDEEGDVKGSAKKAGYVKIPPADPVSVKEGYEGN >Ma06_p31890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32901867:32906161:1 gene:Ma06_g31890 transcript:Ma06_t31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFHWWGKDDYRGTSVIVKMENPNWSISEMSSPDGDGNEYGVDGGGGQELAGVGARKGGRGKNAKQFTWVLLLKAHRAAGCLTSLASAAVGLASAVRRRVAAGRTDSDAASSPPGESPVLRTRFYSCIKLFLWLSVLLLGFEVAAYLKGWHLGTIELQRLLVMPASFGAWGLFETLYAEWVQLRSEYIAPILQFLANTCVILFLIQSADRLILCLGCFWIRFKKIQPVPMQAVGKDPESGGEDFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILIQVLDDSDDPTTRTMIEEEVEKWQQNGARIVYRHRVLREGYKAGNLKSAMNCGYVKDYEFVAIFDADFQPSPDFLKRTIPHFNENEELGLVQARWAFVNKGENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIKALEDVGGWLERTTVEDMDIAVRAHLKGWKFIFLNDVECLCELPESYEAYRKQQHRWHSGPMQLFRLCLPDILRSKIGFWKKSNLILLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYVPATMSFLSILPAPRSFPFIVPYLLFENTMSVTKFSAMISGLFQLGSAYEWVVTKKSGRSSEGDLFSLVKKEPKQQRVASVPDLETVAKVESQLKKESKQKHNRIYRKELALAFLLLTASLRSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQIE >Ma06_p31890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32901867:32906161:1 gene:Ma06_g31890 transcript:Ma06_t31890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFHWWGKDDYRGTSVIVKMENPNWSISEMSSPDGDGNEYGVDGGGGQELAGVGARKGGRGKNAKQFTWVLLLKAHRAAGCLTSLASAAVGLASAVRRRVAAGRTDSDAASSPPGESPVLRTRFYSCIKLFLWLSVLLLGFEVAAYLKGWHLGTIELQRLLVMPASFGAWGLFETLYAEWVQLRSEYIAPILQFLANTCVILFLIQSADRLILCLGCFWIRFKKIQPVPMQAVGKDPESGGEDFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILIQVLDDSDDPTTRTMIEEEVEKWQQNGARIVYRHRVLREGYKAGNLKSAMNCGYVKDYEFVAIFDADFQPSPDFLKRTIPHFNENEELGLVQARWAFVNKGENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIKALEDVGGWLERTTVEDMDIAVRAHLKGWKFIFLNDVECLCELPESYEAYRKQQHRWHSGPMQLFRLCLPDILRSKIGFWKKSNLILLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYVPATMSFLSILPAPRSFPFIVPYLLFENTMSVTKFSAMISGLFQLGSAYEWVVTKKSGRSSEGDLFSLVKKEPKQQRVASVPDLETVAKVESQLKKESKQKHNRIYRKELALAFLLLTASLRSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQIE >Ma10_p24210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32891410:32893647:1 gene:Ma10_g24210 transcript:Ma10_t24210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRTGLRRKSLLPLFETCRLRDILFFSSSVNAAAAVGGTISPDPHFMVEYLVNSCGFSSSEAAKFSKPLVHLRSTKNPDAVLNFMRSQGFDGAGIRKLISGDPRYLCYNVEKNLAPKFQFLRDLGLSESDVVDVIRNNEEILCRNVHRFLVPKLEIWESLMGSRELVLKHLKKSRRFFFSSAEKTLYPNLKFLRDECGIPEERVSVVLRSCPQLISLKPESLRALVVRADELGMPRQSRMFMWTLYVFHNVSKERFEAKVELMRSFGWSESEFSSAVRKNPNFLSMSLDMLRRKVVFFINIVGYTPSFIASQPTILLYSLQKRVIPRFHVTEMLKSKGLWTGQCKFTSIIVFSDTKFMETFVLPHKENVPELLDILRAAGTCKGNDTFHLASEDEKGLS >Ma10_p24210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32891410:32893647:1 gene:Ma10_g24210 transcript:Ma10_t24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRTGLRRKSLLPLFETCRLRDILFFSSSVNAAAAVGGTISPDPHFMVEYLVNSCGFSSSEAAKFSKPLVHLRSTKNPDAVLNFMRSQGFDGAGIRKLISGDPRYLCYNVEKNLAPKFQFLRDLGLSESDVVDVIRNNEEILCRNVHRFLVPKLEIWESLMGSRELVLKHLKKSRRFFFSSAEKTLYPNLKFLRDECGIPEERVSVVLRSCPQLISLKPESLRALVVRADELGMPRQSRMFMWTLYVFHNVSKERFEAKVELMRSFGWSESEFSSAVRKNPNFLSMSLDMLRRKVVFFINIVGYTPSFIASQPTILLYSLQKRVIPRFHVTEMLKSKGLWTGQCKFTSIIVFSDTKFMETFVLPHKENVPELLDILRAAGTCKGNDTFHLASEDEKGLS >Ma10_p24210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32891410:32941152:1 gene:Ma10_g24210 transcript:Ma10_t24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLRTGLRRKSLLPLFETCRLRDILFFSSSVNAAAAVGGTISPDPHFMVEYLVNSCGFSSSEAAKFSKPLVHLRSTKNPDAVLNFMRSQGFDGAGIRKLISGDPRYLCYNVEKNLAPKFQFLRDLGLSESDVVDVIRNNEEILCRNVHRFLVPKLEIWESLMGSRELVLKHLKKSRRFFFSSAEKTLYPNLKFLRDECGIPEERVSVVLRSCPQLISLKPESLRALVVRADELGMPRQSRMFMWTLYVFHNVSKERFEAKVELMRSFGWSESEFSSAVRKNPNFLSMSLDMLRRKVVFFINIVGYTPSFIASQPTILLYSLQKRVIPRFHVTEMLKSKGLWTGQCKFTSIIVFSDTKFMETFVLPHKENVPELLDILRAAGTCKGNDTFHLASEDEKGLS >Ma10_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30313949:30322212:1 gene:Ma10_g19980 transcript:Ma10_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSETYACVPATERGRGILIAGDARSDSIAYCNGRSVIIRRLDAPLEATIYGEHAYQVTVARFSPNGEWVASADVSGTVRIWGRHGDRALKNEFRVLSGRVDDLQWSPDGLRIVACGDGKGKSFVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKLSQREHSNFVNCARFSPDGSKFITVSSDKKGILYDGKTGEKIGELSIQDGHKGSIYAVSWSPDSKQVLTVSADKTAKVWDIMEDGCGKLRRTLASPGSGGVDDMLVGCLWQNDHLVTVSLGGTMTIFSASDPDKSPVSFSGHMKSITSLVFLQSGQNVILSSSYDGIITRWIRGIGYAGKLVRKDSTQIKCFAAAEEEIITSGFDNKVWRVPVNGDQCGDSQPVDVGSQPMGLTVAAQTPELAIVSTDSGVVLLQGPKVVSQIKLGYAVTTSAISPDGNEVIVGGQDGKLHIYSVNGDTLTEEAILEKHRGTITAICYSPDASMFASADANREAVVWDRISREVKLKNMLYHTARINCLAWSPDSHLIATGSLDTSVIVYEVDKPASSRITIKGAHLGGVYGLAFIDENSLVSAGEDACVRVWKLLPQ >Ma04_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2547708:2549087:-1 gene:Ma04_g03280 transcript:Ma04_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSRQGPPDVQRQSSLQESDHLSFIDQSEMISLDQFTVNQIMESLYEEFHDPLPSPNYASCLPFESSRSSDFNSASCSFADVSFGRPIVAVTGGDVSAELPQASSSFLSFDNQDSLHDPPQLCVNSAKGVVEPTNVIRSLIPRGSTWRENTRDFQRPERISMGSRPPSCAQDHVIAERKRRDRLKQQFLELSTIIPGLKKTDKVSLLGDAINYIRQLEEKVKTLEEKASEKTVASTNLVEKSEHLRACHHISSSEANPSSRAFPKVTASLDGNSSILVRIQCEKRKGLFVKVLSEIEKHHLSVVNTSAMPFAASSLNIAVTAQIEEGFSTTVKDLVKDIDSVLSQFI >Ma09_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2263688:2270488:-1 gene:Ma09_g03350 transcript:Ma09_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSGLGRSLLRSARSRSPKGALSGGYGARPVISSEVLLQKPCIGRDITAGVGLLRGYFTSVGANKAIGVGSHLSHWRFLLANPNFRRFFSSGSPKKKNYENYYPRDKKEIPKDSSNKSDSKGDSSTEDHGNFQENFMKQLQNYIAPLIFIGLLLSSFSAGTSDQKEISFQEFRNKLLEPGLVDHIVVSNRSVAKVYVRSAPQANNQKQDNEIHSPKTDVPSRRDPGRYRYYFNIGSVDSFEEKLEEAQEALGRDPHDYVPVTYVSEVIWYQELLKFAPTAFLLGLLYFMGKRLQGGFSIGGGAGRGNRGIFNIGKAHVTKMDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGREQIFRIYLKKIKLDNDPSFYSQRLAALTPGFAGADIANVCNEAALVAARSEETQVTMQHFEAAIDRIIGGLEKKNKVISKLERRTVAYHEAGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLLDMTCMTLGGRASEEVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQREDGFEMTKPYSSKTGAIIDNEVREWISKAYEKTVELIKEHKDHVAQVAKLLLEKEVLHQEDLVRVLGERPFKSSEPTNYDRFKQGFQEEEENKSSLETLDDDVVPT >Ma05_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7133695:7134300:1 gene:Ma05_g09930 transcript:Ma05_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGSNGENHQRNMQHEVRDMISTLTNRLMALGRSTARSEAKSQEAGAAADHGLRVVTLAGDNKGASMKADMEELMDTHGGVYDDDSGLCTHANSNFQAVNNSIVLGGSCSAEDPGVHLVISEYSQDEEDDEDDDDDDEHEKHGKEEGKDKEEKKEKKKKEKDEKKKKKKEKDEKKQQQEKSKDSTKKELEVE >Ma02_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17821046:17821911:1 gene:Ma02_g06980 transcript:Ma02_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGAPSGVGFCAGKRPVLKTKRSGGNVRCAATKNLMEQYRTLKIQPGASEQEVKKAFRRLALQYHPDVCKGGNSSVEFHQINEAYHMVMNSFRRSKEQDWSDDVGADELTTEMYDPNRDLWEEWMGWEGASTTGDYSSHINPYI >Ma07_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10819777:10841542:-1 gene:Ma07_g14390 transcript:Ma07_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCNDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIQTYQRYKYPTMSKTQGNFKLKVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDSVEDSDFEMPEFNVSYKPQKISPKFPSTVRHLLHQKIRDSYMHPQFVTDVMKPLQIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADKVIVYEGMPSIDCTANAPQSLVSGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKAAGSYYYLDV >Ma07_p14390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10819777:10841193:-1 gene:Ma07_g14390 transcript:Ma07_t14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELCNDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIQTYQRYKYPTMSKTQGNFKLKVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDSVEDSDFEMPEFNVSYKPQKISPKFPSTVRHLLHQKIRDSYMHPQFVTDVMKPLQIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADKVIVYEGMPSIDCTANAPQSLVSGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKAAGSYYYLDV >Ma09_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8940853:8944095:-1 gene:Ma09_g13250 transcript:Ma09_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRREKEKLRDRERERDRERDRDRGRDRDRDRDRDRERDRDRNRDRDYRKRSRSRSPSRSRSLSAERHRRRHGHHRRSPSPDSVRRKRRREGSDDDRDRRRSSASEIADSGAKEQKKQAGDAPRGGEVPAPTDADPDELEMMKMLGIPIGFDSTKGKPVEGNDMSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >Ma04_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20929959:20931423:-1 gene:Ma04_g18770 transcript:Ma04_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVSRKVHLYNVTMGLYMLDWWERCLFNILILTLLWFICHNGSRFATDLYDGHLKSKLVFSSSFPTGGSTRM >Ma04_p37430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35349973:35350326:-1 gene:Ma04_g37430 transcript:Ma04_t37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGKEHLSVLQLQWQSGERNQVVDDDDEVLEGLRPHPKLKRLEIMGCRGATYPSWLKTQWITDLNIIYLSGCRRWESLPPLAQLPSLKVLWIQGMQATKSIGWELFTSTSNQPSFL >Ma06_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15685791:15686629:1 gene:Ma06_g21440 transcript:Ma06_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDATTSGPARWAQLRGWPHLQPPPASPAPATSAFGCVSDSVVVSATSSPTIDGSAKGASQQPGIEGRVGKPVRRRSRASRRAPTTMVNTDAANFRMMVQQFTGNPSGPYTAGYHPGGGPVSNVSNDSVERAHQTTALMSFGNPQQHPCQRQSHQPQQQNYQSPDHSIFTATGASNNSSGGFLQGFSSSGTSLEVGDDYFYDGMYDQMMPRPASTGNRSAGHFS >Ma07_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8358677:8360651:1 gene:Ma07_g11220 transcript:Ma07_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRASAAVDLALPRSVGHFSAKQLPLGLPSGCFLYPLAPLAPPPLLLLPYFVVYRQPISPSITVSLSSSKIETSQRLMHAQGQQALSQASTGMIVRASQAIHDDESKMLVLQSSSFTTKGLSEYVFSLSCTSFQISISVPPTCVIIVSIFCGHVEWVDKIVRRTFHVFSSSLLWLLAAWCSHNYMPYKKCLCCQPSIFFLMCTICSTMSGP >Ma01_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10471085:10471941:-1 gene:Ma01_g14320 transcript:Ma01_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSCNGCRILRKACTDGCTIRPCLQWIKNPEAQANATAFLAKFYGRAGLVNLINAGTEHLRPAIFRSLLFEACGRIVNPVYGSVGLLWSGSWQLCQAAVEAVLKGAPIIQISSDSAAGAIPAFKAHDIRHVSKNLSAGGVPGDLHEVARKSRTRFKRSAGSPAALVVSKPGLFRRAARQETEEEASREDGSAFSAEGSHVILGEPEGVRLDLRLGLEPENRTGERGAEAKVRGASPSDARPVGPRLSLAA >Ma06_p24090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22462643:22465867:1 gene:Ma06_g24090 transcript:Ma06_t24090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQTTTG >Ma06_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22462619:22465867:1 gene:Ma06_g24090 transcript:Ma06_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQTTTG >Ma06_p03530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2569244:2576457:1 gene:Ma06_g03530 transcript:Ma06_t03530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTIRGTAHRRFPRLRLWGRRGTTRIGSTVGGAARAHRRTVTADIRLPAGPLRLGHLSELGGMTVDMTGVEVAREVVMDRMTEDWPDIGYGDYGDGPEVIQRGGLMSYKQFIQELEDDIFPAEAERRYEEYRSEYISTQKRAYFEAHKEERWLKDKYHPTNLVAVIERRKEQARSVAKEFLLDLQSGTLDLGPGVTVSSTSKSGNGSEPNSEDEADTNGKRRRHNKGPAKENDLHSAALKAHPVSSEPRRIQADIEQAQALVRKLDMEKGIQDNVLSSSGHDKLDAEKSRGGSMGRIIIIRGLTTVKGLEGVELLDTLITFLWRIHGLDYYGMSETSELKGLRHVRADNKTHDGTNASGSDWEKKLDSFWLARLQGQDPLETLTAKDKIDAAATEALDPFVRKIRDEKYGWKYGCGAKGCTKLFHAPEYVHKHLRLKHPDLVIELTSKVREDLYFQNYMNDPNAPGGTPVMQQSALKVKMQRRRPLLDNRLRDERGNRRDLDRNDRYDDRHDRSDNSPRDGNGSLEGENHEKLLYDAYGGQGLHGAFPSDIPPPLLLPVPGAGPLGPFIPAPPEIAMHMLRETGGSSSFESNGGSRGRKGRLGPQVSGPAPILPIPSAFRHDPRRIRSYQDLDAPDDEVTVVDYRSL >Ma06_p03530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2569231:2576457:1 gene:Ma06_g03530 transcript:Ma06_t03530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDAPAEALDRGRDLQPEPRESPGDGSSSPPPPPPRRRDRDSRERRDDWPPSRRDDPHDPRNRSPPLPPPPPLGPPRDDKDREYRRRSSPSPPTYRDRRHSPPRRSPPPGPFKRARRDDGGYDRRRGSPRGGYGPDDRRYGYDYGGGYNRRGWYRDERSHGRYTNRPSDWPDIGYGDYGDGPEVIQRGGLMSYKQFIQELEDDIFPAEAERRYEEYRSEYISTQKRAYFEAHKEERWLKDKYHPTNLVAVIERRKEQARSVAKEFLLDLQSGTLDLGPGVTVSSTSKSGNGSEPNSEDEADTNGKRRRHNKGPAKENDLHSAALKAHPVSSEPRRIQADIEQAQALVRKLDMEKGIQDNVLSSSGHDKLDAEKSRGGSMGRIIIIRGLTTVKGLEGVELLDTLITFLWRIHGLDYYGMSETSELKGLRHVRADNKTHDGTNASGSDWEKKLDSFWLARLQGQDPLETLTAKDKIDAAATEALDPFVRKIRDEKYGWKYGCGAKGCTKLFHAPEYVHKHLRLKHPDLVIELTSKVREDLYFQNYMNDPNAPGGTPVMQQSALVKMQRRRPLLDNRLRDERGNRRDLDRNDRYDDRHDRSDNSPRDGNGSLEGENHEKLLYDAYGGQGLHGAFPSDIPPPLLLPVPGAGPLGPFIPAPPEIAMHMLRETGGSSSFESNGGSRGRKGRLGPQVSGPAPILPIPSAFRHDPRRIRSYQDLDAPDDEVTVVDYRSL >Ma06_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2569231:2576457:1 gene:Ma06_g03530 transcript:Ma06_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDAPAEALDRGRDLQPEPRESPGDGSSSPPPPPPRRRDRDSRERRDDWPPSRRDDPHDPRNRSPPLPPPPPLGPPRDDKDREYRRRSSPSPPTYRDRRHSPPRRSPPPGPFKRARRDDGGYDRRRGSPRGGYGPDDRRYGYDYGGGYNRRGWYRDERSHGRYTNRPSDWPDIGYGDYGDGPEVIQRGGLMSYKQFIQELEDDIFPAEAERRYEEYRSEYISTQKRAYFEAHKEERWLKDKYHPTNLVAVIERRKEQARSVAKEFLLDLQSGTLDLGPGVTVSSTSKSGNGSEPNSEDEADTNGKRRRHNKGPAKENDLHSAALKAHPVSSEPRRIQADIEQAQALVRKLDMEKGIQDNVLSSSGHDKLDAEKSRGGSMGRIIIIRGLTTVKGLEGVELLDTLITFLWRIHGLDYYGMSETSELKGLRHVRADNKTHDGTNASGSDWEKKLDSFWLARLQGQDPLETLTAKDKIDAAATEALDPFVRKIRDEKYGWKYGCGAKGCTKLFHAPEYVHKHLRLKHPDLVIELTSKVREDLYFQNYMNDPNAPGGTPVMQQSALKVKMQRRRPLLDNRLRDERGNRRDLDRNDRYDDRHDRSDNSPRDGNGSLEGENHEKLLYDAYGGQGLHGAFPSDIPPPLLLPVPGAGPLGPFIPAPPEIAMHMLRETGGSSSFESNGGSRGRKGRLGPQVSGPAPILPIPSAFRHDPRRIRSYQDLDAPDDEVTVVDYRSL >Ma06_p03530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2569231:2576542:1 gene:Ma06_g03530 transcript:Ma06_t03530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVDAPAEALDRGRDLQPEPRESPGDGSSSPPPPPPRRRDRDSRERRDDWPPSRRDDPHDPRNRSPPLPPPPPLGPPRDDKDREYRRRSSPSPPTYRDRRHSPPRRSPPPGPFKRARRDDGGYDRRRGSPRGGYGPDDRRYGYDYGGGYNRRGWYRDERSHGRYTNRPSDWPDIGYGDYGDGPEVIQRGGLMSYKQFIQELEDDIFPAEAERRYEEYRSEYISTQKRAYFEAHKEERWLKDKYHPTNLVAVIERRKEQARSVAKEFLLDLQSGTLDLGPGVTVSSTSKSGNGSEPNSEDEADTNGKRRRHNKGPAKENDLHSAALKAHPVSSEPRRIQADIEQAQALVRKLDMEKGIQDNVLSSSGHDKLDAEKSRGGSMGRIIIIRGLTTVKGLEGVELLDTLITFLWRIHGLDYYGMSETSELKGLRHVRADNKTHDGTNASGSDWEKKLDSFWLARLQGQDPLETLTAKDKIDAAATEALDPFVRKIRDEKYGWKYGCGAKGCTKLFHAPEYVHKHLRLKHPDLVIELTSKVREDLYFQNYMNDPNAPGGTPVMQQSALKVKMQRRRPLLDNRLRDERGNRRDLDRNDRYDDRHDRSDNSPRDGNGSLEGENHEKLLYDAYGGQGLHGAFPSDIPPPLLLPVPGAGPLGPFIPAPPEIAMHMLRETGGSSSFESNGGSRGRKGRLGPQVSGPAPILPIPSAFRHDPRRIRRYLSDGEDISFPT >Ma09_p29070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39643046:39643297:1 gene:Ma09_g29070 transcript:Ma09_t29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWILVDRSRWKLVSATLETDSITAIISLRLKQAMVECHLLCYTLRGFTKYVPVRLRFTMAGFTPYDMLVSPNILNRVWAIY >Ma03_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24505884:24509148:-1 gene:Ma03_g19080 transcript:Ma03_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALFTKTAPTLASGLGPFASAGGSGTRWQGLCHARGLKVAALPDLEYDYGALEPAISGEIMRLHHQKHHKAYVSNYNDALEKLDAAMAKGDVPAVVRLQSAIKFNGGGHINHTIFWKNLKPVNEGGGEPPHATLGWAIDTDFGSLEALVQKMNAEGAALQGSGWVWLALDKERKKLLVEATANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVKPEYLKNIWSVMNWKYASEVYDSEIA >Ma03_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24479337:24481103:1 gene:Ma03_g19020 transcript:Ma03_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFLPQLSFFLILHLYFLPSSSLFDGDLMLPGPTMSLEHLDPTLPPALPSQPAYCSVVVLQQDFADTVGSPPVSVNYTHPPDCPFPWTRVVLGLSLAVSGPQESRVGAVWIDGVEVLRTITPIPMAPGAFWRIDKDVTRYAALFRRLANGGGVVSMMLENSIAVLPGVFSANVSLHYYRGPLDDGQAASMPDVAHPSIRSLYHEPADVVLPVSKPDGHYGSGFWHRIDSETGVEATTVVIPRNAYRAVLEIFVSYHGDEDTWYTNPLRSEYLRQPMTAKLSASRANGAFRQVYATIDGRYAGGRVPFPVVYPSAINPFFWSPVTAIGAFDMPSYDLDLTPFLGLMLDGRPHEVGLGVRGAQPHWLVAANLHLWIDHWSDAVQAGPLEYFAPAIQMNRNAQWRNVDGESEVGAEGLERFAGWVSSSRGNLTTQVRHKTKMKSEVEVQNRGTVSQSDFIFKERTTVTVLRGNQWMARVQAVVEAPMQVQTTVQNAAGAPALQKTRFFHQLMQTVSLNEGQSGATTTRELTDRQDAEGSAMTSGGWGSGKSRSAYRYKDGSRCYARNVNTAGGVVIQDKKASCAAMAGVA >Ma10_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2397952:2406398:1 gene:Ma10_g00750 transcript:Ma10_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTQEELLAAHLEQQKIDPDEPVVEDDEEEDDDDDEDDDKDDAEGQAGDASGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPEPSAAAHDDEEVDETGVELKDIELVMTQAGVSRSKAVKALKAADGDIVTAIMELTN >Ma09_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12757984:12760035:1 gene:Ma09_g17220 transcript:Ma09_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKTTIARARQELENLYLGVPDDSVDLSFKDLTSFQATMSPIHEEPKAAVTKSPSLDFAKGLRGTKSGSDSAAEHELRRERLARGSFMTRNGISSAAVMAGTGQADAVSVVSMASAVRGETGRRRRPGIPHSNICALCSVYIYVFRHRCLVCGRVYCRDCVGIGMGDMSEGRKCVECLGRRFCQRYIKRAGHAWCCWRYPSRVKLQELKWAEKGPRRSGERRYRSQAVSAVGPRLLHASRSGSPSVAATPRPPAAATPGRFGISHDSSVVSFMRSPSPHGFPL >Ma10_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27523635:27547185:1 gene:Ma10_g15460 transcript:Ma10_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRARDYEAEEIACAVPRQPAPDHPLALLASPSSQVLPDFEVCFDDPLRESATDASESILDREDGCSTLRSKLSGEADNLLEKEWIFLKSSLMQKFSCSNTITISPTTDAIMRNNKGKNAGYKTLADIHMEDLDDPESTVKEEIKAITRQEYVSRLQELKSEINQAWIAEDRIRALKLSIKVARLLTDTSVCQFYPTLFTLVVDVMDMLGNLVWERIKKRTEYADDRTPVCSLPENFTSDDICSEAKETCYNWFCKIGSIHELVPRIYLELAILRCWRFLEDSFVSIVERLVMMMRGLADPLVSAYCHLYLAHCARSLYLGDDGYLIMSLGDISILLRRVLLDKETVGHHSCKNKYFLISLIKPAIDWIVKCIFTEGYQNASNILVEFGVGSDLSVSTRKFSCVSVVLHYLLKHLPADVISNNAVEIIDFIEQNKDMSVESHLDYRLLGHKLYECQHSLSSVCAVMRRIMQVLGQYDNLNEYLIIADAYLDIILLYSMENYLGIILDGILKRAHANQVDQIEMENLQSILVKIIDHFDRLEIVLAMEHFTAILDFLSGSSRNTVYMNILHKATRAGHTGDPTRVQFLFEVSQVLHESIDTSNMNDESKHKADLISRFVGMVNFGAEWEQHLSFLGESRAAFGSIDELKYVLIHSSNNLAIKSIRDKKKFLGFLKSCLAFSEVTIPSISDSIQRMNLYLETAEIALFGGLISHSEGLVTSAISCLECLNMTTGSHSSNDVDHISSLTSKLCSLLLMIPGNHEEGSVCLIRNLITTLQGHSLASSKIKVQVFCAIISLSAGLSQKKFLYHAKNMEVISNDQLYFGDPSFDEELSSIASLVLQILEDVIKQEMHLVTRGRLALDACNCLLVSFKTSHELSLKCSSLIDIAESCLHPKEKYLRSTVNLMDRLSSNIGDQVAPSLELTLVVQR >Ma10_p15460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27523643:27547186:1 gene:Ma10_g15460 transcript:Ma10_t15460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRARDYEAEEIACAVPRQPAPDHPLALLASPSSQVLPDFEVCFDDPLRESATDASESILDREDGCSTLRSKLSGEADNLLEKEWIFLKSSLMQKFSCSNTITISPTTDAIMRNNKGYKTLADIHMEDLDDPESTVKEEIKAITRQEYVSRLQELKSEINQAWIAEDRIRALKLSIKVARLLTDTSVCQFYPTLFTLVVDVMDMLGNLVWERIKKRTEYADDRTPVCSLPENFTSDDICSEAKETCYNWFCKIGSIHELVPRIYLELAILRCWRFLEDSFVSIVERLVMMMRGLADPLVSAYCHLYLAHCARSLYLGDDGYLIMSLGDISILLRRVLLDKETVGHHSCKNKYFLISLIKPAIDWIVKCIFTEGYQNASNILVEFGVGSDLSVSTRKFSCVSVVLHYLLKHLPADVISNNAVEIIDFIEQNKDMSVESHLDYRLLGHKLYECQHSLSSVCAVMRRIMQVLGQYDNLNEYLIIADAYLDIILLYSMENYLGIILDGILKRAHANQVDQIEMENLQSILVKIIDHFDRLEIVLAMEHFTAILDFLSGSSRNTVYMNILHKATRAGHTGDPTRVQFLFEVSQVLHESIDTSNMNDESKHKADLISRFVGMVNFGAEWEQHLSFLGESRAAFGSIDELKYVLIHSSNNLAIKSIRDKKKFLGFLKSCLAFSEVTIPSISDSIQRMNLYLETAEIALFGGLISHSEGLVTSAISCLECLNMTTGSHSSNDVDHISSLTSKLCSLLLMIPGNHEEGSVCLIRNLITTLQGHSLASSKIKVQVFCAIISLSAGLSQKKFLYHAKNMEVISNDQLYFGDPSFDEELSSIASLVLQILEDVIKQEMHLVTRGRLALDACNCLLVSFKTSHELSLKCSSLIDIAESCLHPKEKYLRSTVNLMDRLSSNIGDQVAPSLELTLVVQR >Ma10_p15460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27523643:27547185:1 gene:Ma10_g15460 transcript:Ma10_t15460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRARDYEAEEIACAVPRQPAPDHPLALLASPSSQVLPDFEVCFDDPLRESATDASESILDREDGCSTLRSKLSGEADNLLEKEWIFLKSSLMQKFSCSNTITISPTTDAIMRNNKGKNAGYKTLADIHMEDLDDPESTVKEEIKAITRQEYVSRLQELKSEINQAWIAEDRIRALKLSIKVARLLTDTSVCQFYPTLFTLVVDVMDMLGNLVWERIKKRTEYADDRTPVCSLPENFTSDDICSEAKETCYNWFCKIGSIHELVPRIYLELAILRCWRFLEDSFVSIVERLVMMMRGLADPLVSAYCHLYLAHCARSLYLGDDGYLIMSLGDISILLRRVLLDKETVGHHSCKNKYFLISLIKPAIDWIVKCIFTEGYQHLDYRLLGHKLYECQHSLSSVCAVMRRIMQVLGQYDNLNEYLIIADAYLDIILLYSMENYLGIILDGILKRAHANQVDQIEMENLQSILVKIIDHFDRLEIVLAMEHFTAILDFLSGSSRNTVYMNILHKATRAGHTGDPTRVQFLFEVSQVLHESIDTSNMNDESKHKADLISRFVGMVNFGAEWEQHLSFLGESRAAFGSIDELKYVLIHSSNNLAIKSIRDKKKFLGFLKSCLAFSEVTIPSISDSIQRMNLYLETAEIALFGGLISHSEGLVTSAISCLECLNMTTGSHSSNDVDHISSLTSKLCSLLLMIPGNHEEGSVCLIRNLITTLQGHSLASSKIKVQVFCAIISLSAGLSQKKFLYHAKNMEVISNDQLYFGDPSFDEELSSIASLVLQILEDVIKQEMHLVTRGRLALDACNCLLVSFKTSHELSLKCSSLIDIAESCLHPKEKYLRSTVNLMDRLSSNIGDQVAPSLELTLVVQR >Ma07_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11603359:11604903:1 gene:Ma07_g15450 transcript:Ma07_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYGTSDALMCRAFPTTLRGSARAWYGGLKTGTIASVDQLVKDFKLNFLAYARFFWSLVERPPSVVPEMLQRVNQFIAAEAWMAGKREEHKRARPEPARGQPSTTLRCRVDRPDPLALRTSLPSLGASRTEIFLQIREKGLLRPPVPMKNPGHDTKECRELKPQIEELVRRGHLSRYIRQNREPSPHSEGSVERHIDVITGSSASGGVSMSGRKAYACSARADAPRHNPDPEVAFPPEGAERPEHDDALVITTRIANAQVRRIMIDTGSSTDVLYLDAFQKLGLAKVPLEPICSALTGFTGDSISPLGAVTLPLTLGAPPRTKMVMSTFLVVDLPTACNAILDRPTLNKIRVVVSTYHQTVKFPTHAGIGEVWGSPRESIQCYLTTISLHKRAKTDRPLEDPRETKRPTPHPEPTAPTCDILLMKDQSDRTIKVRLELPEQERE >Ma10_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32061723:32062945:-1 gene:Ma10_g22890 transcript:Ma10_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSALALYMYSPGLEASHHHLDSFPPPSSKAMKSSDPTTTITSSFQHSSSSSSSNSSSPTSSPISSSPPSLPPTTPPPPVIHSPCAACKILRRRCAGKCVLAPYFPPTEPLKFTTAHRVFGASNIIKLLQDLPESQRADAVSSMVYEANARIRDPVYGCAGAIFQLQKQVNELQAQLAQAQAELLNLQAQHKNLIALICVEVAQNQQDRAPRSTDALAAGNYLLQNDAYFLDEINQEGSVWDEPLWI >Ma05_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37087431:37088269:-1 gene:Ma05_g25020 transcript:Ma05_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTVVHQLLQVSSSSSSEGETEEGAVARIEEPPQNWNVAGGVRTGLSINKRRLLSKQLSMRETRMEAKWEKRRSQIQQKRCVIERGVVGEGGGGDEAAAAVAAESSERRLNGKTRGLTDEDLDELRGSIDLGFGFNEEEGGQDLCDTLPALNLYFAVNRQLSDPKIQLSPSPASTPTATSSSSTLCGLPSPRSPNEQSDSWKICNPGDSPQHVKTRLRHWAQAVACSLRQSC >Ma08_p30830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41950685:41951705:1 gene:Ma08_g30830 transcript:Ma08_t30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERHHHHLFHHRQEEEKPSEEVVYSETAYNPSGDDYTSGYTETAVAESASDEFERYEKEEKHHKHKEHLGEMGALAAGAFALYEKHEAKKDPEHAHKHKIEEEIAAAVGVGSGGYAFHEHHEKKDAEKEAEEASGQKHHHHHLF >Ma03_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8340875:8342884:1 gene:Ma03_g11060 transcript:Ma03_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAYLDEYEKLVIRMNTPKVVIDNAVCPIATLVKVDSASRKHGVLLEAIHVLTDLNLSVKKAYISSDGRWFMDVFHVTDQFGNKLTDDSVISYLEQSLDTGNPEINRSHRCEGLTALELTGTDRPGLLSEVFAVLADLQCDVVEATVWTHDGRVACILFLKDQLSGSPLDDEQRIHRIESRLRHVLKGDHGVRSGKTAVTSMAVAHPDSRLHQLMLADRDYDRCPSAPASSTFVSVQNWDERGYSVVNVQCRDRPKLLFDIVWTLTDMQYVVFHGTIDTDGDSAHQEFYIRHKNGSPIDSEAERHQVIQCLQAAIERRASEGTRLELCIEDRPGLLSDVTRTFRENGLLVTRAEASTKGDMASDVFYVTDAAGKAADPKAIEAVRLRIGFDRLKVKEEQRPRFCRKESTNREASLGGVGTGLFYLGSLVRRNLYNLGLIKSCS >Ma08_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33432484:33436831:1 gene:Ma08_g19670 transcript:Ma08_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDRRITLVVLLVVISFLPPSALCRYLDSVYMEVLFEVRKSFTEDPRGVLDDWRRDNPDYCSWNGVTCDLDSAVVALNLSSSSLAGSLSRSLGRLSRLATLDVSSNRFTGTIPSQLAGLSALTTLFLYSNRLSGTIPSSLGSLSSLRVIRLGDNPGLSGPIPDSFGDLRNLTTLALALCNLSGSIPRRLGRLTHLQNLVLQQNQLDGHIPSELGNLADLRILNLANNLLQGEIPSQLGKLSQLTYLNMMSNRLEGTIPRSLCKLVGLQNLDLSMNELEGEFPAELGQLSELNYLVLSNNKLSGGLPEDLCQNATRLQHLFLSTNRFSGQIPAGLVQCLSLTQLDLANNSFTGAIPVELGELVDLTDLLLNNNSLSGSILREFGNLSNLQILTLYHNELRGQLPEEIGRLQQLQILYLYENQLSGEIPSAIGNCSSLKMIDFYGNQFSGGIPATIGRLEQLSFLHLRQNDLSSKIPASLGNCRQLTILDLADNRLPGGIPATFGLLKSLQQLMLYNNSLEGSIPDEMFDCRNITRVNLSNNRFNGSILPLCGSTSLLSFDLTNNSFNLEIPAQLGNSPALERIRLGNNRLTGKIPPMLGEIGALSLLDLSSNLLTGVIPKELAACKNLTHIVLNNNRLTGVVPTWLGSIPQLGELKLSSNRFFGPLPVELFNCSNLLKVSLADNSLSGSLPPEIGKLTSVNVLDLAHNQFSGAIPASIAQLSKLYELRLSRNLFTGPVLVELGRLQELQSALDLSFNNLSGEIPSSLASLAKLEYLNLSHNFLTGDVPRQIGEMSSLVVLDLSSNDLEGQLDGRFARWPPQSFAANLGLCGSPLQPCNIISPACEGSTLSSAAVAVISATVTLVIILLLIAAVLWIRRRCAERSSEVNCAYSFKGSSSKIHRELIVKGSTRRELKWEAIMEATCNLSDEFVIGSGGSGTVYRVEMPSGETVAVKKILHDKRESLLQDKSFVREVKILGRIRHRHLVKLLGYLSRNQGEHLLVYEYMENGSLWNWLHEPAVSQKRKRELSWEARLKIAIGLAKGVEYLHHDCVPMIVHRDIKSSNVLLDGDMEAHLGDFGLAKAVAAENYPDGSARYTETGSCFAGSYGYMAPEYAYSPKATEKSDVYSMGIVLMELVSGLMPTDRRFGGDVNMVTWVQSRTATTMTVTEREELLDPALKPVAPREEASLFEVLNVALQCTRRAPSERPSSRQVSDKLLHVSLKIQRVSTGKKVAV >Ma02_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17985893:17987612:-1 gene:Ma02_g07200 transcript:Ma02_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASSRLTDAPPAMGGVSLTRDYTAGLPDECLALVFQSLGSGDRKSCSLVCRRWLAVESCSRRSLSLDARAALSDVAPAIFGRFDGVSRLALQCGRRHDSIGDEALALVAVSFPGLVSLKLRACRALTVAGMEAIARHCPGLRELSVSSCTFGARGIDVVLRGCPLLEDLSIKRLRGLHDPSATADLVAGAASLRSLCLEDLYNGQCFAPFIAGSPNLKTLKLIRCSGDWDPLLQDIAVKVPLIVEIHLERLQVTDSGLTALSGCIDLEILRLVKTPECTDAGLATIADRCHRLRKLHIDGWKANSIGDVGLQAVAQRCTGLGELVLAGLDPTYRSLELIASNCGSLERLALCGSETIGDAEVACIASKCTSLKKLCIKECPVSDQGMEALAAGCPKLVKMTVKRCSGVTPDCADRLVASRHGKLAVNLEVNDGSTNDQQQEATEEGSALEEFGIAAAIGGSELPRPLVDGVGSQGRWPGKKKRAGFFATRRNLVASALRRLSHGSSNSSHSPSVRGEGEPSAFSVSVV >Ma03_p29880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32721736:32723098:1 gene:Ma03_g29880 transcript:Ma03_t29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGSFQDGRIASKRLMMLVALLLVSCCLGHGFGDEDHVEVEEVGSSCMESERRALLAIKSDMYDPDNWFSSWTGKDCCGWRGVACDHTTGHVTKLDLRYPYTYTYTYTYDMWDVFYDAETIGASKVNPSLQELKYLKYLDLSMNNFSHAPVPSVISSLVHLEYLNLSYAMFYGLVPPQLGNLSNLYHLDLGGWFLHVDDLDWLSRIPSLKYLDMSYVNLSKATNWFYVINSIPTLEVLRLRYADLPYVPSPLPPFNLTAIATLDLSWNSNISSAMLRWLSNATSLEYLLLFRCGSLTIEPLQVALASLINLKELDLSFNSLEGEIRETLNNVSSRGLKHLDLSWNQLSGDIPPGSLRDLEYLDLSGNFNVIVHIFASLGNLTNLRHLGLSGNSISGEIPQTVGNFVRLEYLDLSSNGIIGKIPESIGNLSNLVELYLSRNNIAGSIPRTM >Ma10_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15311171:15315968:1 gene:Ma10_g04680 transcript:Ma10_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLFSSSSSAAFTVVACPARSPLPRRLPPAVCFARAVDTAPRATLPCATSAYPPQPFVPEVAEAVESLYSEFREVDNLVAHNSMRVLKAFQNAGVGSHHFNGCTGYGHDDGGGREALDSVFAEIVGAESAIVRSQFFSGTHAIACALFAFLRPGNELLAVAGTPYDTLEEVIGIRESSITGSLKDFGVTYHEVPLAEDGGLDWDALSFAVRPHTKCALIQRSCGYSWRRSLSIADIERAIRVIKMQKPDCMVMVDNCYGEFVETYEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKRTWVEAAAARLSAPGLGVDCGSMTGDVRRALFQGLFLSPQMVGEAIKGGLLIAEVMSVKGYKVQPLPRAPRHDTVQAVQLGGRERLISFCEAVQRSCPIGSYIKPIAGVTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGTHWTHWALALGEVLRVI >Ma02_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23286026:23292920:-1 gene:Ma02_g15670 transcript:Ma02_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGRVSSWGGGERREAAGMLLPCAVRRPLLRCIILSAVSLWIPAAARNKEPYAMRISCGARDDISTKPTNTLWYRDFGYTGGKFANATVPSFIEPPLKTLRYFPLSDGLQNCYKINNVPRGHYQVRLFFALIADPSFDSEPTFDISIDGTQIYSLKSGWSNIDEQSFVEAFVFVTDSSFTTCFHSTGHGDPSILSIEILQIDDNAYYFGPLWGKGTVLRTAKRMTCGSGKSAFDEDYGGNHWGGDRFWLGVSSFPDSGQPTSTEHNISQTSISPNFYPENLYQSAIVGDDDQPDLSFQMEVDPNKKYSVWFHLSEIDSKITGEGQRVFDILLNGDIAFENVDIIRKTGGSYAALVLNSTIEASGRTLTITFRTKPGSHAIINAIEVFEVIVAESRTLAKEVKALQNLKTSLGLPRRFGWNGDPCVPQQHPWSGVDCHFDRKIVKWVIDGLGLDNQGLKGFLPNDIAKLQHLQSINFSDNSLYGGIPSSLGTIAGLQILDLSYNQLNGSIPQSLGRLMKLQILNLNGNLLSGRVPANLGGRPLHRASFNFTGNAGLCGIPGLPTCGPHLSVAVKIGIAFGALFALLLVVLCVLIWWKRRENILRARKIAAAREAPYAKARTQFGRDVQMTKHYRPHEARNNAESGSNLLS >Ma04_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10313189:10320037:1 gene:Ma04_g13640 transcript:Ma04_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIGLEESGIALRRLDVMGSQNVDRSVVVPPNADSSTTSTPKTHKKNRIQVSTNKKPLFFYVNLAKRYMQQYNEIELSALGMAIGTVVTVAEILKNNGLATEKKILTSTVGTKDEAKGRLVRKAKIEILMGKKNSDDHDSADKEAAHEATEADAKK >Ma08_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3700505:3700817:-1 gene:Ma08_g05400 transcript:Ma08_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPTVALRALLAMGIAVFTKVGAATKAAGGIKVGAAVAAVSAAATAATTGTKHQSEASKPT >Ma09_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7576483:7585390:1 gene:Ma09_g11180 transcript:Ma09_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAPKKRGRPRKKQEGGRLLQSPPSSPRLASAPRRTLRQRRRRPLLDGFADFDDYLDEDEEEEVEEQEGRGKRRKLKVILRLPPPGPIAAIGEEEEEKPRRPAPVGRASSSSSSASSSSYVDDDDDDAEGDEKVKEEPIKPLKKRRFEGCDDGFRSGGSGHRETEKKNHLQRLKGSVSGVSAGSHAVETPLPEKKLLEAVLHKIQKKDIYGVFAEPVDPEELPDYYDVIEHPMDFGTVKTKLATNAYRSFEQLEDDVFLICTNAMQYNAPDTIYFRQARTMQDIGRKEFQKLRTEGKCIETVSKCEEKIRPDSTEKKPLQKYLPKVVQESFVSDISSATTHPSGGDPCTGLSTAEASGAEPASASNGLADGSCSLGESKSEKVDDLRVKGSPSKLGMKSLDVDENRRATYNVCDQQPATESGTVYDVLEGKQRQLVPVGLDAEYSYARSLARFAGDLGPIAWRIASQRIESALPSGVKFGSGWVGEYEPLPTTILFLEKDNQLEQCQLDTNTSLQTNMPSRDKGTAAGRNASDNDHSKEVNFGIQSQVGTRSYSSRSSDPMKEGNNLCGITQVKQQSSSVTSETQQRGNAVMLRQQKEQGVASFKSCSNSRLVSQALQRPEINTGVASFKSPGNISLGRKPMQHEPLKQTEAMVPCSTTDGRVNVDQFSHGKVLENYSTNSLNNTMGFVSKSQKGIVGNDRRIFGSHEHGLSDPSRLMGLPIKMFNQSNITNSSVDSSKLLPSTVPPTSTESSITADAAAARSWMSVGNSLQSKLSVEPVNVCDNPNGSASTYFIGSSWTTPTLSPGNSDNSRTTSMPQALRQPIQVVGLEPQVHNRGLVIFPQLIATDMPRFQGQAPRQGLLPQTENKHTRNACPPDLNISFQPPGSPVRHSSGILKDSQQPDLALQL >Ma02_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17523990:17527363:1 gene:Ma02_g06540 transcript:Ma02_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRETEPDSGGGHANRPIGNSTVVAYPSQAAAVLPITLKFEEVVYKVKRGAKGWWPSSSSPAEKTILDGITGVVCPGELLAMLGPSGSGKTTLLTALGGRLRGKLSGKITYNGHPFTGVVKRRTGFVAQDDVLYPHLTVTETLTFTALLRLPGSLSRAEKAHQAQLAISELGLNRVAHSMIGGARGVRGLSGGERKRVSIGLELLVDPSLLLLDEPTSGLDSTTAARIVATLRRLAVEKGRTVVTTIHQPSSRLYRMFDKLVLLSEGNAIYYGRAAAAVDYFASVGFPCPIDGVNPADFLLDLANGIAPESKYASENGEANGGMQREQKAVKEALISAYDRNVATRLKAELCAVDLNNHGYAREMANASTQWCTSWREQFTVLLSRGLKERRHEAFNKLRIFQVLSVATLGGLLWWHTPLSHIQDRTALIFFFSVFWGFFPLYNAVFTFPQERPMLRKEQAAGMYRLSSYFLARTAGDLPMELALPTAFTFIMYWMGGLDPHPLTFLLSLLVVLFSVLVAQSLGLAVGAMLMDVKQATTLASVTTLVFLMAGGYYVQQIPPFIAWLRYLSYSFYCYKLLLGVQFTEHDSYECSKGVMCPVMEYQAIKSVGLRRMWVDVCVMAVMLVGYRIVAYLALRHLQHR >Ma06_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23999135:24000223:1 gene:Ma06_g24790 transcript:Ma06_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQCCSTSTSEEPRKPPPAASRRRVVAAGSVGGGRDRPFRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAIAAARAYDTAVFYLRGRSARLNFPDEILAEDPEAEGFGSGGVMSADSIRKKATEVGARVDALQATLVPPQPPPSPPLPQQHHLQIQWRSKNPDLNQQPSPESSDVD >Ma01_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11714628:11716859:1 gene:Ma01_g16140 transcript:Ma01_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQSSDARDSSLETMGPPPPKRGYNKYATGCAMLASLASILLGYDIGVMSGAELFIKDDLKLNDTQIEILLGVLNLYSLVGSFAAGFTSDWIGRRYTIVFAATIFFTGALLMGFATNYAFLMVGRFVAGIGVGYALMIAPTYTAEVAPASSRGFLTSFPEVFINSGILLGYVSNYAFSHLRESLGWRLMLGVGAIPSVFLGLGVLAMPESPRWLIMQGRLAEARKVLEKTSDTPEEAKLRLSDIKIAAGIPEDCDDDVVPMPKKTRSRGVWKDLLVRPTPSVRRVLIAAVGLHFFQQASGIDSVVLYSPKVFEKAGIQGNTKLLGTTVAVGFTKTLFILVATFLLDRVGRRPLLLTSFAGMILSLAGLGLGLTAAEHHTDGHLPWAVGLSIACVLAYVAFFSIGAGPITWVYSSEIFPLRLRAVGASIGVAVNRVTSGVITMTFISLYKAISLGGSFFLYGGIAAVAWVFFFTYLPETRGRTLEDMEELFGKEKEVDKQTKEENRVEMVNASNRNGNTR >Ma10_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21820683:21829278:-1 gene:Ma10_g07420 transcript:Ma10_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMSASTSACRGNLVFFLGDARPARGSAQNHMLAFVIQRSRRRRSASRMIGNCKYKGYPKKRSWWQKFFSDGDDESWFGLSAEDVLGVDGVGDDYGEKDGPEDERFEAWKSRAEAIVELRESQENARNAEGRAWEDWLGRDELAGGGSSSSDQDWGGEAAGPPSEVADDPEEITREKGLVEAIKDAIAENDEELLFEDRVFRYASTSSAKFVALLILVPWALDFVVHDFVLMPFLDRYVKTVPLAAEMLDVRRQQKLEMIKTLKLERARLRLEVEIGKSPPLSDEDIWVELRHKAIDLRDEWRLENRKAFANIWSDMVYSITLFILIYFNKSKVALLKFTGYKLLNNISDTGKAFLIILITDIFLGYHSESGWQALIEIILEHYGLEIDKAAITIFICSVPVVIDACVKLWLFRFLPRLSPNVSNIFQEMKRH >Ma03_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5092394:5096417:1 gene:Ma03_g07300 transcript:Ma03_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25860) UniProtKB/Swiss-Prot;Acc:Q9SQI8] MAASPSTTSVAGAGPLLSFSSSLSSPSRRVLLPLVRRSSGGAGRRAARIPAISAKIREIFMPALSSTMTEGKIVSWVKSEGDRLSKGESVVVVESDKADMDVETFYDGILAAIVVPAGESAPVGAPIGLLAETEDEVPLAKAQAQSQSQSQPAPVTQSPPSPPSVAAPSPPPPPPAPVATPVAVSEGPRKIVATPFAKKLAKQHKVDIGTVVGTGPYGRITPSDIEAAVGIQPKVPVSSPSPAVTPVPQSPAPSADTSAKAPAAALPPIPGSTVVPFTTMQAAVSKNMVESLSVPTFRVGYPVTTNALDALYEKVKPKGVTMTVLLAKAAAMALAKHPVVNASCKDGKSFTYNESINIAVAVAIDGGLITPVLQDADKLDIYLLSQRWKDLLKKSRAKQLQPNEYSSGTFTVSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADADGFFSVKSKMLVNVTADHRIIYGADLAAFLQTFAKIVEDPESLTL >Ma06_p35900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35599883:35604769:1 gene:Ma06_g35900 transcript:Ma06_t35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMRLKIYQHLSSDEESLDQRVFFHASVGLWHEMVQGMHSDVATLRKASIWHEASRVVHEEGFRAFWRGNLVTIAHRLPYSSISFYAYERYKNFLQLVPGLDKHRDSVSADVCVRLFGGGLAGITAASMTYPLDLVRTRLAAQTNTMYYRGISHALYAICRDEGIRGLYKGLGATLLGVGPNIAISYSVYETLRSHWQVERPYDSPVLVSLACGSLSGVASSTVTFPLDLVRRRKQLEGAAGRACVYKSGIFGTLRHIIRTEGFRGLYRGIMPEYYKVVPGVGIVFMTYETLKSIMAGMSSVDD >Ma06_p35900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35599541:35604769:1 gene:Ma06_g35900 transcript:Ma06_t35900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGGHRALSSGHAGGAGALDGGARSYSSHHPQQQQQQLQHQPQIGTVAHLISGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRVVHEEGFRAFWRGNLVTIAHRLPYSSISFYAYERYKNFLQLVPGLDKHRDSVSADVCVRLFGGGLAGITAASMTYPLDLVRTRLAAQTNTMYYRGISHALYAICRDEGIRGLYKGLGATLLGVGPNIAISYSVYETLRSHWQVERPYDSPVLVSLACGSLSGVASSTVTFPLDLVRRRKQLEGAAGRACVYKSGIFGTLRHIIRTEGFRGLYRGIMPEYYKVVPGVGIVFMTYETLKSIMAGMSSVDD >Ma06_p29390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30781225:30782724:1 gene:Ma06_g29390 transcript:Ma06_t29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIPFLFSFVFLFLLVSRLLSSSAAVSSSYGPKTHPVIGCLIRFYKNRHRLLDWYTELLEASPTQTIVMRRLGARRTIVTANPENVEHVLKINFPNYPKGRPFTEILGDLLGCGIFSADGELWHTQRKLASHEFSTRSLCDFVVNALEFETGERLLPILSSACAHRGVVDMQELLRRFAFDTICKVSLGTDPGFLDASLPESPLADAFEVASAISAKRGAAPVFAVWKAKRALGLGSEGQLRAAVKLIHASVMEIIRTRKTEIKKGTQHNDLLSRLIVGGHKDEVIRDMVISFVMAGKDTTSAALTWFFWLLSCHPEAETEVAKEAKQAKGRLDYHTLKDMKVLEACLCECMRLYPPVLWDSKHAAYNDTLPDGTRIKKGDRVTYFPYGMGRSEKLWGKNCMEFDYRRWLSESGELVRQSPFKFPVFQAGPRVCLGKEMAFVQMKYVAASVLREFELRREESAKQRPALVPLLTAHMAGGLHMVVEKREEMESICSL >Ma09_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6954046:6957299:1 gene:Ma09_g10210 transcript:Ma09_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISICSFLVTDYPPRGVELRGMDPLSVLREYAIRGELDRVVRSDDELRFGSDYAFPCSAVTGYRSKQGGFYTLDALLFFARHHHLKHTEYLQSARQHRVPTVTFPDRKPILDYLLGRTASSDAVSLLPPPSSAVEEYRPDESSLPLDESPSAAATETLADVPPAAAATAVDYVAMIRSMERPLKDRESLLECRNRDFHAVLLASTKREEERQRLESQQRKDGLVAKTRLISSDDHHRPVVSAYGGGGSGDDAAGAAAPKPKMHLKGSKIGEGVPIIMVPSAFQTLITIYNVKEFLEDGVFVPSDVKVKAVRGPKPDCVTVQKKLSRDRVVAAYEVRDKPSAFKPEDWDRVVAVFVLGKEWQFKDWPFKNHIEIFNKIIGFYVRFEDDSVESAKIVKQWNVKIISISKHKRHQDRAAALEVWDRLEEFTRSRSHA >Ma09_p28990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39597588:39602425:1 gene:Ma09_g28990 transcript:Ma09_t28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETANGNNHVVVKKPPTPSPLRNSKFFQSNLRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDITEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVMGTMNMLGLAKRVGARILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQAPGTQTRSFCYVSDMVDGLIRLMEGDNTGPINIGNPGEFTMMELAEIVKELIEPSISIEIVENTPDDPRQRKPDITKAKQLLGWEPKITLRQGLPLMEEDFRLRLGVPKKA >Ma01_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12848059:12858914:1 gene:Ma01_g17540 transcript:Ma01_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIKASRLVSIREKIETNSKNLRLHTCNLFDLAAAAEAATRSSSNQSKGENLLSTRMGSAPCTLSGWDVLNGSGDKEVVHNQEEVLSSGTVVIGNNGGNKSVVRLLNLPYVEKIPPYTTWIFLNKNQRMAEDQSVVGRRRIYYDPVGNEALICSDTDEEIAEPEEEKHEFSEGEDQILWKAIQEHGINQEVLNILHQFIDATHDEIEDRYEMLLSKHNKKHRSEPKDSDEKDSEGRLFSDKSLNAALDSFDNLFCRRCLIFDCRLHGCSQNLVISNEKQSSAFDLEENRKPCGDQCYLMRKEDCQAQSSGTFGTKFTHEIEPKTLAEGLDNSLPSESEDSNPDDENAKPVASEKICTNVNTPIILSETSNRTGKQDSEDTDDIDTTSDVPLKNIDKHKTSNNGKRLLGEHEPGEVNLMASDKKRKKPSYSEVPSMHEDFSDRHPDSSTGSKCPDFESPAPNQQLEKVIDKYVEHPTGNDVRVGSSSNTIEDVTKGITQVTMIKTSCSNRNETAHDWNTMEKDLYLKGIEIFGENCCLIARNLLSGLKTCMEVANYMASYGASLASRPILANSCFDDSGRTDQEHMDQDMPTRTRILRRRGKTRKLKYTWKSAGYPYIRKRIADGKQQSCKQYTPCGCQQMCGKQCPCMHNGTCCEKYCGCSKGCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPDRGDGYHCGNMKLLLKQQERILLARSDVAGWGAFIRNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIEAGEELFYDYRYGPDQAPAWARKPEGSKRDDSSVSHSRAHKVA >Ma01_p17540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12848036:12858914:1 gene:Ma01_g17540 transcript:Ma01_t17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSRAGDASSNRSKRVEGEQGREGIANLSWKLSQMKKQIKASRLVSIREKIETNSKNLRLHTCNLFDLAAAAEAATRSSSNQSKGENLLSTRMGSAPCTLSGWDVLNGSGDKEVVHNQEEVLSSGTVVIGNNGGNKSVVRLLNLPYVEKIPPYTTWIFLNKNQRMAEDQSVVGRRRIYYDPVGNEALICSDTDEEIAEPEEEKHEFSEGEDQILWKAIQEHGINQEVLNILHQFIDATHDEIEDRYEMLLSKHNKKHRSEPKDSDEKDSEGRLFSDKSLNAALDSFDNLFCRRCLIFDCRLHGCSQNLVISNEKQSSAFDLEENRKPCGDQCYLMRKEDCQAQSSGTFGTKFTHEIEPKTLAEGLDNSLPSESEDSNPDDENAKPVASEKICTNVNTPIILSETSNRTGKQDSEDTDDIDTTSDVPLKNIDKHKTSNNGKRLLGEHEPGEVNLMASDKKRKKPSYSEVPSMHEDFSDRHPDSSTGSKCPDFESPAPNQQLEKVIDKYVEHPTGNDVRVGSSSNTIEDVTKGITQVTMIKTSCSNRNETAHDWNTMEKDLYLKGIEIFGENCCLIARNLLSGLKTCMEVANYMASYGASLASRPILANSCFDDSGRTDQEHMDQDMPTRTRILRRRGKTRKLKYTWKSAGYPYIRKRIADGKQQSCKQYTPCGCQQMCGKQCPCMHNGTCCEKYCGCSKGCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPDRGDGYHCGNMKLLLKQQERILLARSDVAGWGAFIRNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIEAGEELFYDYRYGPDQAPAWARKPEGSKRDDSSVSHSRAHKVA >Ma07_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4601750:4601998:1 gene:Ma07_g06390 transcript:Ma07_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKMNKLLSKHIQPLGYPKDTGPESKKLKVVDVAATDITKPDADECPLVISGALVK >Ma03_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24520772:24522465:1 gene:Ma03_g19100 transcript:Ma03_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPASLPPGFRFHPTDEELILHYLRNRASASPCPVPIIAEVDIYKYDPWDLPAKAAYGDREWYFFSPRDRKYPNGFRPNRAAASGYWKATGTDKPIHATHGNESVGVKKALVFYRGRPPKGVKTNWIMHEYRLAEAHASNSYRPVKPSDSSMRLDDWVLCRIYKKNNHLQSVPPPMDQAQIGSASSSKNSDQQSSLRPQPSSSISDIILENYSVLSHLFGDLPENSPLMAQQHLPCSSSRIDGNSSLLQQQTQMDPMGDGSGKRPRTMECYFDDSNRLLHPPKKQNCSNMFANFSEQFDSSLLEQHLFNQQLLLNSHLGLH >Ma10_p30160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36525482:36527291:-1 gene:Ma10_g30160 transcript:Ma10_t30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPPRATRRHGESHAAAAGGGDQLVISLPHPRVLRVIARSVLVTVAILAYPWLRSMLPPDGTCSLLSPHRWTDDPFLLPMLLRDLRREGLLVAGASDAALFLGNPGSRLAFVKQNKMHPVMSEASRMAVRDRSVDFVLAADDFCDASFMFVERILRVGGVTIVRLSSDPWHTFNFPANYRIVYIRRFGSTIVGIKKTAHAIALKDANADEFMGSRTGRKLLTLPESMEMPNGLEDENAADFIGTHIGRKLLAVPESIIGVLNGLEDALLEPPSDRKASTRAMKRTRFLSNLLAGSLNEYSRRVFVDVGMPGRATIDKWFNKHYPKRNSEFEIIKLDVVGEVKYASVASGIAGWLSKNLKEEEYVVMKAEAEVVEEMVREGATGLVDELFLECKTQWHKQGKGRRRAYWECLALYGKLRDEGIAVHQWWG >Ma10_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25791560:25801633:-1 gene:Ma10_g12770 transcript:Ma10_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRPDMDIDMHDTARGGDAARLRRGHGETFDVDNDIFYLTRLRSEPSARVRQGIDGRRTAPISTVRMLSGREANVSGRGRFSSADCSYVLGQYLPVNGPWPVDRMDSRVYVSQFSEDGSLFVAAFQGSRIRIYNVDKGWKVEKDIRARSLRWTITDTSLSPDRRYLVYASMTPIVHIVNMGTAATESHANITEIHEGLDFSMHEDVESIFGIFSIKFSSDGRELVAGSSDHSIYVYDLEANKLKSRFPAHSFDVNTVAFADETGNVIYSGSDDNLCKVWDRRCFVTERQAAGVLTGHLEGITFIDSHGDGRYFISNSKDQTIKLWDIRKMSSGTNCPKTRTSGWDYRYSQYPPQNKHLKHPNDMSVAAYRGHSVLRTLIRCYFSPSKSTGQKYIYTGSHDTCVYIYDVVSGDHVARLQCHQLTVRDCSWHPYYPLLVSSSWDGLITRWEFPGNDAVHATNNNIRGGSIEDQMFRVMYL >Ma08_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1528460:1531377:-1 gene:Ma08_g01840 transcript:Ma08_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHRSEVTFGYTTRAHSKSLSSSRVHGLGTIPMNCLENMDIKSPSPICLTLLSQGIRGPHTVVSVHVLDRQPPFGTPIQAKDAVICKFPDDPSILLGTLSVVTLVLAAIAGHVAVYFPYKGKSVPRNALFRSATLSTFFVLAEVLTVLALVMLLWTTISESLHRSRNVHRDLTTQCPTAKTGLFGGGAFLALDAALFWLVCQMLTLNARSDYLDEDDTKGEYVDVCTTEFDVAETHLPTA >Ma11_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5697706:5698522:-1 gene:Ma11_g07110 transcript:Ma11_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAKFLQSSEPLRQAESPAPLPTVDSDVVVILAALLCAVICVVGLALIARCSCSSASAAAPAPSSPPSKGLKKKVLRALSTLSFDSSAAVAGCVQLVDCAICLAEFADGDEVRVLPQCGHGFHAECVDTWLLSHSSCPSCRRVLVVPAPPSRCGANSSDTVRAPDAKAAECGSVSTASP >Ma03_p32500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34264730:34267157:-1 gene:Ma03_g32500 transcript:Ma03_t32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCLLEAPPIRSSVAMAEFLAADVLWSLGWGVAGLLFLAWALRVLNWAWWRPRWLERALRAQGLNGTAYRFPYGDLKENARFSKEARAKPMPLSHNIIPRLLPFLNRAMDEYGKISFTWLGPVPQVTITDPELVREVLSNKFGHFGKPNQNPLVRFFARGLFVYEGEKWVKHRRILNPAFHAEKLKRMLPAFSACCSDLMDRWENMAGSEACYELDVWPELQSFTGDVISRTAFGSSYEEGRPIFHLQAEQAELLIQVVQNLYVPGYRFLPTPKNKRIKAIDREIRSILRGIIKKREQDIKTGKASTDDLLGLLMESNMKRLQEDGNKNAGMTTEDVIEECKLFYFAGQETTSALLTWTMICLSMHPTWQVRAREEVLRVFGENKPDFDGLSHLKIVTMILYEVLRLYPPVIILRRQTYKTMKLGDVVYPPGVLLLLHVIFVHHDPNLWGKDASEFNPERFAEGVSKASKEQVAFFPFGGGPRICIGQNFALLEAKMGLSMILQHFSFDLSPSYAHAPHTVFIHHPQHGAQIRLRKL >Ma05_p25960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37766926:37776259:1 gene:Ma05_g25960 transcript:Ma05_t25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRLPSLALLLSPCKSLRLVSRPLPLRFRLPTLKAAASVSGGSGSRGLSSQSLAKEEPSLPPPSADRKPSSSYEGSVTPRSVDFNAWYLDVIASAELADYGPVRGTMVIRPYGYAIWEAIQEYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATLEEAEKEALQMIDVYKKFSYEQAAIPVIVGRKSRAETFAGAIRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFTDENGQRQHVWQTSWAVSTRFVGGIIMTHGDDAGLMLPPRIAPIQVIVVPIWKTADEKNGVMNAVSSLKEVLHKAEIRVKIDDTEQRTPGWKFNFWEMKGVPLRIEVGPRDVANKSVVVSRRDIPGKPGKDFGISMEPSVLVTHIKSRLEEIQTSLLQRATSFRDSNIVDVNSYDELKEAIAQGKWARGPWSASDAEEVKVKEETGATIRCFPFEQPQGQKKCFMTGNPADEVAIFAKSY >Ma00_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29930042:29932061:1 gene:Ma00_g03600 transcript:Ma00_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWSCCKQTSHDLSFFLVIPVVTGETFEPNCGDQYNKHHQQFYPS >Ma06_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6707063:6708021:1 gene:Ma06_g09620 transcript:Ma06_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSHVTEPHRPTGHSNLYPPPLLEYGYPYQPPPSRSGVYPPPPPPQGYQGYFTDEYPPPQPLPPSQPYYRAYDDDDGSCLSFLQGCIAAICCCCLLEKCCY >Ma05_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23314969:23320904:1 gene:Ma05_g18330 transcript:Ma05_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEELIVHSKKVMVLFVRTSYTSSRAHPLVFSLVLCIPMLYSSFPRLFGFLVSVSPVIVCTALLLGLLLSYGAPNIPETEEDDKGTREVSSLKIEATATDLAVKKTERFLVENQVGNRTEIQEIGEREALSSQRDDSTHNKSEGNIRTTSTRSEGDEKADIVLTAGLMGKYEKLETQDEDKLIQNRELPRPEILESKGISVEKPAEVKEESKEVGASFSTDPLKGHILAIAEAPLDDQFDSTLGSPWLHIDRHDTSFDSVSDHTESSSPSASMIDTTPMLDELHPLLGSEHPQCASISKDDSNVASRELLLGHGSDDDGNENKAKNHENEKDKEAYEEKDDVTIAAVEWTKDYQKNVTDLGSFELERSQRLESLILKRRARKNVRFLTGNNPKGVDYLTSMDKVSHFHFHVPHISATRHNPFRSPDDSEVSMGLPPIPSSAPSCLADRGNPFDYLYDLADEHSSHIDENWEQKEFMSSPNCEMFRSIRSFNVEGMELEQERHCSRFDPNFEAERMDPEEESSFQRKFSNSGDLKVSSTPASVATVEYDAKRKVESIDVEEEMNDLVTNDHGIVLDTKFVIEETCQDNDFGSVEEHTREEIEIDAPISDGEGLKVIEENHDKATYSFSSGADGVISKSTFHEELAKLEQTREHSEIFFSNSNLFGMDDKADDYQFLYPISDSSTLATEEAMSRISTSNGGLLDADNEASSASSSWMQTEVLGVESSQVVPDLADVKSFINLLSIKGSTVEKFLSHDEGLLMTPQGIVFTEVNETRLKKSNKAGQHDIIENELSPVQGDSGHLFSPVFPEPPHLDIVNDLSSFHDLSSLVTESSESATVDMISSTSKEGSMIVDALTSSFSEVSHFSGDLVLSKFEKELLVRDKPQIQSQSSTDDFGKPHTSILDLYPIPEGNLEDLEPAEEEVSSPNPNEVICLSGLQITEEPYMNILDIKQFPSTDEASHSLSRDFLIHDLELHSPSVLITDVSSHHGATTEFQLVELTESMDFPVLPTMESVFEVKFSRDSAESRDVIQNAVWGGKKVLDKLESSDENHPEVLEATDIDESLLSELDKVGDFHAEESTPDQLGFELMMSSDVSSDCVSTDPSSCGLHVCPREPEVSIGKSSVLANMSQSISSSADLGRTEELHSVVNNLKDMESEVGTSSFWSSNEDLEGTVYNPMLQILASSSIQEMVSVMNRPHEEDVMLSLLDSRFDKSLATDSVLEPGTIVISQGDHEQRVTDTDLLVLEAKSVEDIHSATQQITEEHVFKPMIPEVIPSEKEPKKIQSDFLVLGAKSLEDIEETVEHLKAEVLGVRPGDDIRESCNRVERNELKLEVGTVPEDFGSNSWTSMKNQKIQK >Ma10_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1464674:1465380:-1 gene:Ma10_g00240 transcript:Ma10_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHLHATHKHSAEAPEDCTMDCCPELRKQRSCACPPLHIGNWCNGRRGTAGFARAMADDCDEEFDSLNCRPTQSRWRGLWRRIVKEKRRMLSSANPTHLPYDPYTYAQNFDEGSASVEPDNLSRSFSARFAVPSTTTMQRVG >Ma06_p29190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30646420:30648359:-1 gene:Ma06_g29190 transcript:Ma06_t29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSREEGEFTGQDVGTSESKAQPSLRSWTAHNNPRIVRVSRTFGGKDRHSKVTTIRGLRDRRVRLSVPTAIQLYDLQDKLGFNQPSKVVDWLLSAAQHEIDKLPPLEMLPGNLFRFPQPVANSHVTGSAQAYSGNAVGDDDEYTHRDKAQRLASSSSLATEDIFNSNVAEGTSMMPKAVNLDVLPRSKEKEALKDHGVVLGNSAITYNPYYHLETPNAYSYDHSEVPHGHHPVAILASYMAAASKVETQQYSHLQMASSESSANALRTSLHAAIPNLMVLHKRNTASEHHHQDHHQT >Ma08_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:272809:280715:-1 gene:Ma08_g00310 transcript:Ma08_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQDKLDSAGEYHLNEQSLGAVSHAGSPKSGVSFTSEQSAMVSIRRRRYLGLCSGKSSFPVVLPRPIENGNAVESPTQQVKPVSVHPMRLVDTSLQKLVAKSETYPGSSSASGLITSKEEPNHYYPGKEIKRRKRHRRKHYEDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEDAARLYDRAAFMCGREPNFELTEEEKQELRQYNWEEFLAMTRSAINNKKHQRKLGARRRNKSETQISNNWEKEDGTPASSMSEDDEEDVDADTSVL >Ma08_p00310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:272812:281014:-1 gene:Ma08_g00310 transcript:Ma08_t00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRRYLGLCSGKSSFPVVLPRPIENGNAVESPTQQVKPVSVHPMRLVDTSLQKLVAKSETYPGSSSASGLITSKEEPNHYYPGKEIKRRKRHRRKHYEDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEDAARLYDRAAFMCGREPNFELTEEEKQELRQYNWEEFLAMTRSAINNKKHQRKLGARRRNKSETQISNNWEKEDGTPASSMSEDDEEDVDADTSVL >Ma05_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10275707:10278913:-1 gene:Ma05_g14130 transcript:Ma05_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional monothiol glutaredoxin-S16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38270) UniProtKB/Swiss-Prot;Acc:Q8H7F6] MASSPHSLFSALRSLPSPPPPRSRSLKTFALVSSPSSSCFASVSCIYRPSGLRFPLTPPKKRALRRASASTPFVAAALGKLSDAELVPVPKGPGELDGKFPSGAGVYGVYDKEGDLQFIGISRDIASSIASHSKFVPDLCHSVKVSLIDEVEPDRTVLTNAWKSWMEEHISATGKVPPGNESGNNTWVRRPQKKPDLRLMPGRNVQLTVPLEQLIDRLVKEKKVVAFIKGSRSAPLCGFSQRVIGILEAHGIDYESVDVLDEEYNYGLREALKSYSNWPTFPQVFANGELVGGCDIISSMAETGELASLLQK >mito4_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000015.1:632828:633037:1 gene:mito4_g00050 transcript:mito4_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLLVAVKRRKTDSCPARRLLDGRVSGCSSFRVKVTIDYMIYSFRIQGSWNCRFQNQRVVLRFKNQG >Ma06_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8859949:8861016:1 gene:Ma06_g12840 transcript:Ma06_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCHFPLNLFQHEGCPLTRWLGDRGCSCLPIKIARCERERERERERESSALFSSSSQSPSHCRHVFMAAVSKAANLLRAGQQCFSLSLSWSAQSRR >Ma06_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9563289:9570127:1 gene:Ma06_g13960 transcript:Ma06_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRGDLLLPSALHATLWILVAFGFPAVISAIRKDIGLAENRVCRNTVQGRYLLSDDNGYVCTALSVDSWTRCCPVKGDQFSCQGCNLISQCCNSYEYCVSCCLDPSRTDKDLAMKVKIAKPVTAGTYSSAFDFCAGRCRHNSASVVHENAYASDFHHCFSLQSNYSGSTEVTFEARLAGINIVTGRPGESCTIACSLRGQSCVPNRLFLLNRCNLLQKYMLCKGGCFASLGSDQPAEVEEFAPKHMSPGACLYTQTEAMLSCDGSHQHTKRLCPCA >Ma00_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9995191:9995736:1 gene:Ma00_g01660 transcript:Ma00_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCKKALIYLILNRSNLSVASNGNTTPAKSKGSKVVWNGSTESASVWFTFSSEKGTFFLPNVSSPVLKSSSPVPKPHTFLKRPPKWVESKQPTKTSTSPENPQRTLKGSELQEKLDAIAEDLKKARAQLAFVELEKVQAIEELNES >Ma10_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28406546:28410849:-1 gene:Ma10_g16850 transcript:Ma10_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKITEVDRAILSLKTQRRKLAQYQEQLEAVIEAEKQAARDLIREKRKDRALIALKKKKTQEELLKKVDAWLINVEQQLADIELASKQKAVFDSLKAGSDAMKAIQNEISLEDVQKLMDDSAEAKAYQEEMNAILGDRLSAEDEEEVLAEFENLETEITLQSMPTVPAQSVSSAQETKRTPEVQPEAESQAQREEDELLNLPDVPSGAPVLSDGAAEDISTGTQRKTKVLEEPLPA >Ma05_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6438289:6438903:1 gene:Ma05_g08720 transcript:Ma05_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHQGGDDCSYSCVTQEEDAKGKVGITLSKELMAVAGNALKVNISKLGPLVLPIPEQLLFLAAMILSNVLKLKIRSHVPDFKLAVEHFYIHAGGHAVLDELEKSLELTPRPMEPSRMTVHRFGNTSSSSLRYELSYCEAKGWMSRRDRVWQIAFASGFKCNSAVWRALHTVNGVHKNPCTEEIDKRSMFAVLLMISQLVYICM >Ma03_p01840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1267995:1273155:-1 gene:Ma03_g01840 transcript:Ma03_t01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEDGPVNLREFQELAKRNLPKMYYDYFSGGAEDQFTLRENVEAFQRIMLRPRILVDVSKIDMSTTLLGYNMSSPILVAPTGSQQLAHPQGELATARAAAACNAIMVLSFASNYSLEDVASTCNAVRFYQLYVFKRRDVSATLLQRAERNGYKAIVVTVDTPRLGRREADEKNKMIIPRNSNLEGLISVDADLTGGSKLEAYASETLDPSLSWKDIEWLKSITKLPIILKGIITAEDARKAVDAGVSGIVVSNHGGRQLDYTPPTISVLEEVVKAVSGAVPVLLDGGVRRGTDVFKALALGAKAVMIGRPVVYGLAANGEHGVRSVIKMLQNELELTMALAGCPTLRDITRNHVMINHERPRSLL >Ma03_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1267995:1273155:-1 gene:Ma03_g01840 transcript:Ma03_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEDGPVNLREFQELAKRNLPKMYYDYFSGGAEDQFTLRENVEAFQRIMLRPRILVDVSKIDMSTTLLGYNMSSPILVAPTGSQQLAHPQGELATARAAAACNAIMVFKRRDVSATLLQRAERNGYKAIVVTVDTPRLGRREADEKNKMIIPRNSNLEGLISVDADLTGGSKLEAYASETLDPSLSWKDIEWLKSITKLPIILKGIITAEDARKAVDAGVSGIVVSNHGGRQLDYTPPTISVLEEVVKAVSGAVPVLLDGGVRRGTDVFKALALGAKAVMIGRPVVYGLAANGEHGVRSVIKMLQNELELTMALAGCPTLRDITRNHVMINHERPRSLL >Ma03_p01840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1267995:1273155:-1 gene:Ma03_g01840 transcript:Ma03_t01840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEDGPVNLREFQELAKRNLPKMYYDYFSGGAEDQFTLRENVEAFQRIMLRPRILVDVSKIDMSTTLLGYNMSSPILVAPTGSQQLAHPQGELATARAAAACNAIMVLSFASNYSLEDVASTCNAVRFYQLYVCSGFLNKLFGPLFDTFCLRFHCFITCSFEQFLQVFKRRDVSATLLQRAERNGYKAIVVTVDTPRLGRREADEKNKMIIPRNSNLEGLISVDADLTGGSKLEAYASETLDPSLSWKDIEWLKSITKLPIILKGIITAEDARKAVDAGVSGIVVSNHGGRQLDYTPPTISVLEEVVKAVSGAVPVLLDGGVRRGTDVFKALALGAKAVMIGRPVVYGLAANGEHGVRSVIKMLQNELELTMALAGCPTLRDITRNHVMINHERPRSLL >Ma03_p01840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1267995:1273054:-1 gene:Ma03_g01840 transcript:Ma03_t01840.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to (S)-2-hydroxy-acid oxidase, peroxisomal (EC 1.1.3.15) (Glycolate oxidase) (GOX) (Short chain alpha-hydroxy acid oxidase) [Source: Projected from Oryza sativa (Os07g0616500)] MEDGPVNLREFQELAKRNLPKMYYDYFSGGAEDQFTLRENVEAFQRIMLRPRILVDVSKIDMSTTLLGYNMSSPILVAPTGSQQLAHPQGELATARAAAACNAIMVLSFASNYSLEDVASTCNAVRFYQLYVFKRRDVSATLLQRAERNGYKAIVVTVDTPRLGRREADEKNKMIIPRNSNLEGLISVDADLTGGSKLEAYASETLDPSLSWKDIEWLKSITKLPIILKGIITAEDARKAVDAGVSGIVVSNHGGRQLDYTPPTISVLEEVVKAVSGAVPVLLDGGVRRGTDVFKALALGAKAVMIGRPVVYGLAANGEHGVRSVIKMLQNELELTMALAGCPTLRDITRNHVMINHERPRSLL >Ma06_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10666457:10670371:-1 gene:Ma06_g15760 transcript:Ma06_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCLFLVKPHVRKESLMDLVARVGIQAYERNGVITDVKSFGTVQLGYGIKKTDGRYYQGQLMQMTMMVPPTFNQELQYLNKEDHLLRWLIVKHRNAVYGLEFINEDEGKDELSMFRSGSLFNKGENEDDDDDDDDGDEEYDVE >Ma07_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32060506:32061191:1 gene:Ma07_g24890 transcript:Ma07_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQATFPVFLLLLLSAAIAFVDGSSVDPSGEKFTHVHFYFHETRSGPNTTVANYKNLTSTPSFAYIGVFDNYLREGTDPSSTLLGRAQGVGVFAMPEGRSGLLTATEFVFTAGKYNGSTLTMMGMYAAAGEVDRSIIGGSGRFRMARGYSLSKVISIDDLRLVAKFDLYVKHY >Ma02_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17184176:17185003:1 gene:Ma02_g06150 transcript:Ma02_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKGAAYTFMIPELTKTSAECWANRFIGVIRVVRLVLFHLLLVFLWVDPLTLILSL >Ma06_p14450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9886385:9895273:1 gene:Ma06_g14450 transcript:Ma06_t14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLLQRASINQISGRIFPAFERSFSAAASPSEPIRATLFPGDGIGPEIAESVKHVFNAAQVPIEWEEHFVGDKVDPRTESFLTWESLESVRRNGVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCYSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRQRVSAIHKANIMRKTDGLFLKCCREVAEKYPEITYEEVIIDNCCMMLVKNPTLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVMMLRHLRLTDKSDQIHNAILNTISEGKYRTVDLGGNSSTTDFTKAVCDHL >Ma06_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9886376:9895273:1 gene:Ma06_g14450 transcript:Ma06_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLLQRASINQISGRIFPAFERSFSAAASPSEPIRATLFPGDGIGPEIAESVKHVFNAAQVPIEWEEHFVGDKVDPRTESFLTWESLESVRRNGVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCYSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRQRVSAIHKANIMRKTDGLFLKCCREVAEKYPEITYEEVIIDNCCMMLVKNPTLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVMMLRHLRLTDKSDQIHNAILNTISEGKYRTVDLGGNSSTTDFTKAVCDHL >Ma11_p19130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24073529:24077651:1 gene:Ma11_g19130 transcript:Ma11_t19130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) UniProtKB/Swiss-Prot;Acc:Q9LZQ1] MEKPRSLSIRPTRLLLLASTVSSSLLFFFYFSFWLLENAPSGSNSAAYFQLVGGIEAVGSHPFTDSDSSLLDNATGIPIAAATHVSVVGNLSLEARSVGNEGKDEIFDDVQEREASDSSTEVPDSNDFGGGPDTFEDDNSTDLPDVNLKNSSGFSGEVLAAVAERIGRGSSELDARGMERGKLEADTTMSNRSFFSSFGQGEASHVENHGTKDKTTCDVSDGRWVFDESYPLYASNSCPFVDEGFSCEQNGRLDKDYMQWRWQPYGCSIPRFNPVIMLELIRGKRLVFVGDSINRNQWESMLCMLRMALSDPTRVYEARGRRITKNRGNYNFKFPDYDCSLEYYVSHFLVRESKARLGQRRVMTLRIDTIDRSSSRWRGADILVFNSAHWWSHHKTMSGVNYYQEGNQVHPHLDVSTAFRKALLTWASWVDQHVIPGKTQVFFRSSAPSHFSGGKWNSGGHCKESIHPLNDTNVRQVPEKNVILEQVVRQMKTPVTILNITNLSGLRIDGHPSVYGRNPSKGTPTSIQDCSHWCLPGVPDTWNELLYFYLLLREKPAFTS >Ma11_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24073529:24077651:1 gene:Ma11_g19130 transcript:Ma11_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) UniProtKB/Swiss-Prot;Acc:Q9LZQ1] MEKPRSLSIRPTRLLLLASTVSSSLLFFFYFSFWLLENAPSGSNSAAYFQLVGGIEAVGSHPFTDSDSSLLDNATGIPIAAATHVSVVGNLSLEARSVGNEGKDEIFDDVQEREASDSSTEVPDSNDFGGGPDTFEDDNSTDLPDVNLKNSSGFSGEVLAAVAERIGRGSSELDARGMERGKLEADTTMSNRSFFSSFGQGEASHVENHGTKDKTTYEGFSCEQNGRLDKDYMQWRWQPYGCSIPRFNPVIMLELIRGKRLVFVGDSINRNQWESMLCMLRMALSDPTRVYEARGRRITKNRGNYNFKFPDYDCSLEYYVSHFLVRESKARLGQRRVMTLRIDTIDRSSSRWRGADILVFNSAHWWSHHKTMSGVNYYQEGNQVHPHLDVSTAFRKALLTWASWVDQHVIPGKTQVFFRSSAPSHFSGGKWNSGGHCKESIHPLNDTNVRQVPEKNVILEQVVRQMKTPVTILNITNLSGLRIDGHPSVYGRNPSKGTPTSIQDCSHWCLPGVPDTWNELLYFYLLLREKPAFTS >Ma10_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14728087:14732356:-1 gene:Ma10_g04090 transcript:Ma10_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVINQMITKSMNPAAIEALVDKVLAANPKQLQQYRDKGKTSLFSATLVMKESKGKANPLVLNKILAIN >Ma03_p30210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32935409:32947719:-1 gene:Ma03_g30210 transcript:Ma03_t30210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPQKKGLFLSPRAVAARRNGPAQSSFGNWGGVVPGTRKGREVVAGNAIPQPEELPLCGDGEDREKEQSEAQVWRRFREAGFLDEAVLQRRDREALVRRISELEKELYQYQYHMGLLLIEKKEWAVKYDKLRQEMSEAAQLQKCMQAAHIVAVAEFEKSEGNLRRAMGFQRQSIIHLEKALNDMHAEIAEVKLDSQKKLSEAHTLEATIEEKCLEIKEKQHSLDARLAKVSRKSSEVDRRLEDVEAREHELFKQTSSFIAEKKAFEKDLSRQRENLRAWEQQLQDNQKKLGKWHSTENQREMETNERDNTFRKKEKELEEARKTLEISNELIKLKEEDMCMRIGALDAKEKEALLKQEFLEKKENELLAIEEQLNNKERVEIQKITDFHNSILESQKDEFELETEKKKRAVDEQLQGRIEEVAHKEIILENRERELFKKEQLLEREIGNLKNREKENDIMLSAVKVSIENEKEEMRQGRGKLEKEWELLGERRLSLEEGLKQLFDEKERFDQWRCTEEERLRKENPEVSIHAQMDLEDSISDEEAFKDKTTHQKMDVLEVFNSENAHVVYEIMQRIPEKVKETLLEKEDNSNRRSNIVLNNCKILSSLDESNILKLKEQEDQLKSEKQLLVLGKKSEAGQSTSGTLSRNNKDQVVEPAEEGDYLPASAEQLKACRYCGFEDGGDTALSGGSVEVSDQGTCPGSVKLEARIPCMQRCSRLLNFSPGKKATEHSEKSVCLDGEPLEHEDNLEPGPLPGDVNAFQWAQSAGGVQYNAEPERSNNDDDATKRDSQIADRSADILIFELNDRVRDLEEPTLHSVDEQKYREGCSIRPELNSLLWPLKQKQSGRSVRRKSILVKKSRSVNALVEDANLEEASQIKHSEQSTCRAQCLIKDKCLEEKYSLNDDEVTVCSKKRCLDLKYGMMSLEGECAEAHTEDVSSLGCCFQMENIPGTEIPGLKRYNLRHSTIVRAVAASQALACRTKQKRKGELELSLENKVLKVARRDGEGAESHASASETLRSDIRNS >Ma03_p30210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32935409:32947719:-1 gene:Ma03_g30210 transcript:Ma03_t30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPQKKGLFLSPRAVAARRNGPAQSSFGNWGGVVPGTRKGREVVAGNAIPQPEELPLCGDGEDREKEQSEAQVWRRFREAGFLDEAVLQRRDREALVRRISELEKELYQYQYHMGLLLIEKKEWAVKYDKLRQEMSEAAQLQKCMQAAHIVAVAEFEKSEGNLRRAMGFQRQSIIHLEKALNDMHAEIAEVKLDSQKKLSEAHTLEATIEEKCLEIKEKQHSLDARLAKVSRKSSEVDRRLEDVEAREHELFKQTSSFIAEKKAFEKDLSRQRENLRAWEQQLQDNQKKLGKWHSTENQREMETNERDNTFRKKEKELEEARKTLEISNELIKLKEEDMCMRIGALDAKEKEALLKQEFLEKKENELLAIEEQLNNKERVEIQKITDFHNSILESQKDEFELETEKKKRAVDEQLQGRIEEVAHKEIILENRERELFKKEQLLEREIGNLKNREKENDIMLSAVKVSIENEKEEMRQGRGKLEKEWELLGERRLSLEEGLKQLFDEKERFDQWRCTEEERLRKENPEVSIHAQMDLEDSISDEEAFKDKTTHQKMDVLEVFNSENAHVVYEIMQRIPEKVKETLLEKEDNSNRRSNIVLNNCKILSSLDESNILKLKEQEDQLKSEKQLLVLGKKSEAGQSTSGTLSRNNKDQVVEPAEEGDYLPASAEQLKACRYCGFEDGGDTALSGGSVEVSDQGTCPGSVKLEARIPCMQRCSRLLNFSPGKKATEHSEKSVCLDGEPLEHEDNLEPGPLPGDVNAFQWAQSAGGVQYNAEPERSNNDDDATKRDSQIADRSADILIFELNDRVRDLEEPTLHSVDEQKYREGCSIRPELNSLLWPLKQKQSGRSVRRKSILVKKSRSVNALVEDANLEEASQIKHSEQSTCRAQCLIKDKCLEEKYSLNDDEVTVCSKKRCLDLKYGMMSLEGECAEAHTEDVSSLGCCFQMENIPGTEIPGLKRYNLRHSTIVRAVAASQALACRTKQKRKGELELSLENKVLKVARRDGEGAESHASASETLRSDIRNS >Ma06_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2873307:2874355:-1 gene:Ma06_g03990 transcript:Ma06_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANLRSRTERKPSFLSSYCPVSREQENYMMVAALLRVVGGNPPELPQAGACGMCGIGGCLGCDSSVFAADGDAGPPAPVVSEGSGDGKRKVVRRKRRGEKKENRYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEAAARAYDAAAIEFRGPRAKLNFPIQEEQPLVTTQPISLSSTIHLQEQQLGMQWEEDETDQHLGQELMDLWAELQDDGLSFGF >Ma05_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35846080:35846463:-1 gene:Ma05_g23680 transcript:Ma05_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPRELADQSKHCRFHGQSGHDTEDCRKLKRQIEELVRRGHLSRYIRRNREPSPRPEGPVERHIDVITGGPAAGGTSMFGRKAYARSARTDAPQRGPDPKVAFPPEDVKRPEHDDALVIMARITNA >Ma04_p27650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28833355:28850030:-1 gene:Ma04_g27650 transcript:Ma04_t27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MRWKSLFLWVLQLMVFSAIFPPGVSADGVTPAEAKELRDEVRDMFYHAFDGYIKHAFPLDELRPLTCKGEDSLGGYALTLIDSLDTLALLGDRERFNAAVDWVSENVRFDIDKTVSVFETTIRILGGLLSAHLIASDYATGMKIQSYDDQLLHLSVDLAQRLLPAFDTPTGIPFGSVNLLHGVDENESKITSTAGGGTLTLEFGVLSRLTNNAVFEQVTKNAVRGIWARRSKINLVGAHINIFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFMFQEAYKAAMRYLHHDPWYVEVNMNSAATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATMSVQHGQKSYPLRPELIESTYWLFKATRDPRYLDVGRDIVASLQYGARCPCGYCHIADVESHEQDDHMESFFLAETVKYLWLLFDLSVGPDNIVENGPYKYIFSTEGHFLPATPEISLVNEHCSYFGAFCKDGANNRYGIFETSFNHHKTNDTHSHGFFSSSVAQPKIQDSFSASGFVKGHCQGLTHGQKFGISYSEDEENTYSSDASQPQRHDVVVVTNPSLKPVGSDDGSNNTQELSKDLKVVHLSDNKDDNDSNQGTHPVEDTS >Ma05_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9530367:9536772:1 gene:Ma05_g13150 transcript:Ma05_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGTAAEYHSKDFEWEELRDEVENDASLRYHLVPFVASSSSSSPSSDPPPDDADAWRSFHRRHSAGKFFKERRYLLKEFPELLCSGNSIQVLELGCGNGSTILPILRAKESIVVYACDCSEEVLQMAKEIVATTSDVSLDHRLHTFLLDFTVHKFPDWLFCSSCQRASFSKPVNLSSDSREQKLRKLNAPSFLREKQCCIGGVDFVTLIFTLSAIPFQRMSSVIEKCFSVLKPGCLLLFRDYGLYDMTMLRFLPEQRMGFREYMRSDGTFSYFFSLDIVRDLFLGAGFTELELEYCCITSVNRQRGKKMQRVWIHGKFQKPI >Ma06_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15551314:15551986:1 gene:Ma06_g21350 transcript:Ma06_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLIRRLSRVADSSHDRPLQAAKGGPRRVPEGHVPLCVGEEMERFAVRAELLGRPALVELLRRSAQEYGYEQQGVLRIPCPVPLFCRLLFLSSSSSSAAAADPALEELFRSLPDEGWSFAA >Ma09_p22110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34110038:34114850:1 gene:Ma09_g22110 transcript:Ma09_t22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEPAAINENEIRITSQGLIRNYLSYANSLLQERRLREIVLKAMGQAISKAVAIAEFIKKRNPHLHQDISISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSTREPTKKTPGYQAPLHVEQPKRQQKFQQPQQFQQQQQQQQHQYRQALGQINEESYGRGRGRGRGRGRFWGRGAAYGGYGGYGNNQGGYGGYGNNQGGYGGYSYNQGGYGGYGNNQENGGWNSNWNRGGGRGRGGWNSRGAGYGGGRGSGGGRTGGRGYGRVQGRMGGHGRGN >Ma09_p22110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34110014:34114850:1 gene:Ma09_g22110 transcript:Ma09_t22110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEPAAINENEIRITSQGLIRNYLSYANSLLQERRLREIVLKAMGQAISKAVAIAEFIKKRNPHLHQDISISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSTREPTKKTPGYQAPLHVEQPKRQQKFQQPQQFQQQQQQQQHQYRQALGQINEESYGRGRGRGRGRGRFWGRGAAYGGYGGYGNNQGGYGGYGNNQGGYGGYSYNQGGYGGYGNNQENGGWNSNWNRGGGRGRGGWNSRGAGYGGGRGSGGGRTGGRGYGRVQGRMGGHGRGN >Ma09_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34110014:34114774:1 gene:Ma09_g22110 transcript:Ma09_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEPAAINENEIRITSQGLIRNYLSYANSLLQERRLREIVLKAMGQAISKAVAIAEFIKKRNPHLHQDISISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSTREPTKKTPGYQAPLHVEQPKRQQKFQQPQQFQQQQQQQQHQYRQALGQINEESYGRGRGRGRGRGRFWGRGAAYGGYGGYGNNQGGYGGYGNNQGGYGGYSYNQGGYGGYGNNQENGGWNSNWNRGGGRGRGGWNSRGYGGGRGSGGGRTGGRGYGRVQGRMGGHGRGN >Ma08_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10964278:10967567:1 gene:Ma08_g13820 transcript:Ma08_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPMPPGLRIGSLRRTLISFRAPKPHPDRFCPLSSSSQSSSCSSRSLLSGFIRATLPSRRSLSSSSQVPRGPHTSRMSPTPRLRRVDPCVVSEIVALISGDSDDLESKLRLLNLSPSHALVSETLRALNDRGVSALRFFGWVLGSYPDFRPNSEAYNLIVLNLGLFDDYSTMHRVFDEISSKGHCLTGKAFSFLAARGADVIKDSVRELVELLSRVGGSCRGSGIFSLIKLLCSMNAFDLAISVMEETARRTSYYNVLIAAKCRNLDFQGARDVFDKMRRFGCDPNTKSYNYLLGSLFKNKRVVEACELLQAMEDLGYVPDSVTFEVILVHACKANRMSFAVKFVDQMLSEGSKPSLATHAAFIKGYFWSGHAEDAYQYVVDMSMKDKCSVNMNFSLLAKLYCVSGRIEEAGRILYEMMGEGLKPNFPVYMRVMKDLHKISRGDLALELKTKFQQFSLRTDGR >Ma08_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9390418:9392892:-1 gene:Ma08_g12410 transcript:Ma08_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLGLYAQDTQRAYSSADLQVIRGGSQRLMAGGSGKPMLLPASDIPVMDDTTFKVGRLSVEERKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFARNDEFGEITKPSSSNHEFDDDEEVVVKEEEDILDSLDILAHISGVNSFKYNFTLESWIRP >Ma01_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20897016:20898155:-1 gene:Ma01_g21270 transcript:Ma01_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARPPYAKGGGGVEAGIRALYPVMLEPPELRWSFIRKIYSILSAQMLITVAVAAAVVSIHPVSHFFVSSSAGLGLYVFLIILPFFVICPLYYYYQHYPLNYLLLGIFTVSLGFAVGLTCAFTSGKVILESVVLTALVVVSLTIYTFWAAARAYDFTFLGPFLFSSIMILFVFGLIQVLFPVGRISAMIYGGLAAIIFCGFIVYDTDNLIKRYSYDDYIWAAVALYLDIINLFLSLLTLFRAAER >Ma07_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1569125:1572769:-1 gene:Ma07_g02030 transcript:Ma07_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRLAVALLMAIAAAMAFFPASANRCTDRIFDAASTVAHYQLLGQNRSTMVDSLSRYHLNPNEESTWMNILPRKAGQPVVTELDWAMLYRAVKRPGMKSAANGGSFLKEISLRDVRVDPSSFHGTAQQTNLEYLLLLDVDRLVWSFRKLAGLPTPGEPYLGWEEPNGQLRGHFVGHYMSATALMWAATENGTIRDRMSAVVDALDECQKKIGTGYLSAFSTEEFDLYEEVKVVWAPYYTIHKILAGLVDQYLHGNNAKALDMAIWMAEYFGNRVIDNIKKRSIAWHWEAMNEETGGMNDVLYTLYTVTNNTKHLVLAHLFDKPCFLGPLAVQADLLSGFHGNTHIPIVVGAQKRYEITGDLLYKEIGMTFMDIVNSSHSYATGGSTVNEHWTEPNRLASFLLVNTEESCTTYNLLKVSRNLLRWTKNMAYADHYERALTNGVLSIQRGTEPGIMIYFLPMNPGGSKAVSGQGGWGTPTASFWCCYGTAIESFSKLGDSIYFEEEGAVPTLYVIQFISSTLNWRSGELTLQQNTQQVSSLDDHFRVQFTVASVNKSPSKSSTLSIRVPIWTSNSGAVATINGQSVAVPSPGNVLSITKTWGSKDLLNLSMPIGVRTEAIQDDRPEFSSLKAVLFGPYLLVGLSSGEFEMGKQDVAQGLSEWILPVPDDHRLQLVSLTQESCGGTTFLSGVNASGLDATRLLTMAASPKLGTNAAAQATFRLVYTDQKAVPRIASREAVIGRVVLLEPFDLPDKVVRHQGAGKGLVISVTEDVPQDRNASMFRVVEGLDGKATTISLEADSTPGCFVHHDCPSGNGVQLVCPANDDDRHGAAFQTAASFTFGKGLSGYHPISFTAKGTKRSFLLQPLLSLRDETYTTYFNIGV >Ma06_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18955424:18962376:1 gene:Ma06_g22580 transcript:Ma06_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLKELRAEGESVMKPRCTVAGGVEDAYGEDRATEEQLVTPWTVSVASGYSLLRDPRFNKGLAFTEKERDAHYIRGLLPPACITQELQEKKLMNNIRQYQLPLQRYMAMMELEERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGIRPSSCLPITIDVGTNNENLLGDEFYIGLRQKRATGQEYAELLHEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGLVAALKLVGGTLADQTFLFLGAGEAGTGIAELIALQMSKESKVPLEETRRKIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVGTLLDAVKAIKPTALIGSSGVGKTFTKEVVEAMASFNEKPIILALSNPTSQSECTAAEAYNWSKGQAIFASGSPFDPVEYNGKTFVPGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALANQVTPENFDKGLIYPPFSNIRTISAHIAANVAAKAYDLGLATRLPRPQNLVKYAESCMYTPVYRSYR >mito2_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:553272:553713:-1 gene:mito2_g00070 transcript:mito2_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINNIAKAHGGVSVFGGVGERTREGNSLYILSDEARLTSIYRQYLSVQAGSEVKSLLGYQPTLSTEMGSLQSTKEGSKTSIPFLADARPRHLHIYMQLPYHQEDWPPKAYIQQ >Ma02_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20609347:20610915:1 gene:Ma02_g11480 transcript:Ma02_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPTAPPSSNKLSAGLQHQQQQQPPPSAHDFTKTIVLASTPFGMVPDGWTGVADGAQIQYPVFGAANVGQENASANSFENSTEKRKAPKSKQQESRSSGAEEEGTARGGATSKNKKKASGSDATKETDYIHVRARRGQATDSHSLAERVRRERISERMKYLQELVPGCSKIMGKASTLDEIINYVQSLQRQVEFLSMKLAAAEPRMHLSSSNFFDREEQLNAPCNSSSVPVMGVSSDQLKHSGLQLASLQQDALASCLDVHGCSTWDAGLHGFHDGEIHRGSHLCPGNQCKLDLMVSDVGGTNCRGQ >Ma03_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5756208:5758978:1 gene:Ma03_g07990 transcript:Ma03_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRKYKKSHAKVRVGLPRKKPGVFKPAVAIPEALAAATADGGKEGTKEWDVKGSVIRNYQAFGVVSNPNILSVRARTPQIVQLSTLQVPDPEFTPVSEFDPIDSGSDLESDDVKSALGKKRRDGKAASLRPLTAMQRVHVGKLIEKYGDDYQAMFMDTALNAMQHSVAALKKLCERYYVGGKHYITS >Ma10_p25320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33528665:33529142:1 gene:Ma10_g25320 transcript:Ma10_t25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGIGGGKGGGGGHSAKSGGGGGHSAKSGGGGNNSGKSGGGGSGAMKAPGGEGYISRDAFEGNPQAYFHDLHHDDKAGK >Ma09_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10031602:10032081:-1 gene:Ma09_g14690 transcript:Ma09_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCCDWQPSSSRLSREQETSIIVSALAHVHSGYATAPAELPLADTCRTCGIEGCLGCELFSFADDEVAVVPSDSSGSGGSRRKGKKGSRYRGVRQRPWGKWAAEIRDPKRAARKWLGTFDTAEDAARAYDRAAVEFRGARAKLNFPPPDNTPSSNPDR >Ma11_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22336548:22342446:1 gene:Ma11_g16920 transcript:Ma11_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNFSFQVKMNLTALLNWVPRQGQVSPECLNLGLGRRLPLGTVMSQVLGSSSEHLNQIRLDCFWAQVNYHCPQPFTRTSNNSAMASNLNNTKFVVSFIFFSSGLGLYEDDMPNCNEHGIDGTGWYNGSSDLQLEWINVYNNTSRGGRYIPRAVVMDLKPSTIDTVRSGLFGQIFRLDSFVFRQSGTRNNWAKGHFAEGAELIDSMLDVVRKENHDCLPSLVASGFIWRFLRFCGKICFHIG >Ma11_p16920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22333912:22342446:1 gene:Ma11_g16920 transcript:Ma11_t16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNFSFQVKMNLTALLNWVPRQGQVSPECLNLGLGRRLPLGTVMSQVLGSSSEHLNQIRLDCFWAQVNYHCPQPFTRTSNNSAMASNLNNTKFVVSFIFFSSGLGLYEDDMPNCNEHGIDGTGWYNGSSDLQLEWINVYNNTSRGGRYIPRAVVMDLKPSTIDTVRSGLFGQIFRLDSFVFRQSGTRNNWAKGHFAEGAELIDSMLDVVRKENHDCLPSLVASGFIWRFLRFCGKICFHIG >Ma06_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6543027:6549234:1 gene:Ma06_g09300 transcript:Ma06_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPSVSLRRDPAGPRPSADPEALRRWVVAFCIIRFDLEQGQLVEECFPPDSLPHHQLLLVAFSSFPDSMSHHHLNPNTAHRPSSSSSSSIHDCIFSFRFPAAAADGGDFLYGYVFNRRRQDERLPRGGEQKSVVILSRSPYSSVFRPLLQILGPLCFDIGPSALGLVASHVAAWPAPAPGAPMDLPIGSAALRVHLPPALYDAAAPFPPANPSVPHGLFHDADIFGSFRGLLLHLWTLWELMLAGEPLLVIAPTPPQCSEAVAALVSLVAPLPFSVDFRPYFTIHDPGFARLNSLGEDEEFPPMVLGVTNLFFLKALRNIPHVVSVGSPGPNTGRALPVASRSASTGMPGRNSRPGKLNLEQLSLNKFSPSGLLNAMKLRREGPLCLMTEHKEAVWSTYTATTKPDTAILNRLIDSPRIEESMSVANNEILRRHFLELTTNFLAPFGPYLRATTPSKGTSPFVDPPPLPPFHADEFLSGLATRGPGKFLSKRMRSNWLELYRSFLEGQNFMPWFHRRRAAAEQEQHRLWRQARMSTDMNKLISKMSEVEIVDSFNAIERHLLAEIQQLGDASEDSAVVCQKLKGDLRVVFNVLPKDMQQLLLSNPKRSALLQGDPEPGKHPSVQSNPVAELKFSFLSL >Ma11_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11234224:11234485:-1 gene:Ma11_g11010 transcript:Ma11_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFEKGLLGDQWEQYGYMAANYGIFSFKSDVFSFGVLVLEILSDKKNIETIERDNIGTDLIG >Ma02_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21277661:21277979:-1 gene:Ma02_g12650 transcript:Ma02_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCQIVPRPHFPKWLRLQTTLRDLSLSSTSIKERIPNWLPSSLEYLDLSNNEIIDDVPQYLPNLIIWISQITHSQANFL >Ma07_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8807292:8810357:-1 gene:Ma07_g11890 transcript:Ma07_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETEVAAGQPKKRTFRKFSYRGVDLDQLLDMSLDELVKLFDARARRRFQRGLKRKPMALIKKLRKAKKDAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Ma06_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6002898:6005379:-1 gene:Ma06_g08490 transcript:Ma06_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRWVRPEVFPLFAAVGTAVGICGMQLIRNICINPEVRVTKENRAAGVLDNFQEGEKYAEHGLRKFVRNKSPQIMPSLNNYFSDPLSDE >Ma11_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26995446:27000036:-1 gene:Ma11_g23770 transcript:Ma11_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWIDFSECMSRCREPKDCALVREDYFECLHHAKEFRRRNRVYKEEQRQIRAAARKAEEAEGGKESSHH >Ma09_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31870540:31882734:1 gene:Ma09_g21130 transcript:Ma09_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDEQQFFIDHPGAVPISTAQGEELRKQIGAASYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKQQKGCSIL >Ma09_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4904440:4905279:-1 gene:Ma09_g07460 transcript:Ma09_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGGGDADVARKVGRAMIRFRPIAPKPAAGSPLAVAAAAEAAAAPRRPKRKGSANPAAGASGRKPRKAEANPSPSSSTIVTLSLIPETPERKGDREAAPKRSPSFPSPPSAVVVPRVVPPVGAIGSWVTVECVTETDGWREGEVAWRSDEAVAAALAADECPGFVSDEWDRVTWINEAYRRMVVGTAQRCSSLEGGGAAEKEEEVRVGLVSQGLLPAAGRCRAFTCWVRVWYARRLRRTKGPPSPPPPSSLAAPCDVWRLDGGVCAWRLDVKAALSLS >Ma03_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29065917:29069364:-1 gene:Ma03_g24850 transcript:Ma03_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENPTNRQVTFSKRRGGLLKKANELAILCDAQVGVIIFSSTGKLFEYCSPHLSMRQLIERYQRVTNTHFEEINSQQQIICEISRMRDENDKLQASMRQFTGEDLASLTLNEVNQLEEQLEYSVNKVRARKHQLLHQQLENLRRKEHILEDEHNYLCRVLAEHQAAMEHQQVVAMEHKVGDVPMLEHFGHLYAEEPSRNLLQLSPQMHAFRLQPTQPNLQEATFQGHYLQL >Ma04_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3964885:3968625:-1 gene:Ma04_g05310 transcript:Ma04_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMREQKNQTTAMNEVGDVIIDVECLTQPPEICCSGSPKMSKKALLRKGSIRMERHTGGEQEAYDALKNLVKAVPSHMEQLKQPPVPFKTLQAAQSAPNSPAFSDLGEGRTKRLNRLTTIHPRKILLVFAAMSSIGTMVLIYFTLAIRRIDGA >Ma03_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:688945:689249:1 gene:Ma03_g00860 transcript:Ma03_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFSEFPSIDLNVGSSDMFDEDWWMVDGFRGKSVTFEKAREYSLPLFGSR >Ma01_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20739745:20772478:-1 gene:Ma01_g21220 transcript:Ma01_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPASVARQAELLKQDGNSYFKKERLGAAIDAYTEAITLCPNVAVYWTNRALCYRKRNEWNKVEEDCKRALQLDSHSVKAHYMLGLALLEWQDFAGGIKELEKALELGRGANPASYMVEEIWQILAKAKYMEWEDSSSKRASRLQKLKEACERALIHYYAIEDSQVENISEEIANGHLQQLGHLEEVFNKAAQADQPTEVPDFLCCKITLDIFRDPVITPSGITYERAVLLDHLQKVGKFDPVTREPLDPHQLAPNLAIKEAVQAFLDEHGWAYKMS >Ma01_p16950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12400770:12405675:-1 gene:Ma01_g16950 transcript:Ma01_t16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRILIWRDYRGDVSAVQAERFFTKLIEKEGDIESRSPVVFDDGISYMFIQHNNVFLMTAARQNCNVASILLFLHRVVNVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVVQRPPMAVTNAVSWRSEGIWYKKNEVFLDVVESVNILVNSNGQIIRSEVVGALKMRTYLSGMPECKLGLNDRLLLETQGRTTKGKAIDLDDIKFHQCVRLSRFENDRTISFIPPDGSFDLMTYRLSTQVKPPVWVEAQVEKHSRSRIEILVKARSQFKERSTATNVEIELPVPSDAIHPNVRTSMGSAKYAPENDALVWKIKSFPGGKEYMCRAEFSLPSITAEEATPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Ma01_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12400770:12405675:-1 gene:Ma01_g16950 transcript:Ma01_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRILIWRDYRGDVSAVQAERFFTKLIEKEGDIESRSPVVFDDGISYMFIQHNNVFLMTAARQNCNVASILLFLHRVVNVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVVQRPPMAVTNAVSWRSEGIWYKKNEVFLDVVESVNILVNSNGQIIRSEVVGALKMRTYLSGMPECKLGLNDRLLLETQGRTTKGKAIDLDDIKFHQCVRLSRFENDRTISFIPPDGSFDLMTYRLSTQVKPPVWVEAQVEKHSRSRIEILVKARSQFKERSTATNVEIELPVPSDAIHPNVRTSMGSAKYAPENDALVWKIKSFPGGKEYMCRAEFSLPSITAEEATPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Ma02_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10075659:10077525:-1 gene:Ma02_g01500 transcript:Ma02_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVTYGKEYGRLVVIVDVIDQNRALVDSPDMVRGQINFKRLSLTDIKIDIPRVPKKKTLLDAMEAADVKNKWENSSWGRKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKADVA >Ma03_p26600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30260444:30287482:-1 gene:Ma03_g26600 transcript:Ma03_t26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSSRKTMKWSSLLKDLREKVGLSGSQPQTQSSACPSPSFSPAAEYGGAGAPQSAPASVYGSPESSPARGKHEQELDFKKFWEDFRSSSSEKEKETALNMAVDTFCRLIKQQFDVAQLINKFVEVHIFSFVVGRAFVTDVEKLRIYSKGNFLNVANIISFFSEVKDGISRGSNLLYAVEVLVTGAIDKQSLLDSGILCCLIHILNALLNPNEAKGGQVDTLEESAKSGKMMDAIDTLRVRRLEIEGSIVHIMKALASHPSAAQSLIEDDSLQLLFHMVANGSLNVFSQFRDGLVPLHTIQLHRHAMQILGLLLVNDNGSTAKYIHKHHLIRVLLMAVKDFSPEKGDAAYTMGIVDLLLECVELSHRPEAGSIRLREDIHNAHGYHFLVQFALTLSNLQKSQNIQSVGSKLSHEESSQFDGQNAANSLVQPESQNVALPSHLSPALIRLLDALVNLAQTGPAESAGGKASKYTQNKGTSHRSLTASDRINDEEKGNAKVKDLEAIQTLQDIFLKADNVELQAEVLNRMFKIFSCHLDNYMLCQQLRTLPLFILNMAGFPDSLQEIVLKILEYAVTVVNCIPEQELLSLCCLLQQPITASLKHTILAFFVKLLSFDQKFKKVLREVGVLEVLLDDLKQHKSFSGVEQQNRIYSSLETKSSSSSFKKHIDNKDAILSSPNLVGSGSGKFPVFEDEGTIAIAWDCLFSLLRRAEANQQSFRSSNGVSIILPFLISDCHRSGVMRLLSCLIIEDSLQAHPEELGALIEILKSGMVTSISGSQYKLQNEATCDILGALWRILGANNSAQRVFGDATGFSLLLTTLHSFQSIELPDIQSSMNIFCFLMRAITAGVSNNALNRLRLHAIMSSQTFYDLLCESGLLCVECEKHVIQLLFELALEIVLPPSAVHQGEKPSLDMSEDEPTSFLTVSLGISRFDSERIYNASAVGVLIRSLLLFTPKVQLDILKFIEKLAHAGPFNQENLTSVGCIALLLETISPFLEGSSPLLTHALRIVEVLGAFMLSSSELRVLLRYILLLKLKNSGQLLVDMMEKLVQMEDIRSDSVSLAPYVEMDMSKVGHSSIQVSLGERTWPPAAGYSFVCWFQYHNLLKSQVKESEQASRTGSGKRNASSGQILRIFSVGAMTDGNTLYAEICLQDNGVLTLATSNSCSLAFPGIEMEEGRWHHLAVVHSKPNALAGLFQASVAYLYVNGKLIHTGKLGYSLSPVGKSLQVTLGTPVSRAKVSDLSWRLRCCYLFEEVLTSGSIFFMYILGRGYRGLFQDTDLLRFVPNQACGGDSMAILDSLEAESPMASNSQRLDSSGKLGEIKSDCSGIVWDLERLTNLSLQLSGKKLIFAFDGTSSEAFRTSGTLSLLNLVDPTSAAASSIGGIPRYGRLFGDIYVCNHFMISDSIHAVGGIPVVLALVEAAETKDMLHMALELLACSLHQSPQNVKNMQTLKGYHLLALFLHRRMSLFDMHSLDIFFRIAACEASFSEPQKFRANRALSFPVRTSPEASIEDLSLPKFSDEISSVGSHGDLDDFSAQKDSFSHLSELENTDMSEANSNCVVLSNADMVEHVLLDWTLWVTAPVSIQIAVLGFLEHMVSMHWYRNHNLTILRQINLVQHLLVTLQRGDVEVLVLEKLVVLLGVILEDGFLASELELVVRFVIMTFDPPELTPGNQIVRETMGKHVIVRNMLLEMLIDLQVTINAEELLEQWHKIVSSKLIAFFLDEAVHPTSMRWIMTLLGVCLSSSPTFALKFRSSGGYQGLSRVLPSFHDSPEIYYIVFCLIFGKAVYPRVPEVRMLDFLALLPNDGNYGELKFVDLLETVIAMAKATFDRLSMQSMLAHQDGKLSLLNGSLVAELVEATSDMAGDLQGEALLHKTYAARLMGGDAGAPVAATSILRFMVDLAKTCPPFSVLCRRADFLETCVDLYFSCVRADCALKMAKDLTTAAPEEKNDIDDEDSENTFSSLPPENEQAVKTSMSTVSFPREQKSTSSGDMQGSPCYPSAYAEVKGGDETNLNPQNSLTGEVDQALRSRDQQNFTHDSVTSYDPDLKHIDSSGTQILNQPTDSHSSASLNMPYSPVLSDKSNTKSTATPSASPVLALTSWLGSTSSNSDAKAKLTASPSMRSSFSLNEFDSSPDLRTNSHESSAVSMFFPINPKLLLEIDDSGYGGGPCSAGATAVLDFIAEVLADIVSEQQKATQFVENIIESVPLYVDVESTLVFQGLCLSRLMNFLERRVLRDDEDEQKLDKNRWTVNLDSLCWMIVDRVYMGSFPEPIGVFRTFEFLLSMLQLANKDGHVEEAAPGKGILSIARGSKQLEAYIHAILKNTNRILMYCFLPSFLKSIGEDDLPLALGFRSERSKGLSFNGLQDESTVDICMILQLLLANKRLILCPSNLDTDLICCLCTNSIALLHDKRSTTQNQAIDIIKYLLLHRRSALEDFLVSKPNQGPTLDVLHGGFDMLSMGSPSSFFDWFNISEQAINKVLEQCSSIMWLQYVAGSEKFPGVRIKGMEVRRKREMGRKARDIAKLNIKHWEQIYERRYALESVRDLMSTELRAIRQDKYGWVLHAESEWQTQLQQLVHERGIFPVRHASLEPGWQLCPTEGPYRMRKKLERSKLKIDTIQNALARGFELEESKLVKEKHENGVGTSGSESDLYFNLSSDDAPEKGYDGDDREESSIKYGLMVESLASTQIEWNDDHGSSVREPSVYSAMEFGVKSSSSVQMTEGKQSELGTPRQSSSCKVDDMRAPEEKQEKELLDNGEYLIRPFLEPSEKIRFRYNCERVVGLDKHDGIFLIGDLCLYVIENFYIDDSGCICEKISEDDLSVIDQALGVKKDVSGSSEFQQKSSSSWGVEVKTLAGGRAWAYNGGAWGKEKVCSSSNLPHPWHMWKLDSIYELLKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNNMLDTTISGSSKQEGNEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESETLDLKNPCTFRKLDKPMGCQTSEGEDEFRKRYESWDDPDVPKFHYGSHYSSAGIVLFYLVRLPPFSTENQKLQGGQFDHADRLFNSVRDTWLSAAGKSNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSPREFIRKHREALESDYVSENLHHWIDLIFGCKQRGKAAEEATNVFYHYTYEGNVDIDSVEDPTMKASILAQINHFGQTPKQLFPKPHAKRRTDRKLPPHPLRYSANLVPQQVRRSSSFISQIVTFNEKILVAGANSLLKPVMYNKYISWGFPDRSLRIMSYDQDKLLSTHENLHGGNQIQCVGVTLDGQVLVTGGDDGVVSVWKFDKDNRLSLGRALCAHTSKITCIHVSQPYSLIVTGSEDCSAILWDLTNLVFVKQLPSFPAPVSAVHVNELTGTILTAAGILLAVWSINGDCLAVVNTSQLPSDLILSVTSTMHSDWQDTNWCVTGHQSGAVKVWNMVHCSTDEASGRSKSSAAGAGGLDLSGRLPEYKLLLHKVLKSHKHPVTALHLTSDLKQLLSGDSSGHLLSWTVSDDSLRAS >Ma05_p08920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6578955:6582561:-1 gene:Ma05_g08920 transcript:Ma05_t08920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQFGSKAVQVSEFISKHGKAYYEELLEKNKQHIVQPPTIEACQDLSKKLFYTRLASIPGRFESFWKELDGVKHIWRNRKEFKVEDAGIAALFGLELYVWFCGGEIAGRGFTFTGYYV >Ma05_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6579096:6582531:-1 gene:Ma05_g08920 transcript:Ma05_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQFGSKAVQVSEFISKHGKAYYEELLEKNKQHIVQPPTIEACQDLSKKLFYTRLASIPGRFESFWKELDGVKHIWRNRKEFKVEDAGIAALFGLELYVWFCGGEIAGRGFTFTGYYV >Ma09_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13509205:13512084:-1 gene:Ma09_g17900 transcript:Ma09_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWVIRMTAVVLLWTCVLHLMALGEIWRPRVLKGWPSCFTPSESPLLSLGIYKNNGYLMVSCNGGLNQMRSAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFQDIFDLDHFITSLRDEVRILKELPPRLKTRVELGMFYSMPPVSWSDIAYYQNQVLPLIRKHKVVHLNKTDARLANNGLPLEIQKLRCRVNYAFLRFTPQIEELGRRIIKILQQNGLFLVLHLRYEMDMLAFSGCTHDCTDEEADELTRMRYAYPWWKEKVINSDKKRKDGLCPLTPEETTLVLRALDIDRNVQIYIAAGEIYGGDRRMAALFAAYPKVVKKETLLGPSDLRYFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRYLGFRKTILLDRRLLVDLIDEYNNGTLSWDEFSLSVKATHADRMGSPTRRVVIPDRPKEEDYFYANPQECLPQPDKPSTS >Ma10_p30510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36789990:36796358:1 gene:Ma10_g30510 transcript:Ma10_t30510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFCLLSCNKSTIHVSSYQLCSLFRFSTAEEHSSNHGSNFTLVDPLESCELSSKEAAKRAKDRICEKELTSSSPSIEFFKQSGWSDALVMKLLQREPRLLLANVETALKPRMRSLQDMGFSDTEIIQLVSSCPTLLRLSDIQPRINFWRSLLGSNERLIKASRRNIFLLTSSLAQKIEPNISLLRECGISEQCITQMLVVVPSFFCRKNNCVNESIKRVEEFGVSRDSKMFPQVLLTVMTLSWSRFHATFETLMSFGWSQPDSIAAFSRYPVIWNYSKKNLSDKMTFLMKEAGCELTYIVGHPMLLTYSLEKRLRPRYEVMNFLDQNKLLDKGHDLLSVILLSEEKFRNKFLFLLRKEKFIAQYDSYVVAVRGKHYVVVEN >Ma10_p30510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36793708:36796358:1 gene:Ma10_g30510 transcript:Ma10_t30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFCLLSCNKSTIHVSSYQLCSLFRFSTAEEHSSNHGSNFTLVDPLESCELSSKEAAKRAKDRICEKELTSSSPSIEFFKQSGWSDALVMKLLQREPRLLLANVETALKPRMRSLQDMGFSDTEIIQLVSSCPTLLRLSDIQPRINFWRSLLGSNERLIKASRRNIFLLTSSLAQKIEPNISLLRECGISEQCITQMLVVVPSFFCRKNNCVNESIKRVEEFGVSRDSKMFPQVLLTVMTLSWSRFHATFETLMSFGWSQPDSIAAFSRYPVIWNYSKKNLSDKMTFLMKEAGCELTYIVGHPMLLTYSLEKRLRPRYEVMNFLDQNKLLDKGHDLLSVILLSEEKFRNKFLFLLRKEKFIAQYDSYVVAVRGKHYVVVEN >Ma06_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14806597:14808736:-1 gene:Ma06_g20650 transcript:Ma06_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQDNFDYAGPKQGKETSLSWDFIKHISNDATSNPKVLLTHIPLYRPDDTPCGSHRSSSVINQRISYAGTDHGISYQNYLSEETSNHLLDLIRPTLVLSGHDHDQCTVVHSTPAGTVTEHTVGTVSWQQGNLYPSFMLLSARSNSTNSEDAVSTNLCFLPMQTHIYIWYLTQFVITLILIIMWPTNGLGLSDKCMSYITAMKNNLMSTSKEKDEEENCEYEMVWDADGSMHLVKKARTRVPAPKSDTGFTGRRKVVSRPTAKKHLQEAEASVSVDLSWESKTEDTAKMQRPSKSKVRTVVQRLFRLRLLVIVAAVNVPLYMMLLFKDWIDR >Ma09_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34230677:34231426:-1 gene:Ma09_g22250 transcript:Ma09_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNLEVASGWHGVEDTGTELFGIVRLVAGLHSASLLSLNTMNLPFKTSQQLQAQWQVLRTYLIISFYYWTPIFTFLHTLQKCFRERT >Ma05_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34153132:34155592:1 gene:Ma05_g22410 transcript:Ma05_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFQEDAAAGGEDCKNSKKEDDVDPSAGGLLLFTVLIRGATILLRLNQMKGSVKLRVSCSLSFSLFFSYLIIDNI >Ma05_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7176198:7183794:-1 gene:Ma05_g10000 transcript:Ma05_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEIALIIFSARGKLYEFASSSMQEIIERYKAHAKESTSSSAIDHENQPSRYEAASLLKKIEHLETFKRKILGENLESCSIEELHELESKLEHGLRRIRGKKQQLLEEQLAQLQQKENTLVEENTLLREKLQPNLPSEAANEVVLDDIPGEHTEVDVETELCIGCPGRGKTNGILQG >Ma05_p10000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7176198:7183787:-1 gene:Ma05_g10000 transcript:Ma05_t10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEIALIIFSARGKLYEFASSSMQEIIERYKAHAKESTSSSAIDHENQPSRYEAASLLKKIEHLETFKRKILGENLESCSIEELHELESKLEHGLRRIRGKKQQLLEEQLAQLQQKENTLVEENTLLREKLQPNLPSEAANEVVLDDIPGEHTEVDVETELCIGCPGRGKTNGILQG >Ma07_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26630888:26631292:1 gene:Ma07_g18710 transcript:Ma07_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDEADAVVIGFRSPENLPTIGCLSNYHINPASTHLPAISTACESEGLVAWRLNKPLIDSCADAISLNRLNILKGISA >Ma09_p29770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40126861:40130707:1 gene:Ma09_g29770 transcript:Ma09_t29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQSSSQPRLEASDDDDNDEEEEEEEEEEEEEGVVLSRNPIPSKEKVLEQEPEILPCLAAASPLSPQPSAAGTPRLLGPSIKVWDPCNVLLLPPPPPLALFARGAASATADRVTEVLLIAHGECAASVRPDLVGGRWAAVAGLTPNGERQARALAVFLKSQGVRFDEVYSSPLDRARATAAFVCRELGFAEEQIRLSDALFEISQGQWEGCLRSEVYSPEMVNLIDRTQPDFCAPSGESLRQVVFRMVEFLNRTVLRLPEKLAVTDTSIHQNESKALSRNSSSNSVQDRDGPHWDLLYRLNRPSLQRKKSGKSRLQFVTTGDNETDEEFSPREVTPGNLLPDGSRNPIFSIGIFTHAIPIKCLLTGLLDCSPAMSHKISIDDSSMTALHHSLRTGWQIKRLNDTAHLRLL >Ma08_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1657950:1666319:1 gene:Ma08_g02040 transcript:Ma08_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAVTWVPKGASKSSPVVAEPPSKDEIDEILKTGALRRSGDSDEDEQVEMDVDDSKDADEVTHALATAEALSKDQGDKGSHFQDIADGLRELDMDHYDDEDDGIELFSTGLGDIYYQSNEMDPYLQNKDDDDDDEEIEDMTIKPTDAVIVCARNEDEVSHLEVWIFEESEDGDSNMYVHHDIILPAFPLCTAWLDCNLKSGDKGNFIAVGSMEPAIEIWDLDLIDEVQPFLVLGGVSKKKKKGKKTSVKYKKGSHRDSVLGLAWNKEVRNVLASASADKTVKIWDVVSGKCAVTVEHHRDKVQAVAWNHHSPEVLLSGSFDQSVVMMDMRSSNQVSNEWCVTADVESLAWDPHSEHSFVVSLENGTVQGFDVRASSDTDSSSKPSFTLHAHDKAVSSVSYNPAAPNFLATGSTDKTVKLWDLSNNQPSCVASQNPKAGAIFSIAFSDDSPFLLAIGGSKGRLEVWDTLSDLGVGGRFGKYSNRTIDPPPTD >Ma08_p02040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1657956:1666319:1 gene:Ma08_g02040 transcript:Ma08_t02040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAVTWVPKGASKSSPVVAEPPSKDEIDEILKTGALRRSGDSDEDEQVEMDVDDSKDADEVTHALATAEALSKDQGDKGSHFQDIADGLRELDMDHYDDEDDGIELFSTGLGDIYYQSNEMDPYLQNKDDDDDDEEIEDMTIKPTDAVIVCARNEDEVSHLEVWIFEESEDGDSNMYVHHDIILPAFPLCTAWLDCNLKSGDKGNFIAVGSMEPAIEIWDLDLIDEVQPFLVLGGVSKKKKKGKKTSVKYKKGSHRDSVLGLAWNKEVRNVLASASADKTVKIWDVVSGKCAVTVEHHRDKVQAVAWNHHSPEVLLSGSFDQSVVMMDMRSSNQVSNEWCVTADVESLAWDPHSEHSFVVSLENGTVQGFDVRASSDTDSSSKPSFTLHAHDKAVSSVSYNPAAPNFLATGSTDKTVKLWDLSNNQPSCVASQNPKAGAIFSIAFSDDSPFLLAIGGSKGRLEVWDTLSDLGVGGRFGKYSNRTIDPPPTD >Ma09_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3597119:3597851:1 gene:Ma09_g05580 transcript:Ma09_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELYEWEVMWPEDNNDGPIGSANSHGSTRKTAPGARSPPSSCAAPLDIPSARPSPGRACGSGDGEEEIEWAPPHVVASRRSAEGKVAFSLCSGLGRTLKGRDLLHVRNSVLRMTGFLEG >Ma09_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13367223:13370335:1 gene:Ma09_g17770 transcript:Ma09_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRLPSPTSCCCCFSRSLIHRRPSLLLPARMPARKRAGGAAFGTPRCSLDAAIGGGNYSYEYVPKFPRMNIRDPYKCLGVSHDASEEEIREARNFLLEQYAGHESSVETIEAAYERILFTSFKERKKTKFNLKSRLRKKVVESPPWMKRLLEFVELPPTDVILRRLFFFVFMGAWSVINSAESGPAFQVALSILSCIYFLNDKMKNLVRASATGFGALLVGWAVGSVVVPMIPSVVHPSWTIELLTSLISYVFLFLVCTFLK >Ma07_p17210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16649791:16652224:-1 gene:Ma07_g17210 transcript:Ma07_t17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRGVDADICDGIKPSAVPDTKDEGLSDEEGEDRETDSLLTSSSVNGGLEGKQQKSSRRKVQWNDRNGNKLVEVLEFEPSSSNNISTMEKLITCCFRLCYCLGS >Ma07_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16649854:16652224:-1 gene:Ma07_g17210 transcript:Ma07_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRGVDADICDGIKPSAVPDTKDEGLSDEEGEDRETDSLLTSSSVNGGLEGKQQKSSRRKVQWNDRNGNKLVEVLEFEPSDSSDSEDDSSNNISTMEKLITCCFRLCYCLGS >Ma11_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21680315:21685989:-1 gene:Ma11_g16100 transcript:Ma11_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAPRPLPSPPTSRHVAVIGAGAAGLAAARELRREGHRVVVFERSAAVGGTWIYTPAAESDALGLDPLREVVHSSLYDSLRTNLPRECMGFLDYPFSSRRARAGGDPRRFPGHREVLCYLQDFAREFDLYGLVRFRTEVALLERDNDGRWQVNSRRSGAAGGDGSDENEVFDGVVVCNGHYTEPRIAEIPGMDAWPGKQMHSHNYRVPEPLLDQVVVIIGNSNSAVDISRDIARFAKEVHVSDRSLTDEPPRKQPGYDNMWLHSAIASTHKDGAVVFRDGCSIHVDVIIHCTGYKYHFPFLKTKNIVTVDDNRVGSLYKHIFPPFLAPSLAFIGIPWKVVPFPMFELQSKWVAGVLSGRIALPTKEEMLEDVKSWYLEMEAVGWPKRYTHNLSNIQFEYDNWLAEQCGCPSVEEWRKLMYVATKKNKRAHPESYRDEWDDDHLILQAEEDFQKFL >Ma08_p31500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42368712:42373695:1 gene:Ma08_g31500 transcript:Ma08_t31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRQGTSLARLAHARFVSLSQTRSLASDSLVELRPGEIGTVSGVPQEHLRRRVIIYSPARTATQQGSGKVGKWKINFVSTQKWENPLMGWTSTGDPYANVGDAGLTFDSEEAAKAFAEKYGWDFVVKKRHTPLLQVKSYSDNFKWKGPPKTEEGGRSFD >Ma08_p31500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42368712:42373619:1 gene:Ma08_g31500 transcript:Ma08_t31500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRQGTSLARLAHARFVSLSQTRSLASDSLVELRPGEIGTVSGVPQEHLRRRVIIYSPARTATQQGSGKVGKWKINFVSTQKWENPLMGWTSTGDPYANVGDAGLTFDSEEAAKAFAEKYGWDFVVKKRHTPLLQVKSYSDNFKWKGPPKTEEGGRSFD >Ma00_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2013989:2014420:1 gene:Ma00_g00650 transcript:Ma00_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLWEEAIQKWYTDSHTSHLDYLNLAETTKPTKKELAHNISVIYDRTCLSSRVNLRNFKLLLEENHNLEKRIRNLESSVKTLSSLFIENKPLTQSEVQKLVLEISKQPKLIEEEALRLSQNLDQKLQRIEILLSKIEKQIFG >Ma04_p35890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34456195:34457741:-1 gene:Ma04_g35890 transcript:Ma04_t35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKMCEKPKVNHRKGLWSPEEDQRLRDYILAHGHGCWSSVPVKAGLQRNGKSCRLRWINYLRPGLKRSAFTHEEEAMIMKLHAIMGNKWSRIAMHLPGRTDNEVKNHWNTHVKKKAIKIDGSASHDTMAKSLGSDDQSLRMEQFLDENNSQILLSETSASLESFSPIPCQSILNVTNHAQSQRTPQPPLPKVLFEDWLPMFSDNGGVNRRQESTSNSEALSPQLLQLDMISTDDFLHGFEAISNSGGLIQPQYGPVDLVSSNETYAGFESTHHMFVDL >Ma09_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1624515:1630242:-1 gene:Ma09_g02270 transcript:Ma09_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGFGYVLISLGPALSLFVSVIAKKPFLILTLLASMLFWLISLIVLSGAWRGFLPLKSASWWPYVILIVTSVGFQEATRLIFWKLYKKLEVILDAFAEEKFRSRLSWTDKMQIALAGGLGHGVAHAVFFCVSLLTPSFGPATFFVDRCSHMPFFLVSAIISLGFMIIHTFSMVIAFNAYAEGRKLDQIIIPIIHILAAVMTLINLAPGGCAIGVPLLYIIGGVVLRHCWQMVWRKLTEQGNRQRNSSDIHVS >Ma05_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:908594:916367:-1 gene:Ma05_g01490 transcript:Ma05_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATWIFDVLRPDAEFATTIFARWMRGRGMVMKVQGKYYLPGYNSMREIKEDARSSWSWYFQDKKFSEHLYNNHVPKLVDGCSEHDKGMIRRTMLEHEAIFRKQVYELHRLYRIQKDLMNQFQTRGYCGSFTPAEASHSNSFSSQMQSECTEKILQISHLPLGNSGDGKTPFAGTERSHFSFSREGNVRADHFPSLNGASQKDTEALEYKPLKKRRFNLQLPADVYIDTEDTDGSVQTKIVELSHSASIFKNGNCSLYSENDVKLTLGSDHKEEHQILNSLTQFGISACSAVDLNKPITEICYETPAKSASIQLLGFDTHSNRNQEHLLSLRSKTNFIERHVNQQTTSDLLHKDVLTKRQWPLFNHESANKGSTVDSFSQTFYDDNVSTSSETLQSNTKKCHEFSLFNHNNQETWSRQEPIHNIQASLRLPHITCSNSSLVSPSTPSTMTTPKADLISSASSFVSSWIKPAISISNRQTMVQALPCFSVSSNLPNGSLGSKIDAQVPVTCQNWKNSKRLSTSLGSDIRVPHANGYHHGLHLESSSELHTEIAVCKPDKVDDANRDLCYHLPENYTKHFSSRDLKTHLDLNQVFPSDVEDKITFRQDRVICDVNDKVPEDPSSFRNKASYNEAVDLRKYDSRADFCFSNGHRQLISSSNVVAPGGVRNEGKELDFSLCTMPEVTSASQFKHYKVQGNEVSDNNGTIILGFPLHKTTQQSSISASLRRMGKHLSGNTIKKNGNMIIDDLSCDIQVLNSQDNIHIGDSITEICSGNNRENFRNHIRPNAEFACRDEPIPSMILPRDEIVVQSSYAKSSAGAKIASNIDSEANISQAQMAMIKKHKHIPSSKKDGSEEKDYSGFTLVGLAAENLVAISMGCRGHPDDTNSHPSSLSRFDTLCWFADVVASCRAENLRLLGDSGDGGTQSSDDDGLDIFEAMTLKLQEIKVDQYWLRSKELEIKDEHEGKQDVGAASLLFAKPRRGQARKRRQRRRDFQKDILPGLASLSRHEVTEDLQTIEGMMKASGRPWQTGLTRRNIGQSGINSQTNRRKQPRSLSITFEEIGVSSLPPSQPSNSEITIDKVGMIGWGRTTRRCRRQRCLPVSLATH >Ma10_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26930031:26930336:-1 gene:Ma10_g14510 transcript:Ma10_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQVERVPTKVRVIVTKFVQIDAGQFKSVVQCLTGKDSVVAEAPESWNGRSEKSHVVDRSCRRGGGKRVTLGDHEVQREDEGGVELLPTIDELFELLGD >Ma09_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9744056:9745210:-1 gene:Ma09_g14260 transcript:Ma09_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDHKLVRYIQKHGRGSWRSLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFSAEEEQTILNLHSILGNKWSSIASHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFATLQQLIAVANLRELIDGRPWDDHAARLQAEAAQAAKLQYFYRLQQLATAMETPSVPSPTPYRDIDGQKRDDDPLPELQVPCFFFDQPTSNEAKQSSNIIGLSLGDTSPGPPFMPPLPPLADVSIGTLQGDARSGNPPPSWPEILFDDPFLVASVDL >Ma04_p22020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24437565:24443565:-1 gene:Ma04_g22020 transcript:Ma04_t22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVRTAVDDTRQGKECSNGGAIQNGREILLQAFNWESHKHDWWHNLERKVGDIAKSGFTSAWLPPATNSLSKEGYLPQNLYCLDSSYGSHNGLISLLKKMHQHKVRAMADIVINHRVGTARGRGGAYNRYDGIPMPWDERAITSCSGGLGSRSYGENFHGVPNIDHSQAFVRKDIIGWLKWLRKDIGFQDFRFDFAKGYDPKFVKEYVEESKPVFSVGEYWDSCNYSAPDSRLDYNQDSHRQRIINWIDKTGQVSAAFDFTTKGILQEAVKGQLWRLRDSQGKPPGVIGWWPSRAVTFIENHDTGSTQGHWPFPSDHVIEGYVYILTHPGIPTVFYDHFYDWGNSYHNQIMKLMNIRCHQDIHSRSNIKILEARSDLYAAKIDDKVCMKIGDGSWCPSGREWTLAASGNRYAVWHK >Ma04_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24437565:24443360:-1 gene:Ma04_g22020 transcript:Ma04_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVRTAVDDTRQGKECSNGGAIQNGREILLQAFNWESHKHDWWHNLERKVGDIAKSGFTSAWLPPATNSLSKEGYLPQNLYCLDSSYGSHNGLISLLKKMHQHKVRAMADIVINHRVGTARGRGGAYNRYDGIPMPWDERAITSCSGGLGSRSYGENFHGVPNIDHSQAFVRKDIIGWLKWLRKDIGFQDFRFDFAKGYDPKFVKEYVEESKPVFSVGEYWDSCNYSAPDSRLDYNQDSHRQRIINWIDKTGQVSAAFDFTTKGILQEAVKGQLWRLRDSQGKPPGVIGWWPSRAVTFIENHDTGSTQGHWPFPSDHVIEGYVYILTHPGIPTVFYDHFYDWGNSYHNQIMKLMNIRCHQDIHSRSNIKILEARSDLYAAKIDDKVCMKIGDGSWCPSGREWTLAASGNRYAVWHK >Ma04_p22020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24437565:24443572:-1 gene:Ma04_g22020 transcript:Ma04_t22020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVRTAVDDTRQGKECSNGGAIQNGREILLQAFNWESHKHDWWHNLERKVGDIAKSGFTSAWLPPATNSLSKEGYLPQNLYCLDSSYGSHNGLISLLKKMHQHKVRAMADIVINHRVGTARGRGGAYNRYDGIPMPWDERAITSCSGGLGSRSYGENFHGVPNIDHSQAFVRKDIIGWLKWLRKDIGFQDFRFDFAKGYDPKFVKEYVEESKPVFSVGEYWDSCNYSAPDSRLDYNQDSHRQRIINWIDKTGQVSAAFDFTTKGILQEAVKGQLWRLRDSQGKPPGVIGWWPSRAVTFIENHDTGSTQGHWPFPSDHVIEGYVYILTHPGIPTVFYDHFYDWGNSYHNQIMKLMNIRCHQDIHSRSNIKILEARSDLYAAKIDDKVCMKIGDGSWCPSGREWTLAASGNRYAVWHK >Ma04_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26147021:26148106:-1 gene:Ma04_g24090 transcript:Ma04_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFDLIIHVGHMSMERLMFRLLQIEMPNEEETARGELVEQAETKEEEKRTFKCQYCDRMFTSSQALGGHQNGHRRERDAAKRAEHEAEFYGMQVPAVPMLPSTPYMQPHHSYMNAARAQYVPPLHPTPYRSMPRPSAGYQPHLHHHHHHQHHPYSFHNYGDATMRMDPRYTSRQSFLASPYGDHLSREEEQWRIAQWQRSYHPRYGGVLRATRPEMAQARMERPSAPTRMDGPSTSTRTDGPSTSIRIEGPSSATNLSLAFKQDRVGAPDAKNAGDEEIDLTLHL >Ma05_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1400814:1401953:-1 gene:Ma05_g02190 transcript:Ma05_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRWEEMEEDCLVNIFRRLSLVDLTVAAPSVCRSWRKASLDPLCWSVLDFRGMDFMPWSDLSKSLAARLSVRRPSFTGLLKLAAARSKGTARELRFPRVFGASLRDLVYASDACPRLKVVVLPRLLSAEETHIPEIVGKWKELERLEMESKPSSFLELVKQISLNCKRFSGLAMSGSIKIEDVSAIVDYLPRIKNLRLHDSYLPKEKLLAILSGSRELEKLSVTDCIGFEADEEILHKASGLEAFEYEGSKLADDLGYETDECDPLYVHVV >Ma04_p26580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27981152:27986676:-1 gene:Ma04_g26580 transcript:Ma04_t26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKYTKGCSGWLIVLVIAALVVAAAVFAIKKKHHHREVLPVPGPPGAINQKYADALGVALQFFQVQKSGKLVDNKITWRGDSALHDGKDAGLDLSKGMYDAGDHMKFGFPMAYTATVLSWSILEYGDQISAVDQLDLALDALKWITEFFINAHPSDNVLYVQVGDPDLDHKCWERPETMTESRPLVQVNKTVPGTDVAAETAAAMAAASLVFKSINSTYSDLLLQHAKKLFTFADKHRGIYSAGIPEVQTYYNSTGYGDELLWAASWLYHATGDKTYLSYVTGENGDAYADWGRPTWFSWDDKRAGTQVLLSRVNFFSSSESSNADMKSLQSYRKTAEAVMCGLLPDSPTATSSRTDGGLVWIDEWDALQHPVAASFLAVVYSDYMLTSRTSEIDCSGKTFTPSDLRDFATSQADYILGNNPMKLSYLVGYGSSYPQQVHHRGASIPANAKTGCNGFQWLSSTDPNPNVAMGALVGGPFQNDSYIDSRNNSMQGEPSTYNSAVLVGLLSGLVTTSSVATSFT >Ma08_p24040.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37333484:37339832:1 gene:Ma08_g24040 transcript:Ma08_t24040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSAASCRSRSGTFPSPGTPIYRHGVGSVAYQNGWSSERVPLPTHCNRRYGGSGVLLPFANGRALPSKWEDAERWIFSPVSGDGVGSSSVPPSHHRRPKSKSGPLGAPAGKAGAYSSASPLVPCFDSGRVRNYAANSPFLAGVLMPERSFCGNGGGVRGGDGGSAGGGTKGVGGTGGGSMGGKSHAGNGEPYVVRSASIHGWSDTLIESSSSVPSSQDEKSEGTRESASTVSAAVLRKDVATQMSPDGSIPSSPKGRPFSPSPSLVPPLEELENHFSKSVVRDVQVDDQVTVTRWSKKHTARGSDRRLASILEWKKKKTEASNSSGWEVDETAKSTSKYKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMEKILNKLRSAQKKAQEMRSTVTTSQDNQLGKTTRKASYFCKSGQISSLSGCFTCAF >Ma08_p24040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37333484:37339162:1 gene:Ma08_g24040 transcript:Ma08_t24040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSAASCRSRSGTFPSPGTPIYRHGVGSVAYQNGWSSERVPLPTHCNRRYGGSGVLLPFANGRALPSKWEDAERWIFSPVSGDGVGSSSVPPSHHRRPKSKSGPLGAPAGKAGAYSSASPLVPCFDSGRVRNYAANSPFLAGVLMPERSFCGNGGGVRGGDGGSAGGGTKGVGGTGGGSMGGKSHAGNGEPYVVRSASIHGWSDTLIESSSSVPSSQDEKSEGTRESASTVSAAVLRKDVATQMSPDGSIPSSPKGRPFSPSPSLVPPLEELENHFSKSVVRDVQVDDQVTVTRWSKKHTARGSDRRLASILEWKKKKTEASNSSGWEVDETAKSTSKYKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMEKILNKLRSAQKKAQEMRSTVTTSQDNQLGKTTRKASYFCKSGQISSLSGCFTCAF >Ma08_p24040.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37333484:37339832:1 gene:Ma08_g24040 transcript:Ma08_t24040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSAASCRSRSGTFPSPGTPIYRHGVGSVAYQNGWSSERVPLPTHCNRRYGGSGVLLPFANGRALPSKWEDAERWIFSPVSGDGVGSSSVPPSHHRRPKSKSGPLGAPAGKAGAYSSASPLVPCFDSGRVRNYAANSPFLAGVLMPERSFCGNGGGVRGGDGGSAGGGTKGVGGTGGGSMGGKSHAGNGEPYVVRSASIHGWSDTLIESSSSVPSSQDEKSEGTRESASTVSAAVLRKDVATQMSPDGSIPSSPKGRPFSPSPSLVPPLEELENHFSKSVVRDVQVDDQVTVTRWSKKHTARGSDRRLASILEWKKKKTEASNSSGWEVDETAKSTSKYKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMEKILNKLRSAQKKAQEMRSTVTTSQDNQLGKTTRKASYFCKSGQISSLSGCFTCAF >Ma08_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37333484:37339832:1 gene:Ma08_g24040 transcript:Ma08_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSAASCRSRSGTFPSPGTPIYRHGVGSVAYQNGWSSERVPLPTHCNRRYGGSGVLLPFANGRALPSKWEDAERWIFSPVSGDGVGSSSVPPSHHRRPKSKSGPLGAPAGKAGAYSSASPLVPCFDSGRVRNYAANSPFLAGVLMPERSFCGNGGGVRGGDGGSAGGGTKGVGGTGGGSMGGKSHAGNGEPYVVRSASIHGWSDTLIESSSSVPSSQDEKSEGTRESASTVSAAVLRKDVATQMSPDGSIPSSPKGRPFSPSPSLVPPLEELENHFSKSVVRDVQVDDQVTVTRWSKKHTARGSDRRLASILEWKKKKTEASNSSGWEVDETAKSTSKYKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMEKILNKLRSAQKKAQEMRSTVTTSQDNQLGKTTRKASYFCKSGQISSLSGCFTCAF >Ma08_p24040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37333484:37339832:1 gene:Ma08_g24040 transcript:Ma08_t24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSAASCRSRSGTFPSPGTPIYRHGVGSVAYQNGWSSERVPLPTHCNRRYGGSGVLLPFANGRALPSKWEDAERWIFSPVSGDGVGSSSVPPSHHRRPKSKSGPLGAPAGKAGAYSSASPLVPCFDSGRVRNYAANSPFLAGVLMPERSFCGNGGGVRGGDGGSAGGGTKGVGGTGGGSMGGKSHAGNGEPYVVRSASIHGWSDTLIESSSSVPSSQDEKSEGTRESASTVSAAVLRKDVATQMSPDGSIPSSPKGRPFSPSPSLVPPLEELENHFSKSVVRDVQVDDQVTVTRWSKKHTARGSDRRLASILEWKKKKTEASNSSGWEVDETAKSTSKYKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMEKILNKLRSAQKKAQEMRSTVTTSQDNQLGKTTRKASYFCKSGQISSLSGCFTCAF >Ma10_p12240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25431613:25433392:1 gene:Ma10_g12240 transcript:Ma10_t12240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVSRKLSRRVAVAGTKESSWRKLVKFSSARQSGRLEGKVALITGGAGGLGKAAAREFIEEGATVVLADVDALLGEQAAQQLGPHAQFVECDVTVEQQVAEAVDFAVARHGRLHIMHNSAGIAGPPMAPDVARLDLADFDHVMGVNVRGTLAGIKHAARVMAPTGSGSIICISSVSGLMGGLGTHPYTISKFAVTGIVKSVAGELCRRGVRVNCISPFVIPTRLVVDQLAQIYGDVGRQKIMDIVDGLSELNGAKCEEIDVAKAAVYLASDDSKYITGHNLVLDGGFTSYKQLNLPMPDRLEL >Ma10_p12240.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25431467:25433392:1 gene:Ma10_g12240 transcript:Ma10_t12240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVSRKLSRRVAVAGTKESSWRKLVKFSSARQSGRLEGKVALITGGAGGLGKAAAREFIEEGATVVLADVDALLGEQAAQQLGPHAQFVECDVTVEQQVAEAVDFAVARHGRLHIMHNSAGIAGPPMAPDVARLDLADFDHVMGVNVRGTLAGIKHAARVMAPTGSGSIICISSVSGLMGGLGTHPYTISKFAVTGIVKSVAGELCRRGVRVNCISPFVIPTRLVVDQLAQIYGDVGRQKIMDIVDGLSELNGAKCEEIDVAKAAVYLASDDSKYITGHNLVLDGGFTSYKQLNLPMPDRLEL >Ma10_p12240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25431864:25433392:1 gene:Ma10_g12240 transcript:Ma10_t12240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDKLEGKVALITGGAGGLGKAAAREFIEEGATVVLADVDALLGEQAAQQLGPHAQFVECDVTVEQQVAEAVDFAVARHGRLHIMHNSAGIAGPPMAPDVARLDLADFDHVMGVNVRGTLAGIKHAARVMAPTGSGSIICISSVSGLMGGLGTHPYTISKFAVTGIVKSVAGELCRRGVRVNCISPFVIPTRLVVDQLAQIYGDVGRQKIMDIVDGLSELNGAKCEEIDVAKAAVYLASDDSKYITGHNLVLDGGFTSYKQLNLPMPDRLEL >Ma10_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25431613:25433392:1 gene:Ma10_g12240 transcript:Ma10_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVSRRVAVAGTKESSWRKLVKFSSARQSGRLEGKVALITGGAGGLGKAAAREFIEEGATVVLADVDALLGEQAAQQLGPHAQFVECDVTVEQQVAEAVDFAVARHGRLHIMHNSAGIAGPPMAPDVARLDLADFDHVMGVNVRGTLAGIKHAARVMAPTGSGSIICISSVSGLMGGLGTHPYTISKFAVTGIVKSVAGELCRRGVRVNCISPFVIPTRLVVDQLAQIYGDVGRQKIMDIVDGLSELNGAKCEEIDVAKAAVYLASDDSKYITGHNLVLDGGFTSYKQLNLPMPDRLEL >Ma10_p12240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25431516:25433392:1 gene:Ma10_g12240 transcript:Ma10_t12240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVSRKLSRRVAVAGTKESSWRKLVKFSSARQSGRLEGKVALITGGAGGLGKAAAREFIEEGATVVLADVDALLGEQAAQQLGPHAQFVECDVTVEQQVAEAVDFAVARHGRLHIMHNSAGIAGPPMAPDVARLDLADFDHVMGVNVRGTLAGIKHAARVMAPTGSGSIICISSVSGLMGGLGTHPYTISKFAVTGIVKSVAGELCRRGVRVNCISPFVIPTRLVVDQLAQIYGDVGRQKIMDIVDGLSELNGAKCEEIDVAKAAVYLASDDSKYITGHNLVLDGGFTSYKQLNLPMPDRLEL >Ma06_p31740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32794569:32801902:1 gene:Ma06_g31740 transcript:Ma06_t31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLMMEAVVDDRRSDLRKSCLSVNGDCKYDDNVNRLKIMVENQIQCLKMDLQQLKGSEMVSREDLGQCNIPHGAKTIENFLEVDQKVDVLKDILAVGFKQISAAIFSKENMLSELQWEHELQGEISSIVLQDSVKRLQDEYETMLYHQTLYIKYLNKNWKKKASEFSALRDELHALSDEVSNHENFEDWSIAKRKEHFPLKVLENHNFPSQSVENGTMMVGTSADSGEHMLDIADLPQLKHMTKEELLAYCKTEMANMRRRHDSALQEKTEELFRLKREFLKEKGSSTFRKDKECEHLRKKLPEFIIKLDEILVVRDMFHPIYKYDDEMQSFKLRIDSLFSENKHLQNLLIRKTNELKFLSAQFSDAVSQISLNSSLEAKYLRQVKKLESDIEVGRAEANFRDAICNTILRGLIDDHRHAMLDTEIEVKFFIKIYSTMFRGVIYDAISSMNPAILKCYEEKISLEALVVEKEKALTSEIEENQKLKKVIASILSSIEEKEKLASEVASTLIQQKQQLDVAHQELNTLRDQISIQEAQISDNKMESNLLRSRFNGTLQKIYHYELEMDKLQEKLKVASDALREAEKQKLMLLGVIEDRQRTLSSSFDKDKEQVKQLKSITVSMMELSKCFTDLGSQLMESTNRNESRLKVLSHQLNSLVQLASQKKKKCFWYKNMLDVRCSDLQKAEAEVDLLGDEVEALVGLLGKIYLALDHYSPVLQHYPGVVEILKLVQRELIGENDHNSL >Ma06_p31740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32794569:32801902:1 gene:Ma06_g31740 transcript:Ma06_t31740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLMMEAVVDDRRSDLRKSCLSVNGDCKYDDNVNRLKIMVENQIQCLKMDLQQLKGSEMVSREDLGQCNIPHGAKTIENFLEVDQKVDVLKDILAVGFKQISAAIFSKENMLSELQWEHELQGEISSIVLQDSVKRLQDEYETMLYHQTLYIKYLNKNWKKKASEFSALRDELHALSDEVSNHENFEDWSIAKRKEHFPLKVLENHNFPSQSVENGTMMVGTSADSGEHMLDIADLPQLKHMTKEELLAYCKTEMANMRRRHDSALQEKTEELFRLKREFLKEKGSSTFRKDKECEHLRKKLPEFIIKLDEILVVRDMFHPIYKYDDEMQSFKLRIDSLFSENKHLQNLLIRKTNELKFLSAQFSDAVSQISLNSSLEAKYLRQVKKLESDIEVGRAEANFRDAICNTILRGLIDDHRHAMLDTEIEVKFFIKIYSTMFRGVIYDAISSMNPAILKCYEEKISLEALVVEKEKALTSEIEENQKLKKVIASILSSIEEKEKLASEVASTLIQQKQQLDVAHQELNTLRDQISIQEAQISDNKMESNLLRSRFNGTLQKIYHYELEMDKLQEKLKVASDALREAEKQKLMLLGVIEDRQRTLSSSFDKDKEQVKQLKSITVSMMELSKCFTDLGSQLMESTNRNESRLKVLSHQLNSLVQLASQKKKKCFWYKNMLDVRCSDLQKAEAEVDLLGDEVEALVGLLGKIYLALDHYSPVLQHYPGVVEILKLVQRELIGENDHNSL >Ma06_p31740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32794569:32801902:1 gene:Ma06_g31740 transcript:Ma06_t31740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLMMEAVVDDRRSDLRKSCLSVNGDCKYDDNVNRLKIMVENQIQCLKMDLQQLKGSEMVSREDLGQCNIPHGAKTIENFLEVDQKVDVLKDILAVGFKQISAAIFSKENMLSELQWEHELQGEISSIVLQDSVKRLQDEYETMLYHQTLYIKYLNKNWKKKASEFSALRDELHALSDEVSNHENFEDWSIAKRKEHFPLKVLENHNFPSQSVENGTMMVGTSADSGEHMLDIADLPQLKHMTKEELLAYCKTEMANMRRRHDSALQEKTEELFRLKREFLKEKGSSTFRKDKECEHLRKKLPEFIIKLDEILVVRDMFHPIYKYDDEMQSFKLRIDSLFSENKHLQNLLIRKTNELKFLSAQFSDAVSQISLNSSLEAKYLRQVKKLESDIEVGRAEANFRDAICNTILRGLIDDHRHAMLDTEIEVKFFIKIYSTMFRGVIYDAISSMNPAILKCYEEKISLEALVVEKEKALTSEIEENQKLKKVIASILSSIEEKEKLASEVASTLIQQKQQLDVAHQELNTLRDQISIQEAQISDNKMESNLLRSRFNGTLQKIYHYELEMDKLQEKLKVASDALREAEKQKLMLLGVIEDRQRTLSSSFDKDKEQVKQLKSITVSMMELSKCFTDLGSQLMESTNRNESRLKVLSHQLNSLVQLASQKKKKCFWYKNMLDVRCSDLQKAEAEVDLLGDEVEALVGLLGKIYLALDHYSPVLQHYPGVVEILKLVQRELIGENDHNSL >Ma00_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:256378:259525:1 gene:Ma00_g00250 transcript:Ma00_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREEMLEDSGNRAHTLSFEDAGGGGSLADDKVLVRSQGSTTASDASEGQQIGTAPDRSPEEGPKSRPRKDGNGPPSDMELMKEKFAKLLLGEDMSGAGTGVSSALALSNAITNLAASVFGEQWRLEPMSAERKARWRKEIDWLLSVTDHIVEFVASQQTSEDGKHMEIMITQQRKDLRMNIPALRKLDAMLIEYLDNFKDQKEFWYVSRDADESEKGNAQRTDDKWWLPTVRVPPNGLSEASRKWIQHQKELVNQVLKAAMAINANVLMEMEIPEDYIESLPKNGRSSLGDALYRNITEEDFDAEAFLESADLSTEHKILDLKDRIEASVVIWKRKMHNKDVKSSWVSAVSWGKREQFEDRAETILLILKHRFPGIPQSQLDISKIQYNKDVGYSILESYSRILESLAFTVMSRIEDVLRADSLAQDPAHGKLLDSEQVTGTAKSIVDTAEETEKLNKLESNGSMTLSDFMEWEMDQDTETKEEEEEESETGGGA >Ma03_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1401143:1403466:-1 gene:Ma03_g02050 transcript:Ma03_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSYLGFSGVMALLLLVSSVPGGAPDLAADAAALLALRAAVGRLVLPWNASGSPCSWQGVVCGSGRVTALRLPGVGLIGSIPAATVGNLSALRVLSLRYNALSGDLPPDLSADSELRNLYLQENRFSGEIPPALGSLKNLVRLNLAGNQFSGGIPPELNNLTRLRILYLERNRLVGEIPRFDLRNLAQFNVSFNQLNGSIPSRLRGFPASAFLDTALCGRPRGPCPGEIAPSPAAQGPAGGNSGGGAGSDKKNLSGGAIAGIAVGSAAFVLILLILSILLCRRCGKSKTRSLVAVEARGNEPEPVAAAAEREKGSGEGGSGNGQPAKPAAGEKKLVFFVGSGAPRFDLEDLLRASAEVLGKGTFGTAYKAVLEMGTTVAVKRLRDVAFTETEFREKVELIGAMNHPNLVPLRAYYYSKDEKLLVYDYLPLGSLSALLHGNRGSTRTPLDWETRTGIALAAARGIEYIHSTSPSAAHGNIKSSNILLAATREARVSDHGLALLAGPQSSPARAAGYRAPEVTDTRRVSQKADVYSFGVLLLELLTGKSPGQALSNDDDGGGVDLPRWVQSVVQEEWTAEVFDVELLRQQDAEEEMVRLLQLAVDCAAQYPDKRPSMAEVVARIQEIRSSSNSGDGIEEGDEHSSRTDAIAES >Ma03_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3413239:3420672:1 gene:Ma03_g05240 transcript:Ma03_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGWSHSNETGKKSVTCEVCPLETPRTFTVIRDEESKSAGSSILELSSCANVSTTSDMRMSFVHKMDGYVYKRRKLQKNGVALVSKENVSESTKEVSFCHSSISCEDNSLSDKNDNPHRNKKFADFGGAVENGNVLSESYHLLDHRTPFISQKDVITEVILDPNCIPNNRSIGENKVHTESPVKCLQKSESDYNSGINDRFSTSKSNNNPSSYCIKNEVETGECSSLDNVIADPLGDFHSAKELCIFVLRTNGLLRGACESGAWVSPEVLDHHEPTFQSCKICCQLGQPLKMLICDLCEEAFHVSCCRPKIRNLPVDEWFCQSCFSKKPKSFIDEKSGKTCTLVDEFPGHRRRVSHRVLDPIAFMLRDGQPYTTGARIGKDFQAEVPDWSGPKPDGFNPYGEPSEVDPAEYSSLSVWNNSRPPKSTSIGNWVQCREVVYTGDDDEGTVCRKWRSVSNQAGKTQTLITNIPMG >Ma03_p05240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3413239:3422958:1 gene:Ma03_g05240 transcript:Ma03_t05240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGWSHSNETGKKSVTCEVCPLETPRTFTVIRDEESKSAGSSILELSSCANVSTTSDMRMSFVHKMDGYVYKRRKLQKNGVALVSKENVSESTKEVSFCHSSISCEDNSLSDKNDNPHRNKKFADFGGAVENGNVLSESYHLLDHRTPFISQKDVITEVILDPNCIPNNRSIGENKVHTESPVKCLQKSESDYNSGINDRFSTSKSNNNPSSYCIKNEVETGECSSLDNVIADPLGDFHSAKELCIFVLRTNGLLRGACESGAWVSPEVLDHHEPTFQSCKICCQLGQPLKMLICDLCEEAFHVSCCRPKIRNLPVDEWFCQSCFSKKPKSFIDEKSGKTCTLVDEFPGHRRRVSHRVLDPIAFMLRDGQPYTTGARIGKDFQAEVPDWSGPKPDGFNPYGEPSEVDPAEYSSLSVWNNSRPPKSTSIGNWVQCREVVYTGDDDEGTVCRKWRRAPLFVVQTDDWDCSCAVLWDAIHADCAVPQELETEVVLKHLKFIEMLKPRLASRKQKFG >Ma03_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25631006:25631842:1 gene:Ma03_g20460 transcript:Ma03_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPEKPLFAAAAGATLVASALLVSSFLDRGLHCSLNPGFSRSADATSELAKALLYYATTTVVPQQSRDEIRLSFDVLRRRSPCNFLVFGLGRDSQMWAALNPGGTTIFLEEDPQWYAAVKKDSPELRAHHVKYRTQLSQAEQLLRGYRKNAECRPGRVDGVEGLQHNGGCPLALVGLPGEVYEREWDVLMIDAPKGYFPEAPGRMAAIYSAAVMARGRRGEGETDVFLHDVDRRVEKSFAMEFLCEKYRVGGTGRLWHFKIPPANDTSAIAADTFC >Ma00_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3096069:3109278:-1 gene:Ma00_g00840 transcript:Ma00_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDAGSPLVAVAIDKDKGSQNALKWAVDNLVTRGQTLTLILIHVKITSHPKPTDHHSKELFLPFRCFCTRKDVRCKDVLLEDLDVAKAIIEFVSHTAIEKLVVGASSKGGFVRFKTRDVTASITKGVPDFCTVYVIAKGKVSAMRNAVRPAPHMSPLRAQIQSQASLKPDVTPPRLFPGSRGSSSPSLSSSALSSRLNDQLLQGQARRILTDMDAYSVSLWKRSPFGRGMGGATTKSFADLSLSDTDISFVSSGRPSTDQAFPPRLSNGSDGLDRSFEMRTPHKSVDSYSTGNEFSSISQGSTGTSWSSQAMEDVEEEMKRLRLELKHTMDMYNNACKEALTAKQKTTELQRWKMEEEKKISDAHAAETAAMALVEKEKAKCRKAMAAAQAENRIAELEAQKRIDAEMKAIREADEKKKALDSLSHTDLRYRKYTIEEIEAATEYFAEHRKIGEGGYGPVYKCHLDHTAVAVKVLRPDAAQGRSQFHQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPMIPWQHRFRIACEIVTGLLFLHRMKPEPLVHRDLKPANILLDRNYVSKIGDVGLARLVPPSIADSVTQYRITSTAGTFCYIDPEYQQTGMLGVKSDIYSLGVLLLQIVTAKPPMGVTHHVSRAIERGTFAETLDPAEPDWPVEEAQRLAELSLKCTELRRKDRPDLEKVVLPELQRLRALGEDNMIYSPMNNHVSLQVSW >Ma10_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33014428:33015907:1 gene:Ma10_g24390 transcript:Ma10_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLPLFETCHLRDRLFFSSSDVAAAVTVCGTMSPDPHFMVEYLVNSCGFSHSEAAKFSKSLAHLRSTEKPDAVLNFMRSRGFDGAGIRKVISRNPSHLCVNVEKNLAPKFQFLRDLGLSESDIVDVILKDSAILRLDVHRSIVPRLEMWESLLGSRELVLKHLKKTRWFLSSTVEKRMHPNLKFLRDECGIPEERVSSVLRTHPTFILQKPESLRALVVRAEELGMLRHSQMFMSILKSLHMASKETLEAKVELLRSFGWSESEVFSAFKQTPSILSLSMESFRRKMEFLINEVGCVPSFIADHSSLLQYSLEQRVIPRFRVLEMLNTKGLWTRRGKFLSYVQLSNTKFMERIVLPYKEKVPELLDILRAGECEGK >Ma01_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13904711:13908656:-1 gene:Ma01_g18620 transcript:Ma01_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYKRCKLFLIFFAAGDSTRVLASGICSFSRSSVDGMFEVVRLRGGTLNGDFHKQFCPRRSFHRTRLLPLRDYYDELGVSKDASASDIKKAHYGKLHTNANKDDTDAERKLQEVHGHMRLTLDIRDHESRRMKKAREIESLRRRQNTASGGDPGGPFDAGNAEDEFSMNDVCRLPSVSLEISFMEAVQGCTKTITFQAPVNCRACGGSGVPPGTRPETCWRCRGSGMI >Ma05_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29333309:29333699:1 gene:Ma05_g19830 transcript:Ma05_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRSGIKAVDVCKFCCLLEIRRVGIKEVVGRGNDLTRYDSLVTSCYISVFKKSKTC >Ma08_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5451861:5455997:1 gene:Ma08_g07860 transcript:Ma08_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRHEICKYLFQEGVLYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSREYVRETFAWQHYYWYLTNDGIEYLRTFLNLPSEIVPATLKKSVRPPTRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRAGPPGDIGDKSGAPPEFQPSFRGGGSRPGFGRGGGGYGQGAPSASFE >Ma04_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6435668:6437849:1 gene:Ma04_g09040 transcript:Ma04_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEVLGSIEALMMFRDEIRVNRHQCCLLVDAFDLAFESVAEEMRNHLRFDEKLIKWKALEHPLRELHRIFREGEQYLRQCLEPSDWWGKAIALTHNTDCVEFHLHDLLWCVPIVMEAIENVGEITGTDQEDIGRKKLVFSKKYEKEWMEPKLFQLKFGKSYLASQELRNKMETAWKEDRWVLSETIAERRSPGSKPLSKQENRLAELLVCPKGKLFPSSALVGSNDYQVRRRFGSGNNYKEVQWMGESFAVKHVIGEIEPLMSVISLLSSVSHTNVAYYVYSFVDEEKKECFLLMELMTKDLSSYIKEISSTRRKVLFPLLVAVDIMLQIARGMEYLHSKNIYHGDLNPSNILVKTRNSSPDGYLHVKVTGCGLSPMKNSKPWANQAAATNPCIWYAPEVLLEQERSGESSGGSKCTEKADVYSFAMICFELLTGKIPFEDDHLQGDKMSKNIRAGVRPLFACQSPKYLTTLTKRCWQTDPSQRPSFSSICRVLRYIKRFLVMNPDHSQPDAPMPPADYYDLEISLCKRFTNWGRKDVPRVSEIPFQMYAYRVAEREKTSTNIKDKCSDSGSEGASVCSDENAFIITLPDDVVSTSVGSVKSFSPTISDTTNKTSSTVKASGKSNKQLGKLQKSRTIIPTHLTGRTARTNAENRLQLQPVMMSPRRRKASGHASDSELTYI >Ma08_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:156635:159287:-1 gene:Ma08_g00180 transcript:Ma08_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVWSPSLIDVVLFAIAAVVVWKLERRRRRGWATGRLPPGSEGLPVVGETLQLIAAYKSENPEPFMDERVRRHGRLFTTHVFGERTVFSADPEFNRMVLGAEDRTVEGSYPSSLSTLLGTHSLVVMKGARHKRMHSLTLARLTSPAAIREAKLLLHIDRLVRRTLDSWPSSSPVLLLDEAKKITFELTVKQLVSYDQHKWIESLRHEYLLLINGFFSIPFPSFLSFTTYGRALKARKKVEEALKEVIRKRKLEKKPTGIDVDVKESQNNTKKKDMLEELLEGEAEGMTDEAIVDFLLSLLVAGYETTSTIMTLAVKFLTDHPRALAQLREEQEGIRKQKKDEEAALDWDEYKSMPFTQCVINETLRVVNIIGGVFRRAKTDLHFKGYTIPEGCKVFLSFRAVHLDTEYFDDARTFNPWRWQKNAAAQQVGGATVYTPFGGGSRLCPGYELARVVISVFLHYLVTRFNWEEAEKDRLVFFPTTRTLKSYPINVWRREEEEGA >Ma11_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26071723:26076213:1 gene:Ma11_g22230 transcript:Ma11_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQLNYIDVKQPYIILFLCFLFTKKKKKKKNLIYY >Ma04_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5732654:5733678:-1 gene:Ma04_g07920 transcript:Ma04_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMALSSPSLAGKAVKFGPSVADVLGGGRVTMRKTGAKPKSAPSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Ma04_p36220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34659503:34661143:1 gene:Ma04_g36220 transcript:Ma04_t36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATTTTTTTTGHSLCRSSTCPPWLQATLADIEHRVQAVAVAVPENSKADTFAERAENYYQRRPQLIALLHDLHNRYHYLADRYSQSLLHRHQRRTSSVPSDLDADDDHDLRDSAYSDAESSLSFQPLAGQPQPLRRGTPPAVAAADDLDMIVAQMVLASVERDLLEAESAEAERRLAESARKIELQGSLVEVLEAERMVLLGENSRLGFRAVTAEEEMRAVAAELGYLRRRGADLARTVVKLREDHRVCLMGRTIEGLQAQIYELEQRNRECFEAMARREKEKGEARAEVNRLRDENRRLREEAEAARGGRPRARSLWERVSMLEWASSPCVPHVKQAKGLKGCLG >Ma04_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8042969:8047481:-1 gene:Ma04_g11400 transcript:Ma04_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFAPADPLSLSRRPLLPSRLPATPFLRHRRPRFVAMAEEPGEANSKPRVLVAEKLGRGGLDLLKGFADVDCAYNLSPEELRAKIALCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNIARSDASMKSGKWERNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGLHVIAHDPYAAADRAHAVGVDLVTFDEAITRADFISLHMPLTPSTSKILNDEAFAKMKNGVRIINVARGGVIDEEALVKALDSGVVAQAALDVFTKEPPSADNKLVLHEKVTATPHLGASTVEAQEEVAIEIAEAVLGALKGELASTSVNAPMVPAEVLSELTPFVVLAEKLGRLAVQLVAGGSGVKSVKVTYAIAITRGDLDTRLLRAMITKGLIEPVSDVHVNIVNADFTAKQRGIRIAEERILLDGSPEDPIDSIQVHIANVESKFPTAASETGEITVEGRVKDGIPHLTKVGSFQVDASMEGSLILCRQVDQPGMIGTVGSILGEQNVNVSFMSVGRIAPRKHAVMAIGVDDEPTKEALKKIGDIPAIEEFVFLKL >Ma08_p29700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41186772:41188641:-1 gene:Ma08_g29700 transcript:Ma08_t29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPASRNKAGKMEVGPCPPPPPPPRKDSSRGLLKTVSAVIIFAVAAVVSLWTVARSSSHHHHLGSRPGLLFPMSIHTANCESSLRLEGFVRPSHLLHEMSDEELFWRASMVPKVEEYPFRRVPKVAFMFLTRGPLPLSPLWEEFLKGHEDLYSIYVHTIPGYNLNVSQSSAFYGRQIPNKVVRWGSISMVDAEKRLLANALLDFSNERFVLLSESCIPVYGFPTVYKYLIRSAHSFVQSFDENSPLGRGRYNHRMAPDIVLSQWRKGSEWLELSRSLAVEVVADFKYHFLFRKYCQPPCYADEHYFPTYFNMFHGALIANRSITWVDWSRGGPHPATYGYQNITKDLIQSIRESKICKYNSGATTMCFLFARKFASDALELLLNLTSAVMSS >Ma11_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24267797:24269027:-1 gene:Ma11_g19380 transcript:Ma11_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLALGSLGDSFSVVSLKSYLAEFIATLLFVFAGVGSAIAYGKLTGGAALDPAGLVAVALAHGLALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGSTVACLLLKFVTGGLAVPTHGVAAGMSELEGVVMEVVITFALVYTVYATAADPKKGSLGTVAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFAGNWVYWVGPLIGGGLAGLIYGDIFIGSYQPVAAQDYP >Ma07_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3162249:3164010:1 gene:Ma07_g04210 transcript:Ma07_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLIYCLIIMVPFMVCFLSCDVKRICETDIGLVSQCCLAKCVFRMSKQYLADVALKINVKVGGRNTVFVDALRFQPSIAVVVASRDWPEVTKYAGLVCAQPHHQELIQDLFKVWQDPWITSTGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEWNYQPPSGNILAGLLLILRSATLRSLTSTCAAMPASNRPAHYHILWDENNFTANALRMVTNNLCYPYARCTRSVSISSHPSLSFTS >Ma03_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3351978:3352613:1 gene:Ma03_g05140 transcript:Ma03_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGYAVHGLGMEALDLFYRMKEEGVRPNSVTFLSLLSACSHSGLVREGCELFDCMITRYCIRPEISHYTCLVDLLGRSGRLGEALDVITGMIAEPDGRIWGALLASCRTHSDSVLGNYAAKKLFDLEPDNMGYQVVLSNIFAGDERWEETERTRKLMHREEVRKKPGWSCVQVKGMSDVFVAGDKSHPQVGKIYEVLGCLARQSEESRDC >Ma10_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30962448:30965849:1 gene:Ma10_g20940 transcript:Ma10_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKSEDTHLDEADRTIYSVFRGAANSLSLIYTQAMTQQELAFQTGERHALEKLYQLILRHDEGSRVTVADILAYLQNEIGHGGEDTAMSPIPQLPHQYPQSSMQFGSTNIHDSSTIGTAAVCLARTGHREETKDTVFSNALSSPVRRSLESYHPAQGGGDGGASHDTALPQENTIRNHGSNHLDQSQDSRTCSDSSMDMHSDRADL >Ma01_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2906635:2915064:-1 gene:Ma01_g04380 transcript:Ma01_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDLKREVNKKVLKVPNIEQKILDATSNEPWGPHGSLLADIAQATRNYHEYQMIMNVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEMKEHAYQISTLSDFQYIDSSGRDQGNNVRRKSQSLVALVNDKERIQEARQKAAANRDKYRSTFSTGRPGSHGGYGDRYDDDRSASRDEDRYGNGKEREWGYRDDDKYGRGRDSYGGEGDRYGRSADERSGRDGYRDDDYRRGRGNDDYQYGSRNRSLSRDRSLDDDDRSSRSGGGRADNLPHDERQLDRRLSEQSIGAPPSYEEVAKDAQNHVKEDRNESDFTAAAPKASSPSAPRANSSSEGRNQTSSPFAPAASSPFTNQGSGHAPAGVSASTNNNDNGFDEFDPRGMASAAPPAAGSHEMDLFGSASASDPIYSLALVPLTTTNSGTEADLPANSSFATDFVAASSASAVFSQAGENPFGDPPFIATQENFPNQQEIFPPVSSFNSISSGGAEILAPAAPKIETTTSFDFDGSFGGVTYNPVSDGQQSSFAGPAMLTSEAPVTQPNNISGMLAPQTGFTASVIPQESRVATTDVLGNPLLQSGPPAIFASQVTQFTAQEARPIAPTNPQTTQPNLLSQSGLQAPPMEVTASTSSLTPVKAVSSKDKFETKSTVWADTLSRGLVNLNISGSKINPHADIGIDFDSINRKEKRKEEKKVSATPISTTTMGKAMGSGSGIGRAGANTLIRPANPTMGMGMMGGVGMGMGMMGGGGMGMGTVGNGGMGMGGYGGSINQPMGMGMPPRPPMGTPMGGTGMPGVGYNPMMGMGNYGSQQPYGGGYR >Ma01_p04380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2906635:2915064:-1 gene:Ma01_g04380 transcript:Ma01_t04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDLKREVNKKVLKVPNIEQKILDATSNEPWGPHGSLLADIAQATRNYHEYQMIMNVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDEMKEHAYQISTLSDFQYIDSSGRDQGNNVRRKSQSLVALVNDKERIQEARQKAAANRDKYRSTFSTGRPGSHGGYGDRYDDDRSASRDEDRYGNGKEREWGYRDDDKYGRGRDSYGGEGDRYGRSADERSGRDGYRDDDYRRGRGNDDYQYGSRNRSLSRDRSLDDDDRSSRSGGGRADNLPHDERQLDRRLSEQSIGAPPSYEEVAKDAQNHVKEDRNESDFTAAAPKASSPSAPRANSSSEGRNQTSSPFAPAASSPFTNQGSGHAPAGVSASTNNNDNGFDEFDPRGMASAAPPAAGSHEMDLFGSASASDPIYSLALVPLTTTNSGTEADLPANSSFATDFVAASSASAVFSQAGENPFGDPPFIATQENFPNQQEIFPPVSSFNSISSGGAEILAPAAPKIETTTSFDFDGSFGGVTYNPVSDGQQSSFAGPAMLTSEAPVTQPNNISGMLAPQTGFTASVIPQESRVATTDVLGNPLLQSGPPAIFASQVTQFTAQEARPIAPTNPQTTQPNLLSQSGLQAPPMEVTASTSSLTPVKAVSSKDKFETKSTVWADTLSRGLVNLNISGSKINPHADIGIDFDSINRKEKRKEEKKVSATPISTTTMGKAMGSGSGIGRAGANTLIRPANPTMGMGMMGGVGMGMGMMGGGGMGMGTVGNGGMGMGGYGGSINQPMGMGMPPRPPMGTPMGGTGMPGVGYNPMMGMGNYGSQQPYGGGYR >Ma04_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1595277:1596621:-1 gene:Ma04_g01830 transcript:Ma04_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPYVSLLFKALAFIPHRRPAVAAVFLSTHSYSAASAAPQSSFMAEYLVSSCGFDPDEAAKASKLLGRIESRHQPDSVLGLFKSYGFDNTLVKMVISANPRWLLLDVEKNLAPKFRALQDLGFSCSDITHLVRSNNHVISHKSQTILSKIQFWQGTLGSKDSLMKICKRNRWFLGYSIEKTIQPNIEILRDCGITDQKLSMILRHRPLLITRNAEYLKALISRVEGLGVARTSGMFLQTLSVLHCISEKNFKAHLEFFKDFGWSEDDFLAAFRKTPTLVACSLKNLQRKMEFLVNETRCTPSYIAPRSGILLVSLEKRLIPRYRILTGLKSRGVHIGNLQMSTYMSYTEKKFLEKFVFRYKEFPELIELYNVAPKSEMLFDTASA >Ma06_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6556491:6556679:1 gene:Ma06_g09330 transcript:Ma06_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFLGGSAILRRRVSSMGSYHLENNAPSPGNMEASG >Ma03_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26748104:26750729:-1 gene:Ma03_g21830 transcript:Ma03_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVAEVLIMKLQRLRAIHTFFFFFLVCASSEEDCSSSCGSISITGPFRLPDDPADCGMPEYELTCQNNETRLNISSMLYVVKAISYENETIRVVDPGLQSNNCSSQPAYSLKTPDLQDSGRSYVFVQQYGFVEYTTCQQPVVNDSSFVTTSPCINSTNGYHYVLVGRSRLGDLTYECKVSAVPIEFVFDRNDSYLDIWSALLMGLQLSWKAFRCPDCEAAGGYCRYRETYDPYDSDWCYKPCKNALQSKHCYVLAARTALGYVCLFSFLIYKLRRQYLIHAGYVLAARTALGFVRLFSFLIYKMQRQYLRKNESIEIFLDKYRNQMPTRYSYGAIRKMTRGFKEKLGQGGFGSVFKGILLGGRPVAIKILGNTKGNGEEFINEVATIGRIHHVNVVQLIGFCSEGSKRALVYDYMPNGSLDKYIYSKEGQSSPPALGMDKMYDIAMGAARGIEYLHRGCEMQILHFDIKPHNILLDADFVPKISDFGLAKLYPAGRSAVSVSAVKGTIGYIAPELIYRSFGRVSYKSDVYSFGRLLLEMIGRMADPRAATERDSSGFYFPAWLYDQLSKGEDVVVDVVVESESKVAEKLAVVGLWCIQMSPDDRPSMHRVVEMLEGSAGDIAMPPRPSLLFPSEVGEEEPLETESSESSYMLESGTSAA >Ma00_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43801348:43803982:1 gene:Ma00_g05050 transcript:Ma00_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAHYCLPTFAVSLLFLLVLHLPTTHSLSSGNVPVFFPSFTNVVNGTDVVLLKDAGVGQGALQITPDTLNQIGYLANKSGRVLLPMPFKLWEDVPATNGTNATTRYVASFNTTFTINIYRPNGTTPGEGFAFVIVPSLDAPPPGSEGRYLGLTNASLDGDPSNHLVAVELDTVKESFDPDDNHVGLDVNSVVSNVTANLTAFGIEIAPVNATNYTVWVDYDGAARHIRVYMSVAGKRKLSVPVLSAPLDLSDVVLQNSYFGFAASTGTTYQLNCVLAWNLTVEKLSDDDDGTPAWKLGVIIAAPVAFVALVVGLVVGLYMRRRKVSDDPSMLVAGTLKSLPGTPREFEFRELRKATNNFDEKMKLGQGGFGVVYRGVLQGENKEVAVKMFSRGSHGQDDFLKELTIINRLRHKHLVPLVGWCHNKGMLLLVYDYMPNGSLDQHLHGGRDRPLLSWERRYNIITGVASALHYLHDEYDQRVVHRDLKTSNVMVDAGFNARLGDFGLARALDTDKTSYAEIELGGVPGTLGYIAPECFHTGKATRQSDVFGFGAVVLEVVCGRRPRCDVPGAQFLADWVWKLHGEGRILDAVDQRLAEGFDQDDAKRLLLLGLACSHPIPAERPKTDAIVQILSRSVAPPAVAPFKPAFVWPAGPIDEDENDDDDHGTSSRLSRTSTSMSLSMTSSHYASSSAVTPKRLSREGPAGGHPIAEV >Ma09_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12050853:12054415:-1 gene:Ma09_g16650 transcript:Ma09_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSIGVLGLTELFVSTAVHVLFGFYIFSTAVASDLSQVLTDCLKPNVFGLGAKEMEEQRTTKEKVAVLEGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSRTFGHSQFGKIYEQGHYPSWDEQHPIHFVGHSAGVQVIRVLQQMLADKAFSGYNTSEDWVMSVTSLSGALNGTTRTYYDGMQPECGRSMKPICLLQLCRLGVIVYDWLDIAWFKNYYNFGFDHFQMGWRKTGITGLADLLLGNTGPFASGDWILPDLTLQGSLELNSRLCTFPNTFYFSYATKRTRRIFGLTVPSSILGIHPLLFVRVLQMCQWHFPTNASPPYKGYRDEDWADNDGALNTISMTHPRLPIEHPSLLVVDDSKCHPLQPGIWYYKIIEADHIFFIVNRERAGVQFDLLYDGIFQRCRKHVVRSSTPILPITH >Ma04_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25631734:25634400:-1 gene:Ma04_g23460 transcript:Ma04_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHRLKTHTQQQPPPQPRTQPRPQQPNRRSNTNAKRRKRRETLPPHVVSSDGPWCCATSSSVAASPPHARRVPAVVPQDQAEAVLITEVPPSASPSPLPMDASPAASPAASAAAAFSSPYPPYPSSYSKFNSALNAGLLNPMSPPPPPPLDKTRSSPTLFDMMANEQDYHPRPAVPPQLPLPGRAGAALAAASAQDRQLLLQERVAEIIGSCSPGNQLNDAESGDVRLTLTSKDGLTVSLNVHRNILVAHSRFFAAKLSDRWSKQQRSLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVSKVLGILKVSASIAFDAGVLSCLEYLEAAPWAEDEEEKVASLLSQLHLDSSGAEEVLKRVSLEMATCDAEEPNGGNGGEEILVRLIQVVLEGKDEKARREMKGLVSKMLRENNTASQCGGMGGGGGANRGGDLSKESLYSACDGCLRSLRHHFALAAASDLIEAAQIARQADNLHWILDILIDRQIADDFLRTWACQAELSEMHPRVPAIHRYEVSRVTARLFVAVGKGQILVSKEARCLLLRTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFDRFLNAGDDCPNIQRGFEVWWRRAFWRRNGEPDQQPPVLRITAAAAACENSC >Ma02_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27228223:27231035:-1 gene:Ma02_g21670 transcript:Ma02_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGRLGSSESVPSVSDLRPSRDASATRKRRFLWLTVVFAVFLLVASGVSVGLVVRGRMRSNSPATRAPTQAIARACSLTRYPALCVSSLLDFPGALQAGERDLVHISLNMTLHRVGAALYGTSAIAGFAMDRLARAAFDDCMELLEESLDQLSNSLLVVAPPVSPSPSSQARIRGASDEDVLTWLSGALTNQDTCDEGLQQVQNLYIKEHMESHMKDLAELVSNCLAIFAGVSRNKDFSGVPIQNRKRRKLLNAIDDDEFPSWMGKKDRRLLQIPAANIQADMVVSKDGNGTYKSIADAVQAAPDYSSRRIIIYIKAGRYEENIKVGRKKTNLLFIGDGKNQTVIAGSRSVYDNFTTFHTATFAATATGLIMRDMTIENWAGPEKHQAVALRVGADHAVVYRCNIIGYQDTLYVHSQRQFFRECDIYGTVDFIFGNAAVVLQNCSLWARRPLPMQKNTITAQNRKDPNQNTGISIHACQVVAAADLEPVKASFSTYLGRPWKLYSRVVYMLSYMGDHINPAGWLEWNGSFALDTLYYGEYMNDGPGSALGMRVAWPGYRVITLPAEASKFTVAQFIYGSSWLPSTGVAFLAGLET >Ma08_p33490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43775932:43780367:-1 gene:Ma08_g33490 transcript:Ma08_t33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEALHRNCTQHSSAFNKHKPLWHWELPMAASATLCFIFCFIATSDAISRADFPSGFVFGTASSSFQFEGAVKEGNRGQSIWDTFTKRSGKILDFSNADVAVDQYHRYKTDVDLMKDIGMDAYRFSISWPRIFPNGTGEPNQEGISYYNRLIDALQENGIQPYVTLFHWDLPQALEDRYNGWLSQEIIQDFEHYAYTCFKAFGDRVKHWITFNEPHGFSIQGYDTGLQAPGRCSILSHILCRRGKSSTEPYIVAHNILLSHAAAFHTYKLHFKEKQKGAIGIALDSKWYEPISGTEEDTDAAYRAMKFELGWFLDPLMYGDYPQTMKKLVGDRLPRFTSDDSESLAGSLDFVGINHYTSLYARNDRTRIRKLILNDASSDAAVIATSTRHGERIGERAASGWLHIVPWGIRKLMNYIKENYENPLVIITENGMDDPNFTNLDIALKDEKRIRYHADYLSNLQAAIREDGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYVDYKKNLTRMPKDSVQWFKHFLEQEYDT >Ma03_p26670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30321375:30326954:1 gene:Ma03_g26670 transcript:Ma03_t26670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTIGEKDWDDEYSEISEKPEVEFLDYEDDKSLHSFDPLEGPVQITSPFPFVNGKPQSAFIGETSADSISIKNTTSDPIELWSIRIFSSNPEDSYILSMMKPPADNADMDARRSFVGSTYLEDRVLQPEQTLTIWLSCKPTDIGLHTSVLHFDLEYEKVERVVFLLAEDKVSQALFSDKPYRASSRRKMFDNDRYVAGSRPPRAHTQGIRRYRLPPFDIPQDLREIIENKQVPDVITEGLNRKNYAKFFSTLLVMEEINLEEEMRAYDMECVAMKRRGKYLLSLEVPGLAERRPSLVYGDYILAQLSSDSADDDRLPYQGYIHRVEADEIYLRFDRSFHHKHREDDVYNVSFTYNRVNMRRLYQAVHAAENLGIDLLFPSESHRRRVIERSSFKPFNPYINREQARAVEMILGCRGSHPYVIYGPPGTGKTMTLVEAILQLYTTRRNARILVCASSNSAADHVLEKLLDKDGLGVQESELFRLNATSRAYEDVKPDFIRFCFFDHMVFKCPPLKALLRYKIIISTYMSVSLLYAEGIHKGHFSHILLDEAGQASEPETMIPISNLCARDTVIVLAGDPMQLGPVIYSRKAENYGLGKSYLDRLFECDYYGSSDENYVTKLVRNYRCHPAILDLPSKLFYKGELIACKEDTVSSIYEYADLPNKAFPVLFVGIQGCDEREGNNPSWFNRIEASKVVEIIRKLRRNTDVNEDDIGVITPYRQQVLKLKKALESLELPELKVGSVEQFQGQEREIIIISTVRSTVKHNDFDRAHNLGFLSNPRRFNVAITRAKSLLIIVGNPHIICKER >Ma03_p26670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30321375:30326431:1 gene:Ma03_g26670 transcript:Ma03_t26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTIGEKDWDDEYSEISEKPEVEFLDYEDDKSLHSFDPLEGPVQITSPFPFVNGKPQSAFIGETSADSISIKNTTSDPIELWSIRIFSSNPEDSYILSMMKPPADNADMDARRSFVGSTYLEDRVLQPEQTLTIWLSCKPTDIGLHTSVLHFDLEYEKVERVVFLLAEDKVSQALFSDKPYRASSRRKMFDNDRYVAGSRPPRAHTQGIRRYRLPPFDIPQDLREIIENKQVPDVITEGLNRKNYAKFFSTLLVMEEINLEEEMRAYDMECVAMKRRGKYLLSLEVPGLAERRPSLVYGDYILAQLSSDSADDDRLPYQGYIHRVEADEIYLRFDRSFHHKHREDDVYNVSFTYNRVNMRRLYQAVHAAENLGIDLLFPSESHRRRVIERSSFKPFNPYINREQARAVEMILGCRGSHPYVIYGPPGTGKTMTLVEAILQLYTTRRNARILVCASSNSAADHVLEKLLDKDGLGVQESELFRLNATSRAYEDVKPDFIRFCFFDHMVFKCPPLKALLRYKIIISTYMSVSLLYAEGIHKGHFSHILLDEAGQASEPETMIPISNLCARDTVIVLAGDPMQLGPVIYSRKAENYGLGKSYLDRLFECDYYGSSDENYVTKLVRNYRCHPAILDLPSKLFYKGELIACKEDTVSSIYEYADLPNKAFPVLFVGIQGCDEREGNNPSWFNRIEASKVVEIIRKLRRNTDVNEDDIGVITPYRQQVLKLKKALESLELPELKVGSVEQFQGQEREIIIISTVRSTVKHNDFDRAHNLGFLSNPRRFNVAITRAKSLLIIVGNPHIICKDHHWNKLLRHCADNGSNIGCPLPSPERDDYTNDESTEYNYEQNVQHEDGRSDMPSEYQNNVEWNDSGLKTSTDVVKWGDIVIEATGWEDDDASEPYSKSESNQNVHSSNFGRGDEPEENSNSLASGSHGWSDEAAYKPRLAEKENEWSDGWN >Ma03_p26670.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30321375:30326431:1 gene:Ma03_g26670 transcript:Ma03_t26670.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTIGEKDWDDEYSEISEKPEVEFLDYEDDKSLHSFDPLEGPVQITSPFPFVNGKPQSAFIGETSADSISIKNTTSDPIELWSIRIFSSNPEDSYILSMMKPPADNADMDARRSFVGSTYLEDRVLQPEQTLTIWLSCKPTDIGLHTSVLHFDLEYEKVERVVFLLAEDKVSQALFSDKPYRASSRRKMFDNDRYVAGSRPPRAHTQGIRRYRLPPFDIPQDLREIIENKQVPDVITEGLNRKNYAKFFSTLLVMEEINLEEEMRAYDMECVAMKRRGKYLLSLEVPGLAERRPSLVYGDYILAQLSSDSADDDRLPYQGYIHRVEADEIYLRFDRSFHHKHREDDVYNVSFTYNRVNMRRLYQAVHAAENLGIDLLFPSESHRRRVIERSSFKPFNPYINREQARAVEMILGCRGSHPYVIYGPPGTGKTMTLVEAILQLYTTRRNARILVCASSNSAADHVLEKLLDKDGLGVQESELFRLNATSRAYEDVKPDFIRFCFFDHMVFKCPPLKALLRYKIIISTYMSVSLLYAEGIHKGHFSHILLDEAGQASEPETMIPISNLCARDTVIVLAGDPMQLGPVIYSRKAENYGLGKSYLDRLFECDYYGSSDENYVTKLVRNYRCHPAILDLPSKLFYKGELIACKEDTVSSIYEYADLPNKAFPVLFVGIQGCDEREGNNPSWFNRIEASKVVEIIRKLRRNTDVNEDDIGVITPYRQQVLKLKKALESLELPELKVGSVEQFQGQEREIIIISTVRSTVKHNDFDRAHNLGFLSNPRRFNVAITRAKSLLIIVGNPHIICKDHHWNKLLRHCADNGSNIGCPLPSPERDDYTNDESTEYNYEQNVQHEDGRSDMPSEYQNNVEWNDSGLKTSTDVVKWGDIVIEATGWEDDDASEPYSKSESNQNVHSSNFGRGDEPEENSNSLASGSHGWSDEAAYKPRLAEKENEWSDGWN >Ma03_p26670.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30321375:30326431:1 gene:Ma03_g26670 transcript:Ma03_t26670.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTIGEKDWDDEYSEISEKPEVEFLDYEDDKSLHSFDPLEGPVQITSPFPFVNGKPQSAFIGETSADSISIKNTTSDPIELWSIRIFSSNPEDSYILSMMKPPADNADMDARRSFVGSTYLEDRVLQPEQTLTIWLSCKPTDIGLHTSVLHFDLEYEKVERVVFLLAEDKVSQALFSDKPYRASSRRKMFDNDRYVAGSRPPRAHTQGIRRYRLPPFDIPQDLREIIENKQVPDVITEGLNRKNYAKFFSTLLVMEEINLEEEMRAYDMECVAMKRRGKYLLSLEVPGLAERRPSLVYGDYILAQLSSDSADDDRLPYQGYIHRVEADEIYLRFDRSFHHKHREDDVYNVSFTYNRVNMRRLYQAVHAAENLGIDLLFPSESHRRRVIERSSFKPFNPYINREQARAVEMILGCRGSHPYVIYGPPGTGKTMTLVEAILQLYTTRRNARILVCASSNSAADHVLEKLLDKDGLGVQESELFRLNATSRAYEDVKPDFIRFCFFDHMVFKCPPLKALLRYKIIISTYMSVSLLYAEGIHKGHFSHILLDEAGQASEPETMIPISNLCARDTVIVLAGDPMQLGPVIYSRKAENYGLGKSYLDRLFECDYYGSSDENYVTKLVRNYRCHPAILDLPSKLFYKGELIACKEDTVSSIYEYADLPNKAFPVLFVGIQGCDEREGNNPSWFNRIEASKVVEIIRKLRRNTDVNEDDIGVITPYRQQVLKLKKALESLELPELKVGSVEQFQGQEREIIIISTVRSTVKHNDFDRAHNLGFLSNPRRFNVAITRAKSLLIIVGNPHIICKDHHWNKLLRHCADNGSNIGCPLPSPERDDYTNDESTEYNYEQNVQHEDGRSDMPSEYQNNVEWNDSGLKTSTDVVKWGDIVIEATGWEDDDASEPYSKSESNQNVHSSNFGRGDEPEENSNSLASGSHGWSDEAAYKPRLAEKENEWSDGWN >Ma03_p26670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30321375:30326954:1 gene:Ma03_g26670 transcript:Ma03_t26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTIGEKDWDDEYSEISEKPEVEFLDYEDDKSLHSFDPLEGPVQITSPFPFVNGKPQSAFIGETSADSISIKNTTSDPIELWSIRIFSSNPEDSYILSMMKPPADNADMDARRSFVGSTYLEDRVLQPEQTLTIWLSCKPTDIGLHTSVLHFDLEYEKVERVVFLLAEDKVSQALFSDKPYRASSRRKMFDNDRYVAGSRPPRAHTQGIRRYRLPPFDIPQDLREIIENKQVPDVITEGLNRKNYAKFFSTLLVMEEINLEEEMRAYDMECVAMKRRGKYLLSLEVPGLAERRPSLVYGDYILAQLSSDSADDDRLPYQGYIHRVEADEIYLRFDRSFHHKHREDDVYNVSFTYNRVNMRRLYQAVHAAENLGIDLLFPSESHRRRVIERSSFKPFNPYINREQARAVEMILGCRGSHPYVIYGPPGTGKTMTLVEAILQLYTTRRNARILVCASSNSAADHVLEKLLDKDGLGVQESELFRLNATSRAYEDVKPDFIRFCFFDHMVFKCPPLKALLRYKIIISTYMSVSLLYAEGIHKGHFSHILLDEAGQASEPETMIPISNLCARDTVIVLAGDPMQLGPVIYSRKAENYGLGKSYLDRLFECDYYGSSDENYVTKLVRNYRCHPAILDLPSKLFYKGELIACKEDTVSSIYEYADLPNKAFPVLFVGIQGCDEREGNNPSWFNRIEASKVVEIIRKLRRNTDVNEDDIGVITPYRQQVLKLKKALESLELPELKVGSVEQFQGQEREIIIISTVRSTVKHNDFDRAHNLGFLSNPRRFNVAITRAKSLLIIVGNPHIICKDHHWNKLLRHCADNGSNIGCPLPSPERDDYTNDESTEYNYEQNVQHEDGRSDMPSEYQNNVEWNDSGLKTSTDVVKWGDIVIEATGWEDDDASEPYSKSESNQNVHSSNFGRGDEPEENSNSLASGSHGWSDEAAYKPRLAEKENEWSDGWN >Ma03_p26670.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30321375:30326431:1 gene:Ma03_g26670 transcript:Ma03_t26670.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTIGEKDWDDEYSEISEKPEVEFLDYEDDKSLHSFDPLEGPVQITSPFPFVNGKPQSAFIGETSADSISIKNTTSDPIELWSIRIFSSNPEDSYILSMMKPPADNADMDARRSFVGSTYLEDRVLQPEQTLTIWLSCKPTDIGLHTSVLHFDLEYEKVERVVFLLAEDKVSQALFSDKPYRASSRRKMFDNDRYVAGSRPPRAHTQGIRRYRLPPFDIPQDLREIIENKQVPDVITEGLNRKNYAKFFSTLLVMEEINLEEEMRAYDMECVAMKRRGKYLLSLEVPGLAERRPSLVYGDYILAQLSSDSADDDRLPYQGYIHRVEADEIYLRFDRSFHHKHREDDVYNVSFTYNRVNMRRLYQAVHAAENLGIDLLFPSESHRRRVIERSSFKPFNPYINREQARAVEMILGCRGSHPYVIYGPPGTGKTMTLVEAILQLYTTRRNARILVCASSNSAADHVLEKLLDKDGLGVQESELFRLNATSRAYEDVKPDFIRFCFFDHMVFKCPPLKALLRYKIIISTYMSVSLLYAEGIHKGHFSHILLDEAGQASEPETMIPISNLCARDTVIVLAGDPMQLGPVIYSRKAENYGLGKSYLDRLFECDYYGSSDENYVTKLVRNYRCHPAILDLPSKLFYKGELIACKEDTVSSIYEYADLPNKAFPVLFVGIQGCDEREGNNPSWFNRIEASKVVEIIRKLRRNTDVNEDDIGVITPYRQQVLKLKKALESLELPELKVGSVEQFQGQEREIIIISTVRSTVKHNDFDRAHNLGFLSNPRRFNVAITRAKSLLIIVGNPHIICKDHHWNKLLRHCADNGSNIGCPLPSPERDDYTNDESTEYNYEQNVQHEDGRSDMPSEYQNNVEWNDSGLKTSTDVVKWGDIVIEATGWEDDDASEPYSKSESNQNVHSSNFGRGDEPEENSNSLASGSHGWSDEAAYKPRLAEKENEWSDGWN >Ma03_p26670.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30321375:30326431:1 gene:Ma03_g26670 transcript:Ma03_t26670.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGTIGEKDWDDEYSEISEKPEVEFLDYEDDKSLHSFDPLEGPVQITSPFPFVNGKPQSAFIGETSADSISIKNTTSDPIELWSIRIFSSNPEDSYILSMMKPPADNADMDARRSFVGSTYLEDRVLQPEQTLTIWLSCKPTDIGLHTSVLHFDLEYEKVERVVFLLAEDKVSQALFSDKPYRASSRRKMFDNDRYVAGSRPPRAHTQGIRRYRLPPFDIPQDLREIIENKQVPDVITEGLNRKNYAKFFSTLLVMEEINLEEEMRAYDMECVAMKRRGKYLLSLEVPGLAERRPSLVYGDYILAQLSSDSADDDRLPYQGYIHRVEADEIYLRFDRSFHHKHREDDVYNVSFTYNRVNMRRLYQAVHAAENLGIDLLFPSESHRRRVIERSSFKPFNPYINREQARAVEMILGCRGSHPYVIYGPPGTGKTMTLVEAILQLYTTRRNARILVCASSNSAADHVLEKLLDKDGLGVQESELFRLNATSRAYEDVKPDFIRFCFFDHMVFKCPPLKALLRYKIIISTYMSVSLLYAEGIHKGHFSHILLDEAGQASEPETMIPISNLCARDTVIVLAGDPMQLGPVIYSRKAENYGLGKSYLDRLFECDYYGSSDENYVTKLVRNYRCHPAILDLPSKLFYKGELIACKEDTVSSIYEYADLPNKAFPVLFVGIQGCDEREGNNPSWFNRIEASKVVEIIRKLRRNTDVNEDDIGVITPYRQQVLKLKKALESLELPELKVGSVEQFQGQEREIIIISTVRSTVKHNDFDRAHNLGFLSNPRRFNVAITRAKSLLIIVGNPHIICKDHHWNKLLRHCADNGSNIGCPLPSPERDDYTNDESTEYNYEQNVQHEDGRSDMPSEYQNNVEWNDSGLKTSTDVVKWGDIVIEATGWEDDDASEPYSKSESNQNVHSSNFGRGDEPEENSNSLASGSHGWSDEAAYKPRLAEKENEWSDGWN >Ma06_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4873604:4875919:1 gene:Ma06_g06790 transcript:Ma06_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKMSWSVADAVDYKGSPADRTKTGGWIPAMLILVIEICERLSTLGIGVNLVTYLSGTMHLPSAESANVVSDFMGTSFLLCLLGGFLADAYLGRYLTVAIFSAVQAFGTGMLTVVTKLPQLRPPPCGSSSGASKCHRANGFQIGLLYLSLYLIALGTGGLKSSVSGFGTDQFDEKDEKEKSQMAYFFNRFFFFISTGSLFAVTVLVYIQDEVGRSWSYGICCISMLLAVVLFLSGTRRYRFKKRSGSPIVHILQVLVAAFRKRQLKHPANPAFLFEDFPEASRIQHTDKFRFLDKAAIGEEFHGKTSTMNPWRLCSVTRVEEVKMMIQLLPVWATTIMFWTIYAQMMTFSVEQATTMDRSVGSFGIPAGSLNVFFVSAILITLAIYDRVIMPAMKKWKGKQGFTNLQRIGLGLTLSIMAMASAALTEVKRLSVAREAGKAAAPRGAALPISVFTLVPQFFLVGSGEALIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSFIVSIVKSVTGGESGQGWLADNINHGRLDYFYGLLAALSALNLAAFLYCATWIKPENAGDGLEMASAAKNSPAEDKC >Ma11_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:568701:570630:-1 gene:Ma11_g00790 transcript:Ma11_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIEFTLPPGFRFYPSDEELVCHYLYKKVINESTSEATMVEVDLHTREPWELPDVAKLGADEWYFFSFRDRKYATGSRTNRATRSGYWKATGKDRTVYEPSTHAKVGMRKTLVFYGGRAPNGIKSGWIMHEFRMENPHSPPKEDWVLCRVFKKSKGEAEHENTGSSSPTLRSSSSPLDLLMPDVVCHEQLGSSFSTLPRQEDSSSNPFMNMAMLQCNLLDFPQEIMGSTAMVGMSSSCEGEIGCLMELGFGHGFGEAGMARLDATAGFWMDKMSD >Ma07_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10365694:10367177:-1 gene:Ma07_g13800 transcript:Ma07_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTKPALGQVSLPRPQKPSPYFSGIPVIDLSEPGSEALLVRACEELGFFKVTNHGIPMELIASLEEEAMKFFALPQAEKERVGPATPFGYGSRTIGSNGDMGWVEYLLMQITSKPMSLPFLMDASASSFRSALNEYISAVRKLACEVLELMVDGLKIEPRNVFSKLVMDEESDSVLRLNHYPPCPGFNGTMTGFGEHTDPQIISVLRSNNIAGLEISLRDGSWVSVLPDQASFFINVGDSLQVLTNGRFRSVRHRVLANGSKSRVSMVYFGGPPSGERLAPLPLLMGEGEQSLYREFTWCEYKRCACRTMLADNRLGQFEK >Ma02_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17351009:17352413:1 gene:Ma02_g06330 transcript:Ma02_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKDMLQLTPEEDDKACTFALQLVVGSILPMTVKAAVELQLLEIIVKAGPGAKLSPADVVSRMPTENPEAVAMVDRILCLLAAYGVVSCSVETDDDGHPSRKYGAAPVCKYLTKNEDGVSLAAMSLMNQDKINMESCYYLKDAVLEGGVPFQKAYGMTVFEHHGTDPRFNKLFNECMRNHSTILMKKLLETYRGFDDVKVLVDVGGGTGATIHMITAKHPHIKGINFDLPHVISTAPPYPGVEHVSGDMFERVPSGGDAIFMKWIIHDWTNEQCTKILKNCWKVLPEKGKMMVVEYILPIIPESNLIAQGVFTVDMAMMIQTGGRERTQKEFEALAKEAGFTGPVKATYISMYAWLMEFTK >Ma07_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2580990:2585293:1 gene:Ma07_g03360 transcript:Ma07_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNWIKIVFLSVFLCCFGSVVFGTTDAGDYAVLDEFRKGLANPELLKWPTNNRDPCGPPLWPHVFCSGSRVAQIQVQNLGLSGPLPRDFNKLSMLTNIGLQRNNFSGKLPSFSGLSNLQYAYLGNNQFDAIPSDFFVGLTSLQVLSLDMNPLNQSTGWVLPPDLADSAQLMNLSLVGCNLAGPLPEFLGTMHSLSVLKLSYNNLTGTIPASYSGLPLQILWLNNQIGPKLTGSLDVIASMTMLKDVWLHGNQLTGPIPSSIWGLTSLTRLWLNNNLLVGLVPQNLTSLLQLQSLQLDNNMFMGPIPKVSFNFTYAYNSFCQSTPGIPCSPEVTALLEFLERVNYPSKLAASWSGNDPCASLWSGVSCFDGKVSVINLPNLQLNGTISPSLGKLNDLVDVRLGGNNLDGMIPVNMTNLKLLKTLDLSSNNISPPVPHFPSSVKVLLDGNKLLVTASSPESSSTGNSPSDSSPNNTQSHNSPRSSGSSSPDANSGNRSRGSRKLNLLIVIVPIAFGVSIFLLAVLFLCFWKRRKSAFPAPSSIVVHPRDSSNPDNLVKIVVANNASNSIATNEWQSINSSHTSDTHLIESGNLVISVQVLRSATRNFASENVLGKGGFGVVYKGELHDGTMIAVKRMESAVLSSKALDEFHAEIAVLSKVRHRNLVSILGYSIEEYERLLVYEYMPQGALSKHLFRWKQLESEPLSWKKRMNIALDVARGMEYLHNLAHQCFIHRDLKSSNILLGDDYRAKVSDFGLAKLAPDGKNSVATRLAGTFGYLAPEYAVTGKVTKKIDVFSFGVVLMELLTGLMALDENRPEESRYLVSWFCQMKTTKENLKSIIDPALVVTDENFDSISIIAELAGHCAAREPQQRPDMGHAVNVLAQLAEKWRPMSDDQDEYLGIDLQQPLLQMVKGWQAADGTTDVSSVSLDDSKGSIPARPAGFAESFTSSDGR >Ma07_p03360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2580990:2584877:1 gene:Ma07_g03360 transcript:Ma07_t03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNWIKIVFLSVFLCCFGSVVFGTTDAGDYAVLDEFRKGLANPELLKWPTNNRDPCGPPLWPHVFCSGSRVAQIQVQNLGLSGPLPRDFNKLSMLTNIGLQRNNFSGKLPSFSGLSNLQYAYLGNNQFDAIPSDFFVGLTSLQVLSLDMNPLNQSTGWVLPPDLADSAQLMNLSLVGCNLAGPLPEFLGTMHSLSVLKLSYNNLTGTIPASYSGLPLQILWLNNQIGPKLTGSLDVIASMTMLKDVWLHGNQLTGPIPSSIWGLTSLTRLWLNNNLLVGLVPQNLTSLLQLQSLQLDNNMFMGPIPKVSFNFTYAYNSFCQSTPGIPCSPEVTALLEFLERVNYPSKLAASWSGNDPCASLWSGVSCFDGKVSVINLPNLQLNGTISPSLGKLNDLVDVRLGGNNLDGMIPVNMTNLKLLKTLDLSSNNISPPVPHFPSSVKVLLDGNKLLVTASSPESSSTGNSPSDSSPNNTQSHNSPRSSGSSSPDANSGNRSRGSRKLNLLIVIVPIAFGVSIFLLAVLFLCFWKRRKSAFPAPSSIVVHPRDSSNPDNLVKIVVANNASNSIATNEWQSINSSHTSDTHLIESGNLVISVQVLRSATRNFASENVLGKGGFGVVYKGELHDGTMIAVKRMESAVLSSKALDEFHAEIAVLSKVRHRNLVSILGYSIEEYERLLVYEYMPQGALSKHLFRWKQLESEPLSWKKRMNIALDVARGMEYLHNLAHQCFIHRDLKSSNILLGDDYRAKVSDFGLAKLAPDGKNSVATRLAGTFGYLAPEYAVSEWY >Ma01_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11200713:11202023:-1 gene:Ma01_g15470 transcript:Ma01_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCESVSNVVPSLITALVVLWLSMGVCRSQLSTNFYANSCPAVFRVVRRQLLSALRNDTRMAASLLRLHFHDCFVNGCDGSVLLDGSDSEKLALPNRNSARGFDVIDTIKTAVENACNATVSCADILTIAARDSVYLSGGPYWNVLLGRRDGLVANQTGANDLPSPFDSIDTIIDKFVAVGLNTTDVVSLSGGHTIGRARCVTFSGRLYDFSEDSSVDPTLDPSMATELQTLCPQSGGDGNATAPLDRNSNYAFDNHYFKNLVEQKGLLSSDQGLFSSDEGQAATKALVQAYSNSSILFFRDFVNSMIKMGNISPLTSSAGEIRRNCRVVN >Ma02_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14149587:14153986:1 gene:Ma02_g02760 transcript:Ma02_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLWNGTPVLSTAGWIETTATRAFLLTSMPALRLLPPPSPAILQRSHNPFRKSDPVSVPADNGSRLFCRARMSALAPLLRGGWARCFGTVEALRVRDKGGSFEGSVGNGSSQEEDDEEKGAVVKGEKKNRVTQQRAASAGSSTVERRPRGGILDASPEGSLELLTIPGVGPRNLRKLVNKGFDGVAQLKQLYIDKFVGESSHKMVEYLQSSVGIIHKNHAESITSFIKEKVDEELKEDTTESNVKLATKNRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDIGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSCLPGLIPDGFIYLRASPDTCHKRMMLRNRAEEGGVNLEYLRGLHEKHESWLFPSQHGNHGVLSVSQLPLHMDDSLHPDIRDRVFLLEGNHMHPSIQKVPALILDCEPNIDFSKDIEAKRQYARQVAEFFEFVKKKKEASSAETGNDGKNQGQKIMLPREGALWIPQGTHFPDAALSLDFKKAMSFLSG >Ma04_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21777525:21781304:1 gene:Ma04_g19190 transcript:Ma04_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIGESTPAASDRKKRALEALERRFAAEAERLQDHQEDQKAKRARIPVGDAESDQRKRFHSLERRSAAEAGRLQEPHHQDSRKSKRAPNSAGDGESEKHKEGHPTTSAASASSKKDEVHPVYSEISGIVHENLLRASDLKVPSTRDTVSKVINDIVQKGGEGSKYAKRGKSLRIDNWILLDNFVPKDDSLMDARLKALRSHSKRSKKHMSRRQHRKCGSFNLPNEYHNFDLFKPMHEMWKEYIVELLKEVGKKQLAECLLMADLHGAHLLVVECKTAAFKGINGIMIRETAETFGIITQDNCFRVVPKMGSIFIFQADCWKITLHGDKLSKRPLSRKNTNRLSR >Ma03_p30730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33230080:33237693:-1 gene:Ma03_g30730 transcript:Ma03_t30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALNSLFNRAPFGTRCKTCLNLAISRIKLLRNKRELQLKQMRKEISQYIQTGQEAIARIRVEYIIREQNILAAYEIIELFCEFVLARVPVLESQRDCPLELQEAISSIIFASPRCSDLPELLHIRNLFSTKYGKEFVAAASELRPESNVNRMIIEKLTARAPPAELKIKVLKAIAQEYNLVWDSSSTEAELSKNHEDLLDGLNPTNSQATVIASSTGTSQKDGLPVSPVRENRTEQLPQTSIPSVNNLSSLASKISVSDDGKADAVRSETEVLSIDKTSCSSQSSSDVLEKARAAIASAERASAAAHAAAELVKVKLPRQSNNYS >Ma09_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33785514:33786555:1 gene:Ma09_g21830 transcript:Ma09_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWLYGILWMLGLGAKEAKILFLGLDNAGKTTLLHMLKDERLGQHQPTQHPTSEELMIGKIRFTAFDLGGHRMARRLWKDYYTKMDAVAYIVDAADRDRFLESKKELDALLSDEALVNVPFLVLGNKIDIPYAASEDELRYHLGLAGCTTGKGRVSYTGSSIRPVELFMCSVVRKMGYADGFRWLSQYIK >Ma07_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9841534:9845593:-1 gene:Ma07_g13110 transcript:Ma07_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKREMEGDEGNSAKSQVAGIGYEETKLKLAPPCSSASRAGTEHHDRKRRRDLSVESPEDPQNPRQRNGGTEGVREIEGDEESSAESQVSGSGYEETELKLAPPCSAASRAGTEHHDRKRRRDLSVESLGSQASRHGKPPAAKAPVVGWPPVRSYWKNTLRSRTFVKVAVDGTPYLRKVDLETYGGYRELLTAVQAMFSSCFTIDNGNRLVDLVKGTEYLPTYEDKDGDWMLIGDVPWKMFVSSCKRLRLMKSSEAVNLVDATSSSS >Ma07_p13110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9841750:9845593:-1 gene:Ma07_g13110 transcript:Ma07_t13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKREMEGDEGNSAKSQVAGIGYEETKLKLAPPCSSASRAGTEHHDRKRRRDLSVESPEDPQNPRQRNGGTEGVREIEGDEESSAESQVSGSGYEETELKLAPPCSAASRAGTEHHDRKRRRDLSVESLGSQASRHGKPPAAKAPVVGWPPVRSYWKNTLRSRTFVKVAVDGTPYLRKVDLETYGGYRELLTAVQAMFSSCFTIDNGNRLVDLVKGTEYLPTYEDKDGDWMLIGDVPWKMFVSSCKRLRLMKSSEAVNLVVSISSSLQASTNSPGDNDLNNWFPLFQGDKPKDKPL >Ma07_p13110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9841534:9845593:-1 gene:Ma07_g13110 transcript:Ma07_t13110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKREMEGDEGNSAKSQVAGIGYEETKLKLAPPCSSASRAGTEHHDRKRRRDLSVESPEDPQNPRQRNGGTEGVREIEGDEESSAESQVSGSGYEETELKLAPPCSAASRAGTEHHDRKRRRDLSVESLGSQASRHGKPPAAKAPVVGWPPVRSYWKNTLRSRTFVKVAVDGTPYLRKVDLETYGGYRELLTAVQAMFSSCFTIDNGNRLVDLVKGTEYLPTYEDKDGDWMLIGDVPWKMFVSSCKRLRLMKSSEAVNLDATSSSS >Ma11_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25687249:25689662:-1 gene:Ma11_g21610 transcript:Ma11_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEDQQTWVPHVPTTDCSMGLCSFYCPQWCYVIFPPPPPVEFSGDDSGPTFSPLVISIIGVLAGAFLLVCYYTIVSRYCGTFDSLRRWIDPPGADNRDHGDGSVGHSRRRDAWHTSPKDGLDEALIGKIAVYKYRRGDDTVQGTDCSVCLSEFREDDSLRLLPKCSHAFHLRCIDTWLRSHSNCPLCRADIVSVPPQLPAAPESENSVRAEDTERIDEMVLTIEDEETQPAGSSADAATDPPQVYCDPVAVEEADTIVEIGDDDTQLTRRSFSMDAARGSRVSIADVLRTSMEDELFVVSGSSSRRAAGEHSKVRGLHCALNPVLMKRSISNGRFRLTRHGKRRNGLSVQESPE >Ma11_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19213701:19215829:1 gene:Ma11_g14030 transcript:Ma11_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVVLVVVVLSVLGLSGVGAEDPYLFFTWNVTYGTIAPLGVPQKGILINGQFPGPNINSTTNNNIVINVFNNLDEPFLFSWNGIQQRKNSWQDGVPGTNCPIQPGKNFTYHFQVKDQIGSFYYFPSLAMHKAVGGFGGLRINSRLLIPVPFDPPADDYTVLVGDWYAKDHKALAKTLDMGRSIGLPSGVLINGKSGKHGSGKDDKPLFVMEAGKVYRYRICNTGIKASINFRIQGHTMELVEMDGSHTMQNMYDSLDIHVGQCFSVLVTADRAPKDYYLVASTRFLRKEITATAVVRYAGSNTPPSAVLPKGPTGWGWSLNQWRSFRWNLTASAARPNPQGSYHYGSINITRTIKLSSSRVVDGGKIRFTLNGLSHVDTDTPLKHGEYFGVGDKFFKYNLIGDVPPASKAPFTLAPNVITIEFRTYVEIILENPERNLQSYHLDGYSFFPVGMGPGKWTPASRKGYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWNLRSELWERRYQGQQLYISVQSPARSLRDEYSLPDTVLLCGAVASLPKPPPYT >Ma03_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7197003:7200194:-1 gene:Ma03_g09660 transcript:Ma03_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGYTKDGSVDLHGRPVLASQTGRWRACAFLVGYEAFERMAFYGVASNLVVYLTTQLHEDTVSSVRNVNNWSGSVWITPVLGAYIADTYLGRFWTFTISSLVYVLGMVLLTMVVSIKSLRPSCTDDVCNKATPSQVAFFYGALYILAVGAGGTKPNISTFGADQFDDFDPHERTLKVSFFNWWMFSTFLGALMATLGLVYVQENVGWGVGYGIPTTGLAVSLVVFYMGTPNYRHKARKSNSPAAEMARVWAAAIANRQLKLPDDPAELYELEPQHYLLTGKRRLHYNSSFRFLDRAAIKEAAKPCTVTQVQETKLVLGMTVIWFATLVPSTIWAQANTLFVKQGTTMDRRLGHGFLIPAASLGSFITVSMLLAVPLYDRYFVPFMRRRTGNPRGITLLQRLGIGFGFHVLLTLVAYAVEVRRMHVIRQSGVAGLGDVVPMSIFWLLPQYVLLGVGDVFNAIGLLEFFYDQSPEGMQSLGTTFFTSGIGVGNFLNSLLVTVVDRVTRAGGGKSWIGNNINSSHLDYYYGLLMSVSAINLAIFVWVSSKYKYKEEALEVAEGKVVCLQMEGKVMDAPPASLGVQV >Ma03_p09660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7197003:7200223:-1 gene:Ma03_g09660 transcript:Ma03_t09660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGYTKDGSVDLHGRPVLASQTGRWRACAFLVGYEAFERMAFYGVASNLVVYLTTQLHEDTVSSVRNVNNWSGSVWITPVLGAYIADTYLGRFWTFTISSLVYVLGMVLLTMVVSIKSLRPSCTDDVCNKATPSQVAFFYGALYILAVGAGGTKPNISTFGADQFDDFDPHERTLKVSFFNWWMFSTFLGALMATLGLVYVQENVGWGVGYGIPTTGLAVSLVVFYMGTPNYRHKARKSNSPAAEMARVWAAAIANRQLKLPDDPAELYELEPQHYLLTGKRRLHYNSSFRFLDRAAIKEAAKPCTVTQVQETKLVLGMTVIWFATLVPSTIWAQANTLFVKQGTTMDRRLGHGFLIPAASLGSFITVSMLLAVPLYDRYFVPFMRRRTGNPRGITLLQRLGIGFGFHVLLTLVAYAVEVRRMHVIRQSGVAGLGDVVPMSIFWLLPQYVLLGVGDVFNAIGLLEFFYDQSPEGMQSLGTTFFTSGIGVGNFLNSLLVTVVDRVTRAGGGKSWIGNNINSSHLDYYYGLLMSVSAINLAIFVWVSSKYKYKEEALEVAEGKVVCLQMEGKVMDAPPASLGVQV >Ma03_p09660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7197003:7200165:-1 gene:Ma03_g09660 transcript:Ma03_t09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGYTKDGSVDLHGRPVLASQTGRWRACAFLVGYEAFERMAFYGVASNLVVYLTTQLHEDTVSSVRNVNNWSGSVWITPVLGAYIADTYLGRFWTFTISSLVYVLGMVLLTMVVSIKSLRPSCTDDVCNKATPSQVAFFYGALYILAVGAGGTKPNISTFGADQFDDFDPHERTLKVSFFNWWMFSTFLGALMATLGLVYVQENVGWGVGYGIPTTGLAVSLVVFYMGTPNYRHKARKSNSPAAEMARVWAAAIANRQLKLPDDPAELYELEPQHYLLTGKRRLHYNSSFRFLDRAAIKEAAKPCTVTQVQETKLVLGMTVIWFATLVPSTIWAQANTLFVKQGTTMDRRLGHGFLIPAASLGSFITVSMLLAVPLYDRYFVPFMRRRTGNPRGITLLQRLGIGFGFHVLLTLVAYAVEVRRMHVIRQSGVAGLGDVVPMSIFWLLPQYVLLGVGDVFNAIGLLEFFYDQSPEGMQSLGTTFFTSGIGVGNFLNSLLVTVVDRVTRAGGGKSWIGNNINSSHLDYYYGLLMSVSAINLAIFVWVSSKYKYKEEALEVAEGKVVCLQMEGKVMDAPPASLGVQV >Ma04_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25223451:25224839:-1 gene:Ma04_g23020 transcript:Ma04_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAFSVRERSQVSPATGSLLETTLPLTFFDVMWLNRDPVERLFFYRFPDPTCNFMQAVVPALKSSLSVALQSFYPFAGRIRRCPGCCDKYEIHYVDGDSVSFALAEHGGDFEYLSGSHARNVSELLPLIPRIAESGDDRLSLAVQVTLFPDRGVAVAVTFHHAVCDGTGFKQFMASWASACRSALSGQEVAVPPTPLFDKTVITDPRGLYAVFSKMGPRRRVAEEDSATDVVSATFTLRREQIRLLKDLVPAKAEQGKTPFHCSTVVVAFAFAWVCHVRARGHGSDRITHFIFMADCRGRLRPSVPAEYFGNCVRPCFVDVKAEDLIRRDGFVVASAAIGRVIEELKADVLHDAEEWRGGAQAAMPEQALTVAGSPKFSVYDTDMGWGRPNKVEVTSIRTSGAILVGKSREDEGGVEIGLVLPRNEMEEFGTQFLGSLKLVICCDGAVRSINSYLYIYC >Ma03_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25134364:25140930:-1 gene:Ma03_g19880 transcript:Ma03_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) UniProtKB/TrEMBL;Acc:F4HY43] MAPVVQSSQPWVEKYRPRQVKDVAHQDEVIRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPELYRSRVLELNASDDRGINVVRTKIKDFAAVAVGSGSRQGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEGIMSSRILHICSEEGLTLDSEALSTLSSISQGDLRRAITYLQSAARLFGSSITSKDLISVSGVIPQEVVQAVFTACKSGDFDVANKEVNNVIAEGYPVSQLLYQFLEVIVNNDDISDEQKARICKKLGEADKCLIDGADEYLQLMAVASHTIRALCDMPEELRFD >Ma05_p25800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37630021:37631035:-1 gene:Ma05_g25800 transcript:Ma05_t25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSRRTSGPVLAFRPSISPRAGSGASFASSSSSITGRSRNSFSQQRSAQPPAPPLRFSLDRSISYGCSLKRSPAAPARRTCLCSPSTHPGSFRCSLHKGLDHHNPSHVVAAAAASPSNRLNARRSAMANSLVRIGAVEGEWVKRALIRPSSHQQRRRTNFQPRPTRLSRMSKAVQPIDLESGS >Ma01_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5790577:5792906:1 gene:Ma01_g08070 transcript:Ma01_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHRAALALLLCSAVHIAFAMTDGLLPNGNFEATPKASEMNGTQVLGRYAIPQWEISGFVEYIESGHKQGDMLLVVPEGAYAVRLGNEASIKQKLTVVKGMYYSITFSAARTCAQDERLNVSVTPDSGMLPIQTMYGSVGWDSYAWAFRALFEVVHLVIHNPGVEEDPACGPLIDSVAIKTLYPPRLTRDNLLKNRDFEEGPYVFPNTTWGVLIPPNIEDDHSPLPGWMVESLKAVKYIDSDHFSVPHGKRAVELVAGKESALAQVARTIPGKVYTLTFSVGDAGNGCVGSMVVEAFASRGTVKIPYESKGTGGYKRAVLRFTAAVERTRVVFLSTFYHTKTDGSLCGPVVDDVSLLSVRSPRALRR >Ma04_p35260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34119521:34122561:1 gene:Ma04_g35260 transcript:Ma04_t35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MAYSNSRSVRFQDDVEFAMSETSYCDSNDDDYSKNTEPTWRSCSLRSRVCPDKPFKSFRQKVLSRVFSEDYDSLVGSQIGLFDPRSHIIHRWNKVFLVTCLVSLFIDPLFFYIPGTPGMQCIDVGVPLEVALTVVRSLADVLYLVHIFVRFRTAFVAPSSRVFGRGELVVDPSKITSRYLSKSFFLDLVAALPLPQVPKADTLGFLFCFMVRDSSIVLKPLCWQFLIWVVIPYLNGSAINNTKNFLRLSIIIQYIPRLFLIFPLSKRIVRMTGVMTENAWAGAAYNLLLYMLASHVIGASWYLLSIERQEACWREACRLEGLSCRYTYFDCRSLGNSRITWNRQSDPTSFCNPSNSFYQFGIYADALNFNVTSSPFFHKYFFCFWWGLKNLSSLGQNLSTSTNVGEIGFAIIIAILGLVLFGLLIGNMQSYLQSTTARLEEWRVKTTDTEQWMRHRQLPWELKQCVRRYHQFKWVATRGVDEEGLLQGLPVDLRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLKPALYTQGTCLVRELDPASEMLFIIRGYLDSDTTNGGRTGFFNSCRIGPGEFCGEELLTWALDPRPAAALPLSTRTVQAVSEVEAFALVAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRSWGACFIQAAWRRQKRWRASIELGVTEGRRDGRSLSLESSEVPNPRAGAAVFAARFVASTKKRETAAQAGKPLKKPKEPDFSMEDEN >Ma04_p35260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34119521:34122561:1 gene:Ma04_g35260 transcript:Ma04_t35260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MAYSNSRSVRFQDDVEFAMSETSYCDSNDDDYSKNTEPTWRSCSLRSRVCPDKPFKSFRQKVLSRVFSEDYDSLVGSQIGLFDPRSHIIHRWNKVFLVTCLVSLFIDPLFFYIPGTPGMQCIDVGVPLEVALTVVRSLADVLYLVHIFVRFRTAFVAPSSRVFGRGELVVDPSKITSRYLSKSFFLDLVAALPLPQFLIWVVIPYLNGSAINNTKNFLRLSIIIQYIPRLFLIFPLSKRIVRMTGVMTENAWAGAAYNLLLYMLASHVIGASWYLLSIERQEACWREACRLEGLSCRYTYFDCRSLGNSRITWNRQSDPTSFCNPSNSFYQFGIYADALNFNVTSSPFFHKYFFCFWWGLKNLSSLGQNLSTSTNVGEIGFAIIIAILGLVLFGLLIGNMQSYLQSTTARLEEWRVKTTDTEQWMRHRQLPWELKQCVRRYHQFKWVATRGVDEEGLLQGLPVDLRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLKPALYTQGTCLVRELDPASEMLFIIRGYLDSDTTNGGRTGFFNSCRIGPGEFCGEELLTWALDPRPAAALPLSTRTVQAVSEVEAFALVAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRSWGACFIQAAWRRQKRWRASIELGVTEGRRDGRSLSLESSEVPNPRAGAAVFAARFVASTKKRETAAQAGKPLKKPKEPDFSMEDEN >Ma09_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2907604:2910332:-1 gene:Ma09_g04450 transcript:Ma09_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPRAIVGDDYEVTELCYSEGWPTFVS >Ma08_p34580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44563193:44570827:1 gene:Ma08_g34580 transcript:Ma08_t34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRKDGLGRDGDEDSDRSLDGDAAEGYRENEGSGSAAAADHPLGRGGVVVERLRRLLRGESDGDISHEGSGGGEDGVLQWLHALDLQVLGACRAVERSKPLLKLNVSSGPAEERLLAQLSQHFEASEVGMLARCLYVPLVSVRVGKVKKRGNILCPTATRGQLNLNLLPSSNMHISFIGDDGCTERLAVLSNEFDSSDVIIEEISADTSGRSFLLKFPGHRALYYWCSEKSKLHGLELLAKMKDLLRRKPSLSSLTGISVSRLDSFATHLRAYLLGCSNAAEANSAASSHGLHSGSTTNQTECHSSSCALSKSSRLRAVEAHIGKVHSLCQGSLSPRLNTFKDEMRRPYSSIRSGAREKIKRHGDIHQITLTRTTELVTSVSASCTATSKCEDDNSRSTAPSSTLSCCLPDVLPIPLSSFSPMSIHLPLSQTGSSSLYSPYYCWCPPCPSSLQYEVAPSHLLATFPESISLPPLSSLLSATAPVVTSVPARLTIDVAELQTLTLPSLVPDPLVPAPLSVSSLTLPCSQQLPIFTPFMSDPIVHIPLIDVCSSGQGYLVSAGPAISTAISPLLPNLVNPLIPKTESVVEKNARETLEMLLASAPIASGPRLMNVLPAVFNNLSENFTCDSKVNNHSAIAATSSQFDVDTILTDLSCKGLCSLEKGAVHEVDDSVRGNMEEHNESEDYDLATKSSTL >Ma04_p35010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33966675:33972999:1 gene:Ma04_g35010 transcript:Ma04_t35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLFLSDSCQEGQLHALTPQTWLQVERAKLSKSSLYSTSSIESLIKVAEPPILALFKPVDYVQVLAQIHEELESCTPQERSSLHLLQFQVFRGLEEVKLLERSLHSAWQNATTIHEKLVYGSWLRYKKQGEEVISDLLSSCEKCSQEFGFVDVASQIPVKTVDMVSECSYDISQVSSTVHFRIGDEMIACERQKIAALSPPFNTMLNGSFTESHLEVIDLSENGISPVGMRAVSKFSGTGQLDDLSVEILLEILIFSNTFCCARLKVACDKKLASLVSSHQDAVDLMECAVEENTPILAASCLQVLLHELPHCLNDEQVVKIFLNASKQQRTTMVGHASFSLYCFLSEVAMNIDPSSDVTACFLEKLVESAVSTRQKQVAFHQLGCVRLLRKEYSEAEHDFNAAFAAGHVYSVAGLARLAFIKGDQILSYEKLTSVISSHQPLGWIYQERSLYSEGDRKWEDLDKATELDPTLTYPYLCRAACLMRKQDAQLALAEINHVLGFKLSLECLELRFCFYLALEDYKAALCDVQAILTLAPEYRMFEGRVTASQLWTLVREHVEQWTLADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLACQHAATEHERLVYEGWILYDTGHCEEGLRKAEESISIQRSFEAFFLKAYALADSSLDPSCSATVVSLLEDALKCPSDRLRKGQALNNLGSVYVDCGKLDMAADCYINALKIQHTRAHQGLARVHFLKNDRKAAYEEMTKLIEKARNNASAYEKRSEYCEREHTKDDLLMVTQLDPLRVYPYRYRAAVLMDNHKEKEAIAELTRAITFKADLHLLHLRAAFHEHTGDISSALRDCRAALSLDPNHQEMLELHKRVNSQEP >Ma04_p35460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34242087:34243333:-1 gene:Ma04_g35460 transcript:Ma04_t35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDHEWGNPAAAAAAMLLFDEENGGRDNGQQHAPVFDHFSGHGLGGGGIAEFFPHPQPLTVAALFPSSSLTSSTCHERYGMPFQPLPARIGLNLGVRTYFSPAEEAGIVVGRVCRRRRAHAARCQAEGCGIDLAHAKHYHRRHKVCEFHSKASIVIVAGLSQRFCQQCSRFHVLMEFDQGKRSCRKRLADHNRRRRKSHDLSAKTATQTQNNNSSDASTDTPAGANTAKGETILFSKPENESPVTMHLPPLPMTRITAETGLWLGCSTGVAGAGTSMSSSKDTSPPGAPFLVQLDEFRAPEQRFTGWHEEVGSSIN >Ma03_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3594689:3598835:-1 gene:Ma03_g05500 transcript:Ma03_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDGRSEHEFEDESLVSPMELTKMTNGLTGIHHGSFSAVEQQKSIDNINTSLLRSLKVILFTSKINILMPCGPLAVVIHHLTEDQGWVFFLSLLGIIPLAERLGFATEQLALFTGPTVGGLLNATFGNATEMIISVHALKGGMIRVVQQSLLGSILSNMLLVLGCAFFCGGIVFMKKDQVFDKAAAVVNSGLLLMAVMGLLFPAVLHYTHSEVHYGKSELALSRFSSCIMLVAYAFYLVFQLKGHNESYERVNEEGGQNEGGTDDEEVPEISKWEAIIWLAILTGWISVLSDYLVDAIQGASAAWNIPIAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVVGWILGRPMDLNFQLFETASLFITVLVVAFMLQEGTSNYFKGLMLVLCYLIVAASFFVHVDPSPVQDKKP >Ma01_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1567397:1568062:-1 gene:Ma01_g02310 transcript:Ma01_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFELTPLKSSRSPPGLRIGLGSQNPTARYRRRRYDPTNRPPFGAQWCEKISCLIYCRRSSSYKNEHEAGIELADESSSLFTSETNPDGSVPTVEHIEGYLLRLRFDEEEQSHRDLRPHWSGKE >Ma10_p15650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27684715:27697011:1 gene:Ma10_g15650 transcript:Ma10_t15650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSSRAESASSSMDGPNFAATAHHNAQRGAYSGSGLERSGSFRESSENRALVPGPGTSRNTSFSPEIPSLSQYLPLEPFLMSEQKFSRSGELRRVLGVTVEDHSFGSAQFKPLLPIASEELKRFKASILESSTRARDRTRLLQESIFKLDKYRNMRKRQRADQSNEKSGTSNPLKMGSMIHQNPAELASPRLEDRAKSLIPNKRIRSSMAELRSEGRGSVPLRQGAVMDKDKNVHFEKDKSILRNCNGGSIPSEDKMHGFAPGGDGWEKKLKRKRSVGLIRVIESDRDTKQSSQQRANNEPRLRSSDGIGIRPGLSSGTTVSNKVDSCSQLSGAGSRGTPKNDLDSGSVSNERREHSGGLDNERNTSKGSNKLNIREDVQAGNQSPMIKGKASRALRTVSGAVMNASSNFLHSSGSIDGQGQAPRINKVQPLTATNRKRLIPNESSSPPVTQWVGQRPQKISRTRRVNVVSPVSNLDEAQFLHEGSATPDVGARMTVVDSGGLLITRGLPNNIHQSKQKFDNVLSPSVLSESEDSAAVENKFKEKGIDNFELEDGPQTSLKSTSVFPTKKNKTPPKEEIRDGVRRQGRSGRGSVQSKACLSVPRQKVENLDTTKPLKSGKLGSERSETSRIGRPPLKKTDRKACARPQTMNNGSSDMTGESDDDREELLAAANAARNASYHACSSTFWKKMEPTFAFVSLEDISYVKQQIHFAEELDASLSNRFEAGHDVMAEVVWDVASSAHSSFAREQTNTVGPTNKTFGTLYSVDGTENVKTSVGRLETNRWYDKMVPLSQRLLSAFIAEDETEKLDIETQGELFLHSDTNNYINDHAKELMNMDCHLELEYKNHKNSLGDIIPCDEFMVSNNYRHLNIQNFMYGYEPLVENSAVLSTDNGSLSGYKKNSLNQLHVMDNTSPYECQFEDMSLDDRILMELHSIGLFPETVPDLAEGEDGEIGKVISELKMRLYQQVKKKKHQLDKLERAIRDAKEIEESDRKLEQLAVNKLVEMACKRLMGGRGSSSHKSGTTKVSKQLALAFGKRTIARCKRFDETGRSCFSELAIRDAVLSVPLHIKHSDRSLNHVESRSGQLGTRASGVTSNTSMRHGLGNKIDQSPLDPYQSSPQMGEQLVTRRKKEVLLDDVTGSTRAISSPTHSLSNSAKWKRTERDRDQNKDALGRSSTAKAGRPSSSGGRGERKTKTKPKQKIAQLSTSGNGLGRVTEAANLMSPTLHAPFDTVNSSITKIDQEVELHSLDNLVHDSSKENDDAIFTNLPLHGIESIDELDVAEGLGGQGQDIASWLNVDEDSLQDHDLVGLEIPMDDLSELTLNF >Ma10_p15650.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27684678:27697011:1 gene:Ma10_g15650 transcript:Ma10_t15650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSSRAESASSSMDGPNFAATAHHNAQRGAYSGSGLERSGSFRESSENRALVPGPGTSRNTSFSPEIPSLSQYLPLEPFLMSEQKFSRSGELRRVLGVTVEDHSFGSAQFKPLLPIASEELKRFKASILESSTRARDRTRLLQESIFKLDKYRNMRKRQRADQSNEKSGTSNPLKMGSMIHQNPAELASPRLEDRAKSLIPNKRIRSSMAELRSEGRGSVPLRQGAVMDKDKNVHFEKDKSILRNCNGGSIPSEDKMHGFAPGGDGWEKKLKRKRSVGLIRVIESDRDTKQSSQQRANNEPRLRSSDGIGIRPGLSSGTTVSNKVDSCSQLSGAGSRGTPKNDLDSGSVSNERREHSGGLDNERNTSKGSNKLNIREDVQAGNQSPMIKGKASRALRTVSGAVMNASSNFLHSSGSIDGQGQAPRINKVQPLTATNRKRLIPNESSSPPVTQWVGQRPQKISRTRRVNVVSPVSNLDEAQFLHEGSATPDVGARMTVVDSGGLLITRGLPNNIHQSKQKFDNVLSPSVLSESEDSAAVENKFKEKGIDNFELEDGPQTSLKSTSVFPTKKNKTPPKEEIRDGVRRQGRSGRGSVQSKACLSVPRQKVENLDTTKPLKSGKLGSERSETSRIGRPPLKKTDRKACARPQTMNNGSSDMTGESDDDREELLAAANAARNASYHACSSTFWKKMEPTFAFVSLEDISYVKQQIHFAEELDASLSNRFEAGHDAEVVWDVASSAHSSFAREQTNTVGPTNKTFGTLYSVDGTENVKTSVGRLETNRWYDKMVPLSQRLLSAFIAEDETEKLDIETQGELFLHSDTNNYINDHAKELMNMDCHLELEYKNHKNSLGDIIPCDEFMVSNNYRHLNIQNFMYGYEPLVENSAVLSTDNGSLSGYKKNSLNQLHVMDNTSPYECQFEDMSLDDRILMELHSIGLFPETVPDLAEGEDGEIGKVISELKMRLYQQVKKKKHQLDKLERAIRDAKEIEESDRKLEQLAVNKLVEMACKRLMGGRGSSSHKSGTTKVSKQLALAFGKRTIARCKRFDETGRSCFSELAIRDAVLSVPLHIKHSDRSLNHVESRSGQLGTRASGVTSNTSMRHGLGNKIDQSPLDPYQSSPQMGEQLVTRRKKEVLLDDVTGSTRAISSPTHSLSNSAKWKRTERDRDQNKDALGRSSTAKAGRPSSSGGRGERKTKTKPKQKIAQLSTSGNGLGRVTEAANLMSPTLHAPFDTVNSSITKIDQEVELHSLDNLVHDSSKENDDAIFTNLPLHGIESIDELDVAEGLGGQGQDIASWLNVDEDSLQDHDLVGLEIPMDDLSELTLNF >Ma10_p15650.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27684678:27697011:1 gene:Ma10_g15650 transcript:Ma10_t15650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSSRAESASSSMDGPNFAATAHHNAQRGAYSGSGLERSGSFRESSENRALVPGPGTSRNTSFSPEIPSLSQYLPLEPFLMSEQKFSRSGELRRVLGVTVEDHSFGSAQFKPLLPIASEELKRFKASILESSTRARDRTRLLQESIFKLDKYRNMRKRQRADQSNEKSGTSNPLKMGSMIHQNPAELASPRLEDRAKSLIPNKRIRSSMAELRSEGRGSVPLRQGAVMDKDKNVHFEKDKSILRNCNGGSIPSEDKMHGFAPGGDGWEKKLKRKRSVGLIRVIESDRDTKQSSQQRANNEPRLRSSDGIGIRPGLSSGTTVSNKVDSCSQLSGAGSRGTPKNDLDSGSVSNERREHSGGLDNERNTSKGSNKLNIREDVQAGNQSPMIKGKASRALRTVSGAVMNASSNFLHSSGSIDGQGQAPRINKVQPLTATNRKRLIPNESSSPPVTQWVGQRPQKISRTRRVNVVSPVSNLDEAQFLHEGSATPDVGARMTVVDSGGLLITRGLPNNIHQSKQKFDNVLSPSVLSESEDSAAVENKFKEKGIDNFELEDGPQTSLKSTSVFPTKKNKTPPKEEIRDGVRRQGRSGRGSVQSKACLSVPRQKVENLDTTKPLKSGKLGSERSETSRIGRPPLKKTDRKACARPQTMNNGSSDMTGESDDDREELLAAANAARNASYHACSSTFWKKMEPTFAFVSLEDISYVKQQIHFAEELDASLSNRFEAGHDVMAEVVWDVASSAHSSFAREQTNTVGPTNKTFGTLYSVDGTENVKTSVGRLETNRWYDKMVPLSQRLLSAFIAEDETEKLDIETQGELFLHSDTNNYINDHAKELMNMDCHLELEYKNHKNSLGDIIPCDEFMVSNNYRHLNIQNFMYGYEPLVENSAVLSTDNGSLSGYKKNSLNQLHVMDNTSPYECQFEDMSLDDRILMELHSIGLFPETVPDLAEGEDGEIGKVISELKMRLYQQVKKKKHQLDKLERAIRDAKEIEESDRKLEQLAVNKLVEMACKRLMGGRGSSSHKSGTTKVSKQLALAFGKRTIARCKRFDETGRSCFSELAIRDAVLSVPLHIKHSDRSLNHVESRSGQLGTRASGVTSNTSMRHGLGNKIDQSPLDPYQSSPQMGEQLVTRRKKEVLLDDVTGSTRAISSPTHSLSNSAKWKRTERDRDQNKDALGRSSTAKAGRPSSSGGRGERKTKTKPKQKIAQLSTSGNGLGRVTEAANLMSPTLHAPFDTVNSSITKIDQEVELHSLDNLVHDSSKENDDAIFTNLPLHGIESIDELDVAEGLGGQGQDIASWLNVDEDSLQDHDLVGLEIPMDDLSELTLNF >Ma10_p15650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27684715:27697011:1 gene:Ma10_g15650 transcript:Ma10_t15650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSSRAESASSSMDGPNFAATAHHNAQRGAYSGSGLERSGSFRESSENRALVPGPGTSRNTSFSPEIPSLSQYLPLEPFLMSEQKFSRSGELRRVLGVTVEDHSFGSAQFKPLLPIASEELKRFKASILESSTRARDRTRLLQESIFKLDKYRNMRKRQRADQSNEKSGTSNPLKMGSMIHQNPAELASPRLEDRAKSLIPNKRIRSSMAELRSEGRGSVPLRQGAVMDKDKNVHFEKDKSILRNCNGGSIPSEDKMHGFAPGGDGWEKKLKRKRSVGLIRVIESDRDTKQSSQQRANNEPRLRSSDGIGIRPGLSSGTTVSNKVDSCSQLSGAGSRGTPKNDLDSGSVSNERREHSGGLDNERNTSKGSNKLNIREDVQAGNQSPMIKGKASRALRTVSGAVMNASSNFLHSSGSIDGQGQAPRINKVQPLTATNRKRLIPNESSSPPVTQWVGQRPQKISRTRRVNVVSPVSNLDEAQFLHEGSATPDVGARMTVVDSGGLLITRGLPNNIHQSKQKFDNVLSPSVLSESEDSAAVENKFKEKGIDNFELEDGPQTSLKSTSVFPTKKNKTPPKEEIRDGVRRQGRSGRGSVQSKACLSVPRQKVENLDTTKPLKSGKLGSERSETSRIGRPPLKKTDRKACARPQTMNNGSSDMTGESDDDREELLAAANAARNASYHACSSTFWKKMEPTFAFVSLEDISYVKQQIHFAEELDASLSNRFEAGHDVMAEVVWDVASSAHSSFAREQTNTVGPTNKTFGTLYSVDGTENVKTSVGRLETNRWYDKMVPLSQRLLSAFIAEDETEKLDIETQGELFLHSDTNNYINDHAKELMNMDCHLELEYKNHKNSLGDIIPCDEFMVSNNYRHLNIQNFMYGYEPLVENSAVLSTDNGSLSGYKKNSLNQLHVMDNTSPYECQFEDMSLDDRILMELHSIGLFPETVPDLAEGEDGEIGKVISELKMRLYQQVKKKKHQLDKLERAIRDAKEIEESDRKLEQLAVNKLVEMACKRLMGGRGSSSHKSGTTKVSKQLALAFGKRTIARCKRFDETGRSCFSELAIRDAVLSVPLHIKHSDRSLNHVESRSGQLGTRASGVTSNTSMRHGLGNKIDQSPLDPYQSSPQMGEQLVTRRKKEVLLDDVTGSTRAISSPTHSLSNSAKWKRTERDRDQNKDALGRSSTAKAGRPSSSGGRGERKTKTKPKQKIAQLSTSGNGLGRVTEAANLMSPTLHAPFDTVNSSITKIDQEVELHSLDNLVHDSSKENDDAIFTNLPLHGIESIDELDVAEGLGGQGQDIASWLNVDEDSLQDHDLVGLEIPMDDLSELTLNF >Ma10_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27684678:27697011:1 gene:Ma10_g15650 transcript:Ma10_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSSRAESASSSMDGPNFAATAHHNAQRGAYSGSGLERSGSFRESSENRALVPGPGTSRNTSFSPEIPSLSQYLPLEPFLMSEQKFSRSGELRRVLGVTVEDHSFGSAQFKPLLPIASEELKRFKASILESSTRARDRTRLLQESIFKLDKYRNMRKRQRADQSNEKSGTSNPLKMGSMIHQNPAELASPRLEDRAKSLIPNKRIRSSMAELRSEGRGSVPLRQGAVMDKDKNVHFEKDKSILRNCNGGSIPSEDKMHGFAPGGDGWEKKLKRKRSVGLIRVIESDRDTKQSSQQRANNEPRLRSSDGIGIRPGLSSGTTVSNKVDSCSQLSGAGSRGTPKNDLDSGSVSNERREHSGGLDNERNTSKGSNKLNIREDVQAGNQSPMIKGKASRALRTVSGAVMNASSNFLHSSGSIDGQGQAPRINKVQPLTATNRKRLIPNESSSPPVTQWVGQRPQKISRTRRVNVVSPVSNLDEAQFLHEGSATPDVGARMTVVDSGGLLITRGLPNNIHQSKQKFDNVLSPSVLSESEDSAAVENKFKEKGIDNFELEDGPQTSLKSTSVFPTKKNKTPPKEEIRDGVRRQGRSGRGSVQSKACLSVPRQKVENLDTTKPLKSGKLGSERSETSRIGRPPLKKTDRKACARPQTMNNGSSDMTGESDDDREELLAAANAARNASYHACSSTFWKKMEPTFAFVSLEDISYVKQQIHFAEELDASLSNRFEAGHDVMAEVVWDVASSAHSSFAREQTNTVGPTNKTFGTLYSVDGTENVKTSVGRLETNRWYDKMVPLSQRLLSAFIAEDETEKLDIETQGELFLHSDTNNYINDHAKELMNMDCHLELEYKNHKNSLGDIIPCDEFMVSNNYRHLNIQNFMYGYEPLVENSAVLSTDNGSLSGYKKNSLNQLHVMDNTSPYECQFEDMSLDDRILMELHSIGLFPETVPDLAEGEDGEIGKVISELKMRLYQQVKKKKHQLDKLERAIRDAKEIEERKLEQLAVNKLVEMACKRLMGGRGSSSHKSGTTKVSKQLALAFGKRTIARCKRFDETGRSCFSELAIRDAVLSVPLHIKHSDRSLNHVESRSGQLGTRASGVTSNTSMRHGLGNKIDQSPLDPYQSSPQMGEQLVTRRKKEVLLDDVTGSTRAISSPTHSLSNSAKWKRTERDRDQNKDALGRSSTAKAGRPSSSGGRGERKTKTKPKQKIAQLSTSGNGLGRVTEAANLMSPTLHAPFDTVNSSITKIDQEVELHSLDNLVHDSSKENDDAIFTNLPLHGIESIDELDVAEGLGGQGQDIASWLNVDEDSLQDHDLVGLEIPMDDLSELTLNF >Ma09_p17190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12696868:12719841:-1 gene:Ma09_g17190 transcript:Ma09_t17190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKGVVGGSGTGPKDLPYNIGEPYPSAWGSWTHQRGTSKEDGSSVSIFSLSGSSSQDGHLAAGRNGVKRLRTVRHPNILSFLHSSEAEISDGSTMKHTIYIVTEPVMPLSEKIKELNLTGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGNSEASNSAMLQYEWLIGSQYKPMELVKSDWGSIRKSPPWAIDSWGLGCLIYELFSGMKLSKTEELRNTSFIPKSLLPDYQRLLSSTPSRRLNPLKLIDNSEYFHNKLVETIQFMEILNLKDSVEKDSFFRKLPNLAEQLPRQIVLKKLLPLLSSALEFGSAAAPALTALLKMGSWLSTEEFNIKVLPTIVKLFASNDRAIRVALLQHIDQFGESLSSQIVDEQVFPHVANGFADTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEATRKRVLINAFTVRALRDTFSPARAAGIMALSATISYYDMAEISTRILPNIVVLTSDPDGDVRTKAFQAIDQFLLIAKQHHEKLITGDTNETAGTGVPSIAGNASLLGWAMSSLTLKGKASEHGSLASANATTTPDLTTSNPSSDTASSAVNISDQPRPSSPTSTDGGWGEADSGLLQEDHDTDKEGWDDIEPLEEQKPPSLASIQAAQQRPVVHQKSQNTSFSQPKTAATRTSKAGDEDDLWGPVAVPAPRTVPHIVNVQPAAPKEDDGLWGSIAVAPPKTTSRPLKTKASAALDDSDPWAAIAAPPPTTKAKPLSLGRGRGTKPASARLGAQRIDRNSSGS >Ma09_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12696868:12719841:-1 gene:Ma09_g17190 transcript:Ma09_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKGVVGGSGTGPKDLPYNIGEPYPSAWGSWTHQRGTSKEDGSSVSIFSLSGSSSQDGHLAAGRNGVKRLRTVRHPNILSFLHSSEAEISDGSTMKHTIYIVTEPVMPLSEKIKELNLTGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGNSEASNSAMLQYEWLIGSQYKPMELVKSDWGSIRKSPPWAIDSWGLGCLIYELFSGMKLSKTEELRNTSFIPKSLLPDYQRLLSSTPSRRLNPLKLIDNSEYFHNKLVETIQFMEILNLKDSVEKDSFFRKLPNLAEQLPRQIVLKKLLPLLSSALEFGSAAAPALTALLKMGSWLSTEEFNIKVLPTIVKLFASNDRAIRVALLQHIDQFGESLSSQIVDEQVFPHVANGFADTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEATRKRVLINAFTVRALRDTFSPARAAGIMALSATISYYDMAEISTRILPNIVVLTSDPDGDVRTKAFQAIDQFLLIAKQHHEKLITGDTNETAGTGVPSIAGNASLLGWAMSSLTLKGKASEHGSLASANATTTPDLTTSNPSSGSYADTASSAVNISDQPRPSSPTSTDGGWGEADSGLLQEDHDTDKEGWDDIEPLEEQKPPSLASIQAAQQRPVVHQKSQNTSFSQPKTAATRTSKAGDEDDLWGPVAVPAPRTVPHIVNVQPAAPKEDDGLWGSIAVAPPKTTSRPLKTKASAALDDSDPWAAIAAPPPTTKAKPLSLGRGRGTKPASARLGAQRIDRNSSGS >Ma08_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35402731:35403299:-1 gene:Ma08_g21540 transcript:Ma08_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKIPRLIDTKAGNERKKPSSRLQKQAPATLQLDATKRNTAFVMGADDAAASAPIPLLSPLLLSPSPLWDAEESNSAGEDKGDGGGSQTSSPASPPEGWHHPALPVAAMEPASLVPSFELQCSMVHHVQ >Ma04_p37060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35139191:35140466:1 gene:Ma04_g37060 transcript:Ma04_t37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSSASYIRTVQHLIEQCLLFRMSKEECMEALAKHADIKSVITSTVWKELEKENGEFFQAYMKEQEEKAMEVEAAQRMQKILTELASKDYDKEE >Ma08_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2221946:2225909:1 gene:Ma08_g02960 transcript:Ma08_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEATNGYEGEKKPAGAKRKGEKLVRKRGCSRGTRRRVQAASTAIQRLYVACKTVFKDPKTVPEAANVEMLQRLLDEMRPEDVGLSTDALFRVKSSSKGTPKITYAMIYKCDNFSISIFFLPPRAIIPLHNHPGMTVFSKLLAGSVHIKSYDWLDPGASNSSKPSPKLRLAKLVVDSDFTAPCDTSILYPTTGGNIHTFTAITACAVLDVQGPPYSKEEDRDITYYRDYPYRTYPNGATDQNGEEDSSFGWLEEIDISKDLKMNVIEYLGPQVIEG >Ma05_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8352923:8353993:-1 gene:Ma05_g11490 transcript:Ma05_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAARFLLTESEQLPSFPPSESVPIDTDVVVVIAALLCAVVSVAGLALVARCACLRRSPVLPSNKGLEKEALRALPTISYSAGGGGLVECPICLAEFEEGDELRVLPQCGHGFHVGCVDAWLHSHSSCPSCRRVLVVAAPLSR >Ma03_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24918637:24922691:1 gene:Ma03_g19640 transcript:Ma03_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLRPEAAPLLQTRPGSNDEAERAYDADEKVVISVSEDGRSMEDDGSLPPFSWRKLWRFTGPGFLMSIAFLDPGNLEGDLQAGACAGYSLLWLLFWSTAMGLLIQLLSARLGVATGRHLAELCREEYPRWATIALWIMAELALIGADIQEVIGSAIAIKILSGGVIPLWAGVVITASDCFIFLFLENYGVRKLEAFFGVLIATMAVSFAIMFGEAKPSGKEFLIGVLVPKLSSSTIRQAVGVVGCIIMPHNVFLHSALVQSRKIDNSKTSHVREAMSYYSIESTVALLISFFINVCVTTVFAKGFYGTEVASSIGLENAGQFLQEKYGGKLFPILYIWGIGLLASGQSSTITGTYAGQFIMGGFLNLRLKKWARSLITRSFAMLPTIIVALLFDTDDSAMDILNESLNVLQSIQIPFALIPLLALVSKEQLMGAFRIGPIMKVVTWIMVGFLIIINGYLLLDFFTAEVHGLLISCLLSSALAIYVIFIAYLVLRHSAFCTSGTLAINKGFCNRN >Ma06_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13807913:13812265:-1 gene:Ma06_g19720 transcript:Ma06_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPSAASSSSPEVAAAAAAAVAPFSVRNRRALHVPFFPLPSPPPAESLPKYPSSSSTPFFPFYPSTPPPPPPPQPTFPANISSLTFPGSRSAPPHRHSSVVVAAVLLPLLALGLLAAAGVAFLLHRRRRLLPDPYSDKDDARSDSHRLFPDDSAASDRGPEAPPSVAAFSDFIYLGTPADARRDDYGKDAGRPAADPMDGSSERKMGSPELRPLPPLPRQFRHGHGNGETGTSSEEEFYSPRLSSAGRGSPGRGIGGQMSSPRRTFPAALDNCGSRSSTMSTPSYPTSIMASSPQSSPVASSPRVGSSPGHSFGGSLKSRSERNLTGSLVFAPPPPPPPPPPPPPLRPLTPSPPKRKPPSPSPPSFPVEKEFEGRAEASDLAGPTPESPMTPGHFSRNPFVVRTPPAPPPPPPPPPPLVGYWESRIRKPPVILPHKQVGVKNSSASVYPADSRGNSDEMEKNEETRRRKLKPLHWDKVQARSNRAMVWDQLQSSSFQVNEEMIETLFVSNATDAKPKETNKQQLLPSQTQANDKVLDPKKSQNIAILLRALNVTNEEVCEALLEGNADSLGTELLETLLKMAPSKEEEHKLKDYKNDSPFKLGTAEKFLKALLDIPFAFKRVDAMLYVANFDSEVNFLNKSFETLEAACEELRSSRLFIKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIKSEGSRLSASNNSATKTQANTLRDEVECRKLGLQVVAGLGGELSNVKKAAAMDSDVLSSYVSKLAGGIGKINEVLRLNGASGSEENSQRFRNAMNQFLERAEDDIIKVQAQESVALSLVKEITEYFHGNSAREEAHPFRIFMVVRDFLAILDQVCKEVGRINERTIVSSARQFPVPVNPTLPHVFPIHASRPEGSDEESSLSS >Ma06_p36220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35745063:35748613:1 gene:Ma06_g36220 transcript:Ma06_t36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARVDYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWICNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDTKAEDRTIKINKPDQAAAEGTAAAKSACCGS >Ma10_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19337958:19340931:1 gene:Ma10_g06470 transcript:Ma10_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLCENNDDDDEVLLAMAEELGVFIPYVGGIQHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDLVEWFIPLVKRLAAGEWFTARVSSCGLFHIAYPSATELLKAELRSMYGHLCQDDMPMVRRSAASNLGKFAATVEPNTLKTDVMSIFDDLTLD >Ma08_p20860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34926894:34931374:1 gene:Ma08_g20860 transcript:Ma08_t20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPARGGGVRLFGVRLMEGTGTMKKSASMGCLSSSSASAALSSLAGASAGAASPSGGALGDHHYSTVAAPASGYASDDPARASCSSNCRSERKKDIGIDSLADRLATLNAQCTISESGPSLEIRSCQKVISSLVQGVPWTENEHRMFLMGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRRSNASRRKRHSSLFDIVPEMPIDEAPNHEEQLLPHSPNELESTSRLPTLHLGLQGPEPAEPSTTMHAAQLIESIPRIHNNHPVPMLLPTFYRTFIPVPGPFWPSNQITTAKEEMMRETHKIVMPTPVVPKEPVNADEVVSMSKLSIGEGLPHHMDPSALSLRPSGTSSSSRQSAFHIDSSIAVPDLNQTNSSPIHAV >Ma08_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34926894:34931374:1 gene:Ma08_g20860 transcript:Ma08_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPARGGGVRLFGVRLMEGTGTMKKSASMGCLSSSSASAALSSLAGASAGAASPSGGALGDHHYSTVAAPASGYASDDPARASCSSNCRSERKKGVPWTENEHRMFLMGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRRSNASRRKRHSSLFDIVPEMPIDEAPNHEEQLLPHSPNELESTSRLPTLHLGLQGPEPAEPSTTMHAAQLIESIPRIHNNHPVPMLLPTFYRTFIPVPGPFWPSNQITTAKEEMMRETHKIVMPTPVVPKEPVNADEVVSMSKLSIGEGLPHHMDPSALSLRPSGTSSSSRQSAFHIDSSIAVPDLNQTNSSPIHAV >Ma03_p25690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29659702:29664067:-1 gene:Ma03_g25690 transcript:Ma03_t25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAEATAADFALSETNINWDRLDKTRFHVIGAVLFTLQSGLLHPTAVVKTRIQVAEAGLSHMHGFSMFRRILRYDGILGLYRGFGTSAIGSLPGRVLALTSLEVSKDMMLKYTEHMDLSEATRIALSNGAAGLVSNVFSCVYFVPLDVISQRLMVQGLPEMIKYNGPFDVIRKVLRSEGLHGLYRGFGITVATQSPASALWWGAYGAAQHVIWRSLGYQNDAEKKPSQLELVTVQATAGTLAGACSSIITTPIDTIKTRLQVMNGYVGRPSVTKTMKRLVEEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELTKRLSVKC >Ma07_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6747179:6753079:-1 gene:Ma07_g09000 transcript:Ma07_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRKRDRLRWSKLYSFACVRPTVLLDDEQPSSLQGPGYSRIVHCNRPLLHRKKPLDYRNNYITTTKYNVITFLPKALFEQFRRVANIYFLLAAVLSLTPVSPFTPVSMIAPLAFVVGLSMAKEALEDWRRFIQDMKVNSRKVSVHNGEGQFGYKQWQDIRVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLALDDDEAFRDFTATINCEDPNPNLYTFVGNFEYERQVYALEPNQILLRDSKLRNTTYIYGVVIFTGHDSKVMQNATSSPSKRSRIEKKMDKIIYVLFTILVLISLISSIGFAVITKNKMPDWWYLRPDDTSSLYDPSRPALSGVFHLVTALILYGYLIPISLYVSIEVVKVLQATFINRDVLMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGVSYGVRSSEVEIAASKQMETEASGTPEHQNGTRDLWEDNRGAYGSSEIELVNGIPSMVDKPRKPAIKGFSFEDDRLLNGNWTKEPTANTILMFFRILALCHTAIPEPNEDTGGFTYEAESPDEGAFLVAAREFGFEFCKRTQTSVFIREKYSPSEEPVEREFKILNLLEFSSKRKRMSVVVRYESGQILLLCKGADSIIFDRLSKNGRLYESDTSRHLNEYGEAGLRTLALAYRVLDESEYSAWNTEFLKAKTTIGPDREAQVERISDIMERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKIETAINIGYACSLLRPGMKQICLSTVSNDLLTQDANKAAKENILMQITNAGQMIKLEKDPYAAFALIIDGKTLTYALEDDVKNQFLSLAVDCASVICCRVSPKQKALVTRLVKEGTGKVTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIALMICYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRIIGWMFNGLYTSIIIYFLNIGIFFRRSFRSGGQTADMAAIGTTMFTCIIWAVNVQIALIMSHFTWIQHLFVWGSVATWYLFLLAYGTSTLSGNAYQILVEALGPAPMYWAVTLLVISVCNIPYLLHISYQRALNPLDHHVIQEIKYYKKDLEDQRMWKREKTKARQKTKIGFTARVDAKIMQLRGRLHKKVPSLTIQTI >Ma07_p09000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6747179:6753079:-1 gene:Ma07_g09000 transcript:Ma07_t09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRKRDRLRWSKLYSFACVRPTVLLDDEQPSSLQGPGYSRIVHCNRPLLHRKKPLDYRNNYITTTKYNVITFLPKALFEQFRRVANIYFLLAAVLSLTPVSPFTPVSMIAPLAFVVGLSMAKEALEDWRRFIQDMKVNSRKVSVHNGEGQFGYKQWQDIRVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLALDDDEAFRDFTATINCEDPNPNLYTFVGNFEYERQVYALEPNQILLRDSKLRNTTYIYGVVIFTGHDSKVMQNATSSPSKRSRIEKKMDKIIYVLFTILVLISLISSIGFAVITKNKMPDWWYLRPDDTSSLYDPSRPALSGVFHLVTALILYGYLIPISLYVSIEVVKVLQATFINRDVLMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGVSYGVRSSEVEIAASKQMETEASGTPEHQNGTRDLWEDNRGAYGSSEIELVNGIPSMVDKPRKPAIKGFSFEDDRLLNGNWTKEPTANTILMFFRILALCHTAIPEPNEDTGGFTYEAESPDEGAFLVAAREFGFEFCKRTQTSVFIREKYSPSEEPVEREFKILNLLEFSSKRKRMSVVVRYESGQILLLCKGADSIIFDRLSKNGRLYESDTSRHLNEYGEAGLRTLALAYRVLDESEYSAWNTEFLKAKTTIGPDREAQVERISDIMERELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKIETAINIGYACSLLRPGMKQICLSTVSNDLLTQDANKAAKENILMQITNAGQMIKLEKDPYAAFALIIDGKTLTYALEDDVKNQFLSLAVDCASVICCRVSPKQKALVTRLVKEGTGKVTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIALMICYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRIIGWMFNGLYTSIIIYFLNIGIFFRRSFRSGGQTADMAAIGTTMFTCIIWAVNVQIALIMSHFTWIQHLFVWGSVATWYLFLLAYGTSTLSGNAYQILVEALGPAPMYWAVTLLVISVCNIPYLLHISYQRALNPLDHHVIQEIKYYKKDLEDQRMWKREKTKARQKTKIGFTARVDAKIMQLRGRLHKKVPSLTIQTI >Ma07_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16131358:16133688:1 gene:Ma07_g17050 transcript:Ma07_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVHSWNLLRGIFLFRLLKGWL >Ma01_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3146658:3158900:-1 gene:Ma01_g04630 transcript:Ma01_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCCVSVPEDWGEPDAFYAIRPECRDDVPASRFKPTPGKTLSARRWHSSFSEEGHLDIARVLRRIQRGGVHPTIKGVVWEFLLGCYDPKSTFDERTQLRQNRRSEYERLKSQCKEMENTVGSGKMITTPVISEDGQPIENPSSNGANLGEEQLPDNKTDNVTQDKEVIQWKLTLHQIGLDVVRTDRALQYYETPENQARLWDILAVYSWIDKEIGYCQGMSDLCSPIIIVIENEADAFWCFQHLMRRVRENFKSTSSTIGVRSQLTLLSSILKTIDPKLHEHIENLDGGEFLFAFRMLMVLFRREFSFVDSLYLWELIWSMEYNPHLFSIYESDDSAKNNQDGPGNEDLMQFGKFERENVKSGQKNQEATLSIFLVASVLESKNKRLLQEAKGLDDVVKILNDITGSLNAKKACDEALKLHIKYLNMAKAA >Ma11_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20668625:20696376:-1 gene:Ma11_g14970 transcript:Ma11_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MELENTVKEALNALYHHPDDAVRGRADRWLQDFQRTIDAWQVSDNLLHDANSNMETLIFCSQTLRSKVQRDFEELPSEAFRPLRDSLYALLKKLDTGPPKVRTQICVAVAALAVHVSVEDWGDGGIVNWLSSEMKSHPEYMRSFLELLTVLPQEAHSYKIAARPERRRQFEKELISSAEVALSLLTACLGFDNFKEEVLEGFASWLRLSRGIPASTLASHPLVHAALSSLSSEQLLEAAVNVISELIHFTVSGGSGDLSAQMPLIHVLVPHVMSLKEQLGDSSKDEEDVKAIARLFADMGDSYVELIATGSDDSMLIIQALLEVASHPEYDISSMTYNFWHNLQTILTRRESYLTYGSEGSIEAERNRRLHVFRAPFEMLVSLVSFRVEYPKDYEELSEEDHKDFKHTRYAVNDVLIDATTILGGEQTLKILSMKLFQAVGNHRHGESFKWQPVEAALFCIQAVAKTVSTQEAEVLPQIMALLPKLPYEPYLLQTVCSTIGAYSKWIDAAPVELSILPPLVDILTKSMSASEDSAAAAAVAFKYICEDCSRKFLGALDGLFHIYHIAISGEGGYKVSSDDSMHLVEALSVVIKELPPEHAKKALELVCLPIVTPLQEFTNQGGGSIQETPASQLTIHIDRLACIFRNVSLPEIVAEAVNRFWPIFKTIFDHRGWDMRTMESLCRACKYAVRTCGRFMGVTIGSMLEEIQVLYLQHNQPCFLYLSSEVIKMFGSDPSCADYLRNLIESLFSHTTKLLTTIQDFTARPDIADDCFLLASRCIRYCPDLFVLSSIFPYLIDCSMVGITIQHRDACKSLLNFLSDVFDLANSSAGEKYQSIINGTIIPRGATLTRILIASLTGALPSSRLEEVTYVLLSLTRTYGVRVLVWAKESISLIPHTALTEAESSTFLKALSDAASGSESSALTETLEELSDVCRRSRTVQDVVQGALRPLDLKFTAVS >Ma03_p27920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31144285:31153780:-1 gene:Ma03_g27920 transcript:Ma03_t27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEVLEGTVLNILEQETLKWVFVGGKGGVGKTTCSSILSILLAQVRQSVLVISTDPAHNLSDAFQQRFTKIPTLVHGFSNLYAMEVDPKMEEDNLSDEGLEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLEKVMALKNRFGGIFSQATRLFGLGDEFNEDAMLGKLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDAHNIIINQVIFDEEAVESKLLKARIKMQQKYIDQFYLLYDDFHITKLPLLPEEVCGVEALKKLSHHFVTPYKPSLTRGTVEEVQQRISTLRLQLEEAELELERLQKGKEEA >Ma01_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7476664:7479282:-1 gene:Ma01_g10380 transcript:Ma01_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGSSGDKRKSEEQKPKEHKPKANENKPVMTE >Ma08_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14775329:14776098:1 gene:Ma08_g14830 transcript:Ma08_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAIDAVRVRGDSVGGVVTCIARNVPRGLGCPVFDKLEADLAKAMLSLPATKGFEFGSGFSGTFMTGSEHNDEFYIGEHGRDIQWRNHLYENSF >Ma11_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5622179:5656097:-1 gene:Ma11_g07040 transcript:Ma11_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MENIKRLPRNVHGSLRSGVVMFDLPRVVEELVNNSLDANPTKVSVFVNVRTCYIKIEDDGCGITRDELVILGEKYATSKSGLVDDGRSSTHGLGFRGEALLSLSNISIVEVRTKARGKPNAYCKIIKGSKCLFLGIDDQREGVGTTVIVRDLFYNQPVRRRYMQSSSKKVLYCVKKVVLRAALVHSQVSFKLVDIDSEEELICTTPSSSPLPFVSNMFSNEFSDSLHEIVFSDDMLMLSGYISGPGDVCPTKALQYLYVNLRFISKGPIHNLLNNLASSVLCSLALHAVDPEIQGGKRHKIQANPAFILNLHCPTSLYDLHFEPSKTIIEFKDWDTVLTFFERAVRQSWQQHLAPSLQGSSQKIGALRKSEAQKEETSTRDLVKSSNITKRSSNNQLHRNSLHIPMTTPLDLASEDTDAAQDQGRSKRDLHGFFMNLEPCQTDTDYFGEYNFLRCSANDTVHLLGSSPDNMSCLDIDHYDNADDYYFGEYNSLRCSANDAGHLLESSPDHMSCLDIDHYENAGDYFLPQNHVILDLVPQFGKKHNILGSKWKNKCPERVGNLSREAVKTTNALDFHGVMINDHEVEFSSPFLKKLSKSGASSCSLIKNDNCDSAYYSRCSGYDVRIGQSGSDLFLHDNIDLMDADYSTENLDTRDTYTQESFDASMHSIGVIRKCRTTKHLDVSSIDLVDPCSLDQGCSSKDKRLDSCLQDWEASSRCGSPYPCAWHARSLRTIFDPANRSISEEKLMSVEGDGTWPLGITKVKDEYLSPTQHWSTWEPPSMIYPANNLDDNCSLHPISNTDISDPSDEVAFENVCASSKINDQISLFPSDILDISVTEKIFNPKLLTIEKDNVVVGSLWNEKEFQSQQLPLIYKERSRSQSAPPFYKGKSKFSVLCGCLTSVAAKNSSSAVSKDLSEAVCLFDNMLQPNASQPCSQPVPSEDFQPYMIEPSNEKPSTFDKRHYGQADVIDDVPEYSASGLAKWRFGIPQTKDEDILHKPVEQTNDILDISSGLLHISGDSLVPELINKDCLHNARVLYQVDKKFIPVMANGRLMIIDQHAADERIRVEELRQQVVSGEGRRVTYLESEQELVLPEMGFQLLQKYSEKIQNWGWIFNIRSASQNLFNKNLNLLRRHSSGVILVAVPCILGINLNDKDLLEFIQQLVETDGSSTLPPSVLRILNFKACRGAIMFGDSLLPSECSLIVEELKATSLCFQCAHGRPTTVPLLNMASLHEQLAKLKVDQGGSSETWHGLRVHRRPSFRRAQLLLDSAKRFHNG >Ma03_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19154901:19163628:1 gene:Ma03_g16580 transcript:Ma03_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MARALLSQLLILSDRPPRFSTSIIGRGRDLTRTRGAVPSRFPLLPGLDFRCNCTASSPVAEAPGVSPSPIRKRVVSGVQPTGSVHLGNYLGAIKNWILLQDTYDTLFFIVDLHAITLPYDTPELLKATRSTAAIYLACGVDPLKASIFVQSHVRAHVELMWLLSSATPLGWLNRMIQFKEKSRKAGDENVGVSLLTYPVLMASDILLYQTDLVPVGEDQKQHLELTRELAERINYLYGGRKWKKLGGRGGTLFKVPEALIPPTGARVMSLTDGLSKMSKSAPSDQSRINLLDTKDVIANKIKRCKTDSHPGLEFDNPERPECNNLLSIYQIITGRTKEEVEHECRDMNWGTFKATLADALIDHLLPIQARYSEITSDPAYLDQILSEGARKAADIAETTLNNVYQAMGFLQR >Ma11_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14822401:14824213:-1 gene:Ma11_g11720 transcript:Ma11_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGDHHRRYSPLDDRRHRRYMGPPISTSSSAASFKGCCCCLFLLLTFLALLAVAVALVVVLVLKPKKPQFDLQQVAVQYLLIDSTTAALGGAQPPAAYLSLNITLLFIANNPNRVGIRYEAAALEMMYRGVPLGVATVPGFGQPAESRRLVQTRVVVDRFNVLQADALDLVRDVALNDRVDLRLTGDVAAKILVLGLSSPRVQVSVDCAIVISPRKQSLIYQQCGVD >Ma07_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7474547:7480728:1 gene:Ma07_g09990 transcript:Ma07_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFDAESLTNPQRGSGGFLRMVVEGIEDTIGLGKGTTKHYATIDLEKARVGRTRMLSDEPVNPRWYESFHIYCAHMAANVIFTIKFDNPIGATLIGRAYLPVMEILDGDEVDRWLEICDEDRNPVGDAKIHVKLQYFDVLKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDDFIPRIPLADGKYYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLLRDNKRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETENYFHDTDVHCVLCPRNPDDGGSFVQDLQIATMFTHHQKIVVVDHEMPNKASQRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHDDFHQPNFGEASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDVLVQLRDLSDIIIPPSPVMFLEDKETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYAWKADDIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDVIQALQAKGIEANPKDYLTFFCLGNREVKKSGEYEPEEQPEQDTNYSKAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGSRDSEIAMGAYQPYHLSTREPARGQIHGFRLALWYEHLGMLDDAFLQPESSECVQKVNRIADKYWDLYSSDDLEHDLPGHLLSYPIGVSAEGEVTELPGTEFFPDTKARVLGAKSDYLPPILTT >Ma07_p09990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7474547:7480728:1 gene:Ma07_g09990 transcript:Ma07_t09990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFDAESLTNPQRGSGGFLRMVVEGIEDTIGLGKGTTKHYATIDLEKARVGRTRMLSDEPVNPRWYESFHIYCAHMAANVIFTIKFDNPIGATLIGRAYLPVMEILDGDEVDRWLEICDEDRNPVGDAKIHVKLQYFDVLKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDDFIPRIPLADGKYYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLLRDNKRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETENYFHDTDVHCVLCPRNPDDGGSFVQDLQIATMFTHHQKIVVVDHEMPNKASQRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHDDFHQPNFGEASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDVLVQLRDLSDIIIPPSPVMFLEDKETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYAWKADDIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDVIQALQAKGIEANPKDYLTFFCLGNREVKKSGEYEPEEQPEQDTNYSKAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGSRDSEIAMGAYQPYHLSTREPARGQIHGFRLALWYEHLGMLDDAFLQPESSECVQKVNRIADKYWDLYSSDDLEHDLPGHLLSYPIGVSAEGEVTELPGTEFFPDTKARVLGAKSDYLPPILTT >Ma06_p36400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35821773:35828007:-1 gene:Ma06_g36400 transcript:Ma06_t36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MATASVSPMVIAPPLSLRSKLPVGHSAPRSTAPPARRANAVPLRSRCIPGYTRFLRWNENAKPPCLIFARSAGTDGGPTKALAFPSVIAILHLAVSLGTILAADKLLKQAFVAAAIKFPSALFGMFCVFSVLTVLDFAAPSAAMGLVGFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFFILAAGWLASLSVAGQTAITVRKIVKTEMIPAEPMAKPSPFSNAELWTWTAVFVSTFVLAFMNPTALGTSARTCLPFLLAATVLGYMVGSGLPSNVKKVFHPIICCAFAADVAALAYGYFSKCGIDAVLGYYLTKASSNPGAGDILMGFLGSVIISFAFSMFKQRKLVRRHAAEIFSSVIIATTFSLYSTAIVGRLIGLEPTLTISILPRCITVALALSIVSLFEGANSSLTAAVVVLTGLVGANFVQAVMDKLRLDDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLLCSVPAVRQSLLFIVG >Ma09_p29060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39639292:39643044:1 gene:Ma09_g29060 transcript:Ma09_t29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQLFANGMPVPFVGEMFVLARDGVEFEIDKIAGANGGHVKAKGTIYLSNIRMVFVAKKPVGSFVAFDLPLLFVRGEKFNQPIFHCNNISGFVEPVVPEGEHRALYSTHSFKILFKEGGCGTFVPLFLNLIATVRQYNQHAAAYPAHEAAPRMDPLQAAQTPVDEMMRHAYVDPNDPTKIFLQQPTPESQLRRRTYHPQSAENSY >Ma10_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23256671:23259695:-1 gene:Ma10_g09050 transcript:Ma10_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNGMLKAVSEEEEEAYMYALKLTSASVLPMTLKAAIELELLEIIVRAGPQAKLSPDDIVASLPSENPKAADEVDRILRLLAAYGIVDCAVEAAADGGSSRKYSMTPLCKLLTKDEAGVSMASLCLLMQDKVHMGSWYYLKNAILHGGVPFDIAYGMTAFEYQGVDPRFNKVFNEAMKGQSTMITNRLLQIYHGFDDVKTLVDVGGGIGVSLHMITTKYPHIKAINFDLPHVISDAPPFLGVEHVIGDMFTSVPSGDAILLKWVLHDWSDDHCAKILKNCWKALPQKGKVIVVELILPTLPKPTTKAQCAFHADLAMLAFNPGGKERTEEEFEAMAKAAGFSGFNATYVFACAWVMELIK >Ma11_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2192076:2193653:1 gene:Ma11_g02980 transcript:Ma11_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKNAMISSVLLLLVLLCGPWAGCRAHNITAILERYPEYTLYNSYLTRTKVCDEINAHETVTCLVLDDGAMSTLAAKRPLAAIKNALRLLALLDYFDPPKLHALSSGTTLTTTLLQTTGNEAGNLGFVNITNLRGGRVGFASTAPGSKFDSTYTKSIEQIPYNLSVLAVSAPIVFPGLLDTPTAASSNLTALLEKAGCKTFARLLTTSGVLKVFQDAMAKGLTLFAPNDEAFKATDAPDLNSLSSAELVTLLQYHALPSYTPKASLKSVGGRLPTMASSAAGKYDLSVVSRGDDVSLDTGVDTSRVASTVLDDTPVCILTVDNLLLPIELFGAAPSPAPTPSPSTSPVEAPAPTPVAKAPSPKSHKKHHSPPAPPMASPESAPSDAPAAAADKADVKSAVGVATPIGTLATVAVVLATLAMASLP >Ma04_p32910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32797787:32800305:1 gene:Ma04_g32910 transcript:Ma04_t32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALFSSSPPPPTTLSALSLKHRLSFRTQLALFPRKAPSLLLPRSTDNGAGVVSSTATVAEEEEEKDKLEEKKEETPPPAAAEKDVESKQQSLLGSNGAAARAEEVLPPKFKDTRWVGGTWDLKRFQKDGKTDWDAVVDAEVRRRKWLEENPEASSNDEPVIFDTSIIPWWSWVKRFHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFIAVAGVLLIRKNEDIDTLKKLLDETTFYDRQWQATWQEDKSSSDSKAK >Ma01_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11927316:11932579:1 gene:Ma01_g16460 transcript:Ma01_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTAASTAGRMPQRLVLVAFMGCLLMIIPCFFAAVWKPKLDPLSSPNLQLSVTTSIKIQAVRESSSISDRSGSEMVVMPISVNEDEAELTNDTEIIRMPPPEEEKGRLNMTFTEAEFSNSTMDEEQKNNDNGIYPTTNVKDATKPKQEFILATGKPKAEEGTQEITSQTEGVAESKITCDLSERRSDTCVMHGDVRVLGYSSSIILSKAPQADAPGEENTWKIRPYARKWESPLMEIIRELTLKESTKPADTPQCAVHHTVPAIVFSTGGFLGNFFHDFTDVLIPLFTTARQYRGEAQFLVTNFNYGWIKKYEQILRRLSHYQIIDLDRDGRVHCFRHVHVGLLSHKELSMDPAKSPNGYSMADFREFLRSCFSLKRKSVSAGNRKPRLLMINRKGPRSFTNRREVASLARSLGYKVVVAGPEETKNLSRFARVVNSCDVMMGVHGAGLTNMLFLPTDAALVQVIPWGGLKYACGHDFGEPAPDMGLRYLEYEIKEGESSLMRQYPRSHPVFTDPLSIHKQGWNVLWSVFLNKQSVRLDVRRFKGVLQEAMNALPH >Ma08_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3615488:3615622:-1 gene:Ma08_g05260 transcript:Ma08_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFILVLFPIFLDMCTSFNLTHSTPQFLGCYSHTQPLKFLEFV >Ma02_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24069086:24069738:1 gene:Ma02_g16980 transcript:Ma02_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIMISTDASAPAKRRTKPSPPENKWRTGARERAYRSRLLEALRAAGERRASGPRAVKEAADSALALTARGQSRWSRAVLLRRRSRLLVRAGGRIRRRKRPRRRPVGPTPGTGQPEEGKKVRDRLRALGRLVPGCENLSTASLLEEAADYVAALEMQVKAMRTLADDLSAAAASGNGRRAEG >Ma05_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5157416:5160866:1 gene:Ma05_g07040 transcript:Ma05_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYPTVSEEYQKAVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTYDVVSKTGGPFGTMRFPAELAHGANNGLNIAVRLLEPIKEQFPILTYADFYQLAGVVAVEVTGGPEIPFHPGREDKPEPPVEGRLPDATKGSDHLRDVFGHMGLSDQDIVALSGGHTLGRCHKERSGFEGAWTSNPLIFDNSYFKELLSGEKEDLLQLPSDKALLTDPVFRPLVEKYAADEDAFFADYTEAHLKLSELGFAEA >Ma06_p15380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10438874:10442511:1 gene:Ma06_g15380 transcript:Ma06_t15380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGQQQGQGAKRQPVFTKVDQLKPGTSGHTLVVKVVNSNTVVQKGRAVSSHLGHTRVAECLVGDDTASVVFTARNEQVDLLKPGATVILRNAKIDMFKGCMRLAVDKWGRIEVAEPANFGVKEDNNLSMVEYELVNVGEE >Ma06_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10438874:10441800:1 gene:Ma06_g15380 transcript:Ma06_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGQQQGQGAKRQPVFTKVDQLKPGTSGHTLVVKVVNSNTVVQKGRAVSSHLGHTRVAECLVGDDTASVVFTARNEQVDLLKPGATVILRNAKIDMFKGCMRLAVDKWGRIEVAEPANFGVKEDNNLSMVEYELVNVGEE >Ma08_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4076615:4077172:-1 gene:Ma08_g06020 transcript:Ma08_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITSPFRKACTLINPPRQKNPQPEAHDPHRMALHGEVMACSYHDVQVMWSILDKSNPGEHGRR >Ma05_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2171643:2179114:-1 gene:Ma05_g03070 transcript:Ma05_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGTGAEAATAAGLGQVVKVKRKLLVACMTCPICHKLLRDATTISECLHTFCRKCIYDKLNEEEADFCPVCGIHLGCLPVEKLRADHNLQDLRAKIFPFKKAKAGAPDPSPFITLPVRRKEISLSSLVVNTPRIAAQTGLTGRRRKTVGTRAATSHGRSPATSESNINEDNDIDKLAKKSSSNGNPSRLSLSRRQASLGADISSNTAKKDVENGGKPLQDKAELWKPLNCLVEAANRTKSCRSSMQNLVSKAEQRHVPDNEVNINKTRVEEHPHKSEVKDENNDSDQLLPVTVKAKRLQGVSKKRRNLKNSVQILPHAANAQSDRRMITPLWFSLVATFDQTGDSSLPQISTSYLRIKDGNVPVSYIQKYLVTKLNLVSEAEVEITCHGEPVKPTLSLHNLVEQWVRRGSSQKLQAVIGTSAKEFVVVLGYRRRRVPA >Ma06_p37570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36579625:36585250:1 gene:Ma06_g37570 transcript:Ma06_t37570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTAIKIDPYLNTDAGTLAPFEHGEVFVLDDGGEVNLDLGNYERFLDIKLTRDNNITTGKIYQFVINKERKGDYLGKTVQVVPHITNAIQEWIERVAKIPVDGNEGPADVCIIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLDAVGEQKTKPTQHSVRSLRGLGLMPNILACRSTKPLDENIKEKLSQFCHIPVANIITLNDVTNIWHIPLLLREQKAHEAFIKLLKLQGIAKEPMLEEWTIIARIYDISHDPVRIAMVGKYTGLSDSYISVLKALSHASIACHKKLVVDWVPSSDLEDSTKAEAPDLYRAAWRRLRGADGILVPGGFGDRGVEGKILAVKYARENNVPFLGICLGMQISVIEFARSVMNLEDANSTEFDPDTTNPCVVFMPEGCKTHLGGMMRLGSRRTYFEVADSKAAKLYGNVSFVDERHRHRYEVNPSMITEFEKAGLAFVGKDETKRRMEIVELHTHPYFIGVQFHPEFKSRPGKPSALFSGLVGAACGQLELLLQNPSHCGTLTRRLTTSNGFSPTQSHQNGNSKDLQQAMASHPLNPTRTGMGIRRSPLRGCHTGTSAPMAMECMSDE >Ma06_p37570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36579625:36585250:1 gene:Ma06_g37570 transcript:Ma06_t37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTAIKIDPYLNTDAGTLAPFEHGEVFVLDDGGEVNLDLGNYERFLDIKLTRDNNITTGKIYQFVINKERKGDYLGKTVQVVPHITNAIQEWIERVAKIPVDGNEGPADVCIIELGGTIGATIFSGHNDSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLDAVGEQKTKPTQHSVRSLRGLGLMPNILACRSTKPLDENIKEKLSQFCHIPVANIITLNDVTNIWHIPLLLREQKAHEAFIKLLKLQGIAKEPMLEEWTIIARIYDISHDPVRIAMVGKYTGLSDSYISVLKALSHASIACHKKLVVDWVPSSDLEDSTKAEAPDLYRAAWRRLRGADGILVPGGFGDRGVEGKILAVKYARENNVPFLGICLGMQISVIEFARSVMNLEDANSTEFDPDTTNPCVVFMPEGCKTHLGGMMRLGSRRTYFEVADSKAAKLYGNVSFVDERHRHRYEVNPSMITEFEKAGLAFVGKDETKRRMEIVELHTHPYFIGVQFHPEFKSRPGKPSALFSGLVGAACGQLELLLQNPSHCGTLTRRLTTSNGFSPTQSHQNGNSKDLQQAMASHPLNPTRTGMGIRRSPLRGCHTGTSAPMAMECMSDE >Ma06_p37570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36579625:36585250:1 gene:Ma06_g37570 transcript:Ma06_t37570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTAIKIDPYLNTDAGTLAPFEHGEVFVLDDGGEVNLDLGNYERFLDIKLTRDNNITTGKIYQFVINKERKGDYLGKTVQVVPHITNAIQEWIERVAKIPVDGNEGPADVCIIELGGTIGATIFSGHNDSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLDAVGEQKTKPTQHSVRSLRGLGLMPNILACRSTKPLDENIKEKLSQFCHIPEQKAHEAFIKLLKLQGIAKEPMLEEWTIIARIYDISHDPVRIAMVGKYTGLSDSYISVLKALSHASIACHKKLVVDWVPSSDLEDSTKAEAPDLYRAAWRRLRGADGILVPGGFGDRGVEGKILAVKYARENNVPFLGICLGMQISVIEFARSVMNLEDANSTEFDPDTTNPCVVFMPEGCKTHLGGMMRLGSRRTYFEVADSKAAKLYGNVSFVDERHRHRYEVNPSMITEFEKAGLAFVGKDETKRRMEIVELHTHPYFIGVQFHPEFKSRPGKPSALFSGLVGAACGQLELLLQNPSHCGTLTRRLTTSNGFSPTQSHQNGNSKDLQQAMASHPLNPTRTGMGIRRSPLRGCHTGTSAPMAMECMSDE >Ma05_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24103643:24117038:1 gene:Ma05_g18560 transcript:Ma05_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNNIKSTIERYKKACADSSSSGAIVDVNSQHYYQQESAKLRHQIQILQNANRHLMGDALSSLNVKELKQLENRLERSITRIRSKKHELLFAEIEYMQKREVELQSDNMYLRAKIAENERVQQLSIVEAGAEYDAIPGAFDSRNYYHANMLEAAAHYSHHQDQTALQLGYETKADSAA >Ma08_p25520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38323428:38326994:1 gene:Ma08_g25520 transcript:Ma08_t25520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMNSMSGHLADSSPVSGGGLSQNRKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKEHLFSNLIRHPKFISDTKSAIADTYRHTDSEFLKSEISQNRDAGSTASTAILVGDRLLVANVGDSRAVISRGGKAFAVSKDHKPDQTDERRRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQFVVADPEIQEEVVDHSLEFLILASDGLWDVVTNEEAVAMIQSIEDPEQAAKRLLHEAYQRGSADNITCVVVRFLVGHGNTTTEQK >Ma08_p25520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38323393:38326994:1 gene:Ma08_g25520 transcript:Ma08_t25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMNSMSGHLADSSPVSGGGLSQNRKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKEHLFSNLIRHPKFISDTKSAIADTYRHTDSEFLKSEISQNRDAGSTASTAILVGDRLLVANVGDSRAVISRGGKAFAVSKDHKPDQTDERRRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQFVVADPEIQEEVVDHSLEFLILASDGLWDVVTNEEAVAMIQSIEDPEQAAKRLLHEAYQRGSADNITCVVVRFLVGHGNTTTEQK >Ma04_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2278579:2279389:-1 gene:Ma04_g02740 transcript:Ma04_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKITDVEAQQQQQQQKQPLLAPDSSGDDQVTSVQRAISQTFRSTAHLAKLLPTGTVLAFQLLSPIFTDGGHCMAANRLMTACLAALCALSCFVLSFTDSFRDGNSTVRYGLATFKGLWVIDGTAPLPPTIAIGYRIKFIDFVHAFMSFLVFAAVALFDQNVASCFYPIPSEETKQVLTCLPVAIGVICSMLFVTFPTTRHGIGFPLSPH >Ma10_p29900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36334717:36336097:1 gene:Ma10_g29900 transcript:Ma10_t29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDKRLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEAEEKMVIELHSKLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRRMGIDPLTHEPLIPSANDLLHQEQHQVGASADRREGNDKVSEEQGEEENITSAVDDAFCTDEVPMIQPHEMIVPCASTPSASFSISSSSSSSSSSSSSSCSSSCSSVKAQEIQPPCMEWPESVCLWGLDELNGWDFNYAQGDEQLGLDLFTQWQRTALDQETWKFELF >Ma06_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11233658:11238772:1 gene:Ma06_g16600 transcript:Ma06_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANELLPPYRDATQPVESRVRDLLSRMTLREKAAQMAQIERCVASPSALSGLPVGSVLSAGGSAPRERASPRDWADMIDRMQHWALASRLGIPILYASDAVHGHNNLYGATIFPHNVALGAIRDGDLARRIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDTDIVRTMTSIVAGLQGSPPLGHPPGHPFLAGRKNVIACAKHFIGDGGTDKGKNEGNTICTFEELEATHMKPYLDCLAQGVSTIMASYTSWNGRPLHSNHYLITEILKGKLGFKGFVISDWEGIDRLCQPHGSNYRYCISASVNAGIDMIMVPHRYEKFLEDLVFLVESGRISMSRIDDAVERILRVKFVVGLFEYPFSDRSLFDVVGCKEHQILAREAVRRSLVLLKNGKDIKKPILPLDKNARRILVAGTHADDIGYQCGGWTITWHGSSGRITIGTTVLEAIREAAGNETEVVYEKCPSEATFSDREYSYAIVAIGEDAYAEFLGDRTELGIPFDGATMISLVVGKVPTVVIVISGRPLVFEPELLDKIDALVAAWLPGSEGGGIADVLFGDYDFEGVLPITWFKSVDQLPMNAGHNAYDPLFPLGYGLKMNLDNRS >Ma01_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10349829:10352453:1 gene:Ma01_g14150 transcript:Ma01_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVRTYPRAEPRRTGNAFIVASMLLSLCILSFVKARYCSAPYAKSQASTDIEMNMEAIRMVTARSADHALKGIGDEEGSTVSMGKASEEKAVADSKKPICSETSRRSNVCEAEGDIRVKGSSQTIFLHPSLTSQEWKIKPYARKHDQAAIAHVKEWTIKPFTDQETRPRCTENYTVPAVVFSIGGFTGNLFHDFTDVLVPLFITSYRFGGEVQFVIADAKSWWLSKFSLILKQLSKYEVIDADNDQDAVRCFPRVIAGLSFQKELGVDPSRTPGGFSVVDFKEMLRNAYGLERPRAEPSGDEWDLRRKPRLLIISRKSSRVFLNERGMADMAMSLGFDVRIAEPEITTDLGKFARLVNSADVMIGVHGAGLTNMVFLPAGAVFIQVVPMGNLEWLARDTFQTPSPEMQLKYLDYRIQADESTLSDQYPKDDPVFTDPQSIHKKGWHELSRVYLDNQNVKPHLGRLRLTLLEALKFLPHTRTTTAR >Ma08_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36620940:36623135:1 gene:Ma08_g23240 transcript:Ma08_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPTTMESMRKWIVEHKLRAVGCLWLTGIGSSIAYNWSQPNMKPSVKIIHARLHAQALTLAALAGAALVEYYDNSTGSGTKVNQYAKQFLTMDTHPQKD >Ma00_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:34948700:34949683:1 gene:Ma00_g04090 transcript:Ma00_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCPRRDISDGVGTLAFENFDPQLRRLSTKPQSPNFSSSSSPFLFSSSFFLSLFFHTAAAFSGSLRTKAERLKRWDLSFCAFCSLVLVFIIFTIRSLGFTYRSSDSFFFFFWQGNPVSDRTNPLKNLKRSNKSWASLSFLPKPYNAFGRGDF >Ma09_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1546153:1547376:1 gene:Ma09_g02150 transcript:Ma09_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMKDFEALVCGGGGGDTKVACETQIEAPPLPPPDDHDPPPESMVARVGSGRDLFWGEVGGGAVYERDDSTKGNTNPKAQAQQQQQHAKPNYKPRSSSQRLSGGLQSKPPIIGIPGKIQHHSGYLGRSVRRPANGRIFPKKLARPGGDGGGRRKSAVPDEDPGSPKVSCIGKVLSERERDRCRRQRRLSPSEEEEKVGRVESSGCWASLSAVICCGDGERQAGSVTRQTTKGDSPAKTAAERRTTGEPAKEPPGLAAMKRFASVRRPESWGVDLRPLDREAAECGRRRSVGSLEDAQLEWLADGSASV >Ma03_p06310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4377835:4382179:1 gene:Ma03_g06310 transcript:Ma03_t06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMELQGKEVTQDFLSLCTKDYSSFQHQDPRPPPAPGGFYLQTHDFLMPLVEKKERQQQEKEDSSRRNGEATAADNTTTERPPEHVLPGGIGTYSIRHVRGADAKRSRCGLALPGAAGEGSKSEPGYYDSPRTYDAAVWSDGQWPYRSFGPRGSSVATSAATRLASTSSFCHGRLFMRFSGCYGWFIWWSRWHAGPETKLLVEAAEQCDDELFGKREGSSSRKEWAIKVDGMGSCSDQRPNTPRSKHSATEQRRRTKINDRFQILRELIPHSDQKKDKASFLLEVIEYIRFLQKKVQKYESACPEWNQNNSKLMPWINITKLPQNKSSQGLGDGSASPAYMFSEKFDETGISFAQNPAEPDESCTVSFKTIKSAKDFANVDSTASRSPSQWLRLPVRADCGASDSMLNEQGKVTIDEDTTNASTKYSQGLLNTLNQALQISGVNISQASISVEINLGKRAINRRLTAAATTSSAEVHEDPVSTNQAIEHSMKGSSSERPSQRPKRQNG >Ma03_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4377835:4382179:1 gene:Ma03_g06310 transcript:Ma03_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVEKKERQQQEKEDSSRRNGEATAADNTTTERPPEHVLPGGIGTYSIRHVRGADAKRSRCGLALPGAAGEGSKSEPGYYDSPRTYDAAVWSDGQWPYRSFGPRGSSVATSAATRLASTSSFCHGRLFMRFSGCYGWFIWWSRWHAGPETKLLVEAAEQCDDELFGKREGSSSRKEWAIKVDGMGSCSDQRPNTPRSKHSATEQRRRTKINDRFQILRELIPHSDQKKDKASFLLEVIEYIRFLQKKVQKYESACPEWNQNNSKLMPWINITKLPQNKSSQGLGDGSASPAYMFSEKFDETGISFAQNPAEPDESCTVSFKTIKSAKDFANVDSTASRSPSQWLRLPVRADCGASDSMLNEQGKVTIDEDTTNASTKYSQGLLNTLNQALQISGVNISQASISVEINLGKRAINRRLTAAATTSSAEVHEDPVSTNQAIEHSMKGSSSERPSQRPKRQNG >Ma03_p06310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4377835:4382179:1 gene:Ma03_g06310 transcript:Ma03_t06310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRVVFTGKEVTQDFLSLCTKDYSSFQHQDPRPPPAPGGFYLQTHDFLMPLVEKKERQQQEKEDSSRRNGEATAADNTTTERPPEHVLPGGIGTYSIRHVRGADAKRSRCGLALPGAAGEGSKSEPGYYDSPRTYDAAVWSDGQWPYRSFGPRGSSVATSAATRLASTSSFCHGRLFMRFSGCYGWFIWWSRWHAGPETKLLVEAAEQCDDELFGKREGSSSRKEWAIKVDGMGSCSDQRPNTPRSKHSATEQRRRTKINDRFQILRELIPHSDQKKDKASFLLEVIEYIRFLQKKVQKYESACPEWNQNNSKLMPWINITKLPQNKSSQGLGDGSASPAYMFSEKFDETGISFAQNPAEPDESCTVSFKTIKSAKDFANVDSTASRSPSQWLRLPVRADCGASDSMLNEQGKVTIDEDTTNASTKYSQGLLNTLNQALQISGVNISQASISVEINLGKRAINRRLTAAATTSSAEVHEDPVSTNQAIEHSMKGSSSERPSQRPKRQNG >Ma03_p06310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4377835:4382179:1 gene:Ma03_g06310 transcript:Ma03_t06310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRVVFTGKEVTQDFLSLCTKDYSSFQHQDPRPPPAPGGFYLQTHDFLMPLVEKKERQQQEKEDSSRRNGEATAADNTTTERPPEHVLPGGIGTYSIRHVRGADAKRSRCGLALPGAAGEGSKSEPGYYDSPRTYDAAVWSDGQWPYRSFGPRGSSVATSAATRWHAGPETKLLVEAAEQCDDELFGKREGSSSRKEWAIKVDGMGSCSDQRPNTPRSKHSATEQRRRTKINDRFQILRELIPHSDQKKDKASFLLEVIEYIRFLQKKVQKYESACPEWNQNNSKLMPWINITKLPQNKSSQGLGDGSASPAYMFSEKFDETGISFAQNPAEPDESCTVSFKTIKSAKDFANVDSTASRSPSQWLRLPVRADCGASDSMLNEQGKVTIDEDTTNASTKYSQGLLNTLNQALQISGVNISQASISVEINLGKRAINRRLTAAATTSSAEVHEDPVSTNQAIEHSMKGSSSERPSQRPKRQNG >Ma09_p30520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40609503:40610340:-1 gene:Ma09_g30520 transcript:Ma09_t30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESKAVIGEADMLQTMQQDALRLAGKALDLFDVTESTEIASFMKKGTEEFDQSYGPGWQCIVGTDFGSFETHHRGCFIHFSIGSLMILLFRATSAPEVDTTAFIAMESVEV >Ma06_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17914607:17915779:-1 gene:Ma06_g22370 transcript:Ma06_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMHKNSLSIDFVGQEKPGTGSCLLPDDVLAEILSYLPAKTFFGLLSVCKTFHQLSSDSRFLLSQSYRSKAISGFFVKCYTTFRSFLLVDPCADVPRTSRQFLSKNNAFILGSAGGLVFVLHENNGSSNATRHSLYVFNPARRTRYQLPTPSGECSRGGIAVSFTNDGGRVTKDYKLVYLSPTYEWSSLHHCRVYDSVANTWTMDKHLDFGGREIDMDHPVVCGETVFWASDLGSYMKIDPYVVAFDMRTECTQIIALPKRRTIDSSDVIGIAKWEGKSLCLIHYRRFSQVFALWLLEKRSNGVLVWVKKHEISLTPMGFEEPLNVSSVTLSEVATTTLLVFTVYDEVHSYSMKDGEIKKLASLGFYYPSLIPYSSTLRPCGQQDELLK >Ma11_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:860632:861791:-1 gene:Ma11_g01190 transcript:Ma11_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHHKAVESGEEVEVQDRGLFDFLGKKKEEEKTEECHEEVLVSGVEKIHLEEAGKEEDKKEGLLEKLHRSHSSSSSSSSDDEEEEDGGENKEKKKKKKGLKEKIKEKLGCEKKEGEEEAKLTEVHVEHEVVAAAVVTDGDDTEVVVEKVEESTVKVEAGPEGEEEKKGFLEKIKEKLPGHKKPAEEVPVACVAEHEGEGHEGKEKKGILGKLMEKLPGYHKAEEKEVEKSSPAH >Ma06_p03070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2276172:2286588:1 gene:Ma06_g03070 transcript:Ma06_t03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEDGDGGGNGVDCSVGTIVWVRRRNGSWWPGRILGPDELSASHLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKAEASLGVPIKKREKYARREDAILHALELERKQLEMNQHEQAIMSNGVTGKPFTLKKEFNNMSSSDIFSRNDESSRHSKYSIHKSPTVPNKPALLHEEENISNSTNIYDGKNYKQIGWQKDFSESIPRMRGLQDFGLRTAPKKKLPHSISWLTTRKPSDNYMDDFPCSDNVVGGMDHVRSSKSTLAIKRKRSHGATIEESLVKKRDRRRPLHQVLQSSAKLQASHSSQIDRYPNLVVMQGEKDQLGVMCRAKRSRCIYLPADSVNYQDDEGYSSEETQTPTDQFGLDNCLNQPGSLAEGCTSEMIETDESDSSPRDYLETRMEDGDTLGDASCSLPPGRKDCDPSAYLISEKFGDMYNDDVPFTGYTSQVHPREHPADASAEVGVSKWHMKGKRNIRNLVKMPADVMDHKISIIGSEKCNSSARETAYGAKCSSSRMVEMEHPGQRDVEHSSCHIKTEENYSSDEADLIGEDFLQDEINGYNNQTYPLASKASRGLRRSHFGFNHLENDSHSMSTSGWEADGSHHRARTKFWEESDECYDTVYAAQASREMGSILFDVNLKVKASYQGEHVPLVSLMSRLNGKAIIGHPVQIEILEDGSAGQYTSSNYSCPDESVAHQPVWRTARRTAMQRVPRPNPVASSLEDDDAGISRYSEQQSRTSDPSKDQSRLAKNKLMSAHRPPLGKIHKKSLKRVTLSSQKTRTLSSFATEQRLGRQNCNTRLARGSDGFSGLMKLERQVPVVPCVPVKVAYSRILEAVRRPSSNLNRVRMATLAIRDAS >Ma06_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2276172:2286588:1 gene:Ma06_g03070 transcript:Ma06_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEDGDGGGNGVDCSVGTIVWVRRRNGSWWPGRILGPDELSASHLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKAEASLGVPIKKREKYARREDAILHALELERKQLEMNQHEQAIMSNGVTGKPFTLKKEFNNMSSSDIFSRNDESSRHSKYSIHKSPTVPNKPALLHEEENISNSTNIYDGKNYKQIGWQKDFSESIPRMRGLQDFGLRTAPKKKLPHSISWLTTRKPSDNYMDDFPCSDNVVGGMDHVRSSKSTLAIKRKRSHGATIEESLVKKRDRRRPLHQVLQSSAKLQASHSSQIDRYPNLVVMQGEKDQLGVMCRAKRSRCIYLPADSVNYQDDEGYSSEETQTPTDQFGLDNCLNQPGSLAEGCTSEMIETDESDSSPRDYLETRMEDGDTLGEKFGDMYNDDVPFTGYTSQVHPREHPADASAEVGVSKWHMKGKRNIRNLVKMPADVMDHKISIIGSEKCNSSARETAYGAKCSSSRMVEMEHPGQRDVEHSSCHIKTEENYSSDEADLIGEDFLQDEINGYNNQTYPLASKASRGLRRSHFGFNHLENDSHSMSTSGWEADGSHHRARTKFWEESDECYDTVYAAQASREMGSILFDVNLKVKASYQGEHVPLVSLMSRLNGKAIIGHPVQIEILEDGSAGQYTSSNYSCPDESVAHQPVWRTARRTAMQRVPRPNPVASSLEDDDAGISRYSEQQSRTSDPSKDQSRLAKNKLMSAHRPPLGKIHKKSLKRVTLSSQKTRTLSSFATEQRLGRQNCNTRLARGSDGFSGLMKLERQVPVVPCVPVKVAYSRILEAVRRPSSNLNRVRMATLAIRDAS >Ma01_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15382750:15391432:-1 gene:Ma01_g19680 transcript:Ma01_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEQLGELSTVAQLTGLDAGRLIGLIVQAATTARMHRKNCRQFAQHLKLIGNLLEQLKISELKKYPETREPLEQLEDALRRSYILVDSCQNRSYLYLLAMGWNIVYQFRRAQNEIDRYLRLVPLITLVDNARVRERLDSIARDEREYTLDEEDRKVQDAILDRDPSTNHTTVLEKSLSCSYPNMPFDEALKKERQKLQMELQRSQVTMDIGQCEVIQHLIGVTHNVATTLEEKSTHLKSPKKVELSYSGDNSYKEHTFDENYHEQVTKSTYRTGSPHSSGHDMISHRGLHGHEEWHSDLLGCCSEPSLCFKTFFYPCGTFSQIASVAKNRPVSSAEACNDLMAYSLVLSCCCYTCCVRRKLRNMFNITGGLCDDFLSHLLCCCCALVQEWREVEIRGVKGTWKTQTNPPASQYMEF >Ma09_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:284486:286848:-1 gene:Ma09_g00330 transcript:Ma09_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARRAREKQTRKEEERSLQQEAQSPFAKGALRSFFTCKHHQPGERNNGHSMRMGCSGSICKLRDMQRPETVLAEPCKKNAAASGGGSGNGNGGEGTKKQPLSEINGVVSKPSSHSAAASVAASSSSTSSVGGSFRRMHRRRLYGCYECHMVVDPISMLSRDSSLGTTICTCPDCGEIFVKAESLEIHQAVRHAVSELGPEDTSRNIVEIIFQSSWLKKQTPVCKIDRILKVHNTNRTLTRFEDYRDSIKIKASKLARKHPRCTADGNELLRFHCTTFMCSIGLDGATNLCNSIPKCSLCSIVRDGFKIDSHGRIGTMATSGRAHDAADNVSDKTAMLVCRVIAGRVKRKQDDLEEYDSAAGMAGIYSNLDELFVFDPKAILPCFVVIYRS >Ma09_p00330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:284486:286848:-1 gene:Ma09_g00330 transcript:Ma09_t00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARRAREKQTRKEEERSLQQEAQSPFAKGALRSFFTCKHHQPGERNNGHSMRMGCSGSICKLRDMQRPETVLAEPCKKNAAASGGGSGNGNGGEGTKKQPLSEINGVVSKPSSHSAAASVAASSSSTSSVGGSFRRMHRRRLYGCYECHMVVDPISMLSRDSSLGTTICTCPDCGEIFVKAESLEIHQAVRHAVSELGPEDTSRNIVEIIFQSSWLKKQTPVCKIDRILKVHNTNRTLTRFEDYRDSIKIKASKLARKHPRCTADGNELLSLCSIVRDGFKIDSHGRIGTMATSGRAHDAADNVSDKTAMLVCRVIAGRVKRKQDDLEEYDSAAGMAGIYSNLDELFVFDPKAILPCFVVIYRS >Ma05_p28980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39788734:39798685:-1 gene:Ma05_g28980 transcript:Ma05_t28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFRNGEIWGFEAQAPTGPADGVVLGVDGGTTSTVCVCLPAAGPLPDPLPILSRAVGGCSNHNSVGENAARETLEEVMAQALSKACYSRKAVRAVCLAVSGVNHPTDQQRILDWLRDIFPNSVKFYVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGKEARAAGAGPVLGDWGSGYGIAAQALTAVIRAHDGRGPQTKLTKSILDMLELPSPDELIGWTYADPSWARIAALVPIVVSTAEDGDEVANRILYDSVQELADSIIAVVRRLRLCGEDGKEAFPLVMVGGVLEANKRWDIGKEVVNCVSKIFPGALPIRPKLEPAVGAALVAWNRSMRELNDVREGDSPQISVLQ >Ma05_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7999259:8001289:-1 gene:Ma05_g11020 transcript:Ma05_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKPPTLASNMARLLFVLSSLVVSVSGALAATFTLTNNCDYTVWPGVLSSAGTAALSTTGFQLQKGESRSLDAPAAWSGRFWGRTRCATDSSGRFSCGTGDCGSGRVECSGGGAAPPATLAEFTLDGSGGMDFYDVSLVDGYNLPMLVVPQGGSGGSCSSTGCLVDLNGLCPSDLKVVLSTSDGGSESVACKSACEAFGSPQYCCSGDFGNPNTCKPSSYSQFFKNACPRAYSYAYDDATSTFTCASANYLISFCPSTTSQKSSGSNPEEADISSSSNSTMVYIGGEQGSDATLTVPRLAALLLPVLLAPLALHRGF >Ma01_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6650557:6654408:-1 gene:Ma01_g09200 transcript:Ma01_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLASWPWGNLGVYKYVLYGPIVAKAACEWREGRSGGWSLHLLLLFALRSLVYQLWFSFGNMLFLTRRRRVVEDGVDFKQIDKEWDWDNFLILQALLGSMVLYAAPSLEELPLLQLKGCIVALLLHVGVSEPLFYVAHRCFHRGYLYAHYHSIHHSSPVPQSLTAAFATPLEHLVLSAVMAAPLLGAFAMGHGSLSLVYGYVLIFDFLRSMGYSNVEVLPARLFDALPVLRYLIYSPTYLSLHHKEKNCNFCLFMPVFDLLGKTIHNKAWDLNKEIRSGGNDRVPDFVFLAHVVDVISSMHVPFVFRSVSSMPFTNNFFLLLLWPIGFSVMLLMWAFSKTFLLSFYNLRGRLHQTWVVPRYGFQYFLPFARKGINDQIELAILRADKLGVKVVSLAALNKNESLNGGGTLFVSKHPDLKVRVVHGNTLTAAVILNEIPRDVKEVFLTGATSKLGRAIALYLCKKNIRVLMLTLSTERFLKIQKEAPEDCQHHLVQVTKYQAAQHCKTWIVGKWLSLREQRWAPPGTHFHQFVVPPIIGFRRDCTYGSLAAMRLPKDVRGLGSCEYTMERGVVHACHAGGVVHCLEGWMHHEVGAIDVDRIDVVWRAALKHGLTPV >Ma05_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3693928:3699425:1 gene:Ma05_g04810 transcript:Ma05_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKACVRRLQKEFQDLCKEPPPQIVAHPSPSDILDWHFVLEGSEGTPFAGGYYYGKLKFQPDYPYKPPSISLITPNGRFATNKKICLSMSDYHPESWNPIWSVSSILTGLLSFMMDDHQTTGSIKTSDDEKRQLAKASLAYNCESKNCSNFRKLFPEYVEKYQQQQALLQSISGLQTKDDSRPPSTVAEESAKQGENRKVAHDAPKGRQRKQFPLWLLLLVVSVFGGVMALPLLQL >Ma05_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1178611:1180770:-1 gene:Ma05_g01920 transcript:Ma05_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCPFVRLLVGNLALKIPVAARPAGAGVHPSASPCFAKIRLGKSPRQTVAVPLVPSDDHATADPPATPSSSASASGALAARFHLSKAELDRISAKPSLFAGGRGGRVKLKVSVYTGRRGTTCGVSSGRLLGRVTVTLDLKGMADGGGSRAVVFHSGWVALGKKKTKAGAGKGSSSLPAQLYLTVKAVPDPRFVFEFDGEPECSPQVFQVQGNLRQPVFTCKFSCRNAGDRNLNSRSMHSEPGSSRSWISSFGSERERPGKERKGWSVTVHDLSGSPVALASMVTPFVASHGTDRVSRSNPGAWLVLRPGDGTWKPWGRLEAWRERGGSGASDGLGYRFELLPDAAMGAGINLAESTLSATKGGKFTIDLTSAAGTPLSRSTSPGCSPRGSGDFGYALWPFPSYRGFVMSSTVAGEGRSGRPTVEVGVQQVGCTEDAAAFVALAAAIDLSMDACRLFSHKLRKELSAPDLLR >Ma08_p28570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40419695:40426335:-1 gene:Ma08_g28570 transcript:Ma08_t28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCWHEGNRAENSVHSTSDGEGQVKSLKRGKDNKLGVKCPSKHRRVEAAAGMMVDSGRAVNPRAASHLLPEKDEVGDGGYATGAWRSDDGKLNCGYSSFRGKRVNMEDFFDVKSAKIDGQTINFFGIFDGHGGSRAAEYLKEHLFDNLMKHPKFLTDIKLAINESYQRTDSDFLDAENNTSRDDGSTASTAILVGKHLYVANVGDSRAVISKAGKAIPLSDDHKPNRIDERKRIENAGGFVMWTDFGWKNTTLNCLWESWSCKLLLSDLGTWRVGGVLAMSRAFGNRLLKRFVVAEPDIQERVVDHELEFLILASDGLWDVVKNEDAVSLVRLEEDPEAAARKLTEVAFSRGSADNITCIVVRFLNNKEGVDPPPACVYD >Ma08_p28570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40419695:40426332:-1 gene:Ma08_g28570 transcript:Ma08_t28570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCWHEGNRAENSVHSTSDGEGQVKSLKRGKDNKLGVKCPSKHRRVEAAAGMMVDSGRAVNPRAASHLLPEKDEVGDGGYATGAWRSDDGKLNCGYSSFRGKRVNMEDFFDVKSAKIDGQTINFFGIFDGHGGSRAAEYLKEHLFDNLMKHPKFLTDIKLAINESYQRTDSDFLDAENNTSRDDGSTASTAILVGKHLYVANVGDSRAVISKAGKAIPLSDDHKPNRIDERKRIENAGGFVMWTGTWRVGGVLAMSRAFGNRLLKRFVVAEPDIQERVVDHELEFLILASDGLWDVVKNEDAVSLVRLEEDPEAAARKLTEVAFSRGSADNITCIVVRFLNNKEGVDPPPACVYD >Ma08_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36055904:36058275:1 gene:Ma08_g22490 transcript:Ma08_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRLYMREALLDMTIAEEIALPRSAAGRMATAGNGREEEGKKKKKRKQGGLKTMPFVLANEACDRFATTGFNANMITYLTQQLHMPLVQASNTLTNFSGTSSLTPLVGGLIADSFAGRFWTITIGSVIYQLGMISLTVSAILPAFRPPPCTGPAACARASAWQLVALYVSLLFTSIGTGGIRPCVVAFGADQFGIQHGRQPDAGGWNFFNLYFFSMQVAVLTALTAVVYVQDNVGWGWGLGIPTIAMCISVVAFVMGYPLYIKMKPGGSPLTRLTQVVVAAFRKRNAVKPADPRLLYDDEELDAAISTNGRLLHTHQLGFLDRAAIVTEGDMVDSGRPRLWTLSTVHRVEELKSIIRMIPIWAAGILTITASSHNHSFAIQQARTMDRHLAPRFQIPAATLSIFSTLTMLLSLALYDRVFVPVARRFTRRPSGITYLQRIGIGMAVGLLANVAAALVEAKRKGAAADHGLVDQPKAVVPISVFWLVPQYAIHGLAEAFTSVGNMEFLYDQAPESMRSTAAALFWLAMSIGNYTGTFLVTVVHHCTSKGGDWLQDNINRGKLDYYYWLVTGLQVLNLGYYITCAMLYTYKPLETMAEESGGDDGAELTAVKHGEED >Ma02_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22945925:22949791:1 gene:Ma02_g15120 transcript:Ma02_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEIGLVLARASELRSKISDCIGGSGGGGCRQGGDDGDAGGGAATEEEEEADSLVGIRDALDSLERQLAALQELQHQQRYERESILSQIDCSRMILLSKLKEYKGEELEAIHEVATFAGEAIELDDNLVLPPYPTHLPDLFVLDDIGARSHFKKNKTTHNGPAAAAKQEGKGTACGTNKNQDDKTSKRFRNIIGLVVKSTIAIVSMMSMVSFAGHQPMLKSRPPTERQIGSHCPQGKVLVIEDGKARCIVKERTEVPFESDVTSPNIRYGLG >Ma08_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38057841:38060138:-1 gene:Ma08_g25050 transcript:Ma08_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLQGGTKDKQTSIPPLTDRDHLVQFISSLSASTEVNTSSRSSVPGGFDLDVSQLPPLGSLEQSTKATGNENATSATTNLLTVLLAVLAASAPDAPASLSQGGTESSGNDRTKVQSVEPPKTQAESRVVQSLPYQAGYKSSASDHSTSSLSSQDRTVRIIFKLFGKDPSSFPDNLRSQILNWLSNSPSEMESYIRPGRVINLFKNAVNCMEGSQFLVHTNRQLVSHKGWEDPFVKTWRAWSFPELTVSPIAVVGELETSLVLKGSQFDCSWHQTHCIYIGKYMLKEVLFSTYPGTICDDSCVERFSFPGGSPKASGRCFIEVENGFKGNSFPIIIADTSICQELRALESEFEEDVQTTNVIAEEHVNSAGPRSREVV >Ma10_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30047477:30051966:1 gene:Ma10_g19530 transcript:Ma10_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTFDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGALFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPTTSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNSVNGEIIIKHLNKDQETDQNHFRDAANNAELEVQEKISLLEWFATEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSLDEFSDNEVYEDSD >Ma10_p19530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30047471:30051966:1 gene:Ma10_g19530 transcript:Ma10_t19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTFDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGALFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPTTSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNSVNGEIIIKHLNKDQETDQNHFRDAANNAELEVQEKISLLEWFATEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSLDEFSDNEVYEDSD >Ma11_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25581258:25583580:-1 gene:Ma11_g21440 transcript:Ma11_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKCMAQGSPDADWSGRETGSQQGLLPSPSPSPQTPWQVMHKAENKYEVGKIADKEEAIQRRVRSILNKLTPQNFRRLFAQIRGVNIDNALTLTGAVSQIFNKALMEPTFCEVYATFCRHLADVLPRFSGEGITFKRLLLNKCQEEFERREREEAEGHKVEMQQSEAEKEERRLLARMRGLGNVRLLGELYKQRMLTERIMHECIKKLLGQHRDPDEEDAESLCVLMSTIGETIDHPKAKEHMDAYFDTMTALSTNQKLSSRVRFKLRDAIDLRKNKWQNTRIKEPSGVPWARRRMVGTMGGGKCTAWRRHAPGVPRTRWQVKHKAETKYEVGGMIDDEEAKQRRLRAILEKLTPQNFEELFVQVEEITIDNAVTLTGVVSQIFDRATMEPALCEMYAGLCSRLAGVLPDFNEMDERITFKRLLMNKCQEEFERREREEAEGHKVEMQHSEAEKEERRLLARMRGLGNVRLLGELYKQRMLTERIMHECIKKFLGQHQNPAEEDVEALCGLLGVIGKTIDHPKAKEHMDAYFDTMAKLSTDQKLSSRTRFMLRDVIDLRKNKWEARRKEEEPKKIDVGSTGSGVPTMEADAVPDSALPDMAQQESKAQDI >Ma11_p21440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25581258:25583580:-1 gene:Ma11_g21440 transcript:Ma11_t21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKCMAQGSPDADWSGRETGSQQGLLPSPSPSPQTPWQVMHKAENKYEVGKIADKEEAIQRRVRSILNKLTPQNFRRLFAQIRGVNIDNALTLTGAVSQIFNKALMEPTFCEVYATFCRHLADVLPRFSGEGITFKRLLLNKCQEEFERREREEAEGHKVEMQQSEAEKEERRLLARMRGLGNVRLLGELYKQRMLTERIMHECIKKLLGQHRDPDEEDAESLCVLMSTIGETIDHPKAKEHMDAYFDTMTALSTNQKLSSRVRFKLRDAIDLRKNKWQNTRIKEPSGVPWARRRMVGTMGGGKCTAWRRHAPGVPRTRWQVKHKAETKYEVGGMIDDEEAKQRRLRAILEKLTPQNFEELFVQVEEITIDNAVTLTGVVSQIFDRATMEPALCEMYAGLCSRLAGVLPDFNEMDERITFKRLLMNKCQEEFERREREEAEGHKVEMQHSEAEKEERRLLARMRGLGNVRLLGELYKQRMLTERIMHECIKKFLGQHQNPAEEDVEALCGLLGVIGKTIDHPKAKEHMDAYFDTMAKLSTDQKLSSRTRFMLRDVIDLRKNKWEARRKEEEPKKIDVGSTGSGVPTMEADAVPDSALPDMAQQESKAQDI >Ma05_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30687602:30693909:-1 gene:Ma05_g19970 transcript:Ma05_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATDGRSDTKKKKKKRNKRVSVEMEALSEAADRVAPVVGYFPSGYDPRGEGADPSIKVFRNKKRPNRLELVVSPSGTGVDFVGKSYAGEAAGAQICSYALGVLDKESQTLRIVPIASNKILRLEPRLKMNPFADTEVSDGLAEESIATSKTERKMVDLTSLYGTKKDRDKDNKWRSLIQQRNDSSAREHLENINLNADGESQVLEDTLETTTRNIPPYDASADTPEKAYLLDEIIPKGERVHLLDILDDLHSGTDIPSKSYPSFVFNRMHKLREIQDEKEREKLACIFSYISHLQNFWERSRPSRHFKSASHDKSTDHLKIPRIVYQKLLRMFLDRESNVLSTEKNELLIGHILVLTLFADCFQTDPSDIARDLKMTVQTLKPYYQQLGCKIFRESPSAPMFMILNAPLQFPEIRRTQRRRK >Ma05_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:276676:277801:-1 gene:Ma05_g00470 transcript:Ma05_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVDYDLIKFGRRNFCAPPFPLPLFWELLHDERSSLRFEGDGPTSVLPVPPKRFRQAASLSAEDGQRSSRTRREETGSRKKLDEVGETDKKWMCRQGDLRWARIQKTRKQPRLILRIAFSLML >Ma08_p27500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39722033:39724842:-1 gene:Ma08_g27500 transcript:Ma08_t27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTGKWLRSFLTGKKDGKKEKAESFSSPLPINSQSSPISVPAPKEKRRWRYPRWAGAGKSLSSQELSTSVPPLQRLSEVAIDNKRHAMAVAVATAAAADAAVAVAQAAAAVVRLTTAATKQKASAVKEDAATKIQSAFRAYLARKALCALRGLVKLQALVRGHLVRKQAAATLRCMQALVIAQERARARRIRMAEESQVIPQWQSIHRRSPQHPQSRQSHDMDRNSNKNIEIVDLDLGGSRGSIKSRKSYSTTKTETNEQQFSGYYDQNFSPSNVDQNQQFSPAPSAFTEMSGRAYSGHFEDFTFITAQSSPQYLSAIPVPDPTHSYGHPFFPNYMANTESSRAKARSQSAPRQRTDTFERQTSRRRLSTEGRNIPRVVKMQRSSSHVGLIIDGYQYPLSFKLDRSSMSLKDSECGSTSTVLTNTNYCS >Ma05_p27370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38689843:38697419:-1 gene:Ma05_g27370 transcript:Ma05_t27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MDDAAAFSSSSAPSAEFGAHQTLIPPPPSHPPPPPPPSHETLDHGFPPPPPPETVADPYQYHALDPQTLAKDLHPNGPPSKPDERPVISGNAVTHSGTDKDCSGGEEEASSRRRRRRSRWDPPPSEGDQNGGGDGAGDGSGTGRKRKSRWAEEEPKPTVQLPDFMKEFTADLDPEVQALNFRLLEISRRLQSNLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQLIQRNPAFRPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSIKEGKLLQKRDYKPDPAENEDLHVLVEAETQESLEAASAMIEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEFCRLCGEPGHRQYACPARTSTFKSDVLCKICGDGGHPTIDCPMKGAGKKMDDEYQNFLAELGGAAPESSTKQNIALPLLGSSTSGSNPSGAQGNLIKKEIDDTNLYIGYLPPTFDDDSLIRLFSPFGDIVMAKVIKDRVSSLSKGYGFVKYSDVSMANQAIASMNGYHLEGRVIAVRVAGKPAQPTVPPGPPAPPASAGGYPSQQYIPGGPIVNAPPPPGSYAPVPWGPPVPPPYAPYVPPPPGSSMYTPIQGQPLPPYAMQYPPQQQAAPPGVPVHNTSSSEAMQNLPPGVQPQSASTVTPPVPSDIYGTGSLPGVVPAIAPPSFSPATYGYPPYYAPVVPPVPPPSFDPSLSIGNVPWVTNTTQPQPVSSAEQSTTHGSDVEYEKLMSELK >Ma05_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32137111:32137400:1 gene:Ma05_g20440 transcript:Ma05_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLIFLHEKCCRMHRKCTSYVPWKEKHRAFRIICLWSWAGVLVEETPK >Ma10_p26570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34214027:34214260:1 gene:Ma10_g26570 transcript:Ma10_t26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYMDEKWKLSKKGNRKEGGNGLKASAGVREESGSRRRVEARSFPSRCASLVKEQRARFYIMRRCVTMLICWRDYP >Ma02_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22056298:22057617:1 gene:Ma02_g13850 transcript:Ma02_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPENYMYPAKYLCPPFEKREAKADAFLLSYALCLCYIPCFIIKKCHIIFYSLCPFSINSFMFLFLTNFNDISRKIAGHQGAQI >Ma06_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2696423:2697200:1 gene:Ma06_g03710 transcript:Ma06_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGAAIGILARDGVVLVGEKKVTSKLLQTSRSTEKMYKIDDHLACAVAGIMSDANILINTARVQAQRWDKFWIPAAAAIGANNQAAQSMLKQDYKDEITREEGVQLALKVLRKTMDSTSLTSEKLELAEIFLEPTGEVKYQVCKPELLERLLVKCGVTQAAAEST >Ma10_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26767397:26776410:-1 gene:Ma10_g14260 transcript:Ma10_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSTRGRLYEYANSSVKATIERYKKACSDTTGTGSLSVVNAQHYQLESAKLRQQINNIQSTNRNLMGEALSSMSLRDLKQLENKLEKGISKIRTKKNELLHAEIEYMQKREMELQNDNMYLRNKITENERAQQQINMLPSTSEYEILTPFDSRNFLQVLQPSQDYSHPQRTALQLG >Ma04_p39270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36450403:36452551:-1 gene:Ma04_g39270 transcript:Ma04_t39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRLPPPPSAVHRCKFCKKSFPCGRSLGGHMRSHGNLAIVGDGDERPQSSYGLRENPKKTWRLSDSGGGDGEKCCGECGKEFLSWRALFGHMKCHSGRPNHASEAAVEELEKQSNCEVTPIAVPRRRRRTRRTPAAASCSLSGSERDREDEDGAISLMMLSRDVGRWGSGGSGSSESSVKNSVVLETGDFVLDGTERKGSELDDANRNGFKKMESDASDDHFAGDDLDELKKPKICSSDTDDFDGSRDEARKGRPERNDPNSLSIAPKNDLEAVKCSSSGIDAKLETDHATEKRSRFECAACKKTFGSYQALGGHRASYKRTKNCPGSRTDGSKNSTATEELVDRGEVSESSLGWSRKSKEHECLICGKVFSSGQALGGHKRRHLVATSDAAGNAAYHILIQQQQQQQQQPHEMSDLLDLNLPATAADGDSNSTNAGSTESKSWWIGENLKHETLVGVVSN >Ma08_p33230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43630517:43634510:1 gene:Ma08_g33230 transcript:Ma08_t33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Ma03_p01100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:861092:863748:1 gene:Ma03_g01100 transcript:Ma03_t01100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKPGLRKPVFTKVDQLRPGTNGHTLTVKVVDSKMVLQKKRPDGPQVRQMRIAECLVGDETGMILVTARNEQVDMMTPGTTLILRNAKIDMFKGSMRLAVDKWGRIEVTDPADFTVKEDNNLSLVEYELVNVVEE >Ma03_p01100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:861021:863748:1 gene:Ma03_g01100 transcript:Ma03_t01100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKPGLRKPVFTKVDQLRPGTNGHTLTVKVVDSKMVLQKKRPDGPQVRQMRIAECLVGDETGMILVTARNEQVDMMTPGTTLILRNAKIDMFKGSMRLAVDKWGRIEVTDPADFTVKEDNNLSLVEYELVNVVEE >Ma03_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:861125:863748:1 gene:Ma03_g01100 transcript:Ma03_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKPGLRKPVFTKVDQLRPGTNGHTLTVKVVDSKMVLQKKRPDGPQVRQMRIAECLVGDETGMILVTARNEQVDMMTPGTTLILRNAKIDMFKGSMRLAVDKWGRIEVTDPADFTVKEDNNLSLVEYELVNVVEE >Ma11_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5301331:5303080:-1 gene:Ma11_g06530 transcript:Ma11_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPEKKVKIFVGSSSIWRWSHIPSPGGAASLPLPLGTGHETYI >Ma09_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:756843:781961:-1 gene:Ma09_g01040 transcript:Ma09_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGSWESLVVEWTPEELRRSDSQLHGMTEFLLEAEDVIVQGHGVVLVNTDEAGTLAVTNFRILFVSEVSQQVIELGTIPLATIEKFSKHAVKLPSVPRQSSKTPSRRLLQVVGKDMRTIMFGFRPKTKQRRAVFDALCMCTKPEKLKDLYVFVCGPSTFKNDDPKLRLLKEYHRLLGKGSFHASSTGIGDGLSLSNNWWRITSINSSYTLCPTYPFALIVPKSICDEELLQVSSFRGRCRFPVISWCNHENGAVLARSSQPLVGLMSQRNPADEKLVAALCGTTHRGSRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEVVFFGIENIHTMRESLARLRDYLDTHGTTSSDGMSSFLRNGGWSWGGGNLSSMSASVSTLGDTGWLIHVQSLLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLASVLLDPFYRTIEGFQALVEKDWLAFGHPFSDRMGFPTVSGINNTPSELSRQSSVGSLSSSPLRMPSGSGFTSSAGNTTHGQTSNNCSPIFLQWIDCVSQLLRMYPCAFQFSSVFLVDFLDCVLSYRFGNFLFNSEKERQQNGVADACGCIWMYLAWLHAPEDGSHVHYNPFYDPVKYDGPLLPPAAALAPTLWPEFHLRWACPSEAGAGEVQSQWRTMTKKFSEIAKAKEIAESKVNDLQLSLDLLTAELQKEKQFRNSALAMAKRASRESLAIKRAVQSLGCKVHFLRGESDAVSIGSSWSETREGLTYSGRSDSDARGQPDEKADLSVSISATEDSMVANCEISPECENICPFRTKEGCKWPDAACAQFGSQFVGLKANFDAFDRLSIYDGYFGAD >Ma11_p08620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6850093:6854293:-1 gene:Ma11_g08620 transcript:Ma11_t08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPRDDPTKSCRLTAFLGYKSGMTHIVREVDKPGSKLHKKETCEAVTIVETPPMVVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKSWYKSKKKAFTKYSKKYESEEGKKEIQTQLEKMKKYASVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDYAYSFFEKQIPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYKIGKAGDESHTAVTEFDRTEKDITPMGGFPHYGIVKDDYLMIKGCCVGPKKRVVTLRQSLLKQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKV >Ma11_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6850093:6854260:-1 gene:Ma11_g08620 transcript:Ma11_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPRDDPTKSCRLTAFLGYKSGMTHIVREVDKPGSKLHKKETCEAVTIVETPPMVVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKSWYKSKKKAFTKYSKKYESEEGKKEIQTQLEKMKKYASVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDYAYSFFEKQIPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYKIGKAGDESHTAVTEFDRTEKDITPMGGFPHYGIVKDDYLMIKGCCVGPKKRVVTLRQSLLKQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKV >Ma08_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8108541:8111388:1 gene:Ma08_g10980 transcript:Ma08_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPETGREGETLSDAWDFKGRPAVKSQTGGWTCASMILGVELCERLTTLGIAVNLVTYLTATMHLGSAASANAVTNFLGTSFMLCLLGGFVADTFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPPACADPLTGGCVRANGTQMGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEGDGAEKKQMLRFFSWFFFFISIGSLMAVTVLVYIQDNLGRRWGYGICAVAIVLGLAVFLSGTSRYRFKRLVGSPLTQIAAVVTGAWRKRSLDLPADPSMLHDVDAAGGDCTPGEKSSKKKKKQKLPHTKEFRFLDRAAVVEGDTAVEQSKWRLSTLTDVEEVKQVIRMLPTWATTIMFWTVYAQMTTFSVSQATTMERRIGSSFEIPAGSLTVFFVGSILLTVPAYDRLVVPAARRLTGNPHGLTPLQRIAVGLALSILAMCAAALTERKRLAAAVVPLSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFLSSALVTIVHRVTGESGKGAWLPDDLNKGKLYDFYWLLAALSVVNLVVFVVAARGYVYKEKRTADDGTHVVGLEDEVCCHA >Ma09_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1242937:1244830:1 gene:Ma09_g01670 transcript:Ma09_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHKNQGFQNPRHVVKKVLARPQHEGDGAIVRRSIGRGELRNLDPFLMLDEFSVSAPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHEGTIRAGDLQWMTAGRGIIHSEMPAGEGENKGLQLWINLSSKDKMMEPRYQELQSKDISRVEKHGVDVRIIAGESFGVRSPVYTQTPTMYLDFTLHPGAEVHQHIPQPWNSFVYIIEGEGVFGDPNASPTTRHHALVLSPGDGLSVWNQAARPLRFVLIGGQPLNEPIVQYGPFVMNAQAEIQQAIEDYHYCKNGFEKAKNWKSQPHMN >Ma11_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4618889:4657593:-1 gene:Ma11_g05880 transcript:Ma11_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDAAELPLPPGDGDDEGEEEEISQPVLNLGRGSDVCKALMDRYTKSSAPQHRHLCASAAAMRSILQEEGLPLTPPGYFAAVITAIRDADTADHDAISALSAFLSILLPVVPAGSLPPTMVKNAALVLATFLWDPPSKLPTGAVRSMVKSLGGLVLRLELEDWDEVKLPVEVLLAFTVDKRPKVRRCAQLYVKKVFETLKSSSIIKKASKVVFSLYKKYISLLEEFCTPELLNAPASNELHKTEHLEIIHMLIVLKLIAPNLSEKVRMKIISDVYRFLRSATSLLTGHIVRVVDALMEQTEAKILIAESDDIISALTSYISSSEKNTLDTTVSGLKALSNLLNKLRDVQPTIWIGSFPVIFVSVKGYLVADGNPSEAVAEVLKDLINVHIDLKLSMTGASKLCNNDEDDNPVTSAIVNLCSVFSNMLNTCKSPTEPMLDVISALFLRLGKTSYLFMKDILLKLAQCVITVEGDLSVMKHLQKCIGSAVIAMGLENVLSLVPISFNRDKKTCSNAWLIPILKRDASGASLCYFMDHIVPLAKSILKVCDKVKRATLQEKLRSYAHELWDLLPAFCRCPPDTSQSFDSLAKLLVHTLKDDSSLHETISISLQILVSENMRVLGANQEVNQHASLKDVHDKAESFPIGYTKKTASKNLKVLASNSMDLIETMADVFLDSPPEKHAVLKEAIESLASLVKSEDLHRFFLSLLEKFDLLNFLTESNKLNEGDMIDVDKETETEETSKMDKNQEKRCLVIDLASSFVETADENLVNTMFDFIKTSLLDTDNTSKAEELSTLRKILEKHSWFCSVRIDDLIYLLQGVKSHDDNMIEKLRLSCYHFLLVHVIKINEEKTNTKAFLILNEIILKLKSKKESRKLAYDTLLATSCSLKNSQFDNSQSDVQRLFVMVMGYLSSSSPHIMSGAISALSLLIYNDAEFCLAVPNLIPSVLVLLQNKSNEVIKAALGFVKVLVSSLHSNNLIILVPDILNGILPWSSISKHHFRLKVAIILEILIRKCDYEAIDGNVPKKYKDFVNSVVESRQRKKKSECPANPDAPCDSKDPVIKRGKKRILDDVPQKKDSGLRSGVIRGRGKKQKSDAYSINGAAATVDKGRHHQSINRTASIANKRIKKNNANQKPKQVERLKFKRKKRNKEEQ >Ma08_p29460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41037794:41042452:1 gene:Ma08_g29460 transcript:Ma08_t29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGPGTDGFATADCGWSGSPIPCDPFALSELVNFDGYIESCSPATIVDQIFTMSFSIVQQTPGVWASPAQDIIAGDTSVAAGTYYSCGEKMASQKANLLLELPSHRPGMDIASRKIGCFDFDDISNQGNSTVPRPFGGVSLPDRMLRALSLLKESSCGGAILAQVWMPIRQGNHYVLSTSEQPFLCDQNLAGYREVSRHFTFSTKDAPGLFLELPGRVFISGRPEWTSNVIYYNRFEYLRVDYAVIHDVRGSLAVPIFDPDGCSCHAVLELVTTIEKPNFDTEMESVSKALQAVNLRSIKAQAHQQSFTKSQISIFSEIHDVSRVICHAHMLPLAITWIPIWCDDGAIYEAKFEKDDIGVMKPTSRRTILCIQKLACYVNDRQMKDFLHACAEHHLEKGQGVAGKALRSNYPFFSPDLKSISMKHMEKKRSTAEKNISFSVLQRYFSGSLKDAANSIGVCPTTLKRACRQYGILRWPSRKIKKVNRSLQKIQKVIRSVQGVDGALKYDPSTRCLVASVSPPENPPLISSEPKGQDLMPASSSHDSETNHSIGKVEQDCFFHGRNLRGTMLKCETNKLGIPSNDCHRDFTSDGGLLPYANMQGALSWPSYSKDASDSSYNSKEAVCQGSKDGLSFMTNECQIMSRNFSFVALHQMAMEVECNDGIIEHSHPSSGMTDSSNGRALNHPSFEKSKALISQIGPLITVKATYNGDTVRFKFLLSMGSHHLFEEIERRFKLLAGTFQLEHMDNDEEWVLLVNDSDLQECINVPNNIGSKTVKLQVRDVPCNIGSSASSNCLRPMKP >Ma08_p29460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41037794:41042452:1 gene:Ma08_g29460 transcript:Ma08_t29460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGPGTDGFATADCGWSGSPIPCDPFALSELVNFDGYIESCSPATIVDQIFTMSFSIVQQTPGVWASPAQDIIAGDTSVAAGTYYSCGEKMASQKANLLLELPSHRPGMDIASRKIGCFDFDDISNQGNSTVPRPFGGVSLPDRMLRALSLLKESSCGGAILAQVWMPIRQGNHYVLSTSEQPFLCDQNLAGYREVSRHFTFSTKDAPGLFLELPGRVFISGRPEWTSNVIYYNRFEYLRVDYAVIHDVRGSLAVPIFDPDGCSCHAVLELVTTIEKPNFDTEMESVSKALQAVNLRSIKAQAHQQSFTKSQISIFSEIHDVSRVICHAHMLPLAITWIPIWCDDGAIYEAKFEKDDIGVMKPTSRRTILCIQKLACYVNDRQMKDFLHACAEHHLEKGQGVAGKALRSNYPFFSPDVRVYDIREYPLAHHARRFDLRAAVAFRLKSTYTGNDDYIVEFFLPINCRGIEEQQLLLSYLSSTMRRIHGSLRTVLDAEIGGSEIMRVGNHNEASLGSSSTAFSMKSSQLMDGNSETTAEMHFGVQNMESNEQSAGAHHEQLKSISMKHMEKKRSTAEKNISFSVLQRYFSGSLKDAANSIGVCPTTLKRACRQYGILRWPSRKIKKVNRSLQKIQKVIRSVQGVDGALKYDPSTRCLVASVSPPENPPLISSEPKGQDLMPASSSHDSETNHSIGKVEQDCFFHGRNLRGTMLKCETNKLGIPSNDCHRDFTSDGGLLPYANMQGALSWPSYSKDASDSSYNSKEAVCQGSKDGLSFMTNECQIMSRNFSFVALHQMAMEVECNDGIIEHSHPSSGMTDSSNGRALNHPSFEKSKALISQIGPLITVKATYNGDTVRFKFLLSMGSHHLFEEIERRFKLLAGTFQLEHMDNDEEWVLLVNDSDLQECINVPNNIGSKTVKLQVRDVPCNIGSSASSNCLRPMKP >Ma05_p16070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15192838:15202949:-1 gene:Ma05_g16070 transcript:Ma05_t16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPSPTSLVVSSPRRTLSLLRERRQKHPIPDPDEKAAASAAAAFAEEHGPKPSEVYGFVGCITTVIAAVIFIVWAYTPEPWLHYLGITYYPSKYWAIAIPSFLIVTVVLAMVSYLGLNFMVTPPPTSFNIMFDEYSREFSTVTFLGGEERPIEPISDIGVDEINNIMFG >Ma05_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15192838:15202949:-1 gene:Ma05_g16070 transcript:Ma05_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPSPTSLVVSSPRRTLSLLRERRQKHPIPDPDEKAAASAAAAFAEEHGPKPSEVYGFVGCITTVIAAVIFIVWAYTPEPWLHYLGITYYPMVLAMVSYLGLNFMVTPPPTSFNIMFDEYSREFSTVTFLGGEERPIEPISDIGVDEINNIMFG >Ma01_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3286719:3288349:-1 gene:Ma01_g04800 transcript:Ma01_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWRLLLALGLLALGTLPLSSAARAFFVFGDSLVDNGNNNYLATTARADAPPYGIDTPSHRATGRFSNGKNVPDIVSEYLGAESTLPYLSPELHGERLLVGANFASAGIGILNDTGIQFANIIRITKQLQYFEQYQQRLSSLIGPEKTERLVNEGLVLITLGGNDFVNNYYLVPFSLRSRQFALPDYVRYLVSEYKKILSRLYELGSRRVLVTGIGPLGCVPAELALRSRTGACDPELQRVPDLYNPQLMQLLSDLNTQYGSSVFVAVNAYKMHMDFITNPGAYGFITSRVACCGQGPYNGIGLCTILSNLCPNRNVYAFWDAFHPTEKANRIIVSQFMTGLEEYMSPMNLSSILAMDART >Ma05_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:617997:620212:-1 gene:Ma05_g01040 transcript:Ma05_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAILRAGNGGKDYPGKMTLFVFLACLVASSSGLIFGYDIGISGGVTSMDGFLVKFFPSVYRQEKEDASTNQYCRFDSQLLTAFTSSLYLAALIASFFASTVTRAFGRKWSMFGGGITFLVGAAINGAAESVLMLLLGRILLGVGSVPLYLSEMAPAKLRGMLNIGFQLMITIGILAANLINYATAKIKARPWREGPPHAPEDPRHRGHPRRVRRHRVGVRGVAGDRAPVVDHPAAEVQAAAHHGCPHPLLPTGHRHQRHHVLRPRALQDRRVRRRRLPHVRRHQRPRQHARHLRLHRHSRQSRPSEAVPAGGVQMFISQAIVGTMIAIKFGTSGSAASLSNEIFPLEIRPAGQAITVSVNMLFTFMVAQAFVAALCHLKFGLFYLFAAWVVVMTVFVAFFLPETKNVPIEEMILVWQQHWYWGKFIADEDVNDDVVGSIEMGKNRSYTGRVLTMQHVNSCIFLELVSLSVIFSLFSFLLRSSIRVRSLKAYKCILVVLNWYLQLFWLFNCCLYEYLITHRVSI >Ma05_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33229484:33230441:-1 gene:Ma05_g21540 transcript:Ma05_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKVQHVSKASSDELLRKFAEIDADPPARAPAHLLRGPIVVRKKSRRAVSALSARELSSPSDEAIRATRRRRRRSSGGLGEWKSLLPISNRRPASLLRRMGIRRSEDGGASGIALFLAAALEKTWRKTVEGASKMFVEKQRQNHVRLISDMV >Ma06_p34730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34841184:34857401:-1 gene:Ma06_g34730 transcript:Ma06_t34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVASCRDKLACFRIKELKDVLTQLGLVKQGKKQDLVDRILQLLSDEQVPKSQVWGKRNSFWKDEVAKIIDDAYRRKILVPGATDLASKSGIVTDYNQVKPKEEIDDYRLEMKVRCPCGNSFSTDSIIQCEDPGCQVWQHISCIIIPEGTLEGPSPELPTRFYCELCRISRADPFWLTVGHPLLPVKLTSSGIIGDGTNTLQNVERIFQLSRADRELFQRADYDLQVWCLLLKDKVPFRMQWPQFAELKVNGVAVQVVARQGSQLLGINGRDDGPVITTCTKEGSNKICLSWRDARVFCFGIRLAKRQSIQQVLSLVPKEADGECFEDALARVCRCIGGGTATENADSDSDLEVVADSVTINLRCPMSGSRMRIAGRFKSCIHMGCFDLETFVELNQQSRKWQCPICLKNYSLENIIIDPYFNRITSLLHNCGDVNEIDVKPDGSWRIKNEEFSDLSKWHLPDGSLCADTCAEVKPDLEKLKEIKQEITSEVHRNLKLKRNRRGFWEVGKPDDMRLQTSQNHVLSKLKDHCQIIPMNSSATCSYRAVEDPSVNQEAGHFGSSLNSIHELNSLVFNFDPTYNVDNRVQPAPSNTPDVIVLSDSDEDNHTPLSPETAHDTCLAGGGEIPFPSHLEVSERIIVDADLEMYSGFCAGLFDNNTDDFDIPSPSFQLFGSNAEVQDPLVDSHTSLDRALTDAYGLASNGGFGDTSRIQDLSAGHTSIEIHESMVDNPLAFASDDPSLQIFLPTRPAGIPLQDELNDRNEVPNEVTSDDWISLTLAIGGDDGKSAPVKRPRSEQKFTPKERMEPLDESEPMWLHAANCTIRFCVDGSLSELKCSISLQKLVDRKAM >Ma04_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3902879:3904174:-1 gene:Ma04_g05220 transcript:Ma04_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCGKEEALVFCCADEAALCDACDRRVHCANILAGKHRRFSLDSPSVRSNPLCDVCQEKRAVLFCREDRAILCGACDASIHSANHLTMKHSRFLLTGVRFSAAPISEPEPVTAAYSFSATAIVPAASTTSATANFGASSISEYLIKMCPGWHVEDLLDDDAAANVAMDGFSKVDESLPSLDADLDGGGGLETICAPHVPQLPPPGPPAGGAAHDQHRHGGNKVGINFRERTEQALVVPQSHPIPTPNKRRRRPLWS >Ma02_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18027893:18030479:1 gene:Ma02_g07270 transcript:Ma02_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTITMLSWGVMENGDAIAAAGEFEHSLEAIKWGTDYFIKAHTHPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDAEHPGSELAGETAAAMAAASIVFKKTNPRYSHLLLRHAQQLFEFGNKYRGRYHLSVPAAKSYYPSLSGYGDELLWAALWLHRATGREYYLQYAVDKAYTLGGATWAISEFSWDIKYAGVQILASKLLMEGGGGRVQDQQRNTLQQYRSKAEHYLCSCLGMSSNDSNVRRTPGGLLFVRRWNNMQYVAGAAFLLIVFSDQLALAHEDLHCPRGSLSPQEVLSFAKAQLDYVLGSNPMGISYMVGFGPRYPTRVHHRAASTVPYKEDKSFVGCAQGYDAWFGRQMPNPNVLVGAIVGGPGAKDEFRDVRGNYMQTEACTYNTAQMVGVFARFSQPERR >Ma11_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27760591:27773693:1 gene:Ma11_g24970 transcript:Ma11_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MAAPTPLALKRSYRCVSSLQQFYSGGPFAVSSDGASLACACGDEIKIVNSSDACVLASLGCDSESVTAFTFSPDGCFLFSAGHSRLIRVWDLSSRKCVRSWKGHDGPAMTMACHSSGGLLATGGADRKVCVWDVDGGFCTHFFKGHQGVVTCIKFHTDPNRLLLFSGSDDASVRVWNLESKKCVAVLEKHFSPVTSLALSEDGQILLSAGRDKVVNKWDLRNYSFNMTIPTYEMVETVCIIQPGNCLSALLETSGHRKPGASAPVYFLTVGERGIVRIWSSEGAVCLYEQQSSDVTLGSDEDDLRRGFLSAVVLPSDLGLLCVTADQQFLFYCPMKSAGGMLQLNLYKRLVGYNEEILDMKFLGDDEQYLAVATNLEQVRVYDVASMSCAYVLVGHTDIVVCIDTCISTYGRTMLVTGSKDNSVRLWDVKSRHCIGIGRGHMGAVGAVAFSKKWKNFLVSGSSDRTIKVWSFEGVSEDGDQEIALKAKAVVAAHDKDINSLAVSPNDSLVCSGSEDHTACIWRLPDLVSVAVLKGHKRGIWSVEFSPVDQCVITSSGDRTIKIWAVSDGSCLKTFEGHTSSVLRASFLSRGTQFVSCGGDGLIKLWTIKTSECIATYDQHEGKIWSLAVGKKTEMLVTGGTDALINFWHDSTAADKQEAFLREEEAILRAQELENAVSDADYTKAIQLAFELRRPHKLFDLFSRLCRRRDVEDPIEKALGGLGKEEIHVLLEYVREWNTKPKLCHVAQAVLFRMFRIFPPTDIVEVKGVSELLEGLIPYSQRHFSRIDRFVRSTFLLDYVLTRMSVVDPEETNLPNNGEHPMASENGVADEQDAPQSNSQVDEISCKGDTDKSSTSNEMDSMTSLKKRKSRKSKKGSTKKVKLTKHKNSSTISVEA >Ma01_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1423440:1428202:-1 gene:Ma01_g02130 transcript:Ma01_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRARLGRLWDGSQVRSESSESKGRSGMASKSSPIKLVVALFVALLVLVFFISNSTTVNWSEQGVDSQSLKTKGEQGVDPQSLNKQNEQGVDPKSLNKQDEQGVDPQSLNSQPSKAQSVHITLSCPNQNAAVCQRSTLASALSLTTSQNSATCPEYFRWIHEDLRHWKSTGITKETVESAKKFATFRLVVLDGRVYVEEYFGHLMARNVFTLWGILQLVNRYPGRVPDLDLMFNCMDQPAIKSAEYKSSTLPPVFHYCNNDQTSDILFPDWSFWGWPETNIKPWVPLMKEMKEANEEIKWTDREPYAFWKGNPLMGRNRHELLKCNMTNEQDWNARIYTQDWKQEEKQGFQHSNLARQCSHRYRIYVDGLAWSVSQKYIMACNSPTLFVNTPWYEFFQRGLIPGRHYWPIPENNMCRAIKLAVDWGNEHQQEAQTMGKASSDFFQEEVKMDFVYDYMLHVLTEYAKLLRYKPTIPEKATEFCLESIACPAPGDVKKFLLDSMEKSTHDAEPCKLPPPFTPEELQQLLENKANAVKQVEMWVQNT >Ma11_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2754711:2756963:-1 gene:Ma11_g03700 transcript:Ma11_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKPFLPLLLCLCASFLISCLHVYGSDELTVYIVHVKRSEDVTFSAAEQWSDWYCSLLNSASEAFELAPEEDAANVADSRIVYSYRNVITGFCARLGQREVEAMSKLDWFLHAYPSPVYRPLTTHTPEFLGLSQPSHSVWNATNMGEGIIIGILDTGITPGHPSFDDDGMPPPPAKWKGRCDLNASACNNKLIGARSFINYDKVTRRSTVTPVDDEGHGTHTASTAAGKFVTNASAYGNARVVTASGMAPRAHIAVYKVCNEDTCHGYDILAAMDAAVEDGVDVISLSLGGPSAAFHSNPVAQGGFKAINKGVFVSCSAGNTGPNRGTVTNDAPWLLTVGASTTDRSFLSTVKLGDGQEFDGESLSQPRDFESKMLPLVYPGHATGNENSSFCLSGSLDGVDVHGKIVVCDDGGNGRAEKDDVVKSAGGSGMIIVNNPDFAYSTLVEPHVLRTSNVPYADGLKIKAYINTTSAPTATIIFKGTVMHTPHSPAMASFSSRGPSQITPGILKPDITGPGVNILAAWTSAFEVFSGTSMSCPHLSGIAALIKKAHPDWSSAAIKSAIMTTAYATDNSRGPILDERHLPADLFAVGAGHVDPPKAMDPGLVYDLTPQDYIPYLCGLYANYHVRAIVGGPVNCSSVKSISEAELNYPSISVKLPANLSTPVSYTRTVTNVGEPRSTYRAMVDVPEGASARVDPTTLSFEKVDEKKSFSITFRRTGGRQGAVEGQLRWVSTKHVVRSPISIILE >Ma08_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2029151:2030630:-1 gene:Ma08_g02730 transcript:Ma08_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSLLLVVLISHAPSAFPATTTEATTGLRVAITRTDSPSSFTFKERFHRAVERSRRRREVLKLAKSSRHDVEAVFKWGDSEYLIELAVGTPIQPITAILDTGSDLIWTQCMPCIRCLPQPSPYYDPSTSSTYSVLPCSSPSCSGVQHFCTQALCQYYTSYGDNTETNGFLGTETLTFGRKRVPGITFGCGSWNNGTLSNSTGIVGLGRGSLSLPSQLHPRRFSYCLTPDKSTSTGHLFLGSKAKLGRRHRGGRVQTTPMLPSPSAVPFNTYYYLPIQGISLGRTLLPIPKTAFQIKKDGNGGMIIDSGTQFTIVDPAAFHVIVKKISSLVHLPVAELTNESLCFSWTPGLHPLPEMPDMVFHFDGANMVIPRDKYMFLDPDDGFCLAIIAMDGTSILGNYMQQNMHILYDLEANSLSFADARCDQI >Ma10_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27938276:27946344:-1 gene:Ma10_g16090 transcript:Ma10_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MKKGGAAQRGDARGGEAAAAEEEEQESSSSDSGKLYEAYNELHGLAQEFETPFEVPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYNPGYRVPVCRLVSDADPAVASRMSLPEIQAYIEAENMRLESDPCQFSEKEIIIRIEYKYCPNLTIIDTPGLIIPAPGRRNRTLQGQARAVEALVRAKMQHKELIILCLEDCSDWSNATTRRIVMQIDPELSRTVIVSTKLDTKILQFARASDVEVFLKPPACTLDGCLLGGSPFFTSVPSGRVGSGSEAIYRSNEEFKKAICLREMEDIVALEGKLGRSLSKEERSRIGVSSLRLFLEELLQKRYMDNVPLIIPLLEKELRSTTRKIRETNQEFRNLDEAKLKEKGRAFHDSFLTKLSLLLKGTVVAPPDKFGETLLDERTMGGPFTGTDSLQLPHKMMPNAGMRLYGGAQYHRAMAEFRLVVGGVKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGFRLLYILKRLLPISMYLLQKDGEYLSGHEVFLRRVETAFNNFAESTERSCREKCMEDLVSTTRYVTWSLHNKNRAGLCQFLDSFASSEQYSASTISSGVQQEQYLALNDNKSDRSKPDSKPNHSNPCSDSIPCNPTAETRLVDLLDSTLWNRRLAPSSERIVYALVYQIFHGIKEHFLVSTELKFNCFFLMPVVDKLPALLWEDLESAFGDDLDQIFDITQLRHSLGQRKRELEIELKRLQRLKEKFREINEQLSSYLVRP >Ma08_p25670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38424010:38424665:-1 gene:Ma08_g25670 transcript:Ma08_t25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGILLQNKASKVDPTKLGVQNIIHQYNTQ >Ma09_p31130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40980446:40982629:1 gene:Ma09_g31130 transcript:Ma09_t31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-4 [Source:Projected from Arabidopsis thaliana (AT1G30690) UniProtKB/Swiss-Prot;Acc:Q94C59] MTYEVSSDAGAPTAADPVEEKVAAAADGDERKTEVDTKVVADVEEKKMPEPPSSDHPSVEKCSSFREESNFLSDLKEAEKKALIELRAKVEEAILEGKLLLAKQEEQKPMEKKEVAEEKGKTDHETREGGEGETAMLDQTKEKKEEVTEREKADPEAQGGGEGEQAKLDRGKEEEEEVKEKECGEGEKAIPRQAKEEEEKEKVDPETREEGEEGEKVKLDQTADVKAEEKAAPLEEKAIATAASEAKNVGDSDKEATLWGVPLLPSKGSERADVILLKFLRARDFKVKDAFEMLRNVLVWRKQFRIDSILDEESLGADFVAACYMDGVDRERHPVCYNMPGVFQNDNLYQETFGSEQGRERFQRWRVQLMEKGIKALDFKPGGVASLLQITDLNNSPGPSKKELRTTMKQVVQLLQDNYPELVARNIFINVPFWYYAFHALISPFLTQRTRSKFVFARPAKVAETLLRYVPAEAVPVRYGGLKRDDDTEFSAEDGGVSEVIVKSNSTETLEIPAPEGGTTIFWDLSVLGWEVSYKEEFVPTDEGSYTIVVRKSKKMAAAELPVRNSFRNSEPGKVVLTIENNSFWKKKALYRYKIKKSC >Ma07_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10244886:10245740:1 gene:Ma07_g13630 transcript:Ma07_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRVSARLDRKIVEKNRRIHMKALYAKLVSLLPASASQESVAATLPNQLDEAINYIKAMQETLERMKKRKKLLMWCAGIGKDEENTCLRVPKMEVQDLNSGLRVIAISSTCDHRLKFSEAVRIVEAAEGNEIITASYAVVGDAAFHTIESMATGNGIGEADKVLESLKNAFRAKLIRWVM >Ma07_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26865006:26880043:-1 gene:Ma07_g18900 transcript:Ma07_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHANGDITAPLLDVGPPEVALDLNRFLDRGSEAAGPPPENPFEFLGATPLVLPQMSPVDPFRNHTPHIAGLYEWCKTFFCLPIAAARLVLLGIAIAVGYVTTVVALYGWKDKERPMSRWRSRVMCVTRLCARFILFSFGYHWIKRKGRPASRDIAPIVVCNHVSYIEPIFFFYELFPTMVASESHDALPFVGTIVRAMQVIYVDRFSQQSRKLAIHEIKRKASSNDFPRIMLFPEGTTTNGRFLISFRLGAFIPGFPIQPVVVRYPYIHFDQSWGNINLLKLMFRMFTQFHNFMEVEYLPVVFPDESKQQNTVHFAERTSYAMTNALNVLQTSHSFGDMMLSARATELTKERGSNYMVEMAWVENSFNISSSEAVLLLDQFLAMNPDSNGHVQIHGFLTAYGLGWSPLCEKIFGYLDLEKKGSITFRQFLSGSAQIKKQPTFMTACETAFAKFSDNLTGHISVGKMGHPNQSIVHGAISETLCQLFDADGDGIVSRDDFMDCLQKHPLLIALFAANVKFDDQLDTL >Ma03_p29670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32525028:32526220:1 gene:Ma03_g29670 transcript:Ma03_t29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFSCTFLPFLSHLPLELMASLLTVVVVTLLCVGSGGGRAIAQAVQRHVVGDDLGWDLSSNLAAWSKDRIFRVGDEIWFAYAAAEERILELRSSDKLEECDLDDPIGMYTSGVDKVRLDGEGARYFASSTSENCKNGLKLRVRVVPRATNDEHTRFAAVSAEAAAGPVPSASARLKAPQLLWIAFVIAVAMWF >Ma06_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8021979:8026629:-1 gene:Ma06_g11490 transcript:Ma06_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNSATGEIIVKHLNKDQEADQNNFRDAANNAELEVQEKTSLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSLDEYSDDEVYEDSD >Ma06_p11490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8021979:8026615:-1 gene:Ma06_g11490 transcript:Ma06_t11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKNSATGEIIVKHLNKDQEADQNNFRDAANNAELEVQEKTSLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSLDEYSDDEVYEDSD >Ma02_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16933775:16934194:1 gene:Ma02_g05670 transcript:Ma02_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVDEMSNEFDEVLAAAADVIQAREASFGLRTAATDAALEKFRQRWGLFKLSCDRAEDVVDSARRSIMAERLMDAASGMAPGQPETAGLQHLNVPRFERALHKVNSVAADLGRGSAAMAAASSSGPSAATPSVDKAD >Ma08_p28640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40487336:40489392:-1 gene:Ma08_g28640 transcript:Ma08_t28640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTAALFLLLLPLLLGSSTTACYSTIFSFGDSLADTGNLIRVPGHADCQAGRPPYGMTYFHSPTGRFSDGRLIVDYIAEAMGLPLLPPYLEAWRGGRLRKGVNFAVAGATAMDNEFFQEKGITTGSTNCSLRFQIRWFQQLLPSLCASPTDCEDMLQNTLFLMGEIGGNDYNFPLSQGRSLQETESFVPLVVDTISSGINKLIELGARALLVPGITPLGCNTVYLTTYRSDRAEDYDAIGCIRWLNEFSQYHNGHLHDEVRRLQALHPEAVIIYADYYGAMMNIFSDPERFGIEERFLACCGGGGPYNYNSSRPCGSEGQTVCDDTSTYLHWDGLHMTEATYRIVSVGLLQGPFAVPAIATTCPATRFSFTHPSSSTLSSAS >Ma08_p28640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40487336:40489392:-1 gene:Ma08_g28640 transcript:Ma08_t28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQPRASSAASASNGATHRRPLPPPPSSLARLIDHRLLLHHLQLRRLPRRHRQPDPSPRPRRLPGRPAAIRDDVLPQPHRALLRWPTHRGLHRYECLLSPSVLPLSATGWVHVETSCGCSGGDGAAAAAAVPRGVEGRKVEEGGELRGGGGDGHGQRVLPGEGHHDRLHQLLAAISDPVVPAIAALPLRLSHRLRGYAPKHSLLDGRDRGERLQLPIVSGKKLAGDRVLRSSSRRHHQLGNQCRTPTLCILIVVPSESLLPFVGQKLIELGARALLVPGITPLGCNTVYLTTYRSDRAEDYDAIGCIRWLNEFSQYHNGHLHDEVRRLQALHPEAVIIYADYYGAMMNIFSDPERFGIEERFLACCGGGGPYNYNSSRPCGSEGQTVCDDTSTYLHWDGLHMTEATYRIVSVGLLQGPFAVPAIATTCPATRFSFTHPSSSTLSSAS >Ma03_p31980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33983294:34001779:-1 gene:Ma03_g31980 transcript:Ma03_t31980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHLHHLQQQLAALLSAALTQPSASAAAAGGSAESTPSSSPAATEGPGERGGDAARIAALESLQRIILYPPNAFVVSHSSPFLSQGFYQLLSDKSYAVRRAAAITYGSLCAILVSVPIGSNGPQNHVLALAERFMAWALPLLGETDVQNGSAELALESLREFLNVGDANSIERFVPLILKACQELLEDERTSLGLLHQLLSVLTLISLKFGHCFQPHFVDIVDLLLGWAFMPDLSESGRTIIMDSFLQFQKHWLSNLQFSLELLSKFLGDIEVLIQDTSLESGSQLGRLLALFFCFSTVLRVTASGMMEMNILEDVREPLENMAPRLLKCIASFGRKSGSPKWMGESWRCLILLAEILNEGFSKSYPIVIDILFQNLIGIPSLQINALVKTNLQLLSRQKLALHPSSVRSVLGFYSPLSQLRLHPNHLVVANTAATYLFFLQHGSNAVVSQAISYLIEELELLKGMLGKIRGHFANVNELQLDFVTDDQLKLDLGSGKAYSEHELISLIKFDLKALLSSIPCGAAESLQDQVVIDSLRYDRSMRLASSILEKLHPFESPVDGWFELQVLIVKTLNRLSEVELLSKFTILRASWEKVSVNSVGKSERSLQAKTDLITEYKRKYAMCIVRALGVNSPISVKLEALDWICSFGWMIATLESDADLMMQFCDPHADAGVGSDLLVAIFDAAYVRESKVRSRVASVLEALLQARLIDHAYFNFVSEVALDKLGDPDVTVKNAFARVLSVVLPLTMYSRGLNDRASSICEFVHCTVNNYYLSWKNELALKQCSRKLHSHQLVSIMSYISQRWKVPLSSWIQRLVFSCHCKKDSISSKEEIVGEPGNGDMWKGGKTEECAVDKICPVNNIAAVWWSIHEAARHCIALRLRTNLGGPTQTFAALERMLLDIPNVLLLDAEQTDSKYIASSNSHLLPMRLLLDFVEALKKNVYNAYEGSFILPACSRQSSVFFRANKKVCEEWFSRICEPMMNAGLALHCYDATIQYCLLRLQDLKSLATSVFKEKARGASVSESFHLRSRFAGDVMKVVRHASLALCRNYEPEALIGLQKWVSTQFSVLFKEDKHIVSGVAGNFGQFSWIAGLVYQAQGQYEKAAAYFSHLLQSEVALALMGSDGVQFVIARVIECFTSVSDWNSLESWLTELQSLRAMHAGKSYSGALTTAGNEINAVHALARYDLGDFQASRSYLDLTPKSSCEIALDPKIALERSEQMLLRLMLKRDGSIHKAGEELEKAKLMLDEALSVVPLDGLHQAAACAIQLHCILAFEEGTRSSNHDQAKRSPSLLGSLQQVLLTPISRVCQDCSLWTKVFRVYRTLMPTSLTTLLLCQKLLTVARKQNNFMLADRLSQYLRDHIRMTSEGSHSDLLALKMQYEDILLKHAKGNHEEAILDSWSFIGDNMRSTATISSGADGVLRAKACLKLSTWLRQGFPNLDFRNVLLKIRQDFSGCSKCTSFAGTGLTSGDGVLITDPDYNQILEEIIGTARKISCNLCPTLSKTWLSYASWCFDQAKGSPPAGGAVLQSCSLSSVLCPELSPERCKLTEAEMSEVEIIIRKIFNSDRDVNVASGADEDRSESIYFQENEPLVSSLVEQAAYIMQTAAGSPGFESYDGECPSAAVSSQLQVLFLNTNGGMRKHDILPFVDELVGIWWSLRQRRVSLFGHAAHGYFQYLSYSSNLLACSANDFPKEKNKSCTLRAMLYVLHIIVNYGYELRETLEHGLAAVPLLPWQELIPQLFARLSSHPKQVVRKQLEGLLLMLAKLCPWSVVYPTLVDLNAYDGQPLEELQRILDCLFKLYPKLIQDVQLVINELGMITILWEELWLSTLQDLHTDVIRRINMLKEEAARVAENPTLSDTEKNKINAAKYSAMMAPIVVALERRLTSTSREPRTSHELWFHEEYIEKLKSAILSLKTPPKSATALGDVWRQFDTITTSLATHHRKSCVSLSEVAPHLASLSSSDVPMPGFEKQISMLDSSGSSTTSIQGIVTISSFCEQVTILSTKTKPKKLILQGSDGQRYTYLLKGREDLRLDARIMQLLQAINSLLTSSNDTRGRALAIRYYSVTPISGRAGLIRWVDNVTSIYSVYKSWQVHTQMAQVSAVDAGNMNNPMPPVLRPSDMFYGKIIPALKEKGIRRVISRRDWPLEVKRKVFLELMKETPRELLWQEMWCASEGFRAFTLKAKRFSGSVAAMSMVGHILGLGDRHLDNILMDFSTGEIVHIDYNVCFDKGRRLKVPEIVPFRLTQTIETALGLTGTEGTFRSNCEAVISILRKNKDIMLMLLEVFVWDPLVEWTRGDIHDEAAIGGEEKKGMELAVSLSLFASRVQEMRVPLQEHHDLLVTTVPTVESALKAFLDVLNQYEVTSTFFYHADKEKSRLMQHETSAKTVVTEATSMYEKTRASFEVQVNEFAQSKAVAAEKAQEAAMWIDQHGRVLDALRSGSIPDAKGLLMLSGTEEALSLTSAVVLSGVPLTIVPEPTQAQCYDLDKEVSHLIDELDSGLSCAIEALNEYALALQKVLPHSYITNSPVNGWAQVLQLSANSLSSEALLLARNQAAELIAKSTGEGYDSARQRHQDLLHKIELCAMEIGKITAECSVLMNSIGTDTEAKAKERLLSSFTKYMQAAGCRQNEDEKFISPSGQNKCDGLKIAKVPEDLVEKKVKVFSVLCMAVIELYKEIIAKMVIYSDNFTQKVLWRTRENGSRADSGTTLVGFEEQIEKCVLVAVFLSEVQELLDITLPCTSTSAEDTNPSSAATWASVYQVCLCSSNQLIEQMTEILLPEIIRSAVTYNSETMEAFGMLSQIRGSVDTALEKLVEVELEKASLLELEKNYFVKVGLITEQQLALGEAATSGRDHLSWEEAEELASQEEACRAQLDQLHQTWNQKDMRNSSLKKLEANIINSLMSSQQYFTSLMNSEDEGDLHVRRSKALLAALAKPFAELESVDHELVSHGTVPSDLDESTYKLADFVTCSSSVSESVWGLRFLLRDHAFFIWKVSIMDSVLDMCFHDISSSVDHNVSFDQLYKSLKKKLEVHLQELLGHYLNGRVVPAFLAQLNKEIENLQHLMEARREFAPDQAKKDAGAVRKIRLMLEEYSNAHETVRAARSTVSFMKRQVNELTEALGKTILEIVQLEWLHDMSSLYLLKSKVFSGNILGDDKISPLIINLSRPKLLEKLQSSMSSVARSLECLQACERTSTSAEGQLERAMAWACAGSTAVGTGTSTVKTSGIPTEFHDHLRRRRQLLWAIQEQAGDIIKICNSVMEFEASRDGLFWIPGEKTSGRTTADGRTWQQAYLNTLTRLDVAYHSFNRAEQEWKLAESNMETAANALFAATNELCIASVKANSASDDLQDTLAIMRERAYEASTALTAFVCVSKGHTALTSECGSMLEEVLAIMEGVEDVYILGKEAAAAHSALMADLSKVNMILLPLEASLSTDLTVMADASVKDEENNKEISLVHGQALYQSYIFKLREACPSLVPLVPSLTSYVKELHSTLTKLARVSSLHAGNLHKALEGLGESQILRSQDLAISSSEPSNGAVLFNDEEKVLSGSSVEDVENLTTNGKLALHDEGWLSPPEHTYTSSPDSIISLSEVLPADLGDSLQALSLCDGPTVENVGTYDIEKGKSVVANSLMSGNEHYSNLVNGHGDNLDDSSSCFGAISRTTRGKNAYAISVLKQVELKLDGRGIEDIRSLEVPEQVDLLLKQATNVDNLCNMYEGWTPWI >Ma03_p31980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33983294:34001779:-1 gene:Ma03_g31980 transcript:Ma03_t31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHLHHLQQQLAALLSAALTQPSASAAAAGGSAESTPSSSPAATEGPGERGGDAARIAALESLQRIILYPPNAFVVSHSSPFLSQGFYQLLSDKSYAVRRAAAITYGSLCAILVSVPIGSNGPQNHVLALAERFMAWALPLLGETDVQNGSAELALESLREFLNVGDANSIERFVPLILKACQELLEDERTSLGLLHQLLSVLTLISLKFGHCFQPHFVDIVDLLLGWAFMPDLSESGRTIIMDSFLQFQKHWLSNLQFSLELLSKFLGDIEVLIQDTSLESGSQLGRLLALFFCFSTVLRVTASGMMEMNILEDVREPLENMAPRLLKCIASFGRKSGSPKWMGESWRCLILLAEILNEGFSKSYPIVIDILFQNLIGIPSLQINALVKTNLQLLSRQKLALHPSSVRSVLGFYSPLSQLRLHPNHLVVANTAATYLFFLQHGSNAVVSQAISYLIEELELLKGMLGKIRGHFANVNELQLDFVTDDQLKLDLGSGKAYSEHELISLIKFDLKALLSSIPCGAAESLQDQVVIDSLRYDRSMRLASSILEKLHPFESPVDGWFELQVLIVKTLNRLSEVELLSKFTILRASWEKVSVNSVGKSERSLQAKTDLITEYKRKYAMCIVRALGVNSPISVKLEALDWICSFGWMIATLESDADLMMQFCDPHADAGVGSDLLVAIFDAAYVRESKVRSRVASVLEALLQARLIDHAYFNFVSEVALDKLGDPDVTVKNAFARVLSVVLPLTMYSRGLNDRASSICEFVHCTVNNYYLSWKNELALKQCSRKLHSHQLVSIMSYISQRWKVPLSSWIQRLVFSCHCKKDSISSKEEIVGEPGNGDMWKGGKTEECAVDKICPVNNIAAVWWSIHEAARHCIALRLRTNLGGPTQTFAALERMLLDIPNVLLLDAEQTDSKYIASSNSHLLPMRLLLDFVEALKKNVYNAYEGSFILPACSRQSSVFFRANKKVCEEWFSRICEPMMNAGLALHCYDATIQYCLLRLQDLKSLATSVFKEKARGASVSESFHLRSRFAGDVMKVVRHASLALCRNYEPEALIGLQKWVSTQFSVLFKEDKHIVSGVAGNFGQFSWIAGLVYQAQGQYEKAAAYFSHLLQSEVALALMGSDGVQFVIARVIECFTSVSDWNSLESWLTELQSLRAMHAGKSYSGALTTAGNEINAVHALARYDLGDFQASRSYLDLTPKSSCEIALDPKIALERSEQMLLRLMLKRDGSIHKAGEELEKAKLMLDEALSVVPLDGLHQAAACAIQLHCILAFEEGTRSSNHDQAKRSPSLLGSLQQVLLTPISRVCQDCSLWTKVFRVYRTLMPTSLTTLLLCQKLLTVARKQNNFMLADRLSQYLRDHIRMTSEGSHSDLLALKMQYEDILLKHAKGNHEEAILDSWSFIGDNMRSTATISSGADGVLRAKACLKLSTWLRQGFPNLDFRNVLLKIRQDFSGCSKCTSFAGTGLTSGDGVLITDPDYNQILEEIIGTARKISCNLCPTLSKTWLSYASWCFDQAKGSPPAGGAVLQSCSLSSVLCPELSPERCKLTEAEMSEVEIIIRKIFNSDRDVNVASGADEDRSESIYFQENEPLVSSLVEQAAYIMQTAAGSPGFESYDGECPSAAVSSQLQVLFLNTNGGMRKHDILPFVDELVGIWWSLRQRRVSLFGHAAHGYFQYLSYSSNLLACSANDFPKEKNKSCTLRAMLYVLHIIVNYGYELRETLEHGLAAVPLLPWQELIPQLFARLSSHPKQVVRKQLEGLLLMLAKLCPWSVVYPTLVDLNAYDGQPLEELQRILDCLFKLYPKLIQDVQLVINELGMITILWEELWLSTLQDLHTDVIRRINMLKEEAARVAENPTLSDTEKNKINAAKYSAMMAPIVVALERRLTSTSREPRTSHELWFHEEYIEKLKSAILSLKTPPKSATALGDVWRQFDTITTSLATHHRKSCVSLSEVAPHLASLSSSDVPMPGFEKQISMLDSSGSSTTSIQGIVTISSFCEQVTILSTKTKPKKLILQGSDGQRYTYLLKGREDLRLDARIMQLLQAINSLLTSSNDTRGRALAIRYYSVTPISGRAGLIRWVDNVTSIYSVYKSWQVHTQMAQVSAVDAGNMNNPMPPVLRPSDMFYGKIIPALKEKGIRRVISRRDWPLEVKRKVFLELMKETPRELLWQEMWCASEGFRAFTLKAKRFSGSVAAMSMVGHILGLGDRHLDNILMDFSTGEIVHIDYNVCFDKGRRLKVPEIVPFRLTQTIETALGLTGTEGTFRSNCEAVISILRKNKDIMLMLLEVFVWDPLVEWTRGDIHDEAAIGGEEKKGMELAVSLSLFASRVQEMRVPLQEHHDLLVTTVPTVESALKAFLDVLNQYEVTSTFFYHADKEKSRLMQHETSAKTVVTEATSMYEKTRASFEVQVNEFAQSKAVAAEKAQEAAMWIDQHGRVLDALRSGSIPDAKGLLMLSGTEEALSLTSAVVLSGVPLTIVPEPTQAQCYDLDKEVSHLIDELDSGLSCAIEALNEYALALQKVLPHSYITNSPVNGWAQVLQLSANSLSSEALLLARNQAAELIAKSTGEGYDSARQRHQDLLHKIELCAMEIGKITAECSVLMNSIGTDTEAKAKERLLSSFTKYMQAAGCRQNEDEKFISPSGQNKCDGLKIAKVPEDLVEKKVKVFSVLCMAVIELYKEIIAKMVIYSDNFTQKVLWRTRENGSRADSGTTLVGFEEQIEKCVLVAVFLSEVQELLDITLPCTSTSAEDTNPSSAATWASVYQVCLCSSNQLIEQMTEILLPEIIRSAVTYNSETMEAFGMLSQIRGSVDTALEKLVEVELEKASLLELEKNYFVKVGLITEQQLALGEAATSGRDHLSWEEAEELASQEEACRAQLDQLHQTWNQKDMRNSSLKKLEANIINSLMSSQQYFTSLMNSEDEGDLHVRRSKALLAALAKPFAELESVDHELVSHGTVPSDLDESTYKLADFVTCSSSVSESVWGLRFLLRDHAFFIWKVSIMDSVLDMCFHDISSSVDHNVSFDQLYKSLKKKLEVHLQELLGHYLNGRVVPAFLAQLNKEIENLQHLMEARREFAPDQAKKDAGAVRKIRLMLEEYSNAHETVRAARSTVSFMKRQVNELTEALGKTILEIVQLEWLHDMSSLYLLKSKVFSGNILGDDKISPLIINLSRPKLLEKLQSSMSSVARSLECLQACERTSTSAEGQLERAMAWACAGSTAVGTGTSTVKTSGIPTEFHDHLRRRRQLLWAIQEQAGDIIKICNSVMEFEASRDGLFWIPGEKTSGRTTADGRTWQQAYLNTLTRLDVAYHSFNRAEQEWKLAESNMETAANALFAATNELCIASVKANSASDDLQDTLAIMRERAYEASTALTAFVCVSKGHTALTSECGSMLEEVLAIMEGVEDVYILGKEAAAAHSALMADLSKVNMILLPLEASLSTDLTVMADASVKDEENNKEISLVHGQALYQSYIFKLREACPSLVPLVPSLTSYVKELHSTLTKLARVSSLHAGNLHKALEGLGESQILRSQDLAISSSEPSNGAVLFNDEEKVLSGSSVEDVENLTTNGKLALHDEGWLSPPEHTYTSSPDSIISLSEGSFSEKSDNLEQDLHSNSAGEDTSMSVSYKIIDGSESKSVEDQDSNYSTEDVANSLSSVLPADLGDSLQALSLCDGPTVENVGTYDIEKGKSVVANSLMSGNEHYSNLVNGHGDNLDDSSSCFGAISRTTRGKNAYAISVLKQVELKLDGRGIEDIRSLEVPEQVDLLLKQATNVDNLCNMYEGWTPWI >Ma03_p31980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33983294:34001572:-1 gene:Ma03_g31980 transcript:Ma03_t31980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWALPLLGETDVQNGSAELALESLREFLNVGDANSIERFVPLILKACQELLEDERTSLGLLHQLLSVLTLISLKFGHCFQPHFVDIVDLLLGWAFMPDLSESGRTIIMDSFLQFQKHWLSNLQFSLELLSKFLGDIEVLIQDTSLESGSQLGRLLALFFCFSTVLRVTASGMMEMNILEDVREPLENMAPRLLKCIASFGRKSGSPKWMGESWRCLILLAEILNEGFSKSYPIVIDILFQNLIGIPSLQINALVKTNLQLLSRQKLALHPSSVRSVLGFYSPLSQLRLHPNHLVVANTAATYLFFLQHGSNAVVSQAISYLIEELELLKGMLGKIRGHFANVNELQLDFVTDDQLKLDLGSGKAYSEHELISLIKFDLKALLSSIPCGAAESLQDQVVIDSLRYDRSMRLASSILEKLHPFESPVDGWFELQVLIVKTLNRLSEVELLSKFTILRASWEKVSVNSVGKSERSLQAKTDLITEYKRKYAMCIVRALGVNSPISVKLEALDWICSFGWMIATLESDADLMMQFCDPHADAGVGSDLLVAIFDAAYVRESKVRSRVASVLEALLQARLIDHAYFNFVSEVALDKLGDPDVTVKNAFARVLSVVLPLTMYSRGLNDRASSICEFVHCTVNNYYLSWKNELALKQCSRKLHSHQLVSIMSYISQRWKVPLSSWIQRLVFSCHCKKDSISSKEEIVGEPGNGDMWKGGKTEECAVDKICPVNNIAAVWWSIHEAARHCIALRLRTNLGGPTQTFAALERMLLDIPNVLLLDAEQTDSKYIASSNSHLLPMRLLLDFVEALKKNVYNAYEGSFILPACSRQSSVFFRANKKVCEEWFSRICEPMMNAGLALHCYDATIQYCLLRLQDLKSLATSVFKEKARGASVSESFHLRSRFAGDVMKVVRHASLALCRNYEPEALIGLQKWVSTQFSVLFKEDKHIVSGVAGNFGQFSWIAGLVYQAQGQYEKAAAYFSHLLQSEVALALMGSDGVQFVIARVIECFTSVSDWNSLESWLTELQSLRAMHAGKSYSGALTTAGNEINAVHALARYDLGDFQASRSYLDLTPKSSCEIALDPKIALERSEQMLLRLMLKRDGSIHKAGEELEKAKLMLDEALSVVPLDGLHQAAACAIQLHCILAFEEGTRSSNHDQAKRSPSLLGSLQQVLLTPISRVCQDCSLWTKVFRVYRTLMPTSLTTLLLCQKLLTVARKQNNFMLADRLSQYLRDHIRMTSEGSHSDLLALKMQYEDILLKHAKGNHEEAILDSWSFIGDNMRSTATISSGADGVLRAKACLKLSTWLRQGFPNLDFRNVLLKIRQDFSGCSKCTSFAGTGLTSGDGVLITDPDYNQILEEIIGTARKISCNLCPTLSKTWLSYASWCFDQAKGSPPAGGAVLQSCSLSSVLCPELSPERCKLTEAEMSEVEIIIRKIFNSDRDVNVASGADEDRSESIYFQENEPLVSSLVEQAAYIMQTAAGSPGFESYDGECPSAAVSSQLQVLFLNTNGGMRKHDILPFVDELVGIWWSLRQRRVSLFGHAAHGYFQYLSYSSNLLACSANDFPKEKNKSCTLRAMLYVLHIIVNYGYELRETLEHGLAAVPLLPWQELIPQLFARLSSHPKQVVRKQLEGLLLMLAKLCPWSVVYPTLVDLNAYDGQPLEELQRILDCLFKLYPKLIQDVQLVINELGMITILWEELWLSTLQDLHTDVIRRINMLKEEAARVAENPTLSDTEKNKINAAKYSAMMAPIVVALERRLTSTSREPRTSHELWFHEEYIEKLKSAILSLKTPPKSATALGDVWRQFDTITTSLATHHRKSCVSLSEVAPHLASLSSSDVPMPGFEKQISMLDSSGSSTTSIQGIVTISSFCEQVTILSTKTKPKKLILQGSDGQRYTYLLKGREDLRLDARIMQLLQAINSLLTSSNDTRGRALAIRYYSVTPISGRAGLIRWVDNVTSIYSVYKSWQVHTQMAQVSAVDAGNMNNPMPPVLRPSDMFYGKIIPALKEKGIRRVISRRDWPLEVKRKVFLELMKETPRELLWQEMWCASEGFRAFTLKAKRFSGSVAAMSMVGHILGLGDRHLDNILMDFSTGEIVHIDYNVCFDKGRRLKVPEIVPFRLTQTIETALGLTGTEGTFRSNCEAVISILRKNKDIMLMLLEVFVWDPLVEWTRGDIHDEAAIGGEEKKGMELAVSLSLFASRVQEMRVPLQEHHDLLVTTVPTVESALKAFLDVLNQYEVTSTFFYHADKEKSRLMQHETSAKTVVTEATSMYEKTRASFEVQVNEFAQSKAVAAEKAQEAAMWIDQHGRVLDALRSGSIPDAKGLLMLSGTEEALSLTSAVVLSGVPLTIVPEPTQAQCYDLDKEVSHLIDELDSGLSCAIEALNEYALALQKVLPHSYITNSPVNGWAQVLQLSANSLSSEALLLARNQAAELIAKSTGEGYDSARQRHQDLLHKIELCAMEIGKITAECSVLMNSIGTDTEAKAKERLLSSFTKYMQAAGCRQNEDEKFISPSGQNKCDGLKIAKVPEDLVEKKVKVFSVLCMAVIELYKEIIAKMVIYSDNFTQKVLWRTRENGSRADSGTTLVGFEEQIEKCVLVAVFLSEVQELLDITLPCTSTSAEDTNPSSAATWASVYQVCLCSSNQLIEQMTEILLPEIIRSAVTYNSETMEAFGMLSQIRGSVDTALEKLVEVELEKASLLELEKNYFVKVGLITEQQLALGEAATSGRDHLSWEEAEELASQEEACRAQLDQLHQTWNQKDMRNSSLKKLEANIINSLMSSQQYFTSLMNSEDEGDLHVRRSKALLAALAKPFAELESVDHELVSHGTVPSDLDESTYKLADFVTCSSSVSESVWGLRFLLRDHAFFIWKVSIMDSVLDMCFHDISSSVDHNVSFDQLYKSLKKKLEVHLQELLGHYLNGRVVPAFLAQLNKEIENLQHLMEARREFAPDQAKKDAGAVRKIRLMLEEYSNAHETVRAARSTVSFMKRQVNELTEALGKTILEIVQLEWLHDMSSLYLLKSKVFSGNILGDDKISPLIINLSRPKLLEKLQSSMSSVARSLECLQACERTSTSAEGQLERAMAWACAGSTAVGTGTSTVKTSGIPTEFHDHLRRRRQLLWAIQEQAGDIIKICNSVMEFEASRDGLFWIPGEKTSGRTTADGRTWQQAYLNTLTRLDVAYHSFNRAEQEWKLAESNMETAANALFAATNELCIASVKANSASDDLQDTLAIMRERAYEASTALTAFVCVSKGHTALTSECGSMLEEVLAIMEGVEDVYILGKEAAAAHSALMADLSKVNMILLPLEASLSTDLTVMADASVKDEENNKEISLVHGQALYQSYIFKLREACPSLVPLVPSLTSYVKELHSTLTKLARVSSLHAGNLHKALEGLGESQILRSQDLAISSSEPSNGAVLFNDEEKVLSGSSVEDVENLTTNGKLALHDEGWLSPPEHTYTSSPDSIISLSEGSFSEKSDNLEQDLHSNSAGEDTSMSVSYKIIDGSESKSVEDQDSNYSTEDVANSLSSVLPADLGDSLQALSLCDGPTVENVGTYDIEKGKSVVANSLMSGNEHYSNLVNGHGDNLDDSSSCFGAISRTTRGKNAYAISVLKQVELKLDGRGIEDIRSLEVPEQVDLLLKQATNVDNLCNMYEGWTPWI >Ma03_p18140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23754739:23761662:1 gene:Ma03_g18140 transcript:Ma03_t18140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGGYNPRTPEQVFGDFRGRRAGIVKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDERDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRKRLFNMINGLPTIYEVVTGAARKQPKEKAHSSNGKSNKSGSKPSRSSESHAKTSKMPPPKEEESEGEDEDEEENGNTLCGACGDNYGNDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPACSTKRSRV >Ma03_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23754739:23761625:1 gene:Ma03_g18140 transcript:Ma03_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGGYNPRTPEQVFGDFRGRRAGIVKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDERDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRKRLFNMINGLPTIYEVVTGAARKQPKEKAHSSNGKSNKSGSKVVWIDCLPSRSSESHAKTSKMPPPKEEESEGEDEDEEENGNTLCGACGDNYGNDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPACSTKRSRV >Ma01_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18859196:18859879:1 gene:Ma01_g20490 transcript:Ma01_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGTSDALMCRAFPTTLRGSARTWYSGLKPGTVASFDQLAKDFELNFLAYARPKPSMALLLGLNQKEDEPLSHFVNRFTTQIRGLFFWSLVEQPPVAVPKMLQRASQFIAAETWMAGRREEHKKVKSEPPRQQQPAASRRKLDRPDPRPPLPALNSSRTEIFLHEKGKGLLKDPRPMRNPRELADRSRYCRFHRSIGTTLSNATS >Ma09_p26170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37510967:37513129:-1 gene:Ma09_g26170 transcript:Ma09_t26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDQQTHLGEPAATGPRSVRTPEDHPSREGLRDKRPAMTSERYWRLFKDPGLSPPDAPVGPPLVSPEAFHDLAHQVRALAGVVQTIIPLVSQPTPPHATRPLQQREPAPRMHALLPEPPLSPRNQTTPLGDREAEGTSSRPEPERPSIDPMSTLRAQVCLFNQRLNEVQQEIRRSNGEPGADGYQGSPFAPEIQDQAIPSHFRLPSLDAYDGATNPADHVATFRAQMTLYGTSDALMCRAFPTTFRGPARAWYGGLKTGTITSFDHLARDFELNFLAYARPKPSVALLLGLNQREDESLSHFLNRFTTQIRGLSDAHLSLLMQAFMIGLRPSRFFWSLVERPPTTVPKMLQRASQFVTAEAWMAGRPGGHKGTKPEPPRQQQPAASRRRLDRSDPPTPRPPLPALNSSQTEIFLHIREKGLLKEPYPMRSLRALADQSKYCRFHRQRGHDTEQCQELKRQIEELIRRGHLGQYLCPDKEPSPRPEGPVERHIDVIIGGPASGGDSMTRRKAYARAASAEAPGHAPSPNVTFPARTSEQAKHDDALVVSARIANAQVRRIMVDTGSSADILYYDAFQKLGLSRDNMKPISSALTGFTGDSISPLGAVTLPLTLGNPPRSKIIMTSFLVIDLPVAYNSILGRPTLNKIRAVISTYYQTVKFPTDAETGEITGSPRESQRCYLTAVSLHKKVRTESPLADPRETQKTTPHLEPRGTPSLCRC >Ma08_p29250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40921534:40925942:-1 gene:Ma08_g29250 transcript:Ma08_t29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLGAFSSSSNASTTPPALCPHKPSSLLPFVPLSPPPLPIRFHLPPLKAQATPRCSSSSSASITSPSPIFLPHLQADEEESEFDQGGPAAEEGNIDEEAEEEEKEDDEASDPILEFFRFRSSSSADDPKQDGRLSLQRNRRTSWHIANIDSADLDHDGLEDEGQVLEPPSPSPPQPAEDGVVAEILGVARSLPENATLGELLGPYAGRVGEGECIELLGRMGEEGLPWGCLYLFQWMGLQEPSLVTPRACSVLFPVLGRAGMGDKLMVLFQNLPKGKRFRDVCVYNSAISGLASCGRYDDAWKVYEALEVNNIKPDHVTCSVLITIMRKNGKHSKDAWEFFERMNRKGIKWSLELIGTLIKSFCDDGLKKEALIIQAEMERKGISANIIIYNTLMDAYSKSDQVEEAEGLFHELKEKGLKPTTATYNILMNAYSRRMQPEIVESLITEMQGLGLKPNVKSYTCLISAYGRQRKMSDVAADAFLRMKRAGIKPTSHSYTALIHAYSVGGWHEKAYAAYENMKREGISPSIETYTALLDAFRRAGETDKLMEIWKSMISNKVEGTRVTFNIILDGLAKHGLYVQARDVIHEFGKLGLQPTVMTYNILMNAYARGGQHCKLPQLLKDMSALNLKPDSVTYSTMIYAYVRVRDFTRAFYYHKQMVRSGQVPEARSYQKLRAILDVKAATKNRRDRSAILGILNSKFGLKPKKGKDEFWKNKKKRSIMTRVVGDKK >Ma03_p33120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34601839:34606072:1 gene:Ma03_g33120 transcript:Ma03_t33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCIKDSSSSDSDNEKTSEPSAADAVKAKIYRLFGREKAVHLILGGGKPADVFLWSNNKVSAATLGGATATWVLFELLDYRLLTVACHTLIVSLAITFLYSNATHFMNKSPPNIPVVSIPEDVAANIALSLRYQINRGFAAFALGRDLKKFLAVIAGLWVLSILGNCCNFLTLFYIAFVMLHTVPVLYEKYEDQVDAFAEKTQAEFKKHYAVVTAKT >Ma03_p07410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5198823:5199723:1 gene:Ma03_g07410 transcript:Ma03_t07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSRHGRRLQRYSSGRRLVVGCIPYKFKEVDEPAIEVLVVSSQKGPELMFPKVDAPLSHLICSLLYQPMLYDQPQGLAFFRQGGWELDESMPEAASREAFEEAGVRGNLEGTLGKWTSKGDDKVHFMYALRVTEVLQQWPEMDARERKWVTVEEAREVCKHSWMREAVDKLADLLPSPSGQEMNSA >Ma03_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5198823:5199723:1 gene:Ma03_g07410 transcript:Ma03_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSRHGRRLQRYSSGRRLVVGCIPYKFKEVDEPAIEVLVVSSQKGPELMFPKGGWELDESMPEAASREAFEEAGVRGNLEGTLGKWTSKGDDKVHFMYALRVTEVLQQWPEMDARERKWVTVEEAREVCKHSWMREAVDKLADLLPSPSGQEMNSA >Ma08_p19820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33693269:33699238:1 gene:Ma08_g19820 transcript:Ma08_t19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTWLWKRKSSEKSIEKEKALELERSLEDLNEQLSSVRTESGAKDDLLAKQAKVAEEAIAGWRKAEAEALSIKQQLDDTILQKKAAEERAADADMALKECKQQLHAVKEDQQFIISNAALKISREQEKSRTLEQRLVETNKRLTEAVVENGNLNRVLEVKEKLLKELSESNSMSEAKFTEVKSRLDSSEKLNASMKYELCMLQKELEIRNQEREFNHRSSNAAHRQHLESVKKIAKLETECQRLRVMVRKRLPGPTALAKMRSEVEMLGNNSTELRKKRSSSTSEAFNIKDIIREDSYDASGKGAASLVERLHAIEDENKILKESLTKKNSELQASRVMFTRTTSKLSQAETKLEELSKGQACFELARGSPASYDLPLSSISEHGGNEDTISCAESWASALISELEHFKSGKATGSSCQSAVVSELSLMDDFVEMEKLAVGSVDKHFEGSLGTLEDSNSCVTTKESWTGVDLSEATGKEFTPIRSNDNQLRYVSLENHPSWLQDILRVIIQKHHIMQKSWSAILDDLRVALGDSNQAKHLLQQPKHTSSDSFDGAISAGIPNRESGTQLCQSNLEKSICKLIDLIEGIIQRNIKGNNGQHVPSGDDEGTSMHHSVTAANGYVARAFLWRSSELTVVLQSFVAVCNDVLNGKVDLRQFVAQVTSSVDWIISHCFSLQDDSEMKETIRKHLDGDVSYSIEELQAMVCPAKELDKLKAHEESSITEQRKRPLLSASNGLYILSRTDDIESKPKDEGERLKFDIMSMESRRKDLEEKLKISSDKNEKLVAQLQESEEKISNLRLELAALKESKGKIEDQIINQKLINEDLGTQLTVAKSELNEAHQKFSSLEVELEEKSNCYEELEAACLELQLQLESASHKETPKYIMGQEEKQIQAECDIVAASQKLAACQETIQNLGKQLKALASPKDAPLFDKVISNPAAAKSKRRLQLLDHMRAEDHAKSEETDSPNTKEIICTDAPRPPAAASENLSAGLQYKHKIHMNHGQKSSVRSIIKLSPEKSLMIVPKREKGAKFLRSLLHRRKRKH >Ma08_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33693269:33699238:1 gene:Ma08_g19820 transcript:Ma08_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTWLWKRKSSEKSIEKEKALELERSLEDLNEQLSSVRTESGAKDDLLAKQAKVAEEAIAGWRKAEAEALSIKQQLDDTILQKKAAEERAADADMALKECKQQLHAVKEDQQFIISNAALKISREQEKSRTLEQRLVETNKRLTEAVVENGNLNRVLEVKEKLLKELSESNSMSEAKFTEVKSRLDSSEKLNASMKYELCMLQKELEIRNQEREFNHRSSNAAHRQHLESVKKIAKLETECQRLRVMVRKRLPGPTALAKMRSEVEMLGNNSTELRKKRSSSTSEAFNIKDIIREDSYDASGKGAASLVERLHAIEDENKILKESLTKKNSELQASRVMFTRTTSKLSQAETKLEELSKGQACFELARGSPASYDLPLSSISEHGGNEDTISCAESWASALISELEHFKSGKATGSSCQSAVVSELSLMDDFVEMEKLAVGSVDKHFEGSLGTLEDSNSCVTTKESWTGVDLSEATGKEFTPIRSNDNQLRYVSLENHPSWLQDILRVIIQKHHIMQKSWSAILDDLRVALGDSNQAKHLLQQPKHTSSDSFDGAISAGIPNRESGTQLCQSNLEKSICKLIDLIEGIIQRNIKGNNGQHVPSGDDEGTSMHHSVTAANGYVARAFLWRSSELTVVLQSFVAVCNDVLNGKVDLRQFVAQVTSSVDWIISHCFSLQDDSEMKETIRKHLDGDVSYSIEELQAMVCPAKELDKLKAHEESSITEQRKRPLLSASNGLYILSRTDDIESKPKDEGERLKFDIMSMESRRKDLEEKLKISSDKNEKLVAQLQESEEKISNLRLELAALKESKGKIEDQIINQKLINEDLGTQLTVAKSELNEAHQKFSSLEVELEEKSNCYEELEAACLELQLQLESASHKETPKYIMGQEEKQIQAETIQNLGKQLKALASPKDAPLFDKVISNPAAAKSKRRLQLLDHMRAEDHAKSEETDSPNTKEIICTDAPRPPAAASENLSAGLQYKHKIHMNHGQKSSVRSIIKLSPEKSLMIVPKREKGAKFLRSLLHRRKRKH >Ma06_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11479511:11480895:-1 gene:Ma06_g16930 transcript:Ma06_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILRITIGTPEEARHPTALKAALAEFISVLIFVFAGQGSGMAFNKLTDDGSTTPAGLVSASLAHGFGLYVAVAVGANISGGHVNPAVTFGAFLGGNITLLRGILYWIAQLLGSVVACLLLKFATGGLETTPFSLSSSVTVWNALVFEIVMTFGLVYTVYATAIDPKKGNLGIIAPLAIGLVVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWVGPLLGGGIAALVYDGVFIGFGTHEQLPTTDY >Ma06_p33350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34024183:34028927:1 gene:Ma06_g33350 transcript:Ma06_t33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHIAATATVNSLRERLKQRRQLLLDTDVAAFAKSQGRSAISFNSTDLICCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLQCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQVDRDGNIPVSRILTGHKGYVYSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISVFGGEFPSGHTADVLSVSINSSNSNMFVSGSCDMTARLWDTRIASRAVGTYHGHQGDVNTVKFFPDGQRFGTGSDDSTCRLYDMRTGHQLQVYSQQHEGDDNDVPIVTSIAFSISGRLLFAGYSSGDCYVWDTLMAEVVLNLGTSQNSHEARISCLGLSSDGSALCTGSWDKNLKIWAFGGHRKVI >Ma06_p33350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34024183:34028927:1 gene:Ma06_g33350 transcript:Ma06_t33350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHIAATATVNSLRERLKQRRQLLLDTDVAAFAKSQGRSAISFNSTDLICCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLQCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQVDRDGNIPVSRILTGHKGYVYSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISVFGGEFPSGHTADVLSVSINSSNSNMFVSGSCDMTARLWDTRIASRAVGTYHGHQGDVNTVKFFPDGQRFGTGSDDSTCRLYDMRTGHQLQVYSQQHEGDDNDVPIVTSIAFSISGRLLFAGYSSGDCYVWDTLMAEVVLNLGTSQNSHEARISCLGLSSDGSALCTGSWDKNLKIWAFGGHRKVI >Ma06_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9829301:9831817:-1 gene:Ma06_g14350 transcript:Ma06_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPPAFTPLDVSVELGHEHQLQGATKSYDDDGRLKRTGTVWTATAHIVTAVIGSGVLSLAWTIAQLGWVAGPVVMFLFSFVTYYTSTLLADCYRSGDPINGKRNYNYTDAVHAYLGGLKVKLCGFIQYANLFGVAIGYTIAASISMMAIKRSDCFHEKGHKNPCLTSSNPYMIMFGVAEIFLSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVQVIGNKSFKGSLTGISIGVISPTQKIWRSLKALGDIAFAYSFSVVLIEIQDTIRAPPPSEAKVMKKASLLSIVVTTLFYMLCGCMGYAAFGDSAPGNLLTGFGFYNPYWLLNIANAAIVVHLVGAYQVFCQPLFAFIEKWAVKTWPESTFIAKEIAVPLTPTRRYNLSLFRLVWRSSFVVLTTVISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVRQRIPRWSTRWVCLQMLSLACLAVSIAAAIGSVAGVVTDLNLYRPFKTSY >Ma06_p14350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9829301:9831802:-1 gene:Ma06_g14350 transcript:Ma06_t14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQPPAFTPLDVSVELGHEHQLQGATKSYDDDGRLKRTGTVWTATAHIVTAVIGSGVLSLAWTIAQLGWVAGPVVMFLFSFVTYYTSTLLADCYRSGDPINGKRNYNYTDAVHAYLGGLKVKLCGFIQYANLFGVAIGYTIAASISMMAIKRSDCFHEKGHKNPCLTSSNPYMIMFGVAEIFLSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVQVIGNKSFKGSLTGISIGVISPTQKIWRSLKALGDIAFAYSFSVVLIEIQDTIRAPPPSEAKVMKKASLLSIVVTTLFYMLCGCMGYAAFGDSAPGNLLTGFGFYNPYWLLNIANAAIVVHLVGAYQVFCQPLFAFIEKWAVKTWPESTFIAKEIAVPLTPTRRYNLSLFRLVWRSSFVVLTTVISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVRQRIPRWSTRWVCLQMLSLACLAVSIAAAIGSVAGVVTDLNLYRPFKTSY >Ma09_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6115618:6123800:1 gene:Ma09_g09220 transcript:Ma09_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGPLGSFSLLSRHLQSLAPLLRLPSPPSPHHLATYCLAGLHWISGAAGQGSAPSRLRPSAVAAVAGALAQKIGKAVRRPGAPSRARVYADINVHRPKDYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHATNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHQHRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDGLNTYLNKYRLELDPQLEALVGRHSRKPWTRFINGDNQHLAVPEAVDFVDKLLQYDHQDRPTAKEAMAHAYFIPVRNAESSSRART >Ma01_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9853981:9857532:-1 gene:Ma01_g13490 transcript:Ma01_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGVLMNGKGPYRYNTTLVPDGIDYETINIEPGKTYRFRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYTNLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESLWSRVTGVAILHYSNSKGMASGPLPDSPNDFYDKTFSMNQARSIRMNVSAGAARPNPQGSFRYGSIDVTQVYVLRNMPPVIINGKRRATLNGISYSPPVTPLRLADEYNKQGVYTLDFPTRPLNRPPQLGTSVINGTYKGFMEIIFQNNDTMVQTYHMDGYAFFVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVLVSLDSVGFWNVRVENLDTWYLGQEVYVRVVNPEDNSNRTELPMPDSVLYCGLLKDKQKYGLIIHDTLFCSKPEIIFSKCCILHFLSFLRV >Ma06_p26720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28580510:28613339:1 gene:Ma06_g26720 transcript:Ma06_t26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTKAQDVVAEEEWSREVVVYVNGVRRVLPDGLAHLTVLQYLRDVGLTGAKLGCGEGGCGACTVMISYFDEQSKRSVHHAINACLAPLYSVEGMHVITVEGIGNSLRGLHPIQESLAQAHGSQCGFCTPGFVMSMYALLRSSGEPPTEEQIEETLAGNLCRCTGYRPILDAFRVFAKTDDLLYAKTSLESTSAGELICPSSGKPCSCGKGTANRRDNSVCVKQYSPVLYNKIDGSLYFEKELIFPPELILRKNMPLCLHGFGGVKWYRPLKLQHVLDLKSRYPDAKLVVGNTEVGIETKFKNSQYQVLISVTHVPELNILSMNENGLEIGASVRLTLLQQFLRKVIMQHPVEETSSCKAILRQLKWFAGNQIKNVASVGGNICTASPISDLNPLWMAAGAIMRIMNCKGNVRTIPAKEFFLGYRKVDLANDEVLLSVFLPWTRSLEFVKEFKQAHRREDDIALVNAGMRVLLKQDCGIWEVSDVSIIYGGVAPVSLIASKTQSFLRKKKWDNNLLQGALKILQEDIVLTEDAPGGMIEFRKSLILSFFFKFFSWVTNEMYEKGSFSEGLHGKHLSAIQAYSRPQTSGIQSYDLTRHETAVGQPAIHLSSKLQVTGEAEYIDDIPHPPQALHAALILSKRAHARILSIDDVMAKSSPGFVGLFLYRDIPGSNKLGVILKDEELFASDIVTCVGQIVGVVVADTHDNAKIASNKVHIEYEDLPAILSIREAVRSCSFYPNTERWLMKGDVELCFKSGECDKIIEGEVQVGGQEHFYLEPNGSLIWPVDGGNEVHMVSSTQCPQYHQECVAHVLGLPLSKVVCKTKRIGGGFGGKESRSAFIAAAASVPSYLLRRPVKIILDRDTDMMITGQRHSFLGKYKVGFTTAGEVLALDLQLYNNGGNSLDLSCSVLERAMFHSDNVYDVPNMRVRGQVCYTNFPSNTAFRGFGGPQGMLIAENWIQRIAMELQRSPEEIRELNFHNEGSMLHYGMILQSCTLTQLWDELKTSCDFVKARANVNHFNLHNRWRKRGVAMVPTKFGISFTTKHMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASAFNIPLSSVFISDTSTDKVPNASPTAASASSDLYGAAVLDACEQIKARMQCIATTKTHSSFAELVRACYLERIDLSAHGFYITPNIGFDWKVGKGTPFNYFTYGAAFAEVEIDTLTGDFYTREADIIMDLGHSLNPAIDVGQIEGAFVQGLGWIALEELKWGDADHKWIRPGHLYTSGPGTYKIPTANDIPVKFKVSLLKGVQNPKAIHSSKAVGEPPFFLASAVLFAIKDAVVAARAEEGYHDWFPLDNPATPERIRMACIDDFTKQVASHNFHPKLSV >Ma08_p28430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40345111:40347890:1 gene:Ma08_g28430 transcript:Ma08_t28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPGETLIEKIKEKIHGGDSSSSDSDDEKSKASEVAEAVKSKIFRLFGREKPVHRILGGGKPADVFLWKNKKASAIVLGGATAIWVLFELMEYHLLTLVCHCLILSLAILFLWSNATTFINKSPPHIPEVIIPEDLSVNIALSLRYEINRGFAVLREIAAGRDVKKFLAVIVGLWVLSVIGNCCNFLTLFYIAFVTLHTVPFLYDKYEDQVDSFAEKATVEFKKHYAVIHAKYLSKIPRGPLKDKKVQ >Ma10_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5288902:5293651:-1 gene:Ma10_g01820 transcript:Ma10_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Ma05_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11516156:11516308:-1 gene:Ma05_g15430 transcript:Ma05_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRSEEGLPCPSFSRRCRLLVREQKARFYILRRCIMMLVCWSDRGDL >Ma05_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32840257:32841524:1 gene:Ma05_g21140 transcript:Ma05_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC15 [Source:Projected from Arabidopsis thaliana (AT5G54180) UniProtKB/TrEMBL;Acc:A0A178U8Y7] PVIVSGPAFWSLQELHLDEKEAEALFLQHPELELVPPESLRSRVLALQSVGIDDLSLRRTVARRPEILSAPELGPFLEFVRDELKGLKPAKLERLLTTTHPEFLTGIAARVTRLMEHGVPGEKLGHLLNHVHIRKVFCERPLKDLEEMILFLKRFSWPDLVIRRPMILNLDLHDQLIPRVEFLAELGGGDEAAAAALIAKLPALLSYTVEHFESHLEFWRSVGLSDEELFKIALVYPNIFSVSKERKLGPRVEFLRQCGMDAEDIFRFLVKAPLYVSLSFKGNLSKKLTFLVKIGYKHRTRELAWAVGATTRTSCENMQRVVGLFFSYGLSCEDVLAMSKKHPQVLQYNHASLEKKLEFLIGYMERDVGEILVFPAFLGYKLDDRIKKRYEIKREVRGKGMSLNKLLSVSTDRFY >Ma05_p29120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39907064:39915245:-1 gene:Ma05_g29120 transcript:Ma05_t29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNLKVKISLATKVKSLLIEDKFEPLAYPHQGVSEPYPLCADTHGYDASYHPTPISTSPISDTTISVTVDTLTATSTDIFRNPSNQHDASISRSPKVLGTAN >Ma08_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19080955:19083162:-1 gene:Ma08_g16540 transcript:Ma08_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVGDFYRNIQLSILTRGYDTWQNGEANLLITRGMVGRLSNTPNVAFAYEISGVVDYLTSHGVRALPGRRYSTADIRGRDWTIRPTQVSIPIQPAELRSRNLIDGRISISFDNYKAASTSSRIHYSNADDETFSDEEEIRSHVIAVNIQLSYESENEAEELQENLNCYFQNIYTSNEEVEMPYPQKPQKELIAAGLEEDLVMEYPQLAKLSHQVYSSSAISNYRPPADSTMGPVNYPPAVNIESTSQRPEYEGGSRRPRFKAKSFSEAWNLPSAFQQQGAIFIIPSQLGMFDEVFMRWESITKNLVSLQGFTDPLAKMEFIENLLGEAEKLAWIQWRMAYPEEYQLLIANADGTGGTQNILSQLRTIFILEDPFQGSTTAQEEAYRDLERLSCTNLKHIIQFLNDYMRLASKTGRMFLGPELSEKLWSKMPGELGKRIKEAFEAKYRGNTIGVIPRILFSYKYLEAECKDAAFRRALKDLSFCSEIPIPGYYNKPERKYGVRRSTTYKGKPHSSHARIEKRKHLLRNKKCKCYLCGEEGHFARECPNDRKNIKRVAMFEQLDIPEDYEILSVQEGENQSDAIYSISEGEDIEDLQHGIHSFTHKIFALIEDSRTWWIGPDTGYRARIQVSQEQAECRHIWEINTELPPTLEECKCCKRKSQRRHRRHCPLCKITSCGMCSIYYFDKRTPVMMEEPPRYEPKNLLQTQQDFINHCEAEIRRLKLAVEFEQQKSKEL >Ma10_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30906454:30914365:1 gene:Ma10_g20850 transcript:Ma10_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MSLLLRRLSSHVSFSSLSSPGRLSSAFPDAINGHRRFPFGAAAALSAGVAVYYYSSSPTVAQLDPKIDESIIKVALDPEKWLEFKLQETARVSHNTQLFRFSFDPTAKLGLDVASCILTRAPVGEESEGRRKYVIRPYTPISDPDSKGYFDLLIKVYPDGQMSQHFATLQPGDVVEVKGPIEKLRYSPNMKKNIGMIAGGTGITPMLQVIKAILKNPDDNTQVSLIYANISPDDILLKGELDRLSTSYPNFKVFYTVDKPSKTWRGGTGYVSKDMVLKGLPSPGEETLILVCGPPGMMKHISGDKAKDRSQGELTGILKELGYSEEMVYKF >Ma03_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3874056:3876954:1 gene:Ma03_g05640 transcript:Ma03_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEAEAPPTEYFIIRPKKIRLIDILSLLILRKSLTSYKFVDSSGTAKDGLRADWVTALTLLIMKVLDKIKVPLKWIGIIVEFIFNLFELNGGIGIIWRIITGKLVIPKRDSADFRSMIALIDGRQDLYKNNSLLHYFPLMEPQAGFDDINLLDITAMAAKIAYENPAYIENTVTNHWKMHFVGFYDCWNKFLEEKTTQAFIFCNKAEDADLIVLSFRGTEPFNAQDWSTDVDLSTLLAGKLGLLHLGFLKALGLQNETNFILGFPTSIEMNADKPVAYYILRQELRNLLAKHKNAKIIVTGHSLGGALSAVFPALLSYHNQNDILNSMHSVMNYGQPRVGDAIFKSYVNVLMRVKYQRMVYRYDIVPRIPFDLPPLSNFTHCGKCIYFDGWYKGKVVDEVPNPNYFLEPLSVPRMYLTAWGDLFRAFFIGYTAGKDFKEGIASILYRLTGLVLPGIACHSPRDYVNSVRLAKIENTLFV >Ma02_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20417627:20431804:1 gene:Ma02_g11080 transcript:Ma02_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MEVVKAALSHVYATQERAVIRAEQKSYGYAQIVASAVNISNLLHDADVKTVNEGCTASGSAEVVNGSRYLHGTRVGIVAKPSAEFVGAILGTWLRGGVAVPLAISYPESELLHVMNDSDVSMILSTPEHQETMENVAAKCSAKVSLIPTVTSMPSNNMFSEHSREEAIGLVVELIREIEDSCMIKGDDPALILYTSGTTGKPKGVVHTHKSIIAQVQILSEAWEYTNNDQFLHCLPLHHVHGLFNALFAPLYAGSVVEFMPKFSVRGVWQRWRDSYPKDGNKAADAITLFTGVPTMYTRLLQGYQTMDSDLQKACASAANQLRLMMCGSSALPFPVMKQWEEITGHRLLERYGMTEFVMAISNPLHGVRKGGTVGKPLPRVEVKILAEDGSEVGTRGVGELCVRSPSLFKEYWKLPKVTEESFTDDGFFKTGDTVTTDEDGYYVILGRTNADIMKVGGYKLSALEIEAILLEHKAVSECCILGLLDEDYGEIVCAIIAPHADARSSAEQEQKPAITLEDLRSWSKDKLAPYKIPTKLFLWDSLPRNAMGKVNKKEIKKLLEQQP >Ma02_p11080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20417557:20431804:1 gene:Ma02_g11080 transcript:Ma02_t11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MEVVKAALSHVYATQERAVIRAEQKSYGYAQIVASAVNISNLLHDADVKTVNEGCTASGSAEVVNGSRYLHGTRVGIVAKPSAEFVGAILGTWLRGGVAVPLAISYPESELLHVMNDSDVSMILSTPEHQETMENVAAKCSAKVSLIPTVTSMPSNNMFSEHSREEAIGLVVELIREIEDSCMIKGDDPALILYTSGTTGKPKGVVHTHKSIIAQVQILSEAWEYTNNDQFLHCLPLHHVHGLFNALFAPLYAGSVVEFMPKFSVRGVWQRWRDSYPKDGNKAADAITLFTGVPTMYTRLLQGYQTMDSDLQKACASAANQLRLMMCGSSALPFPVMKQWEEITGHRLLERYGMTEFVMAISNPLHGVRKGGTVGKPLPRVEVKILAEDGSEVGTRGVGELCVRSPSLFKEYWKLPKVTEESFTDDGFFKTGDTVTTDEDGYYVILGRTNADIMKVGGYKLSALEIEAILLEHKAVSECCILGLLDEDYGEIVCAIIAPHADARSSAEQEQKPAITLEDLRSWSKDKLAPYKIPTKLFLWDSLPRNAMGKVNKKEIKKLLEQQP >Ma02_p11080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20417606:20431804:1 gene:Ma02_g11080 transcript:Ma02_t11080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MSAFKVSYRLSPLFHDLLFISSRSSSPLSLLQSRLFSAHNTRPSSGTFMEVVKAALSHVYATQERAVIRAEQKSYGYAQIVASAVNISNLLHDADVKTVNEGCTASGSAEVVNGSRYLHGTRVGIVAKPSAEFVGAILGTWLRGGVAVPLAISYPESELLHVMNDSDVSMILSTPEHQETMENVAAKCSAKVSLIPTVTSMPSNNMFSEHSREEAIGLVVELIREIEDSCMIKGDDPALILYTSGTTGKPKGVVHTHKSIIAQVQILSEAWEYTNNDQFLHCLPLHHVHGLFNALFAPLYAGSVVEFMPKFSVRGVWQRWRDSYPKDGNKAADAITLFTGVPTMYTRLLQGYQTMDSDLQKACASAANQLRLMMCGSSALPFPVMKQWEEITGHRLLERYGMTEFVMAISNPLHGVRKGGTVGKPLPRVEVKILAEDGSEVGTRGVGELCVRSPSLFKEYWKLPKVTEESFTDDGFFKTGDTVTTDEDGYYVILGRTNADIMKVGGYKLSALEIEAILLEHKAVSECCILGLLDEDYGEIVCAIIAPHADARSSAEQEQKPAITLEDLRSWSKDKLAPYKIPTKLFLWDSLPRNAMGKVNKKEIKKLLEQQP >Ma05_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5533583:5537546:-1 gene:Ma05_g07610 transcript:Ma05_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYAVGCWIRETGQAIDRLGCRLQGNYLFQEQLSRHRTLMNIFDKVPNVHKDAFVAPSASVIGDVHVGQGSSIWYGCVLRGDVNSIHIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGAVLLDGAVVEKHGMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDEEVAFISESAANYANLAQVHAAENVKSFDEIEFEKVLRKKFARRDEEYDSMLGVVREVPPELILPDNILPDKSRKPSQ >Ma04_p36130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34623863:34624288:-1 gene:Ma04_g36130 transcript:Ma04_t36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGCAHRIRNCGSELLTLEVHLTNVNENKWKLMENSLRLKSTFLYCDLNRLISNEKDERKELLTDLTNRLSRYLAKLDRAVKTRSVPLARIHYNDVAIVLREIEAALMPFLS >Ma06_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3885460:3888152:1 gene:Ma06_g05240 transcript:Ma06_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.4 [Source:Projected from Arabidopsis thaliana (AT3G14067) UniProtKB/Swiss-Prot;Acc:Q9LVJ1] MGKSFRLSFFPAVFILLILPLLGTAQVDTDAQATYIVHLSPSRKPASSPSHAHWYARTLRSLPGRQPARLLYAYSRAASGFAARLTPAQAAAIRRLPSVLAVLPDRLCHPHTTHSPVFLRLSTSTGLWPFSSFASDVVIGVLDTGIYPSRASFADDSLPPPPSTWRGYCESGNGFNASSCNRKLIGARFFYQGYEAAMGHPIDETKESKSPLDTEGHGTHTASTAAGAVVSDAGFYQYARGEARGMATKARIAAYKICWAGGCYDSDILAAMDAAIADGVDVISLSVGAGGYAPSFYRDSIAIGAFGAARHGVVVSCSAGNSGPGQRTAVNIAPWILTVGASTIDREFPADVVLGDGNTYGGASLYAGDPINSTYLPLVYAGDSGSRLCIPGFLDAAVVAGKIVLCERGTNARVEKGSAVKLAGGAGMILANDAASGGELVADSHLIPATMVSHTSGSKITDYIKSQPSPTATIVFRGTAIGASPAAPKVAAFSSRGPNFRVAEILKPDVIAPGVNILAGWTGMNGPTDLDIDPRRVEFNIISGTSMACPHVSGIAALLRRAYPDWSPAAIKSALMTTAYNSDDSGETIKDLATEAESTPFVRGAGHVDPNRALDPGLVYDSNVDDYLAFLCAMEYSPAQIAVFTRNEISVNCSTAALDSPGDLNYPAFSVIFSSNSDVVTYKRVVRNVGTSAAAAYEARVSSPPGVDVTVTPSTLVFDAVNVSLSYEITFTSLASQAVAGSYAYGSISWSDGDHDVRSPIAVTWDLSLVSSI >Ma04_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24963597:24985421:-1 gene:Ma04_g22700 transcript:Ma04_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRAPFLLSLKFPPLRPCFPSLPFSNPWSRNLFLRCCSTGRAAMSGRRTVADVLMGNARAAAAAAAKSKKSAPSSAQPEQPSPSPSKKPKTLDGGGQNPKDPPEEKNPTLDLKKKCSDFNPKKAASWKDGEPVPFLFLARALELISNESGRIAITEIICNVFRTVIATTPGDLLATVYLSANRIAAPHEGIELGIGDASLVKALAEAYGRKEEQVKKQLKELGDLGLVAKASRSSQKVMFKPQPLTIAKVFDTFRAIAKESGKDSQDKKRNHIKGLLVAATECEPQYLVRLLQSKMRIGLAEKTVLVALGQAAIYSETNQNPRSQTQSDLEEAAKIIKQVYSVLPIYDKIVPELLHVGVWKLPEACNFSLGVPVGPMLAKPTKAVSEILDKFQGMEFTCEYKYDGERAQIHYMEDGSVEIYSRNAERNTGKYPDVVGSVSRFKKPAVKSFVLDCEIVAYDREKQRILPFQILSTRARKGVILSDIKVAVCTFAFDILYVNGQPLLQEQLKVRREHLYGSFEEVPGVFQFATAITSDDLEEIQKFLDTAVNTSCEGLIIKTLDKDATYEPSKRSNNWLKLKKDYMESIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEHNEEYQSICNIGTGFSESQLEERSTSLRNKIIPKPKPYYRFSDTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLHVRDDKSPEEATTSEQVAEMYRAQKINHTNNQDEDDDD >Ma05_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7023462:7027243:1 gene:Ma05_g09740 transcript:Ma05_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNRRQSGIQQLLAAEQEAQRIVNEARNAKMARLKQAKEEADKEIAAYRAQMEAEFQKKVAQSSGDSGANVKRLELETEEKIQHLKSQAANISNDVGQMLLKHVTTVKN >Ma11_p23530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26840214:26842679:-1 gene:Ma11_g23530 transcript:Ma11_t23530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSLQRRYIDFTSALFREGFLDNQYTQLQQLQDESNPEFVLEVVTLFFEDSEKLLDELSRTLDQQVVDYKKVDAHVHQLKGSSASIGAQRVKNVCMAFRNCCEERNQEGCLRCLQQVRQEYFLVKSKLETLFRLEKQILAAGGSVPVLW >Ma11_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26840214:26842679:-1 gene:Ma11_g23530 transcript:Ma11_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSLQRRYIDFTSALFREGFLDNQYTQLQQLQDESNPEFVLEVVTLFFEDSEKLLDELSRTLDQQVVDYKKVDAHVHQLKGSSASIGAQRVKNVCMAFRNCCEERNQEGCLRCLQQVRQEYFLVKSKLETLFRLEKQILAAGGSVPVLW >Ma01_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10781170:10784330:1 gene:Ma01_g14750 transcript:Ma01_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGIFIFILVIAYHYVMADPKYEGN >Ma08_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9896418:9903142:1 gene:Ma08_g12850 transcript:Ma08_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTGSTTAIGGGGGGGRRRGRGYFDEYDEQK >Ma06_p28620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30270970:30273025:-1 gene:Ma06_g28620 transcript:Ma06_t28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPSNMSPNWPNLGHHAIPPSFLPIAPPLAPGSQPSWVDEFLDFSSAKRGNHRRSVSDSIAFLEHPLEGEGSDFDRFDDDQLMSMFSDDVPPSSLSGAVPASSSSTPSDHNSFNEDKPTDQKAKNESEEAQSACKEEPQAVAQPATGTETIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQNLKKMAPPTSEPAVSTEKELLS >Ma06_p28620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30270970:30273025:-1 gene:Ma06_g28620 transcript:Ma06_t28620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPSNMSPNWPNLGHHAIPPSFLPIAPPLAPGSQPSWVDEFLDFSSAKRGNHRRSVSDSIAFLEHPLEGEGSDFDRFDDDQLMSMFSDDVPPSSLSGAVPASSSSTPSDHNSFNEDKPTDQKAKNESEEAQSACKEEPQAVAQPATGTETIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDGGTKEGDRETQTSLSPAKPKENGTAHIGTSREHRKRAA >Ma04_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8140902:8142630:-1 gene:Ma04_g11530 transcript:Ma04_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVVQDLLAEVERAKQLAQEERRKAGIALDGEDEGDYMRVVPLIEKLEKTKVKDSDSINRFWVPTDSESDGDQRFSPDEVKKRLDSSRRSASATRSFSRTFRRETLDEAHKWMTKIDKFEQRHLKLRLEYRHQQVGSPEDIVDSADFEKEKTMIQGAGLEEDEEDFSETKEIDNILIEKFNAIEEKLEEKLAELDHAFGKKGRVLEEEIDSLVEERNSLT >Ma06_p35500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35315594:35316241:-1 gene:Ma06_g35500 transcript:Ma06_t35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLGKITVETPTYEVIRAAADYQISKYFLRVVAEVNYDASKFRNDRDGGFIILANYIGALGDPQNVRPEKIAMTAAIVSKDRPEKIDMTGRHPQRRGGDDAVRAAVQVRGGGGGAGAHGRAGGGEEGGGGGQVRGGGGEGAAAEAELRAGRPQGGRRLPPRQVQPALDAASRPHQRSHASRRIVTPPTSRSSSATYSSNSYYHGEMSVVTSHH >Ma06_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4890296:4894795:-1 gene:Ma06_g06810 transcript:Ma06_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLPISQSLPFSAHPRAIISAFLGKHPHRLLCRPRGGAGGWMPLRTMVVSATTTETPKKRQAAGGTRDRGFVDEMRAVAMKLHTRDQAKEGEKTSESPPVSKWEPSVEGYLRFLVDSKLVYDTLETIVQKAAYPSYAEFRNTGLERSEKLAKDLVWFKDQGHTIPEPSSVGASYAKYLEELSEKDPQAFICHFYNVYFAHTAGGRMIGRKVAEKILDNKELEFYKWDGDLSQMLQNVRDKLNRVASSWSREEKDHCLEETEKSFKYSGSILRLILS >Ma03_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9909839:9914786:-1 gene:Ma03_g12870 transcript:Ma03_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLSSRLDAVVARLDTQAKMQAIGKSMGSIVKSLDSALTSGNLQKMSETMDQFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAASHAIPPAKEKETVDEDDLSRRLAELKARG >Ma07_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28894868:28902609:-1 gene:Ma07_g20890 transcript:Ma07_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSAQREDTLRLPLVLSENNAMPIITSKPRAREVSSRYGAGMSSTTISNPSTPRRCSSPTASRTSPVRATSQTKRAQSAERRRPIASSPRFSTPTTPSSRPSTPSHPSSTLATPIRDTVTEMHDKTRRLLSSKTPDGLWPSMRSLSSSFQSESVSVPDSKTEKVVAGSSSDHTVKPSANGTPERKRTPLRGRNTTENSCPMDNLNARVIDQHRWPGMLGRRVSANALARKVIPTDKICRSASLSVPSRGVSPKRTTTYDSAARDFQQPLTEAARRPYPDGSGRMKQDAKLDVNTSSQLPERSSLVAWMSTTHSTPIKASQRSSSPSRILSLSLNTSRGMQSPSRARLPTSISSSGNGASQACAASSVLNYAVEVQKGKKNASHIEAAHQLRLLHNAVLQWQFVNAQADDTVSIQKNRAEKILYSALNTISKLRDFIIRKRNEVEHLRRQMKLAMILRNQVAYLDCWTQLEREYDSSLSESIEVFKASTLYLPVSRGARADVFSVKNAVSSAVDAMQAMGLSVCYLLSKVKNTQSMISELSVVSAKEMAMLAECRELLASVATMQVQESSLRTYLLQLRH >Ma09_p28550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39276508:39277259:1 gene:Ma09_g28550 transcript:Ma09_t28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCPSKTCCCFLLVLVILLIGFVFGFGVFAHGFHKIKDSLHVEAESAVPHGRPFFTGAASPPPF >Ma07_p25360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32438022:32445114:1 gene:Ma07_g25360 transcript:Ma07_t25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFTQQYKATIGADFVTKEILVDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVRRSFDTLDNWHDEFLNQASPSDPTTFPFILLGNKIDVDGGNSRVVSEKKAKEWCASRGNMPYFETSAKEDYNVDAAFLQVAQLALQHDRDQDIYFQAIPEPASQTEQRGGCAC >Ma06_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1178592:1187665:1 gene:Ma06_g01460 transcript:Ma06_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNITAPLDPAAFADAVVQIYLENAGDLELVAKNVESSDLNFSRYGDTFFEVVFTGGRTQPGTIKPDEGERHPYSVLDCQPIREAILPSVLYIQKILRRKPFLIKNLENVMRKFLQSLELFEENERKKLAIFTALTFSQKLSGLPPETVFQSLLKDNLVAKGIVLPFITEFFKEYLVDNSLDDLISLLKRGKMEDNLLDFFPSARRSAECFSEHFNKEGLVALVEYHEKKIFEVKLKVMKSALTTQIAEETDVSEVIETAKQRVKDANLPDVEVVRILWDVLMDAVQWSGKNQQQNANSALRQVKAWAGLLNAFCTSGKLELELIYKVQIQCYEDAKLMKLFPEIIRSLYEQDVLAEDTILLWFRKGANPKGRQTFVKALEPFVNWLEEAEEED >Ma01_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6059766:6060283:-1 gene:Ma01_g08470 transcript:Ma01_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma05_p31350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41302959:41306698:-1 gene:Ma05_g31350 transcript:Ma05_t31350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYQGEELWLPSHFLCDAFFLEGGPKNGGETVDDVAGLAQQMARYFLPCAHEDAPVAAPEHAKAMARSPQSTLCAWSTSNKGSPNGPSLVSSPPSSSPLAQRSKDDPCDLLCEAADQVMLLRRLGDLGRHRTVYDRGVLGPPRLPLPATFAISRNIDDGYDASTPVFTLEQLQAARFYHLKRQQTMNQQLSAAWGRQSKARCCGGRDGEGRCGWPLDLSPSAWPPLREPQLPKQRPPQPVAGMRTVFLDGSHARKEPIGTGVFLPRSACNVLDPRKTTGRPTALVPARKVQASSLNLEVLAGRPDFPGRLVVGHDARIRPSSAVPSHQTKKHHRLGAQPTAAAAAAVVAHEVGLPQEWTY >Ma05_p31350.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41302959:41306698:-1 gene:Ma05_g31350 transcript:Ma05_t31350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYQGEELWLPSHFLCDAFFLEGGPKNGGETVDDVAGLAQQMARYFLPCAHEDAPVAAPEHAKAMARSPQSTLCAWSTSNKGSPNGPSLVSSPPSSSPLAQRSKDDPCDLLCEAADQVMLLRRLGDLGRHRTVYDRGVLGPPRLPLPATFAISRNIDDGYDASTPVFTLEQLQAARFYHLKRQQTMNQQLSAAWGRQSKARCCGGRDGEGRCGWPLDLSPSAWPPLREPQLPKQRPPQPVAGMRTVFLDGSHARKEPIGTGVFLPRSACNVLDPRKTTDARIRPSSAVPSHQTKKHHRLGAQPTAAAAAAVVAHEVGLPQEWTY >Ma05_p31350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41302959:41306289:-1 gene:Ma05_g31350 transcript:Ma05_t31350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYQGEELWLPSHFLCDAFFLEGGPKNGGETVDDVAGLAQQMARYFLPCAHEDAPVAAPEHAKAMARSPQSTLCAWSTSNKGSPNGPSLVSSPPSSSPLAQRSKDDPCDLLCEAADQVMLLRRLGDLGRHRTVYDRGVLGPPRLPLPATFAISRNIDDGYDASTPVFTLEQLQAARFYHLKRQQTMNQQLSAAWGRQSKARCCGGRDGEGRCGWPLDLSPSAWPPLREPQLPKQRPPQPVAGMRTVFLDGSHARKEPIGTGVFLPRSACNVLDPRKTTGRPTALVPARKVQASSLNLEVLAGRPDFPGRLVVGHGNYCPDAFVSVDCVRNYLFVCPADARIRPSSAVPSHQTKKHHRLGAQPTAAAAAAVVAHEVGLPQEWTY >Ma05_p31350.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41302959:41306698:-1 gene:Ma05_g31350 transcript:Ma05_t31350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYQGEELWLPSHFLCDAFFLEGGPKNGGETVDDVAGLAQQMARYFLPCAHEDAPVAAPEHAKAMARSPQSTLCAWSTSNKGSPNGPSLVSSPPSSSPLAQRSKDDPCDLLCEAADQVMLLRRLGDLGRHRTVYDRGVLGPPRLPLPATFAISRNIDDGYDASTPVFTLEQLQAARFYHLKRQQTMNQQLSAAWGRQSKARCCGGRDGEGRCGWPLDLSPSAWPPLREPQLPKQRPPQPVAGMRTVFLDGSHARKEPIGTGVFLPRSACNVLDPRKTTGRPTALVPARKVQASSLNLEVLAGRPDFPGRLVMLASVQAARCHRIRPRSTTASEPSRPPLPQQQSWPTRLDSPRSGPIDLLAIHS >Ma05_p31350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41302959:41306698:-1 gene:Ma05_g31350 transcript:Ma05_t31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYQGEELWLPSHFLCDAFFLEGGPKNGGETVDDVAGLAQQMARYFLPCAHEDAPVAAPEHAKAMARSPQSTLCAWSTSNKGSPNGPSLVSSPPSSSPLAQRSKDDPCDLLCEAADQVMLLRRLGDLGRHRTVYDRGVLGPPRLPLPATFAISRNIDDGYDASTPVFTLEQLQAARFYHLKRQQTMNQQLSAAWGRQSKARCCGGRDGEGRCGWPLDLSPSAWPPLREPQLPKQRPPQPVAGMRTVFLDGSHARKEPIGTGVFLPRSACNVLDPRKTTGRPTALVPARKVQASSLNLEVLAGRPDFPGRLVVGHGNYCPDAFVSVDCVRNYLFVCPADARIRPSSAVPSHQTKKHHRLGAQPTAAAAAAVVAHEVGLPQEWTY >Ma06_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1866748:1869768:1 gene:Ma06_g02400 transcript:Ma06_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWSWKWCLSLGVTGACMLAALKVLHLLWWRPRKLEEHFARQGIRGPRYRFFTGCVKEMVGLMLEASSKPMMPQNSHNILPRVLPFYHHWKKIYGSTFLLWFGPTPRLTVADPDLIRDVFLSRSDAFERYEAHPLVRRLEGEGLVSLRGEKWARHRKVLTPTFHMENLKLLIPFVGKTVLGMVEKLPSSGEEVEIDVSEWFQTVTEDAITRTTFGRSYDDGMAVFQLQTQQMAFAAEAFSKVFIPGYRFIPTKKNSNSWKLEKEIKRSLIRLIGRRKELLEQEEEKGKPDGDVKDLLGLMIEASGSKQGTVPAPERPPPSSMFAVRDIVEECKTFFFAGKQTTTNLLTWTTVLLAMHPEWQERARAEVLRVCGPIDLPSRHHLPKLKTLGMILNETLRLYPPVVATIRRAEADVELGGYHIPQGTELLIPIMGVHHDAGLWGTDVAEFNPTRFADGASRAARHPTAFIPFGLGARMCIGQNLAVLEAKLTVAILLQRLAFRLSPSYVHAPTVLMLLHPQYGAPILFRSLPRPSHPS >Ma01_p15580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11276603:11280419:1 gene:Ma01_g15580 transcript:Ma01_t15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCFLRYLLLMTLLLAVAGSDTDPGDLAAMQVIAAGLGANLSPSLAWSPSAEPCSAWAGVSCTGGRVTAIRAGNCSLSGSLPSAVRSLTALTRLELQQNSLSGPLPSLAGLAALQVLLLHGNSFSSLPADFFTGLTSLESAFIDNNPLAPWSLPDSLRDAAALVNFSANFARVSGPLPAFLGTAFPALDHLGLAFNLLSGPVPAAFAATPLRSLWLNNQQGAARFSGSISFVENMTALEELWLHSNDFSGPLPDFSQLTRLRDLQLRDNRLTGVVPRSLTQLPSLSNVTLTNNLLQGPVPVFPSSVKEVNVDPKGERFCLPNPGDCDPRVTVLLSVVKDFGYPARFAENWVGNEPCGWLGISCDDGGNITVINLQKMGLNGTISPDFGSIASLQRLLLSNNNLTGTIPASLASLPSLRQLDVSNNNLWGQIPSFSKNVLVNTAGNQNIGKDLTGYSNSNPNVLSDASSSHNGHPSSVPVSVIVVSVIAVVVGISLAVVLGFCYYKRKLQQRSRVQSPNTTVILPRHSRSDPDTIKITVAGSSVDDGTTLGNVHVVETGNMVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMDAGVMGTKGLNEFKSEISVLTKVRHRNLVSLLGYCLDANERLLVYEYMPQGTLSCHLFEWKESGLKPLEWKKRLSIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMKAKVADFGLVRLAPDEKGCSVQTRVAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDESQPEENVHLVTWFWRMLLNKDAFHKAIDPTIDLDEDTSASISTVAELAGHCCAREPHQRPDMSHAVNVLSSLAELWKPSDPDSEESYGIDLDMTLPQALEKWQALEDRSHVDGATTSFLASLDNTRTSIPTGPPGFADAFTSADGR >Ma01_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11276603:11280556:1 gene:Ma01_g15580 transcript:Ma01_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCFLRYLLLMTLLLAVAGSDTDPGDLAAMQVIAAGLGANLSPSLAWSPSAEPCSAWAGVSCTGGRVTAIRAGNCSLSGSLPSAVRSLTALTRLELQQNSLSGPLPSLAGLAALQVLLLHGNSFSSLPADFFTGLTSLESAFIDNNPLAPWSLPDSLRDAAALVNFSANFARVSGPLPAFLGTAFPALDHLGLAFNLLSGPVPAAFAATPLRSLWLNNQQGAARFSGSISFVENMTALEELWLHSNDFSGPLPDFSQLTRLRDLQLRDNRLTGVVPRSLTQLPSLSNVTLTNNLLQGPVPVFPSSVKEVNVDPKGERFCLPNPGDCDPRVTVLLSVVKDFGYPARFAENWVGNEPCGWLGISCDDGGNITVINLQKMGLNGTISPDFGSIASLQRLLLSNNNLTGTIPASLASLPSLRQLDVSNNNLWGQIPSFSKNVLVNTAGNQNIGKDLTGYSNSNPNVLSDASSSHNGHPSSVPVSVIVVSVIAVVVGISLAVVLGFCYYKRKLQQRSRVQSPNTTVILPRHSRSDPDTIKITVAGSSVDDGTTLGNVHVVETGNMVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMDAGVMGTKGLNEFKSEISVLTKVRHRNLVSLLGYCLDANERLLVYEYMPQGTLSCHLFEWKESGLKPLEWKKRLSIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMKAKVADFGLVRLAPDEKGCSVQTRVAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDESQPEENVHLVTWFWRMLLNKDAFHKAIDPTIDLDEDTSASISTVAELAGHCCAREPHQRPDMSHAVNVLSSLAELWKPSDPDSEESYGIDLDMTLPQALEKWQALEDRSHVDGATTSFLASLDNTRTSIPTGPPGFADAFTSADGR >Ma03_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26595467:26602784:1 gene:Ma03_g21630 transcript:Ma03_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLISTPKSNPTFPRFSFSSAKPTGFLNSIDLVSFRRGGNRIGGLSFRANARSAIDEAELLESGHPNPTISSSYRPPTIPQPNQTVLEAQARVCTGPEQTRPLTEEQAFKVLDTILKSARGELKDDEVSKAQLGAFFAGMTIRANAFPEPTQWSEGERRAMDVFWPHLVEVLPQDIIFIADPEGTIMESGNSIGPNYVGEGTAEMRLVGALREVLAGGHLGYEEVQGVLRDVLPLKSDDDGSAKASESLIAAFLIGQRMNRETDRELKAYCLAFDDDLGPPPVADVDSLTHYGEPYDGNTRFFKSTLFVAAVRACYGESCLLHGVEWMPPKGGITEGQLLKFMGANIYLSPAHAKTLLEDENVGFAYLNQKEVHPSLYSLIGLREHIKKRPPLATAEKVQQFVRARGREAIVAGFYHAGYENPLLMLMRRRRVHSGLVVKGEEGALSMTTKAKAVHASKGLPVNHCSGFRPPSLAVLPDVDGISRESFSIDVNAKDYGFEPTDTPRTDRSVLKNIALGLAALRGEKGEAYDRIVLNAGMVDHLLGCNGAEDVMAALDRAREAIDSGKALKRLMNYIQLSHKVI >Ma07_p27650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33952737:33954862:1 gene:Ma07_g27650 transcript:Ma07_t27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAAVAVIQHPDGGVESYSCPLSASDIMAANSGHYVAVIMTVTHPRSSSAAYHRSSRRSGGGDGKQVRYLKLLRPDDTLLVGHFYRLVSFEDVLREFGSKRRVRLSKLLAKKEEELRKQREEANPSSSVVEGEEETMGSVDSRNSVRVARKGQWRPALQTIAEADSFK >Ma01_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11391269:11418046:1 gene:Ma01_g15720 transcript:Ma01_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQMASSQSGENVVTQCKNCRCGEADTKFWITRASDNPDKQTNTCPNCNVLKSGPLFISSKGIGWTSWKKRWFVLTRTSLVFFKTDPAALPQKGSEANITLGGIDLNNSGSVVIKPDKKILTVLFPDGRDGRAFTLKAETSEDLYEWKAALENALSQAPSAALPLGQNGIIHNDKTESTEASGDQLRDIQPVKSTIIGSPILLALEDIDGSPSFLEKALRFIEQHGIKVEGILRQSADVEEVKRRVREYEQGKVEFSSDEDAHVVGDCIKYVLRELPTSPVPASCCTALVEAYRTGRESRVDSLRAAIYETFPEPNRHLVQRILKMMQTVASHKTQNRMSLPALAACMAPLLLRPLLAGDCEFEDDFNMGGDGSIQLLKAAAAANHAQAIVIILLEKYDIIFDEDLLHEDSMSSELYSDSGDGDVEDDDSTDNDMLDDDECLDEHNGSNDSTDNDIPEDDGYHDEHNGLEADIGDDSEHSSSETIGESNNNVGSNPCDDKFLNNQKRDGASLQDNDVPDASKFLADTAHNTLSHDVCHQKSSSTSDIPCAENSSVLKCRNLLHDESNAIASHDRVASVAYESVGDDIPSSTSTSHILPSSDQQAVGKSNQMVIASKRPTVWGRTSAKKKLPMESMDLSSKDGIAVQQLENTKNDLQNKISKEVKGNEILQESLERRKEALHERRLALERDIEKLREQLQTERDLRASLESGLMNMRPGHISFASAMDSKTRADLEEVALAEADIVTLKQKVADLRGQLSRQLKRSYASLCESCSKHIHSRDHSAENDALEDVNNSFGDQHGSFLKQAVQDSVSGGARDLVPAKQQELPSLKEVEPQAQNRESCSQNMITSGTRNTPSNKDSMSKFSSNNEDTKTQAQCLPSSPTAKPQSEQLDTNRSSMESPVSKHFTSMGEESVASSDDQPSQKQKTDIANPSSNLSQGLNKVLPSNEEPVKARKGSALKKFSLWDEKAKKEVQDPSLSNKHSSHEQQPSDMTSNNLKPHISASISSSEDVTVSGHSGATTRFSSRPEETASSSSSSSSSSSALAKLTNRLNFLKERRAQLVNDLQVARNSLGPGPDGPPPRTNSR >Ma01_p15720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11391269:11418046:1 gene:Ma01_g15720 transcript:Ma01_t15720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQMASSQSGENVVTQCKNCRCGEADTKFWITRASDNPDKQTNTCPNCNVLKSGPLFISSKGIGWTSWKKRWFVLTRTSLVFFKTDPAALPQKGSEANITLGGIDLNNSGSVVIKPDKKILTVLFPDGRDGRAFTLKAETSEDLYEWKAALENALSQAPSAALPLGQNGIIHNDKTESTEASGDQLRDIQPVKSTIIGSPILLALEDIDGSPSFLEKALRFIEQHGIKVEGILRQSADVEEVKRRVREYEQGKVEFSSDEDAHVVGDCIKYVLRELPTSPVPASCCTALVEAYRTGRESRVDSLRAAIYETFPEPNRHLVQRILKMMQTVASHKTQNRMSLPALAACMAPLLLRPLLAGDCEFEDDFNMGGDGSIQLLKAAAAANHAQAIVIILLEKYDIIFDEDLLHEDSMSSELYSDSGDGDVEDDDSTDNDMLDDDECLDEHNGSNDSTDNDIPEDDGYHDEHNGLEADIGDDSEHSSSETIGESNNNVGSNPCDDKFLNNQKRDGASLQDNDVPDASKFLADTAHNTLSHDVCHQKSSSTSDIPCAENSSVLKCRNLLHDESNAIASHDRVASVAYESVGDDIPSSTSTSHILPSSDQQAVGKSNQMVIASKRPTVWGRTSAKKKLPMESMDLSSKDGIAVQQLENTKNDLQNKISKEVKGNEILQESLERRKEALHERRLALERDIEKLREQLQTERDLRASLESGLMNMRPGHISFASAMDSKTRADLEEVALAEADIVTLKQKVADLRGQLSRQLKRSYASLCESCSKHIHSRDHSAENDALEDVNNSFGDQHGSFLKQAVQDSVSGGARDLVPAKQQELPSLKEVEPQAQNRESCSQNMITSGTRNTPSNKDSMSKFSSNNEDTKTQAQCLPSSPTAKPQSEQLDTNRSSMESPVSKHFTSMGENITAADHENVETQSQESVASSDDQPSQKQKTDIANPSSNLSQGLNKVLPSNEEPVKARKGSALKKFSLWDEKAKKEVQDPSLSNKHSSHEQQPSDMTSNNLKPHISASISSSEDVTVSGHSGATTRFSSRPEETASSSSSSSSSSSALAKLTNRLNFLKERRAQLVNDLQVARNSLGPGPDGPPPRTNSR >Ma04_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5601292:5603562:1 gene:Ma04_g07720 transcript:Ma04_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLTEDVKQLIYMKKEIEEQLQLIDHLQQLGVAYHFKEDIKDALGTIYGSVEKVNMLLKDNLHATALMFRLLREHGFAVSEGVFYRFMDEKGNLKVSLRHQTEGLVSLYEASHLAKEGEHVLEEATNFTTKQLKSLMEGSLEPHLREHVAHALELPLNCRMPRLQTRWFIEASQREAKMNPVLLELAKLDFNRVQIIYQRELREVSRWWNNLGLAQRLPFSRDRLVENYFWTVGWVFEPQFGRCRELHTKANCFIVTLDDVYDIYGTMDELELFTDAVDRWDVNAMDKLPEYMRICFLALFNTTNDIAYNVQKEKGLDIIPHLKKAWADLLKTFTVEARWYHQGYTPNLGEYLENALVSVSVPLILTLAYCTSDDLTQEALDDFQSCPEFARWPSMIFRLCDDLGTSTDELERGDVSKSIQCYMHETGVSEDAARRHIRGLIKGNWRAINGDRSFTSRFEENLKMMAINIPRMAQCMYQYGDGLGKPGQVTNDRIRSLLIEPIPL >Ma09_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6181245:6181640:-1 gene:Ma09_g09370 transcript:Ma09_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLCVHCFPPVLVSLCHAGRPEPVDPKKTSLQGVEEEMADKVEGSPSRGDDNLLLHALECYRVHVNINLITTDRS >Ma06_p28230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29956464:29962538:-1 gene:Ma06_g28230 transcript:Ma06_t28230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQHKRGKAAKGLDASEKVVVAVKASKEIPKTALVWALTHVVQPGDCIMLLVVVPPHSSGRKLWGFPRFAGDCASGHRKSQSGTALEQKSDITDSCSQMMLQLHDIYDSNKISVKIKTVSGSPSGAVAAESRRVLASWVVLDKQLKHEEKHCIDELQCNIVVMKRSQPKVLRLNLVGSHEAEPQFPRQLPSELDTPKISNDTKDSQNSIRGPAVTPTSSPEVETSFTTTEAGTSSVSSSDPGTSPFFATETIGAIKKEEHVSAKEIRNLDLSTSDSDSGCSSPARTNFQPWMADIFGSARPSSKEIQEVSQALDTKARISTAKALLDKFSKLDREAGIGSLSYRSEINFSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYSELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCVEDRRRLLVYEYICNGSLDAHLYGRSREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDLGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLLELVTGRKAVDINRPKGQQCLTEWARPLLEEYAIDELVDPRLGNHYAEHEVYCMLHAASFCIRRDPHARPRMSQVLRILEGDMIMDSSYTLSPGYANGNKSGRMWPEQQQQQQQHSSPIRKQASEVLAGKKSYEALRTAWERERESIMRRC >Ma06_p28230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29956464:29962538:-1 gene:Ma06_g28230 transcript:Ma06_t28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQQHKRGKAAKGLDASEKVVVAVKASKEIPKTALVWALTHVVQPGDCIMLLVVVPPHSSGRKLWGFPRFAGDCASGHRKSQSGTALEQKSDITDSCSQMMLQLHDIYDSNKISVKIKTVSGSPSGAVAAESRRVLASWVVLDKQLKHEEKHCIDELQCNIVVMKRSQPKVLRLNLVGSHEAEPQFPRQLPSELDTPKISNDTKDSQNSIRGPAVTPTSSPEVETSFTTTEAGTSSVSSSDPGTSPFFATETIGAIKKEEHVSAKEIRNLDLSTSDSDSGCSSPARTNFQPWMADIFGSARPSSKEIQEVSQALDTKARISTAKALLDKFSKLDREAGIGSLSYRSEINFSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYSELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCVEDRRRLLVYEYICNGSLDAHLYGRSREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDLGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLLELVTGRKAVDINRPKGQQCLTEWARPLLEEYAIDELVDPRLGNHYAEHEVYCMLHAASFCIRRDPHARPRMSQVLRILEGDMIMDSSYTLSPGYANGNKSGRMWPEQQQQQQQHSSPIRKQASEVLAGKKSYEALRTAWERERESIMRRC >Ma04_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23019722:23021256:-1 gene:Ma04_g20330 transcript:Ma04_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEGITKHHALFVTVPFQGHFTPAANFAVKLAARGFIVTFVTTEGFHHQRAASGAVSVDGHEVFADARSMGMDIRSELVSDGLPVSFDRTLHRDQFSDAFYHLLPSHVEELMRKLLLAEPPIDVLISDTFNVWPSTLAKKFGLPYVSFWTEAALVFAIYYHVHLLVEYGHFGSPTETRKDAIMYIPGVPSIEPTDLVSFFHSPEASWRVLRNVGKAFEEAKGADFVLCNTVQELEAEVIGALQQERPFYAVGPIVPASGEGGAATSLWPELDCSQWLHSMPPRSVLYISFGSIARVSKRDMDEIAYGVLGSKFSFIWVIRPGSGSSEASPLPEGFIDACKGRGMVVPWCRQKQVLLHPAVGGFLTHCGWNSILESMWCGVPMLCFPVRADQPTNRKLVVEDLRIGIDVGSIGEVRGAEVSRRIDSLMGEVGGALRKEMEGAQKAVKSAVTPTGSSSKNLEQFTADLLKHLSDKKRER >Ma04_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18883940:18884560:1 gene:Ma04_g17790 transcript:Ma04_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSHAFAATCFLFAFLNAVVCVVSTPDIVVEGRVYCDTCRAGFETTATEYIAGAKVKLECKNYTTGEIIHTNQATTDATGKYQITVVDDHQEETCQVTLISSPRSDCSEISEGRNSALVVVTHNVGITSGVRYANSLGFLKEKPLETCGQLLLQYALGVDG >Ma03_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28255019:28256145:-1 gene:Ma03_g23790 transcript:Ma03_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRSPATPLLLACSMLAFVGSLHAGSIAIYWGQNGNEATLAETCASGNYKFVNIAFLPTFGNGQTPMINLAGHCDPYSNGCTGLSRDIAACQRAGIKVLLSIGGGVGSYYLSSREDARRVATYIWSNFLGGSSSSPRPLGDVVLDGVDFDIEGGTDKHWDELAGFLKAYSNRGKKVYLSAAPQCPFPDAWIGEALTTGLFDFVWVQFYNNPQCQYSSGNTKNMADAWKQWTAIHATKVFLGLPAAPQAAGSGFIPAEELISHVLPIVKRSDKYGGIMLWSKYYDELTGYSSKVKHYV >Ma04_p26010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27612174:27612562:-1 gene:Ma04_g26010 transcript:Ma04_t26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKCYNEFSTNNNVLAPSVCASSSSEPVEAKAKEGPNRCSTCKKRVGLTGFTCRCGNLFCSVHRYSDKHDCSFDYRSAAQNAIAKANPVVKPKKLDKI >Ma06_p32520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33434306:33443099:1 gene:Ma06_g32520 transcript:Ma06_t32520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALILSGVDVVGCDLYRESDGPPSTDAEEPALVVGDLKDAIGRRGPDSLGVRKVFVQLKQTDSVGKDDDKEYTEEDLHICYEENANNNISSIFKVANVQDNIINRWIAELYFLGATLQLRGASPIFQPLVDSSGNILVYNGEIFGGIHVSDDKNDAETLLHVLESCCYCNGNENGNVCYCTKLGAQFIPEIISKIKGPWALIYWQKKSGTLWFGRDAFGRRSLLVHWPTMGDARFVLSSVSPALTIGKISDTEVECSSSNICYWEELPCGIYSLCLKAPNASEQLVKQKLVGEIRKHKWTDPLLNRLVEWERTCLDPKVDPFFSNDLQLQRDQLALSSNSPKGVHKAVARMELEEHGSDIHNHIPGDARPAVKVLNALKESVMRRTVSRIFQTPRHEDEMDDHSPIAILFSGGLDSMILAAILDQCIDSKYTIDLLNVSFDGQLAPDRVSARAGVAELQTVAPHRRWRLVEIDAVLSNLAWEAKHLLALIQPAKTYMDLNIGIALWLAAGGDGWVDGGLCKLHNNHQRYRYKSTSRILLVGSGADEQCAGYGRHRTKYRLGGWVSLHEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDEDVIKTLLDFPLWEIAELDKPAGIGDKKILREVSWLLGLKQAAEMPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHHSLS >Ma06_p32520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33434372:33443099:1 gene:Ma06_g32520 transcript:Ma06_t32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALILSGVDVVGCDLYRESDGPPSTDAEEFLHFCQPALVVGDLKDAIGRRGPDSLGVRKVFVQLKQTDSVGKDDDKEYTEEDLHICYEENANNNISSIFKVANVQDNIINRWIAELYFLGATLQLRGASPIFQPLVDSSGNILVYNGEIFGGIHVSDDKNDAETLLHVLESCCYCNGNENGNVCYCTKLGAQFIPEIISKIKGPWALIYWQKKSGTLWFGRDAFGRRSLLVHWPTMGDARFVLSSVSPALTIGKISDTEVECSSSNICYWEELPCGIYSLCLKAPNASEQLVKQKLVGEIRKHKWTDPLLNRLVEWERTCLDPKVDPFFSNDLQLQRDQLALSSNSPKGVHKAVARMELEEHGSDIHNHIPGDARPAVKVLNALKESVMRRTVSRIFQTPRHEDEMDDHSPIAILFSGGLDSMILAAILDQCIDSKYTIDLLNVSFDGQLAPDRVSARAGVAELQTVAPHRRWRLVEIDAVLSNLAWEAKHLLALIQPAKTYMDLNIGIALWLAAGGDGWVDGGLCKLHNNHQRYRYKSTSRILLVGSGADEQCAGYGRHRTKYRLGGWVSLHEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDEDVIKTLLDFPLWEIAELDKPAGIGDKKILREVSWLLGLKQAAEMPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHHSLS >Ma03_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6348928:6353254:-1 gene:Ma03_g08630 transcript:Ma03_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAKPAAAEDVKMDLFEDDDEFEDFEIDQEWDDKEEGKEALQQWEDDWDDDDVNDDFSRQLRRELENKSAKS >Ma03_p08630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6348928:6353300:-1 gene:Ma03_g08630 transcript:Ma03_t08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAKPAAAEDVKMDLFEDDDEFEDFEIDQEWDDKEEGKEALQQWEDDWDDDDVNDDFSRQLRRELENKSAKS >Ma02_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25124331:25130403:-1 gene:Ma02_g18710 transcript:Ma02_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAVPSVELHSESETDRHPLLMDRATPSNGHEHIVDIPRGINGDENNLLGELHNLDRNSTSATAQVSQTSPVSPDAANSGNATLTARSDNYGRRNRSPLNSGLWISVELIVNASQIIAAIIVLSLSRKEHPRAPLFEWIIGYTVGCFANLPHLYWRYVRRNSSMQEPARSNQSTIHNNPPESSGYTTSPVTQASEQENDNTIVSVAQVRQNRAIANPRINALVDHFKMALDCFFAVWFVVGNVWIFGGRASSEDAPNLYRLCIVFLTFSCIGYAMPFILCAIICCCLPCIISILGFREDMGHDRGATSELINALPTYKFKSKRHCIKDESGSNSDGQSDGGILAPGTDKERIVSAEDAVCCICLAKYVDNEELRELPCSHFFHMDCVDKWLKINALCPLCKSEVGNTTTS >Ma08_p28860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40681489:40683205:1 gene:Ma08_g28860 transcript:Ma08_t28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVSLRSENPYLVLFSFCNQLPSPPMPPLPLLLFCFFFVFFLILHRLVLRLSAAGTVPSCHGPKVHPVIGSLLPFYKNRHRLLDWYTEMLKASPNLTFVMWRLGARRIVVTANPENLEHMLKTNFPNYPKGKPFTDILGDFLGRGILSADGDLWHMQRKLASHEFSARSLREFVVNVLEREVGERLLPILSSACADRRVVDMQDLLRRFAFDTVCKISFGTDPGLLDPSLPESALAGAFEVASAISAKRGAAPVFVVWKAKRALGVGSERQLRAAVKLIHASIMEVIRRRKATLENGTQHKDLLSRLITGGHEEEVIRDMVISFVMAGRDTTSAALTWFFWLVSGHPEVEAELVKEAKRAKGRSDLHALKDMKTLEACLCESMRLYPPVVWDSKHAVSDDVLPDGTRIRGGDRVTYFPYGMGRMERLWGENCLDFDHRRWLSESGEVVRESPFKFPVFQAGPRICLGKEMAFLKMKYIAAAVLREFALRRVEGERQKPTLVPMLTAHMAGGLQMVVDSRK >Ma05_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32767416:32768636:1 gene:Ma05_g21040 transcript:Ma05_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGYLLSEHLLIAMSTIFYRRTESKKERQLYCNIVRTSLKL >Ma02_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15399607:15406672:1 gene:Ma02_g03960 transcript:Ma02_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPILAVLPAGPNLFSSPPPNPRINETLPANSPPPLPIPRRNKKKPQPPPPPDPSSHGALKATHFRSRYYKPVSDGVVTGDDSGRSVVVGPSGVSYRLPGAPFDFQFSYSETPKAKPLALREPAFLPFTPPTMNRPWTGKAPLLSKKDKERKKKIRLFEPLGHPDDGEDDEVADEEEGKVMEMAGRAVQLGWYPKDGRSREEILGPPLKRWEVRALVKPCLSHNRQVNLGRDGLTHNMLELIHSHWRRQPVCKVRCRGVPTVDMDNICHHLEEKTGGKIIYRVGGIVYLFRGRNYDSRTRPKYPVMLWKPATPVYPKLIQEAPEGLTKTEADEMRKKGENLMPICKLAKNGIYINLVKDVRDAFEESELVKVNCQGMHASDYKKLGAKLKELVPCVLLSFDEEKILMWRGKDWKSRYQVPFSIDMRHHHTESDNSSDLNDSDESSVSSVESISSSEILSTKMLSLWKRAVETGKAFLLDDTKLSPDSLLKRVEDFEGLSQIVEHSYQALILPGGKDPDDSEDSLEQRLATETNDDHNDNDGNREMDTSNRDYEYDNYSDDIYGSFDEIEPTVPFGSLPVDSIAEEAK >Ma01_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2063125:2067117:1 gene:Ma01_g03170 transcript:Ma01_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGAKVANFPLASGDSGVSAIEKSKEKTLLWRLVGLHYSVWCDITSEPHLILVKGLLNYQILSSNHHKQLNHAGIYVGGSKVVHFTRKKDASFGSISAASAICPTFPDCGFRQPDSGVILCFLDCFLGNGALYRFEYGVPPSVFLAKLRGGTCTTAESDPPDSVIDRAMHLLHDGFGSYDVFENNCEDFALYCKTGLLLLEEPGVGRSGQAASFLGVPLAALFSTPFKLLAAGPVGMAAVTAGAYCAGRYITDIGVRKDVMKVAVDDLAATMGCRSSNERLVGNVSGGSGNVPSIEEEERGR >Ma09_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6766106:6770633:1 gene:Ma09_g09910 transcript:Ma09_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNLQGGVPHRRGNFSELERMAQEAWALGIKAWEEVEKYGSDVALNPTTAVEVKPESCPSSMSMAEAATEKVMLLPCGLAVGSSITVVGTPHNAHQEYVPQLASLRQGDGTVMLSQFVLELQGLKSVDGEDPPKILHLNPRLKGDWSQRPIVEHNTCYRMQWGKALRCDGVPSHENDETVDDFVKCEKWDHDDSLDPKETKITSWLKRFVGRPKKPDMAWPFPFAEGKLFVLTIQAGVEGYNIYVGGRHISSFPYRTGFILEDATGLAIKGDVDIHSVYATALPTSHFSVQQVLEMSEKWKSTPLPRNPIQLFIGILSATNHFAERMAVRKTWMQYPAFLSSRAIARFFVALSPRKDVNAALKKEAEYFKDIVILPFMDHYDLVVLKTIAICEFGVHNLTAAYIMKCDDDTFVRVDVILRIIEAISPNTSLYMGNINHFHTPLRSGKWAVTFEEWPEEIYPPYANGPGYVISSDIARFVVAQHANRSLGLFKMEDVSMGMWVEELNTTMIIRYSHSWKFCQYGCMENYYTAHYQSPRQMICLWEKLSHSRAECCNSR >Ma11_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22685002:22689346:-1 gene:Ma11_g17370 transcript:Ma11_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIAARYVARRLSSGGKVLSEEEKAAENVYIKKIEQEKLAKLAHKGPKPGEQTPAKPETPAADVKPGTPPGSSTASVSTDKNRNYAVLAGTVAAVCGLGWYLLSKPKKSEEAID >Ma05_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5590111:5591390:1 gene:Ma05_g07690 transcript:Ma05_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVEDDVFFADLSKQLALLIMDDEEEFPAQYPLLPAQELPYMPQIMMPPSYGYEVSYRRESKGTGVFIPQSTAPRRKNRSRRQTTVDSHPQRQLNKSAIVVSQVTKNYNFHPNHYSHSSVLKRHT >Ma10_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31360332:31364831:1 gene:Ma10_g21670 transcript:Ma10_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVDASGARTHKSSSEPALDSVSDRLRTALSFEANKPDSKDFPDLSSPVSPLPTRPAATSSSSGSSGSVSGKPASHADSKRSDLGLSGRRSHSGELLLAPNEPIPGAMETRSSKPGHRRSGSVPLIYTGGSFSSGSTSGSGSGSSSASSPVTNVLPSGNICPSGKIVKTGMMSRSAVRADVLGSGTGNYGHGNIVRGGTNGAGGGKPPEVVASNGVDSALRRAMVSMDPEEVKRVGNEQYRKGQFAEALKLYDRAIALCPDSAVCRSNRAAALTGLRRLGEAVKECEEALLLDPAFGRAHQRLASLLLRLGQVENARRHLVSAGPHPDPVELQKLQAVERHLNRCADARKFGDWKTALKETDAAIAEGADSSLLLMASKAEAHLRLHQLEEADLAISAASKLESLSSSSSNSKFFGMLSNSYMYVVRAQVEMVRGRFENAVAAAEKARQVDPGNVEVSMMLNNVRSVSRSRVLGNELFNSGKFVEASLAYGEGLKYDPSNPVLYCNRAACRSKLGQWEKTIEDCNQALVIQPDYAKALLRRAASYTKLERWTEAVRDYEVLRKELPGDTEVAEALFHARVALKTSRGEEVSNLKFGGEVEEIKGFEQFQAAITLPGVSVVHFMVAFNHNCNQITPFVNALCTRYPSVNFLKVDVNAIPAVGEAENVRMVPTFKIYKNGAKVKELICPNQQALEYSLRHYGL >Ma03_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14839228:14840817:-1 gene:Ma03_g15070 transcript:Ma03_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPHANPVESIAHGDREMPTSTPDRYWRLFNDSGMPPPLPAVGPPAVPPEAFFALAKQVQGMAEIMQTVVPLIPEIKRLADASADLTQQRPSAGQDVIGETRDGAVHRESSLVRDSPAPSRAARRHSEPDTVSSDSADSFVKVQFSRVNRRLDEFRRELQKSRSESGEGPSGGSPFVQEIQEKSVPLNFRVPALETYDGSSDPAEHVAAFRTQMALYGTSDALMCRTFPTTFRGLARAWFSRLCQSSIASFDQFAKEFEQNFLTSARPQPSIAALLALSQHEEETLAQFVTRFATEIHGYPDTHPSLIMQAFLTGLKPSRFFWSLIEKPLATIPEMLHRANQYVAGVALAVGRRTVGKKPRIEQPRVATSSVNPQSRRRLDHPEQRLPRPPPLPLNTPCTEIFLHIREKGLLRPPNPMKATYKNRSKYCTFHQDHGHDTEDCHDLQNQIEELIRRGYLGRYLKEPREATPRPRMPVERQIDVIRGRGGRQQLERKEILCPELDRETPPTQARTRNLFWGRGGGTFPS >Ma04_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24884052:24886161:1 gene:Ma04_g22610 transcript:Ma04_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTLPPGFRFHPTDEELVGYYLKKKINGHNLELEIIPEVDLYKCEPWELPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATQSGYWKATGKDRRVSSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDENECETTASGFQDSYALCRVFKKSAPGPKILEHYGSSCKGSTELSPTVNGNCYPFPPEICSSKMVQGSSPNVSASADVKWMQYLSPEAFTDAPPFLDPACFPYIPSKVDIALECARLQHRLSLPPLEMDDFSQNSLADSNNASHLGGHQESASQADVVLREILSVASASQEPTMCSSDYPNVFAGSSTHFDEFACLLGLERRTEGMPFPEINDFGEELKEEHKTAESSTDVRISELSV >Ma11_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3773359:3776482:-1 gene:Ma11_g04830 transcript:Ma11_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERYNRRNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPRDTEFEGGIYHGRIQLPAEYPFKPPSFMFLTPNGRFETQTKICLSISNYHPEHWQPSWSVRTALVALIAFMSTNPNGALGSLDYKKDVRHELAIKSREAAPRYGNPERQNLIDEIHQYMLSKAPSVPQLPPTPTTEPTDIIVNEEQVNADSDAIAATEDLPNQEVEQGAMEDGHVDRGNAATGPPSAGVSLSRTPAAEVVEQPQRPTARAPNSLDDRVLTWAAIWLVVAILLLLAKKILKSNAYAGYMSGFK >Ma04_p31560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31825188:31829107:-1 gene:Ma04_g31560 transcript:Ma04_t31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAASGGDEGGAPHRLERKWTFWFDNQTKPKQGAAWGTSLRKAYTFDTVEEFWCLHDQIFRPSKLPANADFHCFKAGIEPKWEDPECANGGKWSVTCNRKSTLDTMWLETLMALIGEQFDDAEEICGVVVSVRPRQDKLALWTKAASNEAVQMSIGRKWKEIMDFNDKIVYSFHDDSRREKSSRGGRYSI >Ma02_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15937379:15939347:1 gene:Ma02_g04560 transcript:Ma02_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENPTNRQVTFSKRRGGLLKKANELAVLCDAQVGVIIFSSSGKMFEYCSPHSSMRQIMDSYQRVTNTHFEEINTHQQIFYEIARIKDEKDKLQESMKQYVGENLTCLTLNELNQLEEQLESSVNKVRVRKHQLLHQQLDNLRRKEHILEDQNSYLCRILSEHQAELEHTQAAMEHKVGDVPMLEHLENYYSGEPSESLLQLSPQTHAFRLQPTQPNLQEDSLQGHNLQLW >Ma10_p30340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36662760:36665436:-1 gene:Ma10_g30340 transcript:Ma10_t30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKLCVPLRSGLLRPYRAFSQPPHLALHLLLSSHTPDLRSLRRHHGLLVAAGHSANPFFAAKLISLYAVFRRPDAALRVFAAAVPSNPRDTFLWNSAIKSHFSCGDFRLALSLYSQMLSSGAPPNEFTVPMAVSAASELLDLDIGSCIHGSATKFGLLPENSVVVGSSLVYMYSKCGEVGGGFRVFDEMTMRDVVTWTALIVGCVRNGESEMALACLKEMHRVADHGDERLNSRTVEAGVQACRSLGALREGKCLHGFILKAGMEDFDSLKSSLLSMYSKCECLNEAVLVFQALAERDVVSWTAMVVVHIRKGHIIEGLELLRKMQDSGVETDGVLCSCILVSFGDMGSVCGGKGFHGIMLRRNYELSPSVVNALISMYCKFEMLHLARKVFDIMGQLDAESWNSMIFGCGKMGLDIECLDLFREMLFRGFDSDLNSLVTVTSCCSRLMALFLGRSVHCHTIKVALDGDISICNTLVGMYGQCGRLHLARRIFQQTSKDVITWNALIAAYARLGYSNAALSLFYQMLLGNVRPDSTTLITMLSVCSHVAAINLGKWIHDYVKETILEDDVSLRTALVDMYAKCGQLGVSREVFNSMPERDIVSWNVMISGYGIHGYAREAIEVFREMEKMGVRPNDATFLAILSACSHAGMVNEGKELFDKMKIYSISPTLKHYACMVDLLGRSGHLSEAEAMILKMPVKPDGGIWGALLGACKIHDDVAMGERVARKAFESEPENDGYYILMSNIYSHAGRWNEVEMLRKMMKHRGVTKRVGWSAT >Ma05_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23785857:23789439:-1 gene:Ma05_g18380 transcript:Ma05_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Ma09_p26100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37454968:37457285:-1 gene:Ma09_g26100 transcript:Ma09_t26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDAGYGQGALQVTPFGLNYSAHLANKSGRIVFTIPFKLWEDDDDDDASNSVASFNTDFDVIIYRPGGAVPGEGFAFVILPSLDAPPSGSEHGFLGLTNATLDGNPDNHLVAIEFDTVKQSYDPNDNHVGLNVNSVVSDVAAEVDIPIAPVNITNYTVWVDYDGIGRAVSVYMAVSGTPKPFVPVLNTSLDLSRHVRRYSYFGFAASTGATYELNCVLSWNLKVQNLSKLQDLPKRYDDGATARGNLSLKIAAPLGATVALASLLAGLYVRRWRRQKAREERSEMVANALRRLPGMPREFDIGELKKATGNFDEKMKLGQGGFGVVYRGMLPGEDTEVAVKKFLRDRTKGLDDFLSELTVINRLRHKNLVPLLGWCHRNGALLLVYEFMSNGSLDQHLFGNAKTLPVLGWYRRYTIIAGAANALHYLHHEYNPMVVHRDIKASNIMLDATFNARLGDFGLARTLDAYKTSVTDHGVVGTRGYIASECCITHKFTRESDVYAFGAVVLEVVCGRRPLCRVAGFDLLADWVWSLHGEGRILDAMDASLGSEYVAEDAKRLLLLGLACSHPLPGARPKTHAIVQIMSRSVAPPEVPSNKPAFVWPPEGPIVAEDCDAMSSTSAGAAAVTTASNCSTARATPASSLEVQVGEIGYI >Ma09_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5146370:5147310:-1 gene:Ma09_g07840 transcript:Ma09_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSLLMQEQKQPQQRKPWYGRVMEVIILWKSATRSPTARPSTGTAADSSKQKLGRCSSLKVASSFTRVCLCSPMSSYNELFRADAPSRRSYSCPRSKSPPERWMASTRGSAEGRRVFRGKSLTDDMLMRRFVVEEEAMMQLRRRNQMEFMRKRNATRRKRIGPSPLSRMVMAEEE >Ma10_p31540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37655498:37660240:1 gene:Ma10_g31540 transcript:Ma10_t31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGIDPIRRQERLDFLYESGLAVGKGSSDGFKALQAPPAAASTTSTSEASSTKVHGMFLVYVKHNNNPPENEDRGECGLRIQTKNCCSNYKHTTSSIESLIFSILQVEDEKKKKQDKKEKKRHSRYTHEKDGTRSNYSASEQHHTDSELGMEDKNRETNRQREQPGYNRRRVVPKMSEEERAARLREMQLDAELHEEQRMKRLKRATEADAQEAMRASFSRGKNFLVAAQNSIYGAEKGGSATIEESVRRRAYYSQGSSAAHESNAFRR >Ma04_p38400.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35955478:35962164:-1 gene:Ma04_g38400 transcript:Ma04_t38400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNRRAQPRAGRSWSFSEMDYSDTKQKSHVLNKVFMATIITTMCIIVIMQTPCHHEPNMFSIHERGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLQEQFPEPGRLQFIYADLGDARTVNQIFAKNAFDAVMHFAAVAYVGESTLDPLRYYHNITSNTLVLLEAMAAHGVKTLIYSSTCATYGEPKKMPITELTPQVPINPYGKAKKMAEEIILDFSKRSSMAVMILRYFNVIGSDPEGRLGESPRPELREHGRISGACFDAALGIIPGLKVKGNDYITPDGTCVRDYIDVTDLVDAHVKALNKAEPDKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYYGRRPGDYAEVYSDPSKINSELNWTAQHTHLLESLKIAWRWQKLHRNGYAPPKAVTY >Ma04_p38400.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35955478:35961827:-1 gene:Ma04_g38400 transcript:Ma04_t38400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNRRAQPRAGRSWSFSEMDYSDTKQKSHVLNKVFMATIITTMCIIVIMQTPCHHEPNMFSIHERGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLQEQFPEPGRLQFIYADLGDARTVNQIFAKNAFDAVMHFAAVAYVGESTLDPLRYYHNITSNTLVLLEAMAAHGVKTLIYSSTCATYGEPKKMPITELTPQVPINPYGKAKKMAEEIILDFSKRSSMAVMILRYFNVIGSDPEGRLGESPRPELREHGRISGACFDAALGIIPGLKVKGNDYITPDGTCVRDYIDVTDLVDAHVKALNKAEPDKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYYGRRPGDYAEVYSDPSKINSELNWTAQHTHLLESLKIAWRWQKLHRNGYAPPKAVTY >Ma04_p38400.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35955478:35961827:-1 gene:Ma04_g38400 transcript:Ma04_t38400.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNRRAQPRAGRSWSFSEMDYSDTKQKSHVLNKVFMATIITTMCIIVIMQTPCHHEPNMFSIHERGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLQEQFPEPGRLQFIYADLGDARTVNQIFAKNAFDAVMHFAAVAYVGESTLDPLRYYHNITSNTLVLLEAMAAHGVKTLIYSSTCATYGEPKKMPITELTPQVPINPYGKAKKMAEEIILDFSKRSSMAVMILRYFNVIGSDPEGRLGESPRPELREHGRISGACFDAALGIIPGLKVKGNDYITPDGTCVRDYIDVTDLVDAHVKALNKAEPDKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYYGRRPGDYAEVYSDPSKINSELNWTAQHTHLLESLKIAWRWQKLHRNGYAPPKAVTY >Ma04_p38400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35955478:35962164:-1 gene:Ma04_g38400 transcript:Ma04_t38400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNRRAQPRAGRSWSFSEMDYSDTKQKSHVLNKVFMATIITTMCIIVIMQTPCHHEPNMFSIHERGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLQEQFPEPGRLQFIYADLGDARTVNQIFAKNAFDAVMHFAAVAYVGESTLDPLRYYHNITSNTLVLLEAMAAHGVKTLIYSSTCATYGEPKKMPITELTPQVPINPYGKAKKMAEEIILDFSKRSSMAVMILRYFNVIGSDPEGRLGESPRPELREHGRISGACFDAALGIIPGLKVKGNDYITPDGTCVRDYIDVTDLVDAHVKALNKAEPDKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYYGRRPGDYAEVYSDPSKINSELNWTAQHTHLLESLKIAWRWQKLHRNGYAPPKAVTY >Ma04_p38400.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35955478:35962164:-1 gene:Ma04_g38400 transcript:Ma04_t38400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNRRAQPRAGRSWSFSEMDYSDTKQKSHVLNKVFMATIITTMCIIVIMQTPCHHEPNMFSIHERGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLQEQFPEPGRLQFIYADLGDARTVNQIFAKNAFDAVMHFAAVAYVGESTLDPLRYYHNITSNTLVLLEAMAAHGVKTLIYSSTCATYGEPKKMPITELTPQVPINPYGKAKKMAEEIILDFSKRSSMAVMILRYFNVIGSDPEGRLGESPRPELREHGRISGACFDAALGIIPGLKVKGNDYITPDGTCVRDYIDVTDLVDAHVKALNKAEPDKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYYGRRPGDYAEVYSDPSKINSELNWTAQHTHLLESLKIAWRWQKLHRNGYAPPKAVTY >Ma04_p38400.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35955478:35962164:-1 gene:Ma04_g38400 transcript:Ma04_t38400.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNRRAQPRAGRSWSFSEMDYSDTKQKSHVLNKVFMATIITTMCIIVIMQTPCHHEPNMFSIHERGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLQEQFPEPGRLQFIYADLGDARTVNQIFAKNAFDAVMHFAAVAYVGESTLDPLRYYHNITSNTLVLLEAMAAHGVKTLIYSSTCATYGEPKKMPITELTPQVPINPYGKAKKMAEEIILDFSKRSSMAVMILRYFNVIGSDPEGRLGESPRPELREHGRISGACFDAALGIIPGLKVKGNDYITPDGTCVRDYIDVTDLVDAHVKALNKAEPDKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYYGRRPGDYAEVYSDPSKINSELNWTAQHTHLLESLKIAWRWQKLHRNGYAPPKAVTY >Ma04_p38400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35955478:35962164:-1 gene:Ma04_g38400 transcript:Ma04_t38400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNRRAQPRAGRSWSFSEMDYSDTKQKSHVLNKVFMATIITTMCIIVIMQTPCHHEPNMFSIHERGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLQEQFPEPGRLQFIYADLGDARTVNQIFAKNAFDAVMHFAAVAYVGESTLDPLRYYHNITSNTLVLLEAMAAHGVKTLIYSSTCATYGEPKKMPITELTPQVPINPYGKAKKMAEEIILDFSKRSSMAVMILRYFNVIGSDPEGRLGESPRPELREHGRISGACFDAALGIIPGLKVKGNDYITPDGTCVRDYIDVTDLVDAHVKALNKAEPDKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYYGRRPGDYAEVYSDPSKINSELNWTAQHTHLLESLKIAWRWQKLHRNGYAPPKAVTY >Ma04_p38400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35955478:35962164:-1 gene:Ma04_g38400 transcript:Ma04_t38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNRRAQPRAGRSWSFSEMDYSDTKQKSHVLNKVFMATIITTMCIIVIMQTPCHHEPNMFSIHERGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAIKVLQEQFPEPGRLQFIYADLGDARTVNQIFAKNAFDAVMHFAAVAYVGESTLDPLRYYHNITSNTLVLLEAMAAHGVKTLIYSSTCATYGEPKKMPITELTPQVPINPYGKAKKMAEEIILDFSKRSSMAVMILRYFNVIGSDPEGRLGESPRPELREHGRISGACFDAALGIIPGLKVKGNDYITPDGTCVRDYIDVTDLVDAHVKALNKAEPDKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYYGRRPGDYAEVYSDPSKINSELNWTAQHTHLLESLKIAWRWQKLHRNGYAPPKAVTY >Ma06_p38240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37079479:37079670:1 gene:Ma06_g38240 transcript:Ma06_t38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKKIKSKKHQNSRGISNCLLTRTNPLARKGEGKFQLLISVNTFYAFAEMYGAILGFHSNGG >Ma05_p29150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39928390:39932568:1 gene:Ma05_g29150 transcript:Ma05_t29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLWASRAASYLRISAFHRGFSTVIKDLKYADTHEWVKVDGSSATIGITDHAQDHLGDVVYVELPEVGATVAQGKNFGAVESVKATSDVNSPVSGEVVEVNRELVGSPGLVNGSPYENGWIIKVKMSDTGELNSLMDSNRYSKFCEEEDAKH >Ma04_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3133320:3138470:1 gene:Ma04_g04070 transcript:Ma04_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MARGSSVQALYELCKKTFSPSAAASSPPPTSAIRKIAALLDTISPVEVGLKADDLEDDRGHGFFGSSIYKHSTRVARWAQPITYLHIYECNSFSIGIFCLPTSSVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPGCVTRSNKPDDFPVRLAKLHMDTVLTAPCPTTVLFPRSGGNLHCFTAVTSCAVLDVLAPPYSEEAGRCCTYYHDYPYSTFTPVSRILVNENEDEYAWLEAIEAPDDLHMRSGRYTGPAVQDHAL >Ma04_p04070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3133320:3138470:1 gene:Ma04_g04070 transcript:Ma04_t04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MARGSSVQALYELCKKTFSPSAAASSPPPTSAIRKIAALLDTISPVEVGLKADDLEDDRGHGFFGSSIYKHSTRVARWAQPITYLHIYECNSFSIGIFCLPTSSVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPGCVTRSNKPDDFPVRLAKLHMDTVLTAPCPTTVLFPRSGGNLHCFTAVTSCAVLDVLAPPYSEEAGRCCTYYHDYPYSTFTPVSRILVNENEDEYAWLEAIEAPDDLHMRSGRYTGPAVQEESWFPGSCTVV >Ma04_p04070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3133320:3138470:1 gene:Ma04_g04070 transcript:Ma04_t04070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MARGSSVQALYELCKKTFSPSAAASSPPPTSAIRKIAALLDTISPVEVGLKADDLEDDRGHGFFGSSIYKHSTRVARWAQPITYLHIYECNSFSIGIFCLPTSSVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPGCVTRSNKPDDFPVRLAKLHMDTVLTAPCPTTVLFPRSGGNLHCFTAVTSCAVLDVLAPPYSEEAGRCCTYYHDYPYSTFTPVSRILVNENEDEYAWLEAIEAPDDLHMRSGRYTGPAVQFLTTGRVMVSRIMHCSLKYPFLVHIKLQ >Ma04_p34670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33738059:33743383:-1 gene:Ma04_g34670 transcript:Ma04_t34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSSTLTSGTSLAASAWDGGRLFVGGISSDTREETLAEHFERYGEVKEVVVLRNRVTGSGRGFGFVQFANLEGPERALKEAKHVIHGRTVEVKRAIPRGEQRQNQQSRNAHQDGGSSGSSRITGNSGKQNGSHINSKKIFIGGLAGDVTHQELKSYFEKFGSVVDTVVMYDSATQRPRGFGFITFSSEEPVAAVLKNRHHKLNGKLVEVKIAVPKDYSDCTNNRNSENYYNVSAFGGQCERWPVYGTYQGYMHPYYGYPNYVTTPFSPYLYGGLYGGGYNNGGLYGIGHGCLLHSPRNTWNISKRMVDFHTYPPYFSRDYRSYDRGHGFDDGNENKDGLGVQTTVKSVTDSQVEAEDVNCDAQ >Ma04_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22174046:22174954:-1 gene:Ma04_g19570 transcript:Ma04_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADQRSTTESAVPWWRNEEEEKVVEEEAGWKCWKHPLQPHYGVCPACLRDRLLRLCPDCASVRPCGCFPSPSSSASSSSPSSAELAGPGGVGRGTGVGAVGPMSRLIDSEPSFRRSQSVGFPLLRSTSVSAPLVDDVAAAPRSRGSGKGWTPFLLFSKAARKKDSAGSELYRSRSVAAGRAQAAGCGDEEEGKGKGGRRWYFPSPMKVLRHWKSATKVVQERSPLWRG >Ma03_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:388702:394829:-1 gene:Ma03_g00450 transcript:Ma03_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGDSGHASGSDTVGGAAAVTVHVRSSSGSKFSVQTALDSTVAALKAALVEKCNIPVEQQRLIYKGRILKDEQTLESYGLESDHTIHLVRGSIPPAASADVTVASNGASRVPNNTTGVESGGSNEGGRFGADFGGSLFPGLGVNRRDGSGSGFLGFGLPDLNQMQQQLAQNPSMMREIMNMPAVQSLINNPDLLRNMIMNNPQMREIIDRNPDFAHVLNDPSTLRQSLEVMRNPELMRELMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTGAGNMGNDLERNPFAALLGNLGAMQARDQTPNQSVTDSDATNETAAPNSNPLPNPWGRTGGARTTNTRQAPASDGRSPAIAGLGGPGLPELERMAGGMPDPSFLNQLLQNPAIMQMMQSLLSNPQFMNQVLNQQLGGSLGSNSQLRDMLQNPELIRQLTSPEMLQQLQSFQQSLLSQVVRQQSSQEQNQNDGGAAGTTNTSGLELLMNMFGGLGPGAGVPNNPDVPPEERYATQLSQLQEMGFLDTPANIRALSATSGNVHAAVDWLLRNIGG >Ma03_p00450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:388702:394829:-1 gene:Ma03_g00450 transcript:Ma03_t00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGDSGHASGSDTVGGAAAVTVHVRSSSGSKFSVQTALDSTVAALKAALVEKCNIPVEQQRLIYKGRILKDEQTLESYGLESDHTIHLVRGSIPPAASADVTVASNGASRVPNNTTGVESGGSNEGGRFGADFGGSLFPGLGVNRRDGSGSGFLGFGLPDLNQMQQQLAQNPSMMREIMNMPAVQSLINNPDLLRNMIMNNPQMREIIDRNPDFAHVLNDPSTLRQSLEVMRNPELMRELMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTGAGNMGNDLERNPFAALLGNLGAMQARDQTPNQSVTDSDATNETAAPNSNPLPNPWGRTAGGARTTNTRQAPASDGRSPAIAGLGGPGLPELERMAGGMPDPSFLNQLLQNPAIMQMMQSLLSNPQFMNQVLNQQLGGSLGSNSQLRDMLQNPELIRQLTSPEMLQQLQSFQQSLLSQVVRQQSSQEQNQNDGGAAGTTNTSGLELLMNMFGGLGPGAGVPNNPDVPPEERYATQLSQLQEMGFLDTPANIRALSATSGNVHAAVDWLLRNIGG >Ma08_p33020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43530283:43532570:1 gene:Ma08_g33020 transcript:Ma08_t33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPATASPSTGTLTITLEEPSPSSTSRPSQPSPHQTLVLRLKRPKKVSWKEGTVDNEFLNRKSSKKCCIFHKQKPFDEDDSDEEDGGADPPTDGGAGPSHRCCSHDGGH >Ma07_p25550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32591840:32592811:-1 gene:Ma07_g25550 transcript:Ma07_t25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSSLSLQLLRSNFIRVNTRAQGLSEALRMVIACVHGNITSIIFHPHLCIKDEDVNLIAKGCPHLKRLVLPCWNLISEVTMRNAIKGWTELESMTMPEFSQWPGYSDPTFIMLDIREYCKSFSTLKVIGCYTEHFAATALLVFRRLKVLSLRCCKTTLKAILSIVGMRHLEVLNLSHTLVQLDEVSEAMPLSNVFETMDGQIAFKLLSSKLNLRSFLYCQNFVSCSECRRMMDDHMTHEDESWRNDEVDSLDLGEYNHIANEGAMKRIVESWPNDEVEYLQLGEDNRIADGHF >Ma09_p30390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40524487:40525236:1 gene:Ma09_g30390 transcript:Ma09_t30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWAELREILEQPQPDRSALVRSVSWEGITPAVPISPSIGMFGDIHFAETPQPSSIPPRPNSLPSTSSVAMAARWLKHEDAKEGDGLSPKNSAYLCTEGLGFENLQDAADPTKRSMGNASTLRRKENKETAVGQPSMAAMGQRLYVRSHSDIGLTGGEAVFPPPISTIGKGVKPWVYLKSFKKDGRLVLKKIKLPTQQCLQATRENGRLLLQLAHPTKQDEEEEEEDEEEEENGSGNGTRDEPFCISL >Ma07_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31738376:31742564:-1 gene:Ma07_g24350 transcript:Ma07_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFLHHVVGDLTLGKPELVEFSEAETVEAAVSAIGNSAEGAITVWRTKPAAAAARPPAERFVGMLNSSDVVAFLALSGADPEKAMRTPVAEVVTPNPGLLKEVDPGTRLIDALEMMKQGVRCLLVRKSIMWKGFSKRFSILYNGKWLKNIQSSSLGGVSNSDQPSSSALPDNKFCCLSREDVVRFIIGCLGALAPLPLSSIASLGAISTTYSFIEAPSPAFEAIYKIPHDPCAIAVIETNSDGTHKIIGDISAYKLWKCDYLAAAWAMANLSAGQFVIGADDYGTTRASVPDFPINSPTDGSGPGVSSRPRKFSSRSIGFFNNQVNQMPVGRLRSMYRGRSAPLTCKHTSSLAAVMAQMLSHRATHVWVTDADMEDDILVGIVSYTDILNAVTKFPPP >Ma03_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28418225:28421880:1 gene:Ma03_g24040 transcript:Ma03_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARDGEPSFRLRIVEQIGSVSVKLVDNYGSTFFEPQREMNDPSKSVDQDDDSAGPCRIHGVVEAPEKKLTLFALRLAVIEKAASGLGTLGFIWATVVLLGGFAIALERKDFWFITIILLIEGARIFSRSHELEWQHQATWSLTEAGRSSFRALKSSSRVLLQSLKLVFRPFSVHTTRMPSNVHMINDLRSQVAPSPPPRTWHTRDVPILPFSGWVFLPRNVSRLLYWLQLLAASSCISLSLMRLVKQDFGELPPGDPDKKNRKAALNIFYSLALAEALLFLAEKAYWEWKVSYRLLLEEVNRECHLGATGMVSIKRFFYDAYSKCIEGSIFDGLKMDLVTFAEELLGSSSRDEQLIGARILLKFSTSHRFADATLRKIGTSTPVIERLIEMLNWKNPAEEEIRRSAAVIISKLAGKKQNALRVAGIPGAMESTSSLLYTGGSSSNSRPDEVCRLCAAAENTNHEFSVFNLLGLLILKKLTKDHDNCAKIGHTRGLLAKIIDFTSGGEKLKMKESTTESHVKAMKRSLQVVKMLASTTGQTGKVLRQEISEIVFTSSNIREILQYGASHIMLQKLGIEILTSLAMNEEARERIGNTGGMIKELLSIFFKDGYTQQQKALKVEAGEALAMMALESKNNCYRILKERNIVEKLVEALDDPVLWINSARILCNLCKYVGNEHFFCLRGVTAGISTVLNAIMTAEMKQLEVSLGLGIQVYRFMDAQEYAKKLEQHSIKDVDFAERLVKILHKYNYPCPKVPRIRRFVLEITIWMMNSDRKYIRFFTELGMEKELECVSETTSELECFDVFSGSVGLSRHNTPLCALVDIALELLNTS >Ma07_p26230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33116898:33118462:1 gene:Ma07_g26230 transcript:Ma07_t26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSCSDELERCEPEEAGIASDANDRSLRSGGSGDDAKPVRSLAPSSSSAPSPKRSRRAVEKRVVTVPISDAKGTGEGAPPADSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPDAIVVTYSFDHNHPWPLPKNHHHKHAAAAMQQTVEDQPPPQNQSSPPESAERDEKFSDLIAEEEPALLVDAPGGGFQWFADVCSTPSTSPSAAGSCELLYGSVFFAGDQAAAALPEEQEAGGRVVEEEDSLFAGLGELPEYSVVLRRGLASASWVGTTG >Ma03_p00460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:396835:409964:1 gene:Ma03_g00460 transcript:Ma03_t00460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVEQLYLSVLSYTPPIWATAVGAVLLVLTLFLSMFLLFQHLSAYKNPEEQKFLIGVILMVPCYSIESYVSLANPSISVDCEILRDCYEAFAMYCFGRYLVACLGGEDRTIELLKRQGASSSKTPLLENASEKGVIKHPFPMNYILKPWRLGEWFYRIIKIGIVQYMIIKTVTAVLAVSLETLGVYCDGEFKLTCGYPYMAVVLNFSQSWALYCLVLFYTATKDELAHIKPLAKFLMFKSIVFLTWWQDVAIALLYSWGLFKSSIAQTLQSKSSVQDFIICIEMCVAGIIHLYVFPAKPYKLMGDCFPGCVSVLGDYASLECPLDPDEVRDSERPTKLRLPQPDVDTQTTAIRESFCDVVLGGGEYIINDLKFTVNQAVEPMEKGFTRFNRNLHKISENIRKHEKKKLKTKDDRSTGSTSPSPQVFHGIDDPLLYGSISDSEAKRGRRHRQKSGYTSAESGGDSSDHGHGGYQIYGFRWVTKD >Ma03_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:396835:409964:1 gene:Ma03_g00460 transcript:Ma03_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVEQLYLSVLSYTPPIWATAVGAVLLVLTLFLSMFLLFQHLSAYKNPEEQKFLIGVILMVPCYSIESYVSLANPSISVDCEILRDCYEAFAMYCFGRYLVACLGGEDRTIELLKRQGASSSKTPLLENASEKGVIKHPFPMNYILKPWRLGEWFYRIIKIGIVQYMIIKTVTAVLAVSLETLGVYCDGEFKLTCGYPYMAVVLNFSQSWALYCLVLFYTATKDELAHIKPLAKFLMFKSIVFLTWWQDVAIALLYSWGLFKSSIAQTLQSKSSVQDFIICIEIINDLKFTVNQAVEPMEKGFTRFNRNLHKISENIRKHEKKKLKTKDDRSTGSTSPSPQVFHGIDDPLLYGSISDSEAKRGRRHRQKSGYTSAESGGDSSDHGHGGYQIYGFRWVTKD >Ma03_p00460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:396835:408150:1 gene:Ma03_g00460 transcript:Ma03_t00460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVEQLYLSVLSYTPPIWATAVGAVLLVLTLFLSMFLLFQHLSAYKNPEEQKFLIGVILMVPCYSIESYVSLANPSISVDCEILRDCYEAFAMYCFGRYLVACLGGEDRTIELLKRQGASSSKTPLLENASEKGVIKHPFPMNYILKPWRLGEWFYRIIKIGIVQYMIIKTVTAVLAVSLETLGVYCDGEFKLTCGYPYMAVVLNFSQSWALYCLVLFYTATKDELAHIKPLAKFLMFKSIVFLTWWQDVAIALLYSWGLFKSSIAQTLQSKSSVQDFIICIEHRCVLRALSISMCSLPSHTS >Ma03_p00460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:396835:409964:1 gene:Ma03_g00460 transcript:Ma03_t00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVEQLYLSVLSYTPPIWATAVGAVLLVLTLFLSMFLLFQHLSAYKNPEEQKFLIGVILMVPCYSIESYVSLANPSISVDCEILRDCYEAFAMYCFGRYLVACLGGEDRTIELLKRQGASSSKTPLLENASEKGVIKHPFPMNYILKPWRLGEWFYRIIKIGIVQYMIIKTVTAVLAVSLETLGVYCDGEFKLTCGYPYMAVVLNFSQSWALYCLVLFYTATKDELAHIKPLAKFLMFKSIVFLTWWQDVAIALLYSWGLFKSSIAQTLQSKSSVQDFIICIEMCVAGIIHLYVFPAKPYKLMGDCFPGCVSVLGDYASLECPLDPDEVRDSERPTKLRLPQPDVDTQTTAIRESFCDVVLGGGEYIINDLKFTVNQAVEPMEKGFTRFNRNLHKISENIRKHEKKKLKTKDDRSTGSTSPSPQVFHGIDDPLLYGSISDSEAKRGRRHRQKSGYTSAESGGDSSDHGHGGYQIYGFRWVTKD >Ma09_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6809104:6814975:1 gene:Ma09_g09960 transcript:Ma09_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPDIGWQYGTMIGGHRHHVKCNYCHRIMIGGITRFKKHLASKRGEIKGCEAVPKEVREIMAHHLATRKPRRPNKRRRKTAEGTLAAPASTNLGMESDASDPDMIDAGQEVLTFNEAEVHSQRTAEQQFEVGTRGFLDAFASIQYKDEQDFMPPRATDIGWVHGVMVNGDRQKIECKYCHKVILGGGISRLKQHLAGERGNIAPCDQVPEDVKTQMQQHLGFKGLENCSIQQTIEEYNGDAPNATSTSSMAASYKRREKDVNEGNSNKRKKADMLYIPQGLALPKPTMHLSFVSQENTDQADTAVAKFMYEAGIPLTAANSLYFQRMADAIAAVGPGYKMPSYHSLKGKLLSRCTTELGEFSKELRKSWEVTGCTVMVDRLKDTAGRSIINFFVYCPKGTMFLRSVDVSHIETNLDDLVDLFESIIQDVGSRNIVHFLSDSAPWYKAAGKVLMDKYRTFFWSVCVNHCIELMLKGLCEMDEVNGTLTKAKKISQFLYNDAWLLDLLKRNTEGKDIIRPAMAQSVMDFLTLQNIFSFRGSLQQMFASNTWEESVLSKQKLGMDVKDIVFDLQFWHSCGKIIKVSEPLTRVLCIADSGEKPSMGFVFDAFEKAKRDILLAFDNQESDCLRYLEVINHVRDEFHSPLHAAACYLNPSIFYNSKFSITNVIQKGLLDCIETLEPDLTAQDNITKHKSFYEDALGDFSRPMALRGRETLHPATWWSMYASDYPELQRFAVRILSQMCSMTAFKRSTYINDTVHSSKNRIEAAMLNDLTFVHYNLHLQQRQPVATESKGFKNEEHDLISPDNYNAGDWIDDPGMLEMEGIDLLDATPIAAIDKVGNTSDGNLVNNDLITN >Ma03_p27540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30891298:30894374:-1 gene:Ma03_g27540 transcript:Ma03_t27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGDPRASANKSLGDAVDDLPIFNVDNLQSNIKSIYYSRTFLSIIGGVIAGIWGFTGFTGFIFYFIVMAAASLSLAAKAKFSVHAYFDSWSRILVDGIFGGLMSFVLFWTFAYDIVHIF >Ma05_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36973474:36974589:-1 gene:Ma05_g24820 transcript:Ma05_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPETGKAKQAPVQEAVPPGPGAELVADRNANIKSEICPLDDVHRSVSKASEGFGSSKRKHASKGGSGIPTCPECGKTFASDKALFGHLRCHPERDYRGANPPPGARKQPKPDAGPSAARDLPAKKWQTTARRGRQGTASGGDDAEDLLEAAAMTILRMAHAEHRGRAAITAEEEGSQTKQLQLTQSCHNDDELPPRVQNINSGDDLTSSYRRSKKTKVESATSDHGRRYACSVCSKTFSSHQALGGHIASHNKNKTNPKEAAATAATVENQGRSTAVAKPATAEHRCKICNDVFTSGQALGGHQRRHFHQLHHRSPAPSSSSHPSDDHVAPVQHHHPGEQQLHDPAPSSSSHSAQHDQFCWDLNKAPPNLD >Ma04_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26519723:26524896:1 gene:Ma04_g24520 transcript:Ma04_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14660) UniProtKB/Swiss-Prot;Acc:Q9FUZ2] MAARLSASPLISYAALIPLLSRRAATVRYPFPSSGRSLLSFSSKTLTVARDATAMDFSARARRGFASQVDDFASPDDLCFEAPLKIVEYPDPILRARNKRISTFDENLKKLAKEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGERGEGEEIVLVNPKIYKASTRTLFFNEGCLSFPGIYADVERPASIKIDARNITGARFRVTLSGLPARIFQHEFDHLQGILFFDRMTEDVLESIRSELKALEQKYESRTGLPSPESIDKYERTQRRNEIAGFAGR >Ma10_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27287945:27289885:1 gene:Ma10_g15060 transcript:Ma10_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKTNANRPTASEEPAGYRGGGPPAGDDDGENPQTVMFPPPQAAGLGPTKRSSAADKPGRRGRPVDEYPHELMFQPTAQSRPGGSVDEYPETVMFPPPAPGGSVEEYPETVMFPPRAPGHAGGSVDEYPETVMFPPPAPGGSVEEYPETVMFPPRPPDHSGGSVEEYPEAVMVPPPATGRPVGPVKGYPPTVVFPPTQPRPPRVVHGSQAQLPQPRPQPLPAPSPIAYGNPWSTGLFDCEGDSTNTVMTAFFPCVTFGQIAEILDQGQTSCTLGSLMYALLLPILSCAIVGTPYRSRLRQMYNLVEAPGEDWILHIFCPCCALCQEYRELQHRGYDPSVGWMGNLALQQAKTPPRTSPMHR >Ma05_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3304226:3316565:1 gene:Ma05_g04350 transcript:Ma05_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAVCSFNPSPSLSSPVSDPSRRLRAAPLPLSFKIPTNRVRGRRPLVAVVARFGGGGNWRGPSRRRPIDGRAAADPDYDPALDLDRVKSSSVRLLDEQQNMLGVVSIDEAIQMADDADLILAILSIDADPPVLRLFESSDYKKHKYEQQKKKKVQQKRSAANRMDIKELKMGYNIDSHDYSVRLKAAQKFLKDGDKVKIIVNLKGRENEFRNIAIKLIQRFQSDIGELATEETKNFSQRNIFMVLVPNKVVLQKTQEQPKKKEKPVTEVSASV >Ma11_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20393459:20394845:1 gene:Ma11_g14680 transcript:Ma11_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQMVAGPAAGKGIQAGRTPSRAYVTFLAGDGDYVKGAVGLSKGLRKVGSAYPLVVAVLPDVPQSHRRLLASQGCIIREIEPVYPPESQTQFAMAYYVINYSKLRIWEFVEYQKMVYLDADIQVYENIDHLFDLPDGHFYAVMDCFCEKTWSHTPQYKIGYCQQCPGRVAWPADELGPPPALYFNAGMFVHEPSLATCESLLSTLKVTPPTPFAEQDFLNMFFKDIYKPIPLIYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGKEANMDREDIKILVKRWWDVYNDTSLDYKGAAATEGVVVPAAAEEERKQPLLAALSEAGAIKYVTAPSAA >Ma03_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:221130:225132:-1 gene:Ma03_g00180 transcript:Ma03_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQLFRGDTVLLKGKKRRDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCQDVKYRKRVHILPVDGTIEGITGNLFDVYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVTETDPPEYCVVAPDTEIFCDGDPIKREDEELLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLEKTHGEVERRIVSQLLTLMDGLKSRSHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVTNEHFKTSLGTNNPSALRETVVEVPNVTWDDIGGLENVKRELQETIQYPVEHPEKFEKFGMSTSKGVLFYGPPGCGKTLLAKAIANECQANLISVKGPEFLTVYLAKVKQMFEKFSIRLLNLLHVYSFLMSWTRLQLREGVVLGMQEVLLIEFSINS >Ma08_p29070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40811206:40815982:-1 gene:Ma08_g29070 transcript:Ma08_t29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPKSFPILSYVMSRIASFKAPQAVDHHDVEQPPPLDRPIRRHDGVGGGDIDLVVERLPRLQHPALLASMASAVTEVAQTRSVLRLLGDRPDHEAVDAARARVAEIDADLSRGLEEIVRAPRPEGVDRLRWRAEQAEREKGCRAEAEREKAALRAVIQLDEMHEAYEKLLREAENRLVKMYGSAAEGGDVGDGKQGAEMGQEEGEEVNEEVIDILQGGPGKSLERVDLSGRHLRYLPEAFGKLRGLLYLNLSNNQLQAVPDAIAGLEYLEELHLSSSSLVSLPDSIGLLLNLKILDVSANKLKSLPDSISKCRSLVELNASYNELTYLPTNIGHELQSLEKLWIHLNKLRSLPTSVCEMRALRLLDAHFNELRGLPYAIGNLSNLEVLNLSSNFSDLQELPASFGDLINLRELDLSNNQIHALPDTFGRLDKLTKLNLDQNPLVVPPLEVIMQGVEAVKDYMAKRLLDILLEDEKSMAEEMSPTQAGNGWLMRSTSWLNSWVSGVSGSVAGYLGSGQKSFRDPYLDQQL >Ma03_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9042134:9044118:-1 gene:Ma03_g11650 transcript:Ma03_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSSSFPSSAAYLLLMLTLAVCSHVGGEQESHRKVDVRSLFPDKVCSPSKGTASSNFTRLTVAHRHGPCSPLHHRQVLSHQQILERDRFRVDWLHRQISSSPTSATKVKVQPQDSAVEASAIPARSGSSLGVGNYVVTVGFGTPKRDQTVVFDTGSDVTWIQCQPCASYCYLQQDPIFDPSHSSTYANISCGSAYCSDLDVSGCSSSTCLYGVKYGDKSYTIGFFAEEKLTLTDADVIPNFRFGCGEKNRGLFGRISGLMGLGRNKASLVVQAYQKYGGVFAYCLPSTSSSTGFLTFGSGSYSSSSNVKFTPMLTDASSPSFYFLDLVAISVGGQRLPISATVFSNAGTIIDSGTVISRLPPTAYSALRSAFRQGMSSYKAAAPDSLLDTCYDFTGYTTVSIPTVALEFSDGVTMNLDFGGILYALSQSQVCLAFAANSDDGDLGIVGNVQQRTFTVVYDVSKKVIGFGPGGC >Ma04_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25276900:25281397:1 gene:Ma04_g23090 transcript:Ma04_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGRRNGVMDEEDDEDDVLFDDGDALADLDLDSDVPPHLRALVEAAESGNVDALRSALDNHTGSIDEPVEDGDTVLHLSCLYGYFPCVQLLLERGASLESKDEEGAIPLHDACAGGFTEIVQCMLNSAGSPDFVMQLLSATDIEGDTPLHHAARGEHLDVVKLLLAAGASPKKTNIYGKIPAELADQETEVRSILIAATASADEVSCQ >Ma05_p28310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39355212:39364303:-1 gene:Ma05_g28310 transcript:Ma05_t28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNSAEGSSPRKYPLPSTRNYAHPSSHRYPQQAHFTAGSSFNNKNQNKQQPAFIADNFSSLEEVISALRDAGLESSNLILGIDFTKSNDWTGRHSFNRRSLHAIGDTTNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDEFVFSFYPDHRPSHGFEEALSRYREIVPRLTLSGPTSFAPLILAAIDIVEQSNWQYHVLVIVADGQVTKGSTLPNGFSQQEQATIDAIVEASHYPLSIIMIGVGDGPWDAMHQFDDYVPQRSFDNFQFVNFTKIMSENMPMTKKEAAFALSALMEIPLQYRATQGLKRKKLLKMHRNNEGKASWEKPLPPPRAVVDHDNAKSSKSSHGHAKYTNPDEYSIPVERACPICLTNPKDLAFSCGHLTCRDCGTAIPTCPICRSPITTRLRLFS >Ma05_p28310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39355212:39364302:-1 gene:Ma05_g28310 transcript:Ma05_t28310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNSAEGSSPRKYPLPSTRNYAHPSSHRYPQQAHFTAGSSFNNKNQNKQQPAFIADNFSSLEEVISALRDAGLESSNLILGIDFTKSNDWTGRHSFNRRSLHAIGDTTNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDEFVFSFYPDHRPSHGFEEALSRYREIVPRLTLSGPTSFAPLILAAIDIVEQSNWQYHVLVIVADGQVTKGSTLPNGFSQQEQATIDAIVEASHYPLSIIMIGVGDGPWDAMHQFDDYVPQRSFDNFQFVNFTKIMSENMPMTKKEAAFALSALMEIPLQYRATQGLKRKKNNEGKASWEKPLPPPRAVVDHDNAKSSKSSHGHAKYTNPDEYSIPVERACPICLTNPKDLAFSCGHLTCRDCGTAIPTCPICRSPITTRLRLFS >Ma05_p28310.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39355212:39363999:-1 gene:Ma05_g28310 transcript:Ma05_t28310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNSAEGSSPRKYPLPSTRNYAHPSSHRYPQQAHFTAGSSFNNKNQNKQQPAFIADNFSSLEEVISALRDAGLESSNLILGIDFTKSNDWTGRHSFNRRSLHAIGDTTNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDEFVFSFYPDHRPSHGFEEALSRYREIVPRLTLSGPTSFAPLILAAIDIVEQSNWQYHVLVIVADGQVTKGSTLPNGFSQQEQATIDAIVEASHYPLSIIMIGVGDGPWDAMHQFDDYVPQRSFDNFQFVNFTKIMSENMPMTKKEAAFALSALMEIPLQYRATQGLKRKKLLKMHRNNEGKASWEKPLPPPRAVVDHDNAKSSKSSHGHAKYTNPDEYSIPVERACPICLTNPKDLAFSCGHLTCRDCGTAIPTCPICRSPITTRLRLFS >Ma05_p28310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39355212:39363949:-1 gene:Ma05_g28310 transcript:Ma05_t28310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNSAEGSSPRKYPLPSTRNYAHPSSHRYPQQAHFTAGSSFNNKNQNKQQPAFIADNFSSLEEVISALRDAGLESSNLILGIDFTKSNDWTGRHSFNRRSLHAIGDTTNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDEFVFSFYPDHRPSHGFEEALSRYREIVPRLTLSGPTSFAPLILAAIDIVEQSNWQYHVLVIVADGQVTKGSTLPNGFSQQEQATIDAIVEASHYPLSIIMIGVGDGPWDAMHQFDDYVPQRSFDNFQFVNFTKIMSENMPMTKKEAAFALSALMEIPLQYRATQGLKRKKLLKMHRNNEGKASWEKPLPPPRAVVDHDNAKSSKSSHGHAKYTNPDEYSIPVERACPICLTNPKDLAFSCGHLTCRDCGTAIPTCPICRSPITTRLRLFS >Ma05_p28310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39355212:39363870:-1 gene:Ma05_g28310 transcript:Ma05_t28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNSAEGSSPRKYPLPSTRNYAHPSSHRYPQQAHFTAGSSFNNKNQNKQQPAFIADNFSSLEEVISALRDAGLESSNLILGIDFTKSNDWTGRHSFNRRSLHAIGDTTNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDEFVFSFYPDHRPSHGFEEALSRYREIVPRLTLSGPTSFAPLILAAIDIVEQSNWQYHVLVIVADGQVTKGSTLPNGFSQQEQATIDAIVEASHYPLSIIMIGVGDGPWDAMHQFDDYVPQRSFDNFQFVNFTKIMSENMPMTKKEAAFALSALMEIPLQYRATQGLKRKKLLKMHRNNEGKASWEKPLPPPRAVVDHDNAKSSKSSHGHAKYTNPDEYSIPVERACPICLTNPKDLAFSCGHLTCRDCGTAIPTCPICRSPITTRLRLFS >Ma05_p28310.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39355212:39364303:-1 gene:Ma05_g28310 transcript:Ma05_t28310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNSAEGSSPRKYPLPSTRNYAHPSSHRYPQQAHFTAGSSFNNKNQNKQQPAFIADNFSSLEEVISALRDAGLESSNLILGIDFTKSNDWTGRHSFNRRSLHAIGDTTNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTRDEFVFSFYPDHRPSHGFEEALSRYREIVPRLTLSGPTSFAPLILAAIDIVEQSNWQYHVLVIVADGQVTKGSTLPNGFSQQEQATIDAIVEASHYPLSIIMIGVGDGPWDAMHQFDDYVPQRSFDNFQFVNFTKIMSENMPMTKKEAAFALSALMEIPLQYRATQGLKRKKLLKMHRNNEGKASWEKPLPPPRAVVDHDNAKSSKSSHGHAKYTNPDEYSIPVERACPICLTNPKDLAFSCGHLTCRDCGTAIPTCPICRSPITTRLRLFS >Ma01_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7738382:7751153:1 gene:Ma01_g10780 transcript:Ma01_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARTVCSVIGGGFFLPFPFPPRRRSVGRRPGLLPVFRTSSKDGPELDKWDQMELKFGRLLGEDPKLTLAKIMARKSNPDVSYLEVEKSFRRNKGKLDDYMINVPEDMRVKQPPSVSSKKEDTSNKKNAQNVTVEGQVNLSRPTMNRGIRAMRPPEKPTSIQSQPNQILGDTEDRSTDPNISLRKPSITQDDDIEMNSKLKFKPNLVLKMRKNTSENISNVSLLKKPEVVKVPLGSEQESVSSGNSSQSSLTEMRAPDKDVKILHEGNMSMNNTDLVTTAANLDELQVTGLDASSSSSMPLENDVIEGHLDDKDADISDSSNLDDGVVAGLQPPNQSAAEANVAEASSTRLDNDSADAISMQAALLGKPQRLDSPLKEMSRPFREEKIALQHDGHVSTSGTEPVISADQEEIEESDWKRAGHLLHTGEKAEVELISCSSRGFVVSFGSLIGFLPYRNLGAKWKFLAFESWLRKKGVDPSLYRQNLSILGSYDARSKDLGLESTSGKENQNSEVSPTKVKFEDLYEAYDQEKNKFLSSFIGQRLKVSVILADKNSRKLMFSGRPKEKEEVVEKKRSLMARLSIGDVVKCSIKKITYFGIFVEVEGVTALIHQSEVPWDATLDPTSYFKVGQIVEAKVHQLDYTLERIMLSLKDIMPDPLIEALESVVGDHASLGGRLEATQSDAEWADVDSLIQELQKIDGISGVSKGRFFISPGLAPTFQVYMASMFDNKYKLLARYENMVQEVVVESSLDKEQMKAAILTCINRV >Ma08_p33070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43548922:43552266:1 gene:Ma08_g33070 transcript:Ma08_t33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLGGFPMSAAAGSAKRSREKSECLRPERKAKKPESVDDDPDLDLSSDIKGIISALHQIREKALKDGQKKAEETIKSLELEIQSMTDDAKSKFDKERQSLMKALLKSSKECESSLKTEYSKFQAAYEKFCKEKQAHIQAFKDIYSKYEDEKEKLSIRYEQHRKKEKTSLSELEKTCTDKLASAEESLKKKMQDDRSFSILRKSLGSFLGNASDEDFGPDE >Ma09_p30190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40441927:40444861:1 gene:Ma09_g30190 transcript:Ma09_t30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIESTGPIYTCWVPSEHLTSGVASYGPEWHTDPCIVLRTRNHRFRMAAIVEAPNGDEKNPREHGLASDRRDTLVSVRLLEPLWYLQLHQEEAAQVCMNSWNRLCNQSTVSISTLHLDHGFSLFLAVSHGRVFKTSILGRPTVFVTGREASRILLSGKDGSVSLNLSYAGKQVLGPTSLLTTAGEEHKQLRRLIAEPLSVDSLKRHFQFIDDWAIKTLEGWPGRPVFVLEEASTFTLKVITSIMMSLEPAGGEQDEFRANFKLISSTFSSLPLKIPGTTFHRGIQARNRMYAMLDSMISRRRNGEHEHHDFLQTLVRKHSKEDEDGGDDANKLTDTQLKDNVLTLLIAGHDTTTAALTWLIKFLGENPHALQNLREEHGRIRNARNGSSHLTWSEVNSMPYTNKVINETLRKATILPWFSRKAPRDFTVDGHSIKRDWSVNVDVVSMHHDADVFPDPEKFEPSRFDKPLKPYSFLGFGSGPRMCPGMHLAKLEICIFIHHLICRYKWKPLDEDSSLHATLVRMPKNKYPITVEPL >Ma01_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20273902:20313257:-1 gene:Ma01_g21140 transcript:Ma01_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNVDLPEDLLYTKSSEEAWAGNDSLAGKGSDEKNPLIGFLDAVKDQVTSENSIPLSPQWLYAKASDSKDTRPPNSASSGTLPDIIQKDMWRLDGSQDKKEWIRNASDVDFSRRWREEERETSLLGRKERKKEGDRETEYRKSDRRPDNILLRESADSRSSPSSDKFYEVPNRGTGNENRRDSKWSSRWGPEDKENEMRTEKKVDAEKEDSHVEKQFFIASFRPLSGSDSRDKWRPRHRQDVYSGGSSVIRAAPGFGLERVSTEDSNMGFARGRGRSDSVTGLQFGRSSAAGPIGATPVNKAEFRYPRGKLLDIYRKQKMTVIDATPVRFVEVPPITESSFVTPLAFVIPDAEEEVLLKDIWKGKVMSSEASSSWERMARDNKIGIGDGDKTLIEKKHARRGPFTNSEELNSDHEERKAVNTMINLVGFNGLTIKIADHEVFHDKPVSVSNITNSQINDGQIEVVNVDDESSHVDILKDIKLEGQDLTVSDFNAKLPDESYPLFDSSFVEAIPRINKLKSSKAEMNLSEQGTPEELSLFYMDPQGDIQGPFLGADIISWFEQGFFGTDLPVCLSDAPDGTPFQPLGEVMPHLKLEFHSGPDICSGEKSEPLDATRSDLESCIPSSHDTGGSSTTNNQRRALSWYSLDHHGKHDVPENEAFTDPNRNSLSFPSSGTPPGATGGHIFHDLTGRDAEVVLYKGRSMSDMGKQSGKFANDHIALSRSPNNHHYMVPEAGNTSFASEHIPRENNLDPFGLLWSELEGTQQKLPLSSNIAGSAENLIGNCDSSRNSFPFGLNQTQFNLISDFPIANNSLSKNYRRSNSLNIIPDMFDANNMSQIEAESSHFGLEQRLLFQQLQMKELQQQCLLAHQNAEFSGTLLDQVHGPMHQHHHVHQQPVEDLERMLKFQFEQLRHLDMLQQQHQLHQQQTQLHEHQIQLLQHRLHHEPQPQQSQQQMYLERLLHRQLLEAGFGTSNIDPHGINMFDQVRFRQQLLKESQQSHNLSLHHDSAIDQHIQANLGLNIQRQSHSGLLDILSHSSQRQVPPIEQQFLLGLQLDKLQAQLSSPASTKLSAMEEERHIGGVWSVGESGQFIRTALGPHQDFSARSSQSEFMQAAKGPLFEQRSHVQPNILFHERMQRGPYEQGSHPIDRLHMHAGTPGPNLELINALARVQGLDAHEHLNHLHTSGEVGQLPSSVHSHQNQIANDLTGASMDVSERHWFEPNRQLSADLMESHLKHLPIEAEKKRGISINHSVKDPNVWASFVGNDGSSGHELRDLLHQEMLLQSQQPLGVYSTPSSNEHGDSSWLYSQHGPEHSFNLDMDRVGLSGSMSEGSLFSEVRQPRNEQLINKNLEGSANDIESSRRPSLRSGSATLIEQKHFLSDTDYIEREKFVTSLGDASLQSFDFYNLKEVENRKMQGLKGSSRTQSALNMQDSGVMQAIGGGHEEVNVDKLFRHDLSSKAARGLSFYNYETGTDNAHIEGMGNNMLKCQFDDLIRLS >Ma01_p21140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20273074:20313257:-1 gene:Ma01_g21140 transcript:Ma01_t21140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNVDLPEDLLYTKSSEEAWAGNDSLAGKGSDEKNPLIGFLDAVKDQVTSENSIPLSPQWLYAKASDSKDTRPPNSASSGTLPDIIQKDMWRLDGSQDKKEWIRNASDVDFSRRWREEERETSLLGRKERKKEGDRETEYRKSDRRPDNILLRESADSRSSPSSDKFYEVPNRGTGNENRRDSKWSSRWGPEDKENEMRTEKKVDAEKEDSHVEKQFFIASFRPLSGSDSRDKWRPRHRQDVYSGGSSVIRAAPGFGLERVSTEDSNMGFARGRGRSDSVTGLQFGRSSAAGPIGATPVNKAEFRYPRGKLLDIYRKQKMTVIDATPVRFVEVPPITESSFVTPLAFVIPDAEEEVLLKDIWKGKVMSSEASSSWERMARDNKIGIGDGDKTLIEKKHARRGPFTNSEELNSDHEERKAVNTMINLVGFNGLTIKIADHEVFHDKPVSVSNITNSQINDGQIEVVNVDDESSHVDILKDIKLEGQDLTVSDFNAKLPDESYPLFDSSFVEAIPRINKLKSSKAEMNLSEQGTPEELSLFYMDPQGDIQGPFLGADIISWFEQGFFGTDLPVCLSDAPDGTPFQPLGEVMPHLKLEFHSGPDICSGEKSEPLDATRSDLESCIPSSHDTGGSSTTNNQRRALSWYSLDHHGKHDVPENEAFTDPNRNSLSFPSSGTPPGATGGHIFHDLTGRDAEVVLYKGRSMSDMGKQSGKFANDHIALSRSPNNHHYMVPEAGNTSFASEHIPRENNLDPFGLLWSELEGTQQKLPLSSNIAGSAENLIGNCDSSRNSFPFGLNQTQFNLISDFPIANNSLSKNYRRSNSLNIIPDMFDANNMSQIEAESSHFGLEQRLLFQQLQMKELQQQCLLAHQNAEFSGTLLDQVHGPMHQHHHVHQQPVEDLERMLKFQFEQLRHLDMLQQQHQLHQQQTQLHEHQIQLLQHRLHHEPQPQQSQQQMYLERLLHRQLLEAGFGTSNIDPHGINMFDQVRFRQQLLKESQQSHNLSLHHDSAIDQHIQANLGLNIQRQSHSGLLDILSHSSQRQVPPIEQQFLLGLQLDKLQAQLSSPASTKLSAMEEERHIGGVWSVGESGQFIRTALGPHQDFSARSSQSEFMQAAKGPLFEQRSHVQPNILFHERMQRGPYEQGSHPIDRLHMHAGTPGPNLELINALARVQGLDAHEHLNHLHTSGEVGQLPSSVHSHQNQIANDLTGASMDVSERHWFEPNRQLSADLMESHLKHLPIEAEKKRGISINHSVKDPNVWASFVGNDGSSGHELRDLLHQEMLLQSQQPLGVYSTPSSNEHGDSSWLYSQHGPEHSFNLDMDRVGLSGSMSEGSLFSEVRQPRNEQLINKNLEGSANDIESSRRPSLRSGSATLIEQKHFLSDTDYIEREKFVTSLGDASLQSFDFYNLKEVENRKMQGLKGSSRTQSALNMQDSGVMQAIGGGHEEVNVDKLFRHDLSSKAARGLSFYNYETGTDNAHIEGMGNNMISGDISKGDDNSFLADTCDPHSTSSIAGSDLASKKSAKAKNPTNGSSEGGCLNFGGNSTSHVSETSMSNKKDLRFRRTSSSSDADVAEPSFSDMLKSTKKTMPDPESLEVVSSGKSAKKKGKKGRQIDPSLLGFKVHSNRILMGEIQRPDD >Ma01_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14632115:14637138:1 gene:Ma01_g19170 transcript:Ma01_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRKGFLDVLSCSLQLVNVGGRDIGRSITPFASCGPLTFISGNLEVCYTTSSLAPTSFDQEPNQTKRESLVSHNLEEEVYAQHIRRVILKVKNGTVEDVVQSLKGDGFCCEIQLTANLVDSLLQKFGDDWKSALGFYEWASSHKGYKHTRFGHDRMVDLLGKMRQMDRMWDLVHKMHSEGFITLDTIAKVMRRLAGSRRWRDVVEFFDELEYMGFVKDTETMNLLLDTLCKEKKVEVAHVAFFELKNHISPNAHTFNIFVHGWCNAHRVDEALWTFQEMKDLGFKPSVITYSIIIQAYCNQCNVGKAYELLDKMVADGCLPNIVTYTILMNSLAKAQKFDEALSIFEKVKSAGCIPDTLFYNSLINILGRAGRLPEAFHIFEKEMKMNGVNCSISTYNTMISISCLHKQWQSALNVLEKMKSSSCKPDLQTYRPLLKLCFSEGELDVRIHSLLNDMADKYHLSFDLDTYTTLIHGLCSVGDIGWATLLFDEMIDREILPRRQTCKMLLQEVEQRNIKVDTEDTIVYGNDLHKIIEGNQYTKQGCSIYYGHQRVCSRWWQCGNAKGA >Ma01_p19170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14632115:14637138:1 gene:Ma01_g19170 transcript:Ma01_t19170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRKLVNVGGRDIGRSITPFASCGPLTFISGNLEVCYTTSSLAPTSFDQEPNQTKRESLVSHNLEEEVYAQHIRRVILKVKNGTVEDVVQSLKGDGFCCEIQLTANLVDSLLQKFGDDWKSALGFYEWASSHKGYKHTRFGHDRMVDLLGKMRQMDRMWDLVHKMHSEGFITLDTIAKVMRRLAGSRRWRDVVEFFDELEYMGFVKDTETMNLLLDTLCKEKKVEVAHVAFFELKNHISPNAHTFNIFVHGWCNAHRVDEALWTFQEMKDLGFKPSVITYSIIIQAYCNQCNVGKAYELLDKMVADGCLPNIVTYTILMNSLAKAQKFDEALSIFEKVKSAGCIPDTLFYNSLINILGRAGRLPEAFHIFEKEMKMNGVNCSISTYNTMISISCLHKQWQSALNVLEKMKSSSCKPDLQTYRPLLKLCFSEGELDVRIHSLLNDMADKYHLSFDLDTYTTLIHGLCSVGDIGWATLLFDEMIDREILPRRQTCKMLLQEVEQRNIKVDTEDTIVYGNDLHKIIEGNQYTKQGCSIYYGHQRVCSRWWQCGNAKGA >Ma08_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30625413:30625574:-1 gene:Ma08_g18450 transcript:Ma08_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLNLYNIHKFRFIVNISLDAECTYTTKTYILIKICPKSFSFPLPQPNLNI >Ma03_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1152578:1162736:-1 gene:Ma03_g01670 transcript:Ma03_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQSKFVSVNLNRSYGQPSSSSSSSVHGRSRPGSGGGGGGGGGMVVLSRSRSSATSAAKTAQKLAVPPPLNLPSLRKEHERFDPASSGSAATHGSPGLGSRGGTSALGWSKPALPVPEKDAGSRGQSQLGRSAIINDELAGSSYISPGARPGGQPLNATPGQGFSEKAVILRGEDFPSLRATFTSPAKQKDTSSQKQKQKLGVEEQSERREVSELHSPLQMRPQIKSSRLIANSMSDGDGGLIRPLCASEQSQRQDRDLPGLLPLVRLQHTSDWTDDERDIGLSIPERERDRGFLRSELVQVHDAYDGRGLHDTEGGSAWSREPFKGYPSGKDVRAASNESQDFVSWRFPMNSRDRLNTSSPGVDRDRHYARPISGNREVSTEGVNIQSSFGENGSDGFAKRSQDYRNAKMDLVSSEHTRIGKVVAEAFSGTHGHGHSNNWSKGSSFQNATVLKGQFSTGTRGTSLNDPTLNFGREKRSAPSAGKPYLEDTEFDSKDPFLGGIRDMNAKAYKKKKDLEKQIDFPDPIRESFEAELEGILRTQEQERQRIVEEQARALELARKEEEERERVAREEEEQRRTLEEEARNAAWKAEQERLDAARRAEEQRIAREEEKRRYQMEEERRTEAARKKLLELEARIARRQVEDNGKDDRVHSSVTASEERVPGVVKERDVPQDTEVGGWEDGERMVEQITSSALFDSLSVDRILEVGPKSQFPRDTFPSFAEREKHAYGRTILPSQADDNLYHNPRQDAFGHRGGFSKKEFHGGIETRSSRPSSKGATMIETSQRPDEYHEPRKQRWNSNKEVDYFKRNNDINSEFIDSVKFRDVGMVPGNSQGSPQAPYTEISSDNPVVDGFSSFTRYRQFLRQPRVPPPPSVTSVQRSSFRDPAEGASSSCFIDDDVHYNHPSRYEQKTLHRGFDNVFHETHQQGGTTAFLEKNASQFEQEAEKMSPRYDSQLSPSVSSPPSSPALLHHEMDISRDSPPLPASADGERTIVSDSEHIVLPLEGGAVDRMMASRSISPGVDDEWPVENNEEIQEQEGDEYQDIAEAHDGVDENFDSVQEIEDLHSDVQTTTGEMEQVILGFNEGVEVKLPSIDKFEITSSNSEKEFRIHAGSAGNLEESISNCEIIVQEDVMDSSLQSEPEKSLKDLSLDPISASSYSVNGAEASQSSRLPAQHSVTSATSCPMTSTASDSPNLSFPSIVVSQGEAPVSLQFGLFSGPSLIPSPVPAIQIGSIQMPIHMHTHINPSLPQVHPSQPPLFQFGQLRYTPTISHSILPLAPTSTAFIQPSASAPYSFNQNPAGSLCDQTTQNYYSDKSRDEKPSGSSHKQTDLVKNPFESSQHRALLDSGKNVSIASQSRTEISSLVEKKGANNSISQAESHGEDDATMKKGYRLTGKWKESQHQQQHTELQSSRFFPGGKPPLRNPGNFSGGRRKRYTYSVKNAGTRSSFPGEDTLQAESSGFQRRARRNIRRTEFGVRENIARKQTQGTESLNSFGQDEKSNEDSMATGISIRNLDKKDAPLDRSVKIANDPDNLSSGASISLVVSSDRTERVIRNETTLKSESIFDKSHAGKGNIKAGDSLEGDVDAPLLSGVIRVFKQTGIEVPSNEDDFIEVRSKRQMLNDRREQRAKENKSKSRVPKGPGKQFAVPQSNAASSNPNKAVTSSVSDTTTIVCANSLVAEGRESTRLKSSFVTTANLTSQTLPPIGTPSVNADFERRLNDSKSGQAIIVPAVSDSESILVPGLLENKNTCPEYTVLPLSSWDSTHTSHQVIALTQTQLDEAMKPTQFGSQLVSSIILEPHKLVSSIVTPEKPFSSCASPVNSLLADEKIQFGAVMPPNILPPVSRAISKGTGPPNTCRPELKIGQNLSNNSYSMFFVKEQCPGEPCADLEDAEAEAEAAASAVAVAAITNDEMVGSGIGTASDTKSFSSADGTALASAGAANSHEVTGQSSCEESLTVALPADLSVDTALSVWPALPSPQTSQSVLSQFPGASPSHFPSFEMNGILDGRIFAYGSNDESAGSQGQPQKGAALGSGALGSWPQYHPGVDSFYRPPAGFTGPFINPGGIPGVQCPPHMVFYNHFAPVGQFGQVGVGFMGTTYIPAGKQPEWKQNQVCSTVNDSEGDLSNLNAVSGQGTPTSAPAIQNLGPGSPLMVASPLTMFDMNPFQPAANIPLQAWSHVPPPLHSIPLSMPLQQHHVESRIPSQLGRNLSGDATTGNNRFGDPRPSVNSEISRSIPFPNSTASEISDELGPPKQPTCGTATTQTVVPRESTASANEKKDLQVVARTIVSGIDSRGTRASSSEGSGQTTGLPSKSLRPTSSGQQHHNQAGHEQHRGISQRTGSGGEWHRRTTGFQVRKQGSGMDKNNGPPKMKQIYVAKPSSSGLSSQGQTKT >Ma03_p01670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1152578:1162732:-1 gene:Ma03_g01670 transcript:Ma03_t01670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQSKFVSVNLNRSYGQPSSSSSSSVHGRSRPGSGGGGGGGGGMVVLSRSRSSATSAAKTAQKLAVPPPLNLPSLRKEHERFDPASSGSAATHGSPGLGSRGGTSALGWSKPALPVPEKDAGSRGQSQLGRSAIINDELAGSSYISPGARPGGQPLNATPGQGFSEKAVILRGEDFPSLRATFTSPAKQKDTSSQKQKQKLGVEEQSERREVSELHSPLQMRPQIKSSRLIANSMSDGDGGLIRPLCASEQSQRQDRDLPGLLPLVRLQHTSDWTDDERDIGLSIPERERDRGFLRSELVQVHDAYDGRGLHDTEGGSAWSREPFKGYPSGKDVRAASNESQDFVSWRFPMNSRDRLNTSSPGVDRDRHYARPISGNREVSTEGVNIQSSFGENGSDGFAKRSQDYRNAKMDLVSSEHTRIGKVVAEAFSGTHGHGHSNNWSKGSSFQNATVLKGQFSTGTRGTSLNDPTLNFGREKRSAPSAGKPYLEDTEFDSKDPFLGGIRDMNAKAYKKKKDLEKQIDFPDPIRESFEAELEGILRTQEQERQRIVEEQARALELARKEEEERERVAREEEEQRRTLEEEARNAAWKAEQERLDAARRAEEQRIAREEEKRRYQMEEERRTEAARKKLLELEARIARRQVEDNGKDDRVHSSVTASEERVPGVVKERDVPQDTEVGGWEDGERMVEQITSSALFDSLSVDRILEVGPKSQFPRDTFPSFAEREKHAYGRTILPSQADDNLYHNPRQDAFGHRGGFSKKEFHGGIETRSSRPSSKGATMIETSQRPDEYHEPRKQRWNSNKEVDYFKRNNDINSEFIDSVKFRDVGMVPGNSQGSPQAPYTEISSDNPVVDGFSSFTRYRQFLRQPRVPPPPSVTSVQRSSFRDPAEGASSSCFIDDDVHYNHPSRYEQKTLHRGFDNVFHETHQQGGTTAFLEKNASQFEQEAEKMSPRYDSQLSPSVSSPPSSPALLHHEMDISRDSPPLPASADGERTIVSDSEHIVLPLEGGAVDRMMASRSISPGVDDEWPVENNEEIQEQEGDEYQDIAEAHDGVDENFDSVQEIEDLHSDVQTTTGEMEQVILGFNEGVEVKLPSIDKFEITSSNSEKEFRIHAGSAGNLEESISNCEIIVQEDVMDSSLQSEPEKSLKDLSLDPISASSYSVNGAEASQSSRLPAQHSVTSATSCPMTSTASDSPNLSFPSIVVSQGEAPVSLQFGLFSGPSLIPSPVPAIQIGSIQMPIHMHTHINPSLPQVHPSQPPLFQFGQLRYTPTISHSILPLAPTSTAFIQPSASAPYSFNQNPAGSLCDQTTQNYYSDKSRDEKPSGSSHKQTDLVKNPFESSQHRALLDSGKNVSIASQSRTEISSLVEKKGANNSISQAESHGEDDATMKKGYRLTGKWKESQHQQQHTELQSSRFFPGGKPPLRNPGNFSGGRRKRYTYSVKNAGTRSSFPGEDTLQAESSGFQRRARRNIRRTEFGVRENIARKQTQGTESLNSFGQDEKSNEDSMATGISIRNLDKKDAPLDRSVKIANDPDNLSSGASISLVVSSDRTERVIRNETTLKSESIFDKSHAGKGNIKAGDSLEGDVDAPLLSGVIRVFKQTGIEVPSNEDDFIEVRSKRQMLNDRREQRAKENKSKSRVPKGPGKQFAVPQSNAASSNPNKAVTSSVSDTTTIVCANSLVAEGRESTRLKSSFVTTANLTSQTLPPIGTPSVNADFERRLNDSKSGQAIIVPAVSDSESILVPGLLENKNTCPEYTVLPLSSWDSTHTSHQVIALTQTQLDEAMKPTQFGSQLVSSIILEPHKLVSSIVTPEKPFSSCASPVNSLLADEKIQFGAVMPPNILPPVSRAISKGTGPPNTCRPELKIGQNLSNNSYSMFFVKEQCPGEPCADLEDAEAEAEAAASAVAVAAITNDEMVGSGIGTASDTKSFSSADGTALASAGAANSHEVTGQSSCEESLTVALPADLSVDTALSVWPALPSPQTSQSVLSQFPGASPSHFPSFEMNGILDGRIFAYGSNDESAGSQGQPQKGAALGSGALGSWPQYHPGVDSFYRPPAGFTGPFINPGGIPGVQCPPHMVFYNHFAPVGQFGQVGVGFMGTTYIPAGKQPEWKQNQVCSTVNDSEGDLSNLNAVSGQGTPTSAPAIQNLGPGSPLMVASPLTMFDMNPFQPAANIPLQAWSHVPPPLHSIPLSMPLQQHHVESRIPSQLGRNLSGDATTGNNRFGDPRPSVNSEISRSIPFPNSTASEISDELGPPKQPTCGTATTQTVVPRESTASANEKKDLQVVARTIVSGIDSRGTRASSSEGSGQTTGLPSKSLRPTSSGQQHHNQAGHEQHRGISQRTGSGGEWHRRTTGFQVRKQGSGMDKNNGPPKMKQIYVAKPSSSGLSSQGQTKT >Ma04_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16608754:16611536:1 gene:Ma04_g16790 transcript:Ma04_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAAQPDLSLQISPPSATPSGRRKHDENMELGFWRRALDSTSTTSYSMITTADADAEADADPAASGFSFHHHQDQSSMEPIPGIPVYRHAPSLALVAPQRQHHHLCGCSSSTHSFTTFAASQGLSRSRFPAKRITRAPRMRWTTTLHDRFVRAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKPAVPSGQCDGFENGSSGDHSEETLTGIQSLHRSESSGAAHCRVDHAGVWSNTSRKGCYHGIASDSTSGRMQSNVDMQPKSSEMFSISNSSSPNKPNLEITLGRPY >Ma06_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12118394:12119005:-1 gene:Ma06_g17840 transcript:Ma06_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASRGRPKTKIVCTLGPASRSVEMIERFLMAGMNVARFNFSHGSHAYHQETLDSLCVAMDKTGILCAVMLDTKGPEIRIGFLKDGKPIHLQKGQEITITPDYSIKGDENMISMSYKMLAEDLKPNSAILCADGTITLTVLACDKESGLVRCRCENSTVLGERKNVNLPGVIVDLPTLTKKDKEDILKWGIPNKIDMIALSF >Ma03_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26183077:26185415:1 gene:Ma03_g21150 transcript:Ma03_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGKIFFQKTYYEVLSVKEDASYDEIKVSYKSALLNSHPDKLHKKYDASRDHHELDFLEVQKAWEVLSDSKSRANYNKELQASRLELEIPANEVELGDMSVKTVGDLQELFYECRCGDYFSITSLELREMGILLDMESVHLSVDSKPASVLIPCGSCSLKIRLSIDHSP >Ma03_p21150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26183090:26185415:1 gene:Ma03_g21150 transcript:Ma03_t21150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGKIFFQKTYYEVLSVKEDASYDEIKVSYKSALLNSHPDKLHKKYDASRDHHELDFLEVQKAWEVLSDSKSRANYNKELQASRLELEIPANEVELGDMSVKTVGDLQELFYECRCGDYFSITSLELREMGILLDMESVHLSVDSKPASVLIPCGSCSLKIRLSIDHSP >Ma11_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18903059:18909225:-1 gene:Ma11_g13750 transcript:Ma11_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 7 subunit of 20S proteasome [Source: Projected from Oryza sativa (Os09g0515200)] MERLAIHHANGMEMVAVKDSAISENGTERTLYPYVTGTSVIGMKYKDGVILAADMGGSYGSTLRYKSVERIKHIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGMVGMIGTHFEDNHVATGFGNHLARPILRAEWREDLSFEEAVKLLEKCLLVLLYRDRSAINKFQIAKITDEGVTISQPYALKTYWGFSAFQNPSKGAEGSW >Ma07_p27880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34071105:34072127:1 gene:Ma07_g27880 transcript:Ma07_t27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSKGIKNASLTQFILLPWKHSCIKCLNNEVVPGVLSS >Ma01_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8656816:8657357:-1 gene:Ma01_g11950 transcript:Ma01_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHLPHKIMAGHGRRRGEEEEGIRKGWMGIRVGGEGEQPQRFVVPVEYLSHPLFVRLLKEAEEEYGFDHQGAITIPCHVKYFRRVQSIIDRDCNQVAATVNYHHHFHLCFRA >Ma05_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35041885:35043590:-1 gene:Ma05_g23040 transcript:Ma05_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCWAAACNPALAHNPFAEIPNRNGSSTRKKWTVSSDAGSKPCPRRSLANSLRGCGLNASEPAEEEHKLEQDSLPPDLGHALPANLSWLWSLSSVADDSSPDPLSPPTGFRSMGVIFELEGVIVEDDDPELEPHAWFILCEEEGKEFPMDVILRSIKGMKTEEAISEVLGWSKDPLVLQRLATRKEEIYWRLRGSEYCLRSGSQQFLNRLVDYGVPMAVVSARPRRSIEEAIQAVGLEGYFVCVVAAEDLGRGKPDPEMFKRAAELLDLESEHCIVIGNSDSTVVAAANAGMSSVVVSSNRPVYEFRVAPRVVRWLDELSIGYLENSTRINPIQQRASERHMEVEGLSYL >Ma10_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26044418:26045283:-1 gene:Ma10_g13120 transcript:Ma10_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIRSELLPSECMINPTLQRMSHLVQSFSVVFLYWFYVFHELRAEN >Ma03_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1197488:1202836:-1 gene:Ma03_g01710 transcript:Ma03_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLLPKSCSPFPSSLTNSIATTRLAPLRVSIRPRADGHRRGRSFLTNPISNPLHSRSAPARLSFRARAEGRRGKPEAPPPLPPSLPPEKKSFAVATGELFLGLASLLVRSRGSAFVAVPPDAEVYVDGRGGSNGSVVEESVDGDVIWEQRSKDVEAEKERKKVTSPGFSFSAAGLLFPYHLGVAQFLLEKGYIKETTPLAGSSAGAVVCVVIASGKTMQEALMATKILAEDCRLKGTAFRLGAVLRDVLNDFLPDDVHTRSSGRIRVAITQLLWRPKGLLVDQFDSKEDLINAVFTSSFIPGYLAPRPATIYRNRLCIDGGLTLFIPPTSAPNTVRVCAFPASRLGLKGTGISPDCNPENRATPRQLFNWALEPADDHILDELYELGYLDASVWAKLNPVDTIVEDVNGHIRT >Ma03_p01710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1197418:1202836:-1 gene:Ma03_g01710 transcript:Ma03_t01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLLPKSCSPFPSSLTNSIATTRLAPLRVSIRPRADGHRRGRSFLTNPISNPLHSRSAPARLSFRARAEGRRGKPEAPPPLPPSLPPEKKSFAVATGELFLGLASLLVRSRGSAFVAVPPDAEVYVDGRGGSNGSVVEESVDGDVIWEQRSKDVEAEKERKKVTSPGFSFSAAGLLFPYHLGVAQFLLEKGYIKETTPLAGSSAGAVVCVVIASGKTMQEALMATKILAEDCRLKGTAFRLGAVLRDVLNDFLPDDVHTRSSGRIRVAITQLLWRPKGLLVDQFDSKEDLINAVFTSSFIPGYLAPRPATIYRNRLCIDGGLTLFIPPTSAPNTVRVCAFPASRLGLKGTGISPDCNPENRATPRQMITSWMSYTSSDI >Ma05_p04820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3699853:3705717:-1 gene:Ma05_g04820 transcript:Ma05_t04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREGPRSCSYFLQTRWSDRASPMALYKHLLSTSFYGFSHMSEANQQGRRHRSILVRMDMGSQVGSVNALGLKSCLDRHDGVFRKADGEIQRAHCVAPHHHLVQGSDFVLSEDVGLKLANEGGSYKHGFPIGFPSYPVTEKLVVAVDVDEVLGSFLAALNKFVANRYSSNHSVSEYYVYEFFKIWKCSRAEADIRVHEFFKTSYFKTGIHPIPGARYALHKLSTFCNLSVVTSRQNAIKDHTLEWIEKYYPGLFKEIHFGNHFALDGISRPKSEICRSLGAHVLIDDNPKYALECAEVGIRVLLFDYDNSYPWSKTGSATSHPMVTKVHNWRQVEQQLVSWALTVV >Ma05_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3699853:3705717:-1 gene:Ma05_g04820 transcript:Ma05_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYKHLLSTSFYGFSHMSEANQQGRRHRSILVRMDMGSQVGSVNALGLKSCLDRHDGVFRKADGEIQRAHCVAPHHHLVQGSDFVLSEDVGLKLANEGGSYKHGFPIGFPSYPVTEKLVVAVDVDEVLGSFLAALNKFVANRYSSNHSVSEYYVYEFFKIWKCSRAEADIRVHEFFKTSYFKTGIHPIPGARYALHKLSTFCNLSVVTSRQNAIKDHTLEWIEKYYPGLFKEIHFGNHFALDGISRPKSEICRSLGAHVLIDDNPKYALECAEVGIRVLLFDYDNSYPWSKTGSATSHPMVTKVHNWRQVEQQLVSWALTVV >Ma05_p04820.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3699853:3705717:-1 gene:Ma05_g04820 transcript:Ma05_t04820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREGPRSCSYFLQTRWSDRASPMALYKHLLSTSFYGFSHMSEANQQGRRHRSILVRMDMGSQVGSVNALGLKSCLDRHDGVFRKADGEIQRAHCVAPHHHLVQGSDFVLSEDVGLKLANEGGSYKHGFPIGFPSYPVTEKLVVAVDVDEVLGSFLAALNKFVANRYSSNHSVSEYYVYEFFKIWKCSRAEADIRVHEFFKTSYFKTGIHPIPGARYALHKLSTFCNLSVVTSRQNAIKDHTLEWIEKYYPGLFKEIHFGNHFALDGISRPKSEICRSLGAHVLIDDNPKYALECAEVGIRVLLFDYDNSYPWSKTGSATSHPMVTKVHNWRQVEQQLVSWALTVV >Ma05_p04820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3699853:3705717:-1 gene:Ma05_g04820 transcript:Ma05_t04820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALYKHLLSTSFYGFSHMSEANQQGRRHRSILVRMDMGSQVGSVNALGLKSCLDRHDGVFRKADGEIQRAHCVAPHHHLVQGSDFVLSEDVGLKLANEGGSYKHGFPIGFPSYPVTEKLVVAVDVDEVLGSFLAALNKFVANRYSSNHSVSEYYVYEFFKIWKCSRAEADIRVHEFFKTSYFKTGIHPIPGARYALHKLSTFCNLSVVTSRQNAIKDHTLEWIEKYYPGLFKEIHFGNHFALDGISRPKSEICRSLGAHVLIDDNPKYALECAEVGIRVLLFDYDNSYPWSKTGSATSHPMVTKVHNWRQVEQQLVSWALTVV >Ma10_p28510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35377838:35377936:-1 gene:Ma10_g28510 transcript:Ma10_t28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFAFLSSIDRWPKARAKLCFSHYSDTMMERI >Ma11_p06420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5174521:5177576:1 gene:Ma11_g06420 transcript:Ma11_t06420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRALLQRRLLLLSALAVAVRVKALSRDDFPAGFIFGAGTSAYQVEGAAAEGGRTPSIWDTFTHAGRTFDQSTGDVAADQYHKYKEDVKLMHEMGFDAYRFSISWSRVIPNGRGPVNPQGLRYYNNLIDELKRYGIEPHVTLYHFDLPQALEDEYAGQLSPKIVEDFTAYANVCFSEFGDRVKHWITVNEPNIDPVLGHDFGIFAPGRCSYPFGLNCTKGNSSSEPYIAAHNLLLSHASAAALYKEKYQVKQGGYIGITLLALWYEPFTDLAEDIAAAKRALDFQIGWFVDPLVYGTYPSVMREFVGSRLPSFEPEESKMLRGSFDFIGLNHYTAVFLEAATYDPDESGREYYTDMSVKFATTDAVPNIILTKVPPQTLPILKQTVRTSSDGNQNSRQDFVSDDAPTFPATPWALQKLLEYMKVTYGNPPVLIHENGYPEFNVDPANGQHEQDDDRRTNFIQQYIESLLPSIRNGSNVKGYFAWSFIDCYELTMGYTSRYGLVGVDFTTKNRTRYYRSSGEWYSQFLQHNGEGRNVAIE >Ma11_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5174524:5177576:1 gene:Ma11_g06420 transcript:Ma11_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRALLQRRLLLLSALAVAVRVKALSRDDFPAGFIFGAGTSAYQVEGAAAEGGRTPSIWDTFTHAGRTFDQSTGDVAADQYHKYKEDVKLMHEMGFDAYRFSISWSRVIPNGRGPVNPQGLRYYNNLIDELKRYGIEPHVTLYHFDLPQALEDEYAGQLSPKIVEDFTAYANVCFSEFGDRVKHWITVNEPNIDPVLGHDFGIFAPGRCSYPFGLNCTKGNSSSEPYIAAHNLLLSHASAAALYKEKYQVKQGGYIGITLLALWYEPFTDLAEDIAAAKRALDFQIGWFVDPLVYGTYPSVMREFVGSRLPSFEPEESKMLRGSFDFIGLNHYTAVFLEAATYDPDESGREYYTDMSVKFAMPNIILTKVPPQTLPILKQTVRTSSDGNQNSRQDFVSDDAPTFPATPWALQKLLEYMKVTYGNPPVLIHENGYPEFNVDPANGQHEQDDDRRTNFIQQYIESLLPSIRNGSNVKGYFAWSFIDCYELTMGYTSRYGLVGVDFTTKNRTRYYRSSGEWYSQFLQHNGEGRNVAIE >Ma02_p11650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20728696:20732867:1 gene:Ma02_g11650 transcript:Ma02_t11650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEARELPRVSSLQPPTVVVGPSSYGAGGSTIDPVVAPNTAGMTQGMRLSFTPMASSAPKPVDTTGSLYQGDDVSGMRQTSVFNMGELTKKKRGRPRKYGPDGSMSLALTPPSSALGFSSNPMSDPAAKHRGRPPGSGKKQQLDALGAPGIGFTPHIITVKVGEDIASKIMAFSQQGSRTVCVLSANGAISDVTLRQPAISGGTVTYEGRFDIISLSGSFLLTEEGSTRSRSGGLSVAIAGSDGRILGGGVAGMLVAATPVQVVVASFITEKKKPQPEPLRWEPSSAPPQMASFGATLTVSPPTEGTSSESSDDRGSPTNQNGGTCDNSTQPVQSAYPSFISWSHSVNENRHNSDMKVMPL >Ma02_p11650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20728696:20732867:1 gene:Ma02_g11650 transcript:Ma02_t11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARELPRVSSLQPPTVVVGPSSYGAGGSTIDPVVAPNTAGMTQGMRLSFTPMASSAPKPVDTTGSLYQGDDVSGMRQTSVFNMGELTKKKRGRPRKYGPDGSMSLALTPPSSALGFSSNPMSDPAAKHRGRPPGSGKKQQLDALGAPGIGFTPHIITVKVGEDIASKIMAFSQQGSRTVCVLSANGAISDVTLRQPAISGGTVTYEGRFDIISLSGSFLLTEEGSTRSRSGGLSVAIAGSDGRILGGGVAGMLVAATPVQVVVASFITEKKKPQPEPLRWEPSSAPPQMASFGATLTVSPPTEGTSSESSDDRGSPTNQNGGTCDNSTQPVQSAYPSFISWSHSVNENRHNSDMKVMPL >Ma02_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20728696:20732867:1 gene:Ma02_g11650 transcript:Ma02_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARELPRVSSLQPPTVVVGPSSYGAGGSTIDPVVAPNTAGMTQGMRLSFTPMASSAPKPVDTTGSLYQGDDVSGMRQTSVFNMGELTKKKRGRPRKYGPDGSMSLALTPPSSALGFSSNPMSDPAAKHRGRPPGSGKKQQLDALGAPGIGFTPHIITVKVGEDIASKIMAFSQQGSRTVCVLSANGAISDVTLRQPAISGGTVTYEGRFDIISLSGSFLLTEEGSTRSRSGGLSVAIAGSDGRILGGGVAGMLVAATPVQVVVASFITEKKKPQPEPLRWEPSSAPPQMASFGATLTVSPPTEGTSSESSDDRGSPTNQNGGTCDNSTQPVQSAYPSFISWSHSVNENRHNSDMKVMPL >Ma10_p07940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22287500:22295145:-1 gene:Ma10_g07940 transcript:Ma10_t07940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFLAAIAVSISFWVISLSRILSSSSCVPSNPPFFRTGSGKKRNALLVIAHPDDESMFFAPTILFLNSEGHNIHILCISTGNAEGVGNNRKEEIYRACAILKIPLQQVKVLDHPCLQDGFKNTWDHELLARLIEDEIKVWDIDSLITFDDFGVSGHPNHRDVHHGIRSAEAFFANILALWMCGYRLFHLHIIGRHKSIVYSTIVHPKVILQWLSTKANGFGLGSYLCSSPVTPT >Ma10_p07940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22287500:22295151:-1 gene:Ma10_g07940 transcript:Ma10_t07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFLAAIAVSISFWVISLSRILSSSSCVPSNPPFFRTGSGKKRNALLVIAHPDDESMFFAPTILFLNSEGHNIHILCISTGNAEGVGNNRKEEIYRACAILKIPLQQVKVLDHPCLQDGFKNTWDHELLARLIEDEIKVWDIDSLITFDDFGVSGHPNHRDVHHGIRMLLSANKQRKFEAWELVSRSIFRKYTGPLDVWLSIVSSSYYRQTQIYCLLNNRPSKSYLAMAEHQSQWVWFRKLFVLFSSYTYMNTLQKIIDLE >Ma10_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22287500:22295113:-1 gene:Ma10_g07940 transcript:Ma10_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSEEEPMFFAPTILFLNSEGHNIHILCISTGNAEGVGNNRKEEIYRACAILKIPLQQVKVLDHPCLQDGFKNTWDHELLARLIEDEIKVWDIDSLITFDDFGVSGHPNHRDVHHGIRMLLSANKQRKFEAWELVSRSIFRKYTGPLDVWLSIVSSSYYRQTQIYCLLNNRPSKSYLAMAEHQSQWVWFRKLFVLFSSYTYMNTLQKIIDLE >Ma11_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25067425:25075539:1 gene:Ma11_g20680 transcript:Ma11_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MILGFISLLLTFGQSYIAKICIPETLSNTMLPCPIKTDTGTAEAGGGHRRKLLTNALIRGNIQHRILAAGSIVSCPLGKGPLISMNGLHQLHIFIFFLAVFHVASGALTMTLGRAKMRRWKEWETETSSIEYEFSNDPSRFRFVHETSFVRRHTNFWNRITILFYFVSFLKQFFSSVCKADYLALRHGFINAHLAPGSKFNFQKYIKRTLEDDFKAVVGISPVLWASAVMVLLLNVNGWEELFWASILPLVIILAIGMKLQAIIARMAIEIQERHVVVQGIPLVQLSDRHFWFKNPQFALFLIHFALFQNAFQITYFFWIWYEFGLKSCFHDNFDFIIARVSVGVGVQFLCSYITLPLYALVSQMGSHMKRSIFDEQTSKALKKWHQAVKKKHEKGTSHASSVHETSPRGSSPASPLRHMQRYRTIGHTRELSNS >Ma10_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17581349:17583362:1 gene:Ma10_g06100 transcript:Ma10_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEPWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Ma01_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6770642:6776590:1 gene:Ma01_g09380 transcript:Ma01_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMRKGRARPIRAVVGWVRRRSPKVKASLSVIAGMAALVFLRFIVHDHDKLFVIAEAAHALGISVLIYKLIKERTCAGLSLKTQYLTALFLAVRLYCSFVMEYDIHTVLDCATLATTLWVIYMIRFRLKSSYMKEKDNFAIYYVVLPCAILAFTVHPSTTHNLIDRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVSRFLSCAHWVLQVLDTRGRLLTALGHGLWPPLVLLAEIVQTFILADFCYYYVKSVVGGQLVLRLPSGVV >Ma07_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8401480:8405809:1 gene:Ma07_g11290 transcript:Ma07_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEALPSFVSAPPPLTTRGGSGREPSSSLLPPRAVSLLLHHRPPSPASHRLAALCSVDLSTVPLLSSRRCYRRGGAVASASSSSSFGGGEEGGEEDEVERALGMDGSIPRSSQEFVRRVSSRAYDMRRNLMQSLDSISYDVLEANPWREDSKPVYVLAQRDNQLWTMKTRRSRSEVERELGLLFSKGGKRGSEVGTKAKQSTGTKFHMLVEDIREGVLVFEDEDEAAKYCDILQGGGQGCEGIAELDASSVFDICRRMRALAVLFRRGRTPPLPKSLEQNLKARKRSLEDQDPM >Ma01_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11583852:11585836:1 gene:Ma01_g15900 transcript:Ma01_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRFVSPQSSHQQSSYSLSRSSSSSRSSEAPQINYKDYYYYFHQEQEEQQQQYYQEECGTNHLVYMDEDFSSSSSSKHLHHPHRPPSSTTSTTTPAPTPIFDPADLSFPHDLNLDFSSPSSSAVGASGAGGRWASQLLVECARAVAGRDSQRVQQLMWTLNEVSSPYGDTEQKVAAYFLQGLFARLTSSGPRTLCSLSAASDRNCSFDSTRRTALRFQELSPWSSFGHVAANGAILEAFLDPSSALQRLHILDLSNTFCTQWPTLLEALATRSSDDTPHLTITTVVSSVSPSSSVQRVMKEIGKRMEKFARLMGVPFRFNVVHHAGDLSDLDLDSLDLREGSGVALAINCVNALHGISPAGRRRDELISSLRRLQPRIVTVVEEEADLGGGEGGGEEAGEAFLKGFRESVRFFTAYFESLEESFPRTSNERLALERSAGRAVVDLVACPAADSAERRETAAGWSRRMRAAGFAPAAFSEDVADDVRALLRRYREGWSMRAAAEESEEAAGGIFLDWKEQPVVWASAWKP >Ma08_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22129431:22136891:1 gene:Ma08_g17010 transcript:Ma08_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAASCSVGAPAFYSAASPEQKEREELLSQVDPFLLEVLENPRHRLTVLRMELDIQRFMQNPYHQHFEFQPLPTSYLRCAAHRVAQHYGLQTMALDNVVDGLGSRVVARKTPQSKYPVVCLSEVPAKVSDRDITEQVKITIRPRPRMVSSGAGIDAVPEKSATRTVEERKEEYDRARARIFSGSCNSEVEGSSSPASVDGRNVFLSSDEEFQRTVEEIDKNLPKDGASRVAIFKDREKDRTDPDYDRRYERYVRGLSFPQNFSLQACSIIQPPFLQHDGGISQFGHLPSNQSHVNYDITNPAVNPYAFGCNQNAKNAVYLQWPSPAMMYSNSYEHLGHAMFQAPFYQQHLSFEHTQNC >Ma03_p30600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33185925:33187475:1 gene:Ma03_g30600 transcript:Ma03_t30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDLFRILPRVLIVSRRTVRKNKFVDFVGVYHLDLIVAYGAVPVIVPRVGGIHMLLESFEPIHGVLLCEGEDINPALYGAADFSGLSLEEIEEVRRLHASDTAIDHEKDSIELWLARLCLERNIPFLGICRGSQVLNVACGGTLYQDIEKEMATECEEGNATVHIDYSDYDGHRHPVRVVENSPLHAWFRDSLEEAKTEIWMNSYHHQGVKRLADRFVPMAFARDGFIEGFYDPVAYNPEEGKFIMGLQFHPERMRRPGTEEFDYPGCPAAYQEFVKAVIAYQRKVSSTADIENCQRLGEEMEEKRKNIVRSFSLAKHVYVSKQEMPPAKEQDLQVGAEFLEANAALNPQQEKRLKQMGATVRNASFYLEKMKMNEARKTAARITMEKMSIEQLSELHSFYHMMGKICSEVIDKKLEAT >Ma01_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13140933:13143372:1 gene:Ma01_g17850 transcript:Ma01_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFARNMVAGKFGSENTCAKSSMSNPDCSDDEISSCTSKEEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLKWAVVKLPTVPIQLPFIISCPWCNTLSLRLIYKGNLTFPRKNYFLLWMVESMNCDRPRSDSIHEEHHPVWPSAGNQPRGSHGFQHHIIRRTPNMHAEHLDHNQTDAGSANNYFNMERIHASLCKSLALFVQFTAKFPLVIIFLLIVLYAIPASVAILVLYILITVLFALPSFLMLYFAYPTLDWLVREILT >Ma01_p17850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13140933:13143372:1 gene:Ma01_g17850 transcript:Ma01_t17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFARNMVAGKFGSENTCAKSSMSNPDCSDDEISSCTSKEEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLKWAVVKLPTVPIQLPFIISCPWCNTLSLRLIYKGNLTFPRKNYFLLWMVESMNCDRPRSDSIHEEHHPVWPSAGNQPRGSHGFQHHIIRRTPNMHAEHLDHNQTDAGSANNYFNMERIHASLCKSLALFVQFTAKFPLVIIFLLIVLYAIPASVAILVLYILITVLFALPSFLMLYFAYPTLDWLVREILT >Ma01_p17850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13140925:13143372:1 gene:Ma01_g17850 transcript:Ma01_t17850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFARNMVAGKFGSENTCAKSSMSNPDCSDDEISSCTSKEEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLKWAVVKLPTVPIQLPFIISCPWCNTLSLRLIYKGNLTFPRKNYFLLWMVESMNCDRPRSDSIHEEHHPVWPSAGNQPRGSHGFQHHIIRRTPNMHAEHLDHNQTDAGSANNYFNMERIHASLCKSLALFVQFTAKFPLVIIFLLIVLYAIPASVAILVLYILITVLFALPSFLMLYFAYPTLDWLVREILT >Ma05_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15872360:15872912:-1 gene:Ma05_g16260 transcript:Ma05_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVAGSVNIQGEGLDPHLSACRPVGGRVFYDCLVWFVPVSVAFQVVKGFRFYKGGVYTSDTCGHTETDVTHAVLAVGYGVKDGIP >Ma07_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10395211:10403274:-1 gene:Ma07_g13850 transcript:Ma07_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHRPVDFPPLLLAFVFSLLVLLLSGRCVDGRAISPPSDGDAMELPASDGISGYAAAGYKHSPLLVLPRGSAAEACEPSYGFLPCTTTVAGNLFLVLVYGFLMYKAATCLSAGSEMLLEILGPGIVGGLFLPILGALPDALLILVSGLSGSKEVAQNQVLIGMGLLAGSTVMLITLLWGSCVVVGKCDLLENSTSVDSQDTNGFSLFASGITTDSQTSHAAKIMVISIIPFIIVQLPRVFKFLSGRVAVLIALIVAIALLVSYCLYQVLQPWIHRRKLEFAEHMLMILGILGHPQTAALGRLMDDDGRPNKPVIEKLFHKIDQNKNQLISPSELRAFIIGLKIHEVNLDNDVVVDKIMKEFDTSHDGNIQEEEFIKGISKWITKVNHRSSASHSNDIVDYYMEAREQRNMLIDQIDEAAEENIKNPASTCVTSILLLILGTSIAAVFADPLVDAVDNFSIATKIPSFFISFIAMPLATNSSEAVSAIIFASRKTQRTASLTFSEIYGGVTMNNTLCLAVFLALVYVRHLTWDFSAEVLVILIVCVVMGIFTSLRTTFPLWTCFVAFLLYPLALVLVYVLDFVFGWS >Ma08_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9030119:9030887:1 gene:Ma08_g12060 transcript:Ma08_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNFLRYDSLILVSGGRGIPPFISIIRELIHQRTTLNRPTPAVLLICAFKTSADLTMLDLLLPVSGNIADLSGLDLRIESFVTREKSTTDDAQNNIQTIWFKPLPSDVPIAPVLGPNGWLWLAATVSSSFVAFLGLISILQRYYIYPIDHNTKKVFSYCSRSVLNVLSVCICIMTTASAAVLWNKRENSKEAKQIQNIDAPTPTTSPGFWFHNADRELESVPQDSLV >Ma07_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30920615:30931090:1 gene:Ma07_g23170 transcript:Ma07_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSLQSRASSSSSAGAYFPPHRTQLHPRLYYAPPPPAPRTPLCGGGDRRWILSAGLLILPFLFYLFAAAGRAHLSSHFDAPRPKGFGLVIDAGSSGSRIHVFEFLNEGRIPFVGFDGKGSVSMRVKPGLVAFAAAPEEAGGSILKLLEFAKGRVPTAEWRTTKVQLIENGGLGSCPLRVRTAILESCRQVLRSSGFMFRDDWVSTITGQQKGIYAWIAANYVLGTLGGNHQETMGIIELGGASAQITFVPEEPPPLEYSRMLKLPGVTYNLYSKSIHQAGQDLAWESLTELRNSSIVATASGNIEGPIRSSCIPKGYNRSSIATSNALQKNISHDVEGNFATCRSKAYMFLQQGEILQRFKADMQGQQLAFEKFFYISELFGMTPKASLSDVEAAGRHYCEDHWVSLKEEHFGIDEMDLKKYCFSSAFMVSLLHDGLGIPMEEKRIGFAVPTGSSPLDWTLGAFILQTVVETESGAETITNIAGSDTLTFISLFAILLLVTLSVLYVWNWRRPRLKTIYDLEKGHYIVTRMPV >Ma11_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24668492:24672578:1 gene:Ma11_g20060 transcript:Ma11_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDLVEARMLLEFNPGLAKYSTFRGLNSPLHFAAAKGHNEIVTLLLENGADVNLRNYCGQTALMQASRHGHWEVVQTLVIFRSIVSRADYLSGRTALHFAAFGGHVRCIRLLVADFIPSAPYEVIGSVKDGRGKGNSKGSSPDSSLDSKHDRFALLKFVDKPADGGVTALHMAALNGYFDCLQLLLDLGANVSAVTFHYGAGSTPLHFAACGGNLKCCQMLLARGASRLTLNCNGWLPLDVAKIWGRRCLEPLLNPNSELTVPIFPLSNYLSLPLMSLLNIARESGLHSSIESDDNDLCAVCLERACSVAAEGCGHELCLRCALYLCSASNTPSQIASPPGSIACPLCRNGIVSFIRLPGTPAKGLKPNLALSLCNPCILPPRAVDIPATSCRTEVRRNRVAAVSSELICPLACTPFPSAIPTCATCNGDPFPSNESQGEVQSQRLPHSSHATPGELEKMEEPRVDASCSGMFWSRRSCHREHQCNSEIDS >Ma11_p20060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24668496:24672578:1 gene:Ma11_g20060 transcript:Ma11_t20060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCSASGERLVSAARDGDLVEARMLLEFNPGLAKYSTFRGLNSPLHFAAAKGHNEIVTLLLENGADVNLRNYCGQTALMQASRHGHWEVVQTLVIFRSIVSRADYLSGRTALHFAAFGGHVRCIRLLVADFIPSAPYEVIGSVKDGRGKGNSKGSSPDSSLDSKHDRFALLKFVDKPADGGVTALHMAALNGYFDCLQLLLDLGANVSAVTFHYGVSDNSIGAGSTPLHFAACGGNLKCCQMLLARGASRLTLNCNGWLPLDVAKIWGRRCLEPLLNPNSELTVPIFPLSNYLSLPLMSLLNIARESGLHSSIESDDNDLCAVCLERACSVAAEGCGHELCLRCALYLCSASNTPSQIASPPGSIACPLCRNGIVSFIRLPGTPAKGLKPNLALSLCNPCILPPRAVDIPATSCRTEVRRNRVAAVSSELICPLACTPFPSAIPTCATCNGDPFPSNESQGEVQSQRLPHSSHATPGELEKMEEPRVDASCSGMFWSRRSCHREHQCNSEIDS >Ma01_p06450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4621907:4630552:1 gene:Ma01_g06450 transcript:Ma01_t06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNSSSNSESVETIEASFSEKDKDNAGVDSITEGPASIEDVGKESQPSKDGQVGPSTRYTGIGDKALVQKTTINSQMEPEVGMVFHSEDQAYMFYNNYAQRKGFSVRKGHLGRRKDGTVRNRVFLCSNEGARQRHSTHVTKKPRDVVRTNCMARIEYKVSRDGIWVVSKIIYEHNHPLVRPHKAHLLRSHRRIVQSQHDGVSDAVEKPAQPLEFPAEDAHDAESIGFLLKDQSSYLHTNRMRELEKGDAQVLLEFLKAKQLEDPSFFYAIQLDDREQVTNFFWADSRSIIDYTYFGDVVLFDTTYRPNKSEVPFAPFIGINHHRQIVLFGAAILLDETTESFVWLFRTFMVAMSGLQPQTILTDNCPALSRAISMTLPETCHRFCLWHIIQTSTVHISHVYSNDTNFQKDFKDCIHEEGSEEEFCSKWIRLIHKYDLAGNSWLEDVYAARERWALVYNKNSFSAFMTTMQWSESMKNHFKKHFNRKLPLSKFLEQYHKSLNRFREKELYEDYKSRQTKPVLLVDMPMLNEAAESYTRLMYNEFEDEFKSQLSCLCEPIGIDGTVYTFKVALPGKHSFGIVELKPSNLTVSCSCRKFESMGILCMHALKVLNNNNILHLPSQYILKRWSKYANVEIVSGKHHLIAKSDGQDLLTQQYSRVCHKAITIAVKSAFSEDALQIFDQELDKLIAEVEHVLHMAPLSRQTEDDVILIDNIQQDELGSKRKRSKKARARDGQDSKQKKKLQSRNDAVNTGTIYQKIQTKEKANRLMINEPSHVASFHRESTTSYGNSMSLQPSGCSPFPQDTIMPTQEPFTPSQGLFDHAIASQGANSGNITWCTPRGSIGVPMPVLQGQANNYVSWVVQPCNVPSMAMPQLHLDHPMHSTVPGQHQSLSRKLTFDINKGTDTTGHMQH >Ma01_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4621907:4630552:1 gene:Ma01_g06450 transcript:Ma01_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNSSSNSESVETIEASFSEKDKDNAGVDSITEGPASIEDVGKESQPSKDGQVGPSTRYTGIGDKALVQKTTINSQMEPEVGMVFHSEDQAYMFYNNYAQRKGFSVRKGHLGRRKDGTVRNRVFLCSNEGARQRHSTHVTKKPRDVVRTNCMARIEYKVSRDGIWVVSKIIYEHNHPLVRPHKAHLLRSHRRIVQSQHDGVSDAVEKPAQPLEFPAEDAHDAESIGFLLKDQSSYLHTNRMRELEKGDAQVLLEFLKAKQLEDPSFFYAIQLDDREQVTNFFWADSRSIIDYTYFGDVVLFDTTYRPNKSEVPFAPFIGINHHRQIVLFGAAILLDETTESFVWLFRTFMVAMSGLQPQTILTDNCPALSRAISMTLPETCHRFCLWHIIQTSTVHISHVYSNDTNFQKDFKDCIHEEGSEEEFCSKWIRLIHKYDLAGNSWLEDVYAARERWALVYNKNSFSAFMTTMQWSESMKNHFKKHFNRKLPLSKFLEQYHKSLNRFREKELYEDYKSRQTKPVLLVDMPMLNEAAESYTRLMYNEFEDEFKSQLSCLCEPIGIDGTVYTFKVALPGKHSFGIVELKPSNLTVSCSCRKFESMGILCMHALKVLNNNNILHLPSQYILKRWSKYANVEIVSGKHHLIAKSDGQDLLTQQYSRVCHKAITIAVKSAFSEDALQIFDQELDKLIAEVEHVLHMAPLSRQTEDDVILIDNIQQDELGSKRKRSKKARARDGQDSKQKKKLQSRNDAVNTGTIYQKIQTKEKARQTNDASDRLMINEPSHVASFHRESTTSYGNSMSLQPSGCSPFPQDTIMPTQEPFTPSQGLFDHAIASQGANSGNITWCTPRGSIGVPMPVLQGQANNYVSWVVQPCNVPSMAMPQLHLDHPMHSTVPGQHQSLSRKLTFDINKGTDTTGHMQH >Ma03_p26460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30176844:30178172:-1 gene:Ma03_g26460 transcript:Ma03_t26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSTRLPSFCLNRVTTRVRVRSPAIESRPLSPQASKVSSVDDELAADGATKEMEHGRRIMIVVDRSSEAKAALHWALSHSVQSNDTVVLVEVTKPSKHGERTQRERHPNGYALLHDMKRICQARKPEVQVELSLVPGEERGPAIVGEASKRGVVLLVMGQRNRSVTWRWVMMWAGSKPGGDAVDYCIRNATCMALAVRRKSKRGGGYLITTKRHRDFWLLA >Ma07_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8043532:8044151:1 gene:Ma07_g10780 transcript:Ma07_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAIPYGNGRAWPSEVDLTSSDAPEFGESSKGVGEIKRAVEENPVVVVGRRGCCMVHVVRKLLLGQGVNPVVCEVGEDADEAALMAGLQEAGAGDSGLPQAKASGAGLPAVFVGGRLVGGLDRLMAVHIAGELVPILKQAGALWL >Ma07_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:527482:529261:1 gene:Ma07_g00610 transcript:Ma07_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHGVLSARGRSRSESPRRMAELFLLPRRWKRLGRAADPVAPRSGSLAPLMEGPDPGEADAARKDRGWGQWVRGQLSRAPSISAATAAFRRTDLRLLLGVMAAPLAPVHVCSTEPLPHLSIKDTPIETSSAQYILQQYIAASGGLKLLSSIRNAYAMGKVKMLATEFETATRVIKYRNATRDAEAGGFVLWQMAPDMWYIELAVGGSKVHAGCNGELVWRHAPWLGAHAAKGPVRPLRRALQGLDPLTTASMFTNARCIGEKKVDGEDCFILKLCADPQTLKANSETPAEVIRHVLFGYFSQRSGLLVHLEDSHLTRIQSGAGGDAVYWETSIDSSIDDYRPVEGTMIAHSGHSVVTLFRFGEAALSHKKTRMEEAWTIEEVAFNVPGLSMDCFIPPSDLKRGSISEALELPQGERGKNAVAGGHHAKVAALNKSHDDDVMWRWKSDANTI >Ma06_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15393513:15398231:1 gene:Ma06_g21250 transcript:Ma06_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPAGADVNGDSPAGSPSKDPPFLCRARALDSLDAVKQRAYRFDGLGNYVDKAWDLSEAAACPKEFHWYHVELPRGNVKGFRNPRLAVSTQCLIDALCPPLKLQDILALSSNGPFCAHVDGALIFRINSPGPAASDFTLRLAARVTESSVITVSLGRVPRLGFSPTGQSLLSEIPKVERCSGDDDGASDKADGESGRVVIPVHVLEFLLTMNHSEEADNPVPRSVSNLLVHIVDTHVDHLQDIVTRLEMELDSVELELDRGGSLLKKQMLDDRRFPKMHLNLQRLLQVVSHGEQVFPRVKEKCATKSWFANEDIIALEELISRLRRLKENLGFIVNRVTAVQAGLDSWQSEQINRKLYYLSFLSIIFLPLSIVTGIFGMNVGGVPWTGQRDPELQDGFINVMILCAMVVFLLLLCFIFPSLYAHISAWQRKHSLKWSWSINGKSFLRRNPPNGIRRISANGCWRSDYLPI >Ma07_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24519086:24534791:-1 gene:Ma07_g18130 transcript:Ma07_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGDGEGEIHVVEVAEESSSADEISPLLAVEGANNKPPRMTIFSVSCPRRKPPKEPTCSVTDPEITFLNQIVSWIWSGSRHSGLLCVASSSIIYFVMDVLLGIFPVRSAPIYQTVFTRCTILLIMSLMWLKRTGQPLILPTHARNVLVLRSLTGFVSLLSFIYSVQNLPVSYAVLLNFATPIMASIGAMIILQEKLSLSHIGGLTCSFIGLLLILQPILLSKGSLTETFQMDTVVTDRGKDVIFSIFVGIFSTILGGVSYCLIRAGAMASDQPVYTVFSFAILACPLSAICILIFQEFVLPNLFTFLLMMVLGVLAFYAEILLARGLQLERIAKVTNIQYIKVLLWQLWSMTFLGAAPSSYKLIGCLLILASVCGTAYMGPEKENE >Ma10_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21450423:21454806:1 gene:Ma10_g07110 transcript:Ma10_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRFAGITPCVGFRRDPSTSLALSSSFSSKLWVELSPKSIGTKRARGYPRNRSLRVSCERGVSAFFEEDEAERQGADGDAPQLSIVMKFGGSSVASAERMKEVADLILSFPEERPVIVLSAMGKTTNNLLLAGEKAVCCGVSNVSELHELSFIKDLHLKTVDELGLDKSIVSGLLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRVFAAYLNKIGVKARQYDAFDIGFITTDDFTNADILEATYPAIAKRLHGDWINNPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPSARPVPHLTFEEAAELAYFGAQVLHPLSMRPAREGDIPVRVKNSYNPQAPGTVITKERDMSKAVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFAIFEDLGIS >Ma08_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36343867:36361957:-1 gene:Ma08_g22880 transcript:Ma08_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAPRSALVAFAPDAPYLAAGTMAGAVDLSFSSTANLEIFKLDFQSDAHDLPVAGACPSDERFNRLSWGKPPGSSSEEFSLGLVAGGLSDGSIGIWNPLKIISSEDLNDSSVAKLAKHVGPVRGLEFSTLSPNLLASGADGGELCIWDLAKPSEPKFFPSLRSVGSGAQTEVSFVSWNPKVQYILSSTSYNGITVVWDLRQQKPLTNFVDSNRRRCSVLQWNPDVSTELIVASDDDSSPSLRVWDVRQSLLPAREFVGHTKGVIAMSWCPCDSSFLLTCAKDNRTICWDTTTGEMVCELPASTNWNFDIHWYPKIPGVISASSFDVKVGIYNIEVCSKHAAVEGEFGTPVRLRAPKWLRCPVGVSFGFGGKLISFQPCQSTPEDRLPASEVFMHNLVTEHSLVSRSTEFEAAIQNGEKSSLCALCEQKSHDSISKDDRETWGFLKIMFEEEGTARTKLLSYLGFTVPEECSDIYSDLDNLGKGLENTLSLDTRSSVEVDGSTYSIDNGEEFFNNPQISKDSSAYEEKSVPNVEKAQKEPEEPSRSYDLSFDDSIQHALVVGDYKGAVLRCITADRMADALVIAHAGGSSLWESTRDQYLKNSLAPYLKVVSALVRNDLMALINTRPLKSWKETLALLCTFAQKEEWTVLCDSLASRLMAVGNMLAATLCYICAGNMDRTVEIWSHSLKLDSRGKTYIDLLQDLMEKTIVLALATEHKQFSASLSKLVENYAELLANQGLLTTAMKYLKLLGSEESSNELAILRERISISAEERDVPKSLPYRSGASHTESLYGADQSGYGVDYSQNYYEDKNLSQPLLQLHQNVADTSRAEGFHQVPGSAYGGNQLVQQKPQVPDFSNQRLFHPSQPSQNFILSHTSQISQQAFTSPATMAQPTMKPFSPATPAALRNVERYQQPSLGSQLYPGAANPLYQHGPPIPSPQDGGASQPAFVTGQRFAQPISTTTAPRGFMPVYNPNFAQRPSISPVHPLSPTKSSEARPVGVPPTTPPTVQTVDTSNVPAEWKLVIATLTRLYNETSAALGGSNANPSKKREIEDNSRKIGALFAKLNSGDISPNAAAKLVQLCQALDAGDFAGALRIQVVLTTSDWDECNFWLAALKRMIKTRQTVRL >Ma04_p35090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34029997:34036448:-1 gene:Ma04_g35090 transcript:Ma04_t35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKEENRIFVGGLSWDTTERRLEAEFSRFGKVIEAQIMLERDTGRPRGFGFVTFSDPHAVEDAISEMHERELDGRVISVNKAQPKMTTDDSAYGYNGGRYTSGGRGDYRGGDGPPPAGRSDECFKCGRLGHWARDCPLAGGGSDGRFSSRPKFGGGRGRGDRIGGPDRFNDRYDGGRYGNRDRIDSRDSRYSGGRDRFDNDSDHDRYGPPRDRFSGDRYGEHPDRYPQNGYSRERSYDRDGPRGGGGGGGYSRDGGPRGVSGYERDGPRGGGGSGRYGAGGPARYDGSGSFRDRPGPYDRPTRGGRPSSYDAGY >Ma04_p35090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34029997:34036095:-1 gene:Ma04_g35090 transcript:Ma04_t35090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDTGRPRGFGFVTFSDPHAVEDAISEMHERELDGRVISVNKAQPKMTTDDSAYGYNGGRYTSGGRGDYRGGDGPPPAGRSDECFKCGRLGHWARDCPLAGGGSDGRFSSRPKFGGGRGRGDRIGGPDRFNDRYDGGRYGNRDRIDSRDSRYSGGRDRFDNDSDHDRYGPPRDRFSGDRYGEHPDRYPQNGYSRERSYDRDGPRGGGGGGGYSRDGGPRGVSGYERDGPRGGGGSGRYGAGGPARYDGSGSFRDRPGPYDRPTRGGRPSSYDAGY >Ma04_p35090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34029972:34036448:-1 gene:Ma04_g35090 transcript:Ma04_t35090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKEENRIFVGGLSWDTTERRLEAEFSRFGKVIEAQIMLERDTGRPRGFGFVTFSDPHAVEDAISEMHERELDGRVISVNKAQPKMTTDDSAYGYNGGRYTSGGRGDYRGGDGPPPAGRSDECFKCGRLGHWARDCPLAGGGSDGRFSSRPKFGGGRGRGDRIGGPDRFNDRYDGGRYGNRDRIDSRDSRYSGGRDRFDNDSDHDRYGPPRDRFSGDRYGEHPDRYPQNGYSRERSYDRDGPRGGGGGGGGSGRYGAGGPARYDGSGSFRDRPGPYDRPTRGGRPSSYDAGY >Ma04_p35090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34029997:34036409:-1 gene:Ma04_g35090 transcript:Ma04_t35090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKEENRIFVGGLSWDTTERRLEAEFSRFGKVIEAQIMLERDTGRPRGFGFVTFSDPHAVEDAISEMHERELDGRVISVNKAQPKMTTDDSAYGYNGGRYTSGGRGDYRGGDGPPPAGRSDECFKCGRLGHWARDCPLAGGGSDGRFSSRPKFGGGRGRGDRIGGPDRFNDRYDGGRYGNRDRIDSRDSRYSGGRDRFDNDSDHDRYGPPRDRFSGDRYGEHPDRYPQNGYSRERSYDRDGPRGGGGGGGYSRDGGPRGVSGYERDGPRGGGGSGRYGAGGPARYDGSGSFRDRPGPYDRPTRGGRPSSYDAGY >Ma08_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16712976:16715135:-1 gene:Ma08_g15990 transcript:Ma08_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVPETIPSASEDAEQIWKACKGWGTDETALITILAHRDATQRKIIRLAFEEQYKENLIMRLESELSGDLERAVYLWIFDPVEREAIIANIAVKKNIDYQAIIEIACVNSPKELLAVKEEYHARYKRSLEEDIAVHTVGDFRQLLVSLVSTYRYDGDETDTGVVRLEAKTLHDAIKSQTFNHSEVIRILSTRSKAQLCATFNYYKDEYGIPITKALTSESPNEFALALRMAIRCIVSPQKHFAKVLQNAVGKAGTDEDALTRVIVMHAEKDLKVIKEIFHKRTNATLKHAVGTETCGHYNSFLLALVGN >Ma03_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18923888:18925790:1 gene:Ma03_g16410 transcript:Ma03_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSGLHLVVVPLMAHGHLIPAIDMARLFAERGILVSVVTTPVNASRIRAVIRGIDESGLPIRFVELPFPCAQVGLPEGCEHVDVVPPHLFANFFRATALLRLPLESYLRDHHPRPSCIVADVWLPWTMEVARALRVPRLVCHWISSFAVLCYHNIRRQRVRESISDDSEPFVVPGLPDRIVTTRAQAPGFFDAFGWDDIYAQSVEAEETADGLVLNSFDDLEPSYIDKYREATGKKVWAIGPFCLGNRDRASKAVRGSQASVDGDGCMVWLDSMQPRSVVYVSFGSLTQTQPSQLAEIGEGLEESGSPFIWVIKDRERTPATETWLSGLEGRTKGRGLVITGWAPQALILSHPAIGGFVTHCGWNSALEGVSTGVPMITWPHFADQFLNEKLLVQVLKTAVPIGVEAPITYVFDKAVALVKREDVSKAVRSVMDGGEEGEGRRQRAQELGKKARKAVDEEGGSSQENLTRLLDYVSKLCQAK >Ma06_p36530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35893538:35895160:1 gene:Ma06_g36530 transcript:Ma06_t36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASTLLLLLAILSSSSPAAGQRDGPATGTSRFREAPLFYNAPSCPAPLPPGPDSACSPDALVHVAMTLDVTYLRGSMAAVLSVLQHTACPQSIFFHFVATSAAGYLSATVAGSFPSLAFQIHPFADEPTVAGLISTSVRAALDRPLNYARSYLPRLIPQCVRRVVYLDSDLVLVDDIAGLASTPIPDGVALAAPEYCNANFTSYFTPTFWANPALSVAFEARRACYFNTGVMVMELGRWRDGGYTERIEEWMDLQKRMRIYELGSLPPFLLVFAGRIAAVEHRWNQHGLGGDNYWGLCRDLHPGPVSLLHWSGKGKPWARLDGGRPCPVDAIWAPYDLLLRTAIPIDDS >Ma05_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1334577:1336224:1 gene:Ma05_g02090 transcript:Ma05_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFIPQTTTTTVSLFLLLATTLFSLLHAAGDKGHGSLVLGLTHVRTVSPPRVAAVTTDMIEPLRGFRDGYLISLNLGTPPQVIPVYMDTGSDLTWVPCGNISFECMDCDDYRHHKLIAAFSPSYSSSSLRDLCTSPLCADVHSSDNPYDPCAVAGCSISTLVSGGCPRPCPSFSYTYGAGGLVVGSLTRDTLRVHTQSSAATREVASFCFGCVGSTFREPIGIAGFGKGALSLPSQLGFLRKGFSHCFLAFKYVDNPNFTSPLVVGSLAISSKEYFLFTPMLKSPTYPNYYYIGLEGISIGNDTMAIAPSNLRSFDPEGNGGMLIDSGTTYTHLPEPFYSLLLSKMESTIVYTRSNEYERRTGFDLCYETPCSDGSCSDSLPSITFHFLNSVKLSLPKDNCFYAMSAPRGSMVVKCFLFQIMDDGGYGPAGVFGSFQQQNMEVVYDLEKERIGFQPMDCASSAARYGLHQK >Ma09_p28390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39164618:39168467:-1 gene:Ma09_g28390 transcript:Ma09_t28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEYASSRGPGAPTPARVSLAHLGSPSPRRLSGCFEEPSRPALKKIAWVSLQGRLVGAEEATSAGAIGGGLSADEAVAWDLFSPLHRVLVVAVVAAAAYNSKRARQIEQFQRSVELRDEVLLSMQQKLDNLCEQMNSLQDQPVKCISGLSLENDQFNSEDATGIESAKEEAFNADNLIPTEQEERRMSDLSDFNWSVTSSVDFQLSALASEQEFYNLRKECEEKDAKIKELAIAVDAFRAADCKRITELEEIIRRRNLVISKLKKDKAVLEKQVVELTRLRRSSSTALDTSNLQPPVMANNILYDMSSTSPSSSDPDSPMTSKQYHSQRSVAEDNPQRHDIRMAEIISPSSVEHLIPLNKSNDGSLKQQFISPLKENQRIQRSEPASALRQRRIVHFSEDSKRTRRAAHQKANYTSSKMRWT >Ma09_p28390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39164293:39168467:-1 gene:Ma09_g28390 transcript:Ma09_t28390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEYASSRGPGAPTPARVSLAHLGSPSPRRLSGCFEEPSRPALKKIAWVSLQGRLVGAEEATSAGAIGGGLSADEAVAWDLFSPLHRVLVVAVVAAAAYNSKRARQIEQFQRSVELRDEVLLSMQQKLDNLCEQMNSLQDQPVKCISGLSLENDQFNSEDATGIESAKEEAFNADNLIPTEQEERRMSDLSDFNWSVTSSVDFQLSALASEQEFYNLRKECEEKDAKIKELAIAVDAFRAADCKRITELEEIIRRRNLVISKLKKDKAVLEKQVVELTRLRRSSSTALDTSNLQPPVMANNILYDMSSTSPSSSDPDSPMTSKQYHSQRSVAEDNPQRHDIRMAEIISPSSVEHLIPLNKSNDGSLKQQFISPLKENQRIQRSEPASALRQRRIVHFSEDSKRTRRAAHQKANYTSSKMRWT >Ma07_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16854730:16854927:-1 gene:Ma07_g17280 transcript:Ma07_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGRREEEEKGWQLRQWQLQPEEKERK >Ma06_p03790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2741139:2747335:-1 gene:Ma06_g03790 transcript:Ma06_t03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGEQVAAAAAVAVKEADKEKRRRRRQNRRPKQNSAFLPDGGCGSVDSACGRPEIWTGIMDASLISASEIAFTSLPAMHLNGDVDVAGLNSTSPPAGVVGGEISKSCPLPASSFPVDEVTVGVAAPPRNSKKYFDPHWSDQAVEEAIEKGRAFKATFRVNAYNRLEAYCTIDGLPVDVLINGVAAQNRAIEGDIVAVMLDPVAYWTKLRGLSMRSSPVASGGSDFPTETSEVIDKNDAKKEQVDANCKSYTPGNGMHPMNKGYQNHENSGFSEAVSNDIGNGSVTSDNRNSGWNSKSSTGSTRNWESEQGEAARALERIRAMISSNQSKRPTGRVLSIIRNSPRRGAVIGFLALKPWLPGEEEKSNGQLSKKNKESVFSAGLDYIQLVPTDSKFPKMIVSVKRLPDCAKERLKNGDIIETELVAAQIDEWNEENICPKAQVVHILGRGGEIEPHISAILFEHAISVANFTPESLACLPNVPWKVPVGEYKTRKDLRNICTFTIDPSSSNDLDDALSVEKVSDKIFRIGVHIADVSCFVLPDTALDAEAQVRSTSVYILQHKLPMLPPRLSEVCSLLPGVDRLAFSIIWDINDSGNIIQCWIGRSVICSCCKLSYDYVQDIIDEGFEIDQFGLSRKLHPELCGQFEFKDVIQSVRSLHEISKKQREIRFKQGALGLQNPKLVFLFDENGTPYDSFLDERKQSCSLVEEFMLLANKSVAEVISRAFPDCALLRRHPEPNLRKLQEFEAFCSKHGFELDASSSGKLHLSLSKIREKLKDDPVLFDILLSYALKPMQSATYFCSGDLIGRENEWAHYALSVPLYTHFTSPLRRYPDIIVHRTLSAVVEAEEVYVKQRQTLVTVNNGQISGDGIVGRCFSGLYFDNDVAESEQVREILLSAALKYKVPGSEVLAEVAAYCNERKQASKHAEEAGEKLYLWTLLKRREVLLSEARVLGLGPRFMTVYINNFALERRIYYDEVEGLAVEWLETSGTLVLDIPKSKPFQRRVIPGKLRVIEDVALVLNTSGLVIPEEENEDNTTLPSTSNLLPAVPAAETDATVPLCFPLVLRLLSTIPVALHAVGGEKGPVDIAARLYMCSYFK >Ma06_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2741139:2746338:-1 gene:Ma06_g03790 transcript:Ma06_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPVAYWTKLRGLSMRSSPVASGGSDFPTETSEVIDKNDAKKEQVDANCKSYTPGNGMHPMNKGYQNHENSGFSEAVSNDIGNGSVTSDNRNSGWNSKSSTGSTRNWESEQGEAARALERIRAMISSNQSKRPTGRVLSIIRNSPRRGAVIGFLALKPWLPGEEEKSNGQLSKKNKESVFSAGLDYIQLVPTDSKFPKMIVSVKRLPDCAKERLKNGDIIETELVAAQIDEWNEENICPKAQVVHILGRGGEIEPHISAILFEHAISVANFTPESLACLPNVPWKVPVGEYKTRKDLRNICTFTIDPSSSNDLDDALSVEKVSDKIFRIGVHIADVSCFVLPDTALDAEAQVRSTSVYILQHKLPMLPPRLSEVCSLLPGVDRLAFSIIWDINDSGNIIQCWIGRSVICSCCKLSYDYVQDIIDEGFEIDQFGLSRKLHPELCGQFEFKDVIQSVRSLHEISKKQREIRFKQGALGLQNPKLVFLFDENGTPYDSFLDERKQSCSLVEEFMLLANKSVAEVISRAFPDCALLRRHPEPNLRKLQEFEAFCSKHGFELDASSSGKLHLSLSKIREKLKDDPVLFDILLSYALKPMQSATYFCSGDLIGRENEWAHYALSVPLYTHFTSPLRRYPDIIVHRTLSAVVEAEEVYVKQRQTLVTVNNGQISGDGIVGRCFSGLYFDNDVAESEQVREILLSAALKYKVPGSEVLAEVAAYCNERKQASKHAEEAGEKLYLWTLLKRREVLLSEARVLGLGPRFMTVYINNFALERRIYYDEVEGLAVEWLETSGTLVLDIPKSKPFQRRVIPGKLRVIEDVALVLNTSGLVIPEEENEDNTTLPSTSNLLPAVPAAETDATVPLCFPLVLRLLSTIPVALHAVGGEKGPVDIAARLYMCSYFK >Ma03_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:630669:644567:1 gene:Ma03_g00780 transcript:Ma03_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRGDRSGDRHGDRSGDRQGDRYGDGGRRTSSRWSSDSPTHQHHRFPRGGGGGGSEGFSSGGGGAGRYHPYRVPQDSPVPPPPTSGGEGFRSGGRGGAGGGGFDPSMQMGGPRRGGFSGRGGPPTDLGDGHKFAKLFIGSVPRTASEEDIRPLFEEHGDVVEVAFIKDRKTGEQQGCCFVKYTNSEEADRAIRALHNQYTLPGGLGPIQVRYADGEREHHGAVEDKLFVASLNKQATAKEIEEIFSPYGLVEDVYIMRDSSRQSRGCGFVKFASREMALAALKALNGVYIMRGCDQPLVVRFADPKRPRPSEPRGGPAFGGPGVSPRSEAALVIRPTANLEEPRNGQMPPDAWHSMNTQSLGPPPQLCVLGPTGGPSNGSTLSLSAPLLTEQSFNPAMVSINPAAGQEISLLQKPLMPSQSLPTSLKLNQSQQTPASNTRTLNLQAPMQQLGQLQSAGLTSFNQTLPSQQLPGIGGQPSTSQSLIQQNASSVALQAPLSVQQQAMPAIAQQQFPAPNAAQQLLQQSVQQFPSQLPQMLLQQQAQTLQSSFQSSQQAIIQLQQQLQLMQQQQLSHAAKAQSAWSGSPPTSSIASSTQSAKPSSAVNASPAVPLTCNWTEHTSPEGFKYYYNSITRESRWEKPQEFALFELQQQQQQKLLLLQQQQKPALPQLPSQSDTQSHTQVQPAQQVSQTQQVQSQMRNQQTQLQLQPLSMDFNYAQPQATGSVDHSRVQQSIRVAQEWALKNKSAGS >Ma04_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15465440:15473531:1 gene:Ma04_g16380 transcript:Ma04_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G72710) UniProtKB/Swiss-Prot;Acc:Q9CAI5] MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWYGVEGDYNVLVIDLLGPSLEDLFSFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLVGLGRRVNQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRLLRFEDKPDYAYLKRLFRDLFIHEGFQFDYMFDWTILKIQQTQISGVPPRAIGPSAGPSSGLAPPIANVRQPGGAEVRANGRLVMDSSHRARVTPPAENVGSLLKQKAPIGNDPLAIRDVMFSSSTFLGQSSGSSRRAAVSGSRDLFGTEADQSHGRTAEASPGTFRKVSNAQRSPISSADHRHTSSRRNMSTIKNYESALKGMDALNFDSNERNQL >Ma04_p16380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15465440:15473531:1 gene:Ma04_g16380 transcript:Ma04_t16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G72710) UniProtKB/Swiss-Prot;Acc:Q9CAI5] MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWYGVEGDYNVLVIDLLGPSLEDLFSFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLVGLGRRVNQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPSEFASYFHYCRLLRFEDKPDYAYLKRLFRDLFIHEGFQFDYMFDWTILKIQQTQISGVPPRAIGPSAGPSSGLAPPIANVRQPGGAEVRANGRLVMDSSHRARVTPPAENVGSLLKQKAPIGNDPLAIRDVMFSSSTFLGQSSGSSRRAAVSGSRDLFGTEADQSHGRTAEASPGTFRKVSNAQRSPISSADHRHTSSRRNMSTIKNYESALKGMDALNFDSNERNQL >Ma02_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14416139:14421575:1 gene:Ma02_g03060 transcript:Ma02_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEKKVAVDGSTNGYAAAAVTVSSAGEHKQLDAGALFVLKSKGSWLHCGYHLTTSIVAPALLSLPFAFASLGWAPGVLFLVIGAAVTFYSYNLLSLVLEHHAQLGRRQLRFRDMAHDILGPRWGRFYIGPIQFSVCFGAVIGAALLGGQSMKSIYLIARPDGMMKLYEFVVIFGAFMLILAQIPSFHSLRHINLISLMLCLAYSACATAGSIHAGHSSTAPPRDYSLPSDSQDRVFGVFNAIAIVATTFGNGIIPEIQATAAPPVTGKMFKGLCLCYTIVVMTFFSVAISGYWAFGNRAQGSITANFILQDGSVLVPKWFLMMTNVFVLLQLAAVGVVYLQPTNEVLEGLFADATKDQYSARNVVPRLIFRSLSIVIATLIAAMLPFFGDLNAVIGAFGFLPLDFTVPSVLYNITFKPSRRSFIFWLNAAIAAVFSMLAVLGSISAVRQVILDAKTYKLFADV >Ma01_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21414807:21416734:1 gene:Ma01_g21570 transcript:Ma01_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEPGGGSGGSSRYLHHLLGPPQQPAAPSTHIPPEESKPSSEESPNLSADLGEGDGDQPSTSAAVGGPVRRPRGRPPGSKNKPKPPIIVTRDSPNSLHSHVLEVVGGSDVVECVTDYARRRGRGVSVLSGSGAVVNVAIRQPGALPPGSVVATLRGRFEILSLTGTVLPPPAPPGAGGLTIFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAMYERLPLEIEEEVAAAVEGQQPVVSQSSGVTVSGGEGGGGGVGAGGVPFYTLGGGNMGNYQLPGDPYGWGGGVRPPF >Ma04_p31800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32024735:32025860:1 gene:Ma04_g31800 transcript:Ma04_t31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCEKANVKRGPWSPEEDTKLKEFIEKYGIGGNWISLPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSEEEDRIICSLFTTIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGITNPSQRKPRQQKQHHQNHQQYCLPSPSPPLQGRSHTTTTTTTTDGFPFTTATSGLLEVPYDQHQIKESSAMITLGNDQTCSSSDGSCSTKAGYVGGGDHMDIDGYLYGATELEYSSEEINQFLISILGCSNGGTNSNNLYLDYPTANAGREELEDPLNY >Ma03_p31560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33743760:33748635:-1 gene:Ma03_g31560 transcript:Ma03_t31560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MDFGVAGGAGGSEEAARRVRVRFTTKLGPPLRVPSAPLAVPSNLTRMGLSEIVNLLLENASAEHETQPFDFLIDGELVRLPLEEFLLAKGISAEKVLEIEYIKAVAPRKQQDPRLHDDWVSSVDGSNPSYILTGCYDGIARLWKGGASCTHVLVGHSGAITSAHIISGKDESENRFHIATGSKDRTLRLWKFDDAEHLEHPVNIREYKILQGHTSSVQSISSDPSGDMICSGSWDSSIKLWDVKNSEVLGDTVSIKKRKLVSDTENHVESQLEGVAASTLIGHSQSVSSIVWPERKTIYSASWDHSVRQWDVQTGQETWNMACGKVLNCLDIGGESSALIAAGGSDPILRIWDPRKPGTLAPVFQFSSHSSWISACKWHCKSWFHLLSASYDGKVMLWDLRTAWPLAIIDSHKEKVLCADWWKNDSVISGGADSKLLICSGVSIQ >Ma03_p31560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33743471:33748591:-1 gene:Ma03_g31560 transcript:Ma03_t31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MDFGVAGGAGGSEEAARRVRVRFTTKLGPPLRVPSAPLAVPSNLTRMGLSEIVNLLLENASAEHETQPFDFLIDGELVRLPLEEFLLAKGISAEKVLEIEYIKAVAPRKQQDPRLHDDWVSSVDGSNPSYILTGCYDGIARLWKGGASCTHVLVGHSGAITSAHIISGKDESENRFHIATGSKDRTLRLWKFDDAEHLEHPVNIREYKILQGHTSSVQSISSDPSGDMICSGSWDSSIKLWDVKNSEVLGDTVSIKKRKLVSDTENHVESQLEGVAASTLIGHSQSVSSIVWPERKTIYSASWDHSVRQWDVQTGQETWNMACGKVLNCLDIGGESSALIAAGGSDPILRIWDPRKPGTLAPVFQFSSHSSWISACKWHCKSWFHLLSASYDGKVMLWDLRTAWPLAIIDSHKEKVLCADWWKNDSVISGGADSKLLICSGVSIQ >Ma07_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4209596:4216701:-1 gene:Ma07_g05800 transcript:Ma07_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSLRHHPRIASPLRPSLPRPRPRRLPFCVACVRPLASPAPGQGEAARGGSALVWFKNDLRVDDHPGLVAAVAKHETVVPLYVFDHRILSDLSDEMRELLLFSVKELKELLKGQGSDLLLGFGSTEDVILELVNKVKPSHIYAEEEVEYNLRKLATTVESSLSAVPFSWGNPEFIFWRTPFYDFKNLKELSASYHEFTKLKLSISLPMPAPAIPVLSMELKTSALPSLVDVKNYLDGAPCQLDDSWISLKKASPMSILKRNRNQNIMKTDPAERGEYYDEKGKETSSTFNNVRSTKISNSMFVSQEGSLVKGGTNTVLNALAAYLRYLEGTARDDWQELHDKLRRAERRKGASFNALFGSALYFGTISRRRVYYEAIKYEKERNGGFLSPFGYSAPTVAAAVDAVCSMEWYSVLALKSQICNEGVYPVWIWRWKGHFVQYTAVGNEGPAALLVHGFGAFLEHFRGNISTIADGGNRVWAISLLGFGKSEKPNVIYTELLWAELLRDFIVDVVREPVHLVGNSLGGYFVATVAGLWPSLVKSLVLINTAGSIVPTVSSIPSVDVKLIQERKISGLAWLQAQLLLLFLRSTAGKFIEKCYPTNVERVDGWLLGEILRASFDPGAAIVMESIVSFDLSIPLNYLLGSFGGEVLIIQGMKDPLTKSKAFLSMVREHCNKVTVSELDAGHCPHDEVPQKVNSTLREWITRVESSLYILERA >Ma06_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7690597:7691354:-1 gene:Ma06_g10970 transcript:Ma06_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSGGPAMTTRLLHVVTALVSTCSKRMSRAARKLSRRRSSITHKKKVTQLRVDGFGDADSDGEREEYTRGDVGVWRRTILMGEKCQPLNFSGVIYYDADGRRLSEVPTPRSPLRSPLLSFAQKSPSTAD >Ma06_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7396994:7459524:1 gene:Ma06_g10690 transcript:Ma06_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/Threonine-kinase ATM-like protein [Source:Projected from Arabidopsis thaliana (AT3G48190) UniProtKB/TrEMBL;Acc:A0A1I9LQ79] MATSRDVQEIVSKLSSDKAKAREEGVKLLSSWLEGERAAGFCKLLGHNTAKIKADSIPHAETWPFLITLIMKCISLEVSASKKRLPRLIFVKTLRSSIQCAEDIKLSGRNFLLLSVVKVLFSHIWDVIKDIPSFQSEYSSILRHLLTVREYRYQMRKRVYSGLVVLYINKLGTVIDMNTSHTSSKEDVFRSIVILHVLLENPPGDYPDNIREDVVKGFIMIFSKIRNEGKILRKLVECLNTYLLRDGPNLGNQAMEIHFAVQDIMFRCWFTSHDQGLKSSLINFARIQLKLIRYLAEGTQIIEQLLDVIVKELDQGINVGSEVLWNDISREDKLGTLGSIQQCLMELAATVFYQACKINSKSTCDVKRLKMEHAAVRLKDGLIKGSWIWNGAFAFFIHNYGLRLDKALLIYWFEGAGESLRRILSGSGTLHSHDALLWLLRALQEFSAVFPFFLHEEPSNSTSFTSNEVLLVKNGWQDIWSCLMRGIPSFSSFSSIIDAALTLLGNMIKRDQASVAVMPLDVWNLRIFKRMPSESTLYFIACYFSRAGANGDLRDILYLRKDLLRATMELFDFKQASFWNEQMVSLIPEAIFALCAGCATLAPLSGGLSMFTRPNKDCNKLFLVKDELEHPEEVLECSVESLAEIESESSTEEKTNWCSCVRLPKQIRRPLIHEFEEHISDFLTSKGEFGETVLSFFFSLCSLLCNSIYCSLLARLSEDKFLILLKVIDFLTEILDHIVSVIDDKCNEMSCDGSVNLSFILDSAGTNASSLRSLLSSPLFKLKDGDDCTDCVPLGRITQAIEKLLVAFAKLFDVLSKFPTDPVSDAEIQQLPISSVDSLQDSMAEFNVRIVDMELDADESFEDMDSVAMSGGRKLITSPLFWKLHLVSMISSFSSVLPFRTWEVLFDLMGRENDSKVCESILFNLCKYFPGPAGRSSALVSMIGKLTENDGNLKFFYVNILTCIRVLLGSLKSISSTGNNTKAIQCMDERMTDENLSTLCNTLNRVAEFGLPDWYARIELINCICCSVLLEPQSAQVMVGRLLVMLQDPDYRVRLFLARKIGLLFHTWDGHNELFHDICLNFGFKMVRGSKDSRIKAEDIIAAGPQPALAVETALITLAHLAFASEEIEIEAVFMICVIAAMDPSHRELVYALFDNLSRRLQYASRCEYLEQLMGSILASWVACEVSLVALVEVQDLFIGKSDIKCFMQYCCPWLLPPLILNGDNAGLDWVSKVSLQPLSVLVREYFVPICALCIAVHCSMRPNKEIGGMALNNLILQFANISELERDELIKKHMVSIVSFLLSLSSCSVDPELPFFTKDTVVLAVQTVVDGFLEMDDHPITVGIIDKINIFRADRIFMFLLEMHYKISAAVHSRHICHRLTAVEVLTHIIGPRVTNPSSSYYIINIVGQLIGSQPLQEQCCVILSTLLKAFKAKPTKDVYNVLGEELQFLVSKLVACCIPSESQNVKAVPPPPAVISLLHELTVDADPLLLGYIRELEPFPEVDCLKKIRLFHNDLCKTYSARDHFLKFAKRAYYLPKGLLLWSLRNLHKRLLAGEIIEKRPNDMEKLCERTCWNDNPDVVSAVWVLVDLCSSNEASTMSGLLADFISRAGIGDPCRVVFHLPNGSSQNHTFLSSNLVCLKANRSYSNMEDSDEFLVYLLRLLKKFLADDSVETVDITSRTLRGILSTEKGYTALLSLDPYEKSLIAVHSKGVNLELVERVLLDLGKNCHEMVSLEDSSLWRTDNKTYKMWVCSLVHSFIHHCDDVILRLCQNLVLLKDEIAELLFPNVLVNLVRSVHSDLLLCDIISAKVEENIFCESNGLVKSIQIMLDALNKLRSVYVSEIAGSLSTPVKHGRPSSGGKTRGTSEISKYRSPNVSLSMSSWKKVYWLSIDYLMVAKAAIRCGSYFTAVMYVEHWCEEHFNGLQLGCPDFSQLELLPSHIDLLMAAYTQINEPDSIYGIIQSNQLTSQIITFEHEGNWSKALEYYDLLVRSASIGPGKHSVDDTLSSSHATEGRSCWKSYKGLMRSLQKTGCTHVLDVYCQGLTISNGCLQHDTEFADMQYEAAWRSGNWDFSFVSGDASPPYSTQFASSGQFNENLHSCLRALYEGNASEFHGKLIDSKKELVLSIASASRESTEYIHSTIVKLQILDHLGVAWDIRWMSSHQVSTFYPKITDIACAPITPEKDQLGWLNAEWSVILRHTQLHLNLFEPFISFRRVLLHILDCTECAIEHLLESASTFRKGSRFSLAAASLHELKQLCCQMKQKPISQIYFHGRLEEAKLLKAQGRHDMAINLARYILQNHSMGEEMSNVYRLVGKWLAETRSSNSRIILEQYLKHSVELTELSKDTDEKYISKQCQTYYHLAHYTDSLFQSYEERLASSEWQAALRLREHKTRELDALIKRLKRSSKGEKTDYSAKIQELQKQLTMDREEAEKLHDDRDNFLGLALKGYQRCLLIGGKYDLKVVFRLVSLWFNFYSRQNVVEEMISTVKEVQSYKFIPLVYQIASRLGSSKDGQGSTNFQIALVSLIRKMAIDHPYHTIFQLLALANGDRVKDKQRSRNSFIVDMDKKLAAENLLSELSTYHGALIGQMKQLVEIYIKLAELETRKEETNKRVPLPREIRSLQQLELVPVVTATVPVDPSCQYREGSFPHFRSLADSIMVMNGINAPKVVECLGSDGQIYRQLAKSGNDDLRQDAVMEQFFGLVNNFLQNHRDTWKRRLRIRTYKVVPFTPSAGVLEWVDRTIPLGEYLLGSARNGGAHGRYGVGDWSFLRCRECMTNEKDKRKAFLKICDNFRPVMHYFFLEKFLQPADWFQSRLSYTRSVAASSMVGYIVGLGDRHSMNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDGIDACLESSQDACEGNKDAARATLRVKEKLDGYEAGEIRSVQGQVQQLIQDAIDMDRLCHMFPGWAAWL >Ma03_p32680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34381522:34385419:1 gene:Ma03_g32680 transcript:Ma03_t32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTCRSLEELSLHGILTLVLRNLSNLRALVLYGNKFSGVISKEIGGLTMLELLDLRNNMLNGTIPKEIVEILSLKHLLLGHNKFQGSTPLIENPKMHFDLMHDQNLSCGMTNDLGRVNRKVKHWLNIICSVGFVMTFNISFFSKVNFLCIFYVTMEKIDNVLWLVLSKSCGTFAHLPAKDTDIHKYYIEHLVYSFVCNSLSYSCHKTHGMQFRIVPSGTGGTYRMITSNGCFTSYPRNMHVFGLPQQGCGYIGPWKTGLSGQLQKAFVTGVPKLKGSELEAACEDFSNIVISHPEFTVYNIIK >Ma03_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27542515:27547608:1 gene:Ma03_g22800 transcript:Ma03_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNERPLKRAKRRVTADLCDFLTFPDDGAGLDGPFRANIRAFLARHGRPAAPPPPPPAILPHPSAAGGDGPRLLTWRVAFRVGGGCAGYCDGQAAEVALDVVEEDVPRSKSIYCDQCRVVGWSDHPVCGKRYHFIIRNDKNSMSTSGVTCTRCGTLVSLSNLRCYSCNCEMTTDDTFEDRAYLQLEDSTNLLHGIVHANGFGHLLRVNGREGGSKYLTGCDIMSFWDRLCQMLRVRKVSVMDISKKHGMDYRLLHAVIAGHPWYGNWGYKFGAGSFALTAESYHKAVDTLSKVPLSIFFSRGGYPRTPLQNMIDLYRSLSDQQPVTVRDLFRCVLHQLHEAPERAKPESPAGKKPVAGVLCALTRDGLQPAEELIVKVLRAVGGSRWVTWRALRGAACYAIEPRELLDCCLRGVVGKTVGDGMVVTARYNAETKAIEYRLEAADNQQSSAQCLPRPSADHLIHDLKFLYDALLNPASMQPYKPSALRESALSSAVKLLDCKQFIRHYDESVSYLSPPNPSSATALWCHVKLVDHPEDYTAPPPELLILSAGATIADLKLQVTKTFQETYLVFQRFQAEQLLDHEEASDVTPVKSLFGSYGVARLRGRFLGIDQSLGLFRKERGVDNWIVDCVCGAKDDDGERMMACDACGVWQHTRCAGVDDFEEVPAKFVCKKCVGIRKYRGRGVGRPKNDRPNGRCKDEIGPSLTSVGRLTTVG >Ma04_p39800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36820391:36824827:1 gene:Ma04_g39800 transcript:Ma04_t39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G33820) TAIR;Acc:AT2G33820] MSPAVEAAKEYAAGFAAGVATVITGHPFDTVKVKLQAHNTKTQVKEYKNALHCTSRILITEGVRGLYKGASSSFIGMACESSLLFGIYSQTKQKFQGEIQNNRPQLQVIIPSAAFAGALISFILCPTELVKCRMQVQGKDAAMFVRYNGPLECALKTIQQEGVKGIFRGGLSTFLRESIGNAVFFSTYELSRHHLHKQLSFSPSASSHHSKVLVDTGIGIVTGGLAGTAFWLAVLPLDVAKTVIQTSPDPNYSRNPLQTLYAIYKRVGLSGCYAGLGPTLARAFPANAVAIVTWELTAKFLGIRRD >Ma04_p39800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36820391:36824829:1 gene:Ma04_g39800 transcript:Ma04_t39800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G33820) TAIR;Acc:AT2G33820] MSPAVEAAKEYAAGFAAGVATVITGHPFDTVKVKLQAHNTKTQVKEYKNALHCTSRILITEGGEIQNNRPQLQVIIPSAAFAGALISFILCPTELVKCRMQVQGKDAAMFVRYNGPLECALKTIQQEGVKGIFRGGLSTFLRESIGNAVFFSTYELSRHHLHKQLSFSPSASSHHSKVLVDTGIGIVTGGLAGTAFWLAVLPLDVAKTVIQTSPDPNYSRNPLQTLYAIYKRVGLSGCYAGLGPTLARAFPANAVAIVTWELTAKFLGIRRD >Ma06_p29110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30583352:30586146:-1 gene:Ma06_g29110 transcript:Ma06_t29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSAPPPESLSSNSTASTSPPPSEATSPPPSTSPPSPPASAPPPQTASPVPSPPSRVLTSPPPPPPASPPPASSPPVSSPSDSRSPPPPPPTPVVVPPPAPVVVPPSPSPPKVAPSPGNQESRPPPPPPVSATAPSSPTPPSVPAPAPSSTITTPTPPSVPTPVTPIHKNTTPSHSPSPTVPRHISPSKNSSSSPSDASSNSQGNHETVTLVGITVAGVLVALVAIFFVVLRKKNKRTHGLTGQSRRPPPEIALPNGRYHGPAGAAAGRAPHPDANHAASPSGESSYGSYGRQVRAAEGIDPSGSKSWFTYEELMDITNGFSRENHIGEGGFGSVYKGVLQDGREVAVKQLKIGSGQGDREFKAEVEIISRVHHRHLVSLVGYCIAEQHRLLVYEFVSNKTLDHHLHGEGLPVLDWGKRMRIAVGSARGLAYLHEDCHPRIIHRDIKSANILLDESFEAQVADFGLAKLANDAHTHVSTRVMGTFGYLAPEYASSGKLTDRSDVYSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLIHALETGDYEELVDPKLENNFLKADMLRVIEAAAACVRHSAPKRPRMVQVLRALDSEGSLSDLSNGVKFGQSTVYNSGQYSADIQKLRRMAFDSGGFSIDYDHSGEHEHEHGSNSTYSSAEH >Ma10_p28020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35044553:35050669:-1 gene:Ma10_g28020 transcript:Ma10_t28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKADVGLAAVLLLSCLLLLPFARGFYLPGVAPRDFHKDDELQVKVNKLSSTKTQLPYDYYFLDYCKPSKIMNNAENLGEVLRGDRIENSVYAFKMRRDESCKVVCRTKLSSEAAKNFKEKIDDEYHVNMILDNLPVAVPRQRRDGSQALSYEHGFRVGYKSKDDKYYISNHLSFKVMYHKDPESEDARIVGFEVIPSSMKHEYGDWDEKNPKVTTCSADIKITPGSNTPQEVAADTYVVFSYDVTFQPSEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMLRTLYRDIANYNQLETQEEAQEETGWKLVHGDVFRPPINSGLLCVYVGTGVQFFGMTLVTMIFALLGFLSPSNRGGLMSAMVLLWVFMGLFAGYSSARLYKMFKGSEWKRITLKTAFIFPGIVFAIFFVLNALIWEEKSSGAIPFGTMFALVLLWFGISVPLVFVGSYIGYKRPALEDPVKTNKIPRQIPEQAWYMQPAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYSTFYFFTKLEITKVVSGILYFGYMLIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >Ma06_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10965471:10974840:-1 gene:Ma06_g16210 transcript:Ma06_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDHGAKKDVEAAGTKVDEDRTENEGQVLHKDLYISNRCRGDGIERSSCSVDGSCDGGMPEEAENVESPLIHGEGLNNNVAVEPKTQISPYFRQRTAVVGAEGNPNGNKYVPIDVVNGLHPSQSILKLEELERAAESTISTVVVLKTLFYILVWYTFSTCLTLYNKTLLGDKLGKFPAPLLMNTFHFALQAILSKIVVCIQSRKGDVGIKMTWKDYFIKVVPTALGTALDINLSNASLVFISVTFATMCKSASPVFLLLFAFAFRLETPSVKLLGIILIISIGVLLTVAKETEFEFWGFVFVMFAAVMSGFRWSMTQILLQKEAYGLKNPITLMSYVTPTMVVATLVLSLIMDPWHDFDTNTYFDSPWHVARSCLLMLIGGALAFFMVLTEYILVSATSAVTVSIAGVVKEAVTIVVAVFYFHDQFTLLKGIGLLIIITGVSLFNWYKYEKLKTGQPSGNEEAISSSPSGAVKYVILDDMELLDIEN >Ma09_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2342300:2346866:1 gene:Ma09_g03540 transcript:Ma09_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMERLHRIFSGAGGMGHPPPDSPQLDSAEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAIAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILRPFDTHSKTNEQTVQEMLDLAIKYNKAVQEEDELPPEKLAIVNVGRQDAKKHLEEHVSNLMSSNIIQTLGTMLDTVVF >Ma04_p06760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4924367:4930861:-1 gene:Ma04_g06760 transcript:Ma04_t06760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQSFRFPVAHNAVSLDIKGNKTEIIICKYDDKFLVIVTQIGCMGTILHARKEESVLTDPTYSVSIIFGKRDEPWLIACGRQLIEHISCSGSSMHLVLSLGLKDHSPETLKDVTLAVIENRLW >Ma04_p06760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4924367:4930861:-1 gene:Ma04_g06760 transcript:Ma04_t06760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQSFRFPVAHNAVSLDIKGNKTEIIICKYDDKFLVIVTQIGCMGTILHARKEESVLTDPTYSVSIIFGKRDEPWLIACGRQLIEHISCSGSSMHLVLSLGLKDHSPETLKDVTLAVIENRLW >Ma04_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4924367:4930861:-1 gene:Ma04_g06760 transcript:Ma04_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQSFRFPVAHNAVSLDIKGNKTEIIICKYDDKFLVIVTQIGCMGTILHARKEESVLTDPTYSVSIIFGKRDEPWLIACGRQLIEHISCSGSSMHLVLSLGLKDHSPETLKDVTLAVIENRLW >Ma10_p10300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24296993:24303275:1 gene:Ma10_g10300 transcript:Ma10_t10300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCCSVAAVRTHHLFTFSLSISSTRRLLSKSPAAAAASYSSNAILTLAVDPHRPLSFLYGPSLRGGRVPEPQSSPPDSEADDDGGGGSSFDRASFSRVYDVAALRVPAEECSALERRLRGHLLNWPRVRNVARVPGDDVDPEIRRMLRDAEGDGGSRLNSLAARADGRPDDEKMALSPVLYREKLVKEFNFRGFLKFRNLAKMSRPKKKKLKSKDGVDGVTKSIGKNDFAVIEVIEGDEEEGEDLSGLLGDDFKGLRWRGPTRLLLLDQRHAKKPVAELPEAVKLLEALLPDGMTIPTGFETVGHIAHLNLRDEHQSYKKLIAQVVLDKNKPKIQTVVNKTDAIQNDYRTMQLEVLAGNHSLVTTVVENGIRFQVDLGTVYWNSKLATERQRLIDSFASSDIVCDVFSGVGPIAISAAKKVKYVFANDLNPNAVEYLERNIVLNRLERKVEVFNMDGRRFIIALFANQHPYSITQVVMNLPNDAVEFLDAFRGIVRKKPRPGCSPPKIHVYGFSKAQNPEYDFHERINMALCEEVVDLEMHKVRLVAPGKWMLCASFVLPQVAASEAQTVSTNR >Ma10_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24296993:24303275:1 gene:Ma10_g10300 transcript:Ma10_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCCSVAAVRTHHLFTFSLSISSTRRLLSKSPAAAAASYSSNAILTLAVDPHRPLSFLYGPSLRGGRVPEPQSSPPDSEADDDGGGGSSFDRASFSRVYDVAALRVPAEECSALERRLRGHLLNWPRVRNVARVPGDDVDPEIRRMLRDAEGDGGSRLNSLAARADGRPDDEKMALSPVLYREKLVKEFNFRGFLKFRNLAKMSRPKKKKLKSKDGVDGVTKSIGKNDFAVIEVIEGDEEEGEDLSGLLGDDFKGLRWRGPTRLLLLDQRHAKKPVAELPEAVKAALNYDPHQSKPLAFELVQCQLTLSYDYWQMSELLEALLPDGMTIPTGFETVGHIAHLNLRDEHQSYKKLIAQVVLDKNKPKIQTVVNKTDAIQNDYRTMQLEVLAGNHSLVTTVVENGIRFQVDLGTVYWNSKLATERQRLIDSFASSDIVCDVFSGVGPIAISAAKKVKYVFANDLNPNAVEYLERNIVLNRLERKVEVFNMDGRRFIIALFANQHPYSITQVVMNLPNDAVEFLDAFRGIVRKKPRPGCSPPKIHVYGFSKAQNPEYDFHERINMALCEEVVDLEMHKVRLVAPGKWMLCASFVLPQVAASEAQTVSTNR >Ma09_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12984029:12984454:-1 gene:Ma09_g17400 transcript:Ma09_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEHRENPPPCARGCGFVGSPATRGLCSWCYRDICLMEQLEKMRPPLAAAESDAGSRKVAAAQSSSYRDEEPPKVADRCGRCKKKVRLCARFECRCGSTFCAAHRLPETHECAFDYKAHGRAAIAKANPVVVKDKLRRI >Ma07_p18210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24771617:24787429:1 gene:Ma07_g18210 transcript:Ma07_t18210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMECGVVIPWVLRLLLVFRPLSRVLANLEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNENSVIRVDLGNAALSGQLVPQLGQLKNLQYLEIYSNNISGTIPVELGNLTNLVSLDLYLNNFTGVIPETLGNLAQLRFLRLNNNSLSGQIPQSLTSINSLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPLLCGSGTTKPCPGSPPFSPPPPFNPPAPITSQGNGVSSTGAIAGGVAAGAALLFAAPAIGFAWWRRRKPQEHFFDVPAEEDPEVNLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMVNGSVASCLRERPPSQPPLDWLTRRRIALGAARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLELLVDPDLQNDYIEVEVESLIQVALLCTQGSPVDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEFEMAPHRNSEWIIDSTDNLHAVELSGPR >Ma07_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24771617:24787428:1 gene:Ma07_g18210 transcript:Ma07_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMECGVVIPWVLRLLLVFRPLSRVLANLEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNENSVIRVDLGNAALSGQLVPQLGQLKNLQYLEIYSNNISGTIPVELGNLTNLVSLDLYLNNFTGVIPETLGNLAQLRFLRLNNNSLSGQIPQSLTSINSLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPLLCGSGTTKPCPGSPPFSPPPPFNPPAPITSQAGNGVSSTGAIAGGVAAGAALLFAAPAIGFAWWRRRKPQEHFFDVPAEEDPEVNLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMVNGSVASCLRERPPSQPPLDWLTRRRIALGAARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLELLVDPDLQNDYIEVEVESLIQVALLCTQGSPVDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEFEMAPHRNSEWIIDSTDNLHAVELSGPR >Ma07_p18210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24771617:24787428:1 gene:Ma07_g18210 transcript:Ma07_t18210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMECGVVIPWVLRLLLVFRPLSRVLANLEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNENSVIRVDLGNAALSGQLVPQLGQLKNLQYLRLNNNSLSGQIPQSLTSINSLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPLLCGSGTTKPCPGSPPFSPPPPFNPPAPITSQAGNGVSSTGAIAGGVAAGAALLFAAPAIGFAWWRRRKPQEHFFDVPAEEDPEVNLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMVNGSVASCLRERPPSQPPLDWLTRRRIALGAARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLELLVDPDLQNDYIEVEVESLIQVALLCTQGSPVDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEFEMAPHRNSEWIIDSTDNLHAVELSGPR >Ma02_p04670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16002695:16013750:-1 gene:Ma02_g04670 transcript:Ma02_t04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPAVHPVEAPPLADAAENPLGVRMKDVQGMPGTPGGLALRLVQFAFAVGALGVMTSTSDFPSVTAFCSLVAAAILQSLWSISLAFLDVYALLVRRCLRNRRALCWFTIGDGITATLTFASACASAGITVKDEKKWLLITVYLGVASKFFFFFCC >Ma02_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16002701:16013750:-1 gene:Ma02_g04670 transcript:Ma02_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPAVHPVEAPPLADAAENPLGVRMKDVQGMPGTPGGLALRLVQFAFAVGALGVMTSTSDFPSVTAFCSLVAAAILQSLWSISLAFLDVYALLVRRCLRNRRALCWFTIGDGITATLTFASACASAGITVLISNDLNLCSENHCTSFETAAAMAFIGWFAVSPSFLLNLWSLACR >Ma07_p27180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33657518:33658361:1 gene:Ma07_g27180 transcript:Ma07_t27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRHRRRRLSAAIAFFVLAVALGSTLVGIAQEVGDTGLRPSERENWVARRRLAGPGSSPPTCWARCGRCFPCRPVHVAIQPGRSVPLEYYPEAWRCKCGSKLFMP >Ma07_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24381785:24386041:-1 gene:Ma07_g18110 transcript:Ma07_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGSAGAAPKQDDTQPHPVKEQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTVLVPQMGGGNDEKARVIQTFLFVAGLNTLLQTLFGTRLPAVIGGSYTFVVPTISIILNDRYNDIIDPHKRFLRTMRGTQGALIVASVVQIIIGFSGLWRLVTRFLSPLSAAPLVALAGFGLYQLGFPGVAKCIEIGLPQLIVLVIVSQYVPHAIHLKKNIFDRFAVIFSVAIVWLYAFILTVGGAYRHAAPKTQQHCRTDRSGLVAASPWIRIPYPFQWGAPTFEAGEAFAMMVASFVALVESTGTFIAVSRYASATQVPPSILSRGVGWQGIGILLDGIFGTANGSTASVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGSIIAALYCLFFAYVGAAGLGLLQFSNLNSFRTKFILGFSVFLGLSIPQYFKEYTSVAGYGPVHTGARWFNDIVNVIFSSEALVAGFVAYFLDNTMQLHESSTRKDRGYHFWDKFRTFKKDPRSEEFYSLPFKLNRFFTPD >Ma07_p18110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24381785:24385872:-1 gene:Ma07_g18110 transcript:Ma07_t18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGSAGAAPKQDDTQPHPVKEQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTVLVPQMGGGNDEKARVIQTFLFVAGLNTLLQTLFGTRLPAVIGGSYTFVVPTISIILNDRYNDIIDPHKRFLRTMRGTQGALIVASVVQIIIGFSGLWRLVTRFLSPLSAAPLVALAGFGLYQLGFPGVAKCIEIGLPQLIVLVIVSQYVPHAIHLKKNIFDRFAVIFSVAIVWLYAFILTVGGAYRHAAPKTQQHCRTDRSGLVAASPWIRIPYPFQWGAPTFEAGEAFAMMVASFVALVESTGTFIAVSRYASATQVPPSILSRGVGWQGIGILLDGIFGTANGSTASVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGSIIAALYCLFFAYVGAAGLGLLQFSNLNSFRTKFILGFSVFLGLSIPQYFKEYTSVAGYGPVHTGARWFNDIVNVIFSSEALVAGFVAYFLDNTMQLHESSTRKDRGYHFWDKFRTFKKDPRSEEFYSLPFKLNRFFTPD >Ma09_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:148597:155925:-1 gene:Ma09_g00160 transcript:Ma09_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCVLVTGGAGYVGSHTVLQLLLEGFTAVVVDNLDNSSEFAVQRVAQLAGEFGKNLTFHRIDIRDIEALEKVFSTTKFDAVIHFAGLKAVGESVQKPLLYYKNNIIGTIALLEVMAAYGCKKLVFSSSATVYGWPKDLPCTEESPLCAMNPYGRTKLMIEEICHDIHRADSDWKIMLLRYFNPVGAHSSGHIGEDPRGIPNNLMPLIQQVSVGRRPTLAVFGNDYSTKDGTGVRDYIHVVDLADGHIAALHKFFEDPNIGCEVYNLGTGNGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEILYACTAKAEKELNWKAKYSIEEMCRDQWNWASKNPWGYGLPDPAN >Ma06_p30760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31996220:31997332:1 gene:Ma06_g30760 transcript:Ma06_t30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAVAPPLSRTKRFVIAAVSAINDAACRSDGTVNRRLVSLLDARVAASAKPFRGVRTADVPVDLSRDLWFRLFVPSSVSDGERLPVIVFFHGGGFAFLSPDSYLFDDVCRRICRTVHAVVVSVNYRLAPEHRCPAQYEDGVHVLRFLEDGGLLYADPSAADLADLSSCFLVGDSAGGNIVHHVARRWAADADGGWKRLRLAGMVLIQPYFGGEERTEAELRLVGAPLVSVERTDWLWRAFLPEGADRDHEASNVFGPRAVEELEEALPAAMVVVGGFDPLQDWQRRYYKGLRARGKSARLVEYPEAFHSFYAFPDLKQSTVLMEEIKSFVDSHRPRKEEDRERSGGGDKHSNIEEW >Ma06_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1810594:1813254:1 gene:Ma06_g02330 transcript:Ma06_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGEDGDEGDEY >Ma06_p36420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35836308:35844931:-1 gene:Ma06_g36420 transcript:Ma06_t36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLGSSSAIEDPFADFSLLSLLPRTLGGALRADPPPLDAHETEVIKALLDSVVGSKEVLEQAHAINNSCQNVVNSSQDGNKTIPADVKNRLVSRRPALGRKRAQFSLKPISSNPVPDVDFNSQIDHLDDPEEFFFAFEQLENAGKELKKLRGEAVTEPAKNQQVTGRKRRLGILGKTVSYKHHFSATVDTAEAFSVSQEVLDQRNATPYKASIKIKPTANLHPTSFDQPCNLHSTDTNNIHLLANEREDSVADENNANNILEKLLFSFRDLDEGEGTAFLRESLQIKSIEIGKIHLPELHSIQRNDFRTLENRVAKEKSEAHQLLPTSATLSRSPLADTSYLQRHMSLIDQQGNPYMIPPSADAPYSTSQTPARSPLAAITNFQWRISVDEPLEDPYMIPPSDDAPYSGDSCPTSCWQKKSLSPPANISYPNRNGLLVRDALDSVRSDDGILNLVDKLQISDAKADKTIDDKIATEEDVIEHVHCSPESTIEHRDHLMTDDLINFDGFNEQMEEGNRVQLDPLYERPDHDVEDPASVCSNQCGRDGPDSKRESTVDDHIISESDTIVDKCDADDNLQNQVRVGAPDVMVVDAEASRVLPVNEQSKDVHTLAGGNMEAQSCHTTSGLSQNIEKKQKALPSRKDKQKLVSRRQSLADAGMIWNSGVRRSTRIKSRPLEYWRGERFLYGRIHDSLATVIGVKRSSPGNNGKLKVTSFVSEEYADLVAQAAQL >Ma06_p36420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35836308:35844931:-1 gene:Ma06_g36420 transcript:Ma06_t36420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLGSSSAIEDPFADFSLLSLLPRTLGGALRADPPPLDAHETEVIKALLDSVVGSKEVLEQAHAINNSCQNVVNSSQDGNKTIPADVKNRLVSRRPALGRKRAQFSLKPISSNPVPDVDFNSQIDHLDDPEEFFFAFEQLENAGKELKKLRGEAVTEPAKNQQVTGRKRRLGILGKTVSYKHHFSATVDTAEAFSVSQEVLDQRNATPYKASIKIKPTANLHPTSFDQPCNLHSTDTNNIHLLANEREDSVADENNANNILEKLLFSFRDLDEGEGTAFLRESLQIKSIEIGKIHLPELHSIQRNDFRTLENRVAKEKSEAHQLLPTSATLSRSPLADTSYLQRHMSLIDQQGNPYMIPPSADAPYSTSQTPARSPLAAITNFQWRISVDEPLEDPYMIPPSDDAPYSGDSCPTSCWQKKSLSPPANISYPNRNGLLVRDALDSVRSDDGILNLVDKLQISDAKADKTIDDKIATEEDVIEHVHCSPESTIEHRDHLMTDDLINFDGFNEQMEEGNRVQLDPLYERPDHDVEDPASVCSNQCGRDGPDSKRESTVDDHIISESDTIVDKCDADDNLQNQVNVGAPDVLVVDAEAPRAYPDVDDPTSGCSNQCSRDGPDSKHESTAEGHIISESDTIVDKCDADDNLQNQVNVGAPDVLVVDAEAPRVLANSTAYPDVDDPTFGWSNQISRYGSDNKLESTTECLIVSENDTIVDKCGADDNLQNQVRVGAPDVMVVDAEASRVLPVNEQSKDVHTLAGGNMEAQSCHTTSGLSQNIEKKQKALPSRKDKQKLVSRRQSLADAGMIWNSGVRRSTRIKSRPLEYWRGERFLYGRIHDSLATVIGVKRSSPGNNGKLKVTSFVSEEYADLVAQAAQL >Ma06_p36420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35836308:35844931:-1 gene:Ma06_g36420 transcript:Ma06_t36420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLGSSSAIEDPFADFSLLSLLPRTLGGALRADPPPLDAHETEVIKALLDSVVGSKEVLEQAHAINNSCQNVVNSSQDGNKTIPADVKNRLVSRRPALGRKRAQFSLKPISSNPVPDVDFNSQIDHLDDPEEFFFAFEQLENAGKELKKLRGEAVTEPAKNQQVTGRKRRLGILGKTVSYKHHFSATVDTAEAFSVSQEVLDQRNATPYKASIKIKPTANLHPTSFDQPCNLHSTDTNNIHLLANEREDSVADENNANNILEKLLFSFRDLDEGEGTAFLRESLQIKSIEIGKIHLPELHSIQRNDFRTLENRVAKEKSEAHQLLPTSATLSRSPLADTSYLQRHMSLIDQQGNPYMIPPSADAPYSTSQTPARSPLAAITNFQWRISVDEPLEDPYMIPPSDDAPYSGDSCPTSCWQKKSLSPPANISYPNRNGLLVRDALDSVRSDDGILNLVDKLQISDAKADKTIDDKIATEEDVIEHVHCSPESTIEHRDHLMTDDLINFDGFNEQMEEGNRVQLDPLYERPDHDVEDPASVCSNQCGRDGPDSKRESTVDDHIISESDTIVDKCDADDNLQNQVNVGAPDVLVVDAEAPRVLPHSTAYPDVDDPTSGCSNQCSRDGPDSKHESTAEGHIISESDTIVDKCDADDNLQNQVNVGAPDVLVVDAEAPRVLANSTAYPDVDDPTFGWSNQISRYGSDNKLESTTECLIVSENDTIVDKCGADDNLQNQVRVGAPDVMVVDAEASRVLPVNEQSKDVHTLAGGNMEAQSCHTTSGLSQNIEKKQKALPSRKDKQKLVSRRQSLADAGMIWNSGVRRSTRIKSRPLEYWRGERFLYGRIHDSLATVIGVKRSSPGNNGKLKVTSFVSEEYADLVAQAAQL >Ma03_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2438705:2444405:-1 gene:Ma03_g03660 transcript:Ma03_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRILCKFFIHGACLKGEYCEFSHDWQDQPNNICTFYQKGVCSYGSRCRYDHVKVSHHQTSGPSPSNSHPHVTSDSHQATRPSVVSLTREYNPKLSTSIDVTSMSPLHTFCCTSAWTKKIEADASLNNESGPCPPDGRPADLPICSFAAAGVCPRGEMCPHIHGNLCSICGKHCLHPFRSNEREDHIKMCHKNNMHLEALKCSQEIECSVCLERVLSKPTAAERKFGVLSECDHPFCISCIRNWRSNSPASGMDVNSALRACPICRQLSYFVIPSVIWYSTKEEKQAIIDSYKTKLKSIDCKYFDFGNGTCPFGTSCFYKAF >Ma03_p03660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2436567:2444405:-1 gene:Ma03_g03660 transcript:Ma03_t03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRILCKFFIHGACLKGEYCEFSHDWQDQPNNICTFYQKGVCSYGSRCRYDHVKVSHHQTSGPSPSNSHPHVTSDSHQATRPSVVSLTREYNPKLSTSIDVTSMSPLHTFCCTSAWTKKIEADASLNNESGPCPPDGRPADLPICSFAAAGVCPRGEMCPHIHGNLCSICGKHCLHPFRSNEREDHIKMCHKNNMHLEALKCSQEIECSVCLERVLSKPTAAERKFGVLSECDHPFCISCIRNWRSNSPASGMDVNSALRACPICRQLSYFVIPSVIWYSTKEEKQAIIDSYKTKLKSIDCKYFDFGNGTCPFGTSCFYKHAYRDGRLEEVRLRHLDAEDGNTVIAKDIRLSDFLSGLYL >Ma01_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4216147:4216984:-1 gene:Ma01_g05940 transcript:Ma01_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIKQSKLSIDQLCPDQRILYCCFYSTDHREQQSLLPQEEGYLTWKSKCRKLKSIPDLFQGESCKKFT >Ma06_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6433851:6435146:-1 gene:Ma06_g09130 transcript:Ma06_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLALLVVCSLVLALTVAGQRPPKYRKLVRCKSPQLYQNCKGFMYCPARCPRSCYVDCETCKPMCVCNAPGAVCGDPRFIGGDGITFYFHGRKNQDFCLFSDSGLHINAHFIGKRDPTMTRDFTWVQAIALLLDDHRLYVGAQKTAAWDDAVDRLMITFDGERVQIPTKEGAKWQPSSARAVSIVRTSTANAVTVEVEGKLKITLNAVPITEEESRVHSYGVTKDDCIAHLELGFKFYSLSSDVHGVLGQTYREDYVSRVDVTKKMPVMGGADKFFVSDIFSAECAVSRFDREAGGIAMAVEHEDVKCSSGMGGRGIACKK >Ma03_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9973647:9984382:1 gene:Ma03_g12970 transcript:Ma03_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHYVKKYQRYEKRHSNIAAHISPCFRVKEGDHVIIGQCRPLAKTVSFNVLKVIPAGSTSGGGKKAFAAV >Ma05_p30860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41071298:41071652:1 gene:Ma05_g30860 transcript:Ma05_t30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSRRMVVLALCLLLLVDVSTGTDSGVDCDLEPKACYEDCRKNGHWIITCTSCYVFCPDIGGGYLGGPGMASGLLANKMVDSSSAGTTVEQP >Ma11_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22896184:22898853:1 gene:Ma11_g17600 transcript:Ma11_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSNLHQDVLNLIFSELSLHDLLCCTVVCGAWLRTIRDLRRYCTKLRHQSPWLAFSGSSDDIGSATDDPSAAHFFSLSEQKVYTIPLPQPPIRYCLFVGSSHGWLITIDEWFKMRLLNPINGAQINIPFILTLDHIVPFRDPWGHIRGAINNILHNQHPVEEIPKVNFKAMLSSDPSQGDYIVTLIHCPYGGISFARSNDNKWATMSLPGLYDDAIFYRDQLYATFDGRVDIWDDLNQEWKTVVPQPELVDIYPFHISFWSLVHTPSCDLLHVWGKIVPMVEHNDDIETPLMIVYRLDIHNGTSVQMMCMFCSEPALSDMFISMLVLAVPYLAIRHLLSHLEDV >Ma10_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28820640:28822293:-1 gene:Ma10_g17410 transcript:Ma10_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMCIHPCVGHRSSLTLSKLLYVLTLLILPHHHHHHQSPSSLHPAAAFIGYALSLFHSIPSPSAFPHNLLMRAHTLLSSPLPALLVFARMRRAAVNPDSHAFPFALQACATFSSPALGRAFHCQVLKFGFAADYYVRNNLISAYAPFHSMLEAQGLFDECPSSRHIVTYNTLMDGYVKVADNAFARKLFDEMPARDATSWGTLLAGYSKVARFEEAIELFDGMLAIGTAPDDVALVSALSCCAQLGKLDRGEAIHEYIKKNRAELNVYLSTGLVDMYAKCGCISVATESVESTPWKNLFTWNAIIVGLAMHGNGEMSQKHFNRMRAVGVRPDGVTFLGVLVACSRAGLVDMGRSLFDEMESVYGVERELKHSDAWQICSDGMIKEAMEMIEGMLMNGDAYVWGGVLAGCRIHGGNVEIAEVAARHLLERNPGESGIYSILADIYATARRWEDVARIRKLMGDKGLKRKNVGGSFIEVDHETETTTAVVAL >Ma01_p17730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12992419:12993909:-1 gene:Ma01_g17730 transcript:Ma01_t17730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGEAIKNKVSVLKVSIHCEGCKKKVYKILSKIKGVDEVEIDARQNMVTVKAPLDPQALIAKLKKSGKHAELWLDKKPSHQFFHHGKNDVSLKDESKESSKSTAPSPAAAKRGDADKPVADNRSVSETKETKAETADNTSKKVAKAGEKTAEISKPPAETTVDSAEKAPASKEATNNGGHSGGGGEKRAEKDSNVRLGIDDSDVRGESNSHPAFPPQPAYIMSYNTAQPSISQSYYVSPMQPASQGYMYSYPPPPEYFYSNLDANSSAPVQHPDPYNSMFSDENPNSCSIM >Ma01_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12992419:12993817:-1 gene:Ma01_g17730 transcript:Ma01_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGEAIKNKVSVSVLKVSIHCEGCKKKVYKILSKIKGVDEVEIDARQNMVTVKAPLDPQALIAKLKKSGKHAELWLDKKPSHQFFHHGKNDVSLKDESKESSKSTAPSPAAAKRGDADKPVADNRSVSETKETKAETADNTSKKVAKAGEKTAEISKPPAETTVDSAEKAPASKEATNNGGHSGGGGEKRAEKDSNVRLGIDDSDVRGESNSHPAFPPQPAYIMSYNTAQPSISQSYYVSPMQPASQGYMYSYPPPPEYFYSNLDANSSAPVQHPDPYNSMFSDENPNSCSIM >Ma05_p29490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40148845:40153158:-1 gene:Ma05_g29490 transcript:Ma05_t29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRRPPLALALAVLLAVSSIASAEVYFEERFGDGWENRWVKSDWKKDENMAGDWNHTSGKWTGDSEDKGIQTAEDFRFYAISAEFPEFSNKEKTVVLQFSVKHEQKLDCGGGYIKLLSGEIDQKKFGGDTPYSIMFGPDICGYSTKKVHAIFSRDGKNHLIKKDVSCETDQLTHVYTFIIRPDATYSILVDNNEKQTGSLYSDWDILPPKQIKDPDAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTSPTIPNPEYKGPWKQKKIKNPNYKGKWKAPMIDNPDFKDDPYIYVYPNLRYVGIELWQVKSGSLFDNILVCDDPEYAKKFAEETWAKLKDAEKAAFDEAEKKKLEEETKNDESDGDEEDADDAEDADSKSDSDTEEDKETSHDEL >Ma09_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12795430:12796312:1 gene:Ma09_g17270 transcript:Ma09_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARERLDEVGKKIKREADVAHDMAPRQLLGPVGTVNKTTPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHRVFGASNVSKMLMEVPESQRADAANSLVYEANIRLRDPVYGCLGVISTLQQQVQALEAELAAVTAQILKCKYRQTGAAILPTPHAAALLISNGVISGAAPRAPASSSSSMYAAAASSSTDYSSITNDNVSLFG >Ma00_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15756733:15759219:-1 gene:Ma00_g02140 transcript:Ma00_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLLLSFPFSPQTIISSSCHPTFIGIHNLSYGLIKKGWSRLGCRSIGGSGTEGAEWLDGGSPKGNDNGSVVGGLDGSRSAFSTLNAEITQETVDFFVSDAEGDPDQPSKGFCSIDQAINALREGKFVIAVDDDDDDGENEGDLIMAATLANTKAIAFMMKHGSGIVSVGMKEEDLERLMIPMMSPITEIKDLSAAAATITVDARTTSSGVSAADRAETILALASPDSKPGDFRRPGHVFPLKYRNGGVLKRAGHTEASVDLVTFAGLRPASILSTIIDPEDGSMAGLPTLRMLAKEYDLPVISISDLIRYRRKREKLVEKIAVSRLPTKWGLFQAYCYQSKLDGTEHIAVVKGDIGDGQNVLVRVHSECLTGDILGSARCDCGNQLDLALRLIEQVGRGVIVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVEANLELGLAVDAREYGIGAQILRDIGVRTMRFMTNNPAKFMGLKGYGLAVVGRVPVMSPITEENKRYLETKRTKMGHIYGSDLPGSSPEFLSSEADQANKENGS >Ma03_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1973371:1984902:-1 gene:Ma03_g02930 transcript:Ma03_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSENPVPIRSSNIKLVKNLKKADDAWEIHSNVLYGSSDASLFSSSLPGLRHDKLNFANALGGVQSTVDASFKSKKPSKDVEGKVSVDDLNLQGTGLLLPDDEEALLSGIMNDFDLSGLPSQVDELEEYDLFGSLGGMELDSDSTESITVGIAKASISDGFLGNGTNQHSLHNGVGPISGEHPYGEHPSRTLFVRNINSNVEDSELLSLFEQYGAIRSLYTACKHRGFVMISYYDIRSARTAMRALQNKPLRRRKLDIHFSIPKDNPTEKDMNQGTLVIFNLDSSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRSLNMSDIAGKRIKLEPSRPGGARRNLMQQLTHELEQDESGAYWHHGGSPVTNSPPGPWAHYSANDNSPLQVLSKSPSGLASSPIGSNHLTTSGSVLPSLISSAKIAPIGKDQNRVNHADHVISSSSLLHGGYQHSHSFPDHSCGMMTTAPGNLTSFGPTTTKSSGVGTLTGPQFLWGNSTSYMEKPESFTRQSGATGISFMSNGQGQGKGFLYSSYHGSFMGSSHNQHPHHVGSAPSVVPFESQYEYFPESPEISLMNKFAFGNIRSNGNDGTSVMNMTPLFTVNHGILSGSMPANNATNMRMMPAPRFGPTLFGNAPYPGLSSVGIDSLVDQYHSRQVENHWNLTYNKQQYQLDLDKIIKGEDTRTTIMIKNIPNKYTSKMLLAAIDESHKGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYKAFNGKKWEKFNSEKVASLAYARIQGRSALVTHFQNSSLMNEDKRCRPILFYFEGAEAGDQEHVPSSSMHGQEVLVVNSPESLQGSSPNFEPEKSTLPGTSLEA >Ma06_p26800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28706262:28709707:-1 gene:Ma06_g26800 transcript:Ma06_t26800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVQLKGHSTLLLNNGRILIVKKDYPLDDCIWFLEVDTPFVKEQKKLLGTEVVAWSKGVIGSAPKPIVISGPSGVGKGTLINKLMKEFPSKYGFSVSHTTRAPREKELDGVHYHFTERSLMEKDIRDGKFLEFASVHGNLYGTSIEAVEAVTDSGKRCILDIDVQGARSVRASSLDAIFIFICPPSFEELEERLRARATETEEQVQKRLRNARAELDEGRSPGLFDHILVNDDLETCYETLKKLLASDENANTLNQPTTKNLKVHVSHMESRADQKILLHCGTNGTGVKVPSLFMLDISSLKGGAPGRTRGLNVYTVESLRDGVNRIKQL >Ma06_p26800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28706262:28709707:-1 gene:Ma06_g26800 transcript:Ma06_t26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEHLLNSLDGHLPVACDSESKDYQTSTIVDDKTYVVEGSEDGGKSWINVKIFDNLTRSWLIPTVLGMKPVQLKGHSTLLLNNGRILIVKKDYPLDDCIWFLEVDTPFVKEQKKLLGTEVVAWSKGVIGSAPKPIVISGPSGVGKGTLINKLMKEFPSKYGFSVSHTTRAPREKELDGVHYHFTERSLMEKDIRDGKFLEFASVHGNLYGTSIEAVEAVTDSGKRCILDIDVQGARSVRASSLDAIFIFICPPSFEELEERLRARATETEEQVQKRLRNARAELDEGRSPGLFDHILVNDDLETCYETLKKLLASDENANTLNQPTTKNLKVHVSHMESRADQKILLHCGTNGTGVKVPSLFMLDISSLKGGAPGRTRGLNVYTVESLRDGVNRIKQL >Ma06_p26800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28706262:28709707:-1 gene:Ma06_g26800 transcript:Ma06_t26800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEHLLNSLDGHLPVACDSESKDYQTSTIVDDKTYVVEGSEDGGKSWINVKIFDNLTRSWLIPTVLGMKPVQLKGHSTLLLNNGRILIVKKDYPLDDCIWFLEVDTPFVKEQKKLLGTEVVAWSKGVIGSAPKPIVISGPSGVGKGTLINKLMKEFPSKYGFSVSHTTRAPREKELDGVHYHFTERSLMEKDIRDGKFLEFASVHGNLYGTSIEAVEAVTDSGKRCILDIDVQGARSVRASSLDAIFIFICPPSFEELEERLRARATETEEQVQKRLRNARAELDEGRSPGLFDHILVNDDLETCYETLKKLLASDENANTLNQPTTKNLKVHVSHMESRADQKILLHCGTNGTGVKVPSLFMLDISSLKGGAPGRTRGLNVYTVESLRDGVNRIKQL >Ma05_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3579811:3580749:-1 gene:Ma05_g04730 transcript:Ma05_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDLVLVPSSLLLHILYHCWLWRKVRSQPLRTVIGINSAGRRHWVLAIIKDNDKKNILAVQSIRNAIMASTLMATTSILLCSGLAAVISSTYSIKKPLDDALFGAHGEFMVSLKYVTLLLIFLFAFLCYSLSIRFVNQVNFLINTPCCGHEGSPVTPQYVCDLLEKGFFLNTVGNRLFYAALPLLLWIFGPVLVPLSSLAMVPILYNLDTVCEKGKRGFGVEAEETDDANMGV >Ma11_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:72189:73164:1 gene:Ma11_g00170 transcript:Ma11_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNSSSDLEAGCAPPHNFMEAAGVGSPPPKARFFCGGLDDDDEPHHFLDSCNLCNKPLPRNHDIFMYRGDMAFCSEECRQEQIEMDEGKDKNQKLSLKASSTKDSNKGGSATSPPKSHKVHVRTGTVVAAG >Ma08_p32080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42780657:42781763:1 gene:Ma08_g32080 transcript:Ma08_t32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKFDSASDEQPKPATGSHFHRRRPLHDRLGGGKVADVLLWRNRHLSAGIVAGATFVWFLFEVLEYHFLPLMCHISIVAMLVLFIWSNLEALVHRDARRMPELVLSEEAIRGLAFFLHAKLSRLTSILLGIADGKDLKLFLSAIASLWVVSVIGSYCSSLTLAYLGLLCVLALPALYEQYEDEVDHLAMRGSEDLKRFYHKLDSRILGKIPRGPVKAKKSK >Ma05_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4643304:4649313:1 gene:Ma05_g06250 transcript:Ma05_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKVMSLSRWAPPGPARRKRKCKVTVKVVGLEGLPPLPGEGRVAAVEVRWRQPHKVGALAYLMGRKKQARSVSSRRPVVEDSWAVRWDYDDEANRFENVCRLCDPESVADPVHDVSFSILYGCGDGGGKLNKLETIGTTMVNLAEWAKEYESKRKGERAEQKEFKKQLCITLKKEGLTSHGMLHVTASFTEVRPFGVMEKASPCEDKMMVERQGSQQMKSDDSGSFDTEDMVLDRLILLREEEDTSEVCNHHNHTASSSNSWRSSPESESSSKSWFQWSKRRKVTNMVRDLEGETTKPSSFHEDNLSENGKKDSAESDEDDDPVGNWRNKEFISRDKQTKLKVQTFFASIDQRDPSAGGESACTAIAAVIASALHDNELNTPTRSEFDALIREGSSEWQKLCNNLTYIESFPDKHFDLETILEAKIRPISVLPDKSFIGFFRPENFKSLHGAMSFDDIWHEITSDVGGDSKVYIVGWNDHFFMLKLEVNAYYVMDTLGERLYEGCKKAYMLRFDDSTEMSRWHENKAIEDREELICRGKECCREFINRFLAAIPLQEELELEEKGIGINTALHQRLQIEFQLTEASAD >Ma09_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11781385:11786301:-1 gene:Ma09_g16400 transcript:Ma09_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGGSSGLDLRWNYLPRDVALDIVSLLEASDVCSLGICSRFWRDICSSDSIWIALYGRRWPSEDRRLVASPSQECKAFYMNEHKKMASAVSAVIKYVEESTQSGSLEIRFYMKAIGDLTSMKLGFRDVQLFLFRREQNVLLNLIGCHYCISFLEIPTVDLMEALEICQILERQVCVRWFMLCRRFYGFPMPNEHHSHIVSLGDLAMGNEDEVFDLLNYGITNQGMRIRISSVGSNAT >Ma10_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1491322:1498687:-1 gene:Ma10_g00250 transcript:Ma10_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPTNSAMEAFVARRSPAFLLSSHSSLRSPSSVIRRCRVSFSSFPSRSLPRSRSPQPFHHRTLPPHPFSYGNRNLCMALQSRTNPVAGTPTKDDELFLRTMISGMEKVYLSRNPTAKAILELVRKDDGDHICYDHFAFRTFGVDGYGIDSMAKIFLYFGYKPREELRFPAKKLKALWFAPPDTGYSENGTGVHGPLPRIFISELLVDQLSYQSQEVIRKYIKGHGYEHAALASTLGCLTWEKPSYSDYQLLSRESEYAAWTLVNGYALNHVTISTHRLKSHIRKIDSFNQYIEANGFKLNSEGGILKVSPDSLLLQSSTVADTISFDFADGVTESAPCSYIEFAERLLLPEYKNIPDEEVKEFHRRDGFEVGNADKIFESTSRDQVTRKSA >Ma10_p00250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1492118:1498687:-1 gene:Ma10_g00250 transcript:Ma10_t00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPTNSAMEAFVARRSPAFLLSSHSSLRSPSSVIRRCRVSFSSFPSRSLPRSRSPQPFHHRTLPPHPFSYGNRNLCMALQSRTNPVAGTPTKDDELFLRTMISGMEKVYLSRNPTAKAILELVRKDDGDHICYDHFAFRTFGVDGYGIDSMAKIFLYFGYKPREELRFPAKKLKALWFAPPDTGYSENGTGVHGPLPRIFISELLVDQLSYQSQEVIRKYIKGHGYEHAALASTLGCLTWEKPSYSDYQLLSRESEYAAWTLVNGYALNHVTISTHRLKSHIRKIDSFNQYIEANGFKLNSEGGILKVSPDSLLLQSSTVADTISFDFADGVTESAPCSYIEFAERLLLPEYKNIPDEEIILEVPSSLYCHTRDFVEYLDSQYCGSQTFSKTNSNWKKKDMLCSIYIWLKFDRPSAIRCCDCSDHLGQNSNDSL >Ma07_p28650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34630168:34634714:1 gene:Ma07_g28650 transcript:Ma07_t28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATQPSQLTFAYLSPPSKTLGGTQAGPSSPASMSQGFHQGIFSFPEGFDRSANREQQQNHHVAQQSRRDKLRVQGFDAAGHPLVPIDEHGEEASIYGSTAVGAGNMLSDMFSFPAAGLTAVDLHANQISGGFHLPPRPAATAGGFTGDWYGPTRQGNQQQHSVTALNADSAAAMQLFLMNPLLQPPPPQQQRPNSPSPPPPAHQQAFQSFGDAPFGGRVAEGQGLSLSLSSSLQQLEMAKADELRFREGVLYFNNQQQQHSTLHLQGQVPGHGQQSHMGYGSMGLVNVLRNSKYAKPGQELLEEFCSVGKGQLKGSKAGRHRGGSSNPNCNPTGGGGSGGASSSAAASSSSKDVPPLAPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNSFDSVMGFGAATPYTSLAQKAMSRHFRCLKDAIAAQLKQTCELLGEKESATGSGITKGDTPRLRLLDQTLRQQRAFNQMGMMEQEAWRPQRGLPDRSVHILRGWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYLQESKEEEVERERSESDHQRAQSPTQQQQQGQRTETNAPSESDASPSTSSVSRRHHRLAAASENPPPGLVAAHQPSGGDDDSVLVGVNAFAALNAAHVGDMYRHGTAGDVSLTLGLRHAGGSTSEKNRFSLRNFGGC >Ma03_p26930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30470309:30474742:1 gene:Ma03_g26930 transcript:Ma03_t26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMHLSRFFPGLVVSSGVRSTVGARPSLAIRCGGAEGDALEANLDFDKKAFRHNLTRSEKYNRRGFGHKKETLELMNQQYTSDVIKTLKENNNEYTWGDVTVKLAEAFGFCWGVERAVQIGYEARKQFPEERIWITNEIIHNPMVNKRLKEMEVRDIPVDDGKKQFDVVDKGDIVILPAFGAAVDEMFTLSQKKVQLVDATCPWVSKVWNTVEKHKKGDYTSIIHGKYSHEETITTASFAGKYIVVKNMTEALYVCDYIFGGELDGSSSTKQAFLQKFKYAVSAGFDPDVDLVRVGIANQTTMLKGETEEIGKLVEKTMMRKYGVENINEHFVSFNTICDATQERQDAMYKLVEEKLDLILVVGGWNSSNTSHLQEIAELKGIPSYWIDSEQRIGPGNRISYKLNHGELVEKENWLPSGPITIGVTSGASTPDKAVEETLVKIFDIKHEEPLQLV >Ma07_p25430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32485056:32487726:1 gene:Ma07_g25430 transcript:Ma07_t25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFPAAATTQPLLEDDFSFYISFFQDDSAAPPASPPTSISDMPKGRRRREAGASASGDERRKKRKSIANLLTSIAAIDAQDESERRESDEDSRRELSLLEENHHRKAEVMLDYYSRLEDNFSALDDDADTLRSKRVRVAASAVAAAAAAASASVENDAGPATSAAGSGHQQRRLWVKDRSRAWWDQCNSPDFPEAEFRRAFRMGRATFDLICDELGSAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCTAIKTLLMPKFVQWPDGAAAAEAKSHFEAASGIPNVIGSMYTTHIPIIAPKISVAAYFNRRHTERNQKTSYSITVQGVVNPDGVFTDVCIGWPGSMPDDKVLEQSALHQRAAAGMLKNTWIVGSSGYPLMDWVLVPYTHQNLTWTQHAFNEKIGEVQRIAREAFARLKGRWTCLQKRTEVKLQDLPVVIGACCVLHNICELRKEEMEPDLKYELVDDEMVPENSIRSVSASQARDNIAHNLLHHGLAGTAFF >Ma04_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7469492:7470130:-1 gene:Ma04_g10490 transcript:Ma04_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIVLQGRFAGRKAVIVRAFDDGTRERPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKAFLKLVNYSHIMPTRYTLDVDLKDVATLDALQSRDKKVTACKETKARLEERFKTGKNRWFFTKLRF >Ma04_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1132903:1196812:1 gene:Ma04_g01290 transcript:Ma04_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOR [Source:Projected from Arabidopsis thaliana (AT1G50030) UniProtKB/TrEMBL;Acc:A0A178WN52] MASGAASIRYGGAASVGGTADALNRILADLCTRGTPKDGAAVSLKKHVEEAARDLGGEAFSRCMDHFYDKIANLLESNEVADNLGALRAINELIDVALGESASKVSKLSGYMRTLFEVKRDPEVLVLASEVLGHLVRAGGAMTADEVERQIKNALDWLHGERIEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLKYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLKICMDHILAVLRIPAERASGFIALGEMAGALDGELIPYLPTITLHLRDAIAPRRGRPSLEALACVGSFAKAMGPAMEPHVRGLLDSMFSAGLSTSLVVALEQITVSIPSLLPTIQELLLDCISVALSKTSYASAKPVVAGIRTNTINNTQQLSDASGSVVQLALRTLAHFDFKGHELLEFARESVIVYLEDDDGNTRKDAAICCCRLVANSLSAKSAAHFSSSRFSRIGGAKRRRLVEEIMEELLTAAVSDADVSVRKSVFLSLHEDGSFDVFLAQADSLSSIFIALNDEDFDVRELAISLAGRLSEKNPAYVLPALRRRLIQLLTYLDQSMDSKNKEDSARLLGCLIRSCERLILPYIAPIHKTLVARLSEGTGSNASSGVVIGVLATVGELAKVGGFTMRQYLPELMPLIVEALLDGASANKREVAVATLGQVVQSTGYVISPYNEYPQLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHIHKCNQHRLPGSHGEVNRAASEAGQHIVSMEELPTEIWPTFVTPEDYYSQIAISSLMRILRDPSLSSYHQKVVGSILFIFKSMGLGCVPYLPKVLPDLFHAIRTCEDCGLKEFITWKLGTLVSIVRQHIRKYLPELFSLISELWASSFALPGSNRPVQGSPVLHLVEQLCLALNDEFRTYLSIILPCCIQVLNDAERCNDFSYVTDILHTVEVFGGTLDERMHLLLPSLIRLFKVEASVDVRRRAINTVTKLIPRVQVSGHVSSLVHHLILVLDGNNDELRRDAADALCCLAYALGEDFTKYIRMIDKLLSKHHLRHRDFDEIKRRLKRREPPILDSLSVQKLTQNVPAEVISDPLNDIESDPYEEGNELHRQPRNHQVNDLRLRTAGEASQRSTTEDWAEWMRHFSIELLRESPMPALRTCARLAQLQPSVGRELFAAGFASCWAQMNESSQEQLVRNLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAACSKKMGANPVTVVESLIHINNQLHQHEAAVGILTYSQQYLDVQLKESWYEKLQRWDDALKAYTLKSSQASSPIQNLDATLGRMRCLAALARWEELSALCREQWTAAEPAARLEMAPMAASAAWNMGEWDHMSEYVSKLDDGDESRLRMLGNTTASGDGSSNGAFFKAVLLVRREKYDEARMFVEKARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNAVADGRRELIRNMWNERIQGTKRNVEVWQVLLAVRELVLPPSEDIETWLKFASLCRKSGRISQARSTLIKLLQYDPESSPENWLYHGHPQVILAYLKYQWSLGDDFKRKEAFSHLQELTGQLALATNGHSGAPVSSGNMPNSGVPLLARAYLRLGTWKRALSPGLDDDSIQEILVSLKNATHCANDSAKAWHTWALFNTAVMSHYTLRGRPDVAAKYVVAAVTGYFYSIACASTAKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFLHVKIEMWLAVLPQIIARIHSNNRIVRELIQSLLVRIGKGHPQALMYPLLVACKSISTLRRRAALDVVDKIRQHNGVLVDQAQLVSKELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLAVLEPLHAKLEEGAETIIETAFIQAYGRELQEARDCCLKYKNTGKDAELTQAWDLYYHVFRRIDKQLPSLTTLDLQSVSPELLKCHDLELAVPGTYRADAPLVTIATFAPQLIVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHHLIREYRDARKIPLNQEHRLMLAFAPDYDHLPLIAKVEVFEHALQNTEGNDLAKVLWLKSRTSEVWLDRRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMGVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSNYATTYVHPVVNSEESAPNKELPQPRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSSGSSVSGAGSSIQHAPETSNLISGDAREVDHGLSVKYQVDKLIKQATSHENLCQNYVGWCPFW >Ma03_p33180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34624721:34625674:-1 gene:Ma03_g33180 transcript:Ma03_t33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPRASFGLVAIMVLALAILMPAVQAQAPAPSPTSDGTSIDQGIAYLLMLVALVLTYLIHPLDASSPYKLFF >Ma06_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11851409:11852643:-1 gene:Ma06_g17440 transcript:Ma06_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEQALGFQCLAGVKEHYEEDEGGDDTTHESGQQQKLCVRGHWRPAEDARLKELVSQYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRSAFSEEEEERLLAAHRLYGNKWALIARLFPGRTDNAVKNHWHVIMARKHREQSNAYRRRKASTLPSLHPPSTQALPRRMEVIASINACSGESTITSIRDESASTCTDLSLNSFTSRALPHLLNISTSPTRRLHPYAIYNGLHESVLPAKNGCYGKLSVAPMELLPGVDQADYPYSTSEASASDTVAFHMSNAWPHGGTAHGREKIRIPFIDFLGVGAT >Ma06_p26490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28329333:28330373:-1 gene:Ma06_g26490 transcript:Ma06_t26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAVDAAANRDETPPPSPSHLAESHAKNNRSRSHHSSSSPSPSSSVAPEKDADDRHRHLFSKDEIYAAFCLVMLSSGGFGGERGFEHDVVLPTSEQPLQSYDPAQEQQTQRSPLKKPQTLPLGEQQTQRSPLNEPLTLMLSLKGQQTLEKPPLEEQQTQAKTSPLNVNSYICSECGKGFPSFQALGGHKTRHRKKALATLKLEEAGEDAAFSVSTNGAASAVAAGDGKPHECKVCHKSFSTGQALGGHMRRHYDGVVGGGRSTASSGVTMAAVSSSAAAGSGNDRWFDLNQLPSPPQFPKRKEAEEEVTSHLASVPKKPRLSTAGIEPPPPPPPPPPPTLHSFF >Ma01_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1804820:1805476:1 gene:Ma01_g02720 transcript:Ma01_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGDDGLGRINIGDKGSWDQQEAAFIINKAHSNPSFKINITRIAVGNKSTHMVFDAPVDSGTSFTALEDPIYKYIAKSFNAQAQVKRYKTDPDSTFKYCYELSLNQTSILLPEINLTTHGGSVFPVSDPIIIFHGDAIL >Ma10_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18663660:18664264:-1 gene:Ma10_g06340 transcript:Ma10_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSPERYARQRDEECGLADAAAAALVDEGEITCEDDAFDIPAKNAPVERLHRWRFPSWLDVARCNVCCFDGRRLGFVCLDRLTYKDREGFPCGIRVS >Ma04_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1075481:1075651:-1 gene:Ma04_g01210 transcript:Ma04_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSAIYWGAYLLGHCLVIAIVRVYDNLLGLHAWEGGIKLAVVVEGCTCKCAQSLG >Ma05_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35178850:35182852:1 gene:Ma05_g23160 transcript:Ma05_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHRVAEAPLPTAERRCSDEPRNKCETDAGAQASASACFDCNICLDFAVEPVVTLCGHLYCWPCIYRWLQQADGAAPQQCPVCKAALHHDALVPLYGGGRHGAKKPRPDLELPRRPPPAHPPSPGANEEQRYPETRPHSRRHHQHPNHGWEYTSGATRVIHSTAGGLLGGLVMAVLPWVFRNQEWPGIYYSSPYHMGGDVGSGRLRRQEMELKRSLHQIWLFLVCCAVVCLLLF >Ma05_p23160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35180953:35182852:1 gene:Ma05_g23160 transcript:Ma05_t23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHRVAEAPLPTAERRCSDEPRNKCETDAGAQASASACFDCNICLDFAVEPVVTLCGHLYCWPCIYRWLQQADGAAPQQCPVCKAALHHDALVPLYGGGRHGAKKPRPDLELPRRPPPAHPPSPGANEEQRYPETRPHSRRHHQHPNHGWEYTSGATRVIHSTAGGLLGGLVMAVLPWVFRNQEWPGIYYSSPYHMGGDVGSGRLRRQEMELKRSLHQIWLFLVCCAVVCLLLF >Ma05_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7018069:7018975:-1 gene:Ma05_g09730 transcript:Ma05_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKDRRSIMAGIECDDKSTNPRFKLFGFLVSDNDELLAPTAEATGDAAAGGGAADGRRYECQYCCREFANSQALGGHQNAHKKERQQLRRAQQLHRASLHRSPLAAANVNYHRRAASPAFAPPRSSWVWYSLPSPPASHSSLAARAILPSSVPPPPPYYSTSAAYGLDGDGDLRWCSESSRPINGTRLDGSTVAEGATEDVDLHLSLAPTGS >Ma10_p19610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30116084:30117770:1 gene:Ma10_g19610 transcript:Ma10_t19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRADPPFSASVLLPDLSPPPSTSKLSARRSLHGDASRSSSGRPTPSSSTGGRTGGRSAGSQYEFQDRCPRSILDMLVRDQRSLPIPAVSLLWTETNCSVVQQNFDGIISHGVYFFLLHSSGVADLRFGEKMGSEQGGTLHMKTWSW >Ma10_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30116084:30117759:1 gene:Ma10_g19610 transcript:Ma10_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRADPPFSASVLLPDLSPPPSTSKLSARRSLHGDASRSSSGRPTPSSSTGGRTGGRSAGSQYEFQDRCPRSILDMLVRDQRSLPIPETNCSVVQQNFDGIISHGVYFFLLHSSGVADLRFGEKMGSEQGGTLHMKTWSW >Ma05_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1069844:1075565:-1 gene:Ma05_g01750 transcript:Ma05_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGKHDIQMQGVKCQTHFLDKMHSRKISSGHKRSKSDSEKRNNEDILDSSAKPVHHAKPVNGKPTGDGEIHDKQSPGAKVQISLKQEILQLEKRLQDQLVMRCDLEKALGYGSSVVCSSKESSMPKPTKELIREIAILELEVMHLEQYLLSLYRKAFEQQTATLSPPANGNKTKKVLGSEPESLHESAKLKISSKKGSSRIQSSQAEVPQKWTTDSVNRDCEVKCQGKLLGSDVHRSHSSLSHRAVCSARISPSEESLARALDSFHSQPLYLHEEEQNANSGVISLAEYLGTSITDHVPESPNKLSEDMVRCMGAIYCKLADPPLVYHGLSSSPASSFSSMSALSPQYLGDMWSPGYKRESNLDSRLINPFRVEGLKEFSGPYNVMVEVPLICRDRRRLRDVEDILHNYKLILQRLETVDPRRLKNDEKLAFWINIHNAIIMHAYIEYGIPEGNVKKTSLLIKAMCSVGGCSTNAAMIQGYILGCRTHCSGQWLRTLLYPRLKQKARDEWQAYAIEQPDPLLYFALCSGSHSDPAVRIYNSDRLFHQLESAKEEYIRATVGIWEEQKILLPKLVESYAKDTKLSSQSLVDMVQRYLPETLRMAMQRCQQGRSKKIIEWVPHNFSFRYLLSRELAFPQIN >Ma09_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26031022:26033560:1 gene:Ma09_g19730 transcript:Ma09_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFSTSPPASFHDACLNRPSHPPRSQSEQRSRAGPADIATHSLGRRETRRKCVNGSKADTDAIDGSSSSSLPVLELNLIGSLASANPEPLEEEPRVFSCNYCRRKFYSSQALGGHQNAHKRERNLAKRGGAAVAFGDHAGASGAGYRFPVNLANLPRQGLYAGRPIDIQVHSMIHKPYTGAPTSAAGTSLIYGRHAWSQPPMADRQPAIGRLLTEEFYGTGRAQVAAKFDEAAAAVGGKPRVSGGGGGEELPKLDLSLKL >Ma11_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25026478:25034199:-1 gene:Ma11_g20610 transcript:Ma11_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRKPTSKPSEIPPEVRSVEAKPPHPDPLAPPKFGLIIPVAAAFFLSFFYLVFVKYQIEPDLRRSIAINAAMSFGAFVLAVRMIPVAARYVLRRNLYGFDINKKGTPQGTIKVPESLGIVIGIVYLVIAILFQHFNFTSDSNWLVEYNAALASICFMILLGFVDDVLDVPWRAKLVLPSFAALPLLMAYAGHTTIIIPKPLIQYVGAAVLDLGWIYKLYMGMLAVFCTNSINIHAGLNGLEAGQTVVISAAVLIHNVMQIGASTDPEYQQAHAFSIYLVLPLLTTSLALLSYNWYPSSVFVGDTYTYFAGMALAVVGILGHYSETLLLFFLPQVLNFLYSCPQLFKIIPCPRHRLPRFDPQTGLLTGTKDGTLVNLFLRLFGRCTEQMLCIRLLIFQALSCLLCFWLRHVLAGWYK >Ma11_p20610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25026450:25034199:-1 gene:Ma11_g20610 transcript:Ma11_t20610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRKPTSKPSEIPPEVRSVEAKPPHPDPLAPPKFGLIIPVAAAFFLSFFYLVFVKYQIEPDLRRSIAINAAMSFGAFVLAVRMIPVAARYVLRRNLYGFDINKKGTPQGTIKVPESLGIVIGIVYLVIAILFQHFNFTSDSNWLVEYNAALASICFMILLGFVDDVLDVPWRAKLVLPSFAALPLLMAYAGHTTIIIPKPLIQYVGAAVLDLGWIYKLYMGMLAVFCTNSINIHAGLNGLEAGQTVVISAAVLIHNVMQIGASTDPEYQQAHAFSIYLVLPLLTTSLALLSYNWYPSSVFVGDTYTYFAGMALAVVGILGHYSETLLLFFLPQVLNFLYSCPQLFKIIPCPRHRLPRFDPQTGLLTGTKDGTLVNLFLRLFGRCTEQMLCIRLLIFQALSCLLCFWLRHVLAGWYK >Ma04_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6311908:6319088:1 gene:Ma04_g08850 transcript:Ma04_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAYIDRDGVGGEGPSTAKGKKHKGKHDKPKPWDEDPNIDRWKIEKFDPSWNEGGMLEVSSFSTLFPQYREKYLQEVWPMVKSALKEHGVSCELNLVEGSMTVSTTRKTRDPFVIIKARDLIKLLSRSVPAPQAIKILNDEMQCDIIKIGNLIRNKERFVKRRERLLGPNLSTLKAIEILTGCYVLVQGNTVSAMGSFKGLKQVRRIVEDCIQNQLHPVYHIKTLLMKRELAKNPALANENWDRFLPKFKKKNVKQRKVKAKEKKPYTPFPPPQQPSKIDLQLESGEYFLSDKKKSARKWQEKQEKQTEKAAENKRKREAAFIPPKEPSSHLTDSNNSDKNTSDLAAMTKSLKDKAKGLKKLKAHDNVRAESYIADAESSRRKKKHRSSSETE >Ma06_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23103899:23105316:1 gene:Ma06_g24560 transcript:Ma06_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPALSFAFFLLLISSSTLLVASMDCNKGDREALLKIKEGLGNPPQLSTWIPATNCCAWDNLYCDDTGRVYNVYIYNADVTAALPVAFGDLSALQSLSLQDMPGLTGPIPRSFAKLSQLYLLSISNTSITGPVPDFLTSTNLSALTLPSNKLSGPIPPALSRLPYLRYVDLSHNMLSGPIPQGLLHGEYQFLILSNNRLTGAIPSSYGKGDVNTIDLGHNRLTGYPSFLFDRTRPTVKLDLSWNELAFDITKAALPWHLEYLDLSHNRIRGGVPKSLKDLYKLLVLNLTYNELCGEIPTGRAMAYHGAECYLHNKCLCGTPLPPCRH >Ma02_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16360770:16367460:1 gene:Ma02_g05020 transcript:Ma02_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHARRRSGYGPQFCAAAAALLLLVSLSVLHSRLSSAPSSFPLRLGLPSVLPRRAPSDRDPAALFDDADDNALNETAAEDDRIDELDVLEEEEEMDRGRSAQEEEEGDADEQDPDEPAVTRTLSSGLFWDHALGVARRRFGQLEQDPRGDRFLDSYDARHFRTKTAFGSDDQPVDEDVRLKLDSIRRIEDALLLKAGSGDSPLREGWARWLEGKGNFLRRDRMLRSNLELLNPKNHPLLQDPDGPGLATLTQGDRMVQRVLLKEMESIPFNVGGGGEAKRADGRRKLEVENTRRQEEIPDGQRKRTTSEEEEGRVHADGRRWGYFPGIDAHLTFTDFMEQFLDSRRCKIRVFMVWNSPPWTYGVRHQRGLESLLHHHWDACVVVFSETMELNFFEDFVKDGFRVAVAMPNLDELLKDTPAHIFSSVWFEWRKTLHYPIHYSELLRLAALYKYGGIYLDSDIIVLNPLHSLKNFVSIEDNTGGNSVFNGAVMAFEKNSSLMLECLNEYYSTYDDTLLRWNGADLMTRVIKRISDKAGKSSLQLDIKMEPQFAFHPISSINITRYFAEPADQFERAEQDDLLKRMLNESITFHFWNGMTSALVPEPNSLMERLLNQYCLHCLDVL >Ma09_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2790254:2791346:1 gene:Ma09_g04240 transcript:Ma09_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSANHQVAMASFPLEEVLGGLTKAEQQEGKVRPQPEQSIKCPRCESTNTKFCYYNNYSLAQPRYFCKGCRRYWTKGGTLRNVPIGGGCRKNKKSSSSKRPQDQSFHANPITSLASSSALPPFLAYDPNDLILAFAKLQEAHRNPGHLLDDHETALHGDSIPEPGRVPDGLGEPAEFLDFLNTRTTDPTGFHNLHCGYSGIGDVYQGLGLPFDAGLGGETTSSTAAAATTVTANQVCCKVLNGSEDDTMSMGMQWQVAEDLNMASDSGRDHQWNEVGSAWHGLINSSLL >Ma01_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8096599:8103787:1 gene:Ma01_g11220 transcript:Ma01_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRRSGGAILGSAIRLAAILAIFAPVASIDDKCAACNAVAAEIEIGLSNEKPRNHLDMRHRLDSRGQREGKVIDYRVSELRVVELLDGLCEKMQDYTLEKLDSGEQAWIKVRDWNSIEGDKQEARAYSKDLSSFCGRLLEETEDQLAEWIKRGSAESGGVSKALCQDLTEHCSYSRSSKMYDGEL >Ma02_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28266804:28267137:1 gene:Ma02_g23110 transcript:Ma02_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLSYPIAVCREGLLGLVRPNELELLRRSIGHCDSIGWFSHCPKPSLHDHQGERIPRPVDKGMHQSPECKLMSRTTSQ >Ma06_p34850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34952830:34955486:-1 gene:Ma06_g34850 transcript:Ma06_t34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHQSTKIALCVAFLGCLSFLLGILAENKKPAQGTPIIGKDVVVCKYPSDPVIAFGSLAIVSLLLSAIVGHVAVYFPYKGKSVPTSSLFQNSTLFVFFIIAEAVTTLALVMLMWATITEGLHRSRNVHHDLEYLCPTAKTGLFGGAAFLALDAALFWLICQMLTLNARADYFDEDDPKGEYGQVYADELENTENKHSSV >Ma07_p28620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34615160:34620357:-1 gene:Ma07_g28620 transcript:Ma07_t28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPSAAGDPPADRHWRVQDESISLVAPGSGVSFAFDHVFDPSYNNAMVYDLLIKSIIQAAVDGFNGTAFAYGQTSSGKTFTMSGSEEEPGIIPLAVEDVFRTTKMTTDREFLIRVSYMEIYNEEINDLLTLGNQKLPIHESLERGFFVAGLREEIVNSAEQVFELLKHGEANRHFGETNMNARSSRSHTIFRMVIESSKRNPMNLGDVSNTDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSESGRQRGHIPYRDSKLTRILQPALGGNSKTSIICTVAPEEVHIEETRGTLQFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRRKLQGSHSEILEQVILKQRNDMHKSELERERLAMELEEERKARETLELRIKEQQKKIENLSSLSISSYNTSISTQQKVNSTIMFDELSVSRSHVFRTPNFKAMADDFVVKRPSSFRTVDSNPILENFDNTADEDLWMQLNKGCITDLDTLQMTPNMKHQSFPSLEMSLEPSVDEEISSKRHHSLESDCGFNREQFEALREKCTIMERACSLLREEKTSLVETLSLSKQDNEHLRAQKEELLKELNTEKQKMKELKEEIRQFSLAFHQREGLLTSIYTKSKAMMENLNASKVSIPEVCDS >Ma08_p33060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43546740:43547677:-1 gene:Ma08_g33060 transcript:Ma08_t33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFRESKQYCYFHPKQLVVGICAQCLRDRLLLLESKQAHLPRTKDGIRSFRVLKTKSIIALPKIFALGSFVHLLEPHHRENKDHSEDEGSIDSLEDSFITMKFEDDGQASWNTDGKSKSIESCANNTMSDDTKVVRTALVEHSNPGGVLRWRKRIGQLLQLGRWKRSNKASTCHVGFRGKVEGVKGRRGWIRSLTRRTTSSD >Ma03_p30550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33157522:33162812:-1 gene:Ma03_g30550 transcript:Ma03_t30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAFSSAVASGMRLPLPVLAKTARRRMQMLPSVPSPLSPPRASASSAAAGDTDRKPWLLVGLGNPGKLYQGTRHNVGFEMIDVIAEAEGISLSSMRFKAMFGKGCICDVPVMLAKPTTFMNLSGESVGPLVSYFNIPLNQVLLMYDDLDLPFAKLRLLPKGGHGGHNGMRSVIDHLKGSRDFPRLRIGIGRPPGKMVPASFVLRPFNRKEREELDFAFQRGLEAIRILLLEGLNKSATFVNTSQSQLLNS >Ma01_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19850280:19855552:1 gene:Ma01_g21010 transcript:Ma01_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLALIMTSVGVRNFWEAKENSPDKGPSFYNRKANHMDQREKSNPRHYSEPTSAMYKSPIEKAKQDDTLSDFSDVLGQLKGMALDMSTEMDRQNKALVACLA >Ma05_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22691694:22692101:1 gene:Ma05_g18090 transcript:Ma05_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLESDLAQVLLAACRGELGGVSLSWSPGSAMVIVMASNGYPGSYEKGTIIKNLEEAELIGPMVKIFHAGTALDSEGNFIAYGGRVLGVTAKGKDLAEARDKAYKASEVIDWPEGFFRRDIGWRALSHIYTKTS >Ma02_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24288864:24290830:1 gene:Ma02_g17360 transcript:Ma02_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGQAVSTTCGHLFCTEDANKIISNDAACPVCDQVLSKSLMRQVDINPGDEWINMVMAGVSPQVLMKSAYRSVMFYNGQKELEMQYKMNKIIGQCRQKCEALHEKFTEKLEQVHAAYQKMAKKCQMMEKEIESLSKDKQELQEKYAEKSRQKRKLDEMYDKLRSEYESVKRSSVQPANFFSRAEPDLFSSMANMMDGRATVGQDRSVFTPETPGQREEIWPSTRQKNSSSSAFDLSGGSPAKTAPDPVDTGTRRQTGSLFGAGVRNPTATLRNLIISPMKRPQLSRKHPHIFT >Ma08_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35194978:35200521:-1 gene:Ma08_g21260 transcript:Ma08_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYDYDDGSLESRYGRPPSAGHGGASTDLSYDPNFVPDSVKTFVVHLYRHIREKNVYEIHQMYEGSFQRLSDRMFRDNPWPSVEAIAPYVDNDHVFCLLYREMWFRHVYARLSPTGRQRVESWDNYCSLFGVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKSKTEEELQLLQQYDQAWNVYGVLNYLQALVEKSAIIEILEREKEGLEQFTATDGYDYEGGTSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDISQQGVYNVVIGSHISTIYHYGFANLMLRRYAEAIREFNKILLYILKFKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQINLVEENVNSQLRDKYNEKMTKMLRYDDEAYAVYDELFTYACPKFITPSAPVLEEPLTNYNQDAYRLQLKLFLYEVKQQQLLSGVRSFLKLYSTISIGKLAAYMEVDEPTLRTILMTYKHKMHAVDSDGKIIPNADLDYYISEDIVHVVESKPIKRYSDYFLRQVLKFEEMISELDRIKLD >Ma02_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22677523:22680612:-1 gene:Ma02_g14710 transcript:Ma02_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVGRLLLSAASLLLLLLLAPLAAATDVDYCKKGADYPVTVSGIEIFPYPIARGMPATFNISASTGDEISEGKLIIDVKYFGFHVHQETHDLCQETLCPVSTGDFVLSHQQTLPSFTPPGSYTLTMKLTGEQGKQLTCIVFDFSIGFASVADS >Ma11_p24840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27655071:27658816:-1 gene:Ma11_g24840 transcript:Ma11_t24840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWALNLKTAGGLFVLGATRKHDRGGLMALRWAAAGWRVVGGDDSLSGGIEVRVRLRRSSSSASGIRSVVVRASGKKKNDHGNHSSSGNSDSSVPEEDGLDGNIPHYNKSKFDDSTMSNHSLSDWRDFRANLVAQEQEQLIDSGTACKDTASIEPPQRLGLKWAHSIPVPETGCVLVATEKLDGVPSFERTVVLLLRLGSRDPRDGPFGVILNRPLHRKIKDMKPSNINLATIFADCSVHFGGPLEANMFLLRMGVGAPLPGLEEVISGVCFGGRNSLSEAAALVKKGVLRPQDFRFFVGYAGWQFDQLLDEIESDYWVVAACSSHLIDGATVGGPSSSNLWEEILQLMGGQYSDLSRKPKQDNS >Ma11_p24840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27655071:27658816:-1 gene:Ma11_g24840 transcript:Ma11_t24840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWALNLKTAGGLFVLGATRKHDRGGLMALRWAAAGWRVVGGDDSLSGGIEVRVRLRRSSSSASGIRSVVVRASGKKKNDHGNHSSSAGNSDSSVPEEDGLDGNIPHYNKSKFDDSTMSNHSLSDWRDFRANLVAQEQDTASIEPPQRLGLKWAHSIPVPETGCVLVATEKLDGVPSFERTVVLLLRLGSRDPRDGPFGVILNRPLHRKIKDMKPSNINLATIFADCSVHFGGPLEANMFLLRMGVGAPLPGLEEVISGVCFGGRNSLSEAAALVKKGVLRPQDFRFFVGYAGWQFDQLLDEIESDYWVVAACSSHLIDGATVGGPSSSNLWEEILQLMGGQYSDLSRKPKQDNS >Ma11_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27655071:27658816:-1 gene:Ma11_g24840 transcript:Ma11_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWALNLKTAGGLFVLGATRKHDRGGLMALRWAAAGWRVVGGDDSLSGGIEVRVRLRRSSSSASGIRSVVVRASGKKKNDHGNHSSSAGNSDSSVPEEDGLDGNIPHYNKSKFDDSTMSNHSLSDWRDFRANLVAQEQEQLIDSGTACKDTASIEPPQRLGLKWAHSIPVPETGCVLVATEKLDGVPSFERTVVLLLRLGSRDPRDGPFGVILNRPLHRKIKDMKPSNINLATIFADCSVHFGGPLEANMFLLRMGVGAPLPGLEEVISGVCFGGRNSLSEAAALVKKGVLRPQDFRFFVGYAGWQFDQLLDEIESDYWVVAACSSHLIDGATVGGPSSSNLWEEILQLMGGQYSDLSRKPKQDNS >Ma05_p28580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39542012:39543341:1 gene:Ma05_g28580 transcript:Ma05_t28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFSSHPPRFFEWLKPPSSSPPPSPVRQKAVKEEDKASKDTVALRIGLSPAWGAVFVEEEEEEKKKKKVEELCAVDSGSKYWIPTPDQILTGPVQFSCSVCTKSFNRYNNLQMHMWGHGSEYRKGPESLKGTQPMAMLKLPCYCCAHGCKNNINHPRAKPLKDFRTLQTHYKRKHGVKPFTCRKCRKAFAVRGDWRTHEKNCGKLWSCTCGSDFKHKRSLNDHIRSFGKGHSHYLPSKIPQGQQTCIIRFDNGDLMCKYEAS >Ma06_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1839675:1844009:-1 gene:Ma06_g02370 transcript:Ma06_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSDCVEDKDMWNMADEELAQALVEERRLQHLLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKRGWKFDVIDIMESDLKGYKEASGTISGPRAYGRLKFESGVHRVQRVPVTEKSGRVHTSAVSIAILPQADEVDVQLRNEDLKIDTYRSGGSGGQSVNTTNSAVRIMHIPTGITVAIQDERSQHMNKAKALKVLRARLYEMERSRLQRSRSKLRAEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDMFVDALLLQEEMDAIASFGTQ >Ma06_p02370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1839675:1846687:-1 gene:Ma06_g02370 transcript:Ma06_t02370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLGFQWGRNLVRRYYQRYDFLISSSSIPVLRLHPLQPSISRGLFLSAQQAYSTESHSELPVDLLRIMEQRMIAIEQRSSYLQEQINQATVSAVDYSRANKELRKLGSSMELIKELRTKEKEIDGLKSVMSDCVEDKDMWNMADEELAQALVEERRLQHLLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKRGWKFDVIDIMESDLKGYKEASGTISGPRAYGRLKFESGVHRVQRVPVTEKSGRVHTSAVSIAILPQADEVDVQLRNEDLKIDTYRSGGSGGQSVNTTNSAVRIMHIPTGITVAIQDERSQHMNKAKALKVLRARLYEMERSRLQRSRSKLRAEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDMFVDALLLQEEMDAIASFGTQ >Ma06_p02370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1839675:1844591:-1 gene:Ma06_g02370 transcript:Ma06_t02370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKELRTKEKEIDGLKSVMSDCVEDKDMWNMADEELAQALVEERRLQHLLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKRGWKFDVIDIMESDLKGYKEASGTISGPRAYGRLKFESGVHRVQRVPVTEKSGRVHTSAVSIAILPQADEVDVQLRNEDLKIDTYRSGGSGGQSVNTTNSAVRIMHIPTGITVAIQDERSQHMNKAKALKVLRARLYEMERSRLQRSRSKLRAEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDMFVDALLLQEEMDAIASFGTQ >Ma05_p11500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8354704:8357662:1 gene:Ma05_g11500 transcript:Ma05_t11500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKDSMAASTEFPPPLVMLDGKYGSKENGSLIVGGSEDLNTSEGRKDVKVGKPPRHLSVIRHTVGLVGLDLNLGTHGLICPQEGQSAFLPVFRSGSCSEIGPKPYMEDEHICIDNLVKHLRASVNCPSPGAFYGVFDGHGGKDAACFVRNNILKFITEDNRFPVSAKKAMKSAFTKADYAFADSVSLDRFSGTTALTALILGRSLLIANAGDCRAVLGKRGRAMELSRDHKPSCSVERLRIEKLGGSVYDGYLNGQLSVARAIGDWHMKGSKDSACPLIAEPELQETLLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMAHNDPERCSRELVQEALKRHTCDNLTVVVICFSPDPPPRIEIPRPRVRRSISMEGLHLLQGALDSNM >Ma05_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8354663:8357662:1 gene:Ma05_g11500 transcript:Ma05_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKDSMAASTEFPPPLVMLDGKYGSKENGSLIVGGSEDLNTSEGRKDVKVGKPPRHLSVIRHTVGLVGLDLNLGTHGLICPQEGQSAFLPVFRSGSCSEIGPKPYMEDEHICIDNLVKHLRASVNCPSPGAFYGVFDGHGGKDAACFVRNNILKFITEDNRFPVSAKKAMKSAFTKADYAFADSVSLDRFSGTTALTALILGRSLLIANAGDCRAVLGKRGRAMELSRDHKPSCSVERLRIEKLGGSVYDGYLNGQLSVARAIGDWHMKGSKDSACPLIAEPELQETLLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMAHNDPERCSRELVQEALKRHTCDNLTVVVICFSPDPPPRIEIPRPRVRRSISMEGLHLLQGALDSNM >Ma06_p34140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34526166:34530237:1 gene:Ma06_g34140 transcript:Ma06_t34140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHLGVIYLLSILPLLAESGATTLNDDVLGLIVFKAGVEDPRSKLASWNEDDVDPCNWAGVRCDAKSNRVTELALDGFALSGKLGRGLLWLQFLETLSLSMNNFSGSLSPDLLRLESLRTLDLSANHLSGTIPDGFFGQCRSIRDISLAKNSISGKIPPDVGSCSTLVSLNLSSNQLSGSLPSEIWSLNALRSLDLSDNSLVGEIPLGISRMFNLRMISLRRNRLTGQLPNDTGNCMLLKSLDVGENQLSGDLPYSMRNLSTCTYLSLSSNSFSGELPAWIGEMNGLETLDLSGNKFSGGFPSSLSNLQLLKVLKLSDNSFSGGLPDSLAACRSLLDVDLSRNTLTGNLPSWVFESGFTQILLSGNKFSGPIVIPSVTDSTIQVLDLSGNAFSGKFPNEVSNLRSLEFLNLSFDSLSGPIPASVGEMKSLQVLDVSGNRLSGSIPLEVGLAASLREMRLEKNSLTAEIPIQIGSCSSLAYLDLSQNNLTGPIPETLANLTNLQVVDFSRNQLSGTIPKQLADLPHLLSFNISHNQLSGDLPAGSFFNKIPPSSVTDNPGLCGSVVNLSCPGVLPKPIVLNPNSSSGDSSSNPVLSPSNLGHKKIILSISALIAIGAAAVIALGVITITVLNLRVRSSTSNSAAGLALSDGYLSHSPATDANSGKLVMFAGGDPEFSAGAHAVLNKDCELGRGGFGAVYKTVLRDGRSVAIKKLTVSSLVKSQDDFEKEVKRLGKVQHPNLVALEGYYWTPSLQLLIYEFVPGGSLYNHLHECSASDTLSWQERFDIILGIAKSLAHLHHLKIIHYNIKSSNVLLDGSGEAKVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEILTGKRPVEYMEDDVVLLCDMVREALEEGRVEECMDGRLCGKFPLEETIPVIKLGLICTSQVPSNRPDMAEVVNILELIRCPQDGRQEEFC >Ma06_p34140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34526166:34530237:1 gene:Ma06_g34140 transcript:Ma06_t34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHLGVIYLLSILPLLAESGATTLNDDVLGLIVFKAGVEDPRSKLASWNEDDVDPCNWAGVRCDAKSNRVTELALDGFALSGKLGRGLLWLQFLETLSLSMNNFSGSLSPDLLRLESLRTLDLSANHLSGTIPDGFFGQCRSIRDISLAKNSISGKIPPDVGSCSTLVSLNLSSNQLSGSLPSEIWSLNALRSLDLSDNSLVGEIPLGISRMFNLRMISLRRNRLTGQLPNDTGNCMLLKSLDVGENQLSGDLPYSMRNLSTCTYLSLSSNSFSGELPAWIGEMNGLETLDLSGNKFSGGFPSSLSNLQLLKVLKLSDNSFSGGLPDSLAACRSLLDVDLSRNTLTGNLPSWVFESGFTQILLSGNKFSGPIVIPSVTDSTIQVLDLSGNAFSGKFPNEVSNLRSLEFLNLSFDSLSGPIPASVGEMKSLQVLDVSGNRLSGSIPLEVGLAASLREMRLEKNSLTAEIPIQIGSCSSLAYLDLSQNNLTGPIPETLANLTNLQVVDFSRNQLSGTIPKQLADLPHLLSFNISHNQLSGDLPAGSFFNKIPPSSVTDNPGLCGSVVNLSCPGVLPKPIVLNPNSSSGDSSSNPVLSPSNLGHKKIILSISALIAIGAAAVIALGVITITVLNLRVRSSTSNSAAGLALSDGYLSHSPATDANSGKLVMFAGGDPEFSAGAHAVLNKDCELGRGGFGAVYKTVLRDGRSVAIKKLTVSSLVKSQDDFEKEVKRLGKVQHPNLVALEGYYWTPSLQLLIYEFVPGGSLYNHLHECSASDTLSWQERFDIILGIAKSLAHLHHLKIIHYNIKSSNVLLDGSGEAKVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEILTGKRPVEYMEDDVVLLCDMVREALEEGRVEECMDGRLCGKFPLEETIPVIKLGLICTSQVPSNRPDMAEVVNILELIRCPQDGRQEEFC >Ma11_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7323354:7326057:-1 gene:Ma11_g09160 transcript:Ma11_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MEDRRTPPFLASIPKPASSPEGVRFDGVVNPSFHGFGASGLKKRGQSGRSWIQIDSSGNSQVITLDKIALLRRCGLPSRDFRLLDPVFVYPSTILGRENAIVVSLEQVRCIIMADEVLLMRSSDGSSTHYESELCQRVRRMKDQNDDLPFEFKSLELALELVSASLDSQVTELEVEIYPVLEELASSISTTNLEHVRRLKSHLLALTHRVQKVHDEIEQLMDDDGDMAEMYLTEKKERMEAYLSTDGYLNNIQSGNWVSQSAPVSPTCSSTGQPEKDSSNMSFSKHESSRGSSTRGRHVEELEMLLEAYFVGIDNTLSKLLSLKEYIDDTEDFINIKLDNVRNQLIQFELLLAAATFVVTLFAVVTGVFGMNFEDSVFDTASNFNWVLIISSISCAVIYSCFLLYFKHKKLLPT >Ma11_p09160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7322846:7326057:-1 gene:Ma11_g09160 transcript:Ma11_t09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MEDRRTPPFLASIPKPASSPEGVRFDGVVNPSFHGFGASGLKKRGQSGRSWIQIDSSGNSQVITLDKIALLRRCGLPSRDFRLLDPVFVYPSTILGRENAIVVSLEQVRCIIMADEVLLMRSSDGSSTHYESELCQRVRRMKDQNDDLPFEFKSLELALELVSASLDSQVTELEVEIYPVLEELASSISTTNLEHVRRLKSHLLALTHRVQKVHDEIEQLMDDDGDMAEMYLTEKKERMEAYLSTDGYLNNIQSGNWVSQSAPVSPTCSSTGQPEKDSSNMSFSKHESSRGSSTRGRHVEELEMLLEAYFVGIDNTLSKLLSLKEYIDDTEDFINIKLDNVRNQLIQFELLLAAATFVVTLFAVVTGVFGMNFEDSVFDTASNFNWVLIISSISCAVIYSCFLLYFKHKKLLPTYH >Ma06_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15108780:15110071:1 gene:Ma06_g21000 transcript:Ma06_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAASSPPRSPRRQVYYVQSPSRDSHDGEKTTATTSFHSTPVLSPMASPRHSHSSVGPQSRDSSSSRFSGGIKPGGGPRKMSSHDDRKGGRNKSDKAWKECATIEEEGLLEDEREEKGLPRRCYYLAFLLGFVILFSFFALILWGASRSQKPEITMKSIRFENFIIQAGTDASLVPTDMATLNSTVRFSFRNTGTFFGVHVSATPFVLSYDQLTLAGGDMNNFYQSRKSQRNVEVVVLGKKVPLYGGGPSLASSPGSVATVPLSLSFMVKSRAYVLGKLVKPKFEIGVQCKVVMKPAKLNTRVSLKNSCQYY >Ma05_p29110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39896525:39897267:-1 gene:Ma05_g29110 transcript:Ma05_t29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMLLLALLATASSLAMASDPSPLQDFCVADKDSKVLVNGFVCKDPKVVKAEDFFFRGLDKAGDTVNKVGSNVTAVNVNQLVGLNTLGISMVRIDYAPRGLNAPHTHPRATEILTVIEGQLLVGFVTSNSDDGNRLFTKMLKKGDVFVFPQGLIHFQFNPGHTKTIAIGALSSQNPGTITIANAVFGSKPPISDDVLAKAFQVDKKTIDWLQAQF >Ma04_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20322539:20325812:1 gene:Ma04_g18410 transcript:Ma04_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRRHGFVVSGCAAGFLVVTVLVSDSLWLPQVSVLPMFRLTNPKDGLGIELEGASTRNKTLIIGVINEASVEDNGMLQLFLQSMREGEDTAFLIRHVLLVATDQIAYNHCMVLQLHCHQLYTDALLLSPALSIQSASLISLASTLTLFLGEVLLRGYSFIFTDMNVMWLRNPFARLNHNGEDMLLSGDLYDSGLYFVSSNTKTMALFKQSYAVMNESRSMKGDDVLHLMRSKRFIQQLDMKVGYLDTAHFTNFCQGTLDIAKAATVHANCCPSSKAKFADLTATLDVWKTYNNGTPNVTFSAHSSCAKSWKTHQLKTSLQAAAMGNKTLVISYLNKAYVEENGMLDLFLRTLKEGEDTAFLITHLFLITVDQTAFDRCKMHRLHCYRLVSEGLNFSKEQLFMSDGYINLVWQKVVVLGEVLKHGYNFIFTDMDIMWLRNPFSRLSLYGEDLQISCDYYNGRPYDDSNHINTGFFYVASNNKTIKLFDMWFESRKTLRRMHDQDVLAFLKSNGVFKRLGVSVRFLDTLYFSGFCQVTKNSKEVITVHANCCRSIKAKVDDLTETLEA >Ma09_p29310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39787048:39790424:1 gene:Ma09_g29310 transcript:Ma09_t29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDFVDKDKLILRGLQFHGFHGVKLEEKKLGQKFVIDVDAWMDLSNAGKSDDISDTVSYTAIYRIVKEVVKVESVAHLIANTTLLQFPQISAVRVKVGKPHVAVRGTIDYLGVEILRYKKASSVD >Ma02_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17927397:17927962:1 gene:Ma02_g07120 transcript:Ma02_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNSASSSSSKPHSPSRPCLCSPTTHPGSFRCKLHRGPRKSSGRSVAHAGSPETKGPAKATSMGGLLMQMIRPSSHDLRRRRHFQPKPSRFFLMKGDGRGAVAVA >Ma04_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14656072:14659644:-1 gene:Ma04_g15990 transcript:Ma04_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLNAILNLVVPPAGMVMLAFAWPTLSFLHALEWFFKTLYRENMDNKVVLITGASSAIGEQLAYEYARRKANLVLVARREHRLWGIRENARLLGAKHVLVMASDVVKEEECRRFISDTINYFGHLHHLVNAASLGHNFYFEEATDSSVFPHMMDINFWGNVYPTYVALPYLRQTRGRILVNASMESWLPMPRMSLYAAAKAAVISFYETLRFEVKDDVGITIATHGWTGSDISRGKFMLEEGSEMQWKEEREVPLTGGHVEEFAKLMVAGACRGDTYLKHPRWYDIFMLYRVFAPDVLGWTFRLLLSDHRVKPTIGRPLLEASPPRKLSAFSPGSPRLQHIVE >Ma09_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1395658:1396137:-1 gene:Ma09_g01860 transcript:Ma09_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFFTAAVAMTKPEQQQRRRHVDEQELELLKAVAQAWHAQSGNPKPTDEFHARRASSSLRQPTRFKLEAVAMAARAAESHWDFAHSLWDSYEIVTLSKKLEANVALDDVPPWRSPPEYGRPDKRSRESKNSLRNLIHRSSSSAKRHDLDVQKVTRDER >Ma06_p38580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37311074:37311703:-1 gene:Ma06_g38580 transcript:Ma06_t38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHRTLLLLVVAMSCLVTVSQAHQKIHIVGGSYGWKIPPNKTFYEEWANKQSFFVGDKLSSLISKKTKGKEMNDQSLFPFVFSLRSAGLHGLALGVAVFLYTTGLQNVIEASEEEEFAYCKQTNVEDVQFVGPTIVELMKVGVHYFYCSIGLHCEGGQKLHVNVTDEATA >Ma07_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28695858:28698364:1 gene:Ma07_g20740 transcript:Ma07_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPKQYLYKILCISVVVFNKFKDEGGSFMSTLGSDVKGLLSLYNASYLGTHGEIILDEAISFTRNSLVSALADLKPPLTTQVSLDLETPLCRRIRRLLARDYISIYQEDATRDDAILELAKLDFNLLQSLHREELENITNFARDRLVECYFWILGVYFEPYYSRARVITTKVIALISILDDIYDVYSTLEESHRLTKAIQRWDVKIIHQLPEYMKDYYLKLMHTFEEFEDLLASSEKYRITYLKEAMKDLSEAYFEESIWRDQHYVPTLEEHLHVSLISSGYPMLQCASFVGMGEIATKEAFEWIASFPKIVQASAIIGRIMNDITSHELEQTREHVASTIQCYMKEYRTNVHVACKKLQVLVDDAWKDINEECLNQTTFPVALLQKIVNFSRMIENIYKYIDGYTNSSTKTKEYISLLLVHPVPL >Ma03_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3397932:3398967:-1 gene:Ma03_g05220 transcript:Ma03_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAGKTKPRETCELCGGAAAVHCEADAASLCWDCDARVHGANFLVARHLRRIACAGCHSLDDDRVISGAGSPPVRSICRSCGPDGSELSSSASSPVTSCVSTAESKASQEEGATTSRVGCGGDRRWARRRRSCR >Ma03_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2411733:2420765:-1 gene:Ma03_g03600 transcript:Ma03_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSVFTMPAAPPLLACKSGFNQAPLPVHMRFDISKSSQLSNGRLSLPGFSCTHQESIVALNPDSTVKPEGRVKIFSGSANLLLAQEIASYMGMELGKINIKHFADGEVYVQLQESVRGCHAFLVQPTCPPTNENLMELLIMIDACRRASARSITAIIPYFGYGRADRKTKERESIAAKLVANLITDAGANRVVSIDFHSGQAIGYFDISVDQVYGQPVILDYLVSKNIPSKDLVVVSPDVGGVARARGFAKKLSDAPLAIVDKRRQGHNISEVMNLIGDVKGKVAVLVDDMIDTAGTITNAAALLQQEGANSVYACCTHAVFSPPAIERLSSGVFQEVIITNTIPVAEHNYFSQLTVLSVANLLAETIWHIHSDGSVSGMFK >Ma04_p31830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32045535:32046881:1 gene:Ma04_g31830 transcript:Ma04_t31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIQFLVLLMFHSINAQPSPGYYPSSGIKPLKFFQGYSNLWGPQHQSVSQDQSSVTIWLDRSSGSGFKSTRPYRNGYFGASIKLQRGYTAGVNTAFYLSNDQAHPGFHDEVDIEFLGTIPGKPYTLQTNVYVRGSGDGRIIGREMRFHLWFDPAADFHHYGILWNPEEIIFFVDDVPIRRYARKVEATFPDRPMWVYGSIWDASSWATENGKYKADYRYQPFVSRFTGFKIRGCSAFAPAGCRPVPSSPSGYGLSPQQRAAMQWVQRNHLVYNYCKDYSRDHSLTPEC >Ma05_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8632342:8633812:-1 gene:Ma05_g11810 transcript:Ma05_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSKLATSDAGLDWSQELLDKGGLLQVPQPMSQARNNQQQKQHWPPLKCPRCDSTNTKFCYYNNYSRTQPRHFCKACRRHWTEGGTLRNVPVGGGRKNKRSKATPAATNTTSTTAPGAAVNVNSNSNTPVPLAQPQQQQKVPLLFGGDHSSNIFPEILRQVLLRQPPPPLPQLQLAPSDYSFSSPFSSDPHSKPTLANSSDGNNNTPFPISSSYSYPLASVSEECLATINPCSTASAWQVGQVTAALPRSIGGMDHSSTDYWSGWDDEMAGFS >Ma03_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4015136:4016846:-1 gene:Ma03_g05850 transcript:Ma03_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKGEKSNFNETCRLLSQYLKEKGGLGGLGLEMTPKALDQQGKGKSPAPATMSLLPGVDVPGEDQTSPKSMDLFPHKSGLDSGALAMEEPGKKTSDIKKMEKGQLTIFYGGKVLVFDDFPAEKAEDLMQMAAKESVAPQNLSFSTHHSSTARADGPRSAGSPATPAPADSLSKANASDMPIARRNSLHRFLEKRKDRISTKAPYQVHGSSASMEEGKPESWLNLGRQTPQPEQSSESSKRQTYGCVGHN >Ma07_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3448746:3451774:-1 gene:Ma07_g04700 transcript:Ma07_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEIQQFMVESCGPSLFSIASANPAAAAAPTDIHHPAVPHPLKYHPLAHPQPPALPPHFSHFHTIPITQQLFQQPAAHQFQLFHPSHHHQQQQQQYLEPMRLIPQHPLGLDQESGPENSASPTRIIPGGGGGGGPSFLAPAMGFKLAMEESSGGGSRDGINDGDAILHGDDGSESRLHHWQRDDESAIKELSWRPLDIDYINRNNKRCTDKKPECSSGHYSKKSKEVAECDHVQAAGGSNYKLFSELEAIYKPGGGSNAGGGANQTGSGSALTGDETPLLHVMVPPGLPAADRVGGTSETSAGEEAPTKKSSKDGARRRRKRRQRQLSSVAAFFESLVKQLMDHQEGLHRKFLEVMERREQERTSREEAWRKQEAAKSSREAAARAQERALASSREAAIVSFLEKITGESLDLPPKPHFPEVDADKEENLQIEQYSDTLNNGDPDSNKVSFNTSRWPKAEVQALIRVRSGLESRFQEPGLKGPLWEEVSATMTTMGYHRSAKRCKEKWENINKYFRKTKESGKKRPHHAKTCPYFQQLDQLYSKSLNTNKPHPSASPPGANAAASNPPGDIKDQPKVNSELLDAIVVPADHQSFRFSDMGPLSFDFNSKGNENKQEPGVSVENNDDEDEDVDQGGGEEEEEGEGESQGEEESLGRRRHQLDQEEEEDLRDSSLFFRRLQA >Ma06_p31410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32545040:32555972:-1 gene:Ma06_g31410 transcript:Ma06_t31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSEIPVVGCEITPYVLLRRPDGSISTDDVPESAPLNGYCMRYKWYRNQGDQRVAVCSVHPTEQATLQCLVCLKEKVPIAKSYHCTPRCFSDAWQHHRSLHDRAKKTVNENGTDEEEVFGRFNSSGSGSFSTGLSGVAPNLVQSPGLNNGPIPIYPTTVAEKNGETWSEVAFSRTYTPTADDINHVLKFECVAIDVETRIPVGNVNTVLTSRVIPAPSPTPRRMVPVSGDLLGQLDLDGRTSSGTFSVLSYNILSDAYATSEAYSYCPTWALSWPYRRQNLLREIIGYHADILCLQEVQHDHFEEFFAPEFDKHGYQAFYKKKTSEVYGGNPNTVDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDVAMAAGQKKVALSRLIKDNVALIVVLEAKFSNYGTDNPGKRQLICVANTHVSVHHEHKDVKLWQVHTLLKGLEKIAVSADIPMLVCGDFDSVPGSAPHALLANGKVDPLHPDLAVDPVGILRPTNKITHQLPLVSVYSSFARMAGVSPGLEQQRRRMDASTHEPLFTNCTRDFIGTVDYIFYTADSLSVESLLELLDEENLRKNTAIPSPEWSSDHIALLAQFRCKPRIRR >Ma08_p21750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35549405:35553137:1 gene:Ma08_g21750 transcript:Ma08_t21750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCDHDQVAFIVTIGVFTFVILALWRTVLLTPFKLITVFLHETSHALACKLTCGDVEGIQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLITTRIAAGCFLLALVVVLFVAKNWLLRGLCIGFIIFIAIIWLLQETTKVHILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGVAWGVIWGLISFTFLCGSIYLGLVILS >Ma08_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35549405:35553137:1 gene:Ma08_g21750 transcript:Ma08_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCDHDQVAFIVTIGVFTFVILALWRTVLLTPFKLITVFLHETSHALACKLTCGDVEGIQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLITTRIAAGCFLLALVVVLFVAKNWLLRGLCIGFIIFIAIIWLLQETTKVHILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGVAWGVIWGLISFTFLCGSIYLGLVILS >Ma02_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18793103:18800818:-1 gene:Ma02_g08430 transcript:Ma02_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYDILGVSVDASSAEIKKAYYLKAKSVHPDKNPGDAQAAHNFQVLGEAYQVLSDPAKREEYDKHGKEGVPKDSMVDPAAVFGMVFGSELFEDYVGQLALATIASIEAEESQVLETRRQRVQEKIKELQKEREQKLVQSLKDRLHLYVTGQEQEFINWANSEACHLSRAAFGEAMLHTIGYIYARQAAREIGKSRRYMGMPFVAEWVRDKGHFVKSQVNAASGAVALIQLQEGMKKTEGSDDKDLTMNIEEKKDAMLSSLWKINVLDIESTLSHVCQEVLKDNSVSKDVLKLRAKALKKLGTIFQGAKALYRRENSLRVETGTSGFMR >Ma02_p08430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18793103:18800776:-1 gene:Ma02_g08430 transcript:Ma02_t08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYDILGVSVDASSAEIKKAYYLKAKSVHPDKNPGDAQAAHNFQVLGEAYQVLSDPAKREEYDKHGKEGVPKDSMVDPAAVFGMVFGSELFEDYVGQLALATIASIEAEESQVLETRRQRVQEKIKELQKEREQKLVQSLKDRLHLYVTGQEQEFINWANSEACHLSRAAFGEAMLHTIGYIYARQAAREIGKSRRYMGMPFVAEWVRDKGHFVKSQVNAASGAVALIQLQEGMKKTEGSDDKDLTMNIEEKKDAMLSSLWKINVLDIESTLSHVCQEVLKDNSVSKDVLKLRAKALKKLGTIFQGAKALYRRENSLRVETGTSGFMR >Ma08_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14966233:14973402:-1 gene:Ma08_g15010 transcript:Ma08_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLGKLVAGSLSLAGRWQKQQLRRLNVHEYQGAELMSKYGINVPKGVAVSSVEEVKETVRSVFPNEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKTEEVADIAGKMLGQILVTKQTGPQGKIVSKVYLCQKLSLVNEMYFAITLDRKTAGPLIIACRKGGTSIEDLAEKFPDMIIKVPIDVFKGITDEDAAKVVDGLAPKGADRSASIEQVKKLYQLFCESDCTLLEINPIAETSDNQLVAADAKLNFDDNAAFRQKEIFALRDPSQEDPREVDAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASESQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKAAAH >Ma06_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9959321:9960422:-1 gene:Ma06_g14550 transcript:Ma06_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSRGSRDTSGSGGGGCCCKLLCSLVQLVISLGLVVLIWWLIFHPRLPRASVQEAQLMSFSLADNSTALLFNLTVGLALRNPNKRVGIYYDSLVVAALYHGVLLQTAPLPTFYQHHKNTTAFRPEFGGKATDAGSVAVFYGKEKSEQRDFNFEVKLRARIRMKVWFIKIGHFNPVFDCKVRALVPQDGGMSATFRISECDR >Ma04_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5869346:5871884:-1 gene:Ma04_g08150 transcript:Ma04_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCASAPSFCSLIGAHRWTSPSKASPRPCFRPHIRCAAQTPPRRSANYQPSSWSDEYIQSLGNDTKVEEDKATRMGKLTEDVKQLIYMKKGIEDQLQLIDHLQQLGVAYHFKEDIKDALWTIYRSMEEVNMLLKDNLHATALMFRLLREHGFDVSEGVFYRFMDEEGNLKASLRHQTEGLVSLYEASHLAKEGEHVLEEATNFTSKQLKSLMEGSLEPHLREHVAHALELPLNWRMPRLQTRWFIEASQWEAKMNPVLLELAKLDFNRVQIINQRELREVSRWWSNLGLAQRLPFSRDRLMENYFWTVGWAFEPQFARFREAQTQAICLTTIIDDVYDVYGTMDELELFTDAVDRWDVNAMDKFPEYMKICFLALFNTTNVTAYNVMKEKGLDIIPHLKKAWADLCKAYMVEARWYHQGYTPNHEEYLGNALVSISGPLILTLAYCTSDDLTQEALDDFQSCPEIARWASMVFRLCDDLGTSTDELERGDVSKSIQCYMHETGVSEDAARGHIRGLIKGNWRAINGNRSFTSPFEENLKMMAINIARMAQCIYQYGDGYGKPDGVIEDRIRSLLIEPILM >Ma05_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23876328:23889154:1 gene:Ma05_g18440 transcript:Ma05_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MPSYSITRPSRQEDYARFSPNTPIVIDNGGSSFRIGWAGESDPRVTFRNVVQRPRHKATGETVTIVGDHDPSLMKYFDCTRTSFRSPFDNNVVYQFEIMEYVLDYGFERLGANLQVDHPILMTECICNPLSSRSKMAELLFETYSVPSIAFGVDAVFSYKLNQQFGRCNEDGLAICSGFSASHVIPIVKGEPVTEACCRTNIGGYHVTNYLKQLLSLKYPYHMSSITWEKAEELKMEHCYVATDFASELQLFQKGNEEAKEKTRCWQLPWVPPTQGDVPSEEELARRAALKEKQGQRLRDMAAARKSIRITELENELNGLEDLLQQLDEVEEPEVSSILSGTKFFSRQEIESAILRVTQSLRKAKGEPSEPEEKNDSSLSEKYPLVSVPDELLSPEQLKEKKRQIFLKTTSEGRLLAKRKRFEEESLREKQNQLDEEKRLENPELYLEQLHDRYRELSEKVELRKRVKTNGNQNLSGGVGRGERLSAAQKERMRLLTSAAFDRGKGEDTFGARDEDWQLYKLMSKDNDDDDNGQDEDEAELARVTSRLQDIDPNFVPKSDLVPPQATPEFSKFRPQSLEDFKIVLGVERFRCPEVLFQPNMIGIDQAGLDEMAGISLRRLDRVDDPIKDDITKSILVTGGSALLPGLLPRLVAGIRQMRPYLSPLTVVRACDPILDAWRGASIYANSPQFSLQTLSMQDYYEKGESLLWQYQIKYTI >Ma01_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19601526:19610209:1 gene:Ma01_g20800 transcript:Ma01_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRVITRLRTPLRSLPRILLTPFSSPPPPSPPFLRPPPPISPPSAPFSTTSSSRRRRGGGDAEADESDGAGVSLFGRDPTHPPKLFVVQPRLRPDSLLHSKLSEALNLANSLEERRDGVFAEDYGSKEPPPHLVVQNPGARSLRVHSDTYFGRGTVENVKCELRALVTENAIDAIFVNAILSGIQQRNLEVSWQKPVLDRIGLIIEIFNAHAETKEAKLQSELAALMYKRTRLVRVRGPGGRMTFGTSGEAEVVSARGRGSGGRGFISGAGETELQLQRRRIQERRIHILSQIEEVRRTRSLQRYARRRHGSSYGQGLATVAVVGYTNAGKSTLVSALSESDLYSDDRLFATVDPRVRSVVLPSGKKALLSDTVGFISDLPVQLVEAFHATLEEVVEADLLVHVLDSSSPDLDAQREAVLQVLQQLGVSGEKIKNMIEVWNKIDLLESNGGADECFGEEEIIGEDEEEYPEDDMTCDPSSGKPVDEDDDAMASEFSQEESLDNADDVASEFSCGEHMDDNDDYDDGDIASDVSTEEVMENFDNKDVDSLVECDVKDSGDHHESKTINCVKTSAITGVGLQELLNLIDQKLNKEESDEKRTFFPCDRKWRPSDTADDEKAAEQ >Ma04_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17781203:17791055:1 gene:Ma04_g17430 transcript:Ma04_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MGDMIDLSGDGGLLKTIIRKAKIDALAPSESLPLVDVHYEGTLAETGEVFDSTHEDNTVFSFEIGSGTVIKAWDIALRTMKVGEVAKITCKPDYAYGSAGSPPDIPPNATLVFEVELVACRPRKGASLSSVSDEKARLLELKKQREMIAASKEEEKKKREEAKAAAAARIQAKLESKKHQGKGKGKAK >Ma11_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:97611:108208:1 gene:Ma11_g00200 transcript:Ma11_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYQSRAERIQGQLRKPGRPGSSGQQRSSIGGGGGGGGGGSAPPPIPISASPATPSSLSTNRSFKKSGNGHGGQSRINPTTASSEASGAAPAITVHRAVQNGAQAPAPSPDAPAPGSAKPIDVLTPRNASRAIPKAPSSQSATGASSSSTPLTLPKGDASKTFTLQFGSINPGIMNELQIPARTSSAPPNLDEQHDQAHAESFGAAPTLPIPSVPIQQQQQQTKKVGGGTHQSSNLEQHPVSQAKRDTSIPVPSASVVPPPKSSVLPVSGIPLPMSMSFQPQQPPVPPQLGGPSPQMLPGLAANSLQMTMTLPVANVPQVAQQIYVPGIQPHFVQQQALMHQGQGLGFPPSIVHQLPQQLGNLGMGITSQFPQQQPGKFGGLRRTTVKITHPETHEELRLDKKTDSSKDGGTSGQRLLPNVIPQAQAIATYNAAPQMYYPQIQQNSYSPSTLIFTTTSVPLTSGQVSMSSQAPRYSYSVSQSGQNLPVMQSTMANNVPVGKPSHSSSLCCITKGINSEEKPVSTSLPATVHVTAKPSIGSEGEKVGASLLAPPVVISMPVSKAPKSVKTMADSTDSCQRNKETSPDGPARQPKSGSKPLADVSLPNANTSSTAGASVPSTKPLISESPAADSGLIPFGPDGRKREPVERSDSLKETQKKQSNKEHLQLDASSPEGANLSLLKITEGRFERELIFQEGHTKTENTETLLATDMAASSMWPSLKAENRNLSGGTTKPCEGNLTPAVSSLSGAILEEEASQDASLGHADSFGLAPDGVSIIEDFPSETTISLSPMVDGTHFKSLDTSLSVANTALDARIDEMLDVTEHGKSDIFNASSRHSNDAEVHPSSTIRNSSEFSCPFVSLKEDDGVRNYQKVTSRDYNAVDNKPLNSFVEDVGTRVEINRTVNVHYRSIDAALDSADSGTALVSDVSSANDGKDKLHMCPTTREVKYSKDVGLTDSGVTPIESVPVPNSSLSEVAQKLGSKVMELPSVLISMASMGQKEKSSLESSMPKIVAGRKKKRREILLRADAAGASDLYNAYKGPGEKIVIVSNSASIDSSTADTMVAHVDYSNKDVAASEEDGQNKAELDDWEDAADISTSKLKTLEHGKPADGAGKQDGDDGYEATSRKKYSRDFLMTFSQQLIELPVGFEIGSDIADALMSTPLGKSPCLSPGRIIDRPSGPRSDRRMVSNLDDEKWSRSPVSFGTGRDLRLDAGQGAAIVSLRPGQGASYAVLRNSRAQASNQFGGGILSGPTQPLTSQGSMPRGSPDVDKWQRAKGLIPSPQAPLQVMHKAEKKYEVSKSVDKEEAKQRQLKAILNKLTPQNFEKLFAQVKEVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCVHLACELPGFNEDNEKITFKRLLLNKCQEEFERGEREQAEADKVEEEGEIQQTKEDREKKRLQARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDAYFDMMTKLSTNQKLSSRVRFMLRDAIDLRKNKWQQRMKVEGPKKIEEVHRDAAQERQSQSSRLARGPINSNVPRRGQAVDYGPRGSMPLTSPNSQQVGGLRGLPFQSHGYGKQDVRVEDRHQFETRTMSLPLQQRSTDDDSITLGPKGGLARGMSIRGHPSISNVPATETSLAVEHRRITSGPNGTSYMADRLSGATSDQLNLQVHSSYYGVRDFKSSDHPFERSVPSILPSGRTHGTSGGSLNSVSETRTISEEVLREKSILAIREFYSAEDEKEVVLCIKELNAPSFHPSVISLWVIDSFERKDVERDLLAKLIVKLCKSRDSFLDKDQLLQGFESVISSLEDAVNDAPKAPEFLGRIFAKVVMEDMAPLRDIGRLLCEGGEESGCLRESGLAADVLGNIFETIKLERGDTVLDEIRASSNLPLQDFRPPHPIKSKLDTFF >Ma11_p00200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:97611:108208:1 gene:Ma11_g00200 transcript:Ma11_t00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYQSRAERIQGQLRKPGRPGSSGQQRSSIGGGGGGGGGGSAPPPIPISASPATPSSLSTNRSFKKSGNGHGGQSRINPTTASSEASGAAPAITVHRAVQNGAQAPAPSPGFSDAPAPGSAKPIDVLTPRNASRAIPKAPSSQSATGASSSSTPLTLPKGDASKTFTLQFGSINPGIMNELQIPARTSSAPPNLDEQHDQAHAESFGAAPTLPIPSVPIQQQQQQTKKVGGGTHQSSNLEQHPVSQAKRDTSIPVPSASVVPPPKSSVLPVSGIPLPMSMSFQPQQPPVPPQLGGPSPQMLPGLAANSLQMTMTLPVANVPQVAQQIYVPGIQPHFVQQQALMHQGQGLGFPPSIVHQLPQQLGNLGMGITSQFPQQQPGKFGGLRRTTVKITHPETHEELRLDKKTDSSKDGGTSGQRLLPNVIPQAQAIATYNAAPQMYYPQIQQNSYSPSTLIFTTTSVPLTSGQVSMSSQAPRYSYSVSQSGQNLPVMQSTMANNVPVGKPSHSSSLCCITKGINSEEKPVSTSLPATVHVTAKPSIGSEDSTDSCQRNKETSPDGPARQPKSGSKPLADVSLPNANTSSTAGASVPSTKPLISESPAADSGLIPFGPDGRKREPVERSDSLKETQKKQSNKEHLQLDASSPEGANLSLLKITEGRFERELIFQEGHTKTENTETLLATDMAASSMWPSLKAENRNLSGGTTKPCEGNLTPAVSSLSGAILEEEASQDASLGHADSFGLAPDGVSIIEDFPSETTISLSPMVDGTHFKSLDTSLSVANTALDARIDEMLDVTEHGKSDIFNASSRHSNDAEVHPSSTIRNSSEFSCPFVSLKEDDGVRNYQKVTSRDYNAVDNKPLNSFVEDVGTRVEINRTVNVHYRSIDAALDSADSGTALVSDVSSANDGKDKLHMCPTTREVKYSKDVGLTDSGVTPIESVPVPNSSLSEVAQKLGSKVMELPSVLISMASMGQKEKSSLESSMPKIVAGRKKKRREILLRADAAGASDLYNAYKGPGEKIVIVSNSASIDSSTADTMVAHVDYSNKDVAASEEDGQNKAELDDWEDAADISTSKLKTLEHGKPADGAGKQDGDDGYEATSRKKYSRDFLMTFSQQLIELPVGFEIGSDIADALMSTPLGKSPCLSPGRIIDRPSGPRSDRRMVSNLDDEKWSRSPVSFGTGRDLRLDAGQGAAIVSLRPGQGASYAVLRNSRAQASNQFGGGILSGPTQPLTSQGSMPRGSPDVDKWQRAKGLIPSPQAPLQVMHKAEKKYEVSKSVDKEEAKQRQLKAILNKLTPQNFEKLFAQVKEVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCVHLACELPGFNEDNEKITFKRLLLNKCQEEFERGEREQAEADKVEEEGEIQQTKEDREKKRLQARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDAYFDMMTKLSTNQKLSSRVRFMLRDAIDLRKNKWQQRMKVEGPKKIEEVHRDAAQERQSQSSRLARGPINSNVPRRGQAVDYGPRGSMPLTSPNSQQVGGLRGLPFQSHGYGKQDVRVEDRHQFETRTMSLPLQQRSTDDDSITLGPKGGLARGMSIRGHPSISNVPATETSLAVEHRRITSGPNGTSYMADRLSGATSDQLNLQVHSSYYGVRDFKSSDHPFERSVPSILPSGRTHGTSGGSLNSVSETRTISEEVLREKSILAIREFYSAEDEKEVVLCIKELNAPSFHPSVISLWVIDSFERKDVERDLLAKLIVKLCKSRDSFLDKDQLLQGFESVISSLEDAVNDAPKAPEFLGRIFAKVVMEDMAPLRDIGRLLCEGGEESGCLRESGLAADVLGNIFETIKLERGDTVLDEIRASSNLPLQDFRPPHPIKSKLDTFF >Ma11_p00200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:97611:108208:1 gene:Ma11_g00200 transcript:Ma11_t00200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYQSRAERIQGQLRKPGRPGSSGQQRSSIGGGGGGGGGGSAPPPIPISASPATPSSLSTNRSFKKSGNGHGGQSRINPTTASSEASGAAPAITVHRAVQNGAQAPAPSPGFSDAPAPGSAKPIDVLTPRNASRAIPKAPSSQSATGASSSSTPLTLPKGDASKTFTLQFGSINPGIMNELQIPARTSSAPPNLDEQHDQAHAESFGAAPTLPIPSVPIQQQQQQTKKVGGGTHQSSNLEQHPVSQAKRDTSIPVPSASVVPPPKSSVLPVSGIPLPMSMSFQPQQPPVPPQLGGPSPQMLPGLAANSLQMTMTLPVANVPQVAQQIYVPGIQPHFVQQQALMHQGQGLGFPPSIVHQLPQQLGNLGMGITSQFPQQQPGKFGGLRRTTVKITHPETHEELRLDKKTDSSKDGGTSGQRLLPNVIPQAQAIATYNAAPQMYYPQIQQNSYSPSTLIFTTTSVPLTSGQVSMSSQAPRYSYSVSQSGQNLPVMQSTMANNVPVGKPSHSSSLCCITKGINSEEKPVSTSLPATVHVTAKPSIGSEGEKVGASLLAPPVVISMPVSKAPKSVKTMADSTDSCQRNKETSPDGPARQPKSGSKPLADVSLPNANTSSTAGASVPSTKPLISESPAADSGLIPFGPDGRKREPVERSDSLKETQKKQSNKEHLQLDASSPEGANLSLLKITEGRFERELIFQEGHTKTENTETLLATDMAASSMWPSLKAENRNLSGGTTKPCEGNLTPAVSSLSGAILEEEASQDASLGHADSFGLAPDGVSIIEDFPSETTISLSPMVDGTHFKSLDTSLSVANTALDARIDEMLDVTEHGKSDIFNASSRHSNDAEVHPSSTIRNSSEFSCPFVSLKEDDGVRNYQKVTSRDYNAVDNKPLNSFVEDVGTRVEINRTVNVHYRSIDAALDSADSGTALVSDVSSANDGKDKLHMCPTTREVKYSKDVGLTDSGVTPIESVPVPNSSLSEVAQKLGSKVMELPSVLISMASMGQKEKSSLESSMPKIVAGRKKKRREILLRADAAGASDLYNAYKGPGEKIVIVSNSASIDSSTADTMVAHVDYSNKDVAASEEDGQNKAELDDWEDAADISTSKLKTLEHGKPADGAGKQDGDDGYEATSRKKYSRDFLMTFSQQLIELPVGFEIGSDIADALMSTPLGKSPCLSPGRIIDRPSGPRSDRRMVSNLDDEKWSRSPVSFGTGRDLRLDAGQGAAIVSLRPGQGASYAVLRNSRAQASNQFGGGILSGPTQPLTSQGSMPRGSPDVDKWQRAKGLIPSPQAPLQVMHKAEKKYEVSKSVDKEEAKQRQLKAILNKLTPQNFEKLFAQVKEVNIDNAVTLTGVISQIFDKALMEPTFCEMYANFCVHLACELPGFNEDNEKITFKRLLLNKCQEEFERGEREQAEADKVEEEGEIQQTKEDREKKRLQARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDAYFDMMTKLSTNQKLSSRVRFMLRDAIDLRKNKWQQRMKVEGPKKIEEVHRDAAQERQSQSSRLARGPINSNVPRRGQAVDYGPRGSMPLTSPNSQQVGGLRGLPFQSHGYGKQDVRVEDRHQFETRTMSLPLQQRSTDDDSITLGPKGGLARGMSIRGHPSISNVPATETSLAVEHRRITSGPNGTSYMADRLSGATSDQLNLQVHSSYYGVRDFKSSDHPFERSVPSILPSGRTHGTSGGSLNSVSETRTISEEVLREKSILAIREFYSAEDEKEVVLCIKELNAPSFHPSVISLWVIDSFERKDVERDLLAKLIVKLCKSRDSFLDKDQLLQGFESVISSLEDAVNDAPKAPEFLGRIFAKVVMEDMAPLRDIGRLLCEGGEESGCLRESGLAADVLGNIFETIKLERGDTVLDEIRASSNLPLQDFRPPHPIKSKLDTFF >Ma10_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29326391:29331656:1 gene:Ma10_g18390 transcript:Ma10_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g13230, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13230) UniProtKB/Swiss-Prot;Acc:Q9LYV3] MIRFLRLRGPKFWPVFSFVQRNTSSAHLRRRSSLSSAALYAVEGEDYIGRSPVFDSYAYARAFQECIAEVDHVRGRAVHCHSLKHGGCLDLFCLNILLNMYAKFDIVDDACLLFAEMPERNMVSFVTLIQAYAKCGKLIEAVQLFHRLHREGHQLNQFVFTTVLKLFVVMELPELGRHVHACICRLGHESNAYVGAALLGTYSLCGYVDEARKVFDGIVEKDIVAWTGMISCYADNDCAEDALQLFSRMTISGLRPNNITLTSLIKASVSLSSLTLGKSIHGCSIKTCYELDRYVGGSLLDVYAKYGDIKDARAIFEAVPQNDVILWSFMIACYAQIDQNEEALVIFMRMMRVPVEPNEYSFSSVLQACANSRGLDMGRQVHGRVVKIGLDTEIFVANPLINLYAKCGNMDASMVIFSDLSNSNDVSWNTLIVGYMQLGFGEEALKLFSQMHVAQVPKSQVTYSSALQACVSIAAIEQARQVHSLIAKTTLSDDAVVSNSLIDTYAKCGSIKDAHKVFDVMKECDLISWNALICGYALHGLGTNALGLFKKMRDNKVEPNGVTFVGVLSACSNVGLVDQGLSYFNSMAEDYGIVPSMEHYTCMASLLGRSGRLNEAKEFIDRMTVKPSVMVWRALLGGCLIHKNIELGNLCAERILEMEPQDETTYVLMSNLYAAGKSWDHVALVRKSMRAKGVKKEPGRSWIEFHGEIHSFCMGDYSHPDTRAINAMLEWLHIKVNRAGYVPDVNVVLHDIDDDKKERIVWVHSERLALAFAVIRLPPGSPICIIKNLRFCQDCHAAFKVISKVIQRDITVRDINRFHHFEDGTCSCGDYW >Ma10_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4470282:4472411:-1 gene:Ma10_g01330 transcript:Ma10_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSEDYAGGVFYPSSSFSAAVAPPEPGKSRPETLTTTVEVAIDLEDGATSEAEKDAAYSMAIEVTVVGSENRGFVATVDAGAAHEKTRESAEIGLVDVKTVDEGHISTAEVGVEADAGGVGDQNVQVQRQEPSERRKRGRPRRSTVENVQHARYLQSFQDEQKDGFAVSDLVWGKVRSHPWWPGQIFDAADASDMALGAQKEGHFLVVYFGDKTFAWCDESHLKPFQMYFTRLEKQDSSDVFGTAVNEALGEVSRLLELGMACNCSGDEAYAVVKNRKVENAGVREGTCSSDVDKFWIVNSFEPRKIIDYVRMLGIFPCNGADSLDLVIAKAQLKAFCHSDRYLELSAFVLGQGIENAGESSASGERNRGNDFDLSIPVSSDSSSQKDQLSSRRATSAKKKHVSENGRKKKSLSELMDEKSFSHSTDGCRSGSGVRDCVHTLGSRQADASSHPGFSGKSKMKKLDTLGDLTTQSLYCDKPLKIRERIGRVERKRTQSPAMIKKSPSGLGHRRSAVPDETNLRLVNPRVKGDDLKDFTPYEMLSQLCLAAISPLKTYSFLNTTVSFFTEFSNWIVFSSDDNTLSEIFGGKQRRRKLSYFETATLDLATPDYIQDSYWSDIIVCSNFDEEHGSEGQKRKSESQVKRQKKRKKPKEQPELFIPSGSVPEAEQYLQIGSASAGIVHELETESPLDSLEEDVYGCISINQV >Ma05_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16230144:16230839:1 gene:Ma05_g16330 transcript:Ma05_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGCVQVTLATLLLKGYMEATNKGFYYNGTLQALIYERCWVQVAQDFNSISLIGEILFTKGQQHLFDRQRGCKNAIVSGAAPEPDGGFDGSSRVEVIGGRSSSK >Ma03_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7247376:7247706:-1 gene:Ma03_g09770 transcript:Ma03_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVALATSSLERRCRPDDEQQCAAVSSSNPLTNSCASPVRRNARKNKGCHFAASYSQIDSEELYLKGILLVPMYRG >Ma03_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1351225:1352321:-1 gene:Ma03_g01980 transcript:Ma03_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREARDLMSVDSFSQLPFIRPGPKPSTAASGIRLFGIEVPHHHNAKEDNASKDHAATATTSTNGGGETARKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRAHLQSAAIAAVHHSQAAIYGHHVHGLFNYHHPLTPLSPAAARLAVDSSAIPPRYPYGHTANARSGACFHGCLGPVAQPITVSPLPSLWRAPGIVHGGAASVELIHGHSRVPSAVARGDHEPRFTGSVDGGVRMMGGNNLDTAAASSATPKTQFAHQLMPNMKENVSLDLHL >Ma01_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10127864:10132489:1 gene:Ma01_g13820 transcript:Ma01_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPSPRSTPTTPRYHSKTTNLTPTPQQSKHRLLHFPSPNPIAKAPAAAAPTPPPPPGEHPVEVIGRIRNYPADHRKDKPTSSVLEISGDGRSLRVRTDVGYRDFALDGVSLSEHEDLEAFYRRFVGSRVEGVRLGAKCTIMMYGPTGSGKSHTMFGCPKQPGIVYMALRDILGGGGEGDGPDGSSSDAAVDGDDDEGGGLLSGLFVKVAVLEIYNEEIYDLLSGAPNGAGGGGGGPTVGPPKGNNTPKVRLEVMGKKAKNASYICGNEAGKITREVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQIGLEAKMQTAKINQGNVALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDRSKILMILCASPDPRETHKTVSTLEYGAKAKCIIRVAHMPTPKEKVDADESSLLLRSRIVAMNQFIYKLQMENKLKEKECDDAHKKLLQKESELTELRAKVRLFEEREAEVKEEEINLKVGQRTKTLRLELMKMEDRMLQQQEELNMLRQRLEEVELERGKISGDVLQDMDGGSLMKRLETFAREQGMVKSMDLDMGDQQDTYEVKEIKEDSNQLESYQKLSQLSPCLLAFEEDTGAHMLRLPDKVCLSTVFEGDEEGEDRESIEDEVDKEVVDENMNHAIKINGLISLVDSGLNGDNDHAEYGSDLMQKHVGAESNFFENTRDPVSARKTRIQNIFRLCGNYRELAQQVKVSSPLKSRHQDENRQSPLANGKEFGSKLGSKADQSQLTPKSKFLPESPVMESPDSAILVPFASLQLRDEEKSTDQHSKRCVSSEPSKAFKENYSPGQVGTDGFVDIYVKWEASKEFSGNLIRKLKVSKNSSLADLRKLVEISLEEGNNKFTFLMLGDPSGAPVAKEREACTQVNKLPICNNQLNSHLACLRPIKKGIQKPDHVPFDSIENTLPVKKGIQKPDHVPLDSIENTLPVGQNSQFSEVLSPKFSEINANCLTGLQV >Ma00_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43646057:43647044:-1 gene:Ma00_g05010 transcript:Ma00_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAIRGTVLLRQAGTSDTKEVVSISEQGRGIPIPKSAGTDYRYEAVAGFNNDPPRLGLKPISIEQPTGPSFEVEEGGHMVRWAEWEFHLKADERAGVVVSRVRARDPDTGEWRGIMHKGFVSELFVPYMDPTYAWYFKTYMDAGEYGFSLQAMPLVLLNDCPRNAHYMDGVFAAADGRPYVRENMVCIFERYAGDIAWRHSESPITGMDVSVLIDLL >Ma01_p23680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28902805:28933084:1 gene:Ma01_g23680 transcript:Ma01_t23680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSAGGSGSSDHRRPPPSKESESDEGPSNTLWVGNLPADTTDSDVMAVLAKHGALDCTTMRGSRSWYTFVYFRDVDEAKAAKEALRGTTIHGTASRTEFARPPKAAKQIWVGGFNTSITKEQLEDEFSKFGKIEDYKFFRDRNSAIIEYHKLEDAIAAHKNMKGKRLAGELLCVDFLRSLPPRRDLPDRHDSRNGYFGNRTLGALERSVPPDDLRNFHNSSLLGSKRDMSHGAHRDGQPSNVLWVGYPPSVQIDEQMLHNAMILFGEIERIKCFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRIQILFSSSELARGKDNLPPFSALRTRRPEMFLDEGPFGPLEMLGPGRSIALDNFPGSLNPNGMPGTNIFKRSFGPQGFDARHVGPEFHESGGLAPNLFDVDASKSIPHSWRHRSPSAPGILPSPPGVLQAVRPMPEGWDGLDIRDTKRLRVDTSPTNNGLLHARRVDSSKIGEHPFLFSHPDGGASSRGQLGPAPHSHRDVHSSDKDYCWRGVIAKGGTHVCYARCIPIGKGIDSPLPDVVNCSARTGLDMLSKHYDEAISFDIVFFLPDSEEDFASYTDFLRYLGLKNRAGVVKLEDGTTLFLVPPSEFLTKVLGVSGPERLYGVVLNMPQQPISVAVQQSQLTVPPLTSHHTDRQNVPSSQKSYNFVSRIEDHASSVDYNQSLNEEMACHAVTEKFLIPHGNEQRLARTTALEQESNAALAASQLKFSLTPDLIATLASLIPNNNQSSASAAVQLPPSSTVRPTSSYAGMPDGSMQSQSWRQENQTSLSVTSMEQQSLQPHLGQYFSNQAQPVSQFQPYTNTSSGTDPSLQPLIGCVQIQNSALNMPQALAASTSMLNGYAISQHGQFSTTQSNQFYQFDTPVASRDNYGSSQTTHAADVVGSIVQQQPRLGSSSAHDRIGNMPQHQLYNPLPSEKGNMEIPTQGQQLQNVFSSYGQGTSQSDDDKNQRYQSTLQFAASLLLQIQQQQQASAHTAQGSGNQQ >Ma01_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28902805:28933180:1 gene:Ma01_g23680 transcript:Ma01_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSAGGSGSSDHRRPPPSKESESDEGPSNTLWVGNLPADTTDSDVMAVLAKHGALDCTTMRGSRSWYTFVYFRDVDEAKAAKEALRGTTIHGTASRTEFARPPKAAKQIWVGGFNTSITKEQLEDEFSKFGKIEDYKFFRDRNSAIIEYHKLEDAIAAHKNMKGKRLAGELLCVDFLRSLPPRRDLPDRHDSRNGYFGNRTLGALERSVPPDDLRNFHNSSLLGSKRDMSHGAHRDGQPSNVLWVGYPPSVQIDEQMLHNAMILFGEIERIKCFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRIQILFSSSELARGKDNLPPFSALRTRRPEMFLDEGPFGPLEMLGPGRSIALDNFPGSLNPNGMPGTNIFKRSFGPQGFDARHVGPEFHESGGLAPNLFDVDASKSIPHSWRHRSPSAPGILPSPPGVLQAVRPMPEGWDGLDIRDTKRLRVDTSPTNNGLLHARRVDSSKIGEHPFLFSHPDGGASSRGQLGPAPHSHRDVHSSDKDYCWRGVIAKGGTHVCYARCIPIGKGIDSPLPDVVNCSARTGLDMLSKHYDEAISFDIVFFLPDSEEDFASYTDFLRYLGLKNRAGVVKLEDGTTLFLVPPSEFLTKVLGVSGPERLYGVVLNMPQQPISVAVQQSQLTVPPLTSHHTDRQNVPSSQKSYNFVSRIEDHASSVDYNQSLNEEMACHAVTEKFLIPHGNEQRLARTTALEQESNAALAASQLKFSLTPDLIATLASLIPNNNQSSASAAVQLPPSSTVRPTSSYAGMPDGSMQSQSWRQENQTSLSVTSMEQQSLQPHLGQYFSNQAQPVSQFQPYTNTSSGTDPSLQPLIGCVQIQNSALNMPQALAASTSMLNGYAISQHGQFSTTQSNQFYQFDTPVASRDNYGSSQTTHAADVVGSIVQQQPRLGSSSAHDRIGNMPQHQLYNPLPSEKGNMEIPTQGQQLQNVFSSYGQGTSQSDDDKNQRYQSTLQFAASLLLQIQQQQQASAHTAQGSGNQQ >Ma01_p23680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28902805:28935064:1 gene:Ma01_g23680 transcript:Ma01_t23680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNSAGGSGSSDHRRPPPSKESESDEGPSNTLWVGNLPADTTDSDVMAVLAKHGALDCTTMRGSRSWYTFVYFRDVDEAKAAKEALRGTTIHGTASRTEFARPPKAAKQIWVGGFNTSITKEQLEDEFSKFGKIEDYKFFRDRNSAIIEYHKLEDAIAAHKNMKGKRLAGELLCVDFLRSLPPRRDLPDRHDSRNGYFGNRTLGALERSVPPDDLRNFHNSSLLGSKRDMSHGAHRDGQPSNVLWVGYPPSVQIDEQMLHNAMILFGEIERIKCFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRIQILFSSSELARGKDNLPPFSALRTRRPEMFLDEGPFGPLEMLGPGRSIALDNFPGSLNPNGMPGTNIFKRSFGPQGFDARHVGPEFHESGGLAPNLFDVDASKSIPHSWRHRSPSAPGILPSPPGVLQAVRPMPEGWDGLDIRDTKRLRVDTSPTNNGLLHARRVDSSKIGEHPFLFSHPDGGASSRGQLGPAPHSHRDVHSSDKDYCWRGVIAKGGTHVCYARCIPIGKGIDSPLPDVVNCSARTGLDMLSKHYDEAISFDIVFFLPDSEEDFASYTDFLRYLGLKNRAGVVKLEDGTTLFLVPPSEFLTKVLGVSGPERLYGVVLNMPQQPISVAVQQSQLTVPPLTSHHTDRQNVPSSQKSYNFVSRIEDHASSVDYNQSLNEEMACHAVTEKFLIPHGNEQRLARTTALEQESNAALAASQLKFSLTPDLIATLASLIPNNNQSSASAAVQLPPSSTVRPTSSYAGMPDGSMQSQSWRQENQTSLSVTSMEQQSLQPHLGQYFSNQAQPVSQFQPYTNTSSGTDPSLQPLIGCVQIQNSALNMPQALAASTSMLNGYAISQHGQFSTTQSNQFYQFDTPVASRDNYGSSQTTHAADVVGSIVQQQPRLGSSSAHDRIGNMPQHQLYNPLPSEKGNMEIPTQGQQLQNVFSSYGQGTSQSDDDKNQRYQSTLQFAASLLLQIQQQQQASAHTAQGSGNQQ >Ma11_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1173103:1184901:-1 gene:Ma11_g01670 transcript:Ma11_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRVLRKKGTSASFLNAFLSTHSKDATHVIYGSFGQILDSDVLPRVAANASVLSNNLTIRSFHASRNMLTWRRKREDAPGLKAPRKEKHVRRETRTQAPVETPYVPPRQKPSAKSFHDKTVEIFEGMTILELAKRTGASIGSLQEIMKNVGEKVDSEFDPISVDVAELVAMEVGVNARRLHSDEGAVLQPRAPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVDMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAISHAKAANVPIVVAINKCDKPSADPQRVRIQLGSEGLPLEDLGGDVQVVEVSATSKIGLDKLEEALLLQAEMMDLKARIDGPAQAYVVEARVDRGKGPLATAIVKSGTLLCGQHIVVGAAWGRIRTIRDMVGRATESGQPAMPVEIEGLKGVPMAGDDVTVVGSEERARMLSAGRKKKLEKDRLHKASEMTTDIPDASEEVPKRVELPIIIKADVQGTVQAVTDAVRSMNNAQVFVNVIHVGVGPISESDIDLAEACGACIVGFNIRNPPTAITHAANQANIKICLHRVIYHLLEEIGKYIIDKAPGTFETKVAGEAEVLSIFELKGRSKSKGPDIKIAGCRVVDGRFIKSSTMRLLRSGEIVFEGSCASLKREQQDVEAVSKGNECGLVIQDCDDFQVGDIIQCLEQVNKKPKFVSTVGGAVRIEC >Ma05_p26440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38045844:38046776:1 gene:Ma05_g26440 transcript:Ma05_t26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTIEYCDLRLVLVQFVEETALFLCLMVKWLLLPSYGWWPAAAISSSLEDSTVAEAKARHCAAARAVRESLHVSTYAELVGEQEESATADAAAAAAATTCAVCLSEVGRQDSVWELRNCRHVFHHGCLDRWLDHDEHLSCPLCRAPLLATRPSASPPPPPPASEPSWAVERLLYLFGDDLLLASPT >Ma10_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29001111:29003424:-1 gene:Ma10_g17730 transcript:Ma10_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSSRHLSSLFRRSSSLLHLLQLHSLILKAALDHRPSVASKLISSLWPFSLAHARSVLSNLASPPPLFAWNSLIRAYADSDSPQEAIHLFSALRRAGNGRPDNLSYPFVLRACGRASVLRVGEMVHGVVLKAGFFLDLYVGNTLLHMYACCGVSKCAREVFDEMGVRDVVTWSSMIQGYLACGHSVEALMVFLEMRSANVKPNSVTLISLLSASSYLASPCTGRAIHSYIVVNNIKLDVALGAALVSMYAKCGLLEEAFQVFKSLQEQDLQSWTIMIAGFVDHGQWEKAIDLFSQMEASGVRPDSTIFSVILCACSHLGMVDVGQTMFSRMVNEFHIKPTIEHYGCMVDLFGRAGLLETAYEFIKNMSITPNNVILRSFLGACRKSGKSFDISDDLLKLLLEEEPDLGSNYVIAANMSALSGKWNDVAELRSNISKKGLKKVPACSWVEGNAEVPEWELLETSG >Ma01_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11260782:11261245:1 gene:Ma01_g15540 transcript:Ma01_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNRLLFRHKLRITLAHQMTQMVLIKN >Ma09_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8309145:8312172:-1 gene:Ma09_g12320 transcript:Ma09_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIQKELQDLQRDPPASCSAGPVGEDLFHWQATIMGPSDSPYAGGVFFVTIHFPPDYPFKPPTVNFQTKVYHPNINSNGSICLDILKDQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHLYKNQRPRYEEMARSWTQKHAMG >Ma04_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24657907:24667690:1 gene:Ma04_g22300 transcript:Ma04_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRRSWPWKKKSSDKTVITTDSSTSTLSNSGGNQADQDVNSTVKYVQISAESYAHLTELEDQVKILQEKLSTAQTEMTTKDNLVKQHAKVAEEAVSGWEKAEAESSALKHQLESVTLLKLTAEERASHLDGALKECMKQIRNVKEESEQKLHDVVFAKTKQWEKLKAELEAKLDYFEQELLRASAENSALSRSLQERSDILMKITDEKMQADCEIEVLNNNILSCEKEINSMQYELHVISKELEIRSEEKNMSIKSADAANRQHLEDVKKMSKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDHGETRLRRSPAKNPSPPYISTPAADFASESIHTMHKENEFLTARLLTIEEETKMLKEALSKRNSELQASRNMYAKTASKLRSVEAQMLTLNQQKISSNPTFDISSDTNLSQNESNPPSLTSMSEDGIDEAESYSESWSAALMLELSQFRKEKDTVKHKNTVNSKNLELMDDFLEMERLACMSTESNGTITIPGGVLDKMKTENAGGMLLADILDSTSKGQQFTSEKAETLPCANKKHSEGELAMSKLSSLLRKLQTRIVSTFKLLDQEVDIGRVLEDIRRILQETQEELPQNSVSCIIKENYSIDAPCQQKACDDDTDKATNIGFSFKHDKVSYADDKHELGLQLRNAISEVQDFVISIGKESLGPQDRQSDVQGLNEKIQQFSSYVEDILYNGKSLNDFIPILSHILSEAGKMGFKMTFNIGKEWDNNISDCIDKVTLLENRVAHQDPRNETFSGRSMALSQSSSHPDIEGPTSDSFEQRNTMHKLSVKDFEEMRLEKENMQLELSTCSKLLEETKLQLVETEQNLADLRSQLAASQKSNSLSETQLKCMAESYKLLESRAQQLDAEINLLRTEVQTLKNELLEERQIHQDDLTKLRDLQEQFERNEKSKMCSDADIDTEAKQEKEIAAAAEKLAECQETILLLGRQLQAFRPSAEQSDTFPNSRHLMNFSYLEDVLDASDFSAQNMYKARHSVSETESAAAFITPRAGGESPLDGYNSQISPSDAEASSFPKSPINSKHQKHRPSRSSSSTFPNALTEKHGRGFSRFFSKGRN >Ma04_p22300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24657907:24667690:1 gene:Ma04_g22300 transcript:Ma04_t22300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRRSWPWKKKSSDKTVITTDSSTSTLSNSGGNQADQDVNSTVKYVQISAESYAHLTELEDQVKILQEKLSTAQTEMTTKDNLVKQHAKVAEEAVSGWEKAEAESSALKHQLESVTLLKLTAEERASHLDGALKECMKQIRNVKEESEQKLHDVVFAKTKQWEKLKAELEAKLDYFEQELLRASAENSALSRSLQERSDILMKITDEKMQADCEIEVLNNNILSCEKEINSMQYELHVISKELEIRSEEKNMSIKSADAANRQHLEDVKKMSKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDHGETRLRRSPAKNPSPPYISTPAADFASESIHTMHKENEFLTARLLTIEEETKMLKEALSKRNSELQASRNMYAKTASKLRSVEAQMLTLNQQKISSNPTFDISSDTNLSQNESNPPSLTSMSEDGIDEAESYSESWSAALMLELSQFRKEKDTVKHKNTVNSKNLELMDDFLEMERLACMSTESNGTITIPGGVLDKMKTENAGGMLLADILDSTSKGQQFTSEKAETLPCANKKHSEGELAMSKLSSLLRKLQTRIVSTFKLLDQEVDIGRVLEDIRRILQETQEELPQNSVSCIIKENYSIDAPCQQKACDDDTDKATNIGFSFKHDKVSYADDKHELGLQLRNAISEVQDFVISIGKESLGPQDRQSDVQGLNEKIQQFSSYVEDILYNGKSLNDFIPILSHILSEAGKMGFKMTFNIGKEWDNNISDCIDKVTLLENRVAHQDPRNETFSGRSMALSQSSSHPDIEGPTSDSFEQRNTMHKLSVKDFEEMRLEKENMQLELSTCSKLLEETKLQLVETEQNLADLRSQLAASQKSNSLSETQLKCMAESYKLLESRAQQLDAEINLLRTEVQTLKNELLEERQIHQDDLTKLRDLQEQFERNEKSKMCSDADIDTEAKQEKEIAAAAEKLAECQETILLLGRQLQAFRPSAEQSDTFPNSRHLMNFSYLEDVLDASDFSAQNMYKARHSVSETESAAAFITPRAGGESPLDGYNSQISPSDAEASSFPKSPINSKHQKHRPSRSSSSTFPNALTEKHGRGFSRFFSKGRN >Ma04_p22300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24657907:24667690:1 gene:Ma04_g22300 transcript:Ma04_t22300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRRSWPWKKKSSDKTVITTDSSTSTLSNSGGNQADQDVNSTVKYVQISAESYAHLTELEDQVKILQEKLSTAQTEMTTKDNLVKQHAKVAEEAVSGWEKAEAESSALKHQLESVTLLKLTAEERASHLDGALKECMKQIRNVKEESEQKLHDVVFAKTKQWEKLKAELEAKLDYFEQELLRASAENSALSRSLQERSDILMKITDEKMQADCEIEVLNNNILSCEKEINSMQYELHVISKELEIRSEEKNMSIKSADAANRQHLEDVKKMSKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDHGETRLRRSPAKNPSPPYISTPAADFASESIHTMHKENEFLTARLLTIEEETKMLKEALSKRNSELQASRNMYAKTASKLRSVEAQMLTLNQQKISSNPTFDISSDTNLSQNESNPPSLTSMSEDGIDEAESYSESWSAALMLELSQFRKEKDTVKHKNTVNSKNLELMDDFLEMERLACMSTESNGTITIPGGVLDKMKTENAGGMLLADILDSTSKGQQFTSEKAETLPCANKKHSEGELAMSKLSSLLRKLQTRIVSTFKLLDQEVDIGRVLEDIRRILQETQEELPQNSVSCIIKENYSIDAPCQQKACDDDTDKATNIGFSFKHDKVSYADDKHELGLQLRNAISEVQDFVISIGKESLGPQDRQSDVQGLNEKIQQFSSYVEDILYNGKSLNDFIPILSHILSEAGKMGFKMTFNIGKEWDNNISDCIDKVTLLENRVAHQDPRNETFSGRSMALSQSSSHPDIEGPTSDSFEQRNTMHKLSVKDFEEMRLEKENMQLELSTCSKLLEETKLQLVETEQNLADLRSQLAASQKSNSLSETQLKCMAESYKLLESRAQQLDAEINLLRTEVQTLKNELLEERQIHQDDLTKLRDLQEQFERNEKSKMCSDADIDTEAKQEKEIAAAAEKLAECQETILLLGRQLQAFRPSAEQSDTFPNSRHLMNFSYLEDVLDASDFSAQNMYKARHSVSETESAAAFITPRAGGESPLDGYNSQISPSDAEASSFPKSPINSKHQKHRPSRSSSSTFPNALTEKHGRGFSRFFSKGRN >Ma08_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:737227:744254:1 gene:Ma08_g00790 transcript:Ma08_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAFRPEPILCFSPARSKRNARSSRVSMASTIRSSSATKVETPRKPFTPPREVHVQVTHSMPPQKIEIFKSLEDWAENNILVHLKPVEKCWQPQDFLPDPSSESFYEEVKELSERSKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMYDGRDDNLFEHFSAVAQRLGIYTAKDYADILEFLVTRWKVGELTGLSGEGNKAQDFVCTLAPRIRRLEERAQGRAKKAPAIPFSWIYDREVQL >Ma09_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11381798:11384059:1 gene:Ma09_g16050 transcript:Ma09_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVVFVSPATVRRPSTTAEHRRATLRGLDSCRSMPELRLYHSQLIRLGLSEDNDAVGRLIKFLALHPSGDLPYALHLLGLLPRPDPFVFNTLLGALPSPAESLLLYSDMLLRYVAPNSFTFPSILKPISAGRDIDAGRQVHAHVLKLGFHPDVFSQNNLIRFYLSCGLTVDARRVFDTMARTDVVSWTTMISGLSKLGSVDEARELFDRMPERNSVSWNAMIAGYVQCGRFKNAFELFSRMRTEGIELNKFVAASMLAACSGMGALEQGEWIHGHIERMGIELDPKLGTTIIDMYCKCGCLDKAFKVFRGLSCRGLSSWNCMIGGLAMHGLGKEAVKLFDEMMKEEVIPDDITLLNVLSACAHAGLISEGRHYFYYMVREFRIEPKMEHFGCMVDLLGRAGLLDEAKQVIQEMPMDADAGVLGALLGACKIHGNVDLGEQIGRRVIELDPLNSGRYVLLANLFASAGRWDDAAEVRRLMNDRGVNKEPGCSMIEMGGVVSEFIAGGKSHPQAREIYVMVDEMLERIKAEGYAPDTSGVLHDIDEEEKENPLYYHSEKLAIAFGLLNTRSGDTIRITKNLRVCRDCHAASKLVSKVFDREIIVRDRNRFHHFMGGECSCRDYW >Ma07_p28510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34521574:34525118:1 gene:Ma07_g28510 transcript:Ma07_t28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRQHLLRLLFVLVLGALAAAAEDAAEARALLDLKTTVSDPSASLSAWNSSAGVGHCSWPGVGCDPIQGSVVSLDLTGFNLSGSLFPAVGRLRHLLNLSAASNSLSGPIPAEISRLASLRHLNLSNNLLNGSFPSALARLKNLLVLDLYNNNLTGPLPVEVAQLPNLRHLHLGGNFFTGVIPPEFGRWEFLEYLAVSGNELGGPIPPELGNLTRLRQLYVGYFNSFEGGIPPEIGSLPALVRLDMANCGLNGEIPPELWKLQNLDTLFLQVNGLAGDIPPELGRLRSLKSMDLSNNALTGEIPATFADLENLTLLNLFRNKLRGLIPEFIGELPALEVLQLWENNFTGGIPRQLGRSGRLQLLDLSSNKLTGTLPTGLCSENTLETVIALGNFLFGPIPETLGRCKSLSRIRMGENYLNGSIPDGLFSLPMLSQIELQDNLLTGGFPDTGAAAISPDLGEINLSNNRLSGSIPPSIGNYSGVQKLLLNQNQFSDRIPPEIGRLQELSKVDFSGNRFSGPITPEISRCKLLTFVDLSRNELSGEIPPEIARMRILNYLNLSRNQLEGGIPPSIATMQSLTAVDFSYNKLSGHVPGSGQFSYFNASSFVGNPDLCGPYVGPCNSVTNGNGSTHARGPLSAPFKLLLVTGLLICSIAFAVAAIIKARSLKKASEARAWKLTAFQRLDFTCDDVLECLKEENIIGKGGAGIVYKGVMPNGEQVAVKRLPAMSRSSPHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHSNKGGHLLWNTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSYEAHVADFGLAKFLQDSGSSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGEGVDIVQWVRKMTECGSNRGGVPQIVDPRLPTVPMDEAVHVFHVAMLCVEEQSVERPTMREVVQILMDAPKAAPEQGDDCEAPTKEAKEHQQPAQTSPPPDLLSI >Ma07_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32172490:32178561:-1 gene:Ma07_g25030 transcript:Ma07_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNAEEGRSYARRDQLLKIQSEVQKRWEAHKIFEADAGSKSPKKGEKFFGNFPYPYMNGLLHLGHAFTVSKLEFGAAYHRLRGCNVLLPFAFHCTGMPIKASADKLAREVELYGNPPVFPSVEEDSKTEGPDENKSEEGNVVAPDKFKSKRSKAAAKSGGYKSQWDIMRSFGLSDDEIAKFQDPCHWLSYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDSFVRWQMKKLKDMGKIVKDMRYTIYSPLDGQPCADHDRASGEGVQPQDYVLIKMEVLPPFRTKLKVLEGRRVYLAAATLRPETMYGQTNAWVLPDGEYGAFEINETDVFIVTYRAALNLAYQNLSRIPEKPTCLLELSGHDLIGLPLRSPLAFNEVIYSLPMLTILTDKGTGIVTSVPSDSPDDYMALNDLKLKPALRSKFGVKDEWVLPFEVIPIINIPEFGDKSAEKVCVDLKIKSQNDKEKLAEAKKLTYLKGFTDGTMLVRDFKGVKVQEAKPLIRNKLLETGDGVMYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKKEAEDCLAHMNLYCKETRNGFEHTLSWLNQWACSRSFGLGTRLPWDEQFLVESLSDSTLYMAFYTIAHLLQGPDMYGSDHSSVKPEQMTDDVWDYVFCGGPLPKTDIPVSLLNKMKLEFEYWYPFDLRVSGKDLIQNHLTFCIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFSLADAGDGMDDANFVFETANAAILRLTKEIAWMEEVLAAESTLRVEPPTTYADFVFANEINIAVKSTEQHYNDFMFRDALKSGFYDLQAARDEYRFSCGAGGMNHDLLWRFMDVQTRLITPICPHYSEHVWTNILKKDGFVINAGWPLHDAPDLTLKIANKYLQDSIVLMRKLLQKQASGPKKAKKGIAVPVAEENKLTIGLIYVNEQFDGWKEECLRILQSKFDGDRRAFAPDQEILEALKQSAVGQAANFKQIQKLCMPFLKFKKDEALSVGPQALELKLPFGEIQVLQENSDLIKRQLGLEQVEILSASDEVARSKAGPHVSLLTQNPPSPGNPIAIYMSKLEYSTAELHIVS >Ma06_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10163434:10164300:1 gene:Ma06_g14910 transcript:Ma06_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIQVPGVIVTPLHNQEVPFRALNLVQWADDDALSAEAPPDSAAQGPTGSGGVLGGERDGAAEPVAGVGDGVHRLESREPGGGGGAAALRQGRQAAATAFGLQYSRFSLECLDPKEMLIDVGSRNFFLCLNPATFHKLLATTVRMIDDLRPFYSHHCSASAPCSQYTQKPFETMNIIPISPSQPQRAESTYCKHSSAQPVVTPQRSRQTLLQ >Ma06_p14910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10163227:10164300:1 gene:Ma06_g14910 transcript:Ma06_t14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYPSGYRTLQLFMKDIQVPGVIVTPLHNQEVPFRALNLVQWADDDALSAEAPPDSAAQGPTGSGGVLGGERDGAAEPVAGVGDGVHRLESREPGGGGGAAALRQGRQAAATAFGLQYSRFSLECLDPKEMLIDVGSRNFFLCLNPATFHKLLATTVRMIDDLRPFYSHHCSASAPCSQYTQKPFDELTSTHHDRTMNIIPISPSQPQRAESTYCKHSSAQPVVTPQRSRQTLLQ >Ma06_p14910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10163227:10164300:1 gene:Ma06_g14910 transcript:Ma06_t14910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYPRTLQLFMKDIQVPGVIVTPLHNQEVPFRALNLVQWADDDALSAEAPPDSAAQGPTGSGGVLGGERDGAAEPVAGVGDGVHRLESREPGGGGGAAALRQGRQAAATAFGLQYSRFSLECLDPKEMLIDVGSRNFFLCLNPATFHKLLATTVRMIDDLRPFYSHHCSASAPCSQYTQKPFDELTSTHHDRTMNIIPISPSQPQRAESTYCKHSSAQPVVTPQRSRQTLLQ >Ma07_p11710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8707298:8724689:-1 gene:Ma07_g11710 transcript:Ma07_t11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRLVAVIKNPSDEDFLVVRQAPPPPLPEEEYRSFVDSDLWDLPSAPLNPLGGDRRSETVVEGAYSLLDDLDLEKFDVDSALDEVLSLSYLESPLEGRWSVWKFVKEPEFGPGPPVNVLFILGIVKSKEGILKESSQWLSKESALMLLQEVKASATRVGPFVFMGIVPGVAKATNVSATCTLHYQEYPPGITLVPMKSRTREPFHTTNLIVVVADDAINEQKDSSFVTYGNSLLVDPGCSSRFHPDLADLVAKLPRKLVVFVTHHHYDHVDGEWSLCRTLISGGEKIQIGDHRFEVIFAPGHTDGHLALLHVSTNSLIVGDHCVGQGSAVLDVRAGGNLKDYFQTTYRFLDLSPHVLIPMHGRINLWPKRMLCGYLKHRRDRELSILNAIENGSKTLFDIISKSYADVDIKFWLPASSNVRIHVDHLAYQEKLPKDFSIQKFQASCGSHFLCRWSWTYLKSRGIVKVIGVIGAASFAIAFSVKEKFSG >Ma07_p11710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8708206:8724689:-1 gene:Ma07_g11710 transcript:Ma07_t11710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRLVAVIKNPSDEDFLVVRQAPPPPLPEEEYRSFVDSDLWDLPSAPLNPLGGDRRSETVVEGAYSLLDDLDLEKFDVDSALDEVLSLSYLESPLEGRWSVWKFVKEPEFGPGPPVNVLFILGIVKSKEGILKESSQWLSKESALMLLQEVKASATRVGPFVFMGIVPGVAKATNVSATCTLHYQEYPPGITLVPMKSRTREPFHTTNLIVVVADDAINEQKDSSFVTYGNSLLVDPGCSSRFHPDLADLVAKLPRKLVVFVTHHHYDHVDGLSVIQKCNPDAVLLAHENTSRHIGRGEWSLCRTLISGGEKIQIGDHRFEVIFAPGHTDGHLALLHVSTNSLIVGDHCVGQGSAVLDVRAGGNLKDYFQTTYRFLDLSPHVLIPMHGRINLWPKRMLCGYLKHRRDRELSILNAIENGSKTLFDIISKSYADVDIKFWLPASSNVRIHVDHLAYQEKLPKDFSMEDFIRSYEMFLEEMGVSNTEE >Ma07_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8707298:8724689:-1 gene:Ma07_g11710 transcript:Ma07_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRLVAVIKNPSDEDFLVVRQAPPPPLPEEEYRSFVDSDLWDLPSAPLNPLGGDRRSETVVEGAYSLLDDLDLEKFDVDSALDEVLSLSYLESPLEGRWSVWKFVKEPEFGPGPPVNVLFILGIVKSKEGILKESSQWLSKESALMLLQEVKASATRVGPFVFMGIVPGVAKATNVSATCTLHYQEYPPGITLVPMKSRTREPFHTTNLIVVVADDAINEQKDSSFVTYGNSLLVDPGCSSRFHPDLADLVAKLPRKLVVFVTHHHYDHVDGLSVIQKCNPDAVLLAHENTSRHIGRGEWSLCRTLISGGEKIQIGDHRFEVIFAPGHTDGHLALLHVSTNSLIVGDHCVGQGSAVLDVRAGGNLKDYFQTTYRFLDLSPHVLIPMHGRINLWPKRMLCGYLKHRRDRELSILNAIENGSKTLFDIISKSYADVDIKFWLPASSNVRIHVDHLAYQEKLPKDFSIQKFQASCGSHFLCRWSWTYLKSRGIVKVIGVIGAASFAIAFSVKEKFSG >Ma01_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1403826:1405864:-1 gene:Ma01_g02100 transcript:Ma01_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEGRKSFPYVSRCDLSGREGHVAAADLDGTLLVSRSSFPYFFLLAVEAGSLLRGAALLLLSPLILLVYKLFSEAAGIQILIYVAVAGLRARDVELAARAVLPRFYAADVRADSWRAFRACGRRRVLVTANPRVMVEAFARECLGADKVLGTELEVDERTGRATGRVAEGGVLVGPRKKEAVEREFGAAPPDLGLGDRESDHDFMALCKEAYMVPPDPKAARVPPEQLDSLIVFHDGRLVQRPDPRVALLVLLWLPLGFALALFRVFFNLLVPGPFVRHTYRRTGIRLSIRGSPPPPPSPGSPGSLFVCNHRTALDPILVSVALGRLVSCVTYSVSRFSTTLSPIPAIALSRDRAVDAARIAALLKEGDLVICPEGTTCREPFLLRFSSLFAELSDRIVPVAINTRLSMFHATTVRGFKFMDPYFFFMNPRPTYEVTFLEPLREEQTCKGGRSPVEVANHVQKVIAGALGFECTALTRKDKYMKLGGNDGDIRSLSSSFKND >Ma05_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8706733:8708492:1 gene:Ma05_g11960 transcript:Ma05_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMPLSPYLAAVSLLLAAAFSSGWTLHAAAELPRFREAPAFRNGPGCASAPTIHIAMTLDATYLRGSLAGVLSILRHSSCPESISFNFLATRPRRFRPAVSASFPSLSFDVYRFDPALVRGRISSSVRRALDQPLNYARIYLADILPRSVHRVIYFDSDLVVVDDVARLWATDLSPDHVLAAPEYCHANFTSYFTDRFWSDPVYPDALNDRRRSPCYFNTGVMVMDLDRWRAGGYTRKLEAWMEVQKREARIYELGSLPPFLLVFAGEVKGVEHRWNQHGLGGDNVEGLCRDLHPGPVSLLHWSGKGKPWLRLDAGRPCQLDALWAPYDLLRRDGRDDLFADI >Ma10_p31500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37623033:37624123:-1 gene:Ma10_g31500 transcript:Ma10_t31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEGAVGRYKRATAPLDETARARLWDSAGGEPAEESPDSADELADLVDSFYDVEEDPEARDGRKRRSDGSRLRLWREEGLQGGDWWRSLEAVLAESEGDSMSGRIRATAEGAFEVVGSTKGAKRRVVSLLRKKGLDAGLCKSSWERADRVPGGYHEYIDVIARGGARYIVDINLAAEFEIARPTTDYVMLLRVLPAVFVGSRDLLERVVKLMCAAAAESIRGAGMHVPPWRRREYVRAKWFSSYGRTAGGVLAEKGAVWVAGEAAGVSCWWWEAV >Ma03_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28667543:28669177:-1 gene:Ma03_g24400 transcript:Ma03_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIDLLCVVGAAIVAAVWWRRCSRTPEGLPPSPPGWPVVGNLFQVILQRRPFMYVVRDLRERYGPIFTMRMGQRTLIIVSSPDLIHEALVQMGPLLASRPADSPTRLLFSSGNCTVNSAPYGPLWRALRRNFVSEIVCPARVKQFGWIRDWAMANHLARLRAEFEATGAVQMISNCRLTICSILACICFGARVPEHHVRVIEEVLKEVMMMTMPKLPDFLPVFTPFFRGQLTEARKLRKRQMDCLVPLLRARRAFVESGGKCDPSSPWEMVSPVGEAYLDSLLCLEPTGKGRLRDEELVTLCSEVMSAGTDTSATMLEWAMLHLVLDQSAQDQLYEEIVEKVGRDKGMKITESDVEGMSYLQAVVKETMRRHPPSHFVLSHAATRETELGGYRIPADASVEFYTAWVTENPSTWKDPGEWRPERFMKGGEGWETDITGTRGIRMMPFGSGRRICPAFTLGMLHIQLMLARMVREFRWVAVPGEQPDPAETFAFTVVMKDPLRAVIVERE >Ma11_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17883997:17884185:-1 gene:Ma11_g13500 transcript:Ma11_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSMLGAVLAILQWWGPNVTVVSMNKWLFQVKSISLSPFCSFFVCFFLRCGDFVPLSLFW >Ma04_p07010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5098354:5103002:1 gene:Ma04_g07010 transcript:Ma04_t07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGETRFYSMDFFELAKIIFGRVQKLEPENVVKIMGCIFLKEPSEQEMMQLAFGPDTMLLSKISDAKNMLGMFSPKNSMSDMQIGSYPPSVSHTFSSPINFHIPAPYWDPQLSSEQQCPSHNFDFVPRPYNTDSIGDRNSLQSQSQPMEQLDAVNHINNYYYHPEATAFGGGMASRTGRRSHSLSDLPTKACHYFNKGYCKHGMNCRYSHAQSFPDGYSHAFSSNLTDYANEDHVYTPKSLENLEMEITGILRSRRGVPVSIASLPMLYYEKYGKNLQAEGYLTESQRHGKSGFNLTKLLSHLRKSIRLIERPHGQHSVILAEDAPRYMECRNERNDLCSTVSSSHQIYLTFPAESTFTEDDVSNYFKQYGQVRDVRIPCQDKRMFGFVSFVHPETVNMILMKRNPHYICGARVLVKPYREKTKIIDRMYSENIKSIVRYPSHHPDMDREVTSVPEESESSRLLRYQLIEDKEMMELERGHRSKLNLAPKTLTHQHCLTQGMEDLTILEGPSNLLLNHFSYALDTLNNGCASDNKARQIGNSFSDQESNHLELPESPFASASVGSSISAVI >Ma04_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5098354:5103002:1 gene:Ma04_g07010 transcript:Ma04_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFELAKIIFGRVQKLEPENVVKIMGCIFLKEPSEQEMMQLAFGPDTMLLSKISDAKNMLGMFSPKNSMSDMQIGSYPPSVSHTFSSPINFHIPAPYWDPQLSSEQQCPSHNFDFVPRPYNTDSIGDRNSLQSQSQPMEQLDAVNHINNYYYHPEATAFGGGMASRTGRRSHSLSDLPTKACHYFNKGYCKHGMNCRYSHAQSFPDGYSHAFSSNLTDYANEDHVYTPKSLENLEMEITGILRSRRGVPVSIASLPMLYYEKYGKNLQAEGYLTESQRHGKSGFNLTKLLSHLRKSIRLIERPHGQHSVILAEDAPRYMECRNERNDLCSTVSSSHQIYLTFPAESTFTEDDVSNYFKQYGQVRDVRIPCQDKRMFGFVSFVHPETVNMILMKRNPHYICGARVLVKPYREKTKIIDRMYSENIKSIVRYPSHHPDMDREVTSVPEESESSRLLRYQLIEDKEMMELERGHRSKLNLAPKTLTHQHCLTQGMEDLTILEGPSNLLLNHFSYALDTLNNGCASDNKARQIGNSFSDQESNHLELPESPFASASVGSSISAVI >Ma06_p33090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33855383:33857604:-1 gene:Ma06_g33090 transcript:Ma06_t33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLHSIPPTARSKPCFVSHLPDLPTLSLNKCFSRVLAYTPITILPKDATFSLPNRRNGKNESRTRELRLQEAFVHLEYMVGRGHRPDATQASQLLYDLCRSNKIRKAIRVMELIIRSGSTPDSSTYTFLINQLCKRGNVGYAMQLVDKMHEYGCPPSTITYNSLVRGLCIHGNLQQSLWLLDRLVHKGLVPNVFTYSFLLEAAYKERGVDEAVKLLDEIVEKGGRPNLVSYNVLLTGFCKEGRLEEAMCFYRELPSKGFSPNVVTYNILLRSLCYEGRWEEAEELLAEMGDRNRAPSSITYNILIGSLAHHGRTEQALDLLEEMARNGYKPVAANYNLIIAQYCKEGKLDMVLRCLDLMMQRHCNPNEGTYNVIAVLCEEEKVVEAFSIINTVANKQNASMHDFYRNVISFLCKKGNTFAAFRLLYEMTKHGFTPDSYTNSSLIKGLCMEGMLGEAFKAFEVMEEHGNKPDIDNYNALILGLCKARRTDLSFDIYKTMIEKGYVPNETTYAILVEGIAHEDEVDLAADVLKELYLRDAVSKNTMERISIQYDFE >Ma08_p06620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4482940:4490669:1 gene:Ma08_g06620 transcript:Ma08_t06620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILKSFPSSARRGRFLALLTKSRPFSVDTAEYAKRNYASNVSEYNTVIGSLIAQRRGYLLRDVYDDMMLDGVQPVRDTFHALIVGTMKGSRLQDAFFFRDEMKAMGLPPDVNLYNFLISTCGKCKSSDTAIKLLEEMKRHSVKLKGETYICLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKLPTTEETTAKIIELVKQSKGWSSIETSTDSGENVMMNVSEEELYNIPTAEFVHRRGFINRQLTVYHVALNACADLKSKETVETLLDTIKRDGYSYDVFIVMQAMRCYFNCEDIDSGVKIFEEYTSSRPPTAELYVTLIEGAMVGYTPRGMQIAQENLEKMYARGFFLNPKMGSDLLLAAAGEKTGGYTTANYVWDLLQSRRISPSLPAVKAYYEGLKEREIPADDPRLVLVGRMYDNLNLRFGGRRNT >Ma08_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4482940:4490669:1 gene:Ma08_g06620 transcript:Ma08_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILKSFPSSVARRGRFLALLTKSRPFSVDTAEYAKRNYASNVSEYNTVIGSLIAQRRGYLLRDVYDDMMLDGVQPVRDTFHALIVGTMKGSRLQDAFFFRDEMKAMGLPPDVNLYNFLISTCGKCKSSDTAIKLLEEMKRHSVKLKGETYICLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKLPTTEETTAKIIELVKQSKGWSSIETSTDSGENVMMNVSEEELYNIPTAEFVHRRGFINRQLTVYHVALNACADLKSKETVETLLDTIKRDGYSYDVFIVMQAMRCYFNCEDIDSGVKIFEEYTSSRPPTAELYVTLIEGAMVGYTPRGMQIAQENLEKMYARGFFLNPKMGSDLLLAAAGEKTGGYTTANYVWDLLQSRRISPSLPAVKAYYEGLKEREIPADDPRLVLVGRMYDNLNLRFGGRRNT >Ma03_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26394048:26418819:1 gene:Ma03_g21430 transcript:Ma03_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAQEKEIALLSRVIANHLFLGQFEAFRALLLSLRKRKPELALEILRAVVSKGGRIDGVLWSNTCGSPSHLAWLSVLELIKFGNAAASIGSIDPETLRLRVEFLLLIQVASSKVSEISQLRSQDTDVDKKGETFDETANSVEVLNDLLDTGLRILWSDVGHDANLLDLVSLVSEDRLKGLWKVFLDNAELFDAICGNIHRQVQLCQAYHLEPAISVKTEEMDALSKVQQSVQMAHLDSLKKFMEADDLERAFSHLRFLHLDYGIEEKQYERVLHDLVKKASLQSAFYDKSWLDSQNITMLMYAAALSSNCSQLVQMIQAIQDEVVSEEIELHRISDANSIPLPLKKYLETLSSESVDILDGKTPSSMAIKSCMRDLYHYARISGIHVLECIVDTALSAIKREHLQEAGHVLSLFPLLQPLVAVLGWDHLSGKTALRRRLMQLLWTSKSQVLRLEEFPVYKKQSDEILCVEYLCDVLCFHLDIASYVACVNSGRSWNSKKSLLFSQRDNIADENDSGVLDPFVENFILERLAAQSPMRVLFDVVPGIKFQDAIELLSMQPISSTSAAWKRMQDIELLHMRYVLESAIFALGIMERCAAVVNNPQLHVAMSYLKDMQNHIEAIRNVPRRIFMVSIVISLLYLDEISANLPPNLSESCSGHSEHLPHKTSCDGGNKNLISFMGLLLEILRRNLPVAVTEVGNLLNSTVETAGRQVLEWRIAKSLHFIEDWEWRLSILDRLQPLSECQWGWKEALVIFRAAPSKLLNLCMQRAKYDIGEEAVRRFSLPPEDKAVLELAEWVAGAFRRASIEDAVSRVAEGRANAFQKLDISSLRSQLGSLAAILLCIDVSATSAKSVDMCKLLLDQARSVLSEIFPGNSPKIGSTYWDQIQEVATISITRHVLQRLYDLLEQEKSLTLQEILAEEITISQSNEPSKQGQRQRAIVILHQMIDDAHQGKRQFLSGKLHNLAKALAIEEAESNYLKGKGSYDSKRALLYSEKGAIVGLGLKTPKSSSVNPENAESTPEIFDYEMKDSGKRFFGPVTSKPSTYLSAFIIYIATIGDIVDGVDTTHDFNFFSLIYEWPKDLLTRLVFERGSTDAAGKIADIMGVDFVHEVISACVPPVFPPRSGHGWACIPLLPTLSGISLENKISSPKASTCSSFGTYGKPLYPLQLSLVKHLAKLSPVRAVLACVFGSTILSSSSESSASRTSDSYMQAPDAERLFYEFALDQSERFPTLNRWIQMQSNLHKISRSAIASKSDIKTAAAISNGKVPVKRVREPESDTESEIDDMVAGGHNSPTLSEFSTHGQSVSRSWHSSSSPVDVGIDAANFISCDWENEGPYGKAVERLIDEGKLLDALALSDRCLREGASDHLLQLLIEHEEGNNPVLGHPHSSGAYNFGSTSWQYCLRLKDKLLAARLALKYHHLEAYDAVGILHPFVGGSLVA >Ma05_p28630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39577494:39580338:1 gene:Ma05_g28630 transcript:Ma05_t28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G13682) UniProtKB/Swiss-Prot;Acc:Q9LID0] MDHPPPPPPTDGRRPTRRAAKRTNYDESVFDALLHDHLGGSRPPRRRNRTAEERQRETETEAMIALSLGFPIDALLDAEAAAGVVHPPGDAAARNDYIVVRNHILARWRANVRSYLSKSEIKETVSRQYDHLISSAYDFLLEHGYINFGVSPAVRAQFLDEHDKGSVIIVGAGLAGLAAARQLLSFGFKVLVLEGRDRPGGRVYTTKMGKQGNFASVDLGGSVITGIHANPLGVLARQLGIPLHKIRDYCPLFRPDGGHVDRSLDVEVDLVFNKLLENAARVREVLGESADGISLGSAIERLQLLYGVARKTEERELLDWHLANLEYANAGCLSDLSLAHWDQDDPYEMGGDHCFLAGGNWRLINALCEDVPVLYKKMVTRIAYGGGFVEVVVAGGQVFQADMVLCSVPLGVLKSGTIKFDPELPAQKLQAIQRLGFGLLNKVAMIFPYVFWGEEIDTFGCLSKDRSKRGEFFLFYGYHTVSGGAVLIALVAGEAALNFEHSDPVASLHSVLGILRGIYGPKGVFVPDPIQTMCTRWGSDPLCCGSYSHVRVGSSGSDYNILAENVGGRLFFAGEATNREHPATMHGAFLSGLREASCILQTWRKNFMGKSDSKKLSQKNLRSYSEVLADLFKEPDLAFGVFSFVFDPSEAEDPKALGLMRVTFGNYSSRKELDEHRQSSEPPLQEFYLYALISREQAHQMKMVSDNDKGRLELLCKKFGIKLMGYTDTCALGSSLIISISGARRGRNRKLQTMHQKIWS >Ma11_p23410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26760904:26763927:1 gene:Ma11_g23410 transcript:Ma11_t23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPGDPAIKLFGTTIPMAAAADEEPDVEEAEAETQQNSSKGVTNMEVNNDSSISTEEENEGDPISLSGLTSGNEDDHKTSVEAEKEAAKAKTEHAKSEADGSVPEKVLKKPDKILPCPRCNSMETKFCYYNNYNFNQPRHFCKNCQRYWTAGGTMRYVPVGAGRRKSKHSNSPYRNVVILSDGFQSFQSDALKSIHHRPLPCASSTPSQPLIRKGTILKSDQEDPLYESVTCAFNIREQGNNAASDSMICGYKREEPSCSSSATASNFVKNVSAEKAVHVRSSGMRVYCNGLAPLPHLQYYPGVPWAHPWSLAWNSVAAMEAGRCSSEYSCTVENGNSSSVSWSPRVLMAASPFCASTLPFSFMPAPFLGYSILPNGTWNVPCAGSNGCKSPSPSTSDSGCSGNGSPTLGKHSRDSSIQGENNTKKPLWVPKTLRMDDPEEASKSSIWATLGIKPEMGSIFQFKSEHKAKKLDGAQLLHENPAAVSRSHCFQEST >Ma10_p26530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34183315:34186256:1 gene:Ma10_g26530 transcript:Ma10_t26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSLLGDLDSLPEEDKVRMSAMIEQLQIRDSLRMYNTLVERCFTDCVDTFRRKSLDKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAATPD >Ma01_p16630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12044820:12048753:-1 gene:Ma01_g16630 transcript:Ma01_t16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGASLSPVRHSLLHLLRSSSKPFLADRNPLISSPLPMARKKEGRFASVAPRRRRRRRTRQPRRPRGLIRTGSVTGRGGRRSGRRRGGVVMAATSGARLVFHLPPRRRWTVLYRTVGWRGAGGRMPPTGLIQSLDAFLWLTPHLPISGVHQEHTSAFMDSSLELDAPLFGHDLLSSGHLHNLPMYRQTPSRLEEILMFQRRILLGRMDLYDQYRDWQLNVDNMSYEELLELGDRIGHVSTGLKEEEIIGSLRKVKHSFFYALKRFFSTEIDGKCSICQEEYEANDEIGELDCGHSYHVFCIKQWLLQNNVCPVSKTSVPRT >Ma01_p16630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12044820:12048411:-1 gene:Ma01_g16630 transcript:Ma01_t16630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGARLVFHLPPRRRWTVLYRTVGWRGAGGRMPPTGLIQSLDAFLWLTPHLPISGVHQEHTSAFMDSSLELDAPLFGHDLLSSGHLHNLPMYRQTPSRLEEILMFQRRILLGRMDLYDQYRDWQLNVDNMSYEELLELGDRIGHVSTGLKEEEIIGSLRKVKHSFFYALKRFFSTEIDGKCSICQEEYEANDEIGELDCGHSYHVFCIKQWLLQNNVCPVSKTSVPRT >Ma01_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12044820:12048753:-1 gene:Ma01_g16630 transcript:Ma01_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGASLSPVRHSLLHLLRSSSKPFLADRNPLISSPLPMARKKEGRFASVAPRRRRRRRTRQPRRPRGLIRTGSVTGRGGRRSGRRRGGVVMAATSGARLVFHLPPRRRWTVLYRTVGWRGAGGRMPPTGLIQSLDAFLWLTPHLPISGVHQEHTSAFMDSSLELDAPLFGHDLLSSGHLHNLPMYRQTPSRLEEELLELGDRIGHVSTGLKEEEIIGSLRKVKHSFFYALKRFFSTEIDGKCSICQEEYEANDEIGELDCGHSYHVFCIKQWLLQNNVCPVSKTSVPRT >Ma08_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3935682:3939615:1 gene:Ma08_g05810 transcript:Ma08_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRKSTNSVGSYAVQCGECFKWRLIPTKEEYETIRQNFIEDPWFCHKISNLSCDDPGDIEYDNSRVWVLDKPNLPKTPPDTERRLVMRSDYSKMDVSYIMPNGKKVRSSVEVDKFLEAYPEYKGKMSVADFSFTSPKIPEEMVPKDMEGKSSSARSKRLKTQN >Ma02_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13069848:13070532:-1 gene:Ma02_g02080 transcript:Ma02_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVKRSQEGAGRGRSRYIKLQVCSRVTAGLAALAAATLMGFNKQTSVVAGFAIEASYRFSPAFKFFVVGNAIACGYSVVSLPFVSNLVEGCTLNLFDLMNLGLLMAAAAAASAVGYVGKHGNDEIGWAKVCPYYERFCGRTEIALGCSYVAFLLFLFVCALFSVYKSRQVNSE >Ma02_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16356622:16358067:1 gene:Ma02_g05000 transcript:Ma02_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKQVKLYGFWLSPYCTLVHLALKLKGVAYEYVEEDLTNKSATLLQLNPVHQKVPVLVVDGKPVAESRVILEYIDETWAEPSFLPSDPYSRARVRFWVDFFYQRMVPPSYAIIRSQGEELEKATKEFIEVLRILEKGIMEDFPRKKGPFIHGSSPGLLDIIVGAGNPGTKAIEEVADVKLFNEEMTPQLYSYVHAFLSLDLVKNTVVPYDQVLEAIKERRMMALSSSKE >Ma02_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29483418:29483606:-1 gene:Ma02_g25130 transcript:Ma02_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLKRGFAWQYKCYDGRGDFATWENEAHTEC >Ma03_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8935641:8940695:-1 gene:Ma03_g11520 transcript:Ma03_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMLKQGLRAPTHVPSLFLSHKPLLSGHGASCVPMVSSSSARRGRASRRRRQICCSAAAEESTPSVVAAAKRPVTVNAVLTVLPTVGGAFAHIGITRGLDDIGDLLGKSLHLELVSAELDPATGLEKKTIAAFAHKASHGVETAKYEASFSVPPSFGEIGAVFVRNEHHKEMYLSDIVLSSADDSIAVNISCKSWLHSKFDNPEDRVFFTNKSYLPSQTPTGLQKLREKELEILRGNGTGQRKKFERIYDYDTYNDLGNPDKNPSTARPVLGGSEALPYPRRCRSGRPRSKKDPQSEQKGDVYVPRDENFSEVKQVTFSAKTLRSVLHALVPSLQTVLVDAKLGFPYFTAIDSLFDEGVPLPKQDAFHVFRTVVPRLVKAVTQGAHSILQFEVPEMLERDKFSWFRDEEFGRQTLAGLNPLSIQLVTEFPLVSKLDPNIYGPPESLITEELIEREIKGIMTVKEALEKKKMFILDYHDLFLPYVHKIRELEDTTMYASRTVFFLTPDDTLRPLAIELTRPASPTKPQWKQVFSPCWDATGAWLWKLAKAHALAHDSGYHQLVSHWLRTHCCVEPYIIAANRQLSQMHPIYRLLHPHFRYTMEINALARGYLINADGIIEQTFSPGKYSLELCSVAYGKLWRFDTEALPADLIRRGMAVEDPAAEHGLKLTIEDYPYAQDGLLIWSAIKQWVTDYVDYYYPDASHVKEDSELQEWWTEVRTKGHADKKDEPWWPVLNTQEDLIHVLTTIIWVGSGHHAAVNFGQYHYAGYFPNRPTIARTNMPVEDYSDEQLAKFWAKPEIALLHCYPSQIQATIVMAILDVLSTHAPDEEYLGRDPEASWAQNPVIYAAFERFSGKLKEIEGIIDGRNANPEFKNRCGAGIVPYQLLKPYSEAGVTGMGVPNSISI >Ma03_p33400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34763167:34765097:1 gene:Ma03_g33400 transcript:Ma03_t33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNYIRMSHTEAKAQNVALYIALSWGIAESFLLSIARRSSRKASFRRPTKPSPFLSSRFDSSVAALSEDRSCDSSNMPTVSVKWKKQIFPAVEIGTSQPPFEFKSQLCASSGVSPERQKIMVQGALLKVYELPCYMVF >Ma04_p34870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33854346:33854558:-1 gene:Ma04_g34870 transcript:Ma04_t34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVIVISLPLILFAILLAFGCYFLGRKKGRQDVRAGVGSQVYGVPLPPPGAAASYPPTQVKKQAGPDDV >Ma11_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25643311:25647816:1 gene:Ma11_g21520 transcript:Ma11_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGIPRARPYASGPAAFSPPLLVARRVAAPVRAFRRNDLDRFARRVASGEALRDAWRSANNGIEQLAFEARRAAERLDRRYSLSRRFDSASRAAAARTRELDQELGIGRRWRSFSMDFSRNWPRYRRDLNEFLQTPLGRGLATIFFLWFALSGWLFRIFILATWVLPFAAPLLIGTLANNFAIQGTCPACKRQFVGYRNQVIRCTGCRNIVWQPRDDFSRGRSSSSPKASEPDIIDIDIDIEEK >Ma11_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4300622:4301119:1 gene:Ma11_g05600 transcript:Ma11_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFSNFKHKGLRFDHISQKSLSSYTYEMPAHLCRPCSVMHVEEH >Ma11_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7193610:7194914:-1 gene:Ma11_g09040 transcript:Ma11_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNPEPSSSDVLEEASSQAASDMCGQESSPTPPPFSLNPSLTINAGPTAPSAVPWSSTSEGGSAPSSQRVFSCGYCRRKFFSSQALGGHQNAHKRERTLAKRALSSHAFLPRSYASIASLPLHGSALRSLEIKAHSSVHQKWREIHRSSRRCGKSLLEPRPVFLEDEETKFRWPGSFRPMAGSSSEPAEDSDVATGDQQPVEEPDLALRL >Ma04_p24600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26566959:26572743:-1 gene:Ma04_g24600 transcript:Ma04_t24600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILLFQGFLFGGKTRRHSSIPIGSAAARAHGSFRCRTMETAAADAATDLRSDFLQVLRSRRRNPDVPLCVELGKPVKNPVYQSSIFPGSKEVMEACPKENVENFKERLIEENIYLTTEEGEQGRLPVLILSLKDTIPQRKPAVVLLHSSYKCKEWLQPLLEAYASRGYVAVAIDSRYHGERANSTTAYKDALVSSWKNGDTMPFIYDTVWDLIKLADYLIQRKDIDPSRIGITGESLGGMHAWFAAAVDTRYSVVVPIIGVQGFRWALDNDKWQARVDSIKPVFEEACIDLGKNTIDKEVVKKVWDRIAPGLYLQFDAPYTIPVIAPRPLLMLNGAEDPRCPVPGLKEPHVKATELYKQANCPENLKFIAEAGIGHQMTVSMVKEASSWLDKFLK >Ma04_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26567335:26572743:-1 gene:Ma04_g24600 transcript:Ma04_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILLFQGFLFGGKTRRHSSIPIGSAAARAHGSFRCRTMETAAADAATDLRSDFLQVLRSRRRNPDVPLCVELGKPVKNPVYQSSIFPGSKEVMEACPKENVENFKERLIEENIYLTTEEGEQGRLPVLILSLKDTIPQRKPAVVLLHSSYKCKEWLQPLLEAYASRGYVAVAIDSRYHGERANSTTAYKDALVSSWKNGDTMPFIYDTVWDLIKLADYLIQRKDIDPSRIGITGESLGGMHAWFAAAVDTRYSVVVPIIGVQGFRWALDNDKWQARVDSIKPVFEEACIDLGKNTIDKEVVKKVWDRIAPGLYLQFDAPYTIPVIAPRPLLMLNGAEDPRCPVPGLKEPHVKATELYKQANCPENLKFIAEAGIGHQMTVSMVKEASSWLDKFLK >Ma08_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32753047:32774457:1 gene:Ma08_g18980 transcript:Ma08_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLRSLLQDLESLKGCVSDRYRIGSIDEMKQRVVSIVNLTKSGATRRSKVKDMSAEVVDSNPYSRLMALQRMGIVQNYERIRDFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSDINPDVVLESYSLNITTVKGFEVFMESLKRRFSQKSGQNSGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGHVSPYLGYNSLKDFFPTMEMRPNPQCSNNACIERQKEYLQTKPARDAAAKAKLEAESSKNEGPLHMDNEWNISVVDDSEMDTLNSCGVSGALPEGLIHELPTAEKYHESLGTEEAKTVEDDLEELQRQLDALNAS >Ma06_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8972614:8973402:-1 gene:Ma06_g13080 transcript:Ma06_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMKRPSSSSSLPSLLLSSLNLFLLVLASASFSPVFLLRTSPTSSGWALVAVSSATVVSSLLGFFSQLTHLCFLAHVSFVLASSVGQALGFLALFLRPDPSLQLLGSARSRREQRALAKVEEALLLGMFLVQSLELVAACAVQRWWARQFEEVEAEREASVRKRSRRMARVQEEAIANAAAMAEAKARELEEKMRANNKGQWVKNDFEG >Ma06_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5677233:5680376:-1 gene:Ma06_g07990 transcript:Ma06_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRRPFTSCICRIPPFPRHGFVSRCSFWVGCTAGSAPPSAMCSRPWEAVRSPLSVSVLGWRLDVFLWVHRTAVKLGRLSVCVAGGTCNCLAGQPSLDEDPHRSRRQYEPFKVRSLRLDAEVLFDGDGGGGGGDPAMEVFFGGLLTVGTLGTGSWPIEEKGAEADAKANGEVDEKKPMVLAVEELVTPAALEAIAEKEAEATERDLMVVGAELEKVLATEAEKGGGGGGMSSARSSHSGAAACPLQGFPFGSPIETASGVAGGRKERRASLGELIMMSRIAEGGGGKAEEAEFAGVDSAGDGEGKPTAEMCLMRTTMAKQRKGSDGDGGSTHASTVETKIQKILQIFHRKVHPESSIMTKNASKTGKIEKKDHTALVGGIDLSGTGGSTLATLKGECRKEDILNVSFCTDPPSNAFSGCHSNINGEHWIKTDADYLVLEL >Ma08_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1054444:1057223:1 gene:Ma08_g01130 transcript:Ma08_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGAEEQAACIVAKPFSNGVFYHEEPLRDAIPLFMLQIIITVLTSRIIYFLLRPLRQPRIVCDIIGGILLGHPILPSLLSRLPFFPRGTFDHLIRNYTEMLFRRDALSLTRTMAAYGVMLKFFLIGVKIDPRDAWRCGKKAFFIGFCSMMVPFVVLLPFGRLFKSREYVREDKSTISVVDGIGQASVFIDIAATVSASSPPVLADILTELRLLNTELGRLAMSASMVNELTRWTIFAVFPVVQLSWNKNGVIGALLELVTSLAIVISVFVMINLWMRWIVRRTPKGGRIGEVHILMVMLAVLAMGVMSDAFGLGFMDAPLIMGLLVPDGPPLGMALVERLELISTEVLLPVFFLGIGWATDFASIHQPVPLLWLLLFMLAGHVVKVLVAVAPAVYSKSSIRNAAVLGLMLNFKGLVELMVYLNLKNVTALNPAGYVAVVVGIVIATAISSLLVSILYDPLSSSGMVGNRTLQHLKPHEQFCFVASVLNEGPVPMLLNLVEVSCADEQSSVCAYVLHLVELGGRASSSLIAHRNHKDVFVNLGQMDRVHNAFINVEKKKEGVVVVHPFTAISPYATMHQDICSLAMEKKVPFIIVPYPRKDSGGSREVDQAARSIIPQVLSQAPCSVGVLIHQTLTSSRPLALEHFNYCVRVLFWGGNDDREALAYTARLARHAGVRIFVSRFMPQVHRKEEKEMTWDDMIFKEFTRANADNERVAVEEIAVNDVNQMISTIRSIEMECDLVIVGRRQCSGSLLDEALSEWTESPELGVVGDMLASSDFANYSFSVLVVQQHGS >Ma08_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12472121:12474591:1 gene:Ma08_g14350 transcript:Ma08_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKMFSSPHSAQATLMLLLFLVLVNFSSMAVSSSSLGTLEGDLSALQEFKAACDSGGRLASWNRSDPSPCASWHGVTCAGGRVTRLVLEGLELAGPACLPAVMRLDQLRVLSLKSNRLSGPIPDLSPFSTLKLLFLAHNELSGPIPPSVASLSRLYRLDFGSNNLTGPVPASLNRLSRLLTLRLDSNRLSGAISGLVLPRLQDLNLSSNLLSGAVPPSLAAFPAAAFTGNPALCGGPLPTCRDVVSDPSRPSASAAAPVSPAAVVVASSPSARPEIAPPHHAAAGDGRGGMNRVAVVAIVVGDFAVLVLVSGLLFCYFWRKFAGRSPSRLHEGEKIVYSSSPYAVQGNEAGSAAGSGFERGKMIFFEETKRFELEDLLRASAEMLGKGGYGTAYRAVLDDSTVVAVKRLREVHVAGKREFENQMETLGRLRHPNLVPLKAYYYARDEKLLVYDYMPNGSLFFLLHGNRGPGRTPLDWTTRMRMAVGAARGLAFIHQASRSPKLTHGNIKSTNILLDKDGNARLADAGLALLGPGAAAVGRAGGYRAPEAPSDGRRPWASQRADVYAFGVVLLELLTGKPAADGGASAVDLPRWVQSVVREEWTAEVFDLELMRYKGIEEEIVTMLQIAMSCTAVVPDQRPTMSNVVKMIENIHGGGAGGDLSPSHDSFDSVSDSPSGSDVA >Ma02_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25975505:25976745:-1 gene:Ma02_g19810 transcript:Ma02_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSNSSQQQQQQQQPYLGVNKLGKSIRKSASHFHRQPPTTASSSQQQQPHVYNINKHDFRSIVQQLTGTPSRDSPAPAPAPAQPHRPPQPRPPSVRLHKIRPPPLPLVPAPRSPPAFAPVPPTHLPSAAATACAESPISAYMRYLEYSLLISNTPHRPFPSPGADARHHPNPLPPTPPSGLPLPLPPPSPTAFFNLLSPKSPFPLLSPGFQYPPPLTPTFALSPLPQSGILGPRPLPPFSPGFSWPPSPSGFPPIPSPRWRDLM >Ma06_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3717707:3720491:-1 gene:Ma06_g04980 transcript:Ma06_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVVFSVKPRFSSASPSVPRLRSHRGPPVAASAALGTTTTTTESMAAKWAQKTVVIPPHRRGCHLITSKILRGIEQDLSGFKCGLAHLFLQHTSASLTINENYDSDVQDDTETFLNRIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDHATPRKIVITLNGM >Ma02_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20836162:20838412:-1 gene:Ma02_g11850 transcript:Ma02_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMEKEDLALSLGLNTSTNYFPPSLSLMAPSSSPSWPMSSHRLLGPSGGSISNVGGAGETRPLLTMIDVNRAPSVAATAGKVSEEEEEEEEGGASSLNSAVSSVGGKRAERHALAGDDHDAERACFRVVSDEEDGEGSRKKLRLSTDQSAVLEESFKKHSTLNPKQKLALAEQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCESLTEENRRLQKEVQELRALKLSPQLYMQMTPPTTLTMCPSCERVSNPATTSSPANPQPSEHHQYSNHDRQTAASWAPFPLEPLFLDSIPQRS >Ma04_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26120630:26121350:1 gene:Ma04_g24040 transcript:Ma04_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHGSALEGERRSVAPRTILDWLSEVDWDGNPPGDHRQDESPRRRAQQLPNPGFPPLPLRPQAPLRRRPAPQLLRRAGSLPPVLRFPPRPHLPAAASREVGEGTPPAPRQGSHQRGAAEGARPQ >Ma04_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7534659:7539183:1 gene:Ma04_g10600 transcript:Ma04_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDASSVGLKSSACLSQIKRGVLDAEESGFAVGSRIMAWKPKVVRSAKNCCCWVGEFKAGAGVFAITSAVNKETVIQAPRFGKHKPDARSVASIILGGGAGAQLFPLTSTRATPAVPVGGCYRLIDIPMSNCINSGINKIFIMTQFNSASLNRHIYRTFNFGNGINFGDGFVEVLAATQSPGESGMNWFQGTADAVRQFTWVFEDNRNKNIQYILILSGDQLYRMDYMDFVQKHVDTGADITISCVPVGASRASDYGLIKIDKAGKIIQFSEKPKGGDLETMKDENTLFRLSHQDAKKYPYIASMGVYVFNRNTLLELLRWTYPKANDFGSDILPSAVKDYNVQAYIFKDYWEDIQTIKSFYDANLALTDQPPKFQFYDPRTPIFTSPRFLPPNKIEQCRIRDAIISHGCFLHECSIEHSVVGMRSRVYYGAELKHTLMMGADDYETEAEIASLLAEGKVPIGVGENTKIRNCIIDMNARIGKNVVIANRDGVQEADRPSEGFYIRSGITTILKSATVKDGTVI >Ma05_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23285660:23286148:1 gene:Ma05_g18310 transcript:Ma05_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGAPVKDTNLRSTQRMIYELEASCSRGESCNRDTVEEEATIEVQQGRKLQKRGSYNDATIEKKATTKEERWGSAGERNTRDATAEGNGRWYRVAVEKIVIVHRGGKIYRTDGLTMEKQ >Ma08_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2159656:2160775:1 gene:Ma08_g02870 transcript:Ma08_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLNRTPRPRVTEPRFLSFSVGLECESLFFGRRSPDLPGRTEYLISGYII >Ma08_p27300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39591342:39591497:-1 gene:Ma08_g27300 transcript:Ma08_t27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIMLRIVWLFLSIILFYLNRFGECDDVNLPA >Ma04_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26410201:26412831:-1 gene:Ma04_g24410 transcript:Ma04_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCPSSAFDSATHSSPSPPKPAVAIASDSTSSNRSPLNSYSPFPRVKSCDVYLGFCGRRAPLLRFVKWLRAELEMQGLRCFAVDRRRCRDAHAHSVAKVAMDAAAVGVVLVTRKSFSNPYVVEEMRWFLERKNLVPVFFGLSQGDCTARDVIERKGELWERFGGRLWNAYGGIEEDWKQVVDGVSRSAVMLEVNPGNFRDRIFDAVLLLGTQLGRRSMVEKVQRWREMAVEELPFPRNINFVGRKSELAKLEMMLFGDFEGNPEEYIEIRTRDTHRRDTVMAKNSTSSSGTQKGRIAKKNVEIKAKGKEPVVWKESEEEIEMQGTWSSELPVRHRRTRNAMSMSYRRGVACICGDSGIGKTELLLEFAYKFSQSYKRVLWVGGESRYLRQNYLKLLPLLGVDVAIGTEMFSRRNGPRSFKELEADAIGKVRRELMRDIPFLLVIDNLESEKDWWDGSSIMELLPRFGGETHIIISSRLPRVLNIMPLRLSYLSAAEAMILMKGRVTELPTEDANALRIIEEKLGRLPLGLALVRAIVSELPIGPLKLLDMINQVPYRELKFSRKEDLVMKQNPALVQLLDVCFSILDNAQKPSKLATKMVGVSNWFAPSAIPISMLAIAASAKHCRTRFFKRCFHFLSCTARELNVNISEAEASSTLVRFSVARESTRMGHISFHSIIKIYARERDDNNSAISIIKAIEKEGNLQEHADHIWSACFLLFKFGIDPGVIDLPERDLLSFIRRFALPLASLTFTNFSLCNAALELLRVSTEALEALEESFLSKATTAQHKTKCPKNPRSRSSIESDPLIYLDLASLRAILLETRAKLMLQGGQYDIGEQLCRTAVGIKEVIYGCEHPQTQATRETMERLVWFQSKF >Ma07_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27679507:27680908:-1 gene:Ma07_g19710 transcript:Ma07_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18730) UniProtKB/Swiss-Prot;Acc:Q8RXS1] MEAAMGFSAPKPHVRRPAIHSTTGNLCLLPKAFRSFPASRWTTGLSQCNEGKRQGRSSSWKSNALPDWPLMAVMIEHVANQRDFVVHKSIWHLSDEAMKNVYTMYIMFTCWGCCFFGSTKDPFYDGEEYRKDGGDGTVHWVYEKQEDIEEAARAELWREELIEEIEQKVGGLRELEEAGKQEELVK >Ma07_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1907201:1914247:1 gene:Ma07_g02390 transcript:Ma07_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSLTPKLCIAPRTISSPRRLFTSYAAALHSPPSLGLGRVGLALGRSRSMVARSSAVAVANARDSRPIVVIDNYDSFTYNLCQYLGELGVHFEVYRNDELTVEDIKRINPRGILISPGPGAPQDSGISLQTVLELGPSMPLFGVCMGLQCIGEAFGGKIVRAPSGVMHGKSSLVYYDETLEDSLFHGLPNPFTACRYHSLVIENDSFPSDALEIMAWTEDGLVMAARHKKHKHIQGVQFHPESIMTPEGKTMVSNFIKLMDKWSQQN >Ma01_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28122028:28124759:1 gene:Ma01_g23510 transcript:Ma01_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKEPETEEEPGVPTNKRLYNFLLVLLGSSLLFAFCYELLFPYGSSDSSPSKKEACDIFSGKWIQDSSDPPYTNSSCSFISNPQNCLTNGRPDTGYLHWRWKPYDCEVPPMDTTKFLNKMRSKSLAFIGDSIFRNQMESLLCLLSKVEEAILVYHDEKFQSKTWYLPSHNITLGLIWAPFLIKSTEARSKNNIQLYLDVLDYAWTSQYHKYDYVMLSGGQWFLKETIFWENNTVIGCHDCMGKKLTELGMDYSYHKALRLVFQFMSTSEHKPLVVLKTWTPSHFEHGKWNTGGICNRTEPFKEGEYTADPVDATMRNVELETFQEGSNNGLRVKLLDTYHLSLLRPDGHPGPYRRFHPDISKKLQNDCLHWCLPGPIDTWNEMLMEILMNEAELRSAF >Ma11_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14777299:14804793:-1 gene:Ma11_g11700 transcript:Ma11_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTAADHLELLPSPSPPSSSSFSSHFSPGRHYYVAVDRLQFKMETLVDLLGVAGRRPSLPIVLCCSSRDELDAVCAAVSNLSSISLSPLYSDLAENERAMVLEKFRHCSAQWNQNTSLFPEDDLESSTEARKSCMIVVTDACLPLVTAGEAPLLARVLINYELPTKKETYLRRMSTCLAADGIVINMVVGGEVVALKGLEENSGLIIAEMPINISEIL >Ma02_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16215925:16220528:1 gene:Ma02_g04800 transcript:Ma02_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQMDLTKMDPGTLTLLGAACCVILTMHFTIQLLSQHLFYWKNPKEQKAIIVIILMAPLYAIDSFVGLVDIQGSKAFFTFLDSIKECYEALVIAKFMALMYSYLNISLSKNIVPDEIKGREIHHSFPVSLFLPHTIRLEHHTLMLLKYWTWQFVVIRPICSILMIALQLLGLYTTWISWTFTIILNISVSMALYALVIFYHVFAKELAPHKPLTKFMCIKGIVFFCFWQGVALDVLATAGVIRSHHFWLDVEHIEEAIQNVLVLLEMVVFSVLQQYAYNVAPYTGVDARKSISDKKNE >Ma02_p04800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16215257:16220528:1 gene:Ma02_g04800 transcript:Ma02_t04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQMDLTKMDPGTLTLLGAACCVILTMHFTIQLLSQHLFYWKNPKEQKAIIVIILMAPLYAIDSFVGLVDIQGSKAFFTFLDSIKECYEALVIAKFMALMYSYLNISLSKNIVPDEIKGREIHHSFPVSLFLPHTIRLEHHTLMLLKYWTWQFVVIRPICSILMIALQLLGLYTTWISWTFTIILNISVSMALYALVIFYHVFAKELAPHKPLTKFMCIKGIVFFCFWQGVALDVLATAGVIRSHHFWLDVEHIEEAIQNVLVLLEMVVFSVLQQYAYNVAPYTGVDARKSISDKKNE >Ma09_p09390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6187171:6190084:-1 gene:Ma09_g09390 transcript:Ma09_t09390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRLDLMKEAEAVCTEDDELYEEIEAPKFVDFTVPDRFRPDDRSWFCARVGCDQTHEEVDPEALYRTFLLRVMAARSPNVRHRKALGRPASSLIPRCPQSAPAKSSKSRITRLSTMIAVPESTAKSKLKDHPISSLRSTPSRDKAKPQHCPMKEALTEPRRQRCLTNREGFRSVRHQKEPVPVVKNKAVVRSLFDDTTKERPLRTTTPSKSTAPPVSEDRPETRKLKVGSRMKNVPSRYLCIPKTPMSSKRAEDSAKSLNRAKETKVSTKLKTEPSSKICDPSENQEISRDGSSDMETDGKLSNLCVAATNVEEPLPSLVAGLESLQLAKADSTSLHSSTMENCEKVTENEVPLPHASSKRSASEAGNEENSTELDDNKENASDTNKGRDASEDFKSAASENVPPKVLRPQINSSDRVGKHKRTTNPKPFRLRIDERGILKEANQERRLRIEAQAQKQAESTLRTKALVGKEKIAEKPQSRLLKMASSKQTLEDGSVTALRKAPVSQTGRENCKTTSPAVTTLKGKRPAATVAREPNFHRIHLPKGCSKRVEVQQS >Ma09_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6187171:6190084:-1 gene:Ma09_g09390 transcript:Ma09_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRLDLMKEAEAVCTEDDELYEEIEAPKFVDFTVPDRFRPDDRSWFCARVGCDQTHEEVDPEALYRTFLLRVMAARSPNVRHRKALGRPASSLIPRCPQSAPAKSSKSRITRLSTMIAVPESTAKSKLKDHPISSLRSTPSRDKAKPQHCPMKEALTEPRRQRCLTNREGFRSVRHQKEPVPVVKNKAVVRSLFDDTTKERPLRTTTPSKSTAPPVSEDRPETRKLKVGSRMKNVPSRYLCIPKTPMSSKRAEDSAKSLNRAKETKVSTKLKTEPSSKICDPSENQEISRDGSSDMETDGKLSNLCVAATNVEEPLPSLVAGLESLQLAKADSTSLHSSTMENCEKVTENEVPLPHASSKRSASEAGNEENSTELDDNKENASDTNKGRVLNSNAKRDASEDFKSAASENVPPKVLRPQINSSDRVGKHKRTTNPKPFRLRIDERGILKEANQERRLRIEAQAQKQAESTLRTKALVGKEKIAEKPQSRLLKMASSKQTLEDGSVTALRKAPVSQTGRENCKTTSPAVTTLKGKRPAATVAREPNFHRIHLPKGCSKRVEVQQS >Ma07_p28850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34760424:34764821:-1 gene:Ma07_g28850 transcript:Ma07_t28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALAADLMTEASDLLQKLSLDSPPKTDNAVEVAKEPPALQNGSSDCETPNMPIQSERSLTPLLPDFVDPSMCYVPGGYASPAYFYGGYDRAVNKWGDYSRYTNNDGVEILPGKNAPVSRMYPINRFYGGNGLGNVFGYGFNGYDHRMNGRWGPPLDSKYNPRGRGNGFFGYGNEIQDGFSELNRGPRAGRSKNQKAAGTAPVFDLKGQNLSSIGNENSSVTLDREQYNGDDFPDKHSDARFFVIKSYSEDDIHKSIKYNVWTSTPNGNKKLDGGYQEAQEKDGGCPVFLFYSVNASGQFVGVAEMVGPVDFNKTVDYWQQDKWIGCFPVKWHIIKDVPNSLLKHITLENNDNKPVTNSRDTQEVRLEQGLQMLKIFKEHVSKTSVLDDFDFYENRQNFMQEKRVKQQLQKQVWTGKASHAPKEDEQDRTNGKPGSQPVSVLNKESAQGSLGEINTPVELGVAAAAGAPLKVLKPAVEKHVVANGVANGVA >Ma07_p28850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34760424:34764821:-1 gene:Ma07_g28850 transcript:Ma07_t28850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALAADQASDLLQKLSLDSPPKTDNAVEVAKEPPALQNGSSDCETPNMPIQSERSLTPLLPDFVDPSMCYVPGGYASPAYFYGGYDRAVNKWGDYSRYTNNDGVEILPGVYGDLYQGYGYAPYSAYPSNGSSVPTMGHDGQHYGPQQYQYPVPFFEQPTPTSTTQTPTPPTSQGEVSTSVAANQPSISMDTARVDSSKVSNVNANRNNGPGTMRSSHQPSSLTSGDSHGKGGFPGGFHSAGYQDSRFGFGGQHKPATSSSRSSTVTHKANNLSERNQNQHSVPHIVGKNAPVSRMYPINRFYGGNGLGNVFGYGFNGYDHRMNGRWGPPLDSKYNPRGRGNGFFGYGNEIQDGFSELNRGPRAGRSKNQKAAGTAPVFDLKGQNLSSIGNENSSVTLDREQYNGDDFPDKHSDARFFVIKSYSEDDIHKSIKYNVWTSTPNGNKKLDGGYQEAQEKDGGCPVFLFYSVNASGQFVGVAEMVGPVDFNKTVDYWQQDKWIGCFPVKWHIIKDVPNSLLKHITLENNDNKPVTNSRDTQEVRLEQGLQMLKIFKEHVSKTSVLDDFDFYENRQNFMQEKRVKQQLQKQVWTGKASHAPKEDEQDRTNGKPGSQPVSVLNKESAQGSLGEINTPVELGVAAAAGAPLKVLKPAVEKHVVANGVANGVA >Ma07_p28850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34760424:34764821:-1 gene:Ma07_g28850 transcript:Ma07_t28850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALAADLMTEASDLLQKLSLDSPPKTDNAVEVAKEPPALQNGSSDCETPNMPIQSERSLTPLLPDFVDPSMCYVPGGYASPAYFYGGYDRAVNKWGDYSRYTNNDGVEILPGVYGDLYQGYGYAPYSAYPSNGSSVPTMGHDGQHYGPQQYQYPVPFFEQPTPTSTTQTPTPPTSQGEVSTSVAANQPSISMDTARVDSSKVSNVNANRNNGPGTMRSSHQPSSLTSGDSHGKGGFPGGFHSAGYQDSRFGFGGQHKPATSSSRSSTVTHKANNLSERNQNQHSVPHIVGKNAPVSRMYPINRFYGGNGLGNVFGYGFNGYDHRMNGRWGPPLDSKYNPRGRGNGFFGYGNEIQDGFSELNRGPRAGRSKNQKAAGTAPVFDLKGQNLSSIGNENSSVTLDREQYNGDDFPDKHSDARFFVIKSYSEDDIHKSIKYNVWTSTPNGNKKLDGGYQEAQEKDGGCPVFLFYSVNASGQFVGVAEMVGPVDFNKTVDYWQQDKWIGCFPVKWHIIKDVPNSLLKHITLENNDNKPVTNSRDTQEVRLEQGLQMLKIFKEHVSKTSVLDDFDFYENRQNFMQEKRVKQQLQKQVWTGKASHAPKEDEQDRTNGKPGSQPVSVLNKESAQGSLGEINTPVELGVAAAAGAPLKVLKPAVEKHVVANGVANGVA >Ma08_p11230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8299478:8307349:1 gene:Ma08_g11230 transcript:Ma08_t11230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHGSSKHDKFSIKYLWIPLDVQCYEPNTIVSQKYALRTHAKGSTLSETKSPIQGASTAKFVSAVARIWDYAGDPAVFHIDESLKYHDHLQKECNTICYSGRQRKQKPTSTRNGSACNGLEPKSSLTSAVKSYFEEVKCIKKQLLLAACNRYVANSFIWKRVWLIGSHSHIGNDKVTWSTTRTVQASQCESIDKTSLEDLKDDRSIGTDKQSPFEISATQAKGNSAAAELYDASCDKSTNLVGNDLQISGSFYSTYSLRPMMIWKGIVVGFCRNHRSSLHFGNNFDFLTLTGYTYGRHQKAIEVMSSSVSEVSRELSAFKDCDIHECGKSLAQEPILEHKRLPIFTIHDKLEKELTKNRHAIAGALAGTIVSLCLHPIDTIKTIIQADGIGQKSVYCTLRTLISQKGIAGLYCGITTNIASSALISAIYTFTYESVKGAVLPVLPKEYHSVAHCFAGGCSSIATSLIFTPSERIKQQMQVGSQYQDCWNAFIGCFEKGGLPSLYTGWKAVLCRNIPHSIIKFYTYENLKLLCLVSAKPNSGLSTLQTLLCGGIAGSTAALFTTPFDVVKTKLQTQAPGTIGKYRGVLHALQEIARHEGFQGLYRGVTPRLAMYISQGALFFASYEFLKVVFALQVPQSHVQVIHNQQNADYSKLRSEQTEVLNQNSLAN >Ma08_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8299478:8307349:1 gene:Ma08_g11230 transcript:Ma08_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHGSSKHDKFSIKYLWIPLDVQCYEPNTIVSQKYALRTHAKGSTLSETKSPIQGASTAKFVSAVARIWDYAGDPAVFHIDESLKYHDHLQKECNTICYSGRQRKQKPTSTRNGSACNGLEPKSSLTSAVKSYFEEVKCIKKQLLLAACNRYVANSFIWKRVWLIGSHSHIGNDKVTWSTTRTVQASQCESIDKTSLEDLKDDRSIGTDKQSPFEISATQAKGNSAAAELYDASCDKSTNLVGNDLQISGSFYSTYSLRPMMIWKGIVVGFCRNHRSSLHFGNNFDFLTLTGYTYGRHQKAIEVMSSSVSEVSRELSAFKDCDIHECGKSLAQEPILEHKRLPIFTIHDKLEKELTKNRHAIAGALAGTIVSLCLHPIDTIKTIIQADGIGQKSVYCTLRTLISQKGIAGLYCGITTNIASSALISAIYTFTYESVKGAVLPVLPKEYHSVAHCFAGGCSSIATSLIFTPSERIKQQMQVGSQYQDCWNAFIGCFEKGGLPSLYTGWKAVLCRNIPHSIIKFYTYENLKLLCLVSAKPNSGLSTLQTLLCGGIAGSTAALFTTPFDVVKTKLQTQAPGTIGKYRGVLHALQEIARHEGFQGLYRGVTPRLAMYISQGALFFASYEFLKVVFALQVPQSHVQVIHNQQNADYSKLRSEQTEVLNQNSLAN >Ma01_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27702540:27705966:1 gene:Ma01_g23340 transcript:Ma01_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYIYKAKTKTKGTRYRCIWGKVARPHGNSGVIRAKFKSNLPPKSMGGTVRVFMYPSNI >Ma01_p23340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27702540:27706045:1 gene:Ma01_g23340 transcript:Ma01_t23340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYIYKAKTKTKGTRYRCIWGKVARPHGNSGVIRAKFKSNLPPKSMGGTVRVFMYPSNI >Ma07_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7983294:7986959:-1 gene:Ma07_g10700 transcript:Ma07_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWEDRLVYPSTTPPHMSDIKQEISGNCYLHSGGSEEIQASTSPWSRIIPSSSPGSCNAASFGRNMLDFSNKSERMHHQPDNSPECNSTEAGVVLKKARVQGTSSAHSTFKVRKEKLGDRITALHQIVSPFGKTDTASVLLEAIGYIRFLQSQIEALSSPYLSSGSGNMKQPAQGERRRIFAEEAGHLLNGNGSKKRGRPDQEGNVEPKKDLRSRGLCLVPVSFFLDVESDNGADYWVPTLHGAF >Ma07_p10700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7983294:7986949:-1 gene:Ma07_g10700 transcript:Ma07_t10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLFQNSLVQHMVGGSSNCWSLNSMRPPPEQTFPLLPSSSSSSSPSVSPQYPQPSTTLPMIPFHGSQDLPESWSQLLLAEEEKYGLTPPQIGKMKNWEDRLVYPSTTPPHMSDIKQEISGNCYLHSGGSEEIQASTSPWSRIIPSSSPGSCNAASFGRNMLDFSNKSERMHHQPDNSPECNSTEAGVVLKKARVQGTSSAHSTFKVRKEKLGDRITALHQIVSPFGKTDTASVLLEAIGYIRFLQSQIEALSSPYLSSGSGNMKQPAQGERRRIFAEEAGHLLNGNGSKKRGRPDQEGNVEPKKDLRSRGLCLVPVSFFLDVESDNGADYWVPTLHGAF >Ma06_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6239004:6240845:1 gene:Ma06_g08910 transcript:Ma06_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQKLISYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLSRGIDPQTHGPINGGATAAFAAPHPSETAVPKASATDSCDETNSSGGSQDDDPDGYLDLNLALSISLPHRSPKRSRPSEPLTSAATSSSPQAICLCCHLGFQSGEACSCHTTQNPPHVLRVIRRLEEAQH >Ma08_p32440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43142029:43145239:-1 gene:Ma08_g32440 transcript:Ma08_t32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSVVNDGDGLPRIILTEPAGSSAVIHLYGGQVLSWKNEKREELLFVSTKASTKSPKPIRGGIPICFPQFDNLGYPERHGFAGNRLWELDTCPSPLPRSNNHSSVDLILKSTDEDLKTWPHSFELRLRVILHPSKLTLIPRVRNTGSKDFSFTFAIQNYLSMSDVSEIRVEGLETLDYFDNLENGERFTEQGDALTFDGEVDRVYLRTPAKIAIIDHEKKRTLVLRKECLPDAGVWNPWNKKAKALPDFGDEDYKAMLCINSAVAETPVVLKPLEEWRGCQELSVVSSSYCSGQLDPRIVLHG >Ma03_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5746209:5754210:1 gene:Ma03_g07980 transcript:Ma03_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQDLLRRPYADEEDPAIRHRAIPDDAAPPTSGEKTRPARSKRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPSRARALRRVMVRTLKLLFWGIILQGGYSHAPDKLTYGVDMKQIRWCGILQRIALAYMVVAVLEILTKDTKFEDQSTTSCSLFKLYCWQWLFGACVLAIYFAVIYGTYVPDWEFTVHDSDSQDYGKGFKVICGTRGSLDPPCNAVGYVDRQVLGINHMYRGPAWKRSKACTYGSPHAGPLRNDAPAWCQSPFEPEGIVSSISAILSTIIGVHFGHVLVHMKSHLNRLKQWISIGISLLVFGIILHFTHAIPLNKQLYSFSYVCVTAGAAAIVFSIFYFLVDILGLRNLFRPLEWIGMNAMLVFVMAAEGIFEGFLNGWYYESTNNTLVYWIQKHIFIQVWHSRRVGILLYVVFAQILFWGLVAGILHRLGIYWKL >Ma06_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1635520:1656669:-1 gene:Ma06_g02040 transcript:Ma06_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKVIDINSTTTILEPETSIRGGLYLPQQERVMYRPPERKLNLGLDVLASIKREKGDMGFKAPAPKKVVTFDSFDEDEKCDPSEIEESRDDSPCGLRGHTSRQYRASFVEENSLQESTMTSDGAGSQTSHSQRTNETPRLEGKHRLHFTVAADSEENEAAESTLTTFKPYEITEEMRQEMDYNADRAWYDRDEHNTMFDGDSSSLFGGDDASYKKKEASLAKKLTRKDGTLMTLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQIDFEDEDERKVILLVHGMLKLDLIVLQYYSVMALSLPLMLCSSEYLVVLFCHEGGFWATSSSEVSTFAKSKSISQQRQYLPIYSVREELLKVVRENQVIVVVGETGSGKTTQLTQYLHEDGYTNSSIIGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDSDLDKYRVIIMDEAHERSLSTDVLFGILKKVVARRRDFKLIVTSATLNAKKFSDFFGGVPTFQIPGRTFHVNILYSKTP >Ma06_p35360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35232932:35233543:-1 gene:Ma06_g35360 transcript:Ma06_t35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRRPWHQLPRCKDVVTKGPFLCHGSATFVVQGDNNLVLYNKGPGFQFDTHGDGVNCTLSLTDRGQFVITASDGLRVWSSKVPYTAKTGKHAAVLRPNGQVAVYGPALRSTPDFNLSAAGSRGPEQTALGKVENVLFSSQILDEQDKLATRDYTLVMRGDCDLALVKAPAIVAWQSGTVGKGAHCFLRLDHKRPARRGRRP >Ma11_p02270.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.7 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.12 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.12 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.14 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.14 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.9 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.8 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.13 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.13 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.10 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.10 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621841:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQITSVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQFIETTLMSTKPPLRVRLTQITNNVLYQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma11_p02270.11 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1621960:1627004:-1 gene:Ma11_g02270 transcript:Ma11_t02270.11 gene_biotype:protein_coding transcript_biotype:protein_coding MINIFDLSAGMAGTKMLPERDHRNGSPVHRNNPNVNEAATPSATNTDNKQNSSKKKSGGTPMKMLIAQEMSKETKSKRKPHSVVARLMGLDDDLTTQLSPVASQRKLLEGYPQTASAGVHRGHLQDDVFCNKEVPCELHQHDCLKMERRYVSEVWKQPSQSRSRRCGETQNDLRMALVRQKFMEAKHLATDENLIHSKEFQDALEVLNLNRDLFLKFLEEPNSLFSKQTFDLRSVPPPPQPNHITVLKPSKKVETKFEKMVKKQEGPEIKESGRETNNHHWNSTFDHLKAESFSQPTRIVVLKPSTGNPTKAPTTTMSPKHLVQGDLGDSEAFGPKYIEERTQQMHKILSGHRRDESLLSSVYSNSFGGDGSSFNLFETDYIEEDGGGFSDSDVAAPTSRHSWDRFNSPCSVSSFSRVSHSPESSVIREAKKRLSERWALVTSNGTSQEQLHLPKSSSTLGEMLAISDVKREECVNRYTVSASRSCGGDDELMLPAFFLSVDGKKNSGELSPENLSRSKSVPVSCSAYKDIGLKYEGSNTQLCEPTVSEVSKTKHGKSSFRGRFSSFFFSRSKETRKRPVSSTMVGSGGADVVASRTDEMLKSVHGSSSANSQVKDEEQSASTASISVTNVSKKPMQSVENSRTSDKSSEEEKLSPRQNYTNNLDQPSPTSILDAQFEDDVNGNLLQTSEANARQQLISRASPIESVARTLSWDDTRLEMRLPEPSSFKVALSKADGADQDHFVFVQKLLSYAGLEKSDMMFTGWHSPDSPLDPVLLDKLLGLKEDESKCMEKTPTLRLLFDCVNSALLEVSWSTLMSVYPWNRASCGAQINACASSALSEDVWGLVRDWSSGNRTVVFTENDIGSLVVDRVLRTEAGGNRWVELNRIVEEIAKEITSDVLKDLVGEAFSDLAAACIY >Ma04_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7548290:7550521:-1 gene:Ma04_g10630 transcript:Ma04_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRSQSQPFRFWLPAWTHPTRSSTPRQPDPTRQKSLRRDASQPSDHTTSSSPSSPTTTRRVPQPSPPPASSRNRPSSRPQARNANEQQRSRPQSPQPSPINSDKQAAVARNVRSQSALQTASTQVKSRLSSQALAPDSQSSSPAKRTSPSNQPLSLAHESQATLASASPTILHPKSQARAIPLAKDSNPKPTRNHILQEPNLPLVSTNSLENKPLESYSISVPQPSTPKEQREKIKLEENEPSQSRKLEDTNKQTAEEKHKLKLTTNHPKDPTKAPNSYSTPEGTNRGKLRTTPDTEEKPFTGERNKSKEQGEVKQLSTTSENSLITSPTQSGLVSLSINSNAEHRKDTDTAEHTKDGSTREEQKIAISSISQDSFYDGKRAMFKGEIKEGLSKLLQKIGTGYSGGVRNGLSTNVLTLAGNSSGASMIIGYEGTDRENFYHAHKDQKLNKEKVDARGSCSEEKWQHSGKGAFTTSINNNVQSINNSAVDESSCSVRNPGVHLNLSRTKETLVSKRTVEPLETERTPSKPIQSQKPTREPRIRRRCLRALLMEPSESDPENPEKPQRHGCRYSCEEKKKLKDDNHGMSTTNSGLQNN >Ma02_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19854198:19856955:-1 gene:Ma02_g10210 transcript:Ma02_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLVVLVSFLSLALLSFSQDPDLKSGSAYDELRTSGFPVGLLPTNVLTYSLNRTSGAFAVDLDDRCRVTLPPDNYLATYSRRITGKLADRRISDLDGIRVKAFFRWWSITGIRSTGDDLVFEVGVVSAKYPSRNFDESPDCEGRSPRKAAS >Ma02_p10210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19854796:19856955:-1 gene:Ma02_g10210 transcript:Ma02_t10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLVVLVSFLSLALLSFSQDPDLKSGSAYDELRTSGFPVGLLPTNVLTYSLNRTSGAFAVDLDDRCRVTLPPDNYLATYSRRITGKLADRRISDLDGIRVKAFFRWWSITGIRSTGDDLVFEVGVVSAKYPSRNFDESPDCEGRSPRKAAS >Ma11_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2240413:2254195:-1 gene:Ma11_g03050 transcript:Ma11_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRGAKRAALTSDASADAGLQSKRVMDAPSFGVHRVESSHQHLMAGPTLDPQRAESAHRHVRALNSQFASWVQLQLQSHPDELWEDGVSDYLSHASHIMENFKDVVDWLKAKSAKQKSVSIAGSTTDDNNTVDVFENNKKLMHSNISNGFAKQQTTASMPSLQKSSPQSSGLFSFSQKPDFAGSSSSQKSLFTVSESNKATDVTNGVVKQMTPASFPSFHDSGSQSSGLFSFSQKPAFSGMQGDATKVEVSGDVDDEEGDQKKPSSPSLEKAEEKGIIVVHEAKCKVYVKPDDPSDKAWKDMGVGHLSIKCKEGVEKATKDSRPTIVIRNDVGKILVNALIYHGIKMNIQKNTITSIFHTAGGGHGAGADSKDIVARTYLLRLKNEEETTKLATAIKDHTPSD >Ma11_p03050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2240413:2254090:-1 gene:Ma11_g03050 transcript:Ma11_t03050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTLDPQRAESAHRHVRALNSQFASWVQLQLQSHPDELWEDGVSDYLSHASHIMENFKDVVDWLKAKSAKQKSVSIAGSTTDDNNTVDVFENNKKLMHSNISNGFAKQQTTASMPSLQKSSPQSSGLFSFSQKPDFAGSSSSQKSLFTVSESNKATDVTNGVVKQMTPASFPSFHDSGSQSSGLFSFSQKPAFSGMQGDATKVEVSGDVDDEEGDQKKPSSPSLEKAEEKGIIVVHEAKCKVYVKPDDPSDKAWKDMGVGHLSIKCKEGVEKATKDSRPTIVIRNDVGKILVNALIYHGIKMNIQKNTITSIFHTAGGGHGAGADSKDIVARTYLLRLKNEEETTKLATAIKDHTPSD >Ma11_p03050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2240413:2254195:-1 gene:Ma11_g03050 transcript:Ma11_t03050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPMLAVESSHQHLMAGPTLDPQRAESAHRHVRALNSQFASWVQLQLQSHPDELWEDGVSDYLSHASHIMENFKDVVDWLKAKSAKQKSVSIAGSTTDDNNTVDVFENNKKLMHSNISNGFAKQQTTASMPSLQKSSPQSSGLFSFSQKPDFAGSSSSQKSLFTVSESNKATDVTNGVVKQMTPASFPSFHDSGSQSSGLFSFSQKPAFSGMQGDATKVEVSGDVDDEEGDQKKPSSPSLEKAEEKGIIVVHEAKCKVYVKPDDPSDKAWKDMGVGHLSIKCKEGVEKATKDSRPTIVIRNDVGKILVNALIYHGIKMNIQKNTITSIFHTAGGGHGAGADSKDIVARTYLLRLKNEEETTKLATAIKDHTPSD >Ma06_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5790742:5791202:-1 gene:Ma06_g08190 transcript:Ma06_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLHGVAFSLVLLALLSAGGLAAAAESHCKMTEEGLIACLPSMTGASPAKPSPKCCAALGKADLACLCKYEDSPALPQLGINRTFALQLPAKCKLSLPKNCH >Ma11_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27598808:27604797:1 gene:Ma11_g24710 transcript:Ma11_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGGDVTYDGRALMINGTRRLLFSGSIHYPRSTPEVLFHRVLHLPSVSPLSFAPLNKWPYLIAKAKEGGLDVIQTYVFWNVHEPIQGQYDFRGRFNLVRFIKQVQAGGLYVSLRIGPFIQSEWKYGGLPFWLHDVPGIVFRSDNEAFKFHMQKYVTKIVDMMKSERLFASQGGPIIITQIENEYENVEAAFAERGPSYVRWAASMAVGLGTGVPWMMCKQSDAPDPVINTCNGMKCGETFLGPNSPKKPSLWTENWTQRYQVYGEDPRPRSAEDIAFAVALFIAKKNGSFVNYYMYHGGTNFGKSASSYVTTSYYDQAPLDEYGLIWLPTWGHLRELHAVIKLCQEALLWGRYTYYSLAKLQEAHLFRTNSGKCAAFLVNYDKSLVANLHFLDAIYELPAKSISILPDCKKTVFNTAKVSARYGERTAEPVQYLNRSQQWEASAEESNIAGKASLVAKGLLEQMSTTKDVTDYLWYTTSYNHSQQDGQITLHVDSLAHVLHVFVNDELLGTVHGKNHGELPVFDKPIPVKGGQNNISLLSVMVGLPDSGAYLEKRFAGIQHARIQGTGNLSRDLTHELWRYQVGLRGEKVLIYTEEGSKQAVWNPVVSFANKPLIWYKTRFDAPRGTDPVALNLANMGKGEVWINGESIGRYWASFKAPSGKPSQSLYHVPRSFLNPSNNLLVLFEEMGGDPRSITVDTISVARVCGHVAESYYPSVFSESKHPYVRLGCQRGRSISSIGFASFGTPVGNCKSHAMGGCHSVASRAVAEKACLGKEKCSIPVTTSRFRGDPCPGITKSLLVVAECS >Ma04_p35900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34460818:34462316:1 gene:Ma04_g35900 transcript:Ma04_t35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGTQDKCKACDKTVHFIDLLTADGVPYHKTCFKCSHCKGTLSMCNYSSMDGILYCKPHFEQLFKETGSFSKKFPTSSKSGEKELSRTPSKVSSMFSGTQDKCASCKKTAYPLEKLTVEGESYHKTCFKCSHGGCTLTPSSYAALDGILYCKHHFAQLFKEKGSYNHLNKVASMKRSSGAEEAPSEEAAAEPTQEEEQS >Ma03_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15125602:15126748:1 gene:Ma03_g15340 transcript:Ma03_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSTLEMYLPGFRFHPTEEELLDFYLRRKVQGKRLQLEIIATVNLYRHDPWELPGLAKMGETEWYFYVPRDRRQTSGGRPSRTTERGFWKATGCDRPVRSAADPKRLIGLKKTLVFYEGRAPRGTKTDWVMNEYRLPDPSATSSDGPPKEENMVLCKIHRKATSMKVLEQRAAAMEEDTTMSQNSSSTAESASDYDQESFLKSMVKEDVVIVIEDATEEEVTTVVVVEEEKEEADVAAVSTRQRPSLPELEVPKKEGLEWLQDPFWTQLRSPWMDLWSPYLATMLNC >Ma11_p22910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26482976:26495474:1 gene:Ma11_g22910 transcript:Ma11_t22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVRIARLSTFLFRSPHFPLVSSTSRRLRCNLSPSAASPEECELNEESDKFLRWLRQKAGSEISSVLSLGNSVHGRSLFASKNIKSGECILKVPYIAQITSENISGEIKLLLPRDIENVSRLAVVLLAEKKHGEYSGWATYVNSLPSVDEMHNAIFWSKDELEMVQESSIYQETINLQAHIKKEYSVLGPVLEQFPYVFGDVHLMDFMHAYALVTSRAWDTSKGVSLIPFADFLNHDGTCDAVLLSDVHNEISEVIADRDYAVGEQVMIRYGKFSNATLLLDFGFTLPYNRYDQVQLLLDIPSHDPLYGMKSEILKKHCWPRTTTNADKSNTTGNSFIVKEVKSANGKGKGIPQALRAFARVLSATSVEELQSLVTEATENDGRLARRPLKSNEREIQAHHILCSRLLHMIHGHDVALKELKFVDAFDCNNQQSLRTRMAKDLLNGELRVMKSAYAWLTNYCTSLSVPKEIYTSS >Ma11_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26482976:26495474:1 gene:Ma11_g22910 transcript:Ma11_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVRIARLSTFLFRSPHFPLVSSTSRRLRCNLSPSAASPEECELNEESDKFLRWLRQKAGSEISSVLSLGNSVHGRSLFASKNIKSGECILKVPYIAQITSENISGEIKLLLPRDIENVSRLAVVLLAEKKHGEYSGWATYVNSLPSVDEMHNAIFWSKDELEMVQESSIYQETINLQAHIKKEYSVLGPVLEQFPYVFGDVHLMDFMHAYALVTSRAWDTSKGVSLIPFADFLNHDGTCDAVLLSDVHNEISEVIADRDYAVGEQVQLLLDIPSHDPLYGMKSEILKKHCWPRTTTNADKSNTTGNSFIVKEVKSANGKGKGIPQALRAFARVLSATSVEELQSLVTEATENDGRLARRPLKSNEREIQAHHILCSRLLHMIHGHDVALKELKFVDAFDCNNQQSLRTRMAKDLLNGELRVMKSAYAWLTNYCTSLSVPKEIYTSS >Ma01_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25445890:25447031:1 gene:Ma01_g22590 transcript:Ma01_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEHSSKQACEAQVKSKKANLVRLETTVIADLFIDGPYGAPAQDYKKYDILLLIGLGIGATPFISILRDLLNNTQLMQEMQNEDEPDSENKGNGPGRAYFYWVTREQGSFEWFKSVMDEVAESDHNNVIEMHDYLTSVYEEGDVQSTLMPMVQSLQHAKSGLDIVSGRHFARPNWRKVFSDLASAHKASQIGVFYCGSAKLTQQLWNLSQEFSNDTTTRFDFHKENF >Ma07_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12421904:12441272:-1 gene:Ma07_g16050 transcript:Ma07_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFTAAAEGGGTVAARSSLWESIRRCGILGMGIDEEELRRKILIPAYIRTAMSSAIRNRDAGASAEAAVRAGEEADEVPEAPIVVLVNSRSGGRHGPQLTARLQQLISVEQVFDLSDTQPPHFVQYGLTCLENLGDNGDNLARVIRENLRVMVAGGDGTVGWILGSLGELFVQKREPVPPTGIIPLGTGNDLSRSFGWGGLFPFAWKSAVKQSLLKAVSNPVQHLDSWHVTIIMQETERLELPFSLKHMEEYDLTQDVDIQRELPENISCSEGVFYNYFSIGMDAQVAYGFHHLRNKKPYLAQGPMSNKLIYAGLGCTQGWFFTPCVKSPELRGLKNILALYIRNDNSKQWEKIQIPSSVRSIVILNLDCYGGGRHPWGHPMPEYLEKKSFFEAQVDDGLLEIFGLKHGWHASFVMVELISAKHIAQAAAIKLELRGGDWNKAYMQMDGEPWKQPLKRDYSTFMKIERVPFQSRMISGK >Ma06_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10078433:10079810:-1 gene:Ma06_g14750 transcript:Ma06_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKPLAEDDLDPGIFISDGVGLASECPNHVVLLTSTTYGVLNLDRSEPHGKKCDAEEAEEVIKRECRRSPRADLTIDRFDKSVAKEVPSEVIDARELMEDLVDETPFWTPLKKPAKLHASKVSASPKKQIRRALGKENAPQRRDPKSGDLDKNRILRPFSSSDNGKRIGLAAQTPCKNSLTDAGKASGRDSRGSASRRSLSPFFDPELVASLEREYFQEGEQIKKMVLLSPRNRKAHDPSFLLRSYQEKCPPGGQNTVVLYTTTLRGIRKTFEDCNAVRSTLESYHVRIMERDISMDSGYREELRSLMGSKEVKVPAAFVRGRLIGGAEEVLRLEDEGKLELLLEGIPRAASSCEGCAGLRFIMCMDCSGSCKVLDEEQKKMVKCGVCNENGLIHCPICC >Ma08_p34150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44272751:44273905:1 gene:Ma08_g34150 transcript:Ma08_t34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCCTNEVTVNVSVHRMWKAAACEDHILLPKIIPQYFAGAELVGDGEAGSTKTFHFTPAAEPLTFVKDHVEVLDHGSHTMRYKAIEGGHLGRTLKSHAFEVKFEAAGADSCVVKTKTEYDTIDDAPLPEDEVQKMTDVPVRMMKSVEAYLIANPGVCA >Ma04_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25817605:25821000:-1 gene:Ma04_g23700 transcript:Ma04_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSITGPDGETGGHETGLGDLPESCVAAVLLHLDPPEICRAARLSRTFRGAASADFVWKAKLPENYVHLMELACGGKSPSSRKRRLFLKEIYARLCRPNPLDGGTMEFWLEKNRGGVCMSISSKALLITGIDDRRYWNYISTDESRFHTVAYLQQTWWFEVDGEIDFCFPAGAYSLFFRLHLGRISKRLGRRICSFEHVHGWDTKPVHFQLSTSEGQIATSHRYLDEPGRWILCHAGDFVVENSNVSTKLEFSMTQIDCTHTKGGICVDSVLIYPKGFMQEKVFTSSL >Ma11_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26468129:26475311:-1 gene:Ma11_g22890 transcript:Ma11_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHKEFETDHSDHHSGESISGMPIKKRLFHLSQCSSSLSQETCTMPHNCRGQPKKFFLEEESSVSENGAALALDTNTIPKDFLKSSSALSGQKSYFYGPNMSMFHSSAVQADHENMELKKATSTFKGSNMVEDPNIDNRSSLSLSMEKGKQLAQLGISEMVIGDRHIEGKFASSDVPAFRGVETTSNMRLTSCNDKKYHGYNSLEREKVEMCSMDLPLVKGSQHLNPTMHNDLDPKYGSAHHCVNRVNWDLNVPMEVWDASINDSIIEHNINNRLNQRAMHQQNIDRCLFQTTLGTIGSDLTLGTSMVEKNHCSPRLTDLGMPADDDREYEGGLELQLRPPSRPELRIKWGKIVPPDLSLSLTGNLSDASRRVVKPEPCEDNSHKETENFQLSSLKPVGISLVKSEPCDESSQGEASSVAVPFDQEPSVGRMRKSEAPQELEGKSSNLELESHLLTSCCTTTDIPLSKVKAVVLNSNVVLHDGSPVIPDNLKIKSGESTCLALDCTTVSGASIPCKAEVMLTDTCTHHDSQKSTVKPLDMVVDSVISDANGFITNETEISETAEVASTLHHESLVSDLEEPMACDGMSEGSAEMDVSGGEYYTSPKVVARDDLHVSDKSGVSSGGDQDSMDLPAEIQTEQPNDDDLQLDASNCLTCSRDEISRSEGGDLEDKGADLRDPVPQCANEVSCDHDEKRVHGYMSHCANEVPCKNVKEVQGDVATALFSSNSVPIIVEVHLDKKGKQIVSQEARSGHSAKDIDGNMKDDKKQTSCDLTTDVHELSVTRVLRASSSKRLTKPCHGVIEMRLGKDKVSEMKSNSFDAIAKTNRDNLGKWQISGKYASASIKHPELPERDFDVSGIVNKHVDDAGSHNHIKNADASCKNRQIEKVSSPTIKSFGQRRPISAWTVPSGTEERVIIKQRQDRLYSEGRRPSKVESRKNQGQRIDECDSNYRSTRRHGNQYFDRDSDTQYAPELNNPGKHHLPKLSKRLEIPFGSVGNAGRLLRKPINDQPNNSSRFPSWRQLPGHQEVPSLLGARSAGFPAKNTTSNRFIGRKIHDDTLLAHEDNMIGNLPGEMVDNVLYSRPNLQYEPTENDLMTRGRSLSPLRRRLHPVQYYRAHSPRMWPSPGRSPEMVVDRHQELIRHGPSPVVRVGMSRSPHPQPCFPEDGIVRRNVSPPYPQFPADMRDMLPVDDLNFHRPGGVLQRNLRRFDMMPHDTVEDYLGPPDFVDHFDRRDYYDRRERVQPLYPGCDPGDIGKDALPYDDGCHMPNRFFPVGEVIPARGSSRDFVSHIRNRLGNATNIFRTQEQGEDYRYHRKQGWHEGGFNDMRPKRRKF >Ma11_p22890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26468129:26475311:-1 gene:Ma11_g22890 transcript:Ma11_t22890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHKEFETDHSDHHSGESISGMPIKKRLFHLSQCSSSLSQETCTMPHNCRGQPKKFFLEEESSVSENGAALALDTNTIPKDFLKSSSALSGQKSYFYGPNMSMFHSSAVQADHENMELKKATSTFKGSNMVEDPNIDNRSSLSLSMEKGKQLAQLGISEMVIGDRHIEGKFASSDVPAFRGVETTSNMRLTSCNDKKYHGYNSLEREKVEMCSMDLPLVKGSQHLNPTMHNDLDPKYGSAHHCVNRVNWDLNVPMEVWDASINDSIIEHNINNRLNQRAMHQQNIDRCLFQTTLGTIGSDLTLGTSMVEKNHCSPRLTDLGMPADDDREYEGGLELQLRPPSRPELRIKWGKIVPPDLSLSLTGNLSDASRRVVKPEPCEDNSHKETENFQLSSLKPVGISLVKSEPCDESSQGEASSVAVPFDQEPSVGRMRKSEAPQELEGKSSNLELESHLLTSCCTTTDIPLSKVKAVVLNSNVVLHDGSPVIPDNLKIKSGESTCLALDCTTVSGASIPCKAEVMLTDTCTHHDSQKSTVKPLDMVVDSVISDANGFITNETEISETAEVASTLHHESLVSDLEEPMACDGMSEGSAEMDVSGGEYYTSPKVVARDDLHVSDKSGVSSGGDQDSMDLPAEIQTEQPNDDDLQLDASNCLTCSRDEISRSEGGDLEDKGADLRDPVPQCANEVSCDHDEKRVHGYMSHCANEVPCKNVKEVQGDVATALFSSNSVPIIVEVHLDKKGKQIVSQEARSGHSAKDIDGNMKDDKKQTSCDLTTDVHELSVTRVLRASSSKRLTKPCHGVIEMRLGKDKVSEMKSNSFDAIAKTNRDNLGKWQISGKYASASIKHPELPERDFDVSGIVNKHVDDAGSHNHIKNADASCKNRQIEKVSSPTIKSFGQRRPISAWTVPSGTEERVIIKQRQDRLYSEGRRPSKVESRKNQGQRIDECDSNYRSTRRHGNQYFDRDSDTQYAPELNNPGKHHLPKLSKRLEIPFGSVGNAGRLLRKPINDQPNNSSRFPSWRQLPGHQEVPSLLGARSAGFPAKNTTSNRFIGRKIHDDTLLAHEDNMIGNLPGEMVDNVLYSRPNLQYEPTENDLMTRGRSLSPLRRRLHPVQYYRAHSPRMWPSPGRSPEMVVDRHQELIRHGPSPVVRVGMSRSPHPQPCFPEDGIVRRNVSPPYPQFPADMRDMLPVDDLNFHRPGGVLQRNLRRFDMMPHDTVEDYLGPPDFVDHFDRRDYYDRRERVQPLYPGCDPGDIGKDALPYDDGCHMPNRFFPVGEVIPARGSSRDFVSHIRNRLGNATNIFRTQEQGEDYRYHRKQGWHEGGFNDMRPKRRKF >Ma02_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17733384:17736224:-1 gene:Ma02_g06830 transcript:Ma02_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCRPTMSDWTSFQIAPNPPQTTSLRCISSLTLSMEGALFSSRPAFPTHTSKTPRKPSTNHPKFNPPPPPPSHSVPLDSLLHHLQHLTQETSTTLHPPQPTNKNPNFPSLRLASTTIHREKATPASRPRLAGSENGVLFLRDPRLGFLSGAGKSLLRSIVEQPLLDLVSLLESKKDEIFGVDWVSLLKALEILGNWEKALALFEWAGSGSNAEGSRLDAPAIEVVIRALGRHSQHSIASKLFDSIPLEEYCLDIRAYTTLLHALSRTGKYRKAVALFKQIKAKGLSPTLVTYNVILDVYGRMGRSWSKILEILDEMNSRNVGIDEFTCSTVISACGREGLLEEASMFFEQLKLQGYVPGTVAYNSLLQVYGKAGKYPAAMGVLKEMEDNNCPADAVTYNELVATYARAGFYEEGAAVLDTMASKGIMPNSVTYTTVISGYGKAGKEDEALALFDRMKKLGCVPNTCTYNTILGMLGKKSRTGEMLDILSDMKSNGCVPNRVTWNTMLAMCGKRGMENYVSQVFDEMKKSGVEPDRDTFNTLIAAYGRCGSSTQALQMYDEMVKAGFSPCTTTYNALLNAIARKGDWIAAESVILDMKKKGFKPNELSYSLLLQTYAKGRHIKGIQAIEEEVYDDKIFPSWVILRTLIIVNFKCRMLNGTEKAFEELKRNGYKPDLVILNSMLSIYAKNGMYDRAREMFDLIHQFGLRPDLITHNNIMNMYARGGECWEAEDILKQLEKSGLKPDVVSYNTVINGFCKQGLMTEALRILSDMMAKGVTPCMVTYNTFISGYVSKDMFKEADDVISYMIQHNCRPDELTYRIIVDGYCKAKRYEEAMEFVSGILNMDSSFSEQSVNKLTLRVEENKSRH >Ma03_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:299818:310931:-1 gene:Ma03_g00320 transcript:Ma03_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGARLVFWFARRSLRSKLIEDSPIPSLEDSSCRLASGKCSSCRSFTNGRSEAARSKRGTLDGAFRSLFSPSRSFHGTRSLLLRDFYDVLGVSKDASASDIKKAYYALAKKLHPDTNKDDADAEKKFQEVQHAYEVLKDEDKRRLYDQVGPNAFEQAASGGGPDGPGGPFGSGFGNPFEDIFGMNDFFKNVFRDTDYGGQNVKVSLEISFMEAVQGCTKTVTFQAPVNCNACGGTGVPPGTRPETCRPCRGSGMIFMQNGPLRLQTTCSHCGGSGKHVKSFCKSCKGQRVVGGTKSVKVDVMAGVDDNETIKIYRSGGADPESNQPGDLYVTIKVREDPVFRRDKANILVDTVLSVTQAMLGGTVQVPTLTGDVVLKVKPGTQPGQKVVLKGKGIKTRSSSYYGDQYVHFSVVIPVNLTRRQRMLIEEFAKEEQGNSEKQEAAAGASG >Ma08_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15114631:15135934:1 gene:Ma08_g15110 transcript:Ma08_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MDVAFTPKPQRPICSVYLPFSPGLLPLSTRREFLGCGSHLRPPSGLRSLKRFKKSGLQFHSPRCLSQEFSYEDSVLTAAAVVAAFTAFQFIYLNHKRKRRSESPKFSGLQVSDVTVGSGPCEQDAISRSKEGAAKEFMIGQHKQTMNRGSDIISERPHGFLHLDQAKKKQDLVSQADDLRHITSMGGQKTMLTRDNSQCLQETLACSEEEQLDFRSLSCFKGLSEEPLHLILQENNGSCSQLMLDLRYQDALAKGSFLTADPTKQQPPMSCFKEASKREEKVLRNVHEFAKDIGRNMRCKKGDTILSRLPQPKRNFEKSTNDLSSWLRTYNRLLRDGRLAECIDFLESMERKGLLDMDKVHHMSFLKACKNKKAVKEAFQFCKLIQNPTMSTFNMLLSVCASSQDFEGAFQVMLLIKEDALKPDCKLYTTLISTCAKSGKVDAMFEVFHEMVNAGIEPNANTYGALIDGCARAGQVAKAFGAYGIMRSKKVQPDRVVFNALITACGEVGAVDRAFDVLAEMKAEPKPIDPDHVTVGALIKACSKSGQVERTREVYKMLQEYHITGTPEVYTIAAKSCSQIGDFQFALEIYDDMKRNGVIPDEMFLSTIIDVAGHAGKINAAFKILQEAKSDGIKVGNMSYSSLMGACCNAKDWKKALELYEEIKAIKLLPTVSLLNALITSLCEADQVLKSVEVLDEMKKKGVQPNEITYSVLIVACERNGEAELAFTLFGEAKRDRVLPNIIMCRCLTGLCLYSFKKAYSLGEPIVSFNTGRPQVDSKWTSLAIMVYRETIQAGVIPTIEVFSQVLGCLQFPRDSSLRKTFIENLGIGFDSSRSSNVSSLLDGFGEYDIRSFSILEEATSLGVIPRATMKDNPVVDARKLQIHTAEVYLLTILKGLKYRLAAGSRLPNITILLPTERTQIEFSKGDRTISLAGRVGQAVGSLLRRLGLPYQGEESYGKIRINGLALRRWFKPRVTSTSFSGRPAEMIPTTARLAKGIADQQRSIRISKNFSLE >Ma08_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37490293:37491497:1 gene:Ma08_g24230 transcript:Ma08_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSESHVALDRRVKDHANKTLLQSDALYQYILETSVYPREHEAMKELRHITAKHPWNGMAVAADEAQFLNMMLKLMNAKRTLEIGVFTGYSLLATALALPEDGQILAIDPNRKNYELGLPVIEKAGVAHKIDFRESQALPVLDELMQEEKYRGWFDFVFVDADKENYMNYHKRVVELVRVGGVIGYDNTLWSGTVAVEPEYPVLDYVMEMKDKFLELNRHLAADPRIEICQLSISDGLTLCRRIS >Ma11_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1291221:1293046:1 gene:Ma11_g01810 transcript:Ma11_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMALQASFLPSALSAHKEGKANGGAKDSAFLGVSLSETMKSDFSSSVLRSHKRNNLSAGIRAQTATTTPGVKSSAPDGKKTLRKGNVIVTGASSGLGLATAKALAETGRWNVIMACRDFLKAEKAAKSAGMAKENYQVMHLDLASLDSVRQFVRNFRQSGLPLDVLVCNAAVYFPTAKEPTYTADGFEMSVGVNHLGHFLLARELLEDLKASDYPSRRLIIVGSITGNTNTLAGNIPPKANLGDLRGLAGGLNGLGGSTMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRFHEETGVTFASLYPGCIATTGLFREHVALFRLLFPPFQKYITKGFVSEEEAGKRLAQVVIDPSLTKSGVYWSWNNNSASFENQLSEEASDPEKAQRLWQLSEKLVGLA >Ma04_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5110637:5112955:1 gene:Ma04_g07030 transcript:Ma04_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTWNKKLNKHKSKTVRMDEQQEESGCCFFCAIKEPDPRLRQPAVASVFDEMPYRADESGVLVLSALWNIAMTRSDDPELPSLGALRCMSLLIARAIAEPAWLLRHQNIYVPYYAAHVLGSYTIHLPELAELAIDAGAVPPLLDLLRGSLTWVEQRVAVRALGHLASYDSTFPAVAQHAEEVVALAMRVASTCLDTVYTEFVAVTPSEREQYHRDLLTRGLGGADMEDRRAEEWASQLQCWSLYLLCCFAYRDQSIHHLLCRDVGFLKDLCRMWGGLVNSDSPAGVGLVRILCRSEAGREAMAGCREVVESLCNLSRSSDDWQYMGVDCLLLLVDDHKTRQMVMEIAAPCLVDLAELQSLSARERIGETITKALLLDFDHGARALGGEAGTAVKFLWELKVERKRREHRMSNKEATERTALAALKKRHGNEKFWSGNVEEATIRYTDALELCPVKMRKERLVLYSNRAQCHLLMQDPDAAISDATRALALARPANGHAKSLWRRSQAYDMKGMAKESLMDSIMFVNVLMDSEKGKERKHTKLPYYAARMINKQMTATGLFAGLASWDKRRKEDHDRKKNAVASSPSGCSLPTIEEEPWFCRRECKGKGEWSERR >Ma07_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28815690:28816524:1 gene:Ma07_g20810 transcript:Ma07_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQKRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGARARTNFSYGPNGSLPSNCRYLSAALTAKLHRFNLLSLQATQPVVKDAPGKPALLVNGAAADNMSSVFVDDMTKARTEFAGGKKEETNWVVKENGHGEVEFSYLEERHIEQMIEELLDSNLSMELCSL >Ma07_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29440346:29443030:1 gene:Ma07_g21300 transcript:Ma07_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLLQFACPPFIFFLLLIIPSISAAEHLQTYIVRVDADAKPSIFPTHAHWYETAVLAAAASSDGSAAWTPGGPLIHTYSSLFHGFSARLSPSAAASLASSPGVLAVLPELIRHPDTTRSPEFLGLLSSDRTGLLAESDYGSELVIAVVDTGITPAHRSFSDRGLGPAPARWRGECVSGPGFPASSCNRKLIGARYFSGGYEATSGRMNESAELRSPRDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWAAGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAVGAFAAAEAGIFVSASAGNGGPGGLTVTNVAPWVATVGAGSMDRDFPADVKLGNGRVLPGVSVYGGPALTAGRLYPLVYAGAAQGAGDGYSSSLCLEGSLNLDAVKDKIVLCDRGVNSRAAKGEVVSKAGAVGMILANGVFDGEGLVADCHVLPATAVGAVAGDEIRKYIAAATPKSPATATILFRGTRLGVRPAPVVASFSARGPNPQAPEILKPDIIAPGLNIIAAWPDNVGPASIPSDQRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIKSALMTTAYVKDNRGTTMLDESTGNSSDVFDFGSGHVNPQRAMDPGLVYDLTPTDYVNFLCNLNYTQQNIKAITRRAADCRGARKAGHAGNLNYPSFSAVFVEDGTKRRMSTHFIRTVRNVGNGAAVYRATVRAPEGSTVTVEPTELAFRRAGQKLSFLVRVKAATAEKLAPGSSSVRSGALTWSDGRHSANSPIVVTVQAPLS >Ma11_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8648093:8649055:-1 gene:Ma11_g09560 transcript:Ma11_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSEAGMAGTSSSSSSPCASFGSLDDVSVKPTRAIKFLCSYGGKILPRYPDGKLRYVGGHTRLLAVDRSISFSELQVKLSELCGWGAVSLRCQLPTEDLDALISVTSDEDLANLVEEYDIASRDRPSPLKIRAFLFLTPPSLSSSSRPSHKASPTIPISTGRPPFIATERCVHWVSVPPKLYSRYGMPAASTTVGHTRFHSRHHHGHGSPRASKLM >Ma05_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22923770:22940253:-1 gene:Ma05_g18200 transcript:Ma05_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33800) UniProtKB/Swiss-Prot;Acc:P93014] MALATAAITAALSSLSLRPPLHRGGLCLKPLCATLLPSSSSFRARTLPKLAATADIDTSFFDNVDPNDDIPFDPPAPPEGYVAPPSFDELPPESEEEVAAAYEEIYGAAYSGESVLGNDIYVMDGKVKKSSGLLGRKKRDRPNDGFEERVVQVRRVTKVVKGGKKLSFRAIVVVGDKKGQVGVGVGKAKEVVDAIAKSAGNARRNIVSVPMTKYLTFPHRCDGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSKNALNNARATVAAVQRMRQFRDVARERGIPMEELWQ >Ma04_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7014437:7018656:-1 gene:Ma04_g09870 transcript:Ma04_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPFLLLLSAALLFSCAALPASAARVASDAAALLAFKAKADPSNRLAFFPAANDSSDDHCRWPGVGCSGDGRVVRLVLEAAGLHGAFASGTLDRLNQLRVLSLKANSLAGPIPDLSRLLNLKALFLGRNRFGGSFPASVLSLHRLRTLDLSNNHLSGPIPPALAALDRLYVIRLESNRFSGSIPPFNQSSLKNFNVSYNNFSGAVPVTATLSSFDASAFSGNPWLCGEVVRKECGSHFLFFHRGGGGGGGSSSSSNGSGNGSRIAPPPANTLRGKHEEILLPGSASPSQKMHKRAVVAIGFLAGSLLVIGIFGVSLVMQKRRRKMKQGEILSPVKHNNNNNNGGADASPEPNVESYNQEIESGNNELIAAAALAMSEEKVKKLAKSGCLVFCAGEAQVYSLEQLMKASAEMLGRGSVGTTYKAVLDERLIVTVKRLDAAKLGATGKEAFERHMDMVGRLRHPNLVPLRAYFQAKEERLLVYDYQPNGSLHSLIHGSRSTRPKPLHWTSCLKIAEDVAQGLAYVHQASRLVHGNIKSSNVLLGSEFEACLADNCLSFLVEPSESQDSSGYRAPETRKSNDQLTTRSDIYAFGVLLLELLTGKPPLQQPLLMATDLPAWVRSTREDGADDERLMMIIDIAAACVQLSPDSRPTTWQVLKMIQEVKEADTGDNDSDSASLS >Ma08_p18490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:31388498:31404992:-1 gene:Ma08_g18490 transcript:Ma08_t18490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKQSIYPLECLTCFIYNMEEKPFPAWSRSVEHCLKEHNVKLGKGLSSFEAEKRREMYGWNELKKEKGKPLWRLILQQFDDMLIKILLVAAFISFVLAYLEGNESGHTELEVYVEPLVIFSILIINAVVGVWQETNAEKALEALKSMQCEYAKVQRDGRYIPDLPARELVPGDIVELRVGDKVPADMRIATLTTSSFRVEQSSLTGESMPVIKGTSPVLLDDCELQAKDCMLFAGTTVVNGGCICLVTSIGMNTEIGKIQAQISEASQEEQDTPLTKKLDEFGEMLTTAIGIVCLVVWAMNFRNFITWDSVNTSMWNFYFSFEKCTYYFKISVTLAVAAIPEGLPAVITTCLALGTRKMAQKHAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVNEFFTVGEKLSTIRVFHVDGTTYNPKDGGISGWCNRNMGESLQALAEICAICNDAGIYRDGYLFRATGLPTEAALKVLVEKMGLPGAKVRNRFRDTEFASDFSINHNTIRLDCCEWWTKRSKRLATLEFDRVRKSMSVIVHRPTGSNHLLVKGAFESVFERSSHVQLSDGSVALLDEASKWLITSNVQAMSSKGLRCLGFAFKDDLGEFSDYNSETHPAHKRLLDPANYPEIESNLIFVGVVGLRDPPRDEVHKAIEDCRCAGIKVMVITGDNKSTAEAICKEIALFSDKTSVRGKSFTGKEFMTLPADQQMEILSKPGGVVFSRAEPRHKQDIVRLLKDMGEIVAMTGDGVNDAPALKLADVGIAMGITGTEVAKQAADMILADDNFSTIVSAVAEGRAIYSNMKSFIRYMISSNMGEVISIFLTAALGIPECLIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPCKSNAALINSWVLFRYLVIGAYVGLASVGVFILWYTQPSFMGLDLASDGHTFISLAELRSWRECAAWPDFYPNSFVAGDRVISFTDPCDYFTVGKVKAMTLSLSVLVATEMFNSLNALSEDNSLLHVPPWRNPWLILAMLVSFGLHFIIVYVPFLANIFGIVPLNLNEWLLVVSVSVPVVLIDEVLKYAGRKRWWRIHKQKMA >Ma08_p18490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:31388498:31404613:-1 gene:Ma08_g18490 transcript:Ma08_t18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKQSIYPLECLTCFIYNMEEKPFPAWSRSVEHCLKEHNVKLGKGLSSFEAEKRREMYGWNELKKEKGKPLWRLILQQFDDMLIKILLVAAFISFVLAYLEGNESGHTELEVYVEPLVIFSILIINAVVGVWQETNAEKALEALKSMQCEYAKVQRDGRYIPDLPARELVPGDIVELRVGDKVPADMRIATLTTSSFRVEQSSLTGESMPVIKGTSPVLLDDCELQAKDCMLFAGTTVVNGGCICLVTSIGMNTEIGKIQAQISEASQEEQDTPLTKKLDEFGEMLTTAIGIVCLVVWAMNFRNFITWDSVNTSMWNFYFSFEKCTYYFKISVTLAVAAIPEGLPAVITTCLALGTRKMAQKHAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVNEFFTVGEKLSTIRVFHVDGTTYNPKDGGISGWCNRNMGESLQALAEICAICNDAGIYRDGYLFRATGLPTEAALKVLVEKMGLPGAKVRNRFRDTEFASDFSINHNTIRLDCCEWWTKRSKRLATLEFDRVRKSMSVIVHRPTGSNHLLVKGAFESVFERSSHVQLSDGSVALLDEASKWLITSNVQAMSSKGLRCLGFAFKDDLGEFSDYNSETHPAHKRLLDPANYPEIESNLIFVGVVGLRDPPRDEVHKAIEDCRCAGIKVMVITGDNKSTAEAICKEIALFSDKTSVRGKSFTGKEFMTLPADQQMEILSKPGGVVFSRAEPRHKQDIVRLLKDMGEIVAMTGDGVNDAPALKLADVGIAMGITGTEVAKQAADMILADDNFSTIVSAVAEGRAIYSNMKSFIRYMISSNMGEVISIFLTAALGIPECLIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPCKSNAALINSWVLFRYLVIGAYVGLASVGVFILWYTQPSFMGLDLASDGHTFISLAELRSWRECAAWPDFYPNSFVAGDRVISFTDPCDYFTVGKVKAMTLSLSVLVATEMFNSLNALSEDNSLLHVPPWRNPWLILAMLVSFGLHFIIVYVPFLANIFGIVPLNLNEWLLVVSVSVPVVLIDEVLKYAGRKRWWRIHKQKMA >Ma08_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:31388498:31404583:-1 gene:Ma08_g18490 transcript:Ma08_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKQSIYPLECLTCFIYNMEEKPFPAWSRSVEHCLKEHNVKLGKGLSSFEAEKRREMYGWNELKKEKGKPLWRLILQQFDDMLIKILLVAAFISFVLAYLEGNESGHTELEVYVEPLVIFSILIINAVVGVWQETNAEKALEALKSMQCEYAKVQRDGRYIPDLPARELVPGDIVELRVGDKVPADMRIATLTTSSFRVEQSSLTGESMPVIKGTSPVLLDDCELQAKDCMLFAGTTVVNGGCICLVTSIGMNTEIGKIQAQISEASQEEQDTPLTKKLDEFGEMLTTAIGIVCLVVWAMNFRNFITWDSVNTSMWNFYFSFEKCTYYFKISVTLAVAAIPEGLPAVITTCLALGTRKMAQKHAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVNEFFTVGEKLSTIRVFHVDGTTYNPKDGGISGWCNRNMGESLQALAEICAICNDAGIYRDGYLFRATGLPTEAALKVLVEKMGLPGAKVRNRFRDTEFASDFSINHNTIRLDCCEWWTKRSKRLATLEFDRVRKSMSVIVHRPTGSNHLLVKGAFESVFERSSHVQLSDGSVALLDEASKWLITSNVQAMSSKGLRCLGFAFKDDLGEFSDYNSETHPAHKRLLDPANYPEIESNLIFVGVVGLRDPPRDEVHKAIEDCRCAGIKVMVITGDNKSTAEAICKEIALFSDKTSVRGKSFTGKEFMTLPADQQMEILSKPGGVVFSRAEPRHKQDIVRLLKDMGEIVAMTGDGVNDAPALKLADVGIAMGITGTEVAKQAADMILADDNFSTIVSAVAEGRAIYSNMKSFIRYMISSNMGEVISIFLTAALGIPECLIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPCKSNAALINSWVLFRYLVIGAYVGLASVGVFILWYTQPSFMGLDLASDGHTFISLAELRSWRECAAWPDFYPNSFVAGDRVISFTDPCDYFTVGKVKAMTLSLSVLVATEMFNSLNALSEDNSLLHVPPWRNPWLILAMLVSFGLHFIIVYVPFLANIFGIVPLNLNEWLLVVSVSVPVVLIDEVLKYAGRKRWWRIHKQKMA >Ma08_p18490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:31388498:31404939:-1 gene:Ma08_g18490 transcript:Ma08_t18490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRKQSIYPLECLTCFIYNMEEKPFPAWSRSVEHCLKEHNVKLGKGLSSFEAEKRREMYGWNELKKEKGKPLWRLILQQFDDMLIKILLVAAFISFVLAYLEGNESGHTELEVYVEPLVIFSILIINAVVGVWQETNAEKALEALKSMQCEYAKVQRDGRYIPDLPARELVPGDIVELRVGDKVPADMRIATLTTSSFRVEQSSLTGESMPVIKGTSPVLLDDCELQAKDCMLFAGTTVVNGGCICLVTSIGMNTEIGKIQAQISEASQEEQDTPLTKKLDEFGEMLTTAIGIVCLVVWAMNFRNFITWDSVNTSMWNFYFSFEKCTYYFKISVTLAVAAIPEGLPAVITTCLALGTRKMAQKHAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVNEFFTVGEKLSTIRVFHVDGTTYNPKDGGISGWCNRNMGESLQALAEICAICNDAGIYRDGYLFRATGLPTEAALKVLVEKMGLPGAKVRNRFRDTEFASDFSINHNTIRLDCCEWWTKRSKRLATLEFDRVRKSMSVIVHRPTGSNHLLVKGAFESVFERSSHVQLSDGSVALLDEASKWLITSNVQAMSSKGLRCLGFAFKDDLGEFSDYNSETHPAHKRLLDPANYPEIESNLIFVGVVGLRDPPRDEVHKAIEDCRCAGIKVMVITGDNKSTAEAICKEIALFSDKTSVRGKSFTGKEFMTLPADQQMEILSKPGGVVFSRAEPRHKQDIVRLLKDMGEIVAMTGDGVNDAPALKLADVGIAMGITGTEVAKQAADMILADDNFSTIVSAVAEGRAIYSNMKSFIRYMISSNMGEVISIFLTAALGIPECLIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPCKSNAALINSWVLFRYLVIGAYVGLASVGVFILWYTQPSFMGLDLASDGHTFISLAELRSWRECAAWPDFYPNSFVAGDRVISFTDPCDYFTVGKVKAMTLSLSVLVATEMFNSLNALSEDNSLLHVPPWRNPWLILAMLVSFGLHFIIVYVPFLANIFGIVPLNLNEWLLVVSVSVPVVLIDEVLKYAGRKRWWRIHKQKMA >Ma07_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2306390:2308558:1 gene:Ma07_g02940 transcript:Ma07_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRSRARGFLLRSLIRRPFPSQSKRGSSKTLSPIPPFTLGWARPVNLQFQNLRFFSSPNEAVSGDDEDKNRSFGFVESSDSLISDAGETGIDGVDDGGEGSSELDGGKDESQVGAESLSSLREESIQDDDSLGIFAPLEPVGEAAEDPGSVTEIDIQQVDKVRSLLRDSSQEPLESSLDKIEVSLSEELVAMVLQTPYVSGHNLVGFFRWALRSEESVKSLKMVELLVNALSSSADLNKMGAYMLWDLIKEIGNEKGLVNTSILNQLISMFGRLEKARAGLEVFDKFDEFSCNPDGNTYYLTIEALRKRSMFNAAWSVCEKMLSSGNLPDGEKLGKVISFLCKGKMTKEAHLVYLMAQEKKIFLPRSSFDYLVSSLSRKDETVRIALELLDNYPTDSLKYANTTFGSVVHGLCRVKEPQEAKKLLLRMAQSGPAPGSAVFNYVITALSKGGEMEDAIAVMKIMEGRGLRPDIYTYCVIMSGFAKGGLMDEAYGIYREAKKNHAKLSPVTYHILIRGYCKMEEYDKALNCLKEMKDYGVQPNADEYNKLIKTLCLKAVDWCTAEKLLEEMKESGLFLKGTTRSLITAVKELEQEAQSESVHAEA >Ma08_p03280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2422417:2428224:-1 gene:Ma08_g03280 transcript:Ma08_t03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFGSTKLIFLQPSSISKQGGNGITTSISILVSHHHRVWLLALLAFFTFVSLVTLLNTTTARDPNGLPSSAVLSAGSVSSRPPPLLPAPVFDSLVNYAVFSNSTGKMEEGDLRKVAGVLRLRGPCNLLVFGLGHETPLWRALNHGGRTVFVDENEYYVAHVEGRNPGVEAYDVAYTTKVREMPELIAAARRHRRGDCRPVQNLLFSDCRLAINDLPNQLYDVPWDVILVDGPKGYSATEPGRMSAIFTAAVMARSGGPGHVDVLVHEYDRKVEKLCSAEFLCPENLVAATRSLAHFRIRGGPADEFCANQADTASLSSPAGGKAAAS >Ma08_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2422417:2428224:-1 gene:Ma08_g03280 transcript:Ma08_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFGSTKLIFLQPSSISKQGGNGITTSISILVSHHHRVWLLALLAFFTFVSLVTLLNTTTARDPNGLPSSAVLSAGSVSSRPPPLLPAPVFDSLVNYAVFSNSTGKMEEGDLRKVAGVLRLRGPCNLLVFGLGHETPLWRALNHGGRTVFVDENEYYVAHVEGRNPGVEAYDVAYTTKVREMPELIAAARRHRRGDCRPVQNLLFSDCRLAINDLPNQLYDVPWDVILVDGPKGYSATEPGRMSAIFTAAVMARSGGPGHVDVLVHEYDRKVEKLCSAEFLCPENLVAATRSLAHFRIRGGPADEFCANQADTASLSSPAGGKAAAS >Ma10_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22794143:22797587:1 gene:Ma10_g08430 transcript:Ma10_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSFEEEYASDQPSESRGGGSYSPSADVSGSDSSSEISGRGFPAASASASSAGASLLPAGDVLFWEAKLEKRATDVSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKTMWRREMEWLLSVSDYIVELVPSIQEFPSGGTFEVMVSRPRADLHINLPALKRLDSMLLGILEGFRDTEFWYVDRGILVADADENGSGSYPPSSSGRPSLRQEEKWWLPVPRVPPNGLSKEERKRLQQSRDCANQILKAAMAINSGVLAEIEIPNVYFETLPKSGKSCLGDIIHRYIIADQFSPECLLDLLDLSSEHHILEIANRIEAALYVWGLKGQSRHSPLKAKKNSWSGKVKGLVINKERSLLLAQRAEGLLQSLRIRYPGLPQTDLDMNKILYNKDIGQSILESYSRVMESLAFNIVARIDDLIFVDDATKKCAAAEAISVFNRGGLGGLPLQKRISRSPFSIQNTPYVSPFATPSFCSSTPAIGSPVRLTSSLKKDSLQEQQGGKVEKPISYNTESMFIH >Ma03_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23509900:23513893:-1 gene:Ma03_g17900 transcript:Ma03_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDARSSPSPPSPSSSSAYSYVLLNYPLISAIVSFAVAQSIKFFMTWYRERRWDAKLLIGSGGMPSSHSATVTALAAAIGIQDGLGSSIFAAATIFASVVMHDAFGVRLHAGKQAEVLNQIVYELPADHPLSDTRPLRELIGHTPLQVVAGAFLGFVIATTGQLIKKVASGT >Ma01_p18870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14232290:14246771:1 gene:Ma01_g18870 transcript:Ma01_t18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMKDVDSAFQGAGQKAGLEIWRIENFHPVPVPSSSYGKFFTGDSYVILKTTALKSGSLQHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQDGGISSGFKHTETNEREHVTRLFVCRGKHVVNVKEVPFARSSLNHDDIFILDSESKIFQFSGSNSSIQERAKALEVVQYIKETYHEGKCEVAAVEDGKLMADADAGEFWGCFGGFAPLPRKIASEGDRKAETFSAKLLCVDKGQAVPVEADSLTRKLLDTYKCYLLDCDTEIYVWMGRNSSLAQRKAASSAAEESLLEPGRPQAHVIRIIEGFETVMFRSKFEQWPQKNDAVVSDESRGKVAAFLKRQGLNAKGINKASPAKDEPQPYIDCSGNLQVWHINGKNKILLPPSDQSKFYSGDCYIFQYAYPGEEKEEYLIGTWFGKMSVEEERTAAISLANKMVENLKSQAVLARFYEGKEPIQLFSIFQSFLVFKGGVSSGYKKFVKENSTTDETYSEEGAALFRIQGSGPENMQAIQVEPVASSLNSSYCYILHSGSIVFTWSGSLTTSVDQELVERQLDLIKPNVQPKTQKEGTETEQFWSLLGGKSEHPSQKIGKEPEHDPHLFSCTFSKGNLKVTEIFNFTQDDLMTEDLFILDCHSDIYVWVGQQLDPKIRLQAFSIAEKYIEQDFLMENLSRETPLYIIMEGSEPPFFTRFFNWDSAKSSMHGNSFQRKLAMVKNGVPPTPDKPKRRTPTSYAGRSSVPDKSQRSRSMSFSPERVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKPSPKPVMLDPSKVAPKSGAIAVLSASFERPREIMIPKSIIPKSLKVSPENNKPKPEVNAKGSIATLNTRMETLTIQEDAKEGEAEDEGLPIFPYERLKTTSTNPVTDIDITKRETYLSSAEFKEKFGMTKESFYKLAKWKQNRLKMALQLF >Ma01_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14232598:14246771:1 gene:Ma01_g18870 transcript:Ma01_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEKPRIPVKNFASSSFKTTSKASPSSMAVSMKDVDSAFQGAGQKAGLEIWRIENFHPVPVPSSSYGKFFTGDSYVILKTTALKSGSLQHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQDGGISSGFKHTETNEREHVTRLFVCRGKHVVNVKEVPFARSSLNHDDIFILDSESKIFQFSGSNSSIQERAKALEVVQYIKETYHEGKCEVAAVEDGKLMADADAGEFWGCFGGFAPLPRKIASEGDRKAETFSAKLLCVDKGQAVPVEADSLTRKLLDTYKCYLLDCDTEIYVWMGRNSSLAQRKAASSAAEESLLEPGRPQAHVIRIIEGFETVMFRSKFEQWPQKNDAVVSDESRGKVAAFLKRQGLNAKGINKASPAKDEPQPYIDCSGNLQVWHINGKNKILLPPSDQSKFYSGDCYIFQYAYPGEEKEEYLIGTWFGKMSVEEERTAAISLANKMVENLKSQAVLARFYEGKEPIQLFSIFQSFLVFKGGVSSGYKKFVKENSTTDETYSEEGAALFRIQGSGPENMQAIQVEPVASSLNSSYCYILHSGSIVFTWSGSLTTSVDQELVERQLDLIKPNVQPKTQKEGTETEQFWSLLGGKSEHPSQKIGKEPEHDPHLFSCTFSKGNLKVTEIFNFTQDDLMTEDLFILDCHSDIYVWVGQQLDPKIRLQAFSIAEKYIEQDFLMENLSRETPLYIIMEGSEPPFFTRFFNWDSAKSSMHGNSFQRKLAMVKNGVPPTPDKPKRRTPTSYAGRSSVPDKSQRSRSMSFSPERVRVRGRSPAFNALAANFENPNARNLSTPPPAVRKPSPKPVMLDPSKVAPKSGAIAVLSASFERPREIMIPKSIIPKSLKVSPENNKPKPEVNAKGSIATLNTRMETLTIQEDAKEGEAEDEGLPIFPYERLKTTSTNPVTDIDITKRETYLSSAEFKEKFGMTKESFYKLAKWKQNRLKMALQLF >Ma06_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10789635:10790347:-1 gene:Ma06_g15880 transcript:Ma06_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSRILVKSASEATNKMDNILLVINPNERRADVTCESLKIYLTVDLRSSEVESRYC >Ma04_p36200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34654717:34655202:-1 gene:Ma04_g36200 transcript:Ma04_t36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSRTSKLIKTKKVESKLEEAFTCPFCNHDKSVSCTLDTKLKIGEAQCWVCKESYATTIHHLTEPIDIYSEWVDECEKVDKSEELRDDDHRHSKKRRAILVEDYSIRSPTILGSGYKTKPAYAITNDNIDE >Ma04_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4542008:4546400:1 gene:Ma04_g06140 transcript:Ma04_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGIFFSILGIIFFFDKGLLAMGNILFLSGVMLTIGLKSTMQFFTKPKNFKGTISFGSGFILVLIGWPVIGMILESYGFIILFRGFWSTLAIFSQRIPIIGWLLQQPFVSSMHAQHIEVLCA >Ma04_p06140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4542013:4546400:1 gene:Ma04_g06140 transcript:Ma04_t06140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGIFFSILGIIFFFDKGLLAMGNILFLSGVMLTIGLKSTMQFFTKPKNFKGTISFGSGFILVLIGWPVIGMILESYGFIILFRGFWSTLAIFSQRIPIIGWLLQQPFVSSFFNRYRGNRVPV >Ma04_p06140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4542008:4546400:1 gene:Ma04_g06140 transcript:Ma04_t06140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGIFFSILGIIFFFDKGLLAMGNILFLSGVMLTIGLKSTMQFFTKPKNFKGTISFGSGFILVLIGWPVIGMILESYGFIILFRGFWSTLAIFSQRIPIIGWLLQQPFVSSFFNRYRGNRVPV >Ma04_p32750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32684071:32684397:-1 gene:Ma04_g32750 transcript:Ma04_t32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAYVSQDFDTTSITKTIIESIDGFRCDFVSLDNLQKELGKKLSGRRYLLVLDDVWHVSPQDWERIKNFLYSGAQGSKIIVTTRIEEDANFMTTRCMSSTNAEACPWQL >Ma11_p16900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22311487:22331213:1 gene:Ma11_g16900 transcript:Ma11_t16900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) UniProtKB/Swiss-Prot;Acc:Q9FNP1] MKFPLWLHGHVMVEFLVASTSPKKSVVQLVPGTEVSVAPKKRKNGVVAHKSAEKQNSTKEELRMKALLRVQAPNREYVHKFEFKDVELRVFLTSVAFIHPETAKKFSFENLQVATVIPKLQQKEIMQNGNDIINAKRGNNGFLTASKMKPRHTVVHIVYSDSVGKGHVILPQSLRYFIGSGVHSWVYIKNYLRSSKKDIRSMKISPCRFKSFGNGILESHTFLRHEGMPSNADIFRNGDMADWSHHEGPFSFLSHEALLRGDDDSVTFRTKLEKNLLIKLWVIGQINVVGSQSDQMKVNSVVLTNETLLHFEIVDHNKKKENIPSQGTLERENGGAEYRVELLYLLGINSNESSKGDLHDSFEFDISTISKNNDDLHDLELELGKLELGDPVSLDSISENDFKRNFNITLSSLSWLETSISDVINRLLILLSPNSGKLFYAYDLPSPGHVLIYGPPGSGKSTLMKAVARHFEEHEEILAHIVYISCSKLALEKSQTVRQAISGYISEALGSSPSIVIFDDLDNVISFSSDDEGYQPSSSATALVNLFINILDEYGEKSRNSCGYGPVAFVASVQSLQNLPQSLCSSGRFDFHVQLSSPAVSERGAILKHEIEKRTLLCSEDVVSEIATKCDGYDAYDLEILVDRAVHAAVSRFLPSSITNRDTQPILLKEDFSVAMHEFIPVAMRGLTKAASEGGRSGWDDVGGLADIRNAIQEMIELPSKFPGIFAQSPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDLFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQLLTELDGVETLTGVFVFAATSRPDLLDAALLRPGRLDRLLYCDFPTWHERLVILKVLSRKLPLASNVNLETIASITEGFSGADLQALLSEAQLASVHELLDSGNSDNHAKTPVISNQLLMSVASKARPSVSEDEKRRLLRIYSQFLTSKKSVSAQSRDAKGKRATLA >Ma11_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22316264:22331213:1 gene:Ma11_g16900 transcript:Ma11_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) UniProtKB/Swiss-Prot;Acc:Q9FNP1] MKALLRVQAPNREYVHKFEFKDVELRVFLTSVAFIHPETAKKFSFENLQVATVIPKLQQKEIMQNGNDIINAKRGNNGFLTASKMKPRHTVVHIVYSDSVGKGHVILPQSLRYFIGSGVHSWVYIKNYLRSSKKDIRSMKISPCRFKSFGNGILESHTFLRHEGMPSNADIFRNGDMADWSHHEGPFSFLSHEALLRGDDDSVTFRTKLEKNLLIKLWVIGQINVVGSQSDQMKVNSVVLTNETLLHFEIVDHNKKKENIPSQGTLERENGGAEYRVELLYLLGINSNESSKGDLHDSFEFDISTISKNNDDLHDLELELGKLELGDPVSLDSISENDFKRNFNITLSSLSWLETSISDVINRLLILLSPNSGKLFYAYDLPSPGHVLIYGPPGSGKSTLMKAVARHFEEHEEILAHIVYISCSKLALEKSQTVRQAISGYISEALGSSPSIVIFDDLDNVISFSSDDEGYQPSSSATALVNLFINILDEYGEKSRNSCGYGPVAFVASVQSLQNLPQSLCSSGRFDFHVQLSSPAVSERGAILKHEIEKRTLLCSEDVVSEIATKCDGYDAYDLEILVDRAVHAAVSRFLPSSITNRDTQPILLKEDFSVAMHEFIPVAMRGLTKAASEGGRSGWDDVGGLADIRNAIQEMIELPSKFPGIFAQSPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDLFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQLLTELDGVETLTGVFVFAATSRPDLLDAALLRPGRLDRLLYCDFPTWHERLVILKVLSRKLPLASNVNLETIASITEGFSGADLQALLSEAQLASVHELLDSGNSDNHAKTPVISNQLLMSVASKARPSVSEDEKRRLLRIYSQFLTSKKSVSAQSRDAKGKRATLA >Ma11_p16900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22311341:22331213:1 gene:Ma11_g16900 transcript:Ma11_t16900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) UniProtKB/Swiss-Prot;Acc:Q9FNP1] MELEVRTVGGMESCFVSLPLFFIQTLEKTRGGFLPPVLALELRSRSGGDCWNLAWSGSSSRSTAIEVDQQLAECISLPDHSKVQVKAIANLPKADFVNIEPSGEDDWEILELNSEVAEEAILKQVGIVYEGMKFPLWLHGHVMVEFLVASTSPKKSVVQLVPGTEVSVAPKKRKNGVVAHKSAEKQNSTKEELRMKALLRVQAPNREYVHKFEFKDVELRVFLTSVAFIHPETAKKFSFENLQVATVIPKLQQKEIMQNGNDIINAKRGNNGFLTASKMKPRHTVVHIVYSDSVGKGHVILPQSLRYFIGSGVHSWVYIKNYLRSSKKDIRSMKISPCRFKSFGNGILESHTFLRHEGMPSNADIFRNGDMADWSHHEGPFSFLSHEALLRGDDDSVTFRTKLEKNLLIKLWVIGQINVVGSQSDQMKVNSVVLTNETLLHFEIVDHNKKKENIPSQGTLERENGGAEYRVELLYLLGINSNESSKGDLHDSFEFDISTISKNNDDLHDLELELGKLELGDPVSLDSISENDFKRNFNITLSSLSWLETSISDVINRLLILLSPNSGKLFYAYDLPSPGHVLIYGPPGSGKSTLMKAVARHFEEHEEILAHIVYISCSKLALEKSQTVRQAISGYISEALGSSPSIVIFDDLDNVISFSSDDEGYQPSSSATALVNLFINILDEYGEKSRNSCGYGPVAFVASVQSLQNLPQSLCSSGRFDFHVQLSSPAVSERGAILKHEIEKRTLLCSEDVVSEIATKCDGYDAYDLEILVDRAVHAAVSRFLPSSITNRDTQPILLKEDFSVAMHEFIPVAMRGLTKAASEGGRSGWDDVGGLADIRNAIQEMIELPSKFPGIFAQSPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDLFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQLLTELDGVETLTGVFVFAATSRPDLLDAALLRPGRLDRLLYCDFPTWHERLVILKVLSRKLPLASNVNLETIASITEGFSGADLQALLSEAQLASVHELLDSGNSDNHAKTPVISNQLLMSVASKARPSVSEDEKRRLLRIYSQFLTSKKSVSAQSRDAKGKRATLA >Ma01_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:836124:836700:1 gene:Ma01_g01210 transcript:Ma01_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNKTNKITEVVRLQQMLKKWKKLAAAPKSNSKSIKFLKRTLSVSDTSAAHSGDIPKGCLAVCVGEEMQRFVIPTEYLSHRAFAILLREAEEEFGFEQEGVLRIPCEVSVFESILQTVETNYC >Ma09_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1827700:1828641:-1 gene:Ma09_g02600 transcript:Ma09_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSNSPSLPINSPPRSLPAFFLPPFFLSFHAMGNEATDEKKPLLSPLASGKLSLSLRRRGVTLPAVLDIVPAHAAAAGRRWPKDIDYTSLRDIMSSTPPPSGMASPTTPGAPGCGGGPGGGGGGEIRIRNRLVQQAAHAYLQLTPRAAAPPPRGLLRRALAVLSCADLLSPCLHFFRRLFRSLCRLG >Ma05_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1487348:1490477:-1 gene:Ma05_g02330 transcript:Ma05_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKEEMESERESIKAASEEISRQFGTLVDAEEIESIKQLQHLIMGRLQDSNAVLSHFNEYSERCYAEVSGDFTRNVRLLKSMKSDLDHIFTKLRSMKAKLIATYPDAFPDGSTTNMIDQRPDLETPLP >Ma08_p32320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43009976:43010910:-1 gene:Ma08_g32320 transcript:Ma08_t32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLAPLLAVVFLLTSHGSAARCRDDKPRVQQTQVGFGEPPRFVVVVQNSCPMCPAVDVHINCGGFPQSLADPKVLMVIGYDDCVVNGGLPLAPLQKLSFNYTHERFGMSPKSWFLQCE >Ma10_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25462608:25463949:-1 gene:Ma10_g12290 transcript:Ma10_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNQTFNLDQVAFATEGIKDAEQTTSALKSANKELKGMVKIVNTSDIDSMQDKMMDLSTEIQESLGRSYNVADDIDEEELMGGMCIQFYLLVWIINAELDALESDMGAKMESDAIPSYLQPDTETDLDAKLNLPAAPTSNAAAMPNRARQQTEDELGLPAVPQSFYTQLKEHCSQNGKAHIHDSHNVLHFYR >Ma05_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32863339:32866745:-1 gene:Ma05_g21190 transcript:Ma05_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFTSIGEAEAALGRNLTAVEGVWFQYSAGMHDFWLYAHNIVFLLLVYSVAPLPVALAELKRPKAIHKYKLQPKVHVPVADVLKCYKNVVKTFLFAVGPLQLVSFPTIKLVGIRTGLPLPSVWEAAAQLAVYFVVEDYFNYWLHRALHSRWGYDHIHRVHHEFSAPVGFAAPYAHWAEVLILGFPAFLGPAIAPCHILVFWLWFVLRHVEAIETHCGYDFPQTPTKYIPFYGGAEYHDYHHYVGGRSHSNFASVFTYCDYIYGTDKGYRYQKGQLAMLKEQEEAKNQNGETNGMWEKYD >Ma05_p28180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39257754:39262098:1 gene:Ma05_g28180 transcript:Ma05_t28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWAPNLKSGGGLSVFGVTRKHAKVGLVAPWWATAGWRVVCSEEAPAGVIDARVSLRRRSSLASGNRSVVVKASGKKKNDRGDLSSSGNNDPSVPEEDGHEGNIPQYNKSKFNDSTKSNRTLSDWRDFRANLVAWEQEQEVDSGTLVKDTASNEPSQRLGMKWAHPIPVPETGCVLIATEKLDGVPSFERTVVLLLRSGSRDPREGPFGIILNRSLHRKIKHMKSSNPDLATVFADCSVHFGGPLEANMFLVRTDDSLRVPGFEEVVSGVRFGARNKLDEAAALVKKGVLRPEDFRFFVGYAGWQFDQLLDEIESDYWVVAACSSHLIDGVTLDSSSDLWEEILQLMGGQYSDLSRKPKQDDY >Ma05_p28180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39257754:39262098:1 gene:Ma05_g28180 transcript:Ma05_t28180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWAPNLKSGGGLSVFGVTRKHAKVGLVAPWWATAGWRVVCSEEAPAGVIDARVSLRRRSSLASGNRSVVVKASGKKKNDRGDLSSSAGNNDPSVPEEDGHEGNIPQYNKSKFNDSTKSNRTLSDWRDFRANLVAWEQEQEVDSGTLVKDTASNEPSQRLGMKWAHPIPVPETGCVLIATEKLDGVPSFERTVVLLLRSGSRDPREGPFGIILNRSLHRKIKHMKSSNPDLATVFADCSVHFGGPLEANMFLVRTDDSLRVPGFEEVVSGVRFGARNKLDEAAALVKKGVLRPEDFRFFVGYAGWQFDQLLDEIESDYWVVAACSSHLIDGVTLDSSSDLWEEILQLMGGQYSDLSRKPKQDDY >Ma01_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7881371:7884174:-1 gene:Ma01_g10980 transcript:Ma01_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGISHGRNEAAFIQGVCAIVSKGNWCTLWLPRVSDRFTTSNVHQILLQLSADTALSWNFFKWAQSIPHYHHSLPTNFTMVHLLTRSRRFQEARNLLQKFAFKGFLSSPTVLSALLSDHGDQDSNSQILSWLVFIYSRSNKTHDAIQILELMKSRGLRLDPHACSALLSALAKARLTATAWNVYDDILRMGVVANVHILNVMIHVCFKSGDTEKAEKLVSEMDGKVVRPDLFTYNTLISLYCKKGMHYEALAVQERMEKEGIHPDIVTYNSLIYGFCKDGRMREASRLFKEIKGAAPNQVTYTTLIDGYCRVNDLDEGLRLREEMEAKGMYPGVATYNAIIRKLCEEGKMRAVNDLLNEMDDRRVQPDNVTCNTLINAYCKRGNMDFAWKLRNKMLESGQVLDQFTYKALIHGFCKVQELDEAKEVLLDMLDAGFAPNYSTYSWLVDSYCSLNNVEAVLSIPDEIAHRGLSVDKSLYRALIRRLCKRGLVDFAQKAFNKMLEKGLLGDSLVYASLAYAYLSTGKRIAACEILNEMVKKQLIITAKIYKSLCASLANDSGILDLLWSHAIERGLIARNVYKLMQEAKLNSQNDTLNMSSPF >mito10_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000021.1:415101:416251:1 gene:mito10_g00040 transcript:mito10_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTTKIGIVIRSFENPILKNHYLLGLTPNTLQIGLPDSRVLYTLLRSPFIDQKSREQFEMRIKKQYLVIKTERHDSLRKKFFRLKRRATRRT >Ma09_p30010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40335165:40347944:1 gene:Ma09_g30010 transcript:Ma09_t30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MISLSAFPSLCPCGVSRLPKIPRTAVWCSLGSPPPVAGARESKAPRRRSRRTDGAGKSMEDSVQRKLEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNFDRYILIDAGIMFPDYDEFGVQKIVPDTTFIKRWSHKIEALVITHGHEDHIGALPWVIPALDSHTPIFASSFTMELIKRRLKEFGIFVPSRLKEFKVRKKFQAGPFEVEPIRVTHSIPDCCGLVLRCSDGTIFHTGDWKIDESPLDGKVFDRVALEQLSKEGVTLMMSDSTNVLSPGRSVSEAAVADTLLRRISEAKGRVITTQFASNIHRLGSVKAAADLTGRKMVLVGMSLRTYLDAAFKDGKAPIDPSILVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASFGSSHSLKLGKDDVVLYSAKVIPGNETRVMKMLNRISELGPTIIMGKNAGLHTSGHAYRGELEEVLKIVKPQHFLPIHGELLFLKEHELLGRSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFSSLGKEALELMYSDGDKAFGTSAELCIDERLRIASDGIIVVSMEILRPQAVNGSSQACLKGKIRITTRCLWLDRGKLLDALYKAAYAALSSCPVNCPLAHMERIVSEVLRKIVRKYSSKRPDVIAIAVENTTGVVTEELKTRLSGKSRGSFGLSAAAQAFNMRATKHSSRQFNEYSDSLPSSRQLDEVGDSAIDVNNIEMDSSEGESFDADQTLPEVATKNSDQDELSSHASQEPDDIVELVKASSSVQQTTASDCGLEENMGVDKNVTPGSKEPSRLLNAKKSAKRNKWKPEEVQRLIILRAGLDSKFRSAKARMVLWEEISTDMLNDGINRSPAQCKSLWASLVQKYEESRRNEKSRKTWPHFAAMDEVLSAGDQAMK >Ma09_p30010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40336719:40347944:1 gene:Ma09_g30010 transcript:Ma09_t30010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MAMRITSVIPALDSHTPIFASSFTMELIKRRLKEFGIFVPSRLKEFKVRKKFQAGPFEVEPIRVTHSIPDCCGLVLRCSDGTIFHTGDWKIDESPLDGKVFDRVALEQLSKEGVTLMMSDSTNVLSPGRSVSEAAVADTLLRRISEAKGRVITTQFASNIHRLGSVKAAADLTGRKMVLVGMSLRTYLDAAFKDGKAPIDPSILVKAEDIDAYAPKDLLIVTTGSQAEPRAALNLASFGSSHSLKLGKDDVVLYSAKVIPGNETRVMKMLNRISELGPTIIMGKNAGLHTSGHAYRGELEEVLKIVKPQHFLPIHGELLFLKEHELLGRSTGIRHTAVIKNGEMLGVSHLRNRRVLSNGFSSLGKEALELMYSDGDKAFGTSAELCIDERLRIASDGIIVVSMEILRPQAVNGSSQACLKGKIRITTRCLWLDRGKLLDALYKAAYAALSSCPVNCPLAHMERIVSEVLRKIVRKYSSKRPDVIAIAVENTTGVVTEELKTRLSGKSRGSFGLSAAAQAFNMRATKHSSRQFNEYSDSLPSSRQLDEVGDSAIDVNNIEMDSSEGESFDADQTLPEVATKNSDQDELSSHASQEPDDIVELVKASSSVQQTTASDCGLEENMGVDKNVTPGSKEPSRLLNAKKSAKRNKWKPEEVQRLIILRAGLDSKFRSAKARMVLWEEISTDMLNDGINRSPAQCKSLWASLVQKYEESRRNEKSRKTWPHFAAMDEVLSAGDQAMK >Ma01_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25292075:25302481:1 gene:Ma01_g22510 transcript:Ma01_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSAEVCAASAAGRENRTAQAWRTVLGWLGFLFRVLLQILQSGTPSWAQLLSFVGVRHRLLPASSSDPAFHPLPTDAPPPPPPQLRQDPLDKLTVVLDLDETLVSAYEASSVPIVVRSQAIEAGVKCFELECISMDKEVEGKQKVNHVTVFERPGLQEFLQQISEFADLVLFTAGLEDYARPLVDKIDVENRFSLRLYRPATVSTEYRDHVKDLSCLSKDLSRTVIVDNNPFSFLLQPLNGIPCVPFSAGQPYDEQLMGVILPLLKHLSVEKDVRPALYERFHMPEWFQNHGIHSSDGTSLGMGNRNFTAD >Ma04_p39910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36885945:36895565:1 gene:Ma04_g39910 transcript:Ma04_t39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPPPPPSSLSLVSRAKTALHSAAAKAEKVLTDIKADLKIDRESDGQSQKGPKKFTDQEVDANDASNRSQQEVIERSSSKNEGSSSGLKKLGIPPSSVIKQLAMAIESVKNHKSINDLLASSVDPSSNKEKTGLSFSVMKSLVLREKEDKEINSSMCLLFKSEEQYLPWKSASGTEGSPMMTLLKDLHGAPPESFVVQLSLIIGDFKSLQKMALLWRSIIIELRKLWSEGQPIPRMPLDADPDLNSCLLHQQLQVINCSIARKQRRCIAEESLDSILKEASCDSKGSSSDCKVYARTSTGDYVLRLGAVHPSENLTMLETGEPIFSPKTQEGPVLTEELIKETEEFVLRTGSVGPGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWMDTDSNSQNDFSIDEEGSSRRGRLSRRMQEEGNLWHELWEAAKALPAVKQTPLFDEDLAVEGILTALDDIQPSELFEQLFVSVICAGLLIAEVVLPVDSNLSKLYTECKDYIVFTCQNGLLNEKLDDICKVYGTIEAIVLKPEEVIKAMEQPDETSSGESKKRFKIPSLNFVGKDKQPLWKRAPKDDKKSEEKNGHALSNFFDKRTSLFSKKPSKSNAQQSSTASTLDDGGWMIV >Ma10_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24221245:24225228:1 gene:Ma10_g10160 transcript:Ma10_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAAAVGPRLSKKNSDDEERRKKKKKMKSNKRRRLRHSSCSSSDADDGSPRSRRKRAKRLEKRKREPKNDDRKKKKKKKSKKKEKEKERSRKSRNRSVSASSGSSSRSCSTCRGRSSSSGSSVSRSPPPRAKPRARSRSRGRGRDGDAERGRGRRRRTTSFDRRGNRYRSRSCSTCGGSRSSGRTGGSACRSRSCSEEKYREIEQPRRLRSILAMAEDREVVEGGFHGKDEQGDRIIQSYDDFDRYDGGRKSDTHQDSPQRNAKNDEALAKNGNAKDSEMVLSISGEQTIENADGGGDDFTRKKKDNSGGPEAVELESQLRQRALDNFAKFRRSLSANTRSTGCQEDDSSQPQCGKDPIKVAEAQDAFKSSDGKFAVSHECQGGVQGEVRRAEPRVRSVVNIPAEKDTSSHVIRRQSSSGSSRKENEQDPDNSNKSQSDPTQLNWIEEPSNKMSLQHSSLIKDKQEKSAAAEPESSTVCDAAVNQSVGQPTSALPADQRIESENENKDEDKGSQFQQKTFSRMHDGELVQVSYKVYIPKKSPALARRQPQR >Ma10_p10160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24221245:24225228:1 gene:Ma10_g10160 transcript:Ma10_t10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAAAVGPRLSKKNSDDEERRKKKKKMKSNKRRRLRHSSCSSSDADDGSPRSRRKRAKRLEKRKREPKNDDRKKKKKKKSKKKEKEKERSRKSRNRSVSASSGSSSRSCSTCRGRSSSSGSSVSRSPPPRAKPRARSRSRGRGRDGDAERGRGRRRRTTSFDRRGNRYRSRSCSTCGGSRSSGRTGGSACRSRSCSEEKYREIEQPRRLRSILAMAEDREVVEGGFHGKDEQGDRIIQSYDDFDRYDGGRKSDTHQDSPQRNAKNDEALAKNGNAKDSEMVLSISGEQTIENADGGGDDFTRKKKDNSGGPEAVELESQLRQRALDNFAKFRRSLSANTRSTGCQEDDSSQPQCGKDPIKVAEAQDAFKSSDGKFAVSHECQGGVQGEVRRAEPRVRSVVNIPAEKDTSSHVIRRQSSSGSSRKENEQDPDNSNKSQSDPTQLNWIEEPSNKMSLQHSSLIKDKQEKSAAAEPESSTVCDAAVNQSVGQPTSALPADQRIESENENKDEDKGSQFQQKTFSRMHDGELVQVSYKVYIPKKSPALARRQPQR >Ma01_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13110291:13126851:-1 gene:Ma01_g17830 transcript:Ma01_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSAFCLRPPRRSSLKPLPQSPPNHPTKTEVPAVDLPRSGSDRDADGSQGPRVVVSTQAGYPMNIISNHDFSNGLESWHPNCCHAYVASAISGFLNGVRPSSGGNYAVVTQRNESWQGLEQDITEKVTVSVKYTVTACVGVYGDLHGPAGVQATLKLENSDSSISYMFIERILVSKDCWEMLEGSFSLASMPRRVVFYLEGPPPGVDLLIDTVVISSERMEMMVNTQNHVINIISNHDFSCGLEPWVPNCCHAYVASKESGFLNGVRPNSGENYAVVTQRSECWQGLEQDITAKVTFGAKHDVSAYIGVYGVLHEPAEVKATLKFENSDSSTGYLSIGSAFVSKGCWQKLEGSFSLTSMPRRVVFYLEGPNPGMDLLIDSVTISCDDTEVCRATSVDENIVRNPQFEDGLSDWSGRGCKILLHNSLVNGKILPVKGRYFVSATERNQTWNGIEQEITGRVSRKLAYEVTAVVRTFGNANNADVRATLWAQSPNGREQYIGIAKIQASDKEWVKLQGKFLLNGVASRAIIFIEGPPPGTDILVDSFVVRRAMKAAASVPPSDEGFRDNIDSRKVVSTNIISNHDFSRGLQSWSLNLCDGFVVSGEFGPLKGVTAKTGSNYAVLTNRSESWHALEQDITNKVSTGLTYSVSVIVRISGAHQEPSAVKATLKLEHLDSQLSYISVGRAMVSKERWEMLEGSFSLTSMPKCVIFYLEGPSAGVDLLIDSVVVSCSDVEHCEDVSHGANIIRNSSFTDGLNWWNPLGSCKLSIGTVPEEFFPLAKDSVNHNQPISSHFILTTNRTETWMGPSQIITDRIKLHVTYQVATWVRLGSGATSPHHVNVALGVDNQWINGGQVEANSDRWNKIRGSFRIEKRPSKVIVYVQGPPPGVDLMLSELQIFPVNRKARYKVLKEKADKIRKRDVILKFSGSENEGLVGASLKIKQIDNCFAFGGCINRSNIENEDFVDFFLKNFNWAVFGNELKWYHTESQQGKFNYKDADELLDFCHKHGKQTRGHCIFWEVEDAIQPWVRSLDSNDLLIAVQNRIRGLLSRYRGKFRHYDVNNEMLHGSFYQDRLGKDIWAYMFQEAHQLDPSAILFVNDYNVEDGCDSKSTPEKYIQQILDLQERGAPIGGIGIQGHISHPVGEIICDALDKLAILGLPIWFTELDVSAENEYIRADDLEVILREAFAHPAVEGILLWGFWELFTCRDHSHLVDAEGNINEAGKRYLALRQEWLSHADGNIDTQGEFRFSGYHGTYTVDIATASKSISRSFIVDKGDSPLVLTIDK >Ma03_p32220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34123788:34126904:-1 gene:Ma03_g32220 transcript:Ma03_t32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQWPNPSSLKQATQLHARLIVAGALVHPVSTTLLLKCLTGFSDRSADLSYALSFFFQIPDPSAFQWNTIIRTCSRNHRPRESLHLYHQMRRKDVPPDAYTFQFLFKSCGLSAFVLEGQMVHGVSVKLFPERYGHIANSLVHMYIEFGWIDDAMRAFVPIVQKDVVSWTTIIGGLVKFGSVDEARKLFDKMPVRNVVSWTSMIAGYAKAGRAEEAVQLFKEMLSDDVTPDTVAMAAVLSACARLRDLKLGKWIHQLVIEKRIGISSNLAVALIDMYAKGGDIKSAYQIFDSMDHKIVPAWNAIIDGYCKMGDIDIGRSLFEQMDSPDIISFNSMLTGYIQSSRLKEALLLFAKLQMSGLRPDKFTLVGLLTACANLGVLSKGKVLHAYVEQGLVKRDVFLGTALLDMYAKCGKMDQAMLVFNRMEERDAQTWTVIISGLAMNGMGKHALEHFCLMKNKGLRPNAVAYVSVLTACSHSGLLEEGHMFFDEMSSLYSIKPEIEHYGCMVDLLGRRGRLQEAIELIETMPMEPNAVIWGSMLSACRLYKNTELAEKATKHLLELEPQEDAVYVQLYNIYIDNKRWADACKIRCLMEERGVKKTAGYSSITVGGQVHKFIAGDQMHPDIMEIQSMIGEMTERLKLAGYSPITEQISVEMDEEEKEQALFTHSEKMAIAFGIMKLPSNLPIHVLKNLRVCEDCHSAIKLISKIWNRYIVVRDRSRFHHFRDAHCSCNDFW >Ma03_p32220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34122490:34126904:-1 gene:Ma03_g32220 transcript:Ma03_t32220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQWPNPSSLKQATQLHARLIVAGALVHPVSTTLLLKCLTGFSDRSADLSYALSFFFQIPDPSAFQWNTIIRTCSRNHRPRESLHLYHQMRRKDVPPDAYTFQFLFKSCGLSAFVLEGQMVHGVSVKLFPERYGHIANSLVHMYIEFGWIDDAMRAFVPIVQKDVVSWTTIIGGLVKFGSVDEARKLFDKMPVRNVVSWTSMIAGYAKAGRAEEAVQLFKEMLSDDVTPDTVAMAAVLSACARLRDLKLGKWIHQLVIEKRIGISSNLAVALIDMYAKGGDIKSAYQIFDSMDHKIVPAWNAIIDGYCKMGDIDIGRSLFEQMDSPDIISFNSMLTGYIQSSRLKEALLLFAKLQMSGLRPDKFTLVGLLTACANLGVLSKGKVLHAYVEQGLVKRDVFLGTALLDMYAKCGKMDQAMLVFNRMEERDAQTWTVIISGLAMNGMGKHALEHFCLMKNKGLRPNAVAYVSVLTACSHSGLLEEGHMFFDEMSSLYSIKPEIEHYGCMVDLLGRRGRLQEAIELIETMPMEPNAVIWGSMLSACRLYKNTELAEKATKHLLELEPQEDAVYVQLYNIYIDNKRWADACKIRCLMEERGVKKTAGYSSITVGGQVHKFIAGDQMHPDIMEIQSMIGEMTERLKLAGYSPITEQISVEMDEEEKEQALFTHSEKMAIAFGIMKLPSNLPIHVLKNLRVCEDCHSAIKLISKIWNRYIVVRDRSRFHHFRDAHCSCNDFW >Ma09_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3798571:3800058:1 gene:Ma09_g05880 transcript:Ma09_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPPFLRRLRLTRFVGRLRFFAMAAASSSDRDITPATTRVGWIGTGVMGRSMAGHLLSAGYYLTVFNRTPAKAQPLLDRGAKLASSPDAVARASDVVFLIVGYPSDVRRVALDPSDGALAGLAPGSVLVDMTTSDPALAAEIAEAARAGSCAAIDAPVSGGDRGARAGTLSIFAGGDEAVVRRLEPLFGCMGTVRYMGGPGQGQRAKLGNQIAIASTMVGLVEGMMYAHKAGLDVGRWLEAISTGAAGSKSLDLYGKRILDRDMEAGFYVHHYVKDLGICLKECQSMGLALPGLGLAHQLYVSLMAHGEGELGTQALILAIERLNNTCLKGGPSSGSA >Ma01_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:718720:722691:-1 gene:Ma01_g01010 transcript:Ma01_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRRKVVFPVRRAWLAVSFRVKSRKHGGGILKLYDDVQMCGYEDVQVMWEILTKSEMETSNGMPKQRRRSLWRVSSWYSRSTAASAPIQAYE >Ma03_p31910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33954621:33957289:1 gene:Ma03_g31910 transcript:Ma03_t31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSKGEKRKRFHQALLNLYHPPSPPRSPPPPSPQLTKEELVAMVCGDMALDLDQGDEHDDGSELGCTSDVESKKLTRAQRKRIRKRKLKEAASVRRKIIGPLLPSSDQDLNKPSKKPSHENLPESRQDSAEHLSC >Ma09_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5530288:5532417:-1 gene:Ma09_g08350 transcript:Ma09_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MNSGKLLRIAAHEANNSTEASLREAFLLIQNQLKPPFPLTIPSPSEYSQLNRAIAFGVLTEPHLTKTHLTHLHAIVVDGYELFTSILVKLCNESFPKLLDSPKSQLLHVCSALVNVSAIKIESLLISLLRQINGGDFTESNLWLSSELLKMLSDNWNWLLEEPLVLTSALFVYLRLLSDHYRLAGSVKLEELKRMEIDFCVKVLRQCFHLCLQIGRDLVRLLQDLVYISEFKDLWKDLLSDPKKLGVAEFSDLSDLYRIRTPTHYFLLRIPPEMESQLRFLLTYVRWGNQRRYQAWFAKKHLCWPGSETVISDIVRFICCAHHPSNEIIQSNVISRWAVIGWLLKCCRRNHFEANVKLALFYDWLFFDDRVDSIMNIEPAMLLMVNSVPKYVDIAHMLLEFLFLLVDNYDVDRRVLLARGVTASCRLLLRKGVVHSMEPLTSCDLLSPMLREKLRSFLPGSELSDKNKIQEGEVSVASEGKRLVQC >Ma05_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20428562:20442897:-1 gene:Ma05_g17490 transcript:Ma05_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGFALVALVLGPLLALVARRRWRRAAARRAEVMRLALLAAEEAARAEEALWLGCRSPLPVVGGGGPKMAVCAVCRSPTTTRCSRCKAVRYCSRTCQIIHWRQGHKNVCCSPSVDDHYDGLDGVTRPKELPAERSKICNGSLRTGGTSQEAVMSFSKKLSSESSYSSEAFSDDEFMDSSGTESTSDSSSNSSSSSCSMFAVPVGSFVDSSSTAHPTLLHKTGIREHSSSDVSAKKLSTKVDKRTSSFASGEVECSRGSNLIGSESCSNIKSSKDGGQCENGTFGPSVSQSNRTVESTEFEVYQEIHHYHSDVTPQLQSSNLSDETIRSQTKSRNEILSSRTSMLGAIDRTSSSASITESEGLDSLRSYGVQLVQSKSLMSLSSAIADQVSLAGNSMSNDASKKVENAPKAPMKLYQNAGSHSSDIKTSVQRVVQQLKLSKVSRQHRSSSGYDFSKKIKMLFPYDYFVKLYNFDKVVLRPSGLINCHNSCYANAVLQCLAFTPPLTAYLLQGLHSKTCPKREWCFTCELENLLMKAKLGESPLSPAGILSHMHEIGSHLGHGREEDAHEFLRYAIDAMQSVCLKEAGAHAVDHYWAEETTLIQLTFGGYLQSKIKCMKCHSRSERYERLMDLTVEIEGHVGTLEEALRRFTTTEILDGENKYHCLRCKSYERAKKKLTIVDAPNILTIALKRFQSGKFGKLNKAVCFPEYLDLAPYMHGSNDKSPMYKLYAVVVHLDVMNASFSGHYVCYVKNRQGKWYKTDDSTVKPVDLETVLSKNAYMLLYARCSPHPPSLISSTLSPDQIVSKRSRSKEVFCSGSDGEKASSNAVTAMSDLPSAHHRNQAEPNWTTGYHFSYEPNNFLNGIFCVPKSDSSSDSSSLISCSDEASCSTESTRNSTSTDEYSEYIFGDLDRQSWNGSLRLSNDVDGSVSPSLYSNHSSEAASYKADGVVDDRVWDLQDGGSPILFSDTTNQCRKLTDCGRSRDTGWVTPSKLKPSVLRRSCTASKRTAETFY >Ma07_p23970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31443721:31450257:1 gene:Ma07_g23970 transcript:Ma07_t23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQALPGEADEGMRSPSTFVSTPPRSRGISGCNLDGQHARSANYRSKGGFLHSPASEFQRKSTLEDLKEANWLFEYRNSISDAADLQRLKIDTNDANNKLLPKLYNTSQKPVVRVFGFGSGQLGSSVDGFGNVPMDQTHSSVALDKSNLPTDLCRSQPRKLLLSPLNSALGKQFHSDVLDINSVDTQLESCGLSRTCDVFPYGPHIRAPSSHSHHLSSWNVNSDKQNKPRPFTRGIAISPEKTLSTPFPLSPLGPRWSERTKISGVQRDIIKDIESDFLLMKGLEDSNGGSKTEMIFFSEDSDFRTSNTFEEASIFHDEMDTITTISIGHKGWNGNPDSVAAPRCMNHIRASSMIPVRRSLVGSFEESLLSGRFSSGKGCQTFDGFLAVLNVTGGSFSPPSQKLPFSVMNVDGDSSLLYYASINLGGALPSNKHSGPKLRRSLSNDSGVAKSWLRIPMKGRIQLVLSNPEMTPLHTFFCNYDLSDMPPGTKTFLRQKATLASYGSSNNPAKEILSSDMKVASETTLKSNHAGHGECHGCMHNDDTQRCNLATNSQSTGERSSEFFSSEDCNELPNQSNSVMDSKRDEKYNCCHVDMCPLASRTSVHSSLKVNDNTAGALRYALHLRFLCSSTRKSTKSFQRCKSDPDSAPETKCVDTMGGRRFYLYNDLRVVFPQRHSDTDEGKLRVEHHFPADPKYFDLSN >Ma07_p23970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31443715:31450257:1 gene:Ma07_g23970 transcript:Ma07_t23970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQALPGEADEGMRSPSTFVSTPPRSRGISGCNLDGQHARSANYRSKGGFLHSPASEFQRKSTLEDLKEANWLFEYRNSISDAADLQRLKIDTNDANNKLLPKLYNTSQKPVVRVFGFGSGQLGSSVDGFGNVPMDQTHSSVALDKSNLPTDLCRSQPRKLLLSPLNSALGKQFHSDVLDINSVDTQLESCGLSRTCDVFPYGPHIRAPSSHSHHLSSWNVNSDKQNKPRPFTRGIAISPEKTLSTPFPLSPLGPRWSERTKISGVQRDIIKDIESDFLLMKGLEDSNGGSKTEMIFFSEDSDFRTSNTFEEASIFHDEMDTITTISIGHKGWNGNPDSVAAPRCMNHIRASSMIPVRRSLVGSFEESLLSGRFSSGKGCQTFDGFLAVLNVTGGSFSPPSQKLPFSVMNVDGDSSLLYYASINLGGALPSNKHSGPKLRRSLSNDSGVAKSWLRIPMKGRIQLVLSNPEMTPLHTFFCNYDLSDMPPGTKTFLRQKATLASYGSSNNPAKEILSSDMKVASETTLKSNHAGHGECHGCMHNDDTQRCNLATNSQSTGERSSEFFSSEDCNELPNQSNSVMDSKRDEKYNCCHVDMCPLASRTSVHSSLKVNDNTAGALRYALHLRFLCSSTRKSTKSFQRCKSDPDSAPETKCVDTMGGRRFYLYNDLRVVFPQRHSDTDEGKLRVEHHFPADPKYFDLSN >Ma07_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31443715:31450257:1 gene:Ma07_g23970 transcript:Ma07_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQALPGEADEGMRSPSTFVSTPPRSRGISGCNLDGQHARSANYRSKGGFLHSPASEFQRKSTLEDLKEANWLFEYRNSISDAADLQRLKIDTNDANNKLLPKLYNTSQKPVVRVFGFGSGQLGSSVDGFGNVPMDQTHSSVALDKSNLPTDLCRSQPRKLLLSPLNSALGKQFHSDVLDINSVDTQLESCGLSRTCDVFPYGPHIRAPSSHSHHLSSWNVNSDKQNKPRPFTRGIAISPEKTLSTPFPLSPLGPRWSERTKISGVQRDIIKDIESDFLLMKGLEDSNGGSKTEMIFFSEDSDFRTSNTFEEASIFHDEMDTITTISIGHKGWNGNPDSVAAPRCMNHIRASSMIPVRRSLVGSFEESLLSGRFSSGKGCQTFDGFLAVLNVTGGSFSPPSQKLPFSVMNVDGDSSLLYYASINLGGALPSNKHSGPKLRRSLSNDSGVAKSWLRIPMKGRIQLVLSNPEMTPLHTFFCNYDLSDMPPGTKTFLRQKATLASYGSSNNPAKEILSSDMKVASETTLKSNHAGHGECHGCMHNDDTQRCNLATNSQSTGERSSEFFSSEDCNELPNQSNSVMDSKRDEKYNCCHVDMCPLASRTSVHSSLKVNDNTAGALRYALHLRFLCSSTRKSTKSFQRCKSDPDSAPETKCVDTMGGRRFYLYNDLRVVFPQRHSDTDEGKLRVEHHFPADPKYFDLSN >Ma07_p23970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31443715:31450257:1 gene:Ma07_g23970 transcript:Ma07_t23970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQALPGEADEGMRSPSTFVSTPPRSRGISGCNLDGQHARSANYRSKGGFLHSPASEFQRKSTLEDLKEANWLFEYRNSISDAADLQRLKIDTNDANNKLLPKLYNTSQKPVVRVFGFGSGQLGSSVDGFGNVPMDQTHSSVALDKSNLPTDLCRSQPRKLLLSPLNSALGKQFHSDVLDINSVDTQLESCGLSRTCDVFPYGPHIRAPSSHSHHLSSWNVNSDKQNKPRPFTRGIAISPEKTLSTPFPLSPLGPRWSERTKISGVQRDIIKDIESDFLLMKGLEDSNGGSKTEMIFFSEDSDFRTSNTFEEASIFHDEMDTITTISIGHKGWNGNPDSVAAPRCMNHIRASSMIPVRRSLVGSFEESLLSGRFSSGKGCQTFDGFLAVLNVTGGSFSPPSQKLPFSVMNVDGDSSLLYYASINLGGALPSNKHSGPKLRRSLSNDSGVAKSWLRIPMKGRIQLTFLRQKATLASYGSSNNPAKEILSSDMKVASETTLKSNHAGHGECHGCMHNDDTQRCNLATNSQSTGERSSEFFSSEDCNELPNQSNSVMDSKRDEKYNCCHVDMCPLASRTSVHSSLKVNDNTAGALRYALHLRFLCSSTRKSTKSFQRCKSDPDSAPETKCVDTMGGRRFYLYNDLRVVFPQRHSDTDEGKLRVEHHFPADPKYFDLSN >Ma07_p23970.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31443945:31450257:1 gene:Ma07_g23970 transcript:Ma07_t23970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQALPGEADEGMRSPSTFVSTPPRSRGISGCNLDGQHARSANYRSKGGFLHSPASEFQRKSTLEDLKEANWLFEYRNSISDAADLQRLKIDTNDANNKLLPKLYNTSQKPVVRVFGFGSGQLGSSVDGFGNVPMDQTHSSVALDKSNLPTDLCRSQPRKLLLSPLNSALGKQFHSDVLDINSVDTQLESCGLSRTCDVFPYGPHIRAPSSHSHHLSSWNVNSDKQNKPRPFTRGIAISPEKTLSTPFPLSPLGPRWSERTKISGVQRDIIKDIESDFLLMKGLEDSNGGSKTEMIFFSEDSDFRTSNTFEEASIFHDEMDTITTISIGHKGWNGNPDSVAAPRCMNHIRASSMIPVRRSLVGSFEESLLSGRFSSGKGCQTFDGFLAVLNVTGGSFSPPSQKLPFSVMNVDGDSSLLYYASINLGGALPSNKHSGPKLRRSLSNDSGVAKSWLRIPMKGRIQLVLSNPEMTPLHTFFCNYDLSDMPPGTKTFLRQKATLASYGSSNNPAKEILSSDMKVASETTLKSNHAGHGECHGCMHNDDTQRCNLATNSQSTGERSSEFFSSEDCNELPNQSNSVMDSKRDEKYNCCHVDMCPLASRTSVHSSLKVNDNTAGALRYALHLRFLCSSTRKSTKSFQRCKSDPDSAPETKCVDTMGGRRFYLYNDLRVVFPQRHSDTDEGKLRVEHHFPADPKYFDLSN >Ma09_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:68049:68382:1 gene:Ma09_g00040 transcript:Ma09_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLMNGRFMTCLVIFMGCHESITRVSLWTIILCKNSCTYAMN >Ma11_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26010816:26014755:1 gene:Ma11_g22130 transcript:Ma11_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCSQCQVINLICIYLIQLASTMF >Ma07_p25940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32914087:32917118:-1 gene:Ma07_g25940 transcript:Ma07_t25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYQIFVKLLDGRTQCLQIPSPTLSGETLRRDLVARTRIPLRFLHLVSGSRVISDETVISASTDGLFPSVSLLLRLPGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKKLEEWKAEAEERRLEKLAEDFLKKKAKEVKKNSTAAVEKYLEKYREDAEKCMEEVEESVRQSFSLYKESKRKILPLSEPSSKRLKIWLGKKKMEDSDSDTDDSEHEDEDDDSDNVDEKSVVLDDGNCLNQSKVDEDGSASGSFSGADSDLESSGGGSAKSNIDELNGDSILSSPAVEQVSGSGDSNSGSDEIDAGKAGAPEEVKDVVVSAVEEAPKASEYKLEVESQPESAQKVPELVNKETVIESTNVSSPEELLNFDNYDSAAELEVLGMERLKSLLQKHGLKCGGTLQERASRLFLLKTTPLEKLPKKVLAK >Ma01_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25917533:25919742:1 gene:Ma01_g22790 transcript:Ma01_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRLRDLWREARELSFGTSGEVERLESPPTPLRFLRDYVAANKPCVISRAATLHWPAIGRSLWSDDAYLCRALNGRSVSVHLTPHGRADSLVPLPSAPGGGRGLCFATACSRSMEFSEALHLIAATGSPSSPVVAYAQQQHDCFRAEYSALAADVEPHIPWATEALACLPEAVNLWIGNGRSETSFHKDHYENLYVVVTGKKHFLLLPPTEAHRLYVRSYPAAQYVARTSSSSEDMGELKLEMLEPETLVPWCSVDPYPPSPEAMAEHRSSFPLYFEGPRPFECTLEAGEMLYLPSMWFHHVRQTPDCNGKTIAINYWYDMVFDIKYAYFNFLQTINYSPCTKESSWMLCNSSCDATLED >Ma01_p22790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25917533:25919239:1 gene:Ma01_g22790 transcript:Ma01_t22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRLRDLWREARELSFGTSGEVERLESPPTPLRFLRDYVAANKPCVISRAATLHWPAIGRSLWSDDAYLCRALNGRSVSVHLTPHGRADSLVPLPSAPGGGRGLCFATACSRSMEFSEALHLIAATGSPSSPVVAYAQQQHDCFRAEYSALAADVEPHIPWATEALACLPEAVNLWIGNGRSETSFHKDHYENLYVVVTGKKHFLLLPPTEAHRLYVRSYPAAQYVARTSSSSEDMGELKLEMLEPETLVPWCSVDPYPPSPEAMAEHRSSFPLYFEGPRPFECTLEAGEMLYLV >Ma04_p33730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33230908:33236227:1 gene:Ma04_g33730 transcript:Ma04_t33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFTFQEEEEEFFDSRDSVSSVFDSCPGTPLKNGLLPEDQFISWPSCDPRFEVWIKDPVSVRERRDKFMKMFCADMMNCPPQGSDNPDEEVNVDGKIQADADGVESCSCSGNKLSVSTWSSEDTSTSCDETSDESLVSRIKNLDDGTVFVVNELGKDGSLKSLREVGSDRTLTLHEFERIFGSSSLIQRLMKREDSASRISEKSVGRMRIGWLKRLGAAACILDRQWEESWTSFPDPCSSKRIRIRWVKVHPYKKRIRGLSAVYKGQDFKAHDGTILTMKFSPDGQYLASGGEDGVVRVWYVMECERDEMDIPGDDPSCMYFTVSHSSKLTPLYVDKDKKTRSRSTTVNSDSVCVIIPPASFRLSEEPLHEFHGHDGHVLDISWSNNKCLLSSSMDKTVRMWQVGSEVCVKVFPHNDYVTCVQFNPINENYFISGSIDGKVRMWKISGCHVVDWAVIREIVTAVCYSPDGKGVVVGTLAGNCRFYNASDNILWLDAQFSLHGKKKSLKRITGFQFCPTNPHKLMVSSADSRVWILDGIDVVLKFKCIRNSGSQVSASFTSDGRHIVSASGDSNVYIWSHANNAVPTSNKVKSTLSCECFFSSNASIAIPWNGLQSGKKVTTSEVLHGQKDVFREKAGVSGNGYGSNCRIEDLFGSNTLYLSPSCCFSPSHEFLEYVPKSSATWPEENLPSSFAASTFYKSLKTSSWNTSHAWGLVMVTAGLDGRIRSYQNYGLPQHL >Ma04_p33730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33230908:33236227:1 gene:Ma04_g33730 transcript:Ma04_t33730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFTFQEEEEEFFDSRDSVSSVFDSCPGTPLKNGLLPEDQFISWPSCDPRFEVWIKDPVSVRERRDKFMKMFCADMMNCPPQGSDNPDEEVNVDGKIQADADGVESCSCSGNKLSVSTWSSEDTSTSCDETSDESLVSRIKNLDDGTVFVVNELGKDGSLKSLREVGSDRTLTLHEFERIFGSSSLIQRLMKREDSASRISEKSVGRMRIGWLKRLGAAACILDRQWEESWTSFPDPCSSKRIRIRWVKVHPYKKRIRGLSAVYKGQDFKAHDGTILTMKFSPDGQYLASGGEDGVVRVWYVMECERDEMDIPGDDPSCMYFTVSHSSKLTPLYVDKDKKTRSRSTTVNSDSVCVIIPPASFRLSEEPLHEFHGHDGHVLDISWSNNKCLLSSSMDKTVRMWQVGSEVCVKVFPHNDYVTCVQFNPINENYFISGSIDGKVRMWKISGCHVVDWAVIREIVTAVCYSPDGKGVVVGTLAGNCRFYNASDNILWLDAQFSLHGKKKSLKRITGFQFCPTNPHKLMVSSADSRVWILDGIDVVLKFKCIRNSGSQVSASFTSDGRHIVSASGDSNVYIWSHANNAVPTSNKVKSTLSCECFFSSNASIAIPWNGLQSGKKVTTSEVLHGQKDVFREKAGVSGNGYGSNCRIEDLFGSNTLYLSPSCCFSPSHEFLEYVPKSSATWPEENLPSSFAASTFYKSLKTSSWNTSHAWGLVMVTAGLDGRIRSYQNYGLPQHL >Ma04_p33730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33230908:33236227:1 gene:Ma04_g33730 transcript:Ma04_t33730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFTFQEEEEEFFDSRDSVSSVFDSCPGTPLKNGLLPEDQFISWPSCDPRFEVWIKDPVSVRERRDKFMKMFCADMMNCPPQGSDNPDEEVNVDGKIQADADGVESCSCSGNKLSVSTWSSEDTSTSCDETSDESLVSRIKNLDDGTVFVVNELGKDGSLKSLREVGSDRTLTLHEFERIFGSSSLIQRLMKREDSASRISEKSVGRMRIGWLKRLGAAACILDRQWEESWTSFPDPCSSKRIRIRWVKVHPYKKRIRGLSAVYKGQDFKAHDGTILTMKFSPDGQYLASGGEDGVVRVWYVMECERDEMDIPGDDPSCMYFTVSHSSKLTPLYVDKDKKTRSRSTTVNSDSVCVIIPPASFRLSEEPLHEFHGHDGHVLDISWSNNKCLLSSSMDKTVRMWQVGSEVCVKVFPHNDYVTCVQFNPINENYFISGSIDGKVRMWKISGCHVVDWAVIREIVTAVCYSPDGKGVVVGTLAGNCRFYNASDNILWLDAQFSLHGKKKSLKRITGFQFCPTNPHKLMVSSADSRVWILDGIDVVLKFKCIRNSGSQVSASFTSDGRHIVSASGDSNVYIWSHANNAVPTSNKVKSTLSCECFFSSNASIAIPWNGLQSGKKVTTSEVLHGQKDVFREKAGVSGNGYGSNCRIEDLFGSNTLYLSPSCCFSPSHEFLEYVPKSSATWPEENLPSSFAASTFYKSLKTSSWNTSHAWGLVMVTAGLDGRIRSYQNYGLPQHL >Ma04_p33730.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33230908:33236227:1 gene:Ma04_g33730 transcript:Ma04_t33730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFTFQEEEEEFFDSRDSVSSVFDSCPGTPLKNGLLPEDQFISWPSCDPRFEVWIKDPVSVRERRDKFMKMFCADMMNCPPQGSDNPDEEVNVDGKIQADADGVESCSCSGNKLSVSTWSSEDTSTSCDETSDESLVSRIKNLDDGTVFVVNELGKDGSLKSLREVGSDRTLTLHEFERIFGSSSLIQRLMKREDSASRISEKSVGRMRIGWLKRLGAAACILDRQWEESWTSFPDPCSSKRIRIRWVKVHPYKKRIRGLSAVYKGQDFKAHDGTILTMKFSPDGQYLASGGEDGVVRVWYVMECERDEMDIPGDDPSCMYFTVSHSSKLTPLYVDKDKKTRSRSTTVNSDSVCVIIPPASFRLSEEPLHEFHGHDGHVLDISWSNNKCLLSSSMDKTVRMWQVGSEVCVKVFPHNDYVTCVQFNPINENYFISGSIDGKVRMWKISGCHVVDWAVIREIVTAVCYSPDGKGVVVGTLAGNCRFYNASDNILWLDAQFSLHGKKKSLKRITGFQFCPTNPHKLMVSSADSRVWILDGIDVVLKFKCIRNSGSQVSASFTSDGRHIVSASGDSNVYIWSHANNAVPTSNKVKSTLSCECFFSSNASIAIPWNGLQSGKKVTTSEVLHGQKDVFREKAGVSGNGYGSNCRIEDLFGSNTLYLSPSCCFSPSHEFLEYVPKSSATWPEENLPSSFAASTFYKSLKTSSWNTSHAWGLVMVTAGLDGRIRSYQNYGLPQHL >Ma04_p33730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33230908:33236227:1 gene:Ma04_g33730 transcript:Ma04_t33730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARDFTFQEEEEEFFDSRDSVSSVFDSCPGTPLKNGLLPEDQFISWPSCDPRFEVWIKDPVSVRERRDKFMKMFCADMMNCPPQGSDNPDEEVNVDGKIQADADGVESCSCSGNKLSVSTWSSEDTSTSCDETSDESLVSRIKNLDDGTVFVVNELGKDGSLKSLREVGSDRTLTLHEFERIFGSSSLIQRLMKREDSASRISEKSVGRMRIGWLKRLGAAACILDRQWEESWTSFPDPCSSKRIRIRWVKVHPYKKRIRGLSAVYKGQDFKAHDGTILTMKFSPDGQYLASGGEDGVVRVWYVMECERDEMDIPGDDPSCMYFTVSHSSKLTPLYVDKDKKTRSRSTTVNSDSVCVIIPPASFRLSEEPLHEFHGHDGHVLDISWSNNKCLLSSSMDKTVRMWQVGSEVCVKVFPHNDYVTCVQFNPINENYFISGSIDGKVRMWKISGCHVVDWAVIREIVTAVCYSPDGKGVVVGTLAGNCRFYNASDNILWLDAQFSLHGKKKSLKRITGFQFCPTNPHKLMVSSADSRVWILDGIDVVLKFKCIRNSGSQVSASFTSDGRHIVSASGDSNVYIWSHANNAVPTSNKVKSTLSCECFFSSNASIAIPWNGLQSGKKVTTSEVLHGQKDVFREKAGVSGNGYGSNCRIEDLFGSNTLYLSPSCCFSPSHEFLEYVPKSSATWPEENLPSSFAASTFYKSLKTSSWNTSHAWGLVMVTAGLDGRIRSYQNYGLPQHL >Ma08_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23720196:23724618:-1 gene:Ma08_g17210 transcript:Ma08_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGYTSIDNQKVSGSVPAAAPVVSGPDRITTVRFTESNLQTFPPSSETHGKLSAVFRPPSDADDTFSKPAAGGSSEPQPTGWMQSFTVSAYKPYFDVDTADVVERIRDSLFPFRGNFTEKTADNPDLYGPFWICTTLIFVAAAIGTFVSYVAHKLQKKEWDYDINLVTWSAGLFYGYVTFVPLGLYIILKYFSVPSGLIQLWCLYGYSLFIFIPASCLSIVPVEIFRWVIVGVAGFMSAAFVALNLRSHIKSAGERWFLIVAGIFLLQLALAVVLKLYFFTITVGTK >Ma08_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14871358:14873806:1 gene:Ma08_g14940 transcript:Ma08_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENGVGNGPCIKADPLNWGAAAEAMTRSHLDDVKRMVEEFRQPLVRLEGATLNISQVAAVAMAAHSSAVRVELSESARDRVSASSEWVMDSMTKGTDSYGVTTGFGATCHRRTREGGALQRELIRFLNAGIFGSGWESGHTLPPSAARAAMLVRINTLLQGYSGIRFEILEAMASLLNSGITPCIPLRGTITASGDLLPLSYIAALLTGRPNAKAFCPGGETVDAAEAFRRAGIPRGFFELQPKEGLALVNGTAVGSGLASVVLYEANVLAVLAEVLSAIFCEVMQGKPEFTDHLTHKLRHHPGQIEAAAIMEHILDGSSYMKMAKNLHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRSATKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLALATIGKLLFAQFSELDNDLYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSATYLVALCQAIDLRHLEENLKKAVTNTVSQVAKRVLTTGADGELHPSRFCEKDLMTVVDREHVFSYIDDPCSSTYSLMPKLRMVLVEHALNNGEKGKDAGTSIFQKIAEFEAELKAVLPKEVEAARAAVENGSAAIANTIRECRSYPLYRFVREELGTAYLTGEKVRSPGEEFDKVFAAINRGQLIDPLLECLKEWNGAPLPIC >Ma08_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36590579:36591954:1 gene:Ma08_g23190 transcript:Ma08_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRRGRSSLLSRVLSSNHSPLRSPLSHPPPPPLPSRLSASVATLEVPWLAGAVPRLVSARWKTTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPQDPKPSEEEMIAAYVKTLAAVVSSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPQFWFNERQQTRSRPRPRYDRRRETMRVERREPMQRGNWGQDQSQPGSQQMPENGPPRGGY >Ma05_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8555887:8595430:1 gene:Ma05_g11720 transcript:Ma05_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVFEVAVAETDSASNPDSAGLPSPSPPSRLPPPAKAEMAIALPEGPDGNPIPGSDPNPSWFTPKRLLVIFCIINMLNYVDRGAIASNGVNGSRKTCTDSGTCTSGTGIQGDFNLNYFEDGVLSSAFMVGLLVASPIFASLAKSHNPFRLIGVGLLVWTIATAGCGCAFDFWSITICRMLVGVGEASFISLAAPFIDDNAPVSQKTAWLAVFYMCIPTGIAIGYVYGGLVGNHLHWRAAFWGESILMLPFAILGFIIKPLQLKGFTSSLSEKAETSDEIIVQDGDEHNAKSELQATDEDVSAKAPHRFSSIVSQVSRFWKDMKVLLLEKVYVINVLGYIAYNFVIGAYSYWGPKAGYDIYHMSNADLMFGGITIVCGIVGTLAGGFVLDLMESTISNAFKLLSGATFLGAIFCFSAFCFKSLYGFIPFFAVGEILVFATQGPVNYICLHCVKPSLRPLSMAMSTVSIHIFGDVPSSPLVGVLQDYINNWRESALILTSILFVAAAIWFIGIFLKSVDRFNEDSEQGVPSVERSNRRPLLDVNVETS >Ma03_p28970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32008816:32011996:1 gene:Ma03_g28970 transcript:Ma03_t28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMPNPFISKLLVTLFDMAKEKVDLWLGVPGKIQNLQSTLRNIQSVLRDAEKRRIEDKAVNDWLMELKDVMYDADDVLDEWRTAAEKCTPGESPRKRFKGNIFSIFAGLSDEVKFRNEVVIKIKDLNDRLKEISDRGSKLQLHVSAAEPRVVPRVSRITSPVMESDMVGQRLEEDAKALVEQLTKQDPSKNVVVLAIVGIGGIGKTTFAQKVFNDGKIKANFRTTIWVCVSQEFSETDLLRNIVKGAGGSHGGEQSRSLLEPMVAGLLRGNKFLLVLDDVWDAQIWDDLLRNPLQGGAAGSRVLVTTRNTGIARQMKAGLVHEMKLLPPEDGWTLLCKKATMNAEEEIDAQDLKDTGMKIVGKCGGLPLAIKTIGGVLLDRGLNRSAWEEVLHSAAWSRTGLPEGVHGALYLSYQDLPSHLKQCFLNCVLFPEDYRFHEPEIVRLWIAEGFVEARGDVSLEETGEQYYRELLHRSLLQSQPYGQDYDESYMMHDLLRSFGHFLSRDESLFISDVQNEWRSGAALMKLRRLSIGATVTTDIQHIVNLTKRHESVRTLLVDGTHGIVGDIDDSLKNLVRLRVLHLMHTNIESISHYIGNLIHLRYLNVSHSHITELPESIYNLTNLQFLILKGCFKLRQIPQGIDRLVNLRTLDCKGTHLESLPCGIGRLKLLNELVGFVMNTATGSCPLEELGSLQELRYLSVDRLEMTYMEAEPRRDTSGLKGNRKLKNLHLYCLSTPTSDGHTEEQIEIIEKVLDVALHPPSSVVSLSLQNSFGLRYPSWMASASISSLLPNISRLELINCDYWPLLPPLGKLPSLEFLEIGGARAVTTIGPEFFGCEAAATGHERERNSKRPSSSSPPLLFPKLRQLQLWDMTNMEVWDWVAEGFAMRRLDELVLHNCPKLKSLPEGLIRQATCLTTLDLRNVCALKSIRGFPSVKQLRISGKSDLEIVTDLPALEFLRLGTFGSRINHLPEWLAACPACFTTLQRLDVWGATKLLRRCLQNGADWPMIERFPIFSIRDFRGNYINYIKHSCTFDTNLVDDDAAFAAVAAEEEEE >Ma08_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9121220:9123167:1 gene:Ma08_g12110 transcript:Ma08_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSVTCGLTSPALVDAKVTPRQTAPHSIGLPSLPPPPHIATPSRPQARETWTTAAYCKSFGSSIPCCFTHSESHINIAKQKNGIETNRLKEEQLNAFLWIFGGSGRKVAGKFIVRAAGESSAEVATELPEIVKKIQDAWDKLEDKYAISGLALVGAIALWSTGGMISAIDRLPVVPGVLEIVGIGYTGWFAYHNLVFKPDRDALIAKIKGIYGEIIGSSS >Ma06_p37460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36500802:36502300:1 gene:Ma06_g37460 transcript:Ma06_t37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICVIEIYSATVRRIFFYKLLCSNYNTLVVSHIYQLPVLFFPPPPRFCFVSNMSMNPLARHHPQAWTWEESPMINPRQYFHYTYRVGQEGVEHEREHMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLDGDSGEKGHLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSGFVKEKRLDAGDVVFFERLRHRGDRFYISCRRRSEDESPPAACTLTMANAAAPWIPMCYTARASSTTRLALRADDEVDVVRSKAMGRPTHSKRLRLFGVNLDCVPELETQLVLAPETGQVHAHQNL >Ma06_p37460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36500796:36502300:1 gene:Ma06_g37460 transcript:Ma06_t37460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLICVIEIYSATVRRIFFYKLLCSNYNTLVVSHIYQLPVLFFPPPPRFCFVSNMSMNPLARHHPQAWTWEESPMINPRQYFHYTYRVGQEGVEHEREHMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLDGDSGEKGHLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSGFVKEKRLDAGDVVFFERLRHRGDRFYISCRRRSEDESPPAACTLTMANAAAPWIPMCYTARASSTTRLALRADDEVDVVRSKAMGRPTHSKRLRLFGVNLDCVPELETQLVLAPETGQVHAHQNL >Ma01_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10921967:10930866:1 gene:Ma01_g15040 transcript:Ma01_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MALASSINGVDLINVRQHGRQFCPIHPLVNFRNLKIRRFERVIRAESQMTNPRPIVVKPEIDFNDPDWKKKFLEDFDKRFNLPHLRDVLDIQPRPTTFSLKTRGTLEVGNGAAREDWNGFVSDDDRALLKVIKFASPTSAGAESIDPDCSWVEQWVHRAGPRKQIYYEPREVKAAIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIPYGFRGFSDEGLSEVPLSRQVVQNINLTGGSFLGVSRGGPSTSDIVDNIQAKRIDMLFVLGGDGTHAGALAIHNECRLRKLKVSIVCVAKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDICLIPEAPFKLEGPNGVLVHLEYLLKTKGNAVICVAEGAGQEYLKKSNTTDASGNVVLSDIGVHVQQQIKRHFKDVGIPADVKYIDPTYMIRACRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHFVYFPVTEVIASTRYVDPNSRMWHRCLTSTGQPDFE >Ma02_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18802050:18802657:-1 gene:Ma02_g08440 transcript:Ma02_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAGSSQLQNSGSEEDLRGVIDQKKLKRMISNRESARRSRMRKQNHLDKLMAQANQLREENTRILATFNITKQHYAAVEAENCVLRAQAMELGSRLRSLDEILHFINISRHVVLHDDHQMMDGSIGPWSFACMNQPITAAADDMFYY >Ma10_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15051123:15053297:1 gene:Ma10_g04370 transcript:Ma10_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNRPEMRTTWNPSKMDNKKSIRCDDLPTNGSPSTATYTPSFNSGFHGSQLVRILHLSSLPLQQREEPAMAPSRIRKALGAVKDQTSIGLAKVSSSATLSDLDVAIVKATRHNELPAKEKHILEILSLMCYSRAYVGACVSSLSRRLGKTRSWTVALKTLILIHRLLVEGDPAYEREIFFATRCGSRMLNMSHFRDSSGSDAWDFSAFVRTFALYLDERLDYRMHGRRKWRSSRSNIYEEEVDEETAAAAEAASSKASTPLKEMTTDHVFARTRHLQHMLERFLACRPTGAAKQNRVVHVALYPLVKESFQIYYDLAEIMNIFVDRFTDLEVPDCVSVHEIFSRHAKQLDELDLFYDWSKSAGICRCSEYPDVVRITPKKLEVIDEFIRDKATLAHAKRQPSPEPDPAPPQDESQCEMDGIKALPPPAADAEGSKQELAVVVKVKDDSLEKKKEEEEAVDFLNLKEDAMTGEEHGEKLALALFDGGLSADAAPKWEAFVNDDPSDWETTLVQTASNLSGQKASFGGGLEMVLLGGMYAQAQAQAGVGHGYVVSGSASSVAGDPFAASLMVPPPSYVQMSDMEKQQRLLEEQQVWQQYAKVGMAKLQHPPPGAYGMMGGYARLR >Ma07_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28042162:28043357:-1 gene:Ma07_g20090 transcript:Ma07_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSKPDPYYPPPPQSTGSYYPAAPPTTGVPVPLAPGVFHIQAPVTGPWSTGLCHCCDDVGNCCITCFCPCITFGQIAEIIDKGSTSCGVSGALYALLASVTFCPCFYSCFYRSKLRRQYGLKEKPCADCLVHCCCCECCSLCQMYRELKRRGFDMSIGWHANMERQGETATLPPPVQSMSG >Ma08_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3249678:3250039:-1 gene:Ma08_g04670 transcript:Ma08_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLILYYVLVQWSESKHESWIRCF >Ma03_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:938492:940494:-1 gene:Ma03_g01250 transcript:Ma03_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFHRHHRLRPAKTTRATSFSSSTTSTTSSTPPDPSTLSHLVSRQHWSRLKTLISASAAAAATTPADAAVSFLRILLDSASTSSVAALRFSRWSQSHYRCPRAFDLPLHCRLLLALAADKLYSDLRSELHYLVRSGPHSAVSILHTLAVSAEPSRRRHDSLSILADMLILALVKNSRTSAAIEVFYRSRDFGYRLSVLSCNPLLSSLIREEKFDAVEAVYKEMIRRRIPPNLITFNTVINGFCKAGKLRRAGDLTEDMKSWGFSPSVITYNTLIDGYCKKGGAGKMYRADVLLKQMVDANIHPNVVTFNTLIDGYCKDGNSSSAMRFFQEMKRQELSPGVVTYNTLINGLSCEGKLNEALELLKEMEELNLAPNVVTYNAIINGFCKKKMMAEAKGMLDVIMGKNLLPNVITYNTLIDGYCRAGMMEDAMGLKRLMLEKGISHNVSTFSCLINGFCKDGDTKSVVKLLDEMREKTVRPDIVIYNVLIRALCKEGELTKAVKLLDETLEVGLKPTHVTYNTIIDAFCVKRNLRAALNMRARMERGGKRANVVTYNVFIKYFCGRGKMEEANEFLNEMLEKGLVPNRVTYNMIKDEMIERGYVPSIDGHLCNNAS >Ma09_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11913277:11918947:1 gene:Ma09_g16550 transcript:Ma09_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGRGKVVLELAKSSEIEAAVLCHPSFVNVDDMKGVKCPISILGAENDHISPPELLKQFEQALSSTSEERHIVKIFPGVAHGWTVRHKADDAAAVKRAQEAHQDMLNWFIQHVKKEHVN >Ma07_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5670993:5678049:1 gene:Ma07_g07630 transcript:Ma07_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAASLASKRLPAICRFLRSSSPVAFAPARSFSTALNYHLDSPDSNSDLPWDFSEANKEKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPLSAMNVIAKVIEVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLKHLGVKRNEVTKDGMFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPKRVVEIVEMLRRGEKPPVGTQNPDRIRGGPAGGNTSLLGEPKPPPCRDLDAC >Ma11_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2678912:2679457:-1 gene:Ma11_g03590 transcript:Ma11_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYRGGFRVGRRLVRIWRRVFRLDRRRRKGCYVRFDATPSTTVSNPGRRIEASALAARVFDWGRSLSRRLRLRHRLGDRTLFLGEEDRRPPPKGHLAVYVGGGRKDVGGQPPRRYFVPVIYFNHPLFAELLREAEEEFGFHHPGCITIPCPAAEFERVRKRIATTGRHLPRKSSIRSFML >Ma10_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22101852:22111531:1 gene:Ma10_g07770 transcript:Ma10_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRHVLSRFEWPWRSKSPLTTQLLDDRPTDVELSVYSKLPGPDPDSPSGLLNGEELNTEPIVDLDLFFGSLYNYYCEKGLRCITLKWIVEILSVIFVECFIWFFLLVVDWPALRNARCGMDALESGNKPCDLAKEAINKHPLVPFTFTKGVIVGSMIILAIYGVFNFLKFIVQFKSTLKVRDFYYNSLNVTDRDIQTTSWPVMLEKVIKLQRSQQLCVIRNLTAHDIVMRMMRKENYLIGMLNKRVLAFPIHCWIPGAGPVLIKNGRKYHMILPKTLEWTLNWCIFQSMFDSKFCIRREFLENPSLLRKRLKIVGIGMFLISPCLVIFMLVYLFLRHAEQFYHHPSTASSRRWSNLSKWIFREFNEVEHLFRYRMSNSIVHASNYLKQFPAPLVTIVAKFISFVSGGFAAILIIIAFMDESLLEGHVFGRNLFWYAAIFGSVTAISRAAVADELQVLDHEGAMSLVVDHTHYMPKKWRGKENSDLVRSEFETLFQYTGMMLLEEMVSIFLTPIMLIFVVPERVDDILRFISDYTVYVDGVGHICSFSAFDFKSHGNGQYGSPYDAARERRSSQGKMEKSFFSFQCTYPTWEPSDDGRQFLSTLQNFKEKQIHQGRLQDNSHTWTWQALRGLRVRHEIIHRFPSGNVFSGNGGFPRYDHHPGSVWLTNHEQRNHPYILDWYYTSKPLEDPENPEDSPLPEKEMAFESIQDFHATQSNLQTEVKNTDQSWDLPFSDRLQSHIEASTSGSLFKNSAPQDLDRHIMHHRWWDRTALTASAAQASFLEPPSFGHHDYSHHSDDVHGGGSEYLGNLQRLSETSDVGEADDEVLDLPFVDSYVGSLKNLTVRIPRMSDDRIH >Ma05_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19513427:19516244:1 gene:Ma05_g17190 transcript:Ma05_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPFFPAINRSPVLPWRKTRSCLSTPSAAMKSSSPAASVFGGNPADAITRRCLAPLPFLVVVALSLILLYRATTPALPFPQSSLRVDQAPTVVAAVSSEPPPSSSPPPQEGQDERLERVLREAATEDKTVILTSLNGFWSAPGSVLDLFLESFRVGDGTRELLNHLVIVAVDDKAYERCLAVHRHCFDFKTEGVDFSGEKVFNTPEYLDMMWARLDFLRLVLEKGYNFIFSDVDVMWFRNPLPYFYADGDFQISCDNFLGGPTNLKNWPNNGFNYVKSNHRSIEFYKYWYSSRLRFPGVHEQNVLNIIKYDPYVSRMGVSIKFLSTERFGGFCEPSRDLNKVCTMHANCCIGLGKKIDDLRAMLDDWRKFMSLPQDTEMKRRFSWSIPQSCRLPPGFFSDKEQKHHL >Ma06_p26350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28188392:28196193:-1 gene:Ma06_g26350 transcript:Ma06_t26350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDDAPPLAVRIGKEPESNPPERSYRSQNVQRGTSEESPVGVTVITGYLGAGKSTLVNYILNAQHGKKIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERLDHILLETTGLADPAPLASVLWLDDQLESEITLDSIITVVDAKNLQRQLEEHLKSSFFPEAFLQIAFADVVILNKVDLVLQEGYGLDTSSILEELEKKIYDINSLATVIHSVRCQVDLCKILDRQAYGAKNIAHLEKLLEDSKSTFSRSRHDNTLRTLCIYEEQPVDLDMVRSWLEDLLWEKKSNMDVYRCKGVLNVLNSDQLHTLQAVREIYEIVPARKWHQGKKQMSKIVFIGHNLDISILADSFRSCTVLT >Ma06_p26350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28189730:28196193:-1 gene:Ma06_g26350 transcript:Ma06_t26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDDAPPLAVRIGKEPESNPPERSYRSQNVQRGTSEESPVGVTVITGYLGAGKSTLVNYILNAQHGKKIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERLDHILLETTGLADPAPLASVLWLDDQLESEITLDSIITVVDAKNLQRQLEEHLKSSFFPEAFLQIAFADVVILNKVDLVLQEGYGLDTSSILEELEKKIYDINSLATVIHSVRCQVDLCKILDRQAYGAKNIAHLEKLLEDSKSTFSRSRHDNTLRTLCIYEEQPVDLDMVRSWLEDLLWEKKSNMDVYRCKGVLNVLNSDQLHTLQTCTFLAGSQRNI >Ma06_p26350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28188391:28196193:-1 gene:Ma06_g26350 transcript:Ma06_t26350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDDAPPLAVRIGKEPESNPPERSYRSQNVQRGTSEESPVGVTVITGYLGAGKSTLVNYILNAQHGKKIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERLDHILLETTGLADPAPLASVLWLDDQLESEITLDSIITVVDAKNLQRQLEEHLKSSFFPEAFLQIAFADVVILNKVDLVLQEGYGLDTSSILEELEKKIYDINSLATVIHSVRCQVDLCKILDRQAYGAKNIAHLEKLLEDSKSTFSRSRHDNTLRTLCIYEEQPVDLDMVRSWLEDLLWEKKSNMDVYRCKGVLNVLNSDQLHTLQVIIWTLAFSLILSDLAQF >Ma07_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10661189:10662879:-1 gene:Ma07_g14220 transcript:Ma07_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDWDLGAVVRSCRPSGAAAAPRQPFSSFPPPTVPLEAGEEAEGVKDGSFVGFPDLFRSSDGLQELEELCKPFVPKVRQQPQQLARASPSSASPASAASAVALPRPHQPPPSRQHHRPAPQIARSKRRKNQQKKVVCHVPAAGLSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERSRTDPGMFIITYTAEHNHPVPTHRNSLAGSTRHKFPSTTPAAAAEEDGGGGRPPPGNPSSSPPSSSTAAGLSPNTPLTASMEDELLRRPPQDGDDADADDGEDEEVDEDEEMLLVEDMEVMGEDDLLFRGGAEESTGPAAAAASDTTPEAAAFFGDDGELGDHFFQPPWLSKSNNAATAAGGS >Ma03_p30290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32985656:32990509:1 gene:Ma03_g30290 transcript:Ma03_t30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVAVCWQRSKEISEEQERGLSGYGRWVAVDWEGKTEEEGEGVVKVREEGRELSGMRRKRRRISGKLGRIPVIQMMQTRRLSKMPEEVRDEISPWFTETRAVHEEALEKIDKLDDNAKYVNSNLMPKWNDLDMNHHVNNVKYVRWMLETIPDEFMEDHQLSSIVLEYRRECDSSDTVQSICEPDEDSLPRDKNIKILTGFSLAPEILDGGGLLGTFDKWPTRYTHLLQIKGEKKDEEIVRGKTTWRKKKKKKSLNSSHLPVVKQ >Ma01_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5603187:5612852:-1 gene:Ma01_g07740 transcript:Ma01_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPSLPRAVSLGAAFPVSVLLAVVALEIALFFVSFGATCAKPQDSKPLLWQNTESGEKNVYLTHSCIHDEILHQRRRPGRKEYSVTPQVYHGSSLSRPHHRGGRTLLELSPAFPLQIDAKQPIRIFLNYDAVGHTSDRDCQNVGDLVKLGEPPATSIPRTPVCNAHGDRPVFADCWYECTLEDISGEDKKQRLRKALGQTAEWFRRALAIEPVKGNLRLSGYSACGQDGGVQLPHEYVEDGVADADLVLLVTARPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAEALLSATLIHEVIHVLGFDPHAFAHFRDERKRRRSQVTMQLMDEKLGRMVTRVVLPRVVMHARYHYGAYSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTKSVVSKMTLALLEDSGWYQANYSMADHLDWGWNQGTEFVTSPCNHWKGAYRCNTTQLSGCTYNREAEGYCPIVSYNGDLPQWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDTKSARSPDRMLGEARGINSRCMASSLVRTGFIRGSMTQGNGCYQHRCRNNTLEVAVDGIWKVCPEAGGPVQFRGFHGELICPAYQELCSSVPMSVTGQCPGSCSFNGDCIDGKCRCFLSFHGNDCSKRSCTGNCSRHGICHPNGICECQIGWTGVDCSTAICDEQCSLHGGVCDNGVCEFRCSDYAGYACQNSSSLLASLSICGDVLAQDLLGQHCAPSEPSILQQLEAAVVMPNYNRLVPGGRTLFGILDNGYCAAAAKRLACWISMQRCDDKDGDNRLRVCHSACRSYNAACGAGLDCSDQTLFSSEEEGEGQCTGYGETRPWWLRRFGNLYSQYERKL >Ma10_p25650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33687788:33690998:-1 gene:Ma10_g25650 transcript:Ma10_t25650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAKFVQMRGQELGLFAIFDGHMGHSVPAYLQKHLFTNILKEEEFWTNPKVAIQKAYEKTDEAILSHRPDLGRGGSTAVTAILINGRKLWIANIGDSRAVLAKGQQVLQLTVDHDPSTERRSIVNTGGFVSIMPGDVPRVNGQLAVSRAFGDKDLKRHLRSDPDVCCVDVTADIDLLILASDGIWEVMSNKEAIEIARKATDPQAAARQLTTEALERDSTDDISCVVIELQA >Ma10_p25650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33687788:33691008:-1 gene:Ma10_g25650 transcript:Ma10_t25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFAGSSSDAGKGRSSQGHTKVKYGFSLVKGKVNHPMEDYHVAKFVQMRGQELGLFAIFDGHMGHSVPAYLQKHLFTNILKEEEFWTNPKVAIQKAYEKTDEAILSHRPDLGRGGSTAVTAILINGRKLWIANIGDSRAVLAKGQQVLQLTVDHDPSTERRSIVNTGGFVSIMPGDVPRVNGQLAVSRAFGDKDLKRHLRSDPDVCCVDVTADIDLLILASDGIWEVMSNKEAIEIARKATDPQAAARQLTTEALERDSTDDISCVVIELQA >Ma10_p25650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33687788:33691224:-1 gene:Ma10_g25650 transcript:Ma10_t25650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSSDAGKGRSSQGHTKVKYGFSLVKGKVNHPMEDYHVAKFVQMRGQELGLFAIFDGHMGHSVPAYLQKHLFTNILKEEEFWTNPKVAIQKAYEKTDEAILSHRPDLGRGGSTAVTAILINGRKLWIANIGDSRAVLAKGQQVLQLTVDHDPSTERRSIVNTGGFVSIMPGDVPRVNGQLAVSRAFGDKDLKRHLRSDPDVCCVDVTADIDLLILASDGIWEVMSNKEAIEIARKATDPQAAARQLTTEALERDSTDDISCVVIELQA >Ma10_p25650.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33687788:33691008:-1 gene:Ma10_g25650 transcript:Ma10_t25650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFAGSSSDAGKGRSSQGHTKVKYGFSLVKGKVNHPMEDYHVAKFVQMRGQELGLFAIFDGHMGHSVPAYLQKHLFTNILKEEEFWTNPKVAIQKAYEKTDEAILSHRPDLGRGQQVLQLTVDHDPSTERRSIVNTGGFVSIMPGDVPRVNGQLAVSRAFGDKDLKRHLRSDPDVCCVDVTADIDLLILASDGIWEVMSNKEAIEIARKATDPQAAARQLTTEALERDSTDDISCVVIELQA >Ma10_p25650.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33687788:33691008:-1 gene:Ma10_g25650 transcript:Ma10_t25650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFAGSSSDAGKGRSSQGHTKVKYGFSLVKGKVNHPMEDYHVAKFVQMRGQELGLFAIFDGHMGHSVPAYLQKHLFTNILKEEEFWTNPKVAIQKAYEKTDEAILSHRPDLGRVLAKGQQVLQLTVDHDPSTERRSIVNTGGFVSIMPGDVPRVNGQLAVSRAFGDKDLKRHLRSDPDVCCVDVTADIDLLILASDGIWEVMSNKEAIEIARKATDPQAAARQLTTEALERDSTDDISCVVIELQA >Ma10_p25650.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33687788:33691006:-1 gene:Ma10_g25650 transcript:Ma10_t25650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAKFVQMRGQELGLFAIFDGHMGHSVPAYLQKHLFTNILKEEEFWTNPKVAIQKAYEKTDEAILSHRPDLGRGGSTAVTAILINGRKLWIANIGDSRAVLAKGQQVLQLTVDHDPSTERRSIVNTGGFVSIMPGDVPRVNGQLAVSRAFGDKDLKRHLRSDPDVCCVDVTADIDLLILASDGIWEVMSNKEAIEIARKATDPQAAARQLTTEALERDSTDDISCVVIELQA >Ma11_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6746248:6748100:-1 gene:Ma11_g08480 transcript:Ma11_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSAFSPCYKGSLPSHTPPLRIRVPRRGDGSESGRLRHQRASEMPKQIHEIKDFLLTARRKDARSVKIKRSRDVVKFKVRCAKYLYTLCVFDSEKADKLKQSLPPGLSVQEV >Ma06_p31300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32468391:32471217:1 gene:Ma06_g31300 transcript:Ma06_t31300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLGGKFSNKCKHAVKCIKVRMVPIWNKKQAVLRFLRKDVADLIAAGHEANAFRRIDALIVEINRATCYDIIAQCCEIISTQLPTLQNQKGCPQEAVEAVSTLIYAAARFPDLPELCVLRHVFAERYGSCMESFVNAEFVEKVGKKSFTREKKLQLMQDIAEEYSVRCDAKAFDHQTTSDAIREKHGSNSASAVRGEQAETEEAADIHVISASLGTRQARVKPRRDHGGSCEADELECGVRGSPEKKGVVSVNGRHVEEYGRAGYLIPPYVKPKIHGDPVAAAGHDTNEGGEEAVLRGGVKPRPVSVRRKLRKPRVGETDDGENYVKEKSADGEGRRRPAYDEADHNAASGGQYEDEEEMVLDKLLLHYSRKGTAEEGSEGRRRVGAEHFGNGGKVHPLPRGAPPPPVPSESVNPATPGATSMRPDPVDANGGRVHPSLPDYDQLAARFAALKGT >Ma06_p31300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32468075:32471217:1 gene:Ma06_g31300 transcript:Ma06_t31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLGGKFSNKCKHAVKCIKVRMVPIWNKKQAVLRFLRKDVADLIAAGHEANAFRRIDALIVEINRATCYDIIAQCCEIISTQLPTLQNQKGCPQEAVEAVSTLIYAAARFPDLPELCVLRHVFAERYGSCMESFVNAEFVEKVGKKSFTREKKLQLMQDIAEEYSVRCDAKAFDHQTTSDAIREKHGSNSASAVRGEQAETEEAADIHVISASLGTRQARVKPRRDHGGSCEADELECGVRGSPEKKGVVSVNGRHVEEYGRAGYLIPPYVKPKIHGDPVAAAGHDTNEGGEEAVLRGGVKPRPVSVRRKLRKPRVGETDDGENYVKEKSADGEGRRRPAYDEADHNAASGGQYEDEEEMVLDKLLLHYSRKGTAEEGSEGRRRVGAEHFGNGGKVHPLPRGAPPPPVPSESVNPATPGATSMRPDPVDANGGRVHPSLPDYDQLAARFAALKGT >Ma06_p31300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32468075:32471217:1 gene:Ma06_g31300 transcript:Ma06_t31300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLGGKFSNKCKHAVKCIKVRMVPIWNKKQAVLRFLRKDVADLIAAGHEANAFRRIDALIVEINRATCYDIIAQCCEIISTQLPTLQNQKGCPQEAVEAVSTLIYAAARFPDLPELCVLRHVFAERYGSCMESFVNAEFVEKVGKKSFTREKKLQLMQDIAEEYSVRCDAKAFDHQTTSDAIREKHGSNSASAVRGEQAETEEAADIHVISASLGTRQARVKPRRDHGGSCEADELECGVRGSPEKKGVVSVNGRHVEEYGRAGYLIPPYVKPKIHGDPVAAAGHDTNEGGEEAVLRGGVKPRPVSVRRKLRKPRVGETDDGENYVKEKSADGEGRRRPAYDEADHNAASGGQYEDEEEMVLDKLLLHYSRKGTAEEGSEGRRRVGAEHFGNGGKVHPLPRGAPPPPVPSESVNPATPGATSMRPDPVDANGGRVHPSLPDYDQLAARFAALKGT >Ma02_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13971010:13975611:-1 gene:Ma02_g02660 transcript:Ma02_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEQPVKIIIWGAPVSGKGTQSELIEKNYGLVHMAAGDLLRAEVAAETENGKRAKEYREKGMLVPDEVVVTIVKARLSQPDAEESGWVLDGYLRSLSQANALQDLGVRPDMFILLEVSEESLIERVVGRRLDPVTGKMYHLKYSPPENKEISSRLARHFDDTEEKVKLRLQNYHQNVDDVLSVYKDIIFKVNGDAPKEDVFSEIDKKLSSIAEEQSKN >Ma06_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11053320:11053627:-1 gene:Ma06_g16340 transcript:Ma06_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGLPSVVYQSNMVGATRPAAWRPHLYQLAQYRRKRSWRRISFDLNQPIYYYYYYYIIIIYYLD >Ma08_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35867356:35870468:1 gene:Ma08_g22200 transcript:Ma08_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHLSPKYVPMLTEGGEQEDEETNPFRWMIEGFSTLLNHTAVTHRSGNFAACGFTWWLQINPKHIQFIPQLFLYLRQDKDEVAYSDYPILQLKLPIALLEWHIDLYPSVQSHPNIFAMFVVLDKFILFSTKTRVYVDYSLCLVDQINGKHEKISGLSEINLPRGEAAGDVSCF >Ma05_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4907497:4910546:1 gene:Ma05_g06640 transcript:Ma05_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLLQASPSDPENFPFIVLGNKIDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGLNVEAAFQCIAMNALKNEPEEDIYLPDTIDVAGGGRQLQRSSGCEC >Ma09_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34222300:34223387:-1 gene:Ma09_g22230 transcript:Ma09_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGVRCFPIPPMPMVSRHPSLSLAEPSSSMRSDSVRGGGRGSTGSPKAVGMGASASPCLLFLLRMLSVGGKMQALVLADSSHPYGFWQLLPLPSACCGALLSLIPFIGYLGEASSDTSMTEQLRRVMK >Ma01_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11733613:11740536:-1 gene:Ma01_g16190 transcript:Ma01_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFVSMNANITERRKDTLVNMCDESKRARMLQDQFNVSMNHVHALAILVLTFHHRKQHSSFWQKIFAEYTARTAFERPLMSRVAYALRVLHTERGRFEQQHAWQIKKMETEFQSLVKDDYNPEKLDPSPVQDEYAPVIFSQETVSHIVSIDMMSGKTENILRARAARKGVLTSCNLLKSNHLGVVLTFAVYKTNLPLNAAPQERIETTVGYLRASFDVPSLVEKLLQQLASKQTIIVNLYDTTNVSAPIRMYGPDTAASGEKHISYVDFGDPTRKHEMHCRFKHKPPLPWSAITMSVGVAVIVLVGHIFHAALNRIEEVEDDYCQMRELKVQAESADVAKSQFLATVSHEIRTRMNGVLGMLQMLMDTDLDATQQDFAMTAQSSGKALIALINEVLDQAKIESGRLELEAVPFDLRDVLDNVLSLFSDKSQAKGIEMAVYVSERVPEILIGDPGRLRQIITNLLGNSVKVNCSSNFLIIQLKLDLVVWYSYGEIETLHLVPYWMCAIPVIFSSIIGTISLVSSASHACFQEYKYILDTLIVDLVFKFSWVVALFSFIMMKKIILLQTWYFSCLSCACYPSFSLLKFSMGHLDVVIICLKLSDKKKPCITGNACFFFRSVEESVLTWKNGAAMPLGSLPNMFLEVTSQSPTEVHELKSAGYVDSILKPLHPGIIATCLWKALGMEHKRQQFKGKSMPLQSLLSGKNILVVDDNAINCKVAAAVLEKYGATVTSADSGKEAIKMLQPPHNFDACFMDVKMPEMDGFEATRQIRLMENIVNELIRSGGCITGIIWQCSSLAHTYTSYYSRRYSSNT >Ma04_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3634031:3640001:-1 gene:Ma04_g04760 transcript:Ma04_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRMDVGILAMDIYFPPTCVQQEALEDYDGASKGKYTIGLGQDCMAFCTDLEDVISMSLTVVLSLLEKYQIDPRQIGRLEVGSETVIDKSKSIKTWLMQVFEEYDNTDVEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKFRGTHMAHVYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKRFCGKFEKIEGKQFSISDANYFVFHSPYNKLVQKSFARLVFNDFLHCPSNLQKEERENLEPFSNMNGEESYQSRELEKVSQQVAKPFYNVKVQPSTLLPKQIGNTYTASLYAALASVVYNKHDTLMGQRIVMFSYGSGLTSTMFSFKLHDGQHPFSLSNIASILNLSEKLKSRHVFSPEKFAETLKLMEHRYGAKDFETSKDTSLLSPGTFYLTKVDSMYRRCYAKKGSEDAPGSKMFSFSSLSMANGY >Ma04_p38740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36138963:36140410:1 gene:Ma04_g38740 transcript:Ma04_t38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKATVKKGQWSPDEDAKLKSYIEQHGTCGSWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSQEEDDIICSLYVSIGSRWSVMASQLPGRTDNDIKNYWNTRLKKKLFGERPKEPPHSRRASACGQKQEAKGDDNGDWREQPALVYPTDHVMGSSYGGPLVVPSSTLPRQQICDDDDDDGDLNGLAWSSLLGTPGGQALQDPSGFSIELDEVLGFNQANLEESNVTAESEKMNWNEVSPLLYSDPVIKGCEGMQQSLPQEQMTCNYDLI >Ma10_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30494188:30500935:-1 gene:Ma10_g20270 transcript:Ma10_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGYEAATFAVRAKSSICHSRRLALLDDGGGDEFALHGLSINPRFMLYGYYSRQSSLIYWSHLRTFLAGHGRRHYLRLSLRDVQPCAPRVPARRGGCRACCCCRSPQPRFQMAGECCRESFDDGMWEDDVDYVEFDDMRRHSRREVKIRSDDGEKVRSLRARKDTSSSVCPSFGHENKMSGGLSSGGNKCYTGKKVNAAVREVRKGWKLEDDVDYDSREESDYRDRNYNVRHLTSARVSDRKTEGRAAKSIASAYQEKEDHHFKVEDEVKKRDSREGSRKFAKIVKVDDNVDVASSFINMADLRKVDRDKQVVEQDKQEVDKRMQRGASCSFLAHGRRDEYYLGRQNIIGRESREGSQKSESRTEVGDEYVNNENQVAVQREAIEGSQRFRRIPEVHEDNAGRIASAESMLDTRKVDKEHSSTSIMKKHTAIDQQAVRSYESREQLQNFRQIEEVHNSNIQNVSNNEKQHEEVLVKNRDDKSISVHMARDNRGHIGQQIIDKMELGKQYENQVDVSRPHVRGTESASSSQSLLRSRINDRVDYSTSIMNPIHQVEKHQTQSESQYTYQYSPKRESEKAMCTSELPRKDSGKVSTAQVMYNADIQNELNNCQYSSRRECETDARMSENAVTDIQRNFNSQNISNMRIRNSKERSSLLMVQDDKKKLGTLTGESSQLINQEITSLGAFDGDSSFLDTHMQQPDDPACRDENYVVKSDTLDSASRLESSSAMYVGEFVDKLRHESSTFISSGTRTEKAHGKGIQATTSMQSDRLVATITEKDEKYIQEGSGRSSRSGIRGPSDEMWDVRSLISQKDGRKEEQGEHGLSAGVIDSTTTTPTVENTIAGRSPKSLWAHIADIMRMGWVHRAESHTSNRKSGKRSSSEGSEAWFSGQDASDDENDPNARSSEIKELLPVAAPVDRGDETHSSITQGCFQAPDVVVMQLGGGASTSMATARGYASKGASANSRPEQSTLVENEKGTKSIISRAITVDKPSLMTGDASSVAIDEGITYTGNLTVPISGYKPSLMIGDASSVAIDEGITYTGNLTVPVSGDTNFEEDLLREEPPEADKTEGKDGELKRRKLQRNKQVLKETFEEWEEAYRFESEQRKIDEYFMREALVEAQKAADIWEVPVGAVLVQNGKIIARGFNLVEERRDSTAHAEMICIREASNLLRTWRLAETTLYVTLEPCPMCAGAILQARVDTVVWGAPNKLLGADGSWIRLFPGDNGGSSSLDPSSQMAGPVHPFHPNIRIRRGVLATECSDVLQQFFQLRRKKKTKPESPPQSCLPVPNHPIKLFTRMHNIFSVMFCL >Ma03_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27778739:27782650:-1 gene:Ma03_g23150 transcript:Ma03_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVLLPFRPPECTLRRRRTSAGVPPRLRRSLRRPLIFGDLLPTSFRSRGCDLYRRRGMHIRRNATGKLLCSQVKLEDDLDDETCELVSGVDLVIGEDQDSISAYLLKAVKNNNGTGVLLLSDVCGFEYPPTRDFAYQVACHGFNVLVPDLFRGNPCKKGWTVDRYEHWLSEQLPERVARDIDTCAKWMIDEFMAAGISKKLGIIGFCFGGGHLIKALARDEQAYFGTGICFYGANIDPSKGSNINVPVLFICGDNDPFCPVNTLHQIEKNIPSSRVVIYHGRGHGFAHQPESEEDDQAAEDAFAIMRNWLHDNLLVSKN >Ma09_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36204809:36206055:-1 gene:Ma09_g24550 transcript:Ma09_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYSSMLSKNTRIMEEVWKDISLSSLQQDMPSIPSLPPNHLERLCGVSSSTTTSFKSIILQDFLTEHFKATSDRSPPPSTALGLNSNLGGGSNGLSSSSASSFFSDGVGDGVVACCSKKHQMEHELNEESGDRSDVDRRKKRMIKNRESAARSRARKQAYTTELEQEVDHLVNENRTLKRQFEELKKAAQDHLFVPAKHTLQRTLTAPF >Ma09_p15110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10441858:10443748:1 gene:Ma09_g15110 transcript:Ma09_t15110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLHCPKSTPPFPSLSLSPQPPISFPLPIPSTMRIRKRPNPFPPPPLFPDPSVHFHPADGEDGRKDSNGGDRGRGRERLHSDADLEIDNLDRRPPQVPPTCEPRRMSNGSSRKATVSAHRTNLGRVQVLRKKAKEEDGMVDTSNRWDAEVNSNSSSSSAPVSAAEQVGDTAFLAKKKRGGNDYGCGNRGSEDTLEEKEKKPKGRGRAKMRNSSVDNSACSRVNGSDHARRESEVKEEEDESMNGNVPNGKKRRSPAVLMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRGQLGTSTARSKRSSGGTTTIPEEEEEEEEKEKLWPQQYNAGEIKMEEDTEKASTLKRKKIGMVKARTISSLLDETNRPMPSLLSQPPQVAFMQTLDGREAMV >Ma09_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10441858:10443747:1 gene:Ma09_g15110 transcript:Ma09_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLHCPKSTPPFPSLSLSPQPPISFPLPIPSTMRIRKRPNPFPPPPLFPDPSVHFHPADGEDGRKDSNGGDRGRGRERLHSDADLEIDNLDRRPPQVPPTCEPRRMSNGSSRKATVSAHRTNLGRVQVLRKKAKEEDGMVDTSNRWDAEVNSNSSSSAPVSAAEQVGDTAFLAKKKRGGNDYGCGNRGSEDTLEEKEKKPKGRGRAKMRNSSVDNSACSRVNGSDHARRESEVKEEEDESMNGNVPNGKKRRSPAVLMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRGQLGTSTARSKRSSGGTTTIPEEEEEEEEKEKLWPQQYNAGEIKMEEDTEKASTLKRKKIGMVKARTISSLLDETNRPMPSLLSQPPQVAFMQTLDGREAMV >Ma06_p29640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30988399:31020723:1 gene:Ma06_g29640 transcript:Ma06_t29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPRRPSSRCLLLGFILLLVLLALSTSARATGVFKVRRKFVGRRPTVGDLRAHDSRRHSRILSAVDLPLGGLGLPTDTGLYFAEIGIGMPSKKYYVQVDTGSDILWVNCISCKRCPRKSDLGVELTLYDPKASGSGSLVSCQEKFCVSTYGDIPGCEASLPCQYSVIYGDGSSTSGYFVTDTIQYNQVSGNHQTKPVNASVTFGCGAQQSGDLGSSSEALDGILGFGQSNSSMISQLASSGKVSKIFAHCLDTINGGGIFTIGHVVQPKVKTTPLVPDQPHYNVNLKAIEVGGAFLQLPTDLFDTGDKKGTIIDSGTTLSYLPEVAYNALMNAVLSNRPDLNFFTNQDFRCFRYTGSVDDGFPEVVFHFENSLLLNVYPHDYLFQNGDNIYCVGWQNGGLQSKDGKDMFLLGDLVLSNKLVLYDLENQVIGWTEYNCSSSIKIRDDKSGAIYTVDAHNISSGRRLQLGRSILLLLPTLLCYFIC >Ma05_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34170530:34175431:-1 gene:Ma05_g22440 transcript:Ma05_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSLYDGGSGGGARLVGDMPFGNPAGAVSHPRLLSSSLHKSMFSSPGLSLALQTNLDAHGVRNLASVVGGGGGQLDSARRSKEDENGSRSGSDNLEGGSGDDLEQENPRKKKRYHRHTPQQIQELEALFKECPHPDEKQRMELSNRLCLEVRQVKFWFQNRRTQMKTQMERHENMILRQENDKLRAENLSIREAMRNPMCCNCGGPAVLSEISLEEQHLRMDNARLKDDLDRVRALAGKFLGKPVSALAGSLPLPLPNSSLELAVGTNGFASVGTVAAATLPPLTDLPSGASSPLDTVITPSRAVGGVDKSPDRFVFLELALVAMDELVKMAQLEEPLWIPSLDAGRETLNHVEYDRCFSRCIGPRPTGFVSEATRETGVVIINSSSLVDTLMDAARWADMFPSVIARASPADVISSGLGGTNNGALQLMHAELQVLSPLVPVREVRFLRFCKQLTEGAWAIVDVSIDGIRGTPSASPAKTQCRRLPSGCVVQDTPTGYSKVTWVEHAEYDEAAVPPLYRPLLLSGLALGARRWVASLQRQCQSLAILMSSSLPPDDNTAITPSGRRSMLKLAQRMTDNFCAGVCASSAREWKKLGGGINIGEDVRVMTRQSVADPGEPPGVVLSAATSVWLPVSPQRLFDFLRNEQLRSQWDILSNGGPMQEMAHIAKGQNTGNAVSLLRASAMNANQSSMLILQETCTDTSGSLVVYAPVDIPAMHLVMSGGDSAYVALLPSGFAVLPDGLPSGSVGGARKAGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTVQKIKAALNCEP >Ma05_p22440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34170530:34175431:-1 gene:Ma05_g22440 transcript:Ma05_t22440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSLYDGGSGGGARLVGDMPFGNPAGAVSHPRLLSSSLHKSMFSSPGLSLALQTNLDAHGVRNLASVVGGGGGQLDSARRSKEDENGSRSGSDNLEGGSGDDLEQENPRKKKRYHRHTPQQIQELEALFKECPHPDEKQRMELSNRLCLEVRQVKFWFQNRRTQMKTQMERHENMILRQENDKLRAENLSIREAMRNPMCCNCGGPAVLSEISLEEQHLRMDNARLKDDLDRVRALAGKFLGKPVSALAGSLPLPLPNSSLELAVGTNGFASVGTVAAATLPPLTDLPSGASSPLDTVITPSRAVGGVDKSPDRFVFLELALVAMDELVKMAQLEEPLWIPSLDAGRETLNHVEYDRCFSRCIGPRPTGFVSEATRETGVVIINSSSLVDTLMDAARWADMFPSVIARASPADVISSGLGGTNNGALQLMHAELQVLSPLVPVREVRFLRFCKQLTEGAWAIVDVSIDGIRGTPSASPAKTQCRRLPSGCVVQDTPTGYSKVTWVEHAEYDEAAVPPLYRPLLLSGLALGARRWVASLQRQCQSLAILMSSSLPPDDNTAITPSGRRSMLKLAQRMTDNFCAGVCASSAREWKKLGGGINIGEDVRVMTRQSVADPGEPPGVVLSAATSVWLPVSPQRLFDFLRNEQLRSQWDILSNGGPMQEMAHIAKGQNTGNAVSLLRASAMNANQSSMLILQETCTDTSGSLVVYAPVDIPAMHLVMSGGDSAYVALLPSGFAVLPDGLPSGSVGGARKAGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTVQKIKAALNCEP >Ma11_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4067290:4077460:-1 gene:Ma11_g05310 transcript:Ma11_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAMAAPHPAAAMELPVEPQSLKKLSLKSLKRALDLFSPIHGHCSPPDPQSKRIRISYKVQAEYGSVTNLAGQQAGEQNVIGEQGKGDQTSTSMALALPGSQEAKASQNDGNQNAIIPAPTMLPKGPEIGPASRNTGAVVSVTDRYQSTSALMERIPSRWPRPIWHPPWRNYRVISGHLGWVRSIAFDPSNTWFCTGSADRTIKIWDVASGRLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLSLHPTIDVLLTGGRDSVCRVWDIRTKAMVMALSGHENTVCSVFARPTDPQVVTGSHDSTIKFWDLVAGKTMATLTHHKKSVRAMALHPKERAFASASADNIKKFNLPKGEFLHNMLLQQKTIINSMAVNEDGVLATAGDNGSLWFWDWKSGYNFQQAQTIVQPGSLDSEACIYALSYDISGSRLVTCEADKTIKMWKEDPSATPETHPLNFKPPKEFRRY >Ma09_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2170015:2175067:-1 gene:Ma09_g03180 transcript:Ma09_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQWMAEFPHAATDKRPRKRPRFTWDVPPPIPPAMVPVTLYCGKEAAHVAGANQLLQSFYHGGVPRYASPPWRGDNKDGHYIFSIGENLTPRYRILSKMGEGTFGQVLECWDLENQEPVAIKIVRSLQKYRDAAMIEIDVLQRLAKADRSGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAFMHELQLIHTDLKPENILLVSSEYVKVPDYKVLSWSTKSGLFFKNLPKTSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDMWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVIRADRHAEKYFRQGRGVRLDWPEGATSRESIRAVWKLARLQNLVMQHVDHSAGDLIDLLQGLLRYDPTERLKAQEALRHPFFTRGWRRCGYSLN >Ma06_p31450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32577974:32585863:-1 gene:Ma06_g31450 transcript:Ma06_t31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEEAVEMAAAEEEEEVYAWSWGAGTDGQLGTGTIEDQHLPQPLPHLPTAVSRVACGGAHAVALTGDGKVLTWGRGTHGQLGHGKFESCSRPKPVKFLESLTMSYVSAGWNHSGFVTDTGCLFMCGDGSFGQLGNGDNQSHGSPFKVLFFVSQHVKQAACGMRHSLALVTGSSGDMIYGFGSGRHGQIGKHLSGGQRLLNLPAAVQGFDDRKIVSIHANGDHSAALSASGQLYLWGRRFSGNVDNHIPQIAPLSLRISQVALGWNHALVMADGFVYMLGGSRHGLLAETQKVNLVEHGLPTLASCTTSNGSPLTLERVPCLDEKVVSIAAGAEHSALVTEKGSVMTWGWGEHGQLGLGDTSDQTRPQEVKLDCNGSFLWTQFAVYCGSGFTIVAKATE >Ma05_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1628685:1631997:1 gene:Ma05_g02510 transcript:Ma05_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQLPSIVRVTRPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYYEVILIDPAHNAIRNDPRINWICKGVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNQTLSLRRYR >Ma11_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25634335:25642934:1 gene:Ma11_g21510 transcript:Ma11_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTVLGDHGGADGTLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEEGRPEYAEFLHLPNRRFTDFSLVRKEIQDETDRLTGKTKQISPVPIHLSIYSPFVVNLTLIDLPGLTKVAVEGQPDSIVHDIENMVQSYVEKPNCIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNIDMIVARRKEKEYFATSPEYSHLSSKMGSEYLAKLLSRHLESVIRARIPSITSLINKTIDELESEMDHLGRPIAVDAGAQLHTILELCRAFDKIFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPSLQAELAAASHEALERFREDSRKTVLRLVDMEASYLTVDFFRKLPQEVEKTGSPAAPTIDRYTDGHLRRIASNVSSYISMVSQTLKNSIPKAAVYCQVREAKRSLLNHFYTQVGKKEGKQLSQLLDEDPALMERRLQCAKRLELYKNARDEIDAVSWAR >Ma11_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2238950:2240036:1 gene:Ma11_g03040 transcript:Ma11_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPPHPSLSLATSILFCANQMSSKIGPSHLPLFHKLPAKNLSFEPAKPLRFRSRACSPISSSYTGPSKQESTGRVVPAPDAYSVKFETLEGCKLGISRYPDFEYNAKGGVGNAVGRKDRTDDMLCVSFDVGTLYIPPLTGATTKFLGLPLPPLLKIAIVPEVFQGTISRRSGKVELMFRARFLFSVGSIYRAPPLMVETTLTSEESRGSMRAGRGERMDEEGRCKMVGVAVVDPINDALMNAFLGLPTECIAILNAKISIAAP >Ma10_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29806295:29807639:-1 gene:Ma10_g19130 transcript:Ma10_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDESGIKKGPWTPEEDQKLIQYIQKNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSPEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIRIGVDPMTHHPRMDLLATLTQLLCLADLTRIMELRAWDDHAARFLQSLLQSPATINMSSFSSIIPEMKPMSSMNTQAPDTTTSVPSPGLFPAAISNAEFYGVPEMSFGFHQQPLGNYIKQDSTCNDELEAAMSTPSALLRDADRSLGNGDNCIISSNAGCVLPPSFWSEFFDDPLVRGPI >Ma08_p28080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40120759:40122095:1 gene:Ma08_g28080 transcript:Ma08_t28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAPKEANRPRLDEESRGGEVAEAALATREAGNRWWRSRKLLLAANYTILFVGSLSSSLLSRYYFVHGGSNRWVSTLVQSAGFPFLLLPICLASSPSSRPFSGLTPRLLYLSLFVGLLLGVNNLLFSCSVSYLSVSTSSLLLSSQLGFTLLLSALLVRHPLTFSNLNCVVLLTLSSVLLALNSKHDRPDGVDRAHFFLGFAATLGAAGLFAVYLPLMQLVYREVSGYRMVVEVQVLMEAAATALAATGMFATGGWRREGKWNLGVARYWVVVAATVAAWQLCFLGTAGMVYLTSSVNSGICMTALLSVNVVGGVLVFGDAFGGGKAVAMALCIWSFSSYLYGEYKKKKEEEVVVAAEIAEGKEEDDSGGSAAGDGNA >Ma09_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6884308:6895047:-1 gene:Ma09_g10080 transcript:Ma09_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLVFAVNGERFELAQVDPSTTLLEFLRTQTRFRGPKLGCGEGGCGACVVLLSTYHPVNGQVKEFSISSCLTLLCSINFCSVTTSEGLGNSEDGFHPIHERFAGFHASQCGFCTPGMCMSLFSALTNADKTSRPEPPGGFSKITKTEAEKAIAGNLCRCTGYRSIVDVCKSFAANVDLEDLGLNTFWKKGNKDATVCRLPRHGHKRICTFPEFLKSEIKSSMDILDNFKNMGLPECQWYRPTSIEELYELLNSDAFLESHVKLVVGNTGSGVYKENDLYDKYIDLKGIPELSVIRRDSGGVSFGAAVTISMAIEVLKQKNESELHSNERLVFSKIADHMDKVATPFIRNMASLGGNLIMAQRSQFASDVATILLAAGSTICLQTASERLVLPLEEFLQRPPCDDRTVLINIHIPFSTSVMESSSGAKGCIDSEPTKEANILFETYRAAPRPLGNAIAYVNSAFLAHVTSYNISGDLVIHNIHLAFGAYGSEHAVRARKVENFLVGKSVTASVLLGAIKLLKETIIPNEHTPHSRYRSSLAIAFLFKFFQPLLKDLSVPEKNVQMSVSSAAATIENSNGCISGFADDLPRRASNVKQLDQANNPDLILSSEQMVEFCKDYHPVGDPIKKTGVELQASGEAIYVDDIPSPKYCLYGAFVNSTRPLAHIKGIKFKSTSSSQKAFTFIGADDIPKGGQNVGLSCQYGTESLFAHSLTECAGQPLGIVIAETQRQANMAAKQADVQYCTENLEPPILSVEDAVRRSSFFKVPPFLCPQKVGDLSKGMAEADHKILSAEVKLGSQYYFYMETQTALAIPDEDNCILVYTSTQCPEIAQGTIAKCLGIPAHNVRVITRRVGGGFGGKGPRSVPVATACALAAFRLRRPVRMYLDRKTDMIMTGGRHPMHINYSVGFKADGKITALHVDILVNAGITADVSIIIPCNMVSALKKYNWGALSFDIRLCKTNFSTKSAMRGPGEVQGTFIAESVIEHVASFLSIDVNSVRKKNLHTYDSLMLYYEGSTGDAPEYTLPTMIDELASSASYFDRLEIIRHFNSCNKWRKRGISLVPVVYQVVLRPTPGKVSILTDGSIVVEVGGIEIGQGLWTKVKQMTAFALGQLWVDGSQNLLDRVRIIQADTLSLVQGGLTAGSTTSEASCEAVRLSCNVLVDRLKSLKQSLEDKTGSISWDTLIFQANMQSVNLSESTYWVPEDASISYLNFGAAISEVEVDVLTGATIILRTDLVYDCGQSLNPAVDLGQAVAGTPINYCNHNPFNLLLACNNHDIK >Ma09_p10080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6883097:6895047:-1 gene:Ma09_g10080 transcript:Ma09_t10080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLVFAVNGERFELAQVDPSTTLLEFLRTQTRFRGPKLGCGEGGCGACVVLLSTYHPVNGQVKEFSISSCLTLLCSINFCSVTTSEGLGNSEDGFHPIHERFAGFHASQCGFCTPGMCMSLFSALTNADKTSRPEPPGGFSKITKTEAEKAIAGNLCRCTGYRSIVDVCKSFAANVDLEDLGLNTFWKKGNKDATVCRLPRHGHKRICTFPEFLKSEIKSSMDILDNFKNMGLPECQWYRPTSIEELYELLNSDAFLESHVKLVVGNTGSGVYKENDLYDKYIDLKGIPELSVIRRDSGGVSFGAAVTISMAIEVLKQKNESELHSNERLVFSKIADHMDKVATPFIRNMASLGGNLIMAQRSQFASDVATILLAAGSTICLQTASERLVLPLEEFLQRPPCDDRTVLINIHIPFSTSVMESSSGAKGCIDSEPTKEANILFETYRAAPRPLGNAIAYVNSAFLAHVTSYNISGDLVIHNIHLAFGAYGSEHAVRARKVENFLVGKSVTASVLLGAIKLLKETIIPNEHTPHSRYRSSLAIAFLFKFFQPLLKDLSVPEKNVQMSVSSAAATIENSNGCISGFADDLPRRASNVKQLDQANNPDLILSSEQMVEFCKDYHPVGDPIKKTGVELQASGEAIYVDDIPSPKYCLYGAFVNSTRPLAHIKGIKFKSTSSSQKAFTFIGADDIPKGGQNVGLSCQYGTESLFAHSLTECAGQPLGIVIAETQRQANMAAKQADVQYCTENLEPPILSVEDAVRRSSFFKVPPFLCPQKVGDLSKGMAEADHKILSAEVKLGSQYYFYMETQTALAIPDEDNCILVYTSTQCPEIAQGTIAKCLGIPAHNVRVITRRVGGGFGGKGPRSVPVATACALAAFRLRRPVRMYLDRKTDMIMTGGRHPMHINYSVGFKADGKITALHVDILVNAGITADVSIIIPCNMVSALKKYNWGALSFDIRLCKTNFSTKSAMRGPGEVQGTFIAESVIEHVASFLSIDVNSVRKKNLHTYDSLMLYYEGSTGDAPEYTLPTMIDELASSASYFDRLEIIRHFNSCNKWRKRGISLVPVVYQVVLRPTPGKVSILTDGSIVVEVGGIEIGQGLWTKVKQMTAFALGQLWVDGSQNLLDRVRIIQADTLSLVQGGLTAGSTTSEASCEAVRLSCNVLVDRLKSLKQSLEDKTGSISWDTLIFQANMQSVNLSESTYWVPEDASISYLNFGAAISEVEVDVLTGATIILRTDLVYDCGQSLNPAVDLGQIEGAFVQGIGFFMCEEYLENSDGLVISDGTWTYKIPTIDTIPRQFNVKLLNSGHHEKRVLSSKASGEPPLVLASSIHSATREAIIAARMEFSSPTGSDSSSSFRLEVPATMPVVKELCGLDNVEKYLKNLVSSHQVKA >Ma04_p28790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29783275:29789674:1 gene:Ma04_g28790 transcript:Ma04_t28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISLYRGNLHRVPDVPRRWPLPPPAISLCQFKILIRKRSEALSRLSAAPVAATTLDVKVEGKSKEEVKGDDDGAKIGLSVREYSSVLDPEPSNSQPKCKEETRDHEAFRESEVGASASDAPAGAPSTREEEEVRDSKIGEAGVVDGKFEVRGALGVDSFISVFHETSWIMLLMLPSPCFAILDNFYSVDVYFYDTRDFIDLTVLSIILVRNKSDVVSDKEERKRDLEKKLHVLNEKKHNLVQMLKQILNAEEEIKRRSMQSPVLHPSIPQKAEMTLEMGSVKQVPKLTVEVNFGSDSGGESDAAAKNNSHVRQLHHTHSTSPSAASLTRTTFGSFQHNTGLQNIRASMTATGYGPTTPNSSAGGAMVSPSRFAPVGHQSQTPNLTPVALPVNHFIASSPSPAASGGASSVFMDPCLTSSS >Ma07_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10497482:10502244:-1 gene:Ma07_g14050 transcript:Ma07_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGLGSKVRVVRCPKCDKLLPELANFAVYRCGGCGATLQAKKPVPGSGASPEKSNEENMKYLEVLEQHCTEKKPMVSDSSAEIHYEGSGDDRTVESLSHGSAGSHGVSMTGCKDSDLMKIDDLPKENGSDDTKHQCHWETLISKHTHDVSELGKTGMESGREMKQQVDESREPQRRQVRTPRAPVGDGWPAPLHDEGPSDCHRYPSYINGNVEGESRQNMDGASRVGYLEQDRTRLLRMLDELRDQVQRTCKASDKPKSSYVHHDHVKWFPETSSSSDPNSSQYFPTLHDHNAAMVNLYSNVPAEGGIPGYGDPFAQRRVPFHRPHEYPPRQVDGFLYGQFALDPVMSYHHDGFYHQPACSCMQCYQRPFLLPARAPSTTIGHQRILYPVNNYEFCRVDGPSIIGSRNSNIRVDNAPLHRLEPRSHSRTKFSKNNARSCRPIDGAAPFTICSSCFELLQLPEKSLLLKKNNINLQCGSCFKLVAIQYDGSKIVISAPTPVSRVSSENNNSSHNSTINGIQSTDEKLVLPYIFTSNDHEMKEKGHDLHSRESEKEYGSSLSPGTSGYVESPESVILQKDDPSLPGMPSDAQAISGVPSLPLREHFGYLLSDRAADGSESGSESNHSDQARSTSLNGNFKQNSTKDVRVATEMDLSDEEDPPSGLSRDSWDMISKDETEPRIIKAGDSFFAGLIKKSFRPLNQSLGHSRFKVSINGHPIPDRLIKKAEKQAGPIYPGHYWYDYRAGFWGVMGHPCLGIISPFIKEFNYPMPKNCAGGNTGVLVNGRELHQKDLDLLVGRGLPATRGCSYIIEISGKVWDESSGEELDGLGKLAPTVEKVKHGFGMRVRL >Ma10_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29096117:29096302:1 gene:Ma10_g17890 transcript:Ma10_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRACHGEEDGEREPSFCMGINFPWGLGNHGGACWRAAVDYETHTSHALPRTSYTMHVTGT >Ma10_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28160258:28161573:1 gene:Ma10_g16480 transcript:Ma10_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTLTCQSGAEREEGMGSLSYSSEEESHVSIPNPNPDPKRAKRKKKKKIWRRAEEEMEEMGLEEAWPRRSRPGGGVTLEGYVEGADRGPDGADGVGRTRSLTDDDLEELKGCLDLGFGFSYEEIPELCGTLPALELCYSMSRSLEAAGEPSVAAEPCATPPVANWKISSPGDHPEEVKARLKYWAQAVACTVRLCS >Ma04_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17468425:17468601:1 gene:Ma04_g17230 transcript:Ma04_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSTSPPGRRLRILTRELGHSGSSATTHQFINSSVVIDISIEITYRLSIHPSYAAD >Ma09_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36427499:36433102:1 gene:Ma09_g24810 transcript:Ma09_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRALGLKTPIPFPAIYARTSLPLLRRRTASLRFSSSSSSNDDKILLGSCVSAKAADSFEPDRSAKDAFRPSQEVRQDEISILKILKGANSIIPHVVLASTVLALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSLNDFVEAFKKPDAIAAGYVGQFIIKPLLGYLFGSISVSLFKLPNSLGAGIMLVSCVSGAQLSNYATFLTDPPMAPLSIVMTSLSTATAVFVTPTLSLLLIGQKLPVDVKGMMSSIVQIVVAPIAAGLFLNRFLPRICAAIQPFLPPLSVFVTALCVGSPLAINMKAIISPFGVAIVLLLFAFHASAFISGYGFAGILFRRSTDVKALQRTISFETGMQSSLLALTLANKFFKDPLVGVPPAISVVLMSLMGFALVMIWSKGKQQVQPVKI >Ma03_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9598041:9604549:-1 gene:Ma03_g12440 transcript:Ma03_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFTPSTVMAKVEAGDGMCDQDPQKGNRLEERTIDVRDDSTIDQAAKTGVIRGKKHKLKWMSRPMVLPETILEFLDEDLRENAIRYLSNFLVEKREEDLDNYFRTGYMVFNSCGTLCILLQEIVGFYKKMEDEKLDSRSIKRLINVLTLFQSVAANNETRQRFIDACGPNFLVPLILFKSANEVFEDVRTIALSVFGILCQGRESRIIKWAIENDIIEVCHCILENGSELSKVIAMHILEAILHDNSGISYICDPTCTHVLDGLIRTWNGLVNLLAVDQDFSPRLLFHSIRCYIILCRHDKGYDAVKNNLPEAIANGSFHEMTEKYPMIRELLHQLLLNVGKEAEVHLSEKW >Ma11_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12720178:12721420:-1 gene:Ma11_g11280 transcript:Ma11_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAAVDTSFLLSAAADRQSCKSGARPRPGKQASSFSPSFLRSVAPRFAGLSSALILRFPPPNFVRQLSTKARRNCCNIGVAQIVAASWSNSSPAFETPRTPVESPFPLTGEAKASAAVAKAAASLNSDGSLAVHAGERFGRRTATDAITTPVVNTSAYWFNNSDELIDFKEKRHASFEYGRYGNPTTQALEEKMSALERAESTLFVSSGMYASVASYAVGSGPFWWAHCDHHRLS >Ma04_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3785011:3791816:-1 gene:Ma04_g05000 transcript:Ma04_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREEREGRREEEEGAERFLPKMPVRVLLVEGDDSTRRIIAALLRKCGYRVAATSDGLKAWNTLKQKPQTIDLVLSEVDLPSISGFGLLTMMMDHNTFKSIPVIMMSSHDSTSMVFKCMLKGAADFLTKPLRKNELRNLWQHVWRRQIASEQDDIHKIQVNCDAKHKLTAQSGKEEHSTENLGIIQANKECKEQMSDAQSSCTSSDVEAESTQMELNQQKLHAPTTLDDGKNIQFDNASFASNENIDDTQNECLDPNSITNATNGWQCHRDITSRDLVDLIQVIDNQPQGVFQSGDSTTVQSGFSDIAVHDVGVMCKSNTKPHLELCLKRFEGIFPEKLDCDGYNTWNHSSSSAFSLYNSRTVLPPLLIQKNSGSRSSGQNCTEPLLNYKDSSENMEETKSPAVEPPVQCTPLRVIPLPLPVGSMPLCSGYDTATQHMIYQPSGHHFWSTSSSSWMEGIIQTNSLNQPCQGIQNSMQGDLPDGNNSRSSSYHSAQNQEHMELDEQRHVSSVAGESVGGIVCNGEGSHLNISECSSVPDGTTGCTSTTNTLRPMTVRLTDQGKLTCEGTKPINCSMSQREMALNKFRQKRKDRSFEKKVRYHSRKLLAEQRPRVKGQFVRHAKLYPQPTTLAAGGLPSQNVPQDLTNVAALT >Ma03_p26740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30353377:30361065:-1 gene:Ma03_g26740 transcript:Ma03_t26740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKLDQDFDELDKLLGEIPTATIGNPYTRKHIQNGAALDFELISVNEVEKTSTPGDLYGMSCTTLAVKDCEIISADDTLSSSKTPFDQEDGPRSYHGTSTTITDQNTTNLPDEQSLASAFEDLGFMDKIAAVSTTPYFKCYPSSSNHALLPDGHCSENLENSSGLESAEMSILPLSIRQNGVCVPNPASEGCSPFVTKDAPSILNGMVDGHDDARLLKLNFHDPRNQMSKSVFGECGEQRQSFPVCSASMPVNQGIHAYLLPGLSAQGFEFPPPSFQQQYYMDPPPHDYIHHHQQRQQQQQQLSQSSALWGDMQYERNCRDNLRYLYSQQLENQHFEGQKRRISAIGPLSGNTIQPYLHMSIPHQAGQVNQYSYGNNSATNRRYNQLDPSLLRSNLGRCHHNGLSGQFKSCSFPQGQDIASSYDLYSPIKSAHGPQISERFSKQTFPEKILTRSHGVDLLQTLKSGAPGNNQLPEHADITRRVFSNDNAHSHVVHGVQSLDLDAPSNQGSSPDNLSESHDVKSSGLKYDSLNNAIGKIHVLAKDQNGCRFLQQIFNEGNHEDVDILFLEIIDHVVEIMMDPFGNYLVQKLVEVCREEQITHIIHEISQGSDKLLKISCNQHGTRVVQKILETVKSPVQFSMIVSALKPVIVLLIKNNNGSHVAQRCLDCLSPENKECLFEDAVANCGELARDRQGCCVLQKCLSALDGDQKFRLISNLTCKARDLSQDPYGNYVVQYILDQKVPWATIKILDQLEGHYRTLSVQKYSSNVVEKCLKYAGDARRVNIIRELIDGPHFVQISLDPYGNYVIQSAHRECKGALQAAFREAIRPHVTALRTNHYGKKVLSTCYGK >Ma03_p26740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30353377:30360677:-1 gene:Ma03_g26740 transcript:Ma03_t26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKLDQDFDELDKLLGEIPTATIGNPYTRKHIQNGAALDFELISVNEVEKTSTPGDLYGMSCTTLAVKDCEIISADDTLSSSKTPFDQEDGPRSYHGTSTTITDQNTTNLPDEQSLASAFEDLGFMDKIAAVSTTPYFKCYPSSSNHALLPDGHCSENLENSSGLESAEMSILPLSIRQNGVCVPNPASEGCSPFVTKDAPSILNGMVDGHDDARLLKLNFHDPRNQMSKSVFGECGEQRQSFPVCSASMPVNQGIHAYLLPGLSAQGFEFPPPSFQQQYYMDPPPHDYIHHHQQRQQQQQQLSQSSALWGDMQYERNCRDNLRYLYSQQLENQHFEGQKRRISAIGPLSGNTIQPYLHMSIPHQAGQVNQYSYGNNSATNRRYNQLDPSLLRSNLGRCHHNGLSGQFKSCSFPQGQDIASSYDLYSPIKSAHGPQISERFSKQTFPEKILTRSHGVDLLQTLKSGAPGNNQLPEHADITRRVFSNDNAHSHVVHGVQSLDLDAPSNQGSSPDNLSESHDVKSSGLKYDSLNNAIGKIHVLAKDQNGCRFLQQIFNEGNHEDVDILFLEIIDHVVEIMMDPFGNYLVQKLVEVCREEQITHIIHEISQGSDKLLKISCNQHGTRVVQKILETVKSPVQFSMIVSALKPVIVLLIKNNNGSHVAQRCLDCLSPENKECLFEDAVANCGELARDRQGCCVLQKCLSALDGDQKFRLISNLTCKARDLSQDPYGNYVVQYILDQKVPWATIKILDQLEGHYRTLSVQKYSSNVVEKCLKYAGDARRVNIIRELIDGPHFVQISLDPYGNYVIQSAHRECKGALQAAFREAIRPHVTALRTNHYGKKVLSTCYGK >Ma02_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20514860:20516155:1 gene:Ma02_g11260 transcript:Ma02_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSEVIHKSTFPTNISLHKWCGHHNVLAPADSLRLFRTKILHLGWEESVSDSLPCKVGKVFDASLKYSIHEENRGGKNKRKQETHICCCKLSPIPYICKLKLLSESYVCADLPLHLEIALKPPLTAERAWLVWLKSFHSKGQEWSVAPLALDVILCSRSTWQGLANSPLAG >Ma03_p12890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9921962:9926002:-1 gene:Ma03_g12890 transcript:Ma03_t12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAADVGEEELLPPRSSLVSDDYEDVEEVEEQGGNLKEPLLEHHPINLRRHAPNNTSQLAIVGSNLCPIESLDYELIENDLFNQDWRSRGRAAILRYVFLKWTFCLFIGIVAGAVGFFNNLAVENIAGYKFFTVSDLMLANKYWTAFWVFAGTNLALLLFATVITAFVSTAAGGSGIPEVKAYLNGVDAPDIFSLRTLVVKIVGMIAAVSSSLHVGKAGPMVHIGACIGAIVGQGGSRKYGMTCRWLRYFKNDRDRRDLVTCGAAAGVAAAFRAPVGGVLFALESLSSWWRSALIWRAFFTTAVVAVTLRALIDICERGKCGLFGKGGLIMYDVTSDTITYHIADLPPVILLGVIGGILGSLYNFLMLKVLRVCSLVNEKGRAYRLLLAATVSIFISCCLFGLPWLAPCRPCLKEDCPSMGQPGSFKNFQCPPNHYNDLASLFFNTNDDTIRKLYSSETNDDFQKSSIMLSFVASYVLGILSYGVAAPFGLFVPIILTGASYGRLIGMLMGVNSNLDHGLFAVLGSASFLGGTMRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNPNIYDLILKLKGLPYLEDHAEPYMRQLTVSDVVAGPLRTFNGVEKVGNIIHILKTTGHHAFPVIDEPPFSSSPVLYGLILRAHLLSLLKKKCFLPTCTLSSFDASKQFVADDFAKRGSGKHDRIEDIEVTAEEMEMYIDLHPFTNTSPYTVVETMSLAKALTLFRQVGLRHLLIVPKSSCMLAL >Ma03_p12890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9921884:9926002:-1 gene:Ma03_g12890 transcript:Ma03_t12890.3 gene_biotype:protein_coding transcript_biotype:protein_coding METAADVGEEELLPPRSSLVSDDYEDVEEVEEQGGNLKEPLLEHHPINLRRHAPNNTSQLAIVGSNLCPIESLDYELIENDLFNQDWRSRGRAAILRYVFLKWTFCLFIGIVAGAVGFFNNLAVENIAGYKFFTVSDLMLANKYWTAFWVFAGTNLALLLFATVITAFVSTAAGGSGIPEVKAYLNGVDAPDIFSLRTLVVKIVGMIAAVSSSLHVGKAGPMVHIGACIGAIVGQGGSRKYGMTCRWLRYFKNDRDRRDLVTCGAAAGVAAAFRAPVGGVLFALESLSSWWRSALIWRAFFTTAVVAVTLRALIDICERGKCGLFGKGGLIMYDVTSDTITYHIADLPPVILLGVIGGILGSLYNFLMLKVLRVCSLVNEKGRAYRLLLAATVSIFISCCLFGLPWLAPCRPCLKEDCPSMGQPGSFKNFQCPPNHYNDLASLFFNTNDDTIRKLYSSETNDDFQKSSIMLSFVASYVLGILSYGVAAPFGLFVPIILTGASYGRLIGMLMGVNSNLDHGLFAVLGSASFLGGTMRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNPNIYDLILKLKGLPYLEDHAEPYMRQLTVSDVVAGPLRTFNGVEKVGNIIHILKTTGHHAFPVIDEPPFSSSPVLYGLILRAHLLSLLKKKCFLPTCTLSSFDASKQFVADDFAKRGSGKHDRIEDIEVTAEEMEMYIDLHPFTNTSPYTVVETMSLAKALTLFRQVGLRHLLIVPKSSCYQTKLISKQQQLSEGRNSGYLHPHSIKKIHI >Ma03_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9921543:9926148:-1 gene:Ma03_g12890 transcript:Ma03_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAADVGEEELLPPRSSLVSDDYEDVEEVEEQGGNLKEPLLEHHPINLRRHAPNNTSQLAIVGSNLCPIESLDYELIENDLFNQDWRSRGRAAILRYVFLKWTFCLFIGIVAGAVGFFNNLAVENIAGYKFFTVSDLMLANKYWTAFWVFAGTNLALLLFATVITAFVSTAAGGSGIPEVKAYLNGVDAPDIFSLRTLVVKIVGMIAAVSSSLHVGKAGPMVHIGACIGAIVGQGGSRKYGMTCRWLRYFKNDRDRRDLVTCGAAAGVAAAFRAPVGGVLFALESLSSWWRSALIWRAFFTTAVVAVTLRALIDICERGKCGLFGKGGLIMYDVTSDTITYHIADLPPVILLGVIGGILGSLYNFLMLKVLRVCSLVNEKGRAYRLLLAATVSIFISCCLFGLPWLAPCRPCLKEDCPSMGQPGSFKNFQCPPNHYNDLASLFFNTNDDTIRKLYSSETNDDFQKSSIMLSFVASYVLGILSYGVAAPFGLFVPIILTGASYGRLIGMLMGVNSNLDHGLFAVLGSASFLGGTMRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNPNIYDLILKLKGLPYLEDHAEPYMRQLTVSDVVAGPLRTFNGVEKVGNIIHILKTTGHHAFPVIDEPPFSSSPVLYGLILRAHLLSLLKKKCFLPTCTLSSFDASKQFVADDFAKRGSGKHDRIEDIEVTAEEMEMYIDLHPFTNTSPYTVVETMSLAKALTLFRQVGLRHLLIVPKSSCRAPVVGILTRHDFMPEHILGLHPFLVKSRWKRLRFHQSTLTRFFRTSLMWACS >Ma07_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25680226:25680923:-1 gene:Ma07_g18500 transcript:Ma07_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFVNGFPCKDPTNVTPDDFYISGLDKVANTDNDLGANITLVNVNRLPGLNTLGVAMSRIDYAPFGLNPPHSHPHSSEILHVAEGTLFAGFVRSNTEKGNILIAKKLNTGDAFVFPQGLMHFQFNLGDTNAVAFAAFGSQSPGLVTIANALFGSKPPIPYYILSQAVQLSKTTVDWLQQQEWVDIAREY >Ma04_p40110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37022102:37023562:1 gene:Ma04_g40110 transcript:Ma04_t40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGERAPGGKISDADVAGGGGRRWDDLNRDLLVAIFGRLGVADLIAGVPFVCSSWRDAARDPLCWRELDFREWEPLSRRLGAHGDDHADFTGILHSVIARGHQLLKSIYFPFFADDIDLLYVAERCPGLHYFSLPNPEMDEEMFRKAVGKLAFLRGMAVDENLIRSEVLLHVNQCCASLKELSVFSEFVDEDMASVICKSLPSLRKLEITESVISSQAIITFIDGLKELEHFDISGYENSAITDVVLQKASRLKVFIWNSRFELGEFMDCSNCGEDWLLQRSCECMLDRKVMEWLTELS >Ma06_p26980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29010786:29020982:1 gene:Ma06_g26980 transcript:Ma06_t26980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIICVVQRWSRWIATMLPWLLIPLLLFWAMSPFLPPGLRFEITSPRLACVMVLLVTLFWYEILMPQLSLWRTRRSARLRERRRALAIEMQKLKKTATRRCRNCHTPYRDQNPGGGRFMCSYCGHVSKRPVLDLPRSVGSSGVNDLVGKNGWMCSQDWSAEGSRNWANPLPHYWPSDDQCSTEKSCSGVVLFPCKLLFCFFTWLFRKVFGFGSREDGSDADQSGSSSKRGENGGNLQESRWEKARRKAEEKRQAKLEKEMLEEEERKQREEVARLVEERRRIRDEMLKTEKEHSESSVAHRERDNRKVAERGRPERKKDKDKGSSKSNSDVEDLDKRINRESERKREFEKRNETERRDSLKTTDMHKPHTSGALHGNKVTSKPRYFARMTGSFLSSSRSLSGATFFGRNTHIPATVNKASKPSAGFMDHVSDKKRDSQVIGDKMVKATPNGDGRVQGANTHEPVGSYAQLLQGTSKKSWHQLFTRSATVSPCPDTTTTYQNENVQVEAQSECLGASSIADETELFEDPCYVPDPISLLGPVSESLDNFPLDLGAGFLSSDKVEKPQVIGILSGDKVQEPQVIKNISAPRNISKPSPIESPISRLRVSDEKQTALGQLPCNSKSQDSHPTIVDESQGTWQMWGTPLAHDGLGLGGPSSWFLPIGQKNLKQEDAIYPFPHNPMTSFIAKESPTLLGIQAPQHVYDGNQQNGGTYNFHGVGINGSDHCLQKSPFQSLPVDAKSNLLPVGLVDNMQHIDPRHNSPKRSSADCPFEPAPANSWFRDGSLPNVGRVEWAATGPQHDGNSSFANSNIGGLFSASPETASVWSFSQKESV >Ma06_p26980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29010786:29020982:1 gene:Ma06_g26980 transcript:Ma06_t26980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCIICVVQRWSRWIATMLPWLLIPLLLFWAMSPFLPPGLRFEITSPRLACVMVLLVTLFWYEILMPQLSLWRTRRSARLRERRRALAIEMQKLKKTATRRCRNCHTPYRDQNPGGGRFMCSYCGHVSKRPVLDLPRSVGSSGVNDLVGKNGWMCSQDWSAEGSRNWANPLPHYWPSDDQCSTEKSCSGVVLFPCKLLFCFFTWLFRKVFGFGSREDGSDADQSGSSSKRGENGGNLQESRWEKARRKAEEKRQAKLEKEMLEEEERKQREEVARLVEERRRIRDEMLKTEKEHSESSVAHRERDNRKVAERGRPERKKDKDKGSSKSNSDVEDLDKRINRESERKREFEKRNETERRDSLKTTDMHKPHTSGALHGNKVTSKPRYFARMTGSFLSSSRSLSGATFFGRNTHIPATVNKASKPSAGFMDHVSDKKRDSQVIGDKMVKATPNGDGRVQGANTHEPVGSYAQLLQGTSKKSWHQLFTRSATVSPCPDTTTTYQNENVQVEAQSECLDAGASSIADETELFEDPCYVPDPISLLGPVSESLDNFPLDLGAGFLSSDKVEKPQVIGILSGDKVQEPQVIKNISAPRNISKPSPIESPISRLRVSDEKQTALGQLPCNSKSQDSHPTIVDESQGTWQMWGTPLAHDGLGLGGPSSWFLPIGQKNLKQEDAIYPFPHNPMTSFIAKESPTLLGIQAPQHVYDGNQQNGGTYNFHGVGINGSDHCLQKSPFQSLPVDAKSNLLPVGLVDNMQHIDPRHNSPKRSSADCPFEPAPANSWFRDGSLPNVGRVEWAATGPQHDGNSSFANSNIGGLFSASPETASVWSFSQKESV >Ma06_p26980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29010786:29020982:1 gene:Ma06_g26980 transcript:Ma06_t26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIICVVQRWSRWIATMLPWLLIPLLLFWAMSPFLPPGLRFEITSPRLACVMVLLVTLFWYEILMPQLSLWRTRRSARLRERRRALAIEMQKLKKTATRRCRNCHTPYRDQNPGGGRFMCSYCGHVSKRPVLDLPRSVGSSGVNDLVGKNGWMCSQDWSAEGSRNWANPLPHYWPSDDQCSTEKSCSGVVLFPCKLLFCFFTWLFRKVFGFGSREDGSDADQSGSSSKRGENGGNLQESRWEKARRKAEEKRQAKLEKEMLEEEERKQREEVARLVEERRRIRDEMLKTEKEHSESSVAHRERDNRKVAERGRPERKKDKDKGSSKSNSDVEDLDKRINRESERKREFEKRNETERRDSLKTTDMHKPHTSGALHGNKVTSKPRYFARMTGSFLSSSRSLSGATFFGRNTHIPATVNKASKPSAGFMDHVSDKKRDSQVIGDKMVKATPNGDGRVQGANTHEPVGSYAQLLQGTSKKSWHQLFTRSATVSPCPDTTTTYQNENVQVEAQSECLGNKRLLPSYSSGQPLPSAACTSVDGAFSSSSVSSLVAESLVPSSKDAGASSIADETELFEDPCYVPDPISLLGPVSESLDNFPLDLGAGFLSSDKVEKPQVIGILSGDKVQEPQVIKNISAPRNISKPSPIESPISRLRVSDEKQTALGQLPCNSKSQDSHPTIVDESQGTWQMWGTPLAHDGLGLGGPSSWFLPIGQKNLKQEDAIYPFPHNPMTSFIAKESPTLLGIQAPQHVYDGNQQNGGTYNFHGVGINGSDHCLQKSPFQSLPVDAKSNLLPVGLVDNMQHIDPRHNSPKRSSADCPFEPAPANSWFRDGSLPNVGRVEWAATGPQHDGNSSFANSNIGGLFSASPETASVWSFSQKESV >Ma06_p27620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29563663:29564289:1 gene:Ma06_g27620 transcript:Ma06_t27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQASSVPMRGEVETTRPFRSVKDAVAVLDERFLSGHARSPKANTRPIRSHPAPKAVFSASTSPPSYTSSAALSVFSSLRNVEAELEKMKRELMLLKERESDTARTIATPNFQFRKSMCKAAEIDAAANAAESSVSMELPNKTRSEPWRDDGPRNVEKENDFGGRRKIKLHKRKPVIPLIGDLLSRKTESTDLNISLYPRSTIYSFS >Ma03_p30300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32991075:32991323:1 gene:Ma03_g30300 transcript:Ma03_t30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGMFYAYLKRLCALSERWSPRQVPGAGGLQHDGGSPRQARPGQRRHRNLPQQRHRFQPPRMSTVPPLPIPFSSSLHHLLG >Ma08_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2160808:2162460:1 gene:Ma08_g02880 transcript:Ma08_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSWPFIDVKLWQPFLRRQRTKCNSFAVELQEFLAQQQRTFLQMRINLKVVDNSGAKQVMCMQALIGRRGARLGDCISEGSTASW >Ma03_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22380509:22381239:-1 gene:Ma03_g17100 transcript:Ma03_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVNKNKYIEDWNAARENLELNFRWTRRNLALIGIFGLAVPILVYKGIVREFHMQDEDAGRPLRKFL >Ma04_p36040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34571186:34572302:-1 gene:Ma04_g36040 transcript:Ma04_t36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDITLNTFHQERPITPLDHHIYHHHHHANSSPSFKGMILQDFLTGPSNRPLPVSQTVVEQLPLPLPPHPSPALPETALSLNSGLEFQYLGPDATNSHSNSSSSGHNASFISSAFSSVAVGPPSPTGLFSLCSKKRLQENPAIGVDRHHKRMIKNRESAARSRARKQAYTNELELEVSHLKDENSKLKKQYEELRSAIGNPLPKRNPLRRSTTAPF >Ma06_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21033835:21036191:1 gene:Ma06_g23270 transcript:Ma06_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVTLRPIREDVELSTCHSDGAGGPLYSPELITIYLAVLGAPVIPMRVLESDSIASVKLKVQSCKGFAAKKQKLVFDGREMARNDCLIRDYGVADGNVLHLIIKTSDLRVITVKTASGKKLKFRVDRGRTVRHVNKQVADLEDEQCVFQGRPRKQLQDRKEIHNICTNNNAFIHLLVHRSAEAGTGAVENDLEPFFRAPVADSRPPRRDALIEPVIVNPKVELPRLMKDLLGATLSGLDKGNPPIMSSEGTGGTYFMQDITGNEYVAVFKPIDEEPLAENNPRGLPPSTNGEGLKRGTRVGEGALREVAAYILDHPVSGRRSFSHVDFGFAGVPPTVMARCLDGGFNHPTGCEHEAIDFKMGSLQMFVKNYGSCEDMGTREFPAQEVHKICVLDIRLANADRHAGNILVCKEGEGGRLALVPIDHGYCLPENFEDCTFEWLYWPQSRQPFNSETTEYIKSLDAEQDIALLKFYGWEMSPDCARTLRISTMLLKKGVERGLTPYRIGSIMCRETINKESKIEEIISQAKNAVLPATDEAAFLESISDIMDAYLDRLSV >Ma08_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26418434:26419045:-1 gene:Ma08_g17660 transcript:Ma08_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWVHGWLHWWLVEHPTFESLEWRPNETFGASVPIVAIAAFPYLAPPLSPNLLTLSSSSSSVAVVSPSSMPTTNHHAVVVVMCYVWLSTSQSLMPIALVTNAAVHVAMYAYYLSCSMDYCWPLRWNRAITELQIAQFIFSYLRM >Ma04_p33130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32925152:32929341:-1 gene:Ma04_g33130 transcript:Ma04_t33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMESADLEGQEQARNEHAASPSLDNSAEFRDDDHSDFSVFDAKLEFKMIMSKIPLYLEIKQPKLHRRCRQIQRSLPCRNSQNHLTGTNKNLAPSFFADLEEISCESHVILYGNKSLLNIPASMTASGYILNTSKLMDITRNINNLSKFFPEFSPFVQSGNIVMSPSARWVHDFIQGNVKGSGPIKSSLSFDPVKQDVHTKQIISRLAGNMEMKFSDSSSFPRICSPLLENAKPLAEPPRKQSSDAKFSQELMVSCSSTESTSSYQSTSPAHGCVSSALLHCVWESDIHYFLFTMDADDGGKVYAASPLKIKPSVDKSLDYIYLFHSWKAIGNESKKNVISASPVVGKMKVSSSVIVNSNRSKFIETEFVLFGANEKHSAENKSSSNPKKKNMHFRKMAVIFRPSHLSNHSPKIKVGVTGSGFGDLCQFFSGEQQIIDERDCSEHLLNDFLPNRELAAIVVRDYGCNSSKGVAFGGWGLKFLEKVELDDADSSREPPSSSSESCKERFICDREKIARNVTVLVPAGFHGGSFTRAGGPSSLTERWKSGGQCDCGGWDIGCPIKVLNNNSICSLVLPKAKVEGDCKSFELFTEDVKHGEPTLKVLNVSKDMYVVKSGSTLSALQSFAIAVAMIHSQTPDLYPNL >Ma10_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29559081:29559749:1 gene:Ma10_g18750 transcript:Ma10_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVEHFEGPYSCPCSPRSTSPTSAGGGRRKRAVARGMQKTLSKTSMLVNFLPTGTLLTFELLLPSASGDGSCSPVSTLMIETLLGLCALSCFFFHFTDSFRGPDGKVYYGFVTPRGLAVFKSGLGVDVPQDERFRMGFVDLVHALMAAMVFAAIALSDHRVTNCLFPGHAKEMDEVMESFPLMVGVVCSGLFLVFPNTRYGIGCMAT >Ma06_p28650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30288719:30289818:1 gene:Ma06_g28650 transcript:Ma06_t28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSANRLCFLFAFIVLGFRASGVACRRTAADMAMSLRHERWMAQHGRVYRDAAEKDRRFQIFKRNVEYVITVNDAHRKYKLRINRYADLTNDEFKASHGGFRPTPTKAAPTFRYENETIVPPTVDWRSRGAVTPVKNQGECGCCWAFSAVAAAEGIIKLKTGKLIPLSVQELIDCSVYGEDRGCNGGAVEDAFEFIISNGGLTTDANYPYTASDGTCDAVKSSSKEATISGYESVPGIGEPSLLKAVARQPVSVAIDAGGPEFQFYSSGVFTGKCGTNLDHGVTVVGYGTTADGTRYWLVKNSWGASWGESGYMRIQRGAAGAPEGLCGIAMYASYPTV >Ma10_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5479507:5481421:1 gene:Ma10_g01920 transcript:Ma10_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSNLFRSCKPYLAMISLQFGYAGMNIISKVSLNHGMSHYVLVVYRHAFATLSIAPFALIFERNVRPKITFPIFLQIFVLGLLGPVIDQNFYYVGLRLTSPTFSCALSNMLPAMTFVLAVICRMEKLHMRKVRCQAKVAGTLVTVAGAMLMTLYKGPIMEMGWAKHADPHQGNVPAAAADGTDKDWLKGCVFVIIATLAWASLFILQAATMKKYDAPLSLTSLICFMGTLQAIAVTLIMEHKPSVWRIGFDMNLLAAAYAGIVTSSIAYYVQSLVMQDRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGVVGAVLIVVGLYSVLWGKHKDNKEKKPEAMDIPLAVKGTMKLDEDELETSKANNSVAENSVKTDKEEP >Ma04_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21980628:21983142:1 gene:Ma04_g19370 transcript:Ma04_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTITTSASASSSSVGDDHHHSFGDAAIPSPPPPTPSNQSLANSLPADADAALSRLLHQLLATPTLSRPSPRRRSPHPASPPVISFDQPLRSDLLLSAASDFGFFHLAGHGVPSDLAYSAVADSRSLLQSQWLPVNNLLSLGLNRDDCDGDSLDHDDQDRILMLDTSENGDAGGFASFPALQEFSKCLEKVGLGVVQMLSSMERGFCENPFEKRNYTPRCLLWISSHHDCSNTGKLASQTGNCKCYPYVVGLQYEMNWWRQPCYAIGDSGERICIAPIADSILVTLGDIAQVWSNGRFKKVRSRPQPPSLPFDGRDGSGCISVTVLVTIPLDSVISPLMPLSVDGCVADIGDEGDDDDDDGKRFHAFCLEEYAWRVYHERLPLKDPLLRYWM >Ma03_p02940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1988190:1998725:1 gene:Ma03_g02940 transcript:Ma03_t02940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFPDYGDFNRYIVQEVIGKGSYGLVCSAIDTHNGEKVAIKKIHDVFEHISDAARILREIKLVRLLRHPDIVDIKHIMLPPSRKDFRDIYVVFELMESDLHQVIKVNDDLTWEHYKFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTLFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSFDTISRVRNEKARRYLSSMRKKQPVPFSQKFLNADPLALKLLERLLAFDPKDRPSAEEALADPYFNGLAKLEREPSCQPIPKMEFEFERRKVSKEDIRELIFQEILEYHPQLLKEYINETEGVNFHYPSAVDQIREQFAHLEENGGKTKPVFPFDRKHVSLPRSTVVCSTAIPPKEFWASSKRRQFIDDTSEKPGETGSLPVNLASTSQLPQGIPFAKHGRVVGPKAPRRLARNHGLPPQPVPSNVSTEPPTIQHDQKGGIQQRQRGS >Ma03_p02940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1988188:1998725:1 gene:Ma03_g02940 transcript:Ma03_t02940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLADLFLNSPLIWVRGRKEEKGKEDPRVIKGLGTPEVAVKTAREANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTLFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSFDTISRVRNEKARRYLSSMRKKQPVPFSQKFLNADPLALKLLERLLAFDPKDRPSAEEALADPYFNGLAKLEREPSCQPIPKMEFEFERRKVSKEDIRELIFQEILEYHPQLLKEYINETEGVNFHYPSAVDQIREQFAHLEENGGKTKPVFPFDRKHVSLPRSTVVCSTAIPPKEFWASSKRRQFIDDTSEKPGETGSLPVNLASTSQLPQGIPFAKHGRVVGPKAPRRLARNHGLPPQPVPSNVSTEPPTIQHDQKGGIQQRQRGS >Ma03_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1988189:1998725:1 gene:Ma03_g02940 transcript:Ma03_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFPDYGDFNRYIVQEVIGKGSYGLVCSAIDTHNGEKVAIKKIHDVFEHISDAARILREIKLVRLLRHPDIVDIKHIMLPPSRKDFRDIYVVFELMESDLHQVIKVNDDLTWEHYKFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTLFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSFDTISRVRNEKARRYLSSMRKKQPVPFSQKFLNADPLALKLLERLLAFDPKDRPSAEEALADPYFNGLAKLEREPSCQPIPKMEFEFERRKVSKEDIRELIFQEILEYHPQLLKEYINETEGVNFHYPSAVDQIREQFAHLEENGGKTKPVFPFDRKHVSLPRSTVVCSTAIPPKEFWASSKRRQFIDDTSEKPGETGSLPVNLASTSQLPQGIPFAKHGRVVGPKAPRRLARNHGLPPQPVPSNVSTEPPTIQHDQKGGIQQRQRGS >Ma03_p02940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1988533:1998725:1 gene:Ma03_g02940 transcript:Ma03_t02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFPDYGDFNRYIVQEVIGKGSYGLVCSAIDTHNGEKVAIKKIHDVFEHISDAARILREIKLVRLLRHPDIVDIKHIMLPPSRKDFRDIYVVFELMESDLHQVIKVNDDLTWEHYKFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTLFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSFDTISRVRNEKARRYLSSMRKKQPVPFSQKFLNADPLALKLLERLLAFDPKDRPSAEEALADPYFNGLAKLEREPSCQPIPKMEFEFERRKVSKEDIRELIFQEILEYHPQLLKEYINETEGVNFHYPSAVDQIREQFAHLEENGGKTKPVFPFDRKHVSLPRSTVVCSTAIPPKEFWASSKRRQFIDDTSEKPGETGSLPVNLASTSQLPQGIPFAKHGRVVGPKAPRRLARNHGLPPQPVPSNVSTEPPTIQHDQKGGIQQRQRGS >Ma09_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34536448:34537577:-1 gene:Ma09_g22640 transcript:Ma09_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLGGLCSLTDLRLNSNNFNGELTDVVDGLSSCTGGAALSYLSIEGNKFNGIIPYNLGQFSGMYRLDISSNSLEGDITEAHFSQLLQLGNFGHILTAPSNWLPLCNAPFIDMSFCHIGTRFPTWIRSQTNLRSLQLSGVGLAGKVPAWFSDMSTGSIPTSNQVSTLNDPSIYVGNKDLCGTSWRCCLSNPTSCSN >Ma07_p25710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32687112:32692348:1 gene:Ma07_g25710 transcript:Ma07_t25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTDNRAVSYEAGKAFADEIGIPFLETSAKDATNVEKAFMTMAADIKNRMASQPAMNASKPTTVQMRGQPVATKSSCCS >Ma08_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10869808:10870071:1 gene:Ma08_g13760 transcript:Ma08_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLTLSYPSGSSTSAVQCGCPAFPYKVDILVLLNLCFRLYISA >Ma10_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32656212:32660521:1 gene:Ma10_g23900 transcript:Ma10_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILRTPALFLHPPAEVAARAALPAAPRGPPYFCARRRISWSLKLQLSPLAYRRLCFPKFKIFAAYGDTTEAEEIAEAVEKDDSDEETSLEDGATDGGIIDTEETVASTVLLSLQSYKEALANNDQTKVAEIESFLQSIEDEKNSLSSKVAALAEELSTEQDRILRISADFDNFRKRTERERLSLMTNVQGEVIESLLPVLDNFERAKTQIKVETEGEEKINNSYQSIYKQFLEILTSLGVETVETVGSTFDPMLHEAIMREESMEFEEGIVIQEFRKGFKLGERLLRPSMVKVSAGPGPQKAVDENVVVEDGEASESSEEGGDSE >Ma09_p29720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40096451:40098679:1 gene:Ma09_g29720 transcript:Ma09_t29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Red chlorophyll catabolite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37000) UniProtKB/Swiss-Prot;Acc:Q8LDU4] MRRSRIQAESESEMPSLPSSSFLPSPFRLLSSRRPPPRPCSSTAIAGSKRRPNRIRASMSGPPSPVAEFPYLPPSHRDLMLDLRSVVEDRLGPHLLPSAVPPDVLSFHSPSGASRGALDIRSGGRDSPVDFILESWLHCELPTGALNITTLFAFLDAATDAPHLLMEFIQSSPSSLILFTDLLPRKDLVLHPDYLDEFYQQTNLDKPRQELAKLPQVQPYCSSSLYIRSVLSPTAIAVCINCGEDGQGMMEDIMRGRLDAICKEIVRIWLDTCASSSKQLGETERANLLRRDGLIKNKTIEIDLAANLPRMFSPDVANRVVGEIQKAFKI >Ma10_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24672442:24673462:-1 gene:Ma10_g11020 transcript:Ma10_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRAEVDTTSPFRSVKEAVEIFGERFLTGNVNSHKTSSSAKLDIIRSSPIYSLPPPKPLLSANSSPPSLSSTARFIHERDDELVSLNALKKLEHELHETKCELKLLKERQSETKLAVASLCLQLQKSMSKLREIEAVEAEPSNLAIEDQPCKVRSDRWREDNIRESEYLPTLAQALSLGRMEHDLGGRGQRKLQKRKPIVPLIGGIFAKKKGATDCRNSLYTPSFYSVLS >Ma04_p33150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32939845:32940760:1 gene:Ma04_g33150 transcript:Ma04_t33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRVIVLVSFFFLVLDFAFDGLIQVVSCRFFLFMLLDSVTGCFALHPCSSSSLALY >Ma09_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7963620:7971366:-1 gene:Ma09_g11740 transcript:Ma09_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWAETGEAIRNEGKYMAILVCWLLGNGCLFSWNSMLTIEDYYVYLFPSYHPTRVLTLVYQPFALGTIAILAYHEAKINTRRRNLAGYSLFFLSSLALIVLDVATSGKGGIGVFIGVCVVSGAFGVADAHVQGGMVGDLSLMSPELIQSFLAGLAASGALTSALRLITKAAFENSQDGLRKGAMMFFAISCFFELLCVFLYAIVFPKLPIVKFYRAKAASEGSMTVSADLAAGGIQTHHAQGVEEDPKLEERLNNRQLLLQNIDYAVDIFLIYVLTLSIFPGFLSEDTGSHGLGSWYALVLIAMYNVWDLIGRYIPLLKRFKLASRKCLMAAILSRFILIPAFYFTAKYGDQGWMIVLTSFLGLSNGYLTVCVLTAAPKGYKGPEQNALGNLLVLCLLAGIFAGVTLDWLWLIGKGW >Ma09_p11740.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7963620:7971224:-1 gene:Ma09_g11740 transcript:Ma09_t11740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWAETGEAIRNEGKYMAILVCWLLGNGCLFSWNSMLTIEDYYVYLFPSYHPTRVLTLVYQPFALGTIAILAYHEAKINTRRRNLAGYSLFFLSSLALIVLDVATSGKGGIGVFIGVCVVSGAFGVADAHVQGGMVGDLSLMSPELIQSFLAGLAASGALTSALRLITKAAFENSQDGLRKGAMMFFAISCFFELLCVFLYAIVFPKLPIVKFYRAKAASEGSMTVSADLAAGGIQTHHAQGLLQVEEDPKLEERLNNRQLLLQNIDYAVDIFLIYVLTLSIFPGFLSEDTGSHGLGSWYALVLIAMYNVWDLIGRYIPLLKRFKLASRKCLMAAILSRFILIPAFYFTAKYGDQGWMIVLTSFLGLSNGYLTVCVLTAAPKGYKGPEQNALGNLLVLCLLAGIFAGVTLDWLWLIGKGW >Ma09_p11740.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7963620:7971357:-1 gene:Ma09_g11740 transcript:Ma09_t11740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVCWLLGNGCLFSWNSMLTIEDYYVYLFPSYHPTRVLTLVYQPFALGTIAILAYHEAKINTRRRNLAGYSLFFLSSLALIVLDVATSGKGGIGVFIGVCVVSGAFGVADAHVQGGMVGDLSLMSPELIQSFLAGLAASGALTSALRLITKAAFENSQDGLRKGAMMFFAISCFFELLCVFLYAIVFPKLPIVKFYRAKAASEGSMTVSADLAAGGIQTHHAQGLLQVEEDPKLEERLNNRQLLLQNIDYAVDIFLIYVLTLSIFPGFLSEDTGSHGLGSWYALVLIAMYNVWDLIGRYIPLLKRFKLASRKCLMAAILSRFILIPAFYFTAKYGDQGWMIVLTSFLGLSNGYLTVCVLTAAPKGYKGPEQNALGNLLVLCLLAGIFAGVTLDWLWLIGKGW >Ma09_p11740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7963620:7971224:-1 gene:Ma09_g11740 transcript:Ma09_t11740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWAETGEAIRNEGKYMAILVCWLLGNGCLFSWNSMLTIEDYYVYLFPSYHPTRVLTLVYQPFALGTIAILAYHEAKINTRRRNLAGYSLFFLSSLALIVLDVATSGKGGIGVFIGVCVVSGAFGVADAHVQGGMVGDLSLMSPELIQSFLAGLAASGALTSALRLITKAAFENSQDGLRKGAMMFFAISCFFELLCVFLYAIVFPKLPIVKFYRAKAASEGSMTVSADLAAGGIQTHHAQGLLQVEEDPKLEERLNNRQLLLQNIDYAVDIFLIYVLTLSIFPGFLSEDTGSHGLGSWYALVLIAMYNVWDLIGRYIPLLKRFKLASRKCLMAAILSRFILIPAFYFTAKYGDQGWMIVLTSFLGLSNGYLTVCVLTAAPKGYKGPEQNALGNLLVLCLLAGIFAGVTLDWLWLIGKGW >Ma09_p11740.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7963620:7971366:-1 gene:Ma09_g11740 transcript:Ma09_t11740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWAETGEAIRNEGKYMAILVCWLLGNGCLFSWNSMLTIEDYYVYLFPSYHPTRVLTLVYQPFALGTIAILAYHEAKINTRRRNLAGYSLFFLSSLALIVLDVATSGKGGIGVFIGVCVVSGAFGVADAHVQGGMVGDLSLMSPELIQSFLAGLAASGALTSALRLITKAAFENSQDGLRKGAMMFFAISCFFELLCVFLYAIVFPKLPIVKFYRAKAASEGSMTVSADLAAGGIQTHHAQGLLQVEEDPKLEERLNNRQLLLQNIDYAVDIFLIYVLTLSIFPGFLSEDTGSHGLGSWYALVLIAMYNVWDLIGRYIPLLKRFKLASRKCLMAAILSRFILIPAFYFTAKYGDQGWMIVLTSFLGLSNGYLTVCVLTAAPKGYKGPEQNALGNLLVLCLLAGIFAGVTLDWLWLIGKGW >Ma09_p11740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7963620:7971357:-1 gene:Ma09_g11740 transcript:Ma09_t11740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWAETGEAIRNEGKYMAILVCWLLGNGCLFSWNSMLTIEDYYVYLFPSYHPTRVLTLVYQPFALGTIAILAYHEAKINTRRRNLAGYSLFFLSSLALIVLDVATSGKGGIGVFIGVCVVSGAFGVADAHVQGGMVGDLSLMSPELIQSFLAGLAASGALTSALRLITKAAFENSQDGLRKGAMMFFAISCFFELLCVFLYAIVFPKLPIVKFYRAKAASEGSMTVSADLAAGGIQTHHAQGLLQVEEDPKLEERLNNRQLLLQNIDYAVDIFLIYVLTLSIFPGFLSEDTGSHGLGSWYALVLIAMYNVWDLIGRYIPLLKRFKLASRKCLMAAILSRFILIPAFYFTAKYGDQGWMIVLTSFLGLSNGYLTVCVLTAAPKGYKGPEQNALGNLLVLCLLAGIFAGVTLDWLWLIGKGW >Ma07_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11265912:11269197:-1 gene:Ma07_g15010 transcript:Ma07_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSGLRELSGMMSGLSYDDFYSDQNIFRAIGLGESQPFLGHVNYVDLAQMSSLTNQSSYPTSISTSVEGDNPEDSDIFYSDIALNYISRMLLEEDIDEKVSTYKEDSALRAAVKPFYDILGQKYPPSPDRPLFDGHHCSGSPDETSNDRYGNLYRGGASSSSRVADNSSVCDSSDYQQSLTCPGSVDYSPQTPSSSYNSISSIEEPFNNVTISPNLFFGSMPTWHFKRGVEEARKFLPSNDKLFINLESNGVSSVQIPKRDGKLCNSKGKVEKTISSNGSRVRKNPNREDLDLTEGRNNKQSAVSSDGEVRSEMFDMVLLYQGDKCSKKMSDLREAMQNEASKSAQNVPTKGSSGGKTRRKKQTKKEVVDLRTLLILCAQAVAVDDRRTANELLKQIRQHSSPNGDGSQRLAHCFADGLEARLAGMGSQIYQALVAKRTTATDILKAYHLYLAACPFKRISHFFSNQTILNLAEKASKVHIIDFGIYFGFQWPCLIQRLATREGGPPKLRITGIDVPQPGFRPTERIEETGQRLAAYAKGFNVPFEYQAIASKWESIRVEDLHIAKDEVVVVNCLYRFRNLIDETVIVDSPRNRVLNTIRKMNPDAFIHGVVNGSYSAPFFVTRFREALFHFSALFDMLETNVPRDDEQRLLIEKDLFGREALNVIACEGSERVERPETYKQWQVRNLRAGFVQLPLDPDIMKKANDKVKSCYHKDFVIDEDSRWLIQGWKGRITYAISAWKPTEA >Ma04_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7920653:7921202:1 gene:Ma04_g11260 transcript:Ma04_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEREEHGDQIRRTDECGDAAREVIAGSMEHHGASLSEMLRRSGGSGSNSVGRFTSKAFASSSSCPSSYV >Ma10_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33381392:33382770:1 gene:Ma10_g25070 transcript:Ma10_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDRRMAALSPSHAAGLRRLSARAAATVHASSSRRLGLLSLRPLAEAVLAHLRAAFVPLRPGLSEAELARLEADLAFCFPPDLRAILALAVPSGSGFPDWRAPSPTLLRLPVAAATVQVASGALWPRSWGRRPADPSRAIRRARAALCRAPLLLPLFGRCYIPCFPSLAGNPVFYVDEARVFCCALDLADFFQRHSAVLRYPEPSSHLRILHATSAAGISPRWIEFWSDAATDHRRRNSSSSSSSSSASETASSSSSSPPPDTERFLEIRARKLPEWVRSYLDGIGSVLRQGGWSESDIREMVHVPALGIFNGGDEPAAAAGPIDADAVLDAVLIKADRCSDLLRRAGWSPDEVSDALGLDIGQRQGRDLRPPVKLPPSIAHKVEKLVEAVTRT >Ma10_p15640.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27673381:27679008:-1 gene:Ma10_g15640 transcript:Ma10_t15640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPIISSEITNAKSRKKKPLKQMSSAVQIKREHGGENEGTHLFKKPQKVSKKGHKDGVPSSFQQIDRAALDSLADPSGSGDEYRALRHKYLLLEEESFSLDSQLSEADAEVKTLENEKLALLDQLVVLEGLLDPSEIKHEGRL >Ma10_p15640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27673381:27678831:-1 gene:Ma10_g15640 transcript:Ma10_t15640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPIISSEITNAKSRKKKPLKQMSSAVQIKREHGGENEGTHLFKKPQKVSKKGHKDGVPSSFQQIDRAALDSLADPSGSGDEYRALRHKYLLLEEESFSLDSQLSEADAEVKTLENEKLALLDQLVVLEGLLDPSEIKHEGRL >Ma10_p15640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27673381:27679014:-1 gene:Ma10_g15640 transcript:Ma10_t15640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPIISSEITNAKSRKKKPLKQMSSAVQIKREHGGENEGTHLFKKPQKVSKKGHKDGVPSSFQQIDRAALDSLADPSGSGDEYRALRHKYLLLEEESFSLDSQLSEADAEVKTLENEKLALLDQLVVLEGLLDPSEIKHEGRL >Ma10_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27673381:27679008:-1 gene:Ma10_g15640 transcript:Ma10_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPIISSEITNAKSRKKKPLKQMSSAVQIKREHGGENEGTHLFKKPQKVSKKGHKDGVPSSFQQIDRAALDSLADPSGSGDEYRALRHKYLLLEEESFSLDSQLSEADAEVKTLENEKLALLDQLVVLEGLLDPSEIKHEGRL >Ma10_p15640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27673381:27678831:-1 gene:Ma10_g15640 transcript:Ma10_t15640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPPIISSEITNAKSRKKKPLKQMSSAVQIKREHGGENEGTHLFKKPQKVSKKGHKDGVPSSFQQIDRAALDSLADPSGSGDEYRALRHKYLLLEEESFSLDSQLSEADAEVKTLENEKLALLDQLVVLEGLLDPSEIKHEGRL >Ma01_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2567503:2581602:-1 gene:Ma01_g03900 transcript:Ma01_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPMAVACCVKLPGLLCALLLSVLSVLCFLSHASDTIVPDQPLRDGESLISAGGIFELGFFSPGKSSNRYVGIWYHNFSTNTVLWVANRQNPVKDTTGVLAIAADGNLVILDGGNSILWSSNALTASNDSVLKLTDDGNLVLNNSGSVGWQSFDYPTDTYMPGMKVGLDLRTKVSQKLTSWASEFDPAPGNFSLSMDPRESTQIFMWEGTKPRWRSGRWNGQVFIGIQGMVAQYIYGFKLNNFVQEQKMYFYYDAFNSSHRYVLTWNGIERHMIWKNDTNDWYQYWAQPITPCEVYNQCGKNAACTDSATPICSCLHGFVPASSEEWDGGNWSSGCVRRTALGCRMNNSSGDGGGDGFQTLQGVKLPDISDWNTDVGADSSECQDRCLRNCSCTAYAVVTGIGCLIWGVDLLDIQVFSIGGNDLFLRLASSELDDNKKNTAVLIVTVVLAVILSLGLIFLLWKCRRRIRNLFRRPINEEGLSMFSAGGGSRDRSGSVRVNYESNDESLSELQLWSFDFVQSATKSFSDSNLVGEGGFGPVYKGLLPEGQEIAVKRLSRSSGQGIEEFKNEMVLISKLQHRNLVRLLGCCIHEQERILIYEYMPNKSLDAFLYDQTKKNLLDWTTRYNIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGNDDNETNTQRVVGTFGYMAPEYAMQGLFSVKSDVYSFGVLLLEILSGKRNSTYHHPELGINLIALAWKLWNEDRMMEFVDPVLAQSCTSKQLVRCINVGLLCVQDRPIDRPSMASVVVMLESETSVPALPRQPTFTTDRSFSETDSSTIDLKAMSVNDSITMLTGRYQTPGNTRRDSAKANVYGAVIKDLLVHQKRRRASAFAGRVKAMEIILMPFLVFFLLQGAVPVHGQTGDRVTPGSSPIVDGRSLVSAGGIFELSFFAPGDANKRFLGIRFKNIEEKTVVWIANRDAPLEDDHGALNLTDDGNLSLLSGTGVVLWSTNTSNAIGPVLQLLDSGNLVLTGGASNNTLWQSFDHPTDTILAGMNIGFDYSRNLDMYLTSWKSDSDPSPGEYTYKMETRGVAQLVLWKGSTEMYRSGPWTGKGFSGRPNMSPNGLFNFQYTDNQSGLYYAFQVLNATVLGRATLDHNGKFQRFMWTEGSSDWSLFWEVPDGQCDQYASCGINGICTMLYSSSCNCLEGFVPKSPAEWSLRQYSDGCVRGTPLNCSTDGFRQVQNVKLPDTLNASATNRTLDECSDWCRNNCSCTAYAVFEQSKCVTWFGDLIDVRIFADGEDVLHVRLAASELEFLNGDSGKKKTMKIVSITLPIGILLLVCLCLVLWLKCRRRTQGKRKSFQSKRDEEDELELPLFDILTIRTATNDFSNENIIGEGGFGPVYKGQLEDGVEIAVKRLSKDSVQGLHEFKTEVMLIAKLQHKNLVRLIGCCTEEDERILVYEYLNNKSLDAFIFDKTRGGLLDWQKRLEIITGIARGLVYLHHDSRLKIIHRDLKTSNILLDNEMNPKISDFGTARIFNVDQIEENTTRVVGTYGYMSPEYAMEGIFSEKSDVFSFGVMVLEILSGKRIRTISPSEPCKNLLQHAWRLWKEGRCLELVDDALEDSYSTSKVTRFIQVGLLCVQEGSDDRPTMEDVFLMLSSEDVMLPQPSRPAFYTASAFQKDWTSINVIRITKIEEGR >Ma07_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4309469:4321961:-1 gene:Ma07_g05970 transcript:Ma07_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCILNSAGNSSGPSVGASSIVNDANSALSGTQLQRSVSINNEPCMRLPASPMSFSSNSTSDSWVMDGSIMQQSLGSEHARKRAASSAISEIIAQAPDDSVHISKKARLDLKDDIMQRMVIQQLLQGSEPEPELHGHQNPQLHAMLQQQRLTQLQQHMMQSFPQTQQAPITFQQQQQQRNHLQQRPVEVAAPANHNFDVKKGSCRVMQYLYHQRHRPADNSILYWRKFVAEYFAPPAKKRWCLSSYNNVGNHALGVFLQSAKGTWNCDLCVVNSGKGFEVTFGILPRFFQIKFESGLVDENLFLGMPRECHLSKGFIVMEYDKVALESVYEHLRIVREGILRVIFTPELKILSWDFCVQRHEEFLPRRVLAPQVDQLLKVAQKYQTSVNKNGSARVPHQELQACCNLFTKAGHQLTRNLELQSMNELGLSRKYVRFIQISEVVNSMKDLFDFSKEHYSGPIESLKNYTTQAAAYLQRQKLQEMDQSVAVHSLPSDQSMLKRHGRSSRVRQSYEQVGVQTCPFMTTERARDQTY >Ma11_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2926948:2931523:1 gene:Ma11_g03830 transcript:Ma11_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQLLIFTRGGLILWELGSSLKGSPIDALIRSCLLEERSADAAFHYDAPGAAGGAAYTLKWVFDNDLGLVFVAVYQRIFHLLYVDDLLAAVRREFSRIHDPSRTSYDDFNETFRQLQKEAEARAEEMRKSKQAARVPTAPKKQTSGSGKQRNSGSSGNTSGSGKDESDGDSGKGRWLANGSSNGQGNESKDNSRAPSGFLKGKETGAREVGAFDVNKLQKLRSKGGKKTDAGGVGSKATKAEPKKNVKKNRVWDDSPSESRLDFTNPVDERGEEPLEVVEADRGESMMDKEEIVSSESESEEDEEVENEKPCTKKKGWFSSMFQSIAGNAVLEKSDLKPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRVSSTVQTAMEEALLRILTPKRSIDILRDVHVAKEHGRPYVVVFVGVNGVGKSTNLAKVAYWLLQHEVSVMLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEAKSNNSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLTKFNQKLADLSTISNARLIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Ma09_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36501688:36505105:1 gene:Ma09_g24870 transcript:Ma09_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGWNDQSLLTLAGELLNSTVSFLVFSFLDVLDVVLCVVYKLIDYAMEAEWKPCYCSSSNNMITSSDSFMVSVNRGPKIVRLCSTKLQLEDVSDTLYSRHSRVSEFSRKAAVAVAASTSMSVRSPATTSFAVGSNIMEMLKGKIERRLPQPIPLWSDCDCKICNSWSRSTSSTSQLYVHAEGPADGRTTTNEDVLFIHGFISSSTFWTETVFQEFTAETRSRHRLFAVDLLGFGRSPKPADSLYTLREHIDMIEKSVLERYDVRSFHIVAHSLGCIIALAMAVRHPNAVKSLTLLAPPYFPVPRGEEPSQFIMRQVAPRKVWPAIAFGASMACWYEHVSRTICLLICKNHRLWDCLFKFFSGNRMRTFMIEVFMCHTHNAAWHTLHNVICASAEKMESYLETVREKLRCEVRVFHGGNDELLPVDCSYDLAARIPRARVKVFEKKDHVTIIVGQQRAFARELEEIWREAGK >Ma07_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:782022:791982:1 gene:Ma07_g01010 transcript:Ma07_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQSLRSFDAFPRAEEHLLKKTHSGAVVSIIGLAVMGTLFVHELKYYLTTYTVHQMSVDLKRGETLPIYINMTFPSLPCEVLSVDAIDMSGKHEVDLDTNIWKLRLNKEGQITGTEYLSDLVEKEHSAHKHDDSDNHDDSSEKKHEDTFGSDAEKMIENVKRAISNGEGCRVYGVLDVQRVAGNFHISIHGLNIFVAQQIFGGAKLVNVSHVIHDLSFGPSYPGIHNPLDGTTRMLHDTSGTFKYYIKIVPTEYRYLSKEVLPTNQFSVTEYYVPIRVTDRSWPAVYFLYDLSPITVTIKEERRSFLHFLTRLCAVLGGTFALTGMLDRWTYRIVEAVTKSKSRSVLR >Ma06_p36270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35757436:35760739:-1 gene:Ma06_g36270 transcript:Ma06_t36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFESFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPAIVHRKCF >Ma11_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:290243:291131:1 gene:Ma11_g00420 transcript:Ma11_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPSTKSALTQIPLLSTRAGPREGSAWTQRLKEEYRALIAYTTMNKSHDNDWFRISAANPEDTHWSGTCWYVHNLRRYEFQVQFDIPVTYPATAPEIELPQLDGKTHKMYRGGKICLTVHFKPLWAKNCPTFGIAHALCLGLAPWLAAEVPILVDSGVLKHKDDEPSLAGS >Ma11_p00420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:290206:292497:1 gene:Ma11_g00420 transcript:Ma11_t00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPSTKSALTQIPLLSTRAGPREGSAWTQRLKEEYRALIAYTTMNKSHDNDWFRISAANPEDTHWSGTCWYVHNLRRYEFQVQFDIPVTYPATAPEIELPQLDGKTHKMYRGGKICLTVHFKPLWAKNCPTFGIAHALCLGLAPWLAAEVPILVDSGVLKHKDDEPSLAGS >Ma08_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:385498:395750:-1 gene:Ma08_g00430 transcript:Ma08_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGVASPSNRRLPSSLSCRLPSHPFTQIVTVRFLSPVPPPRCLRISARRPLTVRSGGGGGVGGRDGGGGGGGGGDGGEDGDSGRKNRSEALLVLAEAGRTLESLPNDLAASIESGRIPGSIVQRFFDLEKSPVFRWLLQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEWERRRENFIKELDFVIADVVMAIVADFMLVWLPAPTVSLRPPLQFNAGPIAKFFYSCPDNAFQVALAGTSYSLLQRVGAIVRNGSKLFVVGTSASLIGTGITNALIKTRKAVDKEFAGEAEDVPIVSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHNQKLLLSASCFAVRTGNTFLGSLLWVDYARWVGVQKIRE >Ma07_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31715021:31722108:1 gene:Ma07_g24320 transcript:Ma07_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLGPSRQVTKRRARDDIRAKMVLGVDLNTPPLEGHEQEASSGSRHPPVSQRTSVSITRCNHPTGQQQGSLLSTNYGTNSLLIDVDAIEDEVQLLSSSRGFPQGRNCSRRNQPVTVVLDEDTDTHSRRSEGLVTMLSLDNHNKHERSSRNTTVINCEAYPDLEKEDNVKGKNVMEYRPEPPKQPTFTCPICMNTLVEASSTICGHIFCQSCIKASIQFQKKCPTCRRKLTMNNFHKVYLPTTD >Ma03_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6199491:6224428:-1 gene:Ma03_g08490 transcript:Ma03_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) UniProtKB/TrEMBL;Acc:A0A1I9LRY1] MSPHRLIPQDSDDKIFLTGATSHAKENGFVDGIHDQDSDKAIHENMYEPPIKATDDHLVEFSEAMRTVAKALRRAAEAKAAAQVEAAEWKRKYELERASNLRNSHEGATFEGSLCNSGEGRLNNSCDVTKVDNPSIEQSERCCRKHGICSHEILQDEATNTNYKFAGRKVTRKASFRLSWGCNGYNSGQQKHEVVSFERGDITTAERSSKQILLKWETHPQTVLILTKPNSNSVRILCAEMVRWLKNHKKIDVFVEPRVRTELSKEASCFEFVQTWENDEEIKHLHSKVDLVVTLGGDGTVLWAASLFKGPVPPLVPFSLGSLGFMTPFQSENYRDCLDMILKGPFSITLRHRLQCHVIREAAKDELDTEEPILVLNEVTIDRGMSSYLTFLECYCDSSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLIFPEYVTLRVQVPFNSRGHAWASFDGKGRKQLAPGDALICSMATWPVPTACLVDSTNDFLRSIHEGLHWNLRKSQSFDGPRDG >Ma07_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28278896:28299030:-1 gene:Ma07_g20410 transcript:Ma07_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHGDLGLSGPAVLVLLLLGPAFALVVRRRWRLAAARQAEVRRLVRLAAEETARAEMEAMVAYSAASAAAAAAAAAATATATATASVATIAKDTSAWPECPVCFSPATARCARCKAVRYCSGKCQIIHWRQGHKDECHPPPVSDHHGGESNISGLEGEQADCSGLPKRDLEHKEELSTKAAEAFSKRPAASDPTFSSSGFNREDKHEESNSMNVSGKESTSGSLEHSLGSTSGSLVPGHSTFSHSVGTPDEALSSDKLLPIHDDRVGESSSFDIPTNSLRTAVNTSYENPTNPATPELAISNSPTNNACCSSDIKKASASETEADESRLRGLSGSKATSSLDHTNTEHSEVASEGEITPLRVSNGIHLRTSSKSENSYASASLEPKSQSEHKEALTSNMKTSGPVANGTNTQLQSLKSRTLGSLASVSDQLLSNGGAHPVVLYKYSKVGNAPKKPNGAPATFDSLQNGMSTSDTRVVKEVTSKISRHYSSELMLFPYDRFIKLYNSDKIELRPCGLINCGNSCYANVVLQCLTFTRPLTAYLLEGLHSRICPKKEWCFTCEFESLVMLVKNGRSPLSPIGILSHLHNIGSNFGHGQEEDAHEFLRYAIDCMQSACLKEARKKPDGLLADETTLIQQIFGGYLRSKIRCSRCKGKSERCERMMDVTVEIDGNIATLDEALLRFTSIEILDGENKYECDRCKSYERAKKRLTILEAPNVLTIVLKRFQSGKFGKLNKDVRFPEYLNLAPYMSGDDKSPVYRLYAVIVHIDVMNASFSGHYVCYVKDTQGKWYETNDSEVKPMELDKVLSKGAYMLLYARCSPRAPSSVRKAMAQDLPHAKKPRSKEIKGKPGGASVAHYLYPPRIIGDQSSSHSSDMLSERLRLPLIDSSSDSSSLFDEGSSCSTESTRDSTSFEEYWEHMSGESDSINLNSPLRIFEDSDGFAHSPRGSVRSSKAVLNGSLPDLPSSNDSGSNASCSGRETNQVEAERYDRIKHDSNGNPSSLYSDEREHCINSTEHTRTLVTDWISPNNVKSGILLRRPTSERTAQTFY >Ma03_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:924314:926362:1 gene:Ma03_g01230 transcript:Ma03_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGTEDGLLFFYDESITADTPLLEEAKDFDERHAFKVDESFVAESPLEDHEGLGLEHGDVAAIVDLKPSNHPPSIISNGPLKDEDTGGDALHSNEDEKQQELSKKEKLFIVDRTHSVDSKRLQLEEDTFGGSLTGASTSKSSMEWRSSTIFRDSETECPFSSSSRRSSSNWETYTLFRKYDEEMMFFDRISAQKLTETELFRSMKFQPRSTSQRIAHIFTTHKNRGSRDPYQELEGAYVSQICLAWEALNWNYTNFRRRIAKGSDSESSSCTAWIAQQFQQFQVLLQRFIENEPYERGRRPQVFAWKRISSPKLLQVPEFRDTEADEGKEEMISSTEFLAILEDAIRTFMNFLKADTTNPCQMLKAFFKTKPSSVDPNLLRLLKRTNKKNKTRLKDLSKRRRCLTKKKRKGEEDMEILMGLIDMKIVSRVLRMPEISQEQLRWCEEKMSKVKVWDGKIQRDSSPLFFFPVR >Ma02_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26068489:26071463:1 gene:Ma02_g20000 transcript:Ma02_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFGVFPLPTPSADEGAGTERQIEEQPSVPGTLQPADGAGGGGSEEPPHVLDVARFRRLNWLIHRENVDVNELLRLVEQDNHVNLMNDPLLSVVIACKKPKLAVLLIAKISDSTLKADNYNGDTALHVAAAMDDKEVALELIRRVPNLVHVRNVKQEIPLHKAAMYGLQDMFWLLVEKSSSPEARREDGATMLHCAIMGNAPVLALQIAQYYPSQIESRNEHALTPLQLLVTIPEAFRSQVVLGVLDSFIYDWIPLEEDSSKMKETDEEVAPNISRIGVAQDDDGDHSPKTFRSKFPSNYSTLFDLLELTSIPAGWIQRFAYNIIWQFSPRVQRLERKKKNHTETMHLIEYLAQAGYFDFFVRGKDPTQGSILGPLDSPPETGKEGDAQKPDTEPTTAVKELIKRMTDKLYKLVSEEPETPVSTAIKEAAQSVEKVLKALSPSSEDRWNEPPLILGAQMGLHDFVGKILQVCPQSATYLDTKGRNVLRVAIESGNRETVETIRRMTQGDNPILPSWLLSSIDSKTRNTILHFASEKVGDTGDDAVQMQDEIRWFEMVKEMVPRELVYSRNTDEKTAREIFTESHKEMFKNCKSQLMEMGKTCSGLVAAVVFASSFSIPGEKDSGTGNPMYFNRLAFKVFTHAYVIGLSCAATSLVLFLSLIISPYKEQQFRRAIPTKYFFACFSFGLALMALLVAFTCNIFLQIYGGQTTQAKDILQLVLELAVFPTVCFIVLLYRGANFGPSFFRRVRS >Ma08_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32518306:32519466:1 gene:Ma08_g18840 transcript:Ma08_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALYQSEEPLGAAIADALRAGAIRSRDELFITSKLWCSDAHPHLVLSALQKTLRNLQLEYLDLYLVHWPVSTRPGKYELPPAKEDLLPIDMSEVWRAMEECHALGLTKSIGVSNLSSKKLETLLSVAKIPPAVNQVEVNPLWQQQKLREFCVAKGIQVCAYSPLGAKGTHWGQNWVMDCGVLKEIAAAKGKTLAQICLRWVYEQGDCVLVKSFNEERMVENLDILDWELSDEDKHKIGQIPQRKGFPGYDFVSDDGPYKSTEELWDGEIK >Ma09_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36515797:36521970:1 gene:Ma09_g24900 transcript:Ma09_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEELTEEQVASMREAFTLFDTDGDGRIASSELGILMRSLGGNPTQAQLKEIAASEGLTAPFDFPRFLDLMRKHLRPEPFDRQLRDAFRVLDKDGTGTVAVADLRHVLTSIGEKLEPNEFDEWIREVEVAPDGTIRYEDFILRMVAK >Ma08_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2236899:2239290:1 gene:Ma08_g02990 transcript:Ma08_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAAELENLMTVVANPRQFKIPDWFLNRKKDYKDGRYSQIVSNSLDMKLRDDLERLKKIRNHRGLRHFWGLRVRGQHTKTTGRRGKTVGVSKKR >Ma03_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7209089:7209997:-1 gene:Ma03_g09680 transcript:Ma03_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNVDMLSSPRSSCSPDWSSQNATLSSLTRRKCTRHARMKTSCPHLGPIHPSAPFDLCPPPPHLYSRNALRDPNVTAFFRFTATWLSSPSWAFGSSSESCVEEALPKR >Ma08_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36244134:36250299:-1 gene:Ma08_g22730 transcript:Ma08_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGQVLVEIQPQELKFAFELKKQSSCSIQLENKSKDYVAFKVKTTSPKRYCVRPNIGILLPRSTCDFTVTMQAPKEAPPDMQLKDKFLVQSTVVPYGTTDEDIVPSFFSKENGRYIQENKLRVVLVSPPHSPALEPINVALRQEPANEIPDSAVTCIPIDGVSQQEPANEVPILRNISVSDNVSVKQEPVQEITISKDTSIPNEQALSGVAEITPSHVGKDIDDLKLKLNNIEVKLNEAEKTIMSLRKENSAAVQESEKLQQEIALLRKKSAVRIQAGFPFLFVVFLALVGMTLGYLLHS >Ma08_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15292768:15296989:-1 gene:Ma08_g15250 transcript:Ma08_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILEALVEILRRPTVADVAVELAAFVAPLWIAVFAGLLLGWAWRPGWAAGLSGAVKTESAPSLGPHSAAPSMKAVEERRSEKLAVTEEDLQHLFRLVEVTDGGPEWHKMMDKSLPNMRYQAWRRDPETGPPQYRSSTLYEDVTPEIVRDFFWDDEFRIKNGWDDMLLEHTTLEECPTTGTMVVQWVRKFPFFCSNREYIIGRRIWESGRTYYCITKGVPRPAVPRRNKPRRVDLYYSSWCIRAAESRRDGRMTACEVLLFHHEDMGIPWEIAKLGVRQGMWSCVRKIEPGLCAYQLARRSNEPLSRFAFMAQINTKFDADKLRSFETITDLSGNVVEAEKQKNWTSSIPKFLVVGGAVAIACTLDHGLLTKAVIFGVARRFANIGKRL >Ma10_p02810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9671110:9674922:1 gene:Ma10_g02810 transcript:Ma10_t02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S16-2, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G56940) UniProtKB/Swiss-Prot;Acc:Q9LTS6] MVVRIRLSRFGCKNRPFYRVMAADSRSKRDGRHLEVLGYYNPLPGQDGGKRMGLNFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLALSRKGGPRDTRPIDPMSGRYLTPKDPETDLQPEGSEDQEREAAD >Ma10_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9671116:9674922:1 gene:Ma10_g02810 transcript:Ma10_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S16-2, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G56940) UniProtKB/Swiss-Prot;Acc:Q9LTS6] MVVRIRLSRFGCKNRPFYRVMAADSRSKRDGRHLEVLGYYNPLPGQDGGKRMGLNFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLALSRKGGPRDTRPIDPMSGRYLTPKDPETDLQPEGSEDQEREAAD >Ma06_p08380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5931898:5941503:-1 gene:Ma06_g08380 transcript:Ma06_t08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSLERGDRGNPSPVVALKSAKPALGAESPTRNSSSKRLIQAPAILPDSDAAAACREAAEESAAVVEGGDGRGVNGAVAGVLWKWVNFGKGWRSRWFVLQDGVLSYYKVRGPDRILMGPVASEAGVRVIGEESLRRVRKEQQWGNVGFARQGATKHWKPFGEVHLKVSSIRTSKSDDKRLYIFTGTKTLHLRCNSREDRTTWIEALFSAKEHFARLLTSNEFEPMVEVTVSTEKLRERLLLERLSEATVRECESIMLSEISELQNKLKSLQQKHLILLDTLSKLETEKVELETTVVDETKEREAHLGLVNGRFSDFYSVISEGTATDSEADNESQGADVETDEDDGMYFDTRDFLSSELLRSGSYRSRELMGNGVAGSTFGIESSFPDSTQDIGLGVRTLEYPYVKRRNKLPEPKEKEKPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSDLVDRALEWGRQGNSLMRILHIAAFAVSGYASTEGRQCKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMVVACHCEGRGWNFWGDSNLKGKFWGRSIQLDPIGILTLQFEDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGNKVAMLMGKWDEAIYYVLGDPSTKPKGYDPMSEAVLLWERDKSVTQTRYNLTPFAISLNELRPSLMEKLPPTDSRLRPDQRHLENGEYELANLEKLRLEQLQRQARRLQEKGWQPRWFKKDGDDDCYRYMGGYWEARESGNWEGIPDIFGQGDTPLGTD >Ma06_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5931898:5940883:-1 gene:Ma06_g08380 transcript:Ma06_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVASEAGVRVIGEESLRRVRKEQQWGNVGFARQGATKHWKPFGEVHLKTEKVELETTVVDETKEREAHLGLVNGRFSDFYSVISEGTATDSEADNESQGADVETDEDDGMYFDTRDFLSSELLRSGSYRSRELMGNGVAGSTFGIESSFPDSTQDIGLGVRTLEYPYVKRRNKLPEPKEKEKPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSDLVDRALEWGRQGNSLMRILHIAAFAVSGYASTEGRQCKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMVVACHCEGRGWNFWGDSNLKGKFWGRSIQLDPIGILTLQFEDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGNKVAMLMGKWDEAIYYVLGDPSTKPKGYDPMSEAVLLWERDKSVTQTRYNLTPFAISLNELRPSLMEKLPPTDSRLRPDQRHLENGEYELANLEKLRLEQLQRQARRLQEKGWQPRWFKKDGDDDCYRYMGGYWEARESGNWEGIPDIFGQGDTPLGTD >Ma05_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26538719:26540104:1 gene:Ma05_g19150 transcript:Ma05_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYMVYERRRFTKDKERYFKEHGGWLLLEEIKGKQGVAFKIFTNQELEQATDRFDDNRVLGRGGYGTVYKGVLEDNQIVAVKKPKMINEISNNEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFVTNGTLFHLIHEHNRTSPIPLGTRLRIAYESADALAYLHSSASPPIIHGDVKPSNILLDENYMAKVSDFGASKLVPKDEDQFATLVQGTCGYLDPEYLQTCQLTDKSDVYSFGVVLLELLTGKKALCFEGSEEERSLASNFIAAMNENRLLEILDNQVKSEGDMELIQEISELAKQCLNVRGEERPTMKEVATELDKLRKSKLHPWIPHNAEETESLLGESSNDHGLNYNGIDTTTSYTTEKRLALDIEYGR >Ma05_p28700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39617022:39623745:-1 gene:Ma05_g28700 transcript:Ma05_t28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSPKGDLPLRDFYIPDYIFTPESEKEDVPEKPTCPVIVFVNSKSGGQLGGDLIKTYRQLLNRVQVFDLGEEAPDKVLHRLYANFEKLKSDGDKLAAEIERTLRLIVAGGDGTAGWLLGVVCDLKLAQPPPIATVPLGTGNNLPFSFGWGKKNPGTNHQSVKYFLDQVKKAKEMQIDSWHIVMRMRDPKEGTCDPIAPLELPHSLHAFHRVSRSDSLNLEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQIINQTTYAKLGATQGWFFASLFHPSSRNIAQLANVKIMRRLGHWEDLHIPRSIRSIVCLNLPSFSGGLNPWGTPNQKKARDRDLTPPYVDDGLLEVVGFRDAWHGLVLFTPNGHGTRLAQARRIRFEFHKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISHLRQVKMLATHNCISKSIDDPLTPSSASHHDDGDSSPESEDEWEGGRRKFGAAETFKIPEDTDIAHVS >Ma05_p28700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39617022:39623794:-1 gene:Ma05_g28700 transcript:Ma05_t28700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSPSLPRPPRLLRLEPGKEAESSGGPPFTLEISGKSFSSWSSGMEGDSPKGDLPLRDFYIPDYIFTPESEKEDVPEKPTCPVIVFVNSKSGGQLGGDLIKTYRQLLNRVQVFDLGEEAPDKVLHRLYANFEKLKSDGDKLAAEIERTLRLIVAGGDGTAGWLLGVVCDLKLAQPPPIATVPLGTGNNLPFSFGWGKKNPGTNHQSVKYFLDQVKKAKEMQIDSWHIVMRMRDPKEGTCDPIAPLELPHSLHAFHRVSRSDSLNLEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQIINQTTYAKLGATQGWFFASLFHPSSRNIAQLANVKIMRRLGHWEDLHIPRSIRSIVCLNLPSFSGGLNPWGTPNQKKARDRDLTPPYVDDGLLEVVGFRDAWHGLVLFTPNGHGTRLAQARRIRFEFHKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISHLRQVKMLATHNCISKSIDDPLTPSSASHHDDGDSSPESEDEWEGGRRKFGAAETFKIPEDTDIAHVS >Ma05_p28700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39617022:39623769:-1 gene:Ma05_g28700 transcript:Ma05_t28700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSPKGDLPLRDFYIPDYIFTPESEKEDVPEKPTCPVIVFVNSKSGGQLGGDLIKTYRQLLNRVQVFDLGEEAPDKVLHRLYANFEKLKSDGDKLAAEIERTLRLIVAGGDGTAGWLLGVVCDLKLAQPPPIATVPLGTGNNLPFSFGWGKKNPGTNHQSVKYFLDQVKKAKEMQIDSWHIVMRMRDPKEGTCDPIAPLELPHSLHAFHRVSRSDSLNLEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQIINQTTYAKLGATQGWFFASLFHPSSRNIAQLANVKIMRRLGHWEDLHIPRSIRSIVCLNLPSFSGGLNPWGTPNQKKARDRDLTPPYVDDGLLEVVGFRDAWHGLVLFTPNGHGTRLAQARRIRFEFHKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISHLRQVKMLATHNCISKSIDDPLTPSSASHHDDGDSSPESEDEWEGGRRKFGAAETFKIPEDTDIAHVS >Ma05_p28700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39617022:39623609:-1 gene:Ma05_g28700 transcript:Ma05_t28700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSPKGDLPLRDFYIPDYIFTPESEKEDVPEKPTCPVIVFVNSKSGGQLGGDLIKTYRQLLNRVQVFDLGEEAPDKVLHRLYANFEKLKSDGDKLAAEIERTLRLIVAGGDGTAGWLLGVVCDLKLAQPPPIATVPLGTGNNLPFSFGWGKKNPGTNHQSVKYFLDQVKKAKEMQIDSWHIVMRMRDPKEGTCDPIAPLELPHSLHAFHRVSRSDSLNLEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQIINQTTYAKLGATQGWFFASLFHPSSRNIAQLANVKIMRRLGHWEDLHIPRSIRSIVCLNLPSFSGGLNPWGTPNQKKARDRDLTPPYVDDGLLEVVGFRDAWHGLVLFTPNGHGTRLAQARRIRFEFHKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISHLRQVKMLATHNCISKSIDDPLTPSSASHHDDGDSSPESEDEWEGGRRKFGAAETFKIPEDTDIAHVS >Ma09_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5787038:5794351:-1 gene:Ma09_g08730 transcript:Ma09_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAFQDLTHQVRTVTGMVQSIIPLVSRPLHPPAIQPLRQQELPVRARTPPQELPTSPRDRSTPLGERVTANPRGRPEPEALSLDSMDSLRAQLRFFSQRLDEVQKEVRRSRGELGEDAHQGSPFAPEIQDQTVPPNFRLPSLDTYDGSTDPTDHVATFLAQMALYETSDALICKAFPTTLRGPARTWYSGLKTETIVSFDQLVKNFELNFLAYARPKPSVHETRSHTRSSAEEEGNIGKGKQKAENGGRDGEQQQASKNKKAKVEGENRDANGKPAVDVAVEFEEFCKATRQHLSINEMRRILEANEQDPSGSDDAVVPRCQDMMFYGPLEKCPVCRNQVDCSGSNYLCRGAYSEWSTCIYTTTDARRRDQPVRIPEGIGDETVEEWIKKQESRSYPRRELTSSNKPFAGLVISLSGRLSRTHQTWREEIEKHGGKVSNNIIGANCLVVSPAERERGGSSRVAEAMERNIPVVSENWLVDSIQKQQAQPLDAYDVVSDLIPEGRGIPWDKMDPNEEALESLTAELKLSGKRCVYKDSMLQEQGGFIFEKDGILYNCAFSLCDLGRGINEYCVLQLIQVPEKNVHLYYKKGRVGDDPKADERVEEWNNVDDALKEFVRLFKEVTGNEFETWEREKKFQKMSLKFYPIDMDDGIDVRHGGLSARQLGVAAAHCKLEPLVAKFMKVLCSQEIYRYAMMEMGYDCPDLPMGMVTDLHLERCEEELLNFREDIKKAPDSSDKANVLWLDFTNKWFTFMHSVRPFIMKNFQELADHVAAGLECIRDINVASRLVGDMSGATVDDPLSDRYGRLGCSVAPLDKESDDHKMILKYLETTYEPIKVGDVTYGVSVENIFAVESGAGPSYEEVKKLPNKILLWCGTRSSNLIRHLQKGLLPSVCQIPAPGYMFGKAIVCSDASAEAARYGFTAVDRPDGFLLLAVVSLGEQITEMSTAPDDTKELEEEEVSVKGLGRKKPDESQHFKWVDDVKVPCGRLVASEHADRPLEYNEYAVYDPKQVCMRFVVAVKYEEQNVVMGEE >Ma08_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10534197:10536005:-1 gene:Ma08_g13330 transcript:Ma08_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVISKAANGVGTALGNAFVAPVKTIFGASCEGICSGTWDITCFIEHLCISNLLRLLMVSVLAYITLLFIYLLFKVGILQCVCRSICKMSWAACKTYWTAVKEITCFLWHKLKNTKRTYRRRFENVEEGYSSSEYDSSSEESLDHHRGVRRRSVRERRKEHILRSLYPTRQSSKGRGYARGSRHHVRLKTREVSVHVKPGRSRSSSLLQLTGRAHKSRRRLIRKPRIW >Ma10_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30630720:30632854:1 gene:Ma10_g20490 transcript:Ma10_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLRAAKQRRKRNKFHFRLKFWKSTPRSPKPAAPLPQPPPESHPQSPTPTQTEPTSRSPSSSSSPSASGRFDEGPEGQPQQGTSSPGRLSPPSSPSRETPAPPESTQGVSQTPSSAKPEMTTTEPKPPSHPQETQEPQESYPGKPLEPQSESPSHQQETQPQSSEAQPPKPSELQSKSPSHQQEIQQPQESHPPGVQAPETSEPQPTHQQETQPQESHPPPQGQVPETLEPQPKSPSHQQETQPQESSSSQTQSPDLLESQPEFPRHQQETQPQKSQSSDAQAQELLELQSKSPSHQQEIQRPQESHPPQVQAPEPLEPQPKSPAHQQESQPQESQPPQVQVPEALEPQPKSPVHQQETQPQESYPSQVQSLELLEPQPGSPRHQQETRPQESQPSEAQAPELLEPQPKSPSHQQEIQPQVSQPPETLESQPKLSPQQPQESQTREIQKLQPKSPPQQSQESQPSQAQAPLQMEPKQEKLDTDVEKKEIAEPSKPAQQTLMEEKPRQDVEDNTKEDTEITKEMSHGSQESEERIKDQPKPPYTREPDGLRGKRHATSVADIPRASAILGGDDFQKESEGEDVRKLNIRHSLHAGSDHATSMITLAGENEGASMYIGRKISRKAGIQKEHQMDEHPSAEEGDGKNERSHQTSNEVASITGVNSNVQSVNNSLLRESSCSQEDPGVHFFFSIKTNYAR >Ma09_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34544795:34545517:-1 gene:Ma09_g22660 transcript:Ma09_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISTLLVLSQCEDESLSQFVARFAIEIQGFTDAHPSLIMQVFLMGLRPSRFLWSLIEKPPATIPEMLQCANQYITAEALMARRCEDNKRPRMEQARGSASAPSAQPRKRPDQPKPPLPRTLPLPLNTSSTEIFLQIREKGLLRQPNPLKTTHKDRSKYCKFHWDYNHDMEDYHDLQNQIEELIRRGHLGHYLKEPEVTSCPKGPVERQIDVITVGPATGDSSSTARKAYARSTVEKCH >Ma04_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20760401:20762175:-1 gene:Ma04_g18690 transcript:Ma04_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSIIPLISGTLHPPTAESVRQQEPPAQAQAQPLELPTSPRVPSSPLLDQVMTDRRGHTQPEAFPSASTDSLRAQLLLVSQRLDETVPQNFRLPSLDTYDGSTDPADHVAAFRTQMALYGTSDALMCRAFPTTLRGLARTWYGGLRTGTVASFDQLARDFELNFLASARSKIDYDLPDSSGPSLRDPPPRYRRCFSGRTSSSRLRKQEKHTRVRPEPACGQQPAMTRRRLDQSDLPTPRPPLPPPGASRTEIFLQIKERGLLRALVPIKNPRELADQSKHCRFHRQNSHDTEDYRKLKRQIEELVRGGHLSRYIQNNGEPSPLPEGPVEHHIDVITGGPTAGGTSMLGRKAYARSARINAPQHGPDPKVAFPPKDVKPPEHDDALVIMARIANAQVKRIMIDTGSSADVLYLDAFQKLGLTKESLKPICSALTGFTGDSVSPLGTVTLPLTLGVPPRTKTVMSTFLVVDLPVAYNAILGRPTLNKIRDVVSTYHQMVKFPTPTGTGEVWGSPRESR >Ma06_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17056993:17072833:1 gene:Ma06_g22100 transcript:Ma06_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNVLPLTTPRAYLEGKPVGGTQALLSDHLRRHIYNLGCVTGIDGSFTVKAAPRKPRQFIVVAPSVSPSGVLKARFVPERMYALFRSGAVLSSWTPKPNLHKSPNCTGCAAHAVKAENYQYPFDAAIKLHQLGIDWNAFGQNSLGHPKQFQYRGRHLSGSVRAGPLNGSLDPVPSIQCIVLDIEGTTTPITFVTDVLFPYARDNVRKHLMSTYDSKETKEDIKLLRAQVEDDLKEGILGSVPVPSDDAGKDEVIDSLVTNVEAMIRADRKITSLKQLQGHIWRTGFENKELQGVVYEDVPEALLKWHANGIKVYIYSSGSREAQRLIFGNTSYGDLRKYLCGFFDTTVGNKRESRSYFEISVSVGVDEPSQVLFVTDVYAEAVAAKAAGLQVLISVRPGNAPLPENHGFKTIKSFADIQ >Ma06_p22100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17056993:17072833:1 gene:Ma06_g22100 transcript:Ma06_t22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNVLPLTTPRAYLEGKPVGGTQALLSDHLRRHIYNLGCVTGIDGSFTVKAAPRKPRQFIVVAPSVSPSGVLKARFVPERMYALFRSGAVLSSWTPKPNLHKSPNCTGCAAHAVKAENYQYPFDAAIKLHQLGIDWNAFGQNSLGHPKQFQYRGRHLSGSVRAGPLNGSLDPVPSIQCIVLDIEGTTTPITFVTDVLFPYARDNVRKHLMSTYDSKETKEDIKLLRAQVEDDLKEGILGSVPVPSDDAGKDEGHIWRTGFENKELQGVVYEDVPEALLKWHANGIKVYIYSSGSREAQRLIFGNTSYGDLRKYLCGFFDTTVGNKRESRSYFEISVSVGVDEPSQVLFVTDVYAEAVAAKAAGLQVLISVRPGNAPLPENHGFKTIKSFADIQ >Ma07_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2166281:2168283:1 gene:Ma07_g02740 transcript:Ma07_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELRRSLLVGVVLVVVVVSGEAQLRPNFYQFTCPKVESIVRQAVLKKVRQTFVTVPATLRLFFHDCFVEGCDASVLIASPRGDAEKDAPDNLSLAGDGFDTVIKAKQAVEARCPGVVSCADVLAIAARDVVVLSGGPSFTVELGRRDGLISQARRVAGHLPGPDFNLNILANLFRMNNLTTHDMIALSGAHTVGFSHCSRFAKRLYAFGPSSPVDPSFNLPYAQLLMRACPRDVGPTIAVNMDPFTPTVFDNVYYRNLLKGEGLFTSDQVLFSNLLSRPVVKKFAADQSSFFRAFAASMVKLGRVGVKTGHQGEIRKDCTAFN >Ma08_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21198757:21199434:-1 gene:Ma08_g16870 transcript:Ma08_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGSSSPSSSPALPVCCMCGDRGLSEELFRCRSCLVRFQHKYCSNLYPRAECYRACNWCLSDRKENKPVADSSIDQINSVSSPSNSNGGFGSGNELQRCSSPSPLHHDKPIKKQRLPDKASSSSIESVRSETRTQPKQAFRGRVKRYKLLEEVSS >Ma05_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33811043:33811515:1 gene:Ma05_g22070 transcript:Ma05_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNHKLVLSIRWISVAICSAPSSLGLYDSGKRCWLLRVSLLDQGGHPTRPSLSRGLLLCSTGLELGFFIVGRGLTEKADGGPQRLLGPSRLVQRWQIFCCRNRPCSIRIPAIVISCGNRFVPVFPTFTAEILVK >Ma11_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9149070:9153541:1 gene:Ma11_g09940 transcript:Ma11_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGGTSEIVESNEEPNTASCYGGSKTLCIKLKPNVRDGKCQDAEDDLDQLLRAIDIRTSSRVLGPSSQPRVDLLWKNALKKPVKVGASRPSGIGISESVTLKQALRRLCISQASEMAAMKRLSKPGGSSVASEAGTIKRLYASVVVQSSDSGLPLDGEKRNLVKISVVPEKVSADSSKKATVYGQLQNSESYESAVSSPLSSVPNTTKVTKFRIQDVIKPTSEESDESQSAEIGIEKKGKSVSKTSISSSQTVVASRKPIVIPLLIKPTHWNKTTKRKGKPEPTSAPSGSTKCGEPYKSGPALSTTKSHCFKGSVSPSHATLPTKKSCCPKEPVTPASSTRNPVAEIGTENVDSDASKIFSSPNIYVSGIAVDTKASEFSRAREKGECSNSSKSSIGDYSSSTSISEESQHSNASIKGCRPHMSRDVRWMAIHNILIQQRSLGLKNFKLLKRLGCGDIGTVYLAELVGSECLFALKVMDIEFLISRKKILRAQTEREILQMLDHPFLPTLYAHFTTDSLSCLVMEYCPGGDLHVVRQKQPGRSFSESAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPTLLRSSSLDTQESAKKLSGPCAENSCIDPLCLRPSCVQVSCFTPRLVSSTVEKTQKLKSEASGQVRPLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSAVDWWTFGILLYELLFGRTPFKGPGNEETLAKVVSQSLKFPENPSVSFHARDLIRSLLVKEPEGRLGSVTGAAEIKQHPFFDGLNWALIRSAAPPETPRSCEFGMPVIFRKKKEGKCLDFRAHGEDVEFELF >Ma01_p00590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:437475:457837:1 gene:Ma01_g00590 transcript:Ma01_t00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRSDRFDDRSGDRFGDGGGRRMPSRWSSDSPTERHPRYPRGGAGGGGDGGRYHPYRGSQDLPAPPGGGFRGGDPGGFSPPVSVGGPRRGFSGRSGSPDRTGGNKFAKLFIGAVPRTATEEDIRPLFEVHGDVIEVAFIKDRKTGEQQGCCFVKYATSDEADRAIRALHNQYTLPGGSGPIQVRYADGDRNHHGAAEDKLFVASLNKLANAKEIEEIFSPYGRVEDVYLMRDSSGQSRGCGFVKFSTREMASAALNALNGIYVMSGCDQPLVVRFADPKRPRPGDQRSGPAFGGPGFSPRSEAALVIRPTANLDEPRNGRKSSDAWHPMNPESFGSSSHSNGTTSTLPVPPSSQQGFNPSMASLPSFVGQQVSQLEKPLMPPQNFPPHLKLNTQQPPVSHPHALNLQSPLQHFGQLQLPQSGGQNIPSQQLPGLGGQASLQPLAQQSASSMALQAPLSLQQQAMPATANLPQFATSNVTQQLLQQPIQQFPAQLPQMLLQQQAQALQSSFQSSQQAILQLQQQLQQMQQQQHVSESTKLQSAWTGPQSSSIPPTTASSTPASVVPTTAATLPNSVNTSPAVPMTCNWTEHTSPDGFKYYYNSATQESKWEKPEEFTLFEQQQQHQKLLLLQQQQQKLSFQQLPSPSDTQSHTQIQPTQQVLSTQQMQPQLMRQQSQMQPLQPLQLYQASGGTLQQNVQDLSYAQLKAAGSVIDPAKVQQGISAAQEWALKNKPAGS >Ma01_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:437482:457783:1 gene:Ma01_g00590 transcript:Ma01_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRSDRFDDRSGDRFGDGGGRRMPSRWSSDSPTERHPRYPRGGAGGGGDGGRYHPYRGSQDLPAPPGGGFRGGDPGGFSPPVSVGGPRRGFSGRSGSPDRTGGNKFAKLFIGAVPRTATEEDIRPLFEVHGDVIEVAFIKDRKTGEQQGCCFVKYATSDEADRAIRALHNQYTLPGGSGPIQVRYADGDRNHHGAAEDKLFVASLNKLANAKEIEEIFSPYGRVEDVYLMRDSSGQSRGCGFVKFSTREMASAALNALNGIYVMSGCDQPLVVRFADPKRPRPGDQRSGPAFGGPGFSPRSEAALVIRPTANLDEPRNGRKSSDAWHPMNPESFGSSSHSNGTTSTLPVPPSSQQGFNPSMASLPSFVGQQVSQLEKPLMPPQNFPPHLKLNTQQPPVSHPHALNLQSPLQHFGQLQLPQSGGQNIPSQQLPGLGGQASLQPLAQQSASSMALQAPLSLQQQAMPATANLPQFATSNVTQQLLQQPIQQFPAQLPQMLLQQQAQALQSSFQSSQQAILQLQQQLQQMQQQQHVSESTKLQSAWTGPQSSSIPPTTASSTPASVVPTTAATLPNSVNTSPAVPMTCNWTEHTSPDGFKYYYNSATQESKWEKPEEFTLFEQQQQHQKLLLLQQQQQKLSFQQLPSPSDTQSHTQIQPTQQVLSTQQMQPQLMRQQSQMQPLQPLQLYQASGGTLQQNVQDLSYAQLKAAGSVIDPAKVQQQHKSGL >Ma11_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2885396:2926796:1 gene:Ma11_g03820 transcript:Ma11_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNPHEPAESSQPVDRGRGEEARLALSGGSPSSSLAEDDLFEPVSLRDLENAAPDPDPDPTPTPAPLRFSSASDDRHRLSAASSPAASAAPFSSPADMAGELPPSPSEPAIHPSSSSASFDSYVSPLGSPAKPRSKPALPDVSPEVVHLVDSAIMGKVDSLEKLRSVISGEGTDGVGDVSRAVVDALLATMGGVEGLDDIGGGGNVDASSPSVMLSSRAAVVAAELIPWFPWEGDSGTYMSSRTRMVKGLLMILRACTRNRAMCSASGLLGTLLLSAEKIFIDSVNRSSWDGTYLCQCIQVLAGHSLSVLDLHKWLGVIKNTLPTDWAKPLMLALEKAMGSNEARGPAHSFEFDGESSGLLGPGDSRWPFYNGYGFATWIYIESFSDTLNTATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSADNHGVEAYFHGQFLVVECGSGKGKKASLHFTHAFRPRSWYFVGLEHTCKQGLLGKAESELRLYVDGNLYESRPFEFPRISKSLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPEKMLRLASRGGDALPCFGNAAGFPWMGVNDHARSLAEESFSLDAEIATNLHLLYHPKLLSGRYCPDASPSGAAGIHRRPAEVLGLVHVASRVRPTEALWALAYGGPMALLPMTISNVQTESQEPILGDFHLSVATTSLSAPIFRIISVAIQHPGNNEELCRTRAPELLSRVLHYLVQTLSKLALGKQHGLSDEELVAAIVSLCQSQKHNKKLKVELFSTLLLDLKIWSLCNYGLQKKLLSSLSDMVFTEALVMREANALQMLLDGCRRCYWIVREKDSVDTFSLNGTSRPVGEVNSLVDELLVVIELLIGAASPSLAADDVRCLIGFLVDCPQPNQVARVLHLFYRLVVQPNTSRASTFAQSFISCGGIETLLVLLQREVKTGNHNILSRSGESDADNVLKNCSAQETSLGDQLELSDEKESASNGKNLVSKSLNSDHGSFKVSLAANIERMISAPENQLVKNLGGIGFSITADSARNNVYNIDDGDGIVVGILSLLGALVTNGHLKIVSNTTTTPSGNILSTGPEGGTMFDDKVSLLLFALQKAFQAAPRKLMTTNVYTALLGATINVSSADDGLNLYDYGHRFEHVQLLLVLLRSLPYASRAFQVRAIQDLLFLACSHPENRSSLTSMAEWPEWLLEVLISNYEMGSNKDSNGVSLGELEDLIHNFLIIMLEHSMRRKDGWKDIESTIHCAEWLSMVGGSSTGDQRVRREESLPIFKRRLLGGLLDFAARELLVQTQIIAAAAAGVAAEGLSPLEAKAEAENAAHLSVALAENAIVILMLVEDHLRFQGQLFVSARAADSIGSPASLTSATISRTNSIGRTGSEPVDNIPSKRSSLSSDAGGLPLDVLASMADANGQISAAIMERLTAAAAAEPYESVRCAFVSYGSCALDLLEGWKYRSRLWYGVGLPSKATAFGGGGSGWESWNAVLEKDSNGNWIELPLMKKSIAMLQALLLDESGIGGGLGIGGGSGTGMGGMTALYQLLDSDQPFLCMLRMVLAAMREDDNGEDDIFMRNISIKDGISEGLIYRSGNLMPFDSSNRLPARKPRSALLWSVLAPILNMPISESKRQRVLVASCILFSEVWNAIGRDRKPVRKQYVEAILPPFVAILRRWRPLLAGIHEFTSSDGQNPLIVDDRALAADALPLEAAVSMMSPGWAAAFASPPAAMALAMIAAGAAGGEVVVTPIKNTPLRRDTSLFERRQTRLHTFSSFQKPPETANKSPPVPKDKAAAKAAALAAARDLERNAKIGSGRGLCAVAMATSAQRRSQSDSERAKRWNISEAMGAAWNECLQSVDSKTVSGRDFSALSYKYVAVLVGSFALARNMQRGEMDRRLQVEILDKHHLSIGNRAWRKLLHRLIEMSGLFGPFGDSLCNPKHVFWKLDFTESSSRMRQYLKRDYNGSDHLGAAADYEDRLQIKLGEESNVHEENNQDASLSKNFASNASMIMAEAISLEERNEDDEQMDTAISESNNNNQQKESFTTEKGSIDPRSSGTSNDHSLVQSTFVDSPGYVPSESDERIIAELPSLMVRPLKVVRGTFQVTTKRINFIIDERTSDASLEDGVGASSQCNEQEKDRSWLISSIHQMFSRRYLLRRSALELFMVDRSNFFFDFMSTEGRKNAYRAIVQSRPPHLNNVYLATQRPEQILKRTQLTERWARWEISNFEYLMELNTLAGRSYNDITQYPVFPWILADYSSKTLDLEDPATYRDLSKPVGALNPERLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDISGTWDGVLEDMSDVKELVPEMFYLPEVLVNVNSIDFGTTQLGGKLDSVKLPPWADSPVDFIHKHHMALESEHVSSHLHEWIDLIFGYKQRGNEAVEANNVFFYITYEGTIDIDKIEDPVQRRATQDQIAYFGQTPSQLLTVPHLKKRPLADVLHLQTIYRNPNGIRPYAVPNPDRCNVPAGAIFASPDSVVVVDTNAPAAYVALHKWQPNTPDGHGTPFLFQHGKAAASSTGGALMRMFKGPGPSGTDDWQYPRALAFPASGVQSSAIVAITCDKEIITGGHSDNSIKLISSDGAKTIETAAGHCAPVTCLSLSNDSNYLVTGSRDTTVIIWRIHRVSPSNAKNVSESTPTAISPAGGSDPNSTLDTSRRHRIEGPMHVLRGHLGEIISCSVCSDLGAVASCSNASGVILHSLRRGRLIKKLDIKEAHIVCLSSQGVLLIWNKIKKSLSTFTVNGIPIATTVISPFSGRISCIQISADGENALIGTSSCTNDTTKDDIVAENNNMELDRTDVSNENTEDRIAVPVPSIAFLNLHTLKVFHTLVLERSQDITCIGLNKDSTNLLVSTADKQLIVFTDPALSLKVVDHMLRLGWEGDGLLQS >Ma10_p25180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33442176:33446943:-1 gene:Ma10_g25180 transcript:Ma10_t25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAKVNPKPSPAPPPPAAQSAPIEDLFSALHRHTQNFEYERAAKVADQVLAIAPGDEDALRCKVVALIKSDAIDKALSAIQGSRHLPIDLRFYEAYCLYRQNKLHEALEAIDGQERNSMILQLESQIFYRLGKMDACMESYEKIQRFKIDSLDIKTNIIAALVAAGRSPEVQGTMDALKVKASSNFELAYNYACSLIETKKYADAGQQLLSARRIGQEMLMEEDYADDEIETELAPIAVQLAYVHQLQGQTQEAIEVYMAIINRNLSDASSLAVATNNLIALRGTKDVSDSLRRLDRLIEKGAGAKQFQIANGLDVKLSTRQKESLYSNRLLLLLQANRMDQARELISALPEMFPDSVTPVLLQAALLVREKKVAKAEEILLLYADRFPDKSKPVFLARAQIAAAAGHFQISVDSLSKITDIQNMPATVATLVSLQERMGDSGGANAVLNSAIEWWKNAMTEENKLDLIMQEAASYKLNHGREEEAFQLYEKLVKSRGNIEALLGLVMTAAHTNLEKAELYEKQLRPLSGLKQMNAENLEKTPGAKHVEGTHTMRVEVSEDVKKAKTKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRSQVRGSQGSVVREKHETATTATSVSSDIGPSTKSNQGISSSSKSGSQKATTHEQPKASSKSKKKSRK >Ma10_p25180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33442171:33446943:-1 gene:Ma10_g25180 transcript:Ma10_t25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAKVNPKPSPAPPPPAAQSAPIEDLFSALHRHTQNFEYERAAKVADQVLAIAPGDEDALRCKVVALIKSDAIDKALSAIQGSRHLPIDLRFYEAYCLYRQNKLHEALEAIDGQERNSMILQLESQIFYRLGKMDACMESYEKIQRFKIDSLDIKTNIIAALVAAGRSPEVQGTMDALKVKASSNFELAYNYACSLIETKKYADAGQQLLSARRIGQEMLMEEDYADDEIETELAPIAVQLAYVHQGQTQEAIEVYMAIINRNLSDASSLAVATNNLIALRGTKDVSDSLRRLDRLIEKGAGAKQFQIANGLDVKLSTRQKESLYSNRLLLLLQANRMDQARELISALPEMFPDSVTPVLLQAALLVREKKVAKAEEILLLYADRFPDKSKPVFLARAQIAAAAGHFQISVDSLSKITDIQNMPATVATLVSLQERMGDSGGANAVLNSAIEWWKNAMTEENKLDLIMQEAASYKLNHGREEEAFQLYEKLVKSRGNIEALLGLVMTAAHTNLEKAELYEKQLRPLSGLKQMNAENLEKTPGAKHVEGTHTMRVEVSEDVKKAKTKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRSQVRGSQGSVVREKHETATTATSVSSDIGPSTKSNQGISSSSKSGSQKATTHEQPKASSKSKKKSRK >Ma06_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:276036:276394:-1 gene:Ma06_g00370 transcript:Ma06_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHRSLAHARKVMEQTPKVAEQDKKKKPRSRAHKQMQYNRRFITAVVGFRKKRGPNSSK >Ma03_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:844429:845947:-1 gene:Ma03_g01050 transcript:Ma03_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPKWQQPFRLRFPHWNPPSKAPPPTQPPGTSASPPSRVILRQQRQQQPSPSTRSLSRSSSSSSPPVSRTEAPAPPPAISTSSSHGVPQPQQWPEEASSTSPSPAQGVPQPHQAPSTQEAASMSPSPSRDTPRPPQPSSTQQAIHTPNSESVIEPLDPISRANPNETDLSEQKPEMDTEPKETTNPSNLPQATELTQSQQKLVEGNPTEDDEENTNIEIEITEEMTPIVLETESPYASTEEPKNKSEPDPGPTETRDSRTQEDTNGLGGKGNTDAPPASPTTEADNLQTQKVNSGHSQHAGRRNIELAGKNQGASMCVGPETISTPDLQKVNKIDMHTSADIDRKSQTLGERSHQTGDEVPSITSVNSNVQCINNSILHESSCSQKDPGVYFFFYSKPAFNTRGVSLITTPAQSLTYQPHIEKKQTRSVEEKSQMSHCSA >Ma08_p28670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40500315:40500485:-1 gene:Ma08_g28670 transcript:Ma08_t28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSEALYSQLKKKQDVVIQHCDHITMLLVCFLYSILFSMKTVLFPNIPLMQLGSS >Ma03_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26854977:26857022:1 gene:Ma03_g22000 transcript:Ma03_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDRVQSCHDYIRALEEERKKIEVFQRELPLCLKLVTHAIESVRQQMSDGERVSNGPVLEEFIPLKPSFKSPSSGAVAAAIGSDRKPDWLRSVQLWNQEPDTSLKVEPPKKPIAVSAKRIGGAFQPFEREKLVVPPPASRAVAASSTNSAGGRGEGGGCGDSDGSSSGGEKEEKEKEGQFQSHRKTRRCWSPDLHRRFLNALQQLGGSHAATPKQIRDLMKVDGLTNDEIKSHLQKYRLHTRRPSPAVQSSSHPAPQFVLLVPPPDYAAAAAVAAAAQPGNGACAPTNGIYAPVASLPSDPRFQQQLQTKKQYQRSCSGGEDSTGGDDDDATNTESLATSASSQTTTASPPF >Ma10_p06350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18907399:18917083:-1 gene:Ma10_g06350 transcript:Ma10_t06350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MEAVLLNSALRLLLPTPTRPSLYPVSIPQTLSFSSSLSPPRFPIRISSRSHSLPGFFSHPSRNWRPFHVSASAVAVQKTGKDRSPADVLVTETKEPNSSIPGFRPGKKVPENILVNYIGGNNVQQAAIEATLKKTLPQAMASVEGRALKDSVRIVTKFSEMYDVFSLQDAFRYEVVADVAPEVKWLSENKYKNLKIVVEIDNIIEAQSASERELQRRHKALGLLRIVTDRGLQIGDLVVLDIFAKTIGQDESEGEKIPSAETKGFHLDTEESDNLLPGFLDSIIGIRQGETKSFPLQFPESWEQENLRGVRAQFTVECKELFYRDLPQLDDSLAENVLPGCNSLDQVREAILQRCKEVEQTAIEQATDNAILDQLSKIVEVDIPKSLLEEQGRQLYGAKLLQLQVEKKINESQLASLSSERAVGQFINNEKENISKIIKQMLAVGEIFKCENLQFLTEELVKEVENSIAEFKRHNQEYDEERVREQVQDVLEGAKVLEWLRENAEIQYVKQ >Ma10_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18907399:18917121:-1 gene:Ma10_g06350 transcript:Ma10_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MEAVLLNSALRLLLPTPTRPSLYPVSIPQTLSFSSSLSPPRFPIRISSRSHSLPGFFSHPSRNWRPFHVSASAVAVQKTGKDRSPADVLVTETKEPNSSVRLSVEVPPAVCQQCYRKVLDEFAKQAKIPGFRPGKKVPENILVNYIGGNNVQQAAIEATLKKTLPQAMASVEGRALKDSVRIVTKFSEMYDVFSLQDAFRYEVVADVAPEVKWLSENKYKNLKIVVEIDNIIEAQSASERELQRRHKALGLLRIVTDRGLQIGDLVVLDIFAKTIGQDESEGEKIPSAETKGFHLDTEESDNLLPGFLDSIIGIRQGETKSFPLQFPESWEQENLRGVRAQFTVECKELFYRDLPQLDDSLAENVLPGCNSLDQVREAILQRCKEVEQTAIEQATDNAILDQLSKIVEVDIPKSLLEEQGRQLYGAKLLQLQVEKKINESQLASLSSERAVGQFINNEKENISKIIKQMLAVGEIFKCENLQFLTEELVKEVENSIAEFKRHNQEYDEERVREQVQDVLEGAKVLEWLRENAEIQYVKQ >Ma10_p06350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18907399:18917083:-1 gene:Ma10_g06350 transcript:Ma10_t06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MEAVLLNSALRLLLPTPTRPSLYPVSIPQTLSFSSSLSPPRFPIRISSRSHSLPGFFSHPSRNWRPFHVSASAVAVQKTGKDRSPADVLVTETKEPNSSQVRLSVEVPPAVCQQCYRKVLDEFAKQAKIPGFRPGKKVPENILVNYIGGNNVQQAAIEATLKKTLPQAMASVEGRALKDSVRIVTKFSEMYDVFSLQDAFRYEVVADVAPEVKWLSENKYKNLKIVVEIDNIIEAQSASERELQRRHKALGLLRIVTDRGLQIGDLVVLDIFAKTIGQDESEGEKIPSAETKGFHLDTEESDNLLPGFLDSIIGIRQGETKSFPLQFPESWEQENLRGVRAQFTVECKELFYRDLPQLDDSLAENVLPGCNSLDQVREAILQRCKEVEQTAIEQATDNAILDQLSKIVEVDIPKSLLEEQGRQLYGAKLLQLQVEKKINESQLASLSSERAVGQFINNEKENISKIIKQMLAVGEIFKCENLQFLTEELVKEVENSIAEFKRHNQEYDEERVREQVQDVLEGAKVLEWLRENAEIQYVKQ >Ma04_p00140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:199751:210326:-1 gene:Ma04_g00140 transcript:Ma04_t00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVESKVSGDEGRNKAIACAVFKRLSLDPNKLSSESIGGSDIASLFSNILQLSESKDSLSNEDQIMKWVAFASSFPSKADACLASLDYLNEDLKQKAILLGNGLEPSVADIVVFSAVHSFVSHLVGMAVQKFPNVVRWMDYIQNKEDFGGVFEKIVVSKPDFQPLKVSGDSKAPEALDKDSVTNEKKKKALEKESCEKDAQCSISVLNIQIGVICKAWRHPSADSLLVEEIDLGDGSLRQVVSGLAKFYSSEDLVNRRVVLITNVKPGKLRDVTSSGLVLCASNQDHTVVEPLIPPEGASLGEKISFSGYDGKPEDVLNPKKKQLEKITPHLYTDDKGVATYKGVPFMTSAGPCTSSIIKASVK >Ma04_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:199751:210326:-1 gene:Ma04_g00140 transcript:Ma04_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVESKVSGDEGRNKAIACAVFKRLSLDPNKLSSESIGGSDIASLFSNILQLSESKDSLSNEDQIMKWVAFASSFPSKADACLASLDYLNEDLKQKAILLGNGLEPSVADIVVFSAVHSFVSHLVGMAVQKFPNVVRWMDYIQNKEDFGGVFEKIVVSKPDFQPLVSGDSKAPEALDKDSVTNEKKKKALEKESCEKDAQCSISVLNIQIGVICKAWRHPSADSLLVEEIDLGDGSLRQVVSGLAKFYSSEDLVNRRVVLITNVKPGKLRDVTSSGLVLCASNQDHTVVEPLIPPEGASLGEKISFSGYDGKPEDVLNPKKKQLEKITPHLYTDDKGVATYKGVPFMTSAGPCTSSIIKASVK >Ma07_p08360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6220414:6224966:1 gene:Ma07_g08360 transcript:Ma07_t08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSSMVLRAMTSSSNSTKVAFGSSYLKQMKYSTRGSADSGVNADLPTNGFASSGTYPTRITSDPDTHEDFQPTNKNTGISILDIVQQDVKENPVMIYMKGLPDAPRCGFSALAVKVLQQYGVPIRARDILGDLKLKESVKAYTNWPTFPQVFIKGEFVGGSDIVLNMHQKGELKDLLADITHNGNQGRE >Ma07_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6220414:6224966:1 gene:Ma07_g08360 transcript:Ma07_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSSMVLRAMTSSSNSTKVAFGSSYLKQMKYSTRGSADSGVNADLPTNGFASSGTYPTRITSDPDTHEDFQPTNKNTGISILDIVQQSIFLQDVKENPVMIYMKGLPDAPRCGFSALAVKVLQQYGVPIRARDILGDLKLKESVKAYTNWPTFPQVFIKGEFVGGSDIVLNMHQKGELKDLLADITHNGNQGRE >Ma11_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15079255:15080438:1 gene:Ma11_g11850 transcript:Ma11_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQKLKLLVVQCAAARSSTGGDVRSFNIRQRWRPAKKLYVFTKFRRLLRRGVCSEDEQPSLEESKGMMKQKLLDLFASSQSVEDEGGSSNSSCGDDGRAVEALTARRGGGAWRFRSAAGLRCRLLRRAWRPVLVAIPESD >Ma04_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24575736:24576706:-1 gene:Ma04_g22200 transcript:Ma04_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHINKGAWTKEEDDRLVAYIRAHGEGCWRSLPKKAGLLRCGKSCRLRWINYLRPGLKRGNFTEVEDELIINLHSHLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDLEKHRLIHAPVSGFTMSFAKGEEKDRSLLPCEQEKIIGSSSSSCSSSSREGSWQIQKYRCPDLNLDLCLSPPPSRQQVEECTRCFSRCRGLQPTRKKCKCDAFLGLGSGSCRSLEMK >Ma02_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28886197:28888197:-1 gene:Ma02_g24180 transcript:Ma02_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSYKYASSILLCPEDSSSILGFDDGEGEEQDLHGEAQRRDLCQSPDKKSGFCGAILMDFTLQSEDCVVELVERESQHRPQGDYAERLLRGQLDMVARSDAIDWIQKVHAHHNFGPLTAYLSINYLDRFLSSYELPQGKAWIPQLLSVACLSLAAKVEETEVPLSLELQVGDANHVFEARTIHRMELLVLGTLKWRMQALTPFSFIGYFLYKFSDGNLPDSLLTSSSVDLILGTVREIDFLEYRPSEIAAAVALSVLKDTQILDLDQALARCIHVNKERVFKCHRVIQEMTSMKNRTNRNTSPSISAVPKSPIGVLDAACLSDESDDLAVESHGNCLLHSSPAAKKRKLNRPSTS >Ma01_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8534387:8546449:1 gene:Ma01_g11770 transcript:Ma01_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSLSLPFPTAAPPASDPSAAAAPFGAFCSYGLRFCGLRREDLSLRSLNWAASGRITPDSSRRFSRKILAVVKDNGSPAKVFDYDLVIIGAGVGSHGAALHAVQKGLKTTIIEGDIVGGTCVNRGCVPSKALLAVSGCMRELHDEHHLKALGLQVTSPGYNRQSVADHANNLASKIQINLTNSLKALGVDKLTGVGTIVGTQKVKYGKVGFPGTEITARNTIIASGSVPFVPNGIEVDGKTVFTSDHALKLERVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVESLDQLVVLVAIPTKQQLRILTSTAPFFYRLVCLDVPLLSFLLQPQAREKGEKDMFEVRVAKTSFKANTKALAENEGEGLAKLIYRPDKGEIRGVHILGLHATDLIHEASNAIALGTRLQDIKFAVHAHPTLSEVLHELFKSAKVNVGLPSSVSQPVAAKPVFR >Ma08_p26980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39398782:39400289:1 gene:Ma08_g26980 transcript:Ma08_t26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIGADTPSWPELLGSEHWSGLLDPLDQSLRVFLLQCGDMCQVTADSFISDDHSNYRGMCRYRPSTLLDDVFFPYAANYDVKEYLYAMSINWSNFTEESNWMGYIAVSKDAYTKRTGRREIYVVWRGTMRPSEFIEGLVISLVPFDPDNQDVQDVKVVNGWNDIYTLKDSGSQFPCNATSAREQLLSKLSELVKLYKNESLSIVCVGHSLGGALAILSSFDIVNKGLSKIEGKEEHFPVCAVVFENPKVGNKAFNERFEKLPNLRALRVRNTWDIVPYWPLPTDYVVTGSVLDIDSKLSPYLKVLDIDFKTSTILKILASNHDLQVVLHTVAGWTWKDVEFHLKVERSLALVNKQGGYLKDELQIPEAWWVEKNKGMVPDKDGEWSETPGWNCKSSSNGHQL >Ma11_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24675737:24685759:1 gene:Ma11_g20080 transcript:Ma11_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRGIPAKARSEGAVVDVEVGGGRAQIHRGRWKNLMTMSRTKTMIGLGNRSKCCVAFLYHQSGLSFLYWLLLFFSFSLFNHSMSELASNLLSAVLICLKLMQGSLMEANLRGVENVSLRIHKQTFSKTLHRHYKLQTNFTSSEQLHNKGSKWFTTDRKSLTSVHMTQSLFTSLKRLSNPTKMRLLSLRPSLYMLAGMEIICNVPYCLGYDAFISFLKQEGASEQDIHQLPKYKFHKVGDSEKLEMSGPSGGIMTECGSDSLVQHVLLAEDAISSIECCICLSPYDDGVELRELPCGHHFHRTCIDKWLRINATYGELGKTRWVGHEAEWIGSTYTVTTNSGVAYYLYLHWIHIRSKSAQSRCDRNDVLTTPGETMANSTSGFHIFQFVNGEHFSFC >Ma01_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7728742:7732900:1 gene:Ma01_g10760 transcript:Ma01_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSVVNMYPLSNYTFGTKEAKMEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLRRKLCSKLAANSPSFQPNWQIGECVAIWWRPNFETVMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >Ma01_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8685210:8690676:-1 gene:Ma01_g12000 transcript:Ma01_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTREEEISVSLLTHELSTSESSAKSPPWQEEGEEEEEEEEEENSPIEQVALTVPVEDDPTTPVLTFRMWVLGTASCVLLSFLNQFFWYRKEPLSITSISAQIAVVPLGHLMASTITDRVFFKGSRWEFTLNPGPFNMKEHVLITIFANSGAGSVYAIHVVTAVKIFYQKHITFFVSFLVVITTQVLGFGWAGIFRRYLVEPAAMWWPYNLVQVSLFRALHEKEERVKGGMTRNQFFMVAFVCSFAYYVFPGYLVSMLTSLSWVCWIFPQSILAQQLGSGLYGLGIGAIGLDWSTISSYIGSPLASPWFATANVAAGFVLIMYVITPISYWLNLYKAKTFPIFSDGLFTSTGQGYNISSIIDSNFHLDIMAYEKNGPLYLSTFFAVTYGIGFASLTATISHVLLFHGSEIWQMSKSAFKEKKMDIHTKLMSRYDQVPQWWFIAVLIANIALTIFACEYYIDQLQLPWWGVLLACFIAIFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMTQALTFLQDFKLGHYMKIPPRTMFMAQVVGTVIAAMVYLGTAWWLMETIPDICNKELLPSDSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGTYSNVNWFFLAGALAPVLVWFGHKAFPNQEWIRLINMPVLIGATGSMPPATAVNYITWIIVGFLSGYVVYRYRRDWWQRHNYVLSGALDAGLAFMGVLLYLCLGLENVNLSWPGNDLDGCPLASCPTAKGVVIEGCPVF >Ma09_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11676463:11678016:-1 gene:Ma09_g16310 transcript:Ma09_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRENPDVHDNIPTTTPAAAATAPSAAKQPAPVAKGHDGHSVLKRLQSELMSLMMCGDPGISAFPEGDNIFCWKGTIHGSKETAYEGMVYKLSFAFPTDYPMKPPKVKFETACFHPNVDVYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNESPLNTQAAALWVNQEEFRKMVEKLCKPT >Ma08_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7078632:7081137:1 gene:Ma08_g09750 transcript:Ma08_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDSSLVVVVLCAMAAACEGDEAVGASFVFGDSLVDAGNNNYLPSLSKADLRPNGIDFAASGGQPTGRFTNGRTIADIIGELLGQKSYAQPFLAPNTTGSVILNGVNYASGGAGILNATGRIFVNRLGMDVQIDYFNITRRQLDGLLGKAKAKQFLWKKAIFSITIGSNDFLNNYLLPFFSAGERLIDSPDGFVNDLITSMRSQLIRLYSLDARKIVVSNVGPVGCIPYQKTINRIKENECVSLPNLLAVRYNAQLRDLLAELNDNLPGARFVLANVYDLVMELVTNYRSYGFKTASYACCGNGGQYQGIFPCGPMSSMCEDRAGYVFWDPYHPSEAANLFLAKYIVDGDSKYVSPMNLRQLLRL >Ma03_p28560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31695969:31699202:1 gene:Ma03_g28560 transcript:Ma03_t28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKGRRSESFWPSIVMRKWLNIKPKVHEFSEDEADTESSDDDDDARFSYTIPFPGTLLSKNECRTQASETRVTLSRLRRRKSETLRVNYITNKDVRVMIGTWNVAGRLPLQDLELEEWLDTDQPADMYVLGFQEVVPLNAGNVLGAEDNRPIQEWEAIIRRTLNKSSQPKTLCKSYTAPPSPASISSPTDPHTDGTQEEERTDQTSLHKGFELDWPEHSFDAPQQVLVSGKRLRRVLSSTARSGVEEAQVYAGLRRVCQSSGNLGMIWPEQQEASDVLDSIDDMSKPSSRYFRVVSKQMVGVYVSVWVCSRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGHTEADQHKRNSDVHDILHRTRFASLDAADHPQTIPSHDRIFWFGDLNYRLNMPDAEIRALVAGRCWDELMNFDQLSNELRGGHIFDGWKEGLIAFPPTYKYEMNSDRYVGEIAREGEKRRSPAWCDRILFLGKGIKQVSYGRSELNLSDHRPVSSVFMVEVEVLDQRKLERVLNFTNAGLLPEEI >Ma10_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9675637:9677074:1 gene:Ma10_g02820 transcript:Ma10_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASATSNVLAFVPILALLFRISDGCTFTVSNNCPHPIWPGTLAGAGTPQLPTTGFRLDSGQTARIRAPPGWSGRIWARTGCNFDTNGAGTCQTGDCGGRMECGGIGALPPVTLFEITLGTGLDEDYYDVSLVDGYNLPVVAAPRVLHGGCNATGCLADLNRGCPKELRVDGGGGVVACRSACEAFGLDEYCCSGEYANPSSCKPSFYSTIFKAACPRAYSYAFDDGTSTFTCNAYDYTIVFCANVNRMRSSHNYSKGQPTGDEPADTPSSANNLPFHLCFLLLFILFF >Ma01_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14545357:14546407:1 gene:Ma01_g19100 transcript:Ma01_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIVLLPFPLQILLQNAILRCSSTLELCSLIEPCQDHVLPLCFKAVRPSFQHLHHCC >Ma06_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20229542:20233119:1 gene:Ma06_g23090 transcript:Ma06_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPPSPPPLLLRPADTDPIPPITGAAEDAVLLAAELLSREEVLRRRSRRVKQLARHYREHYWALVEEVRSKLREYYWSIGVSPLEVDGLDTAAAAALAEVSGENDDGVAKKGFCRISECMSMAMPLTSYCHGHILCDDRQTLYKPCTYETFSSGQTCGKPVLQAAVPPFCTLHIQKDQNHLSQVFSREGFRVYSSGKPAPGFHVIIAEYIHQIQINRRKAPNAASTKNADKDENAG >Ma07_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9353990:9355340:-1 gene:Ma07_g12440 transcript:Ma07_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELLEAATSGDVKYVQDARGTLVSKVTVNGNSVLHIAAKLGLLELAEAVCRKEFSLLRYNKNEHEDATKSTPFHFAAAHGDTRMVQLLLRSKPSAAYVQDEDGFSAIHVAASAGHPKIIQELLRHCPDFMEHKHNKGRNFLRVTIEKKKLEVVNLLFFSSFFILYFAPFLLLPKGCCPGFFMSSWSFLKPTKGRLQAI >Ma03_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8215308:8224110:-1 gene:Ma03_g10880 transcript:Ma03_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMRVNSAIKAKHGREVALGQYLIRFRGPSRSMEDLCCSPSSLKFKFMLSKRPSRLIFLVLKLS >Ma06_p33570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34151474:34152152:-1 gene:Ma06_g33570 transcript:Ma06_t33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPSQESRGSILMKLLSKEKVEGLYYKELAAITDGYTGSDLKNLCITAAYRPVKELIQKERKNKGIGKSVHLQNLCSGFDLTDLTRYLDKHKFSSHPL >Ma10_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17353310:17355032:1 gene:Ma10_g05930 transcript:Ma10_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTVGAVNRVPLSLHGSSSGASVPSSAFFGSALKKANSGLSHGRVSTASFKVLAADLDESKQTSSDRWAGLASDVSDDQQDITRGKGLVDTLFQAPMGDGTHIPVMSSYEYISQGLRQYNFDNTMDGYYIAPAFMDKLVVHIAKNFMNLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCLGIFRTDNVPMEDIVKLVDAFPGQSIDFFGALRARVYDDEVRKWVAEIGVEKVGKKLVNSLEGPPTFEQPKMTLDKLTEYGNMLVREQENVKRVQLADKYLSEAALGDANADAIKTGSFYG >Ma06_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9642082:9643099:-1 gene:Ma06_g14080 transcript:Ma06_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTAKSKLWAALGSSKARHGGRKKKLLVRIQVAVAKCTGHGDVPIEDRYVDEILFLVSNAPVSAAFLSRRISARLAATRDPVVALKTLLLLHRLLRGGDRRFERDIGTLWSSGELEMDLSWCSHSFLVSYSSFLGDRMGWIINHAGMLEPIGAPQSHEEDEAESILHMISRCQVFLDRAMDCLPHEETPWPSQVMQSALDIILRESFRVYRSFCDGLAILGKSSGVLGILKEACIQTPRLHEFYENCKRRNLGKILDFPSVRIITIDQVSSMRQHQNEVQENNVEESEASRSRFLWKLETKISQVWVAFDEEDSTTCVSSAGESRG >Ma09_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36015290:36017723:-1 gene:Ma09_g24340 transcript:Ma09_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISTLNRSNSNSRTSLICNDSRKATNEGGETSHRKDVEGLSISKSLMRGVSDKFKKTRNVLGAHSGAESSRTNSYACMGLSLRGGGCRVGTCEDLDSNIKRRLSAMDDCMPQRMANGVEESGVVCFSYGVSEKFWRRNSRKEKGSVRCPSTSPSVTPALLPDDVLEMIFARLPLSSIAMARCVCKKWRYLTGTHHFIQMRTEGSFGTPWLFLFGIMRGGCHAGDIYALDVSRDCWHRISNAVLKGRFLFSVASIKSDIYVVGGCSSSSLSMEKSSIKTHKGVLVFNPLSGSWRKAAPMSSSRSGPILGVFEVGASCSLFKSRTKSHDRPLLKSRIGGVSDVYEDPHRLSVRLRLRDAFNEEDNSSEHKRRSSNFVREKTGKQFKFALIAVGGRGPWDEPLESGEIYNPVTDKWTEIASLPGEFGVVCSGAVCGQMFYVYSETDKLAGYDLTRGFWMTIQVARPPPRLREYYPKLIACSSRLFLSCVSWCERDGQVNRREKAVRKLWELDFTLLTWNEVSRHPDAPMDRNALFVADESMIYSVEMFRIFGQVLDFLTACHLSETGLEWSRITKKHATHEVDASSCLVKSMLVLHL >Ma01_p17000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12443799:12447993:-1 gene:Ma01_g17000 transcript:Ma01_t17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPSGKDFIDIILSRTQRQTPTVVHKGYAISRLREFYMRKVKFTQQNFHEKLSAIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQVNTARNIVGKIAKDYVRLLKYGDSLYRCKSLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLKAAVLFFLDVSGSCGYSIEQQAALFHSIKSLFMNKPLIVVCNKIDLQPLEGLSEEDMKFVMEMKTEAIKTVLAQGGDSNDDEVLLTMSTMTDEGVIAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVAIPKPRDTKERPPCIPPAVLEARAKVNEEKGKRKLEKDLEEENGGAGVYSANLRKHYILADEEWKEDIMPEILDGHNVYDFVDPDILLRLEELEREEGLHLEANADEEDFEMDGKELTEEEQQLLAEIRRKKNLLIQEHRMKKSTAESRPIVPRKFDKDKKYTSKRMGRELSALGIDPSKAIDRARSRSVTRRGRKRERSLGREGEEGEAMDIDDELSNKKLRTSSRSRSRSKSRPPGEVTPGEGFKDSAQKLKAIKIAKKSVKVRNKAARKGEADRVIPNLKPKHLFSGKRSIGKTTRR >Ma01_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12443799:12446089:-1 gene:Ma01_g17000 transcript:Ma01_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPVSVNSTCARDLLHVLYNKDHYKLALGQVNTARNIVGKIAKDYVRLLKYGDSLYRCKSLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLKAAVLFFLDVSGSCGYSIEQQAALFHSIKSLFMNKPLIVVCNKIDLQPLEGLSEEDMKFVMEMKTEAIKTVLAQGGDSNDDEVLLTMSTMTDEGVIAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVAIPKPRDTKERPPCIPPAVLEARAKVNEEKGKRKLEKDLEEENGGAGVYSANLRKHYILADEEWKEDIMPEILDGHNVYDFVDPDILLRLEELEREEGLHLEANADEEDFEMDGKELTEEEQQLLAEIRRKKNLLIQEHRMKKSTAESRPIVPRKFDKDKKYTSKRMGRELSALGIDPSKAIDRARSRSVTRRGRKRERSLGREGEEGEAMDIDDELSNKKLRTSSRSRSRSKSRPPGEVTPGEGFKDSAQKLKAIKIAKKSVKVRNKAARKGEADRVIPNLKPKHLFSGKRSIGKTTRR >Ma01_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5919390:5922908:-1 gene:Ma01_g08250 transcript:Ma01_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYQDLLTDDELLSDSFCYKEIENGMLWEVEGKWVVKGAIDVDIGANPSAEGGEDEGVDDSAIKVVDIVDTFRLQEQPAFDKKQFVTYMKRYIKLLTPKLDEEKQELFKKHIEGATKFLLSKLKDLQFFVGESMHDDGSVVFAYYKDGATDPTFLYFAYGLKEVKC >Ma05_p13520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9799848:9807405:1 gene:Ma05_g13520 transcript:Ma05_t13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCFHCSHDGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSLLAGSSGGASPADGPEPGGGGASADGYASEDFVKGSSASCRERKKGNPWTEEEHRRFLLGLQKLGKGDWRGISRNYVVSRTPTQVASHAQKYFIRKANMTRRKRRSSLFDMEPDEPLEPQPFLMSFQESDAQNNNPPPIPPTLNEEYESMDSNDSIIEAVVSQPEASQCSYPVILPAYFSPFLQFSFPNWSGNRSDTSEQQAHVIIKPTPVHSKTAINVDELVGMSKLSIGESAGEKSSTLDLLRGSKRQSAFHANPSTRAHT >Ma05_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9799848:9807405:1 gene:Ma05_g13520 transcript:Ma05_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCFHCSHDGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSLLAGSSGGASPADGPEPGGGGASADGYASEDFVKGSSASCRERKKGNPWTEEEHRRFLLGLQKLGKGDWRGISRNYVVSRTPTQVASHAQKYFIRKANMTRRKRRSSLFDMEPDEPLEPQPFLMSFQESDAQNNNPPPIPPTLNEEYESMDSNDSIIEAVVSQPEASQCSYPVILPAYFSPFLQFSFPNWSGNRSDTSEQQAHVIIKPTPVHSKTAINVDELVGMSKLSIGESAGEKSSTLDLLRGSKRQSAFHANPSTRAHT >Ma08_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33031997:33033975:1 gene:Ma08_g19210 transcript:Ma08_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAFLDGEQKSHDLIGIAGASMLLAVGHSIHVSAVGAMATKAPSILLSISRLRHSSGTLESGPGWKRRSLLLSAAAASLLDCRNSVAAGPSFDPVTDREREASAVVSRRVSDAIRLLDLARDLQAKGDYSQALDYFTLVIRDYKDFAFADYARVGRALVLYEIGDRDEAIAEMEDVSISLKGYPEIHAALAAALYVDKHAALLAENQFAIATLLDPHYTDLSYVRETKHWPPSLVSSLQHFITLS >Ma02_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29397794:29400352:-1 gene:Ma02_g25030 transcript:Ma02_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGSASLCKFILIVAYNLLVASNVLFLYLTRNLLMTVWILQLSELYNGDFIFDKFDCCLSRDGRHFATGTYSNTFKVFCHDGGHTDGTRLEASKNPNRRESMIVPSKLFFFNHLASLSFVTGHENSRFDSSDEIPCDLDSKLIHLAWHPTTNLIACAAKCSLYTYYAESSFNA >Ma11_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3995418:3998713:1 gene:Ma11_g05190 transcript:Ma11_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLPTLCTTSSSRSKLLLSCVAFFSLFLLLLTLSNVSKPVPYHHRLHVHSHLQDATDHCDGTLYPDLCASTLSTIPDLHSKSLPEVICATINASEAAVIKSAKNCTKYLHHHNYTLDTRQRYALTDCLDLFSQTLDELLDATSDLTANPGSHVDHVQTLLSAAITNQYTCLDGFAYVGKDGGYRSVIEQPLYHVSHLVSNSLAMMKKIQRQKPPHPRREALEGYGEVAEGFPVWVSGKDRRLLQAAANTTTPNLIVAKDGSGNFTTISEAVAAAPSKSETRFVIYIKAGAYLENVEVGKSQTNLMFMGDGIGKTVVKASRNVVDGWTTFRSATVAIVGNGFLMRDMTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYAHSLRQFYRECDVYGTIDFIFGNAGVVLQNCNLYARKPLSNQKNVFTAQGREDPNQNTGISIQNCKVAAASDLAPVQSNFSTYLGRPWKAYSRTVYMQSLLDSLINPAGWLEWDGDFALSTLYYGEYMNRGPGSSTANRVKWPGYRVINSSAEASMFTVESFIEGDQWLGSTSVPFTAGLN >Ma02_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17465710:17466217:1 gene:Ma02_g06470 transcript:Ma02_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAEAAPDPAGDPASPLPGVGEGNPPGGDVVVQYVVLRRDLIDTWPLGSVVAQGFHHHPDVLACCTDRNLDSMHKMKGETQLRNLANKLKKEGIDHKLWVEQPEDFLTCIATRPYPKSQVASFFKKLKLCK >Ma02_p12220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21031276:21037676:-1 gene:Ma02_g12220 transcript:Ma02_t12220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGGSGLKVEIGAVDRKRINDALDKHMEKSPPSASRGLNSKEKDRLSVPSTSSGKQPEHRSLSKNKCSDEESETDSEESDVSGSDMEDTSWISWFCNLRGNEFFCEVDEEYIQDDFNLCGLSSQVPYYDYALDLILDVESSNGDMFTEEQNELVESAAEMLYGLIHVRYILTSRGMAAMLEKFKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPSQQYVPRVFGFKVHKP >Ma02_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21031276:21037678:-1 gene:Ma02_g12220 transcript:Ma02_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGGSGLKVEIGAVDRKRINDALDKHMEKSPPSASRGLNSKEKDRLSVPSTSSGKQPEHRSLSKNKCSDESETDSEESDVSGSDMEDTSWISWFCNLRGNEFFCEVDEEYIQDDFNLCGLSSQVPYYDYALDLILDVESSNGDMFTEEQNELVESAAEMLYGLIHVRYILTSRGMAAMLEKFKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPSQQYVPRVFGFKVHKP >Ma10_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32846431:32848864:1 gene:Ma10_g24130 transcript:Ma10_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVHRHNILLPFFKTCRLRDLFFFSSSVDPAPAVGVTISPDPNFMVEYLVNSCGFSPSEAAKFSKPLARLRSTEKPDAVVHFMRSQGFDGAGIRKVISRNPSHLCVNVEKNLAPKFQFLRDLGLSESDIADAILKNDFILRLDVHRSLVPRLEMWESILGSRELVLKHLKKTRWFFFSSVEKTLNPNLKFLRDECGIPEERVSVVLRSRPQLISQKPESLRALVARADELGMPRQSRMFVRTLNALHYVTQERFEAKFELMRSFGWSESEFSSAVRKAPTFIGMSLDMFRRKVDFFINVVGYTPSFIADKSSLLLFSLQKRVIPRFRVTEMLKLKGLWTGKYKFTSILLFTDTKFMEKFVLPHKENVPELLDILRVAGTWKGNYTLHLASEDEEGLS >Ma10_p24130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32846431:32848864:1 gene:Ma10_g24130 transcript:Ma10_t24130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVHRHNILLPFFKTCRLRDLFFFSSSVDPAPAVGVTISPDPNFMVEYLVNSCGFSPSEAAKFSKPLARLRSTEKPDAVVHFMRSQGFDGAGIRKVISRNPSHLCVNVEKNLAPKFQFLRDLGLSESDIADAILKNDFILRLDVHRSLVPRLEMWESILGSRELVLKHLKKTRWFFFSSVEKTLNPNLKFLRDECGIPEERVSVVLRSRPQLISQKPESLRALVARADELGMPRQSRMFVRTLNALHYVTQERFEAKFELMRSFGWSESEFSSAVRKAPTFIGMSLDMFRRKVDFFINVVGYTPSFIADKSSLLLFSLQKRVIPRFRVTEMLKLKGLWTGKYKFTSILLFTDTKFMEKFVLPHKENVPELLDILRVAGTWKGNYTLHLASEDEEGLS >Ma02_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27428987:27430299:1 gene:Ma02_g21950 transcript:Ma02_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHFILPVLLLAAHLLGSRAVPPTCERIECPSYDVVDKGNGFEIRLYNSTQWMSTSSIDDISFVNATRTGFLRLFDYIQGKNKYGEKIEMTAPVITQVAPSDGPFCASSFVVSFFVPKKNQPNPPPADGLQLQTWGLKYAAVRQFGGFISDGDSVGEEAAALYSSLQGSIWFSAVSKGQTADPTSAYTVAQYNSPFEFSGRVNEIWMMFAMDSHRI >Ma08_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6318663:6320785:-1 gene:Ma08_g08890 transcript:Ma08_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKAPPDSPPHLCFTGFTIPKARRFRGPTGLTMALKAVHVTDVPNLDQVPVNPSIPRAARGFVLVGHRGKGMNALGSTDRRMRAVKENSLLSFNRAALFPIDFVEFDVQVTKDDVPIIFHDDKILTEDAGKILEKHVTDLYLEEFLSYGPQREPGKVGKSLLRRTKDGRVLSWNVEDDDSLCTLQEAFEKVDSRLGFNIELKFVDHAVYQLEDLTHALEAVLKVVHKHANCRPIIFSTFQPDAAQLLRKLQSAHPVFFLTNGGTETFDDVRRNSLDEAIKLCLEGGLQGIVSEVRGVFRTPSTVSRIKESNLSLLTYGQLNNVPEAVYLQHLMGIDGVIVDLVDEITEAVSDLTKPASAHEGGESFSGSLEQRQRPNFSQQELSFLLKLIPELVQH >Ma07_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10524754:10525373:1 gene:Ma07_g14090 transcript:Ma07_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPSFLMVVVALVSAIVLVPAVRAQSPAPAPAPTSDGVAIDQGIAYVLMLAALLLTYLIHPLDAASASDKLF >Ma07_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10687007:10692535:-1 gene:Ma07_g14250 transcript:Ma07_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAISGEFPVPVEPPARRLSSQSSSQRQHREDVYLNGHSPGLLDLHSIGTELLPQLDVRMEIAELLPSSRNPLPLQNNLMKSLSADKEKMSSVAKIKVVVRKRPLNKKEIAKKEEDIITIDSGSSSLTVHEMKLKVDLTEYLEKHEFVFDAVLDEDVSNDEVYRETVEPIVPAIFQCTKATCFAYGQTGSGKTYTMQPLPLKACQDILRLMHHTYRYQGFQLYVSFFEIYGGKLFDLLNDRRKLCMREDGKQQVCIVGLQEFRVSNVDSIRELIEKGNATRSTGTTGANEESSRSHAILQLAIKRSVVGRESKPARIVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQVHIPFRGSKLTEVLRDSFVGDSRTVMISCISPNSGSCEHTLNTLRYADRVKSLSKGNNKKDLLLATTNLRDSTMGQLSSVLLAFSDLDNKGIDISSETHPSHWSKQFAKESSLQYNLDHVPGGRDEGHVTSEYSKHSRVSSRGSSTVGVLDSSVNVYEQAIPSRKECKADAHPQLPVDNNTRIDSHVKQKYMHKGGVDDFGSDDDLNGLLQEEEDLIVAHRRQVEDTINIVTEEMNLLDEAEQPGNQLDEYVSRLNAILSKKAAGIVSLQAQLAHFRRRLAEQNVLVS >Ma07_p27150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33645382:33649713:1 gene:Ma07_g27150 transcript:Ma07_t27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTTFLIASGRRVPHRRWRVAFAMICSCRAIYSLAKKQFASVLRSPSFVVLEVSADDDADHRSKPSFSHVETDSLKSLVKERRLEDLRRLGGAAGLVGTLDSDKEAGIIGSGNDLRARREAFGSNSYPRPKPKGFFRFLYEALNDFFLLILMGCAIVSLAFGIKEHGIKEGWYDGASIFLAIILVSVVSAVSNFRQTKRFDRLSAECNDINVSVVRDGHRQDVSISDVVVGDVVFLKIGDQVPADGIFLQGHSLQIDESSMTGESDPVDIDASKNPFLTSGVKVIDGYASMLVTGVGMDTTWGEMMSTITRETSEATPLQERLDKLTSSIGKIGIVVAVLVFAVLMIRHFTGSTKDENGQPKFDKDKATASNVISAIVSIFQDAVTIIVVAIPEGLPLAVTLTLAFSMKRMMKDNAMVRMLSACETMGSVTTICTDKTGTLTLNQMKVTKFWIGNEEGGPMGSGGSTPFVAPRVLSLLRQAVGLNTTGSVYRANATAEPEISGSPTEKALLSWAVLDLGMDMGEMRRKCSVIHVEAFNSEKKRSGVLVQEEGSRATITHWKGAAEMILIRCSHYFDRNGSVRDIDAESRMKFEEVIRGMASSSLRCIAFAYKDTEAEDHLHVDQEETPRLDDDGLTLLGLVGLKDPCRPEVESAIGACRRAGVAVKMITGDNVFTARAIAVECGIIGADDSGESVVEGQEFRNYSAEERMEKVDQIRVMARSSPFDKLLMVQCLKEKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIVILDDNFSTVVTVMRWGRCVYNNIQKFLQFQLTVNIAALVVNFVSAISTGEVPLTTVQLLWVNLIMDTMGALALATDKPTKELMTKQPVGRTEPLITNIMWRNLAAQAMFQIAVLLVFHFRGESIFGVSEEVNNTLIFNTFVLCQVFNEFNSRKLEKKNVFEGMHQNKLFLGIVAVTIVLQVMMVEFLRKFADTVRLDWGQWGICLGIAAFSWPIGWLVKCIPVSDTPFLELVIHHIRASRIPSCL >Ma06_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7481909:7489724:-1 gene:Ma06_g10750 transcript:Ma06_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTRRKLHFSKLYSFVCGRSKFEVDHSQIGGPGFSRVAYANAPDCSEAGNLNYGSNYVSTTKYTLATFFPKSLFEQFRRVANLYFLFTAFLSFSSLAPYSAVSIIIPLIVVIGATMLKEAIEDWRRYRQDIKVNNRKVELYHRSSTFGFTEWKNLRVGDIVKVEKDNFFPVDLVMLSSSYEDGICYVETMNLDGETNLKLKQSLEVTSGLQADSSFQNFKMIIKCEDPNASLYTFVGTMEYEDQEYPLSPQQLLLRDSKLRNTDYIYGVVVFTGHDTKVMQNAMNPPSKRSKIEMKMDKLIYLLLFSLVVISSVGSVVFGIISSDDLQDGKVKRWYFRPDDSSIYYDPKRAAVAAILHFLTAIMLYSYFIPISLYVSIEIVKVLQSIFINQDIKMYHEESNKPARARTSNLNEELGQVATILSDKTGTLTCNSMEFIKCSIAGIAYGHGFTEVERAIARRKGSLSIENEQSEENHEQAKPAIKGYNFDDARIVNGNWVYEPHSDIIRMFFRLLAICHTAIPEVDEETGKISYEAESPDEAAFVIAARELGFEFYQRTQTRISIHELDPVSCKHVEKSYKLLNVLEFNSSRKRMSVVVQDDEGKLLLLSKGADSVMFEKLGKGGRGFENKTKEHMHEYADAGLRTLVLAYRRLDEEEYKSFNKRFMAAKNSVTTDRDEKIEEVADSIERNLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIITLDGPEIIHLEKDGNKEAIAKVSRDSVLYQINEGTKLLSSSNTESFALVIDGRSLAYALEDDAKNLFLQLAVGCASVICCRSSPKQKALVTRLVKAGSGKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDIAISQFRFLERLLLVHGHWCYRRISLMICYFFYKNLTFGLTLFLYEAYSSFSGQPAYDDWYMSLYNVAFTSLPAIALGVFDQDVSARFCLKFPMLYQEGVQNLLFNWLQILSWMFSGVCNGIMIFFFCTTALQHWAFRKGGEVVDLEVLGATMYTCVVWVVNCQMALSISYFTLIQHMFIWGGIALWYLFLLAYGAITPTLSTSAFMVFVEGLAPAPAYWIITLFIVIATLLPCFIYLAIQMRFFPMHHNMIQWMRFDGHADDPEYCQVVRQRSVRPTTVGVSARVDATVSQLARKVHDVVNLSP >Ma09_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:544432:546401:-1 gene:Ma09_g00800 transcript:Ma09_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKPNSLPFSLLLLALLFASVLVVDAHNVICKCHRVHGHKKHNHKGDGQHGGVSANGTLDVTVSQNGTAGGNQSSSYSSSSSSSSSNVTMSQSNTGAGDQNSSSSSSSTYGSLSSNTTGADNQTPSTPLTPPSSSSSNSYDNTGTGNPTTSSSSPLPPSSSSPSSDISTSQNITTAGNQSSSSSLPPPYSSSSSLPPPYSSSSTLPPPSSSSLPPASSYSSSSSTINVSQNITGAGNQATSSSIPPSSSSSSSSSSTSTSTYVDGSSNAGSVGVCFGRSGDNLPSPSVIVQLLKSHRISKVRLFVPIPAVLTALKGTGIRIMIGVPNENIMQLSSGGADAALAWLKTNVLAFVDASQVCYLAVGNEVLHTDASLTPYLVPAMYNFHKALQTLGLDSSIKLSSPCSSQILSVWTPPSNGAFAPFCLPAVQSMLKFLSETGAPFMVNMQPFHSFINDPVSTNLNFCLFQAKAQPMLDNGLQYTNMFDVMLDALATAMEREGFKGIPVMVTETGWPTAGNNVATPDNAAAYIGGILERRSHGVGTPKRPNQAAEVFLSDMFNENTKGGQEFEKHFGIFQPDGSPVINVTSFT >Ma05_p28260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39327078:39327764:1 gene:Ma05_g28260 transcript:Ma05_t28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRIPWIPRIPRLTRPPKIEPPPIREPLPPKPPQPPPVEKPQPLPPKKGPPPPPRDIVPPATSQPTPPSPRQPAATPTPPKGISPPLPRVPSFPRAPPLRLWPPRLSLNGPRDTAIVAGVASLGGLLLLAFFVALLVCCIVKRTTKKKNAKGKTVDMEAIETVHETAVPKPHGIDEHIVLQEMMRMAKMISEASRSEVEWKKQHWSGGNGGAGPSNADHHDVLEHKS >Ma11_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23811414:23820754:1 gene:Ma11_g18790 transcript:Ma11_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDLVAPLGMAAATSDHTSVVSINLFVALLCGCIVIGHLLEENRWMNESITALIIGLCTGIVILLTTKGKSSHVLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFFIISFGAIALFRKMDIGSLDIGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNFDLVHIDAIIVFKFLSNFCYLFITSTFLGAFSGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHSFATLSFIAETFLFLYVGMDALDIEKWKFVSGSPGKSVGVSSILIGLVLIGRAAFVFPLSFLSNLTKKSPDEKIIFKQQITIWWAGLMRGAVSMALAYNQFARSGHTQLRGNAIMITSTITVVLFSTVVFGLMTKPLVRLLLPHSAKHLSSILSEPSTPKALSCPLLENRHGSEGEMGGQLIPRPTRLGMLLSKPTHTVHHYWRKFDDAFMRPMFGGRGFVPFVPGSPIEQDLHE >Ma02_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20499736:20505432:-1 gene:Ma02_g11220 transcript:Ma02_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDPPGIQPRRARDEDPATAASSSAEIPAPTGLVAQPAEARGRCLWRKGGAAAVSWGAASTVGRRKEMEDAVAVAPAFMALTCERVGGCAAPPGSGEVSHVRFFGVYDGHGGAQVADYCAKRVHEVVAEEWDRIQNPECWKRRWETAFHDGFKRVDNEVIDEAVAPDIIGSTAVVVVISGCQIISSNCGDSRALLCRGNQRIQLTIDHKPDREDELMRIESLGGRVINWQGCRISGVLAVSRSIGDRYMRPWVIPVPEISFMSRSEDDDCLILASDGLWDVMSIEEVGDMACRHFRWQRRNGLVDGVSPAQAVADHLTELAYQKNSSDNISVVVVDLKSRSRRRPRQ >Ma05_p04980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3802921:3807086:-1 gene:Ma05_g04980 transcript:Ma05_t04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETSEIFGSLGGFLLSSFSRFSCRSWFPRRRRREEMAGRGGGGAAPAPKQDELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHFLVMLGTTVIIPTALVPQMGGGNDEKARVVQTLLFVAGINTLFQTLFGTRLPAVIGGSYTFVAPTVSIVLAGRYSDIVDPHEKFLRVMRGTQGALIVASTLQIIVGFSGLWRNVARFLSPLAAVPLVVLAGFGLYELGFPGVAKCIEIGFPQIILLVIFSQYIPHAIHSEKPVSDRFAVIFSVTIVWLYAYLLTVGGAYRHSPLKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVSLVESTGTFIAITRYASATPLPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQISALFMIFFSILGKFGAIFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTSVAGYGPVHTKARWFNDIINVTFSSKPFVAGLVAFFLDNTLNRHDDATKRDRGYHWWKRFQNFEGDSRSGEFYSLPFDLNRFFPSV >Ma05_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3802921:3807086:-1 gene:Ma05_g04980 transcript:Ma05_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGAAPAPKQDELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHFLVMLGTTVIIPTALVPQMGGGNDEKARVVQTLLFVAGINTLFQTLFGTRLPAVIGGSYTFVAPTVSIVLAGRYSDIVDPHEKFLRVMRGTQGALIVASTLQIIVGFSGLWRNVARFLSPLAAVPLVVLAGFGLYELGFPGVAKCIEIGFPQIILLVIFSQYIPHAIHSEKPVSDRFAVIFSVTIVWLYAYLLTVGGAYRHSPLKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVSLVESTGTFIAITRYASATPLPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQISALFMIFFSILGKFGAIFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTSVAGYGPVHTKARWFNDIINVTFSSKPFVAGLVAFFLDNTLNRHDDATKRDRGYHWWKRFQNFEGDSRSGEFYSLPFDLNRFFPSV >Ma05_p04980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3802921:3807086:-1 gene:Ma05_g04980 transcript:Ma05_t04980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGAAPAPKQDELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHFLVMLGTTVIIPTALVPQMGGGNDEKARVVQTLLFVAGINTLFQTLFGTRLPAVIGGSYTFVAPTVSIVLAGRYSDIVDPHEKFLRVMRGTQGALIVASTLQIIVGFSGLWRNVARFLSPLAAVPLVVLAGFGLYELGFPGVAKCIEIGFPQIILLVIFSQYIPHAIHSEKPVSDRFAVIFSVTIVWLYAYLLTVGGAYRHSPLKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVSLVESTGTFIAITRYASATPLPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQISALFMIFFSILGKFGAIFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTSVAGYGPVHTKARWFNDIINVTFSSKPFVAGLVAFFLDNTLNRHDDATKRDRGYHWWKRFQNFEGDSRSGEFYSLPFDLNRFFPSV >Ma09_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:14975141:15003498:1 gene:Ma09_g17980 transcript:Ma09_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAFSRRGLHADSLPGHLNDGAGGRSPADRRNRLPPRKGTGCRWTQVLLGGLVLVVLAFFGLGIWQLTLMTSAGGPGEFVSRIGGGGRRTRPVGSVLRFVPSDLMRRFEEQRSALDRRRSDGRLGLRPPRLALVIEDTYKDSNSLMLLTLVKSLMDLGYTFMIFMLENNEAHFLWQSVGCQLSVLDSDSLGSVDWSNYEGVIVSSLEGKKVIPRLMQEPFMSVPLIWIVHEDILGKRLSHYAELGWKDLINEWRNAFIRADAVVFPDFSLPMLYTLLDNGNFFVISGSPVDIWATSAYIASHSRNQLRGNYGFAENDRLILVIGSYFFYGDPPWDYRVMHALAPQVKRIKGLIGTIKFVFLCGNSTAAYSSTFQDVAVRMGFPDGSVRHYDMDLDVNNFLYMADIVLYWSFIEEQNFPPLLLQAMSFEIPIVAPNMSVIQKYVVNNVHGILFHPSTSDTLVRALSLLMGDKELSNIAHSVASHGKSLSMNMLASECISGYAELFESILHFPSDTLLPNSISQIQQKTWLWDLLDKEIKQTYTFTENENFLRNEYSRQRSSIVYLLEEQFSKRLMENDSQLVNETYAEDFPTLSDWDDISEMEASEDYVSREMQELDERMERTSGSWEDVYRNSRKAEKQKAEAYERDEGELERTGQPLCIYEVYTGEGAWPFLHHGSIYRGITLSFRARRSNSDDIDAVSRLPVLNDTYFRDLLCETGAMFAVANSVDSVHKLPWIGFQSWRAAGNKVSLSHAAEEVLEKTIQGQSKGDIIYYWAVMDMGLNKVDINRKLDFWSMCDLLNAAKCRLIYNRKQV >Ma09_p17980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:14975141:15004706:1 gene:Ma09_g17980 transcript:Ma09_t17980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAFSRRGLHADSLPGHLNDGAGGRSPADRRNRLPPRKGTGCRWTQVLLGGLVLVVLAFFGLGIWQLTLMTSAGGPGEFVSRIGGGGRRTRPVGSVLRFVPSDLMRRFEEQRSALDRRRSDGRLGLRPPRLALVIEDTYKDSNSLMLLTLVKSLMDLGYTFMIFMLENNEAHFLWQSVGCQLSVLDSDSLGSVDWSNYEGVIVSSLEGKKVIPRLMQEPFMSVPLIWIVHEDILGKRLSHYAELGWKDLINEWRNAFIRADAVVFPDFSLPMLYTLLDNGNFFVISGSPVDIWATSAYIASHSRNQLRGNYGFAENDRLILVIGSYFFYGDPPWDYRVMHALAPQVKRIKGLIGTIKFVFLCGNSTAAYSSTFQDVAVRMGFPDGSVRHYDMDLDVNNFLYMADIVLYWSFIEEQNFPPLLLQAMSFEIPIVAPNMSVIQKYVVNNVHGILFHPSTSDTLVRALSLLMGDKELSNIAHSVASHGKSLSMNMLASECISGYAELFESILHFPSDTLLPNSISQIQQKTWLWDLLDKEIKQTYTFTENENFLRNEYSRQRSSIVYLLEEQFSKRLMENDSQLVNETYAEDFPTLSDWDDISEMEASEDYVSREMQELDERMERTSGSWEDVYRNSRKAEKQKAEAYERDEGELERTGQPLCIYEVYTGEGAWPFLHHGSIYRGITLSFRARRSNSDDIDAVSRLPVLNDTYFRDLLCETGAMFAVANSVDSVHKLPWIGFQSWRAAGNKVSLSHAAEEVLEKTIQGQSKGDIIYYWAVMDMGLNKVDINRKLDFWSMCDLLNAAKCRVMFEDAFRQMYGLPPDMRALPPMPFDGDHWSVLHSWVMPTPSFLEFIMFARIFADSLDSLNQNNSSLTSCILGSSRLEIRHCYCRVLEVLVNVWAYHSGRKMVYLDPFTGELKEQHPLELRDMWVKYFNSDLLKSMDEDLAEKADDGMHPNDRWLWPLTGEVHWQGILDREREERLKQKMDKKKKSREKLLERQKHGYKQKSLGQKDKSP >Ma05_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30852408:30856228:1 gene:Ma05_g19990 transcript:Ma05_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRPSVVSFIDDAEEDGAELEWPFGRLKDLSRDELRATAYEIFFAASRSTPGFGGRATPSHHASDASSSAAATDAAGRLLYSPGGLGGFGGGANMAVTSRIKRSLGLRTRRAAYMRPMTHLGSPAMSPGITLGGGGSSSGKVKQRPMTSAEIMRQQMGVSEQRDNRLRKTLVRTLVGQAGKRTEAIILPLELLRHLKPSDFSDPQQYHQWQQRQLRILEAGLLLHPSVPLDRTNSAAHRFSEIMQGSEFKPIDTGKNSETIRNLCNCVMALAWRTQNGAPVEVCHWADGFPLNVYLYLALLRSIFDIRDETVVLDEVDELVELMKKTWSTFGINRMTHNVLFTWALFEQYVATGQVEPDLIAATLMMLIEVANDAKRPDREPGYVRVLSAALAAMQGWAEKRLLEYHDWFDKGTIASMENVLRLALSTAKIISEDASSCGGAAVFAEREMLFSSKFSSVNRVEQYIRSSLKSAFTKVFENGNGKIDSMVVEVDEDPNDTLIHLAKETERIARFEKETYSQTLKRWHPAPTAVAVVTLHNCFGVVLKQHLARGSGLTNELVRVLHTAGKLERKLVQMGMEDSADADDGGKGIMREISPYEVDSVILNLMKNWIDDRLRMATECVSRAKETESWNPKSKSDPYAQSAMELMKLAKVTVDEFFEIQVGGRDELVQNLADGLDSLFQDYISFVASCGSKQSYIPALPQLTRCNQDSRVLQLWKKASTPCKAGIDPSLLRAPCRAGIDRSLRPLRRPGAAGDGMHQPRPTASRGTQRLYVRLNTLHYLLGLLHTIDKSLSFFSRPGPSPSPHTPLRSRRRAVYPTHFDLARSSIHSTILHVAEVAAYRLIFLDSSQSFYDSLYVGSVAEARIRPTLRALKQNLSLLVSVLTDRAQPLAVKEIMKASFEAFLMVLLAGGSGRAFARADYDMVAEDLASLKRIFCTSGEGLVAEEVVQKEAAAMEGVARLMSVPTEKLVEEFSVMACEASGLGRSLETVPMPPTTGKWHRSDPNTVLRVLSHRNDDVANRFLKRAYDLPKRR >Ma07_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30466301:30468316:1 gene:Ma07_g22580 transcript:Ma07_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGKGKGGKSSDGLGTCTYGKARHILCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKVAAKYSECPSGKEGGDLGWFPRGKMADPFQDGAFSTPTGVTSATFKSTCMVTTSFFVKGGKIKSFGVEQLLQMGGTGIIC >Ma03_p20000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25229967:25231940:1 gene:Ma03_g20000 transcript:Ma03_t20000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELELDLSVTGATRNLAFASTETEAVFVLLAHLPGLVREKIEVSVNEEGTEISISGGGDKSAVETVVGRRLRLRNEFEINPFRKVFRIPNGVALDQIDAGFYEEGDILVILMPKSVRGNAAGIAIREVQGGEAPREQKLEGDEVISMDRPHGHAETNEARAAIDDAKPPKAEEEEETKQGKEEDLIRREGAEEKLDQNGAESSGTDRNGDREPKHMEAEIKTPKEKDLEEEERRKVPDQPQKPSIEMEAPDLHGEPPPSAAPAPINGTGQEDTIEKTSGPDREEDEDEVPDSLEAPDADRDLHQPKGQQTYPDHQTEQEDKAHEPERQEDRAVPESFEAPQSEGDLHQPEEQQTDPHHQTEQEDQAHELEQQEDRAVPEPDGEDIHHPEDKTKPGEISDQIPRRSGDKAEEAADEVPQTDKPIEAPDAGEGEESSPGDTGEKERENGSKGKRKRRERAKEGGGAPPPSLAVFAFMLSLVALAVQLVRSRRRR >Ma03_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25229967:25231940:1 gene:Ma03_g20000 transcript:Ma03_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELDLSVTGATRNLAFASTETEAVFVLLAHLPAGLVREKIEVSVNEEGTEISISGGGDKSAVETVVGRRLRLRNEFEINPFRKVFRIPNGVALDQIDAGFYEEGDILVILMPKSVRGNAAGIAIREVQGGEAPREQKLEGDEVISMDRPHGHAETNEARAAIDDAKPPKAEEEEETKQGKEEDLIRREGAEEKLDQNGAESSGTDRNGDREPKHMEAEIKTPKEKDLEEEERRKVPDQPQKPSIEMEAPDLHGEPPPSAAPAPINGTGQEDTIEKTSGPDREEDEDEVPDSLEAPDADRDLHQPKGQQTYPDHQTEQEDKAHEPERQEDRAVPESFEAPQSEGDLHQPEEQQTDPHHQTEQEDQAHELEQQEDRAVPEPDGEDIHHPEDKTKPGEISDQIPRRSGDKAEEAADEVPQTDKPIEAPDAGEGEESSPGDTGEKERENGSKGKRKRRERAKEGGGAPPPSLAVFAFMLSLVALAVQLVRSRRRR >Ma06_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2954160:2958041:1 gene:Ma06_g04080 transcript:Ma06_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLAVPFADSDTRERLHDHFGSFEEYYPAQLIIYDASIGMVVNEEGLRAVAKYGVNGYPFTVKRFYELEAAAKKERSLRSLLVSPSRDYLISNDGSKVAVSDLEGKIVAFYFWYNIPDKYGRPNKLTLVLAEIYRKLKEAGESFEVVLVPLDDDKSSYEQGLASMPWLAIPFEDEGCEKLVRYFELWPFQLPTVLVIGADGKIMLKNYDRLISKYGVLAWEAFPFSKEQLDLLPEKAKAAQTLESLLVAGDLDYVIGKEGLKVPVKELVGKTILFFFAMSDSFHCQKFLPKLIEAYHKIKRMDSAFEVIFVPMGYMDSGAFEFFFRMPWLAVPVDDDRIGSLENTLEAHYVNTLVVIGPTGQTITKNAATSLKMYGADAYPFSEERIKEVEMAQTLESLLVAGDLDYVIGKEGLKVPVKELVGKTILLFFSIRRSGTCRGFLTHLIEEYHKIKHMDSAFEVVNISMDKDQDSFEEFFSGMPWLSLPFGDERKKSLKRTFQADIIYPSLVAIGPTGRTSTRNAMHSLATHGADAYPFSEERIKELDQKIDEMAKGWPEKRKHEQHKHGKLEWSCLHGLYMCRDCHKIGSGWCYLCSMCSFGLHPKCAPKEEKKEEEEHDEGSECDGEVYNDFEDSEDSEDSEDFEDSEDSE >Ma01_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5114968:5123548:-1 gene:Ma01_g07100 transcript:Ma01_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLVTSTTSAAIPRGSIRVSVNGFPSFLPKEVDKIRDPFARDMAKRIERLPVKISFSTSSIMSSCVKPLQERGTEPVVLLHGFDSSCLEWRYSYPLLEGAGIDTWAVDILGWGFSDLQMLPPCNVAAKREHLYQLWRTYIARPMVLVGPSLGASVAMDFIANHPEAVSVLKSIPLRFYANSLALNKVSLQSSWDSMNVGRLHCLQPWWEDATVDFMLSGGYDVRNHVKQIKQETLIIWGQDDQIVSSKVALRLHHELPDSTLIQIPGCGHIPHVEKPELVVQYILKFIRHE >Ma01_p07100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5114968:5123319:-1 gene:Ma01_g07100 transcript:Ma01_t07100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLCNWLLGWMIRHRHGEFGRISFSTSSIMSSCVKPLQERGTEPVVLLHGFDSSCLEWRYSYPLLEGAGIDTWAVDILGWGFSDLQMLPPCNVAAKREHLYQLWRTYIARPMVLVGPSLGASVAMDFIANHPEAVSKLVMIDASIYAEGTGNLAKLPRIVAYAGVSVLKSIPLRFYANSLALNKVSLQSSWDSMNVGRLHCLQPWWEDATVDFMLSGGYDVRNHVKQIKQETLIIWGQDDQIVSSKVALRLHHELPDSTLIQIPGCGHIPHVEKPELVVQYILKFIRHE >Ma01_p07100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5114968:5123548:-1 gene:Ma01_g07100 transcript:Ma01_t07100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLVTSTTSAAIPRGSIRVSVNGFPSFLPKEVDKIRDPFARDMAKRIERLPVKISFSTSSIMSSCVKPLQERGTEPVVLLHGFDSSCLEWRYSYPLLEGAGIDTWAVDILGWGFSDLQMLPPCNVAAKREHLYQLWRTYIARPMVLVGPSLGASVAMDFIANHPEAVSKLVMIDASIYAEGTGNLAKLPRIVAYAGVSVLKSIPLRFYANSLALNKVSLQSSWDSMNVGRLHCLQPWWEDATVDFMLSGGYDVRNHVKQIKQETLIIWGQDDQIVSSKVALIPGCGHIPHVEKPELVVQYILKFIRHE >Ma01_p07100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5114968:5123548:-1 gene:Ma01_g07100 transcript:Ma01_t07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLVTSTTSAAIPRGSIRVSVNGFPSFLPKEVDKIRDPFARDMAKRIERLPVKISFSTSSIMSSCVKPLQERGTEPVVLLHGFDSSCLEWRYSYPLLEGAGIDTWAVDILGWGFSDLQMLPPCNVAAKREHLYQLWRTYIARPMVLVGPSLGASVAMDFIANHPEAVSKLVMIDASIYAEGTGNLAKLPRIVAYAGVSVLKSIPLRFYANSLALNKVSLQSSWDSMNVGRLHCLQPWWEDATVDFMLSGGYDVRNHVKQIKQETLIIWGQDDQIVSSKVALRLHHELPDSTLIQIPGCGHIPHVEKPELVVQYILKFIRHE >Ma03_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2532815:2534519:-1 gene:Ma03_g03850 transcript:Ma03_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLTSSCVLFLLLSYRSHLANGEVQSYHKVQRRSLNPGAVCSPLKGSNVTSIRLVSRYGPCSPFETKELPSPEQILLKDQLRVNYLLKGVVKSQLNDSLASIPAEFGGGEYVITVGYGTPSREQTVTMDTGSDLSWIQCKPCNKCYSQQEPIFDPSQSSSYAAIPCNSSECSQPRGSCSSSCAYFIPYGDGSNSSGVYSYDRLTLSPNDVIEHFLFGCGTDNEGLFHDAAGLVGLGRGKQSLVSQTSQLYHSVFSYCLPSTSSNMGFLKLGEPSDASNTVYTRMQTSSKYPSFYFVDLIGISVAGEQLAISPSVFRSGGTILDSGTTITRLPPSAYEALRSAFREHMSAYPLKPTNGLLDTCYDFSGYKTITVPKVALHFDGVTLDLNVSGILRHDCLAFSGKQVDRLGIIGNVQQRTFEVVYDVGNERIGFAPHACS >Ma11_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19604528:19607464:-1 gene:Ma11_g14300 transcript:Ma11_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPTDVPDGALATPESCGDLPSAATAPTDLLPADSEEEIYHAERVLANGDFYSGQWCGNLPHGTGKYLWTDGCMYMGEWDHGKTMGKGTFSWPSGGTYEGEFKSGFMEGYGTYTGSLGDTYRGTWSVNLKHGHGVKSYANGDYYDGEWRSGVQDGHGRYVWKNGNEYDGQWRAGAIDGHGTLVWANGNRYEGGWENGLPKGDGSFRWADGGVYVGHWSKENGSLQQKGVYYPSPTASSPTARDPHGVFSVDLGDCKVSTGDNASALPPQKAVNRSGRSDLLQKQAALRFSKSTDSRPRRRASVEVVSTGGVPRCSNRHANTLTDCGVENDGGIEGEAEVSVDDILGGLRFDDAESKGVGRIQQPIKWTPREMKKQGEAISKGHKNYELMLNLQLGIRHAVGRLPEPKTLDLKTSVFDPKEKIWTRFPPEGTKQTPPHQSCEFKWKDYCPLVFRTLRQLFMVDPADYMMSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKVLLRMLPAYYNHFRAFENTLVTKFFGLHCVKLTGAAQRKVRFVIMGNLFCSEHPIHRRFDLKGSSHGRMTDKPEAEIDETTTLKDLDLNLIFRLQNSWFQEFHRQVDKDCEFLEQERIMDYSLLVGVHFREASTSQETTDGHSQTQIASSEVDDTNDATLRLSRVDMDEFLWDLIRLPSTKLGVNMPARVEQIVRSEGESLLVMEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPKQYSKRFRDFIYKVFSEDT >Ma08_p33380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43700275:43708718:-1 gene:Ma08_g33380 transcript:Ma08_t33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEKPSWVTHEGMQIFSIDIQAGGLRFATGGGDHKVRIWNMKSVATDSENDSSNPRLLATLRDHFGSVNCVRWAKHGRFLASGSDDHVILIHERKPGSGTTEFGSGEPPDVENWKVTMTLRGHTADVVDLNWSPDDLTLASGSLDNTIHIWNITTGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWSKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRKHFSNTQEANTAPAGWTNGACRTTSKEFQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYALFACSLDGTVATFHFEVKELGHRLTDTELDEIKRSRYGDVRGRQANLAESAAQLLLEAVCAKQSVSKKGASNIEQNQISGNASMDPINGINSQLVQKAPEAQAGDDKKNGGANMDGSNKMPPVQLSSPPKQREYRRPDGRKRIIPEAVGVPAYEENLSAAQAQLVEFSSLALDQVKDDRNAVADGGVKEASLKRPFSGSYGAYSYSDKCNNCGSKERSGLTARANINDSLIIEKAPALTSTDGRTNVEHMGSIGMTSYLMTSGALSIRVSNKKDGEDSLPICLEAKPVERSVHDVIGVSNSVFTKETEISCTKGSETLWSDRISGNVTVLAGNANFWAVGCEDGCLQIYTKCGRRAIPAMMMGSAAVFVDCDESWKLLLVTRRGLLYVWDLFNRTCILHESLSSLVTSREDSSAKDAGTIRIISARFSRSGSPLVVLATRHAFLFDMSLMCWLRIADDCFPASNFSSSFNLSHIQSGELGKLQVDVSKFMARKPSWTRVTGDGTQTRAHLETQLASSLVLKSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMADATLVDSKKPAWDPYVLGMKKQKLLREDILPAMASNRKVQRLLNEFMDLLSEYETNGTNADHMDVDGQTNDANI >Ma08_p33380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43700275:43708469:-1 gene:Ma08_g33380 transcript:Ma08_t33380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQTQDCWLLCVITLDQSIVLGTTEFGSGEPPDVENWKVTMTLRGHTADVVDLNWSPDDLTLASGSLDNTIHIWNITTGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWSKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRKHFSNTQEANTAPAGWTNGACRTTSKEFQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYALFACSLDGTVATFHFEVKELGHRLTDTELDEIKRSRYGDVRGRQANLAESAAQLLLEAVCAKQSVSKKGASNIEQNQISGNASMDPINGINSQLVQKAPEAQAGDDKKNGGANMDGSNKMPPVQLSSPPKQREYRRPDGRKRIIPEAVGVPAYEENLSAAQAQLVEFSSLALDQVKDDRNAVADGGVKEASLKRPFSGSYGAYSYSDKCNNCGSKERSGLTARANINDSLIIEKAPALTSTDGRTNVEHMGSIGMTSYLMTSGALSIRVSNKKDGEDSLPICLEAKPVERSVHDVIGVSNSVFTKETEISCTKGSETLWSDRISGNVTVLAGNANFWAVGCEDGCLQIYTKCGRRAIPAMMMGSAAVFVDCDESWKLLLVTRRGLLYVWDLFNRTCILHESLSSLVTSREDSSAKDAGTIRIISARFSRSGSPLVVLATRHAFLFDMSLMCWLRIADDCFPASNFSSSFNLSHIQSGELGKLQVDVSKFMARKPSWTRVTGDGTQTRAHLETQLASSLVLKSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMADATLVDSKKPAWDPYVLGMKKQKLLREDILPAMASNRKVQRLLNEFMDLLSEYETNGTNADHMDVDGQTNDANI >Ma01_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8948649:8954463:1 gene:Ma01_g12350 transcript:Ma01_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDCDDNCLQEDGSLCGEGMNGGNGFEALTRVELDIAYSSEKLLNLEILLMLVVDRANDFEAVSMEYKDISDESVLKAFESDILSGILDMEVKELQSFMCLLQTEIIEAHQQVSQNEHFEESAAKAEEKLHDAEKSVKKLQDSVADILDQSSTFIRTLALCHCETRTDESEEPDNGHLSSISTKLKPQSLDQQRHVLQMLEKSLARELDFEKKLSDLRYSEEDLKLKLHYAERELYCMEELMEICLERAFEAENAAEILLGTSEELAGRVQVLQLNLNSSQHREHEMRSKLQESLMKIHAEEAAKERLTHAELDLISSKERGASGLKAEDESLLASSEILSLKDKVRTLEEKLRESDAQLRLAKASVGTSQQQQSALQSALSQVEKVIEGLKANVLRTESRAEIAEAKYAELSKTNVELNEELFFLRNSESEKTNLLERRCQELHTQLEHAKASVEALEEQQNGLYAAISDMQNMIEKLKTKVSKAESRAESAESKVNLLTESNLELNEELGFLRGRLEYLEMSLQQAEGAKTAIAKDIGIRTKTISDLVKKLALERERLQSQITALTKKNKILAEKCKTKENAHTSLSYNVEALTESSTTGSWVEKPIAAVPVSEKSAEMTVSAEESSGGDSKIETVRTIEAAHLDPKYLFMAVLIVSIAILTFYQYQQERNHA >Ma01_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7264864:7269150:-1 gene:Ma01_g10140 transcript:Ma01_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRFHQYQVVGRALPTETEEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERKPTKIKNYGVWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRQHCIQIIKTATIPSKLCKRESTKQFHDSKIKFPLVFKKVRPPSRKLKTTYKASRPNLFK >Ma01_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11089631:11090592:1 gene:Ma01_g15350 transcript:Ma01_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHSQQDDSSGYRSILGFRQDVNEHPFFSEACRGGREMPFRLGPVEEEEKHYFVLGADFKTQRKGEAEREEPAQNLRPFHCFLDEKPSTVVDSWLGMAVDQSKQAPDLKTRLSITIPVANHDMPVTAPPCYSDG >Ma00_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36087878:36088288:1 gene:Ma00_g04300 transcript:Ma00_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWGGGGGVCKWKNKKGDPRNSERVCAYASGKFSPIKSTIDAEIHAVMKTLEALKIYYIDKREILIRTDCQAIISFFNKSTQNKPSRVRGMTFVDYITGNGIEVQFEHIEGTSNILADSLSRLINVLINAGWKDE >Ma11_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10109073:10114264:1 gene:Ma11_g10610 transcript:Ma11_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESKSGGGGAALVAAAPASPKIVLKSIDMSEKMRNDAIDCARAAFEKHRLEKDIAEYIKKEFDKKYGATWHCIVGRNFGSYVTHETNHFLYFYVDSKAVLLFKSG >Ma03_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26259054:26266631:1 gene:Ma03_g21250 transcript:Ma03_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYVDLDRQIEQLRECKFLSEAEVKALCDQARAILVEEWNVQPVRCPVTVCGDIHGQFYDLVELFKIGGEAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRDLNRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHNNGLTLIARAHQLVMEGFNWCQERNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Ma04_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20390161:20391006:-1 gene:Ma04_g18450 transcript:Ma04_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLWLLLSLGVSVLSLLLLSRAAKRSSKSAGHQLPPGPTPLPVVGNLFELGDKPHRSLARLAKLYSPVMTLRLGQVTTVFVSSPEMAGEILQKNDAVLSSRWIPEAVRVLAHSEASMVWLPPCQRWRNLRRICKTQLFTAQRLDSYQSLRREKVQELMQYISDSTSKGLLVHVGRVAFSTTLNLISRTVFSVDLVDLYAESAQEFKQVVEGITEEAGRANLSDYFPLLAKLDPQGIRRRSTKYFKRLHDIFDEQIDRRLHRERD >Ma05_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7869740:7870868:-1 gene:Ma05_g10770 transcript:Ma05_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 2 [Source:Projected from Arabidopsis thaliana (AT1G47480) UniProtKB/Swiss-Prot;Acc:Q9SX78] MARLIPAVVHDFSPYFRVYQDGSIERLVTEEHTPASIDPHTGVTSKDISISTDVSARLYLPKLAEARHKLPLVVYFRGGAFCMMSSSSPTLHAHLNSLVAEANVVLVSVDYRRAPEHRIPVAYDDSWAALEWAASNRGVGAEPWLVDHVDFDRVFLAGDSAGGNIAHNLAMRAGERELPHGVKLSGIVLVDPYFWGSKPTASEEANPEMTARLDQLWPMVCPSSASGNDDPRVNPVAPSAPSLAGLACARLLVCAAEKDAMRDRARIYHEALRKSGWSGEVEMYETAGEDHGFYFSHPNGQNAKLLVKRIATFLCSTSNLAMYK >Ma10_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24681123:24683057:-1 gene:Ma10_g11060 transcript:Ma10_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSDEEKLRVSYDKKYKQLKDLVDRGAENYKIDSTWASVRKLRTKISIIIKSVGAFSSRIHEIRGEELQPQLIELIQGFIRMWTSLLDCHQKQLQALVDCKRHSLVMKTTSQWKSAVKVTKELELELLNWCNCFHDWISIQKSFIEALNGWQMKWLPQEQELTPDGPAPLSPTRIGAPSVFVISNDWYHAIKCVSGEKVIETMRGFTEIVHMVWEIQDEEQHQRLEEEHLSQIYDRKLKSWQAMQIDHLEIVSDINDGLKHHDDSLMALDLMKQRLDEKRAIHKETLERLQTTASNILPTGLVPTFEELGTFLSEALQAYKGIRIKNDSGGT >Ma03_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27124786:27126080:-1 gene:Ma03_g22350 transcript:Ma03_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLNQMTQRTYRGYDKLKCDLEHPPGCKIHRSGTLSTLEVSLFSSNVHFFSFINPAAVTVYVFPC >Ma11_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:245478:247495:1 gene:Ma11_g00340 transcript:Ma11_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDLGLVLCAVVVVFISILVWRALVYLTWRPYAITTAFRKQGVGGPAYKFWSGSSEEIKSIQREAMQLVLENHCHDITLRVLPQYRKWISEYGDVFLFWFGAKPSLFISDPEMVKQVLANKFGFYPKSDVPSAILALLGKGLVLVEGSEWARHRRVVNPAFTMDKLKLLTRTMADCAKSMLEGWHDLAMKAADGREEIEVAKQFQELTADIISHTAFGSSYVDGKEVFYAQKQLQMLAAASILDVEIPGHRYLPTHRNINKWKLERRVKNTLVRIIRGRLEASNSRFGNDLLGVMMEASQKQRGQTMSMDEIIDECKTFFFAGHETTSHLLTWAMFLLSTNQDWQERLREEVLQEFEAEVNQPWLVLQVTMVLLETLRLYGPVVQMARKAGKDMILGNISIPKDTAVMIPVLMIHRSKEIWGEDANEFNPLRFENGISKAASHPNALLAFSIGPRACIGQNFAMLEAKTVMAMILQRFSFSLSPKYVHAPVESLTLQPQYGLPIVFTPLHA >Ma08_p33440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43741107:43745186:-1 gene:Ma08_g33440 transcript:Ma08_t33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGRVGAAVEGKGWGLCRMPFFGGGGAGGSSSLNSSSAYSLTQQQHRPTGQGQPEARRRGSGGSSVSSVAKALLPTRRRLRLDPTTKIYFPYEPGKQVRSAVKIKNTSKSHVAFKFQTTAPKSCFMRPPGGILSPGESIIATVFKFVEPPENNEKPLEQKCKVKFKIVSLKVEGPMEYVPELFDEQKDHVAVEQILRVVFLDPERPSPQLEKLKRQLAEADAALEARKKPPEDTGPRIVGEGLVIDEWKERRERYLARQQVEGVDSV >Ma01_p11320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8186463:8195240:1 gene:Ma01_g11320 transcript:Ma01_t11320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGIVQLTLSKPRGSITGVKHHGVGNLLEVKNREDGRGYWDVVWNRSDPDSGIFDIILGTEFDVVQQDANQVEVSFRTQWNPSHGGKLVPLNVDKRFVMLRGSSGFYTYAIYEHLQGWPDFNLAETRVAFKLRKDRFHYMAIADNRQRIMPMPEDRQPGRSQKLDYSEAVRLTNPINAALRGEVDDKYQYSIENKDSMVHGWISSDPSVGFWVITPSIEFKSGGPVKQDLTSHVGPTALATFVSSHYAGDDILPRVRNGEYWKKVFGPVFIYLNSASTKSDPKLLWEDAKKQARVEEGSWPYKFPASKDFQKREQRGSVSGRLFVVDEYVAFHYVNGNAAFVGLASPGEAGSWQRESKGYQFWVRADAKGMFFIKNVRTGVYNLYAWVPGFIGDYKSSVNITVTSGNHINLGNLEYRPPRDGPTLWEIGIPDRSAAEFFVPDPYPCYINRLYVNHPDRFRQYGLWERYTDLYPDHDLMYTVGISNYKKDWFYAHVTRKHGQASYQATTWQIKFRLNNVRRSGTYKLRLALASAVFSEIQVRFNNPIVQQPAHFTTELIGRDNSIARHGIHGLYWLFSIDVDSSWLLKGDNIIFLTQTRSESPFQGVMYDYIRLEAPA >Ma01_p11320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8186463:8195240:1 gene:Ma01_g11320 transcript:Ma01_t11320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGIVQLTLSKPRGSITGVKHHGVGNLLEVKNREDGRGYANIYLYWDVVWNRSDPDSGIFDIILGTEFDVVQQDANQVEVSFRTQWNPSHGGKLVPLNVDKRFVMLRGSSGFYTYAIYEHLQGWPDFNLAETRVAFKLRKDRFHYMAIADNRQRIMPMPEDRQPGRSQKLDYSEAVRLTNPINAALRGEVDDKYQYSIENKDSMVHGWISSDPSVGFWVITPSIEFKSGGPVKQDLTSHVGPTALATFVSSHYAGDDILPRVRNGEYWKKVFGPVFIYLNSASTKSDPKLLWEDAKKQARVEEGSWPYKFPASKDFQKREQRGSVSGRLFVVDEYVAFHYVNGNAAFVGLASPGEAGSWQRESKGYQFWVRADAKGMFFIKNVRTGVYNLYAWVPGFIGDYKSSVNITVTSGNHINLGNLEYRPPRDGPTLWEIGIPDRSAAEFFVPDPYPCYINRLYVNHPDRFRQYGLWERYTDLYPDHDLMYTVGISNYKKDWFYAHVTRKHGQASYQATTWQIKFRLNNVRRSGTYKLRLALASAVFSEIQVRFNNPIVQQPAHFTTELIGRDNSIARHGIHGLYWLFSIDVDSSWLLKGDNIIFLTQTRSESPFQGVMYDYIRLEAPA >Ma01_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8191559:8195240:1 gene:Ma01_g11320 transcript:Ma01_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISINRILGTEFDVVQQDANQVEVSFRTQWNPSHGGKLVPLNVDKRFVMLRGSSGFYTYAIYEHLQGWPDFNLAETRVAFKLRKDRFHYMAIADNRQRIMPMPEDRQPGRSQKLDYSEAVRLTNPINAALRGEVDDKYQYSIENKDSMVHGWISSDPSVGFWVITPSIEFKSGGPVKQDLTSHVGPTALATFVSSHYAGDDILPRVRNGEYWKKVFGPVFIYLNSASTKSDPKLLWEDAKKQARVEEGSWPYKFPASKDFQKREQRGSVSGRLFVVDEYVAFHYVNGNAAFVGLASPGEAGSWQRESKGYQFWVRADAKGMFFIKNVRTGVYNLYAWVPGFIGDYKSSVNITVTSGNHINLGNLEYRPPRDGPTLWEIGIPDRSAAEFFVPDPYPCYINRLYVNHPDRFRQYGLWERYTDLYPDHDLMYTVGISNYKKDWFYAHVTRKHGQASYQATTWQIKFRLNNVRRSGTYKLRLALASAVFSEIQVRFNNPIVQQPAHFTTELIGRDNSIARHGIHGLYWLFSIDVDSSWLLKGDNIIFLTQTRSESPFQGVMYDYIRLEAPA >Ma05_p30060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40588355:40598674:-1 gene:Ma05_g30060 transcript:Ma05_t30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHRIRVSIAISCFCLLWVGGFTSLPPRELLGIAPQDEGYYKSDVIKCKDGSKKFTKQQLNDEFCDCPDGTDEPGTSACPEGEFYCRNAGHIPRTIFSSRVNDGVCDCCDGSDEYNGKSNCPNTCWEAGKSAREKLKKKIATHQDGLAIRKQELEKAKQAFAEDEAELLKLKNEEKILKGLVEKLREHKERIEKAEEEERLRKEKEEKKQREAERKSTEQNRPSEESAQYQSEGTQDTPMEMNSQDSVEIGHEPVVPPENEYNSRIEIPAVHNVGQKQVADNIGESITSGHGSDDVNNEIPSGHAADQEHISGNTEGLSREELGRLVASRWTGEHAEERTNEPNDANGEQEEQNQDFSDSAEEENYDSYNSDNDEDRHKFDDDDIQDVAAEEYGNHVEPDGSYDHDKDYKSEFPGSPSWLDKIKQTVQNIIQAFSFFKSPVDLSVASRVKKEYDDASSKLSKIQSRISGLSEKLKHDFGKEKDFYSFYDRCFENKQNKYIYKVCPFKKASQVEGHSSTQLGRWENFDESYRVMQFSNGDRCWNGPDRSLKVRLRCGLKDDLADVDEPSRCEYVAILSTPIICLEEKLKELQQKLEDMNKRQPSTHDEL >Ma05_p30060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40588355:40598674:-1 gene:Ma05_g30060 transcript:Ma05_t30060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHRIRVSIAISCFCLLWVGGFTSLPPRELLGIAPQDEGYYKSDVIKCKDGSKKFTKQQLNDEFCDCPDGTDEPGTSACPEGEFYCRNAGHIPRTIFSSRVNDGVCDCCDGSDEYNGKSNCPNTCWEAGKSAREKLKKKIATHQDGLAIRKQELEKAKQAFAEDEAELLKLKNEEKILKGLVEKLREHKERIEKAEEEERLRKEKEEKKQREAERKSTEQNRPSEESAQYQSEGTQDTPMEMNSQDSVEIGHEPVVPPENEYNSRIEIPAVHNKQVADNIGESITSGHGSDDVNNEIPSGHAADQEHISGNTEGLSREELGRLVASRWTGEHAEERTNEPNDANGEQEEQNQDFSDSAEEENYDSYNSDNDEDRHKFDDDDIQDVAAEEYGNHVEPDGSYDHDKDYKSEFPGSPSWLDKIKQTVQNIIQAFSFFKSPVDLSVASRVKKEYDDASSKLSKIQSRISGLSEKLKHDFGKEKDFYSFYDRCFENKQNKYIYKVCPFKKASQVEGHSSTQLGRWENFDESYRVMQFSNGDRCWNGPDRSLKVRLRCGLKDDLADVDEPSRCEYVAILSTPIICLEEKLKELQQKLEDMNKRQPSTHDEL >Ma11_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23578444:23582874:-1 gene:Ma11_g18540 transcript:Ma11_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPPLFLLRFLFLFPLLVVPALSQSPPQPKGLLINCGSTDDLFTPDGLRWLADSRFVSAGSPRKLSLPGLLPVLSTLRSFPVRPGLLQPRKFCYVLRAVRGARYLIRTTYFYGNFDSPGGSGTPPVFDQIVDGTFWTTVNTTADYAAGVASYYEGVFRAKGMTMSVCVAENTYTTFDLFISALEMILLEDSVYNGTNFDEYAMGLIARSTFGSIGSTLRYPDDRFNRYWQPFSGSAHAMTSTHNISSSDFWNLPPATIFNTALVADKAKPLVLQWPPNLLPSSSYYIALYFADTLPGSTRTFDVYINDYRFYANLTVTSAGLVVFSTQWLLSGLTTITLAPGSPLPPLISAGEVFGLFSIGNVTHTRDIIALENIKKKIASPPSDWSGDPCMPEQYSWTGITCSNGSKIRVVALNLSSMGLAGSLSPLIARMTALTDISFAYNNFTGPIPDLSKLKNLQKLHLQNNQLTGVIPPSLANLSQLRELYLENNNLTGEIPRRLFRKGLDFRFLPGNHF >Ma11_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25548944:25551293:-1 gene:Ma11_g21360 transcript:Ma11_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVARPTRPAAAVAATASPFLHHAASHRGLIIQTMSESVSWAGRRRRTRSGRRCCDDCGSVPRVFFAGTDLKRRLMSATEVQQFVNSLRSTFSYLLALSVPTIAVIEGAAPGGGLELALSCDLRVCVRRFNI >Ma04_p26590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27990079:27996405:1 gene:Ma04_g26590 transcript:Ma04_t26590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWKKEKVSRVSRWSLSHNEALGEAGGGRSEEEGARFWPMLTTPTSASLTNRKRARLDGKVVDDCDAVDPSPVPRKLRSAINKCSSQSSSPAIPGAKKKPYDTFNGLQVLHGNGGRRCKQNVLLGSLTEDEKEVLEALCALSRILPIKERIQDDKDREISENHQDNIATPTTHSEAMKEDKNLLQQNTTTGIKSPSFCLEKTLEKPMKDEHAISKQPDTNSGMQTIDSGLSIAPDSGSHITLTENVHPENIPGNLQSFLSPSGVLPRHCTENRTLQPTRCGSIIAFPPCKSEMLQPNGCVGSAALKHEVQYLKHNSESSTKLVYQKGNVPPHIQLSSCNSAVWPGPATSITSKEVDLPTMKVPLDVTPLWKKCAIHVFISHMIKSYQEKEQQHTLVLPSEDSKSRVGSESCELVNNERAGLQSRLKMVASVAIYGSTVERSKHAGTNEISCNRRLVSAHISSVLSEYKQKQSCDFLSLSTNGDAGSTANGVKAPVQLHSPFFHAQVPFPVSHVPYVPAYPEKLVAPATQQVQPQLPHYAGNPFYGPQMNNTIGNSQQQHQQQQICQAHIARYRSPVGIAVLQNDQIHNSLSPSTQLQGFLSLSSKPKPQQQQHHHQLSLHGRRQHKDPHQFQLLYSTSRS >Ma04_p26590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27992190:27996405:1 gene:Ma04_g26590 transcript:Ma04_t26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWKKEKVSRVSRWSLSHNEALGEAGGGRSEEEGARFWPMLTTPTSASLTNRKRARLDGKVVDDCDAVDPSPVPRKLRSAINKCSSQSSSPAIPGAKKKPYDTFNGLQVLHGNGGRRCKQNVLLGSLTEDEKEVLEALCALSRILPIKERIQDDKDREISENHQDNIATPTTHSEAMKEDKNLLQQNTTTGIKSPSFCLEKTLEKPMKDEHAISKQPDTNSGMQTIDSGLSIAPDSGSHITLTENVHPENIPGNLQSFLSPSGVLPRHCTENRTLQPTRCGSIIAFPPCKSEMLQPNGCVGSAALKHEVQYLKHNSESSTKLVYQKGNVPPHIQLSSCNSAVWPGPATSITSKEVDLPTMKVPLDVTPLWKKCAIHVFISHMIKSYQEKEQQHTLVLPSEDSKSRVGSESCELVNNERAGLQSRLKMVASVAIYGSTVERSKHAGTNEISCNRRLVSAHISSVLSEYKQKQSCDFLSLSTNGDAGSTANGVKAPVQLHSPFFHAQVPFPVSHVPYVPAYPEKLVAPATQQVQPQLPHYAGNPFYGPQMNNTIGNSQQQHQQQQICQAHIARYRSPVGIAVLQNDQIHNSLSPSTQLQGFLSLSSKPKPQQQQHHHQLSLHGRRQHKDPHQFQLLYSTSRS >Ma04_p26590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27991277:27996405:1 gene:Ma04_g26590 transcript:Ma04_t26590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWKKEKVSRVSRWSLSHNEALGEAGGGRSEEEGARFWPMLTTPTSASLTNRKRARLDGKVVDDCDAVDPSPVPRKLRSAINKCSSQSSSPAIPGAKKKPYDTFNGLQVLHGNGGRRCKQNVLLGSLTEDEKEVLEALCALSRILPIKERIQDDKDREISENHQDNIATPTTHSEAMKEDKNLLQQNTTTGIKSPSFCLEKTLEKPMKDEHAISKQPDTNSGMQTIDSGLSIAPDSGSHITLTENVHPENIPGNLQSFLSPSGVLPRHCTENRTLQPTRCGSIIAFPPCKSEMLQPNGCVGSAALKHEVQYLKHNSESSTKLVYQKGNVPPHIQLSSCNSAVWPGPATSITSKEVDLPTMKVPLDVTPLWKKCAIHVFISHMIKSYQEKEQQHTLVLPSEDSKSRVGSESCELVNNERAGLQSRLKMVASVAIYGSTVERSKHAGTNEISCNRRLVSAHISSVLSEYKQKQSCDFLSLSTNGDAGSTANGVKAPVQLHSPFFHAQVPFPVSHVPYVPAYPEKLVAPATQQVQPQLPHYAGNPFYGPQMNNTIGNSQQQHQQQQICQAHIARYRSPVGIAVLQNDQIHNSLSPSTQLQGFLSLSSKPKPQQQQHHHQLSLHGRRQHKDPHQFQLLYSTSRS >Ma03_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4740948:4749215:-1 gene:Ma03_g06910 transcript:Ma03_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESGHLTMVAASNQQQQRRRWPSLMPLLAALVVFAEIAFLGRLDMAEKAASVVEQWSTPLYSSSSSSSSASWTLKGLDEDEDRGDTRNCEEWLEREDAVPYSRDFGKDPILVHGMQKDWSSCPMDCKFGFANDKVPDAAFGLPQNPAVAGILRSMESAHYYPENDIDTARRWIYLDIDRSVLGDRRGYKVVMTTSLSSDVPVGYFSWAEYDIMTPMQPKTEEALAAAFISNCGARNFRLQALTMLEESGIKIDSYGSCHRNRDGNVEKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVAGTVPVVIGAPNIQDFAPSPDSILHIKEISDIDSVAKSIRYLATNSDAYNHALRWKHEGPSDSFKALVDMAAVHSSCRLCIHLATKIQEIEEKSAAFQNRSCSCTSNMGTVYHLFVRERGRFKMESIYLRSGKLTLKALESAILAKFRSLNHTPIWKNERPTILRGGNDLKIHRIYPVGITQREALYTFRFNNDAELEKHIKSNPCAKLEVIFV >Ma03_p06910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4740948:4749213:-1 gene:Ma03_g06910 transcript:Ma03_t06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESGHLTMVAASNQQQQRRRWPSLMPLLAALVVFAEIAFLGRLDMAEKAASVVEQWSTPLYSSSSSSSSASWTLKGLDEDEDRGDTRNCEEWLEREDAVPYSRDFGKDPILVHGMQKDWSSCPMDCKFGFANDKVPDAAFGLPQNPAVAGILRSMESAHYYPENDIDTARRRGYKVVMTTSLSSDVPVGYFSWAEYDIMTPMQPKTEEALAAAFISNCGARNFRLQALTMLEESGIKIDSYGSCHRNRDGNVEKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVAGTVPVVIGAPNIQDFAPSPDSILHIKEISDIDSVAKSIRYLATNSDAYNHALRWKHEGPSDSFKALVDMAAVHSSCRLCIHLATKIQEIEEKSAAFQNRSCSCTSNMGTVYHLFVRERGRFKMESIYLRSGKLTLKALESAILAKFRSLNHTPIWKNERPTILRGGNDLKIHRIYPVGITQREALYTFRFNNDAELEKHIKSNPCAKLEVIFV >Ma05_p27450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38752126:38752446:1 gene:Ma05_g27450 transcript:Ma05_t27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKKITMPAHLMCDGPGLSGEGNKAQDFVCTLASKIRQLDERARGRAKKAPAMPFSWIYNREVQL >Ma05_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35558836:35560155:-1 gene:Ma05_g23490 transcript:Ma05_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEEELLVQRISEICDSISKLPSLSPSEDVDNLFTELVHLCIPVIPIDVVKLSSEVQAMRSKLIKLCGEAEGLLESHYSDVLTSFDNPLDHLSLFPYYSNYLKLSHLEYSLLSRYVASPPARVAFVGSGPLPLSSVVLAARHMQEAELHNYDLDATANARARRLVQGDPGMAARMAFHTEDVLAVTHALRGYDVVFLAALVGIGHDDKIRVVDHLARHMAPGALLVARSAHGARAFLYPVVEPADLKGFEVLTVHHPADEVINSVIVARKPQGGHAAGATAVVRPCKYCEMMQGFHHFGHGTMMEEVALEELPS >Ma03_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1305796:1309677:-1 gene:Ma03_g01900 transcript:Ma03_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQPYGCGVVGARGMPSFEGLRLAPSITPLASSVGIPMVGLKRRGFPGLVVKAATVVAPKYTSIKPLGDRVLVKIKSLEEKTSGGILLPTTAQSKPQGGEVVAVGEGRTIGPKQIDISVQAGKQVVYMKYAGTELEFNGSNHVLLKENDILGILETDDIKDLKPLNDRVLIKVAEAEVKTAGGLLLTEATKEKPSVGTVIDVGPGPLDEVGNRKPLKISPGSTILYSKYAGSEFKSADGADYIVLRASDVIAVLPSY >Ma06_p26280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28120620:28122825:1 gene:Ma06_g26280 transcript:Ma06_t26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVSSRNQRGKGGFKTKNVLHVCLLVAICVWLLYQMKHSHDKKKAYEERVSKLSFKGGEKRLDLASFGRKYLPHTVETEPIRESQIEEEDDEKVEHEIRREEAGDEEESGAGDHEIDGKDQEGSQRESEHGEEVTAAHEEGNKEDRTKGVDGLQDRDHEEASHKAREMSFRGDDASSEVVHITQQVEHEEGLQEARERSFKGDDASSAVAHVPQANEPVSESEDGGSRNLDEPGSQRTETKDGEIQDEDSSALTQLDDSTGNDSTAIPRGSEPSHNNTVFTRVVSPQKTTTGGESETHKKQQQPDLIIMSVINNQTDSERHSTNMTGNVVRSQTNSTSFSTNQINFLKNSTAVSIDGVAAKANLTAKWDANNVTASQNQTTSTHSVDGRTNTVELETQEPIEKNITVAKPEESEESYKNSSATNEKVVQGNSVHSLVHGDANLPGIQNGVHKEAAAET >Ma04_p29860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30664743:30669927:-1 gene:Ma04_g29860 transcript:Ma04_t29860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRTPLSGNKQHHAKPGPSRNNPFESVSDSELDLNINSAKTSSAPMVSKAKMKSNQFGENVNEEIGWESSAAWGYSAVKNSYKNDFRDSGGIENQSVQELENYAVYKAEETTNELNGCLRIAEEIREGATKTLVTLHQQGEQITRTHQTAADIEHDLSRGEKLLGNLGGLFSKKWKPKKTREIRGPLLTRDDSFIKRGSHLEQRQRLGLSAPRPRSEPRHSSSSEPTSALEKVETEKAKQDDALSDLSNLLGELKNMAIDMGSEIERQTAALDHMEDDVEEVNFRVKGANLRGRRLLRK >Ma04_p29860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30664743:30669927:-1 gene:Ma04_g29860 transcript:Ma04_t29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRTPLSGNKQHHAKPGPSRNNPFESVSDSELDLNINSAKTSSAPMVSKAKMKSNQFGENVNEEIGWESSAAWGYSAVKNSYKNDFRDSGGIENQSVQELENYAVYKAEETTNELNGCLRIAEEIREGATKTLVTLHQQGEQITRTHQTAADIEHDLSRGEKLLGNLGGLFSKKWKPKKTREIRGPLLTRDDSFIKRGSHLEQRQRLGLSAPRPRSEPRHSSSSEPTSALEKVETEKAKQDDALSDLSNLLGELKNMAIDMGSEIERQTAALDHMEDDVEEVNFRVKGANLRGRRLLRK >Ma06_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5298458:5299666:1 gene:Ma06_g07460 transcript:Ma06_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAFGRFDDSFSVGSLKAYLAEFISTLLFVFAGVGSAIAYNKLTSSAALDPAGLVAIAVCHGFALFVAVSVGFNISGGHVNPAVTFGLALGGQITILTGILYWISQLLGAVVGAFLLKFSTGLDTPTHGLGAGVGAGEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFSDLWIYFVGPLIGGGLAGLVYTYAYLLHDHQPLPQ >Ma05_p29870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40433598:40435493:-1 gene:Ma05_g29870 transcript:Ma05_t29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEEEENNWFARWEEQLPSPEELMPLSQTLITPELALAFDITAAGAANGNLNPALFPQPPAPPQPAACSHPAPPLSSDFESSASAAAGSGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSTSGGGPISAADAATDQLFASAPVPHQFLSRGPAAGPEPFLPYVPTAALQHQQQITAAMQQQQHQQQYYHQRHLGHFGSSTGGFDHGFLNRGMPQPAMHQMVGPAPGTGFLQLTPPPAALSVDDLEPARREDGGERKVLTLFPDR >Ma05_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34123714:34125718:-1 gene:Ma05_g22370 transcript:Ma05_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAQWRQEIGLAKPMQLVPSASSSPSTTTTTASNTCSTAMSQVVTERRARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKKSFLSTAATTATSSTATAASALVSNPKKLPTEHVSPPFSLSTSRKFHEGQDLNMAFPHPGLPEYNDFPSLESSTVNNNSSTSSRSIPCNALGALSAMELLSSGMTAREIGTFVPVPLPEYPTGFVLQEFRAPAFNFPIEGIGGGGGGGGEGGRGGSSGGYGALQGMQESAHGRLVFPFEDVKPGVADTSTTPELENSREEGVATPGFWNGMFGGGGSW >Ma09_p30750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40712039:40712500:1 gene:Ma09_g30750 transcript:Ma09_t30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGR >Ma07_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28021022:28023725:-1 gene:Ma07_g20060 transcript:Ma07_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMALMILIPGAVVLSLLLRAVWITISCYYLTPKRIRRAMAEQGVHGPDPRFLVGNLKDIAVLVKKTTSDDMEVIGHDIVGRLMPHYVLWSKIYGKRFMYWYGSEPRLCLTETNMIKELLSSKYAQITGRSWLQQQGSNHFVGQGLLMANGHSWFHQRRIVSPAFMADRLKSHAGYMVTCTKTMIKSMHDAIATGDDEVEIGGYLTRLTGDIISRTEFDSSYEKGRQIFQLLERLQSLTALSSRYLWIPGSRFLPSRFRREIKQMKVRVERLLMEIIQSSKDGVEIGRSSSYGRGLLGMLLSEAQKKREGFSYSLPLVMDECKTFFFAGHETSALLLTWTVMLLATNPTWQERARAEVAQVCGDDPPSSEHLPKLTLLTMIINESLRLYPPATLLPRMAFEDIRLGDLHIPKGLSIWIPVLAIHHDATIWGEDVHEFNPERFAGRSFALTRHFLPFASGPRNCVGQAYAMMEAKIILAMLLSSFSFAISNNYRHAPINVLTLKPKYGVPVHLRPLRH >Ma10_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27282743:27286326:-1 gene:Ma10_g15050 transcript:Ma10_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPPPQMPSQTSSRSWSIYGRGEIIERYEILGRIGSGAYADVYRGRRRSDGLVVALKEIHDYQSSFREIEALQALRGAPNVVDLIEYFWNEDEEDAVLVLEFLPADLGAVILEAKRGGAGIAIGEVKQWMLQILRAVEACHRSSVVHRDLKPSNLLISADGVLKLADFGQSMMLQETRLTSLDINPENETWIQQQPTIQHEGNVSWPEDPRPENQNIPGPRHVNEDDYMKDLYGMRAKNMMYDSDKDMSLQDGDTSCLATCSTGDIEVDPLKGSYTYDEAQDDIVDESGALTSCVGTRCFRAPELLYGSTSYGQEVDLWSLGCVFAELLSLDPLFPGTSDIDQLSKIISVLGDLTEETWSGCLDLPDYNKISFGKVDSPIGLEACLPDRSAAEVDLVRRLLSYNPAARAMAAELLHDSYFAEEPLPVPASELKIPTKEDNESSPGE >Ma01_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1088689:1092200:-1 gene:Ma01_g01580 transcript:Ma01_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNTTQASAWRKADENMDLGFWSSSSSYNNRKSITGPCTAMAGETAFGLSLASPGATDCSSARDSLLLRPHPHYQHHHLHFHHHHHPLLQEAGFREDLGVLKPIRGIPVYQHKPSFPLVPLHQQQQQQQPCDSSSTSNFSPFAATQGLSRSRFLPTRFPGKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKPAVSSGQSDGFENGSTGEICDDNSPDNPDQRGPGSTAAKMMQHGVGLWSNSSRGGCFTGTPGESTAGSMHLFKKDLRSKSLEMYSDMNSSCLSETLSSSMPNLEFTLGRSQ >Ma09_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:346471:347314:1 gene:Ma09_g00410 transcript:Ma09_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYATKNGQFWLIFGFFDLFLDCFLLIVVIAVSSAKR >Ma10_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23643823:23645168:-1 gene:Ma10_g09520 transcript:Ma10_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASKIRMESPLRRLPQSPPQTALHLQRTLASSSSPSFDRETEDVRLFPCHFCNKKFLKSQALGGHQNAHKKRRTMAGWSSFNFVSPHRGWTTPPFPIASHGSKYVPRESFNSPGPPRFGADHPQLATASGNRATYAAGHETIDLLNWQRGSHPPQVLLADASNSDGCQTELDLSLKL >Ma06_p28160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29919740:29923301:-1 gene:Ma06_g28160 transcript:Ma06_t28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEFGDAGSGASGDRQIASSRTKKALVGAGARILFYPTLVYNVVRNKMQAEFRWWDEIDQFVLLGAVPFPKDVPRLQQIGVRGVVTLNEPYETLVPSKLYKAHGIDHLVIPTRDYLFAPSLANICLAVDFIHNNASSGTMTYVHCKAGRGRSATVVLCYLIKHKNMTPLEALEYVRSKRPRVLLAPAQWQAVQQFSRGKFDLPASQSSDMSNGVLVTEDDIKGYCVVDDKFRRSSSCRAHAGGKALATADDLADSYIVAGGFEWNPRHSLSCPAGSDAVLVTEADFEGYNEFMVNCNDKSKAPNQNKITGTVMRKLSCLFCYFMSFAGSQSVTSGILAVCAF >Ma09_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3213173:3217470:1 gene:Ma09_g05010 transcript:Ma09_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g03580 [Source:Projected from Arabidopsis thaliana (AT3G03580) UniProtKB/Swiss-Prot;Acc:Q9SS60] MFAEALDCYEDMRKTKLRPDAFTLPSVISACAGLGDPRISREVHQHVVELGLMSDLYIGNALIGMYSRVGSLVDARDVFDGMARRDIVSWNSLISGYSANGEWEKAVEVYGAIRMDGFVPDCFTVESVLPAFGGLGAIQEGQMVHGLVYKVGIEEDRLVGNGLIAMYCRFENLVDARRVFDMLVGRDVVSWNTIIDGYYQVGDFKDALQMFRKMMTGSKPDLVTLTVILHACCEMGDLQLGCSVHGYIMRNGYECDITALNILLAMYGKCGSLIMVHNLFDQMDVRDSFTWNSLINSYVRRGLFSKGIELFKSMKQFDVQPDFVTIVRLLSMCTELQQPVHGRGLHCNAIKRGLDSNLFVGNALLDMYSKCGSLEDALEEFQRMEVHDRVSWNTIISGCVHSGNCSLGFELISEMKVQGQRLDKATILGILPACSYVAAKRLGKEIHGCILKLNLECDAAICNALIEMYSKCGRLDHAVCVFEHMNIKDIVTWTSLIFAYGMYGQGDKALRVFMRMEKMGVMPDHVAFLAIIYACSHSGLVDEGKMYFKRMEQEYKIIPSLEHYACMVDLLARSGKLEEAEIFIEAMPVQPDISIWGALLSACRIFNETLIAERVCERIMAFDTENTGCHVLASNLYAAIGKWDMVVKIRKSMEAKTMKKDPGFSWIEVKNKVYVFGTGEQLVEQSEEVYKFLEVLTGLMAKEGYVPDRKFVLQDVEEDDKKHMLCTHSERLAIAFGLLNTKPGTPLLIMKNLRACGDCHTAIKYISKIVAREILIRDSNRFHLFKDGFCSCGDFW >Ma10_p28450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35322687:35327007:-1 gene:Ma10_g28450 transcript:Ma10_t28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSNMVLRGIASSSNNTRVAFGPSFLKRMKFLTKGTDDSVVNEDLPTNGFASSDTHPTKITSDPVTHKDFQSTNKSTGISVLDIVQQDVQNNPVMIYMTGLTDAPRCGFSALAVKVLRQYGVPIRARDILGDLKLKENVKAHTDWPTNPQIFIKGEFLGGSDIILSMHQKGELKDLLADITGDDRQGRE >Ma11_p11550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13980100:13994398:1 gene:Ma11_g11550 transcript:Ma11_t11550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGFLAKDGNQVKQLSHPISDHDSSTQSTGQSCQEASGTSEDNVHEQHISVNSGVGNTYKNLVDGHMKPILSLGTSETAFSFPKLDYSQSFAHVPYPCADPYFGGILALCGPHAMIHPQMVGIASSGRVPLPLQPAAEEPLYVNAKQYNAILRRRQLRAKLEAQNKLIKARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQKQTQTSAISGCQDHSGSKLCSGSGSIGSSAPSINSNIRTASTNGSMLTQQDHLSFPFPDFHSNVGVGTQGGHTMMGGVVQSFKS >Ma11_p11550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13979138:13994398:1 gene:Ma11_g11550 transcript:Ma11_t11550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGFLAKDGNQVKQLSHPISDHDSSTQSTGQSCQEASGTSEDNVHEQHISVNSGVGNTYKNLVDGHMKPILSLGTSETAFSFPKLDYSQSFAHVPYPCADPYFGGILALCGPHAMIHPQMVGIASSGRVPLPLQPAAEEPLYVNAKQYNAILRRRQLRAKLEAQNKLIKARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQKQTQTSAISGCQDHSGSKLCSGSGSIGSSAPSINSNIRTASTNGSMLTQQDHLSFPFPDFHSNVGVGTQGGHTMMGGVVQSFKS >Ma11_p11550.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13979138:13994398:1 gene:Ma11_g11550 transcript:Ma11_t11550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGFLAKDGNQVKQLSHPISDHDSSTQSTGQSCQEASGTSEDNVHEQHISVNSGVGNTYKNLVDGHMKPILSLGTSETAFSFPKLDYSQSFAHVPYPCADPYFGGILALCGPHAMIHPQMVGIASSGRVPLPLQPAAEEPLYVNAKQYNAILRRRQLRAKLEAQNKLIKARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQKQTQTSAISGCQDHSGSKLCSGSGSIGSSAPSINSNIRTASTNGSMLTQQDHLSFPFPDFHSNVGVGTQGGHTMMGGVVQSFKS >Ma11_p11550.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13978717:13994398:1 gene:Ma11_g11550 transcript:Ma11_t11550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGFLAKDGNQVKQLSHPISDHDSSTQSTGQSCQEASGTSEDNVHEQHISVNSGVGNTYKNLVDGHMKPILSLGTSETAFSFPKLDYSQSFAHVPYPCADPYFGGILALCGPHAMIHPQMVGIASSGRVPLPLQPAAEEPLYVNAKQYNAILRRRQLRAKLEAQNKLIKARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQKQTQTSAISGCQDHSGSKLCSGSGSIGSSAPSINSNIRTASTNGSMLTQQDHLSFPFPDFHSNVGVGTQGGHTMMGGVVQSFKS >Ma11_p11550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13981433:13994398:1 gene:Ma11_g11550 transcript:Ma11_t11550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGFLAKDGNQVKQLSHPISDHDSSTQSTGQSCQEASGTSEDNVHEQHISVNSGVGNTYKNLVDGHMKPILSLGTSETAFSFPKLDYSQSFAHVPYPCADPYFGGILALCGPHAMIHPQMVGIASSGRVPLPLQPAAEEPLYVNAKQYNAILRRRQLRAKLEAQNKLIKARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQKQTQTSAISGCQDHSGSKLCSGSGSIGSSAPSINSNIRTASTNGSMLTQQDHLSFPFPDFHSNVGVGTQGGHTMMGGVVQSFKS >Ma11_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13978717:13994398:1 gene:Ma11_g11550 transcript:Ma11_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGFLAKDGNQVKQLSHPISDHDSSTQSTGQSCQEASGTSEDNVHEQHISVNSGVGNTYKNLVDGHMKPILSLGTSETAFSFPKLDYSQSFAHVPYPCADPYFGGILALCGPHAMIHPQMVGIASSGRVPLPLQPAAEEPLYVNAKQYNAILRRRQLRAKLEAQNKLIKARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQKQTQTSAISGCQDHSGSKLCSGSGSIGSSAPSINSNIRTASTNGSMLTQQDHLSFPFPDFHSNVGVGTQGGHTMMGGVVQSFKS >Ma06_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9584876:9595248:1 gene:Ma06_g14010 transcript:Ma06_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATFRPSSSMMTKPFAGHRSRRDLVPGRGTTRIGDLPGAVALRSRPRRGFAEIHASGAEDRSGETTPPPLSPLPPPTRHDPVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNTDKALFTISVSGTEKVLQQVVEQLYKLVNVLKVEDLSREPQVERELMLIKLSVDHHRRPEVLGLVDIFRAKVVDISENSITIEVTGDPGKIVAVQRSLSKFGIKEIARTGKIALRREKIGDTAPFWRFSAASYPDLESMSHMAILQSPSGTFSGDLEQSSGGDVYPVDPYNGFVVNQVLDAHWGVLDDEDSSGLRSHTLSILVNDIPGVLNIVTGVFSRRGYNIQSLAVGPAEKEGISRITTVVPGTDETIEKLVQQIYKLIDVHEVHDITHLPFAERELMLIKVAVNTAARRDILDIAEIFRAKAVDVSGHTIALELTGDLNKMVALQRLLEPYGICEVARTGRIALIRESGVNSNYLRGYALPI >Ma06_p14010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9584882:9595248:1 gene:Ma06_g14010 transcript:Ma06_t14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATFRPSSSMMTKPFAGHRSRRDLVPGRGTTRIGDLPGAVALRSRPRRGFAEIHASGAEDRSGETTPPPLSPLPPPTRHDPVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNTDKALFTISVSGTEKVLQQVVEQLYKLVNVLKVLGLVDIFRAKVVDISENSITIEVTGDPGKIVAVQRSLSKFGIKEIARTGKIALRREKIGDTAPFWRFSAASYPDLESMSHMAILQSPSGTFSGDLEQSSGGDVYPVDPYNGFVVNQVLDAHWGVLDDEDSSGLRSHTLSILVNDIPGVLNIVTGVFSRRGYNIQSLAVGPAEKEGISRITTVVPGTDETIEKLVQQIYKLIDVHEVHDITHLPFAERELMLIKVAVNTAARRDILDIAEIFRAKAVDVSGHTIALELTGDLNKMVALQRLLEPYGICEVARTGRIALIRESGVNSNYLRGYALPI >Ma10_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26328955:26331755:1 gene:Ma10_g13580 transcript:Ma10_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSSDYSAQPHHNLLNQVLEGLSSTKSLIHSYRSFNGLAARLTAKEKERIAGTKGVVSVFPSKNLQPSTTRSWDFLSFPESVKRNLPLERDIIVGVIDTGIWPESASFRDEGFGPPPRRWKGACENFKCNNKIIGARYFNSYNDTTHEASPRDYDGHGTHTASTVAGRSVRNVSLYGLAGGMARGAVPSARLAAYKVCWPAGCASEDLLAAFDHAIADGVDIISISIGSERASDYFEDPIAIGAFHAMKKGILTSASGGNEGRSGRGTVVNVAPWMLVSAASSIDRRIIDTLVS >Ma01_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1977166:1978886:-1 gene:Ma01_g03030 transcript:Ma01_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILCDVCGEEEASVFCCADEAALCGACDRRVHRANKVAGKHRRLSLSDSSAQSRPACDICQEKRGYLFCHEDRAILCRDCDASVHSASHLTMKHNRFLLTGARLSAAPIPCSPSPESEEAADKATTKNTVTTADQTKASVADGSSPIASTSAATPTTATSSISEYLINMCPGWRVEDLLVDDAAVVAMEDFSKGDDLPPFLGADLEAVAEKFPVWAPQVPQFPPPATPAAATTTARYQPWNTSKEAGRERWSEDLFAVPQISPASTPSKRPRHTPWYY >Ma07_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27262480:27262726:1 gene:Ma07_g19220 transcript:Ma07_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLISTFLALSEGIAVGRSLGMLKNEQIDGNKEMIAFGLKNIVGS >Ma05_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7299323:7301455:1 gene:Ma05_g10140 transcript:Ma05_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding HILKGNFENYGKGWYNYTNLKDLFGDGIFVVDGDKWRHQRKLASFGFSTKAIKDFSGAVFRSNAAKLAHIIASYANSNEKFEIQGLFMKSTMDSIFRTAFGVELNCLGGSDHAGSEFAKAFDASGEIITLRYINVFWKIMRFLNIGSEATLKKKIKVVDDFVYKLIHLRIQQISSTANDLAKNEDILSRFLEESKKDPQNMSLKYLRDIMLNLVLAGKDSTASTLSWFFYLICKNPSVQEKIYREVMEVIESSEVDAFSRNINDESLNNMHYLHATLSETLRLYPVAPIDNKVCFSDDILPGGYNVKKGDIVFYQPYAMGRMEFLWGEDAEIFRPERWLDDDGIFQPQSPYKFSAFQAGPRICVGKEVAYRQMKTFAAVLLRFFKFKLSDKKKVVHYKTSTTLLVDEGLNLEALHR >Ma08_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6518628:6518774:-1 gene:Ma08_g08990 transcript:Ma08_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYEVKDLKVRKGALECMVCLSEFEDNEELCLLPRYNHVFHLDCIDT >Ma01_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22110863:22113987:1 gene:Ma01_g21880 transcript:Ma01_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISAADVEDNICKFARKGLSPSQIGVVLRDSHGIAQVKSITGSKILRILKAHGLQPGTPEDLYCMIKKAVAIRKHLERNRKDKDSKFRLIMVESRIHRLTRYYKRTKKVDPTWKYDAKTASTVVA >Ma04_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1602273:1626918:-1 gene:Ma04_g01840 transcript:Ma04_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSPYVSLLSKALAFVPCCRPADAALFLATHSYSAASGAPQSSFMAEYLVSSCGFDPDEAAKASKLLGRIESRHQPDSVLGLFKSYGFDNTQVKMVISENPRWLLLDVEKNLAPKFRALQDLGFSCSDITHLVRSNNHAISHKSQTIMSKIQFWQGTLGSKDLLMKICKRNRRFLGYSIEKTIQPNIEILRDCGITDQKLSMILRHRPLLITRNAEYLKALISRVEGLGVARTSGMFLHALSVLQCISEKNFKAHLEFFKGFGWSEDDFLAAFRKTPTLVACSLKSLQRKMEFLVNETRCTPSYLAPRPGILLVSLEKTLIPRYRILTGLKSRGVHIGNLQMSTYMLYPEKKFLEKFVIRHKEFPELIELYNVAPKTQTAL >Ma10_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33052283:33054834:1 gene:Ma10_g24460 transcript:Ma10_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNVVPAEAVAAITAAAAVASSSPLYPYPPPRSFQKKYLSQLDFAGGRIGTWVESMKASSPTHTKAVGAIGVPVDEQSAAWIMRHPSALTKFEQIMSASKGKQIVMFLDYDGTLSPIVEDPDSAFMTDAMRAAVRNVARYFPTAIVTGRCLEKVIDFVGLTELYYAGSHGMDIKGPAKPRHTKAKAKSVLFQPAREFLPMIDGVYRSLLERTKSTAGAKVENNKFCVSVHFRCVDEKSWGALIEQVRSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFADCKDVMPVYIGDDRTDEDAFKVLRDRGQGLGILVSKFPKETNATYSLQEPTEVKDFLVRLVEWKRPTMKALSKV >Ma04_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3996088:4010760:-1 gene:Ma04_g05380 transcript:Ma04_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 homolog [Source:Projected from Arabidopsis thaliana (AT1G08190) UniProtKB/Swiss-Prot;Acc:P93043] MASNHPPENGVDGDDEREEDDDAEEEEDEEEREDEEGEPRLKYQRLGGSVPSLLSNDAAASIAVAERMIALGTHDGTVHILDFQGNQVKEYAAHTATVNDISFDSEGEYVGSCSDDGSVVVNGLFTDERLKFEYHRPMKTIALDPDFSRKPSRRFVTGGLAGQLFLNLKNWLGYGKQVLHDGEGPIHAVKWRTNLIAWANDAGVKIYDMANNQRISFIERPRGSPRPELLLPHLVWQDDTLLVVGWGTCVKIAAIKTNPSNGANGLQRTISISSAKYVDIVASFQTSYYISGIAPFGDTLVVLAYIPEENAEKDFRSTVPSRQGTAQRPEIRIVTWKNDELTTDALPVHGYEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDVVIARPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAASLCPKLLRSSASAWERWVFHFAHLRQLPVLVPYMPIENPQLSDTAYEVALVSLATNPSFHKLLLSTIKSWPNTLYSSMPVISAIEPQLNTSSMTDFLKEALAELYIINTQYEKAFVLYADLMKPEIFDFIEKHSLHDAIHDKVVELMTLDSKRAVQLLILHRDFIPPSEVVGQLIGASKKCDEKYLLHLYLHSLFEIDPQAGKEFHDLQVDLYAEYEPKMLLPFLRSSQHYRLDKAYEICVKKDLVREQVFILGRMGNVKQALAVIINKLEDMEEAVEFVTMQHDDDLWEELIKQCLRKPEMIGMLLEHTVGNLDPLYIVKKVPDGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKTDCVNLLVKYYNEARRAVHLGIEEEGNRKKEDDTAFGQKVERASSSIKTMELKSKTRGGGRCCLCFDPFYIQNLSVVVFFCCHAYHISCLIGASDSMNEASNASDSDDDSENDDTQPSRSGMCCVLCTTAGR >Ma03_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3463960:3464625:-1 gene:Ma03_g05320 transcript:Ma03_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVKLEWIVNDTARKATFKKRKKGLMKKVSELSTLCDVKACMIIYGPDEPHADVWPSVPDAMRVLARLKRLPETEQSKKMMNQEALMRQRIRKLQEQLQKQDKENRELETALLMHQCLSGRSLHDVAIEDVTALAWMTEMNMKKVRERIEDAALKSAEKVKEEKPVANDKGKTPMSAAATEELPRQDWFAGAMDLSELMISRNDDHKNTWLNTYYPMD >Ma07_p02280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1785738:1811090:1 gene:Ma07_g02280 transcript:Ma07_t02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEDSGSPGWGASLFMQTEDVARAFLAATSAATVRSPHPSVVFSSTDDNGDSQIQKFRRHVSRMLKGLSATPEVKGSYNPEILTSQKRQWARFQVQSLDQRSVKEPTKFFESMVVVGLHPSVDVQALHKLVLDHNNDYSKRRNLLNYNYQVNAESNLEPQVLFVYPPEKQLPLKYKDLLSFCFPGGLEVHAVERTPSLSELNEIILGQEQLKQSNQSFVFRLKSQAADDSTLYGCCVLVEEPVQKPSNLVSLPIGEKQCSSRLSRHILTTSRCYCILSRLPFFDMHFGILKSILIEERLEQLTKGTDMLNLLSPEETAEEDRVHNNIDSNLRVEYAVEEVLSPARGSDLTSETTPEEVSCDRDPISVLEPKIFIQNNVNLEVNQGARDPQTGKENGVCSEGNLDHSINNINGKKQHERHVMDAVFPLLGCQVYESSESSGSLQGSPCEDRDLRSNIDNAELEEPSSSGQEDLGHQNKILDWAKANCKGSLQIICEYYELQCPARGSTLTFQPLEHLHPLEFHRPGETVLHIAGSTIDLRSNGTNLEMAEVRTSLLAEEEATALSVWTVATICGCLRLEHGVLSASVLSVVPLIRPYQWHSLLMPVLPNDMLDFVDAPVPYIVGIKNKTADIQSKLANVILVDADKNQVKSPSMPQLPQHKELFSFLSPYYAKLVGESYLARRRPVYECTDVQIEAAKGFLAILRSYLDSFCSNLRSHTITNVQCNNDKVSVLLKDSYIDSFPYRDRSFMKLFVDTQLFSVHTDFVLSFYQKD >Ma07_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1785738:1811090:1 gene:Ma07_g02280 transcript:Ma07_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEDSGSPGWGASLFMQTEDVARAFLAATSAATVRSPHPSVVFSSTDDNGDSQIQKFRRHVSRMLKGLSATPEVKGSYNPEILTSQKRQWARFQVQSLDQRSVKEPTKFFESMVVVGLHPSVDVQALHKLVLDHNNDYSKRRNLLNYNYQVNAESNLEPQVLFVYPPEKQLPLKYKDLLSFCFPGGLEVHAVERTPSLSELNEIILGQEQLKQSNQSFVFRLKAADDSTLYGCCVLVEEPVQKPSNLVSLPIGEKQCSSRLSRHILTTSRCYCILSRLPFFDMHFGILKSILIEERLEQLTKGTDMLNLLSPEETAEEDRVHNNIDSNLRVEYAVEEVLSPARGSDLTSETTPEEVSCDRDPISVLEPKIFIQNNVNLEVNQGARDPQTGKENGVCSEGNLDHSINNINGKKQHERHVMDAVFPLLGCQVYESSESSGSLQGSPCEDRDLRSNIDNAELEEPSSSGQEDLGHQNKILDWAKANCKGSLQIICEYYELQCPARGSTLTFQPLEHLHPLEFHRPGETVLHIAGSTIDLRSNGTNLEMAEVRTSLLAEEEATALSVWTVATICGCLRLEHILVMLAGALLEKQIVVICSNLGVLSASVLSVVPLIRPYQWHSLLMPVLPNDMLDFVDAPVPYIVGIKNKTADIQSKLANVILVDADKNQVKSPSMPQLPQHKELFSFLSPYYAKLVGESYLARRRPVYECTDVQIEAAKGFLAILRSYLDSFCSNLRSHTITNVQCNNDKVSVLLKDSYIDSFPYRDRSFMKLFVDTQLFSVHTDFVLSFYQKD >Ma03_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7831337:7836914:-1 gene:Ma03_g10410 transcript:Ma03_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTWLLTCLCSLICSLEQFVGDTGKSQNCGQEHFYHHIHTMNIS >Ma02_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20995426:20999146:1 gene:Ma02_g12150 transcript:Ma02_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTTLLVLTFSLTVLSIELGISHGCRETERKALIDFKRGLHDPSNRLSSWVGEDCCAWEGVGCSNISGHVIKLDLRNRRRMDLYEDCSRQEVYYLLDDDPGCNWAVRGDITPSLRSLQQLNRLDLSGNYFMHKPIPKFLGAFRRLTYLNLSGAGFVGRVPDQLGNLSTLQHLDLSYNFYLDDEGGGFFCLYLENTRWISMLTSLRHLNMTRVRFTNAANWLQDLNALPQIQEIELRGCDLGTFPRSLSHVNFTSLTTLDLRGNDINSTIPDWVFNITSLEFLYLGGNDLHGFFPVSVTKLTSLRALDLSGSVFQDGFMQVAPISNLCKLQILYLSQVPINDVLANLEMVFSGCLRNSMEELNLRGTQLSGSFPDWLGNIKNLKSLDLSFNSLYGSVPASIGNLSLLQHLVLYSNDLNGTISKGIGQLKSLVYLDLKSNSLSLSEDDLANLSNLKYLDISYNFIELNKSDDWIPPFRLQSLFMHFCQIGPTPQFPKWLRTQTTLRQLDLSSASIKDMFFDRLPSSLEYLDLRNNYLSGHLPPKILNMMPRLKYLYLSNNKITEVKDMILDGRPSSLEQLDLSYNSLHESQLVSLGNLSMLRSLNLGSNNLKGMLPEGIKWLKGLTYLYLYNNSLRLSEDDLANLPSLKYLVISYNSIYLNKSDDWIPPFQLNTLWMGFCQILPMPQFPKWLRTQTNLRELDLSNTGIKETIPNWLPSGLQYLDLSDNKITEDMLLFIKGSEIKYTTSKQLSIDTLIDLSDNYLSGNIPEELGNLHGLRSLNLSGNYLIGSIPTGNQLQTFTDPSIYTGNPYLCGPPLPINCTVNIAKAIEEEQNEDSSESRMETLWLYTSITLGFITGFWVICRSLLLRRTWRITYFRAIDNMIGKLYVVMVVTVAKYRRKL >Ma00_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:38842079:38845107:1 gene:Ma00_g04500 transcript:Ma00_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVISQPHVPGDEVVIRKSVSYHPTVWGDYFILQAQSSPSTQECDARMQERAAELMEQVRSMFKDTTDILQTMDLVDSIQLLGLSYHFEKEISEALNRVHDADFNDQALYETALRFRLLRQEGYHVTPDVFNKFKDEKGSFMCTLESDVKGLLSLYNAAHLGTHREIILDEAISFTRNHLLSALGDLKPPLTTQVSLDLETPLYRRMRRLLARDYIFIYQEDATRNDVILELAKLDFNILQSLHREELKNISLWWNEIAPFKSLDFSRDRLVECYYWTLGIYFEPHYSRARMITAKVIALITIMDDIYDVYSTLEESRQFTEAIQRWDAKTVHQLPEYMKDYFLKLIHTFEEFETLLALDEKYRLYYLKEAMKAVSKAYFEESKWSAQRYVPTVEEHLQVSLISAAYPMLKCASFVGMGEIATEEVFKWITSFPKIVRASTIIARIMNDITSHEVWS >Ma08_p00270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:237532:253117:-1 gene:Ma08_g00270 transcript:Ma08_t00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MAFLSASILPLSSPHRSRFFPSRPSLSGRVSFDGIIENKPVAGSAIAVSLRSTAEVETVNIAEDVTQLIGKTPMIYLNKVVEGCVANIAAKLESMEPCRSVKDRIGYSMINDAEERGLIFPRKSILVEPTSGNTGVGIAFAAAARGYKLIATMPASINLERRVLLRSFGADIVLTDPDKGIKGAVDKAEEIVSRTPNAYMFRQFDNPVNTKIHFETTGPEIWEDTMGGVDIFIASIGTGGTITGAGRYLKMMNKHIKVIGVEPAETSVICGDNPGYVPSILDVTLLDEVIKVTTTEAIEVARMLALEEGLLIGISSGAAAAAAIHVAKRPENAGKLIAVIFPSFGERYISTVLFHSIHEEVRKIQK >Ma08_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:237532:253117:-1 gene:Ma08_g00270 transcript:Ma08_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MAFLSASILPLSSPHRSRFFPSRPSLSGRVSFDGIIENKPVAGSAIAVSLRSTAEVETVNIAEDVTQLIGKTPMIYLNKVVEGCVANIAAKLESMEPCRSVKDRIGYSMINDAEERGLIFPRKSILVEPTSGNTGVGIAFAAAARGYKLIATMPASINLERRVLLRSFGADIVLTDPDKGIKGAVDKAEEIVSRTPNAYMFRQFDNPVNTKIHFETTGPEIWEDTMGGVDIFIASIGTGGTITGAGRYLKMMNKHIKVIGVEPAETSVICGDNPAGYVPSILDVTLLDEVIKVTTTEAIEVARMLALEEGLLIGISSGAAAAAAIHVAKRPENAGKLIAVIFPSFGERYISTVLFHSIHEEVRKIQK >Ma11_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7056620:7059227:-1 gene:Ma11_g08920 transcript:Ma11_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWFSVVAAVVAALLAVAAHVSLYSPISPLPLPVSPFTFTPNNLLQRAEKLGEGRLEGPEDVYVDGDGTLYTATRDGWIKRMHAANGSWEDWRLVGGSSLLGFTLSVSGDVLICDADKGLLKVGKEDVVILASEVNGSKIRFADDVIEVSDGSGAYFSDASSRFGLHEWFLDLLEARPNGRLLKFDASTKETTVVLADLVFPNGVALSSDQDFLVVCETWRFRCLKHWLKGKKTGETEVFIDNLPGGPDNIKLAPDGSFWIAVLQLRSKGMDLLHRSPTAKTVVAAFPKVVKALQAMGRGAMVVNVGSDGKIRRMLDDSDGKVMSFVTSAMEFQGYLYLGSLHSNFVGKLNIRSDHPL >Ma03_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:339428:339707:1 gene:Ma03_g00360 transcript:Ma03_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCIHRYTTACWAMSAFTFCMIAPFISRFAKTIAILH >Ma10_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24524668:24535453:1 gene:Ma10_g10750 transcript:Ma10_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILIAQIQADLRSSDALRQSGALLQALQQSAAGRDVSAVARSTCEEILASPASAVSKKLAFDLIRSTRLTPDLWDTVCSAVRADLDFPDPDVAAAAVSILSAIPSHRLPRLVADAHREIAACFDSPSETLRLAATETLGCVLARDDLVLLCDTAPGLLDRASAWWDRIAEGTLDRSDAVSCAAFAAVGRLFQEFETKRMSRLAGDKLIDGENSLAIRSNWVVAAIDLVWKKRNALMARSLIIPVESFRATVFPLVYAAKAVASGSLEVFRKLSRSGESSNNTGTATAVDSSMSAEKHVGVSDVVSHLLPFLSSLDPPLIFEVGINMLSLADVRGGKPEWASASIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLSMQVSLFKMLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLPGTDITSLFEDARIKDDLNSVMSKSLFREELVASLVESCFQLSLPLPEQKNSGTEGRVIGALAYGTGYGALNWTESALEVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKRIKDGASQEQILNETRLRNLQLQLIKDLREVHTPRISARLIWAIAEHFDMEGLDPLLADDLEDPLNIIISNIHNVLFNTESSATTANRLQDVQAILICAQRLGTRNLRAGQLLSKELEDFRGSTLADSVNKHQSRYILQILKYVAGHPESRWVGVSETTGDYPFSHHKLTVQFFETSVAQDRKLEGLVHKAIQELWRHDPSELSLLLTKGIDSTGHKVPPKPHALTGSSDPCYVEAYHLADSTDGRITLHLKILNLTELEINRVDIRVGLSGALYFMDGSLQAVRPLRNLVSQDPVLSSVTVGVSHFERCALWVQVLYYPFYGSGVSGDYEGDYAEEDSQILRQKHSQKPELGEPVILRCQPYKIPLTDLLLPHKCSPVEYFRLWPSLPAILEYTGAYTYEGSGFKATAAQQYEASPFLSGLRSLASKPFHQVCSHFIRTVAGFQLCYAAKTWYGGFVGMMIFGASEVSRNVDLGDETTTMMCKFVIRASDPSITKEIGSDLQGWLDDITDGGVEYMPEEEVKTAAAERLRISMEKIALFKAAKPPPQTPKVEEEEEEEDQKKKENDDENGNPKEPSTLSTLTAEEVEHRALQSAVLQEWHILCKEKAVKVQ >Ma03_p29110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32144483:32149649:1 gene:Ma03_g29110 transcript:Ma03_t29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFLSHQLSNGLYVSGRPEPPKEKPLSMSSAAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLNVPLKSTSFGGAASQSGPIMPNSSSRSSYSGSLSSAVPGSGPSLVIVGSNRQKSNSGPLKHGDVVKKSSGPQSGGVIPMARQNSGPLPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLESAGSMKLHSTFIVHNQAVTKLSQDDGYSFSGSLPKLFLWCVGLLFIMGFIAGGFILGAVHNAILLIVVLVIFGVVAALCIWNICYGRQAMIGFIARYPDAELRTAKDGQYVKVSGVVTCGNVPLESSFHKVPRCVYTSTSLYEYRGLDSKTANSQHHRFTWGLRSLERNVVDFYISDFQSGLRALVKTGDGARVTPYVDESIVIDINSEKDLSPEYLRWLRKRNLSHGDCVMQLKEGYIKEGSTVSVMGVVKRNDNVLMIVPPSESFSTGCQWAKCILPASLEGIVLRCENTSKIDVIPV >Ma03_p26050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29936992:29937195:1 gene:Ma03_g26050 transcript:Ma03_t26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATSRRWSSRATWWRHRSTTTPTSPTTSPTHSGRTPPSPAPSTAASNAILQHRDDGHGRGFEELH >Ma03_p30500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33121714:33124151:-1 gene:Ma03_g30500 transcript:Ma03_t30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEDANMAAVESCHRVLSLLSETQHQPLAHKSLLAETGTAVSKFTKVVSMLGNGVGHARLRRLKSPHQLRFNHSIFLDNPAASRADPSAVLLQLLPRNLLQKPVNELGSTANMPPQIPPRMFLENPASEIQATLSSPTPTHLHFLHQQHNNRLLQLQQQLKLQNEMCERSNGGLNLMFDTSSCTATASSSRSFLSSLSIDGSMGSMDGKAFNLFGGPQLSNPMNWHPHDRRCSGGGIDGSGGKCGKTSRCHCSKKRKLRVKRTIKVPAISNKLADIPADDCSWRKYGQKPIKGSPYPRGYYKCSSMRGCPARKHVERCIEDPTMLIVTYEGEHNHAKL >Ma03_p30500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33121714:33124219:-1 gene:Ma03_g30500 transcript:Ma03_t30500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEDANMAAVESCHRVLSLLSETQHQPLAHKSLLAETGTAVSKFTKVVSMLGNGVGHARLRRLKSPHQLRFNHSIFLDNPAASRADPSAVLLQLLPRNLLQKPVNELGSTANMPPQIPPRMFLENPASEIQATLSSPTPTHLHFLHQQHNNRLLQLQQQLKLQNEMCERSNGGLNLMFDTSSCTATASSSRSFLSSLSIDGSMGSMDGKAFNLFGGPQLSNPMNWHPHDRRCSGGGIDGSGGKCGKTSRCHCSKKRKLRVKRTIKVPAISNKLADIPADDCSWRKYGQKPIKGSPYPRGYYKCSSMRGCPARKHVERCIEDPTMLIVTYEGEHNHAKL >Ma07_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:139917:144984:1 gene:Ma07_g00110 transcript:Ma07_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFIVYYSMYGHVERLAEEIKKGASSIEGVEAKMWQVPEILPDEVLVKMSAPPKSEVPIITANQLAEADGVLFGFPTRFGMMAAQFKAFLDATGGLWRSQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTYAGDGTRVPSELELEQAFHQGRYFAVMAKKLKTSA >Ma09_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4086598:4089149:-1 gene:Ma09_g06380 transcript:Ma09_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFFGILLKVFLAYLQS >Ma01_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9372118:9372198:-1 gene:Ma01_g12790 transcript:Ma01_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSAAVSKPAAAPIERVKLIQNQD >Ma07_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7265046:7269781:-1 gene:Ma07_g09680 transcript:Ma07_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDDGPLQISSTNVFAALETLKKKKKSDKLSKSKGPPKSQAREPEQQVFWAPTPLTVKSWADVDDDDDDYYATTAPPQAVWGLSEQQPKKEVVAAVEEESESEDDGLDIGDDDVEEEPEHEPEVAVATEPIIEKPASVSVPSKDAERQLSKKELKKKEMEELDALLHELGISSKDSNAAQDETNDKKQPEQSGGGEKKENSGAPLEIKSSKKKKAKKEKSSKDTKEYVEQPADLNNNNNSLDEVVAEPEEEDASAVDVKERIKKVASMKKKKSNKEMDAAAKAAAIEAAARSARLAAAKKKEKSHYNQQPAR >Ma10_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23511891:23513323:-1 gene:Ma10_g09300 transcript:Ma10_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQERLGGVVEEKNISHPGGLLIDHSSSSSPTSSVSSQSQSSSSSSLSSAASEQQQQQQQNNLRCPRCDSTNTKFCYYNNYNLAQPRHFCKTCRRYWTKGGALRNVPIGGGCRKSKVAPAIALAGGYGRSGATKTKPAPSDLFLRSNLTSGLDNELPSGPILCAPPHTSHLMTLFRNNNSYSYQQQPQQQQQQQPQQNNNITDIQDLCHRLKSSSSCYNDQLQTVANDVGSFDPSSCSTAASVITAAGMATSSPTPIMEHIRHSLGEFGHWNQALAWPDLPTPNVAFH >Ma03_p33450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34799453:34802331:1 gene:Ma03_g33450 transcript:Ma03_t33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENLWSATISLISISVPISILPLFFKDHILFRHCFCFLIQSVLRIPGVFPWLIIDWLKESRTILGAETLGVSTRQDLHQQHQSLLHAYNALHGVADNIGKSRKFPTGMSKRMDRSKWAINSIDIVLVLDNLLIL >Ma01_p04910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3381553:3391746:-1 gene:Ma01_g04910 transcript:Ma01_t04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRLLAVLVLVCVMSACRGRELRIGSEDNSHVYNHTLAKILVEYASAVSMSDLTALFSWTCSRCTDLTEGFEVVELIVDVKNCLQSFVGVAHDLNAIVVAFRGTQENSLRNWIQDLFWKQLDLNYPDMPGAMVHHGFYSAYHNTTLRPGIISAVQKARELYGDIPVMVTGHSMGGAMASFCALDLTVNHRIQNVQLMTFGQPRVGNAVFASYFSNHLPHAVRVTNEHDIVPHLPPYYSYFPKKTYHHFPTEVWIHNFGLDSLVYITEKICDGSGEDPSCSRSVSGNSVADHLEYFGIDMQADTWGSCGIVFNENMVQYQVDLAGNIVLSKWPTVSSTPQQIIEADKGRSAS >Ma01_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3381553:3391913:-1 gene:Ma01_g04910 transcript:Ma01_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRLLAVLVLVCVMSACRGRELRIGSEDNSHVYNHTLAKILVEYASAVSMSDLTALFSWTCSRCTDLTEGFEVVELIVDVKNCLQSFVGVAHDLNAIVVAFRGTQENSLRNWIQDLFWKQLDLNYPDMPGAMVHHGFYSAYHNTTLRPGIISAVQKARELYGDIPVMVTGHSMGGAMASFCALDLTVNHRIQNVQLMTFGQPRVGNAVFASYFSNHLPHAVRVTNEHDIVPHLPPYYSYFPKKTYHHFPTEVWIHNFGLDSLVYITEKICDGSGEDPSCSRSVSGNSVADHLEYFGIDMQADTWGSCGIVFNENMVQYQVDLAGNIVLSKWPTVSSTPQQIIEADKGRSAS >Ma07_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12752420:12754784:1 gene:Ma07_g16130 transcript:Ma07_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLLAECKPGLAMVVSQCIYAAMALSAKAAFARGMSPMVFSVYRQATAALVLAPLNITARRGKKNLIGLGLTAFFLVFVSSLVGATINQYFYYTGLSLSSSSMAMAMSNLTPAITFVIAASVGLEKVEVRSMRSMAKVFGTVTCVGGAVSMAFFKGPRLLNMEMEFHKLATLFHSASKNWIVGSLLLMGSSCCWSLWLVLQVPICRTYLDPLSLSIWMCVFSTFLSAALTFFLEPDISVWKIHSTLELSSCLFAGVFGSGVTFYLQSWTISLKGPLYSAMFNPLGTVITTISACLVLHEQLHIGSLVAAVAVVGGLYIVLWGKAKDIDPKSSCSNSQLPCVSERMTVVVDPGLRDSVYDLQKPLLGESLGTQDNQMEN >Ma04_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3666990:3670476:-1 gene:Ma04_g04810 transcript:Ma04_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase TDR [Source:Projected from Arabidopsis thaliana (AT5G61480) UniProtKB/Swiss-Prot;Acc:Q9FII5] MSAATLTVAFLCFLLLATAAAAAAEPLPVVALLSLGSSLLDPFSSLRDWSSYPSANLSGEPRPWCFWTAVTCDASGGITALDLSRKNLSGSIAVEIRLLSSSLTHLNLSGNSFGGPLPPFLFELTRLRTLDISHNDFNSTFPPGVSKLRSLSLLDAYSNSFVGPVPRGITKLRQLEHLNLGGSFFEGGIPLGLGSLPRLRYLHLAGNLLTGRLPHQLGSLTLLEHMEIGYNSYQGGVPPEFGRLTNLRYLDISSANVSGELPSEVGNLTQLESFFLFKNRISGRIPASLSDLRALKVLDLSDNLLCGQIPSGLSSLLDLTLLSLMNNQLSGEIPAGIGTLPNLQALLLWNNSLTGELPRELGVHGRLEKLDVSSNSLTGPIPPGLCYGNRLDRLILFSNFFDSVIPPSLANCTSLWRMRIEGNRLVGPIPTGFGSLLNLTYMDLSSNSLSGGIPEDLGHAPRLEYLNVSGNPLLTPLPKTIWRAPSLQILVASYCGLSGEIPDFDSGCRNLYKIELEGNELNGSIPADIGHCQKLLNLKLDRNRLTGCIPLELAQLPSIADIDLSWNSLAGTIPPVFDNCSTLESFNVSFNRLVGAVPSASAVLGNLHPSAFAGNVGLCGELVGKPCEVEGTAAPQQTARGSAGAAIVWIAAAAVAAGLVVLILGTRWTQQREEEIDEELGPGQWRMTAFQRLNFTAEDVAACVSSSDQIIGMGSTGIVHRAKMPSGEVIAVKKLWGPQKEAGRLMRRKGGVMAEVEVLGSVRHRNIVRLLGCCTNREATLLLYEYMPNGSLEDLLRDRSSSGGGKGGAGCGMSLDWTTRYRIAVGIAQGICYLHHDCKPVIVHRDLKPSNILLDAQMEARVADFGVAKLIRTTNQSMSVIAGSCGYIAPEYAYTLQVDEKSDIYSFGVVLMEMVSGRRSVEAEYGEGNSIVDWVRGKMLRAEGGGSWEVLDQSAGAGCKDVMEEMMMVLRIALLCTSRNPKDRPSMRDVLSMLREAMPNRKEAAIAEQQQHQGLGGSASRLQQ >Ma06_p29960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31312262:31315568:-1 gene:Ma06_g29960 transcript:Ma06_t29960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWVAEPKPSCSCSRRFYRYQGTSLFACRRAGWRLMSRLCRKKVVEAGNPEGREGHHRRPDAGAALVAHRQEQRMGRNGSRGSGELQKFQITSAESDEARLGKGADSEARPIYAHAKPACGRDDESPRSPLQQKVHGEPSQKHDQVNSVSLDRMPEHLHTTLGVSGVTQPSNGETAIQVQTLLNQPTDERVVLHSRREEVIKSAMKFSYMSIGISGGALVTIFFGNYVNQWEHSHNFHLKICTLIMLASFVSAVSILLLNFLQHHIRVSLQVFRSLKCTTFALLVLALLDVSILFMKILALFAFVPTIAVVVIACVIAFDEAPSTSDDHHQQ >Ma06_p29960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31312262:31315568:-1 gene:Ma06_g29960 transcript:Ma06_t29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWVAEPKPSCSCSRRFYRYQGTSLFACRRAGWRLMSRLCRKKVVEAGNPEGREGHHRRPDAGAALVAHRQEQRMGRNGSRGSGELQKFQITSAESDEARLGKGADSEARQPIYAHAKPACGRDDESPRSPLQQKVHGEPSQKHDQVNSVSLDRMPEHLHTTLGVSGVTQPSNGETAIQVQTLLNQPTDERVVLHSRREEVIKSAMKFSYMSIGISGGALVTIFFGNYVNQWEHSHNFHLKICTLIMLASFVSAVSILLLNFLQHHIRVSLQVFRSLKCTTFALLVLALLDVSILFMKILALFAFVPTIAVVVIACVIAFDEAPSTSDDHHQQ >Ma10_p29440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36036157:36036505:-1 gene:Ma10_g29440 transcript:Ma10_t29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSKKASSAGGGGRPATVGPRGTPASAAGMRRRRLGGGSAGGFGAASGGGGGSNMLRFYTDDAPGFKMTPTVVLVMSLCFIGFVTALHVFGKLYRYRSSGRS >Ma09_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10512569:10517170:-1 gene:Ma09_g15210 transcript:Ma09_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVKPENVLCIYEYVPKDTNAQVQYQAFVSQLRSKREKRGDANFKYAWFGSTAQEILRILTCGFGSAVAPTAGAAFGSGNYLTPGSRPFSRNYLNSGLPRRILYYKRGEWTDFPESAREAIIDGFRHQMPCMVVFFGCELMLVDLLCMVMSNSRTKKHASVAWIDEADRCFFPCVSFDGGVDEPSEQVSGVVRPQAYSVPAPQMVTEVIAGDGNGPPAPEAKILNLQTDSGSFAFMEKLFLWGMPSFVKPENVLCIYEYVPKDTNAQVQYQAFESQLRSTREKRGNANAKYAWFGSTTQEILRILTSGFGSAVAPTVGAAFGSGIYLTPYHRSFSSVNLCSVDGNGVQCMLLCQVILGNLEQVRPGSLQNSPSSDDYDSGVDFRKDPRCFVVWATHANTRVHPRSVVIFKLPPNLQEYFFDLSDFRFDKNPIRNIPPFNRLSAPKHTFVSLPGHEINQQMPYTILYYKVQHHISPIEKELLFRHHVDFQTGALTSEKFLGKISVVLGDHLLVSTLKRSIDRGIFEAAPNADDMKDTATSRMKPNSIPSKKANDSRGR >Ma09_p29930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40265698:40266764:-1 gene:Ma09_g29930 transcript:Ma09_t29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYPILFPSSTSFHSPRLSLSPSDTISNGQVFLGLQDGNNVPASHASRENEGNPSKKKEKKVRKPRYAFRTRSQLDILDDGFRWRKYGQKAVKNNRFPRSYYRCTHQGCDVKKQVQRLSMDESIVVTTYEGVHSHPVEKPNDNFEDILNQMQIYSSFQ >Ma09_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15953209:15955654:-1 gene:Ma09_g18150 transcript:Ma09_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDETEKEFGYTIAGLLKLPCGVKLFQRVLCKVEQDATELHLPRCNFAKGHDYSIVAVQTGPSTHLVRMAAPGSATVIRNQRNEIKELVASGSSSDSRMFGEPSKLESVKLHDLHPASWYCVAWYPIYRIPDGSFHAAFLTYHSLGHFVHRSSPESGHGLSEDVVSPVAGLQTYNHKGESWFQLWDMNSKVVQSEDVNHSDTSELIKERLRTLRQTASVLARAVVSKGNQRYVNRHPDYNFFVSRSG >Ma11_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27648374:27648627:1 gene:Ma11_g24810 transcript:Ma11_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYEDWLNKTQLLVLYPTHRSKKKRKKKENIFFDWIITIYKAFDLRREL >Ma01_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11663252:11664859:1 gene:Ma01_g16070 transcript:Ma01_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNEMISEVGMSHEEEKMRVSFLLASELHPQMPQGETRVRRRRKRTKGEGAEGDAKKRRLSDEQVRFLETSFGEEKKLEFERKVHLAAELGLDPKQVAVWFQNRRARHKSKQVEEAYLKLKSIHDATVVEKCHLETEVLKLKDKLHEAEEEIRKLSLVANGGVVGGNGGSGEVIGSPSSSTLTYQPVVADFGAAEKEAELMYIQEYEFNNSMMEWAYFYVTNRSRPCKLENGAFCNHCLFLS >Ma04_p10130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7244947:7248955:1 gene:Ma04_g10130 transcript:Ma04_t10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVDGTRGSSHSNGPVSCSNGAEERLDELRRLLGKSEGDLLKIVGVGAGAWGSVFAALLQDAYGQFRDKVQIRIWRRPGRPVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPICPLKVVTNLQEAVWDADIVVNGLPSTETREVFGEISRYWKERIGAPIIISLAKGIEAALDPVPRIITPTQMINHATGVPIENILYVGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMIAALTNESATSKSVYFAHCTSEMIFITHLLAEDPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEISLDMGDSIKGKGTIQGVSAVHAFYELLSQPSLSVLDPEEQKHVAPVELCPIMKTLHKILIKRELRTNAILQALRDETMNDPRDRIELAQSHAFYRPSLLGHDH >Ma04_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7245339:7248955:1 gene:Ma04_g10130 transcript:Ma04_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVDGTRGSSHSNGPVSCSNGAEERLDELRRLLGKSEGDLLKIVGVGAGAWGSVFAALLQDAYGQFRDKVQIRIWRRPGRPVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPICPLKVVTNLQEAVWDADIVVNGLPSTETREVFGEISRYWKERIGAPIIISLAKGIEAALDPVPRIITPTQMINHATGVPIENILYVGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMIAALTNESATSKSVYFAHCTSEMIFITHLLAEDPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEISLDMGDSIKGKGTIQGVSAVHAFYELLSQPSLSVLDPEEQKHVAPVELCPIMKTLHKILIKRELRTNAILQALRDETMNDPRDRIELAQSHAFYRPSLLGHDH >Ma04_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23389447:23393247:-1 gene:Ma04_g20600 transcript:Ma04_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPNMSLEGPLDALNFSTLRSLVGLNLSYNQLDGVIPPTISALSNLVYLDLIGNRFTGKLPVEIGSMKALQFLYLNKNQLSGSVPPSLGNLTGLLHLHLRDNQLTGFIPQELGRLQKLMHLTLGKNQLSGFIPPSLGNLTNLYQLSLYQNHLSGFIPRELGNLLNLVQLGLFNNSLTGHIPFFMGRNQTKLERLYLGVNELSGFIPPEIGNLNELTQLFLSDNQISGPIPSTFGNLTQLMGMDLHDNQLFGSIPPSLGNLRNLTDLSLFNNRLSGRLPAELNNITGLTSLLLSDNFFSGDLPSNICKGRTLQYLSLSNNSFRGQLPGTLKNCTGLIRVRLEQNQLTGDISQLLGVYPHLSYMDLSFNRLSGALPPDWGRWDNLTFLAISNNNITGAIPPEFGKLKELRELDLSSNYIQGEIPKSLGRLPHLYKLNLSCNRLGGEVRIEFGRMPDLEILDLSVNSLTGRIPSQIGICLKLRSLKLNGNKFGGGIPAEISSLEYLQDALDISHNSLTGEIPSQFSKFTMLQILNLSHNNLSGGLPSSLSAMISLLIIDVSYNELEGAVPESPVFRKAPAKWFVHNKGLCGVVKGLPPCLSYTARKDDGSKHHRAIISAIIASVVVLFILLVFLGAFSLFQKTKKHSMPSENNGNKEGMAFCVFNFDGRYAYKDIVAATEDFNEKYCIGSGAYGSVYRAELASGQMLAVKKIHLQEDETTSNEQSFQNEINTLTQIRHRNIVKLYGFCSSARHKFLVYEYMERGSLGSLLRSEAAAAELDWVKRVNIVKDVARALSYMHHDCDQPIVHRDITTNNILLDSELKACVSDFGIARLLKPDSSNWSMLAGTYGYLAPELAYEMRVTTKCDVYSFGVVTLELLIGGHGEGLVSVLSLPSSPKNTLVKYVLDQRPSLPTTEVADEVAAVLRLALCCVEHDPESRPTMKQVFGTLSTVNILPSLPSLDVLKLSDLMNAKI >Ma03_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24833634:24837317:1 gene:Ma03_g19530 transcript:Ma03_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELRFRLYDGSDIGPICYSSSSTVAMLKERIISEWPRDKKIIPKLANDVKLISAGKILDNSKTISQCRPSFGELPGGVITMHVVVQPSSAKSKTEKNVDELPKKACSCSIL >Ma07_p26570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33315900:33321376:1 gene:Ma07_g26570 transcript:Ma07_t26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSL1 [Source:Projected from Arabidopsis thaliana (AT1G28380) UniProtKB/TrEMBL;Acc:A0A178WFB2] MMMMVPSGRAEMTQEAVAEKAVAAIGCGYDLCSDLWLSHVKPDPEGRRLIELDQSLAHDLVLPGGVVVPNVPKSIECDRGSRTRFRSDVVSFHQMAEQFNQCLSLSGKIPSGTFNAMFDFRGRWQKDASATKSLAFDAWYVTLYGVELVSSRVVLLDKVKQEVPSSWDPAALAEFIEKYGTHIIVGLKMGGKDVVCVKQQQDSLLQETDVQNLLKKLADERFSETTNGDLILGEGKSSEKPKDKKIDKQENNAAFFTSVQSSIISHSKKDDIVSIVVRRGGINNNQSHNKWLATIPQSPDLVTMSFVPITSLLNGVTGSGFLSHAVNLYLRYKPPIEELGHFLEFQIPRQWAPAFGELPLGPRLKKQSLQSLQFTLLGPRLYVNNIQVDSGNRPVTGIRLYLEGKRNDRLAVHLQHLSSLPNMYQLSDATTYADDDTLFNDRQYYEPIKWSLLSHVCTAPVQYNGACIDDSASIVTKAWLQVKEMGMRKVLFLRLGFSNVTSMTIRRSEWNGPAVVSRKSGIISTLITSRFSTGLIPEPKPMVEVNSAIYPKGPPVPIRMPKMSRIVDTTEASRGPDDLPGYWVVTGAKLCVDGGKIFLRVKYSLLVEMPEDDFLM >Ma07_p26570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33315858:33321376:1 gene:Ma07_g26570 transcript:Ma07_t26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSL1 [Source:Projected from Arabidopsis thaliana (AT1G28380) UniProtKB/TrEMBL;Acc:A0A178WFB2] MMMMVPSGRAEMTQEAVAEKAVAAIGCGYDLCSDLWLSHVKPDPEGRRLIELDQSLAHDLVLPGGVVVPNVPKSIECDRGSRTRFRSDVVSFHQMAEQFNQCLSLSGKIPSGTFNAMFDFRGRWQKDASATKSLAFDAWYVTLYGVELVSSRVVLLDKVKQEVPSSWDPAALAEFIEKYGTHIIVGLKMGGKDVVCVKQQQDSLLQETDVQNLLKKLADERFSETTNGDLILGEGKSSEKPKDIVSIVVRRGGINNNQSHNKWLATIPQSPDLVTMSFVPITSLLNGVTGSGFLSHAVNLYLRYKPPIEELGHFLEFQIPRQWAPAFGELPLGPRLKKQSLQSLQFTLLGPRLYVNNIQVDSGNRPVTGIRLYLEGKRNDRLAVHLQHLSSLPNMYQLSDATTYADDDTLFNDRQYYEPIKWSLLSHVCTAPVQYNGACIDDSASIVTKAWLQVKEMGMRKVLFLRLGFSNVTSMTIRRSEWNGPAVVSRKSGIISTLITSRFSTGLIPEPKPMVEVNSAIYPKGPPVPIRMPKMSRIVDTTEASRGPDDLPGYWVVTGAKLCVDGGKIFLRVKYSLLVEMPEDDFLM >Ma05_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5557372:5563665:1 gene:Ma05_g07650 transcript:Ma05_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYQSAPTETSVHQFTVKDGSGRDVDLGIYKGKVLLVVNVASKCGFTNSNYTQLTELYNKYKSKDFEILAFPCNQFLHQEPRTEQEIKDFACTRFKAEFPIFQKVKVNGPETVAVYKFLKASKPGFMGNRIKWNFTKFLIDKEGKVIGRYGTTKSPSSIEQDIQKALGS >Ma11_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2129881:2130627:-1 gene:Ma11_g02880 transcript:Ma11_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSSFKSLHGAITNMKPSPTCIQEPKTQSFREADLYSSFCHLYCDSATTSPSSSGHSKPNETSCDQPPIDIHLLHLDEENVCSEAATMSSSSSSSSPPRTIRVNDDSDNFPLGPISSKRFFFSPRTTKSIMEEAKPEAGVVREVTEARRRKASFCDGSMTVTMASRDPYYDFRASMEEMVVAHELRDWHCLQELLHCYVRLNEKKHHKMIVLAFADLLMQLMSGDKENRPAAPPHLACYDGEVSD >Ma05_p14150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10282196:10285603:1 gene:Ma05_g14150 transcript:Ma05_t14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNGRLHHSKQVQRPIAVRLGSIPPPSVAHQHINRELSSLQLQATTHPPTHLRSPDPTPGKSIMHSPRRKSPPSESPFAVAKLAGSYIRKGGHLPVVVVVVTIFVFALVVMYSEDIRSIAAEYSLSRFKARGYDLARGSNDSTIHRQPMAEKKKPRKKRDEKSARSVVSVPESCDLSDGEWVFDDVNYPLYREDQCQFLSEQVSCLNNGRREAMYQKWRWQPKGCSLPKFDARLLLERLGGKRMMFVGDSMNRNQWESFVCLLQTALPPEGWSRRVDGSRSIFTVEDYDASIEFYWAPFLVESNSDDPEIHSIAVRIIKADSIEKHAVHWKGADVLVFDTYIWWMNTPKIKVLRPGAKNWTEHDEIVRREAYERVLRTLSNWVDQNLDPNRSSVFFMSMSPLHSRSTYWGNPDGIKCAKETMPIQNMTGVRLGMDKNLFAVAKNVTGSTARVPITFIDITTMSEYRKDAHTSVYTVRQGELLTPEQQAKPAEFADCIHWCVPGLPDTWNQILYARLLSARPRQ >Ma05_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10282196:10285624:1 gene:Ma05_g14150 transcript:Ma05_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNGRLHHSKQVQRPIAVRLGSIPPPSVAHQHINRELSSLQLQATTHPPTHLRSPDPTPGKSIMHSPRRKSPPSESPFAVAKLAGSYIRKGGHLPVVVVVVTIFVFALVVMYSEDIRSIAAEYSLSRFKARGYDLARGSNDSTIHRQPMAEKKKPRKKRDEKSARSVVSVPESCDLSDGEWVFDDVNYPLYREDQCQFLSEQVSCLNNGRREAMYQKWRWQPKGCSLPKFDARLLLERLGGKRMMFVGDSMNRNQWESFVCLLQTALPPEGWSRRVDGSRSIFTVEDYDASIEFYWAPFLVESNSDDPEIHSIAVRIIKADSIEKHAVHWKGADVLVFDTYIWWMNTPKIKVLRPGAKNWTEHDEIVRREAYERVLRTLSNWVDQNLDPNRSSVFFMSMSPLHSRSTYWGNPDGIKCAKETMPIQNMTGVRLGMDKNLFAVAKNVTGSTARVPITFIDITTMSEYRKDAHTSVYTVRQGELLTPEQQAKPAEFADCIHWCVPGLPDTWNQILYARLLSARPRQ >Ma08_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35083176:35087234:-1 gene:Ma08_g21090 transcript:Ma08_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTFEGLSWDNVCQTFEKAYKSNDLVQIPRKYVDVVGKQFRDIIDEVVGDWLDPAGDCAEGLDTKNSLDGDIASKIDGVLKEELDVSFSDDNVSICVKWEPNSSSVVNSSLIAPSDLNQDSSYNNEFDECQEETLPTKAANCSDVLDGNPENFLPSVASLSGLPKICPLVDESLQLEPCESRSVEDRPPTETCDAARGIHTSQEFISPRGCLVNEHELQIKQDNSRASLRETEDLSVCLSGDDKFNDDDVIDAKAEPMEVSQDLDINDNWEDNEDYEQAMISYQKSRASYKKKLGASLITKLRQFKGHKVKNSYCDVVGRERDRQGNELLKNPNLSSVHDSLESDWELL >Ma08_p21090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35083176:35087223:-1 gene:Ma08_g21090 transcript:Ma08_t21090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTFEGLSWDNVCQTFEKAYKSNDLVQIPRKYVDVVGKQFRDIIDEVVGDWLDPAGDCAEGLDTKNSLDGDIASKIDGVLKEELDVSFSDDNVSICVKWEPNSSSVVNSSLIAPSDLNQDSSYNNEFDECQEETLPTKAANCSDVLDGNPENFLPSVASLSGLPKICPLVDESLQLEPCESRSVEDRPPTETCDAARGIHTSQEFISPRGCLVNEHELQIKQDNSRASLRETEDLSVCLSGDDKFNDDDVIDAKAEPMEVSQDLDINDNWEDNEDYEQAMISYQKSRASYKKKLGASLITKLRQFKGHKVKNSYCDVVGRERDRQGNELLKNPNLSSVHDSLESDWELL >Ma08_p21090.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35083176:35087214:-1 gene:Ma08_g21090 transcript:Ma08_t21090.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTFEGLSWDNVCQTFEKAYKSNDLIPRKYVDVVGKQFRDIIDEVVGDWLDPAGDCAEGLDTKNSLDGDIASKIDGVLKEELDVSFSDDNVSICVKWEPNSSSVVNSSLIAPSDLNQDSSYNNEFDECQEETLPTKAANCSDVLDGNPENFLPSVASLSGLPKICPLVDESLQLEPCESRSVEDRPPTETCDAARGIHTSQEFISPRGCLVNEHELQIKQDNSRASLRETEDLSVCLSGDDKFNDDDVIDAKAEPMEVSQDLDINDNWEDNEDYEQAMISYQKSRASYKKKLGASLITKLRQFKGHKVKNSYCDVVGRERDRQGNELLKNPNLSSVHDSLESDWELL >Ma08_p21090.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35083176:35087211:-1 gene:Ma08_g21090 transcript:Ma08_t21090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTFEGLSWDNVCQTFEKAYKSNDLVQIPRKYVDVVGKQFRDIIDEVVGDWLDPAGDCAEGLDTKNSLDGDIASKIDGVLKEELDVSFSDDNVSICVKWEPNSSSVVNSSLIAPSDLNQDSSYNNEFDECQEETLPTKAANCSDVLDGNPENFLPSVASLSGLPKICPLVDESLQLEPCESRSVEDRPPTETCDAARGIHTSQEFISPRGCLVNEHELQIKQDNSRASLRETEDLSVCLSGDDKFNDDDVIDAKAEPMEVSQDLDINDNWEDNEDYEQAMISYQKSRASYKKKLGASLITKLRQFKGHKVKNSYCDVVGRERDRQGNELLKNPNLSSVHDSLESDWELL >Ma08_p21090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35083176:35087228:-1 gene:Ma08_g21090 transcript:Ma08_t21090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTFEGLSWDNVCQTFEKAYKSNDLVQIPRKYVDVVGKQFRDIIDEVVGDWLDPAGDCAEGLDTKNSLDGDIASKIDGVLKEELDVSFSDDNVSICVKWEPNSSSVVNSSLIAPSDLNQDSSYNNEFDECQEETLPTKAANCSDVLDGNPENFLPSVASLSGLPKICPLVDESLQLEPCESRSVEDRPPTETCDAARGIHTSQEFISPRGCLVNEHELQIKQDNSRASLRETEVCLSGDDKFNDDDVIDAKAEPMEVSQDLDINDNWEDNEDYEQAMISYQKSRASYKKKLGASLITKLRQFKGHKVKNSYCDVVGRERDRQGNELLKNPNLSSVHDSLESDWELL >Ma08_p21090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35083176:35087211:-1 gene:Ma08_g21090 transcript:Ma08_t21090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTFEGLSWDNVCQTFEKAYKSNDLVQIPRKYVDVVGKQFRDIIDEVVGDWLDPAGDCAEGLDTKNSLDGDIASKIDGVLKEELDVSFSDDNVSICVKWEPNSSSVVNSSLIAPSDLNQDSSYNNEFDECQEETLPTKAANCSDVLDGNPENFLPSVASLSGLPKICPLVDESLQLEPCESRSVEDRPPTETCDAARGIHTSQEFISPRGCLVNEHELQIKQDNSRASLRETEDLSVCLSGDDKFNDDDVIDAKAEPMEVSQDLDINDNWEDNEDYEQAMISYQKSRASYKKKLGASLITKLRQFKGHKVKNSYCDVVGRERDRQGNELLKNPNLSSVHDSLESDWELL >Ma11_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27018126:27018980:-1 gene:Ma11_g23810 transcript:Ma11_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRPTQSSLLSVALLLLLLLAAAAAASGGGGGEVPLAWVPSLSGCRGTVAECLAGEQFDLGAEVTRRMLATSNYVSYGALKRDTVPCSSRGASYYNCRPGAQANPYSRSCSSIAQCRG >Ma05_p14960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11012550:11016452:1 gene:Ma05_g14960 transcript:Ma05_t14960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQCTIMVSPWWICCRALSVSASLVCALTFLLHFARALTPDGEALLELKLGFSDSKQLLRSWRPTDPDPCSSWLGVTCHLSDLTVRSINLPYMQLGGIISPSIGRLRRLQRLALHQNSLHGPIPPEIKSCTELRALYLRANYLQGSIPPEIGELVHLTILDLSSNLLRGAIPPSIGHLSELRFLNLSTNFFSGEIPTVGVLGTFRNTSFVGNLELCGLPIQKICRGTLGFPAVLPHADTFASSGISSITQKRSSRFLNGIIIGAVTTMALALVAILGFLWICLLSRKGRFTGNYVKVDEDLVQDAGTKLITFHGNLPYSSQEIINKLELLNEDDVIGSGGFGTVYKMVMDDNSVFAVKKIARNREGSNQIFERELETLGSIKHINLVDLRGYCRLPSARLLICDHLALGSLDQYLHEYLQNGRATEKSDVYSFGVLLLELVTGKRPTDPSFVRRGLNIVGWLNTLGEGHRLEEIVDEHCSNVDAEAVEAILDIATMCTDADPEDRPTMSRVLQMLEEEIMSPCLSDLYESNMYI >Ma05_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11012547:11016452:1 gene:Ma05_g14960 transcript:Ma05_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQCTIMVSPWWICCRALSVSASLVCALTFLLHFARALTPDGEALLELKLGFSDSKQLLRSWRPTDPDPCSSWLGVTCHLSDLTVRSINLPYMQLGGIISPSIGRLRRLQRLALHQNSLHGPIPPEIKSCTELRALYLRANYLQGSIPPEIGELVHLTILDLSSNLLRGAIPPSIGHLSELRFLNLSTNFFSGEIPTVGVLGTFRNTSFVGNLELCGLPIQKICRGTLGFPAVLPHADTFASSGISSITQKRSSRFLNGIIIGAVTTMALALVAILGFLWICLLSRKGRFTGNYVKVDEDLVQDAGTKLITFHGNLPYSSQEIINKLELLNEDDVIGSGGFGTVYKMVMDDNSVFAVKKIARNREGSNQIFERELETLGSIKHINLVDLRGYCRLPSARLLICDHLALGSLDQYLHENSDEEQPLNWNARMKIALGSARGLAYLHHDCTPRIVHMDIKSSNILLDRSLEPHVSDFGLAKLLVDGDARITTVVAGTFGYLAPEYLQNGRATEKSDVYSFGVLLLELVTGKRPTDPSFVRRGLNIVGWLNTLGEGHRLEEIVDEHCSNVDAEAVEAILDIATMCTDADPEDRPTMSRVLQMLEEEIMSPCLSDLYESNMYI >Ma08_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3095979:3102961:1 gene:Ma08_g04430 transcript:Ma08_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTEIVSDGGDEAAPAAVTMDVENGLIEEEKNMDGEVAPALISIHPFEKSVVVAVGSELRLFNLEGNCSVSLIDDPSGPSHSDAIRSINFGASGKFLASAGDDKLVKIWETSSWHCIHTVSADKRVSAVAISHSGRYAAFADKFGVVWLVGLDEDDAKQTKVDKKAVPILGHYCSIITRLEFSPDERFIASADRDFKIRITVFPKLPLKGAHEIQSFCLGHKDFVSCFAFACPPGCAHGFLFSGSGDSTVRLWDFISGLLLATCEVGAMVGSLQYNGTEDGYPPVTDLCISSDGSIIAVAIQSLRGIVLLSCGFSDRTLSVAKVVTLEDNYFPTSMVLSSLTQRLWMVMGASNLPTLSATQLPTRIRVMSGFHKDPSDYNGHDPITLEDNEVPGGKKLLSELQGSFDVAMEEAALAAAAAAVKASMRNMLIKKEYALERREMRKRNRNDRKLR >Ma03_p20870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25933628:25944469:1 gene:Ma03_g20870 transcript:Ma03_t20870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHRYTYSSVVFPKPFVEALKLVPSEVSSSDFFAQLNRLVSLSSIYSQVEAVKDLASAFSRLLASTGIADDFVSAATRLYLEILFLENSLPLHRTLISALVKSQKFASVISGCLLSLCEEYGDTGKKGRKRLLVSRAILSLISYPKLGFLNEIVEKCSCLVAMDVVSGLGSVVLDVEHGSRPSPVVMEQCQEAMSCLYYLLQRYPTKFVGSEEASVIFKSVIGTILSILKSSAFSRDCLVAAGVSFCAAIQAFMSPREISSFISRCFFGHSTDAGYVDVKELFPDGDWYASIQEFSVLSRLCLLRGILTVVPRTTLNMRLAESSNGSFWTILYNGILPELCKYCENPMDSHFNFHALTVTQICLQQIKTSILADLTDFSGDYIPLAEGTMNRVLKIIWNNLEDPLSQTVKQVHLVFDLLLDIESSVPPFEGSDRNNLFLYKIARDLLHLGPRCKGRYVPLAALTKRLGAKTLLDLNHDLLFETAYAYIDDDVCCAATSFLKCFLECLRDECWSHGGIERGYDVFRDLCLPPILYGLVSGHSKLRSNLNTYALPVVLEVDMDSIFPMLAFISVGSNIRENVIADLKIEQCVAALVSLLKVSRTLALIEGDIDLEHDLALNNSSDHVAVVCVKGINVRIPSVWFTLALKHADDSLRIDAAESLFLNPKTSSLPSTFELSLMRVAVPLNMRCSSTAFQMKWTSLFRKFFTRVRTALERQVKQGFWQPDTCFNGDGNATHDSTRDIVIRRAEDLFQFMQWLSCFLFHSCYPSAPYERKTMAMELILVMIDVWPIVMPQGTQHLYPYSKAITSPDVTLALVGSVIDSWDRLRINSFRILLCFPTPLPGISSIDSVNILIKWAKKLVCSPRVRESDAGALTFRLIFKKYVLGLGWELGVSERANCLTSQLKIINGDLGILKSGDPIIKYLSSLIEWLCAVIEEGEKDLSEACRKSSVHGVLLTLRYTFEELDWNSVGVQSSISDVRTLMEKLLDLIMRITSLALWVVSADAWSMPYDVDDVIDDSVFLPDLSFDVDQPDTVSDPGDKILAYEDVTKSADQVVMVGCWLAMKEVSLLLGTVIRKIPLPSCTLSDSINHGVAPRSSEEIEMLALTDGVLDLVQLETIGNHFLQVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLVKMTERWMEQLMERTTVKGQTVDDLLRRSAGIPAAFIALFLSEPEGTPKKLLPRALRWLIGVANKSLSNGSEVGFSQTEIISKEGPVGSEITDAGLEDSTTLLANARNSKIRDEGVVPTVHAFNVLRAAFNDTNLATDTSGFCAEATIVSVRSFSSPYWEVRNSACLAYTTLVRRMIGFFNIQKREASRRALTGLEFFHRYPALHAFLLSELKIATELLGDGCSRHMESNIAKVIHPSLCPILILLSRLKPSVISSATDDAVDPSLFMPFIQKCATQSNLRVRILASRALTGLVSNEKLQNVICEVAHGLPHGRHQMSMPLSLTYDLPGSAKMCNGLGSVANRAASFNSIHGLLLQLFSLLDNNCRDLTDVSKKEQILGELFQVLSKCYWIGSTQSCPCPILNSSYIRVLDLMLDITRTYTSQHTTTIRSLLLQLASGCLDAGKSSWLTWYDPTIVELRKQATASYFSCLLGRKHVVSEELIELWNVSATSSIIQEVLETETSVSELQQKIMSCVLDPTYEVRLVTLKKLLELVMSLMPSPKSGVIYTWAKSNLHLMLIKLLPMEENPKCIYYVLKIFFNWNLLQLEKPTGLKCSITEDCDFYFHFWDRLIHLYSSVKRSKTREITLCCMGLCIKQFLGLITFIDQHEVMEETAVGSSKINQSEWWARALRSIDYFVCLVNRHSAPSEPVNMRKAAAEAIIASGLLAEAMSVTSVVSNSHFTFEETSIIDIEKKIFQSEMPEVINFYACKILDLWFTCIQLLEDEDNGLRQRLAKDVQTCISSKATNGSYTDAAPTQVDRVIELSIDFLSSLFGHWLGYLNYLANLVLSTASSVSSQGDLVRRIFDKEIDNHHEEKLLICQFCCLHLENLSVPKSSEVQVRPEVLSESSIQKFSSFWRLRFLQLLISFVNSCLEYEGITDWIGGIGNHKDAFVSLYTNLLGLYALSQQPYGVLNSCSTDSHKLYLSEFTQLEGIIKPFLRNPLISDLYNLVIQSHENMLGALHTQQHQGHFLSKDGFDPYFLIR >Ma03_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25933628:25944469:1 gene:Ma03_g20870 transcript:Ma03_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHRYTYSSVVFPKPFVEALKLVPSEVSSSDFFAQLNRLVSLSSIYSQVEAVKDLASAFSRLLASTGIADDFVSAATRLYLEILFLENSLPLHRTLISALVKSQKFASVISGCLLSLCEEYGDTGKKGRKRLLVSRAILSLISYPKLGFLNEIVEKCSCLVAMDVVSGLGSVVLDVEHGSRPSPVVMEQCQEAMSCLYYLLQRYPTKFVGSEEASVIFKSVIGTILSILKSSAFSRDCLVAAGVSFCAAIQAFMSPREISSFISRCFFGHSTDAGYVDVKELFPDGDWYASIQEFSVLSRLCLLRGILTVVPRTTLNMRLAESSNGSFWTILYNGILPELCKYCENPMDSHFNFHALTVTQICLQQIKTSILADLTDFSGDYIPLAEGTMNRVLKIIWNNLEDPLSQTVKQVHLVFDLLLDIESSVPPFEGSDRNNLFLYKIARDLLHLGPRCKGRYVPLAALTKRLGAKTLLDLNHDLLFETAYAYIDDDVCCAATSFLKCFLECLRDECWSHGGIERGYDVFRDLCLPPILYGLVSGHSKLRSNLNTYALPVVLEVDMDSIFPMLAFISVGSNIRENVIADLKIEQCVAALVSLLKVSRTLALIEGDIDLEHDLALNNSSDHVAVVCVKGINVRIPSVWFTLALKHADDSLRIDAAESLFLNPKTSSLPSTFELSLMRVAVPLNMRCSSTAFQMKWTSLFRKFFTRVRTALERQVKQGFWQPDTCFNGDGNATHDSTRDIVIRRAEDLFQFMQWLSCFLFHSCYPSAPYERKTMAMELILVMIDVWPIVMPQGTQHLYPYSKAITSPDVTLALVGSVIDSWDRLRINSFRILLCFPTPLPGISSIDSVNILIKWAKKLVCSPRVRESDAGALTFRLIFKKYVLGLGWELGVSERANCLTSQLKIINGDLGILKSGDPIIKYLSSLIEWLCAVIEEGEKDLSEACRKSSVHGVLLTLRYTFEELDWNSVGVQSSISDVRTLMEKLLDLIMRITSLALWVVSADAWSMPYDVDDVIDDSVFLPDLSFDVDQPDTVSDPGDKILAYEDVTKSADQVVMVGCWLAMKEVSLLLGTVIRKIPLPSCTLSDSINHGVAPRSSEEIEMLALTDGVLDLVQLETIGNHFLQVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLVKMTERWMEQLMERTTVKGQTVDDLLRRSAGIPAAFIALFLSEPEGTPKKLLPRALRWLIGVANKSLSNGSEVGFSQTEIISKEGPVGSEITDAGLEDSTTLLANARNSKIRDEGVVPTVHAFNVLRAAFNDTNLATDTSGFCAEATIVSVRSFSSPYWEVRNSACLAYTTLVRRMIGFFNIQKREASRRALTGLEFFHRYPALHAFLLSELKIATELLGDGCSRHMESNIAKVIHPSLCPILILLSRLKPSVISSATDDAVDPSLFMPFIQKCATQSNLRVRILASRALTGLVSNEKLQNVICEVAHGLPHGRHQMSMPLSLTYDLPGSAKMCNGLGSVANRAASFNSIHGLLLQLFSLLDNNCRDLTDVSKKEQILGELFQVLSKCYWIGSTQSCPCPILNSSYIRVLDLMLDITRTYTSQHTTTIRSLLLQLASGCLDAGKSSWLTWYDPTIVELRKQATASYFSCLLGRKHVVSEELIELWNVSATSSIIQEVLETETSVSELQQKIMSCVLDPTYEVRLVTLKKLLELVMSLMPSPKSGVIYTWAKSNLHLMLIKLLPMEENPKCIYYVLKIFFNWNLLQLEKPTGLKCSITEDCDFYFHFWDRLIHLYSSVKRSKTREITLCCMGLCIKQFLGLITFIDQHEVMEETAVGSSKINQSEWWARALRSIDYFVCLVNRHSAPSEPVNMRKAAAEAIIASGLLAEAMSVTSVVSNSHFTFEETSIIDIEKKIFQSEMPEVINFYACKILDLWFTCIQLLEDEDNGLRQRLAKDVQTCISSKATNGSYTDAAPTQVDRVIELSIDFLSSLFGHWLGYLNYLANLVLSTASSVSSQGDLVRRIFDKEIDNHHEEKLLICQFCCLHLENLSVPKSSEVQVRPEVLSESSIQKFSSFWRLRFLQLLISFVNSCLEYEGITDWIGGIGNHKDAFVSLYTNLLGLYALSQQPYGVLNSCSTDSHKLYLSEFTQLEGIIKPFLRNPLISDLYNLVIQSHENMLGALHTQQHQGHFLSKDGFDPYFLIR >Ma10_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29131837:29136549:-1 gene:Ma10_g17980 transcript:Ma10_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRDFGGEGFLGEAAGGNATPQPAPEALTASASFKIEGKSAPALRRRASMKPNLEVDEFINLLHGSDPVKVELNRLENEVRDKDRELSESQAEIKALRLSERAREKAVEELTEELNKMDEKLKLTESLLENRNLEIKRINDEKKAALAAQFAAEATLRRVHADQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMSEEMKTLDRELARAKVTANRVAVVVANEWKDASDKVMPVKQWLEERRFMQGEMQQLRDKLAITERTARSEAQLKEKFQVRLKVLEEGLRMSAGGSNRTIVEGKSVSNGPSRRQSLGGAENVPKSVNGFLSKRPSFQMRSSLSSSMVLKHAKGASKSFDGGTRSLDRSKALLNGASLSLNRSSDATAENISHNSWKEIPEEKTNELSNVDSDDCVSGLLYDMLQKEVITLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQDNKSKRLGGSKAPSSSSQLLPGRTVPRGGLMRNP >Ma04_p32080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32208763:32212100:1 gene:Ma04_g32080 transcript:Ma04_t32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFCVPRAAAAALFIFLHHCLLLPVSVLSVNQTAALVPAVIVFGDSIVDPGNNNVMRTIVKSNFPPYGKDFIGHSPTGRFCNGKIPTDFIASTVGVKELLPAYLGTTLGPEDLLTGVSFASSGSGFDPLTPTLTSVLSLPDQLELFKEYKKKVRGIAGKKRANSIISASLYVVCAGSDDIANNYFLPASLRQHSYDFSSYAKFLVHKASGFVEDLVNLGARSVAVVGIPPIGCVPSQRTLGGGIMRSCASGHNQVAQLYNSGLKEEMQRLKTKHQGTKLVYVDIYTILLNMILHPNAYGFEVSAKGCCGTGDLEVSVLCNGLTTVTCADASKYVFWDSFHPTERAYEILVDWVLKTYLPYLL >Ma06_p08900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6228728:6231199:1 gene:Ma06_g08900 transcript:Ma06_t08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMYELVKDIGSGNFGVARLMRNKDTGELVAMKYIPRGPKINENVAREIINQRSLSHPNIIRFKEVMLTPTHLAIVLEYAAGGELFDRICHAGRFSEDEARYFFQQLISGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPEDTKNFRKTMTRIMSVQYTIPEYVHISKDCRQLLSGIFMADPSKRITIREIRHHPWFLKNLPRSLTEAAQAIYYKRDNTAPTFSLQSVDEIMKIVEEARITPGSPAPAAGFAWAKEDELEEGKHENQETGVEEEEDDDEYDKRVKEVHACGEYPIN >Ma06_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6228746:6231199:1 gene:Ma06_g08900 transcript:Ma06_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMYELVKDIGSGNFGVARLMRNKDTGELVAMKYIPRGPKINENVAREIINQRSLSHPNIIRFKEVMLTPTHLAIVLEYAAGGELFDRICHAGRFSEDELRRMQARYFFQQLISGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPEDTKNFRKTMTRIMSVQYTIPEYVHISKDCRQLLSGIFMADPSKRITIREIRHHPWFLKNLPRSLTEAAQAIYYKRDNTAPTFSLQSVDEIMKIVEEARITPGSPAPAAGFAWAKEDELEEGKHENQETGVEEEEDDDEYDKRVKEVHACGEYPIN >Ma05_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9070853:9071376:1 gene:Ma05_g12540 transcript:Ma05_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCHHGPPPFFPHSKVGGWSYCRAVVEKLCSLSSRSRARL >Ma02_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19982178:19982645:-1 gene:Ma02_g10380 transcript:Ma02_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADKKDQAGASEGEADGGGERAVRPRYERWLSGLELAMEAGPLKDLDPEKLKNEIRRWAKAVVAYARQLSFGAVDRSES >Ma11_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7801358:7803151:-1 gene:Ma11_g09470 transcript:Ma11_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRIPRFRWPLHSLHPPPTFHERTRRPPLSLLADRCASMRQLKQVHAQMIVSARIADNYAASRLLSFAALSPSGDLPHALRLFRATPLPNSFMWNTLIRALAASPSPATAVALFSEMLQVGTPSGKHTFPFLLKACACLPSPSIARQVHAHVLKRGFHVDPYVVNGLVRCYGVHGHLGDARRLFDGLREKNLIVWTTMISSYAQNFCSNEALLLFDRMIGAGVEPCNATLASVLSACARSGGLDLGQQIHSFIREKGIEVGVILGTALVDMYAKNGAITAALELFRQMPEKNTVTWNAVICGLAHHGLANAALDLFHQLEREQVQPNDVTFVGVLSACCHAGFLKLGRQIFYSMERTYKIEPKVEHYGCMVDLLGRCGNLLEAERLIKGMKWGADVVVLGALLTACKNHGNIDIAERVVNEMLRLDPGNHGVYVVLSNVYAEVGRWKDVTRLRKVMRVGGLKKIPGWSCVEGDVS >Ma03_p30390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33040596:33040694:1 gene:Ma03_g30390 transcript:Ma03_t30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQILLGREPTVVFAEKNRKKPFKIIFVFCW >Ma06_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11467121:11467747:-1 gene:Ma06_g16910 transcript:Ma06_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVAEEEGGEMESIKNMARALMLRSHGDADVSSGSPPARVFECKTCSRQFPSFQALGGHRASHKKPKLAEDGRGHGEAAKPRVHECAICGLEFAIGQALGGHMRRHRAATDAFAQGSPERKAGEEKKRVIRIFGFELVDSTPSGGGGLLPLMDTITF >Ma08_p28010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40088554:40089821:1 gene:Ma08_g28010 transcript:Ma08_t28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKQSNGLGSGSGRTGSSRKGKKERSKQPQRGLGVAQLEEIRLQSQMDEYVSSLNPPFCSNLNMEHSRVEMAPSSSSPLSRSGSSSFALRPNMMVRFGDAEERGIIHYGEHWSRSQLDQRRSVSSPHCYVPPTLTLSLFEHNTEEDSAQKRNQHDPRLGSIGQSSDTNDAQELDLDLKLSL >Ma08_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3561425:3563941:-1 gene:Ma08_g05190 transcript:Ma08_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDIDMVMYESTKEKVERAMERGYVGNDVVFGAEERELFEKWKEFTRHDHPSVIQVLLQSSKDSDIMGTALPNLIYVSREKRPSSHHHFKAGALNVLTRVSSAMSNAPVILTLDCDMYCNNPRAPLHALCYFLDPVVSADLAYVQFPQCFHGINENDIYASEIKRLFKINSRGMDGLRGPNYVGTGCFFSRRSLHSTGLPAHRGSSASESALQKAVEAAACSFELGTKWGSSIGFRYGSLVEDFHTGYRLHCEGWKSVFCDPARPAFLGDGPKNLNDVLSQCKRWCVGLYEVAFSRFNPLTFGITKASFSMGLIYAHYACWGTWCVPITVYGLLPPLALMYRTPFFPKVSDPWFFVYAYLFTAAYGQDLVEFLTDGATIRRWWSDQRMWMTRGVTSCLFGTIQFGLNHIGISAPGFNVTSKVAEEEQNERYERGVLDLGVQSPFFVALGTVAAVNLSSLVVGIARAATTEGFLDEQFAQLFLSGFVAANCWPIYEAMFLRSDGGRMPRSITVISLTVAGLLLYMGYLVFHV >Ma02_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23760078:23760586:-1 gene:Ma02_g16350 transcript:Ma02_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPNWGRIRAQRWLSAGKSKAQLAGYCHAITSCTLTDMMRIQAAVTHFSQFTTP >Ma07_p25530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32577222:32580036:-1 gene:Ma07_g25530 transcript:Ma07_t25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGLFFIDTFFLFQLVHPPYQTKLGHIQSKTLVDFKEVFDKSFKREELFAIVAFDYTQSLMLNFDKICEYAVIEEANKDHSNVRDKI >Ma06_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8739091:8739619:-1 gene:Ma06_g12630 transcript:Ma06_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYSILALLLVLFASSCLQATMAGSAFCESKCKVRCSKASVQDRCLKYCGLCCEQCRCVPSGTYGHKDECPCYRDKYTGSGKRRRPKCP >Ma10_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32006322:32007040:-1 gene:Ma10_g22780 transcript:Ma10_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEKPAEEKEKKAEKAPAEKKPKAEKRLPSKDGAAGDKKKRKKTKKGSETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Ma10_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23921105:23922454:-1 gene:Ma10_g09800 transcript:Ma10_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLETREPFWCPLWHHPLVGMFGRRWRVKFMDLGLGSSGVVPGRDLCRRWEGDWQWRRRLFLLPHVRRTWCNGLLGKLDVITGVYIPSAPVQGKRGSKEVAKANVTTMISLLKPKRGPGCGSKASHGETHSDEIVIRRVARRLGRSWCFTAFPSAGASGGIALLWNASFVKTQVLSIHSHYFNAAVRFMNSDPWILTGGYARVSITNRNLLWNSLGAIDLNHFPWIICGDYNCISCAQDILGVPLLRFLRR >Ma03_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27368970:27371300:1 gene:Ma03_g22620 transcript:Ma03_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAMSGDDGSDGHEHDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPEFATIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIKAENHRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHSETDQHQKTEISLCRVHKRVGVEDHCQIPATLASKPSSSRGTGIDKRHTPRRQPPGFEASGVGSSLTSAMEKVPKVQGASTTHNLASPILSTVYGSTASMPSLSSTTSMEEDSTSLHHAKNTSIALIPACSLLASANSSVSAPGIEELNRFVGYTQSFMEQQPNQLLPMPPQPQLNQLPMSLPMISEKLWEWWNPLCEAGKDYTSFK >Ma03_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2168512:2171093:-1 gene:Ma03_g03210 transcript:Ma03_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMKEKFAKLLLGEDMSGGGTGVSSALALSNAITNLAASVFSEQRRLEPMAAERKTRWRKEIDWLLSVTDHIVEFVPSRQTSKDGSNMEIMVTQQRRDLQMNIPALRKLDAMLIGYLDNFKGHSEFWYVSKDADESEKGNAKRSDDKWWLPIVKVPPNGLSEVSRKWLQFQKESVNQVLKAAMAINAQVLMEMEVPEAYIESLPKNGRASLGDAIYRCITDDAFDPDQFLKSMDLSTEHKVLDLKNRIEASIIIWMRKMHNKDTKSSWGSAVSVEKREQFEERAETILHLIKQRFPGIPQSALDTSKIQYTKDVGQSILESYSRILESLAFAVMSQIEDVLRADSLTQDPSHAKSGRRQSLTDSEVGPVKKLDPKEEMEKLKEAPNSMTLSDFMGWHFDPDSEAEKKNSESLEDGAKIKKPPNIVTTKKFSYIEKLENLRSLRSPTARH >Ma09_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36571698:36577227:1 gene:Ma09_g24960 transcript:Ma09_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLTAIAAAAAATPARTLPRTRSSRREGSQARRTDDGGAGRRRDAVMREDALHVLPGRIFSNEGRSSVASIYTQQGCKGINQDAMILWEDFGGQGVVLCGVFDGHGPHGHLVARKVRDALPLKLLSLLPFPGGGRSLMDRSCFCFGRRHGVPVLDPASSVEEPSLSVWREAFVRSSKAMDKELRSHPTLDCFCSGSTAVILLKLGCNLFISNIGDSRAVLGCTDDTNSSMLPVQLTVDLKPDLPREAERIKRCRGRVFALQDEPEVSRVWLPYDDAPGLAMARAFGDFCLKDYGVISVPEFFHWNLTEKDQFVVLASDGVWDVLTNKDVVDIISSSPTRSSAAKVLVETAAREWKLKYPTSRMDDCAVVCLYLDGQTNTVSESLVNCSSSFVYSYEDSKIESDEAQNHEPTLDRNFTVRPTGNTRISVALEERGTDCAAEDGSWSGLEGVTRVNSIVQLPRFSDEKMHGDACL >Ma02_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28350291:28351836:-1 gene:Ma02_g23280 transcript:Ma02_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALTHVISSVSPVVGAGGDELVAEPDASCGSGPGSMEIGTQASEEQGTCRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDRAEDAAMAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRAAPERQPQPPATSYPDLRQYAQLLQSGDADVHNAALGLYAGSTITSTSLSGSSQETQDLSSRSQFTSSSASSSWPQSGQKEKDQRPPTM >Ma00_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40591877:40592193:-1 gene:Ma00_g04720 transcript:Ma00_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIDRTVAEHQLNINPEAMPVKQRPRKFAPDRQKAISEEVDRLTEAEFISEVKYPQLLPAPENRSASRRHLRL >Ma03_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14932804:14938240:1 gene:Ma03_g15150 transcript:Ma03_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKNGDWTFLENNTFENALAELNLDGPDWLEQLTEILPSKTIDQVRDHYIDLVMDIDLIESGRYMEHQYTDSEKNKMDGDLEFPLPAVHGSGTSSSEAMDQTAGVVFSGYVENMMALDTDSEKQHLERLVPGGTRRLLRVAEPASRKGVNWTEEEHRLFLMGLNVYGRGDWKNIAKYFVTTRTPTQVASHAQKYFNRMEHARKVGKRRPSIHDIRNITAPLRTEAQIMSIYDLVDRKKPFVVGHGYQLRPRASPPLLSSISAAAVEREAGAAPASSLDTGQPSLIPEQSNTMGTTDPTSWFYQDYDHDMEDYHDLRNQIEELIRRGHLGRYLKEPKEASPRPRGLVEKQIDVITGGPVAGGSSSAVRKAYACSMVEKRPRPEFEPEITFGTEEVERSHHDDTLVISIQIANARVKRLMVDIMSSIDMLYLDAFKKLSLTNEDLTPMASTLTGFMGDSISPLRTTILPITIGEEPRAKIMMTTFMVVDLQSAYNVILGCPTLNKLKAMVSTYHRAIKFLTSAKVRESRSDPGESRQCYPTTVTLPEKSCPRQALGPREEARTPTHLEPPKQLAKVPLKRDRPDMTVKVEMTLPEANQL >Ma09_p26900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38043011:38044729:1 gene:Ma09_g26900 transcript:Ma09_t26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGESSNGDSQSCLVGGSSSNREAGNFQCNICLDLAQEPVVTLCGHLFCWPCLYEWLHDHSRSSECPVCKATVAEEEVVPLYCGGNSSAHPQSRSTTRVDIPSRPAAGRRLATAPQLPSDANHIYHHDMNHIHHTAGWTPATALQPQPDLNYIYHRNMNHIYHHEPWVMASNHLAGTRLGNFTFPNAPANHSAAARNQMASINQRNFMFQDATANDVGHGSHANVFHSLPAHGFHHGHGQHSYWFHHGHGPRAYGFGHAYGLWRHANRGRQVDVMWNLLLFLFLALIISNIIS >Ma09_p26900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38043029:38044729:1 gene:Ma09_g26900 transcript:Ma09_t26900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSGESSNGDSQSCLVGGSSSNREAGNFQCNICLDLAQEPVVTLCGHLFCWPCLYEWLHDHSRSSECPVCKATVAEEEVVPLYCGGNSSAHPQSRSTTRVDIPSRPAAGRRLATAPQLPSDANHIYHHDMNHIHHTAGWTPATALQPQPDLNYIYHRNMNHIYHHEPWVMASNHLAGTRLGNFTFPNAPANHSAAARNQMASINQRNFMFQDATANDVGHGSHANVFHSLPAHGFHHGHGQHSYWFHHGHGPRAYGFGHAYGLWRHANRGRQVDVMWNLLLFLFLALIISNIIS >Ma10_p29760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36227694:36238728:1 gene:Ma10_g29760 transcript:Ma10_t29760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MLVLACSRPLHSFRPPRCFGRRELFIDGVSSISSQRPTAPAPHLDLAASSRSSSDEQTFNLDDTMKTVFPFTSRRMLFAGSTVWFCLHTSKYFPALALEEPSITTEDVTPRVFLSGPLFPSEERTVEIFEKNTYSVVNIFDVTLRPRLNATGVVEVPEGNGSGVVWDKFGHIVTNYHVVGNALSKNPNPDQVVARVNILVAEGVQKTFEGRLIGANRAKDLAVLKVDASADLLKPINVGQSSVLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIVSKTGVTIGGGIQTDAAINPGNSGGPLLDSKGNMIGINTAIFTNTGASAGVGFAIPSSTVLRIVPQLIQFGKVVRAGLNMEIAPDLVANQLNVRNGALVLQIPENSVAAKAGLLPTTRGLAGNIVLGDVIVAVDNKPVRSKADLLKILDEYNVGNTVLLKIQRGSENLDLPVVLEETSI >Ma10_p29760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36227694:36238728:1 gene:Ma10_g29760 transcript:Ma10_t29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MLVLACSRPLHSFRPPRCFGRRELFIDGVSSISSQRPTAPAPHLDLAASSRSSSDEQTFNLDDTMKTVFPFTSRRMLFAGSTVWFCLHTSKYFPALALEEPSITTEDVTPRVFLSGPLFPSEERTVEIFEKNTYSVVNIFDVTLRPRLNATGVVEVPEGNGSGVVWDKFGHIVTNYHVVGNALSKNPNPDQVVARVNILVAEGVQKTFEGRLIGANRAKDLAVLKVDASADLLKPINVGQSSVLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIVSKTGVTIGGGIQTDAAINPGNSGGPLLDSKGNMIGINTAIFTNTGASAGVGFAIPSSTVLRIVPQLIQFGKVVRAGLNMEIAPDLVANQLNVRNGALVLQIPENSVAAKAGLLPTTRGLAGNIVLGDVIVAVDNKPVRSKADLLKILDEYNVGNTVLLKIQRGSENLDLPVVLEETSI >Ma10_p29760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36227703:36238728:1 gene:Ma10_g29760 transcript:Ma10_t29760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MLVLACSRPLHSFRPPRCFGRRELFIDGVSSISSQRPTAPAPHLDLAASSRSSSDEQTFNLDDTMKTVFPFTSRRMLFAGSTVWFCLHTSKYFPALALEEPSITTEDVTPRVFLSGPLFPSEERTVEIFEKNTYSVVNIFDVTLRPRLNATGVVEVPEGNGSGVVWDKFGHIVTNYHVVGNALSKNPNPDQVVARVNILVAEGVQKTFEGRLIGANRAKDLAVLKVDASADLLKPINVGQSSVLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIVSKTGVTIGGGIQTDAAINPGNSGGPLLDSKGNMIGINTAIFTNTGASAGVGFAIPSSTVLRIVPQLIQFGKIPENSVAAKAGLLPTTRGLAGNIVLGDVIVAVDNKPVRSKADLLKILDEYNVGNTVLLKIQRGSENLDLPVVLEETSI >Ma10_p29760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36227694:36238728:1 gene:Ma10_g29760 transcript:Ma10_t29760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MLVLACSRPLHSFRPPRCFGRRELFIDGVSSISSQRPTAPAPHLDLAASSRSSSDEQTFNLDDTMKTVFPFTSRRMLFAGSTVWFCLHTSKYFPALALEEPSITTEDVTPRVFLSGPLFPSEERTVEIFEKNTYSVVNIFDVTLRPRLNATGVVEVPEGNGSGVVWDKFGHIVTNYHVVGNALSKNPNPDQVVARVNILVAEGVQKTFEGRLIGANRAKDLAVLKVDASADLLKPINVGQSSVLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIVSKTGVTIGGGIQTDAAINPGNSGGPLLDSKGNMIGINTAIFTNTGASAGVGFAIPSSTVLRIVPQLIQFGKVVRAGLNMEIAPDLVANQLNVRNGALVLQIPENSVAAKAGLLPTTRGLAGNIVLGDVIVAVDNKPVRSKADLLKILDEYNVGNTVLLKIQRGSENLDLPVVLEETSI >Ma08_p07610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5220978:5224369:1 gene:Ma08_g07610 transcript:Ma08_t07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MAEGGAGKSGEAPATVEATMTIKKAPRAEVEELPKAIVRRVVKDKLSQLASGGEEEDVSVQKDALLAFSESTRIFIHYVSATANDICKESKRQTINADDVLKALEEIDFPEFVEPLKVALEDFRTKNAVKKSGSKGRQTKKRKVDELSTQNGEDGGDGSDD >Ma08_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5220978:5224115:1 gene:Ma08_g07610 transcript:Ma08_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MAEGGAGKSGEAPATVEATMTIKKAPRAEVEELPKAIVRRVVKDKLSQLASGGEEEDVSVQKDALLAFSESTRIFIHYVSATANDICKESKRQTINADDVLKALEEIDFPEFVEPLKVALEDFRTKNAVKKSGSKGRQTKKRKVDELSTQNGEDGGDGSDD >Ma09_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9820772:9852864:1 gene:Ma09_g14380 transcript:Ma09_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09020) UniProtKB/Swiss-Prot;Acc:Q9M0S5] MVKPGNVFGHSVEESLVEEKVTPMLGTDVPLKYSPGMAFPLGASEAENGINFAIFSRHASCVTLCLSNFGREKSQEICDGMVEIALDPEKNKTGDVWHICVEGLSRSGILYGYRIDGPQKREQGHGFDNSIVLLDPYAKLVSGRKWFGDVANKMSKFLGTYDFESMPFDWGPDYKLPNIPETDLVIYEMNVRAFTADESSELDPEVRGSYLGVIEKIPHLLELGINAVELLPVFEFDELEFQRYPNPRDHMINTWGYSTLNFFAPMSRYASAGGGPLVASHEFKQMVKALHNAGIEVILDVVYNHTNEANDRHPYTSSFRGVDNKVYYMLDPDNGAKYLNFSGCGNTLNCNHPVVMELILDSLRHWVNEYHVDGFRFDLASILCRGTDGSPLNSPPLAKAIAKDAVLSRCKIIAEPWDCGGLYLVGNFPNWDRWAEWNGKYRDDIRRFMKGDCGMKGTFATRISGSADLYQVNKRKPYHSINFVIAHDGFTLCDLVSYNFKHNDANGEGGKDGSNDNFSWNCGVEGETDDVDIIGLRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTSINHFQWKQLEERRGGHFRFFCEMIKFRCKHPMLRQDRFLTKNDVAWHEDNWSNQESKFLAFTLHDDQFGGDIYLAFNAHDYYVKAAVPSPPHKKRWHRVVDTNLESPKDFVPEGVPFSNTSYNVASYSAVLLEAKP >Ma09_p14380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9820902:9852864:1 gene:Ma09_g14380 transcript:Ma09_t14380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09020) UniProtKB/Swiss-Prot;Acc:Q9M0S5] MESVLLPGRTQAIKHPCSSFSSLSCRNNVRFLASMPSSTRRLRVLRNEMGLRFSKAKIASGWQRIISKVRERYMVKPGNVFGHSVEESLVEEKVTPMLGTDVPLKYSPGMAFPLGASEAENGINFAIFSRHASCVTLCLSNFGREKSQEICDGMVEIALDPEKNKTGDVWHICVEGLSRSGILYGYRIDGPQKREQGHGFDNSIVLLDPYAKLVSGRKWFGDVANKMSKFLGTYDFESMPFDWGPDYKLPNIPETDLVIYEMNVRAFTADESSELDPEVRGSYLGVIEKIPHLLELGINAVELLPVFEFDELEFQRYPNPRDHMINTWGYSTLNFFAPMSRYASAGGGPLVASHEFKQMVKALHNAGIEVILDVVYNHTNEANDRHPYTSSFRGVDNKVYYMLDPDNGAKYLNFSGCGNTLNCNHPVVMELILDSLRHWVNEYHVDGFRFDLASILCRGTDGSPLNSPPLAKAIAKDAVLSRCKIIAEPWDCGGLYLVGNFPNWDRWAEWNGKYRDDIRRFMKGDCGMKGTFATRISGSADLYQVNKRKPYHSINFVIAHDGFTLCDLVSYNFKHNDANGEGGKDGSNDNFSWNCGVEGETDDVDIIGLRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTSINHFQWKQLEERRGGHFRFFCEMIKFRCKHPMLRQDRFLTKNDVAWHEDNWSNQESKFLAFTLHDDQFGGDIYLAFNAHDYYVKAAVPSPPHKKRWHRVVDTNLESPKDFVPEGVPFSNTSYNVASYSAVLLEAKP >Ma11_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8917663:8918741:1 gene:Ma11_g09660 transcript:Ma11_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSAMAGWIAGTGSGSGIVKQYSLGGKSSGVRDVADHAAVVEAVPRLRGRPRRQRPLFVELSAAGASGQGLRLSVVSSDDGGGGDGEGGDLVFGSPRQHALLVMLAGVRGADAGGRRGGAFLQGLGSAVVLLPRVDTRARVWAGRRRGVSGVDVHKGLGFAILVMAAVLACPDKASRAAKYWNWFHRYVGPRLRRWGDHRCDFGDQKVHCEWLAAFAVQNWHKFDGSKRCSGIRFKTGAGA >Ma01_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1026683:1027658:-1 gene:Ma01_g01470 transcript:Ma01_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIKIIIDLRYLAFELSQTSQIILIAQFKLIMCMSLDSSKILENASLDSNEKKKKKESNSNDLMTSSCYHQNIFMAKFSNIYYLSQLPSEKIFLCSSSQIS >Ma05_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:573446:574162:-1 gene:Ma05_g00930 transcript:Ma05_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYQRSECKGNFLCGCMESPTPSMHGKPDSINPRKGCMHHLHSGHLLCFAGWR >Ma09_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4274527:4282228:1 gene:Ma09_g06690 transcript:Ma09_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKGKQRQDKFYYLAKEQGYRSRAAFKLLQLDAKYRFLPSARSILDLCAAPGGWLQVAVRHAPVGSFVIGVDLFPIRPVRGAHALVEDITTPRCRAAIKRLMDSNGCSAFDVVLHDGSPNVGGAWAQEATSQSSLVVDSVRLATNFLAPKGTFVTKVFRSQDYSAIIYCLKQLFEKVEVTKPVASRSTSAEIYVIGLRYKAPAKIDPRLLDMKHLFQGAIEHPKVVDVLRGSKQKRNREGYEEGNTTLWKVGLVSDFIWSEAPLEFLGSVNALSFDDPACLSIRDHEFTTDEVKSLCEDLYVLDKSSFKHLLKWRMHIKKALASADKAVPKVDEDAPKVDDAEDDTKGNDDDSLLNEMEELAHLLDRKKKKAKKLLSKRRAKEKARRAMGMQIDATEDSYFDRDLFSLSAIKGKKELSAIDSAELDDEYSKGDAADSEDETQTAMLHDDSSSEMDSDEEQKRYDAQLEEMLDEAYERYVIRKGGNTKKQKRAKRDTASNDVDILEGDNGDGLVDDEIDQHLSAKESNPLVVPLDEDEQPTTEQLVERWFSQDVFTEAPTDDAFEKSDSEDEKEEKFVKVPAKSVGNMKQSKDLTLPISKKPEEEDFEIVPAERMETSDDSSSSSDESEEMDDDSKAEILAYAKKMLRKKQREQILDDAYNKYMFDDEGLPKWFADEEKQHCQPTKPITREEVAAMKAQFREIDARPAKKVAEAKARKKRAAMRKLEKVRQKANTIADQTDISERSKGKMIDRLYKKAMPKKPKKEYVVAKKGVRMKVGKGKVLVDRRMKKDARSRGTGRPGKGGLKKGKVANGQKGQKGQKAKQSAKSPRKGAGKGRKNKGQMQE >Ma02_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16867786:16878975:-1 gene:Ma02_g05610 transcript:Ma02_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MMAASSGGNARALDQTPTWAVASVCAVIIVISIVLEKGLHRLGEWFTARRKKALFEALEKVKAELMILGFISLLLTFGQNYIIKICIPEKAADTMLPCRLKQEEVETEEDEEHHRRLLLGAYREMSLKHRILTEDSQVSGCSEGKVSLISLNGLHQLHVFIFFLAVFHVTYSALIMALGRAKIRRWKEWEKETSSLHYEFSYDPSRFRFSRETSFVRRHTSFWNRIPISLYIVSFFSQFFQSVRRTDYWAMRHGFITVHLSPGSKFNFQKYIKRSLEDDFKVVVGISPVLWASAVLFLLLNVKGWQTLFWISIIPLIVILAVGTKLQAIITQMAIEIKEKHSVIQGIPLVQLRNDHFWFGRPQFVLLLIHFTLFQNAFQIMYFLWIWYEFGLNSCFHDNLKLVIARVCLGIGVLFLCSYITLPLYALVSQMGSHMKKSVFDGQTSKAIKKWRQAVKKKSTSSSTGTPYRSLSASPTGGSNPSTLDPIHQFNSAGSISLTFSRRRHFSDIDAEEADAEVPPSAPADSSTSSAAPTHLLIGSTEQQLHEAEDDTEDFSFVRITNP >Ma10_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26565326:26566790:-1 gene:Ma10_g13970 transcript:Ma10_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQRPPPPSPSPRPLDLEVTVVSAKHLKNVNWRNGDLKPYVVAYLDPDCRVATKPDDAGSIRPVWNERLALPLPLPSSETLLFLTLDVFHSKPSETPKPLVGTARSPVKDLLDPDAFTVGGGVDGSPSPIRTLELRRPSGRPQGKIRIKLAIRERPCPPPDLHPHPHHHFPPPPPSSSYYYSSNAPPFPSPSPPPPHSARDYRTFTPPSPPIPPYGHPVPPRPQPQPHSSQYHYGSYSDPYTSGYYSPAPYYSAPTAPAPVPAPAPAQTHTYYDQTSGYGGPSAPTGYSSGYSAYDHKPKGGRMGAATGLAVGAVAGALGGLALEEGLKYEEEKIAERVESDVCARDDYSDYRADY >Ma07_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30505068:30511680:1 gene:Ma07_g22640 transcript:Ma07_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSVLRALQEVFPQIDLRILRAVAIEYSDDVDTAVEFILSDVLPIITEPAETSNPYISLDAEQSLNVGDYSREDTNGANLLPCHNVIVEQKETLLPSEPKAESDINLSADNKSNAHSEPQSSVVMLVGNCSNVLGKNETLETKLEEVVSVPQTVAAKCDVLDADVQELCKTKLNGTLAASSDGCPTLSFQTVQNNLDLMECGTQIEKAMSSCISEYEEQLLAAFKDVAKIQDKCNFEANSTVSAAFTNAEETSSVHEISQAQHSKKSGELINIEDFQSDYEVQQFECLAETASVLLPLEKDNVSISDTLQTAIVATQGEIPNIDFLDSILLDAQNKKRTLVSALESTINMLKEVELHEERAEQAKKEASVAGEDIFQEAEDLRQKINHAKITNEKKAEEIYSEKSTMAPEAMELQSRLINISDERQKSLSILEEICQTLGARLAHAKEEQAAAEQEKLKREDLAHKFFREQEDIMNSILQETYCLQNEAEENTKLREFLMDRGRMLDILQEEIAVACESALSLKNRVYGCMPDNRPILSVTGTLVSSSNSLSEKTVLPKSDLHSPTSKSSIMIDKEATMNLPLEGDAADHHQDCSDDDWEMLEDKAELLN >Ma09_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11114069:11119179:-1 gene:Ma09_g15780 transcript:Ma09_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESLALLATLVLLLPTAESNLSRDDFPPGFIFGAGTSAYQVEGAAAEDGRTPSIWDTYTRAGGMLDNSTGDVTSDQYHKYKEDVKLMVDTGLDSYRFSISWSRLLPNGRGAINPKGLAYYNNLIDELLRYGITPHVTIYHYDLPQVLEDEYEGWLSHKIVEDFTAFADVCFREFGDRVSQWTTIVEPNVIAIGSFDSAIFPPSRCSNPFGLFNCTVGDSTTEPYTAAHNFLLAHASVVSLYRTKYQAKQNGRIGLNVYSMWCYPSTNSTLDLQATQRLLDFFVGWIINPLVFGDYPKVMKKIVRSRLPSFTEEQSEQLKGSFDFIGLNHYSSIWVKDNSDASETAPRDFNADLFAKFAISKNETPGSQLVPTDIPFDPAGLQHLLEYIRDAYGNPPVYIEENGYGLGLNNTISDVKRANYLSGYIGSTLDGIRKGANVKGYYVWSFLDVFEFLSGFQSPFGLYHVDFKDENRRRQPKLSALWYSDFLKKKKKKKTSTNINMMGLHQRSHSQR >Ma04_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26264461:26270504:-1 gene:Ma04_g24200 transcript:Ma04_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDSVNKLLVGNKCDLTANRVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMTADIKNRMASQPAMNSARPPTVQIRGQPVSQNTSCCSS >Ma10_p09560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23653136:23670204:-1 gene:Ma10_g09560 transcript:Ma10_t09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPNFSSLLRTLRVDDPWVPPKTWESIPSESGRVRSADSCGQSQDPIYESSLISEANLVHLVVNALLGIKSSIMEIDKLAAIFSSSPADRTFHRVPTLWCRSLSTNALGKILKCISHSGLVVCLLQKFVNFYQCANRDVQQSEDKGEGSTSLEDTNFLEDLLGSPPNRKVVNMNEIWMQPPYSLINQAFAVAVKKVLQGYFGALNTMQASVKLRRSAMMFEKSVHIPDGSCGYTKISQSGITLLEVFLHTNELRTQIESLGNICFPRFADLAVSREALTAETNIEFHNFPRGVDLLSYLYLQLRNADPIHHALLKHLFVGSCEPYCGFIKSWIFRASIDDPYREFFVHKSTKSNAASESVDKLFLTEIKEQIGISVPCFLKDVHRPLVRAGLQLQVLVKFLSLFNFDFVGRSTNSHCNLANIEEILPCWVGMSTDSAFLSNSLTFCKQRIEALICQRQNIYQMMLEKLQVFFSKSDIRYERMNHMVIPFDNAQSLYSGRSPNIPIILLSGADYVFSATTDEPEATRICTTQNTTDASYTSEESSYELDSLHNSENSFYSSEEETESEGFLTSGNHVMPPEYLLHSDSLPCYTIKIPFPNSNEIGMLCFSQASCYSMPKQHGPSVHHYKNEKTNSSVSFCCGDEKPVMTPVLSDENYNSDNFWPVGLLKTPFYHIINYRGPKQPCLAPQSIQMTDENSGTLENTKSVFDKVIVPFSSKLDTVGRFEFMNARIGPWCHDIFSSWNSNEYYDLSANPILTRFSWFSNMDISKDRSSNKRHRSHFPYFDFSSVVDPCNFSGNVLATPDNGLEVEASRIGNSNLATVGSNGILADSVQHSIKDQPDLKPTCSSNTSREAHHTPGHLPSSVSGGALWVGSLHYSNEIESCVEDKWHDSGAEFEMPPDVVIDKCIVQEILLQYKYVSNFAIKLLEEGFDLHEHLLALRRYHFMELADWADTFIISVCKQKWSVAEPEKKVAEMQGILELSLQRSSCETDQYKERLYVYMNGQSIVPVSNSSAVQLAGLNMFDFMLLGYKVDWPIKIIVTPAALNIYAEIFHYLIQVRLAAFSLVEVWYYIKAYQSSVLRGQDLKFHQIDLQILIKLRQQMNHFLSALQQYLHSQLSNVSWSRFQHSLKHQVKDMLDLESVHMSYLAEALHICFLSEDTKPVAVIIENILQCALDFGLHLTGGHLYAGTNRPGPLNLQSDINLCKVSTIQTIFERNLKDLYLLYLKSPKHVDFSLCRFWDHLNYNEYYSNIFNRDTTYLCL >Ma10_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23653137:23670204:-1 gene:Ma10_g09560 transcript:Ma10_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPNFSSLLRTLRVDDPWVPPKTWESIPSESGRVRSADSCGQSQDPIYESSLISEANLVHLVVNALLGIKSSIMEIDKLAAIFSSSPADRTFHRVPTLWCRSLSTNALGKILKCISHSGLVVCLLQKFVNFYQCANRDVQQSEDKGEGSTSLEDTNFLEDLLGSPPNRKVVNMNEIWMQPPYSLINQAFAVAVKKVLQGYFGALNTMQASVKLRRSAMMFEKSVHIPDGSCGYTKISQSGITLLEVFLHTNELRTQIESLGNICFPRFADLAVSREALTAETNIEFHNFPRGVDLLSYLYLQLRNADPIHHALLKHLFVGSCEPYCGFIKSWIFRASIDDPYREFFVHKSTKSNAASESVDKLFLTEIKEQIGISVPCFLKDVHRPLVRAGLQLQVLVKFLSLFNFDFVGRSTNSHCNLANIEEILPCWVGMSTDSAFLSNSLTFCKQRIEALICQRQNIYQMMLEKLQVFFSKSDIRYERMNHMVIPFDNAQSLYSGRSPNIPIILLSGADYVFSATTDEPEATRICTTQNTTDASYTSEESSYELDSLHNSENSFYSSEEETESEGFLTSGNHVMPPEYLLHSDSLPCYTIKIPFPNSNEIGMLCFSQASCYSMPKQHGPSVHHYKNEKTNSSVSFCCGDEKPVMTPVLSDENYNSDNFWPVGLLKTPFYHIINYRGPKQPCLAPQSIQMTDENSGTLENTKSVFDKVIVPFSSKLDTVGRFEFMNARIGPWCHDIFSSWNSNEYYDLSANPILTRFSWFSNMDISKDRSSNKRHRSHFPYFDFSSVVDPCNFSGNVLATPDNGLEVEASRIGNSNLATVGSNGILADSVQHSIKDQPDLKPTCSSNTSREAHHTPGHLPSSVSGGALWVGSLHYSNEIESCVEDKWHDSGAEFEMPPDVVIDKCIVQEILLQYKYVSNFAIKLLEEGFDLHEHLLALRRYHFMELADWADTFIISVCKQKWSVAEPEKKVAEMQGILELSLQRSSCETDQYKERLYVYMNGQSIVPVSNSSAGLNMFDFMLLGYKVDWPIKIIVTPAALNIYAEIFHYLIQVRLAAFSLVEVWYYIKAYQSSVLRGQDLKFHQIDLQILIKLRQQMNHFLSALQQYLHSQLSNVSWSRFQHSLKHQVKDMLDLESVHMSYLAEALHICFLSEDTKPVAVIIENILQCALDFGLHLTGGHLYAGTNRPGPLNLQSDINLCKVSTIQTIFERNLKDLYLLYLKSPKHVDFSLCRFWDHLNYNEYYSNIFNRDTTYLCL >Ma09_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2395981:2403450:1 gene:Ma09_g03620 transcript:Ma09_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTCCPSYTIRLKATDFYPSKEQARIYKKMQRFLDDTTSVKKFESSKQKENSCRGLLKLSGNDSITKMTALSTKESSASTCENMCNENDILHSLANIINSAVSTLFVSYTAHLPKAVVKKVTCQAKKKLVEISEDLVYTSSIAFQIAAAIRRSQSTDETIKCRESELLDLNATTIAEQLACLVKEHELPSELLIKACNGHLNFYSATKKRSSEFIDLKESMQASRGNRGNTKRICSADSNAILPQKRRKLEIRMNRSSFDQEEFALYRRYQIKVHDDKPEKVTVGSYKRFLVDTPIVFVPPVSGDNTIPPCGFGSFHQQYLIDGKLVAVGVVDVLPRCLSSKYLFWDPDFAFLSLGKYSALQEINWVKETQNHCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPLRYQWVPFEVARPLLDRTPYVILSDSLDVKDCAMSPKLPNPVENLGPDFHDSSPMEDLSDEDEEEDEEVGFHYEDSDTGVEDESNLAATNALNVADSRAYDVGDIVLDVNGSCVKFKDIEKVFGPLERRIISKLESQLQRFVEVVGNELAGRMVYSLG >Ma09_p03620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2395657:2403450:1 gene:Ma09_g03620 transcript:Ma09_t03620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGASSSRSGRGGGTERVKGGGAIGESKVTDHGRRRSSCGYCRSTSYSSISHGLWADSITAEDYQELLDHGWRRSGCFLYKPEMEGTCCPSYTIRLKATDFYPSKEQARIYKKMQRFLDDTTSVKKFESSKQKENSCRGLLKLSGNDSITKMTALSTKESSASTCENMCNENDILHSLANIINSAVSTLFVSYTAHLPKAVVKKVTCQAKKKLVEISEDLVYTSSIAFQIAAAIRRSQSTDETIKCRESELLDLNATTIAEQLACLVKEHELPSELLIKACNGHLNFYSATKKRSSEFIDLKESMQASRGNRGNTKRICSADSNAILPQKRRKLEIRMNRSSFDQEEFALYRRYQIKVHDDKPEKVTVGSYKRFLVDTPIVFVPPVSGDNTIPPCGFGSFHQQYLIDGKLVAVGVVDVLPRCLSSKYLFWDPDFAFLSLGKYSALQEINWVKETQNHCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPLRYQWVPFEVARPLLDRTPYVILSDSLDVKDCAMSPKLPNPVENLGPDFHDSSPMEDLSDEDEEEDEEVGFHYEDSDTGVEDESNLAATNALNVADSRAYDVGDIVLDVNGSCVKFKDIEKVFGPLERRIISKLESQLQRFVEVVGNELAGRMVYSLG >Ma09_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34443210:34444484:-1 gene:Ma09_g22510 transcript:Ma09_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQWCRGRTIGRGSTATVFLATAFPSGYLFAVKSADLSLHASLQREQGILSSLHHPNIVSCLGFDVTAESSGGQLSYNLFLEYAPLGSLSECIVKHGDRLEEGVIRSYTGDILRGLAYLHAKSIAHCDVKSRNVLIWPDGRAKVADLGCARPTTGDDGTVRPIAGTPMFMAPEVARGEEQGAPADIWALGCTVVEMASGLPPWPDVDVPAAALHRIGFTTDVPKCPGWLSEEAKDFLDKCLRRDARERWTADQLLQHPFLAKRSPPNRFTESDSSQVKVSPRSTLEQSLWDSVADEEEEVEMDRQFDSPEERIRQLISGGFPAANWTCDDNWITVRMSEEEPPVATADAADEPIGHSNTDYISSAADRFSDSVGYGVPDCTDELDDVVIVNQMTGERQICTPAVDVDNNICFSANLVHRLVF >Ma03_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6095272:6096970:1 gene:Ma03_g08400 transcript:Ma03_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVTRTSHSFVAPREPTPNETLSLSIIDRVAGLRHMVRSLHVFQHGEKPAEVIKDALSRVLVMYYPFAGRFVDSEQGDVCVACTGEGAWFVEASANCSLEDVKYLDLPLMISKDELFPVPSPEFDPINLPLMMQVTEFICGGFVVGLISVHTIADGLGAAQFVNAIGEVARGLPKPIVDPVWIREVIPSPPKLPPAGPPVFPAFRLLYNTMDVSHDAVNQIKAQYFELTGHRCSTFDVAIAKLWRSRTRAIKLDPEAHVHLCFFANTRHLMQQLLPAEGGFYGNCFYPVAVTARSGQVATAELVDVVNIIRIAKAGLPGKFAKWAVGDFKEDPYELTFTYDSLFVSDWTRLGFLDVDYGWGKPLHVIPFAYFDFMAVGIIGAPPTPKKGTRIMTQCVEEEHMEAFLEEMKSSA >Ma03_p32650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34369577:34370524:1 gene:Ma03_g32650 transcript:Ma03_t32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLADLANVIDGATALQSYGADISLCAPPQTERDGYWPDATSASPSGEAARKELPRPGTPPDATVCKEGRCQFRAVQAAVDAALIDGTSHHLLLPSEETLWQQNLSHAFDHSLSLSFCNRFSSVCLSTGVSGDGFKARDLAFANTAGPDKHQAVAFRSDSDLSVLESVEFLGHQDTLYAHSLRQFYKSCRISGTSTSSSATPPPSSATASSSSSPANSTPSTASPTPSP >Ma11_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26757160:26757596:-1 gene:Ma11_g23400 transcript:Ma11_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKQPLNHLFTLLLLLSCLLSLEAAPLSRILASRNQDRAVMKAAVQVINKEMIVTEGVPVSGAMDIELNDYPGSGANSHHDPKNPRKP >Ma04_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:723304:728237:1 gene:Ma04_g00750 transcript:Ma04_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEEKNPNDSDPLIWRQTESSPSPDGLDEIKDDEADANSSACCRICLESDTFPGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKARFHLRVEFLEDYSWRKIKFRIFVARDVLLVFLAVQTVIATIGGFSYFLDKNGSFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSFNSNGPCMTGCRNCCYGWGILDCFPASMEACFALVVIFVIVFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYVVEDLHGCYAPPKMDPEHEERLRVLKLL >Ma04_p00750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:723238:728237:1 gene:Ma04_g00750 transcript:Ma04_t00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFLRTTWNPSTSPGDFFLSYYGRFQGRLRFCTCCSSVCCSSRRAELQMDLDEEKNPNDSDPLIWRQTESSPSPDGLDEIKDDEADANSSACCRICLESDTFPGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKARFHLRVEFLEDYSWRKIKFRIFVARDVLLVFLAVQTVIATIGGFSYFLDKNGSFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSFNSNGPCMTGCRNCCYGWGILDCFPASMEACFALVVIFVIVFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYVVEDLHGCYAPPKMDPEHEERLRVLKLL >Ma08_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37019452:37044913:-1 gene:Ma08_g23670 transcript:Ma08_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] MGSTSKSDFQALQELFRPHIESFDYFLDEGLEKAFLSIRPIEISDPHTGIKLRVSLEKPQLLPPMRDGRLSEPLFPHECRQARISYKGEFRALVCFQYDEGVVVRENINFGHLPIMLKSKLCHLRGADPQKLVAHKEEATEMGGYFICNGLERLVRLLIIQKQNYPMSMVRKSFRNRGPGYGDKAVVMRCVREDQSSVTLKLYYLENGSARLGFWIRGREFLLPVGIVLKALIDTNDHEIFVSLTCCYNDRYQREKGAVATQLIGERAQIILDEVRDLSLWTRSQCLKHIGEYFRPAMNGFETESHTTVAEAVLRDYIFVHLASNHDKFNLLIFLLQKLYALVDQTAAPDNPDALQNQEILLPGHLITIYLKERLQDWLKKSKRQLLDETAKKEKNIDIKNLTQVKKFFSRSSATIGRAIESMLKIGRLNTASGLDLPQREGMSIQAERLNFFRYLSHFRSVHRGASFAKMRTTSVRKLLPESWGFLCPVNTPDGEPCGLLNHMTCACRVASFYDSEGRVKDFLKIRMSILSVLVGIGMTPLLPKLERAGPPEVLHVLLDGCVVGSISSVKIEEAVAHIRRLKLSGICGIPEDLEVGYVPLSFGGAYPGLYMFTNSSRFIRPVINLIQVTDGSKNIELIGPFEQAFMEIRCPDGGNGGRREFFPATHEEIHPTGILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFSAQALQYRADLKSYHLQTPQSPIVRTASYSKYCMDEFPTGTNAIVAVLAYTGYDMEDAMILNKSSVDRGLCRGHIYQTESIDLANKHEKEDRLLEIFARSNDRKLKSSIDSDGLPYVGQTIHPSEPLYSTYNTLTSITKPTLLKGSEDVIIDYVAVDGTGSKNYLQKVNIRTRRTRYPIIGDKFSSRHGQKGVCSQMWPDVDMPFSAVTGMRPDLIINPHAFPSRMTIGMLLESIAAKGGSLHGKFVDATPFSRSVCNADEDKPSKSSSVVDELGPMLTSYGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTVDQITRQPIGGRKRGGGIRFGEMERDAVLAHGAAYLLHDRLHSCSDYHIADVCSYCGSVLTATAIQPQKRAVREIHGLPPARTPKNYICQSCKTGKGMETVAMPYVFKYLAAELAAMNIKMELQLSNQAGSCT >Ma08_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33935297:33941104:1 gene:Ma08_g20090 transcript:Ma08_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSAKLEAALSLGRKRTLQALFAAALLYVAFVFVYELPFLSRWHPSAFSSSSANAVSRPLHLGAEDRLAPLRPSQRPHRLPGLAGGPAGLRLPSDLPRPLVVAGLDFRPLDPTAGGRGPFSGLHKAARDAWEVGRKLLEELKAMSVAGSASVEDENRTEERCPQSIVLSGEKFLELGMVMVLPCGLTLGSHITLVAKPYRAHAEHDPKIRTLNEGEQAIMVSQFMMELQGLKTVDGEDPPRILHLNPRLKGDWSGKPVIEQNTCYRMQWGSAQRCEGWKSTDNEETVDGLVKCEKWINDGDDKEESKTAWWLNRLIGRTKKVFIDWPYPFVEEKLFVLTLSAGLEGYHVNVDGRHVTSFPYRTSFVLEDATGLLVNGDLHVQSIIAGSLPTSHPSFSSQRNLDLSAEWQVPPIPDGPVELFIGILSAGTHFAERMAVRKSWMRTVRESSNMVARFFVALHPRKEVIIELKKEAEFFGDIVIVPFMDSYDLVVLKTVALCEYGVRTVSARYIMKCDDDTFVRVDAVMNEVKKVPSNKSLYVGNINYHHKPLREGKWAVTYEEWPEEDYPPYANGPGYVLSTDIARFIVSEFEKHKLRLFKMEDVSMGMWVEQFNHSKAVEYIHSLKFCQFGCVDDYYTAHYQSPRQMTCMWDKLQSGKPECCNMR >Ma05_p02360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1511419:1516313:-1 gene:Ma05_g02360 transcript:Ma05_t02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKPDAFQQQGQAWFCTTGLPSDVTVEVGEISFHLHKFPLLSKSGLLEKLMKEKSDEEEDTLIKLHDVTGGARTFELVAKFCYGIKLELTSSNVVYLRCASEHLQMTEEIAEGNLIAQTEIFFNQVVLHSWKDSIKALQTCDDLLPHAENLQIIKRCVDSLAVKACTDPNLFGWPMMEHGAMQSPGGSVLWNGISTGARPRNCSSDWWYEDVSSLSFPLYKRLISVMKSRGIRQEIIAGSLILYAKQYLPGLNRRPSLAQGNLTAAPSEQEQRHLLEEIDSLLPLQKGAASTKILIGLLRTAIILQASPSCISNLERRVGMQLDQADLEDLLFPTFEFSMEALYNVDRVQRMLDHFIATDQATSTAGTSSPGSVNDEQLIGSPSLVPLTAVAKLIDGYLAEVAPDINLKMPKFQKLAAAVPDYARPLDDGLYRALDIYLKAHPWLSESEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLDGSQPLRSGIACSGEAGGWMTAVRENKDLKVGMDNMRLRVSELEKECTSMKQEIKKLGQGRSGWSSVPKKFGSKIKLQLCSAQEDSVSAQQKTKSGKIDKLQAIITKQKHQLSADD >Ma05_p02360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1511419:1516313:-1 gene:Ma05_g02360 transcript:Ma05_t02360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKPDAFQQQGQAWFCTTGLPSDVTVEVGEISFHLHKFPLLSKSGLLEKLMKEKSDEEEDTLIKLHDVTGGARTFELVAKFCYGIKLELTSSNVVYLRCASEHLQMTEEIAEGNLIAQTEIFFNQVVLHSWKDSIKALQTCDDLLPHAENLQIIKRCVDSLAVKACTDPNLFGWPMMEHGAMQSPGGSVLWNGISTGARPRNCSSDWWYEDVSSLSFPLYKRLISVMKSRGIRQEIIAGSLILYAKQYLPGLNRRPSLAQGNLTAAPSEQEQRHLLEEIDSLLPLQKGAASTKILIGLLRTAIILQASPSCISNLERRVGMQLDQADLEDLLFPTFEFSMEALYNVDRVQRMLDHFIATDQATSTAGTSSPGSVNDEQLIGSPSLVPLTAVAKLIDGYLAEVAPDINLKMPKFQKLAAAVPDYARPLDDGLYRALDIYLKAHPWLSESEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLDGSQPLRSGIACSGEAGGWMTAVRENKDLKVGMDNMRLRVSELEKECTSMKQEIKKLGQGRSGWSSVPKKFGSKIKLQLCSAQEDSVSAQQKTKSGKIDKLQAIITKQKHQLSADD >Ma05_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1511419:1516313:-1 gene:Ma05_g02360 transcript:Ma05_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKPDAFQQQGQAWFCTTGLPSDVTVEVGEISFHLHKFPLLSKSGLLEKLMKEKSDEEEDTLIKLHDVTGGARTFELVAKFCYGIKLELTSSNVVYLRCASEHLQMTEEIAEGNLIAQTEIFFNQVVLHSWKDSIKALQTCDDLLPHAENLQIIKRCVDSLAVKACTDPNLFGWPMMEHGAMQSPGGSVLWNGISTGARPRNCSSDWWYEDVSSLSFPLYKRLISVMKSRGIRQEIIAGSLILYAKQYLPGLNRRPSLAQGNLTAAPSEQEQRHLLEEIDSLLPLQKGAASTKILIGLLRTAIILQASPSCISNLERRVGMQLDQADLEDLLFPTFEFSMEALYNVDRVQRMLDHFIATDQATSTAGTSSPGSVNDEQLIGSPSLVPLTAVAKLIDGYLAEVAPDINLKMPKFQKLAAAVPDYARPLDDGLYRALDIYLKAHPWLSESEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLDGSQPLRSGIACSGEAGGWMTAVRENKDLKVGMDNMRLRVSELEKECTSMKQEIKKLGQGRSGWSSVPKKFGSKIKLQLCSAQEDSVSAQQKTKSGKIDKLQAIITKQKHQLSADD >Ma05_p02360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1511419:1516313:-1 gene:Ma05_g02360 transcript:Ma05_t02360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKPDAFQQQGQAWFCTTGLPSDVTVEVGEISFHLHKFPLLSKSGLLEKLMKEKSDEEEDTLIKLHDVTGGARTFELVAKFCYGIKLELTSSNVVYLRCASEHLQMTEEIAEGNLIAQTEIFFNQVVLHSWKDSIKALQTCDDLLPHAENLQIIKRCVDSLAVKACTDPNLFGWPMMEHGAMQSPGGSVLWNGISTGARPRNCSSDWWYEDVSSLSFPLYKRLISVMKSRGIRQEIIAGSLILYAKQYLPGLNRRPSLAQGNLTAAPSEQEQRHLLEEIDSLLPLQKGAASTKILIGLLRTAIILQASPSCISNLERRVGMQLDQADLEDLLFPTFEFSMEALYNVDRVQRMLDHFIATDQATSTAGTSSPGSVNDEQLIGSPSLVPLTAVAKLIDGYLAEVAPDINLKMPKFQKLAAAVPDYARPLDDGLYRALDIYLKAHPWLSESEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLDGSQPLRSGIACSGEAGGWMTAVRENKDLKVGMDNMRLRVSELEKECTSMKQEIKKLGQGRSGWSSVPKKFGSKIKLQLCSAQEDSVSAQQKTKSGKIDKLQAIITKQKHQLSADD >Ma11_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3396225:3397633:-1 gene:Ma11_g04290 transcript:Ma11_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLHHACADWGFFQLINHGVPDQVMEKMKADIVEFFKLPLEEKKAFARLPNSLEGYGQAFVVSDDQQLDWADMLTLITRPLQSRNIDLWPAQPLTFRDSLSCYSMELKSVAGTLLEVMAKNLGVAPEEFSTIFQDQTQAVRINYYPPCPRADEVLGLSPHTDGSGLTLLLQVNDVEGLQIRKGGNWFPVKQLPGALIANIGDIIEILSNGVYKSIEHRTIINAKEKRLSIATFHGPIEYSVIGPLAEIVKGCKPKYVSMSFGKFMKAYFSAELKGKRFMKNLKL >Ma07_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5801679:5804721:1 gene:Ma07_g07810 transcript:Ma07_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLLRRLKASILRLRCRSPSGTLPFVENFTYKEIDKATNGFGMVLESGAQGTIYKARFPNGLVGAVKRVRSQQLGKDTFFENVQLLGRLHHRHLVRLKGFSEGKYRFLVFDYTENGSLKDYLHDPLRTPLNWRTRLQIAIDVAAALEYLQYFCDPPVYDVSVNSNNILLDENFVAKLSDVGFVDSDFNRNSESNVTCSEDDTKQKHRRLVFQFGVLLLELITGQSLFNEAEIVQWIQESGFAYSIHKMVDTDLGDSYDSKELQSLLIIARLCTKTENDTLISIPQILRYLQGRLEHSAL >Ma03_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1625714:1628477:1 gene:Ma03_g02390 transcript:Ma03_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSLAISGTPHRLDADVRKLSPASVPFERRLSYQRSPPTADGSWEASVQPLDAREALALLREGTGVESAFYVPLLQQCVETRSLPDAQVIHAHIIKTGTHEEAFVSTSLVDVYMKCGAPHHARKLFDTLPRRNVVTWTALITGYVRNSEPENAILVFVRLLESGCYPTNYTLGAVLSACCARYSIELGRQVHGYMVKYGIESETSMGNSLCSLYSKCGSLESSVKAFRRIPNKNVISWTSIISACGDNGDTELGLTLFADMLSEDVEPNEYTLTSALSLCCMLQDLSLGKQIHSFCIKFGCESQLPVKNSIMYLYLKCEEINEARRLFNEMDTVSLITWNAMIAGHAQMMNLAKDDMAAHHSGFEALKVFQKLNRSGMKPDLYSFSSILTVCSGLLAIEQGEQIHAQTIKSGYLSDVVVSSALVNMYNKCGCIDDATKAFVEMSTRTLISWTSMLTGYSQHGRSKEAIQLFEDMRLVGVRPNQITFVGVLSACSHAGMVDEAEYYFNMMKNEYGIKPVMDHYACMVDMFVRQGRLEDAFAFVKKMNFEPNEIIWSILIAGCRSHGNVDLGFYAAERLLELKPKGIETYILLLNMYISAERWQDVSRVRKVMKNENIGSIRDRSWISIKDKVYFFRANDRSHRQSAEMYALLESLLQKATCLGYVPYKSVELSDKEDEENSVGSAAATHHSERLAIAFGLINTTEGTTIRVVKNITMCRDCHNSVKFFSILTKREIIVRDSKRLHRFTDGRCSCGDFGALLL >Ma03_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2478485:2478985:-1 gene:Ma03_g03740 transcript:Ma03_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGSTIREFVEDEGAFNGSVEERFANLDMDHDGLLTYPEMARELMSLRVRETHFGVDEAGWSHDELLQLYLGLFGRFDRDGNGTVDLEEFRAEMREVMLAVASGLGFLPVQMVVEEGSFLKKAVERESAKHVVA >Ma02_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29012993:29015431:1 gene:Ma02_g24350 transcript:Ma02_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEKGKDTMGAEEDGGVDELLAALGYKVRSSDMADVAQKLEQLEKAMGSSTAANDDALFSHLASDTVHYNPSDIFTWVDNILSELNAPPTPLAPPPPPPPPALPLHNRHHQRHFDLPLAAPEQSTITTVDLPTAPRHLADNYDLKPLPAPHAAAGRIVYGSDAQSVLAPSPRDRKRLKVCSSPPSSSSTTDAAKSDKALPVVVVDTQEAGIRLVHALMACAEAVQQESLKAADALVKQITVLTTSQGGAMRKVAGYFAEALARRIYRPQPHRGVGCSSQDSAALDNILHVHFYESSPYLKFAHFTANQAILEAFAGCRRVHVVDFGMRQGLQWPALLQALALRPGGPPSFRLTGIGPPQPDHSDALQEVGRKLAELADTIRIDFRYRGLVASSLADLEPYMLLGPNTSDCSDGGEDEEPEAVAVNSVFDLHGLLARPGALEKVLGTVRAVQPRIVTVVEQEANHNGGTFVERFTEALHYYSTMFDSLEGGALAADAACGGGRRDQAFSEVYLGRQICNVVSCEGVERTERHETLAQWRVRMGRAGFDPVAIGSNAFKQASMLLALFAGGDGYRVEEKEGCLTLGWHTRPLIATSAWRVAASAGGDPIAAAR >Ma07_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12636666:12637356:1 gene:Ma07_g16100 transcript:Ma07_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDIIGDLFKLGFGPYVTIADWFKWLPVPFLLSDAIQTWLANWYRRCFICCYCLISSALDLCGRKVNLSSLMPIYTLLYISHPLRPTFPGSHHLVLHG >Ma03_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24301428:24304292:-1 gene:Ma03_g18790 transcript:Ma03_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDMSLDDIIKNNKKSAAGGRGRGRGSGTGPARRVPNRSANRSAPYSFGKAPDSAWQHDIYAAPMGGFLAPAARASSIETGTKLYISNLEYGVSNEDIKELFSEVGDLKRYSVNYDRSGRSKGTAEVVFSRRADALAAVKRYNNVLLDGKPMQIEIIGINIPTPAAVPQFSNGVFGNPNGAPKRTGPGRVSAGWPRGGGRGRGKGRGQGRGRGEPVSAAALDADLDKYHEEAMQTN >Ma11_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14044582:14064386:1 gene:Ma11_g11600 transcript:Ma11_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQVTREWTGIQQFPAATQNKLHELLGKLKQENVSTLTILVMGKGGVGKSSTVNSILGERVASVSAFQSEGLRPIMCSRTRAGFTLNIIDTPGLVEGGYVNEQAIEIIKRFLLNKTIDVLLYVDRLDAYRMDSLDRQVIKAITDTFSKRIWQRSLVVLTHAQLSPPDGLNYDDFFAKRSEALMRYVRLGARIRKQDFEESSIPVVLVENSGRCKTNSGGEKILPDGTPWIPNLVEVITTVIANGSKPITVDQKLIEGPNPNDRGKFFIPLILAFQYFFVVKGIQRAIKQDIKQERKPLWELRDMGLANRKF >Ma09_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3789918:3794170:-1 gene:Ma09_g05870 transcript:Ma09_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTTREVSFFLLSFLSLCFALRAASQTLSNDEKRILLQIKREWRDQPVLASWNDTTTSSYCAWTGVGCAADGSVANITLSGQTTPKISQPIPNSLCSLRNLSYLDLSYNNIPGSFPTSLYNCSSLRYLDLSQNRFVGVIPDDVDRLSPLLTHLDLSSNNFSGDVPPAISRFPAIQKLVLNSNLFNGSFPAEIGNLSRLQTLVLAYNPFAPNIIPPEFGNLTQLVFLWMTSANLVGEIPPSFSKLEALVQLDLSENSLTGTIPAGIWALQNLVYLYLHRNNLSGPITIDGTIGALGLERIDVSMNQINGSIPKDFGKLLNLSVLFMYYNRLSGEIPASIGLLPLLYDLRLFNNGLTGVLPPELGKHCPLWNIEVDDNKIFGELPDGLCDGGALTSIVVFNNNMSGKIPPSLGSCSTLDNIQVQSNSFSGEVPDGIWSAVNLTTMIMRDNAFSGGLPDELPWNLTRLDIKNNRFSGQVPSSAGNLVVFLASNNMFSGNLPSTLTGLSRLQSLSLGGNMITGTIPSDLSVLKSLVDLNLSHNQLTGHIPVAIGSLPVLNSLDLSANELSGSIPTAMANLKLNFLNLSSNQLSGEIPAGLQSPAYEQSFLSNPSLCAANSQLNVPACRRGSSGGLSRGLRILFFVLGGLVFLMALAFSVFVYRDRKKRSNGSDPAVWNVTSFQSVDFTESNIMRGIKEENLIGSGGSGNVYKVDLGNRAGETVAVKKIWSSRKLDSKLEKQFQSEVKFLGSIRHKNIIKLRCCISSPDAKLLVYEYMGNGSLDRWLHGKRAAPLHWSTRLEIAVGSARGLCYLHHDCSPPIIHRDVKSSNILLDMEFNAKIADFGLARMLVKPGQLDTVSVIAGSFGYMAPECGYSRRLNEKVDVYSFGVVLLELTTGRRANNEGEQCNLAEWAWKQIQEGANLRDAVDPAIKDSPQMDDITTVFKLGLRCTESLPSRRPSMKDVLQVLMRCNRPRGDDCKPCAERDVAPLLSSKTGSRRKGSPNDGDEKSLACNV >Ma02_p04010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15489038:15493651:-1 gene:Ma02_g04010 transcript:Ma02_t04010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLIRLLSSLWRPFGRRGGGVGGGGGDGVAGRAGLLWSRDLGRCAGGEFSMAVVQANRVLEDQSRIESGPFGTFVGVYDGHGGPDAARYVCDHLFAHFREISSGPQGVTCDGIQRAFLATEESFIALVSQLWNTRPDIATTGSCCLVGVVCQQTLYVANLGDSRVILGKKVGSTGEIAAISLSNEHNANVDAVRQELQAQHPNDPQIVILKHGVWRIKGIIQVSRSIGDAYMKHSQYNREPINPKFRIAEPMNMPILTANPSIMFHHLEQSDSFLIFASDGLWEHLSDQKAVEIVHSHPRAGSAKGLIKAALHEAARKREMRYSDLKRIDKKVRRHFHDDITVIIIFLNHEKVQDHMQVPQFSVRSALDH >Ma02_p04010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15489072:15493651:-1 gene:Ma02_g04010 transcript:Ma02_t04010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLIRLLSSLWRPFGRRGGGVGGGGGDGVAGRAGLLWSRDLGRCAGGEFSMAVVQANRVLEDQSRIESGPFGTFVGVYDGHGGPDAARYVCDHLFAHFREISSGPQGVTCDGIQRAFLATEESFIALVSQLWNTRPDIATTGSCCLVGVVCQQTLYVANLGDSRVILGKKVGSTGEIAAISLSNEHNANVDAVRQELQAQHPNDPQIVILKHGVWRIKGIIQVSRSIGDAYMKHSQYNREPINPKFRIAEPMNMPILTANPSIMFHHLEQSDSFLIFASDGLWEHLSDQKAVEIVHSHPRAQENERCDIQISKGLTRRSAGIFMMILLSSLYSLIMRRFKITCKFLSFQFEVLWIIEAHEEFFQNSKTFSN >Ma02_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15489068:15493645:-1 gene:Ma02_g04010 transcript:Ma02_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLIRLLSSLWRPFGRRGGGVGGGGGDGVAGRAGLLWSRDLGRCAGGEFSMAVVQANRVLEDQSRIESGPFGTFVGVYDGHGGPDAARYVCDHLFAHFRVCQVNSCCLDAEISSGPQGVTCDGIQRAFLATEESFIALVSQLWNTRPDIATTGSCCLVGVVCQQTLYVANLGDSRVILGKKVGSTGEIAAISLSNEHNANVDAVRQELQAQHPNDPQIVILKHGVWRIKGIIQVSRSIGDAYMKHSQYNREPINPKFRIAEPMNMPILTANPSIMFHHLEQSDSFLIFASDGLWEHLSDQKAVEIVHSHPRAQENERCDIQISKGLTRRSAGIFMMILLSSLYSLIMRRFKITCKFLSFQFEVLWIIEAHEEFFQNSKTFSN >Ma02_p04010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15489038:15493645:-1 gene:Ma02_g04010 transcript:Ma02_t04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLIRLLSSLWRPFGRRGGGVGGGGGDGVAGRAGLLWSRDLGRCAGGEFSMAVVQANRVLEDQSRIESGPFGTFVGVYDGHGGPDAARYVCDHLFAHFRVCQVNSCCLDAEISSGPQGVTCDGIQRAFLATEESFIALVSQLWNTRPDIATTGSCCLVGVVCQQTLYVANLGDSRVILGKKVGSTGEIAAISLSNEHNANVDAVRQELQAQHPNDPQIVILKHGVWRIKGIIQVSRSIGDAYMKHSQYNREPINPKFRIAEPMNMPILTANPSIMFHHLEQSDSFLIFASDGLWEHLSDQKAVEIVHSHPRAGSAKGLIKAALHEAARKREMRYSDLKRIDKKVRRHFHDDITVIIIFLNHEKVQDHMQVPQFSVRSALDH >Ma04_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1964084:1966581:1 gene:Ma04_g02260 transcript:Ma04_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLAQPQASLQLRHHSRINLSVLKSQIAKQLGTKRAQCYFSYLNGLLSQKLSKSEFNKLCFVTLGPENLPLHNQLIGSILRNACQAKTPPPITHDKGVQKPVKASLKKSRQGDDGFNSSKTPTSMPISSNGRNLLLSPCRITVGSQNWHFKDHQSPPEPHGTAEIASDQSVVTYDEVVSRENGDLSSSNLRRLQHQQGEPVEQLAKRPRLAMPLLHDQGSVHGKDLVGKSNVEDRDRLDHWECYREPLRAPLGIPFSSSNIGGARRCLLPRASASSGGFGSSYHSGELCNTEILKKQMEKMAEAHGLGGVTMDCVNLLNYSLDTYLKRLIRSCVELVRARTGHELIKQTVFRLQPYRKPITGTWVGNNIPTQIGGPLEGSHELKNCCLISMRDFVVAMELNPQQLGEDWPLLLEKIRICSFEKLDGSR >Ma10_p20350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30553943:30559487:-1 gene:Ma10_g20350 transcript:Ma10_t20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MAISLFDGSANLQCGWNLHIKKQHQHAAGLSSLVFKTGFLTDVTRLCAISSAAHGAVFRSVRAPVPKSISAARSARILCLQDDNSNTKYFDFVVVGSGVAGLRYALEVSKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPDRVKELIAIGASFDHGHDGKLHLAREGGHSHNRIVHAADMTGREIERALLKAVDDELNIHLFGHHFAIDLLTSQGNDEIYCHGVDTLNTETQQVVRFIAKVTLLASGGAGHIYPTTTNPLVATGDGVAMARRAQAVISNMEFVQFHPTALADEGLPIKPTNRRDNAFLITEAVRGDGGILYNQSMQRFMPLYDERAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREQILAHFPNIAAECLRYGLDITSSPIPVVPAAHYMCGGIRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMTRSSLGIHASTMWTRPVLPTSLESHTMQEILFRTKTTRVELQSIMWEYVGIVRSTSRLKTAEWKIGELEAEWEEFLFRREWKPMMVGLQACEMKNLFCCAKLVVSSALARQESRGLHYIEDFPFLEESKRKPTIIFPTSLKLTWSSQQAHKLAAGAPR >Ma05_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7231090:7231777:-1 gene:Ma05_g10050 transcript:Ma05_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTQKRRSSSFVGIRCGCKDSKSVSVSASQSSSSDMKSTTRTLTTRRARELSSADTMTLTSPSTSSYWEEEAKLGSSASTPSFSGLLRQLNELEQDVMSWGRCTARPTDDKEEESKRWHQRSGSEGAGGRRVEESVAVVKETEDPLGEFRRSMLQMIVEKEIVDGEELRELLRRFLALNSPRHHDTILRAFAEIWEEVFTGHDNNGILAAVRRNL >Ma05_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10364844:10370070:-1 gene:Ma05_g14270 transcript:Ma05_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNRTVSTRNLHKSDLGGVIFGCKHKTMEECLSKKIFGLPSTHFVYVRNIEEGLPLFLFNYSDRKLHGIFEAASHGQLNTNSYAWTDGSTKRTPYPAQVSIRIKTNCAPLTENQFKKIIEDNYYNPQHFWFELDHAQTSALIAHFVPLPSHTNTRADHLALPAPTTTFSKATSSSRCTYAEACVPKKDFYMPVNLVDKNNFLSLSCGDEDNNHGVSSKTSCSAIEDMENVETVSDWEEWAVENMQVMNVNASICMDSEHKLQQHEVGNEASEPQVETVLLKLRRMAADSQCSTQSANDCRDNIISGEMEEYAEQVLGRYTASAEKEDDETIPKPFHENNELTQVVCELKAWAENLEKKQVESDREMQRLRDLVVDSRRRMRQLNNRVKELESKIGPPEGLDDSMNKFVEECLGSEDVIYIIGGFNGFSCLSALDSFSPSLDSLTSLKCMNYARSYASAVALDSNIYVFGGGDGTSWYDTVECYNPRNDEWVLCPPLIHKKGSLANSTLNGKIYAIGGGNGVQCFSNVEMFDPALGRWINSQSMLMKRFAPAAKELQGVIYACGGYSGHEYLKSAERFDPREGYWTKIADMNRRRGCHSVAALKGKLYAIGGYDGEEMVSSVEAYEPRMSSWAMVEPMKAVRGYAATTVLGGSIYVIGGVKDGKVILDTVESYREESGWCETGLKAVGRRSFCSAIVI >Ma04_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14586830:14588634:1 gene:Ma04_g15940 transcript:Ma04_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAASAAASLACRHDGHHSIFPSFSVHPPEPSRLFLSKALPKPYPFLCDNYPIAASSHRCMLPLTPHAALVDPSHAFLSADEDKPRDECGVVGIIGDPDAAQLCSLALHALQHRGQEGAGIVSSDGSSLHSATGLGLVSEVFSDPGTLAPLVGEASIGHVRYSTAGAASSLANVQPFVAGYRFGKLAVAHNGNLVNYRNLRSALESKGSIFNSSSDTEVILHLIATSSSRPLLARIVEACEALEGAYSLVFLTANKLFAVRDPHGFRPLVMGRRRPTGAIVFASETCALDLINAAFVREVNPGEVVVVDSRDMSITSLCLMPSKSRKACVFEHVYFALPNSVVFGHPVHSSRYSFGAALARESPAPSADIVIPVPDSGFFAALGFAEASGLPFRQGLIRSHYVGRTFIEPDKEGRNLAVKLKLAPVRGILEGKSVVVVDDSIVRGTTSSKIVQLIKTAGGAREVHMRIASPPIVGSCYYGVDTPSSEELISNRMDVEGVRQEIGCESLAFLSLESLRGVFADEAHMFCDACFTRDYPVPPRNQEIEQLVEV >Ma02_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26556870:26558146:1 gene:Ma02_g20680 transcript:Ma02_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRGNPEGRLASKVALLCCAFLFIFSVLSLAAGNSSSRDRSEITSWRTKFDPQGWRWRRQGPEAAVTAVVAMRRRGLMGPGSHPPRCTSRCGACTPCWPVHVPVPPRAPADAAEYYPEAWRCKCGGRLYVP >Ma02_p20680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26556870:26558146:1 gene:Ma02_g20680 transcript:Ma02_t20680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRGNPEGRLASKVALLCCAFLFIFSVLSLAAGNSSSRDRSEITSWRTKFDPQGWRWRRQGPEAAVTAVVAMRRRGLMGPGSHPPRCTSRCGACTPCWPVHVPVPPRAPADAAEYYPEAWRCKCGGRLYVP >Ma08_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35543620:35546795:1 gene:Ma08_g21740 transcript:Ma08_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] MAASHGWLTSLPNQVRHRHLLAVASSVVNHRSAAAASFVVRASSTDASLTEKDCLRRRQVLVGLSSLTAALSWANFASAEDVPENFRAFVDFTDGYAYYYPSDWRDFDYMGHDSAFKDRFAALQHVRVSFIPTEKKDIHDLGSMEEVIFNLVKNIYAAPNQIPSIYEMQERTVDGKNYWTFEYELESPGFSRTAFATIAIGNGRYYTLVVGANERRWTRLRNKLKVVADSFNILDI >Ma08_p21740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35543615:35546795:1 gene:Ma08_g21740 transcript:Ma08_t21740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] MAASHGWLTSLPNQLAVASSVVNHRSAAAASFVVRASSTDASLTEKDCLRRRQVLVGLSSLTAALSWANFASAEDVPENFRAFVDFTDGYAYYYPSDWRDFDYMGHDSAFKDRFAALQHVRVSFIPTEKKDIHDLGSMEEVIFNLVKNIYAAPNQIPSIYEMQERTVDGKNYWTFEYELESPGFSRTAFATIAIGNGRYYTLVVGANERRWTRLRNKLKVVADSFNILDI >Ma04_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9463421:9464707:1 gene:Ma04_g12540 transcript:Ma04_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSNRSTRCHQASIFSHSTSSVEKLLFLSVHPPRGETLEDITTVEGYTDIIVLKHFKSGVARWQLLQPVFFFIKAGMIKDSIQHSTLLHQKTFLKVALCFLEVASRSDVAYQARAQKERFGEMMHRPL >Ma07_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27710207:27711817:-1 gene:Ma07_g19780 transcript:Ma07_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGAMANGASVPALISHDAAKKKRTNRSAKLKQCKLDVRREQWLSQVRSKDDCKILSTAASSPVDHSPRPRADENDAKTRMREEDATTHGSRDSDFPNPHVSRGNRTSISSGSSMESSSPSVSDDDEEAADQARGEGGVLDDWEAVADALSEANDCDNHDPDPVVPAAVQPAASAGMPNEPPRDGGTTKPEPIRSTSRAWRPDDASRPRSLPSISKQWSFPSNTQRHGWASQQKGILSFPCPCPCPCPICYEDLDSTDSSFFPCSCGFRLCLFCHKRILEADGRCPGCRKQYDNTSGGALAMNTVGTPPIPPWLSRSFSMSSRSWNREH >Ma02_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27373770:27377925:1 gene:Ma02_g21860 transcript:Ma02_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVTEVTGLAATAAGAGGYAYFAVYDGHGGAMGPEMWRRALEAGFARVDAEVMEAARAMKKGMVGSTAVVAVVAEKWIVVANCGDSRAVLSRGGVAVPLSIDHKPDRPDELRRVEALGGRILCWDCPRVLGVLSTSRSFGDYLLKPYVSSDPELTVTGRTEKDEFLILASDGLWDVISSEMACRVIGKCLEVLASDGYPCGVANSAAKEAAAVLVRLAISRGSVDNISVVVVKL >Ma10_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24317735:24333229:1 gene:Ma10_g10360 transcript:Ma10_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRSFRRWELGFLLLYAAAFYAIVIDRSLHLSNNHYEKLRGLRPGWISGRLNDISDAQWRNFRENLPILTVVLGFFTIVANILRYWYNLKGRGMSFIWILTSLSYLSYLHGACVGYIISIASLNFFMAKVFARSKYYLGMLWVFNLAILIVNRIFEGYSFSLFGQQLAFLDNYRGTFRWHICFNLVILRMISYGCDYHWSYKKPLFDQKKHMQRCNICSSGKTCYFSLQERSIHGDKYSFSMYLCYLIYAPLYIAGPIVSFNAFATQMDMPQKNYSLGQIIRYGLKWILNLLLMEAMTHFFYYNAFAVSGSWRHLSPLEILIIGYGVLNFMWLKFFLIWRYFTFWSLMTGIETPENMPRCINNCYDLETFWKSWHASFNKWLVRYMYIPLGGSQRKLLNVWVIFTFVAVWHDLEWKLLSWAWLTCIFFAPEILIKSCSNAVQVRSAFGMCMQRELSAIAGAVTISCLMVANLAGFVMGPSGIKWLISRLLHRDGNFKTNFFLCFLIDS >Ma09_p31340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41101291:41103807:1 gene:Ma09_g31340 transcript:Ma09_t31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWLGALVEESFFVGCGSHEKRRKNEKNIFCLGCCTSICPHCVPAHPSHPLLQVRRYVYNDVVRLDDLEKLIDCSFVQPYTINSAKVVFLKPRPQSRPSKVSGNTCLTCDRILQEPFHFCSLSCKVDHVLLQGEDLSSILFRFEESELAFSHFENLRMDNSDPLDDDDDDDDDDGQITGSAVLERPMQSKVGSPRKGAPHRSPLS >Ma01_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12377329:12379781:-1 gene:Ma01_g16920 transcript:Ma01_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSQRKLRLNPLLPLLQTRLCHHLHVLSHLRTLKRRLAAGGSLRSPKSFKSYAETCASLLRLCSADGHLSALGLALHGHALRAGVSSDRSVSSKLLAMYALAGHPADRDLFLAERCRPPDIFSRNLMVAYSARSGDLATARLLFDGMPDRNAVTWTLMVDGHMKRGSVGEAAGYFERCPYKTVICFTAYISGFVLNGLHLDALVHFRRMLASGLMPNEVTFTCVLKACVGAGEFELGKSVVGLIVKTNFDGHVSVQNSLITLYLRMGDVDVARTVFDQMEERDVVSWTAILDVYAQMGDLKEARRIFEEMPERNEVSWSTMIARYGQSGEALEAINLFRFMLHDGQRPNVSCLASAISASSGCENLLFGSGVHCHALKVGFETDVFVGSSLIDMYCKCKDVADGRRVFDLIPEKNIVCWNSMVAGYSCNDRIEEAEELFKAMTKRNIASWNAMISGYAENGQFMRALETFDNLLVSELPPSQMTFSSVLLACASLCSLDKGKNLHGRIVKIGIQHEVFIGTALVDMYAKCGDIESTKRVFHGMPEKNEVSWSAMIQGLADNGFAEESITMFEEMKRAAIAPTDPTFLSVLFACSHCGLVDKGLQYFDSMEEIYGISPNTRHYSCVIDLLARAGHLREAEEIISTMPIKPEANSWAALLSACSTFRDEETGERVAKRLWELEKENTAGYVLLSNIYASCGRWKDVAKVRTQMRRLGKKKVGGCSSIQIRDQFHTFFSWDVMHPKSSKVYDVLELVMSEMTDYKLQHT >Ma07_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5512433:5524334:1 gene:Ma07_g07430 transcript:Ma07_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAVRYGIIGVGMMGREHMVNLAHLRSEGATVTCVADPHPSSLEHALDLARSLHAPPPAVFPGHRQLLDSGLCDVVVVSSPNMTHFEILMDIINHHKPHHVLVEKPLCTTVEDCRKIVNATKRRPDILVQVGLEYRYMPPVSKLIDIVKSGILGHVKMVAIREHRFPFLVKVNNWNRFNVNTGGTLVEKCCHFFDLMRLFAAANPVRVMASGSIDVNHKDETYDGKVPDIIDNAFVIVEFDNGTRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPESIVHVGLRAEGRAGVKIEKAEDARIKYDGLHHGSSYLEHLHFLSVIKGESIDAPAVSLMDGLLSVAIGVAAQLSIEKGRFITIQEILQC >Ma02_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21025272:21029395:-1 gene:Ma02_g12210 transcript:Ma02_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKRSESEIGKETHAPSSSSGFHPRPPPLLPLFHPSAPNPNPNLDSPHGLSVPHKRPIMNPPGAAEPSPSPSPSAIATSPAPVRRRLAPSAAAARSAARHILRRLHLRLRLFLLLSFPSLYLLITSSGDAAPDRSFLLDFFSAVAFSSVLLLVLCVSLNALPLPSFRLLFSRSSALLLPRHHLPRRTSPVLWSIGSSSSPDKPKVDRRPASGSDVQVYSNGDIFEGEFHRGKCSGSGVYYYYMSGRFEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDASRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGVLDTLSTQSINLASPVAVNHSKVLNAVQEARRAAEKAYDVPRVDDRVNRAVAAANKSANAARVAAVKAVQNRIPDNGDDIRNHIV >Ma03_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1318583:1319916:-1 gene:Ma03_g01930 transcript:Ma03_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRSRESNLKTNLALDVAIPTHFRCPISLDLMRDPVTASTGITYDRQSIETWQELGNTSCPVTGQQLQHQDLIPNHSIRKMIQDWCVAHRPYGFERIPTPTAPVNRAEVMDVLSEIATASRQGDVAACARSVAKVTNWAKESDRNRRRLAFDGMTRVLAATFVAFARASSDVAVVRILEEVLAAMAMLLPLDEEAAACIGSSPESLKRLVSVLRCGDVAARLHAALTVKELLASGGARADAIAATKGMGEALVKLVKRPISSRAMKASLVSIFYMVNSDEKTATRIVDLGLVPVLVEILVDDEKSMCEKALAVLDGLLSCERGRENACGHALTVPVLAKKMFRVSDMATEFVVSALWKLCKDHKGGGRERCLLDALQAGTFHKLLLLLQVGCGEATKERVTDLLRLLNGYRGRIQCVDTMDFVGLKRPFE >Ma01_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2941448:2942279:-1 gene:Ma01_g04430 transcript:Ma01_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRSPPPTTKRVAVTVAETSRTRTDVALDTQLDRRRQDAQWRRQQQQWSIRQGLATERCPLSSAVISATNPTARRWSGYSNSRGPASWIMMRTPTATAPRCSGSPCRRSVPPSFFAVGRELGHKSNSETLFRMLRRALTAEDFDELAAATTFFRV >Ma09_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26466046:26466373:1 gene:Ma09_g19790 transcript:Ma09_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTVLKTAIRCCRLLLAAADCRGCSSPEDGRENCNGTQDYGSPGNGHENLQRCSGCGCCWPGSGCDSEGGRRGSRR >Ma01_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10361066:10363103:-1 gene:Ma01_g14160 transcript:Ma01_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKKFRPPVEPRSFGSGLIVGCFLVSMTFVMLSRNDISMDHLSILNCLPSSSSSSGDAAPMSSTVEEDTRIRLLGRTKQIDASFINPPDGKGEEGISSSCESNSSDCKTENDTQVLNGPSTGDFNESDGGAPERKPMCDFSDYRLNICDMEGDIRISGKNLSSVMLVTHTGSRERNESWQIRPYPRKYDRSAMAKVRPLNLTSLRRHQEAPECSVNHTVPGILFSTGGHCGNCFHDFADVLVPLFQTAGPFHGQVQFIITNQQGWWMHKYRPYLTKLSSYDVIDYDNDERVHCFDHVVVGLRAERDLMIDPSRAPRGHSIMDFVKLTRSAYSLARERAWAAGGPPGTKPRLLFIARGGTRRFVNLDEVVGMAREVGYEVVATEPDFIDVARFAHVVNSCDVMVGVHGAGLTNFLFLPTNAIVIQVVPLGNLDWIATNFYGDPAMGMNLRYLQYDISVEESTLTELYPRDHRVFQDPESIHKEGWLRLGDVYLKQQNVRLNVNRFRPVLEKALQLLHERN >Ma08_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11190940:11192291:1 gene:Ma08_g14060 transcript:Ma08_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 43 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47450) UniProtKB/Swiss-Prot;Acc:O22265] METLLRTPSLSRLKLPPKPLLTLPPSAPAPASSFSLRPHGLRVRFSASPDQLPAPPLQPREQRGDEDYGEVNRIVGSRTVRSPVFGDDGSVSAATATEYLIEWKDGHDPSWVPASAVAADVVAEYETPWWTAAKKADAAALSALLSDPSAARDPDAEDPDGRTALHFAAGLGSEECVRLLAEAGADVDRPERAGGGLTPLHVAAGYGQPAAARALLAAGADPGALDGRGRTPLELAREVLAATPPTVIGRRVGLEATAAELEAAVYEWAEVGRILEGRGKGKRREYLVEWRDGGEREWVRSAWVAEDLVADFEAGLEYGVAEAVVGQREVAEGGVREYLVKWVDIEEATWEPEENVDPELVEEFERRQALGGVVTSVPASAQGEGSGAAAKESTVMG >Ma03_p05700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3903091:3916714:1 gene:Ma03_g05700 transcript:Ma03_t05700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAETNMDSLADKGDRSPTHFRFCLNRSDDDDDDDYGACHWDSEEQRLRNSDEFYDPVDFDESEQSYGSTKMHSAEGTIGTEDICSPVPENSEFHVSLGVGNVEEQGIVNNVECNASSAIYGVDSTDAETMDFENNQQLWLPPEPEDEEDEKEAVIFEDDEEDATGEWHYLRSSNSFGSREHRSRDRSSEEHKKAMKSVVDGHFRALVAQLLQVENISICEEDGKENWLDIITSLSWEAATLLKPDTSSGGGMDPGLYVKVKCLACGRRSDSMVVKGVVCKKNVAHRRMLSKIEKPRFLLLGGALEYQRVTNLLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRFAQDYLLAKNISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGHCDSFHVDKFLEEHGSAGQGGKKLLKTLMFFQGCPKPLGCTILLKGSNGDELKKVKHVVQYGVFAAYHLALETCFLADEGASLPELLLKSPITVALPEKPSTVNRSISMIPGYTSSSAEKSQSNKSDPNLGFDNSRESGLVELSFSSENHTCHSFRSASTSVAGSFNMHDLPVDRGNQINHFDEQQSLDPFISFSHSGTVPSSFFGDSSCYTREEIKSRFLKEDETKFTKNGNETILHCPVPTSSCHGDLETLESGRRVGCDVQTDETKMIKMQHGFSHLGTSNQDNPRNEHMFSKEEFSPSPSDHQSILVSLSSRCVWKGTVCERAHLFRIKYYGSFDKPLGRYLRDHLFDQVFSYRCRSCEMPSEAHIYCYTHLQGSLTISVRKLQEFHLTGERDGKIWMWHRCLRCPRVNGLPPPTRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGSGEMVACFKYASINVHNVYLPPSKLDFNCQHQEWVQEEATKVFKTTNDLFTAVYNSLRQVEEKISKTVSHDGNTEVLESRRNIIELEAILQKEQAEFEESKQKVTRKDSRKGQPFIDILDVNKLQKQLLLKSYVWDKCLKFAAGSLSNPHEFLMTRNNEDLTLRAQRSFISFDASVSTAAESLNGTRTKDGYDQYKQPASDQQNVDQQHVKKTELLSTSTNASDQSDSLESDLGVHKVLSDGHFPNMADLSDTLDAKWRGENGPTLVDASKSKSLTLVEATPAISASENSEESIITDPNNLCAPMMPPRSVECAEVSILIKSLFSDLYASLNKNCDSALIEYHPEYISLFKEFMQQGWARLLPTGVDDTVIPIYDDEPTSAISYALVCPEYHFQISDEPEKYRDGRESSISFLTQDSGNPHLFQSVEDITLESFRSFGSFDDSNSSIYGSKSSLILDPLASSKSVHVRVSFAVNGPHGKVRYTVTCYYAKCFDSLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISTGSPTCLAKILGIYQVAVKNLKGGKESRMDVLVMENLLFGRNVKWLYDLKGSSRSRYNADLSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDENKNELVVGIIDFMRQYTWDKHLETWVKASGILGGPKDASPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPMIIPSQSQSDLFQDNLLGASQNS >Ma03_p05700.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3903077:3916714:1 gene:Ma03_g05700 transcript:Ma03_t05700.6 gene_biotype:protein_coding transcript_biotype:protein_coding METTDKRFPDLVTILKSWIPWRAEPTSVSRDFWMPDDSCMVCYECDSQFTIFNRRHHCRKCGRVFCAKCTSNFVPVNSYVTENFREEELIRVCTFCFKQWEDVTASRDEGQPSGPKLSPSLSTTSLASTKSSVTGNSITSTAVSCTCSSGAYQQASYGPAHSPSQSVHLETCHDKEDMLIAETNMDSLADKGDRSPTHFRFCLNRSDDDDDDDYGACHWDSEEQRLRNSDEFYDPVDFDESEQSYGSTKMHSAEGTIGTEDICSPVPENSEFHVSLGVGNVEEQGIVNNVECNASSAIYGVDSTDAETMDFENNQQLWLPPEPEDEEDEKEAVIFEDDEEDATGEWHYLRSSNSFGSREHRSRDRSSEEHKKAMKSVVDGHFRALVAQLLQVENISICEEDGKENWLDIITSLSWEAATLLKPDTSSGGGMDPGLYVKVKCLACGRRSDSMVVKGVVCKKNVAHRRMLSKIEKPRFLLLGGALEYQRVTNLLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRFAQDYLLAKNISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGHCDSFHVDKFLEEHGSAGQGGKKLLKTLMFFQGCPKPLGCTILLKGSNGDELKKVKHVVQYGVFAAYHLALETCFLADEGASLPELLLKSPITVALPEKPSTVNRSISMIPGYTSSSAEKSQSNKSDPNLGFDNSRESGLVELSFSSENHTCHSFRSASTSVAGSFNMHDLPVDRGNQINHFDEQQSLDPFISFSHSGTVPSSFFGDSSCYTREEIKSRFLKEDETKFTKNGNETILHCPVPTSSCHGDLETLESGRRVGCDVQTDETKMIKMQHGFSHLGTSNQDNPRNEHMFSKEEFSPSPSDHQSILVSLSSRCVWKGTVCERAHLFRIKYYGSFDKPLGRYLRDHLFDQSYRCRSCEMPSEAHIYCYTHLQGSLTISVRKLQEFHLTGERDGKIWMWHRCLRCPRVNGLPPPTRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGSGEMVACFKYASINVHNVYLPPSKLDFNCQHQEWVQEEATKVFKTTNDLFTAVYNSLRQVEEKISKTVSHDGNTEVLESRRNIIELEAILQKEQAEFEESKQKVTRKDSRKGQPFIDILDVNKLQKQLLLKSYVWDKCLKFAAGSLSNPHEFLMTRNNEDLTLRAQRSFISFDASVSTAAESLNGTRTKDGYDQYKQPASDQQNVDQQHVKKTELLSTSTNASDQSDSLESDLGVHKVLSDGHFPNMADLSDTLDAKWRGENGPTLVDASKSKSLTLVEATPAISASENSEESIITDPNNLCAPMMPPRSVECAEVSILIKSLFSDLYASLNKNCDSALIEYHPEYISLFKEFMQQGWARLLPTGVDDTVIPIYDDEPTSAISYALVCPEYHFQISDEPEKYRDGRESSISFLTQDSGNPHLFQSVEDITLESFRSFGSFDDSNSSIYGSKSSLILDPLASSKSVHVRVSFAVNGPHGKVRYTVTCYYAKCFDSLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISTGSPTCLAKILGIYQVAVKNLKGGKESRMDVLVMENLLFGRNVKWLYDLKGSSRSRYNADLSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDENKNELVVGIIDFMRQYTWDKHLETWVKASGILGGPKDASPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPMIIPSQSQSDLFQDNLLGASQNS >Ma03_p05700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3903062:3916714:1 gene:Ma03_g05700 transcript:Ma03_t05700.3 gene_biotype:protein_coding transcript_biotype:protein_coding METTDKRFPDLVTILKSWIPWRAEPTSVSRDFWMPDDSCMVCYECDSQFTIFNRRHHCRKCGRVFCAKCTSNFVPVNSYVTENFREEELIRVCTFCFKQWEDVTASRDEGQPSGPKLSPSLSTTSLASTKSSVTGNSITSTAVSCTCSSGAYQQASYGPAHSPSQSVHLETCHDKEDMLIAETNMDSLADKGDRSPTHFRFCLNRSDDDDDDDYGACHWDSEEQRLRNSDEFYDPVDFDESEQSYGSTKMHSAEGTIGTEDICSPVPENSEFHVSLGVGNVEEQGIVNNVECNASSAIYGVDSTDAETMDFENNQQLWLPPEPEDEEDEKEAVIFEDDEEDATGEWHYLRSSNSFGSREHRSRDRSSEEHKKAMKSVVDGHFRALVAQLLQVENISICEEDGKENWLDIITSLSWEAATLLKPDTSSGGGMDPGLYVKVKCLACGRRSDSMVVKGVVCKKNVAHRRMLSKIEKPRFLLLGGALEYQRVTNLLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRFAQDYLLAKNISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGHCDSFHVDKFLEEHGSAGQGGKKLLKTLMFFQGCPKPLGCTILLKGSNGDELKKVKHVVQYGVFAAYHLALETCFLADEGASLPELLLKSPITVALPEKPSTVNRSISMIPGYTSSSAEKSQSNKSDPNLGFDNSRESGLVELSFSSENHTCHSFRSASTSVAGSFNMHDLPVDRGNQINHFDEQQSLDPFISFSHSGTVPSSFFGDSSCYTREEIKSRFLKEDETKFTKNGNETILHCPVPTSSCHGDLETLESGRRVGCDVQTDETKMIKMQHGFSHLGTSNQDNPRNEHMFSKEEFSPSPSDHQSILVSLSSRCVWKGTVCERAHLFRIKYYGSFDKPLGRYLRDHLFDQVFSYRCRSCEMPSEAHIYCYTHLQGSLTISVRKLQEFHLTGERDGKIWMWHRCLRCPRVNGLPPPTRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGSGEMVACFKYASINVHNVYLPPSKLDFNCQHQEWVQEEATKVFKTTNDLFTAVYNSLRQVEEKISKTVSHDGNTEVLESRRNIIELEAILQKEQAEFEESKQKVTRKDSRKGQPFIDILDVNKLQKQLLLKSYVWDKCLKFAAGSLSNPHEFLMTRNNEDLTLRAQRSFISFDASVSTAAESLNGTRTKDGYDQYKQPASDQQNVDQQHVKKTELLSTSTNASDQSDSLESDLGVHKVLSDGHFPNMADLSDTLDAKWRGENGPTLVDASKSKSLTLVEATPAISASENSEESIITDPNNLCAPMMPPRSVECAEVSILIKSLFSDLYASLNKNCDSALIEYHPEYISLFKEFMQQGWARLLPTGVDDTVIPIYDDEPTSAISYALVCPEYHFQISDEPEKYRDGRESSISFLTQDSGNPHLFQSVEDITLESFRSFGSFDDSNSSIYGSKSSLILDPLASSKSVHVRVSFAVNGPHGKVRYTVTCYYAKCFDSLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISTGSPTCLAKILGIYQVAVKNLKGGKESRMDVLVMENLLFGRNVKWLYDLKGSSRSRYNADLSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDENKNELVVGIIDFMRQYTWDKHLETWVKASGILGGPKDASPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPMIIPSQSQSDLFQDNLLGASQNS >Ma03_p05700.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3903077:3916714:1 gene:Ma03_g05700 transcript:Ma03_t05700.5 gene_biotype:protein_coding transcript_biotype:protein_coding METTDKRFPDLVTILKSWIPWRAEPTSVSRDFWMPDDSCMVCYECDSQFTIFNRRHHCRKCGRVFCAKCTSNFVPVNSYVTENFREEELIRVCTFCFKQWEDVTASRDEGQPSGPKLSPSLSTTSLASTKSSVTGNSITSTAVSCTCSSGAYQQASYGPAHSPSQSVHLETCHDKEDMLIAETNMDSLADKGDRSPTHFRFCLNRSDDDDDDDYGACHWDSEEQRLRNSDEFYDPVDFDESEQSYGSTKMHSAEGTIGTEDICSPVPENSEFHVSLGVGNVEEQGIVNNVECNASSAIYGVDSTDAETMDFENNQQLWLPPEPEDEEDEKEAVIFEDDEEDATGEWHYLRSSNSFGSREHRSRDRSSEEHKKAMKSVVDGHFRALVAQLLQVENISICEEDGKENWLDIITSLSWEAATLLKPDTSSGGGMDPGLYVKVKCLACGRRSDSMVVKGVVCKKNVAHRRMLSKIEKPRFLLLGGALEYQRVTNLLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRFAQDYLLAKNISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGHCDSFHVDKFLEEHGSAGQGGKKLLKTLMFFQGCPKPLGCTILLKGSNGDELKKVKHVVQYGVFAAYHLALETCFLADEGASLPELLLKSPITVALPEKPSTVNRSISMIPGYTSSSAEKSQSNKSDPNLGFDNSRESGLVELSFSSENHTCHSFRSASTSVAGSFNMHDLPVDRGNQINHFDEQQSLDPFISFSHSGTVPSSFFGDSSCYTREEIKSRFLKEDETKFTKNGNETILHCPVPTSSCHGDLETLESGRRVGCDVQTDETKMIKMQHGFSHLGTSNQDNPRNEHMFSKEEFSPSPSDHQSILVSLSSRCVWKGTVCERAHLFRIKYYGSFDKPLGRYLRDHLFDQVFSYRCRSCEMPSEAHIYCYTHLQGSLTISVRKLQEFHLTGERDGKIWMWHRCLRCPRVNGLPPPTRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGSGEMVACFKYASINVHNVYLPPSKLDFNCQHQEWVQEEATKVFKTTNDLFTAVYNSLRQVEEKISKTVSHDGNTEVLESRRNIIELEAILQKEQAEFEESKQKVTRKDSRKGQPFIDILDVNKLQKQLLLKSYVWDKCLKFAAGSLSNPHEFLMTRNNEDLTLRAQRSFISFDASVSTAAESLNGTRTKDGYDQYKQPASDQQNVDQQHVKKTELLSTSTNASDQSDSLESDLGVHKVLSDGHFPNMADLSDTLDAKWRGENGPTLVDASKSKSLTLVEATPAISASENSEESIITDPNNLCAPMMPPRSVECAEVSILIKSLFSDLYASLNKNCDSALIEYHPEYISLFKEFMQQGWARLLPTGVDDTVIPIYDDEPTSAISYALVCPEYHFQISDEPEKYRDGRESSISFLTQDSGNPHLFQSVEDITLESFRSFGSFDDSNSSIYGSKSSLILDPLASSKSVHVRVSFAVNGPHGKVRYTVTCYYAKCFDSLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISTGSPTCLAKILGIYQVAVKNLKGGKESRMDVLVMENLLFGRNVKWLYDLKGSSRSRYNADLSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDENKNELVVGIIDFMRQYTWDKHLETWVKASGILGGPKDASPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPMIIPSQSQSDLFQDNLLGASQNS >Ma03_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3903062:3916714:1 gene:Ma03_g05700 transcript:Ma03_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTDKRFPDLVTILKSWIPWRAEPTSVSRDFWMPDDSCMVCYECDSQFTIFNRRHHCRKCGRVFCAKCTSNFVPVNSYVTENFREEELIRVCTFCFKQWEDVTASRDEGQPSGPKLSPSLSTTSLASTKSSVTGNSITSTAVSCTCSSGAYQQASYGPAHSPSQSVHLETCHDKEDMLIAETNMDSLADKGDRSPTHFRFCLNRSDDDDDDDYGACHWDSEEQRLRNSDEFYDPVDFDESEQSYGSTKMHSAEGTIGTEDICSPVPENSEFHVSLGVGNVEEQGIVNNVECNASSAIYGVDSTDAETMDFENNQQLWLPPEPEDEEDEKEAVIFEDDEEDATGEWHYLRSSNSFGSREHRSRDRSSEEHKKAMKSVVDGHFRALVAQLLQVENISICEEDGKENWLDIITSLSWEAATLLKPDTSSGGGMDPGLYVKVKCLACGRRSDSMVVKGVVCKKNVAHRRMLSKIEKPRFLLLGGALEYQRVTNLLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRFAQDYLLAKNISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGHCDSFHVDKFLEEHGSAGQGGKKLLKTLMFFQGCPKPLGCTILLKGSNGDELKKVKHVVQYGVFAAYHLALETCFLADEGASLPELLLKSPITVALPEKPSTVNRSISMIPGYTSSSAEKSQSNKSDPNLGFDNSRESGLVELSFSSENHTCHSFRSASTSVAGSFNMHDLPVDRGNQINHFDEQQSLDPFISFSHSGTVPSSFFGDSSCYTREEIKSRFLKEDETKFTKNGNETILHCPVPTSSCHGDLETLESGRRVGCDVQTDETKMIKMQHGFSHLGTSNQDNPRNEHMFSKEEFSPSPSDHQSILVSLSSRCVWKGTVCERAHLFRIKYYGSFDKPLGRYLRDHLFDQSYRCRSCEMPSEAHIYCYTHLQGSLTISVRKLQEFHLTGERDGKIWMWHRCLRCPRVNGLPPPTRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGSGEMVACFKYASINVHNVYLPPSKLDFNCQHQEWVQEEATKVFKTTNDLFTAVYNSLRQVEEKISKTVSHDGNTEVLESRRNIIELEAILQKEQAEFEESKQKVTRKDSRKGQPFIDILDVNKLQKQLLLKSYVWDKCLKFAAGSLSNPHEFLMTRNNEDLTLRAQRSFISFDASVSTAAESLNGTRTKDGYDQYKQPASDQQNVDQQHVKKTELLSTSTNASDQSDSLESDLGVHKVLSDGHFPNMADLSDTLDAKWRGENGPTLVDASKSKSLTLVEATPAISASENSEESIITDPNNLCAPMMPPRSVECAEVSILIKSLFSDLYASLNKNCDSALIEYHPEYISLFKEFMQQGWARLLPTGVDDTVIPIYDDEPTSAISYALVCPEYHFQISDEPEKYRDGRESSISFLTQDSGNPHLFQSVEDITLESFRSFGSFDDSNSSIYGSKSSLILDPLASSKSVHVRVSFAVNGPHGKVRYTVTCYYAKCFDSLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISTGSPTCLAKILGIYQVAVKNLKGGKESRMDVLVMENLLFGRNVKWLYDLKGSSRSRYNADLSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDENKNELVVGIIDFMRQYTWDKHLETWVKASGILGGPKDASPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPMIIPSQSQSDLFQDNLLGASQNS >Ma03_p05700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3906232:3916695:1 gene:Ma03_g05700 transcript:Ma03_t05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNKQLWLPPEPEDEEDEKEAVIFEDDEEDATGEWHYLRSSNSFGSREHRSRDRSSEEHKKAMKSVVDGHFRALVAQLLQVENISICEEDGKENWLDIITSLSWEAATLLKPDTSSGGGMDPGLYVKVKCLACGRRSDSMVVKGVVCKKNVAHRRMLSKIEKPRFLLLGGALEYQRVTNLLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRFAQDYLLAKNISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGHCDSFHVDKFLEEHGSAGQGGKKLLKTLMFFQGCPKPLGCTILLKGSNGDELKKVKHVVQYGVFAAYHLALETCFLADEGASLPELLLKSPITVALPEKPSTVNRSISMIPGYTSSSAEKSQSNKSDPNLGFDNSRESGLVELSFSSENHTCHSFRSASTSVAGSFNMHDLPVDRGNQINHFDEQQSLDPFISFSHSGTVPSSFFGDSSCYTREEIKSRFLKEDETKFTKNGNETILHCPVPTSSCHGDLETLESGRRVGCDVQTDETKMIKMQHGFSHLGTSNQDNPRNEHMFSKEEFSPSPSDHQSILVSLSSRCVWKGTVCERAHLFRIKYYGSFDKPLGRYLRDHLFDQVFSYRCRSCEMPSEAHIYCYTHLQGSLTISVRKLQEFHLTGERDGKIWMWHRCLRCPRVNGLPPPTRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGSGEMVACFKYASINVHNVYLPPSKLDFNCQHQEWVQEEATKVFKTTNDLFTAVYNSLRQVEEKISKTVSHDGNTEVLESRRNIIELEAILQKEQAEFEESKQKVTRKDSRKGQPFIDILDVNKLQKQLLLKSYVWDKCLKFAAGSLSNPHEFLMTRNNEDLTLRAQRSFISFDASVSTAAESLNGTRTKDGYDQYKQPASDQQNVDQQHVKKTELLSTSTNASDQSDSLESDLGVHKVLSDGHFPNMADLSDTLDAKWRGENGPTLVDASKSKSLTLVEATPAISASENSEESIITDPNNLCAPMMPPRSVECAEVSILIKSLFSDLYASLNKNCDSALIEYHPEYISLFKEFMQQGWARLLPTGVDDTVIPIYDDEPTSAISYALVCPEYHFQISDEPEKYRDGRESSISFLTQDSGNPHLFQSVEDITLESFRSFGSFDDSNSSIYGSKSSLILDPLASSKSVHVRVSFAVNGPHGKVRYTVTCYYAKCFDSLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISTGSPTCLAKILGIYQVAVKNLKGGKESRMDVLVMENLLFGRNVKWLYDLKGSSRSRYNADLSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDENKNELVVGIIDFMRQYTWDKHLETWVKASGILGGPKDASPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPMIIPSQSQSDLFQDNLLGASQNS >Ma04_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17779487:17779642:1 gene:Ma04_g17420 transcript:Ma04_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVESIVLSIISMLSSPNDESPANVEAAVSEIGGVFYCYVHDMMICSILFR >Ma10_p31470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37595560:37596253:-1 gene:Ma10_g31470 transcript:Ma10_t31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMIYIRLIEAHHGAMYGHEGRSSTKAVSCDRSVHLTPFAMINLMKKKKTQDSGGETKVICRRQVLPTSKFLDHIPRETDVRRL >Ma04_p34760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33809933:33811813:1 gene:Ma04_g34760 transcript:Ma04_t34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVFEMGLELELCATRVVGDFVKEVSAIESGGSGRASRLEESIKFLEEEKRKIEAFRREVPICMRLLREVIERLRMEIERCRCESFGHVFEEFMPLKSKVEDDGGVKVETDCRDKMNWMSSVQLWSDNYSENDDEEKIVSNEQDGAVDHRQGKGKSLECKSRSSGVEFLPFKALSPLAASSEEEEEKPASALPELSLQSPAINRTLDLVTPVALGDHRGFGGFRKATEWVPATLGLQSRLQPPRKARRCWSQELHRRFVLAIQQLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTERVHAQRLPNAVATADRAVVVLGGLWDREEQYTSSSQQSFSQSGSPMSPFQLAGSR >Ma08_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9209461:9210626:1 gene:Ma08_g12210 transcript:Ma08_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIEKLFFTCTRWQVEETIDLINCPYHYFCDSAYRGDYSPIVDLLVLLFAVSSFFSATAFTLREFSLRRSRTEPSIGSFKRRHLLPSGPIALTLVVLIFANGQRINTIFPLSRLGPALLQLVYFSALAFRNRAETDIKYGVLEASTVSGILHASLRLDSIILPYYTGLEALTDSYFSGVCTTCVCRRNALAAGGSSVAYRGWSKTTVLIATALCSRMACRIVGEQKVALSIRLTLEGVSWLLMAKDSFDLMLGVVPQGSLLTTVVYAGLCVLIFLNFLRMVFNLSVSVAEKHHRKEIIVMCRNDVEMAR >Ma01_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12058117:12067383:1 gene:Ma01_g16660 transcript:Ma01_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALKNKKQEQGSIERIFEEMLMELGGQVDFDLPSWLKKWKPAQYISIKRNIYLTKRRIEDDGIFCSCTQLTGSSTVCDGDCHCGMLFSCCSSSCKCGDKCLNKPFQHRDVKKMKVVKTEKCGFGLVADEDIKQGDFVIEYVGEVLDDKTCEERLWKMKHRGDTNFYLCEVNHDMVIDATYKGNKSRFINHSCEPNTEMQKWRVDGETRVGIFALCDIRKGKDVTYDYQFVQFGAAQVCHCGTHSCRQKLGNKPRSLNTVHHKRKTDYENCIGELVRVWRSKDKRYYGGFISDFDCFSGKHTIIYEDEHVEVIDMSKEDWDFL >Ma05_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28090232:28098948:1 gene:Ma05_g19590 transcript:Ma05_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALLQGYSSDEEEVGARASPSSPFLSDDEARGGEDGEEEDWVDDEEEALKERSRRVKRKRSLADASGPPANSFLPSALDAFDEITGPPEFLNNCAAAPEETTEALGVLDRRMRNRSKRDRKDLPAGAVVEAKAQLIGIRDRVRNDVEGSCPTSNSSMIPGGKRLISATNPDPKDAAELLRVCLQCGIPKTYSHSRGMVCPVCGDRPIAEQKVSDKTKGSTVKDKEKLKRMKGQSSHATWKTETEMQLRQQYD >Ma06_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12281405:12285740:-1 gene:Ma06_g18120 transcript:Ma06_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELRGRLGTLLHNRWLVFVAAMWVQAVAGIGYLFGSLSPVIKSSLGYNQRQIARLGVAKDLGDSIGFLAGSLCEILPLWAALLIGVLQNFVGYGWVWLIVTGRAPVLPLWAMCILIFVGTNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQIFAMIHTPDHAALIFMVAVGPSMVVISLMFIVRPVGGHRQVRPSDQSSFMFVYSICLLLAAYLMGVMLLEDLVDLSRAVIIVFTVVLLFLLIVPVVIPLLLTFHFDVVSPVQEFLLPEPSNGESSKSAEQNEVIFSEVEDEKPKDVDLLPASERQKRIAHLQAKLFQAAADGAVRVKKKRGPHRGEDFTLMQALIKADFWLMFVSLLLGSGSGLTVIDNLGQMSESLGYDEAHIFVSMISIWNFLGRVGGGYLSEVIVRDHAYPRHVALACFQIVMAIGHLFFAMAWPGTMYIGTLLIGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLIFSGLIASVIYDHEAEKQAHGIRSSLLRVRRLLEGALLDVEEPLKCKGSICFFFSSLIMSGLCVIAVILSLILVYRTRIVYLNLYGRART >Ma10_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7424353:7424812:1 gene:Ma10_g02160 transcript:Ma10_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSI-1VOC [Source:Projected from Arabidopsis thaliana (AT1G07645) UniProtKB/TrEMBL;Acc:A0A178W443] MARQLVPAFAYTAVYVKDAARSVAFYSDAFGYKVRRLMRRHRWGKLESGQTTIAFTPLHQRETDERTGAVRTPAREGERCPVEVCFDYPDVDAAYKRAVPVSPPEVKEWGQKVGYVRDMDGIVVRMGSHVGDGTSHV >Ma07_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9766653:9771935:1 gene:Ma07_g12990 transcript:Ma07_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNRGCLGCYTKPAPIIAVDEPSKGLKIQGRTVKKPNFSEEFWSTSTYEMENSGVQSQKSISSISTLVNTLDHHGGIGSTSNHPEFVNHGLLLWNQTRQKWVGNKRPETQSQQVREPRLSWNATYDGLLGSNKPFPQPIPLAEMVDFLVDIWEQEGLYD >Ma11_p12980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17026144:17050195:1 gene:Ma11_g12980 transcript:Ma11_t12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSQASPVDAILEKENFTLEDLLDEDEIIQECKALNTRLINFLREKVQVEQLLHYITEEPPEDADKKHSFKFPFIACEIFTCEVDIILRALVDDGQLMDKLFSFLKPDHPHSTLLAGYFSKVVICLMMRKTGLFLNYVQGHPEIIRELVDLIGITSIMEVLIRLIGADENMHSNYTDTIQCLEDADILEMIVDKFRSSDSPEVHSNVAEILCAITRCAPPALAAKICSPSYVGRLFHHALEDSRPKSVLVHALSFCICLLDPKRLVASSYQAFRSQLTHGSLVIASQETVEGMLGRLGDLLKLLDITSSDSDLPATYGKLQPPLGKQRLKIVEFISVLLTIGSEAVEKELIRLGVIKHVIDLFFEYPFNSFLHHHVENVIGSCLESKRTLLIEHILNDCDVVGKILAAEKQPYLSTDSFKATVSAEGRIPPRIGNIGHMTRIANKLVHLGNNRSIIQTHLQENSDWVDWHSNVLLKRNSVENVHQWACGRPTTLQDRVRDSDDEDFRDRDFDVAALANNLSQAFRLGIYTNDDIEEAQGSFERDDDEDVYFDDESAEVVISSLRLGDDQDSSIFTNSNWFAFENDRGVNDRLTDSPTSSSPNSNEKSPDVDEPDEVVIGDNKDLNDMGTSLQATVLGTTSGETQATVSENGRINEPKEGTSDSSISEDEKPPGWVEWRETLGSDIAGINPTADIPNGQFEMEKDVDNEVTPSTDDCQPSSGDAETERPDVDEGGSPGPTDVPAEELPESSKCNLCGDSPGSPQITYAGLSSEPLGHESAAEDSEHERTSDNVGK >Ma11_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17026144:17050195:1 gene:Ma11_g12980 transcript:Ma11_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSQASPVDAILEKENFTLEDLLDEDEIIQECKALNTRLINFLREKVQVEQLLHYITEEPPEDADKKHSFKFPFIACEIFTCEVDIILRALVDDGQLMDKLFSFLKPDHPHSTLLAGYFSKVVICLMMRKTGLFLNYVQGHPEIIRELVDLIGITSIMEVLIRLIGADENMHSNYTDTIQCLEDADILEMIVDKFRSSDSPEVHSNVAEILCAITRCAPPALAAKICSPSYVGRLFHHALEDSRPKSVLVHALSFCICLLDPKRLVASSYQAFRSQLTHGSLVIASQETVEGMLGRLGDLLKLLDITSSDSDLPATYGKLQPPLGKQRLKIVEFISVLLTIGSEAVEKELIRLGVIKHVIDLFFEYPFNSFLHHHVENVIGSCLESKRTLLIEHILNDCDVVGKILAAEKQPYLSTDSFKATVSAEGRIPPRIGNIGHMTRIANKLVHLGNNRSIIQTHLQENSDWVDWHSNVLLKRNSVENVHQWACGRPTTLQDRVRDSDDEDFRDRDFDVAALANNLSQAFRLGIYTNDDIEEDVYFDDESAEVVISSLRLGDDQDSSIFTNSNWFAFENDRGVNDRLTDSPTSSSPNSNEKSPDVDEPDEVVIGDNKDLNDMGTSLQATVLGTTSGETQATVSENGRINEPKEGTSDSSISEDEKPPGWVEWRETLGSDIAGINPTADIPNGQFEMEKDVDNEVTPSTDDCQPSSGDAETERPDVDEGGSPGPTDVPAEELPESSKCNLCGDSPGSPQITYAGLSSEPLGHESAAEDSEHERTSDNVGK >Ma03_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14478979:14490507:-1 gene:Ma03_g14680 transcript:Ma03_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVLRERSRTLPKLLSHLALLRFMGGGPRTFPGGLNKWQYKRMHEKMAREKERRLLQQEKQLYHARLRTEIRAKSAGRSSGDSADPASNSGGAMTSKEHIKALADRFMKAGAVDLWNEDDGPILSAPRRSHASGSVPPLDLRKLVAERRNVVESRAGRTSSSLGSFSKREYSTMPWRRNGMRSKMRWRNSSSDEDSESDTRVVSNGNSMTEHRNADRGTPKNSRLFPKFSIKSDGESEEDSGLMSRASAGKKIFSKAALRNYDMKNQRRVPRSIEECSDPSNELQEIRDELWKKQAYAYDTTRRDAEEESLLTKKRFDECGVSPLTIKALTDAGYIRMTVVQEATLPVCLDGKDALVKAKTGTGKSAAFLLPAIETVIKATQSSINRRLPQIHVLIICPTRELAIQVAAEANVLLKHHVGIGVQTFTGGTRFKMDQKRLESNPCQIIVATPGRLLDHIENKSGFSVRLMGLKMLILDEADHLLDLGYRKDIEKIVDSVPRQRQSLLFSATFPREVCRISQLVLKRDHIFVDTVGLGCVETHAKVLQAYLIAPHQLHYHLVYNLLKEHMQQELDYKVIVFCTTAMGAAFMYVLLRDLKMNVREMHSRKPQLYRTRISDEFRESKCIILVTSDVSSRGMNYPDVTLVIQVGIPPDREQYIHRLGRTGRQGKDGKGILLLAPWEEYFMDQIKDLPIKKYQLLDLDSDTKQKVEDSIGRVDPSIKEAAYHAWLGYYNSIREVGRDKTTLADLANQFCHSIGLEKPPALFRRTALKMGLKGIPGIRVHK >Ma09_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3042061:3064521:-1 gene:Ma09_g04730 transcript:Ma09_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFDDVIIKPPADRRSYRIVHLPNGLCAVLVHDPEIYPDGVDPIREGVPSPMEEDGAMEDDEDEDEDGDEDDDEYESEGDDEELEDGEEEEENGEDDGDGSQLAKKNKKGASPTKKAAAAMCVGMGSFSDPSKAQGLAHFLEHMLFMGSSEFPDENEYDHYLSKHGGSTNAFTETEYTCYYFEVNREYLKGALKRFSQFFISPLVKAEAMEREVMAVDSEFNQVLQSDSCRLLQLHCHTSSVGHPFNRFYWGNKKSLVDAMENGINLREEILKMYAENYHGGIMKLVVIGGEPLDVLQEWVVELFSNIKAGPPLTMSYKSNLPIWKVGKLYRLEAVKDVHILELTWTLPCLHKEYLKKPEDYLAHLLGHEGRGSLLYFLKSKGLASSLSAGVGDEGMRRSSIAYIFVISIYLTDSGLEKFYEVIGFVYQYLKLLCQSTPQEWVFKELQDIGNMEFRFAEEQPQDDYAVDLAENMFFYSEKHIIYGEYAFEHWDPDLIQHILSFFSPENMRIDILSKSFDKQSEAIQYEPWFGSRFIEEDISPSLLKLWGNPPEISPSLHLPLRNDFIPSDFSLRSANLSKILSNTSNPQCIIDQPLMKLWYKVDLTFNVPRANTYFLITVKDGSLSVRNCVLTELFVLLLKDELNEIIYQAGVAKLETSLSFVGDKLELKLYGFNDKLPILLSKILKLSKTFMPNIDRFKVIKEDMERAYRNTNMKPLSHSSYLRLQVLRESFWDVDDKLSCLLNLSLSDLVEFIPSLLSQLYIEGLCHGNLSEEEAINISNIFTNTFPVEPIPAGLRHKERVICLSSGCSLNRSVSVKNELEVNSVVELYFQIEQDVGMEATRLRATTDLFSNIIEEPCFDQLRTKEQLGYVVESGPRMTYRVLGYCFRIQSSKYSPLYLHDRINNFINGLQDLLDCLDDESFQSHRSGLIAEKLEKDPSLTYETGHYWSQIVEKRYLFDMLKVEAEELKTIEKSDVIDWYKKYLRPPSPKCRQLAIHIWGCNTDIKEETKMLNKFGNAIEDINFLKSSSEFYSSLC >Ma07_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9366759:9384053:-1 gene:Ma07_g12460 transcript:Ma07_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] MESVALSTVSLLAFSKTTLRISRKRHLSRLLLPPSPATATATVGSARCFGSLDPRISRELFLISFAGSLLRNSPPVAPISRGLACISSSAPLSGSGGGNDGVGGGSGGGGDESGGGGMQPVSLAGESGEASTRGSDVIILDVGGMSCGGCAASVKRILESQPQVSSANVNLATETAIVWAISEAKVMPNWKQQLGNRLAGHLTTCGFKSSLRDSARDSFYKVFERKMDEKLQNLKESGRELAVSWALCAVCLLGHLSHFFKAGPSWIHTCHSTSFHLSLSLFTFLGPGRKLVLDGFRSLLMGSPNMNTLVGLGALSSFAVSSIAAIMPKLGWKTFFEEPIMLIAFVLLGKNLEQRAKIKATSDMTGLLNILPTKARLMVDSDAGKVPSLVEVPCSSLSIGDQIVVLPGDRVPADGIVKAGRSSIDESSFTGEPLPVTKLPGAEVTAGSINLNGTLTIEVKRPGGETAMGDIVRLVENAQTRGAPVQRLADKVAGHFTYAVMALSAATFTFWSLFGSQLVPAALKHGSSMSLALQLSCSVLVVACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKFAAVDAVVFDKTGTLTTGKPVVTRVITHQHGEHEYSYETPKFKWTEADILRLAASVESNTNHPVGKAIVEAARSVGSQNVKVIDGTFSEEPGSGVVAVVDQKKVAVGTLSWLRRHGVVDNPFPDAELNNQSVVYVGVDSALAGLIYFEDKIREDAPHVVETLSKQGINIYMLSGDKKNAAEYVASMVGIDKTKVISEVKPEEKKMFISELQKNQKVVVMVGDGINDAAALASADIGIAMGEGVGAASDVSSIVLMGNRLSQLIDALDLSKVTMKTVKQNLWWAFAYNIVGIPVAAGILLPSTGTMLTPSIAGALMGLSSVGVMTNSLFLRFRAGKGKKHMHKHQRQSRDIPDSDILVQIDNEAQKPYPVKWRNS >Ma02_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23715757:23719623:1 gene:Ma02_g16280 transcript:Ma02_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGDGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEERRERRREEWEIDPSKLLIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEEGNRTEAEVAALRAAFSQEVSVWHQLDHPNVTKTENMLLDRTGRVKIADFGVARIEAQNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEITSAVVRQNLRPEIPRCCPSSLANVMKTCWDANPDRRPEMDEVVAMLEAIDTSKGGGMIPPDQQHQGCCGCFGRYRGP >Ma02_p22670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27942702:27948959:-1 gene:Ma02_g22670 transcript:Ma02_t22670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKKKPLSMSEAPKDLDPHEPVFQVRFTKELFRDYEDYLKRVNLYRQRVWRCKVTGKSNLTYEEALVSEHRATENVQQFPKDLMPRVLHMIQFSTSTLNDLVNEMYYKLQESLFEGLELQGRKDDSVYSCKILKILDDGNTVQYQVGWFDKDKKIIDSSIVKAEDLIHKKRPFSRRVLKEFIKESTYQTSPWVVHENVAKKHGIMTEPPEEMRDILTLQNGRIWSTKKKWDFQNSKINKKRKEAENGNLEGPDKKIKKDNPNAEPVRYPIDDLLVFPAPDDPIFTERPPLSLEFNVPMNCVGDLLMVWDFCCTFGKLLHLWPFSLDDLENAICHKDSNLTLIVELHSSILQLLIKDEGDYFLVTRDKKRKSKIRLINWAEFLCDFVEMRDREELSGYVGTIKRGHYGLLEAHIKLRILRELVAEALGTDAIRVNLEERVEQQQALAAVKRDEARRQKEELLKMENSDNKGTAAGNIVENGSRNDHSMTLSESYVDYVDEVHISDKNHIAENREKKHYSYISAEAAKKLRMGDGCNSEGMKAQRRGKDKDKETPKPEATKEHLEREIEKLSVRTNSLGKDRNHNRYWFFRRERRLFVESSDSMQWGYYSTKEELDALLGSLNPKGERERGLKRQLEKYYNRISLALQKRSKDIAQKVLLEENVLRRSTRVRAQPRDSSATAFLRYKNKWKEN >Ma02_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27942702:27948959:-1 gene:Ma02_g22670 transcript:Ma02_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKKKPLSMSEAPKDLDPHEPVFQVRFTKELFRDYEDYLKRVNLYRQRVWRCKVTGKSNLTYEEALVSEHRATENVQQFPKDLMPRVLHMIQFSTSTLNDLVNEMYYKLQESLFEGLELQGRKDDSVYSCKILKILDDGNTVQYQVGWFDKDKKIIDSSIVKAEDLIHKKRPFSRRVLKEFIKESTYQTSPWVVHENVAKKHGIMTEPPEEMRDILTLQNGRIWSTKKKWDFQNSKINKKRKEAENGNLEGPDKKIKKDNPNAEPVRYPIDDLLVFPAPDDPIFTERPPLSLEFNVPMNCVGDLLMVWDFCCTFGKLLHLWPFSLDDLENAICHKDSNLTLIVELHSSILQLLIKDEGDYFLVTRDKKRKSKIRLINWAEFLCDFVEMRDREELSGYVGTIKRGHYGLLEAHIKLRILRELVAEALGTDAIRVNLEERVEQQQALAAVKRDEARRQKEELLKMENSDNKGTAAGNIVENGSRNDHSMTLSESYVDYVDEVHISDKNHIAENREKKHYSYISAEAAKKLRMGDGCNSEGMKAQRRGKDKDKETPKPEATKEHLEREIEKLSVRTNSLGKDRNHNRYWFFRRERRLFVESSDSMQWGYYSTKEELDALLGSLNPKGERERGLKRQLEKYYNRISLALQKRSKDIAQKVLLEENVLRRSTRVRAQPRDSSATAFLRYKNKWKEN >Ma02_p22670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27942702:27948959:-1 gene:Ma02_g22670 transcript:Ma02_t22670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKKKPLSMSEAPKDLDPHEPVFQVRFTKELFRDYEDYLKRVNLYRQRVWRCKVTGKSNLTYEEALVSEHRATENVQQFPKDLMPRVLHMIQFSTSTLNDLVNEMYYKLQESLFEGLELQGRKDDSVYSCKILKILDDGNTVQYQVGWFDKDKKIIDSSIVKAEDLIHKKRPFSRRVLKEFIKESTYQTSPWVVHENVAKKHGIMTEPPEEMRDILTLQNGRIWSTKKKWDFQNSKINKKRKEAENGNLEGPDKKIKKDNPNAEPVRYPIDDLLVFPAPDDPIFTERPPLSLEFNVPMNCVGDLLMVWDFCCTFGKLLHLWPFSLDDLENAICHKDSNLTLIVELHSSILQLLIKDEGDYFLVTRDKKRKSKIRLINWAEFLCDFVEMRDREELSGYVGTIKRGHYGLLEAHIKLRILRELVAEALGTDAIRVNLEERVEQQQALAAVKRDEARRQKEELLKMENSDNKGTAAGNIVENGSRNDHSMTLSESYVDYVDEVHISDKNHIAENREKKHYSYISAEAAKKLRMGDGCNSEGMKAQRRGKDKDKETPKPEATKEHLEREIEKLSVRTNSLGKDRNHNRYWFFRRERRLFVESSDSMQWGYYSTKEELDALLGSLNPKGERERGLKRQLEKYYNRISLALQKRSKDIAQKVLLEENVLRRSTRVRAQPRDSSATAFLRYKNKWKEN >Ma04_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25119938:25121516:-1 gene:Ma04_g22930 transcript:Ma04_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFSSVSCFLCCCLSPQRLCLEHHQLQLATSYWCNTSEKFLLGFGDMGRAPCCDKANVKKGPWSPEEDSKLKEFIEKHGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGEFSEEEDRIICSLFATIGSRWSVIASQLPGRTDNDIKNHWNTKLKKKLLGIAPSQRKPRPQKQHQPFFPSPSSPPQAGFYTAPKPFLTEEEEGFPATSHDMWKSFPTAAISSDLLQVPYHQHHTITFSSHDGSCTRFSDVKDGLEDIYGAMEGNKLFLEGGCQVDNNGPEYNHEEIKQLLISTFGHCNNGSMNNNPIANTFLTANMKRSDLDDEYVSL >Ma07_p27510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33858607:33859676:-1 gene:Ma07_g27510 transcript:Ma07_t27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGVSPVEEKMLFPSPVASELCSQMPQASVGETRTRRRRRKAKGDGAGGDAKKKRLSDEQLKFLEMSFQDERKLESGRKVHLATELGLDPKQVAVWFQNRRARHKNKQVEEAYAKLKMAHDAVVFEKCHLEKEVFKLKGKLLEAEAEIRKLSLGASGPGASGSNAERGGSSPSSSLSTVSHHPLVGEFGVEGEADLMNIQEYNFNNYMMEWANFYGV >Ma05_p15230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11330094:11334985:1 gene:Ma05_g15230 transcript:Ma05_t15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSVRKSASMGNLSLLAGSSGGASPEPGGGAAADGYASEDFVKGSSSSCRERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRNYVVSRTPTQVASHAQKYFIRKANMTRRKRRSSLFDMVPDESLEFEQFPVNFKDSETQIKNLPLIPPTLNEECESMDSNDSMTGEAGAPQPEAPQCSYPVILPAYYSPFLQFSYPYWSGYKADASEQQTHEIIKPTAVHSKNPINVDELVGMSKLSIGESGGETTSSLDLLGGSRRQSAFHANPSTKAQA >Ma05_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11330094:11334985:1 gene:Ma05_g15230 transcript:Ma05_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSVRKSASMGNLSLLAGSSGGASPEPGGGAAADGYASEDFVKGSSSSCRERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRNYVVSRTPTQVASHAQKYFIRKANMTRRKRRSSLFDMVPDESLEFEQFPVNFKDSETQIKNLPLIPPTLNEECESMDSNDSMTGEAGAPQPEAPQCSYPVILPAYYSPFLQFSYPYWSGYKADASEQQTHEIIKPTAVHSKNPINVDELVGMSKLSIGESGGETTSSLDLLGGSRRQSAFHANPSTKAQA >Ma03_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7673738:7674187:-1 gene:Ma03_g10240 transcript:Ma03_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVVKETMRLHRPAPLLIPRETMQHFKLNGYDILPKTWMYVNAWAIGRDPNSWGRPHVFDPQRFMHDSMEANGQDFKLIPFGEGRRICPGKNLGMLMVELVLANLLYSFDWHLPPVMVKEDISMEEASGLTVNRVYALCLMATKYDASTA >Ma04_p30990.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31448156:31459141:1 gene:Ma04_g30990 transcript:Ma04_t30990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MECEFERRFPTNSKDYKLYEEVGEGVSATVYRALCIPLNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAYCSFTAGHNLWVVMPYMAGGSALHIMKYAYPEGFEEPVITTLLHEVLKALVYLHAQGHIHRDIKAGNILIDAKGAVKLADFGVSACMFDTGDRQRTRNTFVGTPCWMAPEVMQQLHGYDFKADVWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMIATCLVKDPKKRPTSEKLLKHPFFKHARSSEFLAQTILEGLSPLGDRFKALKGKEANFLLENKDASEQLSQQKYIRGISGWNFNLEELKSQAALMQSLDNVYNLENVDAGNNLKDDLDSVDSSVVALAEGVNFASNETSREGEMQDLQDLEGPLASAFPSRPLQALKACFDVCEDMNTSSPNWKIPSKFDSMFPLQQASEAKDLKFGTHNDENMERSASVSSNMDNLGCQKFLSGSLLPEHILSPCRNVDADAERDDIHRRCQHERYYSGPLSRQTKDSSNLTSAAMLHEEPSEGKIVQRKGRFQITFPDASPK >Ma04_p30990.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31448157:31459141:1 gene:Ma04_g30990 transcript:Ma04_t30990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MECEFERRFPTNSKDYKLYEEVGEGVSATVYRALCIPLNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAYCSFTAGHNLWVVMPYMAGGSALHIMKYAYPEGFEEPVITTLLHEVLKALVYLHAQGHIHRDIKAGNILIDAKGAVKLADFGVSACMFDTGDRQRTRNTFVGTPCWMAPEVMQQLHGYDFKADVWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMIATCLVKDPKKRPTSEKLLKHPFFKHARSSEFLAQTILEGLSPLGDRFKALKGKEANFLLENKDASEQLSQQKYIRGISGWNFNLEELKSQAALMQSLDNVYNLENVDAGNNLKDDLDSVDSSVVALAEGVNFASNETSREGEMQDLQDLEGPLASAFPSRPLQALKACFDVCEDMNTSSPNWKIPSKFDSMFPLQQASEAKDLKFGTHNDENMERSASVSSNMDNLGCQKFLSGSLLPEHILSPCRNVDADAERDDIHRRCQHERYYSGPLSRQTKDSSNLTSAMLHEEPSEGKIVQRKGRFQITFPDASPK >Ma04_p30990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31448156:31462556:1 gene:Ma04_g30990 transcript:Ma04_t30990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECEFERRFPTNSKDYKLYEEVGEGVSATVYRALCIPLNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAYCSFTAGHNLWVVMPYMAGGSALHIMKYAYPEGFEEPVITTLLHEVLKALVYLHAQGHIHRDIKAGNILIDAKGAVKLADFGVSACMFDTGDRQRTRNTFVGTPCWMAPEVMQQLHGYDFKADVWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMIATCLVKDPKKRPTSEKLLKHPFFKHARSSEFLAQTILEGLSPLGDRFKALKGKEANFLLENKDASEQLSQQKYIRGISGWNFNLEELKSQAALMQSLDNVYNLENVDAGNNLKDDLDSVDSSVVALAEGVNFASNETSREGEMQDLQDLEGPLASAFPSRPLQALKACFDVCEDMNTSSPNWKIPSKFDSMFPLQQASEAKDLKFGTHNDENMERSASVSSNMDNLGCQKFLSGSLLPEHILSPCRNVDADAERDDIHRRCQHERYYSGPLSRQTKDSSNLTSAAMLHEEPSEGKIVQRKGRFQITFPDASPKVASSVNCMVSLIGGSPRKSTCAVNAASLLPTLQFLLHQNSMQKEQLIKLIKCVEQTHNVSSAYHTEASDTSTGDSQSFQASGTERVLQNYMTNLQQSVGELAEEVQSLKLKNSQLEQQIDASLRKEKKRKDKPAQR >Ma04_p30990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31448157:31462556:1 gene:Ma04_g30990 transcript:Ma04_t30990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECEFERRFPTNSKDYKLYEEVGEGVSATVYRALCIPLNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAYCSFTAGHNLWVVMPYMAGGSALHIMKYAYPEGFEEPVITTLLHEVLKALVYLHAQGHIHRDIKAGNILIDAKGAVKLADFGVSACMFDTGDRQRTRNTFVGTPCWMAPEVMQQLHGYDFKADVWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMIATCLVKDPKKRPTSEKLLKHPFFKHARSSEFLAQTILEGLSPLGDRFKALKGKEANFLLENKDASEQLSQQKYIRGISGWNFNLEELKSQAALMQSLDNVYNLENVDAGNNLKDDLDSVDSSVVALAEGVNFASNETSREGEMQDLQDLEGPLASAFPSRPLQALKACFDVCEDMNTSSPNWKIPSKFDSMFPLQQASEAKDLKFGTHNDENMERSASVSSNMDNLGCQKFLSGSLLPEHILSPCRNVDADAERDDIHRRCQHERYYSGPLSRQTKDSSNLTSAMLHEEPSEGKIVQRKGRFQITFPDASPKVASSVNCMVSLIGGSPRKSTCAVNAASLLPTLQFLLHQNSMQKEQLIKLIKCVEQTHNVSSAYHTEASDTSTGDSQSFQASGTERVLQNYMTNLQQSVGELAEEVQSLKLKNSQLEQQIDASLRKEKKRKDKPAQR >Ma04_p30990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31448157:31462556:1 gene:Ma04_g30990 transcript:Ma04_t30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEFERRFPTNSKDYKLYEEVGEGVSATVYRALCIPLNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAYCSFTAGHNLWVVMPYMAGGSALHIMKYAYPEGFEEPVITTLLHEVLKALVYLHAQGHIHRDIKAGNILIDAKGAVKLADFGVSACMFDTGDRQRTRNTFVGTPCWMAPEVMQQLHGYDFKADVWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMIATCLVKDPKKRPTSEKLLKHPFFKHARSSEFLAQTILEGLSPLGDRFKALKGKEANFLLENKDASEQLSQQKYIRGISGWNFNLEELKSQAALMQSLDNVYNLENVDAGNNLKDDLDSVDSSVVALAEGVNFASNETSREGEMQDLQDLEGPLASAFPSRPLQALKACFDVCEDMNTSSPNWKIPSKFDSMFPLQQASEAKDLKFGTHNDENMERSASVSSNMDNLGCQKFLSGSLLPEHILSPCRNVDADAERDDIHRRCQHERYYSGPLSRQTKDSSNLTSAMLHEEPSEGKIVQRKGRFQITFPDASPKVASSVNCMVSLIGGSPRKSTCAVNAASLLPTLQFLLHQNSMQKEQLIKLIKCVEQTHNVSSAYHTEASDTSTGDSQSFQASGTERVLQNYMTNLQQSVGELAEEVQSLKLKNSQLEQQIDASLRKEKKRKDKPAQR >Ma08_p20400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34485046:34487201:-1 gene:Ma08_g20400 transcript:Ma08_t20400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQMKIQPVHASGAMAFLPRSDPVKPVAKSRLKRLIERQFPSVLRSSSAEKTAGFGERREKEREDGGDIEPSSVCLDRMVIGFIEGGYHEKQPRGRCNCFNANFDDSSDDDFDARDSDAPGCAAAPSDVAEAIKSLVLCASVVERNLLADASKILEKAKNSKNKGECRRMVSDGLRSLGYDAAICKSRWDKDPSFPAGEYEYIDVVMDGGDRFLVDVDYRSEFEVARPTKSFRAVLQHLPQVFVGRSDRLQQIVAFASEAARQSLKKKGLHVPPWRRPEYMKAKWLSPYQRTADREAKEESASGGEVIPCLISSVYFSGVSEDCGAGEVADDRTKGVVSPWRPPPARPQAGVKVVTGLALVL >Ma08_p20400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34485046:34487201:-1 gene:Ma08_g20400 transcript:Ma08_t20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQMKIQPVHASGAMAFLPRSDPVKPVAKSRLKRLIERQFPSVLRSSSAEKTAGFGERREKEREDGGDIEPSSVCLDRMVIGFIEGGYHEKQPRGRCNCFNANFDDSSDDDFDARDSDAPGCAAAPSDVAEAIKSLVLCASVVERNLLADASKILEKAKNSKNKGECRRMVSDGLRSLGYDAAICKSRWDKDPSFPAGEYEYIDVVMDGGDRFLVDVDYRSEFEVARPTKSFRAVLQHLPQVFVGRSDRLQQIVAFASEAARQSLKKKGLHVPPWRRPEYMKAKWLSPYQRTADREAKEESASGGEVIPCLISSVYFSGVSEDCGAGEVADDRTKGVVSPWRPPPARPQAGVKVVTGLALVL >Ma08_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34485046:34487201:-1 gene:Ma08_g20400 transcript:Ma08_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQMKIQPVHASGAMAFLPRSDPVKPVAKSRLKRLIERQFPSVLRSSSAEKTAGFGERREKEREDGGDIEPSSVCLDRMVIGFIEGGYHEKQPRGRCNCFNANFDDSSDDDFDARDSDAPGCAAAPSDVAEAIKSLVLCASVVERNLLADASKILEKAKNSKNKGECRRMVSDGLRSLGYDAAICKSRWDKDPSFPAGEYEYIDVVMDGGDRFLVDVDYRSEFEVARPTKSFRAVLQHLPQVFVGRSDRLQQIVAFASEAARQSLKKKGLHVPPWRRPEYMKAKWLSPYQRTADREAKEESASGGEVIPCLISSVYFSGVSEDCGAGEVADDRTKGVVSPWRPPPARPQAGVKVVTGLALVL >Ma09_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2109672:2110495:-1 gene:Ma09_g03060 transcript:Ma09_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKDKHDESDKGLFSNLAHGLIGGHGNHGGYPPPPGAYPPPGAYAPHGYPPAPGTYPPPGAYAPHGYPPTSSTYPPHGYPHQGYPPHGYPPSGYLPTAYPGPSAPSHHGHGSKMGALLAGGAAAAAAAYGAYQSTHGHHHTGHGMPHFGHHGKFKHGMFGGKHGRFGGKFRKWK >Ma11_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23759192:23764273:-1 gene:Ma11_g18720 transcript:Ma11_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAPRTLNPAPPPPDHAPAPPVPAALGPPPPIAPIPVPPVLRASVGSDSDREGSIPRPSADDYEVSEESRLARERQEKLVQELLMKRRAYAMAVPTNDSAVRARLRRLGEPVTLFGEREMERRDRLRSIMVRLDSEGQLERLLKAHEDEQAAVATAGAAAEDEGPHQYPFYTEGSKELFEARVEIAKWSVARAKARLERARRRRDDPDEDEEAEAEFVVNQAGGLVLECSEIGDDRPLSGCSFSHDGTMLATSAFSGVAKLWSMPQVTKVATLKGHTERLTDVAFSPVDNHIVTASADHTAKLWNTDGSLLRSFDGHLDRLARVAFHPSGKYIGTASFDKTWRLWDINTGMELLLQEGHSRSIYGICFHPDGSLAASSGLDALARVWDLRTGRSILAFEGHVKPVLGLSFSPNGYRLATGSEDNTCRIWDLRKRKSLYTIPAHSHLISQVKFEPQEGFFLATASYDTKAMVWSAQDFKPIKTLSGHEAKITSLDITRDGQQIATVSHDRTIKIWTSRSNGKENDMDLD >Ma04_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25090379:25098081:-1 gene:Ma04_g22900 transcript:Ma04_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFYKRSAQSEKHNAGCMWALISLFDFRQGPPTPKLLSDRKHGSPKQGNGYSKIKVGMHSSYEGKYKDANNVMETKAKGVDLCLASVKVLMEEEMLRDHQEKNILISGDGTHSKNIPRERSKTKTGSASLNDQLSDNFTLTERSSRSFDLVAFLIKLYTHFKGCEEMQVDYDNKIDLCLAMKAVVQKMSDHPDEFDSQLDQKEYFLYKALADFFEAIANQESLDEKHIVNKAVRSREFMDALEILDSNKEVVLKLLEDPNSHFYKQIEDRQKTQVVKVAKMVSENYSEVQQDVRRRKFHDFDNNELFHKQSRYSFFWKKDKPKEAKSLKNSQNLETTNRVVVSKANPMRTREDIMNTLSFSPESHHKIRHEEEGDRTVSHFSLREIKRRLKHIIGGSRKEGRMISRDGVLHRIPIGYKVSGGRDKLLNNEGVISSMEKSSEVLTVSKGKDSKVNPEEHELNIRSGNSAIKPASPIYKEGKKHLVDMLDIGDQTASLRTAHVSRSLGRLLSIPGYSVLSPRVIPGSDKELVFPSELTGSLPLQQFNQEDATNSLSSLKQILEISSCSSSNQIDEITLLDLKAKLTDNHMQDGLCIGSDLNHEGSAQKNVDTVDVTSKLLTDMLDVSLQPNSYESNVACERCNGDLGMSEVESHTVTQSGFSPRSSLREKLEAPEGTIGKPGRSSPVSVLEKFIPAEFTSPKFRAVEHSMIPTQDARVNLRTYSEGRQARFKYVETVLQASGLGFIDAERWHLAEKLLESSLLDEVGMSCSPHIDDPELLFDCINEALEEIQAKFFKCTPWVSLITSNLKQAPVGQRLIKEVSKRIEMHIPMCLPSTSDQIVTKDWECGSWMDLQFETDNIVVEIWDTALDDLVEETIFDLWLELSADW >Ma01_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22661628:22662454:-1 gene:Ma01_g22030 transcript:Ma01_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSRVAGKKLWNYLRVAFFMARKGFASKRKLLMDTNLLMMKRGKLLGKSLRGLMSHHQHSRPETPAFGRCEYEFSCSNSPNPVFFHAKRRHNYFPCLHPVVEEPPDDDTLRRPAVVRLPKLEYSPHCSSVDLLASGVSRTPLLSPFSVRVSNYSSGGEDDDDGGLGQEVDDEAEEFIRRFYEHLRAQSRIALLQYQEKQYQEMLVREL >Ma03_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27631245:27632139:1 gene:Ma03_g22950 transcript:Ma03_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFGGSLRTTGRFSVSFFSNLIDRCLTLGSLYSAQSIHAHLVKTGLHRHTFLGNRLLGLYSGLGSITLAIGVFHDIPDKNRFTWNILLMALVRTGCVEAAHELFEEMPERDVVSWNSLISGYVSTGFFDKAFGVLHRMQDLGVKVSAFTLSITASCVSSPRQAKQVHGFITRFGSGSLNTVLGNSLIDMYGRVGLAGYASQIFCAMDNSDVISWNSMISAYGRSGCGMEALECFCAMRAAGFSTDEFTMSSVLNICTDLENLAKD >Ma10_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26815088:26819080:1 gene:Ma10_g14300 transcript:Ma10_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGGKAPVPAKKKPEKVVNPLFEKRPKQFGIGGALPPKRDLHRFVKWPKVVRIQRQKRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDRAAKKERLLKRAQAEAEGKTVEVKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGAIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEIRRKWGGGIMGSKSLAKSKAKEKLLAKEAAQRLT >Ma04_p37530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35403006:35404226:1 gene:Ma04_g37530 transcript:Ma04_t37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSRSTVHHQRYRHGGGGGGPVAAPFVLKTYRMVDDPSTDAVIAWGCDNNSFVVIDPFAFSQSLLPSHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQMHLLKQIVRRNSGCGKKKKEGGEEEENEEEEERVVAEVVRLKQEQRRIDETVQGMWRRVQETERRPRQMLAFLVKVAGDPKLIHRLGGPAAATDAIEAGEKRARLRSGADERMLEMEGGSGGCSFDGQAMAEGDEEEFLGTIDSVGLYGGPAWAGSEYGGMEVDVGVGSAAYPFSFHLDTGF >Ma02_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24216867:24223017:-1 gene:Ma02_g17180 transcript:Ma02_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNGRVGWLEKGLSSQSYPFSISFSEFFVVVASLLVMDQDFRARQAAMYRAGTGAAPAPSFYPRVNSAAAAPPDRGASFHHPASSAPPPSSSAAGLGIKVMIKPEYQITPPQLAPQMPEVPRSKFQFDFEFEKQILAEAEKESQNWSRITAENQQSKMSSASSSSSPSMASTGDPIVDKYVASGLRREAVSLAVLNYGDNPVKVREFVKGYNILREMGFSSKNVAEALAIYDNDTDKAVAHFLNTSQ >Ma06_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:782952:783870:-1 gene:Ma06_g00960 transcript:Ma06_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTTNLNLMLILKLFLITLPTKGGVSADVELHPVMEYYTTATPIQHRQAAAAARELTPFQLCLDCRCCAANNASNCSTMPCCFGIDCNLPDKPFGVCAFVPKTCNCTSCQ >Ma10_p25810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33775756:33776295:1 gene:Ma10_g25810 transcript:Ma10_t25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSGGKAAQEEGRYLGVRKRRWGKWVSEIRLPRSRERIWLGSYDAPEKAARAFDAAAFFLRGPAARLNFPHHLPPDAHTAALSLDQIQAAAARHANEPPAESSAAASASGATENHGLDESIISFMAMDSSADFPSLYEDFFFGFSAEAEPPPQEHDVVGVDRHDWSEVFDEFPSLWSF >Ma07_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:370346:382866:-1 gene:Ma07_g00440 transcript:Ma07_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDADVALIIFSTKGKLYEYSTDASMEKILERYEQYSYAEKALVSSDLESQGNWCQEYSKLKAKVEVLSKSQRYLMGEQLDSLNLKELQQLENQLENSLKLIRSRKNQVLSDSIAELERKEKTLQEENKNLEKQIMEKQKAKVLTQNARWEQAQTKSLSPLLLITDAPPLPPPNIGCYQGRAAVGAEEAAAQQPQVRISNSLLPPWMLSHLNG >Ma05_p31060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41159306:41165776:1 gene:Ma05_g31060 transcript:Ma05_t31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTSEEVKKIVSTLNDAQVASADVVDVVVSPPFVFLPLVKSLLRPDFHVAAQNCWVKKGGAYTGEISAEMLLNLDIPWVILGHSERRLLLGESNEFVGDKVAYALSKGLKVIACVGETLEQRESGATVEVVAAQTKAIAERISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHYELRKWLQINVSVEVAESTRIIYGGSVNGANCKELAAQPDVDGFLVGGASLKPEFVDIINSATVKSSA >Ma07_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30092455:30093829:-1 gene:Ma07_g21970 transcript:Ma07_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGAGETSYASNSKFQEKALLMTKPVLDDAIGGVYKSLHPAKMVVADLGCSSGSNTFVVMSEVLDVVGDLRRSLQERQEPPEIQFFLNDLPGNDFNHVFRCLGEYKRKVEQEKGNLLVPYYVVGVPGSFYGRLFPRRSVHFFHCSGSLNWLSQVPQGLDTERGASLNNTNIYITETSPPEVVKAYQRQFQRDLSEFLRCRYAELSYEGRLVISFGGRKSNCPTYGQMRHLWGLLAEALNALVLEGMIEEDKLVTFNLPRYAPSMEEVKAVIHGDGLFDVEEAQVFEANWDAFDDSDDDSAAFDSDLSGKNVSKYVRAAVQPLISEQFGDAILDELFARYAANVSRHLLQQKTKHSVFVISLKKKNESHLTAG >Ma01_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12662913:12671242:-1 gene:Ma01_g17290 transcript:Ma01_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKYLLWCICFWFLTCSLLHASSDGLLRVKLKKRPLDRNTLDAARIVRKENMHSQNNAFHHNLEDSDIDIVSLKNYMDAQYFGEIGIGSPPQNFSVIFDTGSSNLWVPSSKCFFSVPCYFHHKYKSGSSSTYRKNGKSCKITYGSGTISGFFSQDSVQVGDLVVKDQIFIEATKESSLSFLLAKFDGILGLGFQEISVGNYPPIWYNMAKQGLIENKVFSFWLNRDTDDMNGGEIVFGGVDSKHYKGDHTYVSVSHKGYWQFEMGDFLIDGQSTGFCSGGCSAIVDSGTSLLTGPTTIIAQVNHAIGAEGIVSMECKEIVNEYGEMILELLIAETRPVKVCRKIGLCEFDGAQYVSTDIQSVLEKQKDKTSVNQDVLCTFCEMAVIWIENQLRQNQTKEHILAYANEICERLPSPMGESAVDCNQIASMPNVAFTIGKRTFSLTPKEYVLKVEQGGTAVCISGFTAIDIPPPQGPLWILGDVFMGAYHTVFDFGNNSIGFAKSA >Ma02_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24361251:24362744:-1 gene:Ma02_g17490 transcript:Ma02_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFRSGSYGDGRMEMEVYGSRPQPSISGPHDFRCYSASYASSQGGNQASKEIKLKKGKSTSGSSSSKSGWSLSDPELQRKKRVAGYKAYAVEGKMKGGLRKSFRWLKDRCTKVVHGWW >Ma08_p29310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40951577:40964126:1 gene:Ma08_g29310 transcript:Ma08_t29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSTVLDTSLSNVSLGSSFPEDRYTEIKHEVKCLSIIVLGASGDLAKKKTFPALFHLFQQGFLQENDVHIFGYARTKLSDDDLRERIRGYLSHKSSSGQTEVLSRFLQLIKYVSGSYDSEDGFQLLNKEISEHEISKNSQPGTSRRLFYLALPPSVYPSVCRMIRRYCMNQSDLGGWTRIVVEKPFGKDLKSAEDLSAQLGELFDEQQLYRIDHYLGKELVQNLLVVRFANRFFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKLEEVVLGQYEGYKDDPTVSDSSNTPTFATVILRIDNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNELVIRLQPSEAMYMKLTVKKPGLEMSTIQSELDLSYGLRYQNVKIPEAYERLILDTIRGDQQHFVRRDELRVAWEIFTPLLHRIDAGQLKPISYKPGSRGPAEADELLAKAGYVQTHGYIWIPPTLD >Ma08_p29310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40951564:40964126:1 gene:Ma08_g29310 transcript:Ma08_t29310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSTVLDTSLSNVSLGSSFPEDRYTEIKHEVKCLSIIVLGASGDLAKKKTFPALFHLFQQGFLQENDVHIFGYARTKLSDDDLRERIRGYLSHKSSSGQTEVLSRFLQLIKYVSGSYDSEDGFQLLNKEISEHEISKNSQPGTSRRLFYLALPPSVYPSVCRMIRRYCMNQSDLGGWTRIVVEKPFGKDLKSAEDLSAQLGELFDEQQLYRIDHYLGKELVQNLLVVRFANRFFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKLEEVVLGQYEGYKDDPTVSDSSNTPTFATVILRIDNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNELVIRLQPSEAMYMKLTVKKPGLEMSTIQSELDLSYGLRYQNVKIPEAYERLILDTIRGDQQHFVRRDELRVAWEIFTPLLHRIDAGQLKPISYKPGSRGPAEADELLAKAGYVQTHGYIWIPPTLD >Ma08_p29310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40951558:40964134:1 gene:Ma08_g29310 transcript:Ma08_t29310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSTVLDTSLSNVSLGSSFPEDRYTEIKHEVKCLSIIVLGASGDLAKKKTFPALFHLFQQGFLQENDVHIFGYARTKLSDDDLRERIRGYLSHKSSSGQTEVLSRFLQLIKYVSGSYDSEDGFQLLNKEISEHEISKNSQPGTSRRLFYLALPPSVYPSVCRMIRRYCMNQSDLGGWTRIVVEKPFGKDLKSAEDLSAQLGELFDEQQLYRIDHYLGKELVQNLLVVRFANRFFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKLEEVVLGQYEGYKDDPTVSDSSNTPTFATVILRIDNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNELVIRLQPSEAMYMKLTVKKPGLEMSTIQSELDLSYGLRYQNVKIPEAYERLILDTIRGDQQHFVRRDELRVAWEIFTPLLHRIDAGQLKPISYKPGSRGPAEADELLAKAGYVQTHGYIWIPPTLD >Ma08_p29310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40951570:40964134:1 gene:Ma08_g29310 transcript:Ma08_t29310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSTVLDTSLSNVSLGSSFPEDRYTEIKHEVKCLSIIVLGASGDLAKKKTFPALFHLFQQGFLQENDVHIFGYARTKLSDDDLRERIRGYLSHKSSSGQTEVLSRFLQLIKYVSGSYDSEDGFQLLNKEISEHEISKNSQPGTSRRLFYLALPPSVYPSVCRMIRRYCMNQSDLGGWTRIVVEKPFGKDLKSAEDLSAQLGELFDEQQLYRIDHYLGKELVQNLLVVRFANRFFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKLEEVVLGQYEGYKDDPTVSDSSNTPTFATVILRIDNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNELVIRLQPSEAMYMKLTVKKPGLEMSTIQSELDLSYGLRYQNVKIPEAYERLILDTIRGDQQHFVRRDELRVAWEIFTPLLHRIDAGQLKPISYKPGSRGPAEADELLAKAGYVQTHGYIWIPPTLD >Ma08_p29310.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40951564:40964126:1 gene:Ma08_g29310 transcript:Ma08_t29310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSTVLDTSLSNVSLGSSFPEDRYTEIKHEVKCLSIIVLGASGDLAKKKTFPALFHLFQQGFLQENDVHIFGYARTKLSDDDLRERIRGYLSHKSSSGQTEVLSRFLQLIKYVSGSYDSEDGFQLLNKEISEHEISKNSQPGTSRRLFYLALPPSVYPSVCRMIRRYCMNQSDLGGWTRIVVEKPFGKDLKSAEDLSAQLGELFDEQQLYRIDHYLGKELVQNLLVVRFANRFFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKLEEVVLGQYEGYKDDPTVSDSSNTPTFATVILRIDNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNELVIRLQPSEAMYMKLTVKKPGLEMSTIQSELDLSYGLRYQNVKIPEAYERLILDTIRGDQQHFVRRDELRVAWEIFTPLLHRIDAGQLKPISYKPGSRGPAEADELLAKAGYVQTHGYIWIPPTLD >Ma07_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11865947:11872001:-1 gene:Ma07_g15710 transcript:Ma07_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLSAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADSQVISDYVRYFLHQHTIQLGQPATVKVAANLVRLLSYQNKNMLQMGVIVGGWDKYEGGKIYSVPLGGTILKQPFAIGGSGSTYLYGFFDQAWKEGMSKDEAEKLVVKAVSLAIARDGASGGVVRTVTINADGVTKNFYTGDSLPLWHEELEPHNSLLDILLASSSPEPMST >Ma05_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11379418:11381302:1 gene:Ma05_g15310 transcript:Ma05_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLCDVCHGAGGACPRCTDLNRAIPTMFSEPMRGPEALHEFQFFGHDDSVAWMFNDPKDHVPPAEGSPAAAAVGFKYLDGLGDACDPGHGLTFDVCLNTTRPPEPIPVSMAALAMGQAASGATIMSFSGSTFTDASSGSNKEAREAMAAAGQGDPSMEREAKIMRYKEKRKKRRYEKQVRYASRKAYAEMRPRIKGRFAKTPETSQPPAEPPYDPDRLDFRWFHS >Ma04_p22190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24548653:24567773:1 gene:Ma04_g22190 transcript:Ma04_t22190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MRKRNPVLVLSSSDEEGDGVRGCATSGGRCSSRRGSRSASAARKRPRGRGSRKSLPSASRDGHVAEFDMPSEEFCDHLHDFSKTPGITQTDTKELWTEKHKPHSLAELAVHKKKVEEVKRWLEERMKAPKEGIENCVLITGRSGVGKSTAIHVIASLLGADLCEWMSPTPTLWEEHVHNSNSGLQYMSKLDEFEGFIEKIRKYSLLHGAYAEELRKPTVLLIDDIPVTKGRASFSRLRKCIKTLIQSAQTPTVILITEYHKIESADSATEYWEELESSIEQAGAYKVGFNPITENSIKKTLTRICQEEKCDVPAQWIDHIARVSGGDIRHAITSLQYCCIKMVKSFPVHESVPNDTHDELKSGSPSLLSSASSGDGHINPQISLPWGRDEKITLFHALGKFLHNKRETPHAFALAPDLFTLKKKYARNPLKMDAPEEVLLQAHGQARTIMDFLQENVLDFVSDEATDSGWVVNSYLSDADYMLASILHPKFCRITETNESDSVRELVAASVAVRGGTLSVPQNFGKLSKHHDTVRFRCCLRDLQPMELPVFAVCHP >Ma04_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24548680:24568242:1 gene:Ma04_g22190 transcript:Ma04_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGSEAAPPPAVAVPPGEDRDPRRRQGRDPEVGAQGRAYPRRHGMGMWLNLICLLKNSVIIFMISAKHQELHKQTQKSFGLRNINPIPWQSLLSIKRSSQVEEVKRWLEERMKAPKEGIENCVLITGRSGVGKSTAIHVIASLLGADLCEWMSPTPTLWEEHVHNSNSGLQYMSKLDEFEGFIEKIRKYSLLHGAYAEELRKPTVLLIDDIPVTKGRASFSRLRKCIKTLIQSAQTPTVILITEYHKIESADSATEYWEELESSIEQAGAYKVGFNPITENSIKKTLTRICQEEKCDVPAQWIDHIARVSGGDIRHAITSLQYCCIKMVKSFPVHESVPNDTHDELKSGSPSLLSSASSGDGHINPQISLPWGRDEKITLFHALGKFLHNKRETPHAFALAPDLFTLKKKYARNPLKMDAPEEVLLQAHGQARTIMDFLQENVLDFVSDEATDSGWVVNSYLSDADYMLASILHPKFCRITETNESDSVRELVAASVAVRGVLFGNSHPSPSRWHTIRTPKLWQIEQTSRHSKIQMLPERFATYGTSSFCSLSSIATEYRPTIKWFYSPVNVHAHDNISESLHGENDMDCTDGSLEGKKSGESEEDDIEDW >Ma04_p22190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24548653:24568242:1 gene:Ma04_g22190 transcript:Ma04_t22190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MRKRNPVLVLSSSDEEGDGVRGCATSGGRCSSRRGSRSASAARKRPRGRGSRKSLPSASRDGHVAEFDMPSEEFCDHLHDFSKTPGITQTDTKELWTEKHKPHSLAELAVHKKKVEEVKRWLEERMKAPKEGIENCVLITGRSGVGKSTAIHVIASLLGADLCEWMSPTPTLWEEHVHNSNSGLQYMSKLDEFEGFIEKIRKYSLLHGAYAEELRKPTVLLIDDIPVTKGRASFSRLRKCIKTLIQSAQTPTVILITEYHKIESADSATEYWEELESSIEQAGAYKVGFNPITENSIKKTLTRICQEEKCDVPAQWIDHIARVSGGDIRHAITSLQYCCIKMVKSFPVHESVPNDTHDELKSGSPSLLSSASSGDGHINPQISLPWGRDEKITLFHALGKFLHNKRETPHAFALAPDLFTLKKKYARNPLKMDAPEEVLLQAHGQARTIMDFLQENVLDFVSDEATDSGWVVNSYLSDADYMLASILHPKFCRITETNESDSVRELVAASVAVRGVLFGNSHPSPSRWHTIRTPKLWQIEQTSRHSKIQMLPERFATYGTSSFCSLSSIATEYRPTIKWFYSPVNVHAHDNISESLHGENDMDCTDGSLEGKKSGESEEDDIEDW >Ma01_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:975489:978878:-1 gene:Ma01_g01390 transcript:Ma01_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFFLFLLLLLPLVVVLLLLAVIVRPRPVRIPLKGRHVLVSGGSSGIGFALARRAAAEGARVSILARDPDRLRDACDAIRRDTGVDAAALAADVRDPAAVARALETVGPVDVLVCNQGVFVPQELERQSLEEVRFMVEVNLMGTFHLIKAALPAMKQRGKETGLPASIAIMSSQAGQVGVYGYTAYSATKFGLRGLAEALQHEVIMDNIHVTLIFPPDTDTPGLAEELKRRPEITNVIAASSGGMKADDVAQKALNGIKSAQFVVPCNFEGTMLSIATAGLSPQRSYLMAFNEVLGASLMRFIGLCFQWNWFSAIEKWHAKKKRK >Ma10_p11080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24689413:24698922:-1 gene:Ma10_g11080 transcript:Ma10_t11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACAERATSDMLIGPDWAINIELCDIISMDPGQAKDALKVLKKRLGSKNPKVQLLALFVLETLSKNCGDNVHQQIVERDILHEMVKIVKKKPDLNVREKILVLIDAWQDAFGGSGGRYPQYHAAYQELRAAGVEFPPRTENTSPLFTPPQTHPMVHQPATSADENAALEASLQSDVAALSLQDIQNAQGIADVLFEMLNALDPKNREDLKQEVVLDLVEQCHSYKKRVMLLVNNTGDEELLCQGLALNDELQRVLQRHDDILKGTAPSHEAPLASAVPLVNVNHEDDEFEDEFSQLSLRTSRDSATGQSSKSSIGKLPSPLLPPPPPSRPIGREASTVDYLSGDVFRSEQPSDAPLNSPLPPSLSPPSPLFSDSAPSSKSSGLPRYDEPIEAEKSSEVHLPTILRESPASGVLPPPPSKYGQRQQFLYQEKHGLSDGESVGLYDGLTAQNQNFSLNQGNAGLGLQHNQQQVGGTDSSPSAQQAKPEDILFKDLVDFAKAKSSPSTKPTNSHQTR >Ma10_p11080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24689413:24698915:-1 gene:Ma10_g11080 transcript:Ma10_t11080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACAERATSDMLIGPDWAINIELCDIISMDPGQAKDALKVLKKRLGSKNPKVQLLALFVLETLSKNCGDNVHQQIVERDILHEMVKIVKKKPDLNVREKILVLIDAWQDAFGGSGGRYPQYHAAYQELRAAGVEFPPRTENTSPLFTPPQTHPMVHQPATSADENAALEASLQSDVAALSLQDIQNAQGIADVLFEMLNALDPKNREDLKQEVVLDLVEQCHSYKKRVMLLVNNTGDEELLCQGLALNDELQRVLQRHDDILKGTAPSHEAPLASAVPLVNVNHEDDEFEDEFSQLSLRTSRDSATGQSSKSSIGKLPSPLLPPPPPSRPIGREASTVDYLSGDVFRSEQPSDAPLNSPLPPSLSPPSPLFSDSAPSSKSSGLPRYDEPIEAEKSSEVHLPTILRESPASGVLPPPPSKYGQRQQFLYQEKHGLSDGESVGLYDGLTAQNQNFSLNQGNAGLGLQHNQQQVGGTDSSPSAQQAKPEDILFKDLVDFAKAKSSPSTKPTNSHQTR >Ma10_p11080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24689413:24699117:-1 gene:Ma10_g11080 transcript:Ma10_t11080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACAERATSDMLIGPDWAINIELCDIISMDPGQAKDALKVLKKRLGSKNPKVQLLALFVLETLSKNCGDNVHQQIVERDILHEMVKIVKKKPDLNVREKILVLIDAWQDAFGGSGGRYPQYHAAYQELRAAGVEFPPRTENTSPLFTPPQTHPMVHQPATSADENAALEASLQSDVAALSLQDIQNAQGIADVLFEMLNALDPKNREDLKQEVVLDLVEQCHSYKKRVMLLVNNTGDEELLCQGLALNDELQRVLQRHDDILKGTAPSHEAPLASAVPLVNVNHEDDEFEDEFSQLSLRTSRDSATGQSSKSSIGKLPSPLLPPPPPSRPIGREASTVDYLSGDVFRSEQPSDAPLNSPLPPSLSPPSPLFSDSAPSSKSSGLPRYDEPIEAEKSSEVHLPTILRESPASGVLPPPPSKYGQRQQFLYQEKHGLSDGESVGLYDGLTAQNQNFSLNQGNAGLGLQHNQQQVGGTDSSPSAQQAKPEDILFKDLVDFAKAKSSPSTKPTNSHQTR >Ma10_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24689413:24698802:-1 gene:Ma10_g11080 transcript:Ma10_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACAERATSDMLIGPDWAINIELCDIISMDPGQAKDALKVLKKRLGSKNPKVQLLALFVLETLSKNCGDNVHQQIVERDILHEMVKIVKKKPDLNVREKILVLIDAWQDAFGGSGGRYPQYHAAYQELRAAGVEFPPRTENTSPLFTPPQTHPMVHQPATSADENAALEASLQSDVAALSLQDIQNAQGIADVLFEMLNALDPKNREDLKQEVVLDLVEQCHSYKKRVMLLVNNTGDEELLCQGLALNDELQRVLQRHDDILKGTAPSHEAPLASAVPLVNVNHEDDEFEDEFSQLSLRTSRDSATGQSSKSSIGKLPSPLLPPPPPSRPIGREASTVDYLSGDVFRSEQPSDAPLNSPLPPSLSPPSPLFSDSAPSSKSSGLPRYDEPIEAEKSSEVHLPTILRESPASGVLPPPPSKYGQRQQFLYQEKHGLSDGESVGLYDGLTAQNQNFSLNQGNAGLGLQHNQQQVGGTDSSPSAQQAKPEDILFKDLVDFAKAKSSPSTKPTNSHQTR >Ma06_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5818506:5822573:1 gene:Ma06_g08230 transcript:Ma06_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVMRFTDFLLLQILVAVVACAVDLPAPLNDEVLGLIVFKAALEDPTAALASWNEDDSTPCSWAHVECDPATSRVSRLALDSLSLSGPLPRGLDRLPALVALSLSNNNLSGPIPPGLSLLPALRSLDLSRNAFSGGLPDDLARLPSIRSLDLSSNALSGPLLSSIFSNATCGTLRFLSLANNRLEGPLPAALSRCSFLLQLDLSGNRLSGAPDFATGLWSLSRLRVLDLSLNSFSGGVPEGIARLHTLKSLHLNGNRFSGPIPAGVGLCPHLSSLDLSYNSFVGALPSSMRYLHSLTSLSLSNNRLSGDIPSWIGNLTAIQHLDLSDNKLTGNLPSSLGGLTDLNYLSLTTNMLTGAIPDSIAGCTKLTELHLKGNGLDGSIPKGLFDLGLQVLDLSSNGLTGTMPAGSTWISETLQSLDLSDNKLTGTIPPEMALYFGLRYLNLSWNDFRTQLPPELGFFRNLSVLDLRRSALYGSIPGDLCESGSLSVLQLDGNSLTGPIPEEIGNCSSLYLLSFSHNSLNGSIPASMGELKKLEILKLEFNNLSGEIPQQLGRLDNLLAVNISHNQFVGRLPVGGVFQSLDQSALQGNLGLCTPLVAEPCKLNVPKPLVLDPYAYINGNNNDNDVPTVANPAVSMRHRRFLSVSSIVAISAALVIVLGVVVVTLLNISARRRIGLLENALESMCSSSTRSTGPAVGRMVVFGPRSSLRSEDLVGGAEALLTKATELGRGVFGTVYRASIGGGGTIAVKKLLTANIVQYHDDFDREVRILGKVMHPNLVQLKGYYWTPQLQLLISDCAPHGSLHARLHERPEAIPPLSWADRFKIALGTAKGIAHLHQSFRPPIVHYNLKPTNILLDEKCEPKISDFGLVRLLQKLDKHMISSRFQSAMGYMAPELACQSLRVNEKCDIYGFGVLILELVTGKKPVEYGEDDVVILIDHVRALVEQGNAVECVDSSMGEFPEEEVLPVLKLGLVCTSQIPSSRPSMAEVVQILQVIKAPL >Ma07_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:575711:585267:1 gene:Ma07_g00680 transcript:Ma07_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLNKIFKGSNLKISAGQSHGSHADDVFWTEPSSSIDGRQGYEDEDVDHAIAVSLSEEDQRGKAIDNKSHLEEDEQLARAMQESLFTESPPHRNGQVYQPYPFFFPSFSRRCAGCNKEVGHGRYLSVMGAVWHPECFRCHECNKPIYDHEFSMYENHPYHKSCYRKLFHPRCDVCKQFIPEIRDGRIEYRAHPFWGQKYCPLHERDGTPRCCSCERMESMDAKYVTLDDGRKLCLECLNFSIMDTSECQPLYLDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQIVRTILRRPIIGPGNRLIDMITGPYRLVRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRNLDPDVEEGICQVLAHMWLDSEIISGSGSNAASSSSTRPSKKGARTQTERKLGEFFKHQIESDVSPEYGDGFRAANRAVDQYGLRRTLDHISLTGRFP >Ma01_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7162334:7166329:1 gene:Ma01_g10000 transcript:Ma01_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFRRATLCSSAVHLLMLASVITTNLFALYAFTAAPSPSFSSAPSTVPHPGAGVGGGHRNLSVISEHVALIVREIEASERRLHQIERELAGYDTLDPAKPSLPPELRLFLAHHRLPLGRDARSGITEMVASVAHSCSRSPAADLLARYMSYKPAALCPADDPSLPQKLIAKACEPLPRRRCLSRPTAPAATAAPRLPFPRSLWSPGVKNPGAGMYGLDKQMWITPGGKNDFSIDDVLALGSGGIRIGFDIGGGAGNFAARMAERNVTVVTSTLEIGGKPMNEFVAARGLFPLLLSPTQRFPFYDSVFDLVHTLNALDEGGAPALGQASRTEALEFLMFDIDRVLRAGGLLWLDNYLCTDDERKRIVTKLIERFGYKKLKWVVGEKADARNTAKTQVYLSAVLQKPARG >Ma08_p30120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41522477:41525630:-1 gene:Ma08_g30120 transcript:Ma08_t30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRHRWRGSLSCKEHRNLCKLPRSPGPTCCGNLCVDLKTDLFNCGRCGVQCKFAEVCCKGKCLNPFHNDENCGGCGKKCSKGSHCRYGMCSYA >Ma08_p30120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41522477:41523448:-1 gene:Ma08_g30120 transcript:Ma08_t30120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFLLIIFLMALTTSLAAADTHKGEQDQTTSFSDEASRMLGRHRWRGSLSCKEHRNLCKLPRSPGPTCCGNLCVDLKTDLFNCGRCGVQCKFAEVCCKGKCLNPFHNDENCGGCGKKCSKGSHCRYGMCSYA >Ma08_p30120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41522477:41525630:-1 gene:Ma08_g30120 transcript:Ma08_t30120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRHRWRGSLSCKEHRNLCKLPRSPGPTCCGNLCVDLKTDLFNCGRCGVQCKFAEVCCKGKCLNPFHNDENCGGCGKKCSKGSHCRYGMCSYA >Ma07_p25830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32810955:32811754:-1 gene:Ma07_g25830 transcript:Ma07_t25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTHRRPLHLSLRTNARLVHKMDCQQQNPTRPEERARHKFHSSPHLTESNLRRRRQTSSLTSPSSDRLVTPTTMKGSGAVTIASTVITAAASYSTAVSGSSLPDPRKQQSADQEEQRRRSSEDRFAPRFDGLRFIETLITAHR >Ma07_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30294748:30299152:-1 gene:Ma07_g22300 transcript:Ma07_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEAETQTLVEAALEVLNTPDPFEKARLGEAVAARWLLDDISVLYRDDGPPPLVPDRPARLSSVKLVSPSMMPKLGKAGSLQSRQAILHSLAHTESWAIDLSWEAMPREFFTDFVRVAQDEGRHFTLLAARLEEVGSHYGALPAHDGLWDSALQTSKSLLARLAVEHCVHEARGLDVLPTTISRFRNGGDEQTANLLERVVYPEEITHCAAGVKWFTYLCLRTPNEHHGAALIHDSTGSAQPLEGESIPNQHEVDHGDGELTQDLAQNFKTSVAICHGQQEMVIQRFHATVREYFRGPLKPPFNVQARKAAGFGPEWYEPLAQK >Ma07_p22300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30294748:30299161:-1 gene:Ma07_g22300 transcript:Ma07_t22300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEAETQTLVEAALEVLNTPDPFEKARLGEAVAARWLLDDISVLYRDDGPPPLVPDRPARLSSVKLVSPSMMPKLGKAGSLQSRQAILHSLAHTESWAIDLSWDIIARFGRQEAMPREFFTDFVRVAQDEGRHFTLLAARLEEVGSHYGALPAHDGLWDSALQTSKSLLARLAVEHCVHEARGLDVLPTTISRFRNGGDEQTANLLERVVYPEEITHCAAGVKWFTYLCLRTPNEHHGAALIHDSTGSAQPLEGESIPNQHEVDHGDGELTQDLAQNFKTSVAICHGQQEMVIQRFHATVREYFRGPLKPPFNVQARKAAGFGPEWYEPLAQK >Ma06_p37440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36477453:36478403:1 gene:Ma06_g37440 transcript:Ma06_t37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDCGDHSKWWKLRKRFWRILGCIVGFIILILLIILIIWLVLRPTKPRFYLQGAVVLQFNYTGPPSNLLSTVFQVTIASRNPNDRIGIYYDRIFVYAAYKNQQISLATALPPMYQGHNDVVIWSPYLFGPNVPVAPYLCDALTQDKASGFLILHVKIDGRIRWKVGSWTSDHYHLFVSCPAFLTFQNGRSGSGAAVRFQQMSACSVEV >Ma07_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28987974:28991292:-1 gene:Ma07_g21010 transcript:Ma07_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transport system permease protein 1 domain containing protein [Source: Projected from Oryza sativa (Os06g0695800)] MGSAEEEVREHLLVNVDGARGLDSPAKKAKLQVRDLTKQSEATGEMILSKVNLDVPEGVIVGIIGPSGSGKSTLLRALNRLWEPPSGSVLLDGQDICKLDVLTLRRRVGMLFQLPALFDGSVAENVRYGPQLKGKKLTEEEVKKLLSLADLDPAFASKASSQLSVGQAQRVALARTLANDPEVLLLDEPTSALDPISTQNIEEAIVRLKESRRMTTVMVSHSVKQIQRIADLVCLLVAGEMVEVLEPARLSEAQHPMARRYLQLS >Ma06_p36650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35962272:35964163:1 gene:Ma06_g36650 transcript:Ma06_t36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCRQFSRIDTAELKSQLFKKLGRQKAERYFYNLKRLLSLKLSKLAFEKLCYGIIGKENLALHNLFIRSILSNACLALAPPSRETITGNSRTSKMSSFGETFPTSPRRGRSINSRDRRLGDRASLLGPYGKIPPGHVQEVTNSCDLQRSREQQSAPELISIGSKALASVEDGEEVEQFRHSPSVQSRSPLRPPLGIPMAAGDLPRKSYRSGFASSFRHVQSNMIVGCHRTSQLPDTRTLMGWLERKLEAEGFGLSIDCANVLNHGLDALLRRLIKPCMDLARARHSSIRVTRANGSIFPNTKGLWQLDQVQTSNESSHASLLDFRLAMESNPELLGGDWPQQLEKICLHLSD >Ma04_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5978892:5981536:1 gene:Ma04_g08320 transcript:Ma04_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGAVRKSAAAEVGIQMPEAAKASAVAADSGTMSGPLVPVTGGKDHRAAAAMGWDTVAVGVRLATVLSSLLSLSIMVTAEQRGSLSVFGIQIPLYSKWSFSDSFEYLVGILAAVAAHSLLQLLLSLRKLAQGLPVIPSHSHAWIIFAGDQVFAYAMMSAGSAATGVTNLNRTGIRHALIPDFCKPLHSFCNHMAISISLAFLSCLFLAISAVLDVLWLSKY >Ma06_p13820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9449131:9470124:-1 gene:Ma06_g13820 transcript:Ma06_t13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRSNLPLRLQQILSGGRSVSPVLKLESEPPPKVKAFIDRVIKSPLHDIAIPLSGFRWEFKKGNFNHWRPLFVHFDTYFKTYLSCRKDLLLLDHMSDEDPFPKHSVMQILRVMQIIFENCQNKSSFGGLEHFKLLLASVDPDIIIATLETLSALVKINPSKMHLGGKLIGCGSLNSRLLSLAQGWGSKEEGLGLHSCVVANERNQHEGLCLFPSDIGDNCDGTQHRLGSTLHYEYNMVSTIEESKSSILCVIKIPDLHTRKEDDLSILKQCVDQYDVPLAHRFSLLTRIRYAHAFRSPRTCRLYSRISILAFIVLVQSNDAHDELMSFFANEPEYTNELIRLVRSEESVPGTIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMMLLNVLQKAIVSLSNPNDHSTPVFVDALLQFFLLHVLSSSSSGSALRGSGMVPPLLPLLQDSDPAHIHLVSSAVKTLQKLMEYSSPAVSLFKDLGGIELLAQRLQIEVHRIIGSGEANSNTLISPDLLKSDEDHLYSQKRLIKFLLKTLGSATYSPANATRTQNSHHNSLLASLSLIFNNVSMFGGDIYFSAVTVMSEIIHKDPTCFPVLNEFGLPESFLSSVISGILPSSKALICVPNGLGAICLNAKGLEAVKETGALRFLVETFTTRKYLLAMNEGVLLLANAVEELLRHVSSLRGIGIEIIIEIINNLASMGEEKCKETTVVMDENTAMETDIEEKANEGHDLVRAMELATDGISDEQFEQLCIFHVMVLVHRTMENSETCRMFVEKGGIENLLRLLQRPSITQSSDGMPIALHSTVVFKGFTQHHSAPLARAFSASLREHLKKALNGFSSVSGLSLQDTKFTQDSEIFSSLFVVEFLLFLASSKDNRWMSALLTEFGDSSRDVLEDIGCVHREVLWQIAFLEDSKIERDYDSSSNEVNVDPGVVDSDEQRINSFRQYLDPLLRRRVSGWSIESQVSDLISIYRDLGRAATGSHRYGVDGYSALRVASSSRSRPSNSLDSSAASKTEEDKRKSYHSLCHEMMRSLSYHINHLFMELGKAMLLTLRRENNSVNVSPSIVSVINTVASIVLGHLNFGGNSNMESEVSVSTKCRYLGKVIEFINGVLLDRPESSNPIMVKFFFGHGVIQVILTTFEATSQLLFTVNRVPASPMDMDDKCHKEEKEESDNSWICGPLASYGTLLDHLATSSFILSSSTKQLLEQPITNDVISFPQDAEAFVRVLQSKVLKAVLPIWTHPHFAECNLEFITSMISIMRHIYIGVEVRNASGNTEAHLSGPPPDESAIALIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARAVAMSLGNSATSLKEDETVNTSNADQEEEAVQLPPVDDILSACIRLLQVNEQLAFPVRNLLVMICSQNDGQHRQKVLSHIIDHVKHCCTASTPLSESMLSALFHVLALILHEDVMAREVASQAGLIKIALDLLSGWNPGTSDDGKLQVSKWVTACLLSVDQLLQLDPKMTPGIVNLEQLKKDNLNNPNSIVIDEKKTKDLQSSFGSTVGFLDMQDQRRLLEICCRCIQNRLPSETMHVVLQLCSTLTKVHSVAVSFLDAGGLHALLSLPTSSLFPGFNNVAAAIIRHILEDPHTLQQAMELEIRHSLIAAANRHSNARVSPRTFVQNLAIVISRDPVVFLKAAQAVCRIEMIGDRPNIVLLKDREKEKSKAIDREKIAEKDKVPASDDKTTGVEVVPVAPGSGHGKSPDLNTKNPKAHRKSPQSFTSVIEYLLDLIVKFVPPSEADHQTDSVPGVPSLSDMDIDSTSAKGKGKITAVSSEDSKVTTQEALASLAKSAFIVKLLTEILLTYASSIHVLLRRDAELSGFRAPSRGLCGNSSGGIFHHILHNFLLYPGICKKDKKTDGDWRHKLATRANQLLVASSVRSAEGRRRIFSEITYVFNDFADSSSQCRAADYRMHPFVDLLNDILAARSPTGSYISAEASVTFIDVGLVRSLSRTLKVLDLDHSDSPKLITGIIKVLELVTKEHVHSAYINSAKEDNPVRLASNEQQVGSSNYHGDRFEALETTSQPDHAEVVADQREAFNAVQTSGNSDSVIDDMDRDRELDGGFAREAEDDFMREASEDGIGLENGVSTVEIRFDIPQNAEDGMGDEDDDEDMSGDEGEVDEEDDEDDEENNDLEEDEVHQMSHPDTDHDDHEIDDEEFDEDVLEEEDDDDEEDDGVILRLEEGISGINVFDHIEVLGSDNFAAMPDIFGSRRQGRTTSIYNLLGRTGDHSALHLEHPLLEEPSSFRHLVHQRQTENAVDIAFSDRNHENTSYRMDAIFRSLRNGRHGHRFSMWSDDNHQRGTSSAPAVPQGIEELLVSQLRQPTPVQVSDRNMPTNSPQEKHEPNQLQMSEVEVREEAEVRGSENNENIIIPSQVIDGSGNGGVGSTNGDPLQDTGIAGGGEQGTEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVDGHDDGDRQGPVDRLPLGDLQPPARPRRSSGNAMPGSARDTSLESVSEVPQHQNQETDQNALNVEPQPNENVNTDTIDPTFLEALPEELRAEVLSSRQNQVAQTLNEQPQADGDIDPEFLAALPPDIREEVLAQQRAQRRQQSQQLEGQPVEMDAVSIIATLPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRGTLFGMSSRNRRGESSRHGEAIGSTLGRNVEAAVRRSAVGKLIETAGVPLVDTDDLKAMIRLLRIVQPLYKGQLQRLLLNLCTHHETRTSLVKILMDMLMLDLGGSVNNSIDSAESPFRLYGCQSYVAYSRPQFNGGVPPLVSRRILETLTYLARNHLNVSKLLLHLELPCRSTCVLEASDQARGKGVLMEEDKPEDERRAFAIVLLLSLLSQPLYMRSVAHLEQLLNLVEVIIVNGENDTDLSIKPGASLEQSSGPENTMQDTHVTADAVRSSAEEDVKSTTDKDSKRPSTSGANIMNNISDILLSIPEGELQLLCSLLAREGLSDNAYMLVAEVLKKMVASAPTYCRLFTTELVSSVRSLSVCAMNELNSYEDAEKALLSSSSTNGTAILRVLQALSSLVAALHEKDPEKDHTDALSHVWDINAALEPLWLELSNCISKIEISSETPSDLTSPGNLASTSTGVVPPLPAGAQNILPYIESFFVTCEKLRPGQYEAVQDFATTTTDIEETTTPTGGQKSSGASSSTDEKHVVSVRFLEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPHDLKGKLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDSQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDISDVLDVTFSMDADEEKLILYERAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHLLTTAIRPQINAFMEGFTELIPRDLISIFNDKELELLISGLPDIDLDDLRANTEYSGYSNASPVIQWFWEVVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGSQRFQIHKAYGTPHHLPSAHTCFNQLDLPEYTSKEQLEERLLLAIHEANEGFGFG >Ma06_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9449131:9470124:-1 gene:Ma06_g13820 transcript:Ma06_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRSNLPLRLQQILSGGRSVSPVLKLESEPPPKVKAFIDRVIKSPLHDIAIPLSGFRWEFKKGNFNHWRPLFVHFDTYFKTYLSCRKDLLLLDHMSDEDPFPKHSVMQILRVMQIIFENCQNKSSFGGLEHFKLLLASVDPDIIIATLETLSALVKINPSKMHLGGKLIGCGSLNSRLLSLAQGWGSKEEGLGLHSCVVANERNQHEGLCLFPSDIGDNCDGTQHRLGSTLHYEYNMVSTIEESKSSILCVIKIPDLHTRKEDDLSILKQCVDQYDVPLAHRFSLLTRIRYAHAFRSPRTCRLYSRISILAFIVLVQSNDAHDELMSFFANEPEYTNELIRLVRSEESVPGTIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMMLLNVLQKAIVSLSNPNDHSTPVFVDALLQFFLLHVLSSSSSGSALRGSGMVPPLLPLLQDSDPAHIHLVSSAVKTLQKLMEYSSPAVSLFKDLGGIELLAQRLQIEVHRIIGSGEANSNTLISPDLLKSDEDHLYSQKRLIKFLLKTLGSATYSPANATRTQNSHHNSLLASLSLIFNNVSMFGGDIYFSAVTVMSEIIHKDPTCFPVLNEFGLPESFLSSVISGILPSSKALICVPNGLGAICLNAKGLEAVKETGALRFLVETFTTRKYLLAMNEGVLLLANAVEELLRHVSSLRGIGIEIIIEIINNLASMGEEKCKETTVVMDENTAMETDIEEKANEGHDLVRAMELATDGISDEQFEQLCIFHVMVLVHRTMENSETCRMFVEKGGIENLLRLLQRPSITQSSDGMPIALHSTVVFKGFTQHHSAPLARAFSASLREHLKKALNGFSSVSGLSLQDTKFTQDSEIFSSLFVVEFLLFLASSKDNRWMSALLTEFGDSSRDVLEDIGCVHREVLWQIAFLEDSKIERDYDSSSNEVNVDPGVVDSDEQRINSFRQYLDPLLRRRVSGWSIESQVSDLISIYRDLGRAATGSHRYGVDGYSALRVASSSRSRPSNSLDSSAASKTEEDKRKSYHSLCHEMMRSLSYHINHLFMELGKAMLLTLRRENNSVNVSPSIVSVINTVASIVLGHLNFGGNSNMESEVSVSTKCRYLGKVIEFINGVLLDRPESSNPIMVKFFFGHGVIQVILTTFEATSQLLFTVNRVPASPMDMDDKCHKEEKEESDNSWICGPLASYGTLLDHLATSSFILSSSTKQLLEQPITNDVISFPQDAEAFVRVLQSKVLKAVLPIWTHPHFAECNLEFITSMISIMRHIYIGVEVRNASGNTEAHLSGPPPDESAIALIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARAVAMSLGNSATSLKEDETVNTSNADQEEEAVQLPPVDDILSACIRLLQVNEQLAFPVRNLLVMICSQNDGQHRQKVLSHIIDHVKHCCTASTPLSESMLSALFHVLALILHEDVMAREVASQAGLIKIALDLLSGWNPGTSDDGKLQVSKWVTACLLSVDQLLQLDPKMTPGIVNLEQLKKDNLNNPNSIVIDEKKTKDLQSSFGSTVGFLDMQDQRRLLEICCRCIQNRLPSETMHVVLQLCSTLTKVHSVAVSFLDAGGLHALLSLPTSSLFPGFNNVAAAIIRHILEDPHTLQQAMELEIRHSLIAAANRHSNARVSPRTFVQNLAIVISRDPVVFLKAAQAVCRIEMIGDRPNIVLLKDREKEKSKAIDREKIAEKDKVPASDDKTTGVEVVPVAPGSGHGKSPDLNTKNPKAHRKSPQSFTSVIEYLLDLIVKFVPPSEADHQTDSVPGVPSLSDMDIDSTSAKGKGKITAVSSEDSKVTTQEALASLAKSAFIVKLLTEILLTYASSIHVLLRRDAELSGFRAPSRGLCGNSSGGIFHHILHNFLLYPGICKKDKKTDGDWRHKLATRANQLLVASSVRSAEGRRRIFSEITYVFNDFADSSSQCRAADYRMHPFVDLLNDILAARSPTGSYISAEASVTFIDVGLVRSLSRTLKVLDLDHSDSPKLITGIIKVLELVTKEHVHSAYINSAKEDNPVRLASNEQQVGSSNYHGDRFEALETTSQPDHAEVVADQREAFNAVQTSGNSDSVIDDMDRDRELDGGFAREAEDDFMREASEDGIGLENGVSTVEIRFDIPQNAEDGMGDEDDDEDMSGDEGEVDEEDDEDDEENNDLEEDEVHQMSHPDTDHDDHEIDDEEFDEDVLEEEDDDDEEDDGVILRLEEGISGINVFDHIEVLGSDNFAAMPDIFGSRRQGRTTSIYNLLGRTGDHSALHLEHPLLEEPSSFRHLVHQRQTENAVDIAFSDRNHENTSYRMDAIFRSLRNGRHGHRFSMWSDDNHQRGTSSAPAVPQGIEELLVSQLRQPTPVQVSDRNMPTNSPQEKHEPNQLQMSEVEVREEAEVRGSENNENIIIPSQVIDGSGNGGVGSTNGDPLQDTGIAGGGEQGTEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVDGHDDGDRQGPVDRLPLGDLQPPARPRRSSGNAMPGSARDTSLESVSEVPQHQNQETDQNALNVEPQPNENVNTDTIDPTFLEALPEELRAEVLSSRQNQVAQTLNEQPQADGDIDPEFLAALPPDIREEVLAQQRAQRRQQSQQLEGQPVEMDAVSIIATLPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRGTLFGMSSRNRRGESSRHGEAIGSTLGRNVEAAVRRSAVGKLIETAGVPLVDTDDLKAMIRLLRIVQPLYKGQLQRLLLNLCTHHETRTSLVKILMDMLMLDLGGSVNNSIDSAESPFRLYGCQSYVAYSRPQFNGGVPPLVSRRILETLTYLARNHLNVSKLLLHLELPCRSTCVLEASDQARGKGVLMEEDKPEDERRAFAIVLLLSLLSQPLYMRSVAHLEQLLNLVEVIIVNGENDTDLSIKPGASLEQSSGPENTMQDTHVTADAVRSSAEEDVKSTTDKDSKRPSTSGANIMNNISDILLSIPEGELQLLCSLLAREGLSDNAYMLVAEVLKKMVASAPTYCRLFTTELVSSVRSLSVCAMNELNSYEDAEKALLSSSSTNGTAILRVLQALSSLVAALHEKDPEKDHTDALSHVWDINAALEPLWLELSNCISKIEISSETPSDLTSPGNLASTSTGVVPPLPAGAQNILPYIESFFVTCEKLRPGQYEAVQDFATTTTDIEETTTPTGGQKSSGASSSTDEKHVVSVRFLEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPHDLKGKLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDSQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDISDVLDVTFSMDADEEKLILYERAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHLLTTAIRPQINAFMEGFTELIPRDLISIFNDKELELLISGLPDIDLDDLRANTEYSGYSNASPVIQWFWEVVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGSQRFQIHKAYGTPHHLPSAHTCFNQLDLPEYTSKEQLEERLLLAIHEANEGFGFG >Ma10_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3799888:3800676:1 gene:Ma10_g00870 transcript:Ma10_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFFILSTIQLKDNYESGTITCWTSGTGGLGSELLRDLALSGFKSIQVIDMDTIETSNLSHHSLFRSRGFIICGGLPLFVEASGCWEVQGRSSS >Ma04_p36440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34818514:34824249:1 gene:Ma04_g36440 transcript:Ma04_t36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDKLELWVVIDIRKYKRVTTMTGCIGNMGALGKAKVGELLQDTVGQLKRLAEFLGCPFSEDEEKDGVIDGIMRMCAIECLSNLKVNRSCTTDLGHWTVDNSTFFRRGVVGNWLNHLTPEMADRLQKMTEEKFAGSGLMFQGAYLISLSGLITFSMADAYRSQLEEEEEEEEEGVHHRTYQHYTQLVSALPSVDSGNGKPIFCYEGWYGLLSGIIGTIVAQKYFKALPTDVLVATIPKSGTTWMKALVFSTVNRGSCVDSRHALESYNPHEYVPFLEHQIYTNNRVPDLSKLPPPRLFATHIPFQSLPASVTESGCRVVYVYRSPKDNFISLWHYNNRFRTKANLEPWTLEKALDNFCKGLSLFGPYWDHVLSYWKAHLERPNKILFVKYEELQQDTVAQLKRLAEFLGRPFSEDEEKEGVIDGIVRLCSMESLSNLEVNRSGTTEMGYWMLDNTLFFRRGVVGDWLNHLTPEMADRLDEMTKEKFAGSGLMF >Ma02_p03220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14534285:14538320:-1 gene:Ma02_g03220 transcript:Ma02_t03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLSRSRRTLVRLPLHRSGFRHVSDAPSDLSDEQMVKDTSAFVRWNNGGGLFHRSARIDPTAVVEVGAVVHSDSVLGSDVRIGSGTVVGPSVSIGQSTKVGYNAVLSNCSVGQFSTIHNGVCVGQDGFGFFMNEEGHILKKPQALHVRIGDNVEIGANTCIDRGSWRDTVIGDHTKIDNLVQIGHNVIIGKCCMLCGQVGIAGSVTLGDYVTLGGRVAIRDHVSIASKVRLAANSCVTKDLAESGDYGGFPAVPIHEWRRQSAKLRRLCKNSP >Ma02_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14534285:14538316:-1 gene:Ma02_g03220 transcript:Ma02_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLISPMVKDTSAFVRWNNGGGLFHRSARIDPTAVVEVGAVVHSDSVLGSDVRIGSGTVVGPSVSIGQSTKVGYNAVLSNCSVGQFSTIHNGVCVGQDGFGFFMNEEGHILKKPQALHVRIGDNVEIGANTCIDRGSWRDTVIGDHTKIDNLVQIGHNVIIGKCCMLCGQVGIAGSVTLGDYVTLGGRVAIRDHVSIASKVRLAANSCVTKDLAESGDYGGFPAVPIHEWRRQSAKLRRLCKNSP >Ma09_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23161421:23161693:1 gene:Ma09_g19330 transcript:Ma09_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGTQDKMCRLQQNSISFGEAKGYER >Ma05_p29830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40411624:40415123:-1 gene:Ma05_g29830 transcript:Ma05_t29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNANESKMAVPLNTWVLISNFKLAYNMLRRPDGTFDRHLAEFLDRKVPANATPVNGVISFDVLIGRTSKLLSRIYRPAPSTSAAVPLLADLYQPPSADPFPVIIFFHGGSFAHSSSNSAIYDSLCRRFVSLCGAVVVSVNYRRSPEYKYPCAYDDGWAALKWASAEPWLQSGKDAKFRVFLAGDSSGGNIAHHVAVRAAESGIEVSGNILLNPMFGGNCRTESEKRLDGKYFVTIRDRDWYWKAYLPEGADRDHPACNPFGPNAAKLDGLPFTKSLIIVAGLDLVQDWQLAYAEGLKKAGHSVKLVYREQATIGFYLLPNTDHFYQVMDEIKNFVMANVQ >Ma06_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4643204:4645542:-1 gene:Ma06_g06410 transcript:Ma06_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSRSEKNHLVLLFLISIVVFAATTAAAFSSHGLTDAEAGLIRRRQLLYYLDEYGDRGERVSVDPSFHFPNPRLRDAYVALQAWKQAILSDPQNFTGNWVGPNVCTYRGVYCAPLPCNSSLNVVAGIDLNHADIAGYLPEELGLLTDLALFHINSNRFCGTVPHKFRNLGRLFEIDLSNNRFAGKFPRVLLDLPSLKFLDIRFNEFEGAVPRELFDKPLDAIFINHNRFAFDVPDNLGNSPVSVIVLANNRFRGCLPASLGNMSNTLNEIILMNNGLRSCLPSEIGLLRKLTVFDVSFNQLLGPLPEEIRGMVSLEQLDVAHNLLSGRIPESICVLPHLQNFTFSYNFFTGEPPSCLKVQSFDDKRNCLPERPLQRSEKQCKSFLAHPVDCSVFRCKPFVPALPPPPPPSPPPPSPPPPVYSPPPPPPSPPPPSPPSPPPPSPPPPLYSPPPPPPSPPPPSPPPPVYSPPPPPPSPPPPSPPPPSPPPPSPPPPMHYYSPPPPQYSPPLPVYHYFSPPPPSPPCIEPPPPPAPYEGPLPPVVGVSYASPPPPHIY >Ma08_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11310172:11315229:-1 gene:Ma08_g14210 transcript:Ma08_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGRVTSTGSAKIIMPNFLSTASMSSPKNIPFEGQHIFQKDGKKHLPGLMTSRMRKENSSIANFSMGKGRNTVGGTEGNLASNLVISPMTDKPVLLSPSLKMKTLKEPLTDPKAFNTSKQIGSSPERISLVISPGSDTEMVQREENPESSRNDASFQNLCSLNGASELENMDIEVPVQIEDHGNVEKAESCSKELDDLCNMLKKKHDEAKELMVRAVVINNKLLMLNHPMIEEKIRALQRLAASLQSKDYWKT >Ma04_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6400944:6405113:-1 gene:Ma04_g08970 transcript:Ma04_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERKAARLRAPPVTTTGVHDVETELSREANLYNLSSGILPSLGARSTRRVKLRRFIVSPYARRYKAWETYLIVLVVYTAWASPFEFGFLERSRGALALVDNVVDAFFAVDIVLTFFVAYVDKTSYLLIDSRKKIAWRYLSTWFVLDLASTVPLEIARRILPRKLGSYGFFTMLRLWRLRRVSSLFARLEKDRKFNYFWVRCAKLTCVTLFAVHSAGCFFYLVAARYHDPSRTWIGASIPDFHVRSLWVRYVTSLYWSITTLSTVGYGDLHVQNTWEMAFAIVYMLFNLGLTSYLIGNMTNLVVHGTRRTRNYRDSIQAATGFAQRNQLPDRLHDQMISHLSLKFRIDSEGLHQQETLDTLPKAIRSSISHHLFYPLVAKVYLFRGVSHNMLFQLVSEMKAEYFPPREDVILQNEAPTDLYIVVTGIMDLIDHRSGAEQVCGVAKTGDVAGEIGVLCCRPQAFTARTRSLCQLLRLNRTTFLSIVQSNFGDGTTIVNNLLQCLKAQEDPVMMRVLREIENMLARGRLDLPLTLCFAVTRGDDLLLHQLLRRGLDPNESDSNGQTALHIAASKGNENCVHLLLDYGADPNSQDSEGSVPLWEAMAGKHGNVVKLLIENGANLSSGDMGLFASTAAEQNSLELLEDIIRCGGDVTVPERDGSTALHLAVCQGNAEVVNFLLEQGADMDKPDCHGWTPRNLADQKGHAMIKALFEAKRAQNITCMSVSTPVSDLVGRRSGDPAVPLPQLTVGNSTREGSDPTRKTNFHNSLFGVVSAARANRKSDSGFLSSVALPRSAAVLGGSNGHNGLLRVTISCPEKANSARKLIPLPGSLAELLDLGRNKFGFLPTKVLNEGGAEIDDVKVIRDGDHLVLVSDAWTGEGSSKQDGA >Ma10_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13717222:13718720:-1 gene:Ma10_g03430 transcript:Ma10_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRLLDMIANACFYKLKHTGQRAHKNSMGRGQPPRAAATTTPVHFSPPEPKLLPNRASHYYSSRAEADRFSFSPTRSKVLDTHFPVEPARKSKKCVRRKPIRAAPTKPKLAASSVPEFPAVASPVTPPYQLDLYIDGDNHEFQGIHAGDRFKSEDASSWPHSRSCRVTSSATDVIVEVDTNSSTTQTQKKLDEFDSVSELKLRPIITKPATSEAEQSKKQAKRSSPGLHRFPMRANSPRLARKKVQAQLGQRCGGTEAAAALERKGFLESFAVVKSSSDPGRDFRDSMVEMIVENNIRASKDLEELLACYLLLNSNEYHDVIVKAFEQIWFDLAEVKLRNRRKEWLKDHSLNGCTMS >Ma04_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17542414:17543748:-1 gene:Ma04_g17260 transcript:Ma04_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLSHTNSLEAAFSLSFLYMEEPSSNERLLGQLVLHAPLVSTRRSSSSTSTNSVDRSSSTRRRVPSNDLASQRVPFSWESSPGVPKLSRATRLHLDEFDVEPLPLPPKLCPSGEDNHANALARNLNDHEADVRSSSDDDDDDDVFSDALDKISLSGKLDIACRLSSFNDLASSDIERRSVHRSPSFIMNRFLPAATALANSSLRNDVHFSQRAVPSSAIHLQKEFIKARTKRGASTRRLQAPFDPHEENGRDLPSMACGLLLFFPWSFKPTVWGFKSPAQHRTPRPHLTSSPNTVVDRCINGRSSDSFNPTVCGFMISPARNRTPRPDLASPPKRIICSSDGWSSDAEENGGGFADKLSTKVSHSHGWGLPFLDTSRLRTRGRDIQRWKDKATRMGFGGCQRDQNKRNEAVPDGRRAIWRLPQLTSPSESWLSHALTSINRRQ >Ma02_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23270731:23272339:1 gene:Ma02_g15610 transcript:Ma02_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDQRHRINAVTPTTITAAPADDDDDHEGPSDNDEFRDIYPLATPSYPSRGRRREIWDGGSYPSSSLSVRSDAAVVENFTTMSREFSAMVVAGSTMHNDSNSSSSNNNNVDGGQNQLVRIGEDALEETNPLAIVPDSNPIPSPRRPPPGGDSAAADSVDELAVHLVMKEEVESRISAWQTAEVAKINNRCKRQEVIINGWESEQVEKASAWLKKVERKLDEQRAKAIEKMQNDVANAHKKAAEKKASAEAKRGTKVARVFELANFMRAVGRAPSKRSFF >Ma05_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14843755:14844060:-1 gene:Ma05_g15920 transcript:Ma05_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPKNNHIVANDQQTASVIHVSARHRSLFCAARFLSAADHMKLRARPGKQQLAADRLTTSIDVSKPHKEILSSYVKEIDEGIDGDWGSHGNRCPGKRWTQ >Ma09_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2649785:2651245:-1 gene:Ma09_g04030 transcript:Ma09_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGDDYRLRVVMLPWLAHGHASPFLELAKRLSRHDVFVHFCSTPANLVSMREQFDANAFPSIRLVELQLPALPGLPPDLHTTKHLPSHLMPTLKQAFDLAEPDFGLLLQALHPDLLIYDFLQPWAPLSAHRRNIPAIQFLTTAASSAAFFCHCIKRPTEEFPFPAMSLGVKENLEHIATMNRFANGMSDGERFLRCMDRSSGFIAIRTFREIEAKYIDYLSCVLDKEVVPVGPLVPDDDDDDDDDGSSGRDRLTVKDRETERSIMSWLGAKEKSSVVLATFGSEYFMCKEEMREVARGLELSGLSFIWVVRFPKDGILPTVAGDSSSWATALPSGFVERVVKGEGRGLVVEGWAPQRKILSHPGVGGFLTHCGWSSVVEAMKYGVPIIALPLQLDQPPNAKLVEELGVGMAVRKGKGVLGEFEGEEVAKGIRDVVVGEQGESVRRKARQMARVISRRDDEETKVLVEKMAALGEAAKRDGREVI >Ma07_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20898604:20899374:1 gene:Ma07_g17620 transcript:Ma07_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVLKVSILCSKCKVCILTTISKFEGIVSIAVDVEKSTVTIVGVVDAVLIVKALRKAKKPAEIVSVGEPDKKKEEKKDDKKDPCKLPPCCNACRPVMIWQDEPNICTIC >Ma11_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17805623:17807311:-1 gene:Ma11_g13440 transcript:Ma11_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVRRGRPRQHTASCGLRAALPPLMAAAISSSSAAAHRLFCSPPPSVRPSGPSSPLFLSFLLKASLKPRSSLRHRSLPPLSASYADDVFAFDDKPREECGVFGIIGDPDAARICYLGLHALQHRGQEGAGIVSSDGTALHSRTGLGLVSKVFSRSSELEPLVGSAAIGHNRYSTAGAASALANVQPFVAGYRFGQLAVAHNGNLVNYDSLRCELESKGSVFNTSSDTEVILHLIATSSSGPLLARIVEALEELEGAYSLVFLTADKLFAARDPHGFRPLVMGRRSNGAVAFASETCALGLVGAEYVREVNPGEVIVVDSHDMSINTSCLLSKKPRKCCVFEHVYFALPNSVVFGHPVHAARYNFGAALARESPAPGADIVIPVPDSGFFAALGFAEASGLPFRQGLIRSHYVGRSFIEPNQEERNLAVKLKLAPIDGILEGKSVVVIDDSIVRGTTSSKIVQLIKNTGKAREVHMRIASPPIVGSCYYGVDTPRAEELISNRLDVEGVRQALGSDSLAFLSLESLKAAFGDEACKFCDACFTRKYPVPPREHEIFNMVDK >Ma01_p04790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3278844:3285845:-1 gene:Ma01_g04790 transcript:Ma01_t04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDEVAQAVANIKKEWDQSILQIQEHIKSIESCGTSGRGTEEANSLPRLNGAAQDALALLRSLQFKLDFLAQQLSTEEEMQSAQLTLEFWKEQYQTLHSSLRNANLQAKSNIRKAAQEERELLLGGGEESTIRRRNLQTKVGMTSAAESITESLRRTRQIMVQEVERSANTLATFEESTGVLRKAESEYKGHRSLLMRTRNLLSTMRRQDVLDRVILVVGFIVFISAVLYVVSKRIGLLYLQRKIAAAIKAGSAREIGKEIPGVGDGMNGR >Ma01_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3278844:3286531:-1 gene:Ma01_g04790 transcript:Ma01_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDEVAQAVANIKKEWDQSILQIQEHIKSIESCGTSGRGTEEANSLPRLNGAAQDALALLRSLQFKLDFLAQQLSTEEEMQSAQLTLEFWKEQYQTLHSSLRNANLQAKSNIRKAAQEERELLLGGGEESTIRRRNLQTKVGMTSAAESITESLRRTRQIMVQEVERSANTLATFEESTGVLRKAESEYKGHRSLLMRTRNLLSTMRRQDVLDRVILVVGFIVFISAVLYVVSKRIGLLYLQRKIAAAIKAGSAREIGKEIPGVGDGMNGR >Ma05_p27020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38485124:38488683:1 gene:Ma05_g27020 transcript:Ma05_t27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLVVVGGGGGGGGGGVNPTLVRVILAVMALGLAGYIVGPPLYWHLAEAFGRSSPCPPCAACDCSSQPLLSLPQELINVSFTDCAKRDPEVSEEMDKNFTDLLAEELKLREKESTDAQHRADVTLLEAKKLASQYQKEADKCNSGMDTCEEAREKAETVLLEQKKLTMMWEQRARQRGWKKPHAFQR >Ma01_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1130525:1132027:-1 gene:Ma01_g01630 transcript:Ma01_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MAMYB [Source:Projected from Arabidopsis thaliana (AT5G45420) UniProtKB/Swiss-Prot;Acc:Q9ASQ2] MSAKFVLRAKCEKCQQHESPLKIIMVIWPFVGLKERVHVHIDRRCHFTPDILRGVQMHIISMRWKIAMPNFYRLSRPKHVHCHLRSDVRYHERAKPNGGRLHRIMDYIEEEARPRFLFSSSSSSGASSAAAPAETRKVSKFHAAACLSAAAALLLLAYLSLSGSQILSSLLLWAALSLALGPFAPLSATGGDARVGHGDPVPDPEPAPSFSDLDEPKRRVQGRRTRSQKLDGPPPPVATISKPAAAERNKDLIFVNGGGSTNDNKGKEQEEEKEWTDEDLELLKKQVSKHPVGEPRRWERIAEAFQGRHGLDSVIDTAKSLSERRPAAGDPYQQFLKQRKPVDKRVAAVEVESQPQDGSLMEHGDPKKDNGEGNGNWSSGEDIALLNALKAFPKDAAMRWEKIAAAVPGRSKASCMKRVAELKKSFRSSKSTEV >Ma04_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6655556:6657097:-1 gene:Ma04_g09330 transcript:Ma04_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNHMLLKRFSLGSPTRLPVALFQPPRTSPTSDSTAVGFHEREQSHISFLESCSTLRDLSQVHARIVRTGFEQHVFVVGRLISFCSSSEQRGCMDYASAVFRQLNWPDGFLWNTMIRGLGRANRPAEAFLFYRRMREEGKNADNFTFSFLLNICGQLSAVELGKQVHCCVLKVGVDSHVFVSNSLIHTYALFDDMVAARQVFEESSERDLVSWNSLIAGYVHCGLHLEALKMFLRMLRTSFLGPDKATLVVILAACSRLGALDFGRWVHSIAGSSTHGCRLRVTNSLIDMYAKCGAIDRAVEVFDDMTERDTVSWNSLILGLAMHGRAAEAISLFERMRRCELEEPNDITFLGVLCACSHGGLVEEGRRYFDKMTRDYNISPSIRHYGCLVDVLGRSGQVREAFHVIRNMPMECNAVVWRTLLGACRVHGDLELGQRVQEHLEELEPYHSSDYLLLSHMYAKAGHWNDVFQVREAMRERRVQKPEPGNSSVNVLPNEIYLGQQVLYH >Ma04_p31460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31761596:31766356:1 gene:Ma04_g31460 transcript:Ma04_t31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLKILSVSSLPVAIAALTLSSSSCSYSPFPTKLPISLRTASATRRLPFAALRMDSSATELKPSAVQQDGVLPELMTEFMVDMKCEGCVSAVKDNLLKLDGIKNVDVDLSNQVVRVLGSIPVKDMEDALAHTGRNARLVGQGNPNDFLVSAAVAEFKGPVIYGVVRLAQVSMELARIEASFSGLSPGKHAWSINEFGDLTKGAASTGKNFNPPDHISETPLGDLGTLEALGTGEANFSGSKAMIRVADLIGRSIVIYETEDKSDPGITAAVIARSAGVGENYKKICTCDGVTIWESN >Ma02_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19928742:19928837:1 gene:Ma02_g10310 transcript:Ma02_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIMDPDSWVFMLHSDVLFLLVLLLPHKLD >Ma11_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8686888:8687091:1 gene:Ma11_g09620 transcript:Ma11_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSCSGGLSWADQWDSAPDPPPLHLDEPEKGKTEKKGRSSSRSKKGKGGGAFGWIKELCQKKSSNK >Ma11_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22738728:22742815:1 gene:Ma11_g17460 transcript:Ma11_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTAMTIEFLRARLLSERTVSKAAKERADQLAKRVTELEERLRVMTIQRRKAEQAAVDAISILETHGINDLFEEIDSSSDKDESPCGEEGCEEASKEDEVCTASKVERMVVEDAQSSSELEVSFSQARSLSWRSRSSSPDSARKLKGKHFRQRQRRMSLMSPVESSPKYNLGKSCRKIKQKEMGSTTEEKGDDFVIIGNSLPGLPVIVSGSQSSFVTVQKQEVDAIGSERDKEMERVLEQQAQLIGQYEAEEKAQREWEKKYNENKCSNPDYSESEKQCHVAVVNSESQKDSLAVPEKIPYEDEEPKSDVLSGTKEPGCLSDDIVLKLSDSANQGTIDGGQDANVVQSSNAFVSTDLPRTRSGSNSQAFVEGLTDQVAHHVAVTDSEACLVEVAFPAKVSTVVSSFEKQNQGLIYDKSDSGSSNNINMQAHSQMNSPLNGSPSTANSERETPKWELAGTQDPLYERPTQSAGSSSLGGVLEALQRAKISLKQELYQSPLPGKGTMALPAARNYHTKTTLSGETLKVPIGSAGLFRLPTDTFPPAQNLQRKLYGSGLSLTTGYPHLGYAFTTADGHPSTVPEAGSKNSMGKLNFGNYRPGMDLPTSFRYSLPYSGSTEDRIPVPNEAEISLGKQHFNTYSYEVGIPAFSRYSLPANEATDGTPFPNRSGGSVHQQYFDSYHPGIEEPAARRYSLPYSDLTRDRTILRDGVSEPHTDIRNQMPPRDRYSLYGGNGTRSSMQIL >Ma06_p28210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29944119:29947729:1 gene:Ma06_g28210 transcript:Ma06_t28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSRNMAVIPCSILLLFSVVAVQVGGLFTDGLGFIYLDCGYGRDAQAYYVDQSSGITYVSDAPYIDSGEIHNISSVDALVKLPQRFLTVRSFPSGARNCYTFKSMTPRLKYLIRASFLYGNYDSKNSSSVQFDLHLDVNFWKTMTVTSRKVSYYTETIMEATTDLISVCLVNTGRGTPFISSLQLRPLNRTIYPVVNASLSLILSSRFDMGLTGEPVRFPSDPHDRIWNPYADTSLTKTSTNLPVENPVDDHFEVPLVVMNTAVVPVNSNKMELSLLTEPGDLDEYYAVLHFSELKPLLQNESRQFFVYLGGTLLNDAKPFTPDYLSSSAVYSTNPTSAPTHYISLVATSDSTLPPILNAAEVFSAMQNTIVPSDSRNVDAMVAIKGTYAVKRNWMGDPCMPKIAYVALNCAMQSSTKRPTMTEVVMQLKESLALQSNLDETQLEDRNTLKLLKEHGEMHQISTFEFECASISDKDGPSAR >Ma05_p27690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38882186:38885874:-1 gene:Ma05_g27690 transcript:Ma05_t27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRYGGYRDHEFRDREADVENSSRRDYYQGRVREGGRDRDRGRSDRDVRDRVSASQRDITETKSVNGSYRSPMSSNSSGGSGHIQKMSHLSERDVDRETGELSSGSGSDDAEAPVSKIRENGIQNQEIDDVSMPVRKRKFSPIIWDRDDNKKSSVSTPRSKISKVEDVTLPPPPPLPQGFVPPQVVEPSLGNELPLDSNVSVDLHQEQSENNNQEATLVDEYYEEPAPARSISFSRWADGNSALDDEEDELKEDDAQKRKKTNPLSNTAGQQLLKKSPTPELGEVIVRQNSSGALSKLFDSEGEHADRDQEVEVDGSDYMDVDRDESNAETSDQLSDTDFDAEDHRSKTPEAAQTPQRSINMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMAMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLFSGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLAKEPLFSGKTEFDQLDKIFRTLGTPNEKIWPGFAKLPGVKVNFVKQPYNKLREKFPPTSFSGRPTLSEAGFDLLNKLLTYDPEKRITAEAALNHHWFCEVPLPKSKEFMPTFPAQHAQDRRLRRIMKSPDPLAEQRKKELQQGELGLSSLFG >Ma09_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27019554:27021224:-1 gene:Ma09_g19980 transcript:Ma09_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAVPLLAASLLLLAAAATFPVARGHNITKILAQHPEFSTFNHYLSATHLANEINRRLTITVLAVDNAGMSDLLAKHLSLLTLRNVLSLHILSDYYSSRKLHQLSRGSTISSSVFQSSGHAPGTTGYINITDHRRGKVTFITEDAGGAPPAVLVKSLKEIPYNISILQISTILSSPEAEAPVAAPAPVNLTVLLAKKGCKAFADLLLASPDVLQIFEDNLDVGLTVFCPSDGAVRAFGPKYKNLTVDGKASLLLYHGVPAYYSPQLLKTNNGFFTTLATTSRNKNFHYTVRTDGDAITVKTRVVTATITSTILDQDPDAVYAINKVLQPRELFKIPEVVEADAPAPASKKSKHAAAGPEESPADEEASDNSALSLSAAGRWLTAAMAATLAAAVIVVV >Ma06_p37770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36744860:36746781:-1 gene:Ma06_g37770 transcript:Ma06_t37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDGELAKQKGSGEVAKEKNRCCSRKKSKRQQKKALAEPMPTVVQRLWETCKEVFADSRPRVVPLPGDVGRLRSFLDGMKPADVGLHPNLPFFQDFKGTPPVTYLHLHQCPKFSIGIFCLPQAAVIPLHNHPGMTVFSKLLFGSMHIRSYDWVDSSKQIRSSNGACLAKLNTDSVMNAPCETSILYPAAGGNMHCFTAVTPCAVLDVLGPPYNGPEGRDCKYYTEVLCSNFPGNAAASAAPTDNDRYAWLEERQGKPDDFKVRGEEYKGPKIVGYY >Ma09_p28920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39557361:39559189:1 gene:Ma09_g28920 transcript:Ma09_t28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANLGVTSATSAPSLHLRNTNSADDHCNLHFSRREQELTTTNSSGSNNHLNDDDGHADVQSAGGLEVVEAGAGGGASGNITGRRPRGRPPGSKNKPKPPIIITTESPSALRSHVLEIAGGTDIMDAVATFARRRQCGVCILSGRGVVTNVTLRQPGAPPGVIVLHGRFEILSLSGAFLPEPSPPGATGLTIYLAGGQGQVVGGNVMGELVSLGTVMVIAATFSNATYERLPLEEEEPAAAGAPPGTEAIKLQQSQRGDGGVSTPLYNLPLNLSPNGEMSIEAFGAWASAATPQPPPSY >Ma05_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35678615:35681627:-1 gene:Ma05_g23570 transcript:Ma05_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPCASTCLCRICYEEEERSSTSMESPCACSGTVKFAHRECIQRWCDEKGSNVCEICLQIFKPGYTVPEKKSLVNVVVIRGSMEVDRIDFDPENPALINVADYAVGSDYDVFPWI >Ma09_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4012022:4013147:1 gene:Ma09_g06250 transcript:Ma09_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEEAVSFKLFGTVIQKGDRQAKEEEEKRVEAQEEAAALSCPRCKSKETRFCYFNNYNVNQPRHFCKACHRYWTAGGTLRRNVPVGAGRRRGRPAHRIGASSAAAATAVIPSVCVLVCQPSGYSDGAAAMDRWLLTPDAPAIVDRRHSGGPR >Ma07_p10670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7953595:7956973:1 gene:Ma07_g10670 transcript:Ma07_t10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWGSGRLWAAALVALAAVAVVAADRGLRPEAAGAEAVETEEVGVSDYVLKVVNFLWRPDESSYQHVWPSMKFGWEIVVGTIIGFLGSAFGSVGGVGGGGIYVPMLTLIIGFDAKSSTAISKCMIMGAAGSTVWYNLKLRHPTLDMPIIDYDLALLFQPMLMLGISIGVAFNVLFADWMVTVLLIILFIGTSTKAFLKGVETWKKETIMKKEAAMLKESNGMLINYLLKYTKFIGTNCSSMSCHAGRDEIEYKALPSGPGGASKKALRKEAPITENVCWKELGLLSFVWISFLILQVLKQNYTSTCSLWYWILNLLQVPVSLGVSGYEAVSLYRGKRIISSKGGDGTNFTVIQLVFYCLIGVLAGVVGGLLGLGGGFILGPVFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPIPYALYFVSVALVAAFVGQHLVKRLIEILGRASLIIFILASTIFISAISLGGVGISNMVQKIQHHEYMGFENLCKYEA >Ma07_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7953595:7956973:1 gene:Ma07_g10670 transcript:Ma07_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWGSGRLWAAALVALAAVAVVAADRGLRPEAAGAEAVETEEVGVSDYVLKVVNFLWRPDESSYQHVWPSMKFGWEIVVGTIIGFLGSAFGSVGGVGGGGIYVPMLTLIIGFDAKSSTAISKCMIMGAAGSTVWYNLKLRHPTLDMPIIDYDLALLFQPMLMLGISIGVAFNVLFADWMVTVLLIILFIGTSTKAFLKGVETWKKETIMKKEAAMLKESNGRDEIEYKALPSGPGGASKKALRKEAPITENVCWKELGLLSFVWISFLILQVLKQNYTSTCSLWYWILNLLQVPVSLGVSGYEAVSLYRGKRIISSKGGDGTNFTVIQLVFYCLIGVLAGVVGGLLGLGGGFILGPVFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPIPYALYFVSVALVAAFVGQHLVKRLIEILGRASLIIFILASTIFISAISLGGVGISNMVQKIQHHEYMGFENLCKYEA >Ma10_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24429241:24430986:-1 gene:Ma10_g10530 transcript:Ma10_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQKPYLAAVLIQLTYTGFYVMSKAAFDEGVSTFVFIFYRQAAACLLLVPLAVIFERKRSPPLKLVTAVKMFLHALIGITCSLNMYNVGLKYTTASVTSAATNSVPVFTFFLALLLRMESIKVKSLSGVGKAVGVTLCLAGVAAIAFYRGPRIHPLNLHGHFAHSAGRRDHAPANTPKATWIEGTFFVIGANLTWSSWLVYQGILLKEYPSKLLLTTLQCLLSTVQSLFVAMAFERESSKWKLHWDMGLLAILYSGFIVTGVSFYLQSWCVEKKGPVFVAIFTPLSLVFTMICSTIFLGEMITLGSILGGLLMVGGLYSFLWGKSKETMPCEVSIEDGNTCMQEKDVQPL >mito3_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:663898:667203:1 gene:mito3_g00070 transcript:mito3_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDPSRISFSSHGSVTIDSIYYYGKSVYQDVNLRSYFGSIRPPTIFTFGFRLGRCIILHFPKRTFMNFFLPRRPLRLKQPDKSRPVLIWPKKKLSYGYHDRSPSINTPISKLLRVSGAHPKYLGVVNNIALKMENDHSSRRTPLLKFFLPKKYRGPTRTLPAAAVRPSFHYLVMQYLLNTKNKMHFDPVSVLNHFVAPGLAEPSTLRGSQAGSHFERRIRSRIAFLVSSFTSDRKCLGQVKRRFLHLISLANDLRFAGTTKTTISLFPFFGATFFFPRDGVGRRIPYGYNSYLNEVHKMRYNLFQRTQTNTLIESVKIKSVCQSASLIAQDISFQPRNNPISFRSIFSNIVKEIPLIMPKGVEGIRISCSGRLGGAEIARTECGKFGKTSPNVFNQKIDYAPAEVSTRYGISGVKVRISYSMKKKGRATSETYKI >Ma04_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:286536:288150:1 gene:Ma04_g00240 transcript:Ma04_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPMEVQVRSSTVLALGDPNTEPREVPLTIFDRFACDIHIAVLYAFTAPTPSNADIVEGLSETLAHFPMLAAQLGHDCGGRPCLMVGGGGGGVLVVEATVSSTLAEHMPLEPSPDLLLLHPRTEDAAHLLLVQLNRFRCGGLVVGLSAHHKVADGQSMSAFLVAWGQAVRGIPIDPLPLYDRSWLKPRVPPRCEFQHWGLDFMPITPRDDEFASHNNLVEPSRITNILLRYSSEFITGKLKAETKAKYTTFETLLAHVWRKITAARGLEGGEETTIRVTVNGRRRLKPPVSDHYFGNLVLNAYPRSTAEMLARGGLEEAAKVVHEAIARTSGDYVQSMVDLGDMYGDEELVPVYGTEGNVLSPLVDAESWLRLRFEDVDFGGGGKLCAFLPTWVALEGLVIFIPVVGEGGGVDVMVSLLREHAEVLKRISHSLH >Ma09_p28220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39048871:39059483:-1 gene:Ma09_g28220 transcript:Ma09_t28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVSEKENRGLILLSVHRFSLSLTLHRIERSMALQLICCFLVFLLYGNVSGKECTNTPNELSSHTVRAQLMSTEKQSWKAHALSDYHLNPTDESAWMELGRREALNKEVGIEEFDWLMLYRSMKGFGRVEVIARQRGEDFLSEVSLHDVRLDPDSMYGRAQQTNLEYLLLLDVDRLVWSFRKQAGLSAPGEPYGGWERPDVEIRGHFVGHYVSASAQMWASTHNRTLYEKMSSVIDALYECQKKTGTGYLSAFPPEFFDRFEAIKSVWAPYYTIHKIMAGLLDQHILAGSVKALQMVVWMADYFGNRVKNVIQKYSIERHWSSLNEETGGMNDVLYRLYSVTKDQKHLVLAHLFDKPCFLGLLAVQADSLSGFHSNTHIPVVVGAQMRYEITGDPLYKDIGAFFMDVVNSSHSYATGGTSVGEFWSDPKRLADTLGTENEESCTTYNMLKVSRNLFRWTKEMAYADYYERAILNGVLSIQRGKEPGVMIYMLPLGRGYSKARSYHGWGTKFDSFWCCYGTGIESFSKLGDSIYFEEKRTTPSLYVLQYVSSSFIWRSAGIELKQKTKPVNSFDPYLQVSITISTNKSSSQISTLNLRIPSWTSLDGAKATLNDNNLQLPSPGNFISVTRNWMSNDIVTVTLPLRLRMERIQDDRLEYGSIQAILFGPYLLAGLTNGDWDINTGNSSSISDWITAISASYSGQLISLTQETNGKTLVFSNSNGSLTMEELPIEGTNAAIHGTFRFVFPEKAAAVQSYVMLEPFDLPGTTVVHRGPNNGLAVSSSSIAPGADAMFNIVQGLDGEHGTVSLESSTQRGCFVCGIGGSYLSAAGNKTVQLICPSDSELDMMSFRQTVSFTPVAGGLRQYHPISFVAKGVSRNFLLEPLLSLRDETYTVYFDIHQQGNPAIDNFARATFTPVEYDTS >Ma03_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22151530:22159002:1 gene:Ma03_g16950 transcript:Ma03_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSQRQLRAVLRKNWLLKIRHPFATCAEILLPTIVMLMLIGIRSRADTQIHPVQAYIRKGMLVEVGKSEISPSFDSILKLLFVNGEHLAFAPDTDKTRLMLDVLSSKFPLLKMVGRLYKNEADLENYIRSELYGVNDQVRNLSNPKIKGAVVFHAQGPQTFDYSIRLNHTWAFSGFPNVKTIMDVNGPYLNDLELGVNIVPILQYGFSGFLTLQQVMDSLIILLAQLNGTNVMSESINVTETLSPFIGPRSHVNFRWTEFIPENIRIVPFPTREYTDDEFQSIVKIVMGLLYLLGFLYPISRLISYFVFEKEQKIKEGLYMMGLEDKIFYLSWFITYSVQGFTCLYIVLFDPLGPYSPRWLSHDAP >Ma04_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10986416:10987077:-1 gene:Ma04_g14540 transcript:Ma04_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKGRSEVEFPGRAVSPCWKKKAPDAGFLANVKDHFDQFVSTPMDQHRICLKKTIRGVGDLVKLRKQSKEARQAIASSDSASKDGDVDTSR >Ma02_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15755926:15756603:1 gene:Ma02_g04280 transcript:Ma02_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKSRVRVSDETRAQEFTAYTQRAPASRRRGTNLPPRCSDMILSVDPTSLPPMNTTGTGGLRPTSLARAFSISLPLGSSSSSCTAASTPRSWKRLLTVWHMQHELKLKTTTAL >Ma03_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25437582:25450875:1 gene:Ma03_g20280 transcript:Ma03_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MLWDPEALDSLDDAAIGDVLQSWASFCDCTDALLCGRGDLSAGADLVPLVATLCGYGLCSLVQDHFLHSLEELFKSNAVLKFWKHFDAFSDASKLGTTDFQENWTEEVLSKSLEEICLEKHYQEKCLLMLVHALQSFDESITDEKMKIQDYRSSLTSKYQLMVSSILLTTVPMHFPGILRSYFKEKLEELSNMMAVGPEEDGCEFQFSNNRLGQPSQRPIGVGEMDIDTCYHGSTFAKNNTLVNNIGKVVCDLRNLGFTSMTEDAYASSILLLLKHKVHDLAGDDYRTPVLGSIKDWIQAVPLQFLHALLVYLGDSPAQDDESSGLKSPLASSPSYPGIEMPSEGLVRWQLRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNSTGAGNSGDSLLEELNRDAENQESADYDDDTNLDDKQAWINAERWEPDPVEADPSKGSRNRRKIDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGEGSMQKCEIMLNDLIDSKRTNANIKASILQPPAPGSEQEETCLSLDHLDSTIISSNFWPTIQAESLNIPTAVEQLLSDYARRFHEIKTPRKLLWKKNLGTVKLELQFEDTSMQFTVSPMHAVIIMQFQEQTSWTSKNLAASIGVPIDTLNKRINFWISKGVLAESVGSDSDNHIFTIVSDMVDPNKTGINNTRCEGILAMDEECERSVASVEEQLRKEMTVYEKFIVGMLTNFGSMTLDRIHNTLKMFCVAEPSYDKSLQQLQNFLSGLISEEKLEMREGLYILKK >Ma04_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5440918:5442488:1 gene:Ma04_g07520 transcript:Ma04_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTPQSFLLQHPLYPSLKTLSYIPSKQHLRPRPRLSTVSCTAVHPAPASVSVTKDQGRIFNFAAGPATLPESVILKAQSELYNYHGSGMSIMEMSHRGKEFDAVIKNAESDLRRLLAVPDDYAVLFLQGGATTQFAAVPLNLCAPDDAADYIVTGSWGDKAFKEAQKFCKPNLIWSGKSDKYTKIPPFEGLEQNPNAKYLHICANETIHGVEFKNYPTPSNKDAIMVADMSSNFCSKPVDVSKFGVIYAGAQKNVGPSGVTIVIVRKDLIGNAQPITPVMLDYKIHADSASLYNTPPCFTIYICGLVFADLLEQGGLVEVEKKNAKKAGILYDAIDGSDGFYVCPVEKSVRSLMNVPFTLEKTDLEKKFIEEAAKEGMIQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARHL >Ma01_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5035013:5035778:-1 gene:Ma01_g06960 transcript:Ma01_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWIAVITMTRHLRKLLNWHVEPSTMQHFMMELVFFMSGLMVGRSFLEMMLVSFTASIILSSQRLLSKKWLKLQQPERPALLMFVYHRDYD >Ma11_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:837978:838961:-1 gene:Ma11_g01150 transcript:Ma11_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCVLHPTTFLRSLPSHKEEKTTKSLSVSTNPAMTCEHISLSLSRLTLPLYKPPFTSTRGHLRKARTMARAWRWLGAARRALARSSCTNATDLDAIRNTTTTIYNPMTAPAAAEGEAEVSVSKNSSYHPREDETVAYRDAFSREDLAAITIQACFRGHLARRAFRALRSLVRLQAVVRGACVRRQARIAIHCMQALVRLQVRVRARQLLDGSGEVGSSMKNLKLH >Ma09_p26760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37956502:37957451:1 gene:Ma09_g26760 transcript:Ma09_t26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSIPTLSKSLSPKLPKAVTCRLRLSISCSSSLPQQQQQHGEESVSSSLKTFSAALALSSLLLTSAAAPPPAAADISGLTPCKESKAFAKREKQSIKKLEASLNKYAPDSAPALAIKATIEKTKRRFENYGKFGLLCGSDGLPHLIVNGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAIRDDKKPTMKEIIIDVPLATRLLWRGFIWPVAAYRELVNGELIAKDV >Ma08_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18337688:18338450:-1 gene:Ma08_g16430 transcript:Ma08_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEEKLILHERAEVDDFELIPGGRNITVGGENKHEYVTHELELLISGLADIDCELTTFHLQSVDDLRANTECFGYNNASSIIQWFWEVVQGFSEEDSRVRFLQFVSGTSKVSFFI >Ma01_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9473273:9482596:1 gene:Ma01_g12950 transcript:Ma01_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELASNDLLIYEAEDGYLSDSEAFASVIHVLDGVRMAPVLVAQSDRDQYDYLLSIVDPGKRMGPDEEALLATVLKALSGAVSKIDIMYHGSLLSNIFGLCLWNYGVDARNALLELITTLAAVPDKFLDACLHMLVVNFLPPRRLRESISQSRWLTRKKEIHNELHMALHYITVIIPLAPMKLRNVIDKRMPRYTDPKDVIVLFVECMLGLENDEVGEFLGSTLLAKVVDLLADLDVNITWEDILQEEHNKGIFEMELEDWDDDMDNVAKAGTKLPMENGVLKGNAYADKLDGLMVIVCEHLKLRADSGHLLNVFETLSEIFRIAVLKLHKSKFAQFLMFYACSLDPDICGLKFAVLLTDIFVSKHEDPDSRMKAVSYLASYLARAKFISSSLVASILKRLVDWCFEYCQYQDSQEKKINPQAHRIFYSGCQAVMYILCFRMRAILDVSHLRQLLFHMPLVSILCHPNLDPLKVCLPSIVQEFLRQAKAGRLFKISIPYLDDNSLESEFSKAFGGIERLDMFFPFDPYLLKDSDRFMRPNFEFWSMVKTTYSNCNSEGEDEFDDLDTPDFPENNTHDDLDLDNRDELEFSMNKMSITPNPNFQHPVITNFDQPSRMPARIRPSVSPPW >Ma07_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16108154:16114272:-1 gene:Ma07_g17030 transcript:Ma07_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYEQWKGILRIQKFRRMVSYAGFYGFVTLISYAFTSNTKRAGFSNADQYYAAYPASIELLTDTAKLYKVALGNCFEEEEWGPIEFSIMAKHFERQGKPPYAYHAQYMAHLLSQGQLDGSG >Ma05_p26510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38101647:38106936:-1 gene:Ma05_g26510 transcript:Ma05_t26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAEGPECYSFSRCLLLRLSESIRETLSRTPYAPPEGASVSIKSLVESLLPSGDREAQEGFRKEVRDFFLGCAALAAAEGDESPTLFWVTKDLIFVSKSALRELSRAASFESEQQMVIGLLPDVLPAVKGVIKESCVDAEEEEVIAASAKAPVAYAIVAAHQFRWLVSQVAYPDLGKLLWLVIPCALTSLDHWSAEVKEQGIVSFIHIVKNVNSTELGWYEEAVLDVCCQNILAADELWDRIVEVSVLLLTSTQQTNPRSPWFERMLNEMLGHLERQPFKKERRIAWLAQIEPVFDVMGLFILAHFRRIFNLFFQWMHADDEETILLVLERLKTIIKLTWIRKSPYFERLVDELTLLYKETAVRKNREPLRIQILQLLVLLQQCKGSQFEKAWEKHKNDTDLTMMISSFNNLLNETLQQVP >Ma04_p31260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31600863:31610769:-1 gene:Ma04_g31260 transcript:Ma04_t31260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGAEELVRWEKMEEAAEAASAPAGGGGKVEKIFVSVRLRPLSEKEVAANDSCDWECINDTTIIFRNSLPERSMIPTAYTFDRVFRSDCTTKQVYEEGAKEIALSVVSGINSTIFAYGQTSSGKTYTMTGITEYTAADIYEYIHKHEERAFVLKFSAIEIYNEAVKDLLSTDSGHLRLLDDPEKGTVIEKLTEETLKDWNHLKALISVCEAQRKIGETSLNETSSRSHQILRLTIESSAQEFLGKDNSSTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHVPYRDSKLTRILQPSLGGNARTAIICTMSPAISHIEQSKNTLLFASCAKEVVTSAQVNVVMSDKALVKHLQKELARLETELRYPGISPSIEALLREKDAQIKKMESEIQELIQQRDVAQLRVDGLLQVVGKDHSSRQWESSQTSSLNVPYACEDLLSMTERSDIADCSLDSSSTKFLTSRSQHYLQTQKPGTPSPRHSITKFEFIGLSGNQGEEEIDKSLDGDFEEICKEVCCIEMNEACRSEDSNSLLTEGSNSLEQPATISSERIHGHHIPERQMDLGSLGADPVTLEQHLQNVRKTLVNFVKAYPDASSPWSSWQDPSFRTLPFSRSRSCRSILVSSSPWLQEDSTPPNLSLREFPGRPDGFQKKLFALNHGSEIRKLSVRGSQNSEDDTSFSGEKQLNPDVDPEIETTRLDDYREPNKMTQVHRKKQLIIDQETELNVVEDFGAESTVKDVGLESTMDSLQSPSRWPQEFEKKQQEIIELWHDCNVSLVHRTYFYMLFKGDPTDSIYMEVELRRLSFLRSNLFQGNVHKAAALDQRTTSSQSLKLLRRERDMLCRQMQKSLSAAERESLYIKWGISLDSKQRRLQLVRHLWSKTKDLEHVRESASVISRVIGLAEQGQALKEMFGLTFSPQESNRRTYSWKHGLSSRK >Ma04_p31260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31600863:31610769:-1 gene:Ma04_g31260 transcript:Ma04_t31260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGAEELVRWEKMEEAAEAASAPAGGGGKVEKIFVSVRLRPLSEKEVAANDSCDWECINDTTIIFRNSLPERSMIPTAYTFDRVFRSDCTTKQVYEEGAKEIALSVVSGINSTIFAYGQTSSGKTYTMTGITEYTAADIYEYIHKHEERAFVLKFSAIEIYNEAVKDLLSTDSGHLRLLDDPEKGTVIEKLTEETLKDWNHLKALISVCEAQRKIGETSLNETSSRSHQILRLTIESSAQEFLGKDNSSTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHVPYRDSKLTRILQPSLGGNARTAIICTMSPAISHIEQSKNTLLFASCAKEVVTSAQVNVVMSDKALVKHLQKELARLETELRYPGISPSIEALLREKDAQIKKMESEIQELIQQRDVAQLRVDGLLQVVGKDHSSRQWESSQTSSLNVPYACEDLLSMTERSDIADCSLDSSSTKFLTSRSQHYLQTQKPGTPSPRHSITKFEFIGLSGNQGEEEIDKSLDGDFEEICKEVCCIEMNEACRSEDSNSLLTEGSNSLEQPATISSERIHGHHIPERQMDLGSLGADPVTLEQHLQNVRKTLVNFVKAYPDASSPWSSWQDPSFRTLPFSRSRSCRSILVSSSPWLQEDSTPPNLSLREFPGRPDGFQKKLFALNHGSEIRKLSVRGSQNSEDDTSFSGEKQLNPDVDPEIETTRLDDYREPNKMTQVHRKKQLIIDQETELNVVEDFGAESTVKDVGLESTMDSLQSPSRWPQEFEKKQQEIIELWHDCNVSLVHRTYFYMLFKGDPTDSIYMEVELRRLSFLRSNLFQGNVHKAAALDQRTTSSQSLKLLRRERDMLCRQMQKSLSAAERESLYIKWGISLDSKQRRLQLVRHLWSKTKDLEHVRESASVISRVIGLAEQGQALKEMFGLTFSPQESNRRTYSWKHGLSSRK >Ma04_p31260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31600863:31610769:-1 gene:Ma04_g31260 transcript:Ma04_t31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGAEELVRWEKMEEAAEAASAPAGGGGKVEKIFVSVRLRPLSEKEVAANDSCDWECINDTTIIFRNSLPERSMIPTAYTFDRVFRSDCTTKQVYEEGAKEIALSVVSGINSTIFAYGQTSSGKTYTMTGITEYTAADIYEYIHKHEERAFVLKFSAIEIYNEAVKDLLSTDSGHLRLLDDPEKGTVIEKLTEETLKDWNHLKALISVCEAQRKIGETSLNETSSRSHQILRLTIESSAQEFLGKDNSSTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHVPYRDSKLTRILQPSLGGNARTAIICTMSPAISHIEQSKNTLLFASCAKEVVTSAQVNVVMSDKALVKHLQKELARLETELRYPGISPSIEALLREKDAQIKKMESEIQELIQQRDVAQLRVDGLLQVVGKDHSSRQWESSQTSSLNVPYACEDLLSMTERSDIADCSLDSSSTKFLTSRSQHYLQTQKPGTPSPRHSITKFEFIGLSGNQGEEEIDKSLDGDFEEICKEVCCIEMNEACRSEDSNSLLTEGSNSLEQPATISSERIHGHHIPERQMDLGSLGADPVTLEQHLQNVRKTLVNFVKAYPDASSPWSSWQDPSFRTLPFSRSRSCRSILVSSSPWLQEDSTPPNLSLREFPGRPDGFQKKLFALNHGSEIRKLSVRGSQNSEDDTSFSGEKQLNPDVDPEIETTRLDDYREPNKMTQVHRKKQLIIDQETELNVVEDFGAESTVKDVGLESTMDSLQSPSRWPQEFEKKQQEIIELWHDCNVSLVHRTYFYMLFKGDPTDSIYMEVELRRLSFLRSNLFQGNVHKAAALDQRTTSSQSLKLLRRERDMLCRQMQKSLSAAERESLYIKWGISLDSKQRRLQLVRHLWSKTKDLEHVRESASVISRVIGLAEQGQALKEMFGLTFSPQESNRRTYSWKHGLSSRK >Ma09_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8442187:8443029:-1 gene:Ma09_g12530 transcript:Ma09_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLGGGRGVSTDLLVCFPSRAHLPLMPKTISGPSRHTESGRRPPTRHTGRGRASPLFKAKAKHLNSAELDEPASPKVTCAGQIKVRAKARPTPRRSGGGCDRNWLEMFGLKKDAMHFLGALRGLRFGARCFGSFRAPADCTSEEEDEDEEERKDNRQLQEAVEEESNGRCEVESMAPPPNALLLTRCRSAPATRWSPRGGGGGDGEESSAKVIRAEEEGVVLPNYAHGFPKVSSEIAKESWVEVRMNLPVRSRSWKR >Ma07_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30680967:30682651:1 gene:Ma07_g22890 transcript:Ma07_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTMPAGRAGRSDLQAEMLSGLPEYFMASFYPHMEMEMEMETEMAMRDEAVDATRMDRALVASRNHREAERRRRERIKSHLDRLRTILACDPKTDKASLLAKVVERMKDLKQRAEEITEAQCFPSETDEIVVLPSSASISGQRSVFEASFCCEDRSDLLPELIDTLRSLRLKTLRAEIATLGGRVRNVLILAKENEASEEEEEEEEEEEDEPGGAFLRDALQALVDRPHPAERCKRRRLVDQDST >Ma03_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:846431:848919:1 gene:Ma03_g01060 transcript:Ma03_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Ma11_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9607300:9610792:-1 gene:Ma11_g10250 transcript:Ma11_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFAMGAVGGSVFHFIKGLYNFPNGERLAGGSQAVRMNAPRVGGSFAVWGGLFSAFDCSMVYLRQKEDPWNSILAGAATGGFLQMRQGPGSAARSALFGGILLALIEGAGIMLNRVLSAPQNLPPVLEDPNAPVVPPNITAAASASTLGFQQTAYRAEPLGTTSSSSSTLSSSSSSSSWFGGIFGGGKKQQENEAKSESGGSKTEVLESFDKPSTPIPNFDYK >Ma10_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16897331:16898220:1 gene:Ma10_g05540 transcript:Ma10_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRHLLLLSLLLPFSSSDDSIGYYCDKSFNGGHIQADINGVLSDLVAKASVGGFATSSYGKGGSAIYGLAQCRGDVSSEDCSTCLKDAAKALPESCPSQADARIWYDYCFLRYDDENFIGQSDSGYAIILYNVENATDPDAFDKKVGEAMRTARAQAVAPGSGGLGRVKTKFSPYITIYGLAQCTRDIQTLACAQCLSSAVENFANYCVHRKGCRVLYSSCMVRYEIYPFFFPVDPGSSSMSKVGSYFTAILSP >Ma06_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6485179:6487452:-1 gene:Ma06_g09190 transcript:Ma06_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVESEQQAVAARPAREEERHGTMNPSPTMIVTFPDAARRRAQSFPPPLTLEGEESTEDMSHHNMWQVYALGGFMILRWIWARWRERRDRGKSNEDL >Ma09_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5443980:5449093:1 gene:Ma09_g08250 transcript:Ma09_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLVGAGAPPGLRLPVTAVGIKPKRKPKARLVRDPVDPGPQVPGTQTIYVKTFGCSHNQSDSEYMAGQLSAFGYAVTEDPQQADLWLINTCTVKSPSQSAMTTLISKCKTAKKPLVVAGCVPQGSRDLKELEGISIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYMVESLVERVRTVISEGVKEIWLSSEDTGAYGRDIGTNLPLLLNAIIAELPPDRSTMLRIGMTNPPYILEHLKDIAKVLCHPCVYSFLHVPVQSGSDSVLTAMNREYNVNEFRKVVDTLKELVPGMQIATDIICGFPGETDEDFAQTVSLIKEYQFAQVHISQFYPRPGTPAARLKKVPSTVVKNRSRELTTVFESFSPYQGMEGQIVRIWITEIATDGIHLVGHTKGYIQVLVVAPESMLGTSVNSKITSVGRWSAFGEVIDTPAMVNKGTHPENPKVGSSLHSNSCNSCECSRDPEPCQCRLPESCVQQTCTASHVDPIDIVMDRNHTGRNFIGSIQSLLVRKRSCGPEKKSEGNTFKSSNDHQTEGTRRKLVAVDYMLLSGMALSFLTSVVLLILISSKMMS >Ma08_p30270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41593741:41595152:-1 gene:Ma08_g30270 transcript:Ma08_t30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQIIRGIYGQYMGYDGDDDEPRAAATPAVYFAGDVREFSSCNASRRTSRLSLESEGDDADAEASEEEDVPKGGGGGALLEGSDKDSSLGVGVASMPGTPARGPAGGPDWLKEYASETEARGGGGGGGVRRRRHRRRQRRTRERWLERAWQMKKSHAEDGPEAASGECRLVVRPRCGSGRMCMDMEEVRACRDLGLDLPADWTVEIPGTLSDLTADTSSGGNSPIHWRISSPGDDPRDVKAKLKVWAQAVALTSASRLNG >Ma04_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24407397:24408622:1 gene:Ma04_g21980 transcript:Ma04_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYKCLQTYDPSEQTREIFSVHIREREREREKVPLCR >Ma04_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25143424:25151224:1 gene:Ma04_g22960 transcript:Ma04_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSDRNLFIESEEDDDVDEEEEHKAQPSRAADDDDSDCSDSSSSPDDDDDDDGPPRSRPNSYSTNWPQSYRQSIDMYSSVTPPTIGFLGTPTLSRLSSSFLSSSFRGKHTPEIIASLIKPLLPTTAADLQLQDEERQSSHSLLIPPLPSRKPSLEKIQEKVSHELPVSRSCSYGQAVLNGMNVLCGVGILSTPYAVKEGGWLGLSILLTFAVLAWYTGILLRYCLDSEEGLETYPDIGQAAFGTTGRFAISIILYMELYACCVEYIILERDNLSSLFPNAQLNIGGIHLDSRLLFAILTTILVLPTTWLRDLSVLSYISVGGVIASVMVVLSLFWVGTVDKVGFQNKGTSLNLSGIPIAIGIYGYCYSGHAVFPNIYSSLKKPNQYPSVLFTSFAICTVMFAGVAVMGYTMFGESTQSQFTLNMPHNLVASRVTVWTTVVNPITKYALTLTPLALSLEELIPSNQSKSHLYAIMIRTTLVLSTLLVALSVPFFGLVMAFIGSLLTMLVTLIFPCACFLSILRHKVTRIQGLFCIIIITIGVVSSIVGTVSSISKIVDKLSQ >Ma06_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4209301:4211014:1 gene:Ma06_g05660 transcript:Ma06_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVNSGSLQSSSGEGNEFNSSSADSLSAFFRSTAAAALPPPQPPPPSSSVHNHHFFDPISYLDSITSTTPSWPPRALRASHNVGVFPSITTTPSCSSPDVNYPTPVQPPDLSAAAPRSSKKRSRASRRAQTTVLTTDTSNFRAMVQQFTGIPSPPFDIAPTATSSSPFARSLLNVFRSAAAFGSTSAPPPPPFLLRPFQPKVQGSTLTTTTTTVTTAPAICSSSTTTGSNASTSFAATNSTIFDSSLSASARISTTSSSANPSNNNNNYQLPSPTLAYGSKSQPLLSTQNHMLTLQSLLHAKCTKPQIPMPSAEQSRWTNGYPTEAGDRARSSPISAGNSSGSQQGMSSCKLNYLAPGSSECSAEQGSERATASRSEGAMDSWIFSSD >Ma08_p07790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5328686:5332890:1 gene:Ma08_g07790 transcript:Ma08_t07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDVRLSGLIGHRRGKSQGSVQELHNPYPVVQQSRWASLPPELLRDVIKRLEASESTWPSRRDVVACAAVCRCWREMCKEIVRSPEFGGKLTFPISLKQPGPRDGTIQCFIKRDKSKLTYHLYLCLSPSVLAETGKFLLSAKRNRRTTCTEYIISMDVDNISRSSNTYIGKLRSNFLGTKFVIYDTQPPYSGAALCPPGRTSRRFSKKVSPKVPSGSYSIAQIKYELNVLGTRGPRRMHCVMYSIPTSAIEPGGRVPSQPENLFPHSLEDSFRSMSFSRSSIIDHSMDFNSSRFSDITVGTREGEDGDQIKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLISAIQPAAGAPMLSEPAAPSEHDKVILQFGKVAKDMFTMDFRYPLSAFQAFAICLTSFGTKLACE >Ma08_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5328686:5332890:1 gene:Ma08_g07790 transcript:Ma08_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDVRLSGLIGHRRGKSQGSVQELHNPYPVVQQSRWASLPPELLRDVIKRLEASESTWPSRRDVVACAAVCRCWREMCKEIVRSPEFGGKLTFPISLKQPGPRDGTIQCFIKRDKSKLTYHLYLCLSPSVLAETGKFLLSAKRNRRTTCTEYIISMDVDNISRSSNTYIGKLRSNFLGTKFVIYDTQPPYSGAALCPPGRTSRRFSKKVSPKVPSGSYSIAQIKYELNVLGTRGPRRMHCVMYSIPTSAIEPGGRVPSQPENLFPHSLEDSFRSMSFSRSSIIDHSMDFNSSRFSDITVGTREGEDGDQIKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLISAIQPAAGAPMLSEPAAPSEHDKVILQFGKVAKDMFTMDFRYPLSAFQAFAICLTSFGTKLACE >Ma04_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5467446:5468308:-1 gene:Ma04_g07560 transcript:Ma04_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDSLYSCFSGHRRPSHSAPLPISLDARAAAATTCCSSSSSCASSVAFKNFNSLYDPGSDTETLALTLSSPAAAAAGSSFSSSCDAPDDADAGGSCPSERDLSTAIASRRLLPASPGLSNSIVDSAAVTVGVGAGVAVPTYSPDPYWDFRRSMEEMVAALGLDAGAHRAHLHDLLLCYLALNRKHAHKYIISAFADLLLTLASAKGAPGDA >Ma03_p26320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30081619:30087749:-1 gene:Ma03_g26320 transcript:Ma03_t26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MALCGVAFLPAAAAPDALRIGLPIGAASPSPRPRRLTGPLHPRRRQATCSLGRIASFAASTGAEEVAAAEAADEEEVGPVVKFKMSHFIVSDHVSVGLQGRSDEVIYEATVRDPNSPLYNSRVVLRQLTSVQAKRRGRRALEVLKRLARRKLMYHSYAMQVYGYVSPSNTGDDVPFTLVHGYHGSYSLRHWLQLSDWFPTLEATLALDEECLRRVGDDSTGGPAVTRQLRLTRILMRDLLIGVNYLHSHGLAHTELRLENVHISPVDKHVKIGILGNAVDFYNSNPNDSMVDRNSNRRKMMIAFDMRCVGFIMAKLILRELMDASTFLKFKSFLTKGNDPSCLRGFLLPILFRDSPSGNAGLQIMDRNWGAGWNLLSRLLALKPSERISCLDALRHPFLCGPKWRTGPSMDLIRWGLGSTTVRMAEDYIYVGHQRNRIAYFIELMELLNPSPRTKGWFNFLPGRWRLLYSTGRHIGLTLRQTTPSVLIRDVHLTFTKASDSDHRTFSLVSDISFKVIPTPEWPHDKSGPPGSLRVESCVKICPGRRLYPKEEDGEASSTLFQNSSAHNLSRRKWKGMGNIKELPSSVPTVKLLVDDIDVSMRLDSSSLSVDTAEKVLREVRTQIPFEMFDVSKFVCGTYVDSRMMVLRGVSGSALLFTRSCPTNDAI >Ma05_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4517551:4520443:1 gene:Ma05_g06020 transcript:Ma05_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 13 [Source:Projected from Arabidopsis thaliana (AT4G39410) UniProtKB/Swiss-Prot;Acc:Q9SVB7] MSFNLQSSHPPLSFSPYGLPSSLSKTSTVGVGGGGGGGEAADCHLRRTTPSLPPKHGDVGSSGHLGGSPAQRSRTEPWPCDADGESNGKGSSRVKGPTAINNTINSLSVGGARMKKVKAKRKVREPRFCFKTMSEVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQENCRVKKRIERLPEDPRMVITTYEGRHVHSPSHDESSSSQVSSKVTVFW >Ma11_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1021266:1026998:1 gene:Ma11_g01440 transcript:Ma11_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLREGSMRMVLISGNSLISFDQLKLDRELRNLHLGFSNFSIPCSRTKVEFLSPNNHLDLGETVLLLLALCTRCRLLN >Ma09_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32871738:32873030:1 gene:Ma09_g21570 transcript:Ma09_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G54270) UniProtKB/Swiss-Prot;Acc:Q9S7M0] MASSTVVTPTRFLGQTRTSTSANPLRDVVAMGRGRITMGNELWYGPDRVKYLGPFSAQTPSYLRGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWLKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLAGVGEGNDLYPGGTYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVTNNAWVYATKFVPGS >Ma11_p24480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27428365:27434059:-1 gene:Ma11_g24480 transcript:Ma11_t24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMALREQLNGLVNSMFLEGLLDDQFEQLQMLQDESSPGFIAELITLFCGDSERILAELTKLLDQAVVDYQKVDAFVHQLKGSSSSVGAQHVKLACVQFRQFCEEHNKEGCLRALNVVKHEYYLLRGKFDTMLQLEQRIQAYESKQQI >Ma11_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27428365:27433899:-1 gene:Ma11_g24480 transcript:Ma11_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDESSPGFIAELITLFCGDSERILAELTKLLDQAVVDYQKVDAFVHQLKGSSSSVGAQHVKLACVQFRQFCEEHNKEGCLRALNVVKHEYYLLRGKFDTMLQLEQRIQAYESKQQI >Ma10_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27658030:27663459:-1 gene:Ma10_g15610 transcript:Ma10_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPPKSSPDYAPAQFRPAAPPSSEETREGLRMETGDDGLLFPEDVLSPNPPESTAATRLQKVYRSYRTRRRLADSAVVAEELWWQAIDFARLNRSTVSFFDHMKPETAISRWNRISLNASKVGRGLSKNAKALKLAFQHWIEAIDPRHRYGHNLHFYYEEWCKSEAGEPFFYWLDIGDGRDLDLIDRPRSLLRKQCVKYLGPQEREQYEYIPLDGKIVHKLSGVLLDTTSGTKETKWIFVMSTSRRLYAGQKKKGIFHHSSFLAGGATIAAGRFTAENGILKCISAYSGHYRPTQENFNSFLSFLRENGVNLNETKISSSSNDDYYVEKRRSQLEEMIEAMKVSKTPKLVLPTEVKKNTNAEPSVTSQASSGAQTLCGGLQSPKADVPKKVILERLNSKRKASSYQLGHQLSSKWCSGAGPRIGCVADYPFEVRVQALEFVNLSPRMTSPHQNPSSSLKH >Ma10_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32023437:32029749:1 gene:Ma10_g22820 transcript:Ma10_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSVGRPRRSKEPQLGSGRPAAATSSPGDGFVQSRRRMDTSRITRGSSHKSNSFAEENSFALEFGRCSSKEAIMKPIQTLMDEDMSKEMESRHASPSVIAKLMGLNTLPSPPPVAHKELKNVETYFQPESSTGFQGNFVPPKVHSHHKCINEDQEFKDIFEVMETAKFRKHKNRSTRKTMLSSKRSETGMNFVRQKFMDVKRLSTDETLQNSKEFDDALEILHSNKDLFMKLLQDPDSLFNRHLKDVNHLPPSSHPSQITVLKSSIVEKHRNTEWSKSERKYGRHSHMQNEITSSIRKSTQGFTNRSRREYSSFVPHNSSTPPYMGKTETHVHPTRIVVLKPSLEKTQKMVGPVSFSHENLHFGSRKHREFAVSAIQKLHKEGTDRQKFSENVEYLGHTTKDSRDIATEIATQLSYTVGNHSKRQIASELNTHIGSGSPFIPSDLAKLNNTESFCQFPNHSDEWSIDFSSPSSYSIESSVSREARKRMSERWKMTHQCLDVGLVVRGMSTLGEMLALSDRETPDATVVPLGTKKVSDDKFSGNKSFGTWGFSLGISSKNRSTKLQRSKSLPAKSTTIESPNVSYRKQGGDSANDDCYMLKDVLNMGPDDFSVENFGKRQKPLSRSSRHRTNKNRLSRSIGAENELPELDIYVHSEEPRKSIHLRDLSEEQHVLLAHHDEPHVDIKHLTNTPSVLTCEDATSLTTPGEHVKQFVKQLTPENEELSAHNHNDIINETLQEDLADHPQVDPLLSQSETSEASLRSSKECEQQSPVSVLEPPSEEESSCSGCFERISADLQELRMQLNLLKLESAERYEEELGISISSDEDSAGDSLSVLPTGEIFQAFKDEDDRDFSYLLDMLIASGIHGADQDRLLDACYSLDYPVNPHVFDELERKYGVMASWSRLERKLLFDLVNCVLAGIVASGIGPRPWAPSNRSTHTWEHEDLLERLWQMVVNQRKEMDCNLEEFLYPRWLDIENSIEVIVKEMEKLLENDLLEEIVMEFIIIQ >Ma10_p26950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34405439:34410453:1 gene:Ma10_g26950 transcript:Ma10_t26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPPPPPLPPPQLQRRGKWVVPLLASFFLSSLFISASIFSSSSSFSSSAAASLSRQALLLLSFSQIPTPIGDDPLFVESKLRSRPLSAAAPARPVPRLAYLISGSAGDGASLRRTLRALYHPANQYVLHLDLEASAAERLELTAIVREDPVYTRFRNVRVVSRANLVTYRGPTMVSNTLHAAAILLKEAGDWDWFINLSASDYPLVTQDDLLYTLSSFPRDLNFIEHTSDIGWKEYHRAKPVIIDPGLYSSQKTDVFWVSEKRSVPTAFKLFTGSAWMMLSHQFIEFLLWGWDNLPRTVLMYYANFLSSPEGYFHTVICNAREFRNTTVNHDLHFISWDNPPKQHPHLLTLNDFSRMVSSNAPFARKFGRDDPVLDKIDKELLGRDPDGFVPSAWYDNLKMDTGNNLPFAVRNVTELRPGAGSQRVKALITGLLSADGFEKKHCI >Ma09_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8330689:8334109:-1 gene:Ma09_g12360 transcript:Ma09_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKASDRFNINSQLEHLQAKYVGTGHADLSRFEWAVNIQRDSYASYIGHYPVLAYFAISENESIGRERYNFMQKMLLPCGLPPEREED >Ma01_p00970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:687895:691401:1 gene:Ma01_g00970 transcript:Ma01_t00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKNLGLHVVKANVYLDSSGKHNRFAITNRYTGRKIDDPELLETIRLTIINNMLQYHPESSSQLAMGATFGVEPPEQKVDVDIATRINIYGDGPNRSLLVVETADRPGLLVDLVKIITDINITVQSGEFDTEGLLAKAKFHVSYRNKPIGMSLQQVLSNSLRYFLRRPTTEDASF >Ma01_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:687779:691402:1 gene:Ma01_g00970 transcript:Ma01_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAMASGSLRLSPGVKLGGLGLPSLWCYSLGRFRSGVATSSKTRLPSYVAVRTPRAAYPTAVEDGCSSETNRIPTPKVIIDQDSDPDATIVEITFGDRLGTLLDTMNSLKNLGLHVVKANVYLDSSGKHNRFAITNRYTGRKIDDPELLETIRLTIINNMLQYHPESSSQLAMGATFGVEPPEQKVDVDIATRINIYGDGPNRSLLVVETADRPGLLVDLVKIITDINITVQSGEFDTEGLLAKAKFHVSYRNKPIGMSLQQVLSNSLRYFLRRPTTEDASF >Ma08_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10452543:10457248:-1 gene:Ma08_g13170 transcript:Ma08_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEDRNEVAMAENPRKEETGPVSVERAFEGQRVPGWREQLTARALVVSFFLSVMFSVIVMKLNLTTGIIPSLNVAAGLLGFFFVKLWTKGLESTGLLRTPFTRQENTVIQTCVVAAYGLAFSGGFGSYIFGMSSRIADQATEENNSQNIKEPKLGWMIGFMFVVSFLGLFSLVPLRKIMIIDYKLIYPSGTATAYLINGFHTPQGEKLAKKQVWTLGKFFVISFLWGFFQWFYTAGDDCGFAAFPTLGLKAYDNRFFFDFSATYVGVGMICPYMVNISVLLGAILSWGIMWPLINNQKGHWYSASLSPTSLHGLQGYRVFIGIAMILGDGLYNFLKVLHRTTSAFITAARKRPTTTLPVSDDDRPATTPTTFDDERRTEVFLKDQIPKWVAFGGYIAVAIISIITLPHIFPPLKWYFILVAYVFAPVLAFCNAYGCGLTDWSLASTYGKLAIFIFGAWAGASHGGVLAGLAACGVMMNVVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTSMGCVIAPCVFWLFFKAFKDIGMPGSEYPAPYAIIYRNMAILGVDGFSSLPKHCLTLCYIFFALAIAINLARDLSPKKVARFIPIPMAMAIPFYIGSYFAIDMFVGSVILFVWEQLNKAKADAFGPAVASGLICGDGIWTLPQAVLALAQVKPPICMKFLSRKMNDKVDVYIGTLS >Ma07_p23750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31280946:31281353:-1 gene:Ma07_g23750 transcript:Ma07_t23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLHEKGKGLLNEPHPMRSPRELADRSKYCRFHRQHGHDTEQCRELKRQIEELIRRGHLSHYLPPVKEPSPHPEGPVERHIDVIAGGPTVGGAPRRAERRMPGPLPTKPRDTSPSPRLPSRPELPSDLTTTTP >Ma05_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33380772:33387171:1 gene:Ma05_g21660 transcript:Ma05_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSLIFSVWGHLLLVTYSALLSTVASFVGPYLIDYFVRYLTGDRQFKNQGYLLVLAFILSNLVEGFCSRFESFRLQQVDMRLRASLVSVIYQKGLTLSSRSRQCRSSGEIINYMTIDASRVSSFSYHMLHLSSVPVHVILALLILYPQMGLASLIGLAATFIVTLINVPIAKLEQNYIGKIMESKDRRMKATTEILRNMRILKLQAWEMKFLSKIMELRKNEIGWLWKIAYVLAAAIFLVSCSSIFVAVVAFGACMLMRIPLGTGKVLTALATFRTLQNPIFAIPDTISMLVQIKVSLDRISSFLCLEDLQPNVVEKLPRGSSEVAIEVSNGNFAWDPSSETPTLKDLNFQVLKGTRVAVCGTVGSGKSSLLSCILGEVSKISGTVKLCGTTAYVSQSPWIQSGSIQDNIIFGKEMDMVKYDRVLEACSLKKDLEIMPNGDQTVIGERGINLSGGQKQRVQIARAIYHDADIYMFDDPFSAVDAHTGSHLFKECLLGFLSSKTVVYVTHQVEFLPSADLILVIRDGRIVQAGKYNEIINSGIEFMELVGSHMDALAAHNMIKHTSNNSSDIIQGGPSASKSSAPVPQQAELKDTENCPSDEVRLRGQLVQEEERETGKVGFQIYWRYITMAYKGALVPLILFSQILFQVLEICSNYWMALESPLSDDLEPPVSGSMLISVYVALAVGSAACILIRTLLIVIVGYKTATMLFNKMHACIFRAPISFFDSTPTGRILNRASTDQRGVDMYIPFQIGSFSFSIINLLGIILVMSQVAWPVLIIFIPVVATCIWYQQYYISTARELSRLIGVCRAPVIQHFAESMSGSISVRSFGQEKEFVNTNYNLINDLSRLQFHSTGAMQWLCFRLDMLSSLTFAFSLIFLISMPEGVIDPGIAGLAVTYGLSLNMIQTWVIWSLCSLENGIISVERILQYTNIPSEPPLVIDESRPDHIWPSKGEIDLLNLQVRYGLDMPFILRGLSCTLPGGKKIGIVGRTGSGKSTLVQTIFRIIDPTVGHIFIDGIDISTIGLHDLRSRLSIIPQDPIMFEGTVRSNLDPLEEYTDEQIWEALDCCQLGEEIRNKELKLDSEVTENGENWSVGQRQLVCLGRVILRKSKILVLDEATASVDTTTDIIIQKTLRQKFSESTVITIAHRITSVIDSDIVILLDNGVIVEIDSPTKLLENKSSLFAKLVSEYTTRFYDASRQ >Ma08_p31630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42479250:42489653:-1 gene:Ma08_g31630 transcript:Ma08_t31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAKKAPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNTIYMCGTDEYGTATETKAMEENCSPKEICDKYHAIHRDVYEWFDISFDKFGRTSAPQQTEVCQAIFHKLLDNKWLSENTMQQLYCDTCQRFLADRLVEGTCPTLDCNYDSARGDQCEKCGKLLNPTELKDPKCKVCRTAPRIRDTDHLFLELPLLREKLEEYINTMSVAGSWSQNAIQATNAWLKEGLRPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTSEWEQWWKNPDNVELYQFMGKDNVPFHTVMFPSTLLGTGERWTMMKTISVTEYLNYESGKFSKSKGIGVFGNDAKDTKIPTEVWRYYLLTNRPEVSDTLFTWADLQAKLNTELLNNLGNFINRVLSFIAKPEGAGYGSIIPDASNPQSQQLTKYLGEKVGKLVDQYLDAMEKVKLKQGLKIAMSISSEGNAYLQESQFWKLFKEDPSSCAIVMKTSAGLVYLLACLLEPFMPSFSVEVLRQLNLPLEANLSFCDENGETDKAKRPWDFLPSGHHIGKPEPLFKELKDEDVESFRVRFAGSQAERIVKAETDANKVAEQLKATKITGNAKKQQNKPSGNTKAKTAETEISVSKLDVRVGLIKKVQKHPDADSLYVEEIDVGEESPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPVTMRGIKSHAMVLAASNDDHTKVELVDPPSSAAVGERVTFPGYSGEPDGILSAKSKVWEKVQVDLQSNSELVACYKDVPFTTSAGVCKVLSIANGAIR >Ma10_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27773296:27774908:1 gene:Ma10_g15810 transcript:Ma10_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKSQEGIIGTIRDYHPFAINRPPPVLLFSPPPVDRSPPRLVRCHHSPHSSLLNPLTSLIAEIGDSPWNRSRERVRQLGFLGALDRASRGFGKVHILVGFLIRRLGEFGLMRIVRGMQRLVCGKELEHKDSFVWGIAVGSAKVRTRYKGIREAVNL >Ma10_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3890937:3894777:-1 gene:Ma10_g00980 transcript:Ma10_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKFAILLFGVIGNLVHSSPNIKKEVLRAGALQPVICLLCMVNCHSCCTESQREAALLLGQFSSADSDCKALCALCPLIGMLQSPDIQLKAMSVFALGIPFNV >Ma07_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10189928:10191203:-1 gene:Ma07_g13540 transcript:Ma07_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRFPMALVFLQVFTPKSSAFVGTGGGGVWFNGRGGSTTETRSSYPQDPNGNREYTALQAWRSAMTDDPYGILASWLGPDVCFYRGVFCSYAPEVPIFASFRVVSGIDLNHANLEGSLVKELALLDHLSFLHLNSNRFSGTVPDSFRELHYLSELDLSNNRFSGPFPTSTLLIPNLIYLDLRFNSFSGEVPDELFVKDLDAIFLNNNQFGGQIPMTLWASPASVITLANNQFSGSIPFNFGYMSSRIREVLFLNNKLTGCIPEGVGYLTDVEVLDLSFNSLAGHLPSSLSCLSEVEVLNIAHNQFSGELPELVCDLRSLANLTVAYNFFSGFSLDCARLFFRNVWFDFSGNCIPGSDMQRPPPECMGIPGGGLSCLRIPSTRPLACAGSMGQRDGMNRVPYTFPSLP >Ma05_p20270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31882022:31894632:-1 gene:Ma05_g20270 transcript:Ma05_t20270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPLPWHWVIETLANCKQVDTSVLGDLILKDAEILIGAPVPVREKVALRYLEKLVDVDREEGPSEWASSGKIDVNRSCEEVLSREMRKVARLFNLEKDKVNLLTPDLHKFILQKRASLSGSSLDKIKAAILQGHCQALLPLKEISGLLVQNEVDNFQGKVSDESQRAKKLQVSTYNTEMESLRQSPAAPAPDGCNGLLQEECTRSIPQFPNQIVEGFKRMLQGDGVNNGGSYQNINEFTGNERKKIKLSTKDYHAQSNEKDSGLLAPGSNTVISQEDASESMEHLVKLNVTATNDKTIIQGSMCELPGRENIDAGGVQMPQPQQISSCPVPANINIIMQEDSPRVGQRLPLDVFVMDDDHAHLMKDATITKLPCNEGGSLQHVSVDGSGEKMNSAFFEKSRLNVQLSVTPEIAGDGDGSCNVLSDAELHNDIFAAEKHRLLSSQVHINDDSAIGGCTEQGLCIKCDKGGELLTCGGNGCLISVHESCLGSSPIFDTSGLFYCPFCSYTRAAISYRKVKKNFIQARRVLSEFIGGNFVRGHRKVSPSGVHKETNQTRVVDNSCSEHSAGSSQCKGNKLNEISVEVNEHSRVERERACDNCTSLMLNGNADLSKVHIVPQSNGEQVEVAEHQHLREPYAAADNCRGDSCHARDIDVRQGDIVMIEDHSNVQQLKTPEEEGLMLNGNADLSKGHIVPRSNREQVEVAEHQHLREPYAAAVNCPGDPCHARDINDVRQDDIVMIEAHSNIQQLKTPEEEGHASVDKVLAGEKHGKRQLEDILVVDNNGRNKSSPAKSKRHISRAKRYSNPILPPTRRTKLSWTPEEEEFLREAVHELGEKNDGSIPWVKILELGRHVIHKTRQPGDLKDKWRNMKKKEASRTLNR >Ma05_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31882022:31894632:-1 gene:Ma05_g20270 transcript:Ma05_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPLPWHWVIETLANCKQVDTSVLGDLILKDAEILIGAPVPVREKVALRYLEKLVDVDREEGPSEWASSGKIDVNRSCEEVLSREMRKFFQVARLFNLEKDKVNLLTPDLHKFILQKRASLSGSSLDKIKAAILQGHCQALLPLKEISGLLVQNEVDNFQGKVSDESQRAKKLQVSTYNTEMESLRQSPAAPAPDGCNGLLQEECTRSIPQFPNQIVEGFKRMLQGDGVNNGGSYQNINEFTGNERKKIKLSTKDYHAQSNEKDSGLLAPGSNTVISQEDASESMEHLVKLNVTATNDKTIIQGSMCELPGRENIDAGGVQMPQPQQISSCPVPANINIIMQEDSPRVGQRLPLDVFVMDDDHAHLMKDATITKLPCNEGGSLQHVSVDGSGEKMNSAFFEKSRLNVQLSVTPEIAGDGDGSCNVLSDAELHNDIFAAEKHRLLSSQVHINDDSAIGGCTEQGLCIKCDKGGELLTCGGNGCLISVHESCLGSSPIFDTSGLFYCPFCSYTRAAISYRKVKKNFIQARRVLSEFIGGNFVRGHRKVSPSGVHKETNQTRVVDNSCSEHSAGSSQCKGNKLNEISVEVNEHSRVERERACDNCTSLMLNGNADLSKVHIVPQSNGEQVEVAEHQHLREPYAAADNCRGDSCHARDIDVRQGDIVMIEDHSNVQQLKTPEEEGLMLNGNADLSKGHIVPRSNREQVEVAEHQHLREPYAAAVNCPGDPCHARDINDVRQDDIVMIEAHSNIQQLKTPEEEGHASVDKVLAGEKHGKRQLEDILVVDNNGRNKSSPAKSKRHISRAKRYSNPILPPTRRTKLSWTPEEEEFLREAVHELGEKNDGSIPWVKILELGRHVIHKTRQPGDLKDKWRNMKKKEASRTLNR >Ma10_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16370659:16375446:1 gene:Ma10_g05310 transcript:Ma10_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAQTELCSPGSAATTKTTKSVQLWRTLVSWVAVLFHVLLQIPRRTPSWAQLVSFVGLRQNLLFSPSSASPDYKPLAVDPPTDAPPPNQFAGPEPLKKLTIVLDLDETLVCAYETSSLPSTVHTQAIEAGLKCFDLECISSEKDADGRQKVNHVTVFERPGLQEFLKHSSEFADLVLFTAGLEGYASPVIDRIDVDNKLTHRLYRPATVITEHREHVKDLSCVSKDLSRIVIVDNNPFSFLLQPLNGIPCVPFSASQPCDDQLMGVILPLLKHLSLQKDVRTVLYDKFHMPEWFKQQGIPTISSTS >Ma10_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21923298:21927142:1 gene:Ma10_g07580 transcript:Ma10_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAGASRTKEPDVETSAVGSGGSLPASDLEEEVRHPNGGGVDGGGRTGAGPPRAAGRGFGGVQVPVAVERPSPGPVLVSCDPGEGGIQGFRWYSRRLRIDEDGDVADEFLSEVIAEIPPTENQITPPKFQVKYNTRPTAMAMRKQVTVADGNLRQSLEHQGRLQWV >Ma10_p23080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32177024:32196827:1 gene:Ma10_g23080 transcript:Ma10_t23080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPDGGERSLLGNGVVGILAESINIWERRAPLAPSHCARLLLSGKGQSGVERIIVQPSTKRIFHDAQYEDVGCEVSDDLSECGLIIGIKQPKLEMIFPDRAYAFFSHTHKAQRENMPLLDKILAERVSLFDYELIVGDSGKRLLAFGKFAGRAGLIDFLHGLGQRYLNLGYSTPFLSLGASHMYSSLAAAKAAVIAVGEEIATLGLPSGISPLVFVFTGDGNVSQGAQEIFKLLPHTFVDAQELPEIVGLAKDHAQHSGSRRRVFQVYGCVVTCQDMVAPKDSTKTFNKADYYAHPDHYYPVFHEKIAPYASVIVNCMYWERRFPRLLTTFQLQELMKKGCPLVGVSDITCDIGGSLEFVNQTTLIERPFFRYDPFTDSYHDDMAGDGLICLAVDILPTEFPKEASQHFGDILSQFIGSLVSTTNIKELPSHLQKACITHNGSLTSLYEYIPRMRKTIIDLSPGPVNDSSGKKKYNILVSLSGHLFDQFLINEALDVIEAAGGSFHLVRCEVGQSAKSMSYSELEVGADDTVILDQIVDSLTSITNQSDQNGASSRQLSLKIGKVSESIMQDRSGLKRRPVVLILGAGRVCRPAVEFLTLLGSKSYENSTKSCLAIDNEEFEEFEVIVASLYEKDAKETIEGIPNATAIQLDAMDYGRLSEYVSQVHVVLSLLPPSFHATIAKACIEHKKHMVTASYVEATMSSLDERARTAGITILCEMGLDPGIDHMMAMKMINQAHIRGGKIKAFTSYCGGLPSPAAANNPLAYKFSWNPAGALRAGRNSATYKYLGEIVHVDGNELYDSAMRFRIPELPAFALECLPNRNSLLYGDLYHITNEASTIFRATLRYEGFSEIMACLARIGLFETENHPMLGGAQRPTFASFLNELLADKNSASTNTLGSTENEQEMIKRLIMLKYCNDDAAANRTVKTIKFLGLHESKEIPVACSSAFDVVCLRMEERLAYTNKEQDMVLLHHEVQIEFPDGRPTENHWATLLEFGKVEDGKATSAMALTVGIPAAIGVLLLLQNKIQSRGVVRPLEPEVYAPALDILEASGIKLIEKCQTS >Ma10_p23080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32177018:32196827:1 gene:Ma10_g23080 transcript:Ma10_t23080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPDGGERSLLGNGVVGILAESINIWERRAPLAPSHCARLLLSGKGQSGVERIIVQPSTKRIFHDAQYEDVGCEVSDDLSECGLIIGIKQPKLEMIFPDRAYAFFSHTHKAQRENMPLLDKILAERVSLFDYELIVGDSGKRLLAFGKFAGRAGLIDFLHGLGQRYLNLGYSTPFLSLGASHMYSSLAAAKAAVIAVGEEIATLGLPSGISPLVFVFTGDGNVSQGAQEIFKLLPHTFVDAQELPEIVGLAKDHAQHSGSRRRVFQVYGCVVTCQDMVAPKDSTKTFNKADYYAHPDHYYPVFHEKIAPYASVIVNCMYWERRFPRLLTTFQLQELMKKGCPLVGVSDITCDIGGSLEFVNQTTLIERPFFRYDPFTDSYHDDMAGDGLICLAVDILPTEFPKEASQHFGDILSQFIGSLVSTTNIKELPSHLQKACITHNGSLTSLYEYIPRMRKTIIDLSPGPVNDSSGKKKYNILVSLSGHLFDQFLINEALDVIEAAGGSFHLVRCEVGQSAKSMSYSELEVGADDTVILDQIVDSLTSITNQSDQNGASSRQLSLKIGKVSESIMQDRSGLKRRPVVLILGAGRVCRPAVEFLTLLGSKSYENSTKSCLAIDNEEFEEFEVIVASLYEKDAKETIEGIPNATAIQLDAMDYGRLSEYVSQVHVVLSLLPPSFHATIAKACIEHKKHMVTASYVEATMSSLDERARTAGITILCEMGLDPGIDHMMAMKMINQAHIRGGKIKAFTSYCGGLPSPAAANNPLAYKFSWNPAGALRAGRNSATYKYLGEIVHVDGNELYDSAMRFRIPELPAFALECLPNRNSLLYGDLYHITNEASTIFRATLRYEGFSEIMACLARIGLFETENHPMLGGAQRPTFASFLNELLADKNSASTNTLGSTENEQEMIKRLIMLKYCNDDAAANRTVKTIKFLGLHESKEIPVACSSAFDVVCLRMEERLAYTNKEQDMVLLHHEVQIEFPDGRPTENHWATLLEFGKVEDGKATSAMALTVGIPAAIGVLLLLQNKIQSRGVVRPLEPEVYAPALDILEASGIKLIEKCQTS >Ma10_p23080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32177041:32196827:1 gene:Ma10_g23080 transcript:Ma10_t23080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPDGGERSLLGNGVVGILAESINIWERRAPLAPSHCARLLLSGKGQSGVERIIVQPSTKRIFHDAQYEDVGCEVSDDLSECGLIIGIKQPKLEMIFPDRAYAFFSHTHKAQRENMPLLDKILAERVSLFDYELIVGDSGKRLLAFGKFAGRAGLIDFLHGLGQRYLNLGYSTPFLSLGASHMYSSLAAAKAAVIAVGEEIATLGLPSGISPLVFVFTGDGNVSQGAQEIFKLLPHTFVDAQELPEIVGLAKDHAQHSGSRRRVFQVYGCVVTCQDMVAPKDSTKTFNKADYYAHPDHYYPVFHEKIAPYASVIVNCMYWERRFPRLLTTFQLQELMKKGCPLVGVSDITCDIGGSLEFVNQTTLIERPFFRYDPFTDSYHDDMAGDGLICLAVDILPTEFPKEASQHFGDILSQFIGSLVSTTNIKELPSHLQKACITHNGSLTSLYEYIPRMRKTIIDLSPGPVNDSSGKKKYNILVSLSGHLFDQFLINEALDVIEAAGGSFHLVRCEVGQSAKSMSYSELEVGADDTVILDQIVDSLTSITNQSDQNGASSRQLSLKIGKVSESIMQDRSGLKRRPVVLILGAGRVCRPAVEFLTLLGSKSYENSTKSCLAIDNEEFEEFEVIVASLYEKDAKETIEGIPNATAIQLDAMDYGRLSEYVSQVHVVLSLLPPSFHATIAKACIEHKKHMVTASYVEATMSSLDERARTAGITILCEMGLDPGIDHMMAMKMINQAHIRGGKIKAFTSYCGGLPSPAAANNPLAYKFSWNPAGALRAGRNSATYKYLGEIVHVDGNELYDSAMRFRIPELPAFALECLPNRNSLLYGDLYHITNEASTIFRATLRYEGFSEIMACLARIGLFETENHPMLGGAQRPTFASFLNELLADKNSASTNTLGSTENEQEMIKRLIMLKYCNDDAAANRTVKTIKFLGLHESKEIPVACSSAFDVVCLRMEERLAYTNKEQDMVLLHHEVQIEFPDGRPTENHWATLLEFGKVEDGKATSAMALTVGIPAAIGVLLLLQNKIQSRGVVRPLEPEVYAPALDILEASGIKLIEKCQTS >Ma10_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32176996:32196827:1 gene:Ma10_g23080 transcript:Ma10_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPDGGERSLLGNGVVGILAESINIWERRAPLAPSHCARLLLSGKGQSGVERIIVQPSTKRIFHDAQYEDVGCEVSDDLSECGLIIGIKQPKLEMIFPDRAYAFFSHTHKAQRENMPLLDKILAERVSLFDYELIVGDSGKRLLAFGKFAGRAGLIDFLHGLGQRYLNLGYSTPFLSLGASHMYSSLAAAKAAVIAVGEEIATLGLPSGISPLVFVFTGDGNVSQGAQEIFKLLPHTFVDAQELPEIVGLAKDHAQHSGSRRRVFQVYGCVVTCQDMVAPKDSTKTFNKADYYAHPDHYYPVFHEKIAPYASVIVNCMYWERRFPRLLTTFQLQELMKKGCPLVGVSDITCDIGGSLEFVNQTTLIERPFFRYDPFTDSYHDDMAGDGLICLAVDILPTEFPKEASQHFGDILSQFIGSLVSTTNIKELPSHLQKACITHNGSLTSLYEYIPRMRKTIIDLSPGPVNDSSGKKKYNILVSLSGHLFDQFLINEALDVIEAAGGSFHLVRCEVGQSAKSMSYSELEVGADDTVILDQIVDSLTSITNQSDQNGASSRQLSLKIGKVSESIMQDRSGLKRRPVVLILGAGRVCRPAVEFLTLLGSKSYENSTKSCLAIDNEEFEEFEVIVASLYEKDAKETIEGIPNATAIQLDAMDYGRLSEYVSQVHVVLSLLPPSFHATIAKACIEHKKHMVTASYVEATMSSLDERARTAGITILCEMGLDPGIDHMMAMKMINQAHIRGGKIKAFTSYCGGLPSPAAANNPLAYKFSWNPAGALRAGRNSATYKYLGEIVHVDGNELYDSAMRFRIPELPAFALECLPNRNSLLYGDLYHITNEASTIFRATLRYEGFSEIMACLARIGLFETENHPMLGGAQRPTFASFLNELLADKNSASTNTLGSTENEQEMIKRLIMLKYCNDDAAANRTVKTIKFLGLHESKEIPVACSSAFDVVCLRMEERLAYTNKEQDMVLLHHEVQIEFPDGRPTENHWATLLEFGKVEDGKATSAMALTVGIPAAIGVLLLLQNKIQSRGVVRPLEPEVYAPALDILEASGIKLIEKCQTS >Ma05_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4214232:4221889:-1 gene:Ma05_g05550 transcript:Ma05_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEPALVPQWYKLANGSTSNNSLRTSSSRHSDENGVGVGSRNILFADQDRNLRRSLSSNGSVSRDKGSSGKSQAYSSFRRSRDRNQERDFDSCDRKNRSVLVDNGLDHLDSFLGVRSEKDALRRSQSMIAGRPVDSWPKRVGSSANNSAPSGESAVGSISKTFFERDFPSLRAEGRQGLSDAVGVSPLGLRTAVQSLPTGSPIIIGTSALAEVPVKVETSAAVLSPILQGAPISQASAAGSTMTGLNMAEALAQPASQVVKTPQLSVDTQRIEELTLKKCKQLIPMTPSMPKASSCNPSEKTKSKVARGGEFSSLTKTGQQSHVNHTVRVPARSDIQKTSQVGNFQVLNREKNGVFPAAKDSPSVGKGMNPVGIVPSAATLPMKNLTDQKLKADKNGALTHPSTGERKVLSQAQNRNDFFNLLRKKSSTSSSAIPEPSSVETVSILERPEAENLQITSADNMENNNLKPVSESDHPTEIGNCLNGDSCASDGSARFYTDNGETNPCSDAVVDPEEEAFLQSLGWDKNAWEEALTKEEIDAFLKKYEKQRPLKIVPTDIYGNNSSGADA >Ma04_p37310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35291575:35294871:-1 gene:Ma04_g37310 transcript:Ma04_t37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTHQSFLASDSASNSTSAAADDVLALLSFKSLLSDPRSALSSWNNHSSHVCDWHGVRCRDGRRVTALRLVSLGLAGPISPSVANLTSLRNLHLGDNQFNGSIPPELGNLRRLVNLDLSYNALSGEIPATLSRCSRLRIIRIDDNKLEGKLPASLARCSNLSVICFSGNRLVGEIPAEYGSLSELLWLYLNGNNLTGAIPASLGSSSSSLTQIMLSNNSLTGKIPHSLGNLSSLTHLYLSSNNLVGSIPPSLGNCLNLTYLYLRTNSLEGNIPESLGSLTSLRVLDLSVNKLSGTIPPSLYNLSRLGSLNLGINQFEGTISPDIGHALPGLEYLILQGNRLEGSIPRSLVNASELYYLDLSLNNFNGVVPADLGQHHNLAVLNLEGNRFKARDATDWSFLASLSNCTELTWLFLSDNDLAGRFPASIANLSAQLEWLSLAGLQISGAIPPEIEKLRGLQQLDLNGNLLNGSIPREIGSLRNLTRLYLFGNKLSGLIPESLGNHTQLEVLNLGDNRLQGGIPRSFINFKQLNELNLSRNQLGGPIPREVVSITSLTKVLDLSHNSLVGPIPSDIQKLKLLVLLDVSENKLSGQIPSGLGCCETLNYLYMQGNFFLSTIPSQLSNLRSLQRLDVSRNELSGQIPKFLAGISSLQYLNLSFNDFDGPVPTEGAFANASEVFVDGNPKLCGGDPALRLPPCPIDSSNKSRMRSVAIGLASLVPCFIVLSIIILMRKKASNKRSPLESSPRDQFKMVSYAELHKATDGFSSANLIGTGSFSCVYKGTLEGYENGVAVKVLNLEQKGALKSYLAECEALRSIRHRNLVKILTCCSTIDRSGNDFKALVFEYVPNGSLDDWLHPTVASNRETKHLSLDERLCIAIDVASALEYLHRHHGQTPIVHCDVKPSNVLIDDDMAAHLGDYGLMKFLAECNAASKGNPSYSIALRGSIGYIPPGTNILYGIGGEVSAHGDVYSYGILVLEMVTGKRPTDDMFRDGRSLRSFVEAAAFPDRVVEIVDPAMRSAMEGNDRAANCVVSMVEVGLLCSKGTPQARLDAESVTTKMVAIRSAYLGLGYGEV >Ma03_p29050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32072710:32082880:-1 gene:Ma03_g29050 transcript:Ma03_t29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNNLSGQIPTTLGDLCNLTKIDLSRNNIGGELTNLFYGLSTCSQGASLSSLVLKGNNLSGIIPSSMGQLSQLHKVDLSSNSLAGENKLFSKIPTWIGRNHSSLKVLRLRSNLLYGTIPENIGDLTSLQVLDLSSNKFFGSLPSSLGNFNAMVEVQNDTRPLLQDNNYTYIESSLLTTKGSMVDYTTILSLVTSIDLSNNHLSGEIPKEMTKLLGLRFLNLSNNHLTGRIPEKMGDMKQLESLDLSVNNLTGEIPSSFSAMSFLARLNLSYNNLSGKIPTSSQLSTFDSWTYVGNKDLCGTPLPDCPVYQTPPDARVKDDEKLDKLLEYTSIVVGFVVGFWLFIGSLIMKQAIRFAFFRWIDKASDWIYVQFAIWEKWQDGSALEIVDPALGGHYQQSDLLRFVQIGLLCVQEDPSDRPTMSTIVVMLDSETVSLRAPSQPAFYMGNGDKDVLAYLKLRTPQHGVTTYVSRISSTQFLLFYLILLFGPSPTVAFTWQVCSTSAVNFTANNMYESNLNLLLSSLVSNGFASDFITDTIGWIPIQVQGITKSGTIS >Ma03_p23610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28147549:28151550:-1 gene:Ma03_g23610 transcript:Ma03_t23610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSFRDGFGSLSRRSFDVRLSGLIGHRRGKSQCSVQELHDPCPVVQQSRWASLPPELLRDVIKRLEASESTWPSRKYVVACAAVCRTWREMCKEIVKSPEFSGKLTFPIALKQPGSREGTIQCYIKRDKSKLTYRLYLCLSPSVLVETGKFLLSAKRNRRTTCTEYIISMDAANISRSSYSYIGKLRSNFLGTKFVIYDTQPPYNGAALCPPGRTSHRFSKKVSPKVPSGSYSIAQVTYELNVLGTRGPRRMHCVMYSIPASSLEPGRTVPGQPENLIPRSLEDSFRSMSFSKSSMMDRSMDFNSSRFSDITGGTQEGEEENEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLISAVQPAAGAPTPSEPPAPSEHDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma03_p23610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28147549:28151528:-1 gene:Ma03_g23610 transcript:Ma03_t23610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSFRDGFGSLSRRSFDVRLSGLIGHRRGKSQCSVQELHDPCPVVQQSRWASLPPELLRDVIKRLEASESTWPSRKYVVACAAVCRTWREMCKEIVKSPEFSGKLTFPIALKQPGSREGTIQCYIKRDKSKLTYRLYLCLSPSVLVETGKFLLSAKRNRRTTCTEYIISMDAANISRSSYSYIGKLRSNFLGTKFVIYDTQPPYNGAALCPPGRTSHRFSKKVSPKVPSGSYSIAQVTYELNVLGTRGPRRMHCVMYSIPASSLEPGRTVPGQPENLIPRSLEDSFRSMSFSKSSMMDRSMDFNSSRFSDITGGTQEGEEENEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLISAVQPAAGAPTPSEPPAPSEHDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma03_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28147549:28151528:-1 gene:Ma03_g23610 transcript:Ma03_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSFRDGFGSLSRRSFDVRLSGLIGHRRGKSQCSVQELHDPCPVVQQSRWASLPPELLRDVIKRLEASESTWPSRKYVVACAAVCRTWREMCKEIVKSPEFSGKLTFPIALKQPGSREGTIQCYIKRDKSKLTYRLYLCLSPSVLVETGKFLLSAKRNRRTTCTEYIISMDAANISRSSYSYIGKLRSNFLGTKFVIYDTQPPYNGAALCPPGRTSHRFSKKVSPKVPSGSYSIAQVTYELNVLGTRGPRRMHCVMYSIPASSLEPGRTVPGQPENLIPRSLEDSFRSMSFSKSSMMDRSMDFNSSRFSDITGGTQEGEEENEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLISAVQPAAGAPTPSEPPAPSEHDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma09_p27320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38335393:38336021:1 gene:Ma09_g27320 transcript:Ma09_t27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVRPEVFPLMAAMTFVTSMCVFQLTRNMLMNPDVRINKTNRTTAVLENAEEGEKYSQHSLRRFLSHRPPEVFPALNRFFSSADE >Ma05_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5043785:5050484:1 gene:Ma05_g06890 transcript:Ma05_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDVHQLQLWWRRRWGTERRATVAWVFAALFIVLFLAAGSRLGDTALGGTGTLARSSSDPALVDLTLVRDAEEKGAVCLDGSPPGYHLQRGFGSGVDSWLVHLEGGGWCSSLESCSLRKSTPVGSSHHMERQVPFVGILSNIPSQNPDFHNWNKVKVRYCDGASYSGEGESETQDGTKLFFRGQRIWKAIMGELLQKGLANAKQALLTGCSAGGLATFIHCDEFRELLPKEITVKCFADAGFFLNEKDISGKSYIQSFYSNVVRLQDVGKKFPDCISRMEPSQCFFAEEIIKNVKTPLFILNPAYDSWQIQNIFVPASSDPQQSWQRCKLNIHNCDSNQIKSLQGFRYALLNALSEFKKNNNGGMFINSCFVHCQTIYNFTWHSSNSPRVNNKTLAEAVGDWYFGRAKVQEIDCAYPCNPTCHHLNLMQPYRM >Ma02_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:139411:139706:1 gene:Ma02_g00020 transcript:Ma02_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLDTLVGFRRVSGKSSINFSYFEGFCGQFPKHHR >Ma08_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23218:25281:-1 gene:Ma08_g00030 transcript:Ma08_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVYVCFVCYLCVCIVYVMCVYVLWVLCVCSVYCFFFVCYVMCACVVCCL >Ma03_p31480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33674308:33691109:-1 gene:Ma03_g31480 transcript:Ma03_t31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEISERVYVFDCCFSAETLGENDYKDYMDGIVTQLHDYFPDASFMVFNFKEGDKRSQISDILTESDMTVMDYPLQYEGCPLLPLEMIHHFLKSSVSWLSMEGQHNVLLMHCERGCWPVLAFMLAGLLLYRKQYTGEQKTLEMVNKQAPKELHHLLSPLNPQPSHLRYLQYISRRGNAPEWPPKDISFTLECLILRIVPNFDGEGGCRPMVRIYGQDPLAPNSRSSKILFSTSKTKKHIRHYKQAEATPVKLKTRCRVQGDVVVECIHVDKDLEHEEIMFRIMFNTAFVHSSILMLSREEIDVVWNAKDQFSRDFKADVIFSDFDSFESDSSTDTLVEDGDETEGAFTEAEDFFEAEEIFTNSYSHNANRDPKGTTFRNGTSVDGGNPNSEIYSSTNEARSSFETSKAEEDSETRASQLLTDTVSKCIMVETRSIPGPAETSSGFGKCKHDGELVTEKSVTSESMVQIEEKSMIESSTLRQNTVTSMDEKQKLIKINNVKQETDNGMGLVIMTENLIDLETAETIESIWENNDSNNGSENIIGRRPNAVDEIPSPEKHNLEKEIDSSTDQDKVEHHSESMLSSPTVAEDRMTKFSTIAVSSDDKIISENSIFLDCTVTCEGKNIVELSNFKHEVKDIITLDVEGKNIVEPCNIEKDSCDLVSEKTMTSCNMSCKAEILIPTKEANNRLGKIESKVNPENINSRTTQLENDHNQENQHTLREIKFKYKREPDEGVARNKTDTKNFNQKLGNGEYKQALEMSLHTTSEKPAPPPPPPGARAPPPAPPRAPGAPPPPPSSSLSDARGLLPNGGRGRGLSRSMGVNSANLTSRRLSLKPLHWVKVTLAVEGSLWDELQRSGDALSASDFDVSELESLFAAMVPKTGDSSKEARRKSLGSQSDKVHLIALRRSNNTEIMLTKIKMPLPDLMSAALALDDSILDVDQVENLIKFCPTKEEMDLLKGYTGDKEKLGKCEQFFLELMKVPRVESKLRVFSFKIQFGSQVSDLKKSLSSIDSACEQIRNSIKLKEIMKKILFLGNTINQGTARGSAIGFHLDSLLKLSDTRATNNKMTLMHYLCKSLASRSPHLLDFHEDLISLEATSKIQLKSLAEEMQAIVKGLRKVEVELKASENDGPASEIFCKTLKEFVVVAEAEVRSLTTLYTSVGRNADALVLYFGENPAKCPLEQVLSTLLNFILMFKRAHQENCQQAELEKKKAQKAREMEKPKSSLSNSKNDLKERSLSQQLQETKQKTKSTYRREKDIR >Ma02_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20335382:20336585:1 gene:Ma02_g10920 transcript:Ma02_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSASVGDGLILIQRSDGHPQNVNSPLGRSKCSYGPAEIKPVVGFTCSRILSGLQVLQTIVGAENPALVSAAEQIFGAGGKRLPPALVFLVSRATAQIFGVKELTVEHRRLAEIIEMIHTASLIHDDVLDDSGMPRINASILGILLSTRVAVTAGDFLFAQSRENGSYKAHKYARQISLIIC >Ma11_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2366347:2373582:-1 gene:Ma11_g03190 transcript:Ma11_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGRRSLTSNFTHLTRRLHPSFAHILRDDRESTEPPNRPPLSPTPSLPRPSSFAPSFSGFGGRRSLGFSLPLGLDPCLLRSYSSSAEGSNEIDYIKDVSEVLSGSTVETATVAAAAAPAPFPGEVAAAAADSFLPVAALQHLIDGVHTFSGLNWWASIALTTVLIRGATIPLLLNQMKSTVKLSMMRPEMEELKKQMDTMDPKSVQEGQKQMKALFQKYGVTPFTPLKGLFIQGPVFISFFFAISNMVEKVPSFKGGGAFWFTDLTTPDPQYILPALTALTFLATVEFNLQEGMEGNPMAKTMKNFSRVLALMTVPFTAHFPKAIFCYWITSNLFSLMYGFVIRHPPVRKFLDLPDMVPPPTPASQPGFSFFGASKLIAPAASPLPAKESEAKMPLRRVSSSSVVSQRIRNLEKTVKARNKPKKR >Ma01_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1145040:1153030:-1 gene:Ma01_g01670 transcript:Ma01_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSSGDEMVVVKTRKPYTITKQREKWTEEEHNRFLEALKLYGRAWQRIEDHIGTKTAVQIRSHAQKFFTKLEKEALEKGIPIGQSHAIDIPPPRPKRKPSSPYPRKSSTCCLTPGETINGKSSRSMFLGANKVVDIKSGAPQEKFTATQKLQNEEISEPSQSEVLNVFQDALSTSISSVNKSSSNHSKYMEILPTDEKVDDKIASSKSSAPHEVDKELKKNGKAFIGQETEGVQGNCTKPHINLALDKGESASKLHGLTSKDSVKGDQTQPRHTMGRNGARNMHTEDSDGQNPTSVTGQVEGHANDISSMNPEASAIPVQNISRVNSMHHPFPAFAPFTHFHSSQDAYRSFLNISSTFSSFILSTLLQNPAVHAAARLAASSCPSAEVEASLQSTPVFMAGERHINPAPGLEAIAAVTVAAAAAWWKAHGLLPWFPPAAFAFSPPNTTTIPSADTAQLHSHGCTLEKPLAEDQQIGKQNLYEDLKPPRHSSKSLSLSLSSSDSHDSGRGKNSSELEDSTSNKFKPLVASGFDDSDNPRNKKKQDRSSCGSNTPSSSEVETDNAGKHEKVNDEAKEAYFNNSAACETNQCRFRSSGHMNESWKAVSEDGRLAFQQLFKREVLPQSFPPPLDIAAATTIKKGETSKLLVDLNKNICSATDFNHLRGHTKEEVCIRSKDRITHGKLKFHQTGFKPYKRCSVEAKENRAAAEENNGKKKMRLQGEAST >Ma01_p01670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1145040:1152320:-1 gene:Ma01_g01670 transcript:Ma01_t01670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTDHIGTKTAVQIRSHAQKFFTKLEKEALEKGIPIGQSHAIDIPPPRPKRKPSSPYPRKSSTCCLTPGETINGKSSRSMFLGANKVVDIKSGAPQEKFTATQKLQNEEISEPSQSEVLNVFQDALSTSISSVNKSSSNHSKYMEILPTDEKVDDKIASSKSSAPHEVDKELKKNGKAFIGQETEGVQGNCTKPHINLALDKGESASKLHGLTSKDSVKGDQTQPRHTMGRNGARNMHTEDSDGQNPTSVTGQVEGHANDISSMNPEASAIPVQNISRVNSMHHPFPAFAPFTHFHSSQDAYRSFLNISSTFSSFILSTLLQNPAVHAAARLAASSCPSAEVEASLQSTPVFMAGERHINPAPGLEAIAAVTVAAAAAWWKAHGLLPWFPPAAFAFSPPNTTTIPSADTAQLHSHGCTLEKPLAEDQQIGKQNLYEDLKPPRHSSKSLSLSLSSSDSHDSGRGKNSSELEDSTSNKFKPLVASGFDDSDNPRNKKKQDRSSCGSNTPSSSEVETDNAGKHEKVNDEAKEAYFNNSAACETNQCRFRSSGHMNESWKAVSEDGRLAFQQLFKREVLPQSFPPPLDIAAATTIKKGETSKLLVDLNKNICSATDFNHLRGHTKEEVCIRSKDRITHGKLKFHQTGFKPYKRCSVEAKENRAAAEENNGKKKMRLQGEAST >Ma07_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16142614:16147357:-1 gene:Ma07_g17070 transcript:Ma07_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFGGFLCESWSSENLYSHPDAQRCPFLRNINEPTNFSFSASFNSPFPIQGARAPIFEDGPSFDMAFKLFHGHNGVVPLSGKSYMHEENVEPMPVMQFNPLAGKAASIGLSAFGLGGPFGFDFFSKKWNENKKSSKKDHSQQREGGGTSHESLSHEWLRTGQCPIAKSYRAVSGVLPLVAKILQPPPGIKLKCPPAVVAVRAALARTALVKTLRPQPLHAKMLAIALLGMAANVPLGVWREHTEKFSPQWFAAVHAAVPFIAMLRKSVVMPKTAMALTIAASILGQTIGSRAERLRLKSAASKDPSNMNQAAIGLKISRNCSDDEARVWKSLPLKVAGPGSSSVTSPTVSMCF >Ma05_p30190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40692959:40695591:-1 gene:Ma05_g30190 transcript:Ma05_t30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAWHEKTMDYHWRNASTMPVLCYPTSSTTNFGIWKGENPLDYTIPLFILQLLIIVMTSRVVAILLHPFGQPRYVSEILGGFVLGPTVLGRIPGFLRTLFPYRSLPILEVIAHVGIIYFVFIIGLEIDPTSLQRTGKYAIFAAACVVFPFAVGAISGKLVHTYLAIETNKSSFLTFLGVTFSITSFSVLARTLTEHKLINTNLGLTTLSTAMFIDSWAWILLSMAFSLSSGNLPAIMWTMASGMVFILWSVKVVKPAVLWVSRRKPKGEVVGELSASVILVGVMVWALMADAIGINAVSGAFVYGLMIPYGPLGVALIERVDDFVEGLLLPLFFAICGLRSNLYSVSNVWAAVALAMVALLSAAAKVAACLSVGYLYDMPLNDRFAMGLLMSTKGVIEMVILKIGRDMEVLSEQAYSILVVMSVVITIAVGPLLKLATTSNRGQASYQRRTIMWPDPNSELRMQVCVHNTRNIPSMLGLLEACCPTKRSPVFVFALHLVELTGRASAMLVVHDTTGSASSSDPQHLHKVPLGRSRHMIQALESYAQRAAGVTIQPLTAVSSYSTMHVDVCGVAEDNCVALIVLPFHKQPTVDGDMQVINPAIHSLNQSVLANAPCSVAILVDHGLSGPSRMAVAQHSVHHVAVLFFGGPDDREALALASRMAEHPAVSLTVFRFIPGDDLATRPSMRRYGSNNSDEVLTLEEDEEKEEQFDEAYITEFRLKHVSDETVVYIEKVSNNAEETVAVIRSMEGIHDLYVVGKGGGSSSPLTEGLTEWCECPELGPIGDMLASNDFNANASVLVVQQGMQEEHEVAVGMVGESPWKPR >Ma10_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31424141:31426781:1 gene:Ma10_g21800 transcript:Ma10_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFRALVGVAALLPLLLQCSVAVTEVSCSDIVPMKWRREVISITDFGAVGDGRTLNTWPFKKAIYRIQHLRSRGGTLLYIPPGVWLTGSFSLTSHMTLYLARGAVIKATQDTWNWPLVDPLPSYGRGRELPGRRYVSFIQGDGISDVIITGENGTIDGQGDVWWNMWRQRSLHFTRPNLLEFKNSRDVIISNVVFQNSPFWNIHPVYCSNVVIKYVTVLAPYDSPNTDGIDPDSSSNVCIEDAHIATGDDLVAIKSGWDEYGIAYGRPSSGITIRRLQGSSPFSGIAIGSETSGGVENVLVENINLYNTGFGIHIKTNAGRGGYIRNVTVVNVSMNKVRKGIRIAGDVGDHPDEYFNRYAMPTVDGVTIKNVWGVDIQQPGSIEGIRSSPFTRICLSNVKLWGALMHYEQPWKCMDVSGAALGVQPWPCSQLTGTFSAGFCSSAF >Ma04_p36660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34923693:34924915:-1 gene:Ma04_g36660 transcript:Ma04_t36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWSLINQWMPPVVFRGRSLYISCPRLKHSIGNYNLILLMSKTKLKF >Ma03_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25589241:25597713:-1 gene:Ma03_g20420 transcript:Ma03_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] SASSAPAFGALSSTPAFGTPSPTPAFGTPSSSPAFGTPSATPAFGTPSSTPAFGTPSSTPAFGTPSLTPAFGAPSSTPSFAMPSPSPFFSQQPQQQQQLQPFGFQPTPSPFGNAQITTQMAPVAALQLPLADRDIHAILDAYKEEPGNPKYSFRHLLLSVTDPALRVKPVGASDIMWAEAMAKLEGMDSSDRDRLWPQLVQGFKDLSNRLKLQDEVLVSDAERLRMTQANVKLLQRHFQVDTLQWTQRLKQKEQVLLRRLLRIMRIVEALESKGYRMPLMKGEAELTEKLAAIAKQLKGPGAELSRRVHNLVSISRARANTGELNGSIYIPGSAKIREQSLADLQEVLQQETEAIARLGNVLKRDMRDLEIIMSEGTDTLEDDRGRSLMS >Ma01_p15650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11316745:11323965:-1 gene:Ma01_g15650 transcript:Ma01_t15650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSSYASSKLESDRVPDKFPVGMKILLVDDDPICLAVVQRMLLNCQYDVTTCSEATRALSLLRENKGAFDLIISDVHMPDMDGFRLLELVGLEMDLPVIMMSGDTRFNVVMKGVSHGACDFLTKPVRMEELQNIWQHVVRRKWLDSKEIERSGSVEEADRGRQVTDDSEYASTVNDGIDCSWKYQRKKRDAKEEDDNELDNIDPSSSKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQHHTGLNSPLYGASSNAKVGQLGRFDFQALAVSGQISPETLAALQDELLGHPPCNLAMPTIDQQVLQQASVQRNNSVTFERGIAFGQPLLKGQAVSSFPVWPANDLGALGSASNLGGLNTSQNSNMLMTMLQQPQPQTVPSESNHAINVQRSCLVAPAKSSNKFQVQGNPMHINQDSTIISSQSSANFQPGNAVVPVIQDSAVVTSNSSTSLKTGNNLFVTNHRSTILPSQSLTGISLGTDSGLLNQGSIIVPSQPLNSSQAGSNSILSSQNSMLVPSHLSGSLRTDKNIIPITHNSSLNNSSSLVNYNRLPTQSNVMPSSMKQVLDNLAAVDSFSVPVSMNSQVLSSTGSSTSWQLHSPDISISHPNGTHSLVPSSCNIEATDRLLVKLPDKGLGKNLGFVGKGTCLPSRFAVDDIESPTNDLTNSSAYTGDDGYLQNQDIFGISGTLQSGHCATTSFK >Ma01_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11316746:11323965:-1 gene:Ma01_g15650 transcript:Ma01_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSSYASSKLESDRVPDKFPVGMKILLVDDDPICLAVVQRMLLNCQYDVTTCSEATRALSLLRENKGAFDLIISDVHMPDMDGFRLLELVGLEMDLPVIMMSGDTRFNVVMKGVSHGACDFLTKPVRMEELQNIWQHVVRRKWLDSKEIERSGSVEEADRGRQVTDDSEYASTVNDGIDCSWKYQRKKRDAKEEDDNELDNIDPSSSKKPRVVWSVELHQQFVNAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQHHTGLNSPLYGASSNAKVGQLGRFDFQALAVSGQISPETLAALQDELLGHPPCNLAMPTIDQQVLQQASVQRNNSVTFERGIAFGQPLLKGQAVSSFPVWPANDLGALGSASNLGGLNTSQNSNMLMTMLQQPQPQTVPSESNHAINVQRSCLVAPAKSSNKFQVQGNPMHINQDSTIISSQSSANFQPGNAVVPVIQDSAVVTSNSSTSLKTGNNLFVTNHRSTILPSQSLTGISLGTDSGLLNQGSIIVPSQPLNSSQAGSNSILSSQNSMLVPSHLSGSLRTDKNIIPITHNSSLNNSSSLVNYNRLPTQSNVMPSSMKQVLDNLAAVDSFSVPVSMNSQVLSSTGSSTSWQLHSPDISISHPNGTHSLVPSSCNIEATDRLLVKLPDKGLGKNLGFVGKGTCLPSRFAVDDIESPTNDLTNSSAYTGDDGYLQNQDIFGISGTLQSGHCATTSFK >Ma03_p20810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25901531:25903173:-1 gene:Ma03_g20810 transcript:Ma03_t20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSASFATVRCRHLPPSSCAASDQSTLLNNISSGRIVRKSQKEQAIGNESSPFGPRLPFSSVAFRPLPAAVSYSAADSPSLSAAVVSPPCPSLSRWNLDRRHILLLNFTACATAISAAWLFLSAIPALLAFKKAAESLEKLLDVTAEELPDTMAAVRLSGMEISDLTMELRDLGQEISQGVRSSTRAVRVAEDRLRRLTTMTPMGENQPEQ >Ma03_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25901531:25903173:-1 gene:Ma03_g20810 transcript:Ma03_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSASFATVRCRHLPPSSCAASDQSTLLNNISSGRIVRKSQKEQAIGNESSPFGPRLPFSSVAFRPLPAAVSYSAADSPSLSAAVVSPPCPSLSRWNLDRRHILLLNFTACATAISAAWLFLSAIPALLAFKKAAESLEKLLDVTAEELPDTMAAVRLSGMEISDLTMELRDLGQEISQGVRSSTRAVRVAEDRLRRLTTMTPMVIMQGKTNPSNETDEPVVARTARELREGIVNGRMVFGVIFSVTQISRWVFNFLTSRSRKKQS >Ma06_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4917840:4919009:-1 gene:Ma06_g06860 transcript:Ma06_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTMPCLLGNPLLKPGVRNGHMSATRRFVEVRCVPARPDIGLNKKDMGAGIETFNLKVYMADKARLVNEALDMAVPLRYPHKIHESMRYTLLAGGKRVRPILALASCELVGGDESVAVPVACATEMIHAMSLIHDDLPCMDNDDLRRGQPTNHRAFGEDTAILAGDALLSLAFEHVAARTTGVPAERVLWAVSELGSTVGSQGLVGGQIVDIASEGKEVDLEVLEYIHTHKTARLLEAAAVCGAIVGGGGDAEVERIRRYARCVGLLFQVVDDILDVTKTSEELGKTAGKDQARDKTTYPKLLGLDKAREFAQTLMRKAMDELAGFERLRAAPLYHLAHDIANRHN >Ma05_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2022644:2023148:-1 gene:Ma05_g02910 transcript:Ma05_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLLSLVQPSSRTWMTMLSLLKVKLTSKDLKEISDAAPRDEVVGHTTYASVASFSWKHANTPPRHGSFSA >Ma09_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10341828:10346949:1 gene:Ma09_g15030 transcript:Ma09_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MDDMKDKMKGLLKKVNNPFSSTSSSKFKGQGHVLGSGTPPSSSSSSSSLSHPRPSNPPPRSPPSRRTPSPSSSSADFDPFDPLISSGRQVENGPSVPAPFACPVCTRPFPTEADVSAHLDVCLAHDASAAPDPAMADSSDVAARVSAFVSSGPPQGAVEVVLKLLGNVAREPQNEKFRRIRMGNPKIKEAVGARGGVELLESVGFRLAEEEGEVWATMEAPSEEQIAVIKEATTLLESWKSEGSVPVVVSDVKTQRSIEPKKIDRQVKVFFPVPESEAARIDLPDSFYNLSSTELKREADLRKKRLADSQLLIPKSYREKQAMAAKRKYKRAVVRVQFPDGVLLQGVFLPWEPTTALYEFVSSALKDPSLEFELLRPAVPKLRVVPFTKPGARATTLEEEDLVPSALVKFKPTETDSMVFTGLTNELLEASEPLNSTAALS >Ma11_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23775404:23779277:1 gene:Ma11_g18750 transcript:Ma11_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSDLHTEAGLKALEEFLSGKTYISGDQISKDDVRVFAAVAKPGDGFPNVCRWYESVSGILALRFPGKALGVKVGSQAADPTPAPPAEASKEAADDDDLDLFGDETEEEKKAAEEREAAVKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSLEMPGLLWGASKLVAVGYGIKKLQIMMTIVDDLVSVDSLIEEELTVEPRNEYIQSCDIVAFNKI >Ma04_p35810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34400788:34406936:-1 gene:Ma04_g35810 transcript:Ma04_t35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSFPDRNAGRLASLNSESSTAPESKNLRVKLVLLGDSGVGKSCIVIRFVRGQFDPTSQVTVGASFLSQTLVLQDSTTVKFEIWDTAGQERYASLAPLYYRGAAVAVVVYDITSPDTFKKAQYWVKELQKHATPGIIMALVGNKVDLHENRAVSSQDALEYADKNGMFFIETSAKTADNINELFEEITKRLPRTSS >Ma06_p35490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35304892:35315265:1 gene:Ma06_g35490 transcript:Ma06_t35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCSKTLISPSVGGAPPRWKRTPPLRRIDVRPRRRNGVGRRSLCISCGSSDPDVENGLSEGHASRENEQFVGWFRQAWPYIRGHRGSTFVVVISGEIVDSRNLDSILQDISLLHGLGIKFVLVPGTHVLIDKLLDKKGSKGKYVGQYRITDSDSLEAAMEAAGRIRLVIEAKLSPGPPILNLRRHGDHSRFHDLGVGVASGNFLAAKRRGVVEGIDFGSTGEVKRIDVSSIRERLDRDCIVIVSNLGYSSSGEVLNCNTYEVATACALAIEADKLISIVDGQILDEHGRFIRFMTLRDADLLIRKRAKQSETAANYVKFVGEDINSSGYLHSNISGTISWKEKDFIQSHRATFQNGVGFDNGNGWSGEQGFAIGGEERLSRLNGYHSELAAAAFVCKGGVQRVHLIDGTLGGALLLELFTRDGVGTMVASDVYEGTRMATVADLPGIKKLLQPMVESGALIRRTDEELLCSLDSFIVVERDGSIIACAALFPFFEDKCGEVAAVTVSPECRGHGQGDKLLDYVEKKATSLGLERLFLLTTRTADWFVRRGFSECSIESIPEQRRKRINLSRGSKYYIKRLYPVLGGVSGNKPAHRII >Ma07_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15471267:15473639:-1 gene:Ma07_g16740 transcript:Ma07_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDPLEAPPPARGQSDVAVVLYSSGTTGAPKGVMLTHGNLIAIVSLLRWSAEVSGSEGDVYLAFVPMSHVYGMAFFALGLPAAGATTVVMRRFEMGAAMQAVARHGVTNIPAVPPVVAALAKFSGGWDLSGLRRVATGAAAVGAEAASGFRRRYPWVELREGYGLTESGGAATFSVAASRRPEGRGVGQLLPGFEARVVAAEAGERAVGPGGVGELWLRGPTVMKGYLGDEAATAEALPGGGWLRTGDLVRFDEDGFLFVVDRIKELIKHKGYQVTPSELEAVLLAHPHILDAAVVPLEDEEAGEVPMAYVVRSPESQLTSEEIIQFVAAQVAPYKKIRRVAFISTIPRSPAGKILRKQLMDKTHPAILPKL >Ma08_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2853218:2855257:-1 gene:Ma08_g04060 transcript:Ma08_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVISLLHRSPPLPPHHRHVAQIHALLLRSHPDLLPLFLDRLLLGLSPSAAAVRHARKLFDALPQPDSDLCSSVVSACSKLSLHREALAAFYSAHRKGSPILFLSIPPVLKSCARLPAADQGKQVHCHVLLRGFGSNVFIQAALIDFYCKTGDLGSARRAFDEITFKDPVIVNCLISGYSKAGDVVEARRLFDDMTKRTSASWNSMISCYAHSGNFAEALTLFERMLKEKARPNEITVVTLLSICAKLGDLKTGLKIKCLISDMGLTMDLIVRTAVLEMYVKCGAVDEARREFDEMVHRDVVAWSAMIAGYAQNGRPDEALELFERMKAENCKPNEVTLVSVLSASAQLGSVEFGQHIGSYIESQELASGVYVGSALVDMYSKCGNIGGARRVFSKMKQRDVITWNSMIAGLAFNGFAQEAFDLYHRMRDQHLKPTDVTFVGLLTACTHTGRVEQGLAFFRSMKPEHGIAPRVEHYACIVDLFCKSGRLEDAYKFICEMETEPNVVIWGTLLSACRIHPNVELAEVAVKKLVVLEPNNSSNYVLLSNIYANAGRWEEARKMRDLMRCKNVQKLYAYSWIELEGAVHKFLVEDTSHPSCDEIYKAVDGLSLQLKWVGYDPNLELI >Ma03_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28300851:28304058:1 gene:Ma03_g23890 transcript:Ma03_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQAAATSFAPNLPFCHPVESPSRRFSSFHFRRPNPLSSANLYLLRSPLSPRLAHGRPVRLSSAVASMAETRPFSVLFVCLGNICRSPAAEAVFTDSVRKRGAESKFKIDSAGTIGYHEGDPADSRMRSAAKRRGIEVTSISRPLRPSDFREFDLILAMDMQNREDIMSAYERWRFKEPLPEDAPKKVKLMCSYCKKHSEAEVPDPYYGGPQGFEKVLDLLEDACGSLLDSIITETGQTSNQ >Ma10_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5041814:5046192:-1 gene:Ma10_g01710 transcript:Ma10_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYEATRVVLARIQSLDAVNAAKIMGYILIQEHGDKEMIRLAFGPEALLHSVVLKARKELGLAAPAPPPSSSSSSATSPAAGGVASPSPFGLLARQNSSSRFSTNLVSSPTSFAPPPVFSRAGRANGALNGSLEELQASEELLSPGSPSPSPFFNGCGTAGDSLVDEFHLQDQLSFLHDQAPCHSLPIGPRSVAAGGGGDLFSPDIGSHSPSGSGDGLLFPYRMGWGVNGNHHRRSWSAADLPLRSDAIAAAGFSWKPCLYFARGYCKDGTACRFLHDLPDETVAAVAAGARMDAAVEQQCQELLLRSKSQRIGGGGASQLTASAFPYSPLGSLPSSPSATSKCLDFLLQQQPSDSPRAAAAAAAAALMRTRMERGDFAGMTNPGSRQIYLTFPADSTFTEEDVSNYFSIYGPVQDVRIPFQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKCRKPQQQQTERGDFAACTTPTGLESRDQFDLQQLAAARMLYNSGSSSQELLLRRKLEEQQQALELQQAIELHARRLMNLQLLDLKNRTLCSSAPASVNSPTIKAAPAITIPTADTPSSSGSSSSSSQEQSPTGVQKMNSCNGFREHKTVNSADKKESGDEANPNKDGDIHESAEHNLPDSPFASPKKSSIVPDPFSVPEMEMAAAAAASVNDSSSSNTYLIASTLPPSSSTLDMASHKSCFFQMPRVSSTHGAIGM >Ma10_p01710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5041814:5046192:-1 gene:Ma10_g01710 transcript:Ma10_t01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYEATRVVLARIQSLDAVNAAKIMGYILIQEHGDKEMIRLAFGPEALLHSVVLKARKELGLAAPAPPPSSSSSSATSPAAGGVASPSPFGLLARQNSSSRFSTNLVSSPTSFAPPPVFSRAGRANGALNGSLEELQASEELLSPGSPSPSPFFNGCGTAGDSLVDEFHLQDQLSFLHDQAPCHSLPIGPRSVAAGGGGDLFSPDIGSHSPSGSGDGLLFPYRMGWGVNGNHHRRSWSAADLPLRSDAIAAAGFSWKPCLYFARGYCKDGTACRFLHDLPDETVAAVAAGARMDAAVEQQCQELLLRSKSQRIGGGGASQLTASAFPYSPLGSLPSSPSATSKCLDFLLQQQPSDSPRAAAAAAAAALMRTRMERGDFAGMTNPGSRQIYLTFPADSTFTEEDVSNYFSIYGPVQDVRIPFQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVPDKCRKPQQQQTERGDFAACTTPTGLESRDQFDLQQLARMLYNSGSSSQELLLRRKLEEQQQALELQQAIELHARRLMNLQLLDLKNRTLCSSAPASVNSPTIKAAPAITIPTADTPSSSGSSSSSSQEQSPTGVQKMNSCNGFREHKTVNSADKKESGDEANPNKDGDIHESAEHNLPDSPFASPKKSSIVPDPFSVPEMEMAAAAAASVNDSSSSNTYLIASTLPPSSSTLDMASHKSCFFQMPRVSSTHGAIGM >Ma06_p28800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30377342:30379663:1 gene:Ma06_g28800 transcript:Ma06_t28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPNPPTARFIVAPLRRAGRTAKARHILDGVKDHQDPCCLNSSISGDALNGLRGEGLRLFKRFQTMNLDPDEFALSNLLSLSANLNALEEGRQIHGFMVKKNLPMDVAASNSLVNLYLKCDRVSDAEKVFDSMPVRDVYTWTGMVSGYALNGSLEKAMDFFNKMPIRNAVSWNSMINACQREEHDEMALELFCRMKMLGEPPNGLTFVAVLKACAGLQHLEYGEGIHCSLVKLGWIRNVLVGCTLMDMYAKCGGLQDVQRAFDDIKEHNVVSWSILLGAYAQNGKILEAESIFTGMMERNVISWNVMIAGYVHNDMQQKAFILFVDMMNDGMKPNCFTLTSLISGCSNLQYARSGKKFHGYVVKEGLQSEISVANSLITMYGEQAKVGDARLIFDMMFCCDVVSWTAMLSAYISDGDIDAAHGIFYRMPSKNLISWNTMMFGYLQICRNMEINTIGRMRHPTPLMFFYNMEQSDVKPDHFSYNCVLSVCASIGALEQARAIHCRTVRRGYESDLGVGNALITAYGKCGALSEAERSFGIMTHPDMISWNALLTGYSQNGQGDRVLGFYQQMQISEVTPNHVTFISLLSACSYLGEVSKGLEYFEGMEKDHGVSRTREHYACMVDLLGRAGYLKEAESLIRNMPIEPDAVIWGALLGASKMHGDPVIAKRAADQIILLEPDDSSALVSLAETFAASRMWKDVAEVRVLMKQKKLLKEPGCSLIEIRNQTRTFLSGDSCSHLKDCIHELLNSLYGNMIEEGCALDSALLAFDLL >Ma01_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13631287:13641801:1 gene:Ma01_g18380 transcript:Ma01_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQIRRIENAASRQVTFSKRRSGLLKKAYELSVLCDAEVALIVFSSRGKLYEFASSSVQMTIERYMMHTKDAGISKKATEQSTQLKFEATSMTERIKFLEDQKRKLLGEDLVSCSVEELNELELQLETSLNSIKQTRRRLLLEKIARLNEEETELLKENALLRQQVKYKSEATPKQTAIQEADLDDHASQEKNMEVDTELLIGMPGSG >Ma07_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4128696:4148246:1 gene:Ma07_g05710 transcript:Ma07_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALSSSCFQGRLRLSARFPSAAVNVGEGRSPLLRVLGSLTSRRGGSSVFWRKAFFCSEPDDGSEPLAEAKAEEESEATANVAAEGGGAEEADSKASSAIVSMNPRPEDYLSVIALPLPHRPLFPGFYMPIYVKDPKLLAALVENRKRSVAYAGAFLLKDEPEIDVNVASGETDKSVYDLKGKELFKRLHEVGTLAQITSIQGDQVVLIGHRRLRITEMVDEEPLTVKVDHLKEEAYNKDDDIIKATAFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNYPRLADFGAAISGANKLLCQQVLEELNVYKRLKLTLELVKKEMEISKIQESIAKAIEEKVSREQRRYLLTEQLKAIKKELGMEKDDKTALTAKFRERLEPNKDRCPPDVLQVIEEELTKLQMLEPVSSEFNVTRNYLDWLTSLPWGNYSTENFDVHRAQKILDEDHYGLSDVKERILEFIAVGKLRGASQGKIICLSGPPGVGKTSIGRSIARALDRKFYRFSVGGLADVAEIKGHRRTYIGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNINFLDHYLDVPIDLSKVLFVCTANVTETIPNPLLDRMEVISLAGYITDEKMHIARDYLEKTIREACGIKPDQVEVTGTALLSLIENYCREAGVRNLQKHIEKIYRKIALQLVRRGLSNEPEVDSQKIDLQESSSTSEEAVEIVGDGQIGKNIDASASSVQANEIVSEETEHATSHDAAVESSEEPEQVANKGTNNVGEAVGSDVDKVVIDVSNLVDFVGKPVFHAERIYDQTPVGVVMGLAWNALGGSTLYIETTLVEQGEGKCALHLTGQLGDVMKESAQIAHTVARAILLEKEPKNQFFATSKCHLHVPAGATPKEGPSAGCTMITSMLSLALNKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSNVKTIIFPSANRRDFDELADNVKEGLDVRFVDNYNQILELAFESEDAK >Ma04_p40080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36998054:37007018:1 gene:Ma04_g40080 transcript:Ma04_t40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSLFLLQCVLLLLPLTVLTTTRLSVAGATESTSQLHGIELLLNLRDRWKESIQREASLGYHTIISCVLCFIAASVSSAGGVSGGSLFLPILNLVAGLDLKVATTYSAFMVTGGSLANVLYNVILTNHGPEAAKKPLINYDIALLSQPSMLAGVSLGVICNIMFPEWLIVVLFAVFLACSTYRTCKAGLRCWNAETQEMERSDGCGWEGNGGAEGANGGVEEALLGGAPEGRMMRFPWEDTVVLAMVWALFFLLHLLIGGKHGKGAMNLKPCGVAYWSITFSQVPLSIAFTLYVLYEKNKKKKKKNCRQQEEGEDKAGTGMAALPMFVFPSAALSAGALSGLFGIGGGLLLNPVLLQIGIAPQTAAATSTFMVMFSASMTALQYVILGMTGIGRASVYAALCFVASATGLVVMKRIIVKSGGRVSLTVFTVTAVMSLSTITVVCYGTADVWKEYTSGRYMGFRPPC >Ma04_p40080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36998054:37007018:1 gene:Ma04_g40080 transcript:Ma04_t40080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSLFLLQCVLLLLPLTVLTTTRLSVAGATESTSQLHGIELLLNLRDRWKESIQREASLGYHTIISCVLCFIAASVSSAGGVSGGSLFLPILNLVAGLDLKVATTYSAFMVTGGSLANVLYNVILTNHGPEAAKKPLINYDIALLSQPSMLAGVSLGVICNIMFPEWLIVVLFAVFLACSTYRTCKAGLRCWNAETQEMERSDGCGWEGNGGAEGANGGVEEALLGGAPEGRMMRFPWEDTVVLAMVWALFFLLHLLIGGKHGKGAMNLKPCGVAYWSITFSQVPLSIAFTLYVLYEKNKKKKKKNCRQQEEGEPILSQDKAGTGMAALPMFVFPSAALSAGALSGLFGIGGGLLLNPVLLQIGIAPQTAAATSTFMVMFSASMTALQYVILGMTGIGRASVYAALCFVASATGLVVMKRIIVKSGGRVSLTVFTVTAVMSLSTITVVCYGTADVWKEYTSGRYMGFRPPC >Ma02_p06290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17308988:17312440:-1 gene:Ma02_g06290 transcript:Ma02_t06290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRESSSQSFCVMAHQSHYGEFSTGVDATMQGFDHHHELLGVQAGMETLGVPSKQQSSRLAGGFLPRDFPEASNKHRPSASPWPVDDPSLGSLFPWEGRVSVPFLNARHSDAVAVPQPPQQCVPGDRLQIGSAYLQQQQQLFVQDGRVGFHPQQPLQLRNSKFLRPAQELLSEFCSLRGEISSKRRPNKTSLEDEEKASLSSSWNQSLHSMDLLELQKIKAKLSSMIAEVDKRYRKYCEQMRTVTASFEAVAGKEAARVYSALAHRAMSRHFRCLRDGIVGQIHAAKKAMGEKDPTAAGTTRGETPRLKLLDKCIRQQKAFHQGMMEQPPWRPQRGLPERAVSILRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKELDNQSNQAANGPEDSDNPNSNLSFDQKPLPAQLLVDSESLSSIINSGHHGHQRNDLISSTHHQDFGVVGDLGFSYNSRSSDNSRAGVSLTLGLQQHNGGGMSFSLLPNSQPSLLFSRETIDGDQQAQFSILDGEAENLRYRNLMGAQLLHDLAR >Ma02_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17308988:17312440:-1 gene:Ma02_g06290 transcript:Ma02_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRESSSQSFCVMAHQSHYGEFSTGVDATMQGFDHHHELLGVQAGMETLGVPSKQQSSRLAGGFLPRDFPEASNKHRPSASPWPVDDPSLGSLFPWEGRVSVPFLNARHSDAVAVPQPPQQCVPGDRLQIGSAYLQQQQQLFVQDGRVGFHPQQPLQLRNSKFLRPAQELLSEFCSLRGEISSKRRPNKTSLEDEEKASLSSSWNQSLHSMDLLELQKIKAKLSSMIAEVDKRYRKYCEQMRTVTASFEAVAGKEAARVYSALAHRAMSRHFRCLRDGIVGQIHAAKKAMGEKDPTAAGTTRGETPRLKLLDKCIRQQKAFHQGMMEQPPWRPQRGLPERAVSILRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKELDNQSNQAANGPEDSDNPNSNLSFDQKPLPAQLLVDSESLSSIINSGHHGHQRNDLISSTHHQDFGVVGDLGFSYNSRSSDNSRAGVSLTLGLQQHNGGGMSFSLLPNSQPSLLFSRETIDGDQQAQFSILDGEAENLRYRNLMGAQLLHDLAR >Ma04_p24420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26413715:26417793:-1 gene:Ma04_g24420 transcript:Ma04_t24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSCIKGGGEVNLVASSWPSIALPHPHSFSEELRCVEMDSAAGGIARTKSDQLEMAPPRPPTLSPTPSAESMPVADANGGPSLSRKSSLGKKLVGSSPATKAGHHLRSTRSGHMKVDCEDGGSGGSLSRASSASLGFSFSFTGFTALPEDIVSDITALTGGDKGIDLEPGRSRKRLMSETTYPIYVKFTEVRYKVILRGITRTNEKDILQGITGSAKPGELLALMGPSGSGKTTLLSLLSGRTADNVVQGSITYNDEPYAKSLKSRIGFVTQDDVLFAHLTVRETLTYAALLRLPRTMTRKQKEERAMNVIYELGLERCQDTIIGGSFVRGVSGGERKRVCIGNEIIMNPSLLFLDEPTSGLDSTTALRTIQVLNDIAESGKTVVTTIHQPSSRLFHRFDKLILLGRGSLLFFGRASKAMAYFASIGCVPLIAMNPAEFLLDLANGNINDVTLPSELEEKVQSRNLGGSTRNAKPSPEDVHEYLVETYETRVADKQKKKLLAPLPISEDMKITLSSTKRDWGASWWQQYSILFWRGLKERRHDYLSWMRITQVLAIAVILGLLWWHSNIATQIGQEDQAGLLFFISVFWGFFPVFTAIFTFPQERAMLNKERAVDMYRLSAYFIARTTSDLPLDLLLPIIFLLIVYFMAGLRLSIEHFFLSMLVVFLSIVAAQGLGLAIGASFMNIKQATTLASVTVMTFMLAGGFFVKRVPAFISWLRFISFNYHSYRLLLKVQYDHVPPHLNVNHLDNGVMEVAAMIAMVFAYRFLAYVSLRRMKLSS >Ma04_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26413715:26417576:-1 gene:Ma04_g24420 transcript:Ma04_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKPQFNGRDRLRTLSLDKSILIMKGALIITVALKQELRCVEMDSAAGGIARTKSDQLEMAPPRPPTLSPTPSAESMPVADANGGPSLSRKSSLGKKLVGSSPATKAGHHLRSTRSGHMKVDCEDGGSGGSLSRASSASLGFSFSFTGFTALPEDIVSDITALTGGDKGIDLEPGRSRKRLMSETTYPIYVKFTEVRYKVILRGITRTNEKDILQGITGSAKPGELLALMGPSGSGKTTLLSLLSGRTADNVVQGSITYNDEPYAKSLKSRIGFVTQDDVLFAHLTVRETLTYAALLRLPRTMTRKQKEERAMNVIYELGLERCQDTIIGGSFVRGVSGGERKRVCIGNEIIMNPSLLFLDEPTSGLDSTTALRTIQVLNDIAESGKTVVTTIHQPSSRLFHRFDKLILLGRGSLLFFGRASKAMAYFASIGCVPLIAMNPAEFLLDLANGNINDVTLPSELEEKVQSRNLGGSTRNAKPSPEDVHEYLVETYETRVADKQKKKLLAPLPISEDMKITLSSTKRDWGASWWQQYSILFWRGLKERRHDYLSWMRITQVLAIAVILGLLWWHSNIATQIGQEDQAGLLFFISVFWGFFPVFTAIFTFPQERAMLNKERAVDMYRLSAYFIARTTSDLPLDLLLPIIFLLIVYFMAGLRLSIEHFFLSMLVVFLSIVAAQGLGLAIGASFMNIKQATTLASVTVMTFMLAGGFFVKRVPAFISWLRFISFNYHSYRLLLKVQYDHVPPHLNVNHLDNGVMEVAAMIAMVFAYRFLAYVSLRRMKLSS >Ma03_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26135220:26136359:-1 gene:Ma03_g21070 transcript:Ma03_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSFLTNVARVAIGLGTGATLLNASLYTVDGGERAVLFDRFRGVLPETVSEGTHFLVPWLQKPFIFDIRTRPHTFASNSGTKDLQMVNLTLRLLSRPDVAHLPTIFTSLGTEYDEKVLPSIGNEVLKSVVAQFNADQLLTERPHVSALVRDALIRRARDFHIVLDDVAITHLSYGVEFSSAVEKKQVAQQEAERSKFLVARAEQERRAAVIRAEGESEAAKLISDATSAAGTGLLELRRIEAAREIATTLSKSPNVVYLPGGNNMLLGINPAGMVQGR >Ma02_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17707181:17707609:1 gene:Ma02_g06790 transcript:Ma02_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANKYVPQLTPKEEQEEEDAAYVRALQLTAGTVLAMVLKVALELDLFEVIVTAGPGNAMSPEEIAARLPTQNPRAPIWVDRILRLLAANSIVGCTVESGFDGRLSRKYSMAPISKFFTKSHDSSFASVVLLGTDKVYMDVW >Ma02_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15900668:15901490:-1 gene:Ma02_g04470 transcript:Ma02_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDTVSGPAQWAQLGGGGARPYPSATPAASDSNLTVDGLPAKVTHQQIEGRVGKPVRRRSRATRRAPTTMLNTDTTNFRAMVQQFTGVPSGPYSAEYRPGGVPISNLGGGYSSHEPLNQTAFTSFGHLQHHQYQGQSLQYPQEERQQYQSSDGNIFTAAGSAYRSNNSNDALLLQGFNNSGTALEVGDDFFFDGTYSQMMPRPTSTDHRAHGYFS >Ma04_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25644049:25645609:-1 gene:Ma04_g23480 transcript:Ma04_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVTELPVKCILRRIYPMKGTWRTMTISVPNKQENEELGGLYRVMNEKVEPLVAFNKPRLPPVLGPLVVLSFLEMLSSNGDD >Ma06_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6790203:6791768:-1 gene:Ma06_g09770 transcript:Ma06_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEEIRRSQRAEGPATVLAIGTSNPDNVVYQADYPDYYFRITKSNHLPELKQKFKRICEKTMIRKRYMFLNEEILKANPNIAEYMAPSMDVRRDILAVEVPKLAKEAAVKAIEEWGHPKSRITHLVFCTTASFDSPGHDYQLVKLLGLSPSINRFTLSHHGCFAGGTVLRLAKDLAENNRGARVLVVCSELTAVTFRGATETHLDNLVGQALFGDGAAAVIIGADPDGATERPLFQLVSASQTLLPDSDGAIEGHLKEVGLTFHLLKDVPRIIAKNIEQNLVEAFESLGISDWNSIFWIVHPGGSAILDAMEAKLGLEKEKLKATRQVMTEYGNMYSACVLFILDEMRKRSAEDGKATTGDGLEWGVLYGFGPGLTVETVVLRSVAISSR >Ma06_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1284748:1291285:-1 gene:Ma06_g01580 transcript:Ma06_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDFMVCVDRIIGTAACFEPENGIVSPVGDGGLVEEGCSVSRMANADNNNNKKKKKKENKGGEMIECRICQEEGDECDMEAPCACNGTLKFAHRKCIQKWCNKKGNITCEICNQVFAPNYAAPYRPSPDVMAVDIRQSWGSRFDLHDSHFLAIAAAEQELLNAEYEDYAAASARGVACCHTVALILMVLLFVRQILSAMKEVGMMQDISALFNVTLQFAGFLIPCYVIARSCYFIQSRWRRQV >Ma07_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11425276:11430478:1 gene:Ma07_g15210 transcript:Ma07_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKHCCDHEQSVFIVTIAVFTVVILALWRTVLLTPFKLITVFLHETSHALACKLTCGDVEGIQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFLLALLVVLFVAKNWLLRGLCIGFIIFLAIVWLLQETTKVRILRFVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGVAWGVIWGLISFTFLCGSIYLGLVILS >Ma02_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28518008:28518877:-1 gene:Ma02_g23560 transcript:Ma02_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKAGSITVLVLRVFVFLFLLVSLVVIATDTVTVLDPDSDSESVTTTLGFKDVIAYRYVFSVAVIGCVYTLLQLPFAALNIIRGKKFIGRNTFPLYIFIDLVFSLLFASGVGAGFGITVDLKRYLDKAFRDDDGESSVTNDIDKALDLVHVSTGFVLVATVCMAFIILTSSFALAKK >Ma02_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26030110:26031635:1 gene:Ma02_g19930 transcript:Ma02_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSPRIRRLEEIKRTHRAALQLIEYLVHKEEYVDFFSQGTDGVAIEGFEHPAEATGERHRERGGRRDQLVIAFAEKFFRFASHGDDRVTEEELRKCMQDAIGALSPESARWNEPPLILGAQMGLPEYVRTILKVSPQAATYLDTKGRSVLQVAIEHGNLEIVETIREMTEGHNPILPSWLLSCVDGTTGGTILHFASAKGPDNYQDAMQMQDELRWFETVRHMVPKELVNYQNERQKTAQEIFTESHQDMLKNCKDQLMEMGKTCSSLVAAVVFASSFSIPGEKDPATGNPVYFNRLPFKIFSHAYVIGLSSAATSLVLFLSLVISPYKQQQFRRAIPAKYFFACLSFGVALMAFLVSFTCNIFLQIYGGQRSERKDLIPLLLELMVFPFVCVIVLLYRGSSFGPSFARLWR >Ma08_p32720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43340838:43342170:1 gene:Ma08_g32720 transcript:Ma08_t32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPLLSLHRHPSDLMVGVVDYLGRPASRSATGGWTSALFIIVVEVAERFAFFGLSSNLIIYLTGPLNEPTATAAAAISTWKGVAYLSPFARIGKTLVALARTTAEADTERHQSDVGDVEEAKRLLRLFPTWATCLIYAAVLNQPSTFFTKQASTLDRSISSSNFRVPPAALLSLISASAAAFTPIYDRFLVPAARRFTGLPSGITMLQRIGIGKVISLISIVVAALVETKRLRTAREFGLVDQPEATIPLSIWWLVPQYVLSGVADAFSVTGLLEFFYDQVPDALRSLGVALFMSIFGVGSFIDGFMISAINKITGVMGETWFSNNLNRAHLDYFYWLLAGLSILELVLYLHCAQAYVYKKKDTAALT >Ma10_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20403532:20404855:-1 gene:Ma10_g06870 transcript:Ma10_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLSLGMADDDWDLGAVVRSCRPSGTKAAPMVPLEVELQEAAAVGADKGASFVGFQRLFLGRDGLKELEELYEPCFPQVQQQQQSRWSPSPSLADAAARPSKDAHRPLSQTPRSKRRLGDSRKKQQQKVACHVPSDGLSSDAWAWRKYGQKPIKGSPYPRGYYRCSSSKACLARKQVERSRADPAMFIITYTAEHNHPVPTHRNALAGSTRHKFPSPSRGVGHPAPGNPSSSPPSSSAAAGLSPTTPLTASMEDELLQKWPHEKEDEDEEEDEDEGILLVKDMEIMGGDDLLFMGAAAPTAAAEAGEFDCGDLGDQ >Ma02_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16967281:16970788:1 gene:Ma02_g05750 transcript:Ma02_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MATTSFSPSAAVRAPSASSFGPHLDLSRRIRLPLTRPPAPAARWGARRHVAPFRLAARRLSVRCGALVQIDQSEFPAEVLGSDIPVLVEFVADWCGPCRLISSVVEWASQEYKGRVKVVKIDHDANPKLITEYKVYGLPTLILFKNGQEVPESRREGAITKVKLKEYLDNFLEPTSVV >Ma06_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9689655:9694672:1 gene:Ma06_g14140 transcript:Ma06_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G21640) UniProtKB/TrEMBL;Acc:A0A178V6X3] MASPPPESPSMADPDVLSSASGSDDDNDIVTEGSAFVHNEPAQDDKDLPKVESEMEVLHEKVKKQIIKEGHGQKPPKLSTCFLNYRAWVKSTSHKFEDTWQEQRPIELILGKEKAELAGLAIGIASMRSGERALFHVGWELGYGKEGNFSFPNVPPMADLVYEVELIGYDEAKEGKARSDMTVEERIEAAERRKVEGNDYFKEKKVEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRYEEAIGQCTIVLSEDENNVKALFRRGKARAELGQTDAAKEDFEKARKYSPQDKAIVRELHLLAAHDKAVYQKQKEIYKGIFGPRPEPKPMRSNWLVLFWQWLVALVCRLFRIHRSKAD >Ma06_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6933721:6937006:1 gene:Ma06_g09980 transcript:Ma06_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTQWDDIQRRLGNLPPKPEPFKPAPFSPADDPDLAPKSKAWIDDRTPAELDELEDDPELDDDRFLQEYRKRRLAEMREVAKVARFGSVMPISGSDFVREVSQAPADVWVVVLLYKDSIQDCGLLLCCLEELATKYPATKFVKIISTECIPNYPDRNLPTILVYNNGAVKGTYVGLHQFGSRRCTPEAVALALCQSDPVLNDGQTGSSSTEGIIRGVQKRFIEKFVADHEEKEDDDYSD >Ma03_p19570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24857805:24867850:-1 gene:Ma03_g19570 transcript:Ma03_t19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGGCAQLSGSLPNGLLSEETAKLTRVLDADRWLKAEGQTAELIARIQPNQSSEERRNAVANYVQRLILKCFSCRVSTFGSVPLKTYLPDGDIDLTAFSDNEKLKDAWATAVCGVLENEEKSENAEFCVKEVKYIQAEVKLVKCLVENIVMDISFNQVGGLCTLCFLEEMDNVINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNSSFAGPLEVLYRFLEFFGNFDWGNYCVSLWGPVPISSLPDMTAEPPRKDNSKLLFSKRFLDNCNSVFSVTPGGKETHSQPFVPKHFNVVDPLRTNNNLGRSVSKGNFFRIRSAFAFGAKRLARLIECPKDDIIAEVNQFFMNTWKRHMSGDRPDVSLDLWHLQPLKTVPVEESNNLKSTTSVNKKIENIVLQIGEEHLAETDDGLHNATSEVLANNPNIFRINNPSVVSCAQSQIYYRKQINSRLTDRHETSNSPSGSVQSDKSQKLLNSNCSVNDQEELSRFQFARTRYSPELTEVSIDSSQGRHQRVIETEKIQFPANIDSDNRRKNLRSEFTDNQSSKSSLDAYISVRQTLCRKNLEVASDANVVLKSYHGDVGFTAMEEELASVSEKLEMQQREQDLVNMMGSSDIHWFNGQVQLPMHLAPLPLPSTFSPLSTSTGYAKGNLAGDIPSNLSLIGPPWGPNMQFGQTLFSFPVPTYFRAATYRSNVDNLESFNDDSAVTELNSENNGHGNPNEDDVGLSEGSNFGDGGPQIHLDGKQQKLDGGLSPSPVIRDNSSGYLPREQNNKLGREGRRLTKENYNDPFQAKPSRGSDLQSNFRSSNTRFSTLSWASSSRSKPASEYLRHQSAAKFPRLARNKCGSKPAFGKENNTLQFEGSSNHISSKIDDDTSGCIPLSTMENDMSERIIESATLATSHVRSKHFSEYESEQKQSDPLIPIAPVLVGTSWQRGVNCSKVLPGTFVATGPPVPFLMLPFGDFTSNSGNPDGYAKQIDREEEPAKFQESSSGQNIVLVESLDQSEDLASPKVSRIPAPESSEELSSDIFNVDSVSHWQNLVYGRSCQNSYPGPVMYTSPVARPPIYLPSHYPWDGPGKLLSSDLHYTQMTGHNPLLVPIMPFQPGSDRASGVFQDYADEAPTYRGGTGTYLPNSKVSFGDHRQSGSRNHEGNCNYDKDDPVDRSWVSSKPRAFGHGHGRNRAERPRLRPDQLAASKNQEKKWESWRSEPVASNRRRGRSFASTNSSYISESAPGMHPQTASSSEGVNASDPTIPLGHSYDQGVGYGSHAEPLKFGSFRPVHQSSGNGGAPKTNGGIVSGLYDKRHGSNYKGGTQSSQD >Ma03_p19570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24857805:24867850:-1 gene:Ma03_g19570 transcript:Ma03_t19570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGGCAQLSGSLPNGLLSEETAKLTRVLDADRWLKAEGQTAELIARIQPNQSSEERRNAVANYVQRLILKCFSCRVSTFGSVPLKTYLPDGDIDLTAFSDNEKLKDAWATAVCGVLENEEKSENAEFCVKEVKYIQAEVKLVKCLVENIVMDISFNQVGGLCTLCFLEEMDNVINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNSSFAGPLEVLYRFLEFFGNFDWGNYCVSLWGPVPISSLPDMTAEPPRKDNSKLLFSKRFLDNCNSVFSVTPGGKETHSQPFVPKHFNVVDPLRTNNNLGRSVSKGNFFRIRSAFAFGAKRLARLIECPKDDIIAEVNQFFMNTWKRHMSGDRPDVSLDLWHLQPLKTVPVEESNNLKSTTSVNKKIENIVLQIGEEHLAETDDGLHNATSEVLANNPNIFRINNPSVVSCAQSQIYYRKQINSRLTDRHETSNSPSGSVQSDKSQKLLNSNCSVNDQEELSRFQFARTRYSPELTEVSIDSSQGRHQRVIETEKIQFPANIDSDNRRKNLRSEFTDNQSSKSSLDAYISVRQTLCRKNLEVASDANVVLKSYHGDVGFTAMEEELASVSEKLEMQQREQDLVNMMGSSDIHWFNGQVQLPMHLAPLPLPSTFSPLSTSTGYAKGNLAGDIPSNLSLIGPPWGPNMQFGQTLFSFPVPTYFRAATYRSNVDNLESFNDDSAVTELNSENNGHGNPNEDDVGLSEGSNFGDGGPQIHLDGKQQKLDGGLSPSPVIRDNSSGYLPREQNNKLGREGRRLTKENYNDPFQAKPSRGSDLQSNFRSSNTRFSTLSWASSSRSKPASEYLRHQSAAKFPRLARNKCGSKPAFGKENNTLQFEGSSNHISSKIDDDTSGCIPLSTMENDMSERIIESATLATSHVRSKHFSEYESEQKQSDPLIPIAPVLVGTSWQRGVNCSKVLPGTFVATGPPVPFLMLPFGDFTSNSGNPDGYAKQIDREEEPAKFQESSSGQNIVLVESLDQSEDLASPKVSRIPAPESSEELSSDIFNVDSVSHWQNLVYGRSCQNSYPGPVMYTSPVARPPIYLPSHYPWDGPGKLLSSDLHYTQMTGHNPLLVPIMPFQPGSDRASGVFQDYADEAPTYRGGTGTYLPNSVSFGDHRQSGSRNHEGNCNYDKDDPVDRSWVSSKPRAFGHGHGRNRAERPRLRPDQLAASKNQEKKWESWRSEPVASNRRRGRSFASTNSSYISESAPGMHPQTASSSEGVNASDPTIPLGHSYDQGVGYGSHAEPLKFGSFRPVHQSSGNGGAPKTNGGIVSGLYDKRHGSNYKGGTQSSQD >Ma03_p19570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24857805:24867850:-1 gene:Ma03_g19570 transcript:Ma03_t19570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGGCAQLSGSLPNGLLSEETAKLTRVLDADRWLKAEGQTAELIARIQPNQSSEERRNAVANYVQRLILKCFSCRVSTFGSVPLKTYLPDGDIDLTAFSDNEKLKDAWATAVCGVLENEEKSENAEFCVKEVKYIQAEVKLVKCLVENIVMDISFNQVGGLCTLCFLEEMDNVINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNSSFAGPLEVLYRFLEFFGNFDWGNYCVSLWGPVPISSLPDMTAEPPRKDNSKLLFSKRFLDNCNSVFSVTPGGKETHSQPFVPKHFNVVDPLRTNNNLGRSVSKGNFFRIRSAFAFGAKRLARLIECPKDDIIAEVNQFFMNTWKRHMSGDRPDVSLDLWHLQPLKTVPVEESNNLKSTTSVNKKIENIVLQIGEEHLAETDDGLHNATSEVLANNPNIFRINNPSVVSCAQSQIYYRKQINSRLTDRHETSNSPSGSVQSDKSQKLLNSNCSVNDQEELSRFQFARTRYSPELTEVSIDSSQGRHQRVIETEKIQFPANIDSDNRRKNLRSEFTDNQSSKSSLDAYISVRQTLCRKNLEVASDANVVLKSYHGDVGFTAMEEELASVSEKLEMQQREQDLVNMMGSSDIHWFNGQVQLPMHLAPLPLPSTFSPLSTSTGYAKGNLAGDIPSNLSLIGPPWGPNMQFGQTLFSFPVPTYFRAATYRSNVDNLESFNDDSAVTELNSENNGHGNPNEDDVGLSEGSNFGDGGPQIHLDGKQQKLDGGLSPSPVIRDNSSGYLPREQNNKLGREGRRLTKENYNDPFQAKPSRGSDLQSNFRSSNTRFSTLSWASSSRSKPASEYLRHQSAAKFPRLARNKCGSKPAFGKENNTLQFEGSSNHISSKIDDDTSGCIPLSTMENDMSERIIESATLATSHVRSKHFSEYESEQKQSDPLIPIAPVLVGTSWQRGVNCSKVLPGTFVATGPPVPFLMLPFGDFTSNSGNPDGYAKQIDREEEPAKFQESSSGQNIVLVESLDQSEDLASPKVSRIPAPESSEELSSDIFNVDSVSHWQNLVYGRSCQNSYPGPVMYTSPVARPPIYLPSHYPWDGPGKLLSSDLHYTQMTGHNPLLVPIMPFQPGSDRASGVFQDYADEAPTYRGGTGTYLPNSKVSFGDHRQSGSRNHEGNCNYDKDDPVDRSWVSSKPRAFGHGHGRNRAERPRLRPDQLAASKNQEKKWESWRSEPVASNRRRGRSFASTNSSYISESAPGMHPQTASSSEGVNASDPTIPLGHSYDQGVGYGSHAEPLKFGSFRPVHQSSGNGGAPKTNGGIVSGLYDKRHGSNYKGGTQSSQD >Ma03_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24857805:24867850:-1 gene:Ma03_g19570 transcript:Ma03_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGGCAQLSGSLPNGLLSEETAKLTRVLDADRWLKAEGQTAELIARIQPNQSSEERRNAVANYVQRLILKCFSCRVSTFGSVPLKTYLPDGDIDLTAFSDNEKLKDAWATAVCGVLENEEKSENAEFCVKEVKYIQAEVKLVKCLVENIVMDISFNQVGGLCTLCFLEEMDNVINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNSSFAGPLEVLYRFLEFFGNFDWGNYCVSLWGPVPISSLPDMTGGKETHSQPFVPKHFNVVDPLRTNNNLGRSVSKGNFFRIRSAFAFGAKRLARLIECPKDDIIAEVNQFFMNTWKRHMSGDRPDVSLDLWHLQPLKTVPVEESNNLKSTTSVNKKIENIVLQIGEEHLAETDDGLHNATSEVLANNPNIFRINNPSVVSCAQSQIYYRKQINSRLTDRHETSNSPSGSVQSDKSQKLLNSNCSVNDQEELSRFQFARTRYSPELTEVSIDSSQGRHQRVIETEKIQFPANIDSDNRRKNLRSEFTDNQSSKSSLDAYISVRQTLCRKNLEVASDANVVLKSYHGDVGFTAMEEELASVSEKLEMQQREQDLVNMMGSSDIHWFNGQVQLPMHLAPLPLPSTFSPLSTSTGYAKGNLAGDIPSNLSLIGPPWGPNMQFGQTLFSFPVPTYFRAATYRSNVDNLESFNDDSAVTELNSENNGHGNPNEDDVGLSEGSNFGDGGPQIHLDGKQQKLDGGLSPSPVIRDNSSGYLPREQNNKLGREGRRLTKENYNDPFQAKPSRGSDLQSNFRSSNTRFSTLSWASSSRSKPASEYLRHQSAAKFPRLARNKCGSKPAFGKENNTLQFEGSSNHISSKIDDDTSGCIPLSTMENDMSERIIESATLATSHVRSKHFSEYESEQKQSDPLIPIAPVLVGTSWQRGVNCSKVLPGTFVATGPPVPFLMLPFGDFTSNSGNPDGYAKQIDREEEPAKFQESSSGQNIVLVESLDQSEDLASPKVSRIPAPESSEELSSDIFNVDSVSHWQNLVYGRSCQNSYPGPVMYTSPVARPPIYLPSHYPWDGPGKLLSSDLHYTQMTGHNPLLVPIMPFQPGSDRASGVFQDYADEAPTYRGGTGTYLPNSKVSFGDHRQSGSRNHEGNCNYDKDDPVDRSWVSSKPRAFGHGHGRNRAERPRLRPDQLAASKNQEKKWESWRSEPVASNRRRGRSFASTNSSYISESAPGMHPQTASSSEGVNASDPTIPLGHSYDQGVGYGSHAEPLKFGSFRPVHQSSGNGGAPKTNGGIVSGLYDKRHGSNYKGGTQSSQD >Ma09_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2628327:2633296:1 gene:Ma09_g04000 transcript:Ma09_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAPEDRRSTYLAALTQEIERKLQKALISPGQRPELLQKLFADAALEIEDRARDMILKKDEDEIASADDGTETLCFYEVLADYYVEAPGSGKNILDLIVQLWSQSFVAHIFALLFHKWLFEVSVETSEVLLRYGSALVHGASNVFWIDIQANRRRFFSLFSYLLEEVALVPDRSNKISLQARRDLYLLLSRFLFFYKLDDLLEPFLKHFPAYPNAFLVGGPEDIFVIELSDQLQKLKVEPVLLHYLSRMGTLKGLELRMATSTRLKACLYSFTSPGGPMYPTRAVRHAAWDTLDLLFPVGRYPRHVISVFFRLLYPWYWPSSCWNFIVTCVTTVVYYILRVIISSWENIRKSKRS >Ma06_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4191091:4200455:1 gene:Ma06_g05640 transcript:Ma06_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRGHSSYDKLNEPNCFGSRWYFSRKEIEENSPSRRDGIELKKEKNLRNSYCSFLQDLGMRLKLPQVTIATAIVFCHRFFLRQSHAKNDRRIIAIACLFLAGKVEDTSRPLKDVILVSCEIIYKKEPSAVQKIKQKEVYEQQKELIVLGERVVLATLGFDLNVLHPYKPLVEAIKKFKVSTQNSLAQAAWNFVNDGLRTSLCLQYKPHHIAAGAVCLAAKFLKVKLPSDVEKGWWQEFDVTPRQLEDVSNQMLELYEQNRSGQSSHSTEVEGNIGGNNNEPIVTTSSVGRSSVSTNGHAQDAVLTNVEQGGQTPGPAWSFGSQSYADSNHIDNHCKQGQGDGKESAGCKSEIWDHNMGAEGNDCSSNEFDKSSDCRENTLETSCCSKPDLPLGSAVVNERDKVKVDKELMDAGSSTKSLSISRQISDFGETAKIFFPPDSINRCKTKAALEKQRRLQNNGGQKRKSMDEHDDLITRDGCELPCKLASQRAMVTGREPEYMQQCHNRHQSCDTTGSRGNRLEKLHNKP >Ma06_p05640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4191026:4200455:1 gene:Ma06_g05640 transcript:Ma06_t05640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRSLLAGSLQGNTSDYGMVKRGHSSYDKLNEPNCFGSRWYFSRKEIEENSPSRRDGIELKKEKNLRNSYCSFLQDLGMRLKLPQVTIATAIVFCHRFFLRQSHAKNDRRIIAIACLFLAGKVEDTSRPLKDVILVSCEIIYKKEPSAVQKIKQKEVYEQQKELIVLGERVVLATLGFDLNVLHPYKPLVEAIKKFKVSTQNSLAQAAWNFVNDGLRTSLCLQYKPHHIAAGAVCLAAKFLKVKLPSDVEKGWWQEFDVTPRQLEDVSNQMLELYEQNRSGQSSHSTEVEGNIGGNNNEPIVTTSSVGRSSVSTNGHAQDAVLTNVEQGGQTPGPAWSFGSQSYADSNHIDNHCKQGQGDGKESAGCKSEIWDHNMGAEGNDCSSNEFDKSSDCRENTLETSCCSKPDLPLGSAVVNERDKVKVDKELMDAGSSTKSLSISRQISDFGETAKIFFPPDSINRCKTKAALEKQRRLQNNGGQKRKSMDEHDDLITRDGCELPCKLASQRAMVTGREPEYMQQCHNRHQSCDTTGSRGNRLEKLHNKP >Ma03_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8764550:8765718:1 gene:Ma03_g11350 transcript:Ma03_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGLRSQLVKFTSIFFTSVDDYLRFRAVCKSWRSAVPHRPDHLPTQLPFLLLVSTSEPRIGAAFRLTAASAGNVLPLPNRANRLCIGTSFGWLILLCMKDRLINLFNPVTAENIRLPYLDVLSFADVVSEGDGPVIVAEKAVLSSDPTLDRDFVAVLFTRGVTIRWYTWRHGDESWTANANFLVQITVRMRDVVPYDNRTLCAIYGENDYWAVLKVDPGPPGRATIAACYAMPYCVPRTYYPSYLVVAAGKLLLAASHYDNWTASGNIIPEFRVFRLEPGDISRPAVAVEVDDIHDRILFLSPSSSMSVSAEDFFGFQGNFIYFVNKDERDELENWRVWQIVVQDLETDETNMVADSKSPNQRRLMWRAHQSDVAQWVPPNLRSCNR >Ma08_p34320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44385509:44386892:1 gene:Ma08_g34320 transcript:Ma08_t34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVMAEAEHPPAKDYHDPPPAPLLDLGELMLWSFYRALIAEFIATLLFLYVTVATVIGYKAQSQDDQCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTLGLFLARKVSLLRAVMYMVAQCLGAICGVGIVKGIMKHQFNAFGGGANSVAAGYSKGTAFGAESIGTFVLVYTVLSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQHKPWHDHWIFWVGPFVGALAAAVYHQYVLRAAALKALGSFRSSRSN >Ma06_p26120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27258646:27259421:-1 gene:Ma06_g26120 transcript:Ma06_t26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYATCVKISTDASIKDMIPPGALVLLTPLIVRTFFGVETLAGVLAGALVSGVQVYCSLHQTPVVHGLAKNCIVLAGAFEHARTLGPKGSTAYKAAFIGDTIGDPFKDTSRPSLNILIKLLMAVELLFFVAHCYGLNWFYLSCAVPLCVRPQRSVLGL >Ma03_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27061738:27063357:-1 gene:Ma03_g22270 transcript:Ma03_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNSGSIQSSNTGENEEYDSRGESISSFNLPPPHPSPSPTTVTTFQHERPSSSFIFDPLSPYLTSFPFPPPESNSLSLDPTWLKRIQPYSACTTLGAMTATRSSVSSSASPSSVQPPAQADRSSAAPRGAKKRSRASRRAPTTVLTTDTSNFRAMVQQFTGLPTPPFTSSHFPRPRLDLYNMGAAAIPPYLLRPSAQKIPSASFLPMTTTSTSSASLLDHAIASISGNTIVSINMPIRTTETSSPIGATPSSTEKCQLSLFGAQYPSTLDMQSANFISQPLLQSQTAPEYNSHSLGYAFGGLGSLLATEGMNLSHDTDVLSGWANESGQENAEPARSKTIIRNYGNPR >Ma11_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25007373:25008812:-1 gene:Ma11_g20580 transcript:Ma11_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 13 [Source:Projected from Arabidopsis thaliana (AT3G59530) UniProtKB/Swiss-Prot;Acc:Q9M1B4] MEKKGLRKEDGLLPRPWLSLLALAFGLVFMDPFHLGPLGGHDYRPVKHNIAPYDQVMQRWPRDCRSRLRFGKLEFVDEVFGPESLEFDPQGRGPYAGLADGRVVRWMGESIRWKTFALVSPNWSEKVCANGVESTTAKQHKHEKLCGRPLGLRFDKTSGKLYIADAYLGLAAVGHHGGVATLLSTHVQGRPVLFANDLDVHRNGSIFFTDTSSRYSRSDHFFILLEGEATGRLLRYDPASGATHVVLRGLAFPNGVQLSKDQTFLLFTETTNCRIMRLWMEGPKAGKLEVFADLPGFPDNIRITEKGQFWAAIDCCRTRAQEVFSRNPWLRSVYFRLPLRLSFLAGMTGMKMFTMISLFDEEGNVVEVLEDRGGEVMKLVSEVRQVQGKLWVGTVAHNSIATLPYP >Ma00_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:10062424:10064774:1 gene:Ma00_g01670 transcript:Ma00_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGSIRDVMQLTLDEDEEACTYALHLAIGSVLPMALKAAIELELLEIIVRAGPGAMLGPADIAAQLPTANPQAAVMVDRILRLLAAYSVVSCTVEAGDDGRPSHKYGAARVCKYLTKNEDGVSLESMSLMNQDKVLMESWYYLKDAVLEGGIPFNKATGMAPFEYHGSDPRFNRLFNDSMRGRSTILMKKLLQVYRGFDSIKVLVDVGGGIGATLHMITSRHSHVKGVNFDLPHVICEAPPYPGVEYVSGDMFIGIPSGDAIFLKWILHDWSDEHCVKILKNCWKALPEKGKVIVLESVLPTIQESTPQAKCAYETDVIMLAHSDGGRERTQKEFQDLARDASFSGFNITYLFAATWVMEFTK >Ma11_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23639620:23640281:1 gene:Ma11_g18630 transcript:Ma11_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCCLTGSKSLVRLVVWGGATEFLTEKQIAAELMFRFPDRVVCRADSFYIGRPVPVLSMDDELLPGHTYFLLPMDKFPCHDPLTAVSLASLSPDPAKAVSLSGDDQCRPFAYVKGDDGRVLIKVLPEFITKVISSAESVDTCGSGGGPLCSTPELKKHYAQLVGQRDRPWSPKLETISESKKRSSVGRISPVRLLGLERRWC >Ma02_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18115577:18120293:-1 gene:Ma02_g07450 transcript:Ma02_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSFAFLFLFLITLTAFPSSMAAPEEVSALIRFKRSSVDSDPKGFLQNWTVDGFDSGSSWCSWTGVVCSAADGRARSLNLSNMGLTGRLNLEHLMALPSLRYLNLRGNSFYGNLSYSSTASSLPCGFETVDLSSNTFNETIPSKFLSSCPRLVSLNLSRNSIHGGIFPFEASIRELDLSRNRISDYGLLNYSLSSCSGLSYLNFSDNKLTGRMGDVPTCTNLTFLDLSYNNLSGDFSTFDLGTCGSMMVLDLSYNGLNGTALPLSLASCRKLEELNLSGNNFTGEIPSFWKNFVSLQRLSLANNRFSGEIPPELGQTCGTLVELNLAGNGLTGGLLSTFVSCSSLQRLDLGNNQLSGDFIEHVISTLPALRYLHLPFNNISGPVPLMALTSCSLLEVIDLGSNEFTGEIPTGLCSSLPNLERILLPNNFLSGAMPLDLGNCTNLRSLDLSFNELNGPVPPGIWSLPKLVDLVIWANNLSGEIPESLCSNSTSLETLILSYNMLTGSIPSSLTKCVNLVWVSLSGNRLTGRIPSRIGRLQSLAILQLGNNNLTGEIPPEFGSCQNLIWLDLASNRLSGPIPGTLASQAGLIVPGIVSGKQFAFLRNEAGNICPGAGVLFEFEDIRPERLANFPLVHSCPATRIYTGTTVYSFPGNGSMIYLDLSYNSLSGTIPEKFGSMDYLQVLNLGHNELTGTIPETFGGLRMIGVLDLSHNHLTGNIPGALGSLTFLSDMDVSNNNLSGPIPTTGQLTTFPPTRYENNSGLCALPLPPCGAKASNHDFYYDSGGRRFFGWSILIGIVFSVLIVFLLLLALYKMKKHQKTDELRVGYVESLPTSGTTSWKLSGVLEPLSINVATFEKPLRKLTFAHLLEATNGFSADSLIGSGGFGEVYKARLKDGSVVAIKKLIHVTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKFGSLDMVLHDKSKGGATKLDWGGRKKIAIGSARGLAFLHHSCVPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNALDTHLSVSTLVGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPLEFGDNNLVGWAKQLVKENRCSEIFDPDLMGKKLGDAELYQYLKIAFECLDDQPLRRPTMIQVMAMFKELQVDTDSDFLDTYSIGRAIIDESREKAP >Ma05_p09710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7006246:7011824:-1 gene:Ma05_g09710 transcript:Ma05_t09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIQLGAHTLRSHGTKVARFHMHDWIILILLAIVDGCLNIIEPFHRFVGRDMMTDLRYPLKSNTVPFWAVPLLAIVLPIVIIVGIYFRTRNVYDLHNAILGLLFSVLLTGVITDAIKDAVGRPRPDFFWRCFPDGKAVYDNMTTSVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKIQAFDRRGHVGKLCIVLLPLLCASLVAVSRVDDYWHHWQDVFAGGLLGFVVASFCYLQFFPPPYDLDGWWPHAHLHAMADTRNDDQLQSNANSLQSRPSMIVTAYVASEGQDGIHMRDTSPILDSMEGGRVL >Ma05_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7006246:7011814:-1 gene:Ma05_g09710 transcript:Ma05_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIQLGAHTLRSHGTKVARFHMHDWIILILLAIVDGCLNIIEPFHRFVGRDMMTDLRYPLKSNTVPFWAVPLLAIVLPIVIIVGIYFRTRNVYDLHNAILGLLFSVLLTGVITDAIKDAVGRPRPDFFWRCFPDGKAVYDNMTTSVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKIQAFDRRGHVGKLCIVLLPLLCASLVAVSRVDDYWHHWQDVFAGGLLGFVVASFCYLQFFPPPYDLDGWWPHAHLHAMADTRNDDQLQSNANSLQSRPSMIVTAYVASEGQDGIHMRDTSPILDSMEGGRVL >Ma11_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18235362:18239037:1 gene:Ma11_g13590 transcript:Ma11_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSMVWVIDLLIHLVYKAAGLRPHTVALDDDTTVHCWISSSLLPPSSAIRSKEKKATPPLLLIHGFGPRGTWQWSRQIWHLAARFDLVVPDLLFFGGSTTRSPIRSEAFQAAAIARLLAVLGVGPPHRARVSVAGTSYGGFVAYHVARALGPEWVERVVIASSDVLKGPDDDRALLERAGGARSIDELLLPRTTADLRRLARLAVYRPPLFVPEFILRDMLQNLFSDNLEEKLELIKGVTVANKDEFHLTPLPRQVLIIWGEHDQIFPVAKAIELQKRLGENARLEVLQNTGHLPQMEDANRFNKLLFSFLLGASQPSLSNRVRD >Ma11_p13590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18235362:18238811:1 gene:Ma11_g13590 transcript:Ma11_t13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSMVWVIDLLIHLVYKAAGLRPHTVALDDDTTVHCWISSSLLPPSSAIRSKEKKATPPLLLIHGFGPRGTWQWSRQIWHLAARFDLVVPDLLFFGGSTTRSPIRSEAFQAAAIARLLAVLGVGPPHRARVSVAGTSYGGFVAYHVARALGPEWVERVVIASSDVLKGPDDDRALLERAGGARSIDELLLPRTTADLRRLARLAVYRPPLFVPEFILRDMLQNLFSDNLEEKLELIKGVTVANKDEFHLTPLPRQVLIIWGEHDQIFPVAKAIELQK >Ma03_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24201696:24202785:-1 gene:Ma03_g18640 transcript:Ma03_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILKAIQPSLHRPNPSPAQRKTSRNRRRSVSSFCSSNDAGRADSSSPSEGDKRKQELLARIAMLQAQKVRLTDFLDERSAFLTQFAEDANAEFDQIGENALKELDEASARIMEKLENRAQAFEEAAEVNRQEIEKNDRVLEEFEDQIERDRNEGLFFKNLKEKRPAPKDKEAGAKMKAKMEAQKLEEATRRNAGLKVRSNIYLALMTVLGFTIANAVVVTPEVEWRKVAALGLIFAGLVAQFIYEKSLSSETEETKKEE >Ma01_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8288899:8294535:1 gene:Ma01_g11430 transcript:Ma01_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLRKRFQFQSSVPSFVQYIKQEEATGSAGMKSPKVLALLGVGITGALGFASLASADEAEHGLAAPIYPWPHKGILDSYDHASIRRGHQVFQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFQQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVIKSRKLVVDVVN >Ma01_p11430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8288763:8294587:1 gene:Ma01_g11430 transcript:Ma01_t11430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLRKRFQFQSSVPSFVQYIKQEEATGSAGMKSPKVLALLGVGITGALGFASLASADEAEHGLAAPIYPWPHKGILDSYDHASIRRGHQVFQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFQQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVIKSRKLVVDVVN >Ma06_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4156637:4162328:-1 gene:Ma06_g05580 transcript:Ma06_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLFALSALLLLGLDEVSVRPASAAADVVEITYGSVIKLMHEKTKYRLHSHDVPYGSGSGQQSVTGSPDVDDSNSYWIVRPQPDSSARQGDIIETGAIIRLQHMKTRRWLHSHLHASPLSGNLEVSCYGGDDRSDTGDFWRLEIEGSGKTWRKDQRVRLRHVDTSGYLHSHDKKYNRIAGGQQEVCGVRDKRADNVWLAAEGVYLPVIASK >Ma06_p34110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34510491:34511174:1 gene:Ma06_g34110 transcript:Ma06_t34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGTVTRRVPALRTGNMEMPPDDGYTWRKYGQKDILNSRFPRSYYRCTHRSYYGCEAKKKVQRLDDDPNTFEVIYCGTHTCQTSPTPILIPTLVPSAIGNNMNDNDNDDGGGGGHQQGASPQSASIQLRNWLEGNPRNTGTLLIPRSGAGGSTSRQVGQQQGGRDIDGSVAELADAMFNSASSGSSMDAIFSPRQGSL >Ma04_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9909130:9917145:-1 gene:Ma04_g13100 transcript:Ma04_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACQDAIKQFSALLDKVDEQLKATFQNMHQGYPTATMMRYLKARDWCVPKAYKMLVDCLNWRIQNHIDDILKKPIIPADLYRAVRDSQLVGLSGYSKEGLPVFAIGVGLSTLDKASVNQYVQSHIQINEYRDRVILPNATKKYGRHIGKCVKVLDMTGLKLSALSHIKLMTIITTIDDLNYPEKTQTYYVVNAPYVFSACWKVVKPLLQERTRRKIQILQGCGRDELLKIMDYDSLPHFCRRERNGSSRISSAGADDCYSLDHPFHQQLYNYIKQQALIQEYATLRKQGRFNIEVPIADTEGTKVVKKLESELHKVRDHSGLTHPLNCLHISGA >Ma04_p25840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27471371:27476340:-1 gene:Ma04_g25840 transcript:Ma04_t25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMASRFISRTSCSSYGGAFDSEAMTFQSRRVPYLSTHATTYEGLRTRNVVDSRQMQLNAKATSRQARRGTRHASHRPWAIVVCGSGMNLVFVGAEVAPWSKTGGLGDVLGGLPPAMAANGHRVMTVAPRYDQYKDGWDTGVLVELKVGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGGKIYGPVTGTDFEDNQLRFSLLCQAALEAPRVLHFNNSKYHSGPYGEDVVFIANDWHTALLPCYLKTMYQSHGIYKNAKVAFCIHNIAYQGRFAYSDFARLNLPDKFKSSFDFIDGYDKPVKGRKINWMKAGIIESDRVLTVSPYYAQELVSGVEKGVELDNILRMTGITGIVNGMDTNEWNPSTDKYISANYDATTVMDAKPLNKEALQAEVGLPVDPNIPVIAFIGRLEEQKGSDILASAIPEFIDEDVQVVVLGTGKKKLERQLALLETMFPDKVRAHLKFNVPLAHGIMAGADILAVTSRFEPCGLIQLQAMQYGIPPMCSTTGGLVDTVKEGCTGFHMGPFSVECAVADKADVQKVVKTVKRALKVYGTPAFAEMIQNCMAQDLSWKGPAKKWEQFLLSLGAANSEPGIDGEEVAPLAVENVAAP >Ma07_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4084960:4090986:1 gene:Ma07_g05630 transcript:Ma07_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKEHLYDKTFLFGLKLWVVIGIIVGVSILGVLSILVICLNARSQRRSRRASNNLPVSQIPPVSKEIKEVRVEQVSANDFVARDGILLTVHDKSNEKETDKVMVHLGLGKSRHADENSHSGSFHYIDKDGSCHSGDEGSSGTVAVHRQSSYRITAPSPLTGLPEFSYLGWGHWFTLRDLEIATNRFSKDNVLGEGGYGVVYRGQLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMRQQGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVGSRRSEEVVDPSIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLDSDEPIPRENRRHRRNRAGSIDMDSQRESSDTDKSDNPDSKYSSRRNRSSSTN >Ma03_p32880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34484915:34490528:1 gene:Ma03_g32880 transcript:Ma03_t32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGAAVVCAAAAVAVTALVVRHRMRSAERWARAAAVLRELEEKCATPVEKLWEVADAMTKEMHAGLESEDVSKLKMIISYVDKLPTGDERGLFYALDLGGTNFRVLRVQLGGREGRVVRQEAKEVSIPHDLMVGRSDELFDFIASALVKFVDLEGEEFHLHSGRQRELGFTFSFPVKQTSVASGTLIKWTKGFNIDGMVGEDVVSELNKALQRQGLDMQVAALVNDTVGTLAGGRYDDTDVVAAVILGTGTNAAYVERADAIKKWKGPLPGSGEIVINMEWGNFSSSHLPMTEYDTALDVESLNPGDQIFEKLISGMYLGEIVRRVLLRLAVQTALFGDNVPPQLEIPFVLRTPVMSAMHHDTSADLKVVGAKLKEFLGISSTSLKARKVVVQICDVVAKRGARLAAAGIVGILKKLGRDGSGMRRTVIAMDGGLYEHYTVFRECLHGALNEMLGDEASSSVVVNLTNDGSGLGASLLAASHSRYLEQS >Ma06_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10379231:10385582:1 gene:Ma06_g15270 transcript:Ma06_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEGKSKGQYGTFSGAHDYAQPAIGFPQPVPPPGLTAAHPPPPPPPQQSGPPYYAHGYQAVPGYAPVVEGRPLRLPRLPCCGLGIGWLLFIIGFFLAAIPWYVGAFILLCARIDYREKPGLVACTIAAVLAAIAIIIGATKGADVW >Ma09_p28460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39217000:39221388:1 gene:Ma09_g28460 transcript:Ma09_t28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSKVGVEGSIPQSMTSRAPTYHLMARPAFGAEGRRIRLFANHFDVKLTMPDAVFYQYTITVTPHDVRDKNAAQSKVYGRKIVNRLFEIYSEELKNKRFVYDGERSLITIGPLPQNNFELTVVLEDSSARATAGGAGDGSAVGGDQKRSKRSGFMKTFTVAIKFVAVFPMRSIALALRGSEREDGQSALRVLDIILKQRQAERGYLVLRNCYFDGNHTHLVDVGGGVCGGRGFHSSFRTTNGGLSLNIDVSTTIIVKSGPVLEFLLANQNIQDPRRIDWEKAKRKLKNMKIKTIHNKREYKITGMSKLPCDMQTFSMKERNSKGETRTVEMTVYNFFQNIRKITLTRSASLPCLDVGKPQRPIYLPIELCHLLSLQRYTKGLSSQQGALLAERCRQTPHERMRLIADSLNDNRYDKDPLLNACGVSIDKRMTKLTGRVLNCPVLKAGNMEDCIPRNGRWNFVHKTLLEPCELLHDQWAIVNFSGRCDLRHLSRELIKCARNRGSNIEEPSVFLEEDREWMRSDPIVRVEKMFKKIEAKFTGPPRFILCVLPEKKTCDIYGPWKRKSLYQFGIVTQCIVPPKNIRDHYLTNVLLKINSKLSGINSLLSVECKRSIPLISQTPTMILAMDVSHGPPGSSFPSVAAVVGSRHWPLISRYRASVRIQSPKLEMIDSLYKPGENGDEGMLRDLLLDFYKTNGGMKPAQMIIFRDGVSESQFMEVLTVELNQIIKVFEHLGDTTMPKFTVIVAQKQHHTRFFLADSPENVPPGTVVDTTVVHPRNYDFYMYAHGGILGTSRPIHYNVLLDEIGFKPDDLQKLVHALSYVYQRSTSAVSMVAPVCYAHLAARQLSQCFDLSETSGDELPIRAGVPQLPELHEKVRSSMFFC >Ma08_p26320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38896816:38898241:1 gene:Ma08_g26320 transcript:Ma08_t26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGRKFDCGHFYIVVFMN >Ma07_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5561823:5565811:1 gene:Ma07_g07470 transcript:Ma07_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFKSGSWSHESLKNFRQITPAVQNHLKLVYLTLCCALAASAAGAYLHILMNLGGLLTTLGCLGSIMWLLSTPQYEEGKRFGLLMAAAALEGASIGPLIRLAVDFDTSILITAFVGTALAFGCFSGAAIVAKRREFLYLGGLLSSGLSVLLWLQFAGSIFGQSTAMFKVEIYFGLLVFLGYMVFDTQEIIERAHHGDRDYLKHALTLFTDFLAVFVRILVIMLKNASDKSEEKKRKKRS >Ma01_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25373355:25378751:-1 gene:Ma01_g22560 transcript:Ma01_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGKWFIAVSRAFNPESNEKKSQKNHKSKRKWKLRRPKHYLPVPSEVAEKSITDATKPPDCYLSQGIKLVKVEDEHSKNAYNVALTSAAAAETADVAAQAAAAVVGLTITRLMGKSREEAAAIKIQTAFRGYLARIALQGLRRLVRLKTLVDGNAAKHQTSNTLHCMQTLARVQSQIRSRRIRMREENQALQRQLQLKHEMELEKQKVGDEWDDSLWSKEQIEANLVNKQEAAIRRERALAYAFSHQWKNSSREVTPTFTNPNNPQWGWSWLERWMASRPWENYNAINKDVNDHAKKASPRVGEQTIKLYAHQDTNLQRTPVDHQKSSHSSSHHSPLTPPSKHSSAGKEKSVSSRAATDDSRSMVSLQSGRRRRQSIAGSSFGDDASLASSPSIPSYMASTESARAKSRFQRPPNNNVETPERGSVNAVKKHLSYPVADKCSILSPARIRRNSDPPKLDVASLKDVAICVEQTSNGGSR >Ma01_p22560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25373354:25378751:-1 gene:Ma01_g22560 transcript:Ma01_t22560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGKWFIAVSRAFNPESNEKKSQKNHKSKRKWKLRRPKHYLPVPSEVAEKSITDATKPPDCYLSQGIKLVKVEDEHSKNAYNVALTSAAAAETADVAAQAAAAVVGLTITRLMGKSREEAAAIKIQTAFRGYLARIALQGLRRLVRLKTLVDGNAAKHQTSNTLHCMQTLARVQSQIRSRRIRMREENQALQRQLQLKHEMELEKQKVGDEWDDSLWSKEQIEANLVNKQEAAIRRERALAYAFSHQWKNSSREVTPTFTNPNNPQWGWSWLERWMASRPWENYNAINKDVNDHAKKASPRVGEQTIKLYAHQDTNLQRTPVDHQKSSHSSSHHSPLTPPSKHSSAGKEKSVSSRAATDDSRSMVSLQSGRRRRQSIAGSSFGDDASLASSPSIPSYMASTESARAKSRFQRPPNNNVETPERGSVNAVKKHLSYPVADKCSILSPARIRRNSDPPKLDVASLKDVAICVEQTSNGGSR >Ma08_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18043156:18046410:1 gene:Ma08_g16380 transcript:Ma08_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTSTGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFAGARISGSLHMTIQTAVLIESLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLAEYWWCTERCLDWGPAGGPDLIVDDGGDATLLIHEGVKAEEEYEKTGKLPDPASTDNAEFQIVLGLIRDGLKVDPKKYRRMKERLVGVSEETTTGVKRLYQMQASGSLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAAMKQAGARVIVTEIDPICALQALMEGIPVLTLEDVVSEVDIFVTTTGNKDIIMVEHMKKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERETGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTPSQAEYISVPIEGPYKPAHYRY >Ma10_p25860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33800880:33804337:1 gene:Ma10_g25860 transcript:Ma10_t25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDEQDVPDSQGRKKRYHRHTPRQIQELESMFKVCPHPDEKQRKQLSRDLGLEPRQIKFWFQNRRTQMKAQQERADNCLLRAENDKIRCENIAMREALRNVICPSCGGPPPDDDPYFDEQNLRMENAKLKEELDRVSSLASKYLGRPITQLPPVQPMSISSLDLSVGGYSHPGISPSLDLDLLCQSSSSAFPYPFPASMSELDKPLMVEMATGAMEEVIRVVQTDEPLWVKSGSDGRDILQLETYDRMFQRSARQLRFSDTRVEASRDSALVVMNAVTLVDMFMDASKWGELFPTIVSKARTVEVLAAGMAGSRSGSLVLMYEELQVLSPVVPTRDFCFLRYCQQIEPSVWAVADVSVDFPGDNQLAPSSRSRRLPSGCLIEEMPNGYSKVTWVEHMEIEEKNPIHALFRDLIDGGMAFGAQRWLATLQRMCERFACLNVAGIPARDIGGKELAPSPDGKRSMMKLAQRMVTNFCANVGASNGHKWTTLSGVNDVGVRVTLHKNTDAGQPNGVVLSAATSIWLPISAERIFSFFKDERTRTQWDVLSNGNTVQEVAHITNGSHPGNCISLLRGLNSGQNTMLILQECCTDASGSVVVYSPVDLPAINIVMSGEDPSYVPILPSGFTILPDGRSAGGYGASSSSNPMGGSAGSLVTVAFQILMSSLPSAKLNLESVMTVNNLIGTTVQQIKAALSCPDV >Ma04_p34260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33554747:33556345:-1 gene:Ma04_g34260 transcript:Ma04_t34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNVQIPTAFDPFADANAEDSGAGTKDYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSSFLVQAGIVKKEHIKIHGF >Ma03_p31950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33973982:33975265:-1 gene:Ma03_g31950 transcript:Ma03_t31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSREHSPYDAELPSTAPAGSLVLEKGNIHSLAATGCLLYGGFDSKNIRVWKNQQDFAEFKSSSGFVKAIVVAADRVFTGHQDGKIRAWKVSPKDATVYKRIGNLPRLKDILWSSLNPSNYVEARRHSSALWIRHSDAISCLSLNEEQGLLYSGSWDRTFKIWRISDSRCLESVVAHDDTINSIVAAVSGLVFTGSADGTVKVWRRELHGKGTKHAPVQTLLKQEWAVTSLAISPTAPVVYCGSSDGLINFWEGEQHLVHGGVLQSHKMAVLCLVASGNLLLSGSADTTICVWRREGAVHTCLSVLSGHAGPVKCLATAPDAGGDEDGGSGATRWTVYSGSLDKSVKLWRVSEQAPEALLRGPQLVPVGPGDSKAC >Ma01_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5943826:5949591:1 gene:Ma01_g08290 transcript:Ma01_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELKHYAPGVPIVLVGTKLDLRDDTQFFVDHPSAVPISTAQGEELRKVIGAPAYIECSSKTQQNVKGVFDAAIKVVLQPPKQKKKKRKSQKGCFIM >Ma00_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26750460:26751013:1 gene:Ma00_g03240 transcript:Ma00_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFPERPGRSDCRYFMRTGSCKYGSSRKYHHPKERHQVVACTKGPFGLPLRPGERPCTFCATYGNGKFGATCKFDHPSVAALPLQQPSLVFPYTRGSESSWTIAENSSCTTTKNQMSSVSSSELQDVVNDEHENPSTATSPSSTNH >Ma09_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7212754:7214952:-1 gene:Ma09_g10620 transcript:Ma09_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPTSKTAELDTTLYAIGFEIDVVSAARVNGRLTVTESCCQPFKVLHGGISALIAEALASIGAHIASGYRRVAGIQLSINHHRSARVGDRVFAEATPLHAGKTIQVWEVHLWKMDQAGSEKGILTSSSRITLLANMPVPDNAKDAGEPLKKYARL >Ma11_p19310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24216445:24219748:-1 gene:Ma11_g19310 transcript:Ma11_t19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGGEEGVRVLGKYELGRTLGEGNFGKVRYARHVDSGLAFAVKILDRKRIQSLKIDDQIKREIGTLKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIASKGKLSEGEGRKLFQQLIDGVSHCHDKGVYHRDLKPENVLVDAKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLCNRGYDGARSDIWSCGAILYVILTGCLPFDDRNFAVLCQKICRGDTKIPRWLSPGAQNMLRRILDPNPVTRINVAGIRADDWFKKDYSPAVPFDYDDNDDSSLYGSLPIKEHNVLDGNGTSPVNAFQLIGMSASLDLSGFFENEDVSERKTRFTSTHSPKDLSDKIVDIVTEMGLEAQKGHGKLKVGTKSKDSKSSLSAAAEILKLSPSLHVVELRRLDGDSSLYRKVSCHVSLRIKDLKAKLL >Ma11_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24216424:24219748:-1 gene:Ma11_g19310 transcript:Ma11_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGGEEGVRVLGKYELGRTLGEGNFGKVRYARHVDSGLAFAVKILDRKRIQSLKIDDQIKREIGTLKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIASKGKLSEGEGRKLFQQLIDGVSHCHDKGVYHRDLKPENVLVDAKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLCNRGYDGARSDIWSCGAILYVILTGCLPFDDRNFAVLCQKICRGDTKIPRWLSPGAQNMLRRILDPNPVTRINVAGIRADDWFKKDYSPAVPFDYDDNDDSSLYGSLPIKEHNVLDGNGTSPVNAFQLIGMSASLDLSGFFENEDVSERKTRFTSTHSPKDLSDKIVDIVTEMGLEAQKGHGKLKVGTKSKDSKSSLSAAAEILKLSPSLHVVELRRLDGDSSLYRKMCAKLSEELGDDSC >Ma09_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2820661:2828108:-1 gene:Ma09_g04310 transcript:Ma09_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPATGAAEISPDLYPSEEDLPYEEEILRNPFSLKLWWRYLIARSSAPFRRRAVIYERALKALPGSYKLWHAYLRERIDAVRGRPVSDPAFDSLNNTFERALVTMHKMPRIWLMYLASLSEQRLLTRGRRTFDRTLRALPVTQHDRIWEPYLALVSLPGVPVETSLRVFRRYLLFDPSHIEDFINFLIASRRWQEAAERLAGVLNDDSFHSIKGKTRHQLWLELCDILTRHATEVSGLKVDAIIRGGIRRYTDEVGRLWTSLADYYVRRGLYEKARDIFEEGIQTVTTVRDFSVIFESYAQFEQSALAAKIETAEEEQEEDGEGEEDGRRDGLSKLTKKFLDGFWLNDDDDTDLRMARFENLLSRRPELLNSVLLRQNPHNVEQWHRRVKIFENDPAKQVFTYIEAVRTVDPMKAVGKPHTLWIAFARLYESHNDLQNSRDIFEKAVNVNYKTVDHLATVWCEYAEMELRHKNFGRALELMRKATAEPSVEVKRRVAADGNEPVQMRLHKSLRLWSFYVDLEESLGSLESTRAVYERILDLRIATPQIILNYAFLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKTKLERARELFEHAVEQAPANEVKPIYLQYAKLEEEYGLAKRAMKVYDQAVKAVPDNEKLSMYEIYIARAAAIFGVPKTREIYEQAIESGLPDNDAKKMCLKYAELERNLGEIDRARAIYVFASQFADPRSDPDFWKVWKDFEIQHGNEDTFREMLRIGRSVSASYSQTHFILPEYLMQKDQKLNLEEAVDTLKRAGVPEDEMATLERQLAPAAANSPVKNGGRMVNFVSAGSESQSDGGIRLTANNEDIELPEESDSEDDKIEIAQKNVPASVFGDLAEKVAKDEDKGADAADNGGSSLLGALERIKRQRRQ >Ma04_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20615486:20621044:-1 gene:Ma04_g18580 transcript:Ma04_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 54 [Source:Projected from Arabidopsis thaliana (AT5G07500) UniProtKB/Swiss-Prot;Acc:O65036] MNYEYPILVLPCYLQLSEAARLAYGVTKAELDDFLMYEFKVKRCAKAYPHQWMTCPYAHRGEKARRRDPRRFPNHGIPCPEFRQTGACRRGQKCEFAHGVFEFWLHPTRYRTRMCISGPACRRKICFFAHTVDELRPEGLGGGVPAGVGKLAEGTSAGGPEEREEEFAEPDLSLSPITSLACFPFFGSPFLTLSDLNFYDSLSTDPWCNVRDQLSPVLTAPSRFRLSKGIAAPSIPRAPSWSA >Ma05_p31950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41637988:41639983:1 gene:Ma05_g31950 transcript:Ma05_t31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKVFAPLALFLLLLGSTAAELSTSFYSSSCPRLSSTVKSVVRSAISKEKRLGASILRLFFHDCFVLGCDASVLLDDTPTFQGEKTAKPNNNSLRGFEVIDQIKNAVEKACPGVVSCADILAVASRDSVVILGGPYWDVKLGRRDSRKASFSKANKDIPPPTLSLSKLISKFSAKGLSTKDMVALSGAHTIGQARCTSFRGHIYNDTNIDVSFAKTRRSNCPRTTGSGDNNLAPLDLRTPTHFDNSYYNNLINFQGLLHTDQQLYNISGFISSVVKAYSGSTHTFFSDFVSGMINMGDIRPLTGSEGEIRRSCRKIN >Ma06_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8541646:8542684:1 gene:Ma06_g12290 transcript:Ma06_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEQSMGGVGRLSLRTTTGFMVAGVITCFVLFVLVFFLYLRAKRYWGAIPVSGGRRTRLALTAQPATGFDAAAIVAALPPVVLRAGDLKEGVECAVCLSELSEGEAARLLPRCGHAFHLDCIDMWFCSHSTCPVCRSSAVLEEPEKPDSVAESPRNQDYSGEQSSTSSGSSSGTPTGALVIEIPRSMVDDFQTPSSPRPASSLPEEAISTTTTTTATLRSLRRLLIRGSRVAAVSSPRGHDVEQEGHAPISKAPTSSCH >Ma01_p22630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25576082:25589499:1 gene:Ma01_g22630 transcript:Ma01_t22630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MTSQGVEPVVAVDSRSTEAVDVGQPHGSSYQSSHPGPQSLPSSSGQLTVSSSGYHYDPQRQMSVSGDTQEGINTASHASTSTNLGAENAAQAYSGYVPYSSSTVPYNYTSVGYQNSFYGYHLQGNDSSTQQIGGDQNSGAAYQPLSTFQNSGSYVAPTSYLGTYYNAGDNQTGTGYETNSYNYQTSLWNDGNYHSYPYHHYSSYTTSSTSSAQSSATISGNSLYYQQQYNNWPYYQSQPAPIVNGAAGLENTSATSLQSAGYSAEGASSGYPYISNQPPPPGTTSWREDSRPSGMPSFQGKEAVTPYHLNKNWEAVAPGMQTHHINQSSYFQQPLNSTPLPNEKHANEQKVLYSLAPNLQHAASNQVPENFQPPLQTVPLTETRQVSKMQIPTNPRIAPNVGVSMLKTQKESCIVSAATKPAYISVSVPKPSNQSSSEDDAGAITKGTFSPSFCAYVERSFARCKDDAQRSANQSILKQMITMATADGTLFTKNWDTEPLFSLPVDQSSQQSLVSDSSLPTHKRSPTRRKSRWEPIADEKLGEKLTSVDSHSAIDARWGQVEAAEKKVDSKISESKSVNGWGGFKYASFQQQSALQKFGQRPNKKQRFSDTSSVTENGDPSSDSDKEQNLTKYYASAIALANSPEEKRKRENRFKRFEKGQGNQAELTNFRPKTAGGGSMYTRRAGALLKAKSYEDGCNKAVEDIDWDSLTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALQMVQSSQKNYLYKCDQLKSIRQDLTVQRIQNALTVKVYETHARLALEAGDLPEFNQCQSQLQRLYAEGIQGCYMEFAAYNLLSIILHSNNKSDLLSSMTRLSAKAKDDEAVKHSLAVHSAVSSGNFILFFRLYKTAPNLNTFLMDLYVEKMRFEAVQCMSKSYRPVIPVAYITCALGFSKAIQMDDSQDTSIEGVEECEEWLRAHGAVLTVDNNGELQLDAKASSTTLYMPEQEDAVAHGDASLAVDDFLTRTS >Ma01_p22630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25576082:25589499:1 gene:Ma01_g22630 transcript:Ma01_t22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MTSQGVEPVVAVDSRSTEAVDVGQPHGSSYQSSHPGPQSLPSSSGQLTVSSSGYHYDPQRQMSVSGDTQEGINTASHASTSTNLGAENAAQAYSGYVPYSSSTVPYNYTSVGYQNSFYGYHLQGNDSSTQQIGGDQNSGAAYQPLSTFQNSGSYVAPTSYLGTYYNAGDNQTGTGYETNSYNYQTSLWNDGNYHSYPYHHYSSYTTSSTSSAQSSATISGNSLYYQQQYNNWPYYQSQPAPIVNGAAGLENTSATSLQSAGYSAEGASSGYPYISNQPPPPGTTSWREDSRPSGMPSFQGKEAVTPYHLNKNWEAVAPGMQTHHINQSSYFQQPLNSTPLPNEKHANEQKVLYSLAPNLQHAASNQVPENFQPPLQTVPLTETRQVSKMQIPTNPRIAPNVGVSMLKTQKESCIVSAATKPAYISVSVPKPSNQSSSEDDAGAITKGTFSPSFCAYVERSFARCKDDAQRSANQSILKQMITMATADGTLFTKNWDTEPLFSLPVDQSSQQSLVSDSSLPTHKRSPTRRKSRWEPIADEKLGEKLTSVDSHSAIDARWGQVEAAEKKVDSKISESKSVNGWGGFKYASFQQQSALQKFGQRPNKKQRFSDTSSVTENGDPSSDSDKEQNLTKYYASAIALANSPEEKRKRENRFKRFEKGQGNQAELTNFRPKTAGGGSMYTRRAGALLKAKSYEDGCNKAVEDIDWDSLTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALQMVQSSQKNYLYKCDQLKSIRQDLTVQRIQNALTVKVYETHARLALEAGDLPEFNQCQSQLQRLYAEGIQGCYMEFAAYNLLSIILHSNNKSDLLSSMTRLSAKAKDDEAVKHSLAVHSAVSSGNFILFFRLYKTAPNLNTFLMDLYVEKMRFEAVQCMSKSYRPVIPVAYITCALGFSKAIQMDDSQDTSIEGVEECEEWLRAHGAVLTVDNNGELQLDAKASSTTLYMPEQEDAVAHGDASLAVDDFLTRTS >Ma01_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25576082:25589499:1 gene:Ma01_g22630 transcript:Ma01_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MTSQGVEPVVAVDSRSTEAVDVGQPHGSSYQSSHPGPQSLPSSSGQLTVSSSGYHYDPQRQMSVSGDTQEGINTASHASTSTNLGAENAAQAYSGYVPYSSSTVPYNYTSVGYQNSFYGYHLQGNDSSTQQIGGDQNSGAAYQPLSTFQNSGSYVAPTSYLGTYYNAGDNQTGTGYETNSYNYQTSLWNDGNYHSYPYHHYSSYTTSSTSSAQSSATISGNSLYYQQQYNNWPYYQSQPAPIVNGAAGLENTSATSLQSAGYSAEGASSGYPYISNQPPPPGTTSWREDSRPSGMPSFQGKEAVTPYHLNKNWEAVAPGMQTHHINQSSYFQQPLNSTPLPNEKHANEQKVLYSLAPNLQHAASNQVPENFQPPLQTVPLTETRQVSKMQIPTNPRIAPNVGVSMLKTQKESCIVSAATKPAYISVSVPKPSNQSSSEDDAGAITKGTFSPSFCAYVERSFARCKDDAQRSANQSILKQMITMATADGTLFTKNWDTEPLFSLPVDQSQQSLVSDSSLPTHKRSPTRRKSRWEPIADEKLGEKLTSVDSHSAIDARWGQVEAAEKKVDSKISESKSVNGWGGFKYASFQQQSALQKFGQRPNKKQRFSDTSSVTENGDPSSDSDKEQNLTKYYASAIALANSPEEKRKRENRFKRFEKGQGNQAELTNFRPKTAGGGSMYTRRAGALLKAKSYEDGCNKAVEDIDWDSLTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALQMVQSSQKNYLYKCDQLKSIRQDLTVQRIQNALTVKVYETHARLALEAGDLPEFNQCQSQLQRLYAEGIQGCYMEFAAYNLLSIILHSNNKSDLLSSMTRLSAKAKDDEAVKHSLAVHSAVSSGNFILFFRLYKTAPNLNTFLMDLYVEKMRFEAVQCMSKSYRPVIPVAYITCALGFSKAIQMDDSQDTSIEGVEECEEWLRAHGAVLTVDNNGELQLDAKASSTTLYMPEQEDAVAHGDASLAVDDFLTRTS >Ma01_p22630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25577198:25589499:1 gene:Ma01_g22630 transcript:Ma01_t22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein A [Source:Projected from Arabidopsis thaliana (AT2G39340) UniProtKB/Swiss-Prot;Acc:F4IUY8] MQHKHILVMCLTQVLLYHITILQWDTKIPFMVIIYKAMIHLLSKSEEIKIQTGTGYETNSYNYQTSLWNDGNYHSYPYHHYSSYTTSSTSSAQSSATISGNSLYYQQQYNNWPYYQSQPAPIVNGAAGLENTSATSLQSAGYSAEGASSGYPYISNQPPPPGTTSWREDSRPSGMPSFQGKEAVTPYHLNKNWEAVAPGMQTHHINQSSYFQQPLNSTPLPNEKHANEQKVLYSLAPNLQHAASNQVPENFQPPLQTVPLTETRQVSKMQIPTNPRIAPNVGVSMLKTQKESCIVSAATKPAYISVSVPKPSNQSSSEDDAGAITKGTFSPSFCAYVERSFARCKDDAQRSANQSILKQMITMATADGTLFTKNWDTEPLFSLPVDQSSQQSLVSDSSLPTHKRSPTRRKSRWEPIADEKLGEKLTSVDSHSAIDARWGQVEAAEKKVDSKISESKSVNGWGGFKYASFQQQSALQKFGQRPNKKQRFSDTSSVTENGDPSSDSDKEQNLTKYYASAIALANSPEEKRKRENRFKRFEKGQGNQAELTNFRPKTAGGGSMYTRRAGALLKAKSYEDGCNKAVEDIDWDSLTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALQMVQSSQKNYLYKCDQLKSIRQDLTVQRIQNALTVKVYETHARLALEAGDLPEFNQCQSQLQRLYAEGIQGCYMEFAAYNLLSIILHSNNKSDLLSSMTRLSAKAKDDEAVKHSLAVHSAVSSGNFILFFRLYKTAPNLNTFLMDLYVEKMRFEAVQCMSKSYRPVIPVAYITCALGFSKAIQMDDSQDTSIEGVEECEEWLRAHGAVLTVDNNGELQLDAKASSTTLYMPEQEDAVAHGDASLAVDDFLTRTS >Ma10_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13936216:13957755:1 gene:Ma10_g03450 transcript:Ma10_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASGLSGSSASVSNHLDEFSGREDGDGATAAEGSEPEVATPAGGILAVRASAAATSMVYLPHTVVLSDFRHEGFEDCAAVGPSDNGLVSKWRPKDRLKTGCVALVLCLNIGVDPPDVIKISPCARMECWIDPHSMAAPKALETIGKALHAQYERWQPRARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPISELDSWLKTPSIYVFDCSAAGMIISGFLERQEWNSSGATVSSSKDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCSRSLLRGSLSYSLIDQIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRAANCSPVSYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTATELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSMDAYPEQRAMAAFVLAVVVDGHRRGQEACIQANLIHVCLKHLQLANPSDGQTEPLLLQWLCLCLGKLWEDFPEAQIIGLQADGPSIIVPLLMEPQPEVRAAAAFALGTLIDVGTITFGEGHGGVEDIDVDEKIKSELSIIRSLLQVAADGSPLVRAEVAVALARFSFGHNKHLKSIAAEYWKPQANSLLSSLPSLASISNPSCYNPSQFIQVGSTISSNIGPVLRGSSDNTAGVRDGRISTSSPVTSTGVMLGSPLSDDSSHHSDSGILIKETASNGVIDYPRARPLDNAQYSQFILAMCNMAKDPSPRVANVGRRALSIIGIELVVAKAARFGAGGIHQGDSCAPSHLSNLSGLARSSSWFDLNAGQLSMTFRTPPVSPPRQNYLTGLRRVCSLEFRPHQLSSPDTGLADPLLGASGSSGGSERSLLPQSTIYNWSCGHFSRPLLAGADDSEETIARREERERIALDRIAKCQHSSNSKLGNQIASWYTKFETGTKAALLLPFSPIVVAADENERIRIWNYEEATPLNSFDNHDSSDRGISKLCLVNELDDSLLLVASSDGNVRFWKNYTVKGKQKLITAFSSVQGHRGAARGTNAVVDWQQQSGYLYASGEISSILLWDLDKEQLVSSIPSLSDSSISALSASQVHGGQFAAGFVDGSIRIFDVRTPEMPVCTARPHTQRVERVVGIGFQPGLDPTKIVSASQAGDIQFLDIRNQTEPYLTIDAHRGSLTALAIHRHAPIIASGSAKQIVKVFSLRGEQLSIIRYYPTFMAQRIGSVSCLTFHPYRVLLAAGAADACVSIYADDSYQAR >Ma04_p04740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3619911:3626204:-1 gene:Ma04_g04740 transcript:Ma04_t04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNEMIALKKIRLEQEDEGVPSTAIREISLLKEMQHCNIVRLHDVVHSEKRIYLVFEYLDLDLKKHMDSCPEFSKDPHLIKKFLYQILRGIAYCHSHRVLHRDLKPQNLLIDQRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDLWSVGCIFAEMVNQRPLFPGDSEIDQLFKIFRVLGTPNEETWPGVTSLPDFKSSFPRWPSKDLASIVPNLEPAGVDLLLKMLYLEPGRRITARKALEHEYFKDLGMVP >Ma04_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3619911:3625483:-1 gene:Ma04_g04740 transcript:Ma04_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYEKVEKIGEGTYGVVYKARDRLTNEMIALKKIRLEQEDEGVPSTAIREISLLKEMQHCNIVRLHDVVHSEKRIYLVFEYLDLDLKKHMDSCPEFSKDPHLIKKFLYQILRGIAYCHSHRVLHRDLKPQNLLIDQRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDLWSVGCIFAEMVNQRPLFPGDSEIDQLFKIFRVLGTPNEETWPGVTSLPDFKSSFPRWPSKDLASIVPNLEPAGVDLLLKMLYLEPGRRITARKALEHEYFKDLGMVP >Ma07_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27348950:27351604:1 gene:Ma07_g19320 transcript:Ma07_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRSTVFRALHQWRSNADRPPSLPLPPEPSSFATAVRSSASLRVLKPLHAAVLRHHLDDLVLSVALACRYSQLGLPRAGLSLLSPAAADPSLLPAADTFFWNLLVRGLVESGAHDRALALYRQMREPRGGAQPNNFTFPPVLKACSYLGDFEEGVKVHDDAAELGYDSDVFVRNSLISMYGKSGALDTAKRLFDEMPDRSVVTWTAMIGAFAQNGHPEDALALFRRMLEERVRPNRATFLTVMPCVIRCHDADDLHKLIIRYHLESEVIVQNAIMGMYSRCGKIEQARKLFDGIAEKDLASWSSMIEAYARADMFEEAMKLFRNMKLLEIVPDRVTLLGVTCGCSNSAVASLRHARLIHGFAIRSLLIEDLMVETAVIDSYVRRGSLSSARRIFDQMREKNLVTWSTMISGYGMHGRGVEALELFNRMKCLMKPDHITFVSVLSACSHAGLIVEGWQCFNSMTTEFGIVARAEHYACMVDLLGRAGQLEKAREFIEKMPIKPDSSVWGSLLGACRIHPDAKITELAATSLFELDPQNSGRYILLSNIYTSLGKIEEAHSIRVLMRKRGVKKIAGYTVIELNNKLYKFLVGDCSNPQSDLMYRELERLMDRIKEAGYVPNTNFALHDVEEETKVKSLYMHSEKLAIVFGLINTGPESTIRIHKNLRVCGDCHTATKFISKVTKRKIVMRDSHRFHHFSDGECSCGDYW >Ma10_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24705798:24706830:-1 gene:Ma10_g11100 transcript:Ma10_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSASAGSGGTKACRRGHWRPGEDEKLRQLVEEFGPRNWNSIAEKLKGRSGKSCRLRWFNQLDPRINKRPFTEQEEERLVAAQQVHGNQWALIARLFPGRTDNAVKNHWHVIVARRHRERSKLVRKRPLQRFQILSDVELSSIHLLPQTYPNPKRSSSVYRRSSQLHDQPPRRYRRLPRWSLVACEEDAKYKKAAPLDSGKCSSESDCCHAVNACEMVGHGDDSDMSIDHRAMNEKTDRRKNVPFIDFLGVGMTS >Ma05_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4578656:4579352:-1 gene:Ma05_g06140 transcript:Ma05_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASNKPTDGQTPTRRRDRDSQQLSATPLDTPSSTANTDHDADARLSTLGLAVLTYSSVTAVYRSRDDPSAVAFVVIAYADLLLLLRCVRCLEGGTAADRPRLKAAVWCLATLLTLMFSCKVAAIMPRPVAVMVWSMAAAVCLGGFWALFLCQEPSSQRIAK >Ma08_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3544521:3548562:-1 gene:Ma08_g05170 transcript:Ma08_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTAFHSAQVSRLVPFNRAHALLYSVAVLAALHRRLAALLAPATLLSISVSLPLLLADLVLAFMWLTYQALRWCPVRRRDFPDRLALSVDPKDFPAIDVFICTADPHREPPMSVASTALSVMAFDYPTDRISIYVSDDGGSEITLFAFMEASRFARYWLPFCKENGIVVRSPEAYFRSSNGGDSEKMKMMYQTMKEKVETALERGYVGNDLVSSQEEAEVFKRWKGLPSHDHPSMIQVLLDTSKDTDIMGNALPNVIYVSREKRPTSPHHFKAGALNVLTRVSSTMSNGPMILTLDCDTYSNDPRSPLRALCYFLDPALSPDLAFVQFPQIFQGLNKNDIYACEVKRLYTINPRGKDGLGGPNYVGTACYFSRRSLQGTPSSTSLAPGANDPLSSESVLRKAHEVASCTYEPGTKWGSSIGFRYGSLSEDYHTGYHLQCEGWKSVFCYPARPAFLGDVPKNLNDVLSQRKRWCVGLFEVAFSKCCPLTFGTVKASLLVGLCYAHEAFWGSWCIPITIYGFLPQLALIYGIPLFPKVSDPWFYLYAYLFFTTYGQDLLEFLAADGTMGRWWSDQRMWMIIGLTSYLFGTVQFVLKKFGISAQGFNVTSKVMEDEQSKRYEKGTFDFGVESPFFVVLGAVATVNLSSLVLGIARAATMEGGFDELFVQLFLSAFVTANCWPIYEAMFLRSDEGKLPRNVTVISLALAGFLHCIGYLIFHN >Ma08_p05170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3544521:3548562:-1 gene:Ma08_g05170 transcript:Ma08_t05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTAFHSAQVSRLVPFNRAHALLYSVAVLAALHRRLAALLAPATLLSISVSLPLLLADLVLAFMWLTYQALRWCPVRRRDFPDRLALSVDPKDFPAIDVFICTADPHREPPMSVASTALSVMAFDYPTDRISIYVSDDGGSEITLFAFMEASRFARYWLPFCKENGIVVRSPEAYFRSSNGGDSEKMKLQMMYQTMKEKVETALERGYVGNDLVSSQEEAEVFKRWKGLPSHDHPSMIQVLLDTSKDTDIMGNALPNVIYVSREKRPTSPHHFKAGALNVLTRVSSTMSNGPMILTLDCDTYSNDPRSPLRALCYFLDPALSPDLAFVQFPQIFQGLNKNDIYACEVKRLYTINPRGKDGLGGPNYVGTACYFSRRSLQGTPSSTSLAPGANDPLSSESVLRKAHEVASCTYEPGTKWGSSIGFRYGSLSEDYHTGYHLQCEGWKSVFCYPARPAFLGDVPKNLNDVLSQRKRWCVGLFEVAFSKCCPLTFGTVKASLLVGLCYAHEAFWGSWCIPITIYGFLPQLALIYGIPLFPKVSDPWFYLYAYLFFTTYGQDLLEFLAADGTMGRWWSDQRMWMIIGLTSYLFGTVQFVLKKFGISAQGFNVTSKVMEDEQSKRYEKGTFDFGVESPFFVVLGAVATVNLSSLVLGIARAATMEGGFDELFVQLFLSAFVTANCWPIYEAMFLRSDEGKLPRNVTVISLALAGFLHCIGYLIFHN >Ma03_p07330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5126691:5138398:-1 gene:Ma03_g07330 transcript:Ma03_t07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGTNFEIDVKPEDTVAAVKRYIETSQGKTVYPAEQQMLIHQGKILKDDTTLDENKVCESTFLVIMLSKTKGSSSGASTTVQAPATQTKGSSSGASTTVQAPASQAPPTNTAPPVPSAQASAPSQAPSATLPFVPASTPAPTAAAAATPVATISSEVDAYGQAASNLVAGNTLEQTIQQILDMGGGTWARDTVVRALRAAYNNPERAVEYLYSGIPENAEAPPVARAPASEQTTNAPAQAPQPVQAAVPSGGPNANPLDLFPQGLPNVGSNAGGGSLDFLRNSPQFRALQALVQANPQILQPMLQELGKQNPQIMRLIQEHQGEFLRLINEPAEGTEGNPLGQLAGGMPQTLNVTPEEREAIERLEAMGFDRALVLQVFFACNKNEELAANYLLDHMHEFED >Ma03_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5126691:5138398:-1 gene:Ma03_g07330 transcript:Ma03_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGTNFEIDVKPEDTVAAVKRYIETSQGKTVYPAEQQMLIHQGKILKDDTTLDENKVCESTFLVIMLSKTKGSSSGASTTVQAPATQTKGSSSGASTTVQAPASQAPPTNTAPPVPSAQASAPSQAPSATLPFVPASTPAPTAAAAATPVATISEVDAYGQAASNLVAGNTLEQTIQQILDMGGGTWARDTVVRALRAAYNNPERAVEYLYSGIPENAEAPPVARAPASEQTTNAPAQAPQPVQAAVPSGGPNANPLDLFPQGLPNVGSNAGGGSLDFLRNSPQFRALQALVQANPQILQPMLQELGKQNPQIMRLIQEHQGEFLRLINEPAEGTEGNPLGQLAGGMPQTLNVTPEEREAIERLEAMGFDRALVLQVFFACNKNEELAANYLLDHMHEFED >Ma05_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34229056:34229524:-1 gene:Ma05_g22500 transcript:Ma05_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCITLLPETGESRDATLHELLYISQSRAQTGVTVHEQNCNGSEQASLGVSRHCALACGPHACTASTRPQPAQNCSAALVGLATCASYAVPGSHGAPSDECCTAMKGVDRVCLCDTLNIISRMPAACKLSPVTCSDLPS >Ma01_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3044150:3046885:-1 gene:Ma01_g04520 transcript:Ma01_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTLPPFAFKLLLLTLLQATTTAAAASAPPSPNVVSPGCNDTCGGVSIPYPFGIGDGCFREGFEVTCEVGNGSATPRAFLGGREGNITVEEIFLPQGQARILNYISWDCFNSTDGVVAGQRPSLDLGDKPFWVSSTKNRFTTMGCNVVGILLGGDNYTSGTGCASFCFEGANITSGSCSGTGCCQTNIPEKLDNFTTGLAYFINISSYKDYSPCTYAFIADEARFSFDKSDLGNRTFEDKHKDGVPLVLDWVAGNQTCEEAKRNPSYACRSTNSECFKSPSLQGYICNCSTGFQGNPYLQDGCKDIDECSSPNLYTCHGKCNNTPGNYSCSCPKGHSGKDPKSEPCVRDHGIPTSTKIVIGSCVGLVLFITCIFCIILAFQRRKLLREKDKFFQQNGGLRLYEEIRSKQIDTVKIYTEEDIEKATDNFDKSREIGRGGHGTVYKGNIADGRVVAIKRSKVVTDDQSEEFIREMIILSQINHKNIVRLLGCCLEVEIPMLIYEFIPNGTLFEFIHDNDGKLIPLTTRLRIARESAEALAYLHSSASPPIVHGDVKSLNILLDHNYVPKVSDFGASRMMSIDETQFITMVQGTLGYLDPEYFLVRQLTAKSDVYSFGVILVELITRKKAIYYDGSSQGKALAFSFIEAMKDSRLEEILDDQIIGKETMDVIHEIAELAKECLNMKGDERPTMREVAEKLHMLGGFLRVSSTHHAPEECEALLGESSMSSTLDSVGYHSLENKLGFDVKAGR >Ma11_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22483535:22498482:-1 gene:Ma11_g17100 transcript:Ma11_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGVACAPSQHIMERFPIPESLCGGKGVLTSSPFMAEKKARNGEMGEEVERKGESGVVKGLKLDRRVKEGELEIGKLGLERHRKEEMEEGELQKGELEKGELQNGEIEKGEFVPKKWRKSELEVGERRRRDELEKGEVISDRRNKGELERRDFVAGKWNSSRELEKSLYQSSRGRKVDLEKGEFVPERSRKSDLERTPEDSHRRKDGRPSDTDFRKRSSSSRSDGSMHERDAKKFSRPSEVEPGEVKHDVSNGKSRDMGGNMGKWPKWQAVESEISNHKHHFDSSDQSGSKTHWKPEEINCSTNSRRNETTSVSKVSSINKHSSSRFNDSSLASRGSHGRSPGCSERSPKERTHHRDHRERSPRHLDRSPHEKSPDCSERSLKERAHHRDHKERSLRRLDRSPHEKSRHSDHRDHTPSHSNRSPRQRARHHDYHDRTLAYSERSPHDIRHAAEHRESSKKNRSSEKQQSSRHDDRLGRKEYSDKDILKNKSNKSSSDRCAADNKEKLLQNSNRQSSETPLPPPPPLPPPPALPPPPPPAGVLEDPSSMEEDMDISDTPPRDPVSSNIDAGKWFYLDHFGMEQGPSKLVDLKHLVDEGVLHSDHLIKHADSDRWVTVENAASPLVPLNLSSVVSDVVTQMVSPPEAPGNLLVDAGILCPETSSSTLLQQELHTDCSPVLPEYLENYHIDERVETLLDGYTIIDGKELEIIGEALNATFEHADWEKWGQLEGFSRFKSQTPFIHPKDERVGRDFEGLSKGSSEIRPVAFSEKDHTVPTGGSSDWFAGRWSCKGGDWKRNDEVGQDRSYRRKLVINEGYPLCQMSKSESKDPRWHRKDELYHSSRDKRLDMPLWAFSSIDDNNESSSDPSKSTVTSRSGQTKPLLLKGVKGAILPVVRINACVVKDQGSPEHRMRVRSSERHPSRSSRSHSSSDRILLHEGPSRSKKLDEHNSQNLQRCQTDLNVPKDHICSIDELSVNLGDWFYLDGAGYEHGPLSYLELQELVGKGAILEQSCVFRKNDNTWLPITKKFKSSEADNTEEEARTSTASSLSSFVQSPCNNINNASHSLHISYPQFIGYTRGKLHELVMKSYKNREFAAAINEVLDPWISAKQPKKEMDKHFPFNSSITKSSAVLAHNLSGNNIWKPEDGIYRDGKRARFFSGESDGDSDLEEALLPFEKNDYSFEDLCGEVNIFEDNVPTSQTENESWGLLSGRILARVFHFLKCDMKSLLSSAATCKHWNSVVNFYKIICRHVDLSSAGSKCTDAVFQSIMGCYDEKNLTSLVLTGCYNVSASALEEVLRLFPCISYIDIRGCNQFKEIQAKHPNISWIKRSGLCKTKNHEESYSKIRSLKQITGTYRSLGSHLEESDDLENYCNGEFNCLDRKNLSCLKFTPGVYKRPKLVDARRSSELLSRDAQMRRWLHRKTEISYKKMEEFIANTLKDIMRGSKSEFFMPKIAKIEDRMRSGYYVRHGLNSIKDDISRMCRDAFKSKSQGDAVDTKKIIMSFIQLVKRLENPRLIGAVKDSSDTGLCSESKYKKKQNKVSSEKKGINRSINTSYANGGTDYRSYAFDREIKRSLSKLKKKEMDSESETSEDDFSEEDGGEGESTASDTESDLEVQSGSGTWDLKGDESSKMDESFESVVTDDREWGARMTKVSLVPPVTRKYEVIDKYLIVADEEEVQKKMRIALPDDYSEKLLAQKSGIEESDMEIPEVKEYKPRKMLGVEVIEQEVYGIDPYTHNLLLDSMPDEPDWPLADRHKFIEELLLRTLNKQVRQFTGSGNTPMVFPLQPVVEDMQKNAEEGGDKRAVKICQAILKAIRNRHDDNYVAYRKGLGVVCNKREGFEQDDFVVEFLGEVYPAWKWFEKQDGIRSLQKNSQDPAPEFYNIYLERPKGDRDGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYSLRPIGYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLAGEEAFEKVLKDCHGVLDRHKLMLEACEANIVSQDDYFELGRAGLGTCLLAGLPDWLVAYSAHLVRFINFERTKLPNEILRHNLEEKRKFFSDICLEVEKSDAEVQAEGVYNARLQNIALTLDKVRYVMRSVFGDPKKAPPLVEKLNAEGLISILWRGEGSLVEDLLHSMAPHVEADLLSDLKSKIQAHDPSGSDDIQSELRKSLLWLRDELRNLPCTCKCRHDAAADLIHMYAYTKVFFKVQDYKSIKSPPVYISPLDLGPKYADKMGSGFQEYCKTYGENYCLGQLIYWYSQVNADPDCRLVRARKGCLSLPDISSFYAKSHKPLREHVYGSRTVRFMLSRMEKDPQRPWPKDRIWVFKSNPKFFGSPMLDAALNKCPLDKEMMHWLKTRPSVFQG >Ma07_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:962710:964995:1 gene:Ma07_g01260 transcript:Ma07_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTAMTSTLQLPPNPVKLTRKQASATTTRVHCSASSNSLLNLATNATPAYFPIPFHKEATTSVASKSRRPAQSGGDDGAARTSWNLLQWVAAAALDTVEQAFVSNVLERGHPLPKTADPAVQIAGNFAPVDERPPCHGLLVEGRIPVSIDGVYVRNGANPQFEPVAGHHFFDGDGMVHAVRLCNGAAAYSCRYTETERLRQERAIGKPVFPKAIGELHGHSGVARLLLFYARSLFGLVDGSRGMGVANAGLVYFNDRLLAMSEDDVPYHVRITPSGDLETVERYDFGGQLGSSMIAHPKLDPVSRELFALSYDVIHRPYLKYFYFSPDGEKSADVEIPLEQPTMTHDFAITENYVVVPDQQVVFKLQEMVRGGSPVVYDTTKTARFGVLPKYAADASEMRWVDVPDCFCFHLWNAWEEPATGEVVVIGSCMTPPDSVFNEREENLRSVLSEIRLDLHTGESRRRPILSPADQVNLEAGTVNRNMMGRKTRHAYLAVAEPWPKVSGFTKIDLFTGETKQFIFGDGRYGGEPYFVPSNDSDACSDDDGYVLTFMHDEETLESELLIVNAANMRLEATVKLPSRVPYGFHGTFITSSDLESQA >Ma03_p20010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25232018:25238758:1 gene:Ma03_g20010 transcript:Ma03_t20010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYASISLATSLSSRLRSCASLSALRAGQQAHAQVLAHGLLPHVTLETDLMLTYARCSNLPLARQVFDRMRHRNMHSWNILLSAYVQASLFDDALSLPRSLLHSGLRPDHFTLPSLLKASAAIGDSFRGMTFHNWAVCLGHEENVIVRSSILDMYAKCGSLDDALYLFETMPNRDIVMWNSIISGYARVGCSAQALDLFRRSQWEVEEQDFRAIPSVLNACGREGDLRRGQEVHGRAVRCCLFDSNVAVGNSLIDMYAKCGCANDSCKVFSSMGEQKNIVTWSTLISCYGVHGERNGSLALFEEMLAQGMKPNHITFTSVLSSCSHSGLVEEGRRIFDSIRGIHEVEPSIEHYACLVDLIGRSGNVEEALEMIHRMPMEPTASVWGALLGACVVHKNVEVGEIAAFRLFDLEARNPSNYVALCGIYEAVGRLDCVAKVRVRMKELEMVKTPGCSWIDVKGRLQAFYQGDVSHPSVKHIHEMLNWLTQTMTTSTMVDLDWHL >Ma03_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25232018:25238758:1 gene:Ma03_g20010 transcript:Ma03_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYASISLATSLSSRLRSCASLSALRAGQQAHAQVLAHGLLPHVTLETDLMLTYARCSNLPLARQVFDRMRHRNMHSWNILLSAYVQASLFDDALSLPRSLLHSGLRPDHFTLPSLLKASAAIGDSFRGMTFHNWAVCLGHEENVIVRSSILDMYAKCGSLDDALYLFETMPNRDIVMWNSIISGYARVGCSAQALDLFRRSQWEVEEQDFRAIPSVLNACGREGDLRRGQEVHGRAVRCCLFDSNVAVGNSLIDMYAKCGCANDSCKVFSSMGEQKNIVTWSTLISCYGVHGERNGSLALFEEMLAQGMKPNHITFTSVLSSCSHSGLVEEGRRIFDSIRGIHEVEPSIEHYACLVDLIGRSGNVEEALEMIHRMPMEPTASVWGALLGACVVHKNVEVGEIAAFRLFDLEARNPSNYVALCGIYEAVGRLDCVAKVRVRMKELEMVKTPGCSWIDVKGRLQAFYQGDVSHPSVKHIHEMLNWLTQTMTTSTMVDLDWHL >Ma09_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3900382:3905027:-1 gene:Ma09_g06040 transcript:Ma09_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFD1 [Source:Projected from Arabidopsis thaliana (AT4G30930) UniProtKB/TrEMBL;Acc:A0A178UZG0] MASRRCLGALTRHLRSRLFSASPLENPQTLSLRSLGFAIPQRTSSDLDICRRAFRCSISIRFGGVRRCSSRPTNPSDEEEDEDDESEEEEEENKEGGVSSDGENGDVRASKCVVQRGKSDEEKVEEAAEIGYKVIEPLDSSENPFKSYEPFFAVVQIGSHQFKVSNGDSIFTERLKYCEVNDKLILNRVLMLGSRTETVVGRPIVPDAAVHAVVEEHTLDATVIIFKKKRRKNYRRTKGHRQELTKLRITDIQGIDKSENVAAVA >Ma03_p29550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32422596:32426860:-1 gene:Ma03_g29550 transcript:Ma03_t29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAALLLMRGAPLRARVLRATAAALPRRFASGLVSTDEFVSPPAAASAGEGAPATSGGPKGPVAPLYMQGVPWEEQPEYRRWKDKEEEILKDIEPIRILTKEILHSDRYGDGERLSAEDEKEVIEKLLTYHPHSEDKIGCGLDSIMVDRHPQFGNSRCLFVVRTDGGWIDFSYQKCLRAYVKRKYPSHAEKFIREHFKRS >Ma03_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26421554:26431663:1 gene:Ma03_g21440 transcript:Ma03_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVWVWLAATEGWAYNVAASFQVTHWQSVLEPAYPSVYEHAGNPLGTLYLHRWDLDPAIDVLTMCICHLPSYEPLRSEVLLMRKALQRYRHILNADEHYSTWQEVEDDCKKDPEGLALRLAGKGAVFAALEVAESASLSVELRRELQGRQLVKLLTTDPLSGGGPAEASRFLSSLRDSDDALPVAVGAMQLLPDLRSKQLLVHFFLKRRVGNLSDTEVAQLNLWALGLRVLALLPSPSQQRCSALHEHPHLILEVTLMMKQLQSASLILKDFPSLRDDNLILAYAAKAITVNVGAAHRETRISVSGSRSKQKTRSGTPSMSNFASSIGNWQREARRAFSWTNRDNAPKIPPKDVHRKRKSSGFMHSDRVAWEAMSGIQEERATAFSADGQERIPFVCIAEEWVLTGDPIKDNVVRASHRYETSPDITLFKIHFDEGLSARHRAAGEATRVVSKSVRGKSASEKLSEDGLVKFSARVAIQHNHSALISIPPTELPTICQVEEQEE >Ma11_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24516181:24517902:1 gene:Ma11_g19800 transcript:Ma11_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTRGRDMSRMTEQQDARKHASFVPSSTSSSSSPSLPPSSVAPFRWNDGSSGSSGRGEESCVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAGDNGKGLLLSFEDRNGESWRFRYSYWSSSQSYVMTKGWSRFVKEKRLHAGDTVSFGRGLGDSGRDQLYIDWKRRPGNHDAARMPQIYFPGVSFARSVGPWGTHLFMPPPPPPPPPTTTAYDQHLQGYGCNVMSPGALGGQLIFFRSPLTAPPPVEMHSGGLSMVLDPAPVVHDQATAKNVRLFGVNLVCAGSEGEPVPRSERTSPSWLPSPEASALPPLQNQHSSVESSLASSSSTSK >Ma09_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29901690:29902896:-1 gene:Ma09_g20710 transcript:Ma09_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQNRMAFLLGLLLAGVIAVAGQNCGCSSDLCCSKWGYCGTGSDYCGAGCQSGPCEASPPANDVSVADIVTQSFFDGIIGQADGGCVGKSFYTRDAFLSALGSYTSFGRVGTVDDSKREIAAFFAHVTHETGHFCYIEEIDGASKDYCDKTNTQYPCVAGKGYYGRGPIQLSWNFNYGPAGESIGFDGLSAPETVANDVVISFKTALWYWMNNCHSLITSGQGFGATIRAINGALECDGKNPATVNARVGYYQDYCGQFGVDTGDNLTC >Ma03_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5933140:5939894:1 gene:Ma03_g08250 transcript:Ma03_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGRAPRISHWKGLHERLSRIFFLCYLLIVVRLELIVEAKPTSHLPEAAPTSPATVAAASPLDEWNQVHSAITPSKVEISLSASLPLVSRRHLKYTIEKAAASQLPIRGSPDYNTLTASLNLSSLVNPPMPNSQHTSTELAITPSSVGHSSPVYSNSTSLPSGLAQPPLSPETECCEPNMVQRQGTQDCHCVYPVKVELFLQNVSLISNWSNEFLQELSYQLELRIDQFEIVNFYVVGAFGLNITMDIAPHTGISFSADQVIAMNSSLALHRVRINPGLVGDYRLLNLTWFRPLAPPPAPLWASSPMASSPAAPYLPASVPNKDTGGGNHSSFIIVIGICISILLAVTLVMLVICSCTSSKRKEVPAEEAVKSRIADTVSVEAVLPHPTSTRLFSYEELKDATNNFESASMLGEGGFGRVFKGILSDGTAVAIKKLSSGGHQGDKEFLVEVEMLSRLHHRNLVKLVGYYSCRDSAQNLLCYELVSNGSLDSWLHGPLGANCPLDWETRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDSNRLEELADPRLAGKYPKDDFVRVCTVAAACVAHEANQRPTMGEVVQSLKMVQRVAECQDPLPTPSTNPHNKQSSTTFESDATSSMFSSGPFSGLSLFDNDNISRTAVFSEDLHEGR >Ma03_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26767329:26767868:1 gene:Ma03_g21880 transcript:Ma03_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRCFARCRRLIAISQREVTNCYHALLDRRHHILPLIQTFFSEVVGPTFESQNYTFDVYVTTRLVEFRIVESQCGVRPGLKTAVPYDYLDTREGSGWDQFLRRADEELRKQAQTILHPSNDAYRRTKDLSA >Ma04_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20661350:20663170:1 gene:Ma04_g18610 transcript:Ma04_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQEEGFSFCPPDSYSDLDSSFTSSTTSASTLSARSSLSLPSFSTSSSSSSSSFAIKPLPRNSSDPHWSALRAVGNLSPDGLLHLHHFRLIRPLGSGHLARVFQCRLNGFDDDRSPVEFALKVIDLDALSRSKADYSKPDNDNDDEDCGDEGGKTWHVSAEARALAEMDHPFLPTLYARLDASHYACFLIDYCPGGDLQSLLSRRRGNRLPPAAARFYAAEVLLALEYLHALGFVYRDLKPENILLRADGHVMLSDFDLSFRSHVSPALLRRSRLPRRRSRFLCCRFGAGGASGEGEELEFVAEPSSAFSRACVGTHEYLAPEVVSGSGHGSAVDWWAFGVFLYELLYGRTPFKGATKEATLRNILTREVRFPETINGGDEAEMAKAKDLITRLLVRDPAARMGSAAGATEIKRHPFFEGLWWPLIRCVRPPVVPGPAGGPRVQAATEGSGRWLSGWRKSSNNDKISNRKKRGTKPGLGFGFRVRSQKKGTKERSGGA >Ma06_p37990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36891102:36898907:-1 gene:Ma06_g37990 transcript:Ma06_t37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKVQGCCADTRPGYASPLEAMSGPREALIYVTCVYNGTGIEKPDYLATVDVDPNSPTYSKVIHRLPVPNIGDELHHSGWNACSSCHGDPSVVRQFLILPSLLSSRIYVVDTAKIPRAPSLHKVVEPTDILQKTGLAYPHTSHCLASGNIMVSCLGDKEGNATGSGFLLLDSDFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFSKGFNLQHVSDGLYGRHLYVYSWPDGELKQTLDLGNSGLLPLETRFLHDPSKDTGFVGCALSSNMVRFFKTTDGLWNHEVAISVTPLKVRNWILPEMPGLITDFLISLDDRYLYFVNWLHGDVRQYNIEDPSKPVLTGQIWVGGLLQKGSDVVCVSEDGVESQFSVPVIKGNRLRGGPQMIQLSLDGKRLYVTNSLFSVWDQQFYGPDLVKKGSHMLQIDVNTEQGGLTINPNFFVDFGTEPDGPALAHEMRYPGGDCTSDIWI >Ma06_p29280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30706813:30711537:1 gene:Ma06_g29280 transcript:Ma06_t29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALARLSRRTLADPSRLVPLRRLLSAEAAAPAVSPAAGPPLTPSDRVKWDYRGQRRIIPLGQWVPNIAVDAYVAPNVVLAGQVTVCDGASVWNGAVLRGDLNKITVGFCSNVQERCVLHAAWKSPTGLPAETLVERYVTLGAYSLLRSCTIEPECIIGQHSILMEGSLVETNSILESGSVLPPGRRIPTGELWAGNPARFVRKLTHEEILEIPKLAVAINDLMQSHFSEFLPYSTVYLEVEKMKKALNISL >Ma09_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17794666:17795715:1 gene:Ma09_g18350 transcript:Ma09_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFLTTLRGLARVWFSHLHQSSVSSFDQLAREFEQNFLASSLIEKPPVTISEMLQRANQYVAAEALVAGKRMEGKRPRVEQPRGSTSANLVPPRRGLDRQELLSRPPPLPLKASRTEIVLQIREKGLLRHPRPMRATHKDQSKFCRIHLDYGHDTEDCHDLQNQIEGLIRRGYLGRYLKEPREATLSPKELVERQIDVISEGLAASDNSSAARKAYARSTVKKHPQPEIEPEITFGAREVEHSHHDDALVISIQVANARVKRVMVDTGSYADVLYFDTF >Ma04_p30540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31182114:31184135:1 gene:Ma04_g30540 transcript:Ma04_t30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKVAFAFVFYLLIHLMVLAQPAAADGTAASMTDATALLLLKDSFKDAADALSSWSSSTPPCGPPSQWLGVICLHGIVIGLRLANLGLSGTINVDALSHFKGLRSVSLNNNNLSGPLPPGLASVRTMRSFFLSHNRFDGEIPDAVFSSMSRLKKLWLDHNQFSGPIPTSIFNATKLTELRLDDNAFDGLIPSLNLSSLKSFNASNNRLTGPIPASLARFDASSFAGNPDLCGPPLSSTPCPTTSPAPTMEELPKEQSSFGKTLAILVGIAVAVAALIAIVTLLRGRRREDKFDTLSMVASAEAMESAAVAAPPQVPGSIQKQAEESGSSHKRSGSRRGTGTAAVRGAAELVMINEDKGAFSLTDMMKASAEVLGNGGLGSAYKAAMANGLTVVVKRMRDANRIGKEAFDGEMRRIGKLRHPNVLTPLAYHYRKEEKLIVSEYVPMGSLRYVLHGDRGPNYHALDWPTRLKIARGMARGMAYLHAELASLDVPHGNLKSANVLLGDDFEPMITDHGLAALVGAAQASQVMFSYRTPEGTQHRLVSPKSDVYCLGIVILELITGKFPSQYINNTKGGTDVVQWAASAIAEKREAELLDRVIASHPSTGDMVRLLRVGAACVDPDPEQRPEMADVAVLIGEIADAAAVEQ >Ma09_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35098596:35099458:-1 gene:Ma09_g23170 transcript:Ma09_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLLSIAAQVTAAAAAVSAAMLLAGVGVLVVMHVCTVGKAFRRWSTATSARDRRGGPSRGLSPDRLKRLPCYAFGAGRDGTLDCAVCLESFRGGDRCRLLPACGHSFHAECVDPWLLTAPVCPICRRRADGRSPGTASPNGPTHQAHVRFDVDPVS >Ma01_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12673883:12682579:-1 gene:Ma01_g17310 transcript:Ma01_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSNSASHEPAASSSDKRELEARGKNKNQDETKFTVPFYRLFSFADSTDVILMVVGSLGALGNGLALPLMTVLFGDLIQSFGGASDTHDVLRRVSKVALEFVYLAVGAGVTSFLQVACWMATGERQSARIRNLYLKTILRQEIAFFDKETKTGEVVERMSGDTVFIQDAMGEKVINVIFAILTGSFSLGQIAPCMKAFAAGQAAAYKMFETINRKPEIDAYDANGKELDDIRGEIEFNDVYFSYPARPDEQIFSGFSLFIQQGTTLALVGESGSGKSTVISLIERFYDPQAGEVLIDHINIKEFQLRWIRGKIGLVTQEPVLFATSIRDNIAYGKDSASVEEIRAAAEIANAAKFIDKLPKGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMANRTTVIVAHRLSTIRNADTIAVVHQGSIVEKGSHTELLKNPNGAYSQLIQLQEVNRDEDIKSGPRLDKSDVWNGSARSSGKILSFHHSISHGSSGRHSGRHSFQLPVGLHVGVDVQDSTYEKVDPKIPPEDSKEVPLRRLAYLNKPEIPVLILGSVAAIANGVMFPMFAMLLSNVINAFYQPPQKLKRDSNFWSLLFLVFGGVALFSLPARSYFFGIAGSKLIRRIRLMTFQKVVNMEIEWFDDPENSSGAIGARLSADAATVRSLVGDALGLIVENITTLVAGLLIAFIANWQLSLIILVLLPLLGLNGYIQMKFLKGFGKDAKIMYEEASQVANDAVGSIRTVASFSAEEKVMEIYKQKCEGPTRKGIRLGIISGAGFGFSIFFLFCVYAAGFYAGARLVDSGKTTFGKVFRVFLALAMAAIGISQSSSLAPDSSKARSASASVFAILDRKSKIDPSNDSGMILETLKGNIELCHVSFRYPMRPDIQIFQDLSLTVHSGKTVALVGESGSGKSTIISLLQRFYNPDSGVILLDGIDIQKLQLRWLRQKMGLVSQEPTLFNETIRSNIAYGKEGMATEAEIIAAAELANAHKFISGLHKGYDTLVGERGLQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVDRTTIIVAHRLSTIRGANVIAVVKNGVIVEKGNHETLISIKDGAYASLVALHLSSGS >Ma10_p20760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30816767:30819298:1 gene:Ma10_g20760 transcript:Ma10_t20760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMERVCVPRCNFTRIMALLQIILGIFVILISIASLHRFYSVGYFLHSTDGESCQKFHTINDGYADFDLKALNDRMEEVLIRLSELQDKLESTIQKLDKESKGRSAAKTISRTEFRMFLEEEVIQPLYSAHVALRLIRIPQPDAVRAPGSPMEDPLINFFTVEETRKYITGKGNRNGKASVFGTNKTYNTIGHACVLMRNELEEYMNYDIGSYCRDDWNLAQKLMLGGCDPLPRRRCLARASRLYQRPLPINESLWTMPDDRNVRWSNYNCRDFKCLSSRNPRRGFSKCTGCFELHKEKLKWVTNTSLADFLIGDVLAVKPGEIRIGLDISIGTGSFAARMRERNVTIVSASLNVGAPFGEMIALRGLIPLYATLNQRLPFFDNTLDLIHTSVFLDGWIDLQLLDFVLFDWDRVLRPGGLLWVDKFFCNRKDLDDYMYMFLQFRYKKHKWVVSFKSKDDVYLSALLEKPPRSL >Ma10_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30817080:30819298:1 gene:Ma10_g20760 transcript:Ma10_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMERVCVPRCNFTRIMALLQIILGIFVILISIASLHRFYSVGYFLHSTDGESCQKFHTINDGYADFDLKALNDRMEEVLIRLSELQDKLESTIQKLDKESKGRSAAKTISRTEFRMFLEEEVIQPLYSAHVALRLIRIPQPDAVRAPGSPMEDPLINFFTVEETRKYITGKGNRNGKASVFGTNKTYNTIGHACVLMRNELEEYMNYDIGSYCRDDWNLAQKLMLGGCDPLPRRRCLARASRLYQRPLPINESLWTMPDDRNVRWSNYNCRDFKCLSSRNPRRGFSKCTGCFELHKEKLKWVTNTSLADFLIGDVLAVKPGEIRIGLDISIGTGSFAARMRERNVTIVSASLNVGAPFGEMIALRGLIPLYATLNQRLPFFDNTLDLIHTSVFLDGWIDLQLLDFVLFDWDRVLRPGGLLWVDKFFCNRKDLDDYMYMFLQFRYKKHKWVVSFKSKDDVYLSALLEKPPRSL >Ma10_p20760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30817080:30819298:1 gene:Ma10_g20760 transcript:Ma10_t20760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMERVCVPRCNFTRIMALLQIILGIFVILISIASLHRFYSVGYFLHSTDGESCQKFHTINDGYADFDLKALNDRMEEVLIRLSELQDKLESTIQKLDKESKGRSAAKTISRTEFRMFLEEEVIQPLYSAHVALRLIRIPQPDAVRAPGSPMEDPLINFFTVEETRKYITGKGNRNGKASVFGTNKTYNTIGHACVLMRNELEEYMNYDIGSYCRDDWNLAQKLMLGGCDPLPRRRCLARASRLYQRPLPINESLWTMPDDRNVRWSNYNCRDFKCLSSRNPRRGFSKCTGCFELHKEKLKWVTNTSLADFLIGDVLAVKPGEIRIGLDISIGTGSFAARMRERNVTIVSASLNVGAPFGEMIALRGLIPLYATLNQRLPFFDNTLDLIHTSVFLDGWIDLQLLDFVLFDWDRVLRPGGLLWVDKFFCNRKDLDDYMYMFLQFRYKKHKWVVSFKSKDDVYLSALLEKPPRSL >Ma05_p28240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39315568:39316388:1 gene:Ma05_g28240 transcript:Ma05_t28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPPRMPPRPFAPPPPKVSPSIPPPPNRRSPPPPSPSKQTPPPPPRRRPPPPPPPKKAPPPPPRRMAPPPAPVRPPPLVPPPPSPNHTVIIVVFVSLGGLLLLACLAAALFCCIKKRKKKMAFAVDVADRVHVHETVVPGPHGQQLATRSIDEDVKVHEVFKKGAVTGEASLSEPASGKQRSSSTIGGAGAPVTGRHHLLWHKG >Ma01_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8376864:8385359:-1 gene:Ma01_g11590 transcript:Ma01_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRAALPRVAFRAPISASPPASSFPSQISRSAFPPRRVFRYRVHSEAKLGTVIQVKEDACPSNTWKIKILYDGDCPLCMREVNFLRERNRSYGAINFVDISSKDYSPEENQGLDYETVMGRIHAIQSDGTVLRDVEAFRRLYEEVGLGWVYAITKYEPMATVANAIYSVWAKYRLQITGRPPLEDVMELRRKNVGETCSNDKVCKT >Ma05_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20505320:20506390:-1 gene:Ma05_g17560 transcript:Ma05_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRRATPATIHRTASLLLIVLALLLVTPRRVSAQSTATPSGDGPSGYSVRIKPGVAALVIGLICGFFFLGFFAVYIHQCSSGSSGGTRPSGAVESRAAASRAARRGLDPEVLASFPTMAYAEAKEHKKGKGALECAVCLSEFAHDDTLRLLPRCCHVFHVDCIDVWLGTHVTCPVCRANLAEPEPADAADAACESTPTEDVGATVEGDLEGGRLPAVGYRRWHSTGHKGEEVDRYTLRLPGHVRQEIFTAAGLRRAASVAEARARGEASGSRGYRGGRSGRWGFLLRTFSVRRRADGTATEGSSKRVYPSAGAPLNLALEIGGGGAANSEPAKEEEAEAAASSSATPAATERV >Ma11_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26956242:26959559:1 gene:Ma11_g23690 transcript:Ma11_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERLAESKKELDALLSDDALANVPFLILGNKIDIPYAASEEELCFHLGLNNFTTGKGKVNLTDSNVRPIEIFMCSIVRKMGYGDGFKWLSQYIK >Ma02_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19255454:19257002:-1 gene:Ma02_g09110 transcript:Ma02_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMECKSKDDTSPRVKVFGVHAAEEEAGHGSDSSSSTTTTAAAPGGAGDGGDGRKYECQFCCREFANSQALGGHQNAHKKERQRLRRAVQMQHRQGVVGCFRQPAGVLCPRNPIVSAFAPPAHLFPDSSAAGGPPTGWVYFSREPSAPAPAPSPPFHVSHGCVFPSSSGARVPPVTPLYSFSATGYGGDARGGRLYDDSSVTGPTSFARFTVSGPGKMVTDSARADDSVGLDLQLSLAPAGS >Ma03_p33320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34721137:34721527:-1 gene:Ma03_g33320 transcript:Ma03_t33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCECRPLGWLLGLPFALLSLVVSIVGAAIWIVGLPVSCICPCCLCVTILVEFAVELIKAPLHVMRWFASQIPC >Ma09_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8015985:8016729:1 gene:Ma09_g11820 transcript:Ma09_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVWMAATVAVVQGHTDHGINSSQLRSLHRAGDRLASAAAAAAATGVGIGRALGGAGAEERRKQADDSLRQVMYLSCWGPS >Ma04_p29040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30001366:30002260:-1 gene:Ma04_g29040 transcript:Ma04_t29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPVVDSAQAGAKPAKTKKAKITTAPKAKKPAAHPPYAEMIKEAISVLKERSGSSLHAIGKFIEDKHKAHLPSNFRKMVLLQLKRLAAAGKLTKVKGSYKLSTVSAPVKTRSAAAPKKPVIAPAKPKSKSKPAVVAKPKAKTAAVKPKVAAKRKSPAKPNLKSATRPAKAAAKHAPGRVAAASTKSGNAKAPKAVNSAVKKPTAKKAKK >Ma08_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34454094:34459260:1 gene:Ma08_g20360 transcript:Ma08_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYARRRLEERRPLGRRSKGLGLDKKRKKSKSVSVKNQIRSTERLLRKDLPNEVRRAQEQKLNELKKQQELQTRLALEHKIRLRDRKIKFFERRKIERMIRRLEKQQRASSDHVSDERILDQLSKLKEDLNYVRFFPKTEKYVSLFAGGDNPDTVDKRNKLRDQIKANLIAAAANGKDLEETASDDDALDVSEDDFFLSGSSSDEAEADDEWTDKSTREPASSASGKATSGMSSDERNQRQMSARVLMPPPRSLPPRRSRPIENVEISTSSNASNSGSGPSSRNTRFVNEARGHHSNLSSNSDAHKPRRKRRPKKKKQA >Ma10_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4396159:4397594:-1 gene:Ma10_g01290 transcript:Ma10_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDPLQSCELSSKEAAKMAKDRICEKKLPSSSPSIEFFKQSGWSDAQVMKLTRRQPKLIFANVETVLKPRMRSLQDMGFSDTEIIQLVFSCPTLLYFRDIQPTINFWRSLLGSNERFLKACKRNLFILTSSLARNIEPSISLLREHGISDERIAHMVVTMPGYFGRIDKLKEVIKYIEELGVPRDSGVYTYALHVVVNVSRSKFDAMSVTLMSFGWSQPDINALFRKCPNIWSFSKKNICDKMTFLTKEAGCELTCISRYPMLLKYSLEKRLRPRYEVLKFLNQNKLLDREHNLPSVMIPNEEKFRKKFLFLLRKEKFIAEYDSYVVAVQGKHHVVADNLDC >Ma10_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15464742:15469991:1 gene:Ma10_g04810 transcript:Ma10_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRGLLVVLLVSVFLNASCIAESEKAIVKRIRTAPQRNLENVVIDGTGKIAGFDSSEGSFQGFDDRRVGNSRVSVSTVAWLTLAMAAATGLGSVPFFFVELEPQYAGVCNGLAAGVMLAASFDLIQEGQIYGSGNWVVLGILAGGIFILLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGPKGLSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPHNAMLWSVITSLPQPIVAVPSFLCADTFHKVLPFCTGFAAGCMIWMVIAEVLPDAFKEAVPSQVASAGTLAVAFMETLSTVLQGFSHSHSSEDTSGFLVSLLFGLGPLLGGIILVAFALAFYLQHSLLIGVASGIAFLLAIWRPLQLLMSSKIGFLSLSFFLAAGSAFYHMATACIPRLVRRRKASVNDIAFSSGFSISAITLQSFFACGAISLHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWRGALAASALTGLAGPTSAIGAILAGIDYNGLDYWMVFACGALVPSFGRILLRALRLEARKSTYGFLMGLGFASLCLMSTRLVCLHTPYCNSAPEAVT >Ma10_p04810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15464742:15469991:1 gene:Ma10_g04810 transcript:Ma10_t04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRGLLVVLLVSVFLNASCIAESEKAIVKRIRTAPQRNLENVVIDGTGKIAGFDSSEGSFQGFDDRRVGNSRVSVSTVAWLTLAMAAATGLGSVPFFFVELEPQYAGVCNGLAAGVMLAASFDLIQEGQIYGSGNWVVLGILAGGIFILLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGPKGLSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPHNAMLWSVITSLPQPIVAVPSFLCADTFHKVLPFCTGFAAGCMIWMVIAEVLPDAFKEAVPSQVASAGTLAVAFMETLSTVLQGFSHSHSSEDTSGFLVSLLFGLGPLLGGIILVAFALAFYLQHSLLIGVASGIAFLLAIWRPLQLLMSSKIGFLSLSFFLAAGSAFYHMATACIPRLVRRRKASVNDIAFSSGFSISAITLQSFFACGAISLHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWRGALAASALTGLAGPTSAIGAILAGIDYNGLDYWMVFACGALVPSFGRILLRALRLEARKSTYGFLMGLGFASLCLMSTRLVCLHTPYCNSAPEAVT >Ma08_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2314657:2315973:1 gene:Ma08_g03070 transcript:Ma08_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIEALKVRAESSKLVKPLYQGEPPCADLCIPLSVFDKITYNLHVELIYAFRPPTPSNSDIEKGLARALSEYREWAGRLREDAQGELVILLNDAGIRFVEATSDDVLGRDVLEPSRALLRLHPWVNGVEELLQVQLTRFACGTLVVGFTSHHMVADGHSMSRFIVAWGLATRGLPMDPLPLHGRGAFFIPRNPPRVEFEHRGVEFTAKNASDTEEDVPLTADLVVHKAHFSREFLESLKAKASLGADRRYTTFESLMAHLWRVVNVARGLDEHITSTVHISVNGRARLRPPVPDEYFGNLVVWACPRAKVGELVNRPLQSIAEVVREGIAKMDDRYFRSLIDFASSKEVTEGLKGTIEAKEAAFCPNFEVNSWVRFPFWDLDFGSGKPFLFMPSFYAVEGMIFLVESPTGDGSIDVYVTLFSHNLDSFKQLCYLLD >Ma06_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23015007:23016643:1 gene:Ma06_g24490 transcript:Ma06_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRGRFSRVGWLRRSRPLPSFHRWGGDLQDVIIRLRTSRIRNHVTAVVFLILRLGSMKLE >Ma09_p27040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38139644:38140441:-1 gene:Ma09_g27040 transcript:Ma09_t27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHQNPVFFFFLFFELVFFCAATRASGCNQTCGSATVSYPFGFSDGCGIRLNCSAAGEMQLSGHRIRVITHETIILDVQPVCDRSILAVEGLFRSHYAMTSSNTLFLRNCSSQRDFGCIISTEKMSRRLNVTSCGLQGDDTICYSSRKTGGFLSWEELTEATAGCEFMFASARYGGDTFSKPSLVFGEAETGWWLNGECRCATNANCKRLDTPVSGGSTGFRCSCHEGFVGDGFADGAGCARGQSCVFSSINHHFHCMLFHLR >Ma06_p30650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31865321:31869760:1 gene:Ma06_g30650 transcript:Ma06_t30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRIIKSQIWDTAGQERYRAITSAYYRGALGAILVYDVTKPTTFENVSRWLKELRDHADPNIVIMLIGNKTDLKQLRAVASEDAQSYAETEGLSYIETSALEATNVEQAFQLILAEIYRVISKKNISSDEPGPGIAGSVKEGKTIVVSASESNTKKQCCST >Ma09_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12210165:12210503:1 gene:Ma09_g16770 transcript:Ma09_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGVHPKCLKRSKAAKKTPIKVVYISDPMRVTATAATFRSLVQRLTGRDSVLETTDDSSTASASPSAASSTPSNHGVSCNVEQENSLVAPIEAFDEAFVARMLESFSGFM >Ma10_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26262382:26265066:-1 gene:Ma10_g13470 transcript:Ma10_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRLDGSRVGETRTRIPLRPRCSKDDSRFPENSKNDKVDRPSKDWDKVCTKFRKQGKKSMFSEFKPDKYVNWNPRDSDYPLSEEVDPIKRTERPNLMLWTSPKFTLAGAIVMVSMLLIYTL >Ma05_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2237279:2238080:1 gene:Ma05_g03130 transcript:Ma05_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGRRSGPLLLQLLPSSLTVLLRAIVQRILFRKRGGQTPQAYGVLYSDEMGDMHEAYVKDGSAGEIILSAGALGSPQLLMLSGVGPSEHLRSFGIEVVLDQPMIGRGMSDNPMNIITVPSPQPVEISSIQVAGITHSGNYIESFTGFNLAASLVHDTSGGGTETFDQVVFRFLSKLDEDSNYDKTRLTLDDASRNSTWSM >Ma08_p26470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39029261:39039823:-1 gene:Ma08_g26470 transcript:Ma08_t26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYRSDNMDEMAEDYEMGDVEDDMYEEFQGRGLGDSDSDDEEYGSLNGRATDISAAQARKGKDIQGIPWDTLNITRERYRKTRLEQYKNYENVRNSGEASEKDCKQTDKGGMYYEFQRNTRSVKSTILHFQLRNLVCATSKHDVYLMSHYSVLHWSALNGEKYEVMNVSGHIAPCEKHPGSLLEGFSQTQVSTLTVKDNLLVAGGFQGELICKFLDREGISFCCRTTYDDNAITNALEIYDSASGAVHFMSSNNDSGVRDFDMEKFQLCKHFRFQWPVNHTSLSPDAKLVVVVGDDPEGILVDAHTGKTVHALLGHLDFSFASAWNPDGQTFATGNQDKTCRIWDIRNLSKSVSVLRGNLGAIRSIRFTSDGRFMAMAEPADFVHIFDVRNGYNKRQELDFFGEISGMSFSPDTEALFVGVWDRTYGSLLQYSRLRNYSYFDSLL >Ma11_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3695686:3707399:-1 gene:Ma11_g04770 transcript:Ma11_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSRNTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSMIEAACSAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLIAYARANNKVLIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKEGKVHKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALREAGAVVPTSYEAFETAIKETFEKLVEEGKIAPVPEVEPPQIPEDLKSAIKSGKVRAPTHIISTISDDRGDEPCYAGVPMSTIIQRGYGVGDVISLLWFKRSLPRYCTQFIEICVMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVELLQQYAHTHFPSVKYMGYAVQVEAYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Ma08_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10576204:10576554:1 gene:Ma08_g13400 transcript:Ma08_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHGCFKGYMVPKRLTFPSGIKALADYVHGKGLKLGWYLLGCRLADSSYSCTMTLIISSTTTVTMMI >Ma01_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2220465:2230162:-1 gene:Ma01_g03390 transcript:Ma01_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEMAEATDEVFVCFEVDLDYEFDAPRYFDLGREETPAEARAAELWFDTAGSYPPSPLIAKLIFQKDTNVANTSTAPNLEDLVYTNPEAAHADAAAPGFSIVQEMEKGCTFHSSILQGVSKGDHISTVNNCFSKRSTLMKPTASQLAKKKWPREVKSISRLQKPLEVKREQRFEDSNDYIHQAAKRQRLEKGHCCKVTDAKQQINLFHKVPEKKNGLSDANNQLPRLRLTIPREPELETARRAFSFRAQRQRLVDAKCLVEGMPQFTSTFKAHPLNRKILEAPSLPLPQKSTPRLPKFKEFNFRTHSRALLHSTTSSTLTSGSHIPTTTGDVKSSVRAQFQTSGHQLQQNECKRDAEVKDISTKFRPQALNQKILASKGDIGVFRSTKRDTTIPKEFNFLTTKRFQQNPLNELFNKLSLASEAQKANAQRKFPLPSHLDAKDSKENLISVVHK >Ma03_p06200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4277559:4282036:-1 gene:Ma03_g06200 transcript:Ma03_t06200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAFWNSPVGPKTTHFWGPVANWGFVIAGLVDMQKPPEMISRNMTSAMCVYSALFMRFAWMVKPRNYLLLACHASNESVQLYQLSRWAKAQGYLEKKEPEGQH >Ma03_p06200.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4278597:4282036:-1 gene:Ma03_g06200 transcript:Ma03_t06200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAFWNSPVGPKTTHFWGPVANWGFVIAGLVDMQKPPEMISRNMTSAMCVYSALFMRFAWMVKPRNYLLLACHASNESVQLYQLSRWAKAQG >Ma03_p06200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4277930:4282036:-1 gene:Ma03_g06200 transcript:Ma03_t06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAFWNSPVGPKTTHFWGPVANWGFVIAGLVDMQKPPEMISRNMTSAMCVYSALFMRFAWMVKPRNYLLLACHASNESVQLYQLSRWAKAQGYLEKKEPEGQH >Ma03_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4277559:4282036:-1 gene:Ma03_g06200 transcript:Ma03_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAFWNSPVGPKTTHFWGPVANWGFVIAGLVDMQKPPEMISRNMTSAMCVYSALFMRFAWMVKPRNYLLLACHASNESVQLYQLSRWAKAQGYLEKKEPEGQH >Ma03_p06200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4277559:4282036:-1 gene:Ma03_g06200 transcript:Ma03_t06200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAFWNSPVGPKTTHFWGPVANWGFVIAGLVDMQKPPEMISRNMTSAMCVYSALFMRFAWMVKPRNYLLLACHASNESVQLYQLSRWAKAQGYLEKKEPEGQH >Ma10_p30360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36675228:36688361:-1 gene:Ma10_g30360 transcript:Ma10_t30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREQLLDYYANNKNLGDVEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRVKKQNEYFRSYMHQDAHEFLNFLLNELVDILEKESDAAKSSPETLSPSKKIANGPSHPVANGVQKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLTNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLLFDDENVEMIDESTVQTFFGSSQEFSGNTDHGYILFYERLGGKS >Ma07_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3600104:3605975:1 gene:Ma07_g04920 transcript:Ma07_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLAEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMEWIEVQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTYEFGLIDKKELAPLQELIESINVPY >Ma10_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21809053:21812971:-1 gene:Ma10_g07400 transcript:Ma10_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHPGHHIHITAADHQALPSAALTAHIDGASGRNGELIAAADAQALQQYHDADHSSGSVGHGMEEDGVGAMDHEGMETEGPSDPSHLGDAQTLISPQVGGNQLTLSFQGDVYVFDSVSPEKVQAVLLLLGGREMHTGLNPFPSTSVQNRRVNIPHRVASLMRFREKRKERNFEKKIRYTVRKEVALRMQRNRGQFTSSKSKAEDSTGGATTTDANQNWGSPENKTPGASACHHCGISAKSTPMMRRGPDGPRTLCNACGLVWANKGTMRDLSKNPAPTTPNALSEPKEGDNTAEAVGSQPIAVGVNGHDTS >Ma05_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34187736:34192409:-1 gene:Ma05_g22470 transcript:Ma05_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVNLPPGRRGPGGRQWTLLDLVSAAFFAAVLVFFVLVFTSLGDSLAASGRRALARSSTDDPRQRQRILALLDPPSSAAGRTVVIDACSAEEVDNMPCEDPRRNSQLSREMNFYRERHCPPPEEMPLCLVPPPKGYRIPVSWPESLHKIWHDNMPYDKIAERKGHQGWMKEEGPYFIFPGGGTMFPDGAEQYIAKLGQFIPINKGLLRTALDMGCGVASFGGFLLKENIMTISFAPRDSHKSQIQFALERGVPAFVAMLGTRRLPFPAYSFDLVHCSRCLIPFTAHNGTYLIEVDRLLRPEGYLVISGPPVQWAKQDKEWADLQAMAHAFCYELIAVDGNTAIWKKPSGASCLPNLGNFRLDQCSDNDDPNEAWYVKLKKCVSKVPLSSETSIGSIPKWPKRLSKPPARVSLMKNGIDVFDADTRRWARRVAYYKKSLGVKLGSPQIRNVMDMNAFFGGFAASLSSELVWVMNVVPARKPLTLGIIYDRGLVGVYHDWCEAFSTYPRTYDLIHVAGINSLIRDTSGKNRCSLVDLMVEMDRMLRPEGTAVVRDSPEVIDKAAHIARAIRWTVHVHESEPESRAGEKILVATKTFWTLPATSS >Ma08_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8945822:8948080:1 gene:Ma08_g11920 transcript:Ma08_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTTMLAWSVLEFGRWMPKELNHAREAVRWGTDYLLKASAPLPSALYVQVGDPKADHDCWERPEDMSTPRSVFKVTPSTPGSEVAAETAAALAAASLVFKHVDVGYSKKLLQTAKKAFAFADQYRGNYSDSLSSVVCPFYCSYSGFQDELLWGAAWLYKATRNSSYLRFAQSLGINYNSETFSWDSKIPGAAVLLARESVVDENEAASGFREQAERLVCNVLPKSPSVIVNYTPGGLLYMLNGSNMQYVTSTTFLLSTYAKYLKTSSQTMKCGDAVVTSLRLRKLVKRQVDYILGDNPSRMSYMVGYGDEFPQRIHHRGSSIPSFRLNAEAIGCDEGFQFYYDDKPNPNELTGAVVGGPDENDKFADNREDYSQSEPTTYINAPLVGTLSYLAARFRP >Ma03_p27330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30757740:30763523:-1 gene:Ma03_g27330 transcript:Ma03_t27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVAAAVVALVLVLVVALWCAAAAQNLSSVSDLSGLYSLRASLGLRARDWPRRVEPCTSWAGVACSAAGRVLGVDLSGLRRTRLGRQNPRFAVDGLRNLTQLRSFNATGFALPGPIPDWFGRDLAPTFAVLVLRDASVLGSIPDSLSGAAGLTVLVLARNAITGNIPPTLGQLGNLTVLDLSRNVLSGSIPTSFGALVNLSYLDLSSNFLSGPVPLALGTIRDLKTLILGNNSLTGSIAAQLGDLSSLTVLDLSFNSLAGSLPDDLQNLRSLQNLSLGNNSLSGSLSADLFASLTRLQSIKLSHNHFSGALPDSLWSLSELRVFDVSFNNLTGILPDLTPAVVNENISGALFDLSSNLYYGSISSRFGRIFTEFVMVDISNNYLEGALPVDTASNNITFGLNCFEDAINQRKPEECLQFYTERGLPYDGNVTQSPHPSSTSKKGHRNLKYILIGTLGGTLVLMISILLLVYCLKRSGGPRVEQQESGGAEGPPGDGTQASSVVVNLSAVGEAFHYEQLVRATSGFSDVNLIKHGHSGDIYRGVLESGVSVVVKRINVQVRKDAYAAELDLFSKGLHRRLVPFIGHCLENENENENEKFLVYKYVPNGDLCSALQRKPEPEEGFHSLDWLKRLKIATGIAEALCYFHHECSPPLVHRDIQASSILLDDKFEVRLSSLSEVCAQEGEAHQNVITRLLRKSQTSEQATSGPPATCAYDVYCFGKILLELVTGKLGISGSSDATINEMLDHTLPYINMYEKGLVAKIVDPFLVVDEDHLEEVWAMAIVAKSCLDPKPSRRPPMRHILKALENPLKVVREDNNSGSARLRATSSRGSWNAAFMGSWRRSSSEIASVPGQLREDQLLRRSGTTRSQGSGGEQSFSRKRPSKEIFPEPSGLRDMDD >Ma04_p27900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29043258:29073368:1 gene:Ma04_g27900 transcript:Ma04_t27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSEKRPLYNLDDSDDDDFVVGKGSKSKQEEKPAEKIERDDAKEDSCQMCGTSGSLIPCATCTYAFHKRCLYPTSKAVLGDKWSCPECVSPLTEIEKILDCEMRPTVVDENDSSKSSSNQSYAKQYLVKWKGFSYLHCIWVPEKEFLRASKTHPRLKSRLNNFHKQLESMKNSDDDWVAIRPEWTTVDRIISSRKTDDEREFLVKWKDLSYDECTWEVETDISTFRPEIERYEMILSRRSKKFSNKSRNAIRDSKELKQKHKEFQHCDCSPEFISGTLHAYQLEGLNFLRFSWSKNTHVILADEMGLGKTIQSIAFLASLFEENISPHLVVAPLSTLRNWEREFATWAPQMNVVMYGGSSQARDVIKQYEFYYPKEKVKKQKKRKPIQTSNQKKQSIIKFNVLLTSYEMINMDSAVLKSIEWESMIVDEGHRLKNKDSKLFHQLKLYSTKHRVLLTGTPLQNNLDELFMLMHFLDAGKFASIEDFQKEFKDINQEEQIDRLHKMLAPHLLRRVKKDVMKDLPPKKELILRVELSSKQKEYYKAILTRNYQILARRGGAQISLINVVMELRKLCCHAYMLEGVEPATEPTDPVEGLRQLLDASGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYKKWTYERIDGKVSGAERQIRIDRFNAKNSTKFCFLLSTRAGGLGINLATADTVFIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGTIEERMMQMTKKKMILEHLVVGRLKAQNVNQEELDDIIRYGSKELFVDESDEAKSRQIHYDDSAIDRLLNRDHISGEESSVDEEEDDFLKAFKVANFEYIDEVEAAAAEEEESKKQLPNEKASNSNTDRANYWDELLKDRYEVQQIEEFTSMGKGKRSRKQMASAEEDIAGLRDVTSEDEDYSYEDDLTDTEASIPGSVSGRRGQFSKRKTRGYLEPIPLMEGEGKSFRVLGFNQNQRSLFQQLVMRFGFHDYSWKEYLPRLKGKSWQEVQDYAELFMRHLQEDITDLPNFSDGVPKEGARVDDILVRIAHIQLIEEKMKFMRENPGANLFPEDVLLHFPGLAGRFWKEEHDLLLLKAKLKHGYARWQYIIEDEEAGIIDIVRRELNLPTRSFSGSVQTNESANSAQPANTAHNANGSTEAAKAGYNSYQSRELQRRLVESIRKRYFLLEKALELECYKKKYASEQATQDPQVDPKVSEVNNSELLDVDELLRQMPQLEHICPEELACDNKDGRTELGRLYNEMCMVVEENAVDTMQAHLDDASAISRLKKRLHQLEIIHEDVHQILAERGQPSATEGSNVNAADTKCYINRESSEAVLTSQQEPECKIASSNEKTALERPEQAKINHLKG >Ma04_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:861083:864008:-1 gene:Ma04_g00960 transcript:Ma04_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIIIKKGNRRFSEFHDHIRSCRSYLILFRTGLLFFYMALIVLNNLFQV >Ma05_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27419341:27421297:1 gene:Ma05_g19300 transcript:Ma05_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPELSEEACSLVPGEDRLAFSITWDIDDTGNITVRWIGRSVIHSCCKLSYDDVQDIIDGGFEVDVSGKTVPKLHGQFELKDVVDSLRSLHGITKKMREIRLRNRAFWIETPKLIFLLDESGNPYDSLLDPMSMKLKEFQEFCRKLMFSEVRVLTVGPYFITVYIYKFARRIYFDEVEGLAVKWIATTSILVLSTPNTEPSQRSHLPRSCCEIEDIGLTMSQCRIVTPEDKNKCITIAPSSYNTESDVTVTPALRFHLVIRVLSIVPVVIHAIIEHNGHVNFEAKLYIQ >Ma06_p30540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31788847:31793897:-1 gene:Ma06_g30540 transcript:Ma06_t30540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSEAMARPANLTLYSYYWSSCAHRVRIALNLKGLEYEYKAVNLSKGDQFDPEFEKINPLKFVPALVDGDVVVADSLAIILYLEDKYPQHPLLPQDLKKKAINLQVASIVSSSIQPLQSFFTRQFFEKRISLDEKLTSSQNHVNKGFAAIEKLIKEIAGKYATGDEVQLADVFLAPQIFAGVARFQIDMSLYPTLTRLNEAYAELPAFQAALPHRQPDAPSTS >Ma06_p30540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31788847:31793897:-1 gene:Ma06_g30540 transcript:Ma06_t30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSEAMARPANLTLYSYYWSSCAHRVRIALNLKGLEYEYKAVNLSKGDQFDPDGDVVVADSLAIILYLEDKYPQHPLLPQDLKKKAINLQVASIVSSSIQPLQSFFTRQFFEKRISLDEKLTSSQNHVNKGFAAIEKLIKEIAGKYATGDEVQLADVFLAPQIFAGVARFQIDMSLYPTLTRLNEAYAELPAFQAALPHRQPDAPSTS >Ma06_p30540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31788847:31793897:-1 gene:Ma06_g30540 transcript:Ma06_t30540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSEAMARPANLTLYSYYWSSCAHRVRIALNLKGLEYEYKAVNLSKGDQFDPEFEKINPLKFVPALVDGDVVVADSLAIILYLEDKYPQHPLLPQDLKKKAINLQVASIVSSSIQPLQSFFTRQFFEKRISLDEKLTSSQNHVNKGFAAIEKLIKEIAGKYATGDEVQLADVFLAPQIFAGVARFQIDMAE >Ma09_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9447356:9448674:1 gene:Ma09_g13940 transcript:Ma09_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWRQLLFAVLLFCVLVDQAHLRKAVVEDEPPVSGGGDGKPPVPVIDCAGLCGVRCSRHSRPNLCKRACGTCCFRCKCVPPGTSGNREMCGACYTNMTTHGNRTKCP >Ma04_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18742035:18743058:1 gene:Ma04_g17740 transcript:Ma04_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGGVGRGRGGRGNPPGLGGGIAPRECECCRAAAGIPFCRVEWSFLCAGCAAGVHGQSWIVAVPPGPNPGSNLNPVAPSAYSLPYACQYPCPNSNPNPGPPPPPLPTAVPPPNPYPNANPSPGTNLNHVVQLSSDEDVASAGEDGDGGSSRKRRRASAEGESSAEASMMRDKEMRKNRKSRKVHADMKPRVDGKSVDTADSSGAEGEAGARGEGEPDSGGDEARVDSSEDARTDAVEELTDLGEQLRPVEAGEMVATSPSFEVEQFDEGVDLGQINAGEEVGLL >Ma07_p26180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33084322:33085860:1 gene:Ma07_g26180 transcript:Ma07_t26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRNTSVGYQVQASPFVAKTYEMVNDPRTDCLIRWGKGNNSFVVVNPSDFSQFLLPSYFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHHLFLRGQIHLLPHVIRRSKKSHVGLCAGSSSSSISGEEKGDVEGEAEKTLLQELYRLRQEQRALDEELQVMSRRLQATERRPHQMMSFLIKMAEDPESFSGLVISKKQQSAAAKKRRLVATAAAPPAHAINDGVFLLPSLLVPGTEQTVIETLGTGSDRMSIEEVKPFAFVPDVSAIHSYDAELGGLATAPEASIIGPNHASGISFLPDFALSTTSSSSETAAAASFPFSLLGHGFC >Ma03_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:817631:819130:-1 gene:Ma03_g01010 transcript:Ma03_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIIGISRNNGNEFVKKIASLALAFSKLSFLQIKMESHGEITDKAQALTNHASKWHVCATIESFAIDISKSDSEFPVFSFSFFFILSYDNMQKSMISLSQVNIDETDTNSTQNIILLMTYLENNRAGITILGFTVDRAWLHTIFIYAGNYFVPVVFGQDNWDLLKPPVSMIQIILFLFFSICV >Ma01_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13231163:13232140:-1 gene:Ma01_g17930 transcript:Ma01_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAIKILRTSFHAFFLSYHTFISTAALLVFPYSIVTLLSQSSSSSSPYLLPAISSRLWLLFEASGFPSSHFFALLNVKLSQAAFSFVAALPLGATSLILAKASVFQTIHGKLPSLASLLRLYPSLVLTYLCNSFLVLAANAAVLSLLFLAFNAADVLDLASSSLTLAISVAGVILYSLVLAHALITCNMATIISAAENTGGYLCILEALVLVHGKMATAITLALPASLGAAAMEALFHCRVIRPYSESGGKLQPLAILEAVSIAYSYSLLLVFDTIITCNFVKSCKSEEKDDVHV >Ma04_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15052199:15054378:1 gene:Ma04_g16270 transcript:Ma04_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWRFCLLVGMLLLMAVSGGEAQLSPSFYQLACPNVESIVRQAVVKKLSQTFVTVPATLRLFFHDCFVEGCDASVMVASPSGDAEKDAPDNLSLAGDGFDTVIKAKQDVEARCPGVVSCADILAIAARDVVVLSGGPDFAVELGRRDGLISQAERVAGRLPGPDLDLNRLSDLFRMNNLTTHDMIALSGAHTVGFSHCSRFAGRLYSSAVDPSLNPAYARLLMRACPHNVDPTIAVNMDPFTPTVFDNLYYRNLLNGEGLFSSDQVLFTNLLSRSAVKRFAANQTSFFGAFTTAMVRLGRVGVKTGSQGEIRNDCTAFN >Ma03_p33340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34727750:34733738:-1 gene:Ma03_g33340 transcript:Ma03_t33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 57 [Source:Projected from Arabidopsis thaliana (AT4G27800) UniProtKB/Swiss-Prot;Acc:P49599] MALVSPRLPRSLPCDGAGGGGRRRRRARGTWCSAIAVDAPLASVSGIRWGSSKLQGARSEMEDDVVLRSDGLAGFSFAAVLDGHAGLSSVEFLREELYKECVLALQGGLLLTSKNFAAVRDAIQKAFEDVDAKLLIWLEQTGKEVESGATATVMFIRNDILIISHIGDSCVVISRTGKAEVLTNPHRPYGKNRVSLEEIKRVRAAGGWIVDGRICGDLAVSRAFGDMRFKSKKNEMLMKGVEEGRWSDKFVSRIQFKGDLVTSSPDINQVALGSDVEFVLLASDGLWDYMKSSDAVSFVRDQLRQHGDVQLACEALARVALDRRSQDNISIVIADLGKTDWQNLPEQGPNYLYEISQALATISVVSLGIWMSSFLLV >Ma04_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23695419:23696528:-1 gene:Ma04_g21040 transcript:Ma04_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPPAPPPPPQAPAVGPRMSAVEVDRQPSIASHPSPMGILSPSLLIIAAIIAFVIVASFSIHLLLRFLSFRRRSSSAVTALSVSLARSRSASGSSAAAADSALSDRENAALIDSLPVFTLASALASLPKSSPDCAVCLCPFRPHDELRLLPACRHAFHSSCVEPWLRNTPSCPLCRASIALPIPPLQVPPSSAAPPVAVDRDTSRSGSFRIEIGSVSHRRTSSGEESGNNPPPLPPSLRTYSIGSSFEFLVEEEVEAVVARIARRSEKVVKPGDSAADASPGAPGEEVAEAAGGGRRWLKEYVDRLASSASSSFSSLRFSGRWSCRYDEDGGGSVGRHSWDLEGSARREAEEGGYYGFYRWLIGA >Ma00_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13738324:13739398:1 gene:Ma00_g01950 transcript:Ma00_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSGDDAAAATVSGTKGTWLYATVSEAPPKRPAGRTKFRETRHPVYKGVRQRGAAGRWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAAMMLRGRSACLNFADSAWRLRVPASFSCSQDIARAAAEAAEAFRPPSDSNATFESSLTMESSASTFPSLSPLATAATPSSVLLEDGIGSQEGVTFDMVSYDGMDLGYCDYSGMAEGALVDSIWDDVAADADVSLWSYSI >Ma07_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30784526:30786308:1 gene:Ma07_g23020 transcript:Ma07_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWELCKLLLLAQWRTKPTVNPEFSCQPQIDAI >Ma02_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22924303:22924377:-1 gene:Ma02_g15070 transcript:Ma02_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLANYTSALVCAKTLAMAYTTLA >Ma06_p06910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4936804:4941227:1 gene:Ma06_g06910 transcript:Ma06_t06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVESPREENVYMAKLAEQAERYEEMVEFMEKVVKTINGQELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVALIKEYSGKVEAELSKICDGILKLLDSHLTPSATSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALAELASTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEINEAPKKESEEGQ >Ma06_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4936890:4941227:1 gene:Ma06_g06910 transcript:Ma06_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVESPREENVYMAKLAEQAERYEEMVEFMEKVVKTINGQELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVALIKEYSGKVEAELSKICDGILKLLDSHLTPSATSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALAELASTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEINEAPKKESEEGQ >Ma04_p37380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35325507:35327028:1 gene:Ma04_g37380 transcript:Ma04_t37380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYRSRSYGDGRMEMEVYESRPQPSLSGPQDLRCYSASYASSHSSNQAPKEIKLKKGKSASGSSSSRSGWSLSDPELQRKKRVAGYKAYAVEGKMKGSLRRSFRWLKDRCTQVVYGWW >Ma04_p37380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35325507:35327014:1 gene:Ma04_g37380 transcript:Ma04_t37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYRSRSYGDGRMEMEVYESRPQPSLSGPQDLRCYSASYASSHSSNQAPKEIKLKKGKSASGSSSSRSGWSLSDPELQRKKRVAGYKAYAVEGKMKGSLRRSFRWLKDRCTQVVYGWW >Ma06_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6748631:6754560:-1 gene:Ma06_g09690 transcript:Ma06_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSVKGSSQGRGDTVSSGCSELHDGAPVRGSDTEGSLGLSGGAVGGKDSVDALYTELWHACAGPLVTIPRVGERVFYFPQGHMEQVEASTNQVADQQMPVYNLPWKILCRVMNVHLKAEPDTDEVFAQITLLPDSKDENTVEKDTLQPPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQQPPSQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGDNGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTCPTEFIVPFDQYVDSIKNNHSIGMRFKMRFEGEEAPEQRFTGTIVGIGDSEPSRWPGSKWRCLKVRWDEASSIPRPDRVSPWKIEPAQLPLPPNPIPMPRPKRPRTTAFPSSPDSSVLTKEASSKVSMDPSQSHGVPRVLQGQDMATLRSTFSNEADTAQKPIMWLTHDEEKNDVSAQRRLGSESWMHIKRQEPMYTDMLSGFQPSGDSSGFHSPFLEQASGDKFFLKPHFRDQEAKHNCSPGLWSLMPSNSNLNLGECNLKMTAHVGELSFQKVGSCIYGMQGGQSELKGLGGDQQSSDWLGCSLPDSQTDNMPQHRVITLQPLVPSQNDVAKYKANNSGCKLFGFHLNSKPVASESVVRQSNSAEILMPHSHLAAALPQPQGLEAAKHSESCIAAKLVSATLTNGDTEKLIQVCPQASKDVQNKMQGGSTRSCTKVHKQGIALGRSVDLTKFNGYDELIAELDQMFEFEGALIAPNKIWLVVYTDNEGDMMLVGDDPWNEFCNMVRKIYIYTREEVQRMNPGTLNSRVEESPAVSEERISGKETKRPVPTANSENSEGCPGVDAAF >Ma06_p09690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6748595:6754560:-1 gene:Ma06_g09690 transcript:Ma06_t09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSVKGSSQGRGDTVSSGCSELHDGAPVRGSDTEGSLGLSGGAVGGKDSVDALYTELWHACAGPLVTIPRVGERVFYFPQGHMEQVEASTNQVADQQMPVYNLPWKILCRVMNVHLKAEPDTDEVFAQITLLPDSKDENTVEKDTLQPPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQQPPSQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGDNGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTCPTEFIVPFDQYVDSIKNNHSIGMRFKMRFEGEEAPEQRFTGTIVGIGDSEPSRWPGSKWRCLKVRWDEASSIPRPDRVSPWKIEPAQLPLPPNPIPMPRPKRPRTTAFPSSPDSSVLTKEASSKVSMDPSQSHGVPRVLQGQDMATLRSTFSNEADTAQKPIMWLTHDEEKNDVSAQRRLGSESWMHIKRQEPMYTDMLSGFQPSGDSSGFHSPFLEQASGDKFFLKPHFRDQEAKHNCSPGLWSLMPSNSNLNLGECNLKMTAHVGELSFQKVGSCIYGMQGGQSELKGLGGDQQSSDWLGCSLPDSQTDNMPQHRVITLQPLVPSQNDVAKYKANNSGCKLFGFHLNSKPVASESVVRQSNSAEILMPHSHLAAALPQPQGLEAAKHSESCIAAKLVSATLTNGDTEKLIQVCPQASKDVQNKMQGGSTRSCTKVHKQGIALGRSVDLTKFNGYDELIAELDQMFEFEGALIAPNKIWLVVYTDNEGDMMLVGDDPWNEFCNMVRKIYIYTREEVQRMNPGTLNSRVEESPAVSEERISGKETKRPVPTANSENSEAF >Ma03_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27259036:27261099:1 gene:Ma03_g22500 transcript:Ma03_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRSLLLAVFFFSILVHVDVLAQDYDGEDEGYHPKSEDSCNGVFLTYTFESRTKEFPHVKNASAQAYAFKSTATVLNAMTEDLKAWKIFIGFQHDEILVSASGAVIMDGTDYPAHVGNGTSLSGYPQADLLNSIDTAGDMNQIMVTIQMTGTQFGVKPPAVPMPKTIKLTNDGFDCPKPTRKDSHMFVCCVKNKKYKKAKPTETRFLPRQHADLTIAYDVLQAYDSNYMAQVTIDNNSPLGRLDNWNLTWEWKRGEFIYSMKGAYTLRHDFSDCIYSEAAKYYKDLDFSPVMSCEKKPIIVDLPPERANDSQIGRLPYCCKNGTLLPPIMDISKSKAIFQMQVYKIEPDLNRTALYPPQNFKIVGFLNPQYKCGPPIRVSPMEFPDPSGLMSTSYAMASWQVACNITRPEARKSRCCVTFSAYYNDSVVPCSTCACGCPEEASCNPKAPAVLLPPEALLIPAENRSAKARAWAQIKHTSLPKRLPCWDTCGVSINWHIMSNYRSGWTARITLFNWRDYTFKNWFAAVRIPKAYKGYENVYSFNGTKLSEINNTIFLQGLQYMNYLLPQTEGKSPDDFKLPGKQQSVISFKKKATPGINIMRGDGFPTRVFFDGEECALPDTIPRAGDGHRPPVGVVPALMAAVMVFALLMDNLC >Ma04_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26796243:26797385:-1 gene:Ma04_g24920 transcript:Ma04_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVGSSKTVKVGPWGGHGGSGWDDGIHTGIREITLVYDRCIDSIRIEYDKNGKPFLAEKHGGNGGSMTAKIKLESPEEYLMTVSGHYCPVVYGGSPVIRSLAFKSNKRTFGPFGVEEGTQFTVPMDGGMVVGFTGGCGWYLDAIGWCLSPIRSVKLCNMVHQKLQKIGTMASKTLGNKHGKHATAKSRKTYA >Ma07_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:814655:820697:-1 gene:Ma07_g01070 transcript:Ma07_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASGREAGKGQQEAAAAMDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLVRECPILSNIEPKQIKVWFQNRRCREKQRKEAFRLQTVNRKLNAMNKLLMEENDRLQKQVSELVYENGYMRQQLHNASVATTDTSCESVVTSGQHQRQQNPTPQHPQRDANPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIVAVSHNCSGVAARACGLVSLEPTKVAEIIKDRPAWYRDCRCIDVLTVIPTSNGGNIELIYMQTYAPTTLAAARDFWTLRYTRGLEDGSLVICERSLTPATGGPTGPPSPNFIRAEMLSSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKFLAQKMTIAAFHHLRQIAQETSGEVPYGGGRQPAVLRTFSQRLSRGFNDAVNGFADDGWLLLGSDGVEDVTIAINSSSNKLIGSHVNSSALFSTMGGGVLCAKASMLLQNVPPAILVRFLREHRSEWADCGVDAYSAASLRTSPYAVPGVRTSSGLSGSQVILPLAHTLELEEFLEVIKLEGHVFNQDDVILSRDVYLLQLCSGVDEDAVGACAQLVFAPIDESFADDVPLLPSGFRVIPLEPKTDSPVASRTLDLASTLEIGSGAASRSVNETASSSYNLRSVLTIAFQFTYENHLQDNVAAMARQYVRSVVASVQRVAMAIAPRPSGQIGVEHLPQGFPEADTLARWISQSYRAHTGLEILREGSQTSDSLLKLLWHHSDAIVCCSLKGSPVFTFSNQAGLDMLETTLIALQDMTLEKTLDDGGRKLLCLEFPKIMQQGFSYLPAGICLSSMGRPVSYEQAVAWKVLNDDDSPHCLAFMFLNWSFV >Ma00_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40853251:40854043:-1 gene:Ma00_g04750 transcript:Ma00_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDSHSFVCSLAWRSNAILTAGRSDGSIVDYDIRKDDRAICDYRGHRLEVCSLKWSELFGRYLASGGKDKLVHIWDTRMAVANHHPRQHQLLHKISNHTSTVRALDWCPTRSNLLASGGGRNDHCIKFWSAVNGVCLNSIDTGSEVCALLWDKNNSELLTSYGFPNNQLILWNYTSMTRKAELFGHSSRVLYLAGSPLGGVVASAAEDETLKFWNIFETPKPPKPEANTVPFAQFSVIR >Ma08_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35808656:35812625:1 gene:Ma08_g22130 transcript:Ma08_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASAKVEAEERDCDCDLEGRLDGPKRIKMRDLESVLRAQGTRNCSLDSSTINEEKVLGHDQKEDSDSGITMATKSVILDKLASETTGHSSVEDIAACLTLGPSGSASSLSLGHDGLPVSATEFSNESCPIEAKMGSEQNGGKLVGLEVDLNSLSTEHNPFYPFKKLGQIKSADASESGSTTGPVEESGPLRMWKEMKQNGFLSSSHGGIPMPRQRGRQSRKRKEDELRSKTEIAKREQANRFARIAAPSGLLSGLNPGIINHVRNSKQVHSIIEAIVRSEKHDGQSQNRITDQMGRGRKEINERRKEHIRTQDSTIKQINVSTSKPCIPPNMEYTGEVSEMDKVRHKFNQGACATSQLTTSGRGDDALTLKLSPATTMVSENASSATTDEFSANRENPDTLSLEAANVASQWLDLLQQDIKGRLAALRRSKKRVRNVIQTELPYLLSKEFMSNQENEPYFAQSSEAGCSSKAISDMHVARWRSLFSQMDKALFEEGKHLENWLKQIQEMQLHCENGLKFVVSGASSHLISANDSSKPKKSEAVERECAVWAAAASIYSTCNLIMTTENV >Ma04_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5892476:5893747:-1 gene:Ma04_g08170 transcript:Ma04_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDNTPFSLRFVFFLVLLVPATVASAQHADAEYLPSETAKVSFGPSVAIAIGVFATMLSLTVLLLVYVKCRWSMPSESIIPAPTRQTRHQLSGIDRTVVESIPFFRFASLRGVRDGLECAVCISKFDGDEVLRLLPKCKHAFHIDCVDRWLEGHSSCPLCRCKVTAVDVARFAYSTSSRFLFASGQHETSGRELELFVERERNHDAEHRGSSRFGIGSTFGNMDRSTKEKEKLPILEEATGGDQFLHKFKHRIVVSDNVFKGRWSALNSSDLASLTSDMLSITLNERFALLGLNEQTTGEEKIFVDGKETAEEKSLLEAKVNQIGALTSATNPNIPSPSNDNGNLISPASRASISSANRSMSDITNLSRSRQERDPGNSTSSRDEDEKLRRLWLPIARRTVQCFAGRSDRRLSTATEISNV >Ma06_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2125045:2143210:1 gene:Ma06_g02840 transcript:Ma06_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSCAGLGRPLRGRTAVIRHRSSRLLLLPAAALRGSAPRFRCVLDQVAARLAASSPPLGSVLAAGNAIAAAAAAAGGSGASHAAVGSTLAQVAVTAVAIASGACLSTKVDFLWPKVEEKPDTLILEGVDVTGYPIFKDAKVQKAIAFASKAHFGQLRKTGEPYVTHCIHTGKILAALVPISGNRAVNTVVAGILHDVTDDTLENLRTIKEEFGDDVAHLVAGVSKLSYINQLLRRHRRESVNQTTLGSEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALSLPKAQAVAQETLAVWCSLASRLGVWALKSELEDLCFAVLQPRVFRIIRAELASMWAPNNKIRNFRRVSMKAGLLTPSKDDGIIFHDGWPIENNEERENMRDLLQAVLPFDLFLDRKKRTNFLSNLSKCSESHETKPKVFSDAVFALASLAICEEALERELHISTSYIPGMEVSLSSRLKSLYSIYCKMKRKGVGIRKIYDARALRVIIGDKNGKLHGPAVKNCYCLLDIIHKLWTPIDGEFDDYIVNPKPSGYQSLHTAVQGPDNSPLEVQIRTQRMHDHAEYGLAAHWLYKENEVDYTSPSDSVANVSPYQTNILEDEVYTQDESPWKYSSIKVGHPVLRVEGSQLLAAVIVRVDHDKRELLVAVSFLEASEAVADIRSSSQLKRWEIYARLYKKVSEQWWFAPGHGDWCTCLEKYTLCRDGIFHKQDQFQRLLPTFIQVIDLKEEDEAEYWMVVSAVFEGKQILAVPSGSNYSEKTLYSGSYAPADDGITNKVHFLRTMLQWEEQILLGASSRGRKHQSSLYGGSNSAGLGEVVVIRWPHGEIMRMKSGSTAADAARRIGQDGKLVWVNGQLVLPQTQLKDGDIIEVRV >Ma09_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6944619:6945579:-1 gene:Ma09_g10190 transcript:Ma09_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPVPKKRNITFRCGVNPAAAATAVHGRQKKLRRLPHIFSKVLELPFAADADVAVEEDADGFRFVAATDVLWGDVQAQTVQIHPGMTKVFVRDGSDGDDLDTELELNRWRFRLPPSTRPALATAAYTSGELVVTIPKGAGPEEEDGEVQEFFGGGGSNGDLGGRDISHLVIVQ >Ma08_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30283552:30285777:-1 gene:Ma08_g18380 transcript:Ma08_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTGEGVAAAILLGKRTRQPMRRTTSMTEFSLEDVAAAAEGREGIRRHHKCQHRERRRGPEDEGPPRIVQRWSFGDLGTMETTTKFLMACGFCNRRLGPGFDTFIYRGEIAFCSLECRQNKINQDEIRDKHLRSKKTNAASTAVSETSGDGQRAVAA >Ma01_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25325454:25325612:1 gene:Ma01_g22530 transcript:Ma01_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRHCPFAYDQGLNSSIFYEPVNFGGYGNKFSSDSNVSTKLFDLLILTKVI >Ma09_p25210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36748489:36751600:-1 gene:Ma09_g25210 transcript:Ma09_t25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSYHVLLFFSLVLMLRCYGTSSSKLWSYCPNDANYTTNSTFQYNLNLLLASLSSSTAATGYSNDTEGQSSDQVHGLALCRGDVSSSVCQTCLDAAVQDIIQSCPSGMTSTTCYDDCLLRYSNRTFFSTADTSFMYAAWNSQNVSDQQQFQTTLGNLMDDLTDKASSSPKLFADGSAKVTSFDKLYGLMQCSRDLSADDCYRCLRDTVTFIPKYSSWKQGGKVYTQSCYLRFELYPYYYIYAVKAPPPVSPSSKTANDTVSNDASGGKSNIAVKTVLLAVIPVAAAPSFLLAIFMYCRRRKPAMPRRMQPHKRVFDNEDQQEIKSAESLLLDLEVMRSATDNFSDANKLGEGGFGPVYRGTLEDGVQIAVKRLSRTSVQGLVELKNEVVLLAKLQHRNLVRLLGCCLQEEEKLLVYEYLPNTSLDNVLFDPVRRVQLDWARRYKIIEGIGRGLIYLHEDSRLKIVHRDLKASNILLDGDMNPKISDFGLAKLFDVDETQRNTSRIAGTYGYMAPEYALRGRFSTNSDVYSYGVLILEILTGRKNSGYQGSGNSIDLLSYVWRHWNQGDALQAVDQSVVDQCQPQEVLRCMHIGLLCVQEDPAQRPSMASITLMLNSYSVGLPTPSAPAFVTLSITSSAKESKNDVSISEMDPR >Ma04_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9085898:9086149:-1 gene:Ma04_g12150 transcript:Ma04_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVNGHDPWQRYLEPPKDKATRSYCMPISIALLFPLDRVNTRPNCIPTSIDRLCHICACENFRKKIYLLNYSHEGTPWQVSY >Ma01_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6550760:6552574:-1 gene:Ma01_g09100 transcript:Ma01_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRGSEIGGAAVAFSSIELLAAQRSLAWLLFAVCSLSSSVGVSGEGFDMANMIMERNKPETMPENKDDGESDDDEHEYRDEREDDGEDFLGEEGNNRDDDDDNDDDDDDDDETSGDEEEGSEEDDDDDDEEDSEDDSEDDSEDDEEDDE >Ma03_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27879215:27880459:-1 gene:Ma03_g23270 transcript:Ma03_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILAGLGSLGQAFNGSLIDKISDDAIPRLSEVFGVGANPGDGTDLLMLKTTLTGTKHIIGRVEKMWIKDEDTKKQLKELVMELKDTAYDAEDLLDEIQFRVLKQQIEQQGAQDDEASNQSSSSSGLFPWKKMKISVPTFTSRFFGREDDVNRVRENKMKLDKITTCIEDLITTLDADEKQMITSVVPRTTTSFPIETQVFGRDEQLNHLLEQLMKSADGSSDGSISALTIVGIGGVGKTTLAQQAYHHERVNNYFQPKVWLCVSDNFNVERLTKDIIKFITEEKCDICNLDTLQVVVKKKLTSKRFLLVLDDVWNEDGLKWERFCAPLKYGEPGSKILVTTRSKKFTEMVGNPIPLGGLDEASYWKLFKKCAFGSEDAGEFPQLVAIAKKIAGRLKGLPLAARTVGGLLKT >Ma09_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34841777:34843734:1 gene:Ma09_g22950 transcript:Ma09_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Ma10_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21590484:21596834:1 gene:Ma10_g07240 transcript:Ma10_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSSARACLAAEAAAALDNGVTVARRRSHAQTTSLHVVYSLLSSTSSSSPPSSYSSSASCSILRDALSRARSAAYSPRLQFKALELCFGVALDRLPSGQRQNAEGGGDEPPVSNSLMAAIKRSQANQRRNPDTFHLYQQQQQQGAAAGGASSFSGVKVELQQLMLAILDDPVVSRVFGDAGFRSADIKFAILRPPPPILRFPRAARRPPLFLCNFSAGDGFEPALAPRGLVLPFAAAARQLSSDGGDENCRRIGEILARKSGGRNPMLVGVGAGEAASDFSQAIERQNWAILPPELRGIELVSIEKVVAELRTDHGDRLALEAGLEEVGRKAESSGVVLNIGDLKGMVEGGAERDESESCLVSELTRLLEVYHGRLWVMGWSATYETYMKFLSRHPLLDKDWDLQLLPISSVRTGMGNSLPKPRSFMESFAPIGGGVPIEHESYGVYPSVSRCEDCNDKYEQEVSIVLKGKSASVDDQQNASLPFWLQKGSKVSLNDGFDAAKAKDDTTFFNAKIMELQKKWNENCQRLHHSCQTNNIDNCSTVPRVIDPSCVSNMGRAFNQNSENLDDAQSQRGFGISFPISAGTQTITTASQSISLPSVLEQRNKDLLSKLQVRASKSEQPKREELQPHHGDDHASPSSVTSVMTNLVLGTLCEPVWKEENPASQVQKNPLVELSGCLPSTKVDVIKQNVPDVPVMSLSFSGRRDSQATQTYPHDLSHSFSQVSKGCASACDRASLISSGAWQKLDLGSYKSFCASLIEKVGRQEEAAIAISQAIVHCRTGERRRGASLRGDIWLSFHGPDKIGKKRAAVAIAEMLCGSKENFVHVDLSYQEGVARPGTTICAQQEVNGNYAQFRDKMNVDHIAAELRKKPQSVVFLENVDKADFLVQDSLSQAINTGKFPDSHGREFSINNAIFILTSATIRGQTFSQRTDCNSFSEETILAAQCWQMKISWEPSREAVSSSPKSNKVSSASSQKPRNAQVYLRSGPATKRKLDMSDGCNSQYEVVPAKRARKTSKEFLDLNLPIEEVGEDDNDSSSQEDCSKSENSGTWMEDSFNLVDATVKFGPFDFDALADSILNDISKIFCTAAGSDCILEIDTKVMEEILAVAWSSEDRGALNSWFEQVLGRSFVELKHKHNLSSHKILRLVACEDAIVAEHAPGVLLPSRIILN >Ma10_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27822427:27827759:-1 gene:Ma10_g15890 transcript:Ma10_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSPSLSLFPGATLSSFSSSSSFAPRLRAPSLMLGARSRTPPRSVVSCSSGLASDSPNYPRPQTATMAPFGLLRDEGSMPKPSYRWQRVLLKVSGEALAGDHAQNIDPKITMSIAREVASVTRLGIEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVFEDDPRINPNARLLDNLTYQEVTSKDLSVMDMTAITLCQENNIPVVVFNLTKPGNIAKAIVGDKVGTFIGGRNQQQGCDCSTLAHELRILNEFET >Ma10_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7365566:7367090:1 gene:Ma10_g02130 transcript:Ma10_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAASGMAVNDDCKLKFLELKAKRTYRFIIFKIDEKQKQVIVDKLGEPTLAYEDFAASLPADECRYAIYDFDFVTEENCQKSKIFFIAWSPDIARVRNKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAN >Ma07_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6918474:6920804:-1 gene:Ma07_g09200 transcript:Ma07_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEAAAVDVCGDEEKSEERSTRSCRSATHKRRLDELDLNEGVGSDGGSGDDDDDDDGGSVTEVAGGASSSNNSSSSNNDSGSNCDTKSGSPAEGVDQIRMPTVRQYNRSKLPRLRWTPDLHISFVHAVDRLGGPDRATPKLVLQVMNVRGLNIAHVKSHLQMYRSKKLDNAWQDKSPFFSAVSPMDAYLRRGLHEMSYQRTAASSYQSSGMENSGYFQWSPQETDRFYSLMQRLKPFDLKTGNYGSQDQGLFFGEDGKPSSSQLFDARNPRSRHHYLQGIMEGSRSGKFDGIGSSSLRPFSTSTAPVPGWKNSSNSYSLKDNMSSLHDPIIVDDRLEEPEEMRGKVEPKAIVDLQLSLGRNPVDGGANGKMSGGAEEGVSLLSLSLTPPTAMQQEEEGSSTQLQMKSLERGSSKMASLG >Ma07_p09200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6918474:6920804:-1 gene:Ma07_g09200 transcript:Ma07_t09200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEAAAVDVCGDEEKSEERSTRSCRSATHKRRLDELDLNEGVGSDGGSGDDDDDDDGGSVTEVAGGASSSNNSSSSNNDSGSNCDTKSGSPAEGVDQIRMPTVRQYNRSKLPRLRWTPDLHISFVHAVDRLGGPDRATPKLVLQVMNVRGLNIAHVKSHLQMYRSKKLDNAWQDKSPFFSAVSPMDAYLRRGLHEMSYQRTAASSYQSSGMENSGYFQWSPQETDRFYSLMQRLKPFDLKTGNYGRSQDQGLFFGEDGKPSSSQLFDARNPRSRHHYLQGIMEGSRSGKFDGIGSSSLRPFSTSTAPVPGWKNSSNSYSLKDNMSSLHDPIIVDDRLEEPEEMRGKVEPKAIVDLQLSLGRNPVDGGANGKMSGGAEEGVSLLSLSLTPPTAMQQEEEGSSTQLQMKSLERGSSKMASLG >Ma11_p11200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12567996:12594762:-1 gene:Ma11_g11200 transcript:Ma11_t11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGVNPANFIIPPVEGIAGGGTGYGWADVGTESSNLCKGVNVIDPTQIPSAELLHVWCMPSTANVGQQEMPRPFDHVSLLAARNERESVQIALRPKLSWASSGLAGTVQIQCTDLCSASGDRLVLGQSLTMRHVVPILGVPDALVPLDLPIAQINLLPGETTSIWVSVDVPAGQPPGHYEGQILITALKANAEMSAQVLSKAEKYNLYRELKSCLEMVEPVDGKPLDEVAERLKSATSSLKRLLLWPVFQEFYKDNGSCDMMDEDAFTNISINMKFNVTVWDFTLPLTPSLPAVFGISETVIEDRFALEHGSEGWYDALDRHFKWLLQYRISPYFCRWGDSMRILAYTCPWPADHPRSEEYYSDPRLAAYAVPYAPILSCSNEARDSLRKDVEILKSKPHWRKAYFYLWDEPLNSDQYELIRSMSSDIRSYAPDARILTTYYCGPSDAHGSSTFEAFVKVPKYLRPHTQIFCTSEWVLGNREDLVNDITAELQPENGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKSLVASAEIKFRRGLPPGDGVLFYPGEVFSPSHEPIASIRLERILSGMQDIEYLKLYSSRYSREEGLALLEKTGAYLSPERYTLEHTPIDLMRAEIYRTCGA >Ma11_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12567996:12594751:-1 gene:Ma11_g11200 transcript:Ma11_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGALEGSSPLPPRGVNPANFIIPPVEGIAGGGTGYGWADVGTESSNLCKGVNVIDPTQIPSAELLHVWCMPSTANVGQQEMPRPFDHVSLLAARNERESVQIALRPKLSWASSGLAGTVQIQCTDLCSASGDRLVLGQSLTMRHVVPILGVPDALVPLDLPIAQINLLPGETTSIWVSVDVPAGQPPGHYEGQILITALKANAEMSAQVLSKAEKYNLYRELKSCLEMVEPVDGKPLDEVAERLKSATSSLKRLLLWPVFQEFYKDNGSCDMMDEDAFTNISINMKFNVTVWDFTLPLTPSLPAVFGISETVIEDRFALEHGSEGWYDALDRHFKWLLQYRISPYFCRWGDSMRILAYTCPWPADHPRSEEYYSDPRLAAYAVPYAPILSCSNEARDSLRKDVEILKSKPHWRKAYFYLWDEPLNSDQYELIRSMSSDIRSYAPDARILTTYYCGPSDAHGSSTFEAFVKVPKYLRPHTQIFCTSEWVLGNREDLVNDITAELQPENGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKSLVASAEIKFRRGLPPGDGVLFYPGEVFSPSHEPIASIRLERILSGMQDIEYLKLYSSRYSREEGLALLEKTGAYLSPERYTLEHTPIDLMRAEIYRTCGA >Ma08_p30290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41607652:41608978:1 gene:Ma08_g30290 transcript:Ma08_t30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSNSPSSSIDSSSSHPALCSTSSIFQTRRDLLSTDLKLGLGLSTSALHGCSSKEREQLSHWPPIKPLLRSTLEEKVHRSHSKTFFVKVYMEGLPIGRKLDLFSHSGYDSLTRTLRRMFRTTIICPDTSRVPPEKAHVLTYEDKEGDWMMVGDVPWELFLRTVKRLKITRADKC >Ma08_p30290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41607652:41608978:1 gene:Ma08_g30290 transcript:Ma08_t30290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSNSPSSSIDSSSSHPALCSTSSIFQTRRDLLSTDLKLGLGLSTSALHGCSSKERSTLEEKVHRSHSKTFFVKVYMEGLPIGRKLDLFSHSGYDSLTRTLRRMFRTTIICPDTSRVPPEKAHVLTYEDKEGDWMMVGDVPWELFLRTVKRLKITRADKC >Ma06_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21287608:21287976:1 gene:Ma06_g23360 transcript:Ma06_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLMGIWNTWNHFYCDINETIIRELGTNILMYTRLAKLGYRYVNIGMPLTILLTISFSLDLEGINLMIAYGMDT >Ma06_p26460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28296048:28298102:-1 gene:Ma06_g26460 transcript:Ma06_t26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMRRPMIAKSTRLIREVKNGQARQGKQQHGAVNDASWWIPHPRTGIYYPKGHEWVMEDVPEGASSFPRTYWLRSSEGVEKDSDLSTCPDYNHPFLDV >Ma02_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28589980:28597533:1 gene:Ma02_g23670 transcript:Ma02_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSRALLLVIAIACCLSSSAMNVTYDGRAIIIDGQRRVLISGSIHYARSTAEMWPDLIQKAKEGGVDAIDTYIFWNAHEPSRGEYNFEGNLNFIKFIKIVQDAGLYVVLRIGPYVCAEWNYGGFPVWLHQIPGIELRTNNDIFKAEMQTFTTLIVSMIKKEKLLAPEGGPVIITQIENEYGNFIKKYGDSGKKYIQWCADMAKSLNVNVPWIMCQQADAPSPMINTCNGFYCHKFKPNRPSIPKMWTENWTGWFKGWGESQPHRPVEDVAYAVANFFASGGTFQNYYMYHGGTNFGRTSGGPYLTTSYDYDAPLDEYGNIRQPKWGHLKQLHSAIKMMEKILTYGEVNTTQLGNALAVTKFSINETSSGCFLTNANQSNDANATYNGNTYLVPSRSISILPDCEKEVYNTAKVTTQTSLMVNKPVKSTKLSWKWHSEIMEDTLNGKGSFSNESLLEQIMTTGDASDYLWYMTSVTLNKSSTSWRKKMNLRVKTKGHILHAYVNNRLIGSGYATKGSYKFDFEQEAELRDGHNFITLLSATDGLANYGAFFDLQKAGIDGGPVELIGNGKEKIDLTKNKWSYKIGLNGEMSKIYLPSASHGLNWNSDRLPINKSMTWYKTTFEVPDGNDSLVLDLQGMGKGHAWVNGQSIGRYWPSFLAADSGCEPCDYRQKYDSDKCRTECGMPSQRWYHVPKSFTTKGPNTLILFEEVGGDPSQVSLQTVTIGTVCANVVEGSILELSCQGSRSFSKIQFASFGNPEGSCGSFKKGSCEAPDALAVVKKACIGRSNCSINVTANAFGPSECSDLSRRLAVQAIC >Ma06_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9162305:9164672:-1 gene:Ma06_g13380 transcript:Ma06_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGVVGVADDMGEGMQCMDHPYRSNLGGVCAFCLQEKLGKLVVYSKSSPFSPLQPPPSSSSSLTSFRSNAGAAVGGLGLASGHSCTGPGAAAGARRTKVPFLVASHKQKSGGYDNGGRKAMSSVAIVAGTTVGSTSAANDSGIVLKRSKSVAPRAAGLALQDAAAAGRRRMADAAVADSPRKKSFWSFLNLSSVSSTSTTSSSATTNGSSSIDVNRRRSTSSSSVGRCDRNVKKQQRQASATEKSVGKEEAETAALKQPENSGQEAESPSGSQASSSFGRNVARSRSVGCGSRSFSGDFLERISTGFGDCSLRRVESQREAKPKIVLHLGHDYHDGEQKRPRMKERVKCRGLFGLMSANWLSAAAADDDFDSSSRISAATPAASATTAARGRTRSWGLSFASPMRAFRPHSTSSKSLYAIDNAASAAPSTNIISINASTKMVNGGGTKGNKLSGNPSFLAVEI >Ma03_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1500120:1501517:1 gene:Ma03_g02200 transcript:Ma03_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALSHVISSRSPPVGAGGGEPVMVQHDGKLSGSGSGSAEIRTQPSGEQGRRHYRGVRQRPWGKWAAEIRDPRKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVSGGGSERQPQPPTQRLPAANSYPNLLQYAQLLQSRDQDLHQAAFGLYAGSTFTSTSSQTSPTSMSAASSQEMLDFTCQSHFKSSSSSSSWPHGGHKHEDQQPPGM >Ma10_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15962561:15966550:-1 gene:Ma10_g05230 transcript:Ma10_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITQVLLSAQSSDGQIRTVAEANLKQFQEQNLPLFLLSLSVELSSEQKPPESRRLAGIILKNSLDAKDAVRKEELTQKWVSVDASIKAKIKESWLQTLGSSVSEARHTSSQVIAKVASIEIPRCEWLDLIGRLLKNMTQPDAPAPLKQATLEALGYVCEEVCPQDLEQDQVNAVLTAVVQGMNQMEHSSEVRLAAVKALYNALDFAQTNFENEVERNFIMKIVCETAMSKELEIRQAALECLVSVASTYYEYLEPYMQSLFDLTANAVRVDEETVALQAIEFWSSICDEEIQIQEEIGEDGGSSSPHSNFIKQALPVLVPLMLETLLKQEDQDQEDGVWNLSMAGGTCLGLVARTVGDAIVPLVMPFVENNVTKSDWRSHEAATFAFGLILEGPSIEKLAPLVHAGLEFLLNAMNHQNSHVKDTTAWTLGRIFEFLHSASSEYPILTTTNLPRIVSVLLESIRDAPNVAEKVCGAIYFLAQGYEDDGSNSSMLTPYLGDIVSALLSTADRTDTNNVRLRSSAYETLNEIVRCSSIPETSNMIAHLLHEIMTRLSKTLELQIVSSEDREKQSDLQALLCGVIQVILQKLSYSNETKSIIFQSADQMMILFLQVFACRSSTVHEEAMLAIGALAYATGPEFANCMQEFYKYLEMGLQNFEEYQVCSISVGVVGDICRALDEKVLPFCDGIMSQLLKDLSSPMLHRSVKPPIFSCFGDIALAISEHFEKYIPFVIPMLQGAAELCYQLDVNDDDMREYGNQLRQGIFEAYSGILQGFKSSKSALMFPYASPLLKFIEAVVMDKNRDEEVTKAAVAVMGDLADTLGSNTKVLFKDVTFHMDLFGECFRSDNDQLKETATWAQGMIRRVLVS >Ma10_p05230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15962561:15966550:-1 gene:Ma10_g05230 transcript:Ma10_t05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEITQVLLSAQSSDGQIRTVAEANLKQFQEQNLPLFLLSLSVELSSEQKPPESRRLAGIILKNSLDAKDAVRKEELTQKWVSVDASIKAKIKESWLQTLGSSVSEARHTSSQVIAKVASIEIPRCEWLDLIGRLLKNMTQPDAPAPLKQATLEALGYVCEEVCPQDLEQDQVNAVLTAVVQGMNQMEHSSEVRLAAVKALYNALDFAQTNFENEVERNFIMKIVCETAMSKELEIRQAALECLVSVASTYYEYLEPYMQSLFDLTANAVRVDEETVALQAIEFWSSICDEEIQIQEEIGEDGGSSSPHSNFIKQALPVLVPLMLETLLKQEDQDQEDGVWNLSMAGGTCLGLVARTVGDAIVPLVMPFVENNVTKSDWRSHEAATFAFGLILEGPSIEKLAPLVHAGLEFLLNAMNHQNSHVKDTTAWTLGRIFEFLHSASSEYPILTTTNLPRIVSVLLESIRDAPNVAEKVCGAIYFLAQGYEDDGSNSSMLTPYLGDIVSALLSTADRTDTNNVRLRSSAYETLNEIVRCSSIPETSNMIAHLLHEIMTRLSKTLELQIVSSEDREKQSDLQALLCGVIQVILQKLSYSNETKSIIFQSADQMMILFLQVFACRSSTVHEEAMLAIGALAYATGPEFANCMQEFYKYLEMGLQNFEEYQVCSISVGVVGDICRALDEKVLPFCDGIMSQLLKDLSSPMLHRSVKPPIFSCFGDIALAISEHFEKYIPFVIPMLQGAAELCYQLDVNDDDMREYGNQLRQGIFEAYSGILQGFKSSKSALMFPYASPLLKFIEAVVMDKNRDEEVTKAAVAVMGDLADTLGSNTKVLFKDVTFHMDLFGECFRSDNDQLKETATWAQGMIRRVLVS >Ma02_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5208862:5209165:1 gene:Ma02_g00420 transcript:Ma02_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPFFFFFFFFLKKELSFMQLPPPVRTSVSPSRAGEKVSRSDLPQYFPGPLFYDE >Ma07_p26170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33079868:33080788:-1 gene:Ma07_g26170 transcript:Ma07_t26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPHFPCLSHQTASASAARYCPSDPYSPPEQQGQHLSFASGEPSGVDWASLLLPRPTQEGEGETRREKGRSSGRTRKVVSRPRFAFRTRSANDVLDDGYRWRKYGQKAVKNSMFPRSYYRCTHHTCNVKKQVQRMSEDTSIVVTTYEGVHNHPCEKLMEALSPLLKQVHLLAPVMGML >Ma07_p26170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33079868:33080788:-1 gene:Ma07_g26170 transcript:Ma07_t26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPHFPCLSHQTASASAARYCPSDPYSPPEQQGQHLSFASGEPSGVDWASLLLPRPTQEGEGETRREKGRSSGRTRKVVSRPRFAFRTRSANDVLDDGYRWRKYGQKAVKNSMFPSYYRCTHHTCNVKKQVQRMSEDTSIVVTTYEGVHNHPCEKLMEALSPLLKQVHLLAPVMGML >Ma08_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35241017:35244239:-1 gene:Ma08_g21320 transcript:Ma08_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALVANPTLEDSALSPVSFEGSRRPHCSPEAILIYLTVPGSPVIPMRVLESDSIASVKLRIQSFKGFVAGKQTLKSNGRELARNDSLVRDYGLSDGNVLQLETRLSDLRSITIKTTCGKKYEFQVESRRNIGYVKRQVAEGGWALGNLEDHKLVCDGEEIGDDQIIDDICKNNDAVFHLVIPQSAKVRSKPVEKDNELVVVASDWKKKKGIDDLQMVAGKPRHRHCWIQQNTIDPKVEHSPAIMELVRSTSAGLEKGNPPILSTEGCGGVYFMQDALGHRCIGVFKPIDEEPMAENNPRGLRLSTNGEGMKRGTRVGEGAMREVAAYVLDHPSGGRRISEEAGFAGVPPTVMVGCLNRGFHRSEEDNGAGKTVKVGSLQMFVENCGSCEDMGPQAFPVGEVQKIAVLDIRLANADRHAGNILVCKDNKGRIKLTPIDHAYCLPDRFEDCTFDWLYWRQARQHFSGETIAYIKSLDAEEDIALLKSYGWELSPESSRTLRISTMLLKKGVQRGLTPYDIGSIMCRVTTEKESKIEEIIREAGNAILPRSRETAFLDCVSEIMDRHLDKLL >Ma10_p25400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33554617:33557830:1 gene:Ma10_g25400 transcript:Ma10_t25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENEMPSSPRNWRLNMTGFSLPELPDNPPLVSRFLGRGQGKQNKITKYYERQGKLLEGFNEMESITESGCLAGAPTEDEMKKLAKSERFAINISNIVNLLLFTSKVLASMESRSMAVIASTLDSLLDLLSGFILWFTSYAMKKPNQYRYPIGKNRMQPVGIIVFASVMGTLGLQVLLESGRQLISKEHPTFDRQKMIWMVGSMASVTIVKFILMLYCRSFNNQIVRAYAQDHFFDVMTNSIGLASSLLAVKFYWWMDPVGAILIALYTIGTWAKTVVENVGSLIGKTAPSEYLTKLTYLIWNHDEHIRHIDTVRAYTFGSHYFVEVDIVLPANMPLSQAHDIGETLQEKLEQLPEVERAFVHVDFEFTHRPEHKTKV >Ma04_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22531939:22535026:1 gene:Ma04_g19870 transcript:Ma04_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATKWLRSLFGWKKADPASDVEPAKDKRRWGFMRPFREKVQQQRKGEQTTAVVMEERKGSYREVPKPFGAADEEEQNKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRSAVGFSLAAAGKREEGAAIKIQAVFRGYLARRALKALKGLVKLQALVRGNIVRKQAAETLRCMQALVRVQARARACRVLRSERSKFEKAPSGHSRGSSRPASSDAAHRERATSAGWNWLDRWMEERYWDSRESVKKAGHGASMDDDKNAKILEVDPGKPQFHHKRRNTHNHSSCSTLTSDQNSHSFVTVPDSPSVESTAAQHFVPSSSSVEMQQSVGHLRFPFEAGEYGESPQFYSASSRPGSSRKGPFTPSKSDCSRSLFSGYSDYPNYMANTESSRAKVRSHSAPKQRPDRLNPLGPFAQRSSSLHAKSSNKAYPGSGRLDRLGLPIGI >Ma01_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6633275:6649805:1 gene:Ma01_g09190 transcript:Ma01_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKLAPSLPYVKSLFLGWFEPLRDVIEREQRLQKTKMQKAAYAPHIGLLPADKMAVIVMHKMMGLLMVGQEDGCIRLVQAAIRIGEAIEQEFKIQAYLEKSKRPRRRKNEGDRNVILCTQQEILRKKVMKLIKMKKLIEVQKLLRNEIEMESWGRDGQAKLGSRLIELLLESAFVQVPCDSLADCPPEILPAFRHTFKNISKENGKSINRYGVIECDPLVHKGFDSTARHMVIPYLPMLIPPKSWKGYDNGGHLFLPSYVMRTHGAQEQQKAIKSVPRKQLQKVFEALDTLGRTKWRINRRILDVIEIVWSRGGGIAGLVDRKDIQLPDRPETEDLTEIKKWRWSTRKAKKANSEMHAQRCDVELKLSVAHKMRDEEGFYYPHNIDFRGRAYPMHSHLNHLSSDLCRGILEFAEGKPLGKSGLHWLKIHLANIYGGGVEKLSYDRRLTFVKNHLRDIFDSAENPIDGNRWWISAEDPFQCLAACIDLTKALKSSSPHMAISHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVAGEKPADVYSEIAMRVREIIRKDSEKDPTVDPTALLAKVLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGLITDDRLLFGASCYAAKVTLNALGEMFEAARGIMKWLGDCAKIIASENEPVKWTTPLGLPVVQPYRNSERHQIRTSLQVLTLQREGQSVSVKRQKTAFPPNYVHSLDGSHMMMTAISCKNAGLHFAGVHDSFWTHACDVDRMNQILREEFVALYNIPILENLLDGFQTSFPTLTFPPLPDRGDFDLKKVLESPYFFN >Ma04_p23270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25439349:25474858:1 gene:Ma04_g23270 transcript:Ma04_t23270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKSPKIPLRSFPFQHLASLPSPRVPRLNKPRPPPGAVAFRKKARFLRSIRTPSGGHAATRCSSAQHGGNSIEEVERPPFDLNLAVVLAGFAFEAYTSPPKDVGWREIDAADCQTVFLSEQFLREVYDGQLRIKLKKGVDFPALDPWGTSDPYVVLQVEGQVAKSKVKWASTEPTWNEDFTLNIKKTPAKTLQVAAWDANLITPHKRMGNAGVNLETFCDGNLHEVMVELEGISGGGKIYLEVIYRSYDEIKEEKLWWRMPFFSDFFIKSNFGSALKLVLGSEGTNVSQFVQSAFGQLKTFGYTYLEKPSSFNNDNNDSEHTDKSISRNASSATFLQQESSSESSDNSISNSNLEKEPTLLLVQTNEEENSTLENDDKSGPPDEYFWRTFAESINQIVHQKFGFSLPEIKLFDGFDKLNKVSLQSLRFAEKEYVESGLATPEDKGDNERQSDHLSNIDESKYSLMDITKVSRDVLSQTETIFGALMILTATLSQQRNDLMSLLESSGREDTSKTEDDIAGYSSNDTGTVAVEGFELDTEKAEEMRELFSSAESAMEAWTMLATSLGRTSFIKSDFEKICFLDNTTTDTQVAIWRDSVRRRLVVAFRGTEQSKWKDLCTDLMLLPAGLNPERLSGDFKQEVQVHSGFLNAYDSVRTRIMMLTELAIGFELGDESENAPKWQLYVTGHSLGGALATLLALELSSSRMAKHGQITVTMYNFGSPRVGNRRFAELYNEKVKDSWRIVNHRDIIPTVPRLMGYCHVAQPVYLSAGDLEGLVNREFLGDGYQSDVIGEATPDILVNEFMKGEKQLIEQILQTEINLLRSIRDGTALMQHMEDFYYITLLEKVRSNYRRTV >Ma04_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25439349:25474858:1 gene:Ma04_g23270 transcript:Ma04_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKSPKIPLRSFPFQHLASLPSPRVPRLNKPRPPPGAVAFRKKARFLRSIRTPSGGHAATRCSSAQHGGNSIEEVERPPFDLNLAVVLAGFAFEAYTSPPKDVGWREIDAADCQTVFLSEQFLREVYDGQLRIKLKKGVDFPALDPWGTSDPYVVLQVEGQVAKSKVKWASTEPTWNEDFTLNIKKTPAKTLQVAAWDANLITPHKRMGNAGVNLETFCDGNLHEVMVELEGISGGGKIYLEVIYRSYDEIKEEKLWWRMPFFSDFFIKSNFGSALKLVLGSEGTNVSQFVQSAFGQLKTFGYTYLEKPSSFNNDNNDSEHTDKSISRNASSATFLQQESSSESSDNSISNSNLEKEPTLLLVQTNEEENSTLENDDKSGPPDEYFWRTFAESINQIVHQKFGFSLPEIKLFDGFDKLNKVSLQSLRFAEKEYVESGLATPEDKGDNERQSDHLSNIDESKYSLMDITKVSRDVLSQTETIFGALMILTATLSQQRNDLMSLLESSGREDTSKTEDDIAGYSSNDTGTVAVEGFELDTEKAEEMRELFSSAESAMEAWTMLATSLGRTSFIKSDFEKICFLDNTTTDTQVAIWRDSVRRRLVVAFRGTEQSKWKDLCTDLMLLPAGLNPERLSGDFKQEVQVHSGFLNAYDSVRTRIMMLTELAIGFELGDESENAPKWQLYVTGHSLGGALATLLALELSSSRMAKHGQITVTMYNFGSPRVGNRRFAELYNEVKDSWRIVNHRDIIPTVPRLMGYCHVAQPVYLSAGDLEGLVNREFLGDGYQSDVIGEATPDILVNEFMKGEKQLIEQILQTEINLLRSIRDGTALMQHMEDFYYITLLEKVRSNYRRTV >Ma10_p31010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37246978:37248263:-1 gene:Ma10_g31010 transcript:Ma10_t31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGEEVKTRPEPKVEIQERGEVFFFYRPRVDKEEAYSASDVQRMYVVLRPESGERSVEGKQSPDSGKESKMNSKDDEQKKKESKVGSEDGENDKGGDGQDGKEGGYGREEVNIEEQPLFRFIVMGKKSLPDPSQRSRPFWGFVELVTTKVDDIKKALQGEEYETATRGHRRRPSARALAEGVYRILRHQSGRRTHTHLVYKLEFPPGDAENEPQESLNMEREASFLIQIKNPEQGGGGGFAGLQSKRRACFPAHLQGQFGKRRFGPADPPDFLNYEGCELLLIAASDDIDEELGLELRTECEADAKCSDLLQVFGETAASKPLLSGAWV >Ma06_p27730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29620226:29620330:1 gene:Ma06_g27730 transcript:Ma06_t27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDLTFTNIMGSDKHQVVAFCFDSDLFMLELVE >Ma03_p27820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31071200:31072217:-1 gene:Ma03_g27820 transcript:Ma03_t27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIIKELKDLQRDPPTSCSAGPVADDMFHWQATIMGPNDSPYAGGVFLVNIHFPPDYPFKPPKVAFRTKVFHPNINNNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHLCKNDRMRYESTARSWTLKYAMG >Ma05_p30760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41014124:41018087:1 gene:Ma05_g30760 transcript:Ma05_t30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVALSPALATGRFFTIGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWLKLVPMQAIRSRLQFLKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVLAYLMTLRRESWITYITLVPVVTGVIIASGGEPSFHLFGFIMCIGATAARALKSVLQGILMSSEGEKLNSMNLLLYMAPIAVIFLLPTTLIMEDNVVGITLALAKEDFKVIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSFTGMAGYTLTVVGVILYSESKKLSK >Ma05_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7558819:7567933:-1 gene:Ma05_g10500 transcript:Ma05_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIPYQLSTLTNLIMLNLSVNELSGPIPPEIGNLTSITKIDLHSNRLNGYIPPELGDLTKLFELRLDRNKLSGVIPVSNVSNMLASQEKGSGLCQLTHLGVGDFSYNFLVGKIPSCLDYLPRSNFQGNCFDVDGSVFQRSAQQCSSVNILDSDGDSKRNYPERLKHKKPSQPFWLLILEIATGVLVLVFLITCMATACNRCKRKSYLLSWRKIPSWNDHTALSIDPDLLKNVLRISYQEIEAACEDFSNVIGSSLYNLVYKGTMKNGPEIAVISLCISGDQWTSSHELYFQTEVATQSKIIHENTAKFLGYCQEGDPFSRMLVFEYASNGTLYEHIHYGDGCQLSWLRRTKIAIGIARGLRYLHTELQPPFTIAELTSSTVYLTEDFSPKLVDFERWKNIFLKSRMHSGYVTSGGSLNGSVDSLKSQFLDVQGNIYAFGVILLELISGRPAYCKERGSLLDWAMKYLDNPEERGKLVDPQLKKVKPEDLAIICNVISLCLEPEPSKRPSMQIIAALLEDGIDVTVNAMLKDYPLAWAELAVAS >Ma05_p10500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7558819:7567701:-1 gene:Ma05_g10500 transcript:Ma05_t10500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVGYRELLPFLAFASGFLFLPSGSFADEVTALEAFRKAIYEDPLSRLSDWNPQDPNPCNWTGIKCSSPPQNIVISINLSSSSLKGFLAPQLGSLQWLQELVLDNNLLMGTIPYQLSTLTNLIMLNLSVNELSGPIPPEIGNLTSITKIDLHSNRLNGYIPPELGDLTKLFELRLDRNKLSGVIPVSNVSNMLASQEKGSGLCQLTHLGVGDFSYNFLVGKIPSCLDYLPRSNFQGNCFDVDGSVFQRSAQQCSSVNILDSDGDSKRNYPERLKHKKPSQPFWLLILEIATGVLVLVFLITCMATACNRCKRKSYLLSWRKIPSWNDHTALSIDPDLLKNVLRISYQEIEAACEDFSNVIGSSLYNLVYKGTMKNGPEIAVISLCISGDQWTSSHELYFQTEVATQSKIIHENTAKFLGYCQEGDPFSRMLVFEYASNGTLYEHIHYGDGCQLSWLRRTKIAIGIARGLRYLHTELQPPFTIAELTSSTVYLTEDFSPKLVDFERWKNIFLKSRMHSGYVTSGGSLNGSVDSLKSQFLDVQGNIYAFGVILLELISGRPAYCKERGSLLDWAMKYLDNPEERGKLVDPQLKKVKPEDLAIICNVISLCLEPEPSKRPSMQIIAALLEDGIDVTVNAMLKDYPLAWAELAVAS >Ma06_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10029472:10033946:1 gene:Ma06_g14640 transcript:Ma06_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSGGVELPRKEANVLKGHEGPVLAVRFNGDGNYCLSCGKDRTLRLWNPHRGIHIKTYKSHGREIRDVHVTSDNAKLCSCGGDRQIFYWDVSTGRVIRKFRGHDSEVNAVKFNAYDSVVVSAGYDQSVRAWDCRSQSTEPIQIIDTFQDSVMSVCLTKTEIIAGSVDGTVRTFDIRIGRELVDNLGQAVNCISLSNDGNCVLASCLDSTLRLLDRSTGELLQEYKGHTCKSYKMDCCLTNTDAHVTGGSEDGTIFFWDLVDASVVSSFKAHRSVVTSVNYHPKECCMLTSSVDGTVRVWKP >Ma07_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5789879:5795237:-1 gene:Ma07_g07800 transcript:Ma07_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIVPEHVCYIHCNFCNTILAVSVPGNSMFNVVTVRCGHCANLLSVNMGALLQAFPIQDFQAHNFGSQSNRLEIGSSSRCNRSSLYSMKDEQQQIVALRPPEKRQRVPSAYNRFIREEIQRIKANNPDISHKEAFSAAAKNWAHFPHIHFGLTLDGGKQVKLDDAIAAAPGGEKAPGFY >Ma01_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13864751:13867043:-1 gene:Ma01_g18570 transcript:Ma01_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAFLLLPLLLPPLVSSADAGSDPAALLDRHESQLRRLEALVESLYNTVATLQASLSSCPSGQSDHTFPSSAALPMDLETVTPVLAPPLAPAAPGSAGGQYSSGVSVTKHKTSWSERFQFAAAARLEAAATVAVVLPYEDLDGLSKYFAVGDTRGQVYVFSAAGDIIIELPSLSGSPVTSMLSYLSSRRNESLLFAGHADGSVTAHRLYESAANSDDWLTLSVGSSKPFIRGSRELDSPSISGLEVHQVGRARYIIASDGGGRIRVFTENGTLYGTAIASSPPLAFMKQRLLFLTETGAGSLDLRSMVVRETECEGLNGSIAKAYSFDSSERLKAYGFTAGGDLVHVVLLGDVAKLKCRVRAIRKSEIDGPLTIQTIKGYLLVASYDKISVYNISSQFYGRVGAPRPLFSVTIREIKSLFLNSEAAAYGPLDVKPLIAADREKLVVLGLGGGYIGIYRSNFPVFRVETNAVVWSGPVLLFLLFLIGIWQFYVKKKDSLGWTPEESFNAPGAPSSSLLGPGATERAFADGVRAGELRELRGGALRTPGRRYGSPTHYTGGPGIPYRSGTADPGFRGPGELKFRGQSMEPAGFAKRRETLFPNSQVADDNID >Ma07_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4048236:4050507:1 gene:Ma07_g05590 transcript:Ma07_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVASWNLLAIKAPFSSVVADGRPHLAPSVLHLKAPTLRGIGMQLLTPAPFRTRAMVDDDELGEEGGVAVAQPPAVSEAGELKKKLIDLLYGTDRGLKASSETRAEILEVITQLEAKNPTPAPTDALALLNGKWILAYTSFSGLFPLLSSGRLPELVKVDEISQTIDSESFTVQNSVKFSGPLATTSVTTNAKFEVRSPKRVQIKFEEGIIGTPQLTDDIVIPDKVEFLGQNIDLSPFNGVISSIQNAAASVARTISGQPLLKIPINNTNVQSWLLTTYLDEELRISRGDAGSVFVLTKEGCSL >Ma01_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13961214:13967407:-1 gene:Ma01_g18650 transcript:Ma01_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDVTGSGGGRLGKRPSESGIEVDYKTKVGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHANHARRADEVAREFAQEQEFFRQTALFSKKEKEKVEMMKAVSFMYVRPPGYNPESAKAAEIADEQKRLGQTSTEQNPTEGTSSIKPDMKAAKSQAGDKKPRPKDVFGRPLPTEEEFEVLKNAPRLETGVPARVKPFGVEIRNVRCVRCGTFGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQTDTSEPLKWELKQKPGMSPPRGGFRPDDPNQQIVAEDIFDEYGGFLGGGKIPPLLSNFSAGKSKKHSKRKSKHKHHSLRSHSEHEKRRDGDQSSSSLDSYESESDKEIRQQSKSRYKKKHRTHTSISTDSEFKVDKERRRDSESKHKKQRRRESSSSADTETDRQRRKKSASKYNKGSHLDPNASDGHDSDHYHRRRKHRHHHHRHRDRDDSKSESD >Ma02_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16736971:16737414:-1 gene:Ma02_g05430 transcript:Ma02_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-Cys peroxiredoxin PER1 [Source:Projected from Arabidopsis thaliana (AT1G48130) UniProtKB/Swiss-Prot;Acc:O04005] MNLPAMSHVLSLHRLFKPGCKVRFPIVADDNREMIRQLNMVDLNQKDADGVEIPSRALHVIGPDKTIKLSFLYPAATGRNMDEVVRAVESLQTTANFKVATPVNWKPGEPVVISPSVSNEEAKVLFPQGYDTVDLPSKQQYLLFTNL >Ma04_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10909153:10909323:-1 gene:Ma04_g14400 transcript:Ma04_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAETLKTLRSLLESVKLLRQCSRTCKYALIPTTLNTRQCAVGITIRWEFSPTST >Ma03_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1367708:1367940:1 gene:Ma03_g01990 transcript:Ma03_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQSNQEYMIKKVLQKRNACQKKYVQNI >Ma06_p32350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33281757:33282975:-1 gene:Ma06_g32350 transcript:Ma06_t32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKPAWLEALDKQRFFVSCSVHENARKKEKNICCLDCCTAICAHCLRSHHGHRLVQIRRYVYHDVVRLQDLDELIDCSSVQSYTINSSKVIFLKKRPQSRQFKGSGNICTSCDRTLQEPYVHCSLECKVDYISREGKDFSPYLRTCRSLQLNPELITAPEADAGGDDGNETTRSTVVQGEDDAMCSSDSENFSMPYVSFIRKKRSGLPCHCSRSANRTSHDGIAASSSRRKGIPQRSPLC >Ma02_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17003745:17005436:1 gene:Ma02_g05820 transcript:Ma02_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRACFSRRGSLQEL >Ma04_p32430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32390391:32392259:-1 gene:Ma04_g32430 transcript:Ma04_t32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNVGITFLAGGILGWAAVKILRPERHLEALVIASCSAGNLGAMPLIIIPAICEEEGNPFGASKICRVQGISYASLSMALGNFFIWTHTYGLIRKSISHCGRIHKDDVPTNKNRNPEVVRKARIFDPQDYSDQEEALLLPSSEDSEPSDRTADHRNEIEQRVSSRKLSDIIVHIWERSKGTFYQISKELLSPPTVAAVGGFIVGVVPWLKALIVGETAPLHVVQDSVTLLGDATIPCTIIILGGNLTEGLRKSTVRPLVITAIVCVRYVILPLFGIAVVMAAGHVGFLPPSPLYRYVLLIQFTVPPAMSIGTMTQLFDACQEECSVIFLWTYMLAALALTVWSMIFMWILS >Ma05_p29460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40133140:40138381:1 gene:Ma05_g29460 transcript:Ma05_t29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGMPAQPLPQTAIHASVVEKKLESLPAMVVGVYSDDSILQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLTREDYPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLSNGALFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPVFEQVKPALPALERLINSNDEEVLTDACWALSYLSDGTNDKIQAVLESGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYVINHQALPCLLNLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIAAGIIGPLVHLLQSAEFDIKKEAAWAISNATSGGSHDQIKFLVDQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNQVTTGGVNVYAQMIDEAEGLEKIENLQSHDNTEIYEKAVKILETFWLEEEDDAMPTGDAAPTGFHFGNNGQNTAPSGGFNFS >Ma05_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7731119:7755909:-1 gene:Ma05_g10630 transcript:Ma05_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDDSASRPWFAACRGSRKRKRSTDAVLQQRQASPVVPPSSDIEEYYSRAYSQIKDHARMEAYRDGILRHQPLISGKVVLNIGCGTGILAIFCALAGATRVYAIDESALAVRVGEVVKENNLSDRMTVLLGRVEDVSIDEKVDVIISDWMGDMLLHKSMLPSIIFARDKWLKPGGFIFPSHASLYMALFTDADGYHESIDFWHDVYGINMSAVLPLAKTSAFGDTYTETISKASVLTLPILVKQVDCYTITIQDFESVTNFSVSSLLQAPLHGFAFWFDVSFSGSATYSPNHHLQLLPEISADRQAQGSSHSHWEQVPGEILLSTAPGEAPTHWEQTVLYLYDPIELKQDQKMVGSVSLSLITENCWLLDIHLAHSTGGLAHIETTIML >Ma05_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32922014:32923603:1 gene:Ma05_g21250 transcript:Ma05_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSVLVLVFFSARGCLAASFTFVNNCQYTVWPGVLSNAGNAALSTTGFAVEAGQSRSIEAPAAWSGRFWGRTLCATDSTGKFSCGTGDCGSGKVECSGRGAAPPATLAEFTLGGGGNGTDYYDVSLVDGYNLAMLVAPQGGSGGGGCGSTGCVADLNGVCPSDLRVVLRSGTGASESVACKSACDAFGSAQYCCSGAYSNPNTCKPSSYSQFFKNACPKAYSYAFDDATSTFTCSSADYLITFCPGTASTSHKSNPDATGGLPRNEGLPSNDGGAMVILGGYEFGQASPRAAREAALATVSLAALTSLHLLSWWLIC >Ma08_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12883776:12924125:-1 gene:Ma08_g14550 transcript:Ma08_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPDPPSRPFPLFHEPNATPMSKIELSLSRPSRVYRLGESIEGKIVTVLTSPISYQKIVITATGTVNLQIRAGVTGVIDSLYGVVKPIRILKKSLQVASAGKLGPGKIETPFSFTLSPQENDTIATFYETFHGGNISIQYLITADVMRGYLHKSLSAALEFIVENDRANLITSPQLLEFVSFYITQDTQKHQLLPELLTGCFRVTGKILTQCSLKDPITGDLTVEASAVPIDSVDIQLLRVESILSGERFVTDTSVIQTTQIADGDICRSLTLPIYVLLPRLLVCPTLFAGSFSIEFHVSITVSFQSQLSKLYRKSDLRTPRPWMAMVTIPLKVYRT >Ma07_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30334947:30339763:-1 gene:Ma07_g22350 transcript:Ma07_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGMRAREMTNMEVVLGRKIFSSLLVAFILALSILCLYYGTSFAPGLPRRGASDGTDADGGSRMFDDVELFPGAPSSLYGESANLVVKSLPVCDMRLSELIPCLDRSLIYQLKLRVNLTLMEHYERHCPPAGRRFNCLIPPPVGYKVPISWPASRDQVWKANIPHTHLAEEKSDQRWMVVNGDKINFPGGGTHFHAGADKYIIHIAQMLKFRNDNLSDGGNIRTVLDVGCGVASFGAYLLIHNIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFVYSSPEAYATDEKNRRIWNAMSDLVRRMCWKVASKRNQTVIWVKPLTNGCYMRRNPGTLPSLCSSDEDSDAAWNVSMKACITPYSKRVSSAKGKLVSWPQRLVTPSPRLEEVGISDEKYNEDTEVWNWRVLEYWKQMKPEIQQDSFRNIMDMTANFGGFAASLVNKHVWVMNVVPVNESSKLKIIYDRGLIGTLHDWCESFSTYPRTYDLLHAWLLMSEVEKQGCSVEDLLIEMDRMLRPMGFAIIRDKVPMINYIKRFLTALRWDHWISDMEPKVDALSMGEERVLITRKKLWKGSPEKP >Ma06_p25480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25809339:25810339:-1 gene:Ma06_g25480 transcript:Ma06_t25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSKVLLDQPSKPSFPESLLVGGDQPARVTRGRKPPAAAAASVIGGSEPKPLTAAVPQSQFLEKVKDFLGVMAEANEKLEHEVQSSSRPDHDVEVLSGNEKEYIEMDLLLGVADLRTAEAVAAAEATMCGLRPSATSSSSSSSSSSDTDDDSDEDEASRRSDERSKRPKIVVLDPSTD >Ma06_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1044018:1047110:-1 gene:Ma06_g01300 transcript:Ma06_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQEEEKKPADQAAHINLKVKGQDGNEVFFRIKHSTQLRKLMNAYCDRQSVDINSIAFLFDGRRLRGEQTPEELEMEDGDEIDAMLHQTGGS >Ma11_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1978609:1982949:1 gene:Ma11_g02700 transcript:Ma11_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISAFAFSSPRMEKPSLSSTPSRALPSSYSSPRTIYSDRFIPSRIGSNFTLFDLSPSPSASSSSDVSGREYGSGAYAALLRNALFGPDQGVAPPATPDRSAEAAGRRSSSAASSTSSSSGFSIPSRNIFRYKADVPRHSLATQFEDGLPGFLHIHPRAPRKVSPSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGDCVYLWNACSSKVTKLCDLGVDDSVCSVGWAQRGDHLAIGTNKGKVQIWDATHCRRIRTMESHLLRVGAVAWGSSLLSSGSRDKMILQRDIRAQDDFVSKLTGHKSEVCGLKWSYDNFELASGGNDNKLFVWNQHSSQPVLKYCEHTAAVKAIAWSPHVHGLLASGGGTADRCIRLWNTTTNSHLSCIDTGSQVCNLVWSKNVNEIVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSKSQNTGSDIGARSLGRSHIR >Ma10_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21468976:21469444:1 gene:Ma10_g07120 transcript:Ma10_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETTHSLEEEGIKTRSRGFLVVKVAHCFLNHSNVYEDEL >Ma05_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8652021:8654734:1 gene:Ma05_g11840 transcript:Ma05_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYFPNEMPDFVEEQEGAVAQSTLHSLLCLPYTKLADKFLRAALDLKEKVVKETWLRNGRRVKDFTLYTGALGTAFLLFKAYQVTNNLSDLSLCGEIIRACDSVSAGSRHVTFICGRAGVCALGAVVAKQAGDNVLLNHYLSSFREIKMRDVPNELLYGKVGYLWACSFLNKHIGESTIPSTHMSPVAKEIILDGKRLSNKGSCPLMYEWHGKKYWGAAHGLAGIMHVLMDMELKPEDKECIKGTLSYMINHRFPSGNYPSSQGSNSDRLVHWCHGAPGVALTLIKASQVFQDEQILGAAEEAAKVVWSRGLLKRVGICHGISGNTYVFLSLYRLTGRVEYLYRAKAFACFLLDRANQLIADGRMHSGDRPYSMFEGQAGMAHLFLDMIRPSESRFPAYEL >Ma06_p34420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34658314:34660650:1 gene:Ma06_g34420 transcript:Ma06_t34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFVLDVWGWITNLPPFSRWSTNTMCLCICTSKSTKTSLKLSIIKSPQNLNPFVSFTIFADFHMPISLWTSNPIPLKSSAQISLTEAEAVHLFFDILNTVFSYGPSKRSSFRRSQVKVEKNFRDVLNLSFLTLTFLICIYEAPYDLRCGCLDALRLQLSNPTCRDALKLLVRMLGSNLEEQWMRSLNLGMTNWMVELQSLNQSVRAISPLFSYALSASGLWKVQVYCPVIAMSLEDPSATTQDERLLFSLRYQQLEAVIQLAYKVIVKQNWIDIVVAVDNIRCDVISLVSETLMDERGYGSGEKHFPSRISLQVTPTHQSDVVSVSVSKSSDNPTQEIGLEKALEGAFEPPGTYLGLRVSTTETVTVSVKPWKFEQSVHGASGKLNWFLHDGMNGREVFSSKPSKFSLLQPKAWFRDRYSSVYRPFTKEGGVIFAGDEYGESVWWKVGAGAFGKTMGWEIKGTIGITYWPNKQRSFYSETRNLQFKELIYLNLPKAV >Ma08_p21610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35435899:35437757:1 gene:Ma08_g21610 transcript:Ma08_t21610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRHRRAQRRKHDCLLFDLDDTLYPLSSGIATECLRNIQDYMAEKLGIEQTKIPDLSNLLYKSYGTTMAGLLAIGYRFDYDDYHSFVHGRLPYENLKPDPVLRHLLQSLPIRKVIFTNGDKVHAKKVLERLGMEDCFQGIICFETLNPPSSSSEQESTADIFDIVDHFSHHRTGAELPETPILCKPSANAMERALRIAHIDPQRTIFFDDSVRNIQSGKRIGLHTVLVGTSHRIKGADHALESIHNIKEAFPELWEEGDKTEDVHHPGKIALETSVIA >Ma08_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35435899:35437757:1 gene:Ma08_g21610 transcript:Ma08_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRHRRAQRRKHDCLLFDLDDTLYPLSSGIATECLRNIQDYMAEKLGIEQTKIPDLSNLLYKSYGTTMAGLLAIGYRFDYDDYHSFVHGRLPYENLKPDPVLRHLLQSLPIRKVIFTNGDKVHAKKVLERLGMEDCFQGIICFETLNPPSSSSEQESTADIFDIVDHFSHHRTGAELPETPILCKPSANAMERALRIAHIDPQRTIFFDDSVRNIQSGKRIGLHTVGTSHRIKGADHALESIHNIKEAFPELWEEGDKTEDVHHPGKIALETSVIA >Ma08_p21610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35435904:35437757:1 gene:Ma08_g21610 transcript:Ma08_t21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRHRRAQRRKHDCLLFDLDDTLYPLSSGIATECLRNIQDYMAEKLGIEQTKIPDLSNLLYKSYGTTMAGLLAIGYRFDYDDYHSFVHGRLPYENLKPDPVLRHLLQSLPIRKVIFTNGDKVHAKKVLERLGMEDCFQGIICFETLNPPSSSSEQESTADIFDIVDHFSHHRTGAELPETPILCKPSANAMERALRIAHIDPQRTIFFDDSVRNIQSGKRIGLHTVLVGTSHRIKGADHALESIHNIKEAFPELWEEGDKTEDVHHPGKIALETSVIA >Ma04_p32220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32299709:32301465:1 gene:Ma04_g32220 transcript:Ma04_t32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSCPAQLGITTPSPPQLLSSALIIALPSILFRRHSPTFLLCGSSSTLLRHRRNSMALHRSKPPLLIILLLLIALVVPSAATSAALVGVNYGRVGDNLPPSETVPRLLASIGVGRVRLYDADTAVLRAFANTGVELVVGMPDRCLPPVAADPAEALAWARTHVQAFVPAVKIVAVTVGNEVLTGANASGLAHCIVPAMENLHGALASLGLDRDVAVTSAHSLAVLATPSYPPSGAVFRPDLLPYVRPLLAFHARTGSPFFVNAYPYFAYVSDPSGVALEYALLDQGSAAFTDPATGLRYGNLLHAQVDAVYHAIAAAASPSSKGGGVEVRVSETGWPSAGDANETGATPENAARYNGNLMRLVAGQKGTPLVPGTPLRAYVFALFNENQKAGPSSERNYGLFKPDGTPAYQLVGVSMHQGSNSTSTSTDGIGGNGDGEASTTGPDGESGFFSISAASPDWQCRAATSAAIAGASVFLKLL >Ma08_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32307673:32307903:1 gene:Ma08_g18720 transcript:Ma08_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRRVRPRPIKIRDLVLRKAEVTDRTRAGGKLAPNWEGPYRVYDMVREGTYRLETMEGNHLPRTWNTANLKKFYP >Ma10_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22317440:22319023:1 gene:Ma10_g07990 transcript:Ma10_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAAAACLPTLFRRCKTTSAQYVASRSMDPTFEKLMEGYKHFLKVLAVQDLILASPTGSLPLPVLSAAAPRLRLSRGAAHFVRTFPRIFSLLYDPSAAQPLVRLTPTAARIASDESDAASASAPDAVDRLRRLLCMSSSRSLPLRAVFRVWRELGLPDDFEDSIIARNPTIFVLRTNPRETNTHLLEFVDQSQTPKFIPAVDAWRCQERNQADEAELKFAFKQGFPPGMRLTKNYRARLKEWQRLPYAGPYGVGGGGSARSKAGMRALEKRAVGIAHEFLSLTVEKMVEVEKISHFRKWFGVDLNIRDLFLDHPGMFYLSTKGKRHTVFLREAYDKGRLIDPNPIYEARRRLLELVLMRRRGMGADSAGRRASRSEEDVEVDMEEGCSSDSDTRYDFGES >Ma09_p27210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38253277:38254563:-1 gene:Ma09_g27210 transcript:Ma09_t27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSITSTIVLNSQEKEPHAEEDSTMDKPNWSSLPLDIVTLISEELPLPHRICFHATCNAWYFATLLKPIPSPLLLVHNDESEHNDSCLFVSLITEFFFIYFPINELHGTRCVGSNAGWLAILDEQLDVSLLNLLTKTRIYLPSFITLPLYNPPYESKYIAMILYGKYPEIAYTKAGNDKWIFLGTPSTMECYYEDIMYHHDGKFYSITSQSEVQAFDLSGDYPVTMLLVERLARSIEYLDNISGSTINDLYNKYLACSSTGEMFLFLWHRDQTHYPNNGALPRPKDFMLMKVKPETSHCWAATKDMGNMCLFIGSNNPILIPSKDLEGLKGDHIFSVETFPNEEVDGYTRNVGYFDLKEERWKSFSESSQSPLYLRPPIWFTLSLH >Ma05_p29100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39887395:39887711:-1 gene:Ma05_g29100 transcript:Ma05_t29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILLIALLAMASSLAMASDPSPLQDFCVADKDSKVLVNGFVCKDPKHVTAEDFFFMGLDKA >Ma00_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1413436:1414410:1 gene:Ma00_g00450 transcript:Ma00_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRASQFVAAETWMAGKREDHKKVKSEPPRQPQPAASRWRTDRPESRSPLPALNSSRTEIFLHEKGKGLLKDPHPMRNPRELADRSRYCRFHRQHGHDTEQCYELKRQIEELILRGHLGQYLRPNKERSPRPEGPVEWHIDVIARGPASGGGSMSGRKAYARAAPDETAGHEPEPEITFPTGASDRPDHDNALVISARVANAQVRKIMVDTGSSTDILYFDAFQKLGLAKENLSPMCSTLTGFTGDSISPLGVVTLPLTLGTPSRSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAGRLHLLPDHQVPNSCGSRGSHGKPPRV >Ma02_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21696443:21700961:1 gene:Ma02_g13190 transcript:Ma02_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAINLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACLHGLDFGCEMNLTAGIQVAQLALKHRQNKKQKQRIIVFAGSPITYEKKTLEAIGRKLKKNSVALDVVDFGESDDGKAEKLETLVAAVNNNDNSHIVHVSPGPNALSDVLISTPIFSGDGEGGSGFAAAAAAASAGGVSGFDFGVDPNLDPELALALRISMEEERARQEAAAKKAAEEASKEEKGGEQASSSRDTTMSEAVNTSAVIADDKRQNLTDVEAALLEQALAMSMDGAKSSSVDMIDADMSDATAEDPELAYALKMSVQESAGDSSTHSGMSKVLEDQSFVSSVLNSLPGVDPNDPSLKDFLASLQDQSETQQKRKEDSPEKDGDK >Ma03_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8833163:8850439:1 gene:Ma03_g11380 transcript:Ma03_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDNPPMTAQVTRASQSSFSSGNGNVTPLHNSAASVNGDGYDSDGSYFAPPTPTTLSMSLPTELAGAISLIDKFQVEGFLRSMQKQIQSAGKRGFFSKKSVGPQVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSVKLFQMILKYMGIDSSDKIMVLSLEERIELIAKIYKHTLKRSELRDELFVQISKQTRKNSDRNSMIKAWELMYLCASAMPPSKDIGAYLSEYVHYVAHGVNNEPEVQVLALNTLNALKNSVKAGPRLIIPAREEIEGLLTGKKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSTDAGNEEYLALDDNKYVGDLLAEFKAAKDRSKGEILHCKLIFKKRLFRESDEAVADPMFVQLSYVQLQHDYMLGNYPVGRDDAAQLSALQILVDIGSVENPDSCVEWISLLERFLPRQIAVTRAKRDWELDVISRYRLLEHLSKDDAKQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYAKARSATGGSSQGDISQTVKAPGLDLYEKRVQDLSRAAEESQKNADHLLEELNLKEKQEAEMQAELEELKESLRLERQSLRDISCDRDKLKAICAEKDSALQSALLDKSNLEAALARANIKERFPVDSNHEKELLVVSNKHGKGDLIMGSMKTDSVDIKLSKTQEDLKACMKELHASKESYKTLLKEKSLFEQKIQRLEKRKNDEKSTLEKKFEEERRILKTRITELEHKLQGIMQDLSIANASIAMKNKEIDELQNNAKELEELREWKADIDRKNEQTAAILKKQGAQLIELEALYKEEQVLRKKYYNTIEDMKGKIRVFCRLRPLNEKEISEGEKNIVASLDEFTLAHPWKDEKSKQHIYDRVFNQSASQNEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSESNPGITPRATEELFRVIKRDSSKYSFSLKVYMVELYQDTLVDLLLPKSAKRLKLEIKKDSKGLVSIENVTIVHISNFDELKAIISRGSEQRHTAGTQMNDESSRSHLIVSIIIESTNLQTQSLARGKLSFVDLAGSERVKKSGSSGNQLKEAQSINKSLSALADVIIALCSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSAAESNLEETYNSLMYASRVRLIVNDPSKNVSSKEVARLKKLVAYWKEQAGKRGEDEELEEIQDERHSKERSEGRVSS >Ma03_p11380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8833163:8850439:1 gene:Ma03_g11380 transcript:Ma03_t11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDFFLCSINPHIGSKIYKDEGCNEHQVQRPRRKCEERLLLLIRGMTIDNPPMTAQVTRASQSSFSSGNGNVTPLHNSAASVNGDGYDSDGSYFAPPTPTTLSMSLPTELAGAISLIDKFQVEGFLRSMQKQIQSAGKRGFFSKKSVGPQVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSVKLFQMILKYMGIDSSDKIMVLSLEERIELIAKIYKHTLKRSELRDELFVQISKQTRKNSDRNSMIKAWELMYLCASAMPPSKDIGAYLSEYVHYVAHGVNNEPEVQVLALNTLNALKNSVKAGPRLIIPAREEIEGLLTGKKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSTDAGNEEYLALDDNKYVGDLLAEFKAAKDRSKGEILHCKLIFKKRLFRESDEAVADPMFVQLSYVQLQHDYMLGNYPVGRDDAAQLSALQILVDIGSVENPDSCVEWISLLERFLPRQIAVTRAKRDWELDVISRYRLLEHLSKDDAKQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYAKARSATGGSSQGDISQTVKAPGLDLYEKRVQDLSRAAEESQKNADHLLEELNLKEKQEAEMQAELEELKESLRLERQSLRDISCDRDKLKAICAEKDSALQSALLDKSNLEAALARANIKERFPVDSNHEKELLVVSNKHGKGDLIMGSMKTDSVDIKLSKTQEDLKACMKELHASKESYKTLLKEKSLFEQKIQRLEKRKNDEKSTLEKKFEEERRILKTRITELEHKLQGIMQDLSIANASIAMKNKEIDELQNNAKELEELREWKADIDRKNEQTAAILKKQGAQLIELEALYKEEQVLRKKYYNTIEDMKGKIRVFCRLRPLNEKEISEGEKNIVASLDEFTLAHPWKDEKSKQHIYDRVFNQSASQNEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSESNPGITPRATEELFRVIKRDSSKYSFSLKVYMVELYQDTLVDLLLPKSAKRLKLEIKKDSKGLVSIENVTIVHISNFDELKAIISRGSEQRHTAGTQMNDESSRSHLIVSIIIESTNLQTQSLARGKLSFVDLAGSERVKKSGSSGNQLKEAQSINKSLSALADVIIALCSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSAAESNLEETYNSLMYASRVRLIVNDPSKNVSSKEVARLKKLVAYWKEQAGKRGEDEELEEIQDERHSKERSEGRVSS >Ma04_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6828786:6830003:-1 gene:Ma04_g09640 transcript:Ma04_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTICRVATVLQSRYTAPGFWIIGLRLFEEMENLVTESSEKEERMRNCIAGARQHLNEMQTEVPLSDTRPAATISTSKNIYFLLSELHSQNLLTRLAVAQDLASASGSSRGQEEHSNAGGVEIDESVRESIDNMQEIGGSTDLDSAIEASLQVCFALISSSYHMACKFIGDRPPPPASEEIIARLGSETECAVCWENLAVDDKMQELPCKHLFHPPCLKPWLDEHNSCPICRHELRTDDHAYEIWKERER >Ma06_p28690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30298694:30300213:-1 gene:Ma06_g28690 transcript:Ma06_t28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGTLATIVRLSLLLQWLFCINACESHLTVGPTKEHFRDNN >Ma04_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3458376:3462055:-1 gene:Ma04_g04530 transcript:Ma04_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEMTVITAYFLGLKRTYRLALRIQRRLVGPNHPRTRQFLYRRTRSVFDVAVKVHKNIQQRDKEVGRNLGNWILRWLHRMKPSAEICPPVLEEPTSTNNISKHAASSSQPLGAKEANNKAPKKSDGRVLFTPLNSRSTSFPSMSMMLQPRNSMDWNCQQRHISRSTPYGISFQQRRGLAGVFRDDISQWMLH >Ma06_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7930502:7934075:1 gene:Ma06_g11320 transcript:Ma06_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGADHLRQIVEETDWYNGIVLDAVVPGGAWKRLPRPLQSWLRNYIGGTALYLVSGFLWCFYIYYLKRNVYVPKDSIPSNRAIYLQIIVAMKAMPWFCVLPTLLEYMAENGWTRCFASVGEVGWPAYFVYLTIYLVIVEFGIYWMHRELHELKPLYKYLHATHHIYNKQNMLSPFAGLAFHPLDGILQATPHVIALFLVPTHFTTLILLMFCEVVWTANVHGCIHGKIWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPEQEFKKPD >Ma10_p28310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35232006:35236593:-1 gene:Ma10_g28310 transcript:Ma10_t28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARHGQTSYRDRTQEFIKTIENLKKSSSTPDAPSSNAVSRLEVPKSAASIQSEFSKRASQIGLGIHQTSQKLTNLAKLAKRTSVFDDPTVEIQELTAVIKQDITALNSAVVDLQHLCDSQNKGGNMSRDTTNHSTTVVDNLKNRLMSTTKEFKEVLTMRTENLKVHENRRQLFSSSASKDATNPFLRQRPLVSKGSNDSSALAPPAPWENSSTSSSPLFPRRKTNEDPSSSTQPLIQQQQQQQQIVPIQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGALLRYLNSISSNRWLMIKIFFVLMVFLMIFLFFVA >Ma10_p28310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35232006:35236593:-1 gene:Ma10_g28310 transcript:Ma10_t28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNARHGQTSYRDRTQEFIKTIENLKKSSSTPDAPSSNAVSRLEVPKSAASIQSEFSKRASQIGLGIHQTSQKLTNLAKLAKRTSVFDDPTVEIQELTAVIKQDITALNSAVVDLQHLCDSQNKGGNMSRDTTNHSTTVVDNLKNRLMSTTKEFKEVLTMRTENLKVHENRRQLFSSSASKDATNPFLRQRPLVSKGSNDSSALAPPAPWENSSTSSSPLFPRRKTNEDPSSSTQPLIQQQQQQQQIVPIQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGALLRYLNSISSNRWLMIKIFFVLMVFLMIFLFFVA >Ma06_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1990419:1994342:1 gene:Ma06_g02570 transcript:Ma06_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHRKPSAAAAPPLDDDLFSYYFSFFNDGAAPSAMDPNPGKRPRADDPSSSRRHVFSKPSESSSPSPSSADEEDEALQRPELLPPQPQQQQRRVWVRDRSSDWWDRYIDPELPDIEFRRAFRMSRATFDFLCDELGSAVAKEDTALRAAIPVRQRVAVCVWRLATGEPLRLVSRRFGLGISTCHKLVLEVCAAIRNVLMPRSLAWPDSAGAAAAAARFEALSGIPNVVGAMYTTHIPVIAPRVSVAAYFNRRHTERNQKSSYTVTLQGVVDPDGVFTDVCIGWPGSMPDDQVLEKSALYQRANSGLLNNQWIVGGTGHALLDWVLVPYAQSNLTWAQHAFNEKVGAVQRVAKDAFARLKGRWGCLQKRTEVKLKDLPVVLGACCVLHNLCEMRKEEMEPELRFELLDDEMVADNGLRSFSAIHFRDSIAHNLFHHGLSGTSFL >Ma06_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7682098:7690163:-1 gene:Ma06_g10960 transcript:Ma06_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVPPPFYSTLTISPQNPNAEPLRIRRGARPRRRSGAPVVADSRNPPQKPTRLVTFLGKGGSGKTTAAVLAAQYYAMEGLKTCLLVHSQDPTVEKLMGCRIGSSPRVCDNNVSVVRLETSKMLLEPLDRMKKVDARLNLTQGVLEGVVGEELGVLPGMDSIFSALTLQKMVNFVPGERNLSQREFDVIVYDGMSTEETLRLISTTDRARWYLKYMRNMAEKTDIGRLTAPSLLKLAYESIRLYGGSIEDKTSAEIWDDIERVLEKSSVSFTDSSKFGCYLVMDTSRSTSVDAALRYWGCAIQAGTHICGVLGFDPTSSVVTESIIQKFLPLPFGCLPYISSEECVDLKAMIESLNKDTKDLFRTSSRCSESSVIFDPSQKSVTLFMPGFDKAEIKLYQYRGGSELLVEAGDQRRVIKLPSSMQGKVGGAKFIDRNLVVTLK >Ma06_p10960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7682587:7690163:-1 gene:Ma06_g10960 transcript:Ma06_t10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVPPPFYSTLTISPQNPNAEPLRIRRGARPRRRSGAPVVADSRNPPQKPTRLVTFLGKGGSGKTTAAVLAAQYYAMEGLKTCLLVHSQDPTVEKLMGCRIGSSPRVCDNNVSVVRLETSKMLLEPLDRMKKVDARLNLTQGVLEGVVGEELGVLPGMDSIFSALTLQKMVNFVPGERNLSQREFDVIVYDGMSTEETLRLISTTDRARWYLKYMRNMAEKTDIGRLTAPSLLKLAYESIRLYGGSIEDKTSAEIWDDIERVLEKSSVSFTDSSKFGCYLVMDTSRSTSVDAALRYWGCAIQAGTHICGVLGFDPTSSVVTESIIQKFLPLPFGCLPYISSEECVDLKAMIESLNKDTKDLFRTSSRCSESSVIFDPSQKSVTLFMPGFDKAEIKLYQYRGGSELLVEAGDQRRVIKLPSSMQGKVGGAKFIDRNLVVTLK >Ma07_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6167182:6170347:-1 gene:Ma07_g08270 transcript:Ma07_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked sulfhydryl oxidase ERV1 [Source:Projected from Arabidopsis thaliana (AT1G49880) UniProtKB/Swiss-Prot;Acc:Q8GXX0] MAGNPLEPFFQACESISHCVQTHLSRLFLPPQADSRHPHSLSKETSLPLFSVFSKPPSTTDDGSAPFRHKKEAKAAGPLTKEELGRATWTLLHAIAAQYPDQPTRQQKHDVKELMAILSRLYPCKECADHIKEVLKANPIQAGSQDEFSQWLCHIHNVVNRSLGKLIFPCNRVNARWGKLDCIDHNCDLQGSSDLTNQQR >Ma10_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21863047:21867975:1 gene:Ma10_g07480 transcript:Ma10_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRNRTPIYRKYRDALRNVRVPSPSSHSGPSTSSSYSGSGGGPVIELVNASLLRPDRSYAPLSTEDPGGSSTGAAVVGLPPAWVDVSEEIAADMQRARTKMSELVKAHAKALMPSFGDDKEDQHAIKLLTYEITDVLKRSEKRLQKLSSSVPSEDSNVRKNVQRSLATDLQNLSMEFRKKQSSYLKRLQQQQEGQDGVDLEMNHNGIRHEIEEDDFRDVGFNDIQMSALKRSEVFTREREREIVQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNVQNVASSVEEGYKQLEKAERTQRKGGMVMCATVLVILIFIMLVLLILKTILF >Ma08_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5768790:5774893:1 gene:Ma08_g08280 transcript:Ma08_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRAUCO [Source:Projected from Arabidopsis thaliana (AT1G51450) UniProtKB/Swiss-Prot;Acc:Q9C8J7] MDGHQAPRKGDDADDDRWSDALDCIPSPLSPASPAAPAAALASLPAPVEPGEPDDAERLATKPDLPDPSSLQTPDVMAPAVALAAADELPPSSTSTSSDDDDDASARKKQRPLSSFVSLSSSSAAAVPLPPPPPPAATTAAKKPKKKSNNVWSKSTSRKGKKKGKSGNNHHAASVEDTVLMTPVPRFPDKTDDTPEAKICLSRVYKAEKVELSDDRLSAGSTKGYRMVRATRGVQEGAWYFEIKVVRLGETGHTRLGWTTEKGDLQAPVGYDGNSFGYRDIDGTKIHKALREKYGEEGYTEGDVIGFYINIPDGASYAPKPPHLIWYKGQRYVYSVDGKDDPPKVVPGSEISFFKNGVCQGVAFTDLFGGRYYPAASMYTLPNQPNCEVRFNFGPDFEYFPQDFGCRQIPCPMSEVPYHGFDGKVEGPAENGFSEKTS >Ma03_p32570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34299432:34303766:-1 gene:Ma03_g32570 transcript:Ma03_t32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPLPFLLSLFFLPQLSLSLNREGLSLLQAKNSLADPGNALSDWNPLDSTPCNWTGVTCGSTSLAVTSLYLSGLALAGPFPSALCRLPHLSFLSLASNVLNDTLPSSSLLGCSSLAYLDLTRNSFVGPLPSSLPSILPDLVHLDLKFNNFSGDIPPSFGQFPRLRILSLVANQLTGPVPSFLSNVTSLRELNLSYNAFSPSPIPVSFANLTQLRILWLAGCNLVGPVPPDLGRLSSLVNLDLAYNSLSGPIPESITGLSSAVQIELYANSLSGTLPRGLSNLTALRFFDASMNKLYGHLPEDIFLAPNLANLQLYENNLVGLIPQSISRCKNLADLRLFSNQLDGPLPFDFGKHFPLGFIDLSDNFLSGEIPASICDGGVLSELLLLNNSFSGSLPQSLGRCTSLTRVRLPNNRLSGEVPPAFWGLPHVWLFELSGNFFCGSISPVISGAANLSMLLISDNQFSGPIPEEIGALSNLYEFSAANNMLSGPLPMGLRYLTKLGELDLHNNSLSGELPRGILSWKKLSQLNLADNDISGGVPPELGDLPVLNYLDLSGNKLSGEIPMELQNLNLIEFNLSNNQLSGGLPPLLATQTYQNSFLGNPGLCGELNGFCPSPRGVKPERHVFVWLLRSVFILASLVLVTGAAWFYWRYRNLKKAALGMDNPKWKFTSFYKLGFSEEEIPVSLDEDNVIGSGASGQVYKVVLSNGETVAVKKLWGTSKKNDIVPSFGDGFEAEVEMLGKIRHKNIVKLWCCCTNIDSKLLVFEYMPNGSLGDQLHGAKGGLLDWRTRYKIALDAAEGLSYLHHDCLPPIVHRDVKSNNILLDAEFGAKVSDFGVAKAIVKGPKSMSVIAGSCGYIAPEYAYTLRVTEKSDIYSFGVVILELITGKFPMDPELGERDLAQWVCSTLEQKGVESVIDPRLSLCFKEEIREVLAVGLLCTNFLPLNRPPMRTVVKLLLDVAPVNKLKPPLKDLNPSP >Ma09_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:821605:825267:1 gene:Ma09_g01120 transcript:Ma09_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEMGEEPALGVPYGYAPASYYGQGAAPPPPPPPPQQQMYYVGQNPYQAGMIPPNAVFGDPKGIPLQQTMFRDTPAPFQCVYCGSSGITTVRSKPSLAAVVGCMMPFMLGVCFLCPSMDCLWHKYHYCPSCGQKVADFKKSDPCLVVDMPRWHEESFAVPA >Ma06_p35590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35370763:35377225:1 gene:Ma06_g35590 transcript:Ma06_t35590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIESLAPRGLRPKSNPHPIAKGLGNRSILLPCRSRERGGEPVRFGRSVRPHGHAFSSIPRSSRGWLLGEGFDALGVLLKHRRRAVATRVRACGSCEQDTDSNASSSDGATEGPQGSSEGVKKVPSHPSPASSPSSSPSSSSSSSSSSPRRENKWKSRWWKGSRWQWKPIIPAQEISALLFQLGIVMFAMRLLRPGIPLPGSEPRPPTTYVSVPFSDFLSKINNDQVRKVEVDGVHIMFRLRQDPVSMEVEAGGENRAQEAEALMRSMAPTKRIVYTTTRPADITTPYEKMLENQVEFGSPDKRSGGFLNSALITLFYIALLVGAFNNFRVSFSQHTAGQLRSRKTSSPGSAKAPEHADVVTFDDVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRLGREAILKVHVDKKELPLGDDVNLSEIASMTTGFTGADLANLVNEAALLAGRANKVVVEKIDFILAVERSIAGIEKKHAKLQGGEKAVVARHEAGHAIVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIKRATDMAYKAVAEYGLNQNIGPVSLATLSSGGLDDSGGAGPWGRDQGILVDLVQREVKSLLQSALEVALSVVRANPTVVEGLGAYLEEKEKVEGEELQEWLKLVVAPAELTRFIQGKKHEDLLRLEAGS >Ma06_p35590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35377795:35382950:1 gene:Ma06_g35590 transcript:Ma06_t35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAWWQQSQPHKYEIERRDDVINKEAEAEAFFVLNPSTIALHRFLASFCPNVGLMTSVQLSLASVASARSCHAPSNLPKSALLPGFEVMGHTSNIWNKDTCYKFSLMPKATLTFDHSVAESAKHKQKKHTIDPAAPDFLPLPSFEECFPKSTKEVREIVHEQSGHVLKVPFRRVHLSGEDQHFDTYDTSGPQNINPQLGLPKIRKDWVERREQLGAPRYTQMFYAKQGMITEEMSFCAAREKLDPEFVRSEVACGRAIIPSNKNHLELEPMIVGRNFLVKVNANIGNSAVVSNIEEEVHKLQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPLHKIPENMVKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVISYKIAAHAADLAKGHQHAQAWDDALSKARFEFRWMDQFALSLDPMTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGTVEEAMKHGMDAMSAEFLAAKKTVSGEQHGEVGGEIYVPESYAARSPSTI >Ma06_p35590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35370763:35382950:1 gene:Ma06_g35590 transcript:Ma06_t35590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIESLAPRGLRPKSNPHPIAKGLGNRSILLPCRSRERGGEPVRFGRSVRPHGHAFSSIPRSSRGWLLGEGFDALGVLLKHRRRAVATRVRACGSCEQDTDSNASSSDGATEGPQGSSEGVKKVPSHPSPASSPSSSPSSSSSSSSSSPRRENKWKSRWWKGSRWQWKPIIPAQEISALLFQLGIVMFAMRLLRPGIPLPGSEPRPPTTYVSVPFSDFLSKINNDQVRKVEVDGVHIMFRLRQDPVSMEVEAGGENRAQEAEALMRSMAPTKRIVYTTTRPADITTPYEKMLENQVEFGSPDKRSGGFLNSALITLFYIALLVGAFNNFRVSFSQHTAGQLRSRKTSSPGSAKAPEHADVVTFDDVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRADVLDPALRRPGRFDRVVMVETPDRLGREAILKVHVDKKELPLGDDVNLSEIASMTTGFTGADLANLVNEAALLAGRANKVVVEKIDFILAVERSIAMTSVQLSLASVASARSCHAPSNLPKSALLPGFEVMGHTSNIWNKDTCYKFSLMPKATLTFDHSVAESAKHKQKKHTIDPAAPDFLPLPSFEECFPKSTKEVREIVHEQSGHVLKVPFRRVHLSGEDQHFDTYDTSGPQNINPQLGLPKIRKDWVERREQLGAPRYTQMFYAKQGMITEEMSFCAAREKLDPEFVRSEVACGRAIIPSNKNHLELEPMIVGRNFLVKVNANIGNSAVVSNIEEEVHKLQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPLHKIPENMVKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVISYKIAAHAADLAKGHQHAQAWDDALSKARFEFRWMDQFALSLDPMTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGTVEEAMKHGMDAMSAEFLAAKKTVSGEQHGEVGGEIYVPESYAARSPSTI >Ma07_p27800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34033258:34033955:-1 gene:Ma07_g27800 transcript:Ma07_t27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWDRVTSPMRRVWAGVAIRLGISKSGLTRLREEVSTCEYEDVHVLWELLTESGGRGGPLRVAAPRRTRRRDGSHVWEWAGLCFCRRF >Ma08_p34770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44756663:44763042:1 gene:Ma08_g34770 transcript:Ma08_t34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEVATLPASVESLVVVHNVAKRHNVGTMARSATAFGVSEIVLVGRRDFNAFGSHGSTSHLRFRHFHSLSQARHYLKEERNCDICGVEITDGALSIADHPFRKSTAFLLGNEGTGLSAKECEICDFFVYIPQYGCGTASLNVTVAASIVLHHFGVWAGFPERSREGNKFTVAEKPVKQIRRNYCASSVESIVEERKSRKQSASIDIFEENGTNDSKLTNLLEALFDD >Ma09_p29370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39830651:39833759:1 gene:Ma09_g29370 transcript:Ma09_t29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLMMIWATLMVLLMAAIISQTRRMRRSHKRARLPAGSPGWPLVGETFEFVSCAYSPRPESFMDKRRLLYGKVFRSHLFGSPTIVSTDAEVSRWVLQSDGRSFVPSYPKSLTELMGKSSILLINGNLQRRVHGLIGAFFKSSDLKAQITRDMQCYVQQSMSSWKNERLIHIQDEAKHIVFQILVKGLIGLQSVTETQFLKQQFKEFIAGLMSLPVKLPGSRLYRSLQAKKKMVMLIKSIILEKRKNNCGRTPLDVVDVLINDASDQLTDDLISDNMIDLMIPAEDSVPVLVTLAVKYLSECPLALQQLEEENMQLKKRRSLPGEDLQWTDYMSLSFTQDVITETLRLGNIISGIMRKAVRDVEIKGHFIPKGWCVFAYFRSVHLDENHYEEAYKFNPWRWKDKDMSTCSFTPFGGGQRLCPGLDLSRLEASIFLHHLVTNFTWVAEEDQIVNFPTVRMKRRMPIRVRRKT >Ma09_p29370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39830729:39833759:1 gene:Ma09_g29370 transcript:Ma09_t29370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTCVHEVGNGACEDGGTVTYKGVDRGIQLGKMYRLMMIWATLMVLLMAAIISQTRRMRRSHKRARLPAGSPGWPLVGETFEFVSCAYSPRPESFMDKRRLLYGKVFRSHLFGSPTIVSTDAEVSRWVLQSDGRSFVPSYPKSLTELMGKSSILLINGNLQRRVHGLIGAFFKSSDLKAQITRDMQCYVQQSMSSWKNERLIHIQDEAKHIVFQILVKGLIGLQSVTETQFLKQQFKEFIAGLMSLPVKLPGSRLYRSLQAKKKMVMLIKSIILEKRKNNCGRTPLDVVDVLINDASDQLTDDLISDNMIDLMIPAEDSVPVLVTLAVKYLSECPLALQQLEEENMQLKKRRSLPGEDLQWTDYMSLSFTQDVITETLRLGNIISGIMRKAVRDVEIKGHFIPKGWCVFAYFRSVHLDENHYEEAYKFNPWRWKDKDMSTCSFTPFGGGQRLCPGLDLSRLEASIFLHHLVTNFTWVAEEDQIVNFPTVRMKRRMPIRVRRKT >Ma01_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2844577:2845824:-1 gene:Ma01_g04310 transcript:Ma01_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKMTHPVAEADERSPFGRLSAEDFYARHAVSHASSSFVNPRGLRIFTQWWEPLPPARPIATVAVVHGFTGESSWLVQLTAVYLAKHGFAVGALDHQGHGFSDGLVAHIPDIEPVVDDCVTFFDSFRARYPPSLPCFLYAESLGGAIALMIHLREKDGRATRGWDGVVLNGAMCGISPKFKPPWPLEHLLWLAAAIAPTWNIAFTRGSIPDVSFKVEWKRKLALASPRRTVARPRAATALELLRVCREVQGKFEQVTLPMLIVHGADDVVCDPACVEELRRRAASEDKTIRIFPGMWHQIVGEPDENVELVFGEIIEWLKARAVPHTAAEEGKA >Ma06_p34130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34522879:34524942:1 gene:Ma06_g34130 transcript:Ma06_t34130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSGSLFNLSAVGKDFSLVSPHKDRSLFDLPSWSFSQKEDLLDIISSSSEESCSSTAVGKGKSYDILSHLTQLKESKKIHSDDLDISLVNLKGRKKNHMDELDMNIDVSSPVQRIPDPDCIFPFKEDFPSVLSPRTDFTRGRSKSNIPVDHESFYDFNFENNISGELSGFAFRPQKPHAHTQMSEGCLPYSFSAGDSQHDTQLSDSVRQRNASKTSGESEGISINLENKILSEKSLYSLSERENNNEAPSERLELNKRKASSCSSKAYDPPEEAEVPWKTKEVSELQTYINDKVSLDPSDIRNKESLKDNLGSEVTLLEAFQVRSLICLTKFC >Ma06_p34130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34522879:34524942:1 gene:Ma06_g34130 transcript:Ma06_t34130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSGSLFNLSAVGKDFSLVSPHKDRSLFDLPSWSFSQKEDLLDIISSSSEESCSSTAVGKGKSYDILSHLTQLKESKKIHSDDLDISLVNLKGRKKNHMDELDMNIDVSSPVQRIPDPDCIFPFKEDFPSGKLASDSVFWFQKFDRKVKCHLITTYCLNLIFNLLADPVLSPRTDFTRGRSKSNIPVDHESFYDFNFENNISGELSGFAFRPQKPHAHTQMSEVRQRNASKTSGESEGISINLENKILSEKSLYSLSERENNNEAPSERLELNKRKASSCSSKAYDPPEEAEVPWKTKEVSELQTYINDKVSLDPSDIRNKESLKDNLGSEVTLLEAFQVRSLICLTKFC >Ma06_p34130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34522879:34524942:1 gene:Ma06_g34130 transcript:Ma06_t34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSGSLFNLSAVGKDFSLVSPHKDRSLFDLPSWSFSQKEDLLDIISSSSEESCSSTAVGKGKSYDILSHLTQLKESKKIHSDDLDISLVNLKGRKKNHMDELDMNIDVSSPVQRIPDPDCIFPFKEDFPSGKLASDSVFWFQKFDRKVKCHLITTYCLNLIFNLLADPVLSPRTDFTRGRSKSNIPVDHESFYDFNFENNISGELSGFAFRPQKPHAHTQMSEGCLPYSFSAGDSQHDTQLSDSVRQRNASKTSGESEGISINLENKILSEKSLYSLSERENNNEAPSERLELNKRKASSCSSKAYDPPEEAEVPWKTKEVSELQTYINDKVSLDPSDIRNKESLKDNLGSEVTLLEAFQVRSLICLTKFC >Ma07_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4712395:4713488:1 gene:Ma07_g06570 transcript:Ma07_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIGELACTYAALILHDDGIPITSEKILTVVQAANLTIESYWAPLFAKLLEKRSVDDLILSVGSGGGGGVAVAVSAGPAAGAGGGAAPAADPAAEEKKEEPKEESDDDMGFSLFD >Ma08_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4732564:4736597:-1 gene:Ma08_g06940 transcript:Ma08_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSFIFRRQKTSNWKRGQIDEDIPRTESVKIYTYKELKNATDDFSPANKIGQGAFGSVYKGRLGDGTVVAVKVLSAESKHGAQQFLTEITIISGIIHENLVKLFGCCVEESHRILVYNYLENSSLAQTLLGSSHSSIQFNWRARVKICVGVARGLALLHDEVRPHVVHRDIKASNILLDKDLTPKISDFGLAKLLPANMSHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRCNTNTRLPYEDQCFLERSPGITNGFLVMQTWSLYKCGKLVNIIDISLTDDLDIEEACRFLKIGLLCTQDAMTFRPSMSTVIKLLTGEKDVDSEKIVKPGIIENFMDLKIRNKDPMNRSKVMSSFLHSSPSSSENTTDASMTFRSNIRGRD >Ma04_p36820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35036717:35041687:1 gene:Ma04_g36820 transcript:Ma04_t36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPNGNDHEGVSVVGFEVPRSPDSSYNNPVAGNEDEAREPPLVPAHLHHTSLNYPPSQDDPSSISVPQNVILNHLYIENRDGPRSVVAMGITHRFRSKYVTVVLYKPVQRR >Ma04_p36820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35036723:35041687:1 gene:Ma04_g36820 transcript:Ma04_t36820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPNGNDHEGVSVVGFEVPRSPDSSYNNPVAGNEDEAREPPLVPAHLHHTSLNYPPSQDDPSSISVPQNVILNHLYIENRDGPRSVVAMGITHRFRSKKS >Ma03_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9900957:9904005:-1 gene:Ma03_g12850 transcript:Ma03_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPPLSISFLTSSPPSYVLPKALSFPSSTTNPSAATARPLQPLSSTANATAAALDRCSDALSLREGAQIHARFLRLGVAPDPRFSTQLLRLYSAHGRLAAARAILDAIPRDALSRLAFNYVIRAYASSALAEPALDLFLEMLDSGLSPNEFTFPFVLKSCALLGLLDLGRQIHAALVKTGLFVANVFCASALLDLYVKHAPFGDACQLFDRIHHRNEVTWNSMMTAYAQNGFLGRSLEMLDRMVDSGLEVGTSSWNSIVAGCVRYGDLELALGMLGEMLSSGSFKPNAATINTLLPLIPTIPALDSLKVLHGFSFRNVEIMDVDPAGADRLWSAIAAGYAFHGCMDYAACLFEKVKLKGSQLWNSMISGFLECEQVHEAFAVFREMAFQYGLEAEALSRVSLTLVLPECGELWKSGLEIHAYAYRRCVESNTSVSNALMAMYARRGDKEASEKVFQTITEKDVVSWNTMVAMYVMTHDTDGAFELFRQMIAEGVRPDEFSFSSALNACACSSYLRQGMALHGHMVKSGFYCSYQVVQNSLMDAYGKCGCVEEARNVFEEISLKDVISWNTVISCCGFSAHPQEAISLFSRMKEEGWKPNRVTFIALLSACSHAGLLDECLHYFETMTSEHGIVPDVDHYSCIVDGLGRAGQLEKAYRFIKDMPVEPDDCIWGALLSSCRIHGNLELAEVAAKRLIELDPQHSGYWVLLSNVYADASRWRDVSDVRAAMKDAGVNKCPGFSWVEVGGREVHRFLTADKLHKQCDDIYAALDGLVKQLKDEGYAPLVSPRDPFS >Ma03_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4562351:4565701:-1 gene:Ma03_g06640 transcript:Ma03_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPPSAAGDGDAGGASVATGAKSTMETVKNVFSMWGKKVGKTAKKAEDLSRNTWQHLKTGPSFVDAAMGRLAQGTKVIAEGGYKKIFQQTFDLFPEEQLKETYACYLSTSAGPIMGVLYLSSAKLAFCSDNPLPHKNGDQTEWSYYKVIIPLHQLRAVNHSISRTNSAEKYIQIVSVDNHEFWFMGFLRYESAVAHVQEALQKNYKTQS >Ma05_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2951448:2964733:-1 gene:Ma05_g03950 transcript:Ma05_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHEDVDEMADAYEMGDGEDDMDEELRGRGMGDSDSEDEEYGQSNYRVTDTTSAQARKGKDIQGIPWHSLSITRKQYRQTRLEQYKNYENVQNSGEASEKECKPTEKGGMYYEFWRNTRAVKSTILHFQLRNLVWATSKHDVYLMSNYSVLHWSALSCQKSEIMNVSGHVAPSEKHPGSLLEGFSQTQVSTLAVKDKLLVAGGFQGELICKYLDREGISFCCRTTYEDNAITNAVEIYNSPSGAVHFMASNNDCGVRDFDMEKFQLCKHFPFPWPVNHTSLSPDGKLLVIVGDNPEGVLVDSHTGKTVHELQGHIDFSFASAWHPDGFTFATGNQDKTCRVWDIRNLSKSVAALRGNLGAIRSIRFTSDGRFMAMAEPADFVHVFDVGSGYNKQQELDFFGEISGISFSPDTEALFVGVWDRTYGSLLQYNRRRNYSYLDSLL >Ma05_p03950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2951448:2964733:-1 gene:Ma05_g03950 transcript:Ma05_t03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHEDVDEMADAYEMGDGEDDMDEELRGRGMGDSDSEDEEYGQSNYRVTDTTSAQARKGKDIQGIPWHSLSITRKQYRQTRLEQYKNYENVQNSGEASEKECKPTEKGGMYYEFWRNTRAVKSTILHFQLRNLVWATSKHDVYLMSNYSVLHWSALSCQKSEIMNVSGHVAPSEKHPGSLLEGFSQTQVSTLAVKDKLLVAGGFQGELICKYLDREGISFCCRTTYEDNAITNAVEIYNSPSGAVHFMASNNDCGVRDFDMEKFQLCKHFPFPWPVNHTSLSPDGKLLVIVGDNPEGVLVDSHTGKTVHELQGHIDFSFASAWHPDGFTFATGNQDKTCRVWDIRNLSKSVAALRGNLGAIRSIRFTSDGRFMAMAEPADFVHVFDVGSGYNKQQELDFFGEISGISFSPDTEALFVGVWDRTYGSLLQYNRRRNYSYLDSLL >Ma08_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9882780:9883197:1 gene:Ma08_g12810 transcript:Ma08_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSHPLPPGSMISRAADAQILTKHYEQHTYRDPRGAAIAYRGTAVTTEGRLAMFADPALTVSRFSTTGPDVFDGQMTPADVLKPDIVAPGEQIWAGAQPAYWIPYSQMV >Ma10_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25526875:25526958:-1 gene:Ma10_g12440 transcript:Ma10_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLPVTLGGIHVWHMTALTEIFVDN >Ma07_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2946346:2950132:-1 gene:Ma07_g03890 transcript:Ma07_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFVIRKPTKIHSRSRARRALEAKRKGRHSGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPGDRAPAGAASQSEAPKKAKK >Ma02_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23418487:23421169:-1 gene:Ma02_g15900 transcript:Ma02_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQIPTTFDPFADANADDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKREFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKERIKIHGF >Ma07_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10022283:10022417:1 gene:Ma07_g13280 transcript:Ma07_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNWEAQGIVREVACSILLNNNNNKSSCVVFVFFSSFFSHSPN >Ma04_p38090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35754352:35759507:-1 gene:Ma04_g38090 transcript:Ma04_t38090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEESNHASKRFRVVGNGSQTAKIGRKEHREDDEEGRERKGRGGDDANGTAPWEHHLSSRIFRVSRASGGKDRHSKVHTARGVRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAISELPPLDGFPKPPYPNMVETMKANPDTESSYNQQQQHMSTKSGCSSTSETSKGSVLSLSRSESRIKARERARERAAKDKSKDRDDGGHHVVSHHQNLNHSLNPQTSFTELLTGSGGGGGDVSNNANATTVAAGAENTVRNCIQKQIPTADYFVQAGLFTQPQESHQHPPTFSSQSHFGNSSPMGMLPFNIATTGDHPEMQQLFLQDNLFPISAVAATGDYNLSFSISSGLAGFSRGTLQSNSSAQLPQQHHHNHNHLPRLSSTVDGSNLQFFGAAAGSARAGAGAENQYPAVCDGRLQLCYGGDGYRHSDLKGKGKS >Ma04_p38090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35754352:35759507:-1 gene:Ma04_g38090 transcript:Ma04_t38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEESNHASKRFRVVGNGSQTAKIGRKEHREDDEEGRERKGRGGDDANGTAPWEHHLSSRIFRVSRASGGKDRHSKVHTARGVRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAISELPPLDGFPKPPYPNMVETMKANPDTESSYNQQQQHMSTKSGCSSTSETSKGSVLSLSRSESRIKARERARERAAKDKSKDRDDGGHHVVSHHQNLNHSLNPQTSFTELLTGSGGGGGDVSNNANATTVAAGAENTVRNCIQKQIPTADYFVQAGLFTQPQESHQHPPTFSSQSHFGNSSPMGMLPFNIATTGDHPEMQQLFLQDNLFPISAVAATGDYNLSFSISSGLAGFSRGTLQSNSSAQLPQQHHHNHNHLPRLSSTVDGSNLQFFGAAAGSARAGAGAENQYPAVCDGRLQLCYGGDGYRHSDLKGKGKS >Ma03_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2176918:2181143:-1 gene:Ma03_g03230 transcript:Ma03_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSVQIQMRIRWGALVLAKRAVSSSPQLSRRLKQTENEIVRMFKLPSLENGGMNSLTVRDRQRLGRPLDERFLRILKIFKWGPDAEKALEVLMLRVDHRLVLEVLKTDVEIGVKMQFFHWASKRKNFEHNAATYMALIRCLDEEGLFGEMWRTIQEMVRSPCIIMPVELSEIIRILGRAKMVNKACSIFYQIKIRKCEPNSHAYNSMIIMLMQEGHHEKVHELYNEMCNQGNCLPDTVTYNALIMAFCKLGREDSAFRLLEEMKENKLQPTTKIYTTLMEMCFKSGNVEKAMNLLQDMRDQCCVPNVFTYTELIKGLGKAGRTEEAYEFFLQMQREGCKPDIVLMNNLVNILGKAGRLDDVVKLFEEMESLHCVPNVVTYNTVIKAHFDSKARVSEAASWLEKMKEKGIEPSAFTYSILIDGFCKTNRVEKALMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDAATELFQELKENCGSSTSRVYAVIIKHFGKCGRLNDAVALFEEMKQLGCVPDVYAYNALMSGMVRMGMMDEAQSMLRAMQEQGCVPDINSLNIILNGVAKSGGPIRAMEMLSNMKQSSFKPDAVSYNTVLGALSHAGMFEEAAKLMKEMNSMGFKYDLITYSSILEAIGKVDDVSANSVS >Ma03_p03230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2178547:2181143:-1 gene:Ma03_g03230 transcript:Ma03_t03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSVQIQMRIRWGALVLAKRAVSSSPQLSRRLKQTENEIVRMFKLPSLENGGMNSLTVRDRQRLGRPLDERFLRILKIFKWGPDAEKALEVLMLRVDHRLVLEVLKTDVEIGVKMQFFHWASKRKNFEHNAATYMALIRCLDEEGLFGEMWRTIQEMVRSPCIIMPVELSEIIRILGRAKMVNKACSIFYQIKIRKCEPNSHAYNSMIIMLMQEGHHEKVHELYNEMCNQGNCLPDTVTYNALIMAFCKLGREDSAFRLLEEMKENKLQPTTKIYTTLMEMCFKSGNVEKAMNLLQDMRDQCCVPNVFTYTELIKGLGKAGRTEEAYEFFLQMQREGCKPDIVLMNNLVNILGKAGRLDDVVKLFEEMESLHCVPNVVTYNTVIKAHFDSKARVSEAASWLEKMKEKGIEPSAFTYSILIDGFCKTNRVEKALMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDAATELFQELKENCGSSTSRVYAVIIKHFGKCGRLNDAVALFEEMKQLGCVPDVYAYNALMSGMVRMGMMDEAQSMLRAMQEQGCVPDINSLNIILNGVAKSGGPIRAMEMLSNMKQSSFKPDAVSYNTVLGALSHAGMFEEAAKLMKEMNSMGFKYDLITYSSILEAIGKVDDVSANSVS >Ma09_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5487973:5491408:1 gene:Ma09_g08290 transcript:Ma09_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDCEQDIRSNGDSDGGVVATRSMDSIFSTASCFPLTLQFIDVSYSVKLAPMSGSTGRGIKCMFNSAGKSTAATEERVILSGISGVVSPGEMLAVLGPSGSGKSTLLSVLAGRLQGKHAGAVLANGRRLTKAVLRRTGFVTQDDVLYPHLTVRETLVFCAMLRLPGTVAKAEKVAAAEAVMVELALTKCADTAVGGPFIRGISGGERKRVSIGHEMLVNPSLLVLDEPTSGLDSTAASRLVATLGGLARKGRTVVTSVHQPASRVYQMFDSVLLLSEGSCLYFGKAKDAMDYFGSLGFAPKFHVNPADFMLDLANGVTQMDHQGDAEKSTVKQSLISAYNRVLAPKVKAALTAVVPGDATLSGKDVSRERGRKGHGSISWISQFSILLQRSLKERRHESFNSLRVFQVMAAAVLSGSMWWHSSARDVQDRLGLLFFITIFWGVFPSFNAVFTFAQERAIFIKERSSGMYSLSSYFMARMAGDLPMELILPTVFTLILYWMAGLRAEPAAFLLTVAVLLGYVLVAQGLGLAFGAAIMDAKQASTIATVTMLAFLLTGGFYVQNIPGCLAWMKYVSFTFYGYRLLISIQYRGNEMDYYIHRKGREEEGDLVAQVDASVCIVALIAMLVGYRVLAYIALRRTSA >Ma07_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29674925:29679375:-1 gene:Ma07_g21610 transcript:Ma07_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAFWTHRKCRLEWTMGSECRQRHHGLKLGGEAKAEKLKGRSKLKLWMVRTTTTVLLWTCFLQLMALGETWGPRVLKGWSSCVTFSDSPLSVKQVTPSVEKIVLPPKRIYRNNGYVMVSCNGGLNQMRAAICDMVAIAKYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKRRIKPGIAYSMPPVSWSNISYYQNQILPLIKKYKVVHFNRTDARLANNGLPSEIQKLRCRVNYAALRFTSQIEELGRRVIRILRQNGLFLVLHLRYEMDMLAFSGCTHGCTIEEVEELTRMRYAYPWWKEKVINSEVKRKDGLCPLTPEEIALILKALDIDHNIQVYIAAGEIYGGERRMSALSDAYPNVVRKELLLGPSDLRYFQNHSSQMAALDYMVSLESDIFVPTYDGNMAKVVEGHRRYLGFKKTIILDRKLLVELIDQYSNGTIGWDDFSSSVKAAHANRMGRPTRRAVIPDRPKEEDYFYANPQECLQQPDEPWTS >Ma03_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:194908:198572:1 gene:Ma03_g00130 transcript:Ma03_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDCGSEAIRPATAEEEASKRNTDRVYFLASPLTCKKGSDCEYRHNQGARMNPRDCWYWLKRNCLNPKCSFRHPPLDSWFATPMPSSGPLQLLQTAPTTQIPAACAPLSNNINKKSSPCYYFQRGQCLKGEWCPFIHGPHEFSNSVLQQVAKASTCLAEPPATIKDTQQNINMQQNAVKLNRDKPKATDHKVLPPNSLNGEPPALPHNPHNFVPTSGACSVNHQLQPLDEQPVVGRDTDELLHEYSPGFDVLVEDDIEDPDFFHNEDNFGRVSAHSGQHLEPENERHRDYEPMTRIERDPCNGIDKYDNYEQTQGRYVRRMNHQTKRPGRIKQQLSEDFSKDTATKSIRNKPTIGDDTNSPDFAGPKSIAEMKGARINGHSYERSIISIIANTNLNRSSIIHISGWRQYRLHNVEKLWQQFPVSIMINQEKKECCIYALSMKSTFPPFHE >Ma03_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4880123:4887566:-1 gene:Ma03_g07120 transcript:Ma03_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECARSNGSAAVDGGDGEGSRGRAGGRVDCATVRMRRWQPLERGRGVSSSRTRSTERDDASSSSSSSSRQRTQRTGMRLRNRHRSLWAPRVGRGNEGLEDLALPLGMSFAAVVAQILNGKNISGRRSQINHFSMICTSAVKESITNIYGNKFDYFIRNFDKSFQSTLKTLCLINKASITKQENTDCSFFTCSSSEYTPELANPEPVSEVENLQENMPLSSMSYQLIPYGQENQHLANIYHGTSNHRFSHSILDTYERSVVEQTRSNDLKTVEIGLVMEKLKLKQSQLALSSDANLLEKIKISMGISKASFKEEKLRNQMLETRHAELLKRCIDLLVTGLIIMCGFLLYGASIYSLQRITEVTSACNYTLKDSRSWWIPKSVQSFSSGWLTLRCHFVVLTRMCFGILMILAIAYLVFQRSAMSGPTMPVTFLVMLLGVVCGFAGKLCVDTLGGSGYHWLIYWEVLCMLHFFANVFPSAFHNILYGPVAVTQVANTVRLPYWVRRYAFYILLLLILPTLSGLLPFASINEWKVHFSEKLAFWSSGIGYEETFGNIYGP >Ma07_p03240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2482386:2507178:-1 gene:Ma07_g03240 transcript:Ma07_t03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MASTGVVQREAESPGEVDSGKEPGIFSDIDGVGYPLAESWATRSWTSPRPGLDLENCYTAPVRSNSSGLLPKGPERTLPRPKTEKKEIPKYDLKLDRLPESEKKKLIENLVKIQNDGTLEVDVARTGPVASELLELDAIGSIPGDIKDTIFDFSKSIPRLKIAILVVGTRGDVQPFIAFAKKLQEFGHRVRLATHVNFSTFVKSCGLEFYPLGGDPRVMAGYMARNKGFILSGPAEINTQRKQLKEIIDSLLPACTEPDLDSGMPFRAQAIIANPPAYGHLHVAEALGVPLHIFFTMPWTPTNEFPHPFARVPQSAAYRLSYLIVDLIVWWGIRGFINDFRKRKLKLPPIAYFSTYHGSISHLPTGYMWSPHLVPKPTDWGALVDVVGFCFLNLGTEYQPEKEFVQWIEQGPKPIYIGFGSMPLEDAKKTTTIILEALRETGQRGIISRGWGDLGSLSEVPVDVYLLGDCPHDWLFPHCAAVVHHGGAGTTASGLRAGCPTTIVPFFGDQFFWGERIHAIGVGPAPIPISELNVERLSSAIEFMLDPEMCTMELAKQIENEDGVATAVDAFHKHLPPELPIPPASLDDEPPNPIRWLLQFFEKWCCLPCSS >Ma07_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2482386:2507178:-1 gene:Ma07_g03240 transcript:Ma07_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MASTGVVQREAESPGEVDSGKEPGIFSDIDGVGYPLAESWATRSWTSPRPGLDLENCYTAPVRSNSSGLLPKGPERTLPRPKTEKKEIPKYDLKLDRLPESEKKKLIENLVKIQNDGTLEVDVARTGPVASELLELDAIGSIPGDIKDTIFDFSKSIPRLKIAILVVGTRGDVQPFIAFAKKLQEFGHRVRLATHVNFSTFVKSCGLEFYPLGGDPRVMAGYMARNKGFILSGPAEINTQRKQLKEIIDSLLPACTEPDLDSGMPFRAQAIIANPPAYGHLHVAEALGVPLHIFFTMPWTPTNEFPHPFARVPQSAAYRLSYLIVDLIVWWGIRGFINDFRKRKLKLPPIAYFSTYHGSISHLPTGYMWSPHLVPKPTDWGALVDVVGFCFLNLGTEYQPEKEFVQWIEQGPKPIYIGFGSMPLEDAKKTTTIILEALRETGQRGIISRGWGDLGSLSEVPVDVYLLGDCPHDWLFPHCAAVVHHGGAGTTASGLRAGCPTTIVPFFGDQFFWGERIHAIGVGPAPIPISELNVERLSSAIEFMLDPEVKMCTMELAKQIENEDGVATAVDAFHKHLPPELPIPPASLDDEPPNPIRWLLQFFEKWCCLPCSS >Ma06_p32760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33612565:33616209:-1 gene:Ma06_g32760 transcript:Ma06_t32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLSAIYLAIVLVFTLLTALAGGRRRRKLNLPPGPRPWPVIGNLNLIGRLPYRSLAALAQKHGPLMHLRFGSFPVVVGSSVDMAKFFLKTHDLSFVSRRKTAAGKYTFYNYSDIGWSPYGPYWRQARRICIMELFTTKRLDSYQYIRVEEVRCLLQDLFRSAETPVLLKDHLFTINLNIMSRMVLGRKYTQEQSLSSVAPTAIVPQEEFKEMVEELLLLNGVINVGDLIPWLNFLDLQGYVKRMKMLGKRFDRFLEHVLDEHNERRRREGKAFVPRDMADVLLELADDDGLEVKLERHCLKAFILDMFVAGTDTSTITIEWAISEILKRPETFDKATEELDRVIGRGRWVEEEDVHRLPYIEAIVKETMRMHPVAPLLIPRFSREHTTVDGYDIPARTGVLVNVWAIGRDPAVWDAPEEFRPERFVGSPIDVKGHHFELLPFGAGRRMCPGYSLGLKLIGDGVAHDAQNDGSGVWGVCELHRRDRVLPAGPGGLPVARLRGMAFNLTDIGGIGGGKTLNSAFSSPPTTRQVGNARRS >Ma11_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24418735:24423703:-1 gene:Ma11_g19640 transcript:Ma11_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADLNIHEELPERKLSKQLAVTVRKIQWSYAFFWSTSTRQPGVLEWSEGHYNGEIKTRKTTQSTEPDIDQVGLQRSKQLRELYESLLSGECNRQIRRPSASLSPEDLTEAEWYYMLCMSFTFSTGQGLPGKALANNQHIWLNTYQFSDSKEFARSLLAKAASVQTVVCIPIKGGVLELGTTEFILEDPALIKQIKSFFHQLPNPVCSEQPTSTPQVAENDEDMLCPVLDNDTDNSMFLENQKQINICQTHLYASAEQSDSVQCKVGKHVGSSRDSSNDCCPIQQLEDLFGFDWLDGVSQTQNWQFIGDDEDEDESSNGLHGSLNTNERVSMSFVNAQKVVSSTVGERTRNQMLMSLDLDGSANILRNSKRAKPDSCFPRVSHGSSFITWRKSMNTPKPCVRAPQELLKKILMDRAWLGGGHRLKRRVKNGLPEKFWRPEGGARASHVLSERKRREKLNEKFLVLRSLIPSVSKVDKASVLDDTIEYLKDLERRVQELESCRGSVERRKHFAVAERTSGNEEIMNGKRKACDVDEAETQHNWAVSKDGPIHVTITMKEKEVSIEMRCPWREHLLLEIIGSMSDLRLDPLSVQSSTVDGMLALTLESKVRMPPKSPLADCLLC >Ma06_p34060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34458402:34464639:-1 gene:Ma06_g34060 transcript:Ma06_t34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEASGRSSNPYRSQSASSGSGSSRWYRVQLLASNFIRSRLSDVLDHFGVLRPRASHAEAEGLVGGESRVHASGRIGGSAASTGSGGEVSIRIIGVGDQESLRVGSTQPHPLVVGSGREGGSNVSGVSGEPIATFSERRGGDGGSDTMVGESASSSSSASASVPPVGSRSMDGDSNITGGNNRDSTYQRYDIQQIARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLIAITLVFMIHVFGVYWWYRNDDLLYPLVMLPPKEILPFWHAIFIIMVNDTMVRQAAMVVKCFLLMYYKNSRGHNYRKQGQMLTLVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFFSALKALSRKVHYGSYATTEQVIAAGDLCAICQEKMHAPILLHCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQMF >Ma04_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6666797:6676056:1 gene:Ma04_g09380 transcript:Ma04_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANANAKGARKKEVKKETGLGLTYKKNENFGEWYSEVVVNSEMIEYYDISGCYILRPWTMTIWEVLQTFFDAEIKKMNIKNAYFPLFVTESVLQREKDHIEGFAPEVAWVTKSGQSELEVPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSHPTPFIRSREFLWQEGHTAFATQAEADQEVLEILELYRKIYEEFLAIPVVKGRKSELEKFAGGYYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFQINFENDKGVKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVIPVPFKDANTDAIFSACSSTVQALREAGFRAEADLRDNYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRVVRRDNGAKSDIPMGNLVEKVKEILSSIQECLFNAAKQKRDACIKIVNTWDEFIAALNDKKMILAPWCDEEEVEKDVKARTKGELGAAKSLCTPFDQPRLPEGTLCFASGKPAKKWTYWGRSY >Ma04_p09380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6666787:6676056:1 gene:Ma04_g09380 transcript:Ma04_t09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATANANAKGARKKEVKKETGLGLTYKKNENFGEWYSEVVVNSEMIEYYDISGCYILRPWTMTIWEVLQTFFDAEIKKMNIKNAYFPLFVTESVLQREKDHIEGFAPEVAWVTKSGQSELEVPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSHPTPFIRSREFLWQEGHTAFATQAEADQEVLEILELYRKIYEEFLAIPVVKGRKSELEKFAGGYYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFQINFENDKGVKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVIPVPFKDANTDAIFSACSSTVQALREAGFRAEADLRDNYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRVVRRDNGAKSDIPMGNLVEKVKEILSSIQECLFNAAKQKRDACIKIVNTWDEFIAALNDKKMILAPWCDEEEVEKDVKARTKGELGAAKSLCTPFDQPRLPEGTLCFASGKPAKKWTYWGRSY >Ma10_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26438683:26438790:1 gene:Ma10_g13750 transcript:Ma10_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVVRRKLYDYVRSETTVIAFPFSLPDAPHIKK >Ma09_p12430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8359696:8361909:-1 gene:Ma09_g12430 transcript:Ma09_t12430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSERACQVETTPRLAQWRIESLASYTYRKSDPFKIGLWNWYLAVEKSKQLSVKLFPEVSSLTREQPPIASFVIKVVSPSSPNCKTLIHPGIRDRQLKNSDDFVWAIDTLLPGRFIIDVEFLDLKIVPSSGGEPSSIWGSYQVAKHSAATALTTLGRMLADGIHTDITINARDGSIGSHRAVLAARSPVFRSMFSHDLKEKELSAVDICDMSFEACRAFLDFIYGNFQADEFLGHRLALLRAADKYDLSDLKEACHESLLEDIDAKNVLERLQTAHLHRLPELKSSCMRYLVAFGKINELGEDFSVFLQTADRELIAEIFQEVLAAWKGF >Ma09_p12430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8359696:8361400:-1 gene:Ma09_g12430 transcript:Ma09_t12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRYLAVEKSKQLSVKLFPEVSSLTREQPPIASFVIKVVSPSSPNCKTLIHPGIRDRQLKNSDDFVWAIDTLLPGRFIIDVEFLDLKIVPSSGGEPSSIWGSYQVAKHSAATALTTLGRMLADGIHTDITINARDGSIGSHRAVLAARSPVFRSMFSHDLKEKELSAVDICDMSFEACRAFLDFIYGNFQADEFLGHRLALLRAADKYDLSDLKEACHESLLEDIDAKNVLERLQTAHLHRLPELKSSCMRYLVAFGKINELGEDFSVFLQTADRELIAEIFQEVLAAWKGF >Ma09_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8359696:8361429:-1 gene:Ma09_g12430 transcript:Ma09_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRYLAVEKSKQLSVKLFPEVSSLTREQPPIASFVIKVVSPSSPNCKTLIHPGIRDRQLKNSDDFVWAIDTLLPGRFIIDVEFLDLKIVPSSGGEPSSIWGSYQVAKHSAATALTTLGRMLADGIHTDITINARDGSIGSHRAVLAARSPVFRSMFSHDLKEKELSAVDICDMSFEACRAFLDFIYGNFQADEFLGHRLALLRAADKYDLSDLKEACHESLLEDIDAKNVLERLQTAHLHRLPELKSSCMRYLVAFGKINELGEDFSVFLQTADRELIAEIFQEVLAAWKGF >Ma01_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5005532:5013453:1 gene:Ma01_g06920 transcript:Ma01_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFRPPIFHPLPKPVPARRAMTTASCSAAPATVDCASASQRWRKLPVLLFDVMDTLVRDPFYDDVPAFFQMSMKELLAEKHPTAWTEFEKGLIDESELARKFFKDGRPLDLEGLKRCMIRGYSYVDGIETLLQSLKQKNYEVHAFTNYPIWYMMIEEKLRLSKYLSWTFCSCLIGKRKPAPDCYTEVLHHLGVEASSCIFVDDRMTNVEAAKDAGMIGLHFRNADALKQDLISLGIEIAISEKA >Ma05_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8233793:8241458:1 gene:Ma05_g11420 transcript:Ma05_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMAENFGRRTPSVREVEQAITALKKGAHLLKCGRRGKPKFCPFRLSTDEKSLIWYSGEKEKHLNLNSVSKLVPGQQTANFLRQNQADKKIQSFSLVYENGQRSLDLICKDKEQAESWCLGLTALVSTSHQPRSLAHVRSSRWAHSCVNSPAKYAATNHRLGILQGSAKLAKVHSLYGSPARSVLDKHLSDRMLGSSDEFYSPRQRTLSDIQSYLDKILPRLPHVVSYGDEDKIESNLSKGQRMHPVSKLSSSDHESPKLYVNDGLKDVFIWGKGVGGVLGGGIDSSLPRLLDSTRALDVRNVSCGENHAALVTKQGEVFCWGLENGGRLGHKVNMDVPCPKLVESLTGICIQKVVCGAQCTFAIAHSGELYVWGDSNHGLGLSGDRGNRSQWFPHTISGPLDDICISRVACGEWHTAIVSSSGQLFTYGDGTFGVLGHGNVQSLSRPKEVESLKGLRVKSVACGPWHTAAVVEIMVGRVKGNTPGGKLFTWGDNDKGRLGHADKDRKLTPTCVASLVDCDFVQVTCGTTLTVALTVTGIVFTMGSSANGQLGNPQAEDVSITRVEGLLKTEYVKEISAGSFHVTVLTTKGKVYAWGRGGNGQLGLGDNKDRNSPTPVESLEDRHVESIACGSNFTVITCLHKFISSKDQSICTGCRMVFGFARKKHNCYNCGFMFCHHCSSKKAMNAALAPSRCKRYRVCNSCFTQLQKISDTRMNTETSTPRPLLLTTEVAIKREHSFIAGERSFQHKLLSFEETKPVEVDAESIREKRHNQHLSSPLITIRRWGHVPRPLQFLEHAKENSLKVVPISGQENSDIMLPKASSLRKDLDSVDKVLREELLRLQTEAMSLTQKCQSRSQKLQQCKRKIEETWLLAKDEAEKCKAANAVIKILTSQMNALTEKISSRRQTSRSTMEAVSTCHETKFPVPEGEKLVSSSRHQNKDVNESIKHQTCTSSSNDAPLAATSAKDCKPKESKDEWVEQVEVGVYITFITLPNGQKGLKRVRFSRKHFSEKEAEKWWEENQRKVYLKYNIQRIITPSTSKIGN >Ma08_p33030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43534637:43539711:-1 gene:Ma08_g33030 transcript:Ma08_t33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGRAKGDTAVFFAATLVLWGVSVAFEMTFNRRSELAPVVAGFFFFQAANWAVRFSLSRDPLFVNTVVSLLHSTVTTTSVVLILINQWMIKGLGNMFEHEQLFGGTWLGAYSALCFSCGYFAYDQLDMLRYHLYSGWIPGILVHHLILLVCFTLALYRNVTINYLILSLICEFHSIFLHMRKVRRMAGFRDAKSRVVKVEWVLNWTTFFVTRLGCHVLITYKLISDAPKFGRGIELPLALFGMAGMNLLNIILGRDLYGAYKREKNQQRRQE >Ma05_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2023218:2023810:-1 gene:Ma05_g02920 transcript:Ma05_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHSHTVHPISAVRIEWSLWSQDIEEEIIPVCREFDIGIVPYGPIGHGFLASKGVAESLPQYSYPNWHPRFTGENLEKNKRLLED >Ma10_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26225786:26227426:1 gene:Ma10_g13400 transcript:Ma10_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLKERTKRVSYLANFPN >Ma07_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9468263:9470171:-1 gene:Ma07_g12570 transcript:Ma07_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLSYSDHPSMPAERGMFQSFMDGLEDDDGVEQEEMCNGGERGSKKRRLSVEQVRALEKNFEVDNKLEPERKVRLAQELVLQPRQVAVWFQNRRARWKTKQLEHDYAALKASYDALRLDYDALRRDNESLIAEIKELKTKLTASDKEEAMTSKAEEKAAAPEEPLAKDGSSDSDSSAVLLNDQDSPRGRSSSASVSNILPAAGIGHGSSSSFPDSPPPLLNLDSRTMKTVGGLDHQNHAMKTEELLGGDEPCGSSFFSYDQTPFPSWYYWT >Ma07_p12570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9468263:9470168:-1 gene:Ma07_g12570 transcript:Ma07_t12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLSYSDHPSMPAGEERGMFQSFMDGLEDDDGVEQEEMCNGGERGSKKRRLSVEQVRALEKNFEVDNKLEPERKVRLAQELVLQPRQVAVWFQNRRARWKTKQLEHDYAALKASYDALRLDYDALRRDNESLIAEIKELKTKLTASDKEEAMTSKAEEKAAAPEEPLAKDGSSDSDSSAVLLNDQDSPRGRSSSASVSNILPAAGIGHGSSSSFPDSPPPLLNLDSRTMKTVGGLDHQNHAMKTEELLGGDEPCGSSFFSYDQTPFPSWYYWT >Ma06_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4695244:4696960:1 gene:Ma06_g06480 transcript:Ma06_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANQTPARFPSPATAFDDDLFSYYFSFFHDTAAASDPQPSSALPSPMEPNPNKRPREDDLSPSRLVALKPSSSSSSPPPVDDDAAEQEEAQAVPPTVQPGHRRLWVKDRSSDWWDYYNHPDLPEDEFRRAFRMCRATFDFLCDELGSAVAKEDTALRAAIPVRQRVAVCVWRLATGEPLRLVSRRFGLGISTCHKLVLEVCTAIRNVLLPRLLLWPAPSEAASAAARFEALSGIPNVVGAMYTTHIPIIAPKESAATYFNRRHTERNQKTSYTVTIQGVVDPDGVFTDVCIGWPGSMPDDQVLEKSALFERANSGLLNNQWLVGGGSYPLLDWVLVPYAQTNLTWAQHAFNEKIGEVQRVAKEAFARLKGRWGCLQKRAEVKLQDLPVFLGACCVLHNICETKGEEMDPELRCDVVDDEMVPENGVRSASAMQNRDSIAHNLLHHGLAGTAFL >Ma04_p18060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19487642:19490796:1 gene:Ma04_g18060 transcript:Ma04_t18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGSPPFSHLLLAILIPILLLTATADANEERKVYIVYMGHQTSSSSSTEAEHLNLLKQVLEGCAPCDSLVYSYTKSFNALAAKLLTKEMEKLAGMKGVVSVFPSKILHPRTTRSWDFLGFPATVNRNPPLESDVIVGMIDTGIWPESESFNDQGLGPPPRKWKGDCINLKCNNKIIGARYYNSLNDTSQEESPRDFNGHGTHTASTVAGKSVQGASLYGLAGGTARGGVPSARLAVYKVCWSFGCAEQDILAAFDDAIADGVDIISISIGYPSAFDYFLDAMAIGSFHAMKKGVLTSASGGNSGPYHGTVGNVAPWMLVSAASSTDRHIIDKLVTGDQRCVVGASVNTFATEKESYPFVYFGDGSFPPADCTQLDEKLVKGKIVLCGYIDDGTGAYLAGAKGAVMLNDAFLDTSFSFPLPAIAISYSNGEKLMQYINKTNNPVANIHKSEAVFDPKAPVVGSFSSRGPNTITADILKPDISAPGIDILAAWSKLGKVSGSPNDTRSVEYNIISGTSMACPHTSGAAAYVKSFHPSWSPAAIMSALMTTAKPMNPSLHPDAELAYGAGQLNPVKAVDPGLVFDAADTDYVQMLCDEGYNKSMIRIITGDDRCCSSLGRRTARDLNYPSMALHVASNESFAGNFTRSVTNVGDACSIYRVKIKADGRLKVVVNPKTLVFTKPDEKQGFVVSVSGGPMATNSTASASIVWLDGKHSVRSAMVVYTDFTS >Ma04_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19487642:19490837:1 gene:Ma04_g18060 transcript:Ma04_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGSPPFSHLLLAILIPILLLTATADANEERKVYIVYMGHQTSSSSSTEAEHLNLLKQVLEGCAPCDSLVYSYTKSFNALAAKLLTKEMEKLAGMKGVVSVFPSKILHPRTTRSWDFLGFPATVNRNPPLESDVIVGMIDTGIWPESESFNDQGLGPPPRKWKGDCINLKCNNKIIGARYYNSLNDTSQEESPRDFNGHGTHTASTVAGKSVQGASLYGLAGGTARGGVPSARLAVYKVCWSFGCAEQDILAAFDDAIADGVDIISISIGYPSAFDYFLDAMAIGSFHAMKKGVLTSASGGNSGPYHGTVGNVAPWMLVSAASSTDRHIIDKLVTGDQRCVVGASVNTFATEKESYPFVYFGDGSFPPADCTQLDEKLVKGKIVLCGYIDDGTGAYLAGAKGAVMLNDAFLDTSFSFPLPAIAISYSNGEKLMQYINKTNNPVANIHKSEAVFDPKAPVVGSFSSRGPNTITADILKPDISAPGIDILAAWSKLGKVSGSPNDTRSVEYNIISGTSMACPHTSGAAAYVKSFHPSWSPAAIMSALMTTAKPMNPSLHPDAELAYGAGQLNPVKAVDPGLVFDAADTDYVQMLCDEGYNKSMIRIITGDDRCCSSLGRRTARDLNYPSMALHVASNESFAGNFTRSVTNVGDACSIYRVKIKADGRLKVVVNPKTLVFTKPDEKQGFVVSVSGGPMATNSTASASIVWLDGKHSVRSAMVVYTDFTS >Ma04_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:388957:396237:-1 gene:Ma04_g00430 transcript:Ma04_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWSGGLVLLFLFSLLLLRLWILENPLAESSLQSPFSVNYSDYFYWTNPEGSPVVRNPENDSHVVSTITLVSKLFSPRNLSDSELQCLQTWNHLDHLISSSQGLPHAVKAIREAGAAWESLMTSIEEEKLAGPGKVKGKLCPYSIRRMNASEFGHDVFKLVIPCGLVQGSSITVIGTPGGLLGNFQIDLTGATLPGEPDPPVILHYNVRIHGDKITEDPVIIQNTWTVANDWGEEERCPPLDTENDGKVDDLEQCDPMVGRDNKGIITANDHYNFSRRSMLPKDGAKPTKYFPFRQGYIAIATIRMGAEGIQMSVDGKHITSFAYRESLEPWLVGEVRISGDIQLISVIVSGLPTSEDLEHVLDLDILKSSAIPIHKSVDLFVGVFSTANNFKHRMAVRRTWKQYDVVRVGSVAVRFFVGLHKNEMVNEELWKEANTYGDIQLMPFIDYYTIITWKTIAICIYGTNVLSAKYIMKTDDDAFARVDELLTSLQRANITHGLLYGRINFKSRPNRKVDSKWYITPEEWPEERYPPWAHGPGYVVSNDIAKGVHKQYKRGHLKMFKLEDVAMGIWIEEMKNKGMNINYKNENRINIDGCKAGYVVAHYQEPREMLCLWQKLRETHQPSCCRWS >Ma04_p36720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34966429:34973464:-1 gene:Ma04_g36720 transcript:Ma04_t36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYPGHVGAVQVGAYFLEQYYRILQQQPELVHQFYTDVSSMARFDGTATETATGMVLKEYSVRRKFVETFFLAPQEKGYFVLNDIFHLLEEEHIHQHPTVILAHSNLDTNLNAPSSVPETVSDYILEEQVQSRDLVPSLEENDAVEKYSIPEALQQLPESDERVDESPVEDAASYPSALDTARDPPLATPEEPVEEPTRQTYASILRSKGQSGQPMPHTTSLSKPSQVASEWAPPSLQPTSQRPQPALVSERSISEAAEEAPLVEDEGEARSVYVGNLSSSISVLDLEQVFKNFGRLRPDGVSIRSRKESDVFYAFIEYEDAIGVHNALKASPIQLNGRLIHVEGRRPNSGASRGKRGRGRGGYPSETSRGRFGGRMFGRGSRQDSNDRDYNSRTRGNGYPQRVQERGF >Ma04_p36720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34966429:34973464:-1 gene:Ma04_g36720 transcript:Ma04_t36720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYPGHVGAVQVGAYFLEQYYRILQQQPELVHQFYTDVSSMARFDGTATETATGMVQIHHLVMCLNFNGIEIKSAQSLESWNGGVLVMVSGYVQLKEYSVRRKFVETFFLAPQEKGYFVLNDIFHLLEEEHIHQHPTVILAHSNLDTNLNAPSSVPETVSDYILEEQVQSRDLVPSLEENDAVEKYSIPEALQQLPESDERVDESPVEDAASYPSALDTARDPPLATPEEPVEEPTRQTYASILRSKGQSGQPMPHTTSLSKPSQVASEWAPPSLQPTSQRPQPALVSERSISEAAEEAPLVEDEGEARSVYVGNLSSSISVLDLEQVFKNFGRLRPDGVSIRSRKESDVFYAFIEYEDAIGVHNALKASPIQLNGRLIHVEGRRPNSGASRGKRGRGRGGYPSETSRGRFGGRMFGRGSRQDSNDRDYNSRTRGNGYPQRVQERGF >Ma04_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4979440:4980818:1 gene:Ma04_g06820 transcript:Ma04_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCSIRPCLQWIKSCESQANATVFLAKFYGRAGLLNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPIAQIPIEMAASTPAPPLKACDIRHVSKGSGAARAAAELHKVKTARSRFKRSGAKPAKPAAPLPDFQPAESHDSAASHATEPGPAKAGASGEDGECRENESMSSADTVEEEASHVSQGEPDSAEEDEVGLELTLGFEPVSRPTPARRPRSGVDGRCDLSATDMGECTADLGLALPAAP >Ma04_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19027689:19028849:1 gene:Ma04_g17860 transcript:Ma04_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPLRFFWSLIEKPPVTIPEMLQCANQYIAAKALMAGRSEDNKRPRTEQARGATSMPPTQPHRRPDQPELLLQRSPPLPLNTFCTEIFLQIREKGLLCQPNPLKATHKDYSKYCMFHQDYSHDTEDYRDLQNQIEELIRRSHLRRYLKELGEATPRPRGPVERQIDIIIGGPTTGGNSSMARKAYAHDTMEKCPRPKFEPEITFGTEEVERSHHDDALVISIRIANARVKRVMVNTGSSTDVLYLDTFKKLSLTNEDFTPMNSELTGFIGDSISPLGTTTLPFTIGEEPRAKTIMATFTIVDLRSTYNIILGRPTLNKLKAVVSTYHRTIKFPTLARVGESRSDTRESRQCYLTAVTLLERSRPHQAPDPCEECMIPTHLKPPE >Ma06_p35410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35250529:35251338:-1 gene:Ma06_g35410 transcript:Ma06_t35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLASSGGASAPPPSAASPTPKFPPQKPSPIAHTPYFVVIGSQGRGMEMGAGDGVADGGMSGMTPPPGGMPTSCMGMMHMTFFWSTRAQILFSCWPGDRGAGAYVLALFLVAAASALVDCLSAALHRLSRGDRVSLALLRTALHAARMGLAYLIMLAVMSFNVGVLIAAIVGHALGFLLTGSGLLKRAGPSSNGLMMK >Ma11_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6436740:6440952:1 gene:Ma11_g08080 transcript:Ma11_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGGVDGRRGVGCEYCGDAAATLFCRADAARLCVACDRHVHAANALSQKHVRSPICDNCGSQPAAARCAADGLALCADCDWDSHGGGGDGGGGGHQHHHTRVPIDGFSGCPTALELAASWGLDLSVKEASHPPPLNPMPDQLFSNWSTMDSTLDVDPLFRDLYVPCAPKISSMVRRQKNPQSKHPLFQQLMELAKTELTASTACDLSPSTPCQTGRGHEELRESQPMPYTSLLMLAPTELKGTDRLVEEEDLLWDCGPPEQPAQIWDFNLGRFRDQKESYALEFAYGTNNEGFMIKSYNDLLKENPFATTKVLEDIGDANCPSNDDFLSPNVRHMQSQTLSTINTTAKWKHSSNYPAVKGPTATGNNMSTMIRPLVASSHEHGSAGGAKQISFGEPLIGNEVVKEIKKLDNELLVKNRGDAMLRYKEKRKTRSYDKRIRYESRKARADTRKRVKGRFVKSTEALDVGNGG >Ma06_p36020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35654024:35657858:1 gene:Ma06_g36020 transcript:Ma06_t36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDEEMGPDRGVVFETDDEDNLAHQHGGSDCSPSSSSPSSASSYGDHIGGDPSSYQTTWPQSYRPSIDVFSSVPSPRVGFLAGSSLVRVGSSFLRASMVSTHEDELSFTKPFVSYDSVTGHDGAEAIPPPDVPMKSSFAFASYCELPPPHQCSAAQAVVNGINVLCGVGLLSTPYAVKEGGWLGMLLLFCLASISFYTGILLKRCLDSFPGLKTYPDIGHAAFGLSGRIFISIVLYLELYGCCVEYIILVSDSLTFIFPDASISFLGLDLSPHQLFALTTAIAVLPTVWLKNLSVLSYLSAAGVVATILVIICLLWGGVVDQIGFHPGGRALNLVDLPVALGLYGFGYSGHSVFPNIYSSMKRPSQFPTVLIICFVLCTIMYSAVAVAGYLMFGDSLKSQFTLNMPRQYLASKVAVWTTVINPLSKYALTMTPVALSLEELLPSTSQSNIVMLSLRTFLVLSTLVVALTLPFFGYVMALLGSVFTMLVALILPCSCYLSIKRRSVDFIQASVCVLIIVIGLVSSFIGSISSIKQMVNQ >Ma07_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10169690:10173615:-1 gene:Ma07_g13520 transcript:Ma07_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MESLPNPPVPQKIGADSNGSAANSNRLFDLRSSLSSVKLPVRNGAEVKLRLGKKMGSEARLCPKIEYGDGGYVLEDVPHLTDYLPDLPTYPNPLQDNTAYSVVKQYFVNLDDTVAQKIVVHKNSPRETHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIEGGYRGFYARNTISLTTKSVNDIHKRGGTILGTSRGGHDTIKIVDSIQDRGINQVYIIGGDGTQKGASAIFEEIQRRGLKVAIAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEADSVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKENGHMVIVVAEGAGQDLLVKSMQSMDHEDASGNKLLLDVGLWLSQKIKDHFSSNKKKMSINLKYIDPTYMIRAVPSNASDNVYCTLLAHSAIHGAMAGYTGFTIGPVNGRHAYIPFCRVTETCKKVVITDRMWARLLSSTNQPSFLSHEHIEDANKEDEPSTESLPNDHDVRISDGSI >Ma08_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2050821:2052813:-1 gene:Ma08_g02760 transcript:Ma08_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDADKLSYEIFSILESKFLGFDDPKKHVFPSSSAASPRTPSGAGRVRILSIDGGDRPSDSLLAAAALTRLESSLCLRSGDPSARIADFFDVAAGSGSGGVLAALLFTKGYDGRPLFSAADALRLLLAESRRRVGGFSARRGLFRGIFRRSGGLFRRIFGESTLRDTVKPVLIPCYDLATGAPFVFSRADAVEADAYDFRIREVCAATCADAGATAVELRSVDGRTRVAAVGGGVALANPAAVAITHVLHNRQEFPFAVGVEDLLLVSLGAGGSAPASGAAELVRIAGEGVSDMVDQAVAMAFGQRRTSNYVRIQANGFASGNCIPRTRNSSRSMEAAEELLSQRNVESLLFRGKKISEQTNAEKLEWLAGELIKEDERRSKCPIPTVIPKHVMTPRTSSATTITTVTTASTGSSTSPVH >Ma11_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20367957:20368037:1 gene:Ma11_g14640 transcript:Ma11_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGTEPLRRSSGSYEGNFRLILFMG >Ma08_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1567072:1573704:1 gene:Ma08_g01890 transcript:Ma08_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAVSGVATSAIRRNLAQAPHHTARLRALPHPPARTFHSTALRRKAESAAPIPRPVPLSRLSDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPEDLDLGLYGFTDADLDREFFLGVWRMAGFLSENRPVQTLREILNRLEQAYCGNVGYEYMHIPDRDKCNWIRDKIETVKPREYSRDRREVILDRLIWSTEFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDGEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYHSNDTKRMKNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVINNQVAFTTDPRSGRSSQYCTDVAKALNAPIFHVNGDDMEAVVHVCELAAEWRLTFHSDVVVDVVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYQNKLLESGEISKEEIDRIRSKVTSILNEEFINSKDYVPKRRDWLSAFWTGFKSPEQISRIRNTGVRPEILKRVGQAITSLPENFKPHRAVKKIFEQRGQMIETGEGIDWAMGEALAFATLIIEGNHVRLSGQDVERGTFSHRHSVIHDQQTGEKYCPLDHVLMNQDEEMFTVSNSSLSEFAVLGFESGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGESKWLRQTGLVLLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMEPTLRKQIQECNWQIVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDLEGHPGFDMQGTRFKRLVKDQNNHKEVEEGINRLILCSGKVYYELHEERKKLDRKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYGYITPRLYTTMRMLGRGTFEDIKYVGRAPSAATATGFYSVHVQEQTELVQKAMQPGPISHPH >Ma08_p01890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1567133:1573704:1 gene:Ma08_g01890 transcript:Ma08_t01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAVSGVATSAIRRNLAQAPHHTARLRALPHPPARTFHSTALRRKAESAAPIPRPVPLSRLSDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPEDLDLGLYGFTDADLDREFFLGVWRMAGFLSENRPVQTLREILNRLEQAYCGNVGYEYMHIPDRDKCNWIRDKIETVKPREYSRDRREVILDRLIWSTEFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDGEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYHSNDTKRMKNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVINNQVAFTTDPRSGRSSQYCTDVAKALNAPIFHVNGDDMEAVVHVCELAAEWRLTFHSDVVVDVVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYQNKLLESGEISKEEIDRIRSKVTSILNEEFINSKDYVPKRRDWLSAFWTGFKSPEQISRIRNTGVRPEILKRVGQAITSLPENFKPHRAVKKIFEQRGQMIETGEGIDWAMGEALAFATLIIEGNHVRLSGQDVERGTFSHRHSVIHDQQTGEKYCPLDHVLMNQDEEMFTVSNSSLSEFAVLGFESGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGESKWLRQTGLVLLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMEPTLRKQIQECNWQIVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDLEGHPGFDMQGTRFKRLVKDQNNHKEVEEGINRLILCSGKVYYELHEERKKLDRKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYGYITPRLYTTMRMLGRGTFEDIKYVGRAPSAATATGFYSVHVQEQTELVQKAMQPGPISHPH >Ma01_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3004971:3006821:1 gene:Ma01_g04470 transcript:Ma01_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTAEEDQVLVAYIQQHGHENWRALPKRAGLLRCGKSCRLRWTNYLRPDIKRGNFTKEEEEAIIKLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRVKPNQTVQESKRRSRSDVEATRSGAKAIDFQALQAATRPKLESPTPLPVSLEQSYSEISSSVTISSNNKRGETNSAGIKEEVVVSSAHQFSDIDQNFWLDDSFASFCSSSNKDDDGIDFWLQVFMEAGHLEELPEI >Ma05_p30790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41030581:41033186:1 gene:Ma05_g30790 transcript:Ma05_t30790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKIAKTAFSRSQGRIASENCVHRMGLLRRGLPASQPYHTLLPSMMASDGFSRIRRADVFGVESRGISHTPSREYPPTAQAIEDDLYVEADDGARLPGLEPTKPGEKPRVVVLGTGWAGCRFLKGLDAKLYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQSALAGAPDSYFYLANCTGIDTDKHEVHCESVPGTGLPYDPYRFKVAYDKLVIAAGADPLTFGIKGVREHAMFLREVHHAQEIRRKLLLNLMLSESPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYSHVKDHIQVTLIEANEILSSFDIGLRQYATNHLTKSGVRLVRGVVKEVLPEKILLSDGSCVPYGLLVWSTGVGPSEFVKSLDLPKAPGGRIGVDEWLRVPSVEDVFALGDCAGFLEHTGKPVLPALAQVAEREGKYLANMFNEIGKQNGGKAHCAGNITMGDPFVYRHLGSMASVGRYKALVDLRQSKDAKGISMAGFISWFIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNSRIG >Ma05_p30790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41030445:41033216:1 gene:Ma05_g30790 transcript:Ma05_t30790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGACQSAALPSSSTVSPPSSRCHLFTASMALSKIAKTAFSRSQGRIASENCVHRMGLLRRGLPASQPYHTLLPSMMASDGFSRIRRADVFGVESRGISHTPSREYPPTAQAIEDDLYVEADDGARLPGLEPTKPGEKPRVVVLGTGWAGCRFLKGLDAKLYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQSALAGAPDSYFYLANCTGIDTDKHEVHCESVPGTGLPYDPYRFKVAYDKLVIAAGADPLTFGIKGVREHAMFLREVHHAQEIRRKLLLNLMLSESPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYSHVKDHIQVTLIEANEILSSFDIGLRQYATNHLTKSGVRLVRGVVKEVLPEKILLSDGSCVPYGLLVWSTGVGPSEFVKSLDLPKAPGGRIGVDEWLRVPSVEDVFALGDCAGFLEHTGKPVLPALAQVPRTPPFLFFEIDSMTNRTTVDAESCQLLLQTGCREGGQISRQHVQRDWQAERWQGALRREHHHGGSLRLQAPRKHGVRWALQSIGGSKTEQGRQGHLHGRVHQLVHMEVCLPDACGKLEKQVLRRGELGHDSGLRQG >Ma05_p30790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41030445:41033215:1 gene:Ma05_g30790 transcript:Ma05_t30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGACQSAALPSSSTVSPPSSRCHLFTASMALSKIAKTAFSRSQGRIASENCVHRMGLLRRGLPASQPYHTLLPSMMASDGFSRIRRADVFGVESRGISHTPSREYPPTAQAIEDDLYVEADDGARLPGLEPTKPGEKPRVVVLGTGWAGCRFLKGLDAKLYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQSALAGAPDSYFYLANCTGIDTDKHEVHCESVPGTGLPYDPYRFKVAYDKLVIAAGADPLTFGIKGVREHAMFLREVHHAQEIRRKLLLNLMLSESPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYSHVKDHIQVTLIEANEILSSFDIGLRQYATNHLTKSGVRLVRGVVKEVLPEKILLSDGSCVPYGLLVWSTGVGPSEFVKSLDLPKAPGGRIGVDEWLRVPSVEDVFALGDCAGFLEHTGKPVLPALAQVAEREGKYLANMFNEIGKQNGGKAHCAGNITMGDPFVYRHLGSMASVGRYKALVDLRQSKDAKGISMAGFISWFIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNSRIG >Ma05_p30790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41030445:41033216:1 gene:Ma05_g30790 transcript:Ma05_t30790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGACQSAALPSSSTVSPPSSRCHLFTASMALSKIAKTAFSRSQGRIASENCVHRMGLLRRGLPASQPYHTLLPSMMASDGFSRIRRADVFGVESRGISHTPSREYPPTAQAIEDDLYVEADDGARLPGLEPTKPGEKPRVVVLGTGWAGCRFLKGLDAKLYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVGRIQSALAGAPDSYFYLANCTGIDTDKHEVHCESVPGTGLPYDPYRFKVAYDKLVIAAGADPLTFGIKGVREHAMFLREVHHAQEIRRKLLLNLMLSESPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRYSHVKDHIQVTLIEVRTASASRIDVYDLERSCRSFLFSEQANEILSSFDIGLRQYATNHLTKSGVRLVRGVVKEVLPEKILLSDGSCVPYGLLVWSTGVGPSEFVKSLDLPKAPGGRIGVDEWLRVPSVEDVFALGDCAGFLEHTGKPVLPALAQVAEREGKYLANMFNEIGKQNGGKAHCAGNITMGDPFVYRHLGSMASVGRYKALVDLRQSKDAKGISMAGFISWFIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNSRIG >Ma09_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:511376:513605:1 gene:Ma09_g00750 transcript:Ma09_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSSWALLPLSLLLIFFHVSSEGEVSIAPMQRTEQEALYLVIQDVVGKRWNGSQLYPDPCGWTQIQGVSCDLFDGMWYVTGLSIGPLLDGSLECAEDAVFSPLLFELKHLRRLSFLGCFSSHRQTTIPSRHWEKLAGSLETLEFRSNQGLVGEVPADLAQLTRLQSLVLVDNSLSGELPRELGNLARLKRLALAGNRFCGYIPASLGSNMAELLILDLSRNSLTGSLPSSLGHLASLLKLDLSHNLLNGSIPSELGSLRSLTLLDLRNNELSGVLARAHTLHRMVSLQDLLLSDNPLGGSLSEVQWENLANLTTLDLSRANLSGAIPESIAGLKRLRFLALDNNRLSGSVSPKLATLPSLTALYLNGNNLTGELRFSDEFYRRMGRRSAFWDNPYLCHGSVGMPTGVEQCKQAQQTSNPEDKAAYDGNPGKSWNMSTSFGLPASSISAWWGVGVRESVVALLLVMLLETLLIMHP >Ma03_p32750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34407008:34415186:-1 gene:Ma03_g32750 transcript:Ma03_t32750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRSASTASSPDFPQKKPVRQLDFTAAMYRGATPPAAATVGLEQPPQQQQHPPPLPMPVPPQLPVSLPSIPLPTEQESLKKRLRPMYETKDGTPRTKNCNCKHSRCLKLYCECFASGVYCDGCNCTNCCNNVENEAARHDAVEATLERNPNAFRPKIGSSPHATRDIRDEVGELLVGKHNKGCHCKKSGCLKKYCECFQANILCSDNCKCMDCKNFEGSEERKALFHSNHGSTLYMQQANAAIKGAIGPSGFISPSSKRRRHQSLVVGNSLKDRSIHRLAQLPQANHPKTLIPSSSSFASDIVTDAVGPTPVVPAKVTYRSLLADVVQLEHVRDLCKLLVVVSGHVAKTFQDREAQEKVVKKDDQVETCLASPIHDGELRQIDPNSQLASAGDVSNGISAHKMDAEVHKSDCEDEHKAQRPMSPGTLALMCDEQDTMFMTSQHASTTLTYRCDQNISEVCAEQERCVLMEFRDYLRKIVTHGRMKEERYASMAGKSGTSGFQRLAMTSAAGAPTVAAEPPETPKPVIANSNNHQPVRWPITSDGDTKPVVVEHSEI >Ma03_p32750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34409121:34415186:-1 gene:Ma03_g32750 transcript:Ma03_t32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNRSASTASSPDFPQKKPVRQLDFTAAMYRGATPPAAATVGLEQPPQQQQHPPPLPMPVPPQLPVSLPSIPLPTEQESLKKRLRPMYETKDGTPRTKNCNCKHSRCLKLYCECFASGVYCDGCNCTNCCNNVENEAARHDAVEATLERNPNAFRPKIGSSPHATRDIRDEVGELLVGKHNKGCHCKKSGCLKKYCECFQANILCSDNCKCMDCKNFEGSEERKALFHSNHGSTLYMQQANAAIKGAIGPSGFISPSSKRRRHQSLVVGNSLKDRSIHRLAQLPQVLLQWFLPKSLTGLF >Ma08_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1492119:1494381:1 gene:Ma08_g01800 transcript:Ma08_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRRANCNLASLGAKRSGLRPSPPSTPAPLPSHAHHPPPGMVVVSRGVSPAAQWYYPPTSGTPPPPSPFQLQHYHGVVPFYAAAAYGYSPNYVTDLGYNAKLSHGGGGGGGGGGGGGYFQGQFSYPAQGGMVAPHGMLPVYPLYHLQNQQGMGVPAHFFPPAGAAMTTIPTIISKPTVIPPPATVCVAVEQVKGSS >Ma08_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10323060:10341714:-1 gene:Ma08_g13010 transcript:Ma08_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARWAIAAAIAVLLLCRLPAGRCQGIGGRVNPPQTAPLLLQLQSTVDRLTRNIFPAVKENFGSCIKDPQHDWDHTFNYTKDLDFLGDCADRTPDFSARLCTVAEVKFYFSSFVESGGKTSYLKPNKNCNSSSWVSGCEPGWASSVTPDVNVDLQESEYIPLRTLDSQPCCAGFFCPYGITCMIPCPLGAYCPVAKLNGTTGLCDPYKYQPPPWKPNHTCGGADIWADVDNSGDLFCPAGYYCPSTIQKNNCSSGHYCRMGSTYETSCYKSSTCHLNSEKQSMTIFGALVMVALILLLLIIYNFSDQILAYRERRQAKSREAAVRIAREAVQARERWKAAKNIAVKHAVGIQNQISRTFSRKISNKLQESYKVLGLSRQLSDASTSDIEELSMLGNNNMIHSSEKISDSSESPQIDIGDTYPRKKATKGKHMHTRTRIFKDAYIEIEKEKVLQQEKKNLTFSGVISMATKNEIRTRPIIEITFKDLSLVLKRNKKQLLKSVTGKLVPGHVTAIMGPSGAGKTTFLTALAGKTSGCQMTGLVLINGKVEPIRSYKKIIGFVPQDDIVHGNLTVEENLWFSANCRLSADMPKANRVLIVERTIESLGLQAVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSLLLLRALRREALEGVNISMVVHQPSYTLFSMFDDLILLAKGGLIAYQGPVRKVEEYFSGLGIIVPERVNPPDYFIDILEGIVKPGTSTAVKSNQLPLIWMLHNGYNVPPDMRNEVDSVNASFRSGISSDRTGSDEDSGDADVWGDIKGAFEEKRDHLEHNFSKSKDLANRRTPGKLKQYKYYLGRVFKQRLREATTLAVDYLILGLAGVCLGILAKANDSNFGVAGYTYTIIAVSLLGQIGALRSFSLEKLQYMRERASGMSSLAYFLSKDTIDHFNTAVKPVVYLSMFYFFSNPRSLFADNYIVLLALVYCVTGIGYTFAICFQPGSAQLWSALLPVVLTLISTQPKNSKILTSLCYPKWALEAFLIVNAERYSGVWLITRCGLLAKFHYDINHWALCIAVLFVYGFVFRCIAFICMVILNKK >Ma08_p13010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10323060:10341714:-1 gene:Ma08_g13010 transcript:Ma08_t13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARWAIAAAIAVLLLCRLPAGRCQGIGGRVNPPQTAPLLLQLQSTVDRLTRNIFPAVKENFGSCIKDPQHDWDHTFNYTKDLDFLGDCADRTPDFSARLCTVAEVKFYFSSFVESGGKTSYLKPNKNCNSSSWVSGCEPGWASSVTPDVNVDLQESEYIPLRTLDSQPCCAGFFCPYGITCMIPCPLGAYCPVAKLNGTTGLCDPYKYQPPPWKPNHTCGGADIWADVDNSGDLFCPAGYYCPSTIQKNNCSSGHYCRMGSTYETSCYKSSTCHLNSEKQSMTIFGALVMVALILLLLIIYNFSDQILAYRERRQAKSREAAVRIAREAVQARERWKAAKNIAVKHAVGIQNQISRTFSRKISNKLQESYKVLGLSRQLSDASTSDIEELSMLGNNNMIHSSEKISDSSESPQIDIGDTYPRKKATKGKHMHTRTRIFKDAYIEIEKEKVLQQEKKNLTFSGVISMATKNEIRTRPIIEITFKDLSLVLKRNKKQLLKSVTGKLVPGHVTAIMGPSGAGKTTFLTALAGKTSGCQMTGLVLINGKVEPIRSYKKIIGFVPQDDIVHGNLTVEENLWFSANCRLSADMPKANRVLIVERTIESLGLQAVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSLLLLRALRREALEGVNISMVVHQPSYTLFSMFDDLILLAKGGLIAYQGPVRKVEEYFSGLGIIVPERVNPPDYFIDILEGIVKPGTSTAVKSNQLPLIWMLHNGYNVPPDMRNEVDSVNASFRSGISSDRTGSDEDSGDADVWGDIKGAFEEKRDHLEHNFSKSKDLANRRTPGKLKQYKYYLGRVFKQRLREATTLAVDYLILGLAGVCLGILAKANDSNFGVAGYTYTIIAVSLLGQIGALRSFSLEKLQYMRERASGMSSLAYFLSKDTIDHFNTAVKPVVYLSMFYFFSNPRSLFADNYIVLLALVYCVTGIGYTFAICFQPGSAQLWSALLPVVLTLISTQPKNSKILTSLCYPKWALEAFLIVNAERYSGVWLITRCGLLAKFHYDINHWALCIAVLFVYGFVFRCIAFICMVILNKK >Ma02_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23728919:23733845:1 gene:Ma02_g16290 transcript:Ma02_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPSPSATPPSSSASPPPPVSSAPPPSTFSPPPPIASSPPPVTSPSPPVPSSSPPPSASPPPPVLSPPPTSIAAPPPPSLPSPPPSSGSPTPPASPSPPSGSPPPVPSAKPPKSAPSPPSPPSASPSPPSKPPPKHASPPTTSPPSNSSGGTTPNQPGLSPPLPSSIAPPGISATPVTPVSHSRPGPGSDSGQGGGGLNTASVVAIGAVAAFVMLCIAGLVVFIMRKRRKPVTGYNAGFVMPSPFTSSIMSDSSFPRSPSAPLVHHKKSGSIGRMNSLPDITISSSTVWFSYEELYEITHGFSPLDILGEGGFGSVYKGSLPDGREVAVKRLKVDSGQGEREFKAEVEIISRVHHRHLVSLVGYCIFEQQRLLVYDYVPNGTLESHLHGKGRPVMDWTTRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLAVDACTHVTTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDSTRPLGDESLVEWARPLLSRALETGELGELHDPRLEKNYDETEMFRMIEAAAACTRHSAAMRPQMGKVVRVLDSLADIDLNNGVTPGQSEVFNVAHSADIRIFQHLAFGSHDSSSDYSPYNWSRQRDV >Ma06_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12143417:12147683:1 gene:Ma06_g17910 transcript:Ma06_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAAGESDGGGGGGEEAAVFSPSEIEYVSYGGEHHLPLIMGLVDDELSEPYSIFTYRYFVYLWPNLTFLAFHKEKCVGTVVCKMGDHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIRVMMESGCDEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPRQNPMLATTSFMDGGDDQLDNQHEFSQMYHDF >Ma08_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10750971:10755184:1 gene:Ma08_g13600 transcript:Ma08_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGNVVVDIESLTQPSDNCSGSPKVTKALSRKGSCRMDRRNGEEQEADEATKKLVVKVFYSQSEQLKQQPITNKSHVGLPAVANVSNLSDAGDGRTRKLNRFTAINPKKILLLFASMSSMGTIILIYFTLAIYLRGA >Ma08_p13600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10750745:10755184:1 gene:Ma08_g13600 transcript:Ma08_t13600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGNVVVDIESLTQPSDNCSGSPKVTKALSRKGSCRMDRRNGEEQEADEATKKLVVKVFYSQSEQLKQQPITNKSHVGLPAVANVSNLSDAGDGRTRKLNRFTAINPKKILLLFASMSSMGTIILIYFTLAIYLRGA >Ma10_p27490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34754643:34756745:1 gene:Ma10_g27490 transcript:Ma10_t27490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWIKSLIAGIKKQEQDGCERSGGGDNGRSRKWTKLWRSSSWDHLSLRRGSRGSSHRSAASDASSVADAFTAAAATVVRAPPRNFRVVRQEWAAIRIQTAFRAFLARRALRALRGIVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSTEGQAVQRMLEAHRGQLDPLKEAEEGWCDSPGTLEEVRAKLQMRQEGAVKRERAIAYALCQKQSLSTVSGRSKQTTASLKHHGLDKGNGKWCWLERWMAAKPWENRLMECTGQKDLPEAESKEDNCGIRTTCGEPGSVKINKNNMTMRVSARPPTITHNHGCRTRPSSSPSTELYYNESSASSSSFCMSTPISTSTLLGSERTEDSNRSRPSYMSLTESIKAKQRAFNVPRMMMHGHPSADARSHRRTLSSIDIKSTDRLNHSAFSCNLENPLPQRVKISMRSMEKEDGYYGKEHTFVSYGS >Ma10_p27490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34754643:34757644:1 gene:Ma10_g27490 transcript:Ma10_t27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWIKSLIAGIKKQEQDGCERSGGGDNGRSRKWTKLWRSSSWDHLSLRRGSRGSSHRSAASDASSVADAFTAAAATVVRAPPRNFRVVRQEWAAIRIQTAFRAFLARRALRALRGIVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSTEGQAVQRMLEAHRGQLDPLKEAEEGWCDSPGTLEEVRAKLQMRQEGAVKRERAIAYALCQKQSLSTVSGRSKQTTASLKHHGLDKGNGKWCWLERWMAAKPWENRLMECTGQKDLPEAESKEDNCGIRTTCGEPGSVKINKNNMTMRVSARPPTITHNHGCRTRPSSSPSTELYYNESSASSSSFCMSTPISTSTLLGSERTEDSNRSRPSYMSLTESIKAKQRAFNVPRMMMHGHPSADARSHRRTLSSIDIKSTDRLNHSAFSCNLENPLPQRVKISMRSMEKEDGYYGKEHTFVSYGS >Ma08_p28830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40653835:40662097:-1 gene:Ma08_g28830 transcript:Ma08_t28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGVWSRRNGGPRQLKQGLKGMPRRLSISIAVIVLIICFLSPLSIRFTRPSSSVQNYDTNKLWKPADSDGWRSSSAPRSYWPPPPNESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFAGIYDAEHFIGSLRYDIHIVQSLPVISVNGKRKKIRSFQIHPPRDAPLSWYTTTALKKMREHGSVYLTPFSHRLAEEIDDPELQRLRCRVNYHALRFKPHIMKISSEIVNRLRSEGHFMSIHLRFEMDMLAFAGCLDIFTPEEQKILIKYRKKNFAEKELVYRERRLIGKCPLTPEEVGLVLQAMGFNNSARIYLAAGELFGGERFIKPFRAMFPHLENHSTVGPSEKLEGNARGLVGSAVDYMVSLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTIQPNRKALAPIFMDREKGHADGFEERIRQVMFNSKFGRPHKRVHPESFYTNSWPECFCLMAPANPADKCPPHNILEILHGQLQSQRGNDSEPAMMSYRIDSSASQEEVQYGS >Ma06_p24660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23180069:23224018:-1 gene:Ma06_g24660 transcript:Ma06_t24660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAATTHYHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLSIIMQEIDLLKNLNHKNIVKYLGSIKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPEGLSPDITDFLHQCFKKDAMHRPDAKTLLLHPWIQNSRRALHSSLRQASGSIRFGPMTCTNFRNIEEDVTVGITNLNMDNHNGSDSPYAEKIKSGVSDLQQLCSLLPSDKPKLEEESNKEQLATDYIQIKCSDEDKKPSPLQNTCLNGVESKAEDLLSAKDPTLVIYEKLSLKSPVKEVLNSPVVPEGDGGVSPDESGMFSFGSRVDRNNFQKVSKQSISFGVNELSRFSDTAKDASLDDLFQPLDKQRDQGLEASSSAAGQQTDLAKELKARMAQKQMGAMQNNGGKLLEMVTGLHNDVIDIDGSVFDENLPSDNLFPIQSVEFSKIVGLLKPEASEDVLLSACQKLMVFFTQRPEQKHVYLSQHGFLPLMDLLEVPKNCVICSVLQVINHIIKDNIGFQENACLVGLIPVVMNFAVPDHPREVRMQAAFFLQQLCQSSTMTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLKHSTPRNDFCCIAAKNGILIRLVNTLYSLNEATRLASIDGNVSIPQNGSASRPRSGPLDHLNRPACLQFESPISHLCQIDASKVRHDHPFSSGVQEQMQNAASFSQRTDATQLDKQFFGDGERTLPRYAQLEASKENEHYNLWDHEPSHMDVDLSRQQRGTNSVVRSSTDKPPKHMEFALNGHSSGANQLVSQHEQIRPLLSLLEKEPPSRLVLGQLDYVRHLSGLEIHESILPLLHSSTEKRTNGELDFLMAEFAEVSRHGRDDGNMDINMKLSNKTSKKAFPTLGSSSSNEGASTSGLASQAAAGVLSGSGVLNARPGSTTSSGLLSQMASSLNADVAREYLEKVADLLLEFSQANTLVKSYMCSQSLLARLFQMFNKMEPPILLKILKCIHHLSMDPNCLESFQRADAIKYLIPNLQLHEGPLISQIHTEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDEAWAGIALDSIAVCLAHDNDQRKVEQALLKKEAIQKLVKFFQNCPEQYFVHILEPFLKIITKSSRINTAMAINGLTTLLVARLDHQDAIARLNLLKLIKAVYEHHPKPKQLIVENDLPQKLQSLIEERRDGQRSGGQVLVKQMATALLKALHINTVL >Ma06_p24660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23180069:23224018:-1 gene:Ma06_g24660 transcript:Ma06_t24660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAATTHYHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLSIIMQEIDLLKNLNHKNIVKYLGSIKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPEGLSPDITDFLHQCFKKDAMHRPDAKTLLLHPWIQNSRRALHSSLRQASGSIRFGPMTCTNFRNIEEDVTVGITNLNMDNHNGSDSPYAEKIKSGVSDLQQLCSLLPSDKPKLEEESNKEQLATDYIQIKCSDEDKKPSPLQNTCLNGVESKAEDLLSAKDPTLVIYEKLSLKSPVKEVLNSPVVPEGDGGVSPDESGMFSFGSRVDRNNFQKPLDKQRDQGLEASSSAAGQQTDLAKELKARMAQKQMGAMQNNGGKLLEMVTGLHNDVIDIDGSVFDENLPSDNLFPIQSVEFSKIVGLLKPEASEDVLLSACQKLMVFFTQRPEQKHVYLSQHGFLPLMDLLEVPKNCVICSVLQVINHIIKDNIGFQENACLVGLIPVVMNFAVPDHPREVRMQAAFFLQQLCQSSTMTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLKHSTPRNDFCCIAAKNGILIRLVNTLYSLNEATRLASIDGNVSIPQNGSASRPRSGPLDHLNRPACLQFESPISHLCQIDASKVRHDHPFSSGVQEQMQNAASFSQRTDATQLDKQFFGDGERTLPRYAQLEASKENEHYNLWDHEPSHMDVDLSRQQRGTNSVVRSSTDKPPKHMEFALNGHSSGANQLVSQHEQIRPLLSLLEKEPPSRLVLGQLDYVRHLSGLEIHESILPLLHSSTEKRTNGELDFLMAEFAEVSRHGRDDGNMDINMKLSNKTSKKAFPTLGSSSSNEGASTSGLASQAAAGVLSGSGVLNARPGSTTSSGLLSQMASSLNADVAREYLEKVADLLLEFSQANTLVKSYMCSQSLLARLFQMFNKMEPPILLKILKCIHHLSMDPNCLESFQRADAIKYLIPNLQLHEGPLISQIHTEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDEAWAGIALDSIAVCLAHDNDQRKVEQALLKKEAIQKLVKFFQNCPEQYFVHILEPFLKIITKSSRINTAMAINGLTTLLVARLDHQDAIARLNLLKLIKAVYEHHPKPKQLIVENDLPQKLQSLIEERRDGQRSGGQVLVKQMATALLKALHINTVL >Ma06_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23180069:23224084:-1 gene:Ma06_g24660 transcript:Ma06_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAATTHYHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLSIIMQEIDLLKNLNHKNIVKYLGSIKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPEGLSPDITDFLHQCFKKDAMHRPDAKTLLLHPWIQNSRRALHSSLRQASGSIRNIEEDVTVGITNLNMDNHNGSDSPYAEKIKSGVSDLQQLCSLLPSDKPKLEEESNKEQLATDYIQIKCSDEDKKPSPLQNTCLNGVESKAEDLLSAKDPTLVIYEKLSLKSPVKEVLNSPVVPEGDGGVSPDESGMFSFGSRVDRNNFQKVSKQSISFGVNELSRFSDTAKDASLDDLFQPLDKQRDQGLEASSSAAGQQTDLAKELKARMAQKQMGAMQNNGGKLLEMVTGLHNDVIDIDGSVFDENLPSDNLFPIQSVEFSKIVGLLKPEASEDVLLSACQKLMVFFTQRPEQKHVYLSQHGFLPLMDLLEVPKNCVICSVLQVINHIIKDNIGFQENACLVGLIPVVMNFAVPDHPREVRMQAAFFLQQLCQSSTMTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLKHSTPRNDFCCIAAKNGILIRLVNTLYSLNEATRLASIDGNVSIPQNGSASRPRSGPLDHLNRPACLQFESPISHLCQIDASKVRHDHPFSSGVQEQMQNAASFSQRTDATQLDKQFFGDGERTLPRYAQLEASKENEHYNLWDHEPSHMDVDLSRQQRGTNSVVRSSTDKPPKHMEFALNGHSSGANQLVSQHEQIRPLLSLLEKEPPSRLVLGQLDYVRHLSGLEIHESILPLLHSSTEKRTNGELDFLMAEFAEVSRHGRDDGNMDINMKLSNKTSKKAFPTLGSSSSNEGASTSGLASQAAAGVLSGSGVLNARPGSTTSSGLLSQMASSLNADVAREYLEKVADLLLEFSQANTLVKSYMCSQSLLARLFQMFNKMEPPILLKILKCIHHLSMDPNCLESFQRADAIKYLIPNLQLHEGPLISQIHTEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDEAWAGIALDSIAVCLAHDNDQRKVEQALLKKEAIQKLVKFFQNCPEQYFVHILEPFLKIITKSSRINTAMAINGLTTLLVARLDHQDAIARLNLLKLIKAVYEHHPKPKQLIVENDLPQKLQSLIEERRDGQRSGGQVLVKQMATALLKALHINTVL >Ma00_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28321217:28321963:-1 gene:Ma00_g03340 transcript:Ma00_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIYDTCVPDYTEAFFNRADVQKALHANVTNLSYNWTYCSNVLTSWSDAPFSMLPTIKKLVDGGIRVWVFRVPVTSTRYALNKLRLDTVEEWTPWYSDKQVGGWRVIYDGLTFVTVPPGMMFPRSSRRKHSNSSGTSCPTSSCLWSHVSSCCRTLSPSSK >Ma11_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21243445:21252459:-1 gene:Ma11_g15560 transcript:Ma11_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase SDP6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10370) UniProtKB/Swiss-Prot;Acc:Q9SS48] MATASRLRGLGAVLAASGATWAAVSLVQPPASASDRGPAGLDAARRRIADPAAAIPPREVQESALIGTSVINPLDVLVIGGGATGCGAALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALRERKQVIENAPHLCQALPCMTPCFEWFEAVYYWMGLKLYDLVAGRRMLHLSRYYSANESVELFPTLSRKGHNGSLKGTVVYYDGQMNDSRLNVGLACSAVLVGATVLNHAEVISLIKDDFGERIIGARIRDQLSGKEFDAYAKVVVNAAGPFCDSVRKMANKDLSAMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSNTAITMLPEPHEDEIQFILDAICSYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHIVLEDYPGLITITGGKWTTYRSMAEDAVDAAIRSGKLNPANGCVTDNLHLVGGYGWDPASFTFLAQHYVRMKRTHSGKVIPGVMDSAISKHLSHAYGTLAEQVAAIAQNENLGKRLAHGYPFLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAACRALPRVIQILAAEHKWDRARQKLELQRAKEFLETFKSSKNAQFHDGKHIGS >Ma06_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2781652:2783100:-1 gene:Ma06_g03850 transcript:Ma06_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVALPQDLFGCAMNELYNMGGAAWCYAFGDEGEEKGVALDCKISGGGGGGVHGNLDVSSGASSSLLETAAKDGALVIGREATAGGRKRRRTKVFKNQEEVENQRMTHIAVERNRRKQMNEYLAVLQSLMPASYVQRGDQASIIGGAINFVKELEQLVQSLEARKRVEKRMDAAPFADFFTFPQYSTTGSRSANNDCADEGATENRPAVADIEVTMVESHANLKVLSRRRPKQLLKMVLGLQNLRLTTLHLNVTSIAEMAFYSFSLKVEDDCQLTSVDEIATAVHQMVGTIQEDADRDSNL >Ma10_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27577654:27582180:1 gene:Ma10_g15500 transcript:Ma10_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMESVVVTGCEGGRGGGDGAGGGGGGGGVEAGHSSPTLSPTPPQLVAFSSGVVGVTGMPVLPSEGAGLAMGMMGSGGYGGSSEGDLPAPKKRGRPRKYGSDGMALALSPTSGSASPFSPASSDAKRGRGRPPGSGKRQLLAALGEWFACSAGGSFTPHVVTIATGEDVAARILSFSQKGPRAICILSANGAISNVTLRQPGSSGGTLTYEGRFEILSLSGSFTISETGGMRSRTGGISVSLAGPDGRVIGGGVAGLLLAASPIQVVVGSFMPNVYKEKQAKPTHQAQPSALPGTTGLLTAAMPISQANPEEDCETPTSSSLARQTHAENSLCGPNPNSTWHGLQSSEHKPSPDINICLQGE >Ma07_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4231277:4240139:1 gene:Ma07_g05840 transcript:Ma07_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAASLISTPLRSSSLPKSLCCRRWGSIRGPKEGIGMACSLFFPKNCGTRRTAICAVNQDAEKSFKKTVEVDRLIDMLKVANARELDQLVVENVLAFNEDFWIRLAARTDTCKSDDDKKDYEELAASVMSIVDRLVHKTNERIESATDVLKAILNPVVDDVEEISWPPRNPEALTLMEKELEKREQEGQLDEGFLSEVNAQLRQAKEDGDKPGLEAMLQKVLQIYASKVLRKRSYVYKGGKVLAGEKFLETVIEAPEDHWNRLLIEGLNIGKGEVAPEDFYAVIKKRIERVLIRTEGGSYQQRVLVEYLRGIQSRAEEIVQALGGPHP >Ma08_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3372629:3374535:1 gene:Ma08_g04860 transcript:Ma08_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIRSVASKETLRIQAPDPSSLHDLKTLIALALSSSSSISIPPGSIRLSLNRKDELLPSSPQDSLHSLGLTAGDLIFFSIVPDSQTLAPSPSSAPAPEETVESSNLAPVPPPETPSAVDDTPFGLSAQTLNFSSSSVAASVEIAESSNLSPVSTQNNPSAIASDLTSPQAEVVQQDDVMALDVEPVVVGKSLAVPCFLKRVIEAEKGEAEGLLGRLVITFHAAFLESGFVVSGGGGGRGSRFPGGCPSKAATFSVRYTLPELVGAVDGRAVKVAILRFSMMGNYATVYGFLNGDHQDVYRVCIDLSKLAPLLSLSMDSLSKQEEKEVFGLWKVVKDGLTLPLLIDICRKNGLPSPTCFMRLPTDLKIKILEFVSGIDVAKIGCTCSELRYLSSNDDLWKLRFLEEFGSVNERVLVGRSWKDKYVNYWVRRKEAEKMITERANLFRRPSVILRRFNPAGTLRLPVQGGDYDRFPAIGGFAPGVLGLGVPRLPARRNFSPDCNLGGNDAGFFA >Ma03_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3274325:3278028:-1 gene:Ma03_g04970 transcript:Ma03_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A2c, Reguration of meiotic crossove [Source: Projected from Oryza sativa (Os06g0693300)] MIQRQIHGAPPRLFTGTAFMPTPGTQIAADPLSPAKNRGSQGVLPLTAKQIAAAYHSGVDKSALSIDGIDVTNVRLLGLVMNKVERVTDVTFTLDDGTGRIDINRWINEAPDANEVTAIQNGIYVKVHGHLKGFHSKRHASAFSVRPVNDFNEVVLHFIECIFVHMDVKKIMGGGSAQIPTNLTAASSFPNGAKEYPAPFSHQFSAYVAMDGPGNNICNIVWGVFQEPANLGRENGLHVDEIVRKLGIPKNTVINAINYLVDVGYIYSTVDEYHFKSAYNG >Ma02_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5441905:5450468:1 gene:Ma02_g00510 transcript:Ma02_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSLHRLPSLDLSFSSSLSAAPLQSHLKFPHSRRPIPRRRALAVATNTPQEAPPNSPQRLLRELAERKKVAFPKKKVPPRRFILKPPLDDAKLTQRFLNSPQLSLKSLPLLSSCLPPSRLNNADRTWIDEYLLELKQALGYPLEPSDRLEDDANPAKHFDTLLYLAFQHPVAGCERTNAKHVRNGHSRLWFLGQFVLELAFAEYFLQRYPRESPAPMRERVYALIGKRNLPKWIRAASLHNLVFPYDEMDKMIRKDREPPVKYVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEDESCQPKLRRQLEDVDYVSVEFENKQLSWQDVAAYKPPQDALFAHPRLFRACVPPGMHRFRGNIWDFDSRPKVMETLGYPLPMSDRIAEITEARNIELGLGLQLCFLHPSKYKFEHPRFCFERLEYVGQKIQDLVMAERLLMKHLDAPGRWLQEKHRRTLMNKFCGRYLRDKHLHHFIIYGELAQDKYEHNRRLRNPATTAVQQAIHGLAYTVYGKPDVRRLMFEVFDFEQIQPKVV >Ma09_p25400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36876533:36878634:-1 gene:Ma09_g25400 transcript:Ma09_t25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTFCKNKVCRKHTLHKVTQYKKGKDSLSVQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Ma04_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10497470:10499294:1 gene:Ma04_g13840 transcript:Ma04_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPPPPVASVAVMEFRGSKSPFDCLLLDLDDTLYSSTIGIRQACKKNIEDFLASKCGVSEGRASALRVEHFRSHGSSLAGLLALGYDVHPDEYHSFVHGRLPYELIRPDAGLRELLLSIPQPKILFTNSDRQHARRTLRRLGVEEDCFHRIICFETMNPHLFKDEREAGSSGPLPATRNVEVILKPSAAAMKMAVWLAGFAPHRTLFVDDNERNIAAGKAIGLRTALVGKRERTKEDDYLLDSISELRQVIPEIWGEQEKKGGGEHGAVTTMRRDLDSIRETTPIGA >Ma04_p13840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10497470:10499294:1 gene:Ma04_g13840 transcript:Ma04_t13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPPPPVASVAVMEFRGSKSPFDCLLLDLDDTLYSSTIGIRQACKKNIEDFLASKCGVSEGRASALRVEHFRSHGSSLAGLLALGYDVHPDEYHSFVHGRLPYELIRPDAGLRELLLSIPQPKILFTNSDRQHARRTLRRLGVEEDCFHRIICFETMNPHLFKDEREAGSSGPLPATRNVEVILKPSAAAMKMAVWLAGFAPHRTLFVDDNERNIAAGKAIGLRTALVIPPPSPSPAFLGDSYIEQSHVLFLKVGKRERTKEDDYLLDSISELRQVIPEIWGEQEKKGGGEHGAVTTMRRDLDSIRETTPIGA >Ma10_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16909540:16912185:1 gene:Ma10_g05560 transcript:Ma10_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGLLTALASPTKLLLALFLVLHLVPFSSITAAAAISIGVNYGAYANNLPPPAQVAAFLKDRTFIDRVKLFDANPDMIRAFAGTGISLMITVPNGDIASLASRSSAPSPAASAWVASHVAPFYPATNISLVAVGNEVLATADRNLIAHLVPAMRSLYAALSAAGFHQIRVSTPHSLGILSTSEPPSIGRFRRGYDRAIFAPMLDFHRRTRTPFVVNPYPYFGYTARTLNYALFRPNSGVFDPATGVNYTNMFVAQLDAVHAAMRRLGYGDVEIAVGETGWPSAGEPGQLGVSVEDAISYNMNLIRLVNSVNGTPMMPDRRFETYVFALFNENLKPGPTAERHFGLFNADFSAVYDVGLMRGQAPAPAGGSGRRWCVAKADASAAALQANIDYACGSGGANCRPIQDGGACFYPNTPLSHASYAMNAYYQAAGRHDFDCDFGHTGVLTSTDPSRGNCKYQ >Ma04_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23763329:23767329:-1 gene:Ma04_g21200 transcript:Ma04_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAASAAATVGAKPSFSSHATHALLISRLRHGLSFPKHISSSCPTLASRSAPKHLPLRRPPRATAAPAETAPATFHGPCYVLGDNIDTDQIIPAEYLTLVPSKPDEYEKLGSYALVGLPSAAYTTPFVGPGATRTRFPVIIAGANFGCGSSREHAPVALGAAGARAVVAESYARIFFRNSVATGEVYPVESEGVGLWKECTTGDIVTVELGENLLVNHTTGKEYKLKPIGDAGPVIDAGGIFAYARKTGMIASPSN >Ma09_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2533158:2535085:1 gene:Ma09_g03830 transcript:Ma09_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRPGGVYTKAQLQKELETLASCGMFERRDYRRRIERSRPCLLPVTVQREVMQMLREQGRVTARLLQRIRDRVQKWYHDEGYACAQVVNFGNLNTREVVCEVVEGDITQLAIQFQDKLGNVCEGNTKLGVIRRELPKQL >Ma05_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2335464:2351230:1 gene:Ma05_g03300 transcript:Ma05_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEILCGLVFYNFFRQIINGEDDFPDIDTSDSDASFAVAARLEKLYGGKAFVGLRIPDADTGTRQHIDVVLVTKREAMVVAVRNFSGLVAIDGGGNWVDKKHKSQTHLNPVIEVSRQVAVLESYLEQRGLLLPKGHVIGRVILPDSICRSASSIYSHPEVISSHEWKELKPESRGGFSNWIKRAVGKNDMQDGFYQKLHFILSTSPMWDRLELKSNRNILGEFLKFKGYQHDMQALKNVKRSKVGRFIVQKPSILGLGSSRLQLLCIQRDYQSEDALSDECKEIAVEPSTEVLFQPLNSNKLKKLKLSSIVRATLSG >Ma05_p30830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41049811:41053625:-1 gene:Ma05_g30830 transcript:Ma05_t30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIREIEARLIVFMMVAIRCVHCVFTPADNYLIDCGSLTNTTIGTRVFVADVSLSSTLTPPSNNLANTSQNSVPSVPTSYGTALFRNARVFRERSSYSFQIKAHGRHFVRLYFFPFVSGGYNLSAATFGVSIQDVVLLNKFQPRANATVVKEFSLNITSDTLILTFAPSTNTSFAFVNAIEVVSVPDNLIHDTAKIVDPQGKYHGLSGQALETIYRINMGGPQVLPGDDTLWRTWENDQKFLLTPGLSQSFNSTGKINYMDGATEEIAPQVVYATVVELSKDAQNTAGANVNVTWQFSVDANWDYLIRFHFCDIVSKSAIDLLFNVYINAWLASEVELSLITFNNLATAVFMDFVLKADDASGKLSVSINPSPIINGLPNAILNGLEIMKINGSAGPAVVVIPPGSNKNFGIILGSILGAVAVVVVAIILCVVLRKRKLAKQSSKTWVPFSIDGLTSHSTVSQTSNETAFKIGQNGDLGYRFSFTVLQEATNNFDENWVIGVGGFGKVYKGVLRDETKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDEKNEMILVYEYMEKGTLKSHLYGSDLPPLVWKQRLEICIGSARGLHYLHTGQAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQRLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELEQIVDTRIAGSVRPESLRKFGETIEKCLADSGVERPSMGDVLWNLEYVLHLQDADPSVSEIDSINRITELSPQVQNINTIYESAPAEEAGTSVHNDLTDVSMSKVFSQLIKSEGR >Ma05_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3250261:3259472:-1 gene:Ma05_g04270 transcript:Ma05_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQVSATEYYLHDLPSTYNLVLVEILGRGRFFKSILCKHDEGLVLVKVYFKRGDPLDLKEYERRLAQIRDIFQSMQHPHVWPFQFWLETDKAAYLLRQYFFSNLHDRLSTRPFLSLIEKKWLAFQLLCAVEQGHNKGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERFYDHGSESQVAADAPLKPSMDIFSLGCVIAELFLEGQPLFELSQLLSYRRGQYDPSQYLEKIQDDGIRKMILHMIQLDPNARLTCESYLQNYASSIFPNYFAPFLHKFFSCLVPLDSDTRVAATQSAFQKIHEQMMNFRSSEDIVSDPSTCSKSTDDEGFQHTEGGRQSMQSARGNERGKLEKVTVADHIQLVGDITSLLRDAENISRKTHSDVAQRKSTLISSNDSDAACTPFSKQFMHLKEQALGDSKGHKQKETIFLRKILKSDLDALMDEYDSQTDTYGMPSFPGSECKVSCEGMVLIASLVCSCIRSVKQPQLRRAGLILLKTSSSYIDDEDRLQHVLPYVIVMLSDPAAIVRCAALETLCDILPSVQDFPPSDAMIFPEYILPMLSMLPDDPEESVRICYASNISKIAMTAYRFLIRSEHISDGVPLDRSGLVQKSQSLPMESPRKKQGHKVDGQLVQLRKSIAEIVQELVMGAKQTPNIRRALLQDIGRLCYFFGQRHSNDLLLPILPAFLNDRDEQLRAVFYGQIIFVCFFVGQISVEEYLLPYIEQALSDEMEAVIVNALECLSMLCKSGFLQKRMLRGLIEKAFPLLCYPIQWVRRSAVTFIAASSESLGPVDSYVYLFPVLRSFFHREPTSLSSETSLLSCLKPPVSKTVYYQVVENARSPYMLERQRKIWYCRSSNSNQWETVEHTRKVAGDVKSIKSPVKRESNAQSGKYVNSMTQNSPLPIIEDVTIRTGTPFQSTSGSVDIRDSLSSEKLQFSGFISAQITARNNSLCDGPGEGIPLYSVCVDKRTAGVSVGSESSMNWNPKGVAASCMPWLEPVNKQFGLSSSVPPKLVSSSFFNISNNVKQVQKPAQDPEARDSEQSAYVTSKFQDITVCDTLKGSSSMAGEDASQSDLGGLSALARASSIPDTEWKPRGVLVAHLQEHRSAVNDIAISNDHTFFISASDDSTVKIWDTRKLEKDISFRSRLTYSLDGSRALCATMLRGTAQVVLGASDGRVHLFSVDYISRGFGNVIERYSGVADIKKREVDEGAILSLLNCSSADSCISQTILFSTQRCGVHLWDTRMNSEAWTFKAVPDEGYVSSLVMGQCGNWFVSGSSRGFLTLWDLRFLLPVNSWQYPTVCPVEKLCLLIPPANSSSTVTRPLVYVAAGHNEVSLWNAENGSCHQVLRVASGENEAETSNVTRALARPSSKQSSKPDGKRGTSSKYRTDELNEPAPRLQGIRSLLPLPGGDLLTGGTDLRIRYWDHSSPDHSYCVCGPSTKGVRNDEYYNIRSSFGIQVVQEMNKRPAASKLAQKALLSMAATDSAGCHRDSVLSLASAKLSQRLLISSSRDGAIKVWK >Ma05_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34492476:34493351:1 gene:Ma05_g22700 transcript:Ma05_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRKREAAVLAKKIEALEASKQKLLGEELESCSSSELHELEGKIEQSLRSIRARKYHLLKEQIAKLKVKESSLVKENALLHEKCKLLPQIPSAGSKEFPPRSTLGQQTEVETELRIGCPGKGTHGMSSHLYTNQ >Ma03_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23312442:23316342:-1 gene:Ma03_g17740 transcript:Ma03_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLFVSVLLLLCSVAAASSFSGNAAGADGDPLILQVVDDEKGELALSAEAHFASFVRRFGKTYADEEERALRFKVFKANLHRAARYQRLDPTAIHGVTKFSDLSPAEFRRAYLGLRRPEAHKSTHEAPILPTDDLPTDFDWRDYGAVTGVKNQGSCGSCWSFSTAGALEGANFLATGKLESLSEQQLVDCDHECDSDEPDACDQGCNGGLMTTAFQYLLKSGGLEREEDYPYTGTDRGSCKFDKSKIAASVQNFSVISTNEDQIAANLVKHGPLAIGINSVFMQTYIGGVSCPYICGRHLDHGVLLVGYGSAGYSPIRLKDKPFWIIKNSWGENWGEHGYYKICRGRNICGVDSMVSSVSAIHTQQDQ >Ma11_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6657464:6657901:-1 gene:Ma11_g08360 transcript:Ma11_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVFSPVLASAFARAIPFGDKDLASEESLWDSYERWRSHHRVSRHPDEARKQFDEFRENEEFIHAFNNKETPYKLGLNGFADMANEDLRSWSTGSDVHRLRGRRRHRSGEELVGRARLHQDAVWHRHGSFLSHQNISQSFKDEL >Ma05_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:705596:711805:1 gene:Ma05_g01180 transcript:Ma05_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGTFSRSASARLTPRSVGSPRVSAHRKWWVAPGPSFSFLAVIFCLASVLLVVGCVIYLYAFRYPSRGRLVAGFYDESDVCDVFDGSWVPDSSYPLYNGTECPFAERGFNCLANGRQDKNYLKWRWKPRHCDIPKFDVHEILRRLRGKRVVFVGDSMSRTQWESLICMLMTGVQDPKTVYEVNGNKISKTIRFLGVKFQTFNLSVEFFRSVFLVQQGLPPTNGPRRIHMTLKLDKMDEINQRWIDSDVLIFNSGHWWTPSKLFDVGCYFQVGGVLKLGKSISSAFRMALDTWATWVETMVNTNRTHVFFRTFEPSHWSGLNQKACEVTEQPLAVAKANDRSEFADILAEVVANMTVPVTVLNVTLMGASRSDAHIGTWSHPSSILDCSHWCLPGVPDAWNELVFSYLLTNGWKQIGR >Ma05_p01180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:705596:711805:1 gene:Ma05_g01180 transcript:Ma05_t01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGTFSRSASARLTPRSVGSPRVSAHRKWWVAPGPSFSFLAVIFCLASVLLVVGCVIYLYAFRYPSRGRLVAGFYDESDVCDVFDGSWVPDSSYPLYNGTECPFAERGFNCLANGRQDKNYLKWRWKPRHCDIPKFDVHEILRRLRGKRVVFVGDSMSRTQWESLICMLMTGVQDPKTVYEVNGNKISKTIRFLGVKFQTFNLSVEFFRSVFLVQQGLPPTNGPRRIHMTLKLDKMDEINQRWIDSDVLIFNSGHWWTPSKLFDVGCYFQVGGVLKLGKSISSAFRMALDTWATWVETMVNTNRTHVFFRTFEPSHWSGLNQKACEVTEQPLAVAKANDRRATPTSALGATHLPFLIAATGVFLESPMLGTSLYSLIF >Ma09_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4389065:4389640:1 gene:Ma09_g06890 transcript:Ma09_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKLPFTMIDKKSRRQITFSKRRKGLYRKASYLCSQGYDMAIIAMSSVGNAFAFANPDIDTVIRRYVRDGHPIDSGKEEEQGGEVAAVVPVDSPATTLGVLHGSGPTLDLVTTPKAGKEAATSSRMIGEETVTKASILEDQRFWWNKSLDHLRVEDLRELEKAMVELRNKAQERADLILRSTTLSLGRL >Ma11_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12672362:12689834:-1 gene:Ma11_g11230 transcript:Ma11_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNEKTDLELPEDTIVGSPLATRKTHTFDHIGSFATVAQYTPGRTRNRILMSIYIVLIKAKINVLLPFGPLAIMLHYLTGKHGWVFFFSLIGIIPLAERLGYATEQLASYTGPTVGGLLNATFGNATEMIISIYALNNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHCNKDQVFNKAAAVVNSGLLLMAVMGLMFPAVLHFTHSELHYGRSELALSRFSSCIMLVAYAGYLFFQLKSQHELYKPIGEEEVANENDSDDEEAPEITQWEAISWLAVLTVWVSILSGYLVDAIQGASESMNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGRQMDLNFQLFETATLFITVLVVAFMLQEGTANYFKGLMLILCYLIVAASFFVHIDPVASD >Ma02_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18353225:18354706:1 gene:Ma02_g07840 transcript:Ma02_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKNVERKYKYLPHHLLHRHGSAGFFFFFFFFFFCFFVDSSIAVYEHICCAVFDMASSVQVSKQSITVHEFCCRPSRAPALYGVAFRRRTSPVGVQKVAARRAVVAECRPSLGRMWREIQGSDNWDGLVEPLNPLLRDEIVRYGEFVVACYKAFDLDPRSKRYLNCKYGKKSLLPEVGMGSTGYEITKYIYATPDISFPTQNGSTCRSRWIGYTAVSSDDEVRRLGRRDILVALRGTVTHAEWIANFMSALTPARLDPNDPRPGVKVESGFLSLYTSYDSSSRFSSGSCREQMLAEVSRIINTYKDDYLSITLAGHSMGSSLAVLFGYDLAELGLNQATPIAVYSFGGPRVGNLGFEERCEELGVKVLRVVNVNDPITKMPGVLLNERMRAVAEKYELPCYAHVGVEVALDFFKMNNPVHVHDMEAYLGALKCPKEATNQGNSGTNLVSKARRFWRGQNFDAWQWQEAATHLGNLVKSLRAGGQIEPRVHRR >Ma03_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23364890:23372951:-1 gene:Ma03_g17790 transcript:Ma03_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEAAAIATRFLNPSPNPNSISNPVLRTVPCRRRQPRLLAVRCVQTPSPSHNPNPARGPPLSCSAVASDQAAPAGIPRHRLARLVDEFRSLPEALDRVKRLLACAASLPAFPEAGRVPANRVMGCTAQVWLSVAVDELGRMRFAADSDSEITKGFCACLVSVLDGALPEEVLELRPEDFGDLNVVGLPVKAHSRINTWHNVLISMQKRTKALIAKREGRPSLDPFPSLVIGADGIEAKGSYAEAQAMFLSPDELKIQELVNVLREKKIGVVAHFYMDPEVQGVLTAAQKLWPHIHISDSLVMADRAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYNKVGVYRMSNELIGCSLADAAASPSYMKFLEAASNSPPSLHVIYINTSLETKAYGHELVPTITCTSSNVVQTILQAFAQVPELNVWYGPDSYMGANIAELFRQMADMTDDEISRIHPEHNRNSIISLLSRLRYYQDGNCIVHDMFGHEVVERIKELYCDAFLTAHFEVPGEMFSLAMEAKQRGMGVVGSTQNILDFIKNRVQEALDRDVDDRLQFVLGTESGMVTSIVAVVRGLLGSRESRAKIKVDIVFPVSSDSVSRTSSVNRSQGLNPDVASDLAKLAVVPGVTAGEGCSINGGCASCPYMKMNSLTSLLRVCQQLPDRDGAIRDYEANRFNTTTPHGKSVADIGCEPILHMRHFQATGKLPEKLIHQILGTSNETTVST >Ma07_p28000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34162817:34170674:-1 gene:Ma07_g28000 transcript:Ma07_t28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEENGGGGGGETVREGDAAAPVAPRAGAPPRPTITLPPRFALESLFHCGGGGAGASEVSPGPLTLVSSFFADDPESECRSFTQLLVGAMNSPVAAARRTVGSAGEQGKEVEKRSSGGELESGGGLVLLGQNRPPSLAISQPQYFTVPPGLSPASLLDSPAFFSSGLGNFAMSHQEVLAQVTAQAAQSQFRMSSQAEFPSPFLTTAATTSSPQQLIPKIPTLKPIDTVLESAEGFQSDQRSQPTTTIVDKPVHDGYNWRKYGQKVVKGSEYPRSYYKCTNPNCPVKKKVERSVDGQVTEIIYKGQHNHQCPLPNKRAKEGGSLPSRSHETNGNLDNPNLGSLDHDGNFGKLNNIKVVPITRKDQESGYETPEQISGSTDGEEVADVKADVGDDGEREPKRRNMAASSQKTLTEPRIIVQTRSEVDLLDDGFRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERSPTDPKAVITTYEGKHNHDVPAARKSSHDTVTSGAAFKNA >Ma01_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2523045:2524632:1 gene:Ma01_g03800 transcript:Ma01_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT1G11545) UniProtKB/TrEMBL;Acc:A0A178W0W2] MGLWLPSVVLLLCSAGFLALSSAAPANTSASFSFKENFDIMFAEDHFRTSPDGQIWYLYLDKKTGCGFQTRQRYRFGWFSMKLKLVGGDSAGVVTAYYMCSDLDAAPDRDELDFEFLGNRTGQPYTIQTNVYKSGIGGREMRHTLWFDPTQDFHTYSILWNTHRIVFYVDRVAIRVYKNNGKPNNFFPSGKPMYMFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFHADGCQWKDPFPACVSTTTEHWWDQYEAWSLTDSQQEDFSWVGRNLVIYDYCKDTERYPKLPEECLL >Ma11_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5456480:5457238:-1 gene:Ma11_g06820 transcript:Ma11_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYSSPPPLPFQIPTPADYSGLSSSSSSSSSSSFNPSLIIIAAILAAVCLASATIHLLLRFLSRASSSSPQLIHHNPSTPSTRSSSSDGHRLARVEALPILHFDAVRTSSPPDCAVCLSRFRPGDQLRLLPACRHAFHSPCIDAWLLSPAASCPLCRSPVHLPPPPPPPPPPPALPVTATAEEPSPAGGGGRGWLKEYVDRLASSASSSFSSLRFSDRWNRPASWDLENPDDGATATSAQRTTPCGSAVN >Ma08_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9607054:9611649:-1 gene:Ma08_g12620 transcript:Ma08_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIERQRVLLHHLQPSPPLRSPILSTEVCAAGDSAKYQRSSCFGDDVVIVAACRTAICKSKRGGFKDTHPEDLLAPVLKALLDKTQLNPSEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTVNSVGLNGPVNPKAETFPQARDCLLPMGITSENVADRYGITRQEQDYAAVESHRRAAAATAAGKFKEEIIPVVTKIVDPKTGEHKRVTISVDDGIRLDTSMSVLAKLKPAFKKDGSTTAGNASQVSDGAGAVLLMRRDVAMQKGLPILGVFRSFAAVGVEPGVMGIGPAVAIPAAVRAAGLQPDDIDIFEINEAFASQFVYCCKKLELDPEKVNVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFEHGDVVDQLTNARQVEANSFLSKDAM >Ma05_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34103966:34105573:1 gene:Ma05_g22360 transcript:Ma05_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPIIPLVSGTLHPPATELVRQQEPPAQAQTQPLELPTLPRVPSSPLIGRVTTDQRGHTQPEAFPSASTDSLRAQLLLVSQRLDEVQKEVRRSRGELGEDIHQGSSFVPEIRDQTVPQNFRLPSLDTYDGSTDPADHVAAFRTQMALYETFDTLMCRAFPTTLRGLARTWYGGLRTETVTSFDQLTRDFELHFLASARPKLSVALLLRLHQREDESLSHFVNRFATQIRGLSNAHPSLLMQAFMTGLRPSRFFWSLVERPPSAVPEMLQQVNQFIAVEAWMAGKQEKHTRVRQEPARGQQPAVTRRRMDQSDLPAPRPPLPPLGASRTEIFLQIKERGLLGAPVPMKNPRELVDQSKHCSFHRQNGHDTEECRELKRQIEELIRGGHLNRYFRCNGESSPLPEGPVERHIDVITEGPTARGTSMSGRKAYARSVRIDAPQRGPDPKVAFPPKDVKPPEHDDALVIMARVANAQVRRIMIDTGSSADVLYMDAFQKLGLTKESLKPICSALMGFTGDSISPLGAVTLPLTLGAPP >Ma05_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4293628:4294721:-1 gene:Ma05_g05640 transcript:Ma05_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVSKPPSHPTYPEMIMAAIEALDEKGGSNKSAIARHIASTYQDLSESHASLLTAHLARMKESGELLFVKNNYMRPGADVPPKRGRGRPPKPKPELPPGETAAPRPPPRPRGRPPKPKDPVAAAVAKATSGMPRRRGRPPKKVARSAGTEAGSSTAPATAGGGVKRGRGRPPKVKPAVASDDAV >Ma03_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6788262:6789655:1 gene:Ma03_g09210 transcript:Ma03_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSAPFSSSCRTLSLPIAPNHSLRLQRNLILQPRPFNGARVSLPSLFMERRLIIVRASSETDGKGESEPEASPSEGSEEAVSVENLPLESKLQLKLEQKLRMKLAKKIRLRRKRLLRKRRMRKKGRWPPSKMKKLKNV >Ma00_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29885961:29886629:1 gene:Ma00_g03570 transcript:Ma00_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPVVVDVGCSCRRPKLPFLFSSSQKTAKPPKSPCLPYSSTTTSSWETSITVTTNTTAAAVSSSSSSSPSRYGDCTSSPSLAIDQPEILKKTTARGQRRTNKKKRNKRAAATAGRKRVVEGSVAVVKESLDPCMDFRNSMLQMIVEMEIYEWEDLGNLLHRFLALNAPGHHHLILRAFAEAWNLVFAPSSPSTSTSTFSTRRRQRPNSLERDSWGEGEDES >Ma08_p05210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3578759:3582188:1 gene:Ma08_g05210 transcript:Ma08_t05210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVELQGLGIGRELSCGGGIRVGMGTFWSDEDRKMALAVLGHQAFDYLNARHAASSDGHLTAVGGGDADLQTKLQDLVEGPGSVAWAYAIFWQISRSDSDELVLGWGDGHCIETGDEEEEEEGCGSRRNPLDGAHQKMRKRVLERLHALSGGSDEENYALRLDRITDTEMYFLASMYFSFPKGEDAPGRALESGKYIWISEAVLASPACSNHYVRAFLARTAGFRTIIFIPFESGVLELGSVDPVPESFEALYMIRSLFGHGLSKGEAAPGEKTDENNGPVSVSRFGADSGHVAEYPKIFGKNLKLGHAQLNGRASIVSMEQRPPETIAKHGDHHQKSPLLQWKQNHLVNPHQQKFGNGAPYVRYINGVMSPQKQQLQPQRQQALPRPLPPSGQIDFSSAAAAAANPAPAGVLIGRAGAVDSELSDVEAPCKEHKPGGTEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITELQKKLKEMEAEREMWGDPSRVDHKRPPPHCPEIDIQTAQDEVIVRVNCPLDRHPVSQVIQALKDSQIDVVDSKVAATNDSVLHTFVVKSPAAEQLTKEKLIAALAHEFRAT >Ma08_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3578759:3582188:1 gene:Ma08_g05210 transcript:Ma08_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVELQGLGIGRELSCGGGIRVGMGTFWSDEDRKMALAVLGHQAFDYLNARHAASSDGHLTAVGGGDADLQTKLQDLVEGPGSVAWAYAIFWQISRSDSDELVLGWGDGHCIETGDEEEEEEGCGSRRNPLDGAHQKMRKRVLERLHALSGGSDEENYALRLDRITDTEMYFLASMYFSFPKGEDAPGRALESGKYIWISEAVLASPACSNHYVRAFLARTAGFRTIIFIPFESGVLELGSVDPVPESFEALYMIRSLFGHGLSKGEAAPGEKTDENNGPVSVSRFGADSGHVAEYPKIFGKNLKLGHAQLNGRASIVSMEQRPPETIAKHGDHHQKSPLLQWKQNHLVNPHQQKFGNGAPYVRYINGVMSPQKQQLQPQRQQALPRPLPPSGQIDFSSAAAAAANPAPAGVLIGRAGAVDSELSDVEAPCKEHKPGGTEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITELQKKLKEMEAEREMWGDPSRVDHKRPPPHCPEIDIQTAQDEVIVRVNCPLDRHPVSQVIQALKDSQIDVVDSKVAATNDSVLHTFVVKSPAAEQLTKEKLIAALAHEFRAT >Ma08_p05210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3578759:3582188:1 gene:Ma08_g05210 transcript:Ma08_t05210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVELQGLGIGRELSCGGGIRVGMGTFWSDEDRKMALAVLGHQAFDYLNARHAASSDGHLTAVGGGDADLQTKLQDLVEGPGSVAWAYAIFWQISRSDSDELVLGWGDGHCIETGDEEEEEEGCGSRRNPLDGAHQKMRKRVLERLHALSGGSDEENYALRLDRITDTEMYFLASMYFSFPKGEDAPGRALESGKYIWISEAVLASPACSNHYVRAFLARTAGFRTIIFIPFESGVLELGSVDPVPESFEALYMIRSLFGHGLSKGEAAPGEKTDENNGPVSVSRFGADSGHVAEYPKIFGKNLKLGHAQLNGRASIVSMEQRPPETIAKHGDHHQKSPLLQWKQNHLVNPHQQKFGNGAPYVRYINGVMSPQKQQLQPQRQQALPRPLPPSGQIDFSSAAAAAANPAPAGVLIGRAGAVDSELSDVEAPCKEHKPGGTEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYITELQKKLKEMEAEREMWGDPSRVDHKRPPPHCPEIDIQTAQDEVIVRVNCPLDRHPVSQVIQALKDSQIDVVDSKVAATNDSVLHTFVVKSPAAEQLTKEKLIAALAHEFRAT >Ma09_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28007981:28009855:1 gene:Ma09_g20180 transcript:Ma09_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPRIQQLWSRYRMRRKRKMKKLDSLCSIRDLKPPMMRRKMSSGKLERSSAARSTPVQRIRVFFTDPDATDSDDGDEAVMKQCKRVVREIHVDRIAKTLKTPADPENERRQKKKEAARVAVPSPAITGRHKGVRQRRWGKWAAEIRDPIRRARLWLGTFATAEEAAAAYRAAASRLEEEKRRLQHSGPAEGSAYSFVSVPSPSSVLTPPAATAEEKAERTIAELFGERRVEVPAEMDFDALDGEAPFLLGELGDDLIGFDDGFDDLPLWGQTLDGGDFSFLDL >Ma05_p25100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37135556:37140651:1 gene:Ma05_g25100 transcript:Ma05_t25100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTIRRRLHHGDVDGRKSEFVEPSRFDVQNEPLLGNDNHSDNYKGYDDRHRQDWDDKTKEVDLHWTCLLSNLTVQWSQWLASIARGSGSVLGRLLSLSSITSVQFVPICLTPLQEERLRNLRRRLKVRFDGSHLDHLVCIASGFANDGSVLQDALKQLWQSSYPNREVPPFKSDSWKEMGWQGCDPSTDFRGGGFISLENLIYFAKNYPNSFQMLLHKSEGRRAEWEYPFAVAGVNVSFMLIQMLDLQSGVRFLGLLGNDEKAFDNLYCIAFCMLDAQWLARHASYMEFNEVLKFTRIQLERELALEDVTSIKDLPAYNMII >Ma05_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37135556:37140651:1 gene:Ma05_g25100 transcript:Ma05_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTIRRRLHHGDVDGRKSEFVEPSRFDVQNEPLLGNDNHSDNYKGYDDRHRQDWDDKTKEVDLHWTCLLSNLTVQWSQWLASIARGSGSVLGRLLSLSSITSVQFVPICLTPLQEERLRNLRRRLKVRFDGSHLDHLVCIASGFANDGSVLQDALKQLWQSSYPNREVPPFKSDSWKEMGWQGCDPSTDFRGGGFISLENLIYFAKNYPNSFQMLLHKSEGRRAEWEYPFAVAGVNVSFMLIQMLDLQSDFPSSKAGVRFLGLLGNDEKAFDNLYCIAFCMLDAQWLARHASYMEFNEVLKFTRIQLERELALEDVTSIKDLPAYNMII >Ma05_p25100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37135520:37140648:1 gene:Ma05_g25100 transcript:Ma05_t25100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTIRRRLHHGDVDGRKSEFVEPSRFDVQNEPLLGNDNHSDNYKGYDDRHRQDWDDKTKEVDLHWTCLLSNLTVQWSQWLASIARGSGSVLGRLLSLSSITSVQFVPICLTPLQEERLRNLRRRLKVRFDGSHLDHLDALKQLWQSSYPNREVPPFKSDSWKEMGWQGCDPSTDFRGGGFISLENLIYFAKNYPNSFQMLLHKSEGRRAEWEYPFAVAGVNVSFMLIQMLDLQSDFPSSKAGVRFLGLLGNDEKAFDNLYCIAFCMLDAQWLARHASYMEFNEVLKFTRIQLERELALEDVTSIKDLPAYNMII >Ma04_p30840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31371724:31376504:-1 gene:Ma04_g30840 transcript:Ma04_t30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMNLRWGRAYGEDRFYTTVKPRRNNRRGHQRGPRRSQNGVASSAARESPSPPPVSKEDDRVGLGKPASKPLAASASPSPSSTEVAQPCNLDRFLESTTPSVPAQYLSKTTMRGRRTCDVEFSPYFALSDLWESFKESSAYGIGVPLLLNGCHSVVQYYVPFLSGIQLYGQSNRPSADSCEENDRDCHDSSSNKSSNGSSNYGNKKGLKDSSTWISNQTNQSLSKSYASEKQGHKQQLSSSNDICFGNSQGYLIFEFLEQEPPFAREPLAGKISDLARNFPALKTLRSCDLLPSSWFSVAWYPIYRIPTGPTLKDLDACFLTFHSLSTPAKDAGGACQTVTHPQGVPRISLPVFGLAFYKFKSTVWTPGGSESPVANSLLQAAENWLQLRRVEHPDFSFFASHRAYRN >Ma04_p30840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31372353:31376482:-1 gene:Ma04_g30840 transcript:Ma04_t30840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMNLRWGRAYGEDRFYTTVKPRRNNRRGHQRGPRRSQNGVASSAARESPSPPPVSKEDDRVGLGKPASKPLAASASPSPSSTEVAQPCNLDRFLESTTPSVPAQYLSKTTMRGRRTCDVEFSPYFALSDLWESFKESSAYGIGVPLLLNGCHSVVQYYVPFLSGIQLYGQSNRPSADSCEENDRDCHDSSSNKSSNGSSNYGNKKGLKDSSTWISNQTNQSLSKSYASEKQGHKQQLSSSNDICFGNSQGYLIFEFLEQEPPFAREPLAGKISDLARNFPALKTLRSCDLLPSSWFSVAWYPIYRIPTGPTLKDLDACFLTFHSLSTPAKGNVYSSNFY >Ma06_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1980310:1989232:1 gene:Ma06_g02560 transcript:Ma06_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional elongation regulator MINIYO [Source:Projected from Arabidopsis thaliana (AT4G38440) UniProtKB/Swiss-Prot;Acc:Q8GYU3] MSSRKSFHSNCDKPDVGPCSVENVAERDLLRTEGDPAALGYTIHEAVALTRSMIPGQRAIALKLLASVLSKALHNLQHMDNCSQVRKTNPVDDFVDWQAVWAFVLGPEPQMALSLRIALDDNHDSVVMACCKTIQSILSFDINEFFFDTAEKVTTSKKNTFMAPVFRSRPDADGGFLHGGYWKYNTKPSNILLTNEQNSEDDENEEQHTIQDDVVVAGQDVASGLVRMGVLPRICYLLEMDPLPALEESLVSIVVSVARHSPTCADAVMKCPNLIQTVVKIFTKQGVERYPSQIKAVLLLKVLSQANKRVCLDFVKHGVFQQAMWHWYKNVFTLESWVKSGREYCKLTSALMVEQLRLWRVFIQYGFCITYFVDFFPAMCLWLNPPTFTKIIGNDILGEFTSITREAYLVLEALAQRLPNLHSMDQVNKQTIDFSIYAAEFWSWNHVSPMIDLAISWLSLRDIPYISSLIADPKKSMSHVEVAPMASLLWVISAVLHMLCSILDRAFAFPCTHKNVDKQNSSLPWLRHFVLKIGLQIIKNGFLDILCSSKYEFEDFYTENGSLARVLCLLRQQKNVDVSLSSVSCLRGLVQISQLVDEHVRRAKTINNGQSFTEGMLGLPEKTLEEGIIRSAQNDLLQVLTLFIDVLSSEKQVLQSVEMFGRGGPAPGIGFGWGSCGGGFWSLNVLLAQIDSQLILSLYNIIPVVSENDPIQVESIRPDNAITLQRINSMLEICLLAGPGDEATLDKALDYLFHLPVLRYLGFCVNHFLHHMKFSNASDWQYGEEDYIFFSEILKLYYRERWLTAKRKTATQVHNDDIHKRSHALETIHEEIEPHAAVSRDHSSNGLLVEWAYQRLPLPMHWFLSAVCIMGDLKKMATCSPADVAKSGLFFLLGLEVMSSFLCSTSRDSLISGIPLVWKLHALSMALHVNMDVLGEERSGNVFKTLQDMYGQHIDQLKCGNMTSKDEEYRDFVASSSEAQVSGSQEVLNFQTTIHESYNTFVEDIVEQFCAMSYGDIIYGRQVAVYLHRTVEASVRLAMWNALFNIHALELLPPIGKCIAGAEGYLEPVEDKEEILEAYAKSWTSGCLEKASTRESVSFTLAVHHLSCFIFNTSASEKLAMRNKLAKSLLRSYSLKPHQETMLLTLLRYGLMFSQEPTYKTEVARRLVVLKEACDGNSSLLVMVEKLESLG >Ma07_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2084159:2100874:-1 gene:Ma07_g02630 transcript:Ma07_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGGGGTEERRRSSNNDVIRLERESVIPILKPKLVMKLADLIEQNSDRTEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHSVKRLEQQNLSPEEIDVLEQNFLTYFFQVMEKSNFKIVTDEEIDVAHHGQYLLNLPIKVDESKLDKRLLSKYFKEHPHDNLPEFSDKYVIFRRGIGIDQTTDYFIMEKLDMIISRLWLWFLKKTRLQMLFSRRQSRKPKSDPKKTDELNPETEEEDLYVERIRIENMELSMRKLFGKIMIQEPTFDRMIVVYRGAGTKNKIDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLVSVIIGLVTLVSSLELPKADIWVVIAILSGVVGYCAKVYFSFQQNMETYRNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISYFILMEQGKATIQDLDFRCEELIQEEFGMKCNFEVLDAVQKLERLGIVARDSIGRIYCLPLKRANEIIGPTTEELVVKATQSSA >Ma08_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8941207:8943463:1 gene:Ma08_g11910 transcript:Ma08_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTANKIPRTRRRPARIAIPKPSADATFGVADREEDGVERDLEVEGGEYCVVSRKGHRHMMEDGYAVISTIHGHSKQAFFGVFDGHGGRAAVDFVSETLGKNIVAALDEPEKEDNQADTAIKAGYLTTDRDFLSQGVSSGVCAATVLLKDGELHVANVGDCRVVMSRKGVADALTDDHRAGREDERIRIENSGGYVTCHNGIWRVQDSLAVSRAIGDLNMKEWIISEPETKSLQLTPECEFLILASDGLWDKVENQEAVDVVSRQSNAMKSCRDLIEISCRRGNRDDITVMVIDLQKFIQLPGS >Ma07_p17290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16903369:16911938:1 gene:Ma07_g17290 transcript:Ma07_t17290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLSDSFDLPRDEPPRDGDIESGLQHPKSAAEQGLEGFFKQVEEIEKLIEKLAKLSKNLQAANEKSKAVTKASDMKAIKQHMQKDIDEVGKIARLAKSKLEELDRDNLASRQKPGCGKGSGVDRSRTATTIALKKKLKERMSEFQTLRETIKQEYREVVERRVFTVTGNRADEETIDHLIETGNSEQIFQKAIQEQGRGQVMDTLAEIHERHNTVKDLERKLLELQQIFVDMAVLVDAQGEILDNIESQVSSAVDHVQSGTVALQKAKKLQKNSRKWMCIAIIILLLIVVIIVVAVIKPWSKGG >Ma07_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16903369:16911727:1 gene:Ma07_g17290 transcript:Ma07_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLSDSFDLPRDEPPRDGDIESGLQHPKSAAEQGLEGFFKQVEEIEKLIEKLAKLSKNLQAANEKSKAVTKASDMKAIKQHMQKDIDEVGKIARLAKSKLEELDRDNLASRQKPGCGKGSGVDRSRTATTIALKKKLKERMSEFQTLRETIKQEYREVVERRVFTVTGNRADEETIDHLIETGNSEQIFQKAIQEQGRGQVMDTLAEIHERHNTVKDLERKLLELQQIFVDMAVLVDAQGEILDNIESQVSSAVDHVQSGTVALQKAKKLQKNSRKWMCIAIIILLLIVVIIVVAVIKPWSKGG >Ma08_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6313328:6316668:-1 gene:Ma08_g08880 transcript:Ma08_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCQQITVFLMGEEVGEYQGACKISKGLLEKYGPDRVIDTPITEAGFAGIGVGAAYYGLRPVVKFMIFNFSMQAIDHIINSAAKSNCMSVGQISVPIVFRGPNGANAGVDVQHSQCYAAWYAYPDPVVFLENELLYGESFPISAEVLDSSFCLPIGKAKIEREGKYVTITSFSKMVGHALQVAEILSKEGISAEVDDIVRAVKRACYRSILMAATT >Ma07_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2687769:2720228:-1 gene:Ma07_g03510 transcript:Ma07_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLVWYCQPEKNGAWAKVVENAFGAYTPCGMESLVVCISHLALFGVCFYRIWRTKRDLTVRRYCLRSPYYNYLLGLVAAYCTAEPLFRMVMGFSITNLDGHTGQAPFEVLSLLIEAVAWCCMFVMIVLETKIYIHEFRWYIRFIVVYVLVGEISMYKLVLSVRRYYDKSIFYLYTSEIVSQFLFGILLLVYVPSLDPYPGYTPIRTEASIDDMDYEPLPGGEQICPERKANILSRILFSWMTPLMQQGFKRPITEKDIWKLDSWDQTETLNSRFQQCWAEESRKPKPWLLRALHRSLGGRFWLGGFFKIGNDASQFVGPLILNRLLLSMQQGESAWHGYIYAFSIFAGVSLGVLCEAQYFQNVMRVGFRLRATLVAAVFRKSLRLTHESRTKFLSGKITNLMTTDAESLQQVCQQLHSIWSAPFRITISVILLYQQLGIASLVGAFVLVLLIPIQTFVISKMQKLSKAGLQHTDKRISLMNEILAAMDTVKCYAWEKSFQSKVQSIRNDELSWFRKAQLLAAFNSFILNSIPVLVTVASFGVYSLLGGDLTPAKAFTSLSLFSVLRFPLFMLPNLITQVVNCNVSLKRLEDLLLAEERILLPNPPLEPQLPAISIKNGYFSWDSKAERPTLFNVNVDIPVGSLVAIVGSTGEGKTSLISAMLGELPPVAGTETSAVIRGTVAYVPQVSWIFNATVRDNILFGSPFQLSRYEKAVEVTALQHDLDLLPGGDHTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKDQLRNKTRVLVTNQLHFLPNVDKIILVHEGMVKEEGTFEELCSSGILFQKLMENAGKMEEHEEEIQGESAEENAKSSENGEVTKMVNALSKKEEKPNKGKEGKTVLIKQEERETGVVSLKVLARYKNALGGMWVVLILFSCYTLTEILRVSSSTWLSVWTDQSSLKSHGPGFYNLIYASLSFGQVLVTLTNSYWLITSSLYAAKRLHDAMLHSILRAPMVFFHTNPLGRIINRFAKDLGDIDRSVAVFVNMFLGQISQLLSTFVLIGIVSTTSLWAIMPLLILFYAAYLYYQSTAREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMASINGKSMDNNIRFTLVNMGANRWLGIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYALNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPAVIENNRPPPAWPSSGTIKFQDVVLRYRPELPPVLHGISFTIEASEKVGIVGRTGAGKSSMLNALFRIVEMERGRIFIDDCDVSKFGLWDLRKVLGIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDSLIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLSAGQVLEFDTPETLLSNEESAFYKMVQSTGAANAQYLRGLVFGAGENGSRREEIKRQEGERRWLASSRWVAAAQFALAVSLTSSQNDLHQLEIDDENNILNRTKDAVITLQSILEGKHDTEIEEKLEQHNVPSSRWWSSLYKVVEGLAIMSKLARNRLQPGSEFEDNSIDWDQVVM >Ma07_p03510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2687769:2720843:-1 gene:Ma07_g03510 transcript:Ma07_t03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLVWYCQPEKNGAWAKVVENAFGAYTPCGMESLVVCISHLALFGVCFYRIWRTKRDLTVRRYCLRSPYYNYLLGLVAAYCTAEPLFRMVMGFSITNLDGHTGQAPFEVLSLLIEAVAWCCMFVMIVLETKIYIHEFRWYIRFIVVYVLVGEISMYKLVLSVRRYYDKSIFYLYTSEIVSQFLFGILLLVYVPSLDPYPGYTPIRTEASIDDMDYEPLPGGEQICPERKANILSRILFSWMTPLMQQGFKRPITEKDIWKLDSWDQTETLNSRFQQCWAEESRKPKPWLLRALHRSLGGRFWLGGFFKIGNDASQFVGPLILNRLLLSMQQGESAWHGYIYAFSIFAGVSLGVLCEAQYFQNVMRVGFRLRATLVAAVFRKSLRLTHESRTKFLSGKITNLMTTDAESLQQVCQQLHSIWSAPFRITISVILLYQQLGIASLVGAFVLVLLIPIQTFVISKMQKLSKAGLQHTDKRISLMNEILAAMDTVKCYAWEKSFQSKVQSIRNDELSWFRKAQLLAAFNSFILNSIPVLVTVASFGVYSLLGGDLTPAKAFTSLSLFSVLRFPLFMLPNLITQVVNCNVSLKRLEDLLLAEERILLPNPPLEPQLPAISIKNGYFSWDSKAERPTLFNVNVDIPVGSLVAIVGSTGEGKTSLISAMLGELPPVAGTETSAVIRGTVAYVPQVSWIFNATVRDNILFGSPFQLSRYEKAVEVTALQHDLDLLPGGDHTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKDQLRNKTRVLVTNQLHFLPNVDKIILVHEGMVKEEGTFEELCSSGILFQKLMENAGKMEEHEEEIQGESAEENAKSSENGEVTKMVNALSKKEEKPNKGKEGKTVLIKQEERETGVVSLKVLARYKNALGGMWVVLILFSCYTLTEILRVSSSTWLSVWTDQSSLKSHGPGFYNLIYASLSFGQVLVTLTNSYWLITSSLYAAKRLHDAMLHSILRAPMVFFHTNPLGRIINRFAKDLGDIDRSVAVFVNMFLGQISQLLSTFVLIGIVSTTSLWAIMPLLILFYAAYLYYQSTAREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMASINGKSMDNNIRFTLVNMGANRWLGIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYALNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPAVIENNRPPPAWPSSGTIKFQDVVLRYRPELPPVLHGISFTIEASEKVGIVGRTGAGKSSMLNALFRIVEMERGRIFIDDCDVSKFGLWDLRKVLGIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDSLIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLSAGQVLEFDTPETLLSNEESAFYKMVQSTGAANAQYLRGLVFGAGENGSRREEIKRQEGERRWLASSRWVAAAQFALAVSLTSSQNDLHQLEIDDENNILNRTKDAVITLQSILEGKHDTEIEEKLEQHNVPSSRWWSSLYKVVEGLAIMSKLARNRLQPGSEFEDNSIDWDQVVM >Ma07_p03510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2687769:2720843:-1 gene:Ma07_g03510 transcript:Ma07_t03510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLVWYCQPEKNGAWAKVVENAFGAYTPCGMESLVVCISHLALFGVCFYRIWRTKRDLTVRRYCLRSPYYNYLLGLVAAYCTAEPLFRMVMGFSITNLDGHTGQAPFEVLSLLIEAVAWCCMFVMIVLETKIYIHEFRWYIRFIVVYVLVGEISMYKLVLSVRRYYDKSIFYLYTSEIVSQFLFGILLLVYVPSLDPYPGYTPIRTEASIDDMDYEPLPGGEQICPERKANILSRILFSWMTPLMQQGFKRPITEKDIWKLDSWDQTETLNSRFQQCWAEESRKPKPWLLRALHRSLGGRFWLGGFFKIGNDASQFVGPLILNRLLLSMQQGESAWHGYIYAFSIFAGVSLGVLCEAQYFQNVMRVGFRLRATLVAAVFRKSLRLTHESRTKFLSGKITNLMTTDAESLQQVCQQLHSIWSAPFRITISVILLYQQLGIASLVGAFVLVLLIPIQTFVISKMQKLSKAGLQHTDKRISLMNEILAAMDTVKCYAWEKSFQSKVQSIRNDELSWFRKAQLLAAFNSFILNSIPVLVTVASFGVYSLLGGDLTPAKAFTSLSLFSVLRFPLFMLPNLITQVVNCNVSLKRLEDLLLAEERILLPNPPLEPQLPAISIKNGYFSWDSKAERPTLFNVNVDIPVGSLVAIVGSTGEGKTSLISAMLGELPPVAGTETSAVIRGTVAYVPQVSWIFNATVRDNILFGSPFQLSRYEKAVEVTALQHDLDLLPGGDHTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKDQLRNKTRVLVTNQLHFLPNVDKIILVHEGMVKEEGTFEELCSSGILFQKLMENAGKMEEHEEEIQGESAEENAKSSENGEVTKMVNALSKKEEKPNKGKEGKTVLIKQEERETGVVSLKVLARYKNALGGMWVVLILFSCYTLTEILRVSSSTWLSVWTDQSSLKSHGPGFYNLIYASLSFGQVLVTLTNSYWLITSSLYAAKRLHDAMLHSILRAPMVFFHTNPLGRIINRFAKDLGDIDRSVAVFVNMFLGQISQLLSTFVLIGIVSTTSLWAIMPLLILFYAAYLYYQSTAREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMASINGKSMDNNIRFTLVNMGANRWLGIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYALNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPAVIENNRPPPAWPSSGTIKFQDVVLRYRPELPPVLHGISFTIEASEKVGIVGRTGAGKSSMLNALFRIVEMERGRIFIDDCDVSKFGLWDLRKVLGIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDSLIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLSAGQVLEFDTPETLLSNEESAFYKMVQSTGAANAQYLRGLVFGAGENGSRREEIKRQEGERRWLASSRWVAAAQFALAVSLTSSQNDLHQLEIDDENNILNRTKDAVITLQSILEGKHDTEIEEKLEQHNVPSSRWWSSLYKVVEGLAIMSKLARNRLQPGSEFEDNSIDWDQVVM >Ma04_p37190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35222012:35223589:1 gene:Ma04_g37190 transcript:Ma04_t37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAEKQRSAGAVAARTARACDSCLRRRARWFCEADDAFLCQSCDASVHSANPLARRHRRVRLDTASCSSPSSSSSSPPTDDVSGDHSMPPWLHGFKRKPRTPRGKPGRALAAAKVETLVPDLETMSTEENQSNEEEQLLHCVPTLDPLLIESCSQHPVDDGSPSEDDVKPALQLADFAHVSAADGLAGFRPSDLDLAEFASDMEALLGRGLDDHSFCIDGLGLADATLEDDGMEHVKVEDGDAACGFGVEIALSKDTMDLDFDSRTATMAVQVKDQKPSPEAATKRRPILRLDYEAVIAAWSSNGCSPWTDGERPQFDPSDAWADFLVAEAGGGGEVQGMYQQAGHMAAVDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKQAAAPALSCR >Ma06_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11717146:11719707:1 gene:Ma06_g17230 transcript:Ma06_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPYSAVHFTTYETAKRGLMEISPESASEERLVVHATAGAAAGGLAAAITTPLDVVKTQLQCQGVCGCDRYSSSSINEVIRAILRCDGYAVLMRGWKPRMLFHAPAAAICWLTYEAMKSFFEKLNDHE >Ma06_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15538600:15546871:1 gene:Ma06_g21340 transcript:Ma06_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) UniProtKB/Swiss-Prot;Acc:Q8RY16] MADRRKPLVLSSTRALLDSVLGSAGSQGVGDGAVGAEGEARGAADRRRPELRLPVGILRFSDSGGVGSDELKENFFVVGLSASVLKKLAIASGSLILLKNSETNVGRIAKAKVLHHPLAGEKYRGCTQQATSVSSSQRVMKLLPSFTYPSEAYCPSDQEVAYVTPLLAFNLGLHISCLKVLVRSGQESLTSLFEVEEHGEEKETNYHPLFIDLIPWPDLPKYATHLRISFVKIPECGLLGSLRGKSATEEGDRQDMIDLALNEYFKVDKFLARGDVFYIRVDWNCSSEMCVFCNQKSPKGLSSNIVYFKVMSMEPSDEPILCVNCNQTALVLGGSAASSIPPDRFIGSSNDFTPLHVETVKKLTSILAPAICPSALLSRFRVSVFLSGNPGCGKRTVVRYVAQCLGLHVVEYSCYDLTESSDKKASAALTNAFKSASRYSPCLLLLRHFDVFTNLSSNEGSRSDQVGITSEIASVIREFTEPLSENENSYPGKMANDASFLVEAEKLNSRVFLVAAAGSSDGLQPQIRRCFSHEISMSPLNEAQRISMLSRSLRGSIRTLDKTIGDEFLKDIVSQTSGFMPRDIHALVADAGANFVQRTLTDGGKSENGDFSEITATGLASIQDEDNSHDYANKHIEKEDFSKALERSKKRNASALGAPKVPNVKWEDVGGLEEVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARAARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSSQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNTDASYRERVLKALTRKFKLDKNVSLFSVARKCPPNFTGADMYALCADAWFHAAKRKTSSDGSNPTIDDKADSVIVEINDFMKVLGDLAPSLSMDELKKYERLREQFEGPS >Ma04_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26638956:26640374:1 gene:Ma04_g24670 transcript:Ma04_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKAKVKRGPWSPEEDAALKSYIQCHGAVGNWIALPHKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDSIICTLYHRLGSRWSVIASHLPGRTDNDVKNYWNTKLKKKLMARRPCLPTTTTSDSKSPPLIGMPLHPNDGLSQQSKKLYSDEEVSASSSSITAQHSSSFLNWSTGGAESSDLFLSELGFGDAGDFLSSYGYQERLGEEIPSYFSDPSQGMYQSLTYSLQSHAIHEV >Ma03_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9654598:9656037:1 gene:Ma03_g12530 transcript:Ma03_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKGNIDYEKIVRDTCRAIGFTSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTDVRKNGTCPWLRPDGKTQVTVEYRNEHGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKAVVPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQISYAIGVPEPLSVFVDTYGTGKIPDKEILEIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPAA >Ma03_p26130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29981080:29983463:-1 gene:Ma03_g26130 transcript:Ma03_t26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNANESKMVVPLNTWVLISNFKLAYNMLRRPDGTFDRHLAEFLDRKVPANATPVNGVVSFDVLIDRPNNLLARIYRPAPSTASVAPLLIDLYRAPSPDPFPVIIFFHGGSFAHSSSNSAIYDSLCRRFVSLCGAVVVSVNYRRAPEHKYPCAYDDGWAALKWASGEPWLRSGKDAKLRVFLAGDSSGGNIAHHVALRAAESGIEVAGNVLLNAMFGGNCRTESEKRLDGKYFVTIQDRDWYWKAYLPEGADRDHPACNPFGPKGVTLEGLPFAKSLVIVAGLDLVQDWQLAYADGLKKAGHFVKLVYREQATIGFYLLPNTNHFYQVMEEIKNFVSSNL >Ma05_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36785521:36786628:-1 gene:Ma05_g24570 transcript:Ma05_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVYASAKPNHNPPQALNGGGGGGQPSFPPTKGQTYGATLPAYRPQPRKPPPRRRRRRGCCCSCCLWITLIVVALVFLAAIAAGVFYLIYHPRRPTFEVGGLRLAAFNVSAAGHITSRLDLNVTARNPNSKIAYLYDAASVSVFSGGVDIGDGSFPAFVQNGKNTTLLATTLSAGAQTLDSTAASDLRKKTSLPLEIDVDTKVGVKIGGLKTKRMGIHVRCVGIDVGVPKGKAAAPVASPNADCKVKLRIKIWKWTIS >Ma06_p18790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12846582:12853691:-1 gene:Ma06_g18790 transcript:Ma06_t18790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFPSTAMASSSSSTSENPRISDHLALATAPISGRLAVTACAAMAFFYVAILYFPTLILRLPPPASLESFMIRRFACAVVSSAVSVISCLFLLGLGRLDDFPTILGVLGIRRDHLWQAAVFPILLTSLIYAGSFVSKSWKLVSRWKDEGRENSFCSVGIYGRRCKDWVCAYARNVMAWRNYVVAPITEELVFRACMIPLLLCGGFGTYSIVFLSPIFFSLAHLNHFLELYYQQKYSFMKAFLIVGLQLGYTVIFGWYTSFLFIRTGSLISPIVAHIFCNVMGLPVLSSPRTKGTATIAAAAGLVGFLYLLFPATNPDMYNQLRDGCRCWHRYCGWS >Ma06_p18790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12846582:12853691:-1 gene:Ma06_g18790 transcript:Ma06_t18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFPSTAMASSSSSTSENPRISDHLALATAPISGRLAVTACAAMAFFYVAILYFPTLILRLPPPASLESFMIRRFACAVVSSAVSVISCLFLLGLGRLDDFPTILGVLGIRRDHLWQAAVFPILLTSLIYAGSFVSKSWKLVSRWKDEGRENSFCSVGIYGRRCKDWVCAYARNVMAWRNYVVAPITEELVFRACMIPLLLCGGFGTYSIVFLSPIFFSLAHLNHFLELYYQQKYSFMKAFLIVGLQLGYTVIFGWYTSFLFIRTGSLISPIVAHIFCNVMGLPVLSSPRTKGTATIAAAAGLVGFLYLLFPATNPDMYNQLRDGCRCWHRYCGWS >Ma06_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12846582:12853691:-1 gene:Ma06_g18790 transcript:Ma06_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFPSTAMASSSSSTSENPRISDHLALATAPISGRLAVTACAAMAFFYVAILYFPTLILRLPPPASLESFMIRRFACAVVSSAVSVISCLFLLGLGRLDDFPTILGVLGIRRDHLWQAAVFPILLTSLIYAGSFVSKSWKLVSRWKDEGRENSFCSVGIYGRRCKDWVCAYARNVMAWRNYVVAPITEELVFRACMIPLLLCGGFGTYSIVFLSPIFFSLAHLNHFLELYYQQKYSFMKAFLIVAWLYRDIWMVHIFSLHSNREPNISHCSAYIL >Ma01_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5331763:5335083:1 gene:Ma01_g07380 transcript:Ma01_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQQKQGGAGGAKRKPVFTKVDQLKPGTSGHTLTAKVLTSETVLHKGRTGAAAVHLHPTTIAECLIGDETGTILFTARNEQVDLMKPGTTVTLRNAKIDMFKGSMRLAVDKWGRIEVTGPANFTVKEDNNLSLIEYELVNVADE >Ma09_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11061499:11063755:-1 gene:Ma09_g15730 transcript:Ma09_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELIYRGHDAQPPTSGAGYSAKPEKRMLSLSRALRYLLREQRLLFLLVGMALAVLVLASARTSPAATRGARLMMADPWSTAVHHHGHNHRAEFEVTRGFVGGKVPLGLKRKGLRIVVTGGAGFVGSHLVDRLMARGDSVIVVDNFFTGRKQNVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAQVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQYVSDLVEGLIRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNASIEFRPNTEDDPHKRKPDITRAKELLNWEPKISLRQGLPLMVSDFRNRIFGDHSDANPTSATATGSS >Ma06_p37740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36727229:36733853:-1 gene:Ma06_g37740 transcript:Ma06_t37740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGETGSDEGEAFQKVRHRIADQSKTKEILSKQAAQTKEILSKQAVKIAKQAEEHERIIFKVTHLLGVLGFGAFCFILGARPQDVPYVYCFFYVTFVPLRWIYYRYKKWHYYLLDFCYYANTFFLVMLLFFPKNEKLFMVCFSFAEGPLAWALIVWRNSLVFSSLDKLVSVLIHLFPGIVFFTIRWWNPETFAAMHPAGRAARVSWPYVEDKSYLWTWLFVVPLIAYTLWQILYFLIVDVLRRQRLLQDPEVMTSYRELSKKAQKANNIWWRLSGLLGDKNRQIMFILLQAVFTVATMALAVPIFMSYKTHVTFQIFKVSAITWNGGSFILDVIPRQAVLREKKKLEMKPIVEEPDRLSADLSTSSHATSGEPSGM >Ma06_p37740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36727229:36733852:-1 gene:Ma06_g37740 transcript:Ma06_t37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGETGSDEGEAFQKVRHRIADQSKRVVQTKEILSKQAAQTKEILSKQAVKIAKQAEEHERIIFKVTHLLGVLGFGAFCFILGARPQDVPYVYCFFYVTFVPLRWIYYRYKKWHYYLLDFCYYANTFFLVMLLFFPKNEKLFMVCFSFAEGPLAWALIVWRNSLVFSSLDKLVSVLIHLFPGIVFFTIRWWNPETFAAMHPAGRAARVSWPYVEDKSYLWTWLFVVPLIAYTLWQILYFLIVDVLRRQRLLQDPEVMTSYRELSKKAQKANNIWWRLSGLLGDKNRQIMFILLQAVFTVATMALAVPIFMSYKTHVTFQIFKVSAITWNGGSFILDVIPRQAVLREKKKLEMKPIVEEPDRLSADLSTSSHATSGEPSGM >Ma09_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:383630:384481:-1 gene:Ma09_g00490 transcript:Ma09_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRQSNIFDPFSLDVWDPFQGFPFDSFRSLSETRPSFVSDASAFANTRIDWKETPEAHIFKADLPGVKKEEVKVEVEEGRVLQISGERSKEKEEKSDKWHRVERSSGKFLRRFRLPENAKVDQVKASMENGVLTVTVPKEEAKKKPEMKSIEISG >Ma08_p03790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2720056:2725346:-1 gene:Ma08_g03790 transcript:Ma08_t03790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVEGRHALLFDDDATAAFVNARDALVPWSGDPALLIDRYDVRHLLDRIPPRPPVRAASRLCEVPAVDGVTSSQLDRERYLDLPLSGPDADPGGDDDARGSLSGTTKDSDGTYQAVPFSYGNTANAVDSKNSDSGLTNSCYRPPFLIPERLQCNLPPTEKLHQIIARTATFVSQHGGQSEIVLRVKQGDNPTFGFLMPDHHLHEYFRFLVEHPQLLKSDTSTTKAQEEENLEEKKDKMLASDSGALSLLGSFYGTAEDDDGSHEADTEVLGSVYTSIADIAAVPQKAEQPYMALEGKGEIKSLAAPVVSKDKTVSIKRKLPAKSTLVNGPYGKNARDGNPILPGLVEQPRSSVSGRSDVKSVLLEPPSFLKRMIDKIVEFILRNGKEFEAVLIEQDKTVGRFPFLLPSNQYHPYYLKVLEGARQGNTGSKKKVQADLTKESARDHNSSDLSEGWLYDPHRKEKFKMVIGAPKKEKHDQQPTLAPQAGVSVDEAAAIVLAATRGVSSANAHQDISRPELAAGRTHREATHASSLGSYSFLQGRESIPKPTSSHEEGTSLPSSNQQLSNKGSNTDDDVWIAKAIAKTAALVTSCEADSSEASLTKEQKLKAERLKRAKMFAAMIKSGDPLSKLTGSITAQNSSLEISAAGSSRSGAGSDLLVKEREGSSVPFDAEVSGRSKFQERESDHDVSEEYSHREKHQSCSRDLRTDDMVESHKQSKKRHRSEHSINHRSDKKHRKHPSSSKDKESRHRHKHHSSSEDENGHRKHSRSHRRHKDEGTPEEKERTGSDGHRKKHHRRSQRNHDTDEEVNTTILDQSEVPQKSNHQKVVNMPSAPTDAATEVSKDLRAKIRAMLLETL >Ma08_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2720056:2725045:-1 gene:Ma08_g03790 transcript:Ma08_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDLCQDGCLVYFMSSLRSPRTTKDSDGTYQAVPFSYGNTANAVDSKNSDSGLTNSCYRPPFLIPERLQCNLPPTEKLHQIIARTATFVSQHGGQSEIVLRVKQGDNPTFGFLMPDHHLHEYFRFLVEHPQLLKSDTSTTKAQEEENLEEKKDKMLASDSGALSLLGSFYGTAEDDDGSHEADTEVLGSVYTSIADIAAVPQKAEQPYMALEGKGEIKSLAAPVVSKDKTVSIKRKLPAKSTLVNGPYGKNARDGNPILPGLVEQPRSSVSGRSDVKSVLLEPPSFLKRMIDKIVEFILRNGKEFEAVLIEQDKTVGRFPFLLPSNQYHPYYLKVLEGARQGNTGSKKKVQADLTKESARDHNSSDLSEGWLYDPHRKEKFKMVIGAPKKEKHDQQPTLAPQAGVSVDEAAAIVLAATRGVSSANAHQDISRPELAAGRTHREATHASSLGSYSFLQGRESIPKPTSSHEEGTSLPSSNQQLSNKGSNTDDDVWIAKAIAKTAALVTSCEADSSEASLTKEQKLKAERLKRAKMFAAMIKSGDPLSKLTGSITAQNSSLEISAAGSSRSGAGSDLLVKEREGSSVPFDAEVSGRSKFQERESDHDVSEEYSHREKHQSCSRDLRTDDMVESHKQSKKRHRSEHSINHRSDKKHRKHPSSSKDKESRHRHKHHSSSEDENGHRKHSRSHRRHKDEGTPEEKERTGSDGHRKKHHRRSQRNHDTDEEVNTTILDQSEVPQKSNHQKVVNMPSAPTDAATEVSKDLRAKIRAMLLETL >Ma08_p03790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2720056:2724837:-1 gene:Ma08_g03790 transcript:Ma08_t03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTIHRKDDMLINQYYIAFEFPSLQDGCLVYFMSSLRSPRTTKDSDGTYQAVPFSYGNTANAVDSKNSDSGLTNSCYRPPFLIPERLQCNLPPTEKLHQIIARTATFVSQHGGQSEIVLRVKQGDNPTFGFLMPDHHLHEYFRFLVEHPQLLKSDTSTTKAQEEENLEEKKDKMLASDSGALSLLGSFYGTAEDDDGSHEADTEVLGSVYTSIADIAAVPQKAEQPYMALEGKGEIKSLAAPVVSKDKTVSIKRKLPAKSTLVNGPYGKNARDGNPILPGLVEQPRSSVSGRSDVKSVLLEPPSFLKRMIDKIVEFILRNGKEFEAVLIEQDKTVGRFPFLLPSNQYHPYYLKVLEGARQGNTGSKKKVQADLTKESARDHNSSDLSEGWLYDPHRKEKFKMVIGAPKKEKHDQQPTLAPQAGVSVDEAAAIVLAATRGVSSANAHQDISRPELAAGRTHREATHASSLGSYSFLQGRESIPKPTSSHEEGTSLPSSNQQLSNKGSNTDDDVWIAKAIAKTAALVTSCEADSSEASLTKEQKLKAERLKRAKMFAAMIKSGDPLSKLTGSITAQNSSLEISAAGSSRSGAGSDLLVKEREGSSVPFDAEVSGRSKFQERESDHDVSEEYSHREKHQSCSRDLRTDDMVESHKQSKKRHRSEHSINHRSDKKHRKHPSSSKDKESRHRHKHHSSSEDENGHRKHSRSHRRHKDEGTPEEKERTGSDGHRKKHHRRSQRNHDTDEEVNTTILDQSEVPQKSNHQKVVNMPSAPTDAATEVSKDLRAKIRAMLLETL >Ma04_p37010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35114522:35116632:-1 gene:Ma04_g37010 transcript:Ma04_t37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRVLGRVLCAASKSESFATSAAAAAADSARRAHNPLEEFFEVDRSTDEEKPVVYGRGWKASELRLKSWDDLQKLWYVLLKEKNLLMSQRQMLHAQNLRFPNPERVPKVRKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >Ma08_p26360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38932641:38940578:1 gene:Ma08_g26360 transcript:Ma08_t26360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELGGAARGSEKAAETAVGLVDEERRAALLRRITEEGGFAYVSSAEKAASGDLRAAEAAREMAWEQLHSGPWHEVVPAWREAYAMACLHVAELRAGAGERKEALRALDMGLIMGGPLLRRDLDAAVERIAAGKGSENDAVANVGDCADKWWEGISKNRDLAEALSILPSRSLSSMTRWKDIEYLKRVAGDRTVPIEVGKNYLCSEWKQELITFSQFLERIQSTNCPANLPYLAQHPVFDQIRELRDDIMIPDYCFAGGGELRSLNAWFGPLGTVTPLHHDPHHNLFAQVVGRKYIRLYPASASENLYPHAESMLSNSSQVDLDNIDYQEFPKVEGLDFIDCVLEEGEMLYIPPKWWHYVRSLSTSFSVSFWWSATASSLQGT >Ma08_p26360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38932641:38940578:1 gene:Ma08_g26360 transcript:Ma08_t26360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELGGAARGSEKAAETAVGLVDEERRAALLRRITEEGGFAYVSSAEKAASGDLRAAEAAREMAWEQLHSGPWHEVVPAWREAYAMACLHVAELRAGAGERKEALRALDMGLIMGGPLLRRDLDAAVERIAAGKGSENDAVANVGDCADKWWEGISKNRDLAEALSILPSRSLSFIISGCIDHWPAMTRWKDIEYLKRVAGDRTVPIEVGKNYLCSEWKQELITFSQFLERIQSTNCPANLPYLAQHPVFDQIRELRDDIMIPDYCFAGGGELRSLNAWFGPLGTVTPLHHDPHHNLFAQVVGRKYIRLYPASASENLYPHAESMLSNSSQVDLDNIDYQEFPKVEGLDFIDCVLEEGEMLYIPPKWWHYVRSLSTSFSVSFWWSATASSLQGT >Ma08_p26360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38932641:38940578:1 gene:Ma08_g26360 transcript:Ma08_t26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELGGAARGSEKAAETAVGLVDEERRAALLRRITEEGGFAYVSSAEKAASGDLRAAEAAREMAWEQLHSGPWHEVVPAWREAYAMACLHVAELRAGAGERKEALRALDMGLIMGGPLLRRDLDAAVERIAAGKGSENDAVANVGDCADKWWEGISKNRDLAEALSILPSRSLSCKNVEKQSSLSLETFIYDYFLRDSPVIISGCIDHWPAMTRWKDIEYLKRVAGDRTVPIEVGKNYLCSEWKQELITFSQFLERIQSTNCPANLPYLAQHPVFDQIRELRDDIMIPDYCFAGGGELRSLNAWFGPLGTVTPLHHDPHHNLFAQVVGRKYIRLYPASASENLYPHAESMLSNSSQVDLDNIDYQEFPKVEGLDFIDCVLEEGEMLYIPPKWWHYVRSLSTSFSVSFWWSATASSLQGT >Ma08_p26360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38932692:38941830:1 gene:Ma08_g26360 transcript:Ma08_t26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELGGAARGSEKAAETAVGLVDEERRAALLRRITEEGGFAYVSSAEKAASGDLRAAEAAREMAWEQLHSGPWHEVVPAWREAYAMACLHVAELRAGAGERKEALRALDMGLIMGGPLLRRDLDAAVERIAAGKGSENDAVANVGDCADKWWEGISKNRDLAEALSILPSRSLSCKNVEKQSSLSLETFIYDYFLRDSPVIISGCIDHWPAMTRWKDIEYLKRVAGDRTVPIEVGKNYLCSEWKQELITFSQFLERIQSTNCPANLPYLAQHPVFDQIRELRDDIMIPDYCFAGGGELRSLNAWFGPLGTVTPLHHDPHHNLFAQVVGRKYIRLYPASASENLYPHAESMLSNSSQVDLDNIDYQEFPKVEGLDFIDCVLEEDTSQSSAKEY >Ma09_p30980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40850156:40851996:1 gene:Ma09_g30980 transcript:Ma09_t30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVGDSGVGKPKRRAWSCTKQDFLPEESFQSWANYTKALKETGTRLKDRITSRSLDNLELTEIKGRSGFEMKKTLSWWDLIWFGIGAVIGAGIFVLTGQEARDSAGPAVILSYVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFIAFIAAGNILLEYVIGGAAVARSWTSYFATLLNHHSNDFRIHATSLNPDYSRLDPIAVVVIALVCLAAVSSTKATSRFNYVASIIHLAIIVFIIIAGLTQANPKNLSDFTPFGVRGIFSASAVLFFAYVGFDAVSTMAEETKNPAKDIPLGLVGAMTITTGCYCLLALTLCLMQPYSQIDPDAPFSVAFQAIGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPWLAEVHATTGTPVNATVVMLVATAVIAFFTNLGILSNLLSISTLFIFMMVAVALLVRRYYVSGETTDSDRNKLIAALVLILASSIATAAYWAAGGMGWVGYLVSVLVWFLATVFLWWGVPQARAPQTWGVPLVPWLPSASIAINIFLLGSIDGPSFVRFGIWTALLLVYYFFFGLHASYDTAKAASNDGV >Ma08_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20783306:20789007:1 gene:Ma08_g16820 transcript:Ma08_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGLVKRSNGHLGVTEPISWSGPTEYDVIKTQELEKYLADAGLYESQEEAVSREEILGRLDQIVKIWVKKVSRAKGFNEQFVQEANAKIFTFGSYRLGEDFFTELHNMLSEMPEVTELHPVPDAHVPVMRFKFSGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPSMLVSRFFRVYTQWRWPNPVMLCEIQEGTLGLPIWDPRRNFRDRLHQMPIITPAYPCMNSSYNVSSSTLRVMTEEFQRGNEICEAMEANKADWDTLFEPYPFFEAYKNYLEIDITADNESDLRKWKGWVESRLRTLTLKIERHTFGMLHCHPCPRDFSDKSRPFHCCYFMGLQRKQGVPVQESEQFDIRGTVDDFKNSVSMYTLWKPGMEIQVSHRKRRNVPLFVFPGGVRPSRPPKVAGVDGHAVSGRKVSDMVHAGKPAGNVSHVADASTDRKQMEGKGASCDPIVESSSESRKGKQLDNRTDSNAANMNNLVDHILKPSEMGTPSSFANGVLDVPDESRKRKCMDVTTDSFATGSEFQADHSFKRPETSAAIAASVGPVTEVDNGESIFCSKEAETLAISKITSVPPSNLAALPEGLDELEYFESQGHDKGFGGPVGGHSVESSTVKDAITQLGSSYGSNTKNGGVEELEKSSELSAPYLGGAPASTANTQRKPLRLRLSTVAKSAGERS >Ma08_p16820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20783306:20789007:1 gene:Ma08_g16820 transcript:Ma08_t16820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGLVKRSNGHLGVTEPISWSGPTEYDVIKTQELEKYLADAGLYESQEEAVSREEILGRLDQIVKIWVKKVSRAKGFNEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFTELHNMLSEMPEVTELHPVPDAHVPVMRFKFSGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPSMLVSRFFRVYTQWRWPNPVMLCEIQEGTLGLPIWDPRRNFRDRLHQMPIITPAYPCMNSSYNVSSSTLRVMTEEFQRGNEICEAMEANKADWDTLFEPYPFFEAYKNYLEIDITADNESDLRKWKGWVESRLRTLTLKIERHTFGMLHCHPCPRDFSDKSRPFHCCYFMGLQRKQGVPVQESEQFDIRGTVDDFKNSVSMYTLWKPGMEIQVSHRKRRNVPLFVFPGGVRPSRPPKVAGVDGHAVSGRKVSDMVHAGKPAGNVSHVADASTDRKQMEGKGASCDPIVESSSESRKGKQLDNRTDSNAANMNNLVDHILKPSEMGTPSSFANGVLDVPDESRKRKCMDVTTDSFATGSEFQADHSFKRPETSAAIAASVGPVTEVDNGESIFCSKEAETLAISKITSVPPSNLAALPEGLDELEYFESQGHDKGFGGPVGGHSVESSTVKDAITQLGSSYGSNTKNGGVEELEKSSELSAPYLGGAPASTANTQRKPLRLRLSTVAKSAGERS >Ma08_p16820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20783306:20789007:1 gene:Ma08_g16820 transcript:Ma08_t16820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGLVKRSNGHLGVTEPISWSGPTEYDVIKTQELEKYLADAGLYESQEEAVSREEILGRLDQIVKIWVKKVSRAKGFNEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFTELHNMLSEMPEVTELHPVPDAHVPVMRFKFSGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPSMLVSRFFRVYTQWRWPNPVMLCEIQEGTLGLPIWDPRRNFRDRLHQMPIITPAYPCMNSSYNVSSSTLRVMTEEFQRGNEICEAMEANKADWDTLFEPYPFFEAYKNYLEIDITADNESDLRKWKGWVESRLRTLTLKIERHTFGMLHCHPCPRDFSDKSRPFHCCYFMGLQRKQGVPVQESEQFDIRGTVDDFKNSVSMYTLWKPGMEIQVSHRKRRNVPLFVFPGGVRPSRPPKVAGVDGHAVSGRKVSDMVHAGKPAGNVSHVADASTDRKQMEGKGASCDPIVESSSESRKGKQLDNRTDSNAANMNNLVDHILKPSEMGTPSSFANGVLDVPDESRKRKCMDVTTDSFATGSEFQADHSFKRPETSAAIAASVGPVTEVDNGESIFCSKEAETLAISKITSVPPSNLAALPEGLDELEYFESQGHDKGFGGPVGGHSVESSTVKDAITQLGSSYGSNTKNGGVEELEKSSELSAPYLGGAPASTANTQRKPLRLRLSTVAKSAGERS >Ma06_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3061274:3061714:1 gene:Ma06_g04220 transcript:Ma06_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSLALALLLPFLLSAGDALAPSPSPSPSPSPSRSPSPRRTPPKQVASTSIQLPPPPPPPPPPPPHPRVPRGRLEHQAGADAGAAPPGNPKQLNLGEKVGLAFLVVAVVLQVAFGGFLVFKRSQLSRTVRGDRRLSVPSLAHSP >Ma06_p28910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30461318:30473132:-1 gene:Ma06_g28910 transcript:Ma06_t28910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MRSISSCSLPLPPSAPRTPPRTQPLSMASSPYSINCTLGIKSRKQQVDDPGMGISRCFQNILLRAVAGALSFSLAASLSLFDAAAVEIPSLVDLPPPTSDLCREDDGEMASTDVLPESITNEGLVEEAWEVVNESFLPDAGGRSWSPEKWMQKKQDILDSKIQTRSRAHDVIKKMLASLGDPYTRFLSPAEFSKMAKYDVTGIGINLREVPDDKGTVKMKVLGIILDGPAYSAGVRQGDELLSVNGVDVRGMSAFDVSSLLQGPHETFVTIEVKHGNCGPIQSMKVERQLVARSPVFYRLEKFDDGDISVGYIHIKEFNALAKKDLVIALKRLQTAGASYFILDLKDNLGGLVQAGIEVSKLFLNKGETVIHTVGRDPQVQKSIVAESSPFITSPLIVLVNNRTASASEIVATALHDNCKAVLVGERTFGKGLIQSVYELDDGSGVVVTIGKYVTPNYKDINGDGIEPDFRRLPALNEVTNYLSQCHTQDKS >Ma06_p28910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30460422:30473132:-1 gene:Ma06_g28910 transcript:Ma06_t28910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MRSISSCSLPLPPSAPRTPPRTQPLSMASSPYSINCTLGIKSRKQQVDDPGMGISRCFQNILLRAVAGALSFSLAASLSLFDAAAVEIPSLVDLPPPTSDLCREDDGEMASTDVLPESITNEGLVEEAWEVVNESFLPDAGGRSWSPEKWMQKKQDILDSKIQTRSRAHDVIKKMLASLGDPYTRFLSPAEFSKMAKYDVTGIGINLREVPDDKGTVKMKVLGIILDGPAYSAGVRQGDELLSVNGVDVRGMSAFDVSSLLQGPHETFVTIEVKHGNCGPIQSMKVERQLVARSPVFYRLEKFDDGDISVGYIHIKEFNALAKKDLVIALKRLQTAGASYFILDLKDNLGGLVQAGIEVSKLFLNKGETVIHTVGRDPQVQKSIVAESSPFITSPLIVLVNNRTASASEIVATALHDNCKAVLVGERTFGKGLIQSVYELDDGSGVVVTIGKYVTPNYKDINGDGIEPDFRRLPALNEVTNYLSQCHTQDKS >Ma06_p28910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30461318:30473132:-1 gene:Ma06_g28910 transcript:Ma06_t28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MRSISSCSLPLPPSAPRTPPRTQPLSMASSPYSINCTLGIKSRKQQVDDPGMGISRCFQNILLRAVAGALSFSLAASLSLFDAAAVEIPSLVDLPPPTSDLCREDDGEMASTDVLPESITNEGLVEEAWEVVNESFLPDAGGRSWSPEKWMFSKMAKYDVTGIGINLREVPDDKGTVKMKVLGIILDGPAYSAGVRQGDELLSVNGVDVRGMSAFDVSSLLQGPHETFVTIEVKHGNCGPIQSMKVERQLVARSPVFYRLEKFDDGDISVGYIHIKEFNALAKKDLVIALKRLQTAGASYFILDLKDNLGGLVQAGIEVSKLFLNKGETVIHTVGRDPQVQKSIVAESSPFITSPLIVLVNNRTASASEIVATALHDNCKAVLVGERTFGKGLIQSVYELDDGSGVVVTIGKYVTPNYKDINGDGIEPDFRRLPALNEVTNYLSQCHTQDKS >Ma05_p27620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38828250:38830189:-1 gene:Ma05_g27620 transcript:Ma05_t27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQDVKLFNRWSFDDVEVSDISLADYIAVTPPKHATYLPHTAGRYSVKRFRKA >Ma08_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32320862:32322489:-1 gene:Ma08_g18730 transcript:Ma08_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSGRPEPEALSSDSTDSLRAQLRSVSQRLDEVQKEVRKSKGELGADTRQGSSFVPEIQDQIVPTSFRLPSLDAYDGATNPSDHVATFCAQMSLYGTSNVLMCKAFPMTLKGLAHAWYSGLKTGSITSFVQLVKDFELNFLAYTRPKASVALLLNLNQRKDESLSHFVNRFTTHIRGLPDAHPSLLMQAFMIGLWPSRFFWSLVERPPTAIPEMLQRVNQFIVAEVWMTGKREDHKRVRAEPSHGQHWHGTEECRELKRQIEELIRKGHLGRYLQQDKGLSPQPEGLVEHQINMITGGPAAGGNSMSGRKAYAQATTAEATRRRPDPEVTFPAEGAGRSEHNDALVITVRIANAQVRRIMIDTGSSADVLYLDAFQKLGLAREALVPMTSTLIGFIGDSISPLGAVTLLLTLGAPPRSKTVMSTFLVVDLPTAYNAIFGHPTLNKVRAVVSTYHHRPMPGLAKLGEALESLSGAT >Ma07_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11475166:11476004:1 gene:Ma07_g15280 transcript:Ma07_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAANNAYSWWWASHIRTKQSKWLDSNLQGQMVRVLVSSRTGMYRLYRAVHIEIKNPEF >Ma04_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18646825:18650389:1 gene:Ma04_g17700 transcript:Ma04_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGTDVSMVPAGEGSSGAQAPSSSSVAASSSSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Ma03_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9313790:9314606:1 gene:Ma03_g12060 transcript:Ma03_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFRHSRSSSYFTSSISIDVGIFFAALGGIAGRQLHHSSNFLSLPHLFPPLVRTNGNRAYVIDTLALVRRLEAQQVPSKQAEAFTSVITVVWRMLPGPSLEAEDAEELRGVDEVRLSRVGLESYEERCHRGEKLDEVSRTVVAHL >Ma05_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:327713:328057:1 gene:Ma05_g00560 transcript:Ma05_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAPAGILLVLAFALVVVEPTRAFTCIQVEACLTPCLTYLTGQQPAPTPACCDGVRRLENMGITPAERQFACNCVKHAAAHFPNLKDDAVSDLPRLCATPLPFPISLEFDCSK >Ma06_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21468127:21468291:1 gene:Ma06_g23470 transcript:Ma06_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWRPTRTSPSILLGFSASARCPSVTDKLLNRMFDVMLMYVRVFWYVHALYSM >Ma11_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3857338:3860333:1 gene:Ma11_g04990 transcript:Ma11_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPCLATERKHWWLINRKVVDKNLREARALITTQEQSNVSTAVGLLDAALALSPRLEAALELKARSLLFLRRFREVADMLQDYIPSYKGGGGGGGGGGGDDGSTSSLGAGDHSLTASSAPLPRERANLLSPGRERSDGDRSFRCFSVSDLKRRLLAGLSRSSYREGEWRYLVLGHACCHLGMMEDAMVLLQTSRRLASAASRRESVCWSDDSFGPSAGEDGCSAAPPFSDSESASQLLAHVKLILRRRAAAVAALDASLPAEAVRHFTKVLDTRRGLPGSFAAGCLVGRAAAYRATGRLADAIADCNRALAVDPSIPALRARADLLEAVGALPDCLHDLEHLKLLYDAILRDRKLPGPPWRPHHDIRYGDIPANLHTLAARIQKLRGRIAAGEGNNVDYYSLIGVPKGCRRPELERAHLLLTLKHKPEKAVGFVDRLEFADDHRDLDAIRDQARMSASILYRMMQKGYASIMAAVMEAEATEKQRAKVAAANAAIQASTVKTAEKVNAARKESVLGSDKAAVAASAAAAAMLQSVFCRDMAVVGSMLSHRAMPVKYEALSC >Ma11_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23800264:23807425:1 gene:Ma11_g18780 transcript:Ma11_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGKDQTESALPASSVDRDAGDGYARFRSVGRIVRPRCVAALVLGAAMLLSVLFWLPPFLRHHGARRGHRRDPRFAADIVASFKLQKPVAELNANIVKLQLDIFEEIGVPNSSVAIISLEPLGGSNWTNVVFGVRPYPKNSTISSTGLSILRSSFMSLVIQQSTLHLTRSLFGNSSLFEVQKFPGGITIIPLQHVFLLQKVHVLFSFKLNSPIYQVENKLRELKDQMKFGLLLNPNENLYVKLTNLEGSTIAPPTIVHTYIVLAVGHHQPSLPRLKQLAQNIRNSSEANLGLNRTVFGKVKQIRLSSFLQHSLTNGHSNGSPSPAPEPHPVHHDHHLHHHHHHHSHHHGPHVAHAPTGSNYQTQAPSGCRYGFSSKPYTKDHLAPASAPVTGMKQSAAPAPAPASQHSTALTKTPLSSAAPSSTTKNLLAPHGNQNPPTPASHMPPVSPSPVAQSPSESSRNNKSPDKAPPNLLASYSSSVSGKISLNWVCAVLFVLVGL >Ma03_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11502743:11504116:1 gene:Ma03_g14330 transcript:Ma03_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEEGKEYHHHNSSSSSSCNNNDRGARAVVKGKRTKRRRVHLPPASALAGPTTSSASSAEFSSSITEEDEDMANCLVLLSRGRNVDPKPESAAEEGIDRGGGTEKCTSLGSAEAAVATTDAKAGACVYECKTCYKCFPSFQALGGHRASHKKPRMMVPAAAEDDGLQISVNSFSKPLVAPNDGNSISGGSNKSRVHECSICGSEFSSGQALGGHMRRHRPLLTTKESPELKKESCVLSLDLNLPAPSDGDHEELLILPPAMTAFPFEGEQPLVFSATPLVDCHY >Ma04_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15311771:15315452:-1 gene:Ma04_g16360 transcript:Ma04_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGKERAKARREQRLQEISRLRKLPYSSVDRWWSSETVAVVTGANRGIGYEIARQLAVHGLRVIVTARDADRGRAAADGLRGEGLNVEFRQLDVSRPESVESFAKWIAEKYGGLDILVNNAGVNFNTGADNSVEFAEEVIATNYFGTKRMIEIFISMMCPSTSGARILNVSSRLGRVNGRRNRVGDMTLREQLLKDDCLSEELIDDMVTNFLCQVKEGTWASNDWPQMYTDYSVSKLAVNLFTRLMARRLADRPEGHKIYINCYCPGWVKTAMTGWAGNTSAEEGADTGVWVALLPCQLLTTGNFFAERREISF >Ma05_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29905230:29931257:-1 gene:Ma05_g19890 transcript:Ma05_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGMNYIEDHAAAEVLSDQLHSPDSPCRNGIYDEPLIRPRLGDQHQVQIPELATQSCLISTRNMLTVDYHVGVGSAIPIMWMHHVGDVTEDEQKEFSCSDISSIKGGSVAHTNTGKSQADPGYKTMSQFPAESSSGHSTYLLSLACTDECTDLANCCGSDGSTTTKSIPLENKIHSGAPLLQHNEAKGYNPSPGMPSSSWSEDESQSFLLGLYIFGKNLVQVKNFVGCKKMGDILSYYYGKFYRSDAYRRWSECRKVRSRRCILGHRIFTGWRQQEILSRVLPKIPKEVQDSLLEAANIFNEDRTSLEEFVCTLKTTVGMQNLVEAIGIGKEKNDLTGIILDPVRSNQSLSSRPEIPVGKACSSLTSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDINSVASKHSLVFLIPGVKKFSRKKLLKGNHYFDSVSDVLSKVASDPRLLELDTEGATGCSTAKDENECAPSTNLDQNGLLDRKHHCYLRPKVPICNSEFMKFTIVDTSLVQGEGPFKVRELKTLPIDAISNLGPLTDTGVIVSDSSEDSNGSSSNDQGDTDPDSSDNKKPNVSRKCIIGKAVHPDSRENVITFSSTKLPTNGHILMNQCVEQLNEKLPVKDIKCQFSRRAKSGEQSCLAPNAKRRKLTACKYERTGRRAYSFPKSHQLMGEGAEPELEAQEASSNTITQGKISSGFPINISLDEVDSKCDSEEQSCCDAATFDVSSEMPRSRTLIDLNLLPNVPLDYETGEHSNSEVGGSKHDLNFEEAVKLSETKEQHDGSGAMENLVGAIGDRQPSVNTRRHSTRSRPPTTKALEALACGFLGNKRRGRDSRDILSGNMPNKSSRRVRKTVEAKPQTPSTSINSSEYGLSNGTIDDWYSGNTHHIGALSDSCVQPEGNRTHELLGIP >Ma02_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17005586:17012649:-1 gene:Ma02_g05830 transcript:Ma02_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELGIQSSYPNGAGDSQANGGLASAAAAEKKKARDSERRRRRRKQKKNNKRSSAPAEEAGDESDGFEGGAEGDAKENSDPRPQVEVSVEVEYVPEKADVEESFLEDFKSVLEKFSFQDAASAEDDDKKDEAAGNAAAKKKGDSDSEEEEQEAQQKEKGLSNKKKKLQRRMKIADLKQICSRPDVVEVWDATAADPKILVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTLGDLYFEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYHPGGWGKPPVDEYGRPLYGDVFGVQQQEQLNYEEEPVDRSKHWGDLEEEEEEEEMEEEEEEEEEMEEEELEAGMQSVDSLSSTPTGVETPDVIDLRKQQRKEPEKPLYQVLEEKEERIAPGTLLGTGHTYVLGAQEKMGAKRVDLLRGQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAEQAANKRKRKEKEGKSKKKDFKF >Ma02_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28300910:28302951:1 gene:Ma02_g23190 transcript:Ma02_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGYRDPLQFQSNLDQGHAGKQQLMLNNATVFTDPQSELTCNASGSRKRAREESMPSPLLAPQFRGPNSATASLNPVGDKNICGILPQYRLLESSATSTSDRFVSASQAVSPLFRDLISLIYQQTLEMDALVRLQNERLRTGLEEARKRHCRVFLSAMEQQVTKRLMEKEAELERAVRRNAELEEKVRQMSEENQIWFSMAKNNEAAVSSLKASLGHALLQNAAVAAREGYGDSKSTPLAADDAHSCCFEVEEDDTRWRMTCRACRGTDACFLLLPCRHVSLCKDCEPEADACPVCGSAKNACVQVRFGSS >Ma10_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4070688:4111604:1 gene:Ma10_g01150 transcript:Ma10_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIARYKIQDYGDSSQTKFWSLYRWRTSALNMDTEGDHDVLDHKLKSGGRYYSAKFTMLERNRAFKNTKFVNRAMSSVIPGDFVPLLDSHSSSENVPSKNEELEESWDDEVIQRTRDFNKMSRDCPHDEKVWLDFAEFQDKIASTQPQKAARMQMLEKKISILEKAVELNPNSEELLLCLLKSYQGRDSIDTYIEKWERILIQHSESCKLWKEFLLVCQGDFSRFKVSKIRRTYAHAIQALSSACDKHRRQEFASLQSGDSSLVQLELGLVDIFVSYCRFEWQAGYQELATGLFQAELEYSLFCPSLTLSSHSKQRLFDHFWKSGVARVGEDGALGWSSWLEKDEQNRQNTTSEDSTAETEVGGWSGWFELSSKKNVPSKDPIVSVDLPIDNENTEQNLESKENLDTEEIPPGDDIEALLKKLGIDIDSEPNSEVKDTETWNKWSKEELLRDSEQWMPIHEDSGEIGKSVSLHSDDNLDDDHNEQLSRVILFEDVSDYLFSLSSKEAHLSLVFQFVDFFGGKVSQWVSTNKSSWIEKVLSLETVPNIILDDLRTVFQLVNKRQDPQSHFVLEPLLSHASISLGTSTMKFLRNAILLFLDIFPRNHMLEEALLFAECLFVSEMKSSSCSINPSRVLAKSLLKKDRQDLLLCGVYAQSEATYGNIDMARKIFDMALLSTDALPVILRARQGFKEQIRSLRNLWARGSIGEHSIAFVCAACLFETLTNDYCTGIQVIEEAFSMVLPERRSQSLQLESLWVYYIKVLERHLKQFKFSRIWKTTLQGYQIYPYNPKSFRAMLQVNYHYSVSSKVRHLFDECCQRYPSVIMFLFALSFEIGGAGSEHRIHSLFEKALANDKLQKSVLLWRCYLEYEANISRNPSAARRIFFRAIHACPWSKRLWLDGFQKLSSILSAKELSDLQEVMRDKELNLRTDIYEILLQDEVPA >Ma05_p27540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38802994:38808248:-1 gene:Ma05_g27540 transcript:Ma05_t27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGEGSRWCFCSGGGRSERVKGGIFCSKGPALAAIYAAGGGSGGGVGTGFLIHRNLLLTTHANLPSAAATEAAEIRLCHDRLPARLAPQRFFITSSVLDLTIVGLDILDSDSPSEVQQPRYLKTCFNPNIDLGNVVYLLGHTDKKELAVGEGKVVIATDNLIKLFIDGVAWCPGSAGFDVHGNLAFMVCDPMKLASSPTGRSASSSSSLSWKKDTSMQFGIPIPVICDWLHQHWEGSLDEVSKPKLSKIRSMPTGQKSGNSCASFTLRRVFKAFEEVNDNILSSSPVNRRSKFQLGSSCSANSNAMFSHDENMAIDLSCTHEQGIPTPEIFESPKLISGPLQKKQYAPIQLLDINFPPRAPRSIILPPPLKQVLSDEDNVRGSEPGNVLREYDLSPKDVPQASREGKYKLPPIGTWQEDRCSVQSSSSPLEISELQHEGNGFGSEEDTMYSAETMESRNIPTPKETNLQQVGRSQSCVNYSRWTSTRRMPTAQRSASQKQRALTPACKTQSQTSALPQRSHDFHSSKVSSNMKRNSLENPRRPRRSTVQKPQRWMF >Ma07_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4647263:4649383:1 gene:Ma07_g06460 transcript:Ma07_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTCLLCVALLVVAMATGGRAVGVNWGKMATHRLPPKKVLKMLVENGFDKVKLFDADSDMLEALTGTDIEVMVGIPNYMLEEVSIDQQRAADWVDENVTSWRYTGGVNIKYVAVGNEPLLRAYGGNYSRPTLRALKKIQKALDDSGLGSHVKATVPFNADIYTSPGPHPVPSAGHFQPETRETVLKILAVLSENNAPFVVNIHPFLSAYGDKYFPVDFAFFGVAKTRVSDGEAVYTNVLDASFDTLVWSLRKAGYPDMPIIIGEIGWPTDGDKNANVKMAKEFNQGLLRHVTGGKGTPARNGSMEVYLFSLMDEDDKSIAPGPFERHWGIFEFDGKPKYGLDLSGHGGDTNLVNVEGVDYLPRRWCILDPAATDLTDLNDSVNYACSFSDCTSMGYGSSCNHLDLHGNASYAFNMYYQVKNQEAGACVFSDLAVVIDRDPSDDKCRFPVMIAYGSLAAAQGKTIDLVVAVMGGMATLLFLLLREARVA >Ma09_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:365031:370158:1 gene:Ma09_g00460 transcript:Ma09_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVVLIALVIYQLMCLADLEFDYINPYDSASRINKVIYPEFALQGALCLLFLLSGNWLMFLLCVPNLYYNVRLYQRRQHLIDVTEIFNQLNREKKRRLFKLVTLVILLFLTLFWMIWSILEDDE >Ma10_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11666128:11667479:1 gene:Ma10_g03160 transcript:Ma10_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATDCLSLRAPCLLPQISHGSGVSPHHPSPCLNKLQRDSVPTRSSSSSPSSSRISPAVLFVIVILAVIFFVSGLLHLILRFVIRKRHAAPSSRPAAAAADLPGSDALQRQLQQLFHLHDCGLDQALIDALPVFLYRDIVGSKEPFDCAVCLCEFDGTDELRLLPVCGHAFHLACIDTWLLSNSTCPLCRGSLFLPGLMADSPVFDLGYLREEREIPGERAAAQGDDIVTDKKVFPVRLGKFKNLVTDNDVGGGEGHGNEDSGITVCNVRREEGETSSSNLDARRCFSMGSYQYVVADANLQVAFKSCSATRNGDARGVRGRDARGNCTGNESVEGKRLSIGGRRESFSVSKIWLWSNKKGKAPISSDVTAFDANLPWKAMAAGD >Ma02_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29499206:29501679:1 gene:Ma02_g25150 transcript:Ma02_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLVRELRDGIGSMSRRGGGAMEGMMTAHSRGCAPHPQELEEQQQQGRWANLPPELLLDVIQRVEASEVAWPERRHVLACAAVCRSWRNITKEVVRSPEQCGQITFPFPLKQPGPRDHPIQCFIRRESDVDLLLVPGSDPLSNFLATKFTVYDSEPPFDAAVSSSNRSNRRIHSRQVSSRVPAGNYNIATISYELNILRTRGPRRMQCMMHSIPISSIQEGGSVPAPSSFIHSVDQRLSSLPVVKGKEPVIGFSSTSLNESNAPVQINVESLILKNKAPRWHEQLQCWCLNFRG >Ma04_p30970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31438996:31442101:-1 gene:Ma04_g30970 transcript:Ma04_t30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIAGARKALANRSISSAPFSVAATLRASPSSSPSVRAFARLPRGRLPFGISRSPVELGCAQSLMPFHSVTATALLTSMLSTRPGCWAWLSEGFATPL >Ma10_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3645261:3711381:1 gene:Ma10_g00830 transcript:Ma10_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLHRLFVTSSSRMNLSYPLRLPPMMLQYRLGRNFPSTCLPNSLLVNNKNNGRHAHLMVKTVKFNLSRLEAVKVAIRLPQQIKLGNCSSPITGSNFTCRTLGADNCSRMNYFAGELVTGRLFSSNSRKIIQYRASPKHIDKTQVLEKKNIGDGDSAPNVLRGLKKKEKKSTCNGISRKTNTTASKPPKRGSVTDRKTNNMISNTAEHEKKFVKVVKKKKEPAKRSSNDKDAKAPEKNQKDSSKKKEQLSGTATTIKGSKRRSGKKLLSVMARPSEAKVTHQKPSTGGVESQRMQFKPLYPPTGKSVVVVESATKAKVIQNYLGNMYEVLPSYGHVRDLAARSKSVRPDDDFSMVWEVPDAAWTHLKSIEAALKGAENLILASDPDREGEAIAWHITEMLHQQDALNGNIIIVRVVFHEITESSIRNALQDPREIDMNLVNAYLARRVLDYLIGFSISPLLWRKLPGCQSAGRVQSAALALICDREMEIEQFKEQEYWTVVVEFQDANLLNINTSIPSHLTHLNSKKLDQLSIGSHAEAEAVEKQVLSSKFAVKNIKTSKVHRNPPMPYITSNLQQDSANKLHFTASYTMKLAQKLYEGVKLSNEEATGLITYMRTDGLHVCNEAAEDIHSLIKERYGEEYASKSIRKSFQKVKNAQEAHEAIRPTSIRRLPSSLVGILDGDSLKLYTLIWSRTMACQMEAATTDMIQADIENSQGDMIFRSVGSRLAFLGYQAVYEDKEAQLNENNKEEDKNEASFEAFRKLKVKDSLDLVKVHLLQHYTKPPPRYSEGALVKKLEELGIGRPSTYASIMKVLQDRNYISMKSRILYPEFRGRMVSAFLSHHFSEVADYSFTADMENELDNVCAGTTEWKGLLKDYWSRFSKYCDLAGKCDARQVEKMLEETFGHILFASLRSRECPSCSEGTLKFKVSRFGAGYFIGCNQHPRCKYIASTLFSDNDEVGTTDNSEKSFPIPSKLLGLNPGSSEKIYLKKGPYGYYVQLGEDRQGFVPKRASLSEVKDVEALTLEDAIQLLQYPITLGNHPEDNHPVVLTYSKFGYSIRHRRTIAPVPKRLDPKEITLEVGLKLLVSKTAKQFGRRKGKSKTEEALWE >Ma10_p29050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35734424:35737473:1 gene:Ma10_g29050 transcript:Ma10_t29050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSVQGDPDSAARWRLGMASKAKRFFVPSPAKEKAMKGENPVARFDLKSQDFGSKEEIFFDSRAWLDSDCEDDFFSVNGEFTPSRGSTPNHQLSSPLTHQFDSRFVFEKFSDFKSEPSPTGKKKLSELLHETSDSEQIDVPDSAEESVDINAKLDEYKTKTDRPVNSSSATPFRSGVSSICSSEATPRRDLKGQKEKTWKTSHCCLPSLQRFGLDDRRQKMSPGPCTA >Ma10_p29050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35734424:35737473:1 gene:Ma10_g29050 transcript:Ma10_t29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSVQGDPDSAARWRLGMASKAKRFFVPSPAKEKAMKGENPVARFDLKSQDFAGSKEEIFFDSRAWLDSDCEDDFFSVNGEFTPSRGSTPNHQLSSPLTHQFDSRFVFEKFSDFKSEPSPTGKKKLSELLHETSDSEQIDVPDSAEESVDINAKLDEYKTKTDRPVNSSSATPFRSGVSSICSSEATPRRDLKGQKEKTWKTSHCCLPSLQRFGLDDRRQKMSPGPCTA >Ma10_p29050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35734436:35737473:1 gene:Ma10_g29050 transcript:Ma10_t29050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSVQGDPDSAARWRLGMASKAKRFFVPSPAKEKAMKGENPVARFDLKSQDFAGSKEEIFFDSRAWLDSDCEDDFFSVNGEFTPSRGSTPNHQLSSPLTHQFDSRFVFEKFSDFKSEPSPTGKKKLSELLHETSDSEQIDVPDSAEESVDINAKLDEYKTKTDRPVNSSSATPFRSGVSSICSSEATPRRDLKGQKEKTWKTSHCCLPSLQRFGLDDRRQKMSPGPCTA >Ma07_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4639848:4644358:-1 gene:Ma07_g06450 transcript:Ma07_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGSYGVGTGNSGAYMTEIAEPIRFDEEIRSLIQARFDAPPVSGGSFTALLGLSANQAVGLLHEPGAGEVSASAAASSPTGLGHPLGWSPTFPSNAGLAERAASFPVFAAADSPASSSGGGPSPQLKAEPSDSDSSPPLPVPIDKPRRPAKRKDCEKQKAKGPAKKLKTAEEHTEDDQLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCSKITGTALVLDEIINHVQSLQRQVEFLSMRLAAVTPRIDFGGLDSFLMAECGPLAASNGRGEVALDPVSWSSDPAPGTGQRTDLRPSQQSSTAWVYPYGLINHGTSLFGYGAANSVPLNSNQSKTDL >Ma01_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8332617:8333844:-1 gene:Ma01_g11520 transcript:Ma01_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSAQAVDPLAAAQIGGAIAVEKRFRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAAIALRGPKAKTNFPSPPSPFPPAALPAAAAHFSFHHRQQHYPQPPPPPQRPTSSSHSSTVESFSGPRLPSAAAPIRLRPPILKRQANPPPPPRVLNGDDDCHSDCGSSSSVIDDDGDVVLMCRPPLPFDLNLLPLPDDDLHDTVLRL >Ma01_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10892913:10895625:-1 gene:Ma01_g14980 transcript:Ma01_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MSSKSAAYDLLPRAQNLVRLLQSCISDRTHRRRLPPIHAQSVVAGVESDLFLNNLLLNGYSKAGRLREARQLFDRMPRTNLISWSTMISMCAQHGREEEAIALFSRFRRSSFGCPNEFILASVLRACVQLRADSFACQVQDLVVKTGFCSDVFVGTALINFYAKIGCMDEAMLIFDELPVRNSVTWTAVITGYSQVGKSWISLELFDEMRESGVEPDRFVLSSVISACSAEDFLEGGRQIHGHLYRGGTDMDISINNVLIDLYCKCDRVRTARGLFDRMCVRNLVSWTTMIAGYMQNSLDSEAIDLFSEMTQLGWQADRFACTSVLSSCGSLMALLQGKQVHGYAIKANLDADEYVKNGLLDMYAKCNSLDCARVVFDVIFEHNVISFNAMIEGYARHEKLVEAVSLFNRMRSGTLNPTLLTFVSLLGVSASSSAVDLSKQFHSLMIKFGAALDLFAGSALVDVYSKCSFVDDARAIFDEMENKDLVVWNAMIYGYTQNGQGEEALKLFHQLQVSSLTPTEFTFVALVTVASNLASLFHGLQFHSLIIKAGVDLDPHVSNALVDMYAKCGCIIEAWRLFDSTRGRDVVCWNSMISRYAQHGQAEEALKIFQLMHDEEIEPNYVTFVGVLSACSHAGLVEEGLHHFYSMRDKYGVVPGIEHYASVVSLLGRAGKVLEAKEFIEQMPIEPAAIIWRSLLSACQVSGDVELGKYAAEMAISANPKDSGPYVLLSNIFASKGMWVDAEKVRKGMDCSLASKEPGYSWIEVMKEVHVFIARGREHRQADLIYFMLDGLTKLIKDVGYVPDITLLSTNDGND >Ma03_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7503038:7504030:-1 gene:Ma03_g10060 transcript:Ma03_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLVVWLVALCFASRAAAKLSTTYYQKTCPEVEQIVLDVVTSKQITTPTTGAGALRLFFHDCFVGGCDASLLVSTNAFNRAERDADDNISLPGDAFDAVVRAKTALELQCPGVVSCADILAIATRDLVLMLGGPRYAVRLGRKDAFASTAASVAGHLPSPNMTMDQLISLFEKNKFTVQEMVALSGAHTVGFAHCSEFASRIYGFNGADRDAHDPSLNPQFAQALQKACANYVEDPTIAAFNDVMTPGKFDNMYYQNLLRGLGLLASDTALAADPRTKSFVQLYAANQTAFFEDFSHAMEKLSVHGVKTGRKGEIRHRCDVFNNLST >Ma00_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45908181:45908603:1 gene:Ma00_g05310 transcript:Ma00_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIGSLATASRKPRPRGSSTASASATAASSGGDRVHRCSVCLKTFPLGKALGAQEVPQRRERRQRQYLGGDDVVRGGKLEAQGVLSEPAKVAGLGVQRSQGLGGGGGEGGGGGPEPADFQEIETSHPSLSESTSLNVPAQ >Ma11_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27040255:27042900:1 gene:Ma11_g23860 transcript:Ma11_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAVQSPRNKGKKIVWSSDDEEEMPAANPPRSPAKDIAGPSKKVCEAGRGRYALIHHFIGMGFSGDSVMKAVEENGESDPNAILETLLTYAAIEKSPARLHRPPGDGNSSGHDLSDEVDFSDEVNNFASLFDLRLQQDAHCTPIDSTEDDSEDKLITLVEMGFPSSEASAAIERCGPNASILELADSIHAAEVAKTFECDPEEPYGVNDEPESHQHSRARGKKRKHAEIRSKKKRSSTGDQSSMISASKRMVGFGLPNEATTATCRRLLPEAAAGPPYFYYENDASAPKEVWATISHLLYDVEPEFVDSKYFCAVSRKRGYVHNLPIQNRFPVMPMAPKTIQEALPATTTWWPSWDTRTHLNCFRTSTASARLTDKIRIELQKFGDPPPSRTQRYVLDECRRRNLVWVGRHKVAPLEPDEIEMLLGFPKDHTRGGGITTTERYRSLGNSFQVDTVAYHLSVLKDMFPNGVTVLSLFSGIGGAEVALHRLGIHLKTVVSLENSQQNRNILKSWWDETNQTGTLIELPDVRQLDRERLELLIARFGGFDLVIGGSPCRNLAGSDRHRRDGLQGDNSSSLFYEYFRILELVRCIMGKKKV >Ma07_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:61694:66432:1 gene:Ma07_g00040 transcript:Ma07_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYSEIGKKARDLLYKDYQTDHKFTVTTCTANGVAITASGTRKNDIIFGEIQSQIKNNNITFDVKTNSDSNVTTTVTINELATPGLKTIFSFVIPDQRSGKVELHYLHDYAGVNASIGLTANPVVNFSGVVGSKTVSVGADVAFDTATGNFIKYNASLSITNADLIAALALNNKGDSLSASYYHLVNPLSSTAVGAELTHSFSSNENTLAFGTQHALDPLTTIKARFNNYGKASALIQHEWKPKSFFTISGEVDTKAIEKSSKIGLSLVLKP >Ma08_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:13066849:13069296:-1 gene:Ma08_g14580 transcript:Ma08_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVAAAVSGGGLGYADSVDSSPRSRGGDSWDEPPFPSAAAGRLRLMCSYGGRIVPRPTDKTLCYLGGETRIVVVDRHSSLSDLSAKLSRTHLRGRPFSLKYQLPNEDLDSLISVATDEDLENMIDEYDRILTAASTGTSGGSNRSSRLRLFLFPSKPESSPTSSIGSLLDDSKSETWFVDALNSTMGGMGIDDLPRGLSSDSTSVNCLLGLEDDSSIHSRGVAAVAAAPGIGGEGTHSELPEQLVLPRPDSSGKLARHVQDVHSVPDSPMLDTTSSFGSASSVPSLSNLPPIRVRPDDRPSDPRIAGLDDHFAHMNLSSGGGQRLGDDFKEPSYAPQLQPPPPIPFSASSASNLTISPTENPSRAFSSDDDKSDQGGIRKPQQPPKPTGIEAPNSDLGSRTAYVSGTEPKRELPVSSDPSYRIPIPVIDAAGYQLPSIQPEQFHEQQLHPQLHRHQQHQYIPANPHYIHHPATGGVIPVPSYFPVAAHAIQQPPQAHPYDPQMPVYYYPVRQPPSYNLAAVQPGMGDPNLISSGGKPAMPANSELPANLYRTAAPSPAATLQSQVIHVAADQARSFAAGMGYHVVPQQQQQQHISHSPATMSNYGYEFAADHTRPQMYYSKATPVPLSSGVVIADATATADAKATRAT >Ma08_p26490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39044910:39045920:1 gene:Ma08_g26490 transcript:Ma08_t26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRDTHVVEIPAAAGAEPRRHSDGDDNALAVIQNHPLTQISESPGHLLLLKLWQREEDLHGRRAAALEARMDGAKREAFHLSCLFLAFHGLSLTLLFAASVAGEACRDWWVPSCLSLLTSLVLVGAVHFRVRAYWGLSRLLQRERADGRALARCVQELRMRGASFDLSKEPQTSKRMKSSSVEVKWRPLQWCSQNLVTICLLCVAGIVFPACRFILCG >Ma05_p28640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39586179:39587123:-1 gene:Ma05_g28640 transcript:Ma05_t28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFCSSFAGPLFTGRPEEVATPTARHCGSIGACVGFLLMMLLRRCGEPRASAGPCRWWRSSGTS >Ma05_p27410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38718518:38726865:-1 gene:Ma05_g27410 transcript:Ma05_t27410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKSMDEVMEAAAGAHFSGLRLDSLRLSSPSTPSSPSSARASQVLSPESASSAAAPRQPFLIGVCGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTGEESKHVQDYNFDHPDAFDTEQLLECMGKLKSGHSVNVPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDPQVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGLHDLCKIYNNVYVIQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLGTGNSANQAIELLIQKGVPESWIIFLNLISAPEGIHCVCKRFPSVKIVTSEIDVALNEDFRVIPGLGEFGDRYFGTDN >Ma05_p27410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38718518:38726867:-1 gene:Ma05_g27410 transcript:Ma05_t27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKSMDEVMEAAAGAHFSGLRLDSLRLSSPSTPSSPSSARASQVLSPESASSAAAPRQPFLIGVCGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTGEESKHVQDYNFDHPDAFDTEQLLECMGKLKSGHSVNVPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDPQVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLEQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLGTGNSANQAIELLIQKGVPESWIIFLNLISAPEGIHCVCKRFPSVKIVTSEIDVALNEDFRVIPGLGEFGDRYFGTDN >Ma09_p07800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5118455:5124956:1 gene:Ma09_g07800 transcript:Ma09_t07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAMKCRLSVTLLQMLIWFLLNNISYGTVSDIQCLELIHKSLKDPENSLTYSWNFDNKSEGAICRFAGVECWHPDENRVLNLRLSNMGLQGEFPSGLENCTSLTGLDLSSNSLSGPIPADISRKIPFVTALDLSFNGFSGEIPVNLSDCSYLNSLKLQHNKLTGQIPGQLIRLARLIDFDVSDNQLSGPIPILNTKFPQSSFSNNLNLCGDILNVDCTGTSKKTNTGVIIGSAVGGVVITIIVVAVVLYFCMRKMPVKKKEKKDTVIEENKWAKSIKGVKHAKVSMFEKSVSKMQLIDLMKATNDFDNENIIGTGRTGTMYKATLPDGTFLAIKRLQDSQQSENQFVSEMATLGNVRHQNLVPLLGYCSAKKERLLVYKYMAKGTLYDQLHGSGAQGGSMEWPTRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTQVSNASESFRGSLVEWITFLSNNSLLQDAIDKSLIGKDYDSELLQFMKVACVCALSGPKERPTMFEVYQFLRAIGERYHFTTDDGILMMPSESTDAENLDELIVAK >Ma09_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5118408:5124956:1 gene:Ma09_g07800 transcript:Ma09_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAMKCRLSVTLLQMLIWFLLNNISYGTVSDIQCLELIHKSLKDPENSLTYSWNFDNKSEGAICRFAGVECWHPDENRVLNLRLSNMGLQGEFPSGLENCTSLTGLDLSSNSLSGPIPADISRKIPFVTALDLSFNGFSGEIPVNLSDCSYLNSLKLQHNKLTGQIPGQLIRLARLIDFDVSDNQLSGPIPILNTKFPQSSFSNNLNLCGDILNVDCTGTSKKTNTGVIIGSAVGGVVITIIVVAVVLYFCMRKMPVKKKEKKDTVIEENKWAKSIKGVKHAKVSMFEKSVSKMQLIDLMKATNDFDNENIIGTGRTGTMYKATLPDGTFLAIKRLQDSQQSENQFVSEMATLGNVRHQNLVPLLGYCSAKKERLLVYKYMAKGTLYDQLHGSGAQGGSMEWPTRLKISIGAAKGLAWLHHSCNPRILHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEKPTQVSNASESFRGSLVEWITFLSNNSLLQDAIDKSLIGKDYDSELLQFMKVACVCALSGPKERPTMFEVYQFLRAIGERYHFTTDDGILMMPSESTDAENLDELIVAK >Ma09_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6379751:6390208:1 gene:Ma09_g09700 transcript:Ma09_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGWLISLVPQSNSIPRTLLVVLLLYSFTSQFLPIEPYLVPYLTSVKNFTNYQVANSIFPVSVYAQLIFTLIMAPACYYLSHKLVITLGAFGLFLTYLMAWYGQSLIAMQIMQVIYGFGTSARLVFSSYIFLLVAEEEYQTMTSLTQTVSLLSFVLASELGQFLALKEAAYEIFFIISLTALGVCCTSTFLLPKDHSLSSLPSLAILWHPSEGWNAKLKETWNGQSLKILSLWWAVAFAGISLVQNYGTNLFDAIDPQSKFNGHILAVSEAAGSLGSYYAIYIDKFADRSRQLIYLLGSAFMGILCISMGVYANIWGAYVFYVTICAIYRTFACLVSVQCGRLLQNGQFILLFSINNFAGLLIETLLQAAVELSGLSIFSQFIAFSGLFFVATAIFICFSYIDNGRDTSNMYLISEPEPE >Ma03_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1053758:1054978:1 gene:Ma03_g01480 transcript:Ma03_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVHIYFVCDIIVIWLTFWLLARLAFCKYMVKPSTLLVLDEPTNHLDIQSKEVLEEAISEYQGTVITISHDCYFIRQIVNRVVEVKDETLQDYAGDYNYYLEKNLEAKEKELEREAEVEEKAPKPKAKSKMSKEEREVMKKQKMLAF >Ma02_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13993363:13995569:-1 gene:Ma02_g02700 transcript:Ma02_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYRHLLLLRSLRAAPDRCRPLLFGALSAPSRPFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPNAPRPPRDPNAPRLPDSTSALVGPRLNLHNRVQSLIRAGDLDAASSTARHAVFSSVRPTVFTCNAITASMLRAGRLDDVVALFSFFFNQSNIVPNVVSYNILINAHCNAGRVDVALDVYRHVLDNAPFSPSYVTYRHLTKGLVDADRIQEAIDLLREMLNRGHGADSIVYNTLMAGFIDRGNMEKALELFDELRERCLVYDGVVHSTLMEAYFKRGMDKEAMESYQSLLDRQFKMTPVTCNTLIETLLKHDKLAEANKMFENMLENHTPPSFTAINTDTYNLMVNQRFKEGKILEAIEVFHRQPKKPCIMDVSCFNNIIGKLCENGLLSEAEKLFEEMPEKSVNPDATTYQFLVDACFREGRTDDALEYFKKTIESSGGSPGFRVVVGFYNMMFEGLVKAGRTNQALETFGKMWERGLKPNRTSYEVLVTQLCKEGNLDGGRELLEQMLRSQINASPEFTAFVFDTFGNAGRSQEIQGLFAGNSGNVASQTEQVAVMN >Ma11_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2200011:2201801:1 gene:Ma11_g02990 transcript:Ma11_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSQTPPPEAHLLKKPKGAPQASSSPLHPLKSPGALPCSVPFRHRPVLVLSLLALQLLLLLSARFLHAPHLLLRRRSHSTHHPPAATLTDNPCPSGRIYVYDLPPVLNADLIAACNDLSPWASRCVALSNGGFGPPAADLAGVVPSSLLASWYSTDQFAAELIFHRRILNHRCRTADPSAAAAFYVPFYAGLAVGKHLWSSTSTSGDRDRDCAILLRWIKNQDPWKQSNGWDHFITLGRITWDFRRSREGDWGGSFLYMPGMENVTRLLIERNPWDGKDVGIPYPTGFHPRTVAEVREWQQFVLNRNRSTLFGFVGAARSGFKDDFRALLLGECGRAGKGRCRSVDCGGGRCGNRSAETLSLFLDSVFCLQPRGDSFTRRSMFDCMLAGAVPVLFWRRSAYVQYRWYLPGGDEEREGDWSVFIDRRDVRSGAVSVKKVLEKIGEERARRMREKVVEMIPKLLYSATEGGLGEGMQDAFDVAVEGVLRRFREKQLRLHREVDGRV >Ma10_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27181138:27183125:1 gene:Ma10_g14900 transcript:Ma10_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKNQRYLLGYDTAAGEDRGTQRPPNSSPGSEHPSTPQQLTIQAGFSYEELAVATNFFSDADFLGEGGFGCVYKGILRNGQEVAVKQLKPDSRQGDHEFQAEVQIISRLHHKHLVSLKGCCISGAKRLLVFEYVPNNTLEFHLHGRGQPPMDWPTRLRIALGSAKGLTYLHEDCQPKIIHRDIKAANILLDHNFEAKVADFGLAKFFLDTKTHISTRVIGTFGYLAPEYASTGRLTDKSDVFSFGVLLLELITGRPPIFLIRSIEESLVDWARPLLTQALNDGEYDAFVDPRLRKKYAHNEMGRMVACAAVCVRHSARRRPRMSQIVRALEGLVSIEDLSEGATPGHSTLSSYGQHYMDMRRPKNTLTSHYNADSEYSATTTSEYDMYSSGTASEGREKGSST >Ma01_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2395964:2399657:-1 gene:Ma01_g03610 transcript:Ma01_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEVDKSVATATLAVHAGDARLKTSFTDATFAGGPSLEGLVLSVEKPGAFILDYNVPNKDVRFQFMNSFKAVDKTVNLTYTHARAANRTALDGSLVFDPANKVSMNYAFGSGNCKVKYWYAHGELRRLVLEPCYDVSKNAWDFALTRKFEGGDSLKATYQTTTKNLGLEWNRDSKDSSFKISASFNLAEQQKYPKLMAESTWNYEI >Ma10_p26500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34167869:34169110:-1 gene:Ma10_g26500 transcript:Ma10_t26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKGSSSSSSSPSSSRWNPTKEQISVLEGLYKQGIRTPSAEQIQHITGKLREYGNIEGKNVFYWFQNHKARQRQKQKQESFAYFSRLLQRAPPPVLPPTASSLPPLPTCTDVGCSPYYVPVPQVGGVGYFHPQFPNVIFPAAAKRTDFQKMGMIQGRNQTPSRAGCRNTDESGHETLQLFPLHPTGILERRPGSTPTSASTEIESVEEDEGKEEVGGENQPLFNFFGGANKQC >Ma09_p30340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40499535:40500921:1 gene:Ma09_g30340 transcript:Ma09_t30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQLRSGADSFPSSVCMGRRSADLRCFAGFLFLL >Ma11_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25964971:25967929:1 gene:Ma11_g22060 transcript:Ma11_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDDNYCGELTVVILKSFVSEYPQWKQLALLHDLLIIYLSNEHLTMHVLEGRLSTLIHPNLVGVSYISSSFGDLDLCFCVCCATLDRWPPKKCDYGSCCWGLGSMLYLNLCNPILLSAVKAFSLLKLRIGGSLQEKVIFNTGNPQQSFLQDSRKLHPIFCSHIIFGLNALDGKVPLSDGSLGPWNNTNATSLIGIQTIRVAPSVVGSLAMNQVDLEMELQLVQTSMLQML >Ma02_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14276987:14278756:1 gene:Ma02_g02860 transcript:Ma02_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALAQLPSSAKRLEGKVAVITGGASGIGESTAKLFVRYGARVVIADIQDDKGRALCDLLGTADACYVHCDVTDESDMRNAVDTAVARFGKLDIMFSNAGTLREFGKGLADSDKAEFERVMAVNVVGAFLATKHAARVMAPARRGSIVITGSTASVLGGVVPLSYVCSKHAVVGLMKSAAAELGAHGVRVNCVSPHGVMTPLMMGVMGMDEAATEAFVERSANLKGVKATAEDVAEAVAYLGGDESRYVSGANLMVDGGYSVTKG >Ma11_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16454042:16457174:1 gene:Ma11_g12530 transcript:Ma11_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDVVCNNNEAIGFTNLFSLCDGTFAINGVTLLSKVPGNVTFSSFSTICPASDAPPSLIKQVKSRSSRGGFLGFSQPAVADRLVNSLGKFSSRNFLSIFRFKTWWSTMWVGSCGSDLQMETQWVLFQVPELSSYVLLLPLIEGRFRSAIHPGNDDHVLICAESGSSQVQADSFKAIAYIHVCDNPYTLMKEAYSAARVHLNTFRLREEKAVPPIVDKFGWCTWDAFYLTVDPVGVWHGVKDFVDGGLPPRFLIIDDGWQSVNLDGDNPLEDAKNLVFGGEQMTARLYRFEECERFRRYREGTLLSPEACSYDKNRPKMILCKAKELENAKKARDKAIRDGTTELSGFDRRIVELKKEIDQMLADREELPVDRSGSSSSTGLRAFIEDLKTKFKGLDDVYVWQALCGAWGGVRPGSTHLDSKLVPVNLSPGLAGTMDDLAVDKIIEGGIGLVRPDQAADLYDSMHSYLASTGVTGVKVDVIHTLEYVCEEHGGRVELAKAYYDGLSRSLVKNFNGTGIISSMQQSNDFFFLGTRQVAMGRTGDDFWFEDPHGDPMGIYWLQGAHMINNSYNSLWMGEFMQPDWDMFQTDHACAEFHAASRAICGGPVYVSDSLGCHDFNLLKKLVFPDGTIPRCQHHALPTRDCLFKNPLFDEKTILKIWNLNKFGGVVGAFNCQGAGWDPKEKRVKGYPHCYKPMAGAVHVTDVEWAQKKECGGMGEADEYAVYLHQAKELLLMTPSSDAIHFGLQPSSLELFNFVPARMIGGDCKFAAIGLVNMFNSVGTLLEVEAGEGEGGIAVRMKVKGGGELMAYSDRKPERSYVNGVEVGFEWGEDGKLRVDLAWEENNGGVSEVTFAYRFIGES >Ma10_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32507645:32508715:-1 gene:Ma10_g23720 transcript:Ma10_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIPGLTDDVARECLARVPFNAFPTLFSVCKLWRQELRDPRFHRLRKSTGNVQPVVVLVQSVSDFSRYTRPRPLPYRLVIFEPATGVWSSLPPCPGLPHGLPISCRLAATGTELVIIGGWKPPERATTDEVHVYDFVSGQWRRGSPVPSPLRSYFACAATHDFDEGCRTVYIAGGDDERKNALRSALAYDVAGDSWKPLPDMARERIGCHGVTLRGKFLVLSWLGAEAFDAAAGSWGPVEEAAGEEYYNCNTYVATEDGRMYRCLGREVMVQLEGGVWAKVAELPGEMRRARYTVAWEGKLMVMGLGHDFGFLASILDMKATTTRTTMAAATWKKVEVAPGYRGFVLGACCLVI >Ma01_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4830628:4844159:-1 gene:Ma01_g06730 transcript:Ma01_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIVGLLVALLASIILLKPNNVKKRGLPVTVGGEPGYAVRNYRFTSPVESLWEGISTLAELFEQSCKRFEYRPLFGTRKLIARETEVRQDGRSFEKLHLGNYEWVNYGDAFKAVCSFASGLVQVGHKNNERVAIFSDTRAEWFIALQGCFRQNVTVVTIYTSLGEEALCHSLNETEVSSVICGHKELKKLILVSKQLNTVKRVIYIDEEGVPSEVSLAQKNIGWMITSFVEVERLGRDKPVDADLPRSADIAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPSIGTKDIYLAYLPLAHIFEFAAENVLVAAGTTIGYGSPLTLTDTSNKIKKGTKGDSSVLRPTLMTAVPAILDRVRDGVWKKIDAKGGLSKKLFNAAYGRRLAAINGSWFGAWGLEKVLWEFLVFRNIRAVLGGHVRFLLSGGAPLSGDTQRFISICLGAPIAQVYGLTETCAGGSFSEHDDISVGRVGAPLPCSYVKLIDWPEGGYLVTDLPMPRGEIVIGGPNITLGYYKMEGKTKEVYKVDERGMHWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKIESVLVASPYVDSIMLHADPYHSFCVALVVAAQRALEDWASKQGIIYSDFSDLCQKAETVKEVHGSLFKAAKQALLDKFEIPAKIKLIPEPWTPESGLVTAALKIKREAIKKAYADDLAKLYA >Ma03_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28404741:28414704:-1 gene:Ma03_g24030 transcript:Ma03_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MAAAAHTAMALRGKMVYELYRAFTHVAGPIIFAHIQWRRLRGVEHPTRWPERFGRSSSPRPPGPLLWFHAVSLGEGLAAIPMIKHCVRVQPNFVVLMTSTTTSAFEVIKDQLPDGVIYQLAPLDSPTAVGKFLGYWDPVAVFLMESELWPNLIISAAEKGIPVALLNARMSCKSFKRWSRTLALPLISLMLSKISLIAPLSTLQAVHFQLLHASPHIIHFAGDLKYAVGDLNVLEEDIRKIKDLQLQLASRPVWMASSIHEGEEEVMLWVHKELIKMHTDLVMILVTRHPRHGQQLALALKKQGMNVSLRSRSEIISCSTSIYVVDTLGELRTLYRITPIAVIGGSFLPCLAGHNVAEAAAAGCAVLTGPYVGHFSHMLAGMLQAASSSVQQVADKAELLEALKKLLTDKKSLEAHCRAAKYAFSTVSKGVVENVWKLVCTFVLKQSIGKSDEG >Ma03_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1035947:1036868:1 gene:Ma03_g01410 transcript:Ma03_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWIYSGIYVLLGDLEKYRLHTKAEENVKNIVSKSTVVKGVLVQQAFQISVSLLLFAVISDGSGIAKPQPSFLAIIVQFLVAMFVLDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYAFGALFNHPLEGLLLDTVGGALAFLVSGMTPRTGIFFFSFATIKTVDDHCGLWLPGNPLHALFSNNSAYHDVHHQLYGSKYNFSQPFFVMWDRILGTYMPYSLEKRKEGGLEARPIKHKS >Ma09_p30030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40360346:40361266:1 gene:Ma09_g30030 transcript:Ma09_t30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDTFSVHGNGNQVDNKAFHAFQKSFVQVQSILNQNRLLINEINQNHETMIPDNLGRNVGLIRELNNNIRRVVDLYADLSLSFTGPMEASSGGDSGGKLGHKRVRHVNES >Ma09_p30030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40360565:40361266:1 gene:Ma09_g30030 transcript:Ma09_t30030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDTFSVHGNGNQVDNKAFHAFQKSFVQVQSILNQNRLLINEINQNHETMIPDNLGRNVGLIRELNNNIRRVVDLYADLSLSFTGPMEASSGGDSGGKLGHKRVRHVNES >Ma09_p30030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40359616:40361266:1 gene:Ma09_g30030 transcript:Ma09_t30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDTFSVHGNGNQVDNKAFHAFQKSFVQVQSILNQNRLLINEINQNHETMIPDNLGRNVGLIRELNNNIRRVVDLYADLSLSFTGPMEASSGGDSGGKLGHKRVRHVNES >Ma04_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10203228:10205985:1 gene:Ma04_g13500 transcript:Ma04_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRRLSSLLRLSTRRGPALRSAAATCPIYRAAPRPSPVAFLLSRAVEYATASVAASRPTPPPAKAPAGLSGKITDEFTGAGAIGKVCQVIGAVVDVRFDAGLPPILTALEVLDNQIRLVLEVAQNLGENMVRTIAMDGTEGLVRGQRVLNTGSPITMLLGWFAWQVNHVWLFIAGLAQNFLLIFSKRFLSVGLLLIVNVIGEPIDEKGEIETSHFLPIHREAPAFVEQATEQQILVTGIKVVDLLTPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFSGVGKRTREGNDLYREMIESGVIKLGDKQSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQADSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADNLTDPAPATTSVLSRQIFELGIYPAVDPLDSTSRMLSPHVLGKEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKSTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQVRNLPLHSVTDS >Ma11_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23297870:23298257:1 gene:Ma11_g18180 transcript:Ma11_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLRVVLIFLSATLAGFFVLRNLRAEPELNEEQQKEESPKPQLPFSTKVGSALGTVFWSCLDMASGRYLWRTLTAPPATGEKKIC >Ma09_p27970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38842881:38844366:1 gene:Ma09_g27970 transcript:Ma09_t27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDQESTLPTQTDHQQPSLQAVDVVPSDPRLAAVMDQAKLNGWYVEPEEIELHEQIGRGTTADIYRGTWRGLGVAIKWIHPSVFDANGSGQAWFAEELHTLSRQRHPYVLHLMGACFHTPQKGWLVTELLSGKTLAEWLHGHKERRRGRSVPLPPLAERIEKGLEVAMALLYLHQQKPRVIHRDLKPSNILLDAATHARVTDFGHARLLADGEQALTGEMGTFVYMAPEVIRCEPYTEKCDVYSFGIILNELITGEHPYIETSFGPAKIALEVSEGRLRPKLPEHDHECRELTELICCSWSDDASARPSFAAINLALRKIIDKLK >Ma10_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32304740:32304905:1 gene:Ma10_g23260 transcript:Ma10_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAETQVTWFECSSHSTIKIQRWRIK >Ma02_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17575081:17579149:1 gene:Ma02_g06620 transcript:Ma02_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNVMVCAAVGFLGLLSAALGFAAEATRITVSDVQTTNLVVCTYPRTPALALGLLAAVALMIAQAIIKTVSGCICCTKYPNPSDTNWTLGLISFIATWFFLFKLISALFQASNLIIIFFSSIMQGYFHNSLCTIAIIESGVFSGGAVLSLASVAFGIVYYVSSYSAKNTESWNAQQNQGIALGHPHTPPQTQPTPVFVHEDTYNRQQFP >Ma07_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6758401:6759066:-1 gene:Ma07_g09010 transcript:Ma07_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAASSTQGGLGLGGALTIDGGEAPERRMERLLRESPVVVFSRPGCCMAHVMRRLLEAVGAHPTVIVLEEGETAPGPAAAEAMPALFIGGAAVGGLEGLMALHLGGGLVPLLREAGA >Ma02_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23105304:23110121:-1 gene:Ma02_g15350 transcript:Ma02_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKVPVTPAADSSGVSGSLETSRDLLPASSSLWNEPRVDNDEFGDQSESEKSGNVESAGDSSENFQVRNMNRCTEGEQVAAGWPSWLSSVAREAIQGWVPLKADSFEKLGKIGQGTYSSVFRAREIDTGRIVALKKVHFDNFEPESVRFMAREIQILRKLDHPNIMKLEGIITSRLSCSIYLVFEYMEHDLAGLSSSPDINFSEQQIKCYMKQLLSGIEQCHSRGIIHRDIKCANLLVNNEGILKVADFGLANILNPGEKQPLTSRVVTLWYRPPELLLGSTDYDASVDLWSVGCVFAELFLGMPILQGRTEVEQMHKIFKLCGSPPEDYWKKSKTPHATVFKPQHPYESCLQTTYNFLPESALKLLGTFLSIEPDKRGTASTALTSEYFRAKPYASDPSSLPKYQPNKEIDAKFREESRRRGVNGRLRVAEATGKPSRANKPSHESNNLAKIASQGEGLKIAQGTNRSGPKRDISGVNGQQQIPTARSRDEHRQAKPISQGDTYSGPLIVSACTGFACTKKPKDDHPHIKPQAKTRSRQDKLGKLDPSNNSQVKSAFKFNGEENGYLGYAPHSNSKGHKPYESTKDAMLKQWLHPELQDSMHSFAAYHPRDAVVLSKNQGLVLMQLLQHFSLSSTPIA >Ma05_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36963809:36965587:1 gene:Ma05_g24810 transcript:Ma05_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLSLSFFLILTAASSLEEDVPHSSCSSKFMDELHRLNDSSGLHLTLHHPRSRCSPAPFPNLTFSTILSHDEARVRSLTARLTKTVSLPVAPLLRPAAVSIPLSPGASTGVGNYVTQIGLGTPAKSYVMVVDTGSSLSWLQCSPCRIYCHDQVGSVFDPAASASYRPVSCSESECDSLESATLNPSACSLDDVCIYQASYGDRSFSVGYLSKDVLSLGSGQRLAGFVYGCGQDNEGLFGRSAGLIGLARNRLSLLSQLAPSLGYSFSYCLSTAASTGYLSIGSYNARQFSYTPMQSSSLDNSLYFVRLTSITVGGRGLPVSSSAYTGTPTIIDSGTVITRLPSNVYAALSSAIAAALKEYPRQPAYSILDTCFRGSLSRLAVPTVEMVFQGGATLRLAPRNVMIDVDGSTTCLAFAPARRVAIIGNKQQETFSVVYDVGRSRIGFAAGGCG >Ma11_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:286224:289694:1 gene:Ma11_g00410 transcript:Ma11_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARRTLLVTDHDRPLKGQSLLGRPANASPPFLHGLRCLLGGVHPRKKPWRRSVLRAILFSFIVGFFWGLLSFVDLDDSSDISPPHVFFFDPIHAPPPAEEQERLPDPFDKLLIIVTPTYNRAFQGYHLSRLAHTLKLVPPPLLWIVVETKTATAETADILRRSGVMYRHLVCRKNTSVNLHRDVRQRHTALKHIRLHRLDGIVYMADDNNIYALDLFDHLRQIRRFATWPVAMLAQSKNKVILQGPVCNGRKVIGWHTNRKGNNHRRFHVDISGFAFNSSVLWNPRRWMYPKDIIRLLDTVTERFEDTGFIEQIVEDEGQLEGLPNDCSRIMNWRLQLESRSLVYPRGWQISKNLNTVVPLN >Ma03_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:491891:495557:-1 gene:Ma03_g00600 transcript:Ma03_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDLDFSNPEVFSGPNAGDLPSGCSMDSVFDNIFNDSQQHTCTHTHTCNPPGPDLSHTHTCFHVHTKILSAPADETAESAGKSSPTKKRSCGNREAVRKYREKKKAHAASLEEEVAQLRAINQQLMKRLQSQAALEAEVARLRCLLVDLRGRIEGEIGSFPYQRTVKGSGDFVSNVSQANMLGDAEVLNSCGFRCDDQVSCLYPGMQGRNVGENGAFNGQGVQACEIGNIQCMGSSTSGYKDCFGCGNGAATPVDCSSNAKKIGGAHATKEDL >Ma03_p00600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:491891:495637:-1 gene:Ma03_g00600 transcript:Ma03_t00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDLDFSNPEVFSGPNAGDLPSGCSMDSVFDNIFNDSQQHTCTHTHTCNPPGPDLSHTHTCFHVHTKILSAPADETAESAGKSSPTKKRSCGNREAVRKYREKKKAHAASLEEEVAQLRAINQQLMKRLQSQAALEAEVARLRCLLVDLRGRIEGEIGSFPYQRTVKGSGDFVSNVSQANMLGDAEVLNSCGFRCDDQVSCLYPGMQGRNVGENGAFNGQGVQACEIGNIQCMGSSTSGYKDCFGCGNGAATPVDCSSNAKKIGGAHATKEDL >Ma03_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25687283:25716322:-1 gene:Ma03_g20530 transcript:Ma03_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSEPCVLFAQSFVHSQLDEYVDEVLFAEPVIITACEFLEQNASPSAPNVPLLGATSPPSFALEIFVHSEGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGSYRSLTLIVYGNTTEDLGQFNIEFDLDNSLANVVYSPSEGKSEDLPPALCSNKLMFEESMTSLKYIGFPVAMFDIPPELKQFLLLAVKFCQVTDFENQLSEIVSTVVSPVLSYGRSDSSNNTFYWDQNMLVGVTDHKKDMEKINDVLVQARKETLELCNSKSVDSQSAEASADFERAETLISELLIDMFNKCKIFKSTSDVELQLFSQTKHMILLLGLTLLFCSSRDGCFHFVNNGGMEEIVWLLSQENSPAITLMLLGIVECATRHGIGCEGFLGWWPRGDENVPVGNSDGYSFLLSLLLGKQRHDVAALAAYILHRLRFYEIATRYEAAVLSSLANLSDHSAIAADGIESLVTASSHLKQIMKLINLYEPVEDPSPLTFARRLSNLEQSEGLLSYKATIDCITTSKCTFARSDIDMCLLTLLEDRGFFPLSAALLSSPTLQSANDKKTVIFMEIANSVEYILLNLLFHRSGLCFLLTHPEATELVILSLQDVEEATKKECMTLRQAAVFLSKGFICHPQEVAMIIELHLKVGIAIDRLLNTGPQYDDLLWILWELCAISRSESGRQALLVLGHFPEVISVLMDALRSYREKEPIGTSRLSLAIFHSAAEIFEVMVTDSAASSLNSWIGHAVELHKALHLASPRTNSKDAPMRLLEWIDAGVVYHRNGAIGLLRYAAVLASGREAHLSSSSVLVSDSIDVENVIGDSTNNSDAQVVDNLLGKLVSDKYFDGVTLCNSSVVQLTTTFRILAFISDDSAVAASLFEEGAVTLVYVVLVSCKSMLERLSNSYDYLVDEGAEYNSTTNLLRKRSHEQSLIDLMIPSLLLLLNLLEKLRDAKEQYRNKKLLNALLQLHREISLKLAASAADLSFPYPSSTLGFGAVCHLLTSALACWPIFDWTPGLFQCVLESVRATSSLALGPKDACSIFCLLGDLFPDEGIWSWKNEMPPLSALRTLSVGTLLGPQVEKDVNWYLKPEHLMVLLIQLTPQLDRIAQIALHFAFSALMVVQDMLRVFIIRVATQRAECAVVLLQPMISWIDNHVDETSPSEMDIFKVYQLLHFIASLLEHPHAKALLLNMGALKILGKVLRRYIIVFKTDGNLILESRVPPRNVSLLIWSLPVLKSLALIFSSQSPVKQSESPERKLDDICIEESSCVVHQLLKLLQVLPVGRELLSCLVTFMAIVTCNHGRSALAFLFSQISSAVPDEQERDERTGDGNSFDEVDWRSSPPFLCCLKNLLWSLDANNCTVADVVEILYTLSLCAMCLSVQDDKLDGVSILKCLFGLSNDVNHSEVSSEEQLRKVYNITEKLEQRITNDDENVTVKVGKPTLYQVRESVKSMLGFLQDSSSSSTKLEGTTLSEASAASSDIVQPLDSTSQNMPSLTVTFVDDEAAFMLSNFWKFEQDAEKIGYDFSVGEFAEKLTWECPDSSLDRQLMPTSSSKRKLALADGSNKRARDSLGPESVGSGAFSRGLNMPSGPSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASNGPSIVGSSQRGMPTSGRPPSIHVDEFMARQKERQNPTLAAVGDGSQFKNLTHASPNYSVKLDKPRHVKADLDDDLQEINIVFDEESESDDRLPFPQPDENLCPPVVIGESSPSFVVGETEGDADDPSRFSPLSTPPATREGSIHMNIPVRQLASRHEVPVFQDANASSENIGGTGAENSSCEQSEESKYVSPNAGSRVSTIHPSTKHTAFPSHTHNASPAPSSVQPLAPSSLYQSNSPQRGVDGSVSSGSHERLNVPINQPPLPPMPPPASVSAQTAEPAQSHSLPFLNSARDLQPPVPSGYPPRSFDLQSDNPPSTSNSSLPNAQPGLDTKLSWNVASGNRLHTDIFAPGTSARPVPPLPPLPPPLSASVNQSPTLFSGSQAPLSNQISNVGAQPSIASTPLSNTNYGILSASGTSLTYSLPPFAPPLFISRPNTVPGTFFTSPTLQNIQTPSSFSQPFSSSQSSLQSMLPRPPPLPQAQLPRPSQQPGPPNQLSQTLSDQAMSFQQNSFQVQVNQLQVPQQLQVPQLQFYYQPQQQEPALQPLQPMPEQIQQSTQNIQADNSLLQQKDSGITLQQYFASPEAIQSLLSDRDKLCQLLEQHPKLMQMLQERLGQQ >Ma11_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25515474:25517063:1 gene:Ma11_g21310 transcript:Ma11_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGYILLAVQAHKSSLHPCPTCRLEGRNALLFHATLCLLAIGFGGTRGSFPALGADQFDKKNPKQKHQITTYFNFMLLSVTLGATVGVTVIVWVSTEKKQWSLAFLISMLLALLGYAFLAAGKPFYLVRVPGDGPLLKLIQVVVVAFKNRKLTVPQNSDDLYEINEKVDEHVEEKLPHSSQLRFLDKAAVLPENTGAEPWKVCTVTQVEELKIIIRMLPILASTILMNTCLAQLQTFSVQQGNIMDLHLGSFEVPAASIPVIPVVFMSILIPIYNFVFIPFARGITGHPSGITHLQRVGVGLVLSAVSMAIAAAVELKRRNAAVQDGKLISLFWLSFQYGIFGVADMFTLVGLLEFFYSEAPAGMRSLSTSLSFLSLSFGYFLSSIFVQAINGITGRLTQSRQGWLHGLDLNANRLELFYSFLAVLSCLNFGVYLMCAKWYKYRAEGSAAATVEDAESTDAPAERSLNGLVSPDKLTDG >Ma04_p38370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35916513:35929318:-1 gene:Ma04_g38370 transcript:Ma04_t38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPDDSPLYSNRCGMNLDCDLSIWPLYQHIVEPLPSPIVFALLDLAFVVDGWALEIILKQYRAVFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFFSGVSGTSLFNSISLMAYNVFYTSIPVLTVVLDKDLSEKTVMQNPQILLYCQAGRLLNPSTFAGWFGRSLYHALVVFLITVHAYADEKSEMEELSMVALSGCIWLQAFVVTIEMNSFTILQHLAIWGNFAAFYFINSLVSTIPSAGMYTIMFRLCRQPSYWITVVLIVAVGMGPVLALKYFRYTYRSSAINILQQAERSRGPIFSMGGLESQLKSLEKDVASLSTSQSKFRNSVYEPLLSASDPPPTSTRRSIGPATFDFLQPAQSRLSSSYSRNCKDN >Ma06_p36910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36135325:36138107:1 gene:Ma06_g36910 transcript:Ma06_t36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKESSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKEIKVPEGFDYELYNRNDINRILGPKANCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSDKEINALEQHIKNLLSPSTPYFFNTLYDPYRVGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPRERNSRYVDAVLTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEELIPFFQSVALPKDCTSVQKCYIELSKQVREKLGKIDPYFTKLADAMVTWIEAWDELNPSGAAAGVANGTAK >Ma10_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26317520:26318011:1 gene:Ma10_g13560 transcript:Ma10_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSKSSVSCADARWPVRPSYKWPESDAEFVKSMAGRRGERGSHRDGRKKWSPSPMVVDSYSCRQMYLRSYTFSKEETVTEKARQCLGKVKGRAALLFPFLQQNSESGNEMHGRNSRRKKKKKKKKGCGTNRKLREISYSALFSIFYRLLLCTAGVEVADRK >Ma01_p16240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11775191:11779243:-1 gene:Ma01_g16240 transcript:Ma01_t16240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLADSQCGRAPRYHHGRSMRTVRSYLFHHRPSTGAPPTLPPSSSAAVSENLTDSVVDFKLRELAVGVGSSVAEPAASTEELLEISREFSDCSSFGSDISGELQRLASLPRSEAPRSTASPEIDGIEALGGTGIGSSPEILESASLDGVEPVVRACVEGLGSPSAEAKRVAASKIRLLAKHRSDFRALIGASGAIPALVPLLRSTDPAAQESAATALLNLSLEEVNKGRIAAAGAIKPLVYAMRTGTAAAKQNAACALLSLSMIEENRATIGACGAIPPLVALLVGGSSRGKKDALTTLYKLCSTRRNKERAVSAGAAVPLVGMVGEPGGGTAEKAMVVLGSLAAIPEGREAIVEAGGIPTLVEAIEAGPARGREFAVHALLLLCADSPRNRGLLVREGAIPPLVALSQCGSARAKLKAETLLGYLREQRQDMARF >Ma01_p16240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11775191:11779243:-1 gene:Ma01_g16240 transcript:Ma01_t16240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLADSQCGRAPRYHHGRSMRTVRSYLFHHRPSTGAPPTLPPSSSAAVSENLTDSVVDFKLRELAVGVGSSVAEPAASTEELLEISREFSDCSSFGSDISGELQRLASLPRSEAPRSTASPEIDGIEALGGTGIGSSPEILESASLDGVEPVVRACVEGLGSPSAEAKRVAASKIRLLAKHRSDFRALIGASGAIPALVPLLRSTDPAAQESAATALLNLSLEEVNKGRIAAAGAIKPLVYAMRTGTAAAKQNAACALLSLSMIEENRATIGACGAIPPLVALLVGGSSRGKKDALTTLYKLCSTRRNKERAVSAGAAVPLVGMVGEPGGGTAEKAMVVLGSLAAIPEGREAIVEAGGIPTLVEAIEAGPARGREFAVHALLLLCADSPRNRGLLVREGAIPPLVALSQCGSARAKLKAETLLGYLREQRQDMARF >Ma01_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11775191:11779243:-1 gene:Ma01_g16240 transcript:Ma01_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLADSQCGRAPRYHHGRSMRTVRSYLFHHRPSTGAPPTLPPSSSAAVSENLTDSVVDFKLRELAVGVGSSVAEPAASTEELLEISREFSDCSSFGSDISGELQRLASLPRSEAPRSTASPEIDGIEALGGTGIGSSPEILESASLDGVEPVVRACVEGLGSPSAEAKRVAASKIRLLAKHRSDFRALIGASGAIPALVPLLRSTDPAAQESAATALLNLSLEEVNKGRIAAAGAIKPLVYAMRTGTAAAKQNAACALLSLSMIEENRATIGACGAIPPLVALLVGGSSRGKKDALTTLYKLCSTRRNKERAVSAGAAVPLVGMVGEPGGGTAEKAMVVLGSLAAIPEGREAIVEAGGIPTLVEAIEAGPARGREFAVHALLLLCADSPRNRGLLVREGAIPPLVALSQCGSARAKLKAETLLGYLREQRQDMAR >Ma03_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27989889:27990107:-1 gene:Ma03_g23430 transcript:Ma03_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPSLQSLSLCQVGLTEVSRLWEEIDRSSSVMTVSELKIYCLEEVEMEDIPECEGLPCLGQLPSLKVLRI >Ma06_p05420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4034010:4039410:1 gene:Ma06_g05420 transcript:Ma06_t05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHVVKRADGGQSFSMRSGIVFKDQNNEDRSIQRRDRLGCRTRHNTVRGAQIDTQEKSKYARASFHAMNGKVIARSSSKSSSTSRGYGRSFKEQPNQKILRGSAVAETSSKQDEIDKLTNIECLKNLNSDDSRRGKEHLEYTATVIGPLETEDTTESTISDSVWKPHRQINGNFGSGKQDPSSRSFMRCPNMAYTYASHPAKPVAQRLSSGAEGCGLNRLSCTSISDVLSSGASSSGFTHHGRVDTVRKRPSEGESSTSRCKGAGASSTERYVVSMHTGSGSSSSSMSKVTHQPVSRRTRNQPTTGDGSVSVGTGRASTGESQRRLSAQADYNILPLDEPIMLPQYQRNQFSLAEAPPESSSRSSHAFRNSYERPVSSSQTFRSRSMSQPEDNNTQMLFGSSGDGYHHFNVEGIAEALLALEHIEQDDELTYEQLSVLETSLFFCGLSFHDQHRALRMDIENMSYEELLALEEKMGTVSTALSEEQLSKCLKRNLYEPTYQATGIAVCGDENMKCSICQEEFIREQEVGELQCEHLYHATCIEQWLRRKNWCPICKSSALPL >Ma06_p05420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4034010:4039410:1 gene:Ma06_g05420 transcript:Ma06_t05420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFTPYCRTNSTIVFNFTICPTFNFEMDEHVVKRADGGQSFSMRSGIVFKDQNNEDRSIQRRDRLGCRTRHNTVRGAQIDTQEKSKYARASFHAMNGKVIARSSSKSSSTSRGYGRSFKEQPNQKILRGSAVAETSSKQDEIDKLTNIECLKNLNSDDSRRGKEHLEYTATVIGPLETEDTTESTISDSVWKPHRQINGNFGSGKQDPSSRSFMRCPNMAYTYASHPAKPVAQRLSSGAEGCGLNRLSCTSISDVLSSGASSSGFTHHGRVDTVRKRPSEGESSTSRCKGAGASSTERYVVSMHTGSGSSSSSMSKVTHQPVSRRTRNQPTTGDGSVSVGTGRASTGESQRRLSAQADYNILPLDEPIMLPQYQRNQFSLAEAPPESSSRSSHAFRNSYERPVSSSQTFRSRSMSQPEDNNTQMLFGSSGDGYHHFNVEGIAEALLALEHIEQDDELTYEQLSVLETSLFFCGLSFHDQHRALRMDIENMSYEELLALEEKMGTVSTALSEEQLSKCLKRNLYEPTYQATGIAVCGDENMKCSICQEEFIREQEVGELQCEHLYHATCIEQWLRRKNWCPICKSSALPL >Ma06_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4034010:4039410:1 gene:Ma06_g05420 transcript:Ma06_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHVVKRADGGQSFSMRSGIVFKDQNNEDRSIQRRDRLGCRTRHNTVRGAQIDTQEKSKYARASFHAMNGKVIARSSSKSSSTSRGYGRSFKEQPNQKILRGSAVAETSSKQDEIDKLTNIECLKNLNSDDSRRGKEHLEYTATVIGPLETEDTTESTISDSVWKPHRQINGNFGSGKQDPSSRSFMRCPNMAYTYASHPAKPVAQRLSSGAEGCGLNRLSCTSISDVLSSGASSSGFTHHGRVDTVRKRPSEGESSTSRCKGAGASSTERYVVSMHTGSGSSSSSMSKVTHQPVSRRTRNQPTTGDGSVSVGTGRASTGESQRRLSAQADYNILPLDEPIMLPQYQRNQFSLAEAPPESSSRSSHAFRNSYERPVSSSQTFRSRSMSQPEDNNTQMLFGSSGDGYHHFNVEGIAEALLALEHIEQDDELTYEQLSVLETSLFFCGLSFHDQHRALRMDIENMSYEELLALEEKMGTVSTALSEEQLSKCLKRNLYEPTYQATGIAVCGDENMKCSICQEEFIREQEVGELQCEHLYHATCIEQWLRRKNWCPICKSSALPL >Ma04_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7526137:7528437:-1 gene:Ma04_g10580 transcript:Ma04_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVDATTATLQPFSSHHPLLLLLHSCKTLVRIKKAHAKLITAVLFLHPVPRASSSSSSPPLPLRPLPPSLPLLLFSPTPTSSFATPPRLLYSPKTPSSKPTPRPPPSSAPNQYTFAFLLAACGPRELGLAEAEQVRVHALKRGLETNVFVSNAVVRVYGSFGSVHDAQMVFDGSARRDMFSWNSLIGGYVGFGDVDRARKLFDEMPEKGCCLLEYYCCGICAGKKLGVLVRSARVVLEKPLNVDVRVVFYVTT >Ma06_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21863296:21867015:-1 gene:Ma06_g23720 transcript:Ma06_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMRIVSEAKELDQAAVDHSATVMDSNPPFVATYKSLFSSRASMNHEAELLAVECDLPLVDLSRLNSKLEAKQCKQDIITAATEWGFFQIVNHGVSNSLLARLRRQQVKMFRQPFKKKVLDFSDDSYRWGTPTATSLKQLSWSEAYHIPVSSANKLARTSTNRCVIEEFSAAMAQLANQLVDTLAEGLGRDGTYIKENCTRNSCYLRLNHYPSCPLPGEVFGLVPHTDSDFLTILCQDTVCGLQLNKAGRWVTVKPNPNALIVNIGDLFQAWSNGLYKSVEHRVMSNPHLERFSVAYFVCPSKETLIQSSALPAIYRKFSFGEYRLQVQQDVRLTGHKVGLTRFLA >Ma09_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18295083:18302586:-1 gene:Ma09_g18650 transcript:Ma09_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSFKASATSSSSSDPPHGLKFGRKIYFDGGSGGSGSSSETPSAPLTEAATPPPPTKKGKGVAQGGQHQPPRCQVEGCNVDLTGAKAYYCRHKVCAMHSKAPKVMVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGPYGRFASSFHEQPSGFRSFLMDFSYPNLSSSRRNPTATAGDGVATNEWHRGLDASPGAVALHGTHRCSQGPAAGTLCSTMEIPKGECLAGVSDSSCALSLLSTHPWSSSSARNGAPVIPATSIFDSPGTVDSVIPSNYVTSLWGVRDHGGRTSSHKVLRETVEATATVDAHFSGQAEFGLQENGQCLDHSLGSAYGHSGLRMHW >Ma11_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9915488:9921259:1 gene:Ma11_g10480 transcript:Ma11_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRSAMMDARRSSSHCSTVTLVAFIALCLVGVWMMTSSSTVVPIDMSSPESKSDMKQQVSETDRGPREDSSVDVIDEAATAAAATGRDANVDVKDSTTETRSESLDDQNVQDKAAENVVEKPQESEMDDNTRTTQTYSGENGSTEDSSQEATDSGQVKKADKKTYSDENGIAEGGEMVTDGKERSQEKSTEGNSEETKYGGQEKKADQKFDEMTDDGQSESSQQNSDETGKTEGGEMIREGQDKSTEENYQQITEKADSKFGEKFSEQNSDETKGDDNNGKEAAERPNEITAGSKAKDLISPEVFPDGAQSELLNETSTQNGAWSTQATESKKEKAVQAASKEHGTRNNWKLCNVSAGTDYIPCLDNEEAIKKLRSTKHYEHRERHCPDEAPACLLPLPEGYKRPIEWPNSRNKIWYHNVPHSQLAAVKGHQNWVKVSGEFLTFPGGGTQFIHGALHYIDFIQESMPDIAWGKKSRVVLDVGCGVASFGGFLFDRDVLTMSFAPKDEHEAQVQFALERGIPALSAVMGTKRLPFPGMVFDVIHCARCRVPWHIEGGMLLLELNRMLRPGGYFVWSATPVYQQIPEDVEIWEAMTALTQSMCWDMVNKTKDRINEVGMAIYRKPSDNECYAKRTEDSPPLCQGSDDPNAAWNIPLQACMHKLPVDPNVRGSQWPQQWPLRLDNVPYWLNSSQVGVYGRPAPEDFKADTELWKHIVSKSYMKGLGINWSAVRNVMDMRAVYGGFAAALQEANAWIMNIVSIDSPDTLPLIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKIKKRCKLRAVIAEVDRILRPEGKLIVRDNSETIEEVESMAKSLKWEVRMTYSKENEGLLFVQKTMWRPKEVEASLPSLS >Ma08_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8151061:8167492:1 gene:Ma08_g11040 transcript:Ma08_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFQYGSIPSNSSTLASTKTTFEEKFLLQQSQTSVRWPPFLCVCSVFSSGSVQLHWGQWPPAQNDAAPRWFQTSKGLLGAGPSGIMAADVIITDSGIMHVAGVPLVNPSTVVVWEVMPGPGNGFQATTKIAVGCAIPPSLNPPSWAGFAPFAAYLFSLQEHFISEEKQGRKLTEHEINDVASFHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGNPASSFGGQPPVQTVWLTKVNKSIAPTDDFRNPQAYLSKPVISDELNSSDCSVERTNRLSFDPYDLPNDVRQLARIVYSAHGGEVSVAFLRGGVHVFSGANFNPVSSFHINVGSTIAAPAFSSTSCCLASVWHDSFKGRTILKIIRVLPPAIPNSLSKVNSAIWERAIADRFWWSLMVGVDWWDAVGCTQSAAEDGIASLNSVIAVLDADFHSLPSTQHRQQHGPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPATLLAEPWQASSETLSGIDADKMVVEQALVPCIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGASSARNMVASPTHSSVSPSTSQGSQSGVSSANGNSQMQAWVQGAIAKISNNADGGSSTSQNPISGPASFTPISINTGTFPGTPAVRLIGDCHFLHKLCQLLLFCLIFRRRQSPRFIGSIQKNPDSILQKVQPASNGKVEETSAVSRPTLGVAKTEEGQPVRTGQLTVGAKGLEEGPISKSVRFGSGNAGQGYTSEEVKVLFLILVDLCRRTAGLQHPLPASQVGANNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEDWHRRNMFGGPWSDPEDLRPLDNKMKSKLGGSLSSPISKLVEDQDDLSGVQGLWPRKRRFSERDAAFGLKTSVGLGSYLGIMGSRRDVITAVWKTGLDGVWYKCIRCLRQTCAFAQPGDPNPSNEREAWWISRWSHGCPMCGGTWVRVV >Ma08_p11040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8150466:8167492:1 gene:Ma08_g11040 transcript:Ma08_t11040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVPTPSPTLPPSIPREPPGETDQPTAEAKDGAGAGVGGAREGRVSEGDMDVEVEGGVEKKANEGDHAMDGGDAPPPAPATVFRIRLKQPPSSLRHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNTNPPFWIPIHIINPERPTECAVFNVKADSPRDTIQFIEWSPRSCPRALLVANFHGRITIWTQPSHGPANLVRDASCWNCEYEWRQDLAVVTKWLSGMNPFQYGSIPSNSSTLASTKTTFEEKFLLQQSQTSVRWPPFLCVCSVFSSGSVQLHWGQWPPAQNDAAPRWFQTSKGLLGAGPSGIMAADVIITDSGIMHVAGVPLVNPSTVVVWEVMPGPGNGFQATTKIAVGCAIPPSLNPPSWAGFAPFAAYLFSLQEHFISEEKQGRKLTEHEINDVASFHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGNPASSFGGQPPVQTVWLTKVNKSIAPTDDFRNPQAYLSKPVISDELNSSDCSVERTNRLSFDPYDLPNDVRQLARIVYSAHGGEVSVAFLRGGVHVFSGANFNPVSSFHINVGSTIAAPAFSSTSCCLASVWHDSFKGRTILKIIRVLPPAIPNSLSKVNSAIWERAIADRFWWSLMVGVDWWDAVGCTQSAAEDGIASLNSVIAVLDADFHSLPSTQHRQQHGPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPATLLAEPWQASSETLSGIDADKMVVEQALVPCIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGASSARNMVASPTHSSVSPSTSQGSQSGVSSANGNSQMQAWVQGAIAKISNNADGGSSTSQNPISGPASFTPISINTGTFPGTPAVRLIGDCHFLHKLCQLLLFCLIFRRRQSPRFIGSIQKNPDSILQKVQPASNGKVEETSAVSRPTLGVAKTEEGQPVRTGQLTVGAKGLEEGPISKSVRFGSGNAGQGYTSEEVKVLFLILVDLCRRTAGLQHPLPASQVGANNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEDWHRRNMFGGPWSDPEDLRPLDNKMKSKLGGSLSSPISKLVEDQDDLSGVQGLWPRKRRFSERDAAFGLKTSVGLGSYLGIMGSRRDVITAVWKTGLDGVWYKCIRCLRQTCAFAQPGDPNPSNEREAWWISRWSHGCPMCGGTWVRVV >Ma08_p11040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8150424:8167492:1 gene:Ma08_g11040 transcript:Ma08_t11040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVPTPSPTLPPSIPREPPGETDQPTAEAKDGAGAGVGGAREGRVSEGDMDVEVEGGVEKKANEGDHAMDGGDAPPPAPATVFRIRLKQPPSSLRHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNTNPPFWIPIHIINPERPTECAVFNVKADSPRDTIQFIEWSPRSCPRALLVANFHGRITIWTQPSHGPANLVRDASCWNCEYEWRQDLAVVTKWLSGMNPYGSIPSNSSTLASTKTTFEEKFLLQQSQTSVRWPPFLCVCSVFSSGSVQLHWGQWPPAQNDAAPRWFQTSKGLLGAGPSGIMAADVIITDSGIMHVAGVPLVNPSTVVVWEVMPGPGNGFQATTKIAVGCAIPPSLNPPSWAGFAPFAAYLFSLQEHFISEEKQGRKLTEHEINDVASFHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGNPASSFGGQPPVQTVWLTKVNKSIAPTDDFRNPQAYLSKPVISDELNSSDCSVERTNRLSFDPYDLPNDVRQLARIVYSAHGGEVSVAFLRGGVHVFSGANFNPVSSFHINVGSTIAAPAFSSTSCCLASVWHDSFKGRTILKIIRVLPPAIPNSLSKVNSAIWERAIADRFWWSLMVGVDWWDAVGCTQSAAEDGIASLNSVIAVLDADFHSLPSTQHRQQHGPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPATLLAEPWQASSETLSGIDADKMVVEQALVPCIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGASSARNMVASPTHSSVSPSTSQGSQSGVSSANGNSQMQAWVQGAIAKISNNADGGSSTSQNPISGPASFTPISINTGTFPGTPAVRLIGDCHFLHKLCQLLLFCLIFRRRQSPRFIGSIQKNPDSILQKVQPASNGKVEETSAVSRPTLGVAKTEEGQPVRTGQLTVGAKGLEEGPISKSVRFGSGNAGQGYTSEEVKVLFLILVDLCRRTAGLQHPLPASQVGANNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEDWHRRNMFGGPWSDPEDLRPLDNKMKSKLGGSLSSPISKLVEDQDDLSGVQGLWPRKRRFSERDAAFGLKTSVGLGSYLGIMGSRRDVITAVWKTGLDGVWYKCIRCLRQTCAFAQPGDPNPSNEREAWWISRWSHGCPMCGGTWVRVV >Ma02_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7971622:7972323:1 gene:Ma02_g01120 transcript:Ma02_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPARAWYSSLKAGTVSSFDQLARDFELNFLAYARPKPSAALLLGLNQGEDESLSHFLDRFTTQIRGLSDAHPSLLMQAFMIGLRPSRFFWSLVERPPTTVPEMLQRASQFVAAETWMAGRPRGHRGTKSEPPRQQQPPTSRRRSDRSDPTAPRPPLPALNSSQTDIFLHIRGKGLLKEPYPINDPWVLADQSKYSRFHRQRGHDTEQCRELKR >Ma10_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22115979:22117077:1 gene:Ma10_g07790 transcript:Ma10_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYEVEVTVASARNLKNVNWRHGDLKPYVVAWVDPAAKCSTKVAVDGDDDDPVWDEKLTLPVPPGLPIEDATLAFDVVHAGAAEGVKPLVGSARLPLRDVLDEVGLSGKLVRALKLKRPSGRPQGKIEIKVAVKEQARYYGSPYGQATSRDYPPSSAGYGYGPPYAAAPSGYPYAQPPMGYPYGAPTGAGYYPYGAPPAVGYGAQAAPPPTMAYGQEEKSKSKFGMGTGLAVGAAAGMLGGLALAEGIDYAEDKIADDVAERVEDDLGGDDF >Ma01_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:572548:574583:-1 gene:Ma01_g00800 transcript:Ma01_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFVVKLSPLALPPLRCSNAVRFSPSPLAVSLKNKLTSSATLYGSPLLLRRTHSPIPSSSSSSSLCRRSSSLVVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMHPVNKSDYDNVIGALPYLKVNRNAT >Ma01_p00800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:566606:574583:-1 gene:Ma01_g00800 transcript:Ma01_t00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFVVKLSPLALPPLRCSNAVRFSPSPLAVSLKNKLTSSATLYGSPLLLRRTHSPIPSSSSSSSLCRRSSSLVVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMHPVNKSDYDNVIGALPYLKVNRNAT >Ma10_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26710732:26713309:-1 gene:Ma10_g14180 transcript:Ma10_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRCLDADEAPGNGECATAGEEVEGEPQGRCLDLTSFQLHDLREVEIPDDLVELDLTANRLSELDPRIHLLPQLRKLSLRQNLFDDKGVEPISQQKKLCNELVLRDNKLRNIPDVSIFKSLLLFDVSFNEISSLHGLSKVSDTLKELYVSKNEVNKIEELEHLHSLQILELGSNRLRVMENLQTLTNLQELWLGRNRIRMVNLCGLKCIRKISLQSNRLTSTMGFQGCITLEELYLNHNGIQKMEGLSTLENLRVLDISSNKLTVISDIDKLTRLEDLWLNDNQIASLEGTDVAVCGSRERLTTIYLERNPCASSPDYSTTLRQIFPNIQQIDSDIFM >Ma09_p31230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41038646:41041966:1 gene:Ma09_g31230 transcript:Ma09_t31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARVSGMLSQLLTPRIRLALEYAYLAFAIALFGLLVVMHTNFVQQPGCSSELSGIEFGEAQLVQIKITGAGLWTQSSGERNTVDLKPESSDGRVFTTDGFTDLSTRFWSNWLGSGAKRSKLIFKPWKSEKELLGPQVEKASESSISKTILREADSKSQLELLQIGHPISVRERIKMEALHFCNMLKMPFISFWNSAKESLSSVSHLWVRIEISFAFFLLLHASVY >Ma09_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29376551:29381588:-1 gene:Ma09_g20440 transcript:Ma09_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATLAAAMPARTLLFSASSAALSHLAPPASSARPLLRSALLGQPFRCLPFSFPKVASSASPAAARPLVVVAVIKKAVAVLKGNSDVEGVVTLVQEDNGPTTVNVRVTGLTPGLHGFHLHEYGDTTNGCISTGAHFNPNKMTHGAPEDEVRHAGDLGNIVANSEGVAEATKVDSQIPLSGPNSVVGRALVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPAE >Ma10_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24882518:24885513:-1 gene:Ma10_g11320 transcript:Ma10_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVVGCAATVLFSAAIYLKKSGFTYMPIPLLVGALVGYSVSIASHPSINLPSLLGKRSDGSFPLWAKFIFGPYLVSVRLYAVLKRLKRRESLYNEVSENLYVGGWPTSPSQMPPGDPVVIDCTCELPKGSFINKDAYFCIPLWDSRSPSPAQIESAVRWACQKRAEKKPIYIHCANGHGRSVCVMCALLVELGVAESWKDAEKMIREKRPFIRMNSHHRKNMEEWTKQRITSKKG >Ma10_p11320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24882518:24885484:-1 gene:Ma10_g11320 transcript:Ma10_t11320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVVGCAATVLFSAAIYLKKSGFTYMPIPLLVGALVGYSVSIASHPSINLPSLLGKRSDGSFPLWAKFIFGPYLVSVRLYAVLKRLKRRESLYNEVSENLYVGGWPTSPSQMPPGDPVVIDCTCELPKGSFINKDAYFCIPLWDSRSPSPAQIESAVRWACQKRAEKKPIYIHCANGHGRSVCVMCALLVELGVAESWKDAEKMIREKRPFIRMNSHHRKNMEEWTKQRITSKKG >Ma10_p11320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24882518:24885484:-1 gene:Ma10_g11320 transcript:Ma10_t11320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVVGCAATVLFSAAIYLKKSGFTYMPIPLLVGALVGYSVSIASHPSINLPSLLGKRSDGSFPLWAKFIFGPYLVSVRLYAVLKRLKRRESLYNEVSENLYVGGWPTSPSQMPPGDPVVIDCTCELPKGSFINKDAYFCIPLWDSRSPSPAQIESAVRWACQKRAEKKPIYIHCANGHGRSVCVMCALLVELGVAESWKDAEKMIREKRPFIRMNSHHRKNMEEWTKQRITSKKG >Ma00_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28995:30810:-1 gene:Ma00_g00030 transcript:Ma00_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEADHYGRRHDHFPFHGEPSSVSPQKPGSSSSGAANSLQVSDLKTLSPFLSFTDYLHDSPVDFHNLVPAFDDPSPRSHLLGGAHEPCELLAGGDGTAPVTPNSSMTSSSTEAAGEEDLGARKTDRPKQEEGEEKQAAGGEEGRDKFKKVNKPRKKGERRQREPRIAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTAPKCNVKKRVERSYQDSSVVVTTYEGQHTHHSPVNLRLAPSPPTTSFRGDLLSHQPSPVNSNINQQAEGHGLLQDIVPTLVHSKQRQ >Ma04_p30450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31127700:31139225:-1 gene:Ma04_g30450 transcript:Ma04_t30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAASLPLLLLVLLVVALNPTKTYSQDEPILTDCGDTNYTVPGPFASNLASLLSNLTSSTSGFATAVSNAASPSAAAYGLAQCRLDATPSNCASCLNRSATAAPARCPLCTSAAVRFDYCLLRYSDRDFFSQLDDDIQGILINRQNATDPTVFNSRLRDMMYDIASQAAAKTSRSGVGITNYSDFGNIYGMVQCTRDLSQDDCSLCLQQALAFLPYGSIGGQVVKVSCAVRFEIAPFFSLSVVPPPPSPPSPPPSSTSAGSNSGKSKNTSRTVLIISIPIAASAVLIVAICLWIRRSKPIKRRLRNHVESVVAGHNYEEVRSVESLLFDLATLRSATNNFSDANKLGEGGFGPVYKGTLANGDDIAVKRLSRSSGQGLVEMKNEVILVARLQHRNLVRLLGCCLEEEEMLLVYEYLPNTSLDKCLFDPNRRGSLDWARRYKIIEGIARGLLYLHEDSRLRIVHRDLKASNILLDGEMNPKISDFGLAKLFNIDETQGNTSRIAGTYGYMAPEYAMHGFFSAKSDVFSYGVLILEILTGQKNSGHQGSGRFLDLLSYVWSHWNRGMALQVVDRSLHEQYGPQEALRCMHIGLLCVQEEPAERPGMASVVLMLSSASVTLPTPSPPAFYVHGSSIQEAADLLEADRAAQLPTHLAYAFVMVDFFSYGLSFLDSCISVQQSTTMSSSSLAASLPLLLLVLLVVAMNPTKIYSQADPIRTDCGDTNYTVPGPFASNLAALLTNLTSSTSGFATAVSDAASPSAAAYGLAQCRLDAAPSECASCLNRSATAAPARCPLRTSAAVRFDYCLLRYSDRDFFSQLDDDSPEFLINSQNATDPTVFNSRLRDLMYEIAPQAAARASRFGVGITNYSNLRDIYGMVQCTRDLSQDDCLLCLQEALAFLPNTYGKIGGQVMKVSCAVRFEILPFFSFSVIPPPPSPPSPPPSSPSAGSNSGKSKNTSRTVLIISIPIAASAVLIVAICLCIRRRRNYEEVRSVESLLFDLATLRSATNNFSDANKLGEGGFGPVYKGTLANGDDIAVKRLSRSSGQGLVEMKNEVILVARLQHRNLVRLLGCCLEEEEMLLVYEYLPNTSLDKWLFDPNRRGLLDWARRYKIIEGIARGLLYLHEDSRLRIVHRDLKASNILLDGEMNPKISDFGLAKLFNIDETKGRTSRIAGTYGYMAPEYAIHGFFSAKSDVFSYGVLILEIVTGKKNSGNQGSGRFLDLLGYVWRHWNGGMALQVVDQSLQEQYEPQEALRCMHIGLLCVQEEPAARPGMASVVLMLGSASVTLPTPSPPAFYVHGSSIQEAAADLLEADRVAQMPLKERSSENEISISEMEPR >Ma07_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5745026:5745937:1 gene:Ma07_g07710 transcript:Ma07_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFELEDLDRPSDVLIILIQRAQVTLRRSDPLTSRHFRRANDVAKKVPVIGLALAPCLNYITGNTSTPSSTCCSQLASVVQSQPACLCSVLNGGASSLGVTNNQTRALALPGACKVQTLPVSECNSEHSINVHRHSCGRWTGKISDSITDDSGNTVNARQSRAGDPIHTSSSNTRFYCYYCVGFFRVKSRAVEVIYMLTSVHSSNV >Ma03_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2301747:2303079:-1 gene:Ma03_g03420 transcript:Ma03_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKSSLALLLFLLAASAVRGQRLSHKFYSRSCPGLQPLIRDIVQQALRDDPTLGASILRLFFHDCFVNGCDASVLLDDTPFFVGEKNAPPNMNSLRGFQVIDVIKERVESRCRATVSCADILALAARDSVALLGGPYWAVYLGRRDARTASRQAATLNLPPPGESFTNLVSSFAAKGLGTRDMIALSGAHTIGQARCSSFRPRIYGDSNVDPSFASIRRQTCPPLGGNNLASLDVQSPTVFDNKYYQNLVARRGLLHSDQELLNGGSFDFFVRSYSLNQTAFFNDFSSAMIRMGNIRPLTGSNGEVRLNCRKWN >Ma04_p24990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26866893:26867600:1 gene:Ma04_g24990 transcript:Ma04_t24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGLVVTFLALVFLVSLSTARVDPHLLLLLPSQGNGEGLAGEKPWACCDMCLCTRSFPPQCRCTDELIGGCHPNCKNCHCTRSFPPKCYCRDIIYEDCGDRCHP >Ma04_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10814809:10815998:1 gene:Ma04_g14260 transcript:Ma04_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFISNATTGKSGSKNICAKASTTNPDCSDDDVSSCASKEEEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKLPTVPIQLPLLVSCPWCNLLSLRLVYKANLIFPRKNFFLLWMVEGMNGDRSRSQSSIHGEHRPVYPSSGNVSWGSHSHRQHNTHRVPHTHEDHSNQMHARLIGNYFSIERLRASLRKSLAFFIQLTAKFPLVIIFLLIVLYAIPASAAILALYLLITILFALPSFLILYFAYPSLDWLLREIFT >Ma02_p23540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28507113:28508708:1 gene:Ma02_g23540 transcript:Ma02_t23540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGLGIRNWGYYEPPSKGNLGLRLMSSVVERNAKPLLSNGGFIHRHCSFPEPSVSMDFMRDGWTQQGNDSSKSFHTFPVRHQHHPSYGVLPDPPTVHNIQMLQHPEPQPKDDKVLMAEDTTGKNEPPLKKRPRGCLQKSSKPKRPKKVTAPSDEVPNGSVSRGKAARKSTGMIINGIDFDISRIPTPVCSCTGKPQPCYRWGVGGWQSACCTTNMSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLSNPIDLRTFWAKHGTNKFVTIR >Ma02_p23540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28507309:28508708:1 gene:Ma02_g23540 transcript:Ma02_t23540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGLGIRNWGYYEPPSKGNLGLRLMSSVVERNAKPLLSNGGFIHRHCSFPEPSVSMDFMRDGWTQQGNDSSKSFHTFPVRHQHHPSYGVLPDPPTVHNIQMLQHPEPQPKDDKVLMAEDTTGKNEPPLKKRPRGCLQKSSKPKRPKKVTAPSDEVPNGSVSRGKAARKSTGMIINGIDFDISRIPTPVCSCTGKPQPCYRWGVGGWQSACCTTNMSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLSNPIDLRTFWAKHGTNKFVTIR >Ma02_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28507113:28510455:1 gene:Ma02_g23540 transcript:Ma02_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGLGIRNWGYYEPPSKGNLGLRLMSSVVERNAKPLLSNGGFIHRHCSFPEPSVSMDFMRDGWTQQGNDSSKSFHTFPVRHQHHPSYGVLPDPPTVHNIQMLQHPEPQPKDDKVLMAEDTTGKNEPPLKKRPRGCLQKSSKPKRPKKVTAPSDEVPNGSVSRGKAARKSTGMIINGIDFDISRIPTPVCSCTGKPQPCYRWGVGGWQSACCTTNMSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLSNPIDLRTFWAKHGTNKFVTIR >mito1_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000012.1:317963:318341:-1 gene:mito1_g00050 transcript:mito1_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLKLIHEGEITESLPNCMLRVRLDNEDVIMGYASGRILLRCFIQIFGGEGGSIVK >Ma04_p25610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27339529:27340290:-1 gene:Ma04_g25610 transcript:Ma04_t25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEYRKYNGGIYVSEETRKALKLECCYVLFFFVELCIFHLMAFYFLQLLDTGFFHADPHPGNMIRAHTICNLSFISHTKISHMGHYILNISAIS >Ma02_p18810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25207990:25217530:-1 gene:Ma02_g18810 transcript:Ma02_t18810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDASKNSASTASATASSPPIKDSPFSSYVSNLSPIHQVNASHGLPTYGDLNFPSPEPVFKSPHFVQPRKSDILKSSQSLALDAVAKFSLRQSADATTLSGDMLRCKSHGSVSTVKLTPSGHESEGEGNPSPEHETCGGSSTCVDAFLADTLEICDDDSVSPECSKQSAELPQNVHGSCTSTNENIIESNKNRSDHLQSVSPLASAFVDRFIADPSEHSDSPDLQSQQAVVLPQRLCSVCISTEEMNSEIHVSPMKNSFASEATEWLNSSSNAEKDLKRDEASFLVNLSLKDDKPKMADEGKESHKIALDEPLVPITTDNCMDTDLLMAPQVNQNKTDDVSLKLDDKGKNVSDEKPNNTSDFCCNSQGILQICAAGEQGVLHSSPQSLPELLQDVQVVSHDPDVSGEFILSADNQTPYDEDTAQLQRGMRKRLQFEAIENHKTDGECKKLIKNFICDITSEEPLEISLHMNTSDPSHVKPIEASGMKQGSQLISQGHLCKSVDRVDVSGQKKGDSSVTDPRPSGIGLHLNTVGSVGHNNCSMMVQALGKDSCMEEESFLGNSEQISQGSNSELVSPGLTGKISFTLTANSEKPMPDNTCDDTGDKHLQNDMMELTISSISHQTPLSTKPIECSMQPRLTDQYVTPCSLKRPLSVDDSKLNLSSQSSPRKKRKKASDNEGQKRCSCKRSKCLKLYCDCFAAGTFCSEVCGCQQCVNRPENEDTIHEARQQIESRNPLAFAPKVVLYVSNPPKDSEESTGTTPSSARHKRGCNCKKSLCLKKYCECYQAGVGCSFGCRCEGCKNTFGRKDGCADIIEIEHMKSKEQKLVRDPSAENSKEKLVTREVNLHLSPLTPSIQSSNGVDVPKSLLPITYYASPETSASAIQCYEGSPGSPMNMISKSTFEMAREDNMLLVPDDQEIDFDIKVDTFSPGWDGFPDICNFSPLPNPPPSNDGASVSFKTKEPKILQTRLFQGSSLVGTPLRWRSSPVTPLPQFGKSKILLEPDSDNGPNKSVGDDAPEVLEDPCSPIKAVTASSPKQKRVSPPKRLFQETRSSSSSGLRSGRKFILQSVPSFPPLTPYSKNSRGSGT >Ma02_p18810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25207990:25217530:-1 gene:Ma02_g18810 transcript:Ma02_t18810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDASKNSASTASATASSPPIKDSPFSSYVSNLSPIHQVNASHGLPTYGDLNFPSPEPVFKSPHFVQPRKSDILKSSQSLALDAVAKFSLRQSADATTLSGDMLRCKSHGSVSTVKLTPSGHESEGEGNPSPEHETCGGSSTCVDAFLADTLEICDDDSVSPECSKQSAELPQNVHGSCTSTNENIIESNKNRSDHLQSVSPLASAFVDRFIADPSEHSDSPDLQSQQAVVLPQRLCSVCISTEEMNSEIHVSPMKNSFASEATEWLNSSSNAEKDLKRDEASFLVNLSLKDDKPKMADEGKESHKIALDEPLVPITTDNCMDTDLLMAPQVNQNKTDDVSLKLDDKGKNVSDEKPNNTSDFCCNSQGILQICAAGEQGVLHSSPQSLPELLQDVQVVSHDPDVSGEFILSADNQTPYDEDTAQLQRGMRKRLQFEAIENHKTDGECKKLIKNFICDITSEEPLEISLHMNTSDPSHVKPIEASGMKQGSQLISQGHLCKSVDRVDVSGQKKGDSSVTDPRPSGIGLHLNTVGSVGHNNCSMMVQALGKDSCMEEESFLGNSEQISQGSNSELVSPGLTGKISFTLTANSEKPMPDNTCDDTGDKHLQNDMMELTISSISHQTPLSTKPIECSMQPRLTDQYVTPCSLKRPLSVDDSKLNLSSQSSPRKKRKKASDNEGQKRCSCKRSKCLKLYCDCFAAGTFCSEVCGCQQCVNRPENEDTIHEARQQIESRNPLAFAPKESTGTTPSSARHKRGCNCKKSLCLKKYCECYQAGVGCSFGCRCEGCKNTFGRKDGCADIIEIEHMKSKEQKLVRDPSAENSKEKLVTREVNLHLSPLTPSIQSSNGVDVPKSLLPITYYASPETSASAIQCYEGSPGSPMNMISKSTFEMAREDNMLLVPDDQEIDFDIKVDTFSPGWDGFPDICNFSPLPNPPPSNDGASVSFKTKEPKILQTRLFQGSSLVGTPLRWRSSPVTPLPQFGKSKILLEPDSDNGPNKSVGDDAPEVLEDPCSPIKAVTASSPKQKRVSPPKRLFQETRSSSSSGLRSGRKFILQSVPSFPPLTPYSKNSRGSGT >Ma02_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25207990:25217483:-1 gene:Ma02_g18810 transcript:Ma02_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCKSHGSVSTVKLTPSGHESEGEGNPSPEHETCGGSSTCVDAFLADTLEICDDDSVSPECSKQSAELPQNVHGSCTSTNENIIESNKNRSDHLQSVSPLASAFVDRFIADPSEHSDSPDLQSQQAVVLPQRLCSVCISTEEMNSEIHVSPMKNSFASEATEWLNSSSNAEKDLKRDEASFLVNLSLKDDKPKMADEGKESHKIALDEPLVPITTDNCMDTDLLMAPQVNQNKTDDVSLKLDDKGKNVSDEKPNNTSDFCCNSQGILQICAAGEQGVLHSSPQSLPELLQDVQVVSHDPDVSGEFILSADNQTPYDEDTAQLQRGMRKRLQFEAIENHKTDGECKKLIKNFICDITSEEPLEISLHMNTSDPSHVKPIEASGMKQGSQLISQGHLCKSVDRVDVSGQKKGDSSVTDPRPSGIGLHLNTVGSVGHNNCSMMVQALGKDSCMEEESFLGNSEQISQGSNSELVSPGLTGKISFTLTANSEKPMPDNTCDDTGDKHLQNDMMELTISSISHQTPLSTKPIECSMQPRLTDQYVTPCSLKRPLSVDDSKLNLSSQSSPRKKRKKASDNEGQKRCSCKRSKCLKLYCDCFAAGTFCSEVCGCQQCVNRPENEDTIHEARQQIESRNPLAFAPKVVLYVSNPPKDSEESTGTTPSSARHKRGCNCKKSLCLKKYCECYQAGVGCSFGCRCEGCKNTFGRKDGCADIIEIEHMKSKEQKLVRDPSAENSKEKLVTREVNLHLSPLTPSIQSSNGVDVPKSLLPITYYASPETSASAIQCYEGSPGSPMNMISKSTFEMAREDNMLLVPDDQEIDFDIKVDTFSPGWDGFPDICNFSPLPNPPPSNDGASVSFKTKEPKILQTRLFQGSSLVGTPLRWRSSPVTPLPQFGKSKILLEPDSDNGPNKSVGDDAPEVLEDPCSPIKAVTASSPKQKRVSPPKRLFQETRSSSSSGLRSGRKFILQSVPSFPPLTPYSKNSRGSGT >Ma08_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8103301:8106222:1 gene:Ma08_g10970 transcript:Ma08_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPETAGEGKTLPDAWDFKGRPAVKSRTGGWSCAATILGVELCERLTTLGIAVNLVTYLTGTMHLGSAASANIVTNFLGTSFMLCLLGGFIADTYLGRYLTIAIFTAVQASGVTILTISTAAPGLRPPACDGSYSGGCARASGLQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDETDGAEKKQMTKFFSWFFFFISLGSLMAVTVLVYIQDNLGRPWGYGICAVAIVFGLVVLLSGTRRYRFKRLVGSPLTQVAVVVASAWRKRRLALPADPSMLYDLDSNVGGVENNKKKQKLLHSKQFRFLDRAAIVEDDAATEQSKRRLSTLTDVEEVKQVIRMLPTWATTIMFWTVYAQMTTFSVSQATTMDRRIGPSFEIPAGSLTVFFVGSILLTVPVYDRLVVPAARRVTGNPHGLTPLQRIAVGLALSVLAMCAAALTERKRLAAAWTDPTDTAGVVPLSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVTIVHKVTGESGKGAWLPDDLNKGKLYDFYWLLAVLSTLNLVVFVVAAARGYVYKEKRTADDSVDGVELEEEGFCHA >Ma04_p35980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34511349:34514672:-1 gene:Ma04_g35980 transcript:Ma04_t35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSAWAKPGAWALDAEEHEATMTKGDENASSETAQQQQDDFPSLAASKTPKKKKKAQAVSLAQFTTGRPVSHGAAGRVSSSSKGLTSDELLLLPTGPRERSAEELERSSARGFGYAYGARGRASGEDTNPTRWGSSRVSDEPRRGGFEGSGGGSNRDLEPSRADEIDDWGAAKKSFVPQRRERGGGGGGFFESQSRADESDSWISSKSVAAPPDGRRIGAGSGFDGPRSRMDGFEMFNKEGSNGGRADSESWGRKKDFTDSETWKRDEERSSGGRRRLVLQHRSLPLSNANTGEQALGEQEKESTEKKSRGSNPFGGARPREDVLAEKGQDWKKIDEKLEAMKIHNASLEKTSFGVTNGTRRSPENHTDGAWRKPETAEASPLREDKIESTVPEN >Ma11_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17599235:17601295:-1 gene:Ma11_g13320 transcript:Ma11_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20090 [Source:Projected from Arabidopsis thaliana (AT4G20090) UniProtKB/Swiss-Prot;Acc:O49436] MLSSNLSRPSAASKLRRALSSAPAAGRGGCDSDGNENDFDASASDSGPPLADEIFRSARRTGGYTQGDATYLALARAYADREPPNLPALRGLLARMRRERPRPFSERVFIPILRALGRAGRPLAAVRLFHRLLPSFGCSPSARSLNSALSALLSAPGGHHDHRLALSFFSSAVRRHPSLAPNLLTFNLLLKSLCRSGDLPGAIHLLRHLPLRGLRPDAYSYSTLIAALAAAGHLTDALALLDEMLLDGVPPAAPAFNAVIAALCRSGDLPRATNLVRYMLLKGCPPSLPTYNTVLHALCRHGRLAAAFRLLDRMARDGLAPNEVTCGAIVHGLVELGRPSDAHQVAEQMEQRGVRPNEFVYSALVTGFFRCGDVDQAARVWEAMASKGVRPNAVLFTALIDGLCRHGRMEAAEEAFAKMTVEGCSPNVVTFSAMMRGHFKSGNVERALETWKEMVGCGCEPNAISYSVLINGMCEAGRLKDGMMVWKHMLGRGCAPDVVAYTSMIKGLCGAGMADGGMRLLNDMLAGGDADPDAVTYNVLFDGLLKEGNVGWAMDLLGRMLDRGCDPDPVTCDIFLKALLVEGGEDGGKGREFMDGLVVRLIKRGRVGGAGKMVVVMLGKFLVPEMATWDLVLRGVFKRKKVVDGIGKCWEEMWKM >Ma07_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2411497:2413766:1 gene:Ma07_g03100 transcript:Ma07_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPAEVYFQVLNIAKDSSPQEIRTAYRALVKKWHPDKHPPSSRPEAEAKFKAISQAYEALNDQQENRSMVGANNDRPGGGVEPRHRSQELQKPRCAGNSAREFKDEYRSTKAGTVAATAVARPAFSSFSGPVKTKPPPVERKLECTLEELCRGSKKEIKFTRNVITNKGLIVRKEETQMVRVKPGWKKGTKITFEGMGDERRGCLPADAIFVISEKEHPVFKRKGNDLVMKVEVPLVNALTGWFFSFRLLTGEKMSCTFQDEIIYPGYEKVIKGQGMPSAHDKGVRGDLRIKFHIVFPTQLSDEQLSGIKELLKDMT >Ma00_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30839004:30839292:1 gene:Ma00_g03720 transcript:Ma00_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAHNILDLKHLLLRNSEVLQALRCPVPQSRVTATGPLVQRNTTRSHNCYLHSYNLQFKIHQRRL >mito3_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1906675:1906851:-1 gene:mito3_g00250 transcript:mito3_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFLLPCPFPLLLGHFLVLCCALSLSFVFVCHQSARVVLFSHKYSFRFYALKLVSVK >Ma07_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8479131:8479512:1 gene:Ma07_g11420 transcript:Ma07_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVESVQCFGRKKTAVAVGHCKRGRGLIKVNGVPIELVKPEILRLKQSKKEIKDILVRYDRTLLVADPRRCEPKRFGGRGARARFQKSYR >Ma06_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5204329:5215401:-1 gene:Ma06_g07310 transcript:Ma06_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMRGAVASWGKGRTFSSSAASLNKKWDAVVVGGGHNGLTAAAYLARAGLSVAVLERRHVLGGAAVTEEIVPGFRFSRCSYLQSLLRPSVIRELELARHGLKLLRRSPSSFTPCLDGRYLLLGPDAGLNHSEIAKFSKKDALAFPRYEEQLKKFCEFMDYLLESSTPEVRHDVASLLFQVKDKLRKSTFWGHILQQTVTLGQKDMLDFVDLLLSPASKVLNNWFETEVLKATLATDAVIGSMASVHTPGSGYVLLHHVMGETDGERGVWSYVEGGMGSVSLAISNAAREAGVHVVTNAEVDQIIIDENTGVATGVALLDGTKVHSSSVLSNATPYKTFMELVPPNILTEDFLRLIKNIDYSSGTTKINLAVSRLPQFGCCNAHVLEPGPQHVGTIHIGCESMESIDIACKDALNGIPSRRPIIEMTIPSALDRTISPPGQHVINLFIQYTPYELTKGSWQDPNVREAFAQSCFSLIDEYAPGFSSSIIGYDMLTPPDLEREFGLTGGNIFHGAMGLDSIFLMRPVKGWSDYRTPVKGLYLCGSGTHPGGGVMGAPGRNAASVVINDMKKMR >Ma01_p19730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15472857:15479981:-1 gene:Ma01_g19730 transcript:Ma01_t19730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASHPSAFSADAAWNPPPEPPERSSPPGETCDAAVGWRTAESEEHRNGGNAAGDRGWTPAWSVPANGASEAGPVMGADCWPSLPESASRVSIRSSSLDSLMALSDGPSAAAALGSMIASSQMKLNAKSTNPISSQDHDALGRQGPNSSPNLMKRRGGTGSSSAANHGPGASQEPHFISEMPPVSVDTSQGSSPQGIADHGNSNLGRHRRDEFLPQLQSGSNNQRSGYGGNKRGNAHHRRHGSRRDQEQGGYDWSGPRGFSGRDSHILMPLPQQRGQSQPFIRAPPPPTTAPFVGMPPYFRPFVSPLGYPAMFLPPADHQRFMLVKQIEYYFSPDNLCKDLFLRRNMDDQGWVPISLIASFNRVKQLTRDMPHILDAVRGSTVVEVQGEKIRKRGDWMKWMMPPTPNQYDLASGSQSPLTPGYDSVIAHMHTFGLDEGSSHHSMS >Ma01_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15472857:15479981:-1 gene:Ma01_g19730 transcript:Ma01_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASHPSAFSADAAWNPPPEPPERSSPPGETCDAAVGWRTAESEEHRNGGNAAGDRGWTPAWSVPANGASEAGPVMGADCWPSLPESASRVSIRSSSLDSLMALSDGPSAAAALDHDALGRQGPNSSPNLMKRRGGTGSSSAANHGPGASQEPHFISEMPPVSVDTSQGSSPQGIADHGNSNLGRHRRDEFLPQLQSGSNNQRSGYGGNKRGNAHHRRHGSRRDQEQGGYDWSGPRGFSGRDSHILMPLPQQRGQSQPFIRAPPPPTTAPFVGMPPYFRPFVSPLGYPAMFLPPADHQRFMLVKQIEYYFSPDNLCKDLFLRRNMDDQGWVPISLIASFNRVKQLTRDMPHILDAVRGSTVVEVQGEKIRKRGDWMKWMMPPTPNQYDLASGSQSPLTPGYDSVIAHMHTFGLDEGSSHHSMS >Ma03_p24790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29026265:29034297:1 gene:Ma03_g24790 transcript:Ma03_t24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRELLSQESTHLHPKPRKSPRPGPPPLCADRRSFDRPRRRSGCSSRPSDPSSSNSNASRVNSLGSAPPRSLVSAAAADDYVDDDPSADEAAARAVVSVLSGYTGRFLKDEAFRRRLRDKCTACIATARKGVAHAVLANLELGIESIEWLAEEGPHGAPRDSKIRSLRNSIRLLSVVASLNSPRSRAGGYTCGVPNAHLSACAQLYLAVVYKIERNDRVSAKHLLQVFVDAPYLARKNLLPDLWDHFLLPHLLHLKVWYNKEVELVASWDAEDRDQRMKGLNRAYNDQMDAGTAQFAVYYRDWIKSGGKAPPVPTVSLPPRPSYLEPWGKRSLSLSRSSINRDLYQAVFGLSLEPEDIGDNGVLIDDMQSALEREFDDNSASCKRGSLLHSNTGVKQREPDTVREHPISGAASVPRKSHSFRLFSCRSIPDAASVHHAQTPKKDFAVIGSQPCSNVQSSSLSRAIDLISQSDNLKECEAAVHIIAKAWHCTQGGTALVTALSTSSVIEGLLEVNFTSKDDEVLELSILILAELVARNDVNRQVVLHADPQLEIFLRLLRNHNLFLKAAVVLYLLKPKAKQMLSLDWIPLVLRVLDFGDEMQTLFTVQCHPKSAAFYLLEQLLMGFDVDRNVENSKQLVALGGLDLLIRRLEAGDAQESRNCASLLARCIRADGSCRQYLAMNIKKTPIVQLLGNQQKSHGSAISLLSELLCLNRTTQIMTLLKELKDDGFLNIMHVLLVYLHQAPLEQRPVAAALLLQLDLLGDPLQYSIHREEAIDALIAALERNLHNKKIQEKCSRALLLLGGRFSCSGEATSEAWLLKRAGLHDSLSDSFRSKEIFVDDNMRPEEEKVTEEWLRKLAIVLLSSGNKRFLVALSNCMADGIPGLARSCLVTVAWMSSSLVSWHNVNHLQSLVCSTLAPRLFESLSYHRAQEERVLASLSLFNFVRYPECLPKLFPMDKETICSLQDLAQVTWTAKELLFACCR >Ma03_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29026262:29034297:1 gene:Ma03_g24790 transcript:Ma03_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRELLSQESTHLHPKPRKSPRPGPPPLCADRRSFDRPRRRSGCSSRPSDPSSSNSNASRVNSLGSAPPRSLVSAAAADDYVDDDPSADEAAARAVVSVLSGYTGRFLKDEAFRRRLRDKCTACIATARKGVAHAVLANLELGIESIEWLAEEGPHGAPRDSKIRSLRNSIRLLSVVASLNSPRSRAGGYTCGVPNAHLSACAQLYLAVVYKIERNDRVSAKHLLQVFVDAPYLARKNLLPDLWDHFLLPHLLHLKVWYNKEVELVASWDAEDRDQRMKGLNRAYNDQMDAGTAQFAVYYRDWIKSGGKAPPVPTVSLPPRPSYLEPWGKRSLSLSRSSINRDLYQAVFGLSLEPEDIGDNGVLIDDMQSALEREFDDNSASCKRGSLLHSNTGVKQREPDTVREHPISGAASVPRKSHSFRLFSCRSIPDAASVHHAQTPKKDFAVIGSQPCSNVQSSSLSRAIDLISQSDNLKECEAAVHIIAKAWHCTQGGTALVTALSTSSVIEGLLEVNFTSKDDEVLELSILILAELVARNDVNRQVVLHADPQLEIFLRLLRNHNLFLKAAVVLYLLKPKAKQMLSLDWIPLVLRVLDFGDEMQTLFTVQCHPKSAAFYLLEQLLMGFDVDRNVENSKQLVALGGLDLLIRRLEAGDAQESRNCASLLARCIRADGSCRQYLAMNIKKTPIVQLLGNQQKSHGSAISLLSELLCLNRTTQIMTLLKELKDDGFLNIMHVLLVYLHQAPLEQRPVAAALLLQLDLLYSIHREEAIDALIAALERNLHNKKIQEKCSRALLLLGGRFSCSGEATSEAWLLKRAGLHDSLSDSFRSKEIFVDDNMRPEEEKVTEEWLRKLAIVLLSSGNKRFLVALSNCMADGIPGLARSCLVTVAWMSSSLVSWHNVNHLQSLVCSTLAPRLFESLSYHRAQEERVLASLSLFNFVRYPECLPKLFPMDKETICSLQDLAQVTWTAKELLFACCR >Ma05_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22845290:22846172:1 gene:Ma05_g18150 transcript:Ma05_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCDHHHHSSCCECSVCSDHSTLLTIAWVVGAFVLVVLLVVFITWLVLRPTKPIFYLKDATVYQFNLSLSDNILSTVLQATVSSHNPNSRIGVSYDRASVYVTYQNQQITLPTSIPPLYQGHHDINVWSPYLYGASVPVAPSICGSLQQDEAAGYLLLYLKIDGMVRWKVGTWTSGHYHLEVTCPAFFSFDSTSNGVAVVRFQRMSPCSVSV >Ma10_p26690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34261584:34268076:1 gene:Ma10_g26690 transcript:Ma10_t26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDWYRTQQSFQSGEWPRRKRAYRVCGGASSRSIAPPSYRLGQDHLFEQRNYSNVESDSTSNSGHQREENSVSYHQTGGIPIKVHMNQKISKPSEISHSSASVIEKLMGLDQLHDRGIVPKQQMPKHCCFQQPSLAASPERFRNAFQVMGSSKMEKNKTKPIIKTYPNLQKGELGLNFTRKKFVGEMGFSIDDTLSTAEESANALEDQDFNKELFVKFNQEPKFLFTRYRHNVNSHHGRSITKFKSLDGTKYEGSKMCCGFERKTKRSTGHRWNSSLFHDSFKAGSNFRSANLQYPVKTDPYTDPSQFFVSGPCLKKYHNMGSSISSPNDAQNVQLNNRIQATRSRFLELHAKRRDQQRLSSNEADVVTKAKGSMEISRDVKQLMKQHMNADTRRLSVVELDNARHKVGYGHFHGCKDMMYLGSKDCSCGGSFSKRSTTNERKKLQSFDGEVCKLPPQDMHMNLEEWRKESCGKTLKPDLTGLSVDNTEYLDGMFSVSNCMDMDLLLKNKRNMAQEVTADVISTLNEEMSCNHLKETSSDQPSSVCLPIFKGGTETQPQPLASNKQAVQLNLIYAQEFPSMEDNSEICMQFQIAKSNSEVTCENYSAFLKLPNVSNFQSLKKNKDLGEFRDEEERDFSYVLDMLIESGIDDAKKGRLYDASDLLEYDMCTDVFGKLEKKYNKLALWSSSERKLLFDLINSILVESLAPCLHPWVNSTHMVGPVWGLQGLAQKAWEILVRKRKELFTGNAEAKVLVPKWLDLEDDIDGIGKEIGEMLQEELLDELVSEFILG >Ma03_p16880.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21478599:21486700:1 gene:Ma03_g16880 transcript:Ma03_t16880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRCICRSVLSDVPTDLIIHVKNTKYLLHKCGLIQRLCSNADNETGQPIPIALHDIPGGEEAFELCAKFCYGITINLSAHNFVAAISAAKFLRMTESVAKGNFIVKLDLFFESCILLGWKDSIMTLQSLWRHSGWSDDHRRMQPCMNSVIEKILIHPSQVAWSYSYTRPGYTKKQQRSAAPKDWWTEDVSELDLDIFRSIISTIRSTKKFPPALIGEALHVYAFKHLPSPLEFQEQAQSSSARTDDILNKHQRVLEAIVSMIPTEPGSVSASFLFRLLKIACYVGASTSTKAELIRRSGRQLDETTASDLLIPSTTDPRSHDISTVAAVLESFLLQFRRHMPREETERMIMSMTKVGRIYDDYLQIIASDSTLPVSKFIELAESLPEMAREEHDGLYQAIDTYLKEHAELSKAERKRLCRLIDCRKLSPEARANAIANDQLPLRTIVQLLFIEQERVGGAGGSKGAPAISTLNEFSDAMAAQDEARRFRHGLEGGHRREEPAMGARTDNTTVAPSPSEPKIAKDERKKKAEGLGDKCDNKTK >Ma03_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21478599:21486700:1 gene:Ma03_g16880 transcript:Ma03_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRSVLSDVPTDLIIHVKNTKYLLHKCGLIQRLCSNADNETGQPIPIALHDIPGGEEAFELCAKFCYGITINLSAHNFVAAISAAKFLRMTESVAKGNFIVKLDLFFESCILLGWKDSIMTLQSLWRHSGWSDDHRRMQPCMNSVIEKILIHPSQVAWSYSYTRPGYTKKQQRSAAPKDWWTEDVSELDLDIFRSIISTIRSTKKFPPALIGEALHVYAFKHLPSPLEFQEQAQSSSARTDDILNKHQRVLEAIVSMIPTEPGSVSASFLFRLLKIACYVGASTSTKAELIRRSGRQLDETTASDLLIPSTTDPRSHDISTVAAVLESFLLQFRRHMPREETERMIMSMTKVGRIYDDYLQIIASDSTLPVSKFIELAESLPEMAREEHDGLYQAIDTYLKEHAELSKAERKRLCRLIDCRKLSPEARANAIANDQLPLRTIVQLLFIEQERVGGAGGSKGAPAISTLNEFSDAMAAQDEARRFRHGLEGGHRREEPAMGARTDNTTVAPSPSEPKIAKDERKKKAEGLGDKCDNKTK >Ma03_p16880.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21478599:21486700:1 gene:Ma03_g16880 transcript:Ma03_t16880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRCICRSVLSDVPTDLIIHVKNTKYLLHKFPLLLKCGLIQRLCSNADNETGQPIPIALHDIPGGEEAFELCAKFCYGITINLSAHNFVAAISAAKFLRMTESVAKGNFIVKLDLFFESCILLGWKDSIMTLQSLWRHSGWSDDHRRMQPCMNSVIEKILIHPSQVAWSYSYTRPGYTKKQQRSAAPKDWWTEDVSELDLDIFRSIISTIRSTKKFPPALIGEALHVYAFKHLPSPLEFQEQAQSSSARTDDILNKHQRVLEAIVSMIPTEPGSVSASFLFRLLKIACYVGASTSTKAELIRRSGRQLDETTASDLLIPSTTDPRSHDISTVAAVLESFLLQFRRHMPREETERMIMSMTKVGRIYDDYLQIIASDSTLPVSKFIELAESLPEMAREEHDGLYQAIDTYLKEHAELSKAERKRLCRLIDCRKLSPEARANAIANDQLPLRTIVQLLFIEQERVGGAGGSKGAPAISTLNEFSDAMAAQDEARRFRHGLEGGHRREEPAMGARTDNTTVAPSPSEPKIAKDERKKKAEGLGDKCDNKTK >Ma03_p16880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21478599:21486700:1 gene:Ma03_g16880 transcript:Ma03_t16880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRSVLSDVPTDLIIHVKNTKYLLHKFPLLLKCGLIQRLCSNADNETGQPIPIALHDIPGGEEAFELCAKFCYGITINLSAHNFVAAISAAKFLRMTESVAKGNFIVKLDLFFESCILLGWKDSIMTLQSLWRHSGWSDDHRRMQPCMNSVIEKILIHPSQVAWSYSYTRPGYTKKQQRSAAPKDWWTEDVSELDLDIFRSIISTIRSTKKFPPALIGEALHVYAFKHLPSPLEFQEQAQSSSARTDDILNKHQRVLEAIVSMIPTEPGSVSASFLFRLLKIACYVGASTSTKAELIRRSGRQLDETTASDLLIPSTTDPRSHDISTVAAVLESFLLQFRRHMPREETERMIMSMTKVGRIYDDYLQIIASDSTLPVSKFIELAESLPEMAREEHDGLYQAIDTYLKEHAELSKAERKRLCRLIDCRKLSPEARANAIANDQLPLRTIVQLLFIEQERVGGAGGSKGAPAISTLNEFSDAMAAQDEARRFRHGLEGGHRREEPAMGARTDNTTVAPSPSEPKIAKDERKKKAEGLGDKCDNKTK >Ma03_p16880.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21478599:21486700:1 gene:Ma03_g16880 transcript:Ma03_t16880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRCICRSVLSDVPTDLIIHVKNTKYLLHKFPLLLKCGLIQRLCSNADNETGQPIPIALHDIPGGEEAFELCAKFCYGITINLSAHNFVAAISAAKFLRMTESVAKGNFIVKLDLFFESCILLGWKDSIMTLQSLWRHSGWSDDHRRMQPCMNSVIEKILIHPSQVAWSYSYTRPGYTKKQQRSAAPKDWWTEDVSELDLDIFRSIISTIRSTKKFPPALIGEALHVYAFKHLPSPLEFQEQAQSSSARTDDILNKHQRVLEAIVSMIPTEPGSVSASFLFRLLKIACYVGASTSTKAELIRRSGRQLDETTASDLLIPSTTDPRSHDISTVAAVLESFLLQFRRHMPREETERMIMSMTKVGRIYDDYLQIIASDSTLPVSKFIELAESLPEMAREEHDGLYQAIDTYLKEHAELSKAERKRLCRLIDCRKLSPEARANAIANDQLPLRTIVQLLFIEQERVGGAGGSKGAPAISTLNEFSDAMAAQDEARRFRHGLEGGHRREEPAMGARTDNTTVAPSPSEPKIAKDERKKKAEGLGDKCDNKTK >Ma03_p16880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21478599:21486700:1 gene:Ma03_g16880 transcript:Ma03_t16880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRSVLSDVPTDLIIHVKNTKYLLHKFPLLLKCGLIQRLCSNADNETGQPIPIALHDIPGGEEAFELCAKFCYGITINLSAHNFVAAISAAKFLRMTESVAKGNFIVKLDLFFESCILLGWKDSIMTLQSLWRHSGWSDDHRRMQPCMNSVIEKILIHPSQVAWSYSYTRPGYTKKQQRSAAPKDWWTEDVSELDLDIFRSIISTIRSTKKFPPALIGEALHVYAFKHLPSPLEFQEQAQSSSARTDDILNKHQRVLEAIVSMIPTEPGSVSASFLFRLLKIACYVGASTSTKAELIRRSGRQLDETTASDLLIPSTTDPRSHDISTVAAVLESFLLQFRRHMPREETERMIMSMTKVGRIYDDYLQIIASDSTLPVSKFIELAESLPEMAREEHDGLYQAIDTYLKEHAELSKAERKRLCRLIDCRKLSPEARANAIANDQLPLRTIVQLLFIEQERVGGAGGSKGAPAISTLNEFSDAMAAQDEARRFRHGLEGGHRREEPAMGARTDNTTVAPSPSEPKIAKDERKKKAEGLGDKCDNKTK >Ma03_p16880.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21478599:21486700:1 gene:Ma03_g16880 transcript:Ma03_t16880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRCICRSVLSDVPTDLIIHVKNTKYLLHKFPLLLKCGLIQRLCSNADNETGQPIPIALHDIPGGEEAFELCAKFCYGITINLSAHNFVAAISAAKFLRMTESVAKGNFIVKLDLFFESCILLGWKDSIMTLQSLWRHSGWSDDHRRMQPCMNSVIEKILIHPSQVAWSYSYTRPGYTKKQQRSAAPKDWWTEDVSELDLDIFRSIISTIRSTKKFPPALIGEALHVYAFKHLPSPLEFQEQAQSSSARTDDILNKHQRVLEAIVSMIPTEPGSVSASFLFRLLKIACYVGASTSTKAELIRRSGRQLDETTASDLLIPSTTDPRSHDISTVAAVLESFLLQFRRHMPREETERMIMSMTKVGRIYDDYLQIIASDSTLPVSKFIELAESLPEMAREEHDGLYQAIDTYLKEHAELSKAERKRLCRLIDCRKLSPEARANAIANDQLPLRTIVQLLFIEQERVGGAGGSKGAPAISTLNEFSDAMAAQDEARRFRHGLEGGHRREEPAMGARTDNTTVAPSPSEPKIAKDERKKKAEGLGDKCDNKTK >Ma03_p16880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21478599:21486700:1 gene:Ma03_g16880 transcript:Ma03_t16880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGTKPDTFYTEEAVRCICRSVLSDVPTDLIIHVKNTKYLLHKFPLLLKCGLIQRLCSNADNETGQPIPIALHDIPGGEEAFELCAKFCYGITINLSAHNFVAAISAAKFLRMTESVAKGNFIVKLDLFFESCILLGWKDSIMTLQSLWRHSGWSDDHRRMQPCMNSVIEKILIHPSQVAWSYSYTRPGYTKKQQRSAAPKDWWTEDVSELDLDIFRSIISTIRSTKKFPPALIGEALHVYAFKHLPSPLEFQEQAQSSSARTDDILNKHQRVLEAIVSMIPTEPGSVSASFLFRLLKIACYVGASTSTKAELIRRSGRQLDETTASDLLIPSTTDPRSHDISTVAAVLESFLLQFRRHMPREETERMIMSMTKVGRIYDDYLQIIASDSTLPVSKFIELAESLPEMAREEHDGLYQAIDTYLKEHAELSKAERKRLCRLIDCRKLSPEARANAIANDQLPLRTIVQLLFIEQERVGGAGGSKGAPAISTLNEFSDAMAAQDEARRFRHGLEGGHRREEPAMGARTDNTTVAPSPSEPKIAKDERKKKAEGLGDKCDNKTK >Ma04_p07550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5450391:5460592:-1 gene:Ma04_g07550 transcript:Ma04_t07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSHVWVEDPQAAWIDGEVTAIKGGDATITTADGKTDVASLSSIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLASRYALNEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAIINDQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSCTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKKFKVADPRTFHYLNQTNCYEVANVDDAREYLETRNAMDVVGISQEEQEAIFRVVAAILHLGNINFDKGKETDSSKLKDEKSVYHLETAAELLMCDGKSLEDSLCKRVIVTPDGNITKPLDPESAALSRDALAKTVYSRLFDWIVDKINSSIGQDQSAKNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKSHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNASKCPFVANLFLPLAEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNSVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFVDRFGILEPDLIDGSCSSDEKTACAAICDRMGLQGYQIGKTKVFLRAGQMAELDARRMEVLANAARLIQRLIRTYLARREFLILRKASIQMQKMWRARLARKLYESMRREHASIRIQKYARSYAARKSYTNLRSSAIVIQTGLRAMAACNDYRHKRRTRAAIIIQTQWRLYKALSAYKQQKKATLILQCLWRGRIGRKELRKLRMAARETGALKEAKDKLEKKVEELTWRLEVEKHMRIDLEEAKQQEIAKLQTALQEMQEKLDEAHEAIIREKEVARVAIEQAPPVIKEVPVTDNTKLDLLTSRNKELEDELSIFKTKAEEFEGRYTEVQQKVEELLKDTEESNSKLNQLQEMIGRLETNLSGLESENKVLRQQALIASTNEDFSEQIKSLENKISTLESENQLLRDQPVVVYQPSVTSELIKPQPIKNLENGHTDGEPNLSKKEPEATPLAPDLSKQKSLTDRRQENHDALVKCLMEYKRFDKKRPAAACIVYQSLLQWHSFEAEKTNIFDRIIQTIRSSIENQENVGELAYWLSTTSTLLFLLQRTLKASNASNTGSHRSRATAVTLFSRLAWSTRSSSSGMGISSGYSGMVGKSEDQSRMEAKYPAILFKQQLTAYVEKIYGMIRDSLKKEISPFLTLCIQAPRSARARSIRGSSKSILSGIVAKQASSIHWQSIVKSMDCLLNTFCENYVPSMIIRKTFSQVFAFINVQLFNSLLLRRECCSFSNAEFVKAGLQELEQWCSKTTDQFAGTSWDELQHIRQAVGFLVLHQKPNKSLVEITNEICPVLSVPQIYRIGTMFWDDKYGTHGVSQDVIANMRTMMTDDSITMPNNSFLLDDDSSIPFSLDDISRSGLDISLTDLEEPPPPLLRENPEFLFLLQQHQG >Ma04_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5450391:5460592:-1 gene:Ma04_g07550 transcript:Ma04_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSHVWVEDPQAAWIDGEVTAIKGGDATITTADGKTDVASLSSIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLASRYALNEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAIINDQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSCTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKKFKVADPRTFHYLNQTNCYEVANVDDAREYLETRNAMDVVGISQEEQEAIFRVVAAILHLGNINFDKGKETDSSKLKDEKSVYHLETAAELLMCDGKSLEDSLCKRVIVTPDGNITKPLDPESAALSRDALAKTVYSRLFDWIVDKINSSIGQDQSAKNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKSHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNASKCPFVANLFLPLAEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNSVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFVDRFGILEPDLIDGSDEKTACAAICDRMGLQGYQIGKTKVFLRAGQMAELDARRMEVLANAARLIQRLIRTYLARREFLILRKASIQMQKMWRARLARKLYESMRREHASIRIQKYARSYAARKSYTNLRSSAIVIQTGLRAMAACNDYRHKRRTRAAIIIQTQWRLYKALSAYKQQKKATLILQCLWRGRIGRKELRKLRMAARETGALKEAKDKLEKKVEELTWRLEVEKHMRIDLEEAKQQEIAKLQTALQEMQEKLDEAHEAIIREKEVARVAIEQAPPVIKEVPVTDNTKLDLLTSRNKELEDELSIFKTKAEEFEGRYTEVQQKVEELLKDTEESNSKLNQLQEMIGRLETNLSGLESENKVLRQQALIASTNEDFSEQIKSLENKISTLESENQLLRDQPVVVYQPSVTSELIKPQPIKNLENGHTDGEPNLSKKEPEATPLAPDLSKQKSLTDRRQENHDALVKCLMEYKRFDKKRPAAACIVYQSLLQWHSFEAEKTNIFDRIIQTIRSSIENQENVGELAYWLSTTSTLLFLLQRTLKASNASNTGSHRSRATAVTLFSRLAWSTRSSSSGMGISSGYSGMVGKSEDQSRMEAKYPAILFKQQLTAYVEKIYGMIRDSLKKEISPFLTLCIQAPRSARARSIRGSSKSILSGIVAKQASSIHWQSIVKSMDCLLNTFCENYVPSMIIRKTFSQVFAFINVQLFNSLLLRRECCSFSNAEFVKAGLQELEQWCSKTTDQFAGTSWDELQHIRQAVGFLVLHQKPNKSLVEITNEICPVLSVPQIYRIGTMFWDDKYGTHGVSQDVIANMRTMMTDDSITMPNNSFLLDDDSSIPFSLDDISRSGLDISLTDLEEPPPPLLRENPEFLFLLQQHQG >Ma01_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20199694:20201920:1 gene:Ma01_g21130 transcript:Ma01_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCGYISREVADEYEKLVIRMNPPRVTMDNTSSSEVTVVQVDSANRQGSLLEVVQVFSDMKLNIKKAYITSDGRWFMDVFHVVHEDGNKLSDIEVVAKIEESLEARALRHRSISSVGIQAAAKHTTIELAGRDRPGLLSDISAVLTDLKCNVVASEAWTHNSRMALLIYVTDVATGKPIDDLKRLSEIKHLLGYVLKGNTDKEIARTAICMEPTHSGRRLHQMMFADQQYHEGDTDQGKEGAGNENRPLVTVENWEQKEYTVINIRSKNHPNLVFDTVCTITDMQYVIFHATINAEGPQAYQEYYIAKSDGCAVNSEGERKLLAHCLETAIKRRTTEGIRLELCCQDRAGLLSDITRIFREYGLSVTQAQVTTIGSQAVNTFYVMDASGNPVQCHTIDAVRSQIGQTILHVNGTATAAAGRSCPPKHNGRRLALGDLFRFSSQKFLHNLGLIKLQS >Ma04_p39130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36333568:36341535:-1 gene:Ma04_g39130 transcript:Ma04_t39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 1 [Source:Projected from Arabidopsis thaliana (AT3G29320) UniProtKB/Swiss-Prot;Acc:Q9LIB2] MSSSPFPSRSAIYSHLGTIPGSPLLRSSTVVYVPAPFFGGIGRGRRRSRRSISVRSVASDREVKDPVAEGGVASALNYSAYNASTIASSIKYHAEFTPSFSPELFELPKAYIATAESVRDALIINWNATYEYYEKMNVKQAYYLSMEFLQGRALLNAIGNLELTGHYADALRQLGHNLENVAEQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQNITKDGQEEVAENWLEMGNPWEIVRNDVSYPVKFYGKVIIGSDGKKHWIGGENIKAVAYDVPIPGYKTKSTINLRLWSTKVPSEDFDLAAFNAGHHAKASEAHQNAEKICYILYPGDESMEGKILRLKQQYTLCSASLQDIIACFERRSGNSVNWKEFPTKVAVQMNDTHPTLCIPELMRILIDVKGLTWNEAWKITQRTMAYTNHTVLPEALEKWSLELMQKLLPRHVEIIEMIDEELINFIILENGKEDLVLLEKKLKDMRILDNVDFPESVQKLFYKPKEETPKETSEATLKETPQGTSKESLKKTLKETRKDIPIKPKQAPLGKPLKPSGVLLDEKAEFEEVEPEEEDSEGEEPSFWKSNPKLPKMIRMANLCIVGGHGVNGVAEIHSEIVKQDVFNSFYKLWPEKFQNKTNGVTPRRWIRFCNPELSSILTKWIGTDDWVLNTEKLEELRKFADDEDLHSEWRAAKKSNKMKVVSLIRQRTGYIVSPDSMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAEDRISSFVPRVCIFGGKAFATYVQAKRIVKFITDVGATINHDPDIGDLLKVVFIPDYNVSVAEMLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEIADLRTERAEGKFVPDPRFEEVKKFVCSGVFGPGDYDELMGSLEGNEGFGRADYFLVGKDFPSYLECQEKVDEAYQDQKRWTKMSILNTAGSYKFSSDRTIHEYAKDIWDIKPVVLP >Ma06_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5022692:5023072:-1 gene:Ma06_g07030 transcript:Ma06_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSTRLQRQRSCACPPLDIVLRCGSLRSTAAGFTRISGDEWDDDSAAPTRRSSWSTAGTRLRGLWRRITREKRKVFNPAPAAYDPFTYAQNFDEGWAAEEEPENLSRSFSARFASPSGVLQRTRW >Ma08_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26324404:26330270:-1 gene:Ma08_g17610 transcript:Ma08_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIVEFSTSWVPMLVMIFYGGVFFSPLFRGSLRRWKGLSRLLGWFLLMLCLSIVFGTSVDDACGFCADDSPRDVCSGFSASLWFPSTLMGFGFRGEDSYRGPGFVARAGGSGSEWRPANVAAFKMADGGVVSCRLVDTVGATDDDGLSSGGENSAADDVASCVAPLVPDAWMRTSSGITADLDSGILNGSSSLNVEVSPPLLEWGENTLYTPSIALLTVKNTYKNGDLHVHEPFSPDPQYYAFGFRKLTLAPGESASIAFVFLPRWLGSSSAHLVLQTSFGGFIIQARGVAVESPYKIEPVIGIGVPSRRRLSRNFSLYNPFTDAIHVEEVSAWVSLSGHSNQSVHVVCGMDPLQHSSAKSDYLLSDAEWFRVESSKSGIGWLDIRPHKQWKVSAHKTEPILEMRLWPYAEGMILGAICLKMQSSTQDRTSTVVLPLELEVHRHTNYSDLSGSVSVDIESCGTCNGRQTVLIISLTNDGEDLLSLVNVSESTKRSKLFKVRYNKGLLLFPGTFTRIALISYSCSITPQYLLPDIPTEVMECKLLIETNDSVSPVIKIPCLDLVYANSNNEHGSGINVSDSSYISGLSRNEEGKHTYAIAGCSQSLADASFPMKPKLMEAFKADELILRNWRSQGRVTDVSVLEEHELTFPVVPVGTHFSKWISVHNPSQQAVIMQFVLNSGEVVDKCASADELHEHTFLSRVSEIDSVETRIGFSMSDSAVTEAFVHPCDSALFGPVVFRPLNRCMWRSSGLLRNNLSGVEWFPIRAFGGSHLLILLEDSEPVWKLEFNFHLPTNRPSADLFSHIENTSSLCNHRLSKEIYAKNIGELPLQVKKIKISGTDCALDGFMVHRCKGFALEPGESMKLLISYEADFYTDIVYRDLELAFATGIFVIPMKASLPVQMLNICRKTFSRTVHWKVPLLIFAAVSIFLLLTRIIPYSFLLDTEEYYVNVDDTINATNKAGKTSCLHHTTKFSRSSDEDENPKSEFVDEHQICQNVVLDSPKKRQDKQDFVHQKEITFSPPALTTKPVEVFDKHNLLEAPQSGSLTIRVVKEKGRRRKRRAIGTGLAAKLEVAGGQSGNSTPTSPLSPNVSTPKQPWCLSPESDSILNAGLSSEQKHQRTHDAVDTLGTRVSETEKHYERSSMMPSRKQYPITPKLTGKQTTSPSVDFLQSVSNEPFVPASSVSDAHSPIAPHARAPGPRSHKNKSIVMEMGNDGVGKEYTYDIWGDHFSDSFMIRKPRMVDASEGDSQSFFARDPLSLMMTPSTWYVSPGQKLSDTVKLP >Ma10_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27986303:27991606:1 gene:Ma10_g16170 transcript:Ma10_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MANPRPSSHRLLRSRLVPPPSISCYVLVLLAFSAFIFLFSQRQITVVDRNPSDESFEDLKERLWGSASGYGYRPCVKRTQKYAAPQKWNRYLTVRSNGGLNQMRTGICDMVAVARLMNATLVIPQLDKRSFWQDTSTFSDIFDESHFINSLEGDVHIVRELPKELESAPRARKHFTSWSGASYYEEMSQLWKDYQVIHVPKSDSRLANNDLPIDIQRLRCRAMYDALRFSNPIERLGKLVERLKSHGQYIALHLRFEKDMLSFTGCTYGLNDMEAEELRIMREITNHWKLKDINSTEQRLGGFCPLTPKEVGIFLQALGYPSSTWIYVAAGEIYGGETYLSDLRSRFPNLVFKETLATKEELKDFSSHSSQTAAIDYIISVESDVFVPSYTGNMARAVEGHRRFLGHRKTINPDRKGLVELFDMIDRGELKEGAKLSSLVSEMHKYRQGAPRKRYGSLPGSKGRDRLRTEESFYENPFPECICLADKH >Ma10_p16170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27986303:27991605:1 gene:Ma10_g16170 transcript:Ma10_t16170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MANPRPSSHRLLRSRLVPPPSISCYVLVLLAFSAFIFLFSQRQITVVDRNPSDESFEDLKERLWGSASGYGYRPCVKRTQKYAAPQKWNRYLTVRSNGGLNQMRTGICDMVAVARLMNATLVIPQLDKRSFWQDTSTFSDIFDESHFINSLEGDVHIVRELPKELESAPRARKHFTSWSGASYYEEMSQLWKDYQVIHVPKSDSRLANNDLPIDIQRLRCRAMYDALRFSNPIERLGKKLVERLKSHGQYIALHLRFEKDMLSFTGCTYGLNDMEAEELRIMREITNHWKLKDINSTEQRLGGFCPLTPKEVGIFLQALGYPSSTWIYVAAGEIYGGETYLSDLRSRFPNLVFKETLATKEELKDFSSHSSQTAAIDYIISVESDVFVPSYTGNMARAVEGHRRFLGHRKTINPDRKGLVELFDMIDRGELKEGAKLSSLVSEMHKYRQGAPRKRYGSLPGSKGRDRLRTEESFYENPFPECICLADKH >Ma10_p16170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27986386:27991606:1 gene:Ma10_g16170 transcript:Ma10_t16170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MVIVHVSSGPRNMQICDMVAVARLMNATLVIPQLDKRSFWQDTSTFSDIFDESHFINSLEGDVHIVRELPKELESAPRARKHFTSWSGASYYEEMSQLWKDYQVIHVPKSDSRLANNDLPIDIQRLRCRAMYDALRFSNPIERLGKKLVERLKSHGQYIALHLRFEKDMLSFTGCTYGLNDMEAEELRIMREITNHWKLKDINSTEQRLGGFCPLTPKEVGIFLQALGYPSSTWIYVAAGEIYGGETYLSDLRSRFPNLVFKETLATKEELKDFSSHSSQTAAIDYIISVESDVFVPSYTGNMARAVEGHRRFLGHRKTINPDRKGLVELFDMIDRGELKEGAKLSSLVSEMHKYRQGAPRKRYGSLPGSKGRDRLRTEESFYENPFPECICLADKH >Ma10_p16170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27986303:27991607:1 gene:Ma10_g16170 transcript:Ma10_t16170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MANPRPSSHRLLRSRLVPPPSISCYVLVLLAFSAFIFLFSQRQITVVDRNPSDESFEDLKERLWGSASGYGYRPCVKRTQKYAAPQKWNRYLTVRSNGGLNQMRTGICDMVAVARLMNATLVIPQLDKRSFWQDTSTFSDIFDESHFINSLEGDVHIVRELPKELESAPRARKHFTSWSGASYYEEMSQLWKDYQVIHVPKSDSRLANNDLPIDIQRLRCRAMYDALRFSNPIERLGKKLVERLKSHGQYIALHLRFEKDMLSFTGCTYGLNDMEAEELRIMREITNHWKLKDINSTEQRLGGFCPLTPKEVGIFLQALGYPSSTWIYVAAGEIYGGETYLSDLRSRFPNLVFKETLATKEELKDFSSHSSQTAAIDYIISVESDVFVPSYTGNMARAVEGHRRFLGHRKTINPDRKGLVELFDMIDRGELKEGAKLSSLVSEMHKYRQGAPRKRYGSLPGSKGRDRLRTEESFYENPFPECICLADKH >Ma03_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8562553:8824872:-1 gene:Ma03_g11360 transcript:Ma03_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLSAAAAAATTTATSPYLPPSFQHRGSGRPRGFIPARPLASRQRRPSPLVHSGCRISLLPPQRRGIHFRAKEKQFITTQPCGISKQYIDEIDGRVVEGLSRGYPGCVSCFQGHNRSRSYRTRYTPGVFLDKSACLFQKHLVGGRSVKLAHILHALGPEEPHVASTLSDTVLEKSGSTFWDPEAENAELEGFLNAPLPSHPKLHRGQLKNGLRYIILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKDSDADLVPCVLDALNEIAFHPKFLPSRVEKERRAILSELQMMNTIEYRIDCQLLQHLHSENKLSKRFPIGLEEQIKKWDPEKIQKFHERWYFPANATLYLVGDIDDIPKTEAQIEFVFGKTLAKSEMANIHTPSTFGAMANFLVPKLPGGLAGSLSNEKSSISFDQPQFTRRERQAVRPPVEHEWSLPGLDHNTKPPEIFQHELIQNFSFNMFCKIPVSQVCTYGDLRNVLMKRIFLTALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTAEPKNWQSAVKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEQLAAMVDSVPSVDNLEFIMESDALGHTIMDQRQGHESLVTVAETVTLEEVNSIGAEVLEFISDFGKPTAPLPAAIVVCVPSKVHIEGVGETEFKIYPHEITDSIIVGLKEPIHAEPELEVPKELISQAVLEELRVQRRPSFVPMSKEGNATKLFDKETGITQCCLSNGIPVNYKITENEARCGVMRLIVRGGRATETSVSKGAVVVGVRTLSEGGCVGNFSREQVELFCVNHLINCSLESTEEFIAMEFRFTLRDNGMRAAFQLLHMVLEHSVWLEDAFDRARQLYLSYYRSIPKSLERSTAFKLMLAMLNGDERFVEPMPESLQNLTLQSVKDAVMKQFVADNMEVSIVGDFTEEDIEACILDYLGTVSTAKSPNIEQSFEPIMFRPFPSDIHFQQVFLKDTDERACAYIAGPAASRWGFTAEGRDLFYLINASNMDDEMSNSDKIIHLEEKNVEKNGKKGIRSHPLFFSITLGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDWLNLGWYVISVTSTPSKVYKAVDACKNVLRGLHSNKIAQRELDRAKRTLLMRHEAETKSNAYWLGLMAHLQSSSIPRKDISCIKDLTSLYEAAMIEDIYVAYEHLKVDDTSLFCCIGVAGAQAGEDISDLGDEELDVGHHGMASSGRGLSTMTRPTT >Ma06_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20183254:20183644:-1 gene:Ma06_g23050 transcript:Ma06_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLKKDLRVSRVGPGGSLNAFFFLPIGVISQGLVMVREKGEQAHFF >Ma01_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8732441:8739692:-1 gene:Ma01_g12050 transcript:Ma01_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGGNIGSTSIDGVNLFSLSGQRFVSTWLPPKKLRALRKDKDYPQRVDLIQDLRFETATTKLKVTPDGDYVIASGIYSPQVKMFELRELSLKFVRHLVSESINFQAKALGILLHMMNTGRNRRKRRKRRWRLSKPHILRYFLFFGLLFCCYMST >Ma06_p36990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36194331:36198086:1 gene:Ma06_g36990 transcript:Ma06_t36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSFFCCGKTSDRRDKWKKNSSTWRVFSLKELQSATNNFNYDNKIGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAELQFAVEVEILGKVRHKNLLSLRGYCAEGQERLIVCDYLPNLSLISHLHGHNSAECLLDWDRRMSIAIGSAEGIAYLHHHATPRIIHRDIKASNVLLDSNFQARVADFGFAKLIPDDATHVTTKVKGTLGYLAPEYAMYGKASESCDVYSFGIVLLELASGKKSIEKPSPTVKLPITEWALPLARERKFKEIADLKLKGNYAEAELKRMVLVALICAQSMPEKRPTMLEVVDLLKGESKENLSDLENDEMFRPEPAGISQVLSGPNGSSDFISEEKNSEANANEMGS >Ma10_p29550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36107205:36108287:1 gene:Ma10_g29550 transcript:Ma10_t29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFLVIGLWHLFNHIKLHSLRPGAYTSRPWFPTSKLRRLELYLIILGSLASISMELVIGPEKHQPFDDDGTIPPNHLHNFEHASISLALLIYASFAIVFDRVNPRLRDEMTMLLAATAFALQLLMFHLHSADHMGVEGQYHRLLQIVIVVSLATTLLGVALPRSFLVSFVRAASIAFQGVWFVVMGLMLWTPSLIPKGCFMNREVGHMVVRCRSDEALHRAKSLVNLQFSWYTAAMAVFSMALYLWLSKVFPEEPQYLPLLKDAAREELEEQDLDLESQKKLMESESFLHTGKGLRAIELER >Ma01_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2517179:2517935:-1 gene:Ma01_g03790 transcript:Ma01_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAERLLGSVEAPPVHGAGTDLPDLAEYDVFWSSTDGGGHDDTGWRRTEMAPRAARPWVDRPGGGLSLALEDGCAPRRTVAASAPMEVPVWPSFLRVEPEGPVGWLGREEEEEEGGWVPPHEYLAREKGRSVATSVLEGVGRTLKGRDMSRVRDAVWSRTGFFG >Ma09_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13204014:13208097:1 gene:Ma09_g17650 transcript:Ma09_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MVAGAGLSSSSFHLLHLRPSSAFRSLNPLLPPKPPLIPSSSSRAAVRAHSFAGNFEWHGSAARAKRGSDGFWEDPDDGYDSELEEVDQELEDEEGEEVSGDQGDATISTVSQYDDLVKEVDLILEPEEKVFLQRNEVPDLSKISTMKWNPFHSLALSGQIPFMDKLLEDGSTDIDCLDKDGFTALHKAIIGKKEAVISHLLRRGANPQVKDPDGATPLHYAVQVGAMQTVKLLIKYKVDVNVADNDGWTPLHVAIQTRSRDIAKVLLVNGADKTRRNKDGKTPLDLSLCFGKDFKSYELAKLLKLVPANRNF >Ma03_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4221694:4228431:1 gene:Ma03_g06100 transcript:Ma03_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADSFLADLDELSDNEAHPDDEDLDAAAMEEDVDGDMPDIETLNYDDLDSVSKLQKTQRYNDIMQKVDDALEKGTDISSHGIVLEDDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEVDLTLVDLDGLLPSAIIMVVSVTASTTSGKPLSEESLQKTIDACDRALALDLAKKKVLDFVESRMGYIAPNLSAIAGTAVAAKLMGTAGGLSALAKMPACNVQLLGSKKKTLAGFSTATSQFRVGYLEQTEIFQSTPPSLRTRACRLLAAKSTLAARVDSIRGDPTGKTGRDLREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAVTDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSLAQSKLAAKVAKRFKEKQYGSSGATSGLTSSLAFTPVQGIELSNPQAHGNQLGSGIQSTYFSETGTFSKIKRM >Ma03_p06100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4221694:4228431:1 gene:Ma03_g06100 transcript:Ma03_t06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADSFLADLDELSDNEAHPDDEDLDAAAMEEDVDGDMPDIETLNYDDLDSVSKLQKTQRYNDIMQKVDDALEKGTDISSHGIVLEDDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEVDLTLVDLDGLLPSAIIMVVSVTASTTSGKPLSEESLQKTIDACDRALALDLAKKKVLDFVESRMGYIAPNLSAIAGTAVAAKLMGTAGGLSALAKMPACNVQLLGSKKKTLAGFSTATSQFRVGYLEQTEIFQSTPPSLRTRACRLLAAKSTLAARVDSIRGDPTGKTGRDLREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAVTDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSLAQSKLAAKVAKRFKEKQYGSSGATSGLTSSLAFTPVQGIELSNPQAHGNQLGSGIQSTYFSETGTFSKIKRM >Ma07_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11247089:11248328:-1 gene:Ma07_g14980 transcript:Ma07_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 2 [Source:Projected from Arabidopsis thaliana (AT5G59520) UniProtKB/Swiss-Prot;Acc:Q9LTH9] MRARQQSVMASTTVKAGPRWAILLALLLPLLSSQVYGHGGGDDTDSGDQPVNLRAKGLVAVKIWCLVILLVSTFAGGVSPYFFRWNESFLLMGTQFAGGVFLGTSLMHFLADASSTFMDRTSNPYPFAFMLASAGYLLTMLGDCIIVTLTRGGTKEAKVEAERGGDSDGHRNEDDPHLHPSFLRTTSFGDTLLLILALCFHSVFEGIAIGVSDTKGSAWRNLWTISLHKIFAAIAMGIALLRMLPKRPFLTTVAYSLAFAISSPVGVGIGIAIDATTEGSTADWIYCISMGLACGVFIYVAVNHLIAKGFKPYKPSSLDTPFFKFLAVLAGVGVIAVVMIWD >Ma07_p28220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34346722:34350800:1 gene:Ma07_g28220 transcript:Ma07_t28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEFKNKSELRVFESTDELATDLAEYISQLSENSVKESGCFTIALSGGSLISFMGKLCEAPYSKTVDWTKWYVFWADERAVAKNHVDSNYKLTKDAFLSKVSILSSHVFSINDHVTVEDAAGEYEFAIRQLVKIRTVGVSERNDCPRFDLILLGIGPDGHVASLFPHHPALELKEEWVTYITDSPKPPPERITFTLPVINSASNIAIVATGEEKAMAANLAIAGTNENSDSSSLPARMVQPTDGKLVWFLDSAAASALEVSNGGSKDAM >Ma08_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22613242:22621879:-1 gene:Ma08_g17060 transcript:Ma08_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] MELVPLSRSSIFAPPINRLQRRNNLKWKQSKPSVSRKAGIACAGAKPPRNSGVSSQESKRIENLYQKEGRRLLRLLEGLRSRASPEVCGEHHKYFAINGDVGLASIPKKVDEVAKVIIPGLSDDSGGSPISSCYWEWKPKISVHYEKSGSQNVDAPAVLFLPGFGVGSFHYEKQLEDLGRDYKVWALDFLGQGKSLPSEDPAPVDNREGDSEDNDVLWGFGEEPKPWARELVYSIDLWREQVQHFVEQVIGEPVYVVGNSLGGFVALYFAACNPQLVRGVTLLNATPFWGFLPNPVRSPRLSKFLSWSGTFPLPSNVRRLTQLVWEKISDPSSIQEVLKQVYADHSINVDKVFSHIIEITQHPAAAASFASIMFAPRGQLSFQEALSRCQVEGVPICLMYGREDPWVRPIWGFQVKRQLPEVPYYEISPAGHCPHDEVPEVINFLLRGWIKNLESQGSVSLPLLEEPDYVQYEILKELEYVKEGSHKSIKVRFLGSNFSFWNLIISSLKLRLASLLASFR >Ma10_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26456465:26457167:-1 gene:Ma10_g13790 transcript:Ma10_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCTSGCKCGSGCGGCSMYPDLGGERGTTTASIINLGAMTGSVEGFEMAAGSEGGGCNCSQCKCSGKCSCSCCGCN >Ma07_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6487941:6492775:1 gene:Ma07_g08710 transcript:Ma07_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGREAERWVGYVDWRSRPALRSKHGGMLAASFVLVVEIMENMAFLANASNLVTYLAEFMHFSPSRSATTVTNFMGTAFLLALLGGFLSDAFFTTYHIYLISALLEFLGLVILTVQAKSAALKPPGCDISDASQTPCQEVTGGKAAMLFAGLYLTALGVGGIKGSLPAHGAEQFDESTIQGRKARSTFFNYFVFCLSCGGLIAVTFVVWVEDNKGWQWGFGISTVTILLSIPVFLAGSRTYRNKIPTGSPLTTITKVLLAAVLNRSCHQNPRNAVIDMVPSPVKTLEMEGKEGESSKEDMIRTEGLDKELKCLNRAAEGKPIHRALACTVVEVEDVKIVLKVLPIFLSTIMLSCCLAQLSTFSIQQAATMDTRVNGLTVPPASLPVFPVVFIMLLAPIYDHVIVPFARKVTNTEMGISHLQRIGFGLVLSVVAMAVAALVEVKRKRVARDAGLLDSAEHLPITFFWVAFQYLFLGSADLFTLAGLLEFFFSEAPAGMRSLATSLSWASLAMGYYLSSVLVSIVNKVTDRGRHQPWLSGSNLNHYHLEQFYWLMCVLSALNFLHFLFWATRYRYRSNGHKS >Ma05_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35660828:35660929:-1 gene:Ma05_g23540 transcript:Ma05_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISSKSCQKLTKSRIITLILPKFKLLVLITQ >Ma09_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29082516:29088422:-1 gene:Ma09_g20350 transcript:Ma09_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVIISGNLGVQIMFGVYTVLLNGVFAAGVNPLFLTILGNLVTGVILLPFAVVCDKTKWPAKLSATLLSHFFLIALGGATLCQALNLVGIKKASPDVASAMPNLTPGLIFIIAACLRFEKFDASCWYSRAKVMGTLVCLTGTMAMCFLQSPSESPSSTINLSAALAKPLTLDKVIYKDWIVGCLCLLAGAISQSCTTVLQAATTLEFPAPTSLVVITSLMGSLLTALLQFLTEGNINAGSSTLSITSIAGVVSLIGVVAAIGITFQTWCIIKKGPVLVAIFSPIQTVTTVVLSAILLRQIITLGSLAGMVLMFAGLYIVLWAKKNEHCSLFDADGDENLPVEDVETPLLLS >Ma09_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35464608:35468502:-1 gene:Ma09_g23660 transcript:Ma09_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVFRRLPLRLHSICGTFARSFVTTSAALPVFSEFDVLAQEEAAGHGEEQPRGSLSSRVERLPKGESVALAFQNWMGEGSAVDRGEIFHAVNRLRKRKMNRRALEVMEWVVRERPYKLSELDYSYLLEFTTKIHGISQAESLFLRIPHNYQNVLLYNNLVMACLDKGLIRLSLAYMRKMRELDFPISPYVYNRLILLHSSSSRKKTIPKILIQMKADGVSPHVSTYNILLKIEADEHNLEGLSKVFNDMKRAKVEPNEITYGILAMAHAVARLYTISETYVEAIERSRTDNNWSTLDILLILYGYLGKEEELQITWKCVKELPYVRTKSFILAIEAFGRGSSVDIAEEIFAEMKSIKQLKTTKQFNSIISVYCRNGLVDKASEVFKEMEANGCRPNAITYRHLALGCLKAGLWDEALKTMNLGKNEDLSFRVRRSTPWLETTHMLLEVFADMGDLEKAKSLFKEFKETKYCRYTFVHNTLLRAYVKARVYDSEILRKMILAGASPDAETYSLVRFIEQFKS >Ma08_p31620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42467474:42474163:1 gene:Ma08_g31620 transcript:Ma08_t31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLATAAAITDQRQKIEQYKLILASVLSSSSTDVTQAKRFIDHMVSDEVPLMVSRQLLLTFAQELGRLETDVQKEIAHYGLAQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTYKLSKCVQIARLYLEDDDAVNAEAFINKASFLVNNSQHEVLNLQYKVCYARILDLKRKFLEAALHYYGISQIEQRQIGDEEIDEDALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVNLERILRKPEIDAFAEELKAHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFEELGALLGIPPQKAEKIAARMIYEDRMRGLIDQVEAVIYFEDDTEELRQWDQQIAGLCQAFNDILDGMTSKGTPVPV >Ma06_p34200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34552882:34556187:1 gene:Ma06_g34200 transcript:Ma06_t34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRRRTFLLAPRTTLPMKRTLVGSGRKSGKHPVFVFVFTAFLFFTFVYNEDIRSFAEYSFSGSRAKSQVLARQELVVDTPRHEANVADGFHRREESEDDDEEGEDSKKGKTVVERTAGDTAARDRSPPAKKDHREPESNTANMSVVVDVPQVVQLPSQHKAEAEAEAKPQRGVLNVPETCDLFDGWWVYDDVSYPLYKEHECRFLTEQVTCLRNGRRDDTYQKWRWQPRDCDLPRFDARLLLERLRGKRLMFVGDSLNRNQWESMVCLVQSAISRGKKTLTKHGSVSVFRAEEYNATVEFYWAPFLVESNSDDPNIHSIQNRIIMADSIAKHGKHWQGVDYLIFNTYIWWMNTAKMKLLRGSFDRGSTKYDEVSRPAAYRRVLTTWAKWVRRNVNPKKTMVFFMSLSPNHMRSTDWGNPKGIKCAMETTPITNISRPFKLGTDWRLFREEEEVIRGMRFPVSFIKITAMTEFRKDAHTSVHTLRQGKLLTREQQADPASFADCIHWCLPGLPDTWNEFVFVRIASRPWRS >Ma07_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26638043:26641076:-1 gene:Ma07_g18720 transcript:Ma07_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLHFHMQWKWLEDELMLDLCDLRGQGVTVAESKMYTHIRFDKKAEKSIKSIFKLLKQMDDKYVSCCTNGKDSWMVTEILREARAITISLLQSIFKFLSMPTPQGKASRWSLISKALQKRKVACEGDQEEIEDNEGKIQRAQNQLRTLQNSIEDIETGLECLFRRLIKNRVSLLNILSL >Ma07_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30136744:30140093:-1 gene:Ma07_g22070 transcript:Ma07_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSSSVGGSAARGSNDSLHGLTFGKKIYFEDGVGGGGGGGSSKAPPAPPTAAPKKGKTVLQRGQQQPPPRCQVEGCEADLTKVKAYYCRHKVCGMHSKSPRVMVGGMEQRFCQQCSRFHQLAEFDQGKRSCRRRLAGHNERRRKLPPGSFASRHGLSFHGPSRFRNFVMDFSCPKISSTARNVWPTARPGNQVASNQWHGFVDSSSDAAAAAACSAHRYLQGSPGQILYSSPEPSLGECLGGASDASCALSLLSSEPWGSRTTRSKVPPTVSASSGFNSASSAHSIVSANHTAESWGLRDHGSRNSSQELRHEAGTARVNLAGGALFSGQLELALQGNGQCLGHGSGREYDHSDHVIHWSL >Ma05_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6847779:6848097:1 gene:Ma05_g09460 transcript:Ma05_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASKMRALLLAYVLIAVLFHPLLSQGVMASPADSTTAKRIDGKAIDQGIAYVLMLVALLVTYLVH >Ma04_p22760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25008527:25022322:-1 gene:Ma04_g22760 transcript:Ma04_t22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEERPDCASPEVGTTADGANHLLVREVKDSPDWLPSGWVLETRTQKNGAYAGREIKCYYNPSTGSRFYSKKQVFRHLNARKDFSPTIRETRSITSSNMKKPCTSTSQEKSIKESSSNNVCSYAPTGYGSNELPHGWIKEIRFRKYKRGKPVNETLYIDPDGEYAFRSLKDAFRYIETGDVNKCASKPQKRSIRELHTVERELHPAAAAKRLHWRRDASRRCLFPEKGTDSDVKMATEINKSPQWSNLPPSGTKVADSLHVRHFDGVAFTGQTLDLGKEKLSEPAIGKPSDSVNDKLSDAMIEAPKASQECSQLKQFFLNDECLSNGFDEMQQANMRQVKHVPLVPLRQQNGNLLAPETNSLDETNLTLKNEEQSEPKKSRGRHKRGFTRAKAIKPMKMPLRASKRLAALRGNQMSNSGAIQRSNGNKSASVLAQSAQSDLVNKRVHQKNVTPIIDLEQSDDLESHKHASEESNAKKQVQSGRSSSEKPLAPYRSPFGDSWPDPCLEFAFKMLTSDIPVFEETGDIQEYFHQALLSTKNSILGGPASLTKFTSSSQSENLVQMEPWGTQVCFRSDGSACSLQKGQQSSKKAT >Ma04_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25008527:25022322:-1 gene:Ma04_g22760 transcript:Ma04_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEERPDCASPEVGTTADGANHLLVREVKDSPDWLPSGWVLETRTQKNGAYAGREIKCYYNPSTGSRFYSKKQVFRHLNARKDFSPTIRETRSITSSNMKKPCTSTSQEKSIKESSSNNVCSYAPTGYGSNELPHGWIKEIRFRKYKRGKPVNETLYIDPDGEYAFRSLKDAFRYIETGDVNKCASKPQKRSIRELHTVERELHPAAAAKRLHWRRDASRRCLFPEKGTKVADSLHVRHFDGVAFTGQTLDLGKEKLSEPAIGKPSDSVNDKLSDAMIEAPKASQECSQLKQFFLNDECLSNGFDEMQQANMRQVKHVPLVPLRQQNGNLLAPETNSLDETNLTLKNEEQSEPKKSRGRHKRGFTRAKAIKPMKMPLRASKRLAALRGNQMSNSGAIQRSNGNKSASVLAQSAQSDLVNKRVHQKNVTPIIDLEQSDDLESHKHASEESNAKKQVQSGRSSSEKPLAPYRSPFGDSWPDPCLEFAFKMLTSDIPVFEETGDIQEYFHQALLSTKNSILGGPASLTKFTSSSQSENLVQMEPWGTQVCFRSDGSACSLQKGQQSSKKAT >Ma04_p22760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25008527:25022322:-1 gene:Ma04_g22760 transcript:Ma04_t22760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEERPDCASPEVGTTADGANHLLVREVKDSPDWLPSGWVLETRTQKNGAYAGREIKCYYNPSTGSRFYSKKQVFRHLNARKDFSPTIRETRSITSSNMKKPCTSTSQEKSIKESSSNNAPTGYGSNELPHGWIKEIRFRKYKRGKPVNETLYIDPDGEYAFRSLKDAFRYIETGDVNKCASKPQKRSIRELHTVERELHPAAAAKRLHWRRDASRRCLFPEKGTDSDVKMATEINKSPQWSNLPPSGTKVADSLHVRHFDGVAFTGQTLDLGKEKLSEPAIGKPSDSVNDKLSDAMIEAPKASQECSQLKQFFLNDECLSNGFDEMQQANMRQVKHVPLVPLRQQNGNLLAPETNSLDETNLTLKNEEQSEPKKSRGRHKRGFTRAKAIKPMKMPLRASKRLAALRGNQMSNSGAIQRSNGNKSASVLAQSAQSDLVNKRVHQKNVTPIIDLEQSDDLESHKHASEESNAKKQVQSGRSSSEKPLAPYRSPFGDSWPDPCLEFAFKMLTSDIPVFEETGDIQEYFHQALLSTKNSILGGPASLTKFTSSSQSENLVQMEPWGTQVCFRSDGSACSLQKGQQSSKKAT >Ma10_p17140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28633272:28647322:-1 gene:Ma10_g17140 transcript:Ma10_t17140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPALSISRPSILLCLAATPSSRRSRQMVSLRTRVESWIREQTARVGISWPPSMPPQWRWPPWRGRRDRREQEKALREEFERQRLQLNDLCRAVKVDSVADLQEILCSMVLSECVYKRPTADMIWYINKFKSDFGGQIVSLERVQPSLEHVPHRYLLAETGDTIYASFIGTKQYKDVIADANILQGVIFHEDNVEDDLSDTESDQLDNPKKYDKNLGKPFQAKRKRLKESPKPAAHRGFLARAKGIPALELYKLAQEKNRKLVLCGHSLGGAVAVLSTLAILRVLASSPLAKEHENVPVKCITFSQPPVGNAALKDYVHQKGWQHYFKTYCIPEDLVPRILSPAYFHHYNAQVQQPSSDDVLVKQEDETSKSNSKKPKRNNGERLVLGVGPVQTSFWRLSKLVPLEGVRKHLNVFRKLGTEGGGTSSIDNGNMQSAIYETDPEPQTLEIQEGSDGISLTPFLDTEKGTIESNGNYVTGKSSAGVAESQGWRRVPYLPSYVPFGQLYLLGSSSVESLSDAEYSKMTSVRSVITELRERFQSHSMKSYRSRFQKLYEICMCINTPPFLGMEQLPQFPHLQQLLGLAAPGAVELAHIMEPPVIQTATSILPLGWTGVPGDRNAQPLKVDIVGHGLHLCKLVQAQVNGSWCSTVVESLTPLPAYPTNDGMQTQTQKMRIFIGPPLKQPPKYPVIDDSLRPGFSIAERASLGPDCNIESSSEDGKLCSGNFDTFIVYCTSDFLTVCKEVHVRTRRVRLLGFEGAGKTSLFRALLAQGRQRNNANFDIMHADVGSPEGVVGGIRYLDSVGVNLQELHLEVSRFREELQIGARELSRKTDLVVLVHNLSNKIPWFNDTSTSVPALSLLLNEAKAHEIPWVLAITNKFSVSAHQQKMLIDSAMEAYEASPDMTVVVNSCPFVIPTASSNLQPLHSVDDNFVGNESNQKVWLLPFNIARLSFQKKLAVMPVEGITAFRQLVHRVLASNEEMAFQELANERFSLQLAKEQENSVNVKQDSEAKESSVTAAAVGASLGAGLGLVMAVVMGAASALRKP >Ma10_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28632529:28647322:-1 gene:Ma10_g17140 transcript:Ma10_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPALSISRPSILLCLAATPSSRRSRQMVSLRTRVESWIREQTARVGISWPPSMPPQWRWPPWRGRRDRREQEKALREEFERQRLQLNDLCRAVKVDSVADLQEILCSMVLSECVYKRPTADMIWYINKFKSDFGGQIVSLERVQPSLEHVPHRYLLAETGDTIYASFIGTKQYKDVIADANILQGVIFHEDNVEDDLSDTESDQLDNPKKYDKNLGKPFQAKRKRLKESPKPAAHRGFLARAKGIPALELYKLAQEKNRKLVLCGHSLGGAVAVLSTLAILRVLASSPLAKEHENVPVKCITFSQPPVGNAALKDYVHQKGWQHYFKTYCIPEDLVPRILSPAYFHHYNAQVQQPSSDDVLVKQEDETSKSNSKKPKRNNGERLVLGVGPVQTSFWRLSKLVPLEGVRKHLNVFRKLGTEGGGTSSIDNGNMQSAIYETDPEPQTLEIQEGSDGISLTPFLDTEKGTIESNGNYVTGKSSAGVAESQGWRRVPYLPSYVPFGQLYLLGSSSVESLSDAEYSKMTSVRSVITELRERFQSHSMKSYRSRFQKLYEICMCINTPPFLGMEQLPQFPHLQQLLGLAAPGAVELAHIMEPPVIQTATSILPLGWTGVPGDRNAQPLKVDIVGHGLHLCKLVQAQVNGSWCSTVVESLTPLPAYPTNDGMQTQTQKMRIFIGPPLKQPPKYPVIDDSLRPGFSIAERASLGPDCNIESSSEDGKLCSGNFDTFIVYCTSDFLTVCKEVHVRTRRVRLLGFEGAGKTSLFRALLAQGRQRNNANFDIMHADVGSPEGVVGGIRYLDSVGVNLQELHLEVSRFREELQIGARELSRKTDLVVLVHNLSNKIPWFNDTSTSVPALSLLLNEAKAHEIPWVLAITNKFSVSAHQQKMLIDSAMEAYEASPDMTVVVNSCPFVIPTASSNLQPLHSVDDNFVGNESNQKVWLLPFNIARLSFQKKLAVMPVEGITAFRQLVHRVLASNEEMAFQELANERFSLQLAKEQENSVNVKQDSEAKESSVTAAAVGASLGAGLGLVMAVVMGAASALRKP >Ma01_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5555509:5566531:-1 gene:Ma01_g07670 transcript:Ma01_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIKRHPHRSNEIPSPSPAGGAGATSAPPASAVSPSCASDHRATAAPAPPSPAEPPRSAAAGDDRQDYFSSEEEFQVQLALAISASNTEFTGDLDGDQIRAAKLLSLGRDRIDQDREEGTAESLSRRYWDYNVLDYDEKVVDGFYDIYGLSGNAANHGRMPSLIELQTSIGDLGFEVIVVNRPIDPALVELEQVAQCICLGCPTAEMGLLVQRISELVMEHMGGPVRDANDMLTKWMEKSTDLRTTQQTSLLPIGCIRVGLSRHRALLFKVLADNVGIPCRLVKGSHYTGVDDDAVNIIKFDAREFLVDLMAAPGTLIPADVLSLKDTSYKPKASRTVSPSTSKPEDDLFKVERLREVHNNGNEIPLLDMNKVHDKRLRYEKSIVIPSVRSDHNGESSTTSGCTSMGNTSLCMQDQADQFTSSTSATCSKQKAIVVAETDGDNMGKGKVNTAFNPQDSVGSTNLFAELNPFQVIGVDKGAPHFKTTDITNSGYQRCREKVALGPGRSQVPLVWKGRSACNEVPNTKHNNFVEESIPQRNFVLKSPSPKMPNLAAKVYSGGLISGSSVISNSSGAVSSENQTSDASLNMGHSSSDYIKSGIASGKNLNHEVHRHRLDVQKDHSLVSEKYLIDDHACQLVLKNRQSHELKGHGKHLKEKHDPKNCSRDRYMETSISSIEQGSSSSQARPSQFDPMLDDVAECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNVVLFMGAVTRPPNLSIVSEFLPRGSLYRILHRPNCQIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDKNWTVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNENSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQERRLDIPKEVDPLVASIIWECCQTDPNLRPSFAQLTTALRSLQRLVIPSYQETHSPPVAQEISVNLTP >Ma05_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7822032:7822240:1 gene:Ma05_g10730 transcript:Ma05_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTSQVMSSRDDPQDQIINAGCSCCYDCLTSCFDYICCYCLWDC >Ma03_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2131430:2139545:1 gene:Ma03_g03150 transcript:Ma03_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKQKHVPGYEDPTVLASETTFTVSEVEALYELFKRISYSITKDGLIHKEEFRLALLKNSNEHNFFADRIFDLFDIKRNGVIEFGEFVRCLSIFHPNTEVAEKVSFAFRLYDLKNTGYIERDELKEMVLAILSETDVCLSDDVIEAIVDKTLLQVDRNGDEKIDPEEWKEFVAQNPSLLKNMTIPYLMDITTAFPSFVVMTTIDEEDM >Ma10_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25120685:25132480:1 gene:Ma10_g11700 transcript:Ma10_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYESLTVQWGNQDDYEVVRKVGRGKYSEVFEGINITNNERCIIKILKPVKKKKIKREIKILQNLCGGPNVVKLFDIVRDQQSKTPSLIFEYVNSTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPNKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYRLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHLDRLTAREAMAHPYFLQVRAAENSRMRTQ >Ma07_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:193470:205263:-1 gene:Ma07_g00190 transcript:Ma07_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWKDSGVPADSFYEARSESAEGPKSKFKIKAGKTLSARRWHAAFSPDGHLDIASVLSRIQRGGVHPSIRGEVWEFLLGCFDPKSTFEEREQQREHRRVQYARWKDLCQALDSHVGSGRIITAPVITEDGQPIQDPLVLLEANPDQSKGQANGGSEAEPYLDKQVIEWKLTLHQIGLDVLRTDRTLVFYEKKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPLIILLEDEADAFWCFERLMRKLRGNFRCTERSVGVENQLQSLASITQVLDPKLHQHLETLGGGDYLFAVRMFMVLFRRELSFGDSLYLWEMMWALEYYPDMFAMYEEPELLTEKNDVSKGKVKSIRQFGKFERENLKNGTKTSEAPLPITVFLVASVLKEKSARLIQEARGLDDVVKAKK >Ma07_p00190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:193470:205263:-1 gene:Ma07_g00190 transcript:Ma07_t00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWKDSGVPADSFYEARSESAEGPKSKFKIKAGKTLSARRWHAAFSPDGHLDIASVLSRIQRGGVHPSIRGEVWEFLLGCFDPKSTFEEREQQREHRRVQYARWKDLCQALDSHVGSGRIITAPVITEDGQPIQDPLVLLEANPDQSKGQANGGSEAEPYLDKQVIEWKLTLHQIGLDVLRTDRTLVFYEKKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPLIILLEDEADAFWCFERLMRKLRGNFRCTERSVGVENQLQSLASITQVLDPKLHQHLETLGGGDYLFAVRMFMVLFRRELSFGDSLYLWEMMWALEYYPDMFAMYEEPELLTEKNDVSKGKVKSIRQFGKFERENLKNGTKTSEAPLPITVFLVASVLKEKSARLIQEARGLDDVVKILNETNGDLDAKKTCSHAIRLHKKYLKMAKK >Ma04_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10786526:10787089:1 gene:Ma04_g14230 transcript:Ma04_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLNHPEALQKVHDEIETHVGHQRLVADSDLSNLRYLNSVIKETLRLFPPGPLLVPRESTMECKVGGLHVPRGTMLLVNAHMVHRDPEVWANPTRFMPERFETEEGEGYKFIPFGAGRRRCPGQALAEKTMGLALASLVQCVEWRRVGEEEVDLSESEGLTAPMAVPLEALCMPRQAMREVLSQL >Ma01_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4784692:4791711:-1 gene:Ma01_g06680 transcript:Ma01_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYPISPAASRFSSPFPLFVPSFRSLRTILWCQRFKNRLSVSVPLENARFRVRSLESNTSLGGDGEAAAEESAVTQEDLESQRLFEKLKGLEQHRIDKLEKFENKANLQLERQLVMASCWSRALLTFQGKLKGTEWDPENSHRIDFSEFWNLLNSNNVQFMEYSNFGQTISVILPYYRDGRRGERKENSNREIVYRRHIVDQMPVDGWNDVWSKLHQQLVNVDVINVDSVSAEVYGTIATAVVWSMRLALSIAIYLWVDSVMRPIYAKLIPCDLGPPMKKVRKPLKSDTLGSLGKSRAKFISAEETTGVTFDDFAGQEYIKLELQEIVRILKNDKEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAAARVKDLFSSARSYAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKESTSQILVIGATNRLDILDPALLRKGRFDKIIRVGLPTKDGRLAILQVHARNKYFRSEMEKNVLLQEVAELTEDFTGAELQNILNEAGILTARKDQDYIGREELLEALERQKGTFQTGQEDSEEMPEELKLRLAYREAAVAVLACFYPDSHCPFVETDIRSIRSKPNMRYTKVSGKPFLRKSDYVNSIVRACA >Ma03_p27860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31095423:31103362:-1 gene:Ma03_g27860 transcript:Ma03_t27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEEGGARNKHATAEGISNPDPSPIPPPPPRDAPLAAEVAPPSARTPFTSLSQVDADLALARALQEQERAYAMLRMNGADDSDYESSDAWTYDDDEEDEEGVGDEPDHVVEDGGSIEGSDYGEDAFDANNPNLDQPEVENNEAFARALQDAEEREVAVRLMALTGLNEWVPDDHRDHRGNSNDVWQDIDPDEYVYEELVALGEVVGTESRGLSADMIASLPSVNYKAENIQDDNSEQCVVCRLEYEDGDSLVLLSCKHKYHSECINKWLQINKVCPVCNAEVSTSGTKQN >Ma05_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2538511:2542978:1 gene:Ma05_g03480 transcript:Ma05_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDALESGEGRALLPLNVENESSHHGPRSHYKPSNSKAPAIILGFEYLDSVAFNGVGANLIVYLHTVLHGNNAANAANVGTWSGTCFLTPLFGAVVADTYWGNYKTILYSLVVYLLGMITITSAAFSASSKLYQCEGGSCQTASSLLFCGLYLVAIGSGGVKAALLPFGAEQFDDENPLEREKKGAFFGWFYLCITLGALTSGIFIVWIQENIDWGLGYGIATFCMASALAAFVLGTPSFRRRLPSGSPLQSILQVLVASYKKRNAETPRDSSLLYEADKNLSDLGQQRLAHTNEFRFLDKAATISALDLKDGSPQSSWSLCTVTQVEELKMFLRLIPIWANSIVYAAVFAQMFTTFIQQGSAMNTKIGSFSIPPASLCSFEIISVMGWVFVYNNIISPAAKRYFGNGVGFSQLQRMGIGRFLLILAMLTASYNESRRLESVKGGETLSIAWQLPQFFVLASSEVFNNITQLEFFFAQAPDRMKSICTAMVLFSMSLGNYLNSFIITVIADVTSRGGVTGWIPDDLNKGHLDYYFLVLAGISTVNFFVYVAFAGNYTLKKVISES >Ma05_p27870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38996427:39003829:-1 gene:Ma05_g27870 transcript:Ma05_t27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTPQLPPTVDPRSGFCRETKVFHNLRDPLPLPPESLPLSAVSFALSLIPSPLPAQPALVDAATGAAVSYPDFLARIRNLAAALRTGAGVSRGDVAFVLSPAGLDVPVLYLALLSIGAVVSPANPLSAPSEIAHQIRLSNPSVAFATTATASKLPAYLPTILLDSPRFRSFISADVAAAAAAQQQQQPVEVRQSDTAAILYSSGTTGRVKGVALTHRNFVALTAAYHALTREVEDELPPVVLFTVPLFHVFGFMMALRAVVLGETIVLMERFDFGAMLRAVERYRVTFMPVSPPLVVAMAKSDEAARRDLSSLRVLGCGGAPLGRDVAERFAARFPHVEIVQGYGLTESTGGVAGTRTPEESKVFSSTGRLGSNTEAKIVDPTTGEALGPGQRGELWIRGPTIMKGYVGDAEATAATLDSEGWLKTGDLCYFDDDGFLFIVDRLKELIKYKAYQVPPAELEHILVSHPGIADAAVIPYPDEEAGQIPMAFVVRQPGSMLSDEEVMDFVGKQVAPYKRIRRIAFVSSIPKSPAGKILRRELINQAVSSPKSML >Ma07_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8490003:8503838:1 gene:Ma07_g11440 transcript:Ma07_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPKWKIEKTKVKVVFRLQFHATHIPQGWDKLFVSFIPIDTGKATAKTNKANVRNGICKWPDPVYETARLLQDTRTKNYDEKHYKLVVAMGSPRTSFLGEVNINLADFADALKPSSVSLPLNNCDFGTILHVTVQLLTSKTGFREFEQQHKLSIKGAQMISSHRNNPAEAETTSSVIANELTEKVDARVRYEDHMGLLSLEPVGESNEDYDDSSVGVDGSSYTSENLYTEKKDLQSMICHDVPLSQSPMPGTGDPNGSQLSNQGRNGWTHGWSSNYSVANLTTASEENTRLRVRLEVAESAFLQLKLEAKSLQRVTDELGAETQSLSKQFSFELTSGEQLTREVSVLKVECSKFRDDLEALKSAKFMQQNADQRTCCPLILNHNLGDDSNAGKLQNDTAAAETHYMYHDLRVKWLESLLLVESKVLEIQNKACLDFDYLGPDFDLLGCVIGDLKEDIIQVKGLDRSYRDNDHLEHTVHLLTDSHTVYNEHGTLQNNLEHLSLREDKMFDLLPKLEELTTEKESLTKKMDQMHCYYESLILELEQSQKQTVEELENLRNEHSSCLYSISVLKNQIEKMHQEMNEQYITFAEDRTSLESQNKELERRAIASENALKRVRWNYSIAFDRLQKDLELLSFQVLSMYETNENLAKQALADAYQHYHEESPEEARSCTDKDGMPTSFDQEHYQSGLPRIQAENGPYGTTHKWYSLDNGGSISVCCKASSITSQEGVPTHVELRTRDETHMDGFNSHKIGQHVLHHTQNTSKLTAGLSPGTYRDEEFPKRSAILMSKLDSQLLDDAKATQSRSLYPESDKQQMVDANGIEEMRISFHMLKLLHSNMEAELSEMHMLNMNLKVFSEVLQCILYDANDEVRHMKGIMLELAQQLQRETEIKDSLMLQLHKALDEARVFRDDKAECISRCEGLTLKNQVLEAKLQDVSDESAILSEKVAEYERLFVESKVYEKEYKACIEERDKLKILLKEENLQKDCLKAELSSIIEDFKTLKEESEMKSSENDKMRTCVDHLQENLGYLYTCMSSCYEQINYSAPGGISVLQEFEAGNYMPVIMNLEQFQKDTTKKILQLHQENRDIKEQRYIAQCSQKKSESEFLSMKQKFESELHEVTEKLEMSNVLVEKLQVELQNVLEKLKISSEAEEKNESRNRELSSKLTNLEIELQQATDENKDLINQLLVLASVKEELEKTQFSLMNCMQERRDLSMSIQSGNEASTQMENELHSLKESLQCTHRDMQIEKKLREELEAAVTSLSAQLKEKDQELLSFCEQKTEVAYLQKMIVDLEKTNTGFQHLLLKNEENQRRLDVENLSLHVQIMDMENQLATILENSLAAEMKVTFMRSQLCENVQKLFAQLKTLEKELEEMNLKHENVVTLLNTCSANEAQLTEENARLSVALQSLQSDYDSVFQEKENLIDYVNKRNASWTEFEDIKVRASTLEADSNHQKQKYEDEISQLKNMLISFEEEVCNLRSYKVALEVTDIVLRSKLNEQQTKGLLLEECDHELRTLQEHHNELSCKLSEQILKAEEYKNLSIHLRELKDKAEAECLQAREKKENERSSQESLRIAFIKEQHESKIQELKNQLFVSKKYAEEMLLKLQNALDEVESTKKNEVSLLKMIEELSGKISNLESELERVLTDRRELAKTYDRTKNELECTIFNFDCCKEEKLMLEGSLKECNEERTKAKVELDLVKRLFSNMASNETINLESSNNSGFPTTTSIEQILQDSSIGFPSVFQEMPNDRGTCLGIDASAGIVSNPLNNIDVNLWKTGGELNSNGDVEVMMSTCANESSLSCPVLSSQAFKDTGGTLERHTLLADNTTCITATEEHFKELQRLMSGMNMLQKELEKLKNENLSSLIPLDDHQSLPSLPGLERDLSRLDMANEQLGSIFPLFKELPGNGNALERVLSLELELAETLQTKKKADFCFQSSFLKQHTDEEVGFQSFKDINELIKEMLELKSRNAAVETELNEMQGRYSQLSLQFAEVEGERQKLQMILKSRVPKRP >Ma07_p11440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8490549:8503838:1 gene:Ma07_g11440 transcript:Ma07_t11440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPKWKIEKTKVKVVFRLQFHATHIPQGWDKLFVSFIPIDTGKATAKTNKANVRNGICKWPDPVYETARLLQDTRTKNYDEKHYKLVVAMGSPRTSFLGEVNINLADFADALKPSSVSLPLNNCDFGTILHVTVQLLTSKTGFREFEQQHKLSIKGAQMISSHRNNPAEAETTSSVIANELTEKVDARVRYEDHMGLLSLEPVGESNEDYDDSSVGVDGSSYTSENLYTEKKDLQSMICHDVPLSQSPMPGTGDPNGSQLSNQGRNGWTHGWSSNYSVANLTTASEENTRLRVRLEVAESAFLQLKLEAKSLQRVTDELGAETQSLSKQFSFELTSGEQLTREVSVLKVECSKFRDDLEALKSAKFMQQNADQRTCCPLILNHNLGDDSNAGKLQNDTAAAETHYMYHDLRVKWLESLLLVESKVLEIQNKACLDFDYLGPDFDLLGCVIGDLKEDIIQVKGLDRSYRDNDHLEHTVHLLTDSHTVYNEHGTLQNNLEHLSLREDKMFDLLPKLEELTTEKESLTKKMDQMHCYYESLILELEQSQKQTVEELENLRNEHSSCLYSISVLKNQIEKMHQEMNEQYITFAEDRTSLESQNKELERRAIASENALKRVRWNYSIAFDRLQKDLELLSFQVLSMYETNENLAKQALADAYQHYHEESPEEARSCTDKDGMPTSFDQEHYQSGLPRIQAENGPYGTTHKWYSLDNGGSISVCCKASSITSQEGVPTHVELRTRDETHMDGFNSHKIGQHVLHHTQNTSKLTAGLSPGTYRDEEFPKRSAILMSKLDSQLLDDAKATQSRSLYPESDKQQMVDANGIEEMRISFHMLKLLHSNMEAELSEMHMLNMNLKVFSEVLQCILYDANDEVRHMKGIMLELAQQLQRETEIKDSLMLQLHKALDEARVFRDDKAECISRCEGLTLKNQVLEAKLQDVSDESAILSEKVAEYERLFVESKVYEKEYKACIEERDKLKILLKEENLQKDCLKAELSSIIEDFKTLKEESEMKSSENDKMRTCVDHLQENLGYLYTCMSSCYEQINYSAPGGISVLQEFEAGNYMPVIMNLEQFQKDTTKKILQLHQENRDIKEQRYIAQCSQKKSESEFLSMKQKFESELHEVTEKLEMSNVLVEKLQVELQNVLEKLKISSEAEEKNESRNRELSSKLTNLEIELQQATDENKDLINQLLVLASVKEELEKTQFSLMNCMQERRDLSMSIQSGNEASTQMENELHSLKESLQCTHRDMQIEKKLREELEAAVTSLSAQLKEKDQELLSFCEQKTEVAYLQKMIVDLEKTNTGFQHLLLKNEENQRRLDVENLSLHVQIMDMENQLATILENSLAAEMKVTFMRSQLCENVQKLFAQLKTLEKELEEMNLKHENVVTLLNTCSANEAQLTEENARLSVALQSLQSDYDSVFQEKENLIDYVNKRNASWTEFEDIKVRASTLEADSNHQKQKYEDEISQLKNMLISFEEEVCNLRSYKVALEVTDIVLRSKLNEQQTKGLLLEECDHELRTLQEHHNELSCKLSEQILKAEEYKNLSIHLRELKDKAEAECLQAREKKENERSSQESLRIAFIKEQHESKIQELKNQLFVSKKYAEEMLLKLQNALDEVESTKKNEVSLLKMIEELSGKISNLESELERVLTDRRELAKTYDRTKNELECTIFNFDCCKEEKLMLEGSLKECNEERTKAKVELDLVKRLFSNMASNETINLESSNNSGFPTTTSIEQILQDSSIGFPSVFQEMPNDRGTCLGIDASAGIVSNPLNNIDVNLWKTGGELNSNGDVEVMMSTCANESSLSCPVLSSQAFKDTGGTLERHTLLADNTTCITATEEHFKELQRLMSGMNMLQKELEKLKNENLSSLIPLDDHQSLPSLPGLERDLSRLDMANEQLGSIFPLFKELPGNGNALERVLSLELELAETLQTKKKADFCFQSSFLKQHTDEEVGFQSFKDINELIKEMLELKSRNAAVETELNEMQGRYSQLSLQFAEVEGERQKLQMILKSRVPKRP >Ma07_p11440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8489997:8503838:1 gene:Ma07_g11440 transcript:Ma07_t11440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPKWKIEKTKVKVVFRLQFHATHIPQGWDKLFVSFIPIDTGKATAKTNKANVRNGICKWPDPVYETARLLQDTRTKNYDEKHYKLVVAMGSPRTSFLGEVNINLADFADALKPSSVSLPLNNCDFGTILHVTVQLLTSKTGFREFEQQHKLSIKGAQMISSHRNNPAEAETTSSVIANELTEKVDARVRYEDHMGLLSLEPVGESNEDYDDSSVGVDGSSYTSENLYTEKKDLQSMICHDVPLSQSPMPGTGDPNGSQLSNQGRNGWTHGWSSNYSVANLTTASEENTRLRVRLEVAESAFLQLKLEAKSLQRVTDELGAETQSLSKQFSFELTSGEQLTREVSVLKVECSKFRDDLEALKSAKFMQQNADQRTCCPLILNHNLGDDSNAGKLQNDTAAAETHYMYHDLRVKWLESLLLVESKVLEIQNKACLDFDYLGPDFDLLGCVIGDLKEDIIQVKGLDRSYRDNDHLEHTVHLLTDSHTVYNEHGTLQNNLEHLSLREDKMFDLLPKLEELTTEKESLTKKMDQMHCYYESLILELEQSQKQTVEELENLRNEHSSCLYSISVLKNQIEKMHQEMNEQYITFAEDRTSLESQNKELERRAIASENALKRVRWNYSIAFDRLQKDLELLSFQVLSMYETNENLAKQALADAYQHYHEESPEEARSCTDKDGMPTSFDQEHYQSGLPRIQAENGPYGTTHKWYSLDNGGSISVCCKASSITSQEGVPTHVELRTRDETHMDGFNSHKIGQHVLHHTQNTSKLTAGLSPGTYRDEEFPKRSAILMSKLDSQLLDDAKATQSRSLYPESDKQQMVDANGIEEMRISFHMLKLLHSNMEAELSEMHMLNMNLKVFSEVLQCILYDANDEVRHMKGIMLELAQQLQRETEIKDSLMLQLHKALDEARVFRDDKAECISRCEGLTLKNQVLEAKLQDVSDESAILSEKVAEYERLFVESKVYEKEYKACIEERDKLKILLKEENLQKDCLKAELSSIIEDFKTLKEESEMKSSENDKMRTCVDHLQENLGYLYTCMSSCYEQINYSAPGGISVLQEFEAGNYMPVIMNLEQFQKDTTKKILQLHQENRDIKEQRYIAQCSQKKSESEFLSMKQKFESELHEVTEKLEMSNVLVEKLQVELQNVLEKLKISSEAEEKNESRNRELSSKLTNLEIELQQATDENKDLINQLLVLASVKEELEKTQFSLMNCMQERRDLSMSIQSGNEASTQMENELHSLKESLQCTHRDMQIEKKLREELEAAVTSLSAQLKEKDQELLSFCEQKTEVAYLQKMIVDLEKTNTGFQHLLLKNEENQRRLDVENLSLHVQIMDMENQLATILENSLAAEMKVTFMRSQLCENVQKLFAQLKTLEKELEEMNLKHENVVTLLNTCSANEAQLTEENARLSVALQSLQSDYDSVFQEKENLIDYVNKRNASWTEFEDIKVRASTLEADSNHQKQKYEDEISQLKNMLISFEEEVCNLRSYKVALEVTDIVLRSKLNEQQTKGLLLEECDHELRTLQEHHNELSCKLSEQILKAEEYKNLSIHLRELKDKAEAECLQAREKKENERSSQESLRIAFIKEQHESKIQELKNQLFVSKKYAEEMLLKLQNALDEVESTKKNEVSLLKMIEELSGKISNLESELERVLTDRRELAKTYDRTKNELECTIFNFDCCKEEKLMLEGSLKECNEERTKAKVELDLVKRLFSNMASNETINLESSNNSGFPTTTSIEQILQDSSIGFPSVFQEMPNDRGTCLGIDASAGIVSNPLNNIDVNLWKTGGELNSNGDVEVMMSTCANESSLSCPVLSSQAFKDTGGTLERHTLLADNTTCITATEEHFKELQRLMSGMNMLQKELEKLKNENLSSLIPLDDHQSLPSLPGLERDLSRLDMANEQLGSIFPLFKELPGNGNALERVLSLELELAETLQTKKKADFCFQSSFLKQHTDEEVGFQSFKDINELIKEMLELKSRNAAVETELNEMQGRYSQLSLQFAEVEGERQKLQMILKSRVPKRP >Ma04_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1519760:1522054:1 gene:Ma04_g01700 transcript:Ma04_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGEQIEIPIDPDWVVSLKNNLKVTEQEDWRTEQPTIFRVLPHLRGIDPKAYEPTIVSLGPFHHHESHLKAMDHLKWHYLNKFLGRNPEKPLEDYLKLIKEKERQARMAYSEEVKMRSDDFVQMMLLDCCFVIETILSEGEGQATIWSLSPVLVRDMLILENQLPFCLLQPLFDSTFLRQSLDLSSLILGFLSKAYCPSFLQSTKVSTCREKRCSGPEGLSGHWILDVMEW >Ma06_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10081046:10082123:1 gene:Ma06_g14760 transcript:Ma06_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQYLAKRGSFFQASPFKYGDSLPPFQPPPLIPIRLNSSVGMGNCLILQEKKVIEIMRMDGEVLRYPSPLKVQQVLNEFPGHAISDALPVIACLDPEKRMRHGQLYYLLPPKKPVAETSAGEGLVRIKLVVSKQELKEMVRKGGVSLDDMMSLLRREQQSRGGASEKERAMEWRPTLESIPEGNDLC >Ma02_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17815372:17817507:1 gene:Ma02_g06970 transcript:Ma02_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVPEPRRRRMGRRNAESPAPAEGKRPGARGRPSLVRYDELPDYLKDNEFILDHYRSEWPIRDALLSAFAWHNETLNVWTHLGGFLLFLAITVAGSMEAIEEVTSAVVPGLPAWMVRSWNASGNILSESSATLSSWNRSTSSGDVVVPRWPTLVFLLGSMGCLSISAVSHLLACHSRRLNLFFWRLDYAGISLMIVTSFVPPIYYVFLCHPAARIAYLSIIATLGFLAIFTLLAPALCAPRFRAFRAALFLAMGFSGVVPAVHALWLHWEHREAHVVLGLELAMAIAYASGAGVYVSRIPERWWPGEFDLVGHSHQIFHVLVLVGAITHYAATTVLLDWRDRSMTSCSAFYAESVAS >Ma07_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31301415:31303333:-1 gene:Ma07_g23770 transcript:Ma07_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALLRCLRGDCGESTPPHRPQAHQGVPHGVAALAHDLLDYEITAKVPEGLSRHVVSSRRAQAIWYKKLLEAWKEAKPRPKTPATAAILVVQTLRGNQKADLEGLLAYYNLPVPSTPELVDAPPSSSSTWNDGVQFELRTLPVDPRDVVDGDGITAYVDTATPEESADVPMEVQQAAIQRTAARAARNYREADALKKSISAAGYGFKVGPNGDEILARKYRIRLRGIDAPESEMPYGKEAKAELLKLVEGKPLKIHVYGVDRYGRSVGDVHCGRIFVQERMLKGGFAWHYATYDKRPEFARWQKEARAARRGLWASSHPEKPWEWRKKMRNAGWREGKVPIEVF >Ma04_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24209399:24211360:1 gene:Ma04_g21730 transcript:Ma04_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQSASPGISGFFSLLPEIQTFELFLAVSIFITIHSLRQGKRQGLAVWPVVGMLPSLLLGIRNDMYEWVTGVLERQGGTFTFRGPWFTNLHCVVTADPRNLEHLLKTKFSIFPKGEYFRGTVRDFLGDGIFSADDETWRKQRKTASLEFHSAEFRAMTARSLVELVHSRLLPVLEAAHDGRAQIDLQDVLLRLTFDNVCMIAFGIDPGCLRPGQPEIPFAKAFEDATEATIIRFITPTAIWKALRYLDLGSERWLRRSLKRVDEFAYDVIRTRKKELSLESGDENSRARTDLLTVFTRLKDEDGKGFSDKFLRDVCVNFILAGRDTSSVALAWFFYLLDKHPEVEEKILGEINTIVKERGSRNDGEGAEGEELVFRPEEVKTMEYLQAALSEALRLYPSVPVDHKEVVEDEVFPDGTALKKGTKVVYAIFSMGRMESIWGKDCRDYKPERWLKDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFVAASILRRYHVRVAEGHPVAPKMALTMYMKYGLKVTLCRRDEM >Ma01_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7986669:7988128:1 gene:Ma01_g11080 transcript:Ma01_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYASGRGEQEIHVLAVDDSSVDRALIAKILRSSKYRVTTVDSGKRALELLTLEPNISMIITDYWMPEMTGYELLKSVKESSKLREIPVVVMSSENVPNRINRCLEEGAVDFLLKPVRPSDVSRLCSRMR >Ma01_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21542025:21549807:1 gene:Ma01_g21630 transcript:Ma01_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTVRPHRFTLCSPSLCCGCCYCVLFFALGIRYHQELLREVMQAASSAVFSSAPSSPAFLRLRSHKGNSIVATAVGNPTTESMAAKWAQKTVVIPPQRRGCHLITSKILKEIEQDLSGYKCGLAHLFLQHTSASLTINENYDSDVQDDTETFLSRIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCSLTIPITDGRLNMGTWQGIWLCEHRDHATARKVVITLNGM >Ma09_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9881636:9881740:1 gene:Ma09_g14460 transcript:Ma09_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHLHPYVSTMTPTVAHDTNRNPLTNYSHILYI >Ma07_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26887702:26888735:1 gene:Ma07_g18920 transcript:Ma07_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAASPTPPIAFSSPESLLDWIRPRLPADAIASWGATPGTKSLRNLWLEICKGEASLLLLPHSQTETKGEEDDASTLLRVVNVATLRIRNPRGAVLVESHQLLSDGAVRYRHRILSEKMMPGEPVEEAVARAVREELGKDAVRILPGSYQMRVEEKASVSYPGLPARYVVHSVDAEVDGLPEEGEFSTEEKGEEFEATEKAIFVRRHFWKWVADDGNIQ >Ma06_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1735408:1737536:-1 gene:Ma06_g02210 transcript:Ma06_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASTQQPDFDPSRAVPRDFPSKEEQKVQVPAMADDDDDNKRKIVVKSDDSFVALDIAELVAATDQWDPTASPRVPKLVMKSLSRNGSQRSGDGGGGGGGAAVSAKGESSYSFSSTLNLPLNNNNDTRRWAQRKG >Ma05_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:784552:792084:1 gene:Ma05_g01350 transcript:Ma05_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MGMAEGGGDASADASEMKLEFTPTWIVAAVCSLIVFISLVVERLLHRLGKVLKRKNQKPLFEALQKVKEELMLLGFISLLLTVFQGAIQRICIPKGWTLHMLPCKKEEPDDAHGAAAEAHFQAAFSAGLFGGRRLLSESGSGAAHCLIKGKVPLLSLEAIHQLHIFIFVLAITHVAFSVLTIVLGGAKIHQWKHWEDSIQKQITGDGTAPKQVTRVHQFEFIRDRFRGIGAISVIISWLHSFVKQFFGSVTKSDYTTMRLGFIMTHCKGNPKFDFHKYMIRALEADFKKVVGISWYLWVFVVIFMLLNVNGWHTYFWIAFIPLILLLALGTKLEHVITQLAQEVAEKHTAIEGDLVVTPSDDHFWFHRPQIVLYLIHFILFQNAFEIAFFFWIFTTYGYNSCIMDHACFIVPRLVIGVTIQLLCSYSTLPLYAIVTQMGSYFKKAIFDEHVQEGLVGWAEKVKKRKGVRIGNGLATSHAQNETTTKVELPKMFVQTTPAMEEGRSGTGEIASRPAT >Ma08_p07520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5145218:5159414:-1 gene:Ma08_g07520 transcript:Ma08_t07520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGILRQVVEDGRVVCDEVDVHRLAPRDRKLLLDRLFKVAEEDNERFLERLRHRIDRVGLELPKIEVRYENLSVEANVSVGSRALPTLWNSTLNILEGIIGLLNLSPSKKRTMKILNDVSGILKPARMTLLLGPPASGKTTLLLALAGKLDKNLRQEFGKITYCGHELSEFVPQRTCAYISQLDIHNGEMTVRETLDFSGRCLGVGTRYEMLSELSRRERDAGIKPDPEIDVFMKATAMEGQKTSVATDYILKALGLDICADILVGDEMRRGISGGQKKRLTTGEMLAGPARALFMDEISTGLDSSTTFQIVKFIRQMVHVMDGTVLISLLQPAPETFELFDDIILLSEGQILYQGPRENVLEFFESVGFKCPKRKGITDFLQEVTSKKDQEQYWSNKNQYHYISVSEFVQLFKSFHVGKQLSEELSVPYDKSRAHPAALTTEKYGISNWELLKACLSREWLLMKRNSFIYAFKTFQITVLSFIAMTVFLRTKMPHETIPDGNKFYGALFYSLINVMFNGMAELSMTIYKLPVFYKQRDFLFYPPWAFGLSYWLLKIPLSLLDTGIWIFLTYYAIGFAPATGRFFSQFLVLLLVHQVALALFRFIAATGRTMVIANTFGTFSVLLVFVLGGFVMSKDDIKPWWKWGFWSSPLMYGQNAIAINEFLDPRWGAPNNDANIDAATVGTAILKSRGMFVNGYWYWVSIGALIGFVILFNILFILSLTFLNPIASSQSMIVDEELESKKRKNSSGGDQRFELIESDRRSTAPDIPDVDAAAARRNSSESFNSSVCPARRGMVLPFQPLSLAFNHVNYYVDMPAEMKNQGIQEDRLQLLSDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYISGSISISGYPKKQETFDRISGYCEQNDIHSPYVTVYESLVYSAWLRLDSEIDKKTQQMFVEEVMELVELDTLRDSLVGLPGVDGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARTAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKTGGQIIYAGPLGRYSHKLIEYFEAIPGIPKITEGYNPATWMLEISSPSVESSVNLDFVEVYACSPLYQKNQELIKELNIPAPDSKDLYFPTKYSQRFSIQCKACFWKQYWSYWRNPEYNAIRFFMTIVIGLIFGSVFWQKGGKMSKQQDILEILGAIYAAVFFLGATNAIIVQPIVAVERTVFYRERAAGMYSALAYAFAQVSIELIYIPPMGLLYSLLLFPMIGFSWRADAFLWFFFFIVICFVYFVLYGMMVIALTPNHHIASILSSFFYNFWNLFAGFVIARPLIPVWWRWYYWGDPVSWTIYGIVSSQLGTKDDLVAIPGAGSLTVKQFLKDNLGFQHSFLGCVALAHLGFVLLFFLIFGYSIKYLNFQKK >Ma08_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5145218:5159414:-1 gene:Ma08_g07520 transcript:Ma08_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGILRQVVEDGRVVCDEVDVHRLAPRDRKLLLDRLFKVAEEDNERFLERLRHRIDRVGLELPKIEVRYENLSVEANVSVGSRALPTLWNSTLNILEGIIGLLNLSPSKKRTMKILNDVSGILKPARMTLLLGPPASGKTTLLLALAGKLDKNLRQEFGKITYCGHELSEFVPQRTCAYISQLDIHNGEMTVRETLDFSGRCLGVGTRYEMLSELSRRERDAGIKPDPEIDVFMKATAMEGQKTSVATDYILKALGLDICADILVGDEMRRGISGGQKKRLTTGEMLAGPARALFMDEISTGLDSSTTFQIVKFIRQMVHVMDGTVLISLLQPAPETFELFDDIILLSEGQILYQGPRENVLEFFESVGFKCPKRKGITDFLQEVTSKKDQEQYWSNKNQYHYISVSEFVQLFKSFHVGKQLSEELSVPYDKSRAHPAALTTEKYGISNWELLKACLSREWLLMKRNSFIYAFKTFQITVLSFIAMTVFLRTKMPHETIPDGNKFYGALFYSLINVMFNGMAELSMTIYKLPVFYKQRDFLFYPPWAFGLSYWLLKIPLSLLDTGIWIFLTYYAIGFAPATGRFFSQFLVLLLVHQVALALFRFIAATGRTMVIANTFGTFSVLLVFVLGGFVMSKDDIKPWWKWGFWSSPLMYGQNAIAINEFLDPRWGAPNNDANIDAATVGTAILKSRGMFVNGYWYWVSIGALIGFVILFNILFILSLTFLNPIASSQSMIVDEELESKKRKNSSGGDQRFELIESDRRSTAPDIPAAARRNSSESFNSSVCPARRGMVLPFQPLSLAFNHVNYYVDMPAEMKNQGIQEDRLQLLSDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYISGSISISGYPKKQETFDRISGYCEQNDIHSPYVTVYESLVYSAWLRLDSEIDKKTQQMFVEEVMELVELDTLRDSLVGLPGVDGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARTAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKTGGQIIYAGPLGRYSHKLIEYFEAIPGIPKITEGYNPATWMLEISSPSVESSVNLDFVEVYACSPLYQKNQELIKELNIPAPDSKDLYFPTKYSQRFSIQCKACFWKQYWSYWRNPEYNAIRFFMTIVIGLIFGSVFWQKGGKMSKQQDILEILGAIYAAVFFLGATNAIIVQPIVAVERTVFYRERAAGMYSALAYAFAQVSIELIYIPPMGLLYSLLLFPMIGFSWRADAFLWFFFFIVICFVYFVLYGMMVIALTPNHHIASILSSFFYNFWNLFAGFVIARPLIPVWWRWYYWGDPVSWTIYGIVSSQLGTKDDLVAIPGAGSLTVKQFLKDNLGFQHSFLGCVALAHLGFVLLFFLIFGYSIKYLNFQKK >Ma02_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23672140:23673379:1 gene:Ma02_g16200 transcript:Ma02_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCHGGRADLKLERKLAEKYRRLHMKTLYLKLSSIIPAEHRTTAKDIMTKQDNLDQAASYIKYLGERIEKLKQRRLVQTSAARNEMGTGYLLPIIEVKYQDLNLEILLISGVNKSFMFHEVINVLEEEGAEVIHASFSVVGDKIYHTIHSQAVSTRIGLEASRVSERLKELVK >Ma05_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2831034:2836878:1 gene:Ma05_g03810 transcript:Ma05_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVAVVMAWNVFKFCTALRALGSLMILLVLGIVGVSYYAVVAANYGPVLLSVGGVDSLMALAVLVPFHVLLGLLLWSYFSVVFRDPGRVPSNWKPPADEEIGETAPLTSLEFSNHILNLQQLGRLEDTGSQMIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCIWVVNCVGALNYKFFLLFLFYTFLETTLVTLSLFPHFIAFFKDVEIPGTPGKLATTFLAFVLNLAFALSVLGFLIMHITLVARNTTTIEAYEKTTPKWKFDLGRKKNFEQVFGTDKRYWFIPAYSEEDLRRMPALRGLEYPTKPHLDVQ >Ma08_p30540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41766216:41769625:-1 gene:Ma08_g30540 transcript:Ma08_t30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELIYRGHDGPPAEDGGEYSPRPEKRVVWLARPFRYLLREQRLLFVLVGMALVSVFFAFAPPSATPSSYDSRLVVDRARQFSVPAVHGGYRTALERGFVGAKVPLGLKRKRLRVVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENLVHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQTETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAQVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEALTVYGDGKQTRSFQYVSDLVEGLIKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPDAKIEFRPNTADDPHKRKPDITRAKELLGWEPKISLREGLPLMVSDFRKRIFGDHSEVDLTTSGTATITDNGSP >Ma05_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2239522:2242991:1 gene:Ma05_g03140 transcript:Ma05_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWISSIMGPEREAEGHDTGLGYLPENCVAEVMLHLDPLEICRAARLSRAFRGAASADFVWETKLPKNYGHLMELASDEKSPCKKTSLCKKEIYARLCRPNPFDGGTKEFWLEKSSGGICLSISSKALLITGIDDRRYWKYLPTEESRFHMIAYLKQIWWFEVDGEIDFCFPAGTYSLFFRLHLGRASKRFGRRICSSEHIHGWDKKPVRFQLSTSNSQQTLSQCYLGDPGSWILYYAGDFVADRCNVATKLKFSMTQIDCTHTKGGLCIDSVLICPKGFRQGKVCSTRV >Ma11_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20858658:20895638:1 gene:Ma11_g15170 transcript:Ma11_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MSYAAFKMMHWPTGIEHCASGFITHSPSDSSPPQIPPAQADDLDSSEWPTQRRVGPVPNLVVTAANILEVYLVRIQEDDDRIPRPTGDQHGGGGTMDGLAGARLELVCHYRLHGNVESMAILSLGVDDHNKRRDSIMLAFQDAKITVLEYDDSSHELRTSSMHCFEGPDWLYLKRGRESFARGPVVKADPLGRCSGALIYGLQMIVLKAAQVGQGLVGDDEPTSTGGAVSVRIESSYVINLRDLDMKHVKDFTFVHGYIEPVMVILHEKEPTWAGRISWKHHTCMISALSISTTLKQHPMIWSASNIPHDANKLLAVPSPIGGVLVICANTIHYHSQSATCSLALNSFATQPEGSSEMPKAKFTVELDAANVTWLSPDVAMFSSKTGDLLLLTLIYDGRVVQRLELMKSKASVLTSGITTIGSSFFFLGSRLGDSLLVQYSTGTSGPTSANGKDEVADTEGDLHLAKRLRRTPSDALQEFASGEELSLYTTTPDSSETAQKFFSFIVRDSLINVGPLKDFSYGLRINADPNATGIAKQSNYELVCCSGHGKNGALCVLQQSIRPELITEVELPGCKGIWSVYHKGSRGHAADSSKTMMEDDEYHAYLIISLETRTMVLETADDLGEVTETVDYYVQGSTIAAGNLFGRRRVVQIFARGARILDGSYMTQELTFGVHNSELISNSEAPVVASVSIADPYVLLKMTDGSIQLLVGDPSTCTVSVNVPATFASSTELISACALYHDKGPEPWLRKTSTDAWLSTGIAEAVDGHDGLYNDQGDIYCLVCYESGTLEIFDVSNFKCVFSVDNFVSGKTHLFDKYAREPSRNSQGIKSKVTDEANGSVKKELPQDMKIVELAMQRWSGQYSRPFLFGILSDGTMLCYHAYLYEGLENTPKVEDAVSPHRSAEMGNVSASRLHNLRFIRVAVDTATSEEASNIVSRPRITVFKNVGGYQGLFLSGSRPAWFMVCRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGYLKICQLPAVCNYDNYWPVQKVPLRGTPHQVTYYSEKNLYPLIVSVPVVKPLSQVLSSLVDQDTVHLSDNDSVNSDDLQKIYTVDEFEIRILESGKSGGHWETRATIPMQTSENALTVRVVTLFNTTTRENETLLSVGTAYVQGEDVAARGRMLLYSFGKNTENAQNLVSEVYSKELKGAVSALASLQGHLLVASGPKITLHKWTGTELNGIAFYDAPLHVVSLNIVKNFVLLGDIHKSIYFLNWKEQGAQLSLLAKDFGSLDCYATEFLIDGSTLSLVVSDDDKNIQIFYYAPKTLESWKGQKLLPRAEFHAGTHVTKFLRLQMLSSSFDRANTAPGSDKTNRFALLFSTLDGSIGCIAPLDELTFRRLQTLQRKLVDAVPHTCGLNPRSFRQFRSNGKAHRPGPDNMVDCELLSQYEMLPLDKQLEIAFQIGTTRSQILSNLNDLSLGTSFL >Ma11_p15170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20858658:20895638:1 gene:Ma11_g15170 transcript:Ma11_t15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MSYAAFKMMHWPTGIEHCASGFITHSPSDSSPPQIPPAQADDLDSSEWPTQRRVGPVPNLVVTAANILEVYLVRIQEDDDRIPRPTGDQHGGGGTMDGLAGARLELVCHYRLHGNVESMAILSLGVDDHNKRRDSIMLAFQDAKITVLEYDDSSHELRTSSMHCFEGPDWLYLKRGRESFARGPVVKADPLGRCSGALIYGLQMIVLKAAQVGQGLVGDDEPTSTGGAVSVRIESSYVINLRDLDMKHVKDFTFVHGYIEPVMVILHEKEPTWAGRISWKHHTCMISALSISTTLKQHPMIWSASNIPHDANKLLAVPSPIGGVLVICANTIHYHSQSATCSLALNSFATQPEGSSEMPKAKFTVELDAANVTWLSPDVAMFSSKTGDLLLLTLIYDGRVVQRLELMKSKASVLTSGITTIGSSFFFLGSRLGDSLLVQYSTGTSGPTSANGKDEVADTEGDLHLAKRLRRTPSDALQEFASGEELSLYTTTPDSSETAQKFFSFIVRDSLINVGPLKDFSYGLRINADPNATGIAKQSNYELVCCSGHGKNGALCVLQQSIRPELITEVELPGCKGIWSVYHKGSRGHAADSSKTMMEDDEYHAYLIISLETRTMVLETADDLGEVTETVDYYVQGSTIAAGNLFGRRRVVQIFARGARILDGSYMTQELTFGVHNSELISNSEAPVVASVSIADPYVLLKMTDGSIQLLVGDPSTCTVSVNVPATFASSTELISACALYHDKGPEPWLRKTSTDAWLSTGIAEAVDGHDGLYNDQGDIYCLVCYESGTLEIFDVSNFKCVFSVDNFVSGKTHLFDKYAREPSRNSQGIKSKVTDEANGSVKKELPQDMKIVELAMQRWSGQYSRPFLFGILSDGTMLCYHAYLYEGLENTPKVEDAVSPHRSAEMGNVSASRLHNLRFIRVAVDTATSEEASNIVSRPRITVFKNVGGYQGLFLSGSRPAWFMVCRERLRVHPQLCDGSIVAFTVLHNVNCNHGLIYVTSQGYLKICQLPAVCNYDNYWPVQKVPLRGTPHQVTYYSEKNLYPLIVSVPSGKSGGHWETRATIPMQTSENALTVRVVTLFNTTTRENETLLSVGTAYVQGEDVAARGRMLLYSFGKNTENAQNLVSEVYSKELKGAVSALASLQGHLLVASGPKITLHKWTGTELNGIAFYDAPLHVVSLNIVKNFVLLGDIHKSIYFLNWKEQGAQLSLLAKDFGSLDCYATEFLIDGSTLSLVVSDDDKNIQIFYYAPKTLESWKGQKLLPRAEFHAGTHVTKFLRLQMLSSSFDRANTAPGSDKTNRFALLFSTLDGSIGCIAPLDELTFRRLQTLQRKLVDAVPHTCGLNPRSFRQFRSNGKAHRPGPDNMVDCELLSQYEMLPLDKQLEIAFQIGTTRSQILSNLNDLSLGTSFL >Ma09_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3334998:3339064:1 gene:Ma09_g05190 transcript:Ma09_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex component RRP41 homolog [Source:Projected from Arabidopsis thaliana (AT3G61620) UniProtKB/Swiss-Prot;Acc:Q9SP08] MEYVDSLTGFRLDGRRPNDMRQIRGEIGVVAKADGSALFEMGNTKVIAAVYGPREVQNRSQQVNNQALVRCEYSMANFSTGDRARRPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMCDIVTSCSAGYLSSTPLLDLNYIEDSAGGPDVTVGILAKMDKVTLLQMDAKLPMDIFENVMQLAIEGCKAIAQYIRGVLLENTKQLECRRGV >Ma03_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26159977:26160312:-1 gene:Ma03_g21110 transcript:Ma03_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKEEKKRRKVRKGWLTVTVGTEVEAGGFRRFLIPISYLYHPLFTGLLDSARDTYGFPSSGPLALPCSVDDFLHLRWLIETETQPSVSALTGRMRDRLIGCLSLSHGLCL >Ma06_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5988555:5991288:-1 gene:Ma06_g08460 transcript:Ma06_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPGFRSAAAMAGWDEEALLFATVVVEDTPVRESRQHKRRRLRTPLSTTSTRKRAPRRKPAVSIPPVVLRLDDDDDDEEQAADRGGETTNKETEAVVAVDGAKQIDKEGSLSKKAPIKGLPCMDRLREELSCAICLEICFEPSTTPCGHSFCIKCLKSAANKCGKKCPKCRQLISNARSCTINTVLWNTIQLLFPEEVEARKSSSAVAATPPGGKTQSSSSEQNNSNRNISSRSIRRSAAQASSQVTERDARSFRRRIGPSQSEDAAMALRLQREEFRVAFQGSREQQLRALRSARANLRAAASMTVYGRLRGRTT >Ma02_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23305355:23306806:-1 gene:Ma02_g15700 transcript:Ma02_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELLHPCIIQKIHGQLIFFHGQHPHSQARNTIIIHNLLGTYHLQSALLKSYEDCHGTIGLSSMTPITPVSACAPKEKGSTGFLIDFLMGGVSAAISKTAATPIERVKLLIQNQDEMIKAGRLSEPYKGITESFSRTIKDEDFLSLWRGNTANLLCYFPAQALNFAFKDYFKRMKIDIGNGLLFNGLVDVYRKTVQSDGVVGLYRGFNISCVGIFVYRGLYFGLYDSLKPVVLVGPLQDNFLARFLLGWGITIGAGLASYPIDTVCRRMMMTSGEAVKYRSSLDAFSQIIKNEGVKSLFKGAGANILRCFSPTNGECQMMMMNK >Ma04_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17277410:17284347:-1 gene:Ma04_g17080 transcript:Ma04_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKIAKFQKNVTKRGSVPEKTAKKGDNYPVGPILLGFFIFVVVGSSLFQIIRTAMSGGMA >Ma08_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33846560:33850612:1 gene:Ma08_g19980 transcript:Ma08_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCVEAQDPGYSEFVEVDPTGRYGRYNDILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPENLERLYCEIHLLKTLKHKNIMKFYTSWVDTSERNINFVTELFTSGTLRQYRQKHRRVNIRAVKHWCRQILSALLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAIHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYRVKDPEVRQFVEKCLATASKRLSARELLKDPFLQVDDLCSSFDDEDVRSMGHILWQPSLEYTESNGSFIANEFSDSIHHEMELENDWDYDATDVEVRGNDLSNSHIDEQPINVDITIKGKRREDGNIFLRLRISDKDGCVRNIYFLFDIEADTALSVATEMVAELDIIDYDVTKIADMIDGEVASLVPEWKPGPGIEEIPGFPSATICQKCASTVSSCGSILDHLSLKSLCYGNMKSVHCCHIECAEMHGRFEEITYQVEGTALCVAEGPPMLSTSQSDELDFSSLASRVNHSNGDCEGLGLQEKDEKVIQMDDYKRNDSRKPSAQLCSESHQQQCLALPEFSCQFTTPDKLDNYVSDCTQDLSWLKASDQIEPQAQNHQESGRSKRVFQPSPDPGNRVRNKQNGLLRSSVRMPLQASDERRVMKSFHLGKHNSFHIRQSDTDQGSINKMASADANSRYRWNNCSDLQSQKDGNCEVNSTECSELMFTAKNFYAGAVMSNALTRTKSLPVDAVDA >Ma10_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23984988:23985916:-1 gene:Ma10_g09840 transcript:Ma10_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMVKVGPWGGNGGDLWDMGHPDHITKLKIYYGDNIVGLETTYILNGNSHTDKRGTTNGASKEIILEEDEYFTSISGYFHALSNYQGHAIVMLLTLDTNKGASISVGNKIGSSFALTLEEGSKILGFFGRAGTAIDAIGIRCSLPN >Ma05_p10230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7356420:7361247:1 gene:Ma05_g10230 transcript:Ma05_t10230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIFCGNLDNDTRHSELERLFSRYGKVDRVDMKSGFAFIYMDDERDAEDAIRGLDRLEFGRHGRRLRVEWTKQERNSRRSGSSRRPSANTKPSKTLFVINFDSINTRTRDLERHFEPYGKILNVRIRRNFAFIQFDAQDDATKALEATHMSKLMDRVISVEYAVRDDDDRRNGYSPDRRGRERSRSHDRGRSSSPYGRGVERASPNYGRAPSPYAKARERGSPSYEKAQSPADDRDRSRSP >Ma05_p10230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7357974:7361247:1 gene:Ma05_g10230 transcript:Ma05_t10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERDAEDAIRGLDRLEFGRHGRRLRVEWTKQERNSRRSGSSRRPSANTKPSKTLFVINFDSINTRTRDLERHFEPYGKILNVRIRRNFAFIQFDAQDDATKALEATHMSKLMDRVISVEYAVRDDDDRRNGYSPDRRGRERSRSHDRGRSSSPYGRGVERASPNYGRAPSPYAKARERGSPSYEKAQSPADDRDRSRSP >Ma05_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7357977:7361247:1 gene:Ma05_g10230 transcript:Ma05_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERDAEDAIRGLDRLEFGRHGRRLRVEWTKQERNSRRSGSSRRPSANTKPSKTLFVINFDSINTRTRDLERHFEPYGKILNVRIRRNFAFIQFDAQDDATKALEATHMSKLMDRVISVEYAVRDDDDRRNGYSPDRRGRERSRSHDRGRSSSPYGRGVERASPNYGRAPSPYAKARERGSPSYEKAQSPADDRDRSRSP >Ma02_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24075740:24076443:1 gene:Ma02_g17000 transcript:Ma02_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQAIPYSSGKAWPSLSRAPEAWELKKIIGGAGEIRVAVEENPVVVVGRSGCFMVHVVRRLLLGQGVNPVVCEVGEDADEAAIMASLPPEAHDERRTSAATVTLPAVFVGGRLVGGLDRLMAVHISGELVPILKRAGALWL >Ma01_p00150.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:134116:146494:-1 gene:Ma01_g00150 transcript:Ma01_t00150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGARVSIPPSVRRTIQNIKEIAGHHTDEDIYSMLKECAMDPNETAQKLLLQDTFHEVKRKRDKRNGNVREHSDRRWRPGALGRGGGGGHQNFSNRYLSNDVADSRNITSGKENGAEQGPDKDTTSVLPIGTGKGNKSTTFISSTVSGITNSHNETDMLLSSQGCLSQVSSDSTITSREECSAKTNLVTVPSPVGCSPGKLGTIKHVIQCGETVAEMATNKVVCDAVSPLMGKGSKEMSSSYVNREIQVKSKGSEANQLTGASEAAFSSSEMGPTGSRSSNYGNHSQQPVGSQKAVVPNKEWKPKSAHMDHTQASGIAGNYDVPMMGNAVSQSVSVPASCSVELEETISKLEKKLDEMQLSVRQHVIIPDHLQVTGSERHGLSFGSFDACFNVGFANGDKCDKSATQLSGSLQENEENVEQPSSCIHIASSASQEDHPDHIQSPRQMPENYSSREAGIYGTSATKEYGQAKQEPVIAPEDPKIPVVPSISMNSTFGLAPQMFGNQFVPFWSSEPGACDTTLPNFVNGSSVILSTAGSTPLATQAVGAMQSSGVVSQQPVPIFRQTAGVHLSHYPISYGQYLSPFYVPPPALHPFLSSVAFPQQPHIGSMYPHPGTAAAAAPVKFSLSQYKPGPNISSSAFIGIPAGYGSSNSTPTCYTYSPVVSSGNSTSKEDLNSTQFKENNIYSSEQQSEGSAAWILAPGQDNSSLQTATAFYGMPPHMTLAPTQTGHGALGGIYHPASAAVHPLVQQSQAAAGAVEMVGPAAGVYQQPQHAQINWTGNY >Ma01_p00150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:134116:146494:-1 gene:Ma01_g00150 transcript:Ma01_t00150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGARVSIPPSVRRTIQNIKEIAGHHTDEDIYSMLKECAMDPNETAQKLLLQDTFHEVKRKRDKRNGNVREHSDRRWRPGALGRGGGGGHQNFSNRYLSNDVADSRNITSGKENGAEQGPDKDTTSVLPIGTGKGNKSTTFISSTVSGITNSHNETDMLLSSQGCLSQVSSDSTITSREECSAKTSKLGTIKHVIQCGETVAEMATNKVVCDAVSPLMGKGSKEMSSSYVNREIQVKSKGSEANQLTGASEAAFSSSEMGPTGSRSSNYGNHSQQPVGSQKAVVPNKEWKPKSAHMDHTQASGIAGNYDVPMMGNAVSQSVSVPASCSVELEETISKLEKKLDEMQLSVRQHVIIPDHLQVTGSERHGLSFGSFDACFNVGFANGDKCDKSATQLSGSLQENEENVEQPSSCIHIASSASQEDHPDHIQSPRQMPENYSSREAGIYGTSATKEYGQAKQEPVIAPEDPKIPVVPSISMNSTFGLAPQMFGNQFVPFWSSEPGACDTTLPNFVNGSSVILSTAGSTPLATQAVGAMQSSGVVSQQPVPIFRQTAGVHLSHYPISYGQYLSPFYVPPPALHPFLSSVAFPQQPHIGSMYPHPGTAAAAAPVKFSLSQYKPGPNISSSAFIGIPAGYGSSNSTPTCYTYSPVVSSGNSTSKEDLNSTQFKENNIYSSEQQSEGSAAWILAPGQDNSSLQTATAFYGMPPHMTLAPTQTGHGALGGIYHPASAAVHPLVQQSQAAAGAVEMVGPAAGVYQQPQHAQINWTGNY >Ma01_p00150.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:134116:146486:-1 gene:Ma01_g00150 transcript:Ma01_t00150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGARVSIPPSVRRTIQNIKEIAGHHTDEDIYSMLKECAMDPNETAQKLLLQDTFHEVKRKRDKRNGNVREHSDRRWRPGALGRGGGGGHQNFSNRYLSNDVADSRNITSGKENGAEQGPDKDTTSVLPIGTGKGNKSTTFISSTVSGITNSHNETDMLLSSQGCLSQVSSDSTITSREECSAKTNLVTVPSPVGCSPGKLGTIKHVIQCGETVAEMATNKVVCDAVSPLMGKGSKEMSSSYVNREIQVKSKGSEANQLTGASEAAFSSSEMGPTGSRSSNYGNHSQQPVGSQKVVPNKEWKPKSAHMDHTQASGIAGNYDVPMMGNAVSQSVSVPASCSVELEETISKLEKKLDEMQLSVRQHVIIPDHLQVTGSERHGLSFGSFDACFNVGFANGDKCDKSATQLSGSLQENEENVEQPSSWSDIPLCCIHIASSASQEDHPDHIQSPRQMPENYSSREAGIYGTSATKEYGQAKQEPVIAPEDPKIPVVPSISMNSTFGLAPQMFGNQFVPFWSSEPGACDTTLPNFVNGSSVILSTAGSTPLATQAVGAMQSSGVVSQQPVPIFRQTAGVHLSHYPISYGQYLSPFYVPPPALHPFLSSVAFPQQPHIGSMYPHPGTAAAAAPVKFSLSQYKPGPNISSSAFIGIPAGYGSSNSTPTCYTYSPVVSSGNSTSKEDLNSTQFKENNIYSSEQQQSEGSAAWILAPGQDNSSLQTATAFYGMPPHMTLAPTQTGHGALGGIYHPASAAVHPLVQQSQAAAGAVEMVGPAAGVYQQPQHAQINWTGNY >Ma01_p00150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:134116:146486:-1 gene:Ma01_g00150 transcript:Ma01_t00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGARVSIPPSVRRTIQNIKEIAGHHTDEDIYSMLKECAMDPNETAQKLLLQDTFHEVKRKRDKRNGNVREHSDRRWRPGALGRGGGGGHQNFSNRYLSNDVADSRNITSGKENGAEQGPDKDTTSVLPIGTGKGNKSTTFISSTVSGITNSHNETDMLLSSQGCLSQVSSDSTITSREECSAKTNLVTVPSPVGCSPGKLGTIKHVIQCGETVAEMATNKVVCDAVSPLMGKGSKEMSSSYVNREIQVKSKGSEANQLTGASEAAFSSSEMGPTGSRSSNYGNHSQQPVGSQKAVVPNKEWKPKSAHMDHTQASGIAGNYDVPMMGNAVSQSVSVPASCSVELEETISKLEKKLDEMQLSVRQHVIIPDHLQVTGSERHGLSFGSFDACFNVGFANGDKCDKSATQLSGSLQENEENVEQPSSWSDIPLCCIHIASSASQEDHPDHIQSPRQMPENYSSREAGIYGTSATKEYGQAKQEPVIAPEDPKIPVVPSISMNSTFGLAPQMFGNQFVPFWSSEPGACDTTLPNFVNGSSVILSTAGSTPLATQAVGAMQSSGVVSQQPVPIFRQTAGVHLSHYPISYGQYLSPFYVPPPALHPFLSSVAFPQQPHIGSMYPHPGTAAAAAPVKFSLSQYKPGPNISSSAFIGIPAGYGSSNSTPTCYTYSPVVSSGNSTSKEDLNSTQFKENNIYSSEQQQSEGSAAWILAPGQDNSSLQTATAFYGMPPHMTLAPTQTGHGALGGIYHPASAAVHPLVQQSQAAAGAVEMVGPAAGVYQQPQHAQINWTGNY >Ma01_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:134116:146486:-1 gene:Ma01_g00150 transcript:Ma01_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGARVSIPPSVRRTIQNIKEIAGHHTDEDIYSMLKECAMDPNETAQKLLLQDTFHEVKRKRDKRNGNVREHSDRRWRPGALGRGGGGGHQNFSNRYLSNDVADSRNITSGKENGAEQGPDKDTTSVLPIGTGKGNKSTTFISSTVSGITNSHNETDMLLSSQGCLSQVSSDSTITSREECSAKTNLVTVPSPVGCSPGKLGTIKHVIQCGETVAEMATNKVVCDAVSPLMGKGSKEMSSSYVNREIQVKSKGSEANQLTGASEAAFSSSEMGPTGSRSSNYAVVPNKEWKPKSAHMDHTQASGIAGNYDVPMMGNAVSQSVSVPASCSVELEETISKLEKKLDEMQLSVRQHVIIPDHLQVTGSERHGLSFGSFDACFNVGFANGDKCDKSATQLSGSLQENEENVEQPSSWSDIPLCCIHIASSASQEDHPDHIQSPRQMPENYSSREAGIYGTSATKEYGQAKQEPVIAPEDPKIPVVPSISMNSTFGLAPQMFGNQFVPFWSSEPGACDTTLPNFVNGSSVILSTAGSTPLATQAVGAMQSSGVVSQQPVPIFRQTAGVHLSHYPISYGQYLSPFYVPPPALHPFLSSVAFPQQPHIGSMYPHPGTAAAAAPVKFSLSQYKPGPNISSSAFIGIPAGYGSSNSTPTCYTYSPVVSSGNSTSKEDLNSTQFKENNIYSSEQQQSEGSAAWILAPGQDNSSLQTATAFYGMPPHMTLAPTQTGHGALGGIYHPASAAVHPLVQQSQAAAGAVEMVGPAAGVYQQPQHAQINWTGNY >Ma05_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1135140:1135669:-1 gene:Ma05_g01840 transcript:Ma05_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGVTVVIVALLLFLSLAHAGRPEPEDLEKANVQGVEGEESDKVVQGCEGKEDCLMRSTLNAHTDYIYTQGGETSEKVEGGCAGLGKEKCLEKTTLTAHTDYIYTQRHH >Ma01_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2061343:2062222:-1 gene:Ma01_g03160 transcript:Ma01_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSTPVSSIKAIPLFPTAATPNHLLRPSTNPSSLPIPAFTNLSARARPQSHHHHAKSVLRLSPSPPRHRPTPLGTPRRVHRAASTGYAAALLDVARCEGALAAAERDLRRLVRGVRPVLADPGLDEAAKGEVVRGVAEGGGFYRHVVALVRMLVGKGRAALVEEVMEQFVRLCDELSGTRVVVVMSEEGKKMEEQRLRGIAQEVHKATGAPKVRVRHLHRFAD >Ma06_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:342505:345708:-1 gene:Ma06_g00440 transcript:Ma06_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDLRHILLDLLPSSDMTKRCRELGIQHHLEKIIPDLWAINAVIRDATIRARTQPNVDMWMADAGAAIADVQNLLDRILEWPGRAAAPSNPLLRSFRVAFRLSILHELKEMGLRLKELVLWGSALDLRKEMMDAMDPCDEEYLYVLGEEVEGRDEDRDNIIEILQQNQSSSNNGEPFVIEIHDEWSVRSLSSTGKTTLARMIYHHPWVRQHFHHRIWVDVSFDLSWDQLSIGREFARCITGESCDHLQSHQAIWLLVNERLGQRRYLLILNDILYYDDREEGLKDKWDQLKHNLLHVGGIGSTVIITTNHMQPIHIFGSREYLLHGLSEDSWIKLVMRDTFIGSAQDKENTCTINFLLQFAEQQYQTLKGETISGKKLDGSPLLAKTLGSIFRYTEVSRWQEVAYDLSSYSDVWRPYSNVRHHQHFKLMSLQNLSTKLARLRLYGSLCNLDRSNYSMEDYMHMMIAEDLMPQQSFDAEKMYRLIREIELEFSTLDSDYYMRTRIGQDSIRIPKQCCHLCLVDYDSNFDFDSDDASTFPTALSAGVIKRLRTLILQTAEEFLKEGEKCQITEIPSAMFTNLIHLRILHLSHCRIQRLPNTIAKLISLRYLDLSYTEIQALPKYIFNLQNLKILKLTHCEKFQKLSKSIHKLKNLLILKLAYCQKLQMLPESIITLTNLQELDVEGCQWLVKLPEGLDSMKKLTILNVEKCVSLTRLPHGIGQLTNLQKLLMHAITDSLTSIILELQSLTNLKELRLKKLDGLSSAEDARALKLKDKIFLKCLALCWEWCDMEVALVSDATLLHEQVLEDLQPNLALEKLEIISYMGKKLPSWMACKEGNLRHLREIKLVNLRKCERLPPLGQLSYLKTVEISGMDSISAVDDAFYGDGNGDTFPRLETLIFSEMPLLERWPKAKGEGDVFPVLRTLILIQCPKFKELHVRPSTTREFLILELWLNNDKLLTSEFVGWQNLKDVRVLEITGCEELRCLPQGIKYLKNLSDLYIIRCNNLISFPDWLAELPSLDPWEEHRIPFDLIVRDCAMLSFIPERLKPSPHFRMNIKGCPKLGV >Ma06_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12655289:12656661:-1 gene:Ma06_g18500 transcript:Ma06_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGEWRRGRIIGRGASATVSLATSMASGQVFAVKSSELCSLRGEQRLLSALDSPFVVSYLGFDVTPPHAPGAGFHYNLFMEYAPGGSLSDAIKRQSGRLDELAIRSYLHDILSGLVYLHSNGLVHCDLKSQNVLICSDGRAKIADFGCARKVDGDEERHQPRGTPMFMAPEVARGEEQSAPADVWALGCTTIEMATGRPPWPNMSDPVSALHRIAFSADVPEFPSWISAEGKDFLSRCLRRDPRERWTAEQLLRHPFVAACFACPRPDSGTNYDRVSPRSTLDQAFWESLADEGDEAVGEPSEDPSERMQCLIGGGAPSWTWNDGWVTVRSHAGEDSLPATGSMTEDDRSVNRGDSGGTSISDFIYSTDRIDIEHVMADVDDTSIARVEEGIENQVFTCKREVNLVNGNCHLVIHKDRIDIPNWPFWLCAFDLWLSLIFSF >Ma08_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36496886:36508503:-1 gene:Ma08_g23060 transcript:Ma08_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSHGSSSSSAPDKIFGGVRFVLFGFDSVSEAQYRSELVRRGGIDVGRYDRSCTHVIVSGRVYEDPVCVAARNDGKILVTELWIDVSLDIGMLADAKRILYCPVKDLNGIPGSKSLHICLTGYQRQERDDIMKMVSLMGAKFSKPLIANQVTHLICYKFEGEKYELAKKVNIKLVNHRWLEDCLKAWAILPIDNYTKSGWELEVLEAEAKDSDEETEDVGKRSVGRSGMGKSISDGGVLSGDSDLSIPSRGKLSIPKDTFMTIHSAFADNHIMNNTLFTSPCTDNFSRNTLSECSPGSKVHHQNVGDVAQTSNDHAIRDAMVGGELFNQSDSGSLKEAYPLSARTVSTSSMVGVKSVPFSKKSRNKSVSPEESLMKVHTSPKGLYKDFDVLSSEPKGSRATDKPANIQGSMAHIGINNVEATSSVLPQKRKVSVSGGGSKSPKSLMHSSLQRKSPDTESAQVEPVLLSPKENKYLVPMDANHSLEDAVPSNSVNQQTIVSRSFSCKRNSLSNGKPAMIKSSNVIEHSIPILKQGLDKQECRKVDLFNMAQMATNVIASMDSKALPNIPVDDVLMQVDDCEIMRSTANQFGSLAVGKHTDEMLCNDKRPEISVGGPVEAERSTQSLNGETARIEIQNVMMKELTQNHVLQQFEASLSSDGKAMTTRNFLTTASADACKGNNIKVASGSYIKKVVAKRSLSSSSKHNALSSRKDKHVDNPDKYLKEAEVISGKVEKMKVPEDKKVSRNLRNGVVETFSDEVMKERVEAAGVSIPANAKKDATSDRKDITSMDPEKENKPEEVSRFSSNCSNSCSSKLVNKHDKKFIQNSNNSQKIEIRKTKKIIYLEPAWFILSGQRIQRKHFQAVIRRLGGKICRDSHHWSYQATHFIAPDPVRRTEKFFAAAAAGRWILKTDYVTASCEAGKFLEEEPFEWYRKGLTEDGAINLEAPRQWRLLRERTGHGAFYNMSIIIYGECIAPTLDTLKRVVKAGDGTILATSPPYTRILKAGVDFAIVSPSMPRVDSWVQEFLRHEIPCVAADYLVEYVCKPGYSLEKHVLYKTHSWAEKSLAKLLSCSEEISEDARLLSEESSDDLSCAVCGSAGRGEVMLICGDEAGAVGCGIGTHIDCCDPPLDSVPEGDWYCSKCSSTHNKTTPKHARFR >Ma04_p29320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30275250:30283425:-1 gene:Ma04_g29320 transcript:Ma04_t29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTTSPPGVAVRDPESLEKRKTAIRSAGPTKLQVIADFDGTLTRYWIDGRRGQTSNGLLQQGNAEYDAKRQALYEYYHPLEISPTIPIKEKTKLMEEWWEKTHGLLIEGGLSFDAIKKSVSEATIAFRDGVVELFELLEELDVPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFDEKGCLVAFKGKTIHVLNKNEHALDMAAPIHDKFRYPNGYNPDSVLMKQRTNVLLLGDHVGDLGMSDGLSYENQIAVGFLNNNTEMSFKSYLDAFDVVYLNDASMRGVVELVSQLCAENQ >Ma04_p29320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30275250:30283425:-1 gene:Ma04_g29320 transcript:Ma04_t29320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTTSPPGVAVRDPESLEKRKTAIRSAGPTKLQVIADFDGTLTSNGLLQQGNAEYDAKRQALYEYYHPLEISPTIPIKEKTKLMEEWWEKTHGLLIEGGLSFDAIKKSVSEATIAFRDGVVELFELLEELDVPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFDEKGCLVAFKGKTIHVLNKNEHALDMAAPIHDKFRYPNGYNPDSVLMKQRTNVLLLGDHVGDLGMSDGLSYENQIAVGFLNNNTEMSFKSYLDAFDVVYLNDASMRGVVELVSQLCAENQ >Ma08_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4737399:4745042:1 gene:Ma08_g06950 transcript:Ma08_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEAEGSASKEEPQEALEEMLSRHRQEMTKLHSKEMSLKKAAAKGSKAEQKAKKKQVENEISRLQSQLKAKHTQELASLGYKATERTEKNNLDILVKAIAGVNVTGNSDLAKPSKGARRRERRAQEEAAREQRIQEEQSNMISDRMIENERLERKLEPLGLTINEIKPDGHCLYRAVEDQLSLYSNDNSHYVFQELRKMAANYMRNHASDFLPFFLSESKIELDADRSPLERFEKYCEEVESTAAWGGQLELGALTHCLKKHIIIYSGSFPDVEMGKEYKTEIGNSISNPSIMLSYHRHAYGLGEHYNSVIPNTVR >Ma08_p06950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4737399:4743299:1 gene:Ma08_g06950 transcript:Ma08_t06950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEAEGSASKEEPQEALEEMLSRHRQEMTKLHSKEMSLKKAAAKGSKAEQKAKKKQVENEISRLQSQLKAKHTQELASLGYKATERTEKNNLDILVKAIAGVNVTGNSDLAKPSKGARRRERRAQEEAAREQRIQEEQSNMISDRMIENERLERKLEPLGLTINEIKPDGHCLYRAVEDQLSLYSNDNSHYVFQELRKMAANYMRNHASDFLPFFLSESKIELDADRSPLERFEKYCEEVESTAAWGGQLELGALTHCLKKHIIIYSGSFPDVEMGKEYKTEIGNSISNPSIMLSYHRHAYGLGEHYNSVIPNTVRCS >Ma05_p16460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16620347:16622173:-1 gene:Ma05_g16460 transcript:Ma05_t16460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVREYDAKTDRSAAEAVDRMCEVGTSGKASLCMDLLGDPLSRVRHSPAYLMLVAETTGPVKEIVGVVRGSVKVIACGRNNPRQGGGGTMKSRTPVYTKVGYVLGLRVLPSHRRIGVGLELVKRMEDWFREKAAEYAYMATEKGNAASLRLFTGRCGYTKFRTPSILVHPVFAHRFALPRCAAVLRLPPAYAEAIYRRRFSATEFFPRDIDAVLANPLSVATLLAVPAGCAAAERWPGVEAFLAAPPESWAMASVWDSGGVFQLEVRGASRLRRAAAAATRAADRAAPWLRIPSVPDLFRPFRAWFLYGIGGEGPEAAAMAAAVWRVAHNGAMGAAAVVAVEVAETEPLRQGIPRWRQLSVAEDVWCVKRLAEEYSDGAVGDWTKSAPGSSIFVDPREL >Ma05_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16620347:16622167:-1 gene:Ma05_g16460 transcript:Ma05_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVREYDAKTDRSAAEAVDRMCEVGTSGKASLCMDLLGDPLSRVRHSPAYLMLVAETTGPVKEIVGVVRGSVKVIACGRNNPRQGGGGTMKSRTPVYTKVGYVLGLRVLPSHRRIGVGLELVKRMEDWFREKAAEYAYMATEKGNAASLRLFTGRCGYTKFRTPSILVHPVFAHRFALPRCAAVLRLPPAYAEAIYRRRFSATEFFPRDIDAVLANPLSVATLLAVPAGCAAAERWPGVEAFLAAPPESWAMASVWDSGGVFQLEVRGASRLRRAAAAATRAADRAAPWLRIPSVPDLFRPFRAWFLYGIGGEGPEAAAMAAAVWRVAHNGAMGAAAVVAVEVAETEPLRQGIPRWRQLSVAEDVWCVKRLAEEYSDGAVGDWTKSAPGSSIFVDPREL >Ma01_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5712416:5716593:-1 gene:Ma01_g07940 transcript:Ma01_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVCFHLSLVAPPPTPLLPPRPHSPRLKVSPDPRLGAASAALAFLPRRLARFTVHAVVAEDERPKWWEKSAGPNMIDVHSTEEFIHALSEAGDKLVIVEFYGTWCASCRALYPKLCRTVEEHPNILFIKVNFDENKPMCKRLNVRVLPYFHFYRGADGLLESFSCSLAKFQKIKDAIAMHNTDRCSIGPPTGVGDIDLLGRSPSQEKPAEAASR >Ma02_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20366998:20370265:-1 gene:Ma02_g10980 transcript:Ma02_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MQAGCYVATRAWIPLQTSSDKGSRRSLVVRSAGSRMELSLTRPDDWHLHLREGDLLRAVVSHSARHFGRAIVMPNLKPPITTTAAAVAYRQSILKALPPDSRFDPLMTLYLTDNTSPEEIKLARKSGVVFAVKLYPAGATTNSQDGVTDIFGKCLPVLEEMAKHNMPLLVHGEVTDPNIDIFDRERVFIETILSPLLIKLPHLKVVMEHITTMDAVKFIESCNEGSVAATVTPQHLLLNRNSLFQGGLQPHNYCLPVLKREIHRQAIVSAVTRGSNRYFLGTDSAPHERQKKECPCGCAGIYNAPVALSLYAKVFEQAGSLDKLEAFTSFNGPDFYGLPRNTSKVKLRKSPWKVPDSYPYTSGEIVPMFAGETLEWFPSDN >Ma02_p10980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20367008:20370244:-1 gene:Ma02_g10980 transcript:Ma02_t10980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MELSLTRPDDWHLHLREGDLLRAVVSHSARHFGRAIVMPNLKPPITTTAAAVAYRQSILKALPPDSRFDPLMTLYLTDNTSPEEIKLARKSGVVFAVKLYPAGATTNSQDGVTDIFGKCLPVLEEMAKHNMPLLVHGEVTDPNIDIFDRERVFIETILSPLLIKLPHLKVVMEHITTMDAVKFIESCNEGSVAATVTPQHLLLNRNSLFQGGLQPHNYCLPVLKREIHRQAIVSAVTRGSNRYFLGTDSAPHERQKKECPCGCAGIYNAPVALSLYAKVFEQAGSLDKLEAFTSFNGPDFYGLPRNTSKVKLRKSPWKVPDSYPYTSGEIVPMFAGETLEWFPSDN >Ma03_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21245916:21246752:-1 gene:Ma03_g16860 transcript:Ma03_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRIEQFKKLSPPSFSGESDPMVAERWMMQIEKIFDVLNYPDDQKWKLFKEKFNDKYFPNCMREQKELEFLNLIQGIMTVTKHLEEIQEIMGKNKKDKFTSKIKREIEYEASNKRIKTSRFEKSKPLRRTQSCAKCGLNHETSQCFRTTGACFACGKLDHQVRDCPLNRKKPLPTRPSTYARVYAITE >Ma04_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7371179:7372567:-1 gene:Ma04_g10360 transcript:Ma04_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCMSAHAFITNSHVRDHGLRRLWSPVLKLYHFDALLPGSKARAAAATTTATSSVPLAMASSSSSLGAVSSFNLQTSSKTPQALASSLIPLSPNPFRPKTKLPKLAASPCRRQFCLPRRSIDASLFPAKKPTTDSRPTKVQELSVYEINERDRGSPVYLRLSQKEVNCLGDLVPFSNKLYSGNLEKRLGITAGICVLIQHVPERGGDRYEAIYSFYFGDYGHISVQGMYLTYEESYLAVTGGSGVFEGAYGQVKLQQIVFPFKLFYTFYLKGIPDLPKELLGTPVPPSPVVEPTPAAKAAEPHAAVKNYTK >Ma04_p34010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33371577:33376771:-1 gene:Ma04_g34010 transcript:Ma04_t34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARWFASIGRHLLSSSRPAFAAAPFPHRCLRSMALPVDPSIRKPVISDFISAALRDRPPVLGFHTPSRLLPATQSRFYAVKDRSRAPRTPVTSKVKKYKIKSYSSFKFRFRTMNNGQIRRWKAGKRHNAHLKSKEAKRRLRRPGIVHAAYAKVMKKLNFCA >Ma06_p25450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25790064:25797500:1 gene:Ma06_g25450 transcript:Ma06_t25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSLSLAPSPRLLLNPIPAPRSRSLCSSGFPRTGSSQLGRWFRRCSSSSVSGSSSSLDLPLLPFQMNEVLIPSESKTLHLYEARYLALLEESLSRKKLFVHFVLDPVRSSISSSGASYAARYGCLVAIESVKRLEIGALVSIRGISRVNIIELMQMEPYLRGLVVPMLDNVSGQEKELEQKLLELTEYLVSLHNLQIKLKASKEELLQTRTTNSMAWAQREGFGDCDQAFIPKLAERISFAGLQPVSGMTGSELLALQKEKLRAMDLRDTLKRVDYGIQFTNKSISMVAAKLAIQSLET >Ma05_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12065929:12067507:-1 gene:Ma05_g15710 transcript:Ma05_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMGVVVVVLASVLVLCYSSVLEAQLQVGFYKSTCPQAESIIASEVRRALSSNIGLAAGLVRMHFHDCFVRGCDGSVLIDSSSNNTAEKDSFINNPSLRGFEVIDNAKTRLEAACQGVVSCADILALAARDSVVMTGGVMYQVPAGRRDGRVSLVSEVLASLPGPTFDVDQLTRSFTSKGLTQDEMVTLSGAHTIGRSHCAAFSSRLFDFNSTDGHDPSLDSAYASQLKQQCPQGSNDTSLVAFMDPRSPYTLDTAYYANLLQNRGLFASDQALATDSTTAGLVKQNAAAGGGIAWKRKFAAAMVKMGQIDVLTGSDGEIRSRCRVIN >Ma06_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5104847:5105800:1 gene:Ma06_g07160 transcript:Ma06_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGETLIAPCSKNSFHHHQRPRRISMEGLQRAISDLSFQLSREGVETTLPPVSEVEDAQCECCGMSEECTPGYIRRVRERFAGKWICGLCSEAVKEEVAKNGGKEEEALEAHMSMCARFTRLGRTHPVLFQADAVREILRKSSRGARGQSTGHGDRDIAKKGSIARSSSCIAAITKEINKRRAAN >Ma06_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9484887:9494520:-1 gene:Ma06_g13840 transcript:Ma06_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEREKEREAELESAMYTNCLLLGLDPAIIGGGGSPRVGHFRHSNPRLGEQLLYFLLSALRGPAQSAKDFDKVWPIFDSAQSREFRKIVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHKRTFAADVASNPLPASLTDVSYLHAAALLPVTKARIALERRRFLKNANIAVHRQAAWSNLAHEMTAEFRGLCAEEAYLQQELEKLQDMKNKAKLEGDLWDDRISSSSNQNSHLVSKATRLWESLLARQSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDLNSHTSSPGLDTREKRDSSQFQEQSDDLSRMDDQGGGVYPTVDVAEILRRWTHALQRIHKQSLNLVKANEGEGPELLRSASDSSSSGHAESLAATLAEHRQHLVSIQGLVDQLKEVIPAMQMSISDLTEEVNNISSTMVDGFSVQSSTTVQYQSAGRQLERLTDEVAEMTSKFSSAQIEKVSGSPTLKLPHLFNLTPNSLGKGNQAPKQHPVGSQTNQETLPAPKTVSPPVTIDEDGEAQETDDYYAHNIRRSVREAALSSSSSNSELLQERSSDDGSEHFFIPLSTTDAASQKEIDYVPNWRNQQLVFSSPREVQAPMNMTDLSCNANSQQSFIPDMLNKLNGLKENKNLARLFQPSTEKIQRTHPEVNDTLDQVFSPPLLLESSFFQDAYEDLLAPLSETDAALMEH >Ma05_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8878399:8885676:1 gene:Ma05_g12240 transcript:Ma05_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVGGLAKSQSANDRILGPPKKRNIGQLSIQKKRQWSSSPEPEVMENNVSNSLSLVTNVSSCPTTVNDETKLMEENANNVSFVNQGAIAWNEMRRAWVGDRSKRPHRAPREPTISWCATYEDLLSTNRPFPQPIPVSEMVDFLVDIWQEEGLYD >Ma05_p12240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8878516:8885676:1 gene:Ma05_g12240 transcript:Ma05_t12240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVGGLAKSQSANDRILGPPKKRNIGQLSIQKKRQWSSSPEPEVMENNVSNSLSLVTNVSSCPTTVNDETKLMEENANNVSFVNQGAIAWNEMRRAWVGDRSKRPHRAPREPTISWCATYEDLLSTNRPFPQPIPVSEMVDFLVDIWQEEGLYD >Ma07_p13210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9925744:9962599:1 gene:Ma07_g13210 transcript:Ma07_t13210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCDEAGDGPAVLQLQNWGHLKFQFQLSDFSEAFISPSRELLLLLSNKLEALLLPLVAGKESGKLISSDGSCQSSFATLQQPGLLPFCSPEPRTVVASNSSCDATCTTEPTEVVPFSTFAQKGNSSVFDYYPVISDVKSLAWGHCGDAYSRFGNSSFREFLIVSGNNDIIIHAFRYHSENTNIIESSPEDGDVHGTWVEWGSAHCSQSKEQFLHSHGFGNLHEKDENTRTSERLNVHNQTGNANSSYDTNKNWFRTFLTELETSVSDGKYLGLFPAQASFPHSANVVSFSIYDSTLAFLSYASPLTQEETHSVGTADGLATNESISKVSSSFQSKSELRGLSYKSSRVFFSTSHHFIGLALTFSADTSIISQENSLKDSMNTIVVVIKLHHWGIQWVCSVDLEDSYPGSGPSPPWADIQFSANFLVCLNTSGLVNIWVANTGMLVAQFDTLRSCEVDSGMPLSRYASYEESDFDDVDQGAGDAKNHCIFKKLVVVSHSLHLAIINEHGVVYLLYAGDYISEKHHEANKFMPHFEHFDLGILAGWKVAGSCIGSQQSFGGLSSGQELVDLDMSGQDFPISKHMNGTKQMKRLKNHFWRIEDQTASGFNTASQINCQRISDCESRKSAPMRRIFMPLDRFNNDDCICFSPFGITRLVKCCNLKERQGYKIVHTDLHVKRKALDEGDLYTCGRLKTHSSATRDSFFIGESLGCFFQGFLYLITQHGLSVVLPSISISSAVFPVKSIRYWNPDAVVSSDFDIENMLTIHKPDEQWRPWQMEVVDRILIFEGSKEAEHVCLENGWDLGIVRLRQMQLALQYFRSDVIEQSLDMLMDVNLAEEGILQLLFVSVHQICSSVGRDTDLALVSRLLALAARFAIKVIQRYGLLTQKKDFMLDLGKESGISQLQTKLKMQKIDDVGNLTRLYEMAFYLEVIRELQSRLIPKIRRPGKVPADSRDTTGVVDNGAMQDESPLSIVPTDNVSSQQMETLELQIKEESAPNVPGLLFDNASTLQLVESSANMVEMDEFYAREAGALQKRNLIPLENPKDMITRWYADTFDLTTIVKDALHAGRLPLAVLQLHLQHQKELGSEEPHDTFSEVCDIGKNIAYDLFLKGESGLAVATFQQLGEDVEAVLRQLLFGTVRRSLRARIAEEMKIYGYLRTNELKILEKISLIERLYSSSSFWRTFHERRKSIYDTTPADTSEADNLTLGFRVSDCFTIQCGDIDGVVIGSWVNIDNGSAASADDEDKGLLTYWACAAVWSDAWDQRTVDRIVLDQCVEEGVDIPWESQFEYHVSHSDLEEIYQLFNCLPSSLLLEGSLRINLGSYFAATDASNEKIPDCAIYICSAEDLEPVSMDVPHVKIFKFSAVNMCSSWLRMFVEEELAKKYIFLKECWQSTAELVPLLARAGLLICTSKTYLMDKFSDSSLDLDIVNNCKKSHKDIAEAFHKLVIHHCVQYNLPYLLDYYLDHHDLLQDYHSLCTLQQPAGNCHWANWLLTSRIKGCEYEASFYNARSNLSRQAASDSKLSVLEIDEIIHTVDDMAEGGGEMAALATLMYAAAPMQKCLCTGSVNRNSSSSFQCTLENLRPGLQPFPTLWRTLLAFCFGQDANGYPFSYAASISNILGKSAFSDYLSWRISLFLSAGGDTSLEQMLPCCLPKSVRKLIKTFVQGPIGWQSLSDFGTDIEPFLFMDTRAVNANWNGGLSAVSWEASIQKSIEEELYSSVEEKGFGVEHHLHRGRALAAFNHLLGARTLNLKSANPRQQISGKPNIQSDMQAILSPLTEGESSILKTVPPLAIMNFEDHVLVSSCCFFLELCGLSASILRVDIAALRQISSYYNNSVEQNARYDHVSPKSSAFYAVSHGGHFTVSLARALADDYIHHDHLNITKKSDVPSSDFKDKPSLALMTVLHHLEKASLPLSTEGSTCGSWLLSGSGDGLEFRSRQKESSQQWSLVTRFCQMHHLPLSTRYISLLAKDNDWVGFLTEAQLGGFAMDVIIQAAADFNDSRLKTHILTVLKSIQSTRTKTNSSTTATPGSSRGNSFISDNNTAVPIELFVILADCEKQKNPGEALLSKAKDLHWSLLAIIASCFSDVSPLSCLTVWLEITAVRETSCIKMDDVYSKIVAGVGAAVKSTNSLPSGSRNFAFHYNRGNAKRRCRVETMSVNSLMGASSDITITTSSSVAFVSEEISKEEMKKMALEQPKVPNGPDEVLASLSNMVAVLCEQHLFLPLLRAFDIFLPSCALLPFIRSLQAFSQMRLSEASAHLASFSTRMKEEPFHMLNMARDGLVKASWISSISVKAADAVLARCPSAYEKRCLLKLLAGADFADGGSASAYFRRLYWKINLAEPSLRKDDDVYLGDEILDDGSLLTALENNGCWEQARNWARQLESSGASWKSASHHVTEAQAEAMVAEWKEFLWDVPEERAALWNHCQTLFLRFSFPPLQAGLFFLKHAEAVEKEIPARELHEMLLLSLQWLSGTITHSPPVYPLHILREIETRVWLLAVESEAQFKAERDFTSLSSVQNLVGGSSTSIIEQTASIITKMDNHINAMLTKVSDRNGTREITFLNNRNSHTSEPNSIAAAVSSARMKRRTKINLPLRRSVIDNLESNNDSDDYSDSSYQPKNNGELSKSMLSQEESMNIETSISAWEKRVQPAEVEKAVLSLLEFGQITAAKQLQQKLSPSHVPVELALVDCALKVAILSSSNNNGELSDTLIDPEILAVIVSAGVSISDHIIEPLQALEFLAMKCNEGSGRGLCRRIIAVVKSAKVLGIPFSEAFDKKPIDLLQLLSLKAQDSLEEAKLLVQTHTIPAPSIARILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFADFLKWAQLCPSEPEIGHALMRLVMTGQEIPHACEVELLILSHHFYKSSACLDGVDVLVTLAANRVESYVLEGDFSCLARLVTGVSNFHALNFILNILIENGQLVLLLQKYSTAEMATGTAAAVRGFRMAVLTSLKLFNPHDLDAFAMVYNHFDMKHETASLLESRSLQYMQQWLSCRDKDLRTEYLLDAMCHYIEAAEVLSGIDAGQKTHNACAQASLLSLQIRIPDINWIALPETKARRVLVEQSRFQEALIVAEAYKLNQPSEWAPVLWNQMLKPDLIEQFVAEFVAVLPLQPTMLLELARYYRSEVAARGDQSHFSVWLSPGGLPAEWIKHLGRSFRTLLKRTRDLRLRMQLATTATGFLDVTNACMKVMDKVPENAGPLILRRGHGGAYLPLM >Ma07_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9925744:9962599:1 gene:Ma07_g13210 transcript:Ma07_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCDEAGDGPAVLQLQNWGHLKFQFQLSDFSEAFISPSRELLLLLSNKLEALLLPLVAGKESGKLISSDGSCQSSFATLQQPGLLPFCSPEPRTVVASNSSCDATCTTEPTEVVPFSTFAQKGNSSVFDYYPVISDVKSLAWGHCGDAYSRFGNSSFREFLIVSGNNDIIIHAFRYHSENTNIIESSPEDGDVHGTWVEWGSAHCSQSKEQFLHSHGFGNLHEKDENTRTSERLNVHNQTGNANSSYDTNKNWFRTFLTELETSVSDGKYLGLFPAQASFPHSANVVSFSIYDSTLAFLSYASPLTQEETHSVGTADGLATNESISKVSSSFQSKSELRGLSYKSSRVFFSTSHHFIGLALTFSADTSIISQENSLKDSMNTIVVVIKLHHWGIQWVCSVDLEDSYPGSGPSPPWADIQFSANFLVCLNTSGLVNIWVANTGMLVAQFDTLRSCEVDSGMPLSRYASYEESDFDDVDQGAGDAKNHCIFKKLVVVSHSLHLAIINEHGVVYLLYAGDYISEKHHEANKFMPHFEHFDLGILAGWKVAGSCIGSQQSFGGLSSGQELVDLDMSGQDFPISKHMNGTKQMKRLKNHFWRIEDQTASGFNTASQINCQRISDCESRKSAPMRRIFMPLDRFNNDDCICFSPFGITRLVKCCNLKERQGYKIVHTDLHVKRKALDEGDLYTCGRLKTHSSATRDSFFIGESLGCFFQGFLYLITQHGLSVVLPSISISSAVFPVKSIRYWNPDAVVSSDFDIENMLTIHKPDEQWRPWQMEVVDRILIFEGSKEAEHVCLENGWDLGIVRLRQMQLALQYFRSDVIEQSLDMLMDVNLAEEGILQLLFVSVHQICSSVGRDTDLALVSRLLALAARFAIKVIQRYGLLTQKKDFMLDLGKESGISQLQTKLKMQKIDDVGNLTRLYEMAFYLEVIRELQSRLIPKIRRPGKVPADSRDTTGVVDNGAMQDESPLSIVPTDNVSSQQMETLELQIKEESAPNVPGLLFDNASTLQLVESSANMVEMDEFYAREAGALQKRNLIPLENPKDMITRWYADTFDLTTIVKDALHAGRLPLAVLQLHLQHQKELGSEEPHDTFSEVCDIGKNIAYDLFLKGESGLAVATFQQLGEDVEAVLRQLLFGTVRRSLRARIAEEMKIYGYLRTNELKILEKISLIERLYSSSSFWRTFHERRKSIYDTTPADTSEADNLTLGFRVSDCFTIQCGDIDGVVIGSWVNIDNGSAASADDEDKGLLTYWACAAVWSDAWDQRTVDRIVLDQCVEEGVDIPWESQFEYHVSHSDLEEIYQLFNCLPSSLLLEGSLRINLGSYFAATDASNEKIPDCAIYICSAEDLEPVSMDVPHVKIFKFSAVNMCSSWLRMFVEEELAKKYIFLKECWQSTAELVPLLARAGLLICTSKTYLMDKFSDSSLDLDIVNNCKKSHKDIAEAFHKLVIHHCVQYNLPYLLDYYLDHHDLLQDYHSLCTLQQPAGNCHWANWLLTSRIKGCEYEASFYNARSNLSRQAASDSKLSVLEIDEIIHTVDDMAEGGGEMAALATLMYAAAPMQKCLCTGSVNRNSSSSFQCTLENLRPGLQPFPTLWRTLLAFCFGQDANGYPFSYAASISNILGKSAFSDYLSWRISLFLSAGGDTSLEQMLPCCLPKSVRKLIKTFVQGPIGWQSLSDFGTDIEPFLFMDTRAVNANWNGGLSAVSWEASIQKSIEEELYSSVEEKGFGVEHHLHRGRALAAFNHLLGARTLNLKSANPRQQISGKPNIQSDMQAILSPLTEGESSILKTVPPLAIMNFEDHVLVSSCCFFLELCGLSASILRVDIAALRQISSYYNNSVEQNARYDHVSPKSSAFYAVSHGGHFTVSLARALADDYIHHDHLNITKKSDVPSSDFKDKPSLALMTVLHHLEKASLPLSTEGSTCGSWLLSGSGDGLEFRSRQKESSQQWSLVTRFCQMHHLPLSTRYISLLAKDNDWVGFLTEAQLGGFAMDVIIQAAADFNDSRLKTHILTVLKSIQSTRTKTNSSTTATPGSSRGNSFISDNNTAVPIELFVILADCEKQKNPGEALLSKAKDLHWSLLAIIASCFSDVSPLSCLTVWLEITAVRETSCIKMDDVYSKIVAGVGAAVKSTNSLPSGSRNFAFHYNRGNAKRRCRVETMSVNSLMGASSDITITTSSSVAFVSEEISKEEMKKMALEQPKVPNGPDEVLASLSNMVAVLCEQHLFLPLLRAFDIFLPSCALLPFIRSLQAFSQMRLSEASAHLASFSTRMKEEPFHMLNMARDGLVKASWISSISVKAADAVLARCPSAYEKRCLLKLLAGADFADGGSASAYFRRLYWKINLAEPSLRKDDDVYLGDEILDDGSLLTALENNGCWEQARNWARQLESSGASWKSASHHVTEAQAEAMVAEWKEFLWDVPEERAALWNHCQTLFLRFSFPPLQAGLFFLKHAEAVEKEIPARELHEMLLLSLQWLSGTITHSPPVYPLHILREIETRVWLLAVESEAQFKAERDFTSLSSVQNLVGGSSTSIIEQTASIITKMDNHINAMLTKVSDRNGTREITFLNNRNSHTSEPNSIAAAVSSARMKRRTKINLPLRRSVIDNLESNNDSDDYSDSSYQPKNNGELSKSMLSQEESMNIETSISAWEKRVQPAEVEKAVLSLLEFGQITAAKQLQQKLSPSHVPVELALVDCALKVAILSSSNNNGELSDTLIDPEILAVIVSAGVSISDHIIEPLQALEFLAMKCNEGSGRGLCRRIIAVVKSAKVLGIPFSEAFDKKPIDLLQLLSLKAQDSLEEAKLLVQTHTIPAPSIARILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFADFLKWAQLCPSEPEIGHALMRLVMTGQEIPHACEVELLILSHHFYKSSACLDGVDVLVTLAANRVESYVLEGDFSCLARLVTGVSNFHALNFILNILIENGQLVLLLQKYSTAEMATGTAAAVRGFRMAVLTSLKLFNPHDLDAFAMVYNHFDMKHETASLLESRSLQYMQQWLSCRDKDLRTEYLLDAMCHYIEAAEVLSGIDAGQKTHNACAQASLLSLQIRIPDINWIALPETKARRVLVEQSRFQEALIVAEAYKLNQPSEWAPVLWNQMLKPDLIEQFVAEFVAVLPLQPTMLLELARYYRSEVAARGDQSHFSVWLSPGGLPAEWIKHLGRSFRTLLKRTRDLRLRMQLATTATGFLDVTNACMKVMDKVPENAGPLILRRGHGGAYLPLM >Ma07_p13210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9929707:9962599:1 gene:Ma07_g13210 transcript:Ma07_t13210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWRMDGTLESFVYARCSWHCSTSDLISLDMLMDVNLAEEGILQLLFVSVHQICSSVGRDTDLALVSRLLALAARFAIKVIQRYGLLTQKKDFMLDLGKESGISQLQTKLKMQKIDDVGNLTRLYEMAFYLEVIRELQSRLIPKIRRPGKVPADSRDTTGVVDNGAMQDESPLSIVPTDNVSSQQMETLELQIKEESAPNVPGLLFDNASTLQLVESSANMVEMDEFYAREAGALQKRNLIPLENPKDMITRWYADTFDLTTIVKDALHAGRLPLAVLQLHLQHQKELGSEEPHDTFSEVCDIGKNIAYDLFLKGESGLAVATFQQLGEDVEAVLRQLLFGTVRRSLRARIAEEMKIYGYLRTNELKILEKISLIERLYSSSSFWRTFHERRKSIYDTTPADTSEADNLTLGFRVSDCFTIQCGDIDGVVIGSWVNIDNGSAASADDEDKGLLTYWACAAVWSDAWDQRTVDRIVLDQCVEEGVDIPWESQFEYHVSHSDLEEIYQLFNCLPSSLLLEGSLRINLGSYFAATDASNEKIPDCAIYICSAEDLEPVSMDVPHVKIFKFSAVNMCSSWLRMFVEEELAKKYIFLKECWQSTAELVPLLARAGLLICTSKTYLMDKFSDSSLDLDIVNNCKKSHKDIAEAFHKLVIHHCVQYNLPYLLDYYLDHHDLLQDYHSLCTLQQPAGNCHWANWLLTSRIKGCEYEASFYNARSNLSRQAASDSKLSVLEIDEIIHTVDDMAEGGGEMAALATLMYAAAPMQKCLCTGSVNRNSSSSFQCTLENLRPGLQPFPTLWRTLLAFCFGQDANGYPFSYAASISNILGKSAFSDYLSWRISLFLSAGGDTSLEQMLPCCLPKSVRKLIKTFVQGPIGWQSLSDFGTDIEPFLFMDTRAVNANWNGGLSAVSWEASIQKSIEEELYSSVEEKGFGVEHHLHRGRALAAFNHLLGARTLNLKSANPRQQISGKPNIQSDMQAILSPLTEGESSILKTVPPLAIMNFEDHVLVSSCCFFLELCGLSASILRVDIAALRQISSYYNNSVEQNARYDHVSPKSSAFYAVSHGGHFTVSLARALADDYIHHDHLNITKKSDVPSSDFKDKPSLALMTVLHHLEKASLPLSTEGSTCGSWLLSGSGDGLEFRSRQKESSQQWSLVTRFCQMHHLPLSTRYISLLAKDNDWVGFLTEAQLGGFAMDVIIQAAADFNDSRLKTHILTVLKSIQSTRTKTNSSTTATPGSSRGNSFISDNNTAVPIELFVILADCEKQKNPGEALLSKAKDLHWSLLAIIASCFSDVSPLSCLTVWLEITAVRETSCIKMDDVYSKIVAGVGAAVKSTNSLPSGSRNFAFHYNRGNAKRRCRVETMSVNSLMGASSDITITTSSSVAFVSEEISKEEMKKMALEQPKVPNGPDEVLASLSNMVAVLCEQHLFLPLLRAFDIFLPSCALLPFIRSLQAFSQMRLSEASAHLASFSTRMKEEPFHMLNMARDGLVKASWISSISVKAADAVLARCPSAYEKRCLLKLLAGADFADGGSASAYFRRLYWKINLAEPSLRKDDDVYLGDEILDDGSLLTALENNGCWEQARNWARQLESSGASWKSASHHVTEAQAEAMVAEWKEFLWDVPEERAALWNHCQTLFLRFSFPPLQAGLFFLKHAEAVEKEIPARELHEMLLLSLQWLSGTITHSPPVYPLHILREIETRVWLLAVESEAQFKAERDFTSLSSVQNLVGGSSTSIIEQTASIITKMDNHINAMLTKVSDRNGTREITFLNNRNSHTSEPNSIAAAVSSARMKRRTKINLPLRRSVIDNLESNNDSDDYSDSSYQPKNNGELSKSMLSQEESMNIETSISAWEKRVQPAEVEKAVLSLLEFGQITAAKQLQQKLSPSHVPVELALVDCALKVAILSSSNNNGELSDTLIDPEILAVIVSAGVSISDHIIEPLQALEFLAMKCNEGSGRGLCRRIIAVVKSAKVLGIPFSEAFDKKPIDLLQLLSLKAQDSLEEAKLLVQTHTIPAPSIARILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFADFLKWAQLCPSEPEIGHALMRLVMTGQEIPHACEVELLILSHHFYKSSACLDGVDVLVTLAANRVESYVLEGDFSCLARLVTGVSNFHALNFILNILIENGQLVLLLQKYSTAEMATGTAAAVRGFRMAVLTSLKLFNPHDLDAFAMVYNHFDMKHETASLLESRSLQYMQQWLSCRDKDLRTEYLLDAMCHYIEAAEVLSGIDAGQKTHNACAQASLLSLQIRIPDINWIALPETKARRVLVEQSRFQEALIVAEAYKLNQPSEWAPVLWNQMLKPDLIEQFVAEFVAVLPLQPTMLLELARYYRSEVAARGDQSHFSVWLSPGGLPAEWIKHLGRSFRTLLKRTRDLRLRMQLATTATGFLDVTNACMKVMDKVPENAGPLILRRGHGGAYLPLM >Ma03_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23851639:23851874:1 gene:Ma03_g18290 transcript:Ma03_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLNSTSHNLHTLLCLLAFKLYVPLLLLLLRKVRCSRRRRTADI >Ma10_p27120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34502617:34507892:1 gene:Ma10_g27120 transcript:Ma10_t27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALPLAYASSVVHPFEAGRLSFSTKATKHKKQIYQFRNVTKVLAYYGLTNPPYKLDALEPYMSRRTLELHWGNIHRGYSDSLNKQLANSPLYGCTMEELIKTTFNNGNPLPEYNNAAEVWNHDFFWESMQPNGGRLPWGGVLDQIEKDFGSFSNFRDEFVHSAMMLFGSGWVWLVLKTNERRLLIVRTSNALCPLVWGDIPIISLDMWEHAYYLDYKDDVSKYVNNFMDHLISWHSATTRMVRAEAFVNLGEPKIPVA >Ma09_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3940804:3944163:-1 gene:Ma09_g06110 transcript:Ma09_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLEHDYLGLPESPGELSGNLKDTELRLGLPGSESPERFDGGGAGLTLGLPKNFVSGAKRGFSDAIDGPDAWGLPGVKGSEEERGKGGEKANGKLLGPPSVGKDDGGAAKVAPSPKAQVVGWPPIRSYRKNTMAANPSKNKDDAKGKQGLECLYVKVSMDGAPYLRKVDLKTYSNYKELSLALEKMFTCFTIGQCGSYGMTGREILTEGRVMDLLLGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGIAPRAMEKSKSRN >Ma10_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22840229:22841224:1 gene:Ma10_g08500 transcript:Ma10_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSIHPSLRSSARISAAPRLSLAAARSFSFARRRLHLPSLSSDRIYVRAPISARTRTAISASASPEMEDTSDKAGSGVSTVVDVDLGSRSYPIYIGSGLLDELDLLQRHVYGKSILAVTNTTIAPLYLDKLTHRNPKVTVESVILPDGKKYKNMETLMKVFDKAIECRMDRRCTFVALGGGVIGDMCGFAASSFLRGVNFIQIPTTLMSQVDSSVGGKTGVNHPLGKSLIGTFY >Ma00_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:41333264:41335160:1 gene:Ma00_g04800 transcript:Ma00_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWPSTLVDVSFYRALHEPEKRAKGKLSRCQFFVVVIVATFTYSIVPVYLFPSITALSFVCWIWKDSITAQQIGSGFNGLGIGSFALDWITMSSYMDSPLAVPAFVVVNMMAGFILILYVLIPLSYWNNAYDAKRFPIFSSSIFDIDGQFYNVSRVLDDKSLTFNEEAYNNYSKLYFSASLMYSYGFTLASFTSSISHVALFYGSSLLVLATICRFISASNARFLLACALVFIFLLPEGVMMATTSSEFSINLLLEIIIGYLQSGKPIANIAFTTYGSTAINTAKYFTMDMKRAYYMKIPPKVMFLIQILGNILACVVSFSVGWWVLHSVKNICYPELLPKGSPWTCPWERRSFAIGVTWGVIGPIRMFYPHGTYSIIFIFIIIGLLAPVGVWMLSRVYPEKTWIKLIN >Ma04_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7372939:7374586:-1 gene:Ma04_g10370 transcript:Ma04_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTVVLYAVPAMGHLVPMVELAKLFVLHDFSVAVVLMHTPVKHPSVDPFVARVSSAYPSISFHQLPPAASLPDTPLPRFLDLVLPNNPQLLYFLEARSHTSDVRAVVLDFFCTGALAVTANLRLPSYFFFASCAAVLAAFLYLPTLYATADIDLKALGDSPLHFPGLPPVPASDMPRNMIDRDEDYFKRMIRALESLPNADGILVNSFESLEAEAVRVLRDGACIPGRRMPPVYCIGPLIADGSRDVGGEKMEKAECASWLDEQPRGRVVFLCFGSMGTFSAEQLKEIAAGLERSGQRFLWVVRAPRSESQGPQGWGLQSEPDLEALFPEGFLERTKQRGFLAKSWAPQVEVLNHEAVGGFVTHCGWNSVLEAITAGVPMIGWPLYAEQGMNKVLLVEQMRVAVAMEGCAKELVAAEEVEARIRWLMESEGGRDLRARAVATKQRAAEAIREAGSSHQAWLDVVKTLRNGSTSPLRTTGLTSEDHLKVPCD >Ma02_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27079360:27088417:-1 gene:Ma02_g21470 transcript:Ma02_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:Projected from Arabidopsis thaliana (AT3G28730) UniProtKB/TrEMBL;Acc:A0A178VCP0] MTDGHLFNNILLGGRGGTNPGQLKVHAGGIAWRKQGGGKIIDVDKADIARVTWMKVPRAYQLGVRLKDGLFYKFIGFREQDVSNLTNYIQKNIGLTPEEKQLSVTGHNWGEIDINGNMLTFLVGSKQAFEVSLADVAQTQLQGKTDVYMEFHVDDTTGATEKDSLMDLSFHIPTSNTQFVGDENRPPAQVFLDKIVSLADVGSSEEPFLTFEGIAILTPRGRHSVELHLSFMRLQGLANDFKIQYASIVRLFLLPKSNQPHTFVVITLDPPIRKGQTLYPHIVIQFETEYVVEKNLLLSEELLSTRFKDRLEPSYKGLIHEVFIKTLRGISGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHDEIDFVEFERHGAGGSSVSSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFEFIKAKGMKILNLGGSQTTNGVAAALHDDDDDAVDPHLERIKNAAGDESDEEDEDFVVEKDDGGSPTDDSGEEESDASESGEEKKSSIKDSKKEATGVKAPSAKGKSRDGDEEGSKKRKPKRKKDPNAPKRAMSGFMFFSNAERENLKKGNPGMSFTDVGRALGERWKKMTAEEKEPYESMARADTKRYREAMADYKSGGPSTTTNMDSGESE >Ma10_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30600502:30607935:-1 gene:Ma10_g20450 transcript:Ma10_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSRSAAALSATAAAAAALAALSDHAYADGTFRFPYLSSPSPTPAAPAVPTAGTRPPGEAPDAASSSSTSSGGFDPEALERGAKALREINSSPHAKQVFELMRKQEETRLAEVAAEKTQYLAMQAEGEIEKQRKLAEEQRNLVQQQAQAKAQMARYEDELARKRMQIEHEAQRRQNVELVRMQEESSVRKEQVRRATEEQIQAQLRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEEHNRKMLLEHMKGEREKWIAAINTTFSHIEGGLRMLLTDRGTLIMAVGGVTALAAGIYTTREGARVIWGYVNRILGQPSLIRESSIAKFPWSGLAPKIKKIVSSSGAALGKETSLENGSNFDKIILHPSLKKRIEQLARATENTKSHQAPFRNMLFYGPPGTGKTLVAREIARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGLLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDTVLVLATNRPGDLDAAVTDRMDEVIEFPLPGEEERYKLLKLYLGQYILQEGDGQLWWNSLFKKQQQKILVKDMTEDVLREGARKTAGFSGREIAKLMASVQAAVYGRPNCMLDSELFMEIVNYKVTEHHQRTKLAT >Ma05_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10708996:10722081:-1 gene:Ma05_g14640 transcript:Ma05_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKRKRGDPTLIIEAKARGVELLGFRFLPTSAPHINNASKALPSSSSSPPLLPLPLSSASTWPCCPRCSSGFPDMNGVTSSSLFPLQRCKTVHLVRHAQGIHNVKGEKDYRAYLSPELFDAPLTPLGWDQVDNLRKHIRACGLYKKIQLVITSPLLRTMQTAVGVFGGDSYSDGVNVPALMVENVGNSNRPAISSLNSPPFVAVESCREHLGVRPCDKRRNISEYRHLFPAIDFSLIENDEDILWKADVRETNEEVAARGVKFLNWLWTRKENEIVVVSHSGLLYHTLKMFGSDCHPIVKEEISKHLANCELRSMVLVDRSMLGSDSCYSNYPGKIPSGLDLRSDIADDKHPEKGNIN >Ma04_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9316127:9319324:-1 gene:Ma04_g12360 transcript:Ma04_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFSQPARKTRRRIARQKKAVKIFPRPTSGPLCPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRVCKFKAGDSAPEELTAATQVTGQYMPIVHEKPSVELVKVTDEMKSFKAYAKLRVERMNERLVGARLKKAAEAEKEEKK >Ma11_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12932755:12932871:1 gene:Ma11_g11460 transcript:Ma11_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKLITSCHTITSVRTYVLNYKMILCAKEVQKEKKQ >Ma06_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3957950:3959203:1 gene:Ma06_g05320 transcript:Ma06_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDAVLVPVGLLFPLVYHLWLWHKDNEKKNILAVQTIRNTIMGSSLMATTSILLCSGLAAVISSTYSVKKPLNDSVLGAHGEFMVSLKYVTLLLLFLFAFLCYSLSIRFVSQANFLINTTTCDPDAACPVTPDYVCDLLERSFALNTVGNRLFYAALPVLLWILGPVLVLVSSLAMVLILYKFDMVCEGRKHGFGAKVEEEEEVRDCA >Ma06_p05320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3957950:3959203:1 gene:Ma06_g05320 transcript:Ma06_t05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDAVLVPVGLLFPLVYHLWLWHKVRSQPLSTIIGINSAGRRFWVLAIIKDNEKKNILAVQTIRNTIMGSSLMATTSILLCSGLAAVISSTYSVKKPLNDSVLGAHGEFMVSLKYVTLLLLFLFAFLCYSLSIRFVSQANFLINTTTCDPDAACPVTPDYVCDLLERSFALNTVGNRLFYAALPVLLWILGPVLVLVSSLAMVLILYKFDMVCEGRKHGFGAKVEEEEEVRDCA >Ma08_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32324836:32325201:1 gene:Ma08_g18740 transcript:Ma08_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCNEKPECHLSCTPITHSNLVCIYHADSEHDIVKSRVLLNWGNINFDFVWQWNWKKITVETNHQVREATLHFKVIVFQGYSNMSKITDGSHVYFVALIKLTRSRRLKNEHLHHIHKIWI >Ma01_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7853615:7854980:1 gene:Ma01_g10930 transcript:Ma01_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFGFVLKDADLVGGRAQQRERQTRNAAVAALRCPRCESTNTKFCYYNNYSKLQPRHYCRACRRHWTEGGALRNVPVGGSRKNKRPRGAQTTPADASDGHGNGRSIDDGSSSVFSDASNLPIIRQPTPPEHFGAGSEEPLVSSSYHESPHPFSSLPFYDCTGQDSFTVDDRLFAMETSMLHLPASNLSHWDDIIDLVNLELKPPAPDRVDHTLTY >Ma01_p10930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7853781:7855066:1 gene:Ma01_g10930 transcript:Ma01_t10930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLKCSQDADLVGGRAQQRERQTRNAAVAALRCPRCESTNTKFCYYNNYSKLQPRHYCRACRRHWTEGGALRNVPVGGSRKNKRPRGAQTTPADASDGHGNGRSIDDGSSSVFSDASNLPIIRQPTPPEHFGAGSEEPLVSSSYHESPHPFSSLPFYDCTGQDSFTVDDRLFAMETSMLHLPASNLSHWDDIIDLVNLELKPPAPDRVDHTLTY >Ma11_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4307844:4309885:1 gene:Ma11_g05610 transcript:Ma11_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTANVARDEGSSSVTSSPLKTFSLMSLSPPPLPPYAPWLRELKSDERGLCLIHLLLNCANHVAAGSLDRANAFLEQIALLAAPDGDAMQRIASHFTEALARRALRLWPGLYHAIDSTRTVLLPLAEAAAARRHFLDLCPFLRLAFVVTNQAIMEAMEGERVVHIVDLNVSDATQWISLLQGLRARPEDPPHLKITGVHEHRELLNRTAVRLSEEAERLDIPFQFNAVVSRLDNLDVESLRVKTGEALAISSVLQLHSLLASNDSASDPRKAQRVAPISQLNLGEFLDKDHAANGHSSSVESALSSPFASASSPARMDSFLASLWGLSPKLMVVTEQEANHNAPALSERFVEALFYYAAMFDCLDSTVPRQSIERLRVEKMLLGEEIKNIIACEGWERKERHEKLQKWAQRMDSAGFGMVPLSYYGMPARRLLHSFGCDGYKVKDENGCFMVCWQDRSLYSISAWRCKRYD >Ma07_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30120929:30121831:-1 gene:Ma07_g22030 transcript:Ma07_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYCGLPRIHFLSTKPYRKSIRSAPSPRLSTQQEAPSRKRCLRCGELYQDSDNSPTACSFHGHTTGEKGLFSLAPPHQGIDGDWTDRSGVIVYRWNAKENRPNTGSANWKKRWSCCAEYDENAPPCRRGRHVSYDDGFTLY >Ma08_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5162625:5167053:-1 gene:Ma08_g07530 transcript:Ma08_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRELEAKMREAENDPHDGKRKCEALWPIFRIAHQKSRYIYDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >Ma10_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31610569:31613352:-1 gene:Ma10_g22120 transcript:Ma10_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNWSETAMLVIDMQNDFVLPETGSQFIVSGGEAIVASVIQAVAIARERGILVIWVVREHDPLGRDVELFRRHFYSDGKGPTAKGCKGAELVDGLVIKDRDYKLVKTRFSAFFATHLHSLLQSCGIKSLVVVGVQTPNCIRQTVFDAVALDYHHVTVIVDATAAATPQIHYDNIRDMKNIGVATPTLQEWLQL >Ma08_p28070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40117073:40119199:-1 gene:Ma08_g28070 transcript:Ma08_t28070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >Ma08_p28070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40117073:40118534:-1 gene:Ma08_g28070 transcript:Ma08_t28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >Ma06_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9571626:9573159:1 gene:Ma06_g13970 transcript:Ma06_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLIVCFGEMLIDFVPTVSGVSLAEAPGFIKAPGGAPANVAIAVARLGGRAAFVGKLGDDEFGRMLAGILRDNGVDDGGVSFDTGARTALAFVTLRADGEREFMFYRNPSADMLLTEGELNLDVIKSAAVFHYGSISLITEPCRSAHLKAMEVARQAGALLSYDPNLRLPLWPSAEEAREQILSIWDEADIIKVSDVELEFLTGQESVEDDVVLTLWRPAFKLLVVTLGEKGCKYYTKDFRGSLDGYAVDTVDTTGAGDAFVGAMLRKIVDDQSVLQDEGKLREVLKFSNACGAITTTEKGAIPALPSEPAAVELLKRN >Ma05_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33607672:33620781:1 gene:Ma05_g21850 transcript:Ma05_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKLSSKDVKKNTKENFIDTLHRFISSTEQKSTTKSRGSRRRKSDTSEKAPRSRTESRSTSPSAQVSRCQSFGGRSNSQPLPLPGLCSGISCKPSEVITSKSILEERGKSQLLLPLPRPNHISKRPDTAELDGGVATTSISSNCSIDSDDAADSELHSPVNDFLKSNRAVTSNHSAGRKDPCPATQCKTREMMKSTNFLLGNHILSAPSKQGFQNNYQSNIQIPRHGAFASAPDSSLSSPSRSPMRVVFPEQIPTSAIWATKPQQDLTFFGSGQCSSPGSGQTSGHNSMGGDMLGQFWQHSRGSPECSPIPSPRMLSPGPSSRIHSGTVSPLHPRAVGTAPESPTRRHDEGKKESHRLPLPPKNISISATFPPHNSTTNSSMSVVRSPGRTDNPTSPGSKWKKGKLIGRGTFGHVYIGFNSESGEMCAMKEVTLFMDDAKSKESAKQLGQEISLLSRLQHPNIVQYYGCEMIDDKLYIYLEYVSGGSIHKLLQEYGPLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMVTSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSVDGKDFIGKCLQRDPSKRPTASELLQHPFVNSAALIEKSIFSSQPVQQLIGVSSGACTKGVGHARNLSSLDVEGLAFHRLRGGKSTILSSNPARYISCPVSPIGSPLLNARSPRHISGRMSPSPISSPMATSGASTPLTGGNGAVPFNQLKQVAYLHDGHGSSSRSMNGCYPSGAIYHEPKVDLFLGMQHMLPRLGERMTSETDTLSIQVGRVGHDNMRDPCESHWFLSDHMSYQILRDQMKLNPSVDLRSVSSMHSCRNGT >Ma05_p21850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33607672:33620781:1 gene:Ma05_g21850 transcript:Ma05_t21850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKLSSKDVKKNTKENFIDTLHRFISSTEQKSTTKSRGSRRRKSDTSEKAPRSRTESRSTSPSAQVSRCQSFGGRSNSQPLPLPGLCSGISCKPSEVITSKSILEERGKSQLLLPLPRPNHISKRPDTAELDGGVATTSISSNCSIDSDDAADSELHSPVNDFLKSNRAVTSNHSAGRKDPCPATQCKTREMMKSTNFLLGNHILSAPSKQGFQNNYQSNIQIPRHGAFASAPDSSLSSPSRSPMRVVFPEQIPTSAIWATKPQQDLTFFGSGQCSSPGSGQTSGHNSMGGDMLGQFWQHSRGSPECSPIPSPRMLSPGPSSRIHSGTVSPLHPRAVGTAPESPTRRHDEGKKESHRLPLPPKNISISATFPPHNSTTNSSMSVVRSPGRTDNPTSPGSKWKKGKLIGRGTFGHVYIGFNSESGEMCAMKEVTLFMDDAKSKESAKQLGQYTFRCLLSWQEISLLSRLQHPNIVQYYGCEMIDDKLYIYLEYVSGGSIHKLLQEYGPLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMVTSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSVDGKDFIGKCLQRDPSKRPTASELLQHPFVNSAALIEKSIFSSQPVQQLIGVSSGACTKGVGHARNLSSLDVEGLAFHRLRGGKSTILSSNPARYISCPVSPIGSPLLNARSPRHISGRMSPSPISSPMATSGASTPLTGGNGAVPFNQLKQVAYLHDGHGSSSRSMNGCYPSGAIYHEPKVDLFLGMQHMLPRLGERMTSETDTLSIQVGRVGHDNMRDPCESHWFLSDHMSYQILRDQMKLNPSVDLRSVSSMHSCRNGT >Ma05_p21850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33607672:33620781:1 gene:Ma05_g21850 transcript:Ma05_t21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKLSSKDVKKNTKENFIDTLHRFISSTEQKSTTKSRGSRRRKSDTSEKAPRSRTESRSTSPSAQVSRCQSFGGRSNSQPLPLPGLCSGISCKPSEVITSKSILEERGKSQLLLPLPRPNHISKRPDTAELDGGVATTSISSNCSIDSDDAADSELHSPVNDFLKSNRAVTSNHSAGRKDPCPATQCKTREMMKSTNFLLGNHILSAPSKQGFQNNYQSNIQIPRHGAFASAPDSSLSSPSRSPMRVVFPEQIPTSAIWATKPQQDLTFFGSGQCSSPGSGQTSGHNSMGGDMLGQFWQHSRGSPECSPIPSPRMLSPGPSSRIHSGTVSPLHPRAVGTAPESPTRRHDEGKKESHRLPLPPKNISISATFPPHNSTTNSSMSVVRSPGRTDNPTSPGSKWKKGKLIGRGTFGHVYIGFNSESGEMCAMKEVTLFMDDAKSKESAKQLGQYTFRCLLSWQEISLLSRLQHPNIVQYYGCEMIDDKLYIYLEYVSGGSIHKLLQEYGPLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMVTSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSVDGKDFIGKCLQRDPSKRPTASELLQHPFVNSAALIEKSIFSSQPVQQLIGVSSGACTKGVGHARNLSSLDVEGLAFHRLRGGKSTILSSNPARYISCPVSPIGSPLLNARSPRHISGRMSPSPISSPMATSGASTPLTGGNGAVPFNQLKQVAYLHDGHGSSSRSMNGCYPSGAIYHEPKVDLFLGMQHMLPRLGERMTSETDTLSIQVGRVGHDNMRDPCESHWFLSDHMSYQILRDQMKLNPSVDLRSVSSMHSCRNGT >Ma06_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4526169:4529820:-1 gene:Ma06_g06150 transcript:Ma06_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSHRNMAEHVERTSENFLNNIMEKIADTVPKQKSVRFFEEPNSVSAQMDRLFGRQNSVHKILGGGKSADVLLWRNNKISSSVLTVATAIWILFEWLNYHFLTLLAFALVIGMLIQFVWSNASRVLNRSPPQVPRLVLPDDLFANVALSLGAQVNHFLRFIQDVSCGGNLKQFLMVVASLGATALIGSWCNFLTIIYIGFVCAHTLPVLYEKNENQVDGFLDKLFGQMQSQYRKLDGSLLSKIPKGNLKFKKNE >Ma08_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3435217:3437021:-1 gene:Ma08_g05000 transcript:Ma08_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRPLRKTVTLSRPCHNKWSLGSHHSLTSHSSNPRHTDPFKPHPNGRKNSIFILLFVSFLEFLRRSMATRSLLLAGFLNLVMVGVSNGLISSNPSTHRAISNLRDAVVKGLGIHAEGVEVSGFDVRDVRVGQSVAYEFDIEIDKKVIPIKLSEDVRRWDSVDLTIFGEDGEGGGDATGLVRMGRRPDSMVPPVLSPFQLAGPLELWIQDGDDMRLFLPHDVEAGALKKVILSDGAVVTVKGARSVSLRRPLELPLPFPLNRTHHSGHQDASGLLATAEAVRRAAGSKGQPLLSLRIVGPTSLTSSPSTSPHDKLKLRRLAPGLVELSSRSIPTIAVQRPTLWPLTSLNGSDSNLQGFEEMLASVLGPKGKEEGSFQLLRAEVSARNYIKTGFTLVENISDDEVDRSGFPEWMTRPEKAMTQFEVLARMEENGEVVPERIAVVKPVQVADSELESVSTGNSTMSGASIVHPPPSYFTL >Ma02_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28616456:28623059:1 gene:Ma02_g23710 transcript:Ma02_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIPFKLSGFTCFIHHGSCSCSDQCACLPPLQYVELKIWHPQVLERISKESIKSPACISKLDPSPNEHAMKVFNSAGTPFSDHACYFIAFLCSFLRIPIDTNTHVCMNVYTYTYKIKLGFR >Ma06_p35580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35356520:35363217:-1 gene:Ma06_g35580 transcript:Ma06_t35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAPTMSPPPGWVGAMAHRSLSRVMSFGISDSALEFVLGYVDDPRDREAVSLVCKKWYQIDALSRKHVTIAICYSTSPDRLRRRFPNLESLKLKGKPRAAMFNLIPEDWGGYAGPWVREIAEAFKCLKSLHFRRMIVKDEDITVLVKARGHMLESLKLDKCSGFSTDALLLVARSCRCLRTLLLEESSITENNDKWIHELAVNNSVLETLNFYMTELRVTPQDLELLAKNCRCLVSLKISECDIYDLVGFFHFATALEEFGGGSFNDQLGEVNTYKKIQFPPRLCCVGLIYMGKNEMSILFPFAAALKKLDLQYTLLSTEDHCQLIQRCPNLEVLEVRDVIGDRGLEVVAQTCKKLRRLRIERGDDEQGLEDEQARVSQVGLSTLAQGCLELEYLAVYVSDITNAALECLGTFSKNLCDFRLVLLDREERITDLPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLGYIGELSSNVRWILMGNVGESDRGMMLFSQGCPQLQKLELRSCCFSERALAMAALQLPSLRYLWVQGYVASPTGRDLLVMARDFWNIEFIPPKGTEVRTGNIESQAQILAYYSLAGKRTDCSESVIPLYPA >Ma06_p35580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35356520:35363278:-1 gene:Ma06_g35580 transcript:Ma06_t35580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSLSRVMSFGISDSALEFVLGYVDDPRDREAVSLVCKKWYQIDALSRKHVTIAICYSTSPDRLRRRFPNLESLKLKGKPRAAMFNLIPEDWGGYAGPWVREIAEAFKCLKSLHFRRMIVKDEDITVLVKARGHMLESLKLDKCSGFSTDALLLVARSCRCLRTLLLEESSITENNDKWIHELAVNNSVLETLNFYMTELRVTPQDLELLAKNCRCLVSLKISECDIYDLVGFFHFATALEEFGGGSFNDQLGEVNTYKKIQFPPRLCCVGLIYMGKNEMSILFPFAAALKKLDLQYTLLSTEDHCQLIQRCPNLEVLEVRDVIGDRGLEVVAQTCKKLRRLRIERGDDEQGLEDEQARVSQVGLSTLAQGCLELEYLAVYVSDITNAALECLGTFSKNLCDFRLVLLDREERITDLPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLGYIGELSSNVRWILMGNVGESDRGMMLFSQGCPQLQKLELRSCCFSERALAMAALQLPSLRYLWVQGYVASPTGRDLLVMARDFWNIEFIPPKGTEVRTGNIESQAQILAYYSLAGKRTDCSESVIPLYPA >Ma07_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5912455:5918016:-1 gene:Ma07_g07960 transcript:Ma07_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSLSKVAVIGSGISGAVCASILAAGGVSVTVFETGRGAGGRMSQRRETTEDGRVLFFDHGAPYFSVSDMEVMGVVRSWEARGLVSEWQVPFGSFDQSTGKFVDFEKEGTTKKYVGVPGMNSMCKALCSDPGVEAKYGITVGKVDWLCDRNSWSLISVDGQDLGQFDGVVASDKNVVSARFTGVTGRPPPLDITSSPDLAILSQDIPIRACFALMLAFSEPLSWIPLKGVSFKNSQVLSWAYCDSSKPGRSHASSNCECWVLHSTAEYAQAVIARTGLKKLSSDALSKVAEELLCEFQATGINIPRPFFMKAHRWGSAFPAIAIGGDEKCLWDKNKRLAICGDFCASPNVEGAVLSGIRAASKILEKSSNL >Ma07_p07960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5912455:5918016:-1 gene:Ma07_g07960 transcript:Ma07_t07960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSLSKVAVIGSGISGAVCASILAAGGVSVTVFETGRGAGGRMSQRRETTEDGRVLFFDHGAPYFSVSDMEVMGVVRSWEARGLVSEWQVPFGSFDQSTGKFVDFEKEGTTKKYVGVPGMNSMCKALCSDPGVEAKYGITVGKVDWLCDRNSWSLISVDGQDLGQFDGVVASDKNVVSARFTGVTGRPPPLDITSSPDLAILSQDIPIRACFALMLAFSEPLSWIPLKGVSFKNSQVLSWAYCDSSKPGRSHASSNWGSAFPAIAIGGDEKCLWDKNKRLAICGDFCASPNVEGAVLSGIRAASKILEKSSNL >Ma09_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30230347:30239416:1 gene:Ma09_g20850 transcript:Ma09_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MAAAAAASASSVASSSLVPKKTFSFAPLHASLPVPKFLNGSGRRPSSSSSLRKTGWGSVLVAPSGRKAVVCSSSSSSSSLPLPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVQLYGELLKIGGGKERMTAYFDKTGWPVKAPKTEEERKEFIASLHKRKTELFMALIEKKLLPLRPGVERLIDEALAKGVKVAVCSTSNEKAVAAIVSFLLGPDKADKITIFAGDVVPRKKPDPAIYLLAAETLGVDPSSCVVVEDSAIGLSAAKAAGMTCIVTKSGYTAEENFVTADAVFDCIGDPPEVRFDLTFCANLLQKQYVS >Ma08_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10212022:10212219:-1 gene:Ma08_g12970 transcript:Ma08_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATCTCTSRRTKTMPALKAKLRMPTTHTCMPEKDDEMGLLLLLCIYQPLFRYCWGRSHLSTSKK >Ma03_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26163941:26166312:1 gene:Ma03_g21120 transcript:Ma03_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVVILRLAVVPLLLWPLLLAPGAAAVWLNLPASGTKCVSEEIQPNVVVLANYAVASDGDDIARQTIAAKVTSPYGNTLHNQEHVSAGQFAFTTTEAGGYVACFWIDSGNSDVGLSVSLDWKIGIAAKDWDSVAKKDKIEGVELELTKLEAEVQAIHVNLLYLKSREALMREVSERTNARVALFSILSLGVCIIVSILQSWHLKSYFRKKKLI >Ma07_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9975207:9975986:-1 gene:Ma07_g13230 transcript:Ma07_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAQDDREQQRGAGGGGQEAEEEEEEEEEEEEEEEAGTLSPSFPMGRVKKIMKLDREINKVNSEALLLISLSAELFLASLSAGARHAVLQKKRRIIKLDHIRSAARAHRPTAAFLLDCLPKPPPPPKAVSPTLKARSADEKPLPPGARRIDDFFRKPSADTQ >Ma04_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10181125:10185062:-1 gene:Ma04_g13460 transcript:Ma04_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGPGLESLVDQTISVITNDGRNIVGVLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDANLDLSKLRAHPLKPVIH >Ma04_p13460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10181125:10184927:-1 gene:Ma04_g13460 transcript:Ma04_t13460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGPGLESLVDQTISVITNDGRNIVGVLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDANLDLSKLRAHPLKPVIH >Ma10_p26300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34053944:34061258:1 gene:Ma10_g26300 transcript:Ma10_t26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRERDEDEEAPPSEPSPAPPPRRAGGGLSSMVVRPPESGEGEDDEEPRDYGAVVRRRDSPQQRRDSLPPARRRFSPQDQRRREPSPGLRRRDSPPTFRRRGSPIDVRRRGGSPGFHSRYQRFHGGPGSVSPRRQRLDGQHDLDFDSSMGRHIGRGFRGGRAGGRFREASPGYGHGRGGRSTGRGYNASRWPVSDGEYVHRNDPNLSPREGDWICQNPFCGNLNFARRTHCNNCNKYRYGPELDGPSRSPRRGYFKSPPLRGSPPRIVGPANHGLRDDLDRYRSPRGWGVEDLRDFGPRSPPPARGGKFLDMRRERLDYHDDLGYRQRRKFDWPAPEWEGRDSNRDGFVADRRGYDRRPPSPRGRWISRERSRSPIGSRPLRGAYMGRGRDDRQYDSHLGHSQADDLGIGRGRGYRQAESLPGRSHIDRRGIARGRNDDIY >Ma05_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4707898:4711284:1 gene:Ma05_g06320 transcript:Ma05_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDVLDMATAIFSHLQNLWPFSGSKTDDLKISAQLVRKLSVPDKTKQFVFALREPDSDAVVYILAAQNLSLQSALDAEYLIKEVQPKAVVAQISPSVLADIQSEEKCLRNDQVNHVPTSSFGVLKRCLMEKINKDHYESFAGCHVLREIFGVGFYGHFLVAKRAAEDVHSHFMLLESPYEKGCTATSVENDKDGGQSFALHIQTSGLLPGKVTSAIYSSSKRICLDTALQSQAIRSVIPSLDLIISKEILSDDNSEVESGKDKSNCSFKVPPFAQSFYPLLADLHHIFIDLPYIAKAMVSVQKILADINDGQPVNTQTLSNVYIFRIAIEGVRIALNNAARLPMDRGGKSNSTKLEYSELPSEEKSHVLFVQALRSQARKFGSVVAIVDAGCLAGLRRHWNTSVPLKIADLTDQCFTEYYAHDLDANDEKEEDMRKTGLLADKPVVAFGAGATAALGASSLSKAIPASTLIKLATYKIPATLKFGLANLQRAVTIGLGNILGSSNPLTHGLASVGAKTLPWKFTASAEKIRAVTHTMIASAERTSLLAMRTSFYEIMRRRGVRPLRFMPLATFSCSMVACTGLLAYGDGIECVAESLPNVPMIASLGRGLESLHQASKEVRMTDGSKIQEVLQTLVYNLKKMRTQ >Ma02_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18470130:18487563:1 gene:Ma02_g07990 transcript:Ma02_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASLPVVLRLALSHIPEERMAAEDSLSKLQCTPQHLVSLLQIITDGAGDMAVRQVAIIYFKNFVAKNWLPHEAGEPPMIPENDKMMIRYIILDSVAQVPPLLRVQLVECMKTITQDDYPEHWPGLLHWIKLNIQDQQEFAALYVLRVFSRKYELKSDEEQTPLHLIVDETFPLILNKFTKLVQIVNPTIEVADLIKLICKIFWSSIDLEIPQKLLDPNIFSEWMFLFLNLLERPVPLEGQPSNLDLRKSWGWWKVKKWTIQILNHLYTRFGDVKLLKKPENKAFAQMFQESYAGKILECHLQSLNVIRAGGYLPDRVINLVLQYINSSISKNSMYHQLLEPQLDIVLSEIVFPLMCFNDNDHKLWTEDPHEYVRKGYDIDLYSPRTAAMDFVNELVRKHGKGNLQKFIPFILKIFRRYDEAPVELKPYRQKDGALLAIGAVCDTLMQSESYKSGLESMLVLHVLREFSSPVGHLRAKAAWVAGKYAHINFSDQKNFREIFHHIISGMRDPDLPVRVNSVFALQPFIEACQDLNEIRPFIPQLLDEFFKLVNEVENEDLAVTLETIVNKFGVEIVPYANSLCQNLAAAFWKCLGTSKAAEEADDSGALAAARCLDSISTILESINGLPHLFVQIEPILLPIMQRMLTADGQDVFEEVLKIVSHMTFYAPTVSLDMWGLWPLIIEALGDWALDFFENILVPLDNYISRSTAHFLSCKDPDYQQSLWEVLSKIMSDSNMEDSDIEPAPKLIEVVFQNCKGQVDHWVEPYLNITIGRLRRAKKPYLKCLLMLVIADSLYYNASLTLGILHKLGVATDIFNLWFQMLQEVKMNGTLANFRRQHDKKVFCLGLTSLVGLPADQLPGEALAHVVRATLKLLDSYKNQIRAEEQIAAVHGINDFDSDEEDVDEEDFDKEVGDDDEDWDEAYSLKLQKLAAEVEGYQSVDEDEYIESPIDEVDPFIYFVETVEAVRGSDPARYQSLMQTLDFDYDTLARGIYQYAEQRRLEI >Ma10_p27760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34873845:34876778:1 gene:Ma10_g27760 transcript:Ma10_t27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSTAKPPPKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLIGEASCRICLESFSTTVNALTEPIDIYSEWIDECERVNNPEDDGA >Ma07_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30934990:30935865:-1 gene:Ma07_g23190 transcript:Ma07_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 6 [Source:Projected from Arabidopsis thaliana (AT1G74670) UniProtKB/Swiss-Prot;Acc:Q6NMQ7] MRWEILLFSLQYSPSASPPASMLCSPMAKLVPLMMLLLLILVSLVSCEIVREEVQDDDLFLNAQKRQYGSGSLKSYQCPGECTRRCSRTQYHKPCMFFCQKCCRKCLCVPPGFYGNKQVCSCYNNWKTKRGGPKCP >Ma04_p26020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27636820:27644870:1 gene:Ma04_g26020 transcript:Ma04_t26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGCINQVMNDSGEDDEGPIIFKRPNSSSKQSHLSSTSKKTTQKHDGSNLVSGQIARNGENSRLQSAEVLPTAKTLNEKPISHSQSSVSVQSKHISYHKSTTNDVRPKYQDVSSLEVRESDDSDDGKPLSHRLNSPPAAVQKKNTMGSEKTHMHSSDHKFVNKSTDKMNLDKPIIKREDSDDSDDEKPLSLKFSSSTVVNKGGPSHAMKTQSAKPTLLPSLKINSDIKGCSDDSEDEKPLLSRFQSKAIGSSSMKSSTSDDKPLSSKLKLNGSSKKEGNSENRSSGGGQKRPLGDPDPTGSSSVKKAKVSETSASVKVKHEVAVKKEIKADDTNHVSISQRIKKSVSSNSASTSKNVSQKNHSLFKKDIKKMKKKDKDSKFSKILKVPPGSGGGQKWTTLEHNGVIFPPPYNPHGIKMLYKGQPVDLTPEQEEVATMFAVMKDTEYATKNQFIENFMNDWRQILGKSHVIKKFELCDFTPIYEWHLREKEKKKQMSTEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPSDITINIGKEAPIPECPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARLLKNYIHNIRANYTRDFTSKDPTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVELVPPNMLKFDFLGKDSIRYLNTVVVELPVYKAIGEFQTAKKSDGGRKSKGDDLFDLLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDILNKETKDGTLPEKIAVYQHANKEVAIICNHQRSVSKSHESQMSKLNEKINDLKTQREELEMDLSRARKGKPPLKDREGKTKKNLSPEVIEKKLAQVDAKIEKMELDKKIKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVEPDFRF >Ma02_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23808103:23810144:1 gene:Ma02_g16450 transcript:Ma02_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSMAAASSTCHLVAVPYPGRGHVNPMMCLCRLLAAHGALVTFVVTEEWLALLRAAPAPPPATAIRLRTIPNVIPSESGRAADFPGFLDAVFTRMGDPFERLLDELEPAPAAIVADSYLPWAVAIGNRRGIPVASLFTMSAAFFSVFYQVDRVPGLLRPQAAVPDHAIGGDLLAQWIPGLTSLRSADFMPLIRMKKPTERVLETFSWIPKAQTVLFTTFYELEPRVLDSLRSELSCPVYSVGPCIPYMLPQVNGSIPCSGNEDDYFSWLDSQMERSVLYVSLGSFLSVSKSQMEEIAMGLCASQPKFLWVARDDSLRIQQMCARSGLVVPWCDQLRVLHHPSVGGFLTHCGWNSTLEAVFAGVPMLTFPLLWDQIANSRLVVEEWKVGLSLKEKLGEDTVIGRDDIAMFVKRLMDSNGLESREMRRRATELRMASLKAIEEGGSSYTGIISFLHGLMKCDD >Ma08_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10406817:10408081:1 gene:Ma08_g13100 transcript:Ma08_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAFLLLLILRTAISQPSPGFHPGSSLSPVRFYQGYGNLWGPQHQSVSQDQYTATIWLDSSSGSGFKSNTAYRNGYFGASIKLQSGYTAGVNTAFYLSNNQAYPGFHDEVDIEFLGNIAGRPYTLQTNVYVRGSGDGRIIGREMRFHLWFDPTADFHHYGILWNPDEIIFFVDDVPVRRYARKTEATFPDRPMWMYGSIWDASSWATDNGRYRADYRYQPFVAEFTGFKLGGCTASAPPSCRPVPSSPSGGGLSPEQYAAMQWVQSNHMVYDYCQDSTRDHSLTPEC >Ma04_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10365631:10374400:1 gene:Ma04_g13710 transcript:Ma04_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGCIRAAVDAIHSTPTKAVVYLSGGASQALGWLMSLPGASNTILEVVVPYSKNSMTQLLGKVRLLNPACSLHSFFSFLIYGYSYVINTFQSMFGKAGLPVLGVGFTGSLATTYTKHGDHRFYLSTRTCDRLWTSSVTLLKGLRTREEEDRVSSHFLLKAISDACKVSATFSSELYESEVPDEYERQVDEDEELQQIIDGKLCMKIYDFSGDKDTASERMVILPGSFNPLHDGHLRLSEIASRERPSCVEGDIMALSLTSDIPINTEW >Ma00_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9549372:9557223:-1 gene:Ma00_g01540 transcript:Ma00_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKHALSLVLYLCASFLRSGLHVDGSEEPKAYIVHVKGPEKMDFDVADQWSEWYSSLLESAATPLGLESEEGDPRSRLIYSYRNVMTGFAARLTPREVEAMSTMDWFLHAYPSRVYRLKTTHTPEFLGLRLGVWNESNMGEGIIIGLLDTGVTPGHPSYDDHGMPPPPAKWKGRCDLKASACNNKLIGARSFINHDGRDRRSTGTPVDEEGHGTHTSSTAAGAFVKRANVNGFAPGVAAGMAPRAHIAAYKVCDEIACMGHDMLAAMDAAVDDGVDVLSFSISADPIPFHSDPIAQGTFNAIGKGVFISCSAGNEGPDPGSVNNDAPWVLTVGASTTDRLFLASVKLGNGRKLYGESLHRPRSFNPKMLPLVYPGFVTAKDGAYMCINGTLDGVDVRGKLVLCQLGVIDSVQMSEVVKKAGGAGMIVMNYPVDGYTIIADDHVLPTSMVPYAYGLEIQAYINSTSTPIANIIYHAKEVSNWEAKVEAQGVKKYKALRDFKKGLARLRHNSLAFGYDLAMSRAKYDHPDIELPENPIDKRPTNDEVMISSSDIVD >Ma05_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36743543:36746526:-1 gene:Ma05_g24520 transcript:Ma05_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVWSSEVVAAAAGDAKPELVWATCACCGLREECTPAYVAKVRERYSGRWVCGLCGEAVKYEICRSERRISTEEALSRHTSFSESFRSAAPPIDTAEHLIAAMRQLLRRSLNSPRATRSTPGSSLQEAARDGGADARRSLARSGSCFSTLA >Ma01_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12900643:12909692:-1 gene:Ma01_g17630 transcript:Ma01_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEETSAERDDMQIDGREFEHLQVSTIPGGFSADYLKLYYGKLFPHADIYRWLSYGNDGKHPACDHSYFGRREFSFTLENDIYVRFQSFNNAVDMENSIREKCPYKIDIGPVYSVDPAKRHAYAQSGNNVFAPVERELIFDIDMSDYDDVRYCCSGADVCLNCWPLMTISMKVLDTALRDDFGFNHILWVYSGRRGVHCWVCDGRARRLSNEQRAAIADYFRVYKGGENNVKKVNLTGPVLHPFLARSYTDVLKGFFEGKLLASQNLFASIERFQKILEIIPDESIASELLDKWQGNRRSSISKEDVNVVRWEQLKHVLQSGKQKAQGLRRCVEEIIFTYTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPNNCDNFDPTTVPTLSQLLEELNMSGMRSESEDEWERTSLGKSIKFFRSSFLALLLKSCKEEMESLYNSKVKQSKNALNW >Ma06_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:249338:252349:-1 gene:Ma06_g00330 transcript:Ma06_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDEPRISGCGLFRRRRSRSTSSIPRIVPDAASRLQDSSAAPRRRTGSDVTSIVPVLPPVPVVKPRSPAPTARAVANPRMPPPQTTARSNSGLMAELDGMLYDRHNASDGGHLVRASSGNVMVFGNLGNLRGNANFKNPPTVAKNTKGSLGNPMRPSPIPASAPAPAPAPELCRVLSRMLDPEELKEMGNGEYKMGRFAEALALYDRAIDMDPGKASYYSNKAAALTAMGRLLEAVVECKEAIRLDPAYHRAHHRLATLHLRLGEAEKAIHHFKQSRNEASSGDMARAQALQSHLSRSNEARRLKDYITLLKESQAAASSGADFAPQVFARQAEALLKLNRHEEADSIMSAAPKFGMDEHTRFFGTVAKAYVLMVQAQVDMAAGRFNDAVAAAKVAAQLDPGSREIGAVVRRTRAVAAARSRGNDLFRAAKFAEACVAYGDGLSQDSHNAVLLYNRATCRSKLGHYEKAIEDCSTALAVRPSYSKARLRRADCNAKLERWEASVKDYGVLIQEIPGDEEVSRALLEAQAKLKKQEEGEEEDMDDTDRC >Ma02_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19662251:19666854:1 gene:Ma02_g09890 transcript:Ma02_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECKRLIKEFDCEIKDQESRNTPDVSKQLNEKKQTMIKELNLYAAMRKTYQNSLGNQRIELFDMGAGGSDPAAENSLI >Ma06_p37000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36199066:36200170:-1 gene:Ma06_g37000 transcript:Ma06_t37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLLFAIFIFTCGIINYYCFLPRSKLIPWLCSLLPAASSPPKPVERCYKEEKGNEDDNIELQSIFSTFDKDGDGFITVKELEESLRRLGLAVTGNEAMRMLERVDTNGDCLIDLGEFRELYAALGRGQGPSGGDGGGEEEEETALKEAFDVFDGNRDGVIAAEELATVLTSLGLKQGARLEDCRDMIRRVDRDGDGKVSFDEFKKMMKTKGGKLL >Ma08_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5072068:5075657:1 gene:Ma08_g07380 transcript:Ma08_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGARARELDVAVRVVQMACSLCQRVQSGLVGRNREQIKVKEDDSPVTVADWGVQAVVSLLLSECFGGENVSILAEEDAHTLSRKDATTLLESVISTVNECLSEAPKYGLKCPPKHLGAQDILDAINSCNSSGGSKGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVILGVLGCPNYPMKKEWLNYHQRYYRLMTTLSPPSGSWHKGCVMYACKGSGEAWMQPLVHDFGEYDQQSSARMIRVSSISDPAFATFCEPVEKANSSHSFTAGLAHNVGLRKQPLRVHSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGLVIIQEAGGVVTDAGGHPLDFSKGIYLEGLNRGIIACSGPLLHEKIINAVDASWDSSNL >Ma08_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4786731:4797049:-1 gene:Ma08_g07000 transcript:Ma08_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTNVTHSCVGNFTSPQELNLIIAKCTRIEIHLLTPHGLQPMLDVPIYGRIATLELFRPHGEPQDFLFIATERYKFCVLQWDAETSELITRAMGDVSDRIGRPTDNGQIGLIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCLKPTIVVLYQDNKDARHAKTYEIALKDKDFVEGPLSHTNLDNGAGILIPVPMPLGGVIIIGEETIVYCSVSAFKAIPIRPSITRAYGRVDADGSRYLLGDNAGLLHLLVITHERERVTGLKIEHLGETSVASTISYLDNAVVYIGSSYGDSQLIKLNLQPDAKGSYVEVLEKYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGLWSLRSSTNDPYDTFLVVSFINETRVLAMNMDDELEESEIEGFCAEAQTLFCQNAIHDQLVQVTANSVRLVSSTTRELLHEWNAPSGYSVNVATANASQVLLATGGGHLVYLEIGNGKLAEVKHVQLDYEISCLDINPSGENPNYSTLAAVGMWTDISVRIFSLPSLELLAKENLGGEIIPRSVLLCTFEGVSYLLCTLGDGHLLNFLLNLSTGELSNRKKVSLGTQPIMLRTFSSKDTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLGEHARRICHQEQSRTFAICSLKNCQTSNEETEMHFVRLLDDQTFEFISTYPLDTYEYGCSIISCSFSDDNNAYYCVGTAYVLPEENEPSKGRILVFVVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSRELQAECGHHGHILALYVQTRGDFIVVGDLMKSISLLLYKHEEGAIEELARDYNANWMSAVEILDDDVFLGAENNYNLFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDLEAGHIPTVIFGTINGVIGVVASLPHDQYVFLEKLQANLVKVIKGVGGLSHEQWRSFNNEKKTVDARNFLDGDLIESFLDLSRSRMDEIASSMGVPLEELCKRVEELTRLH >Ma05_p03750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2790112:2796540:1 gene:Ma05_g03750 transcript:Ma05_t03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVMNMTTAALDWVTVIFDAPLARAVVFGVHIDGHLVVEVLLVAVILFQLTRKSYKPPKKPLTEKEIDDLCEEWVPEPLHPPITEEMKAEPPILESAAGPHTVIDGKEVVNFASANYLGLLGNEKIADSCISSLEKYGVGSCGPRGFYGTIDVHLDCETRIAKFMGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWGVQNGLYLSRSTVVYFKHNDMASLESTLEKLTRENKRAEKIRRYIVVEAIYQNSGQIAPLNEIVRLKEKYRFRVILDESHSFGVLGNSGRGLAEYYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAISAVNYLEDNPSLLTQLRSNIDLLWKGLSDIPGLAIASHPLSPIVYLKLKKSTGSSKSDLELLNVITDRVLKEDSVFIVSTKRSVLDKCRLPVGIRLFVSAGHSETDIHKVCDSLRRVAALVLLV >Ma05_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2790107:2796540:1 gene:Ma05_g03750 transcript:Ma05_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVMNMTTAALDWVTVIFDAPLARAVVFGVHIDGHLVVEVLLVAVILFQLTRKSYKPPKKPLTEKEIDDLCEEWVPEPLHPPITEEMKAEPPILESAAGPHTVIDGKEVVNFASANYLGLLGNEKIADSCISSLEKYGVGSCGPRGFYGTIDVHLDCETRIAKFMGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWGVQNGLYLSRSTVVYFKHNDMASLESTLEKLTRENKRAEKIRRYIVVEAIYQNSGQIAPLNEIVRLKEKYRFRVILDESHSFGVLGNSGRGLAEYYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAISAVNYLEDNPSLLTQLRSNIDLLWKGLSDIPGLAIASHPLSPIVYLKLKKSTGSSKSDLELLNVITDRVLKEDSVFIVSTKRSVLDKCRLPVGIRLFVSAGHSETDIHKVCDSLRRVAALVLLV >Ma06_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3705336:3710673:1 gene:Ma06_g04950 transcript:Ma06_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAHSVSDEVESKDIEMANHSSSHRQTENHKSVHKVGLPPRRNFIGEFSETLKETFFSDDPLRPYKDQPRSRQLVLGLRFLFPVLEWGRSYNLSKFKGDVIAGLTIASLCIPQDIGYAKLANMDAKYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEVDPEKNKEDYRRLAFTATFFAGVTQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKGFLGIRKFTKNTDIISVMKSVWGSVHHGWNWETILIGTMFLAFLLSAKYIGKKNRKFFWVPAIAPLISVILSTFFVYVTRADKHDVQIVRKIDRGLNPSSVSQIHFSGSYAAKGFRIGVVAGLIALTEAIAIGRTFASMKEYQLDGNKEMVAIGTMNIVGSMTSCYVATGSFSRSAVNFMAGCQTSVSNIVMSMVVMLTLLVITPLFKYTPNAILSSIIISAVISLIDYEAAFLIWKVDKLDFIACMGAFFGVVFVDVEIGLLIAVSISLAKVLLQVTRPRTALLGNLPSTMVYRNIVQYPEATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQLRAENLPQINFLIVEMSPVTDIDTSGIHAFEELYRSLQKHEIQLVLANPGPVVIQKLHLAKFTELIGHDKIFLSVGEAVMTCTSKAREDS >Ma03_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22334683:22335522:1 gene:Ma03_g17040 transcript:Ma03_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYQAKKGISRHRFEACQQYRYMMYHHGKTSRKPDNYESYTESLCLKSCFFMLRVQIMQFDEDLLPDWRSVCFFSS >Ma01_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14438187:14444734:-1 gene:Ma01_g19040 transcript:Ma01_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGAVCAKSLLPVLSTRLSPLRRISHLAVRMASPDPPAPPPSSSSSSSAVDFLSLCHRLKTTKRAGWVRRGVREPESVADHMYRMGIMSLVFQDIPGVDRDRCVKMALVHDIAEAIVGDITPTDGVPKLEKSRREREALDYMCKLLGGGSRAKEIDELWMEYEDNSSPEAKLVKDFDKVEMILQALEYEDEQGIDLEEFFESTAGKFQTDIGKAWASEIASRRKRGK >Ma05_p00940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:574259:577163:-1 gene:Ma05_g00940 transcript:Ma05_t00940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMHVHHIIHRDLKPENILLSAPSCDAVLKIADFGFARVIHPGQHIDMVCGTSFYMAPEVMQFQKYDDKVDMWSLGAILFELLNGHPPYCGRNNVQNIRKSSSLPFSQSILSSFHADSLDLCTRLLCEDPVKRMSFDEFYHHRFLR >Ma05_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:574259:577163:-1 gene:Ma05_g00940 transcript:Ma05_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMHVHHIIHRDLKPENILLSAPSCDAVLKIADFGFARVIHPGQHIDMVCGTSFYMAPEVMQFQKYDDKVDMWSLGAILFELLNGHPPYCGRNNVQLLQNIRKSSSLPFSQSILSSFHADSLDLCTRLLCEDPVKRMSFDEFYHHRFLR >Ma04_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26923154:26924803:-1 gene:Ma04_g25080 transcript:Ma04_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLVVLCFVSRAASGAVPRGLSLSFALLMQQALKSLLGLVTPAIDFDREPCLCFRVGWAEKRERRMAAAVAAAQERGLPKIDFTGVGQADTGSPSWEAVREQVMQALGSYGCFEAVYDRVTPQLRGSILEMAAEELFPLPLEAKIKNTSDKPFGGYLGQISGFDYESLAITDAPLPHGAPRFCGLLWPDGNPDFCEKAYTFSKKLGELEEMVRRMVLESLGVTEYHEEQSASIWYLLRFSKYGAVGDGEQEEEGERKKAGYMAHRDVNTLGIVCQLNGVDGLEVDSGDGQWIPAKPSSPTSYFVIAGDTFRAWSNGRVTAPLHRVNVGGEDTRYSVILFSVPKDEAVIQAPAELVDERRPTLFRPFAFGEFLRFCATEEGMKAECKLSAYCGRAAAAEAAEA >Ma05_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7915415:7915741:-1 gene:Ma05_g10860 transcript:Ma05_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECLPDSTAMEEPTVSEAEAEAEAAAGKGGVAARPPHISDMKPVTREAYGGGLYGTDDDGGTAAEKPPASATQSADGPPQPVSPPKHKPPPSTGDRDRDITGQSYIQ >Ma09_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4714611:4715484:-1 gene:Ma09_g07180 transcript:Ma09_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGSQRETDRQRAQARKGQVKQREDGLTPEQRRERDAKALQEKAAKKAAQAAAGGGTTDSKNKGSAKK >Ma03_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23477524:23487397:-1 gene:Ma03_g17850 transcript:Ma03_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNSDTDSSPKPSSGADSSFRGDEHKPISGAEGSQPYDPGSPSNAQQSSDESGSSDGVLVELPENPDQDSRRPRRDPDSGILVNIDGSMQEPTDESGREETFEDASDQLGMAAARSSGLEESIAVIEIGESSADRLVADDLARVQARLEDTMVECQKYKEEREIFGKEVVSLRQSLQDILDRNSLLVANKDESVSQSHLETSGSGNRILSSPAPLHSMLDDCFKFLVDLKDILDKRINSERIVPELYAALNAKDQEIEDLNVKALKSSVSHDVVVSYLGSLREIWSETKEESTDVVTKRILESLASVVGQEHASAEDSPANNIFLAEKKTLLLIEKHRQFVSEIQQLQQCLLEVGPAFAATGNNELDNVFSFAREELFEMKRKEAYFQEKMVTLEEENGKLVEQIESMRENLESANLETNKTKAALEQAENKLVVAKEKLSIAVTKGKSLVQHRDSLKQSLAEKTSELEKCMEELQQKSEALQATEASVEELKHLLLEKMSELEKCFEELQQKTDDLETVKASVEDMNATCNLVSSLQDSLSQRDNYLTELEEIMSQTDTPQEVLSMEITDKVRWFVNQKNVADIIIMENKKIRDAISSVELPEDVSPRELDSQINWLVNAITHAKDDIIKLQDEISGARHAAASHESEMFEMHKEIDHLESSLLEEKLEKETLHNEHEVLKRKYEENVQNLSMLSSDKAGLMKVLLELSETTLDDQLPVDTSTIIDKCMIKINERMNSSLTEIKHFERMQKAIYVTDQELKLYEKILEDEMIDRSAMIGLSEELEKLSNELIVLKNEKASVQKELERAEEKSSLLREKLSMAVKKGKGLVQEREGFKLSLEEKTSEIEKLKHELQLKDSTINNYQEQIRCSSAHTEKLEEDIVTLKNERDQSLHNLHESRTILNDLVTSIETIALPPVYVTEEPLEKVNWIAEHIHESELEKKNALQELDKLKEEANLQAGRLADAFATIKSLEDDLSKAEKHVSFIAEEKSVIQLDKVSVEQELEKLREDSFSKGSKLSEAYATIKSLEDALAVAERDIAQLNSDRNQLEANSKQEIVELNAKLVECKEELTRTHSTMENYSAELNSQLGHLHMFIKDDSIFSMIAEQFNKKIEGLRKMDDIIQNIHDHFASKGIHVHPSLEHDPAFRKISSSPRIEDFKSNRAMQFKESVAENVDALSWTTIIGGLHARAEFLGSSFEDFCKGLDEHIAGVLEALEATRNKFVYILEYSESLMFDVHKLEAHNEAQQAKLVTLQKGVMTLFSACVDATRELVEFNDSSDSASTSEKEAFTDGLEDMDSGHYAKAAEGLLLAAKRIKDQIEELSDAKKVWLKYEDDIKNKLKEAESTAKAAVQEQMLQQERVSTLERDLEELNELCNEMKNKIETYQAKEDRLKDKEEEILSMRKATDRGISGQELSESQINTLMDKVNKLEIPFDETELGSSEVCFSSPVEKLFFIVDKVIDMQQKMNNLNDEKEDLQLILSSHVCEIEYLREAAETMNINSQELELRKNELLEMTGGLERIIRSLGGYDALQDQKPVSVKQLLSMLERLTTASNLEFENLKSRAQELGSELQSKDTLIDDLSEKVKILENSIHARSGQQEITKERTFLESTPAAVGSEISEIEDVGPLGKSTTSTASTAAQLRTMRKGSNDHLVLNIDSESDRLIAAQEADAKGHVFKSLNTSGLIPKQGKLIADRIDGLWVSGGQMLMRRPEARLGLMAYLFFMHLWLLGTIL >Ma03_p29230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32204725:32206159:1 gene:Ma03_g29230 transcript:Ma03_t29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNNCVCSLCQGKGAASHNITTILAKFPDFSTFSDLLTRTQLASDINSRKTITVLAVNNGAISSASGQPTDVLKKILSLHVVLDYYDGDKFHKLRNRSAILTTLFQSSGVASGRSGFLNVTDMDNGQVSFGSAVPGSSLDANFVTVLATQPYNISVVEISSVIVPPGLSDGAAPKASPATSKSAAPSLAPSEAAASPASNAKSPSEDSPTSGAPATSPAETMAADGSPAGAPSDAADGPAGESSAADGLAVGGGAAAAILGFLWMS >Ma08_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7418917:7419786:-1 gene:Ma08_g10180 transcript:Ma08_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSASPHRRTAPPRWCTWPSPSTRINRVIYLDSDLVVVDDIGNLWWTGLGSRASGGAGNTRRIERWMEVQKSGAGRIYELGSLPPFLLVLAGHVTPIKRRWNQHSLGGDNVRGSCRDLHPGHVSRLHWSGSGKPWVQLDSKRSCPHDHLWDPYDLYGRANA >Ma09_p22830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34708649:34711835:1 gene:Ma09_g22830 transcript:Ma09_t22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKGRPVRPSSGVLLASDGSFSALLDLPSDQAVNLLHRLPDPNAVASSGEMRRLCLPPRPKAELLDSAGLRLIAQRSAKRKEGEKSRGESPAKKSKRRSEEGTACGKKLPCVHVRARRGQATDSHSLAERARREKINARMKVLRELVPGCSKISGTTLLLDEIINHIKSLQCLIELLSMRLAAANSRISFSGPDSSSSVDCGWLTVNNERSRRVGMEPIEWSSYGGRRQPQQHIWHINLVHPQQTSRASEGETPTAPIMGPYSSSAINLLLVTAALLFQLFMSQTKLKDYSTLDKGLVRR >Ma09_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34708649:34713454:1 gene:Ma09_g22830 transcript:Ma09_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKGRPVRPSSGVLLASDGSFSALLDLPSDQAVNLLHRLPDPNAVASSGEMRRLCLPPRPKAELLDSAGLRLIAQRSAKRKEGEKSRGESPAKKSKRRSEEGTACGKKLPCVHVRARRGQATDSHSLAERARREKINARMKVLRELVPGCSKISGTTLLLDEIINHIKSLQCLIELLSMRLAAANSRISFSGPDSSSSVDCGWLTVNNERSRRVGMEPIEWSSYGGRRQPQQHIWHINLVHPQQTSRASEGETPTAPIMGPYSSSAINLLL >Ma10_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31599499:31608537:-1 gene:Ma10_g22110 transcript:Ma10_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSTAVVLRHTTAFLDEALSNPDLRHRIISSARRTVSSASPASLRALSLLSHALDSSPSAAASDAADKILLSVSGRNPLSALLLALAHALRGRAAAAALALLDLFSLDPALSRHEVAPEVFEALFLPHLLPVLHWFADQRSRILTSTSLRFGCPPAANDEGDDERSVEAVAAMSLLSRMSDGQAGRLKELERGYEEVLDANCKVYAEYLKEVVEMGDGGPLVLAPPQLVLTRASYEERRPDEVIEVEEELAARAAIGLRDGRYNPIWAEADQSVEFFIRQNSRVQMNDTKAPPSYPQRVSPDVLIRLPSSDHRTAEDNWVSTSGPYYSSDDNLDYSSSEIDFDIKERNTYTASLQTNGIQFQRHAQDSAESSSYPSPQIDDSDNIVAYNKQTPPKEFVCPITSNLFDDPVTLETGQTYERRAIKEWLDRGNSTCPITRQKLQSSQLPKTNYVLKRLIGSWVEENPYSTPNRLENSPPKIARDLNMSQSSPSLTSIIYQASVGGSASDLRLAISCLCTSEFLDESEKAVLQIEKLWRDTSPESEIIAMLAKPATVNGFIEILFKSVDLQVLRAAIFLLAELATKDKFVVQTLTRVDSDVKCMAALFKKGLVEAAVLIFLLSPRWESLVEMDMADALLRAIEKNDDELLEMCVNPKTASLLLLWQILREGNSDSSMILHSIISDGIIERVILSMEADMVEERIAAVGILIKCIAEDGRCRKIIADKAQLEPVLQSFAIVNDVDRFEIVHFLFELVKLNRRLSNEQLLHVIKGGGAFSMMHSLLVYLQTSSMDQSPVIAGLLLQLDLLVEPRKMSIYREEAVDTLISCLRNADFPDTQLKAAETILALQGRFSSSGRPLARALLLKHTGIRKGYRALKEAEQTRSASERSELNLEEEKAAEEWERKIAFALVSHEFGLVFEALSDGLKSRNLELSSACLISATWLSHMLSILPDTGVRGAARVCLLKQYILILKSARHTDDKALSMLALRSFMHDEEGLLNLTLHIKDILKTLRELKKSSGLAYEMLKLLSDGQDSSIKDMWIYKELMQVDCSTNGEVLSIVRFKNRIISGHLDGTIKVWKGDESILHLVHETNEHLKAVTSLAISQSGEKLYSGSLDKTVRVWAVHDGWIHCTETFDVKDPVRNLSVADTIVCFTPQGAGVKVLSWKGASKILSPNKNVRSLALVQGKLYFGCNDNSIQEIDLATETSATVQSGKKKLLGKDNPIYAVQVRDGLLYSAGTFLDGASVKVWSTSNYSLVGSIQSSIEVRSMAMSRELIYLGSKTGILEIWSKDKLTAIGSLQIGTNCKVQCMDVDADGEILVLGTSDGRLQAWGLT >Ma01_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5735423:5736449:1 gene:Ma01_g07980 transcript:Ma01_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTGMKGCASKYSMIVSMYRVCARGYGRPRTVPPDLPSILLDAWIVYLGMPIVPPDTELFIWDASKNCSKQIIIIRTYLRERKLVFLSLIYLYFISNVFNINMYLKIIY >Ma09_p05450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3500729:3505504:-1 gene:Ma09_g05450 transcript:Ma09_t05450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRAEDDYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRSISVDGKVLKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENVSRWLRELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKAYAERESLYFMETSALDATNVENAFAEVLTQIYRIVSKRAVEAGDDATSSIPGRGEVWRRMAGQSLLWQAQQKHVAISMPKLSCPRRPAL >Ma09_p05450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3500729:3505504:-1 gene:Ma09_g05450 transcript:Ma09_t05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRAEDDYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRSISVDGKVLKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENVSRWLRELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKAYAERESLYFMETSALDATNVENAFAEVLTQIYRIVSKRAVEAGDDATSSIPGRGERINVKDDASKKLGCCST >Ma09_p05450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3500104:3505504:-1 gene:Ma09_g05450 transcript:Ma09_t05450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRAEDDYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRSISVDGKVLKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENVSRWLRELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKAYAERESLYFMETSALDATNVENAFAEVLTQIYRIVSKRAVEAGDDATSSIPGRARGGPDVVPWILLVCRIDS >Ma09_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3500105:3505504:-1 gene:Ma09_g05450 transcript:Ma09_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRAEDDYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRSISVDGKVLKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENVSRWLRELRDHTDPNIVVMLIGNKSDLRHLVAVPTEDGKAYAERESLYFMETSALDATNVENAFAEVLTQIYRIVSKRAVEAGDDATSSIPGRGERINVKDDASKKLGCCST >Ma09_p12250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8274170:8276561:1 gene:Ma09_g12250 transcript:Ma09_t12250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATGALSCSKNMGLEHKMGNAEKNGILMAREGETEENSERKHAGKEEEINRQMSETSLYATEEEEEDDDGKDGNGIDLGPQVSLKDQMEKDKDDESLRRWKEQLLGSVDVNCVGETLEPEVNILNLSIISPGRPDVVLPLPVAPNSKGVWFTLKEGSHYRLKFTFSVSNNIVSGLRYTNTVWKTGVKVDRTKEMLGTFGPRLESYTYETPEETTPSGIFARGSYAARTKVKFQCQEAPKTIGIKFMFSVVFCRNELSTLMWLYPPFIC >Ma09_p12250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8274170:8276558:1 gene:Ma09_g12250 transcript:Ma09_t12250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATGALSCSKNMGLEHKMGNAEKNGILMAREGETEENSERKHAGKEEEINRQMSETSLYATEEEEEDDDGKDGNGIDLGPQVSLKDQMEKDKDDESLRRWKEQLLGSVDVNCVGETLEPEVNILNLSIISPGRPDVVLPLPVAPNSKGVWFTLKEGSHYRLKFTFSVSNNIVSGLRYTNTVWKTGVKVDRTKEMLGTFGPRLESYTYETPEETTPSGIFARGSYAARTKFIDDDGKCYLEMNYSFDIRREWQPIS >Ma09_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8274170:8276561:1 gene:Ma09_g12250 transcript:Ma09_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATGALSCSKNMGLEHKMGNAEKNGILMAREGETEENSERKHAGKEEEINRQMSETSLYATEEEEEDDDGKDGNGIDLGPQVSLKDQMEKDKDDESLRRWKEQLLGSVDVNCVGETLEPEVNILNLSIISPGRPDVVLPLPVAPNSKGVWFTLKEGSHYRLKFTFSVSNNIVSGLRYTNTVWKTGVKVDRTKEMLGTFGPRLESYTYETPEETTPSGIFARGSYAARTKVKFQCQEAPKTIGIKFMFSVVFCRNELSTLMWLYPPFIC >Ma09_p12250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8274170:8276561:1 gene:Ma09_g12250 transcript:Ma09_t12250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATGALSCSKNMGLEHKMGNAEKNGILMAREGETEENSERKHAGKEEEINRQMSETSLYATEEEEEDDDGKDGNGIDLGPQVSLKDQMEKDKDDESLRRWKEQLLGSVDVNCVGETLEPEVNILNLSIISPGRPDVVLPLPVAPNSKGVWFTLKEGSHYRLKFTFSVSNNIVSGLRYTNTVWKTGVKVDRTKEMLGTFGPRLESYTYETPEETTPSGIFARGSYAARTKVKFQCQEAPKTIGIKFMFSVVFCRNELSTLMWLYPPFIC >Ma03_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27138336:27139221:-1 gene:Ma03_g22380 transcript:Ma03_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKEGKNNGNSSGWMAVPAFGEWDMKNGVPDYSMDFTKIREMRKQNKHPSRASLGNDDELQLPSNNSNNEGEAEEEPRRRRHRHGSPTVRFRFSSSLLPVLMGKRAVDGIEALWPQCNEGPTGGPENGRPWADARPGSGQIDGDRGWGEEEEVDGMLAVLYRCMRTVTLTADDSVFLLFANKNVCFENLKSSMPLCIIYLDLAKLGRTLECSSD >Ma03_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8311203:8311867:1 gene:Ma03_g11020 transcript:Ma03_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSDDQSMKSHLQGMMQMNLAKSCDAKDIDHRFDATIIEHAKEQKNHFNQGGPCEWKGTRCSADNKPA >Ma05_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33243203:33250840:-1 gene:Ma05_g21560 transcript:Ma05_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTMKHALLLFLALCFSLLRSDLGRNVSAIRPPTVHIGALFSHNSTIGRVAKVAIDAAVSDVNADPSVLQGTKLVVEMQDSNCNSFIGIVQALQFMETDIVAIIGPQSSVIAHVISHVANDLQVPLLSFAATDPTLSSLQYPFFVRTTHSDLFQMAAVAELVDYYQWSQVIAIFVDDDYGRNGINSLGDKLSEKRYQISYKAALQPGATRRDIMDLLVKVALMASRIIVVHVNPSIGIEVFATARYLGMVSNGYVWIATDWLSSILDSSTPLDTDILDTMQGVLVLRQHTVDSKLKNTLTSRWSQLTKNGTTENFHLNSYGLYAYDTVWLVSHALDAFFNDGGSISFSNFSNLHDAEGRNLHLEAMSVFDGGQILLNKIHNVNFDGVTGKVQFDSEANLIHPAYDILNMLGTGWRTIGYWTNYSGLSIMSPEELYMNPANSSSANQQLYSVIWPGEVITKPRGWVFPNNGKELRIVVPNRVSYREFVSVEPDNDGVKGYCIDVFTAAINLLPYPVPYKFIPFGNGHENPNYAMLAELVASGDFDAAIGDIAIVTNRTKIVDFTQPYIESGLVILAPIEKLNSDAWAFLQPFTVAMWCVTGLSLLIIGIAVWILEHRINDEFRGPPKKQLVTVFWFSFSTLFFAHRENTMSTLGRVVLIIWLFLVLILQSSYTASLTSILTVQQLSSPVKSIDSLIASNEPIGFQVGSFTENYLVEELGISRSRLKALGTPNDYVRALELGPKKGGVAAVVDERPYIELFLSIQCEFAIVGSEFTKNGWGFAFPRDSPLAVDLSTNILTLSENGDLQRIHDKWLTGRSLCSSQTSELESDRLQLNSFWGLFLVCGMTCTVAMFVYFAIMVHQYIRHYPLEESDSSDHGSSKSGCSLQRFFSFIDEKEEDVKSRSKSRKMLQAGNTSLDSESHNIF >Ma04_p34130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33447657:33450922:1 gene:Ma04_g34130 transcript:Ma04_t34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSRSAIAILRPARYLLLGSSSSAAAAAAVAHPFVGLRVLRPSPGFLRLAWVGAASRIGAIRSMVRRAGDSSYSPLNSSRGGSGFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGERATKQQMIDCYVQTLAKVVGGEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFANGEIVQRPPERQRRIDAATTQRNDRDRPRYNDRTRYVRRRESQR >Ma08_p26700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39194962:39202204:-1 gene:Ma08_g26700 transcript:Ma08_t26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITELEDGGSDDSCDSGVREIVPAKAKRAIVGAGARILFYPTLLYNVFRNKIQAEFRWWDEIDEFLLLGAVPFPKDVPQLHQLGVQGVVTLNEPYETLVPSSLYQTHGIDHLVIPTRDYLYAPSFVDICRAVDFIHRNASSERTTYVHCKAGRGRSTTIVLCYLVKYKHMTPAAALEYVRSRRSRVLLAPSQWRAVEDFSKMVKSSAPLFPAGDAVLITEADLEGYDTGMVASKELSIITSCRFGLAMPVVARLSCLLSALRSTGGCPTVTSQLPQIRAC >Ma05_p26080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37839966:37840239:1 gene:Ma05_g26080 transcript:Ma05_t26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAESERENERERERWRIVVDPHDKMRSRDVQSDSGTAISATSSRARHRLRRATSAGDRRAKDRDGAQRFPFPLHQPNSPLLRSLPRVPV >Ma06_p19240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13177719:13190355:-1 gene:Ma06_g19240 transcript:Ma06_t19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLNPARFIAFHPLRFPPLRLPLSQILRPPPSRRLGFRLRGLCSRGGSATDRRVMEAPFTVKERIDLTKKEEKIFQRLLDVIRHFKLETQLRVAGGWVRDKLLGKDCYDIDIALDNMLGREFCEKVNEFLQFTGEEQQGIGVIQCNPDQSKHLETARMRIYDTWIDFVNLRSETYAENSRIPTMKFGTAEEDAYRRDLTINSLFYNINTSTVEDITGRGVRDLKNGYIVTPLPPKATFLDDPLRVLRAIRFGARFGFALDEELKKAACDDEVKAAIAGKISRERIGHEIDLMMSDKQPVKAISYVVDLQLFYVVFTLPANPKPAVIEGIDRICVSYLDAAWNLLQCVGHSIFSDEHRRLYLYAALFLPFRSMVYMDRKSKMVPVTSFVFRDSLKLKASDAEMVMNLHVASERFVYLIPLLESNEDSEFVDAELADEFLDIPLTSKRRVLAGLLLRQIKDFWRVSLLASTLLYCRVDHNDDPSSGQSESERRKMFSKVQRIVTELGLDQVWEVKPLLDGKAIMNFLQLKTGGPLVREWQQKLLKWQLAHPEGTSEECQDWMKQFQAKRAKLDCCT >Ma06_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13177719:13190355:-1 gene:Ma06_g19240 transcript:Ma06_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLNPARFIAFHPLRFPPLRLPLSQILRPPPSRRLGFRLRGLCSRGGSATDRRVMEAPFTVKERIDLTKKEEKIFQRLLDVIRHFKLETQLRVAGGWVRDKLLGKDCYDIDIALDNMLGREFCEKVNEFLQFTGEEQQGIGVIQCNPDQSKHLETARMRIYDTWIDFVNLRSETYAENSRIPTMKFGTAEEDAYRRDLTINSLFYNINTSTVEDITGRGVRDLKNGYIVTPLPPKATFLDDPLRVLRAIRFGARFGFALDEELKKAACDDEVKAAIAGKISRERIGHEIDLMMSDKQPVKAISYVVDLQLFYVVFTLPANPKPAVIEGIDRICVSYLDAAWNLLQCVGHSIFSVPVTSFVFRDSLKLKASDAEMVMNLHVASERFVYLIPLLESNEDSEFVDAELADEFLDIPLTSKRRVLAGLLLRQIKDFWRVSLLASTLLYCRVDHNDDPSSGQSESERRKMFSKVQRIVTELGLDQVWEVKPLLDGKAIMNFLQLKTGGPLVREWQQKLLKWQLAHPEGTSEECQDWMKQFQAKRAKLDCCT >Ma09_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2322273:2325378:-1 gene:Ma09_g03480 transcript:Ma09_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLESVWQYLITHFSEFQLATIGTFLIHESVFFLSGLPSIYFERSGLFSEYKIQKKSNTAEAQEKCIVRLILYHVCVNLPVMLVSYPIFRWMGLRSSQPLPHWSVVLSQIIFYFILEDFVFYWGHRLLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWVILRVLETVDAHSGYDFPWSPSNFLPLYGGAHFHDYHHRVLYTKSGNYSSTFVYMDWLFGTDKGYRKLKALEEEEGSSKKL >Ma09_p03480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2322271:2325362:-1 gene:Ma09_g03480 transcript:Ma09_t03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLESVWQKKSNTAEAQEKCIVRLILYHVCVNLPVMLVSYPIFRWMGLRSSQPLPHWSVVLSQIIFYFILEDFVFYWGHRLLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWVILRVLETVDAHSGYDFPWSPSNFLPLYGGAHFHDYHHRVLYTKSGNYSSTFVYMDWLFGTDKGYRKLKALEEEEGSSKKL >Ma04_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4609947:4610279:1 gene:Ma04_g06260 transcript:Ma04_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASLSSSGVSNVWHTPIPYLFGGFGVVMILIAAALIILACSHWRSTRGGSSESLSLSEKPVIVHLDMEPRVVVIMAGDNKPSFIAKPFSLVQVAHEPSVQTRSINTEP >Ma05_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33332100:33349428:1 gene:Ma05_g21650 transcript:Ma05_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDDKAAVVPPVALVDQGSWKERHWKTREPNLLQWTVLHLSQRNAHGLHGAIFDIIAETVPDIDICDSNNPLAVVEYVEEIYNFYRQTEVTGCASPQYMSHQFDINEKMRAILIDWLIEVHYKFELMEETLFLTVNIIDRFLARQTVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTRDEILEMERLILNTLQFNMSVPTPYVFMRRFLKAADSDRKVKTFFCLGVNTCISDRFEALKVLLVADREAAINHLRDAPARQLVHRRRSSSGGKAHGWKEAKGWIVPRNDLSSPDATLSEARPIRVATPKAPASPSEHLSYRDLPLNQGEEFHQDYDHDTKDYRDLQHQIKDLIQRGHLGHYLKEPREATPRSRGPIERQINVISGGPVADGSSSTARKAYARSVVEKHP >Ma07_p26780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33416189:33426014:-1 gene:Ma07_g26780 transcript:Ma07_t26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRPSLTRWSFEDFKLFYDVRLGRKRLSKGEAEEEASSGSRYGGIRANGTVTANGSVKPSSDLAVYEQFERQERKIEPRAGAISDRGQETTPQRSLLPPFESAEMRTLAETLCRDIVRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVRVLFELARHHAPSTIFLDELDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDVLVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARCAMFEELLPSAPGEAGIPYDFLVERTEGYSGSDIRLVCKEAAMQPLRRLMMVLEGRQDNVPEEELPEVGPVTPGDIELALKNTRPSAHLHAHRYEKFNQDYGSQILH >Ma04_p30820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31364927:31367172:-1 gene:Ma04_g30820 transcript:Ma04_t30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNRAPLPEDLSSQCKELIFAEMKVNKLINRKKVAEREKVQLLSELCVADDEAKDLTIRIEEAHAKAITYKLKLQSLKNSDTSEDEQLSSRQYTEILREVDDVKQQICGLKLDIASALQEKARARSEIESSSAEARSYSRYKEELRREIDEVNVQQEFIDLARIEADGEFRRLEAQREAEAAHFTESVRKANSRIDGLRREITSAKQLQATLMITSLDVDALQNEMEFIRAAEKSYQKTYLVEDTGRMEEEQETDISLFRSTKAELEAAKNELSTVKEEGYKLMDEMDGIRKELIRIADGKEQSSRAKKNSDSCFETLNTKLHKAMSKMESASMAEKRADTIVANLSAALQQLHSDIDAAKREAELVSEETKAVRTETEKTRMHTQSLKERLQEAMEELNTVKAAEANALEGLKAITESTMRERAISSIWSSTITISKFEYYYLTKQAKAAQEVADKKVAAAQAWMEALKAKTEERRLNAETDPTQSWVLVPVERESLSKPKKGSVLKKAIKMEINHHEEEREQKLVQSHDMKLAIAMPRRSLTVSGVSGTSRRLHASRKSNSTKQRPSSAFTPPSSAHKKGKLVNTLVRFLTTPKQRWGSRRNV >Ma04_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24516966:24517393:1 gene:Ma04_g22130 transcript:Ma04_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSLFAPLVVPVGAIYFGYRYIVDKYNFLFVYRVQGFPAGNDGKLMDRVLCIMHFCVVLFLLSMLFFFSIRGDSTKLQAIFTLGLVLFYKMLPSRTDSFQPSLLEGIQTAGSFVDGPTDYEVFSNIDIDWDIYQ >Ma08_p00870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:802015:814512:1 gene:Ma08_g00870 transcript:Ma08_t00870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSAPVGSSHPAQVVGNAFVNQYYHVLHRSPQLVFRFYQEASKLGRPDPQAEMSSVTGMDAINEKILSVDYSEFRAEIKTVDAQESLDGGIVVLVTGYLTGKDDVKRNFTQSFFLATQDKGYYVLNDIFRYVDDGNDQWESGALTSGDRAPLAPEQGIDGTLEQQQEAPPKQDQQVTEAPEEVELNEEEVFNSPEIEDAPTLEEEAPTIEMIDEVAKISQTVVADSGSAAILEGAPKKSYASIVKVMKENAPPSVPAPVPSRSAVVDIDQLASPVPTPAPAPNNTSAGSTAGESNSTQESEVDGYSIYIKNLPLNATPAQLDEEFKKFGPIKPGGIQVRSHKQGFCFGFVEFEVASAVQCAIEASPIMIGGRQAFVEEKRPNSSRVSNRGRFLPGRGVGYRNDGMRGRGNYGGGRGYGRADYGYRSDFGHRGGVRGGYSNRGGDVGYQRVDPASGGGRGNRSGSNVSNWSSRNVAQVPAPS >Ma08_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:802015:814512:1 gene:Ma08_g00870 transcript:Ma08_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSAPVGSSHPAQVVGNAFVNQYYHVLHRSPQLVFRFYQEASKLGRPDPQAEMSSVTGMDAINEKILSVDYSEFRAEIKTVDAQESLDGGIVVLVTGYLTGKDDVKRNFTQSFFLATQDKGYYVLNDIFRYVDDGNDQWESGALTSGDRAPLAPEQGIDGTLEQQQEAPPKQDQQVTEAPEEVELNEEEVFNSPEIEDAPTLEEEAPTIEMIDEVAKISQTVVADSGSAAILEGAPKKSYASIVKVMKENAPPSVPAPVPSRSAVVDIDQLASPVPTPAPAPNNTSAGSTAGESNSTQESEVDGYSIYIKNLPLNATPAQLDEEFKKFGPIKPGGIQVRSHKQQGFCFGFVEFEVASAVQCAIEASPIMIGGRQAFVEEKRPNSSRVSNRGRFLPGRGVGYRNDGMRGRGNYGGGRGYGRADYGYRSDFGHRGGVRGGYSNRGGDVGYQRVDPASGGGRGNRSGSNVSNWSSRNVAQVPAPS >Ma03_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26833615:26834494:-1 gene:Ma03_g21980 transcript:Ma03_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIDYCRSKNSGSLRLKLFGFEMSEGEEAGLGSDSSSSTITTASGGGGGGGGGGDGKKYECQFCCREFANSQALGGHQNAHKKERQQSKRAQMQQQLHHGGVGFGGLLYPRSPMVSAFTTPPRFLPAGSASPISGHPDWVYFSRTAAPQPSPFHVSHGCAFPAPSATNRKVPPGPGIVSYAAAGYIDGGGMQVHDEGRAAAGPISFPNKDDSAGKDDSAGLDLKLTLATAGS >Ma06_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19555708:19555851:1 gene:Ma06_g22790 transcript:Ma06_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSMLLSRMARSRSLTYAISSALPQVRGGRSSPLVSINSISFPLS >Ma10_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23879136:23896916:1 gene:Ma10_g09780 transcript:Ma10_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVKEGPWGANAGNAFDTGRVDRFTKVKIYHGDVIYGLELTFVVGGKPQPPMLIGTKKRASQELGQPTVAVGAIAVYCSLADS >Ma04_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5050822:5054832:-1 gene:Ma04_g06940 transcript:Ma04_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRCLPSSFFGDLMSRELNGFRVRKRPYLGDLSSEPGGFGTGVLSVEHSGATTPPTAVSFCKTSRNSHILAVTDEDGYVSFFDTRRSLPSYASCRERTAESRVCEWVAHSNAIFDICWIKDDNQILTASGDQYVKIWNAEKRKCIGILAGHTGSVKTVCPHSSNPDIVVSGSRDGSFALWDLRCNSSSTNRFGEACLLSTAVVKEAHASAPRKRRRQGKAASMSITSVLYLKDEISIATAGAVDSVVKFWDTRNLKSSVTQACPHVEPFVRKETIQHGITWLSQDSHGASLVASCMDSRIYLYEVLQPDKGPVKVFPGSKIESFFVKSAISPDGAHILGGSSDGNAYIWQVKKPESAPAILKGHDGEVTAVDWCSSEVGKLATSSDDFMVRVWNMKKGGRISARSPTAIRKRITAVVTECRKRILDDPPACSISMDDTFQALTGASVEPSSPDGSKMLEFSTPKSGKRSYNSILREEKEMQQSPEAALSSPSSVLNPPSSIKRRTIRDYFVSSS >Ma05_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22293946:22294269:-1 gene:Ma05_g18040 transcript:Ma05_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERLAAESAVVIFSVSTCCMCHAVKRLFWGMGVSAAVVELDEDPRAEEIDRALARLLGSRPAGGTPVPVVFIGGKLVGAMDRVMAAHINGTLVPLLKEAGALWL >Ma05_p29240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39991069:39991716:-1 gene:Ma05_g29240 transcript:Ma05_t29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWVARIPESSEMQEGHAARVSPQSKQSSWVVDKTLSTASNLAQLLPTATVLAFQSLSPSFTRRGECYATNRELTCVLIALSAVSCAFFSITDSLVGADNKLYYGVATMRGLYVFNCNEANEEERRRVFGDLDEYRLRPLDCVHAVFAVLVFLTLAFSDAMVQHCLFPDSGTNARELLVNLPLGAGVVSTLVFMLFPTSRKGIGYTNVTPTSR >Ma05_p27840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38971839:38976313:-1 gene:Ma05_g27840 transcript:Ma05_t27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGGVGAWALDAERAEAEERERQAEEPLPVSSHVASEPDQNFPSLKDAASSRHKKKKPTAMSYAQFAAGSFGGGAGSGRRDLSFQSKGLTPDEKLHLPTGPRERSQEELEYGRLGGGFRTYGGGGGGGPRGGFPGRRADDGDGDGSWGGAGSGRRGYGGFDDEQRRGLPARVSDFDQPSRADEVDNWGIGKKSFVPPLTEGRRRDSYSFLGDGSSSRADEVGHWSTAKKPLPSKYPGFGSGFGDSRALSGSDRWGTSREGAIQDGQGRQKLVLDPPKRDAAAPSEPARTRPSPFGAARPREDVLAEKGLDWRSMDSEIEIKKTSRPASSHSSRPSSAQSSRPVSPGLQSGAAAAEVIVKHGPRVNPFGNAKPREVLLQEKGIDWRKIDRELEHRSVERSETDEEKLLKEEINYLKTSKKNPEGLSSEELSNLDKEIAKKAKNLEDLTRLLNDKVRFGQKATTIRPGHGADRSDTSSTRPPSRSGMSDGSRSIEFVESVDRPQSRDGIGDAWEKTMNDRREFRHGRDKGFFDKRNGDSHLRSNSRERW >Ma06_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6406797:6411058:1 gene:Ma06_g09080 transcript:Ma06_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCSKGIDLDEDGVAEESKSSSRLVTLPKKDEVVAAIINVALSGSHGSSRFLSKTPDDADSTSLSSSDDEEKKADTVADRVTKVGAHQRRATVDVGARRDGSEVIGVANNSGDDMGIVDVPDGITGEYVAAGWPSWLATVAGEAIKGWLPRRGDSFEKLDKIGEGTYSHVFRARDLETGKIVALKKVRFVNMDPESVRFMAREIHILRKLDHPNVIKLEGIVTSRMSCNLYLVFEYMEHDLAGLLARLGPRFTQPQVKCYMRQLLEGLAHCHGRGVLHRDIKGSNLLIDNNGMLRIADFGLATLFNPDQNQQLTSRVVTLWYRPPELLLGATEYDAAVDLWSSGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWEKSKLPHATIFKPQNQYRRCFAETFKDFPPSALSLLDSLLAIEPANRGTAASALESDFFLTKPFACDPSNLPKYPPSKEYDAKLQDEARRQRAEVARGRGCESVRPGRIDSRAMAASGANAELQTRQVQANPKSISEKYDPQDETGSGFPIEPPVETARNGLSHTGMHPRALEPSWTRKPNQEQLRQVPSRTSSSVWVPNGPHLKPQMSCRPQPGAADFSDISGSLAARNAAKSRRNRLDVAEPAQKHALDRKDEPVGIKDPAPGYGTRIKRIHYSGPLMPPGGNIEDMLKEHERQIQQAVRKSRIDKVKANF >Ma11_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2134975:2135607:1 gene:Ma11_g02890 transcript:Ma11_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSIDDFPLDFLVQIFCKLDHDELRPLRCVSKSFNHAAIVAQQMHFDFSTPAPKKSMAFSRHTDASPGGPSWKLEVRKPKTAKLSEGESRRIAVVLFPATDSDRRLTTRPACRHCSVAPGSLHLANAHA >Ma11_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7670273:7670986:-1 gene:Ma11_g09420 transcript:Ma11_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADIKTVDAQESLGGGVIVLTTGLLTYENNLKSNCTQSFFRAPQGKGYHVLNDIFRLVDEFDLQQRHQGLGNGTGAPNAAERDLPPEQEEHAPGPTVPLTVEK >Ma06_p33360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34029453:34032997:-1 gene:Ma06_g33360 transcript:Ma06_t33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRWVVLGSIIGFVGAALGSIGGIGGGWIFVPMLPLIIGFDPKTSTAISKCMIMGAAGTTVYYNLRLRHPTMDLPIIDYDLALLFQPMIMLGISIGVALNIIFPDWMLTVFLIIHLLGNLLGHPISLFKGVETLKQESIMKSKSFNSYFACKMLPGAELEYKALPGALLLIHNDNIYWKELTVLSSVWAAFVAVQIVKTYTTQCSTEYWVLNIMQVPIVVAITINETIGLCEGKRTIASRGNLETKRKIHQLLGFGGGLILEPLFLEMGVPRQVSSATSTFVTTFSSSTSVIQYYLLHCFPVPFAAYFVFLSIIAAFTDQHMIRRLIILLGRASLIIFILALTIFVSAISLGKDRGVVVAHMVEKLERRQHMGFENLCYCS >Ma05_p27910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39025984:39028393:1 gene:Ma05_g27910 transcript:Ma05_t27910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESCNLDKDEAEILKPSSSSSSSSSSSPSPSPPPAPPSPPSLCLKPPQELPVPEMPENSGNAAALSFEMKISKQAPPLRYINRCSTCRKKVGLTGFRCRCGDLFCASHRYSDTHDCSFDYKAAGREQIAKANPLIRAAKIIKI >Ma05_p27910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39025984:39028995:1 gene:Ma05_g27910 transcript:Ma05_t27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESCNLDKDEAEILKPSSSSSSSSSSSPSPSPPPAPPSPPSLCLKPPQELPVPEMPENSGNAAALSFEMKISKQAPPLRYINRCSTCRKKVGLTGFRCRCGDLFCASHRYSDTHDCSFDYKAAGREQIAKANPLIRAAKIIKI >Ma03_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27030773:27034678:-1 gene:Ma03_g22250 transcript:Ma03_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRLFSLTPQLDIEQILLEAQHRWLRPAEICEILRNYRKFHIAPEPPNKPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSVEVLHCYYAHGEENVNFQRRSYWMLEE >Ma06_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12371557:12375819:1 gene:Ma06_g18200 transcript:Ma06_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKVSFCCCISFLMLSSVFVSGEAVPGGGGASDKDVLLRLKRHLQAKNPIYQGAYARWNEPDPSPCGWEGITCNDADRVVGVNLAGSNIAGEIFPGFSFLTELARLDLSSNTIGGQLPPDLNKCSGLRYLNVSHNLIGGELNLTGLVNLETLDLTLNRFNGSIRHNFPALCANLVSLNVSTNGFAGDITGCFDHCPKLKFLDLSSNQFSGRIWLGFPNLREFSVAENSLVGGFPSSTFGSTCDLEILDLSANNFSGAFPDSIANCSKLTSLNLWGNVFTGTIPCAIGLLSELSALNLGNNSFDPEIPQELVNLTKLVFLDFSNSGFNGDIQEIFGRFAAMSYLILQGNQYTGGIASSGILKLPNLVRLDLSFNRLSGNIPVGITRMPTLKILILAGNEFSGGIPPDFGSMAGVQLLDLSYNKLTGSIPAAIGKLTSLLWLMLAENNLTGEIPPEIGNCSSLMWLNLRNNQLSGRIPPEISAMGKDPFPTFEANRREIIGVAAGSGECLAMKRWIPASYPPFNFIYALMTRKTCRDTWDRLLKGYGIFQFCANSTSGVRTLAISGYLQFSLNRLSGEIPPEIGRMMNFSMIQLSANRLSGRLPTEIGKVPLVVLNVSHNGLSGGIPEEIGFLRCLSSLDLSRNNFSGELPSSLNGLSELNKFNVSYNPLLSGVVPTNGQIATFGNDSFLGDPLISFASSSARDTPPVTRNNGPAGEGGRWRVAAFWVFFALSSAFVLCGALSLAVLCLGGARAAVDTYADPEPEPEGLLLDGAKCRSDACRSSTVTSTSTSSAEGVSGCSSKGSAGVRVFRLDRGAEGLTFTYDDIVAATGNFDERMVVGRGGHGVVYRGVLPDGRRVAVKKLQRRGNGRGEEEDEGEREFRAEMEVMTGRGHPNMVALHGWCLAGAARLLVYEYMEGGSLEEVIEEWGRFGWERRLAAATGVARALAFLHHECTPAVVHRDVKASNVMLDARGRARVTDFGLARAVEAGESHVSTVVAGTVGYVAPEYGRTWRATTRGDVYSFGVLAMELATGRRALDGGEESLVERVRRAAAQEVGLRLVGAAGEEPGEGVTAMLGLLMVGLRCTAESPQARPDMREVLGKLLRICNSSGIGASEVGSSDTSPPPHWSLQSHSTSSSSSVKSYWEGYF >Ma04_p30670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31257407:31261835:-1 gene:Ma04_g30670 transcript:Ma04_t30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1 MQRSRLLLFGNIAARSFSGIRPLSSAAAEPPVQTVRSLQPPPARTLADLRRRLAEEAPALADFVYSVEVGTKKRPLPKPKWMKETIPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPSNVAEAIASWGLDYVVITSVDRDDLPDQGSSHFTETVQKLKALKPEMLIEALVPDFRGEPSCVEKVAKSGLDVFAHNIETVEELQNLVRDHRANFKQSIDVLKLAKEHAPAGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPDAFEKYRSLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIEADRATASSTPSDGS >Ma04_p30150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30880201:30886065:1 gene:Ma04_g30150 transcript:Ma04_t30150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLDASVDSLLNVEKQMRLAGDVAGTKKAVIDIVELCYKARAWKTLNDQIVLLSKRRGQLKQAVTAVVQKAMQYIDDTPDIETRIELIKTLNNVSAGKIYVEIERARLIKKLAEIKEEQGLISEAADLMQEIAVETFGAMAKTEKIAFILDQVRLCLDRQDYVRAQILSRKISPRVFDADASKGKKKPKEGDNVVEEAPADIPSLLELKRIYYELMIRYHSHNNDYLEICRSYKAIYGIPSVKEDSAQWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDKNLSELPSFHLLLKQLVTMEVIHWASLWEMYKVEFENEKNLLGGSLGTEAEEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMIVSKALVAKIDRPIGIVSFQTAKGSNDMLNSWSMNLEKLLDLVEKSCHQIHKETMVHKAVLRA >Ma04_p30150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30880883:30886065:1 gene:Ma04_g30150 transcript:Ma04_t30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEENLDASVDSLLNVEKQMRLAGDVAGTKKAVIDIVELCYKARAWKTLNDQIVLLSKRRGQLKQAVTAVVQKAMQYIDDTPDIETRIELIKTLNNVSAGKIYVEIERARLIKKLAEIKEEQGLISEAADLMQEIAVETFGAMAKTEKIAFILDQVRLCLDRQDYVRAQILSRKISPRVFDADASKGKKKPKEGDNVVEEAPADIPSLLELKRIYYELMIRYHSHNNDYLEICRSYKAIYGIPSVKEDSAQWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDKNLSELPSFHLLLKQLVTMEVIHWASLWEMYKVEFENEKNLLGGSLGTEAEEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMIVSKALVAKIDRPIGIVSFQTAKGSNDMLNSWSMNLEKLLDLVEKSCHQIHKETMVHKAVLRA >Ma04_p30900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31401058:31403139:1 gene:Ma04_g30900 transcript:Ma04_t30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIQIPSEDSSDLSGGGRVAVLVQGIMERIRSEDEDDRIQGAREIRRLTKTSAKHRRYLSESIEPLVSMLRYGSPESGEAAMLGLLNLAVRDERNKIKIVDAGALEPLIYFLESTNSCLQEYATASVLTLSATCVNKPKISASGAIPLLVKILKDGNRQARIDAMMALYNLSTIPNNLNTLISLQPIPAVIKLLRSCKKSSRTHEKCCALLELLMGFEEGRAALTFEEGGVLTVVEVLEGGAPSSREHAVGALLTMCESDYSRYRDIILKEGAIPGLLELTIQGTPTSQAKAHQLLDLLRSTSQQRSGIQADAFQNIVSDIVSRIDGDDRAGKAKKMLAEMVQISMEQSLRHLQQRAVLCTPKELPVGGRPSGVHSK >Ma07_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11411880:11412609:-1 gene:Ma07_g15160 transcript:Ma07_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKRPAEKKPAAEKPAEDKEKNAEKSAAEKMLKAEKRLPSKDSASGDRKKKKKTKKGSETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Ma10_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26435683:26436542:1 gene:Ma10_g13740 transcript:Ma10_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQRLLLLSLLLPLSAAAADSIAQYCSKSFKSKQTQANIDHVLADLVASASVGGFATASSGKGASGIYGLAQCRGDVSAEDCSTCLADAAKKLPTTACPSQADGRIWYDYCFMRYDNENFVGQSDTSVATILYNVENATDPQNFDKKVGVVMRRARADAVAPGNNALGRAKLQFTPYITIYALAQCTRDLQQLTCAQCLSSAVEMFPDYCQHRKGCRVLYSSCMVRYEIYPFYFPLDSTKTTAAVGTYYKATLHP >Ma04_p05260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3925746:3932688:1 gene:Ma04_g05260 transcript:Ma04_t05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAESRFLQELVLYAASAALSCLVLFAGLRHLDPNRAATKKALEHKKEIAKRLGRPLVQTNQYEDVIACDVINPDHIDVEFESIGGLEHVKQALFELVILPLSRPELFSHGKLLSPQKGVLLYGPPGTGKTMLAKALAKESGAVFINVRISNLMSKWFGDAQKLVAAVFTLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQTFEIGMPDRSERAKILKVILKGENAEANIDYDYIANLCEGFSGSDILELSKQAAYFPVRELLNDEKNGKASSGPRPLRQSDLEKALVTCRKVKKATGESRLGSQSPRWSAQTEPDDDQVHNAILEISKLMSRIVGNQSEPQDP >Ma04_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3925752:3932688:1 gene:Ma04_g05260 transcript:Ma04_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAESRFLQELVLYAASAALSCLVLFAGLRHLDPNRAATKKALEHKKEIAKRLGRPLVQTNQYEDVIACDVINPDHIDVEFESIGGLEHVKQALFELVILPLSRPELFSHGKLLSPQKGVLLYGPPGTGKTMLAKALAKESGAVFINVRISNLMSKWFGDAQKLVAAVFTLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQTFEIGMPDRSERAKILKVILKGENAEANIDYDYIANLCEGFSGSDILELSKQAAYFPVRELLNDEKNGKASSGPRPLRQSDLEKALVTCRKVKKATGESRLGSQSPRWSAQTEPDDDQVHNAILEISKLMSRIVGNQSEPQDP >Ma03_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28049353:28054854:1 gene:Ma03_g23500 transcript:Ma03_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSDKSPGETESSGSVSSEKHSGEPEALRTSSINSSPNHAQSPEVSSKDVSHEVNETIKNLNEKLSAALLNISAKEDLVKQHVKLAEEALLVWENAEKKVLFLKKQVKDSSEKNSSLEDRVVHLDAALKECIRQLRLTREEQEQKVHDAIIKKTNDWESEKTDLEIRLNELQAQLQAKAEITTSFDHELHSTIKALKEENFSLKAEIATLAQDLQIRASELEFSTRTAETASKQHLISIKKVAKLESECCRLQAAARKSLLANEHSLISNSHYVESVTDSQSDAGKQLLNLDIEQSCSDSWASALIMDLDQFRKEKANVRNLTNSVEIDLMDDFLEMERLVALPEGDHVSDNIERDADLEHSVSRNRSSRKEVQTIRLHIAELEEMIEKMNSEKVEMEKSFTVMNNQLKNTRDQLVAAEGQLVELQRQLKLVNEEKHVLEKEVEAGEGKKNRLEFHLESAHAESGKLHERVNLLDRKFEEEKKLSSKLKLRCQDMEATEAKRNKKELELESAYDQIAEFKGKICLLEEKLGEEKSLSTELASRCWKMEALKRKKEELECQLESANLELHKLHEKVNSFERKLEGEQTFSAELLSKCQNMEAIDAQKIELECQLTAEHLEVRNLQEKVNILEGKLEEERALSSAVAVNIEATEAKRKELLVQLEMAHVENGSLQDKLATLGKQIEEERAISADFAAKCHSLEHELSSKQKAAEFHLSASSNRVLLIRQEKETELAAGKLVACQKTILSLNQQLKILANFDNFTLETAKPELNGDLLAFRG >Ma11_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9021364:9022285:1 gene:Ma11_g09750 transcript:Ma11_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDTLMISCCASVPSSSSKYVSSVPMEHLEEMRADGGVHYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESAEMAAVAHDVAELRLRGRDAQLNFPESVDQLPRPRSSDPQDIRSAALDAAARLRCRTVATARAGIAPALERLGNDELGLDSPKMWLELAEALLLSPPVWSSEASEVEEWEPHGSLWDPLL >Ma07_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3362164:3370375:1 gene:Ma07_g04570 transcript:Ma07_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGAHVDDLDALSCCRGPISSYDTSTINDKRLLVNLVNRQDTLACSPHVSFKVAEVILPNGDKYYGTLLGNVPEGSGKYIWSDGCIYEGEWRRGMRHGRGNITWPLGAVYDGEFSGGYLHGNGTYTGPDNLSYKGRWKLNLRHGLGYQTHPSGDIFEGSWIQGVIEGHGKYTWPNGNMYVGDMKGGKMSGTGILTWKNGDTYEGNWLDGMMHGFGVYTWIDGGCYVGTWTRGLKDGKGFFYPKGSKIPTGQELYLNALRKRGLLPDFKRQHHGARILHASSVDMGKMKVIETQESDSSLTISIPKGRSINFDRSRAKHVLERRWSLEVAIEKVIGHVTTLESVAENDEKVIDMNIPILEREYMQGVLISEIVINNSFLMSPRKGKRRQKRLMKEIKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFRMNFPREGSQLTPPHCAEDFKWKDYCPMVFRNLREMFKIDTADYMMSICGCAALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPDYYHHVRTYENTLITKFFGLHRVKPSGGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDNVEIDENTTLKDLDLDYSFYLEPSWRDALLKQIETDSRFLETQHIMDYSLLLGVHYRAPRHLQSYVSYHRSMTSDRLTVQS >Ma01_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26560126:26562743:1 gene:Ma01_g23090 transcript:Ma01_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCVSKQAPELVAPGVPTPSGRLPLSSMDRTAVVRILVDLVLVFKHGLESAKTIKAALSRALVPYYPVAGRIVEPSPGEPEVACTGDGVWFVEASTDCSLEGVNNLERPLVLSKEELLPFAPLQVNVEDLIFMMQVTTFSCGGFAVGIRFSHTVFDGLGAAQFLKAVAEIARGHARLVVEPTAVFDVSLDHINAVKNQFSRERGHKCSTFDVVTAMVWQCRTRGIGLEPHVDVHLGFAANTRHLLRQLLPQEGFYGNCVYPMEVKARSGTVAGASLVEVMELIRDAKERMSAKFLDWMMGESGGGSVQGAAGVRDGGGVGLEPGGVRGSGLRVGRPDPCVSLE >Ma07_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5602524:5604656:1 gene:Ma07_g07520 transcript:Ma07_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISSSNGNGSGLLGDGRRKSCWYEEEIEQNLRWCFALNSILHTGSSQYQDIALLDTKPFGKALVLDGKLQSAEMDEFIYHESLVHPALLHHQNPKHIFIMGGGEGSTAREILRHRTVERVVMCDIDQEVVDFCKSHLLANKEAFFDPRLHVVINDARAELEKRKDKFDVIVGDLADPIEGGPCYKLYTKSFYEETLKPRLNQGGIFVTQAGPAGVFSHTEVFSCIYNTLRHVFRYVVPYSAHIPSYADTWGWVMASDSPFTLDAEKLDSRIRQRIEGENRYVDGETFASASILSKAVRKSLAKETQLYTEGTAKFIYGHGNCTNIAST >Ma03_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:220285:221124:-1 gene:Ma03_g00170 transcript:Ma03_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMNAKKTVFIIGATNRPDIIDPASLRPGRLDQLIYIPLPDEASRYQIFKACLRKSPVAKDVDLMALANYTQGFSGADITEIFQRTCKCAIRENIEKDIEREWRRSENPEAMDEDDADEVAEIKAVHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPGRAEAGTAGTDHPFATSGAGADDDDLYN >Ma11_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12704759:12706501:-1 gene:Ma11_g11250 transcript:Ma11_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNNRRHRAAANESLVTMCLPSGNDDGGGAAPGGNVDFHPTTPAQRTATAWDMASLWIGLVVGVPSYYLAGSLVEAGMSWWQGVAVVVAAKVILLGPLLAAAQPGTRYGVPFPVLARATFGVRGAHVVVLLRALVACGWFGIETWIGGQAVFLLLPAFLRLSPYATPLPWLATSPLELAAFLLFWAAQLVLLWKGMHGIRALQKISAPILALLAALLLGWAYRSAGGFGPMLSLPPRLSPPEFWALFFPSLTANVGSWAAVALSIPDFSRYARSQADQVAGQLGLPLYMGAFAFVGLAVTSSTMVIFGRVVSNPIELLSMIDGTLAKLLAVPGITLAVVTTNIPANVVAPANALVSFRPATFSFRGGALLTALTAILFQPWRIFRSTDSFVYTWLVSYSAVMGPIAGVLLADYYVVRRMELDVEELYWSSAAGRYYYTGGYNVVAMATVMASLAPVVPGFLHKVRVVKSVPGALVFIYNVSWFFGFFSSVGIYLVISAFCSRRGGRDDCQVTLSSSSPPALEEPLFRHWTIKL >Ma05_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37175100:37176455:-1 gene:Ma05_g25170 transcript:Ma05_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHLLILDKSLMVFHCLFPAMLSLSLHVSNNLSASAEGLFSASLDKLSTNLYKQTQSVELTTYFLSLAKKLILEFVVGSVLAIYFGSFLI >Ma09_p04320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2831481:2847697:1 gene:Ma09_g04320 transcript:Ma09_t04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTSCRDKLAYFRIKELKDVLTQLGLAKQGKKQDLVNRILQLLSDEQVPKSQVWGKKNPFWKDEVAKIIDDTYRKMQVSGATDLASKSTSTTDFNQVKPKEEIDDYCLEMKVRCLCGSSLTSDSIIQCEDPRCRVWQHIGCVIMPEGTLEGASPELPPRFYCEICRIKRADPFWITIGHPLLPIKLTSSGVTADGTSTSQNVERTFQLSRAHRESLQRAEYDLQVWCLLLNDKVPFRMQWPQFAELQVNGDAVRVVTRQGSQLLGINGRDDGPVVTTCSKEGINKICLSWHDARVFCLGIRLAKRRSIQQVLGLVPKEGDGERFEDALARVCRCIGGGAATENADSDSDLEVVANSVTISLRCPMSGSRMRIAGRFKPCVHMGCFDLQTFVELNQRSRKWQCPICLKNYSLENIIVDPYFNCITSLLQNCGEDVNEIDVKPDGCWRVRNENEFNDLSKWHMPDGSLCVNTCTEVKPDLEKLKQIKQEDTSEVHRSLKLKRNRKGLWEFSKPDSTSLQSSQNHDFNKLEGHCQIMPMSSSASGSYRDVEDLIVNQEAAGCSGLSLNRRHEPSSPGLNIESTYAVGNTFHSSPRNSPDVIVLSDSDEDNPSLISPETTYDTRAIVDSEIPFPSPPRGSEGFPESTALGMRTSGSILLDNNTDDFAMPLWHIHASPQAGPGFQLFGANAEVQDPLVDSDNSLGCVLTDVYGLASNVGLGDTSRMQNRSTCHSSTELHESLVDNPLPFCSDDPSLQIFLPSQPTGIPLQDDLDDRPEVPNGVTSDDWISLTLAGGDGGSAPSTRPRSGQQHTPKESRMEPLDGAASLLLSMNDNRADKVNSKNKRSDHPFSHPRQRRSARPRLHLSINTDSD >Ma09_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2831378:2847697:1 gene:Ma09_g04320 transcript:Ma09_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTSCRDKLAYFRIKELKDVLTQLGLAKQGKKQDLVNRILQLLSDEQVPKSQVWGKKNPFWKDEVAKIIDDTYRKMQVSGATDLASKSTSTTDFNQVKPKEEIDDYCLEMKVRCLCGSSLTSDSIIQCEDPRCRVWQHIGCVIMPEGTLEGASPELPPRFYCEICRIKRADPFWITIGHPLLPIKLTSSGVTADGTSTSQNVERTFQLSRAHRESLQRAEYDLQVWCLLLNDKVPFRMQWPQFAELQVNGDAVRVVTRQGSQLLGINGRDDGPVVTTCSKEGINKICLSWHDARVFCLGIRLAKRRSIQQVLGLVPKEGDGERFEDALARVCRCIGGGAATENADSDSDLEVVANSVTISLRCPMSGSRMRIAGRFKPCVHMGCFDLQTFVELNQRSRKWQCPICLKNYSLENIIVDPYFNCITSLLQNCGEDVNEIDVKPDGCWRVRNENEFNDLSKWHMPDGSLCVNTCTEVKPDLEKLKQIKQEDTSEVHRSLKLKRNRKGLWEFSKPDSTSLQSSQNHDFNKLEGHCQIMPMSSSASGSYRDVEDLIVNQEAAGCSGLSLNRRHEPSSPGLNIESTYAVGNTFHSSPRNSPDVIVLSDSDEDNPSLISPETTYDTRAIVDSEIPFPSPPRGSEGFPESTALGMRTSGSILLDNNTDDFAMPLWHIHASPQAGPGFQLFGANAEVQDPLVDSDNSLGCVLTDVYGLASNVGLGDTSRMQNRSTCHSSTELHESLVDNPLPFCSDDPSLQIFLPSQPTGIPLQDDLDDRPEVPNGVTSDDWISLTLAGGDGGSAPSTRPRSGQQHTPKESRMEPLDGAASLLLSMNDNRADKVNSKNKRSDHPFSHPRQRRSARPRLHLSINTDSD >Ma09_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12086567:12087144:-1 gene:Ma09_g16680 transcript:Ma09_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRPCVATNSEIGAGEEDEIERRSFTRPTQLSRSSKTPTFAPQAIDPVVGPCPQIRVFGPSAATQVLGLLSKLEWRA >Ma10_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33365509:33366532:-1 gene:Ma10_g25050 transcript:Ma10_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMDHQNGCGGGTAPPAPPMSPRISFSCDFTLEVPTVRAPGPPPDPNFEFLVGSHPMITADQIFFKGRLLPLKDNHPSGSSRGMITTLRDELRGNEEACGQPRERPPKAPIKWKELLGLKKSRNPAAKKSDKNEGSQLGKSMQEL >Ma00_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:24584216:24585803:-1 gene:Ma00_g03030 transcript:Ma00_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDALQSHFSLLFFSFSISLVVVAVLVELLRTRPWWCNCAVCKAYVTSSWSAEFDNLCDWYAHLLRESPTRTITVHVLGCTVTANPDNVEHMLKTRFENYPKGKPFASILGDLLGRGIFNVDGEHWRFQRKMASAELGSAAVRVFASQIVAEEVGFRLLPLLDMACKNDAVLDLQDVFRRLAFDSICKISFGLDPGCLQLSLPMSEFAAAFDKATRLLAGRATHTIPAIWKVKRLFNRGSEGQIREAIELVDLLAKEVVHQRRKYGFASDQDLLSRFMRCIDNDRYLRDIVISFVLAGRDTVASALTSFFMLLSRYPAVCSAIRDEVEDIVGNAPALASYDQLRELHYLHAALYESMRLYPPVQFDSKFCLDDDVLPDGTFVRKGTRMTYHAYAMGRMEELWGSDYAEFRPERWIRHGQFVPESPFKYPVFHGGLRVCLGKEMALMEMKSVVALVIRDFDVDVLGGNRPPKFAPGLTATLSGGLAVRARRRTGSAAPHGTS >Ma06_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4073958:4084853:1 gene:Ma06_g05480 transcript:Ma06_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGATAVAAADDSSPRVKLLCSFGGSILPRPLDGRLRYVGGENRILTVPRDVSYEDLLARIRELFDAVSIIKYQQPEEDLDALVSVVNDDDVMNMMEEYDKLSATGDGVMRLRIFLFSHYPDLDVAGATTHFDNDERETERRYIDALNSLSDNKSPSPPDTSDHYLGHPGIDGGILHGQLNLHHIAIPHPSHVQRYGEMDAPYSPAFFSPGPHAVNDPQEFPSSPSSAIFHLGAGELSDRIADEYLRQAGGHQLCQFDHQSPPTMENVMWLPAGAIIQENSGFPTNLAHAQNMIESNRICEHCHMTFQRSHTTVSDARFVDTRWKHGQPYMEQPNMMNEYVGQFPNSSAECFGGRDPCMVNQDMKIDHGICVKEQNEPHHPFYNEYDQGRAIHNHQFPQRLEDLRIHHSGTGRVNEHYIIDGTMMNVPFSHGNVNDVHSFPSNCIGHDNGDYLRHGTNIGNEALLSQQTVGGGTGTNAPGFEDTEVQYHNQPLAYGVESPCQASNNLHPIQSLWRNRQAPSHPGTSYEPSNMMMPNGAPDSGFIRYMQEGSPTLPYVRVEDQIPNALSSQNNSIPQRMLGLDESAASDYLNRYGPRQNPNVTGQDGMFSIAHDYPGEINGKVPTEAVPLVHTPPYPVEKELIVSSAFAINHPPVMASNRSVQLQVAAEINLTIDGQKIRHEVSKKPDEHRILSPTRRQNLEFAKNGPQLSEVEGHPRKSVDADINVSHRDRNMSEENLNFLPELIASVKKAVLEGAEEAVAKVQSNSCLDIYSPLVEKESLCEHENAYADVQPDSKAIDEHEQICKTDPRTDETEALTKGLQNSDVQLDPEGNSEHDKLCKIEPTTAEAEALAKGLQTIKNDDLEEIRELGSGTFGSVYYGKWRGSDVAIKRIKASCFAGRPSERERLIADFWKEALIMSCLHHPNVVSFYGVVRDGPGGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDVAFGMEYLHGKNIVHFDLKCENLLVNMRDPHRPICKIGDLGLSKVKQHTLVSGGLRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYADMRCASIIGGIVNNSIRPKIPSWCDPEWKSLMESCWSSDPALRPSFSEISQKLRKMAAAINLK >Ma05_p25470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37407826:37411819:1 gene:Ma05_g25470 transcript:Ma05_t25470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAESSRADNVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIKEYRGKIEAELSKICDGILKLLESHLIPSSTAAESKVFYLKMKGDYHRYLAEFKTGNERKEAAESTLVAYNSAQDIALAELAPTHPIRLGLALNFSVFYYEIVNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDINDDVGDEIKEAPKQESGEGQ >Ma05_p25470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37407683:37411819:1 gene:Ma05_g25470 transcript:Ma05_t25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAESSRADNVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIKEYRGKIEAELSKICDGILKLLESHLIPSSTAAESKVFYLKMKGDYHRYLAEFKTGNERKEAAESTLVAYNSAQDIALAELAPTHPIRLGLALNFSVFYYEIVNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDINDDVGDEIKEAPKQESGEGQ >Ma02_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21594997:21597587:-1 gene:Ma02_g13030 transcript:Ma02_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQVFLDRDSEDEVDDDIADFKDRRMHDDFVDVTTDEKQIMHLWNSFVRKQRVLADGHMPWACEAFSQLHVQDLARSPALMWYNIQCIFVILAHLFVCSIPFIPQLHLT >Ma08_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3434432:3435009:1 gene:Ma08_g04990 transcript:Ma08_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGMLANAIAVPYYGRRPKSPSPGGMAVECSSRPQKKATSHHMKTRPKKTQPWDVRRKGPTVYPPLPVLPPDWTLVSEEKGEAAAQRAEEAPATGAA >Ma03_p15400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15195022:15223596:1 gene:Ma03_g15400 transcript:Ma03_t15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGHHGVATLHKYKYSGVDKSLLAKYVLQPFWSRCVALFPLWMPPNLITLMGLMFLVTSAVLGYIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALTCAFEALAFGSTGMCGRATFWFWVIAAVPFYFATWEHFFTNTLILPIINGPTEGLMLIYVAHWLTFFWGAEWWAQDFRKSIPLVGWMPVAHEIPTYGVVLFILIVFGVFPTISSNISNVRKVVQARKGSMLLALAMIFPFVVLMTGVLIWSYISPSDVMCNHPHLLIVGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLLFLPLAIANALTARLNDGAPLIDEYIILLVYCLFTVGLYLHFATSVIHEITTALGIYCFRITRKEA >Ma03_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15195083:15223596:1 gene:Ma03_g15400 transcript:Ma03_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGHHGVATLHKYKYSGVDKSLLAKYVLQPFWSRCVALFPLWMPPNLITLMGLMFLVTSAVLGYIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALTCAFEALAFGSTGMCGRATFWFWVIAAVPFYFATWEHFFTNTLILPIINGPTEGLMLIYVAHWLTFFWGAEWWAQDFRKSIPLVGWMPVAHEIPTYGVVLFILIVFGVFPTISSNISNVRKVVQARKGSMLLALAMVISIYKFHHLTLSRFFDELICSCQIFPFVVLMTGVLIWSYISPSDVMCNHPHLLIVGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLLFLPLAIANALTARLNDGAPLIDEYIILLVYCLFTVGLYLHFATSVIHEITTALGIYCFRITRKEA >Ma03_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26880311:26885422:1 gene:Ma03_g22030 transcript:Ma03_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGCDDEAIGATGRGGDAVAEDGGKPAGVAVPPAADQHARSRSQGGGPGGRRVAPAAGPSDEAMVVEKVLLSGDMCTGEFVRNSPHRRGKCLRADWCMHEGEWRRGKASGKGKFSWPSAVTFRGELRSGRMDGFGAFTESEGNACPGSCVAGREHGYGCKWYSNGDYYEGGWWRNLQEGRGRYVWRSGNQYVGEWRNGVISGHGALIWANGNRYDGHWEDGVPKGSGVFTWPDGSCYVGSWSKGDPKSLDGTFYPAVSTVRKEIAGKRSLFSPFDETFVLPSVSASRKRLSVDGGALGRRSSTAEKNFPRICVWESEGEAGDITCDIIDTIEAAMLYKNRVSIHRDDRTPIGTVHQRPSPPCLSTREGKKLGQTILKGHKNYDLMLNLQLGIRYSVGKPGSMRELRAADFDPREKFWTRFPPEGSKITPPHHSIEFRWKDYCPMVFRHLRKLFNVDLADYMLAICGNDALRELSSPGKSGSAFYLTQDDQFIIKTVKKSEVKVLIRMLPNYYRHVRRYKNSLVTKFYGVHCVKPIGGPKVRFIVMGNLFCSKYHIHRRFDLKGSSHGRTTDKVEEEIDEMTTLKDLDLNYVFRLQKSWYLELLEQIKRDCEFLEAVGIMDYSLLLGLHFHYDVSASWTGLSPCSASPKYRRAWSDLRFSKSTRQDRDLTVDGRKPLIRLGLNMPARAEHTARSESDALLASGTQSDKIHDVILYFGIIDILQDYDVTKKLEHAYKSLKVDSNSISAVDPKLYSRRFQDFIRRIFMEDD >Ma08_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36792752:36794121:1 gene:Ma08_g23390 transcript:Ma08_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCSKEGLNRGAWSACEDKILSDYIKTHGEGKWRDLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNITEDEESLIIKLHRLLGNRWSLIAGRLPGRTDNEIKNYWNTNLSKKMTSPRTCIRRPVKGKEARKEESPEEVKAAGEASAVIRTKAVRCTKVYLPSPPDDPPTHHGSKPTNNGSPSSSVPRDADPSGWFFEDFNMEELVPSFQDDCFLQLRMDEVQDGGNMNGIVGDDLLWFCDAVHQDFRGSTDRPELQVAAEIGTLASLIDSVENGKANGGARHMVSRS >Ma07_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4689706:4690592:1 gene:Ma07_g06540 transcript:Ma07_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVNEEIVVKVGPRGDVVSRSVEWDESSYGNVKQILVTHGNAINSIQIIYDINGTAALAHRHGGDGDYFDCISFEPWEYLTSISGHYGPVEQRGAVVIRSLKFGTNRTNYGPFGREEGTPFCFNFHSGLDFGGFHGRSTGSHLSALGIYVKSISLKHHFKPDPPQRHAAPPRLFSASVDPCACVL >Ma08_p31960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42718729:42727784:1 gene:Ma08_g31960 transcript:Ma08_t31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKMEGPSAPAVRRDPYEVLSVTRESSDQEIKSAYRKLALKYHPDKNTSNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENENMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTIAANVLEEALNGTVTVRPLPLGTSSNGKVEKQCAHFFSITINDHQAQAGVVIRVISASQSKFKLLYFEQEPNGGYGLALQEDSEKTGKATSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSELKPGTHIFAVYGDNFFKPATYTIEAMCAKSYEDTTGRLKEVEAKILAKRNDLRQFEIEYRKALARFQEVTNKYNQEKQSVDELLKQRDTIHSSFTTVRTMINSSGVTGSSSKIPNDDSRLDSPAEDGSFDGKDKSNKKKWFNLNLNRSDKKG >Ma08_p34140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44205697:44271341:1 gene:Ma08_g34140 transcript:Ma08_t34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVVSQVLAGYLGRFVKGIQKDQLKIGIWNEEILLENVELILEAFDYLQLPFALKDGRVGKLSIKIPWKKLGWDPIIVVLENIFICACQREDNEWSSDLVEKRELAGKMAKLNAIELAKLSRRVSDDQAGQSFISYISAKILDNIQVSIRNVHIVYIDSHRDQETFIFGLKLSSLTILTDTRKQNLTGSSMGKYRGGQVRKIVEISTVGLYCNLVEENQSLFCLDDSTNVQLLSNSKLEDEKYDYIVDPFDFMVCLLVNKSGKLDGAPQYDINVEIATLDLSFNEIQLQQVMSLWDYFSICTLRRKYGRYRPPQSFLSRKVEGWQRMLWHYAQKSVLADVRQKLRKTSLSNLGKRIICCQKYVKLYKRKLELLQQDQLVSKDILQELEEMDKECDIDDILSYRSLAEQQLQELLINSEVPVSVKSAQEENQNGEQTSTRAPSWLNWLSLGMLGAGGTSDTGSFAGVVSDDIIKDIYEATEFHPTSSLSGDSSIRSGSCSSSVRFNISQIITSIRTKASDRRIGKAICSEFVFECEFWDDSAAILASIGSIKIVNPSNENVILMDKKVINEENLTTSLLPCLSLHVNMPHLDQKYDVSIKIVLQPFEAGFEKEFFFYIQHFYRAVTSFQFQQDRVLSSLNELKSFEARLLAKFEYYICNHKKLYWDVTIHKAILNLPLQNEEQKVLNMVLEFDKIFLQSKTQQESSPILNSRLCLENICPTYLINGTQLKFQIQDVYNCFGIELTGFKVNLFEPNVPRVVPVIDDFNASFDTRLCIFFDEADLKILEVDLVVPFLAMHFSPKIFSALLRAQDVLSEQKSQVFTEGISYVNDISVHNWPSLQFSVSAMLDNWKFNVDLEDDAENSAIISFTFENLFSRCALEDSMKFWFLTKMLTIDYCCFKDGPNCSTLCSTRNEIGGTINTSADHVDLSISELPSCESPRHGCFELHYQAQASQQECSLCLCDVDLHIYPRIFGLLQKFFSKLKAQFSSSDTSVKSFRLIDSNMEMIDNGTSKFGFSNYHNIEHNIFGKIDIDRFVPVMKSGCLNSLEGSMIFDAPELNNLCAKKNECPKGVNLNFRQGQTVKDSVMTSPTSSRMAEPFMNSDNNCFSMKFSLNRVRVYFHDSSCILATLTVPTSISCFRFCQNDCWDLVSSIEGLMLSSSWSCPNIHELLWGPSSPSNLSVVNLSLRKGKIKMMLPITEINISIQHVCCIISSEFLALLIGYFSLPDWILDGNEHLSHEILEFENSRNEQNDLLYKFELVDSTVLLPLENREYCIQVGFPQLVCSFIPMSSSADSFTEIPFECMISGCMDSEKVDIVNVFGRSASLSLLFIRNDKNFLLKLDECMSNTSVPLITQLDADLWIRIPSTTTDVSPQSVVPLLIMMSVSVCNLIARDDHLIHGLEAVIDAVDQFSLVLKRSEMYKSDIMQFLQCKRNIKEEDVVSLNISSQFFVSVRCCIKTLSLTFCRFRAEDSSSEMVANAEMQVNLSAIMRNEVLQSLDVNIPCVVVRSSSRNILLISFTPEGASSSHLCFNFSSCSAESELLVTVASLDLWLHLSDWNNIFELLQFYITHLVSTPISSSADPQSGCHFVLDPATPTCFASEYVVQENISWKLKSENITISLHIPSSSDGELIDSEMIEIANKNSQEHTCNNLVENMPPFKVKNCNFVKITVHSKSCEMIICEGCVELKCNLEKMRVTLEMVQNYDITSIPFMHVSQVKAGASLCRKQGELVYISSEIIIESLDIGVSYQVLYFWSCYKLRVPETASSPIFRHCLAFKVHFKKGSLLLSDGRWSYHGPILETLMKNMLIQFNRTEDVTEGSAVADLLINYNNIDKVMWEPFLEPWSFELNMTRKYEGYILNGYAVTDVYLKSTKLLNFNITEPLVEAIFRLNHVVNDATNLADAYGFQETHGILGLQTTEDIRKRRYAPYILHNDTSLPLAYHVYHGPVDMDNIHSFPTDDGNIVQPGFSVPIYVEENLNEQYFERRASYSSERLIEKKMSAIAHHMMSIHFEGTSGPSRPMSMDLVGCSYFEVNFSKSKHSILVEAEKDGKILGCSWQTEEQCKNEHCKGLVVPVVFEVSMQHYSKIIRLYSTVIVFNATSVPLELRFDIPFGVSSKVLGPILPGQEIPLPLHLAESGQIRWHPGGTHYLWSEAHSLSNILSQENRLGYLRSFVCYPSHPSSDPFRCCISIQDHGLCSAGAAEKHSSINIHETEQLIFKSNKSKFPKKHVIRHVRLSTPLLVKNYLPTCLSFIVETGGVTHSVSLSEVGTASVYHVDSAHDLVLTFEMKGFRQVTSKFPRAESFSSMGRLNGSFYFSSEKLAFYPENSSGSVCVTLDKTMDASCGAREICLSVPFLLYNCTSLFLTILDVNHEGKGNAVVIPSSYYEIEHKQLLDGKDGLALISSESISSSDPFLLDNNLEARKQDNVSTKMDCDQSSVSYEVSHYSEIGHKVGSSPSYLPRKAGKDAGYMHDGGSRKAKPYIYGPTVRIPANELLVKLSAALSKSRSSTSHNQTWSKPFSLVPESGSTNIIVPQPFASGAFLISAASVPVAGELSGRTRAITFQPRYVICNACTKDLFYRQKGTNISYHLGIGQHSHLHWSDTSRELLIALRFGEPGSQWSGSFLPDCLGDAQVKMRNYITGVSNMVRVEVQNADVSISKENVIKNPSGHSMTHLILLSDDKTGFMPYRIDNFSMETLRIYQHKCESCDTTVHRYTSYQYAWDEPCYLHRLIVEVPGERILGAYSLDDIKEHAPVYLPSTTEKPERRLYISVHSEGAVKVLSIVDSNYHIVNEKEGNNFLGSRDKKVVDQKMDCHAGFTEVFTLHVPFLGISLMNPTPQELVFACAKDTTVVLMQSMDRQKISFRTLSLQIDNQLPDTPYPIVLSFDQGHRGRSTNILKSGENKLNFQKETNFENTIEPVFYLAASKWRNMDKSLVSFEYIDLGLAPMCIELEEQILLSLFEYFRAVSSRLENISVGKNFGLCNRNCSSDGNLDNAQDYNGKNVLTESEFIGTEERCGLLPSVFPVGAPWQQIYLLARRKKKIYVEAFELAPITLSLSFSSTPWMIRNEVHADIESLVHIPSNTLQRGLMALVDVEGVPVHFTRLTLAHLIASPESIQEIITRHYMRQLLHEMYKVLGSAGVIGNPMGFARNVGLGIKDFLSFSGKGVLQSPSGLLTSVAEGSRGLLSSTVYAISSATSQFSKAAHKGIVAFTFDQQAAAYLEEQQNHLDSHGKGVLNEFLEGLTGLLQFPIRGAEKHGLPGVVSGIALGTAGLIARPVASILEATGKTAQSIRNRSRPHQSCHFRTRLSRPLAKELPLSPYSWDEAIGVSLLLQADSSRLKDEIFVMCKPLTQAGRFITISKRLVLVASCSCLLGLGSPEFVGVPPDPDWVIETEMTLESVVHIDRTEETVNIVGSCAESIYKQKKSSSRNRPWNSSTSAPVFHLSVELANKEEAEDTLQVLLSTIEEGKSQRWGMRILQRNNLK >Ma08_p34140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44205697:44268047:1 gene:Ma08_g34140 transcript:Ma08_t34140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVVSQVLAGYLGRFVKGIQKDQLKIGIWNEEILLENVELILEAFDYLQLPFALKDGRVGKLSIKIPWKKLGWDPIIVVLENIFICACQREDNEWSSDLVEKRELAGKMAKLNAIELAKLSRRVSDDQAGQSFISYISAKILDNIQVSIRNVHIVYIDSHRDQETFIFGLKLSSLTILTDTRKQNLTGSSMGKYRGGQVRKIVEISTVGLYCNLVEENQSLFCLDDSTNVQLLSNSKLEDEKYDYIVDPFDFMVCLLVNKSGKLDGAPQYDINVEIATLDLSFNEIQLQQVMSLWDYFSICTLRRKYGRYRPPQSFLSRKVEGWQRMLWHYAQKSVLADVRQKLRKTSLSNLGKRIICCQKYVKLYKRKLELLQQDQLVSKDILQELEEMDKECDIDDILSYRSLAEQQLQELLINSEVPVSVKSAQEENQNGEQTSTRAPSWLNWLSLGMLGAGGTSDTGSFAGVVSDDIIKDIYEATEFHPTSSLSGDSSIRSGSCSSSVRFNISQIITSIRTKASDRRIGKAICSEFVFECEFWDDSAAILASIGSIKIVNPSNENVILMDKKVINEENLTTSLLPCLSLHVNMPHLDQKYDVSIKIVLQPFEAGFEKEFFFYIQHFYRAVTSFQFQQDRVLSSLNELKSFEARLLAKFEYYICNHKKLYWDVTIHKAILNLPLQNEEQKVLNMVLEFDKIFLQSKTQQESSPILNSRLCLENICPTYLINGTQLKFQIQDVYNCFGIELTGFKVNLFEPNVPRVVPVIDDFNASFDTRLCIFFDEADLKILEVDLVVPFLAMHFSPKIFSALLRAQDVLSEQKSQVFTEGISYVNDISVHNWPSLQFSVSAMLDNWKFNVDLEDDAENSAIISFTFENLFSRCALEDSMKFWFLTKMLTIDYCCFKDGPNCSTLCSTRNEIGGTINTSADHVDLSISELPSCESPRHGCFELHYQAQASQQECSLCLCDVDLHIYPRIFGLLQKFFSKLKAQFSSSDTSVKSFRLIDSNMEMIDNGTSKFGFSNYHNIEHNIFGKIDIDRFVPVMKSGCLNSLEGSMIFDAPELNNLCAKKNECPKGVNLNFRQGQTVKDSVMTSPTSSRMAEPFMNSDNNCFSMKFSLNRVRVYFHDSSCILATLTVPTSISCFRFCQNDCWDLVSSIEGLMLSSSWSCPNIHELLWGPSSPSNLSVVNLSLRKGKIKMMLPITEINISIQHVCCIISSEFLALLIGYFSLPDWILDGNEHLSHEILEFENSRNEQNDLLYKFELVDSTVLLPLENREYCIQVGFPQLVCSFIPMSSSADSFTEIPFECMISGCMDSEKVDIVNVFGRSASLSLLFIRNDKNFLLKLDECMSNTSVPLITQLDADLWIRIPSTTTDVSPQSVVPLLIMMSVSVCNLIARDDHLIHGLEAVIDAVDQFSLVLKRSEMYKSDIMQFLQCKRNIKEEDVVSLNISSQFFVSVRCCIKTLSLTFCRFRAEDSSSEMVANAEMQVNLSAIMRNEVLQSLDVNIPCVVVRSSSRNILLISFTPEGASSSHLCFNFSSCSAESELLVTVASLDLWLHLSDWNNIFELLQFYITHLVSTPISSSADPQSGCHFVLDPATPTCFASEYVVQENISWKLKSENITISLHIPSSSDGELIDSEMIEIANKNSQEHTCNNLVENMPPFKVKNCNFVKITVHSKSCEMIICEGCVELKCNLEKMRVTLEMVQNYDITSIPFMHVSQVKAGASLCRKQGELVYISSEIIIESLDIGVSYQVLYFWSCYKLRVPETASSPIFRHCLAFKVHFKKGSLLLSDGRWSYHGPILETLMKNMLIQFNRTEDVTEGSAVADLLINYNNIDKVMWEPFLEPWSFELNMTRKYEGYILNGYAVTDVYLKSTKLLNFNITEPLVEAIFRLNHVVNDATNLADAYGFQETHGILGLQTTEDIRKRRYAPYILHNDTSLPLAYHVYHGPVDMDNIHSFPTDDGNIVQPGFSVPIYVEENLNEQYFERRASYSSERLIEKKMSAIAHHMMSIHFEGTSGPSRPMSMDLVGCSYFEVNFSKSKHSILVEAEKDGKILGCSWQTEEQCKNEHCKGLVVPVVFEVSMQHYSKIIRLYSTVIVFNATSVPLELRFDIPFGVSSKVLGPILPGQEIPLPLHLAESGQIRWHPGGTHYLWSEAHSLSNILSQENRLGYLRSFVCYPSHPSSDPFRCCISIQDHGLCSAGAAEKHSSINIHETEQLIFKSNKSKFPKKHVIRHVRLSTPLLVKNYLPTCLSFIVETGGVTHSVSLSEVGTASVYHVDSAHDLVLTFEMKGFRQVTSKFPRAESFSSMGRLNGSFYFSSEKLAFYPENSSGSVCVTLDKTMDASCGAREICLSVPFLLYNCTSLFLTILDVNHEGKGNAVVIPSSYYEIEHKQLLDGKDGLALISSESISSSDPFLLDNNLEARKQDNVSTKMDCDQSSVSYEVSHYSEIGHKVGSSPSYLPRKAGKDAGYMHDGGSRKAKPYIYGPTVRIPANELLVKLSAALSKSRSSTSHNQTWSKPFSLVPESGSTNIIVPQPFASGAFLISAASVPVAGELSGRTRAITFQPRYVICNACTKDLFYRQKGTNISYHLGIGQHSHLHWSDTSRELLIALRFGEPGSQWSGSFLPDCLGDAQVKMRNYITGVSNMVRVEVQNADVSISKENVIKNPSGHSMTHLILLSDDKTGFMPYRIDNFSMETLRIYQHKCESCDTTVHRYTSYQYAWDEPCYLHRLIVEVPGERILGAYSLDDIKEHAPVYLPSTTEKPERRLYISVHSEGAVKVLSIVDSNYHIVNEKEGNNFLGSRDKKVVDQKMDCHAGFTEVFTLHVPFLGISLMNPTPQELVFACAKDTTVVLMQSMDRQKISFRTLSLQIDNQLPDTPYPIVLSFDQGHRGRSTNILKSGENKLNFQKETNFENTIEPVFYLAASKWRNMDKSLVSFEYIDLGLAPMCIELEEQILLSLFEYFRAVSSRLENISVGKNFGLCNRNCSSDGNLDNAQDYNGKNVLTESEFIGTEERCGLLPSVFPVGAPWQQIYLLARRKKKIYVEAFELAPITLSLREV >Ma05_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7873637:7875011:1 gene:Ma05_g10780 transcript:Ma05_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPLGTAGWSRLPHDLLHLVAAKLVRISDYIRFRSVCKSWRSAATPANLRPQPPLLMLPYHPFTHARSFFSLSTGKVHALSLAESYGKIVLNSAHGWLVMLDITTAALCLLNPITGVQIDLPSTADFYRLRAARVSPDLWNYEVTDHKGRRDKLGFNMSFVVYPWEVFLPTNPSSTGNDEGCFVFISILHSRDVIYCTPGDKAWTTLEDVLAARAWSMAHREGRLYVLDGHSNLYMFDMADPSRPPAPLLTVTVPLGLAPLFSLACTTSRELLLVTCDPRYAHGDETPEDDDGEFFQAFRVDVRGESPEWSKVESVGERAVFWTERQCWLVDVGDFQGCREDCVYLWGGRGGRRGDGRARAGVHRIEAFRRDGGGFEVLLEWERSPSDAMCPLWVTTNLR >Ma08_p32410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43112073:43115758:1 gene:Ma08_g32410 transcript:Ma08_t32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRGNLLDPAAAEFHPTAATAGQLALGHPQIYYAYAPPPPPPPHPPVVAVPVFHLQQPQQVEVAAATRAVALSMVPRHVGEAEVRAGMEAFGGVRAVEMGALAAEGVVIVHFFDLRSAEAAVAEVREQHARQHGGIGFGAPAGSAAAGNWAAPWMWCPQQPGGGRGTIAGQPVWAQFAAVGLDDPNQGSLVVLNSDTTIPLLALREIFEPLGAVKEAREMPSKPQHRVVEFFDTRDAARAIAELNGKEINGRRLLLEFSRHGSSHTRSHTRSSPGHAHGHHGSPLPPRFLRGSPQPSRWAQASGASPRSSSSSLRGESSPGSVVVLKRTNTTTTPAAVARSGSSSGRRNKNSSSSNYPAASSSSSKQQQQQQRQSSGGGGSGSGRRSWKNRSKSSGESRFLFKEAESEESSESSCRDSRTTVMIKNIPNNQKLLLNMLDNHCIQCNEQLGEGADEPYSAYDFVYLPIDFNNKCNVGYGFVNLTSPEAAFRLYKAFHLQPWEVFNSRKICQVTYARLQGLEALKEHFRNSKFACDNDEYMPVVFSPPRDGRRLTEPVPVVVGREQRMVDLARAQSGCTSLTDETASQQAADSGGASSTTTSTHAPSDNPDGGDDDDDDDDDSNDDEEVGLGMGRRGDDGSGGDETGLQLSQSVLHLSCN >Ma08_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7290154:7300040:1 gene:Ma08_g09990 transcript:Ma08_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPPPLRLAQRLDLDAVRSLVSSVNRHVHRFLSDAAARKSLQLRCGRALAASHQAFFEFSEHSVLSNLYWGIENIEVALQCQCRDGWTQRLAASEKMLQMPALLDEVGSTAGVDNRYLVCCSYFYLALVWKLRRDEWQMMMHLLQSLLVSPNCFRKELAPGLWRSLFGSLTSRTEDEEEVEEIARQHVRRYKDWLMYYQVVSYGETPPWNKERSGADHGEREAENYQYRGSASTRCFNSIKQVPSWSNFQNAKMVYQLNLEDNIEVNIEASASISDEQEIFTERKDENYTPLNLDISFPGGIKESFDIRCLQEMLEESQSDSPVSFYSHIGSADEESDSEARMQHTECPADIPSIDVGPLASFSQRNCRAQCSPSEHVDAFFLESPGYPADKEDYEANASFQSSRRSHCSLSNLKFSVLDVKEVETHPFCNYYVKKGMSPGRSPKHDLRCFSTFSARLRKRYNLSELVSRGSYARRRRNFPTSEKDWSDGSSNYGKDSQVELLGIFENAVSTICFPEGRGNREDADLEVTTIWELLKKQKEVKHSLTKQQILDQLLEIVSASKKEKFIRESVSILLLLISEDKTIIQDIKKKDLHLYYLASALKRNVHEAAILIYLLNPSPSEIRSLELLPALVEVACSPDGHNKKSIVLPLTPSSASIAMIEILVTAFDYVTNNMHLAAISSPQILSKLVNAAMHKNLEEGVALAAILVRCMRLNGNCKKFLSQFTPVEPFLHLLRSNEKRDKFAALEYFHEILQIPRSSAIRLLHQIRQHKSIGIMHSLMACIRQTELEHQLMAANLLLQLDMLEGSNGKSVFKEEAMEVLLESIANEKSSTTQTLSAYILANIGGTYSWAGESYTTSWLLKRAGLTSSYNRNMIKNIDWLDPCLQDIEINAWSAKAAKGIIKMGNLVFNALAKGMQSKVKSVSRDCLVLLAWLGSEIAIKGPSNLKYSACETLLNEIAQFLHPGSDLDERILSCICIYNYTSGKGKQKLMNFSEGIRESLRRLSSFTWMAEELLKVTDYFLPTKPRVSCVHTQILEVGQVGSGAATALIFCKGLLCVGHSDGSIKVWDIKGQKSMLLLEAKEHKRAITCFALSESGDSILSGSSDKTVRIWKMAQKKLECVEVIELKEPIQKLETYGGKILVITRSRGLKVCQASRSIQTLCKNKHIKCLVVARDKVYLGCTDSSIQEVDLMDGNKTLIRAPAYSWRIQKKPVNSIKLYKDWVYSAGAVVEGSCLKDWRKHRQPQILINMTRRASVQSMEVVEDFVYLNCSSSRSVVQIWLRGQQQKVGRLSTGSKVTSLLAANDIIFCGTENGLIKGWIPL >Ma04_p36900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35074967:35075903:1 gene:Ma04_g36900 transcript:Ma04_t36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWVKSLHCKSNAVEDVVYPPTPSSKKPLLSTVSCSNSSHAVKDVVFLFPKQPRSSSSSYALNKKPLPETTQNHNRKQRPARASPSTPAHGLVRPVHADPFPTMEELPKGHSSRRVVEIIFSSSWSASAGGAFPGEIEMLFRVDNPAWTLARFEEHRAAVRGRAVDGARCAADGNEVLRFHLGRGAGGVYDAGVARDVVRSSAGGKAKGVRTFAGSGGAHASGDGGDGRKGMLVCRVIAGRVRGESDHTESDADSVSLGNGELVVFDPRAVLPCFLIIYKL >Ma09_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5981645:5983857:1 gene:Ma09_g08990 transcript:Ma09_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSVRAEGYQKDFLRRFGAGGGGQGEGTEGGESEDIELSLGLSLGGRFGVEPKEKRLVRSSSISTLPVERDLAMVPPLARTCSLQAEAQEELRKRKELQSLKRLEAKRRRSEKLSSKSRIADRKGDIFDEETAGSRNRVAPHGLPLWIAGAGRAAAQPTEAPRRFGLVSQGSIGSQGSSCSSVSDVESQPKQGSRNSPRVGSPMTAMLSPEHGSHKAVSDHTTAAAVGKHVAGVTGEEGQSRKATEGALDDRVREKAGRNMVERMPCVSTRGDGPNGRRIEGFLYKYRKGEEVKIVCVCHGSFFTPAEFVKHAGGGEVAHPLRHIVVDTLPSAFL >Ma04_p00640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:600258:621958:-1 gene:Ma04_g00640 transcript:Ma04_t00640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDIGLAAAINILSAVAFLIAFAVLRLQPINDRVYFPKWYLKGVRGSPTRSGTFVHKFVNLNLRSYLRFLEWMPAALRMPEPELIDHAGLDSAVYLRIYLIGLKLFVPMAILSFAILVPVNWTNSGTLENSKVEYSDIDKLSISNIPSGSQRFWAHLVVAYAFTFWTCYVLLKEYEIVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHRDHYLTHQVVCNANKLAKLVKTKKQMQNWHDYYQLKYDRDPSKRPTRKTGFLGLCGDEVDAIEFYTSKIDELSEKEATEREMIKNNSKSIMPAAFVSFRTRWGAAVCAQTQQTQNPTLWLTEWAPEPRDVYWQNLAIPFVSLTVRRLIVAVAVFFLTFFFMIPIAFVQSLANIEGIEKVVPFLKPIIEEKTIKAFIQGFLPGIALKIFLILLPTILMLMSKFEGFTSLSVLQRRSASKYYLFILVNVFLGSIITGTAFQQLNSFIHQSATEIPKTIGVSIPQKATFFITYVMVDGWAGIAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIDFASSEPQIQLYFLLGLVYAVVTPFLLPFIIIFFALAYAVFRHQIINVYNQEYESGAAFWPDVHGRIITALIISQLLLLGLLSTKHAANSTPLLLVLPVLTISFHRFCKNRYEPAFVKYPLQEAMMKDTLEHATEPNLDLRAYLLNAYVHPLFKDEDDDDSFSGGGEQEYETKLVPTKRQSQKNTPVPSKYNGSSSPSLPEIVKEQL >Ma04_p00640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:600258:622016:-1 gene:Ma04_g00640 transcript:Ma04_t00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDIGLAAAINILSAVAFLIAFAVLRLQPINDRVYFPKWYLKGVRGSPTRSGTFVHKFVNLNLRSYLRFLEWMPAALRMPEPELIDHAGLDSAVYLRIYLIGLKLFVPMAILSFAILVPVNWTNSGTLENSKVEYSDIDKLSISNIPSGSQRFWAHLVVAYAFTFWTCYVLLKEYEIVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHRDHYLTHQVVCNANKLAKLVKTKKQMQNWHDYYQLKYDRDPSKRPTRKTGFLGLCGDEVDAIEFYTSKIDELSEKEATEREMIKNNSKSIMPAAFVSFRTRWGAAVCAQTQQTQNPTLWLTEWAPEPRDVYWQNLAIPFVSLTVRRLIVAVAVFFLTFFFMIPIAFVQSLANIEGIEKVVPFLKPIIEEKTIKAFIQGFLPGIALKIFLILLPTILMLMSKFEGFTSLSVLQRRSASKYYLFILVNVFLGSIITGTAFQQLNSFIHQSATEIPKTIGVSIPQKATFFITYVMVDGWAGIAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIDFASSEPQIQLYFLLGLVYAVVTPFLLPFIIIFFALAYAVFRHQIINVYNQEYESGAAFWPDVHGRIITALIISQLLLLGLLSTKHAANSTPLLLVLPVLTISFHRFCKNRYEPAFVKYPLQEAMMKDTLEHATEPNLDLRAYLLNAYVHPLFKDEDDDDSFSGGGEQEYETKLVPTKRQSQKNTPVPSKYNGSSSPSLPEIVKEQL >Ma04_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:600258:621886:-1 gene:Ma04_g00640 transcript:Ma04_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDIGLAAAINILSAVAFLIAFAVLRLQPINDRVYFPKWYLKGVRGSPTRSGTFVHKFVNLNLRSYLRFLEWMPAALRMPEPELIDHAGLDSAVYLRIYLIGLKLFVPMAILSFAILVPVNWTNSGTLENSKVEYSDIDKLSISNIPSGSQRFWAHLVVAYAFTFWTCYVLLKEYEIVASMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHRDHYLTHQVVCNANKLAKLVKTKKQMQNWHDYYQLKYDRDPSKRPTRKTGFLGLCGDEVDAIEFYTSKIDELSEKEATEREMIKNNSKSIMPAAFVSFRTRWGAAVCAQTQQTQNPTLWLTEWAPEPRDVYWQNLAIPFVSLTVRRLIVAVAVFFLTFFFMIPIAFVQSLANIEGIEKVVPFLKPIIEEKTIKAFIQGFLPGIALKIFLILLPTILMLMSKFEGFTSLSVLQRRSASKYYLFILVNVFLGSIITGTAFQQLNSFIHQSATEIPKTIGVSIPQKATFFITYVMVDGWAGIAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGSIDFASSEPQIQLYFLLGLVYAVVTPFLLPFIIIFFALAYAVFRHQIINVYNQEYESGAAFWPDVHGRIITALIISQLLLLGLLSTKHAANSTPLLLVLPVLTISFHRFCKNRYEPAFVKYPLQEAMMKDTLEHATEPNLDLRAYLLNAYVHPLFKDEDDDDSFSGGGEQEYETKLVPTKRQSQKNTPVPSKYNGSSSPSLPEIVKEQL >Ma09_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35485679:35490568:1 gene:Ma09_g23730 transcript:Ma09_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNRNATDDDLRKSYRRLAMRWHPDKNPSNKKEAEAKFKQMSEAYEVLSDPHKRAIYDQHGEEGLKGMPPPGSQSAASNGSSGPSNFQFNPRDAEDIFAEIFGSSSPFGFESMNRSKSVRFQKDGSGTFGGFGRTDSTYRSYAEGAGPSGSQTRKAPVVENHLVCSLEDLYMGSKRKMKISRNVSRPNGRLVPETEILTIEIKPGWKKGTKITFPGKGNEQVNQLPADLVFIIDEKPHDVYKRDGSDLIVHQEISLVDALAGTTINLTTLDGRDLAINVSNVVYPGHQLIITEEGMPIAKEPGKKGNLIIKFDVKFPSRLTPQQQADIRRVLGA >Ma04_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:769111:775704:1 gene:Ma04_g00830 transcript:Ma04_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSGSCGDGDGGGRKRGWAWQFQRDVVAGAVMGGFVHTVVAPIERAKLLLQTQESNAALLLHDAGGPGRRFRGMLDCISRTAREEGVLSLWRGNGTGVLRYYPSVALNFSLKDAYRTILKGREADRFVSIAASNFMAGAAAGCTTLVIIYPLDIAHTRLAADVGRRDSRQFRGISHFLRTTYKKEGIRGIYRGLPASIHGMIVHRGLYFGGFDTAKDFLVPEDSALWKRWVTAQAVTTMAGLISYPLDTIRRRLMMQSGLEKPMYHNTLDCWRKIYRFEGLTSFYRGAISNMFRSTGAAAILVLYDEVKKLMKWGGF >Ma03_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8277689:8278513:-1 gene:Ma03_g10970 transcript:Ma03_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPQGLFLVLPLSLPSTPYCPRRPSLKSLPSHRLTVSNRGTNVKPRAIGCCHFYTARCTCRLQLMDLGDIYCLFKMHSKEHTKKKERGVL >Ma05_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23054344:23054872:1 gene:Ma05_g18240 transcript:Ma05_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYLTEGQSRMYHKATPQSSKKIYIYIYIYLFIYFFFFLFFFFL >Ma03_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11525148:11527887:-1 gene:Ma03_g14360 transcript:Ma03_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMDVVVGAGGREEETADENYVKGVRHLCESGISRVPAKYILPVPDRPQVAPHERKDNTGSNLRLPVIDVARLRTPDRGRVLECLDRACREYGFFQVVNHGMSSEALRRIMDVGRRFFGLPLEERSKYMTSDIRGPVRYGTSYNQIQDSVFCWRDFLKLSCHPLEEVLPFWPSAPMDLRDEAVSYAKQIKSLFCDIMAAVLEILGVNSGYLEEFDDGTHLVVINYYPPCPEPDLTLGMPPHSDYGFLTLLLQDHIKGLQVQLRGKWITVEPIPNSFVVNIGDHLEIFSNGRYKSVLHRVVVNSTKPRMSIASLHSLPFASVVSPSPELVDRENPRLYKDTDFAAFLDYISSHEPKRKSFLESRKLPPHEVRSKSQ >Ma03_p28460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31573531:31581030:-1 gene:Ma03_g28460 transcript:Ma03_t28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNNLGRSGLKVSQLSYGAWVTFGNQIDVKEAKSLLQCCRDNGVNFFDNAEVYANGRAEEIMGQAIRELGWRRSDLVISTKIFWGGPGPNDKGLSRKHLIEGTRASLRRLDMDYVDVLFCHRPDAATPIEETVRAMNHIIDRGWAFYWGTSEWSAQQITEAWAVAHRLDLVGPIVEQPEYNLLSRHKVEVEFLPLYSTYGLGLTTWSPLASGVLTGKYNKGNIPPDSRFALDNYKNLASRSLVDDTLRKVNGLKPIADELGVPLSQLAIAWCASNPHVSTVITGATKESQIVENIQALEVIPKLTPDVIEKIEAAVQSKPKRPESYR >Ma05_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5760737:5763044:1 gene:Ma05_g07850 transcript:Ma05_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPTEISSSKMFGGYNRRYRHFSPTLGCSMTFTVYVPPPSSPSQKFPILYWLSGLTCTDENFIMKSGAQRAASGEGIALVAPDTSPRGLNVEGEADSWDFGV >Ma02_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18777752:18779266:1 gene:Ma02_g08390 transcript:Ma02_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAKRQDEIGVREGLRLGPFFQKKMLSPCKYFTVSHILIIFTIKPIKNLEVTELPQY >Ma10_p24170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32865294:32872880:1 gene:Ma10_g24170 transcript:Ma10_t24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLRSVLRRNGLLPLFETCRLRDLLFFSSSVDPAAAVGGTISPDPHFMVEYLVNSCGFSPSEAAMFSEPLAHLRSTEKPDAVLNFMRSQGFDGAGIRKVISGDPRYLCCNVEKNLTPKFQFLRDLGLSESDIVDVIRNNDDILCRNVHRSFGPKLEIWESLLGSRELVLKHLKKSGWFFFSSVEKTLHPNLKFLRDECGIPEERFSLVLRSHPQLISQKPKSLRALVARADELGMPRQSRMFMWTLVVFHNVSQERFEAKVELMRSFGWSESEFSSAVRKAPTFLRVSVDMMRRKMEFFINIVGYTPSFIASQPTILLYGLQKRVIPRFRVLEMLITKGLGTRLGKFSYYVKLSNTKFREKIVLPYKEKVPELLDILRAGAEQ >Ma10_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32865294:32867090:1 gene:Ma10_g24170 transcript:Ma10_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLRSVLRRNGLLPLFETCRLRDLLFFSSSVDPAAAVGGTISPDPHFMVEYLVNSCGFSPSEAAMFSEPLAHLRSTEKPDAVLNFMRSQGFDGAGIRKVISGDPRYLCCNVEKNLTPKFQFLRDLGLSESDIVDVIRNNDDILCRNVHRSFGPKLEIWESLLGSRELVLKHLKKSGWFFFSSVEKTLHPNLKFLRDECGIPEERFSLVLRSHPQLISQKPKSLRALVARADELGMPRQSRMFMWTLVVFHNVSQERFEAKVELMRSFGWSESEFSSAVRKAPTFLRVSVDMMRRKMEFFINIVGYTPSFIASQPTILLYGLQKRVIPRFRVLEMLITKGLGTRLGKFSYYVKLSNTKFREKIVLPYKEKVPELLDILRAGAEQ >Ma02_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17713421:17715372:-1 gene:Ma02_g06810 transcript:Ma02_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDYAIRKNEPPTVINISTPTEVALYEQCERSNRLSEQIPVDEVFEQLKCTREGLSSAEGANRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAVMAIALANGGGKPPDWQDFVGIDVLLVINSTISFIEENNAGTAAATLMAGLAPKTKVWTGVWMFLVFNASHVFMVMEFTK >Ma03_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17646968:17649397:-1 gene:Ma03_g16050 transcript:Ma03_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASVITASSGSMYLVTSKIITHCSCRTFDHHGFYPSSKYHLKRQPIRHSIMKLQAAGKFAQPNKATSYFKDLADRIWEASPKSLKEFPWEEAKDMVLRQLFFLGKKALTWSFIGLYAISFLSDISLAISRDRELLVPIGLFVGVALADFIKESLQEFLKSRIEDGDPNTNLLGIGSFFVFVKFVSLCFKLQGKVLLSHIGNGGLMQVLWLVRKLQQTDDIKNQNAPSVNGPSPTSLINNTYKPVL >Ma08_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2008334:2009908:-1 gene:Ma08_g02680 transcript:Ma08_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLILSSGTPISPSRSRSGAHRRPASIGVSSSASADLPLRKIPGDYGLPFLGPLCDRLAYFYFEGRDQFFRSRIRRYGSTVFRVNVPPGPFVAPDPRVVALLDAASFPVLFDTSLVEKRDLFTGTFMPSTELTGGFRVLPYLDPAEPNHAQLKRLLFFLLGSRRHAVVPEFRRSFGFLFEAMEAEIAREGKADFSAANDRAAFDFLVRCFFGTDPAGSRLDLDGPGLINKWVLFQLGPLLKLGLPPYLEDFLLHSFRLPPALVRADYDRLTDFFRESAGPFLDEAKRFGVSREEALHNVMFATCFNAFSGMKVLFPSLIKWIGRAGARLHGRIAEEVRSAVRGTGNGEVTVRAVEAAMPLTRSVVYEALRVEPPMPLQYGRAKRDMVVASHDGRFEVRAGEVLFGYQPFATRDPRVFERAEEFVAARFVGAEGAALLRHVLWSNGPETEGPTTENKQCAGKDFVVTVARLLVVELFLRYDSFEIEVGASPLGSLVKLTSLKRATF >Ma06_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7007055:7009006:-1 gene:Ma06_g10090 transcript:Ma06_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSFINFMKIQDEAGNQRCIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEVLPMANLIAAYQRNEILEFEKILKSNRQTIMDDPFIRNYIEDLLKNIRTQVLFKLIKLYTRIRIPFISKELNVPEKDVEQLLVSLILDNCIQGHIDQVNRLLERSDRSKGLKKYTAIDKWNTQLRLLYQTISNRVS >Ma06_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1611967:1612890:1 gene:Ma06_g01990 transcript:Ma06_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVCAERHRLCCEKRALLNLDLPPRRLLQRPGLSVVLPEEEDEEEDGGEGSVDEEVDVYSSDEFRMYEFKVRRCMRGRSHDWTDCPFAHPGEKARRRDPRRYHYSGVVCPDFRRCGSCSRGDDCELAHGVFECWLHPARYRTMPCKDGRRCRRKVCFFAHFPRQLRVLPSHSNNDEKPSAPCYGFWSANDNSVAAAAFSPTSTLMSFSPPISPSVGELQLSGNDIKQRNMRGINRNNNPSGAMDYDGLCEELTNSLEAMELSASPVTSSAAAAPKEVRSLGLESNKSSSSSMCWPDLDWVNELLM >Ma00_p01060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3849132:3860686:1 gene:Ma00_g01060 transcript:Ma00_t01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSKGSAVVIDNGAGLCKAGFGGDMDPIAVVPNSLGRSANSKRWLVADELRAADADVTSVSLRRPFDRGYLVNPDLQREVWERVLRTLLRVDPARSSLLLVEPLFNPLAAQRTTDEIVFEDLGFRSLCVADSPSLVHLYEASRRTDCQSHSQCSLVVDCGFSFTHAAPVVHNFTLNYGVRRLDLGGKALTNYLKELVSYRSVNLMEETLIIDHAKEKLCFVSLDVPRDLRVARRSGKDNPFKCTYVLPDGIEHTKGYVKDFDEARRYLSLPYESSSTDSGLKEEMSPSQHNAEKSVEQRRIDLTQNEFTLTNERFLVPEMLFRPSDLGMNQAGLAECIVRAVNACHPLLHPVLFESIILTGGSSLFPRFAERLERDLRPLVPDDYQVKITSQEDPILGVWRGGSLLASSPEFESMCITKSEYEEIGSPRCRRRFFH >Ma00_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3849132:3860396:1 gene:Ma00_g01060 transcript:Ma00_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MSKGSAVVIDNGAGLCKAGFGGDMDPIAVVPNSLGRSANSKRWLVADELRAADADVTSVSLRRPFDRGYLVNPDLQREVWERVLRTLLRVDPARSSLLLVEPLFNPLAAQRTTDEIVFEDLGFRSLCVADSPSLVHLYEASRRTDCQSHSQCSLVVDCGFSFTHAAPVVHNFTLNYGVRRLDLGGKALTNYLKELVSYRSVNLMEETLIIDHAKEKLCFVSLDVPRDLRVARRSGKDNPFKCTYVLPDGIEHTKGYVKDFDEARRYLSLPYESSSTDSGLKEEMSPSQHNAEKSVEQRRIDLTQNEFTLTNERFLVPEMLFRPSDLGMNQAGLAECIVRAVNACHPLLHPVLFESIILTGGSSLFPRFAERLERDLRPLVPDDYQVKITSQEECMARWFAVGIQS >Ma05_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24264206:24264607:1 gene:Ma05_g18640 transcript:Ma05_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKAPKSLRIYESHVGMSSPV >Ma03_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25484892:25485965:1 gene:Ma03_g20340 transcript:Ma03_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALSSSSSSCASFGSFEEDVTAAAKPFPGAASVKFLCSYGGRILPRYPDGKLRYVGGDTRVLSVDRSVPFSELREKMRELCGWGAVSVRCQLPTEDLDALVSVKSDEDLTNVVEEYDLAGREKIRAFLFPPSNKGGGESPTHRATPISVAVPAERCVHQISAPARPAGRFEKPPAAGDLRHHGHGHHHHLHGRHAAPRPCNYLVHHGSHWQ >Ma06_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13239055:13241511:1 gene:Ma06_g19270 transcript:Ma06_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDTLSLGASTRVYRLEWVSSSRALEMENLLETLAEGKEETNQDDSGDLRSNTKDPLAAVIPSAPPLLESINLSSLPVEQEQHSPLPEAENSCFFSPVPMLECDAPLMPTTVENSSTKVSSATKQPSERREHLSPERSEARSMPSSLLSLRRKSMSVGFLRTLTARRTERLGEARADTDVQEGTGKDNYTACEQAKSEGRLCRVLFDNLCENEQDREECFASDKENATPMSGCRKTKRSYRVLQNSACVAEHSDAEVNTACSADKENWKHEVLSDLRPRNPTSESSVSSSKGDVTSGSDHKILKSITSAANLKKMSHSSIIRDSSDVSEELLYSDKVNRTPESCKDMKSRRVVGGNLAKAGDNDGALPSDKKEFSFSSQTRTEEAIAKKRVERIPFQPVLENSASESKSSACNCASIKDDLSVKCESDCSPRRSMGAVLHKAEARKIWNVVVDADCFLDEESRRSLQLLEGLKGTHLIVPRLVIRELDCLKRRESLFGGSTKLLASKALQWIEGCMVKTNWWIHVQSSAETMTVAPTPPVSPRTLSLPEIDSPSAEDHILDCALSFKKAKRDGQLVLLSNSITLKIKAMAEGLPCETPKEFRESLVNPFSKRFLWPNSSPRGSTWCCSDDVGMFEKCFRHQHHPPVRKVGRAAEAVKGLKLILLHGCHQHAHMSSK >Ma07_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20512039:20513677:-1 gene:Ma07_g17540 transcript:Ma07_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSKREISKHLPLGILTLSERIWARTLKAPNFFFSSSSSSSSSPSSSSSSLFLSLIIHAAAFSASLRTKAERLKRWDLSFCAFCSLVLVFIIFTIRSLGFTYRSSDSFFFFF >Ma02_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23958615:23961521:-1 gene:Ma02_g16720 transcript:Ma02_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKAAAGGRRAAASPSGDITVDSPGVERVRRSASRRRPSSASASFLHDGPPGLLGEDEGQDETRGIFDGGAAGPFSGNPRSFPYGVKLQCWDKAEKVKGRDPDRWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKMEVSKSELIQKSAYCRVSGRDMDLLELSAYGNVRRGPDSGGCNIQ >Ma08_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4669190:4673235:1 gene:Ma08_g06840 transcript:Ma08_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDGTGKYSGDSDLQLERINVYFNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQVFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYEEEEDEELAD >Ma04_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21360342:21364842:1 gene:Ma04_g18920 transcript:Ma04_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKSGTWIYPFICFYFLLASLEVDAYDVPMTLLHSAVAKGAVCLDGSPPAYHFSPGSGSGANNWLVHMEGGGWCRNVEECLERKNNFRGSSNHMKPLSFSGILGNSKKSNPDFYNWNRIKIRYCDGSSFTGDVERVDPATNLHYRGARVWLAIMEDLLLKGMNRAENALLSGCSAGGLASILHCDKFRSLLPASAKVKCFSDAGYFIDGKDISGTTSVRSLYNDVVNLHGSGKNLPSSCTSRLSPSMCFFPQNVVPKMSTPLFILNAAYDAWQIKNTLAPSSADPRKSWNACKLNIKSCSSDQLEKMQEFRSEFLRALPASGNPSTGMFIISCYAHCQSGSQDTWLGADSPMIDKMPIAKAVGDWFYGRSVVRKIDCPYPCNSSCRNRVYE >Ma04_p18920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21360157:21364842:1 gene:Ma04_g18920 transcript:Ma04_t18920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKSGTWIYPFICFYFLLASLEVDAYDVPMTLLHSAVAKGAVCLDGSPPAYHFSPGSGSGANNWLVHMEGGGWCRNVEECLERKNNFRGSSNHMKPLSFSGILGNSKKSNPDFYNWNRIKIRYCDGSSFTGDVERVDPATNLHYRGARVWLAIMEDLLLKGMNRAENALLSGCSAGGLASILHCDKFRSLLPASAKVKCFSDAGYFIDGKDISGTTSVRSLYNDVVNLHGSGKNLPSSCTSRLSPSMCFFPQNVVPKMSTPLFILNAAYDAWQIKNTLAPSSADPRKSWNACKLNIKSCSSDQLEKMQEFRSEFLRALPASGNPSTGMFIISCYAHCQSGSQDTWLGADSPMIDKMPIAKAVGDWFYGRSVVRKIDCPYPCNSSCRNRVYE >Ma06_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11317209:11319989:-1 gene:Ma06_g16680 transcript:Ma06_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKPSPLHPLSFFFLLLPLLLCLSARAKAKLCPPCGSTSVPFPLSTGAGCGDPSYKIRCDNATKSLFFDALNGSSYPVTSISTSTQRLVIRPAAFVSRSSCVTTDLSSQGIQLNSSLPFNVSSSNTIMLLNCTARLLLSPLNCSSNSLCHVYANGTSDASACRSLPICCTFVAGGSSTSYSIRASGTGCSAIRSFVNLDAVGTPVAQWGERAGVELQWASPREPVCGTQADCEAGSNATCRADPSSSGTIRRCFCIDSLRWDPFSGVCVNNVTISSSKSNHGPLIAGILSGLGAAAVVTVAGFLLYRRQRRIREARERLAKERQDILNANNSSGRSAKHFTAREIKRATSNFAHDNLLGSGGYGDVFKGILADGTPVAVKCAKLGNVKSTEQVLNEVRVLSQVNHRSLVRLLGCCVDLDQPLMVYEFIPNGTLFDHLHGLRPLLPWRRRLAIAHQTAEGLAYLHSSAMPPIYHRDVKSSNILLDDKLTAKVADFGLSRLAEPGISHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFNRGPDDVNLAVHVQRNVEEEKLMDTIDGALKEGATQVELDTMKALGFLAMGCLEEKRQNRPSMKEVAEEIEYIINILDAGGVEKRDSA >Ma04_p35420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34208778:34215633:-1 gene:Ma04_g35420 transcript:Ma04_t35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHCLDACGNLTLPRPPHLVVRRRYFWNPVSPLPLSFPERDRVSTGLRVSCSLAGGRRKEPIRAKKKQNVWSIDNDLASAKASAEDKTRKSRRRRGRRVRSAGRRGPGARVLVSGSMLMEVETVLQTQEPVIKPAWDTFASSVSGIWKGVGAVFSPFTAEIEPIGIGKQNENLYDCYTRSLIEKVSEGGIFSHIRRKTNWAALNPFGESKKHRAGDKTEAKDGVSEKETLDVTDKNSGLPSYDSFDLSRGEDLEEDVMDMEPGLVFFEDGSYSKGPVDLPVQGFDESKYFLSPTFKFEQCLVKGCHKRLRIVHTIELNEGGSNIQIIRVAVYEEEWVSPANIDVADDVQFDMKPFSQRKRTQPSELTGSWKVFEVSATPIFSDEPTAEGGLPFVYLCTETLKKRSLPESSVSFGEEEVLDMQDVTVLWLPGGVTGYVDVNKDGILTIGVGWYSDEGINLVMERDYGMDGKLKEVRWKSEVKRRWTDS >Ma05_p26050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37824308:37825451:-1 gene:Ma05_g26050 transcript:Ma05_t26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFIDEGFSCLEPCSVFMAMDSSMELLCQFPELNDTAMDHPSSGLMCYSDENSSSHQTDELLTAIVGNLTSLLPATAASAISFASNNSALSGTATKSAEANPKKKKKKKKKKNGCRRSSSTEEDMPKEVVHVRARRGQATNSHSLAERARREKINERMSCLQGLVPGCHKAMGIAAMLDEIINYVQSLQNQVQMLSMKLAVCESSLERMQAAATLQVMMMMILS >Ma05_p26050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37824459:37825451:-1 gene:Ma05_g26050 transcript:Ma05_t26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFIDEGFSCLEPCSVFMAMDSSMELLCQFPELNDTAMDHPSSGLMCYSDENSSSHQTDELLTAIVGNLTSLLPATAASAISFASNNSALSGTATKSAEANPKKKKKKKKKKNGCRRSSSTEEDMPKEVVHVRARRGQATNSHSLAERARREKINERMSCLQGLVPGCHKAMGIAAMLDEIINYVQSLQNQVQSL >Ma09_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31952733:31953778:1 gene:Ma09_g21170 transcript:Ma09_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPAVPLSPIDCAWPFAQWGLDLHGSFPPASGQRRYIVVGVDYFTKWAKAEPLATITERQVEKFVWKNIVTRFGLPEAIITDNGSQFTSARFQEFCANYGIQLMFSSMAHPQMNGLAEVTNRSILSGLKRRVLAAQSAWVDELPSILWSLRTTPKTATGESPYSLSFGTEAVLPPEMVFPNPRTASYDERVSAQGLRADLDLLEERRTNAHLKDLSYKRAIARIYNHRVRPRPIKLGDLVLRRAEANHKTHEYDEDKSSRIIIRSTLNL >Ma10_p30560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36837973:36841721:1 gene:Ma10_g30560 transcript:Ma10_t30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRNYPSIQRPRLRCFPWRKAAAAAASSSVELLSLRHFPQRTVDAAASSPSANGTTPGI >Ma05_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23293380:23300230:1 gene:Ma05_g18320 transcript:Ma05_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGINVPDRSPDGSTDVATRMNFDGEAIEGADNPKIVDWEDLQQELARLWSLSSALGKAKERKASLARRLESIIEVRKESIRQHNELEEMKQKLEVKRLALSSSSMHFNKTSENVKNQKDQLSAAIRMLLVAGKTLDAAHQQLQEANRLLSGERGYGNLKNLQKLLRLRQQHMIIQVSTLYPVKGMNEQAFGETIDSHSDGYSTGSPSPNESRPSHSSSLTILGLQLTILPSKKKFFGDKKEVQKSANALGYVAHAVSLISSYLDVPLRYPLRLGGSRSYIHDYAPLVEMTSSDLVANLASTSMTAKPTEFPLFLEGQYTTRATYAIFLLNKDLEQLLNYIGVQSLGPRHVLANLKELMRIIQSEEYICW >Ma05_p18320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23293538:23300230:1 gene:Ma05_g18320 transcript:Ma05_t18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLEVKRLALSSSSMHFNKTSENVKNQKDQLSAAIRMLLVAGKTLDAAHQQLQEANRLLSGERGYGNLKNLQKLLRLRQQHMIIQVSTLYPVKGMNEQAFGETIDSHSDGYSTGSPSPNESRPSHSSSLTILGLQLTILPSKKKFFGDKKEVQKSANALGYVAHAVSLISSYLDVPLRYPLRLGGSRSYIHDYAPLVEMTSSDLVANLASTSMTAKPTEFPLFLEGQYTTRATYAIFLLNKDLEQLLNYIGVQSLGPRHVLANLKELMRIIQSEEYICW >Ma04_p26790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28119341:28125531:1 gene:Ma04_g26790 transcript:Ma04_t26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVSSSVASCPKEKQKIYQEWFSVADKDGDGRITGNDALKFFAMSKLSRSELKQVWAIADSKRQGFLDLQEFINAMQLVALAQEGHEMTPDLLNHADLENLKPPVMEGLDIRLAKSKRSEKKSDPCSDVPPQPQSPASARWFNSKSAKKIPSKSVTSIIDGLKKLYIEKLKPLEVTYQFSDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSSYPGAHIGPEPTTDRFVVITSGPDERSIPGNTIAVQADMPYNGLTAFGTGFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLVLLLFDPYKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPINEAEHAVIGKLFEKEQEDLLSDLNDIPKKACDRRINEFVKRARAAKVHACIISHLKKEMPAMMGKAKAQQKLIDNLEEEFLKVQKEFHLAPGDFPNVDQFREVLGGYNIDKFEKMKHKMIQAVDDMLGYDIPELLKRFRNPYD >Ma03_p25810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29773033:29784248:1 gene:Ma03_g25810 transcript:Ma03_t25810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFAQPSSPFGSQSMFGQTSTSGTNPFSPKPFGSPNPFGSQTGSSIFGSTSTGVFGQPSTPAFGASPSPAFGSPMPAFGASSTPAFGSSSSSFGGPSLFGQKPAFGSFGSSSSLSSPFGSTFQQTQPAYGSNLFGSTSPFGASSQPAFGATTTPAFGSVTTPSFGATTSPAFGAPSMPAFGTTTPSFGSTSAPLFGTTGTSFGASSSPAFGSTMTPSFGTPTTPVFGSSSTPAFGASTAPTFGSPTVSAFGVSSAPSFSFGSTASFGQSASAFGSTPFGVSPSPFGVQSSPFGAQAMTPTFGSPGFGQSAFGVQPGGTRVAAYSPTPDIDGGTGSQPAGKLESVSAMPAYKDKSQEELRWEDYQRGDKGGPNPSGQPVGPLSFSSPSQPSPFGSTGAFHQSAANPFSSTAPSNPFVIKPPSFASSGFGSTSTSLFSSPFTTSSSSPFGSTSSTASLFGAPTGSAFGASSASSPFGGATTSAFGSTSLFGSSLSGSTSAFGTGLAFGNTQPSGLFQSSVSPFGQTSSPFGPSTGFQQTSSAFGSNLFSTQSTGFGGSLFSSSTPSLFPSSTPAGFGQTAPSLQTPFQSVPVAPTSSSFSFANFGQAYPTSSGGFGGISNIFNQGIFGQSASSQSNMVMQPAPVANPFGTLPAMPQMSIGRLGSTPSIQYGISSMPVADKPLPVRTSPLVVPRHRSQRSIRLPPRKYSPKTDGPRVPFFSDDEDTLSTPKADALFIPRENPRSLIIHPKEQWPSRSSLGKESILKGGPTNTANENGNVSEKPFAPTFKEPYAGDNDERGAENGHTREQIDTVMPLTEPLHETNRTDDDQLPKGTDSSYVKIYGQRPGEAATAYKHDAGIEALMPKLRHADYYTEPSIQELAAKELAEPGFYCHVRDFVVGRHGYGSIKFYGETDVRQLDLESIIQFNNREVIVYGDDSMKPPVGQGLNKPAEITLLNVKCMNKRTGQQYTEGPKVEKYKEMLTQKTQEQGAEFISFVPIKGEWKFKVIHFSCYGFLVDTEICRNRAGRS >Ma03_p25810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29773033:29784248:1 gene:Ma03_g25810 transcript:Ma03_t25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFAQPSSPFGSQSMFGQTSTSGTNPFSPKPFGSPNPFGSQTGSSIFGSTSTGVFGQPSTPAFGASPSPAFGSPMPAFGASSTPAFGSSSSSFGGPSLFGQKPAFGSFGSSSSLSSPFGSTFQQTQPAYGSNLFGSTSPFGASSQPAFGATTTPAFGSVTTPSFGATTSPAFGAPSMPAFGTTTPSFGSTSAPLFGTTGTSFGASSSPAFGSTMTPSFGTPTTPVFGSSSTPAFGASTAPTFGSPTVSAFGVSSAPSFSFGSTASFGQSASAFGSTPFGVSPSPFGVQSSPFGAQAMTPTFGSPGFGQSAFGVQPGGTRVAAYSPTPDIDGGTGSQPAGKLESVSAMPAYKDKSQEELRWEDYQRGDKGGPNPSGQPVGPLSFSSPSQPSPFGSTGAFHQSAANPFSSTAPSNPFVIKPPSFASSGFGSTSTSLFSSPFTTSSSSPFGSTSSTASLFGAPTGSAFGASSASSPFGGATTSAFGSTSLFGSSLSGSTSAFGTGLAFGNTQPSGLFQSSVSPFGQTSSPFGPSTGFQQTSSAFGSNLFSTQSTGFGGSLFSSSTPSLFPSSTPAGFGQTAPSLQTPFQSVPVAPTSSSFSFANFASSGGFGGISNIFNQGIFGQSASSQSNMVMQPAPVANPFGTLPAMPQMSIGRLGSTPSIQYGISSMPVADKPLPVRTSPLVVPRHRSQRSIRLPPRKYSPKTDGPRQVPFFSDDEDTLSTPKADALFIPRENPRSLIIHPKEQWPSRSSLGKESILKGGPTNTANENGNVSEKPFAPTFKEPYAGDNDERGAENGHTREQIDTVMPLTEPLHETNRTDDDQLPKGTDSSYVKIYGQRPGEAATAYKHDAGIEALMPKLRHADYYTEPSIQELAAKELAEPGFYCHVRDFVVGRHGYGSIKFYGETDVRQLDLESIIQFNNREVIVYGDDSMKPPVGQGLNKPAEITLLNVKCMNKRTGQQYTEGPKVEKYKEMLTQKTQEQGAEFISFVPIKGEWKFKVIHFSCYGFLVDTEICRNRAGRS >Ma03_p25810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29773246:29784248:1 gene:Ma03_g25810 transcript:Ma03_t25810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQTSTSGTNPFSPKPFGSPNPFGSQTGSSIFGSTSTGVFGQPSTPAFGASPSPAFGSPMPAFGASSTPAFGSSSSSFGGPSLFGQKPAFGSFGSSSSLSSPFGSTFQQTQPAYGSNLFGSTSPFGASSQPAFGATTTPAFGSVTTPSFGATTSPAFGAPSMPAFGTTTPSFGSTSAPLFGTTGTSFGASSSPAFGSTMTPSFGTPTTPVFGSSSTPAFGASTAPTFGSPTVSAFGVSSAPSFSFGSTASFGQSASAFGSTPFGVSPSPFGVQSSPFGAQAMTPTFGSPGFGQSAFGVQPGGTRVAAYSPTPDIDGGTGSQPAGKLESVSAMPAYKDKSQEELRWEDYQRGDKGGPNPSGQPVGPLSFSSPSQPSPFGSTGAFHQSAANPFSSTAPSNPFVIKPPSFASSGFGSTSTSLFSSPFTTSSSSPFGSTSSTASLFGAPTGSAFGASSASSPFGGATTSAFGSTSLFGSSLSGSTSAFGTGLAFGNTQPSGLFQSSVSPFGQTSSPFGPSTGFQQTSSAFGSNLFSTQSTGFGGSLFSSSTPSLFPSSTPAGFGQTAPSLQTPFQSVPVAPTSSSFSFANFGQAYPTSSGGFGGISNIFNQGIFGQSASSQSNMVMQPAPVANPFGTLPAMPQMSIGRLGSTPSIQYGISSMPVADKPLPVRTSPLVVPRHRSQRSIRLPPRKYSPKTDGPRVPFFSDDEDTLSTPKADALFIPRENPRSLIIHPKEQWPSRSSLGKESILKGGPTNTANENGNVSEKPFAPTFKEPYAGDNDERGAENGHTREQIDTVMPLTEPLHETNRTDDDQLPKGTDSSYVKIYGQRPGEAATAYKHDAGIEALMPKLRHADYYTEPSIQELAAKELAEPGFYCHVRDFVVGRHGYGSIKFYGETDVRQLDLESIIQFNNREVIVYGDDSMKPPVGQGLNKPAEITLLNVKCMNKRTGQQYTEGPKVEKYKEMLTQKTQEQGAEFISFVPIKGEWKFKVIHFSCYGFLVDTEICRNRAGRS >Ma03_p25810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29773033:29784248:1 gene:Ma03_g25810 transcript:Ma03_t25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFAQPSSPFGSQSMFGQTSTSGTNPFSPKPFGSPNPFGSQTGSSIFGSTSTGVFGQPSTPAFGASPSPAFGSPMPAFGASSTPAFGSSSSSFGGPSLFGQKPAFGSFGSSSSLSSPFGSTFQQTQPAYGSNLFGSTSPFGASSQPAFGATTTPAFGSVTTPSFGATTSPAFGAPSMPAFGTTTPSFGSTSAPLFGTTGTSFGASSSPAFGSTMTPSFGTPTTPVFGSSSTPAFGASTAPTFGSPTVSAFGVSSAPSFSFGSTASFGQSASAFGSTPFGVSPSPFGVQSSPFGAQAMTPTFGSPGFGQSAFGVQPGGTRVAAYSPTPDIDGGTGSQPAGKLESVSAMPAYKDKSQEELRWEDYQRGDKGGPNPSGQPVGPLSFSSPSQPSPFGSTGAFHQSAANPFSSTAPSNPFVIKPPSFASSGFGSTSTSLFSSPFTTSSSSPFGSTSSTASLFGAPTGSAFGASSASSPFGGATTSAFGSTSLFGSSLSGSTSAFGTGLAFGNTQPSGLFQSSVSPFGQTSSPFGPSTGFQQTSSAFGSNLFSTQSTGFGGSLFSSSTPSLFPSSTPAGFGQTAPSLQTPFQSVPVAPTSSSFSFANFGQAYPTSSGGFGGISNIFNQGIFGQSASSQSNMVMQPAPVANPFGTLPAMPQMSIGRLGSTPSIQYGISSMPVADKPLPVRTSPLVVPRHRSQRSIRLPPRKYSPKTDGPRQVPFFSDDEDTLSTPKADALFIPRENPRSLIIHPKEQWPSRSSLGKESILKGGPTNTANENGNVSEKPFAPTFKEPYAGDNDERGAENGHTREQIDTVMPLTEPLHETNRTDDDQLPKGTDSSYVKIYGQRPGEAATAYKHDAGIEALMPKLRHADYYTEPSIQELAAKELAEPGFYCHVRDFVVGRHGYGSIKFYGETDVRQLDLESIIQFNNREVIVYGDDSMKPPVGQGLNKPAEITLLNVKCMNKRTGQQYTEGPKVEKYKEMLTQKTQEQGAEFISFVPIKGEWKFKVIHFSCYGFLVDTEICRNRAGRS >Ma09_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33063337:33063708:1 gene:Ma09_g21630 transcript:Ma09_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTSLYVEADDPDFPRGGGVVPSPNEEAGARMEAEDVFERGKKDSRKKAKTGKKGSKRPPADIEDDLTSFFGNDVRFDPECPLRYEFSLNP >Ma07_p04960.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3621170:3625280:1 gene:Ma07_g04960 transcript:Ma07_t04960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNSHGVNGLIEQHVDLEDDSINFWTTLGVSPHVHVVEPEHHLVPQHQHHHIEQQQQQQQQQPLELVEQSVRRELFAVEGDPRIEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLVKEHNHEVGMPSRVHYIATEGDAVMDPYIGMEFESLEVAKTFYYAYASRVGFEARVRQSRRSLHDESLKMLKLVCSKHRYHSGRDNGSDENKRVQNQDPSKEGCDALFEIIRKDSDIWIVSKLVLEHNHELKPSPPSKVRCIRSQGEILVIAKNFADTRNLLLNGQGSQYPREIRYNDFGPDDAQSLLEYFKKTQIENPAFYYAVHIENNNCMTNIFWADSKARMAYYYFGDAVRFETKYRNDKELMPIVMFTGVNHHLQPVVFGCALLVDETEASFAWLFENWLAAMPALPPVSLITELNRTITSAVAKALPQTRHCFCKAHVLSTIQDELPDLFSEHVPFEGELKTCIDESETTELFESCWVALINKYGLKENAYMQSLYNIRQQWVPVFVKQTFLAEVPGSQRCGNFDKVIEKYFTTKTLLRVAVRQLSQMLASQYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEEDDEAYSVYFNVTENKAHCGCCMFEFSGILCRHALRVFIVNGVRTLPNDYILKRWTKHAKSGSVLDDYGIELRGYSDDPSIARYNDLCRDAIRCAREGATSTEFYTVAKDSLQKAVNEIVSTKQKRGQQTLQSFIASQKKQTKKVAKITPSKDASSKNLRKLTSIKLLSENDIR >Ma07_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3621170:3625310:1 gene:Ma07_g04960 transcript:Ma07_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNSHGVNGLIEQHVDLEDDSINFWTTLGVSPHVHVVEPEHHLVPQHQHHHIEQQQQQQQQQPLELVEQSVRRELFAVEGDPRIEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLVKEHNHEVGMPSRVHYIATEGDAVMDPYIGMEFESLEVAKTFYYAYASRVGFEARVRQSRRSLHDESLKMLKLVCSKHRYHSGRDNGSDENKRVQNQDPSKEGCDALFEIIRKDSDIWIVSKLVLEHNHELKPSPPSKVRCIRSQGEILVIAKNFADTRNLLLNGQGSQYPREIRYNDFGPDDAQSLLEYFKKTQIENPAFYYAVHIENNNCMTNIFWADSKARMAYYYFGDAVRFETKYRNDKELMPIVMFTGVNHHLQPVVFGCALLVDETEASFAWLFENWLAAMPALPPVSLITELNRTITSAVAKALPQTRHCFCKAHVLSTIQDELPDLFSEHVPFEGELKTCIDESETTELFESCWVALINKYGLKENAYMQSLYNIRQQWVPVFVKQTFLAEVPGSQRCGNFDKVIEKYFTTKTLLRVAVRQLSQMLASQYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEEDDEAYSVYFNVTENKAHCGCCMFEFSGILCRHALRVFIVNGVRTLPNDYILKRWTKHAKSGSVLDDYGIELRGYSDDPSIARYNDLCRDAIRCAREGATSTEFYTVAKDSLQKAVNEIVSTKQKRGQQTLQSFIASQKKQTKKVAKITPSKDASSKNLRKLTSIKLLSENDIR >Ma07_p04960.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3621170:3624596:1 gene:Ma07_g04960 transcript:Ma07_t04960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNSHGVNGLIEQHVDLEDDSINFWTTLGVSPHVHVVEPEHHLVPQHQHHHIEQQQQQQQQQPLELVEQSVRRELFAVEGDPRIEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLVKEHNHEVGMPSRVHYIATEGDAVMDPYIGMEFESLEVAKTFYYAYASRVGFEARVRQSRRSLHDESLKMLKLVCSKHRYHSGRDNGSDENKRVQNQDPSKEGCDALFEIIRKDSDIWIVSKLVLEHNHELKPSPPSKVRCIRSQGEILVIAKNFADTRNLLLNGQGSQYPREIRYNDFGPDDAQSLLEYFKKTQIENPAFYYAVHIENNNCMTNIFWADSKARMAYYYFGDAVRFETKYRNDKELMPIVMFTGVNHHLQPVVFGCALLVDETEASFAWLFENWLAAMPALPPVSLITELNRTITSAVAKALPQTRHCFCKAHVLSTIQDELPDLFSEHVPFEGELKTCIDESETTELFESCWVALINKYGLKENAYMQSLYNIRQQWVPVFVKQTFLAEVPGSQRCGNFDKVIEKYFTTKTLLRVAVRQLSQMLASQYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEEDDEAYSVYFNVTENKAHCGCCMFEFSGILCRHALRVFIVNGVRTLPNDYILKRWTKHAKSGSVLDDYGIELRGYSDDPSIARYNDLCRDAIRCAREGATSTEFYTVAKDSLQKAVNEIVSTKQKRGQQTLQSFIASQKKQTKKVAKITPSKDASSKNLRKLTSIKLLSENDIR >Ma07_p04960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3621170:3625458:1 gene:Ma07_g04960 transcript:Ma07_t04960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNSHGVNGLIEQHVDLEDDSINFWTTLGVSPHVHVVEPEHHLVPQHQHHHIEQQQQQQQQQPLELVEQSVRRELFAVEGDPRIEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLVKEHNHEVGMPSRVHYIATEGDAVMDPYIGMEFESLEVAKTFYYAYASRVGFEARVRQSRRSLHDESLKMLKLVCSKHRYHSGRDNGSDENKRVQNQDPSKEGCDALFEIIRKDSDIWIVSKLVLEHNHELKPSPPSKVRCIRSQGEILVIAKNFADTRNLLLNGQGSQYPREIRYNDFGPDDAQSLLEYFKKTQIENPAFYYAVHIENNNCMTNIFWADSKARMAYYYFGDAVRFETKYRNDKELMPIVMFTGVNHHLQPVVFGCALLVDETEASFAWLFENWLAAMPALPPVSLITELNRTITSAVAKALPQTRHCFCKAHVLSTIQDELPDLFSEHVPFEGELKTCIDESETTELFESCWVALINKYGLKENAYMQSLYNIRQQWVPVFVKQTFLAEVPGSQRCGNFDKVIEKYFTTKTLLRVAVRQLSQMLASQYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEEDDEAYSVYFNVTENKAHCGCCMFEFSGILCRHALRVFIVNGVRTLPNDYILKRWTKHAKSGSVLDDYGIELRGYSDDPSIARYNDLCRDAIRCAREGATSTEFYTVAKDSLQKAVNEIVSTKQKRGQQTLQSFIASQKKQTKKVAKITPSKDASSKNLRKLTSIKLLSENDIR >Ma07_p04960.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3621170:3625280:1 gene:Ma07_g04960 transcript:Ma07_t04960.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNSHGVNGLIEQHVDLEDDSINFWTTLGVSPHVHVVEPEHHLVPQHQHHHIEQQQQQQQQQPLELVEQSVRRELFAVEGDPRIEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLVKEHNHEVGMPSRVHYIATEGDAVMDPYIGMEFESLEVAKTFYYAYASRVGFEARVRQSRRSLHDESLKMLKLVCSKHRYHSGRDNGSDENKRVQNQDPSKEGCDALFEIIRKDSDIWIVSKLVLEHNHELKPSPPSKVRCIRSQGEILVIAKNFADTRNLLLNGQGSQYPREIRYNDFGPDDAQSLLEYFKKTQIENPAFYYAVHIENNNCMTNIFWADSKARMAYYYFGDAVRFETKYRNDKELMPIVMFTGVNHHLQPVVFGCALLVDETEASFAWLFENWLAAMPALPPVSLITELNRTITSAVAKALPQTRHCFCKAHVLSTIQDELPDLFSEHVPFEGELKTCIDESETTELFESCWVALINKYGLKENAYMQSLYNIRQQWVPVFVKQTFLAEVPGSQRCGNFDKVIEKYFTTKTLLRVAVRQLSQMLASQYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEEDDEAYSVYFNVTENKAHCGCCMFEFSGILCRHALRVFIVNGVRTLPNDYILKRWTKHAKSGSVLDDYGIELRGYSDDPSIARYNDLCRDAIRCAREGATSTEFYTVAKDSLQKAVNEIVSTKQKRGQQTLQSFIASQKKQTKKVAKITPSKDASSKNLRKLTSIKLLSENDIR >Ma07_p04960.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3621170:3625279:1 gene:Ma07_g04960 transcript:Ma07_t04960.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNSHGVNGLIEQHVDLEDDSINFWTTLGVSPHVHVVEPEHHLVPQHQHHHIEQQQQQQQQQPLELVEQSVRRELFAVEGDPRIEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLVKEHNHEVGMPSRVHYIATEGDAVMDPYIGMEFESLEVAKTFYYAYASRVGFEARVRQSRRSLHDESLKMLKLVCSKHRYHSGRDNGSDENKRVQNQDPSKEGCDALFEIIRKDSDIWIVSKLVLEHNHELKPSPPSKVRCIRSQGEILVIAKNFADTRNLLLNGQGSQYPREIRYNDFGPDDAQSLLEYFKKTQIENPAFYYAVHIENNNCMTNIFWADSKARMAYYYFGDAVRFETKYRNDKELMPIVMFTGVNHHLQPVVFGCALLVDETEASFAWLFENWLAAMPALPPVSLITELNRTITSAVAKALPQTRHCFCKAHVLSTIQDELPDLFSEHVPFEGELKTCIDESETTELFESCWVALINKYGLKENAYMQSLYNIRQQWVPVFVKQTFLAEVPGSQRCGNFDKVIEKYFTTKTLLRVAVRQLSQMLASQYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEEDDEAYSVYFNVTENKAHCGCCMFEFSGILCRHALRVFIVNGVRTLPNDYILKRWTKHAKSGSVLDDYGIELRGYSDDPSIARYNDLCRDAIRCAREGATSTEFYTVAKDSLQKAVNEIVSTKQKRGQQTLQSFIASQKKQTKKVAKITPSKDASSKNLRKLTSIKLLSENDIR >Ma07_p04960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3621170:3625280:1 gene:Ma07_g04960 transcript:Ma07_t04960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNSHGVNGLIEQHVDLEDDSINFWTTLGVSPHVHVVEPEHHLVPQHQHHHIEQQQQQQQQQPLELVEQSVRRELFAVEGDPRIEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLVKEHNHEVGMPSRVHYIATEGDAVMDPYIGMEFESLEVAKTFYYAYASRVGFEARVRQSRRSLHDESLKMLKLVCSKHRYHSGRDNGSDENKRVQNQDPSKEGCDALFEIIRKDSDIWIVSKLVLEHNHELKPSPPSKVRCIRSQGEILVIAKNFADTRNLLLNGQGSQYPREIRYNDFGPDDAQSLLEYFKKTQIENPAFYYAVHIENNNCMTNIFWADSKARMAYYYFGDAVRFETKYRNDKELMPIVMFTGVNHHLQPVVFGCALLVDETEASFAWLFENWLAAMPALPPVSLITELNRTITSAVAKALPQTRHCFCKAHVLSTIQDELPDLFSEHVPFEGELKTCIDESETTELFESCWVALINKYGLKENAYMQSLYNIRQQWVPVFVKQTFLAEVPGSQRCGNFDKVIEKYFTTKTLLRVAVRQLSQMLASQYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEEDDEAYSVYFNVTENKAHCGCCMFEFSGILCRHALRVFIVNGVRTLPNDYILKRWTKHAKSGSVLDDYGIELRGYSDDPSIARYNDLCRDAIRCAREGATSTEFYTVAKDSLQKAVNEIVSTKQKRGQQTLQSFIASQKKQTKKVAKITPSKDASSKNLRKLTSIKLLSENDIR >Ma07_p04960.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3621355:3625280:1 gene:Ma07_g04960 transcript:Ma07_t04960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNSHGVNGLIEQHVDLEDDSINFWTTLGVSPHVHVVEPEHHLVPQHQHHHIEQQQQQQQQQPLELVEQSVRRELFAVEGDPRIEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLVKEHNHEVGMPSRVHYIATEGDAVMDPYIGMEFESLEVAKTFYYAYASRVGFEARVRQSRRSLHDESLKMLKLVCSKHRYHSGRDNGSDENKRVQNQDPSKEGCDALFEIIRKDSDIWIVSKLVLEHNHELKPSPPSKVRCIRSQGEILVIAKNFADTRNLLLNGQGSQYPREIRYNDFGPDDAQSLLEYFKKTQIENPAFYYAVHIENNNCMTNIFWADSKARMAYYYFGDAVRFETKYRNDKELMPIVMFTGVNHHLQPVVFGCALLVDETEASFAWLFENWLAAMPALPPVSLITELNRTITSAVAKALPQTRHCFCKAHVLSTIQDELPDLFSEHVPFEGELKTCIDESETTELFESCWVALINKYGLKENAYMQSLYNIRQQWVPVFVKQTFLAEVPGSQRCGNFDKVIEKYFTTKTLLRVAVRQLSQMLASQYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEEDDEAYSVYFNVTENKAHCGCCMFEFSGILCRHALRVFIVNGVRTLPNDYILKRWTKHAKSGSVLDDYGIELRGYSDDPSIARYNDLCRDAIRCAREGATSTEFYTVAKDSLQKAVNEIVSTKQKRGQQTLQSFIASQKKQTKKVAKITPSKDASSKNLRKLTSIKLLSENDIR >Ma05_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36489397:36490526:1 gene:Ma05_g24220 transcript:Ma05_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSAMRRASPRARRGSRGMVSLRERKPLQHLELSKLRKLKKVVPGCRSVGLQALLQRTADYISFLKLKATVLKKILSHHGV >Ma06_p35860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35578646:35585735:-1 gene:Ma06_g35860 transcript:Ma06_t35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05930 [Source:Projected from Arabidopsis thaliana (AT5G05930) UniProtKB/TrEMBL;Acc:Q8L870] MVLWPFRLIADKLVKMIGGRAARHNAGGDEEEVEANEGRLFSFRFDRPVSCRSRFVDVPHVRQRFDWDCGLACVLMVLRTIGLEQYDIHDLEKLCRTTSIWTVDLAYLLHKFSVDFSFLTVTLGANPDYSAESYYREQLQDDIGRVDGLFEKALEAGISIQCRSISAKDISWLILSGQCVAVALVNKIKLSQSWTKDAPVAECYMSNTDYMGHYVVICGYDGDNGEFEIRDPASSRKYEKVSMKCLDEARKSYGTDEDILLVSLNGRECENLSPKL >Ma02_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23014094:23020070:-1 gene:Ma02_g15230 transcript:Ma02_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVAIGSALDMPIMHDSDRYELVRDIGSGNFGIARLMRDKQTRELVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLHKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTLERILTVQYSIPDYIYVSPECRQLISRIFVANPATRITIPEIRNHEWFLRNLPADLMDDNRTSNQYEEPDQPMQSIDEIMQILAEATIPAAGTRGLNQYLTDGLDIDDDYDDMEDLDSDPDLDVDSSGEMIYAM >Ma10_p27140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34539560:34543862:1 gene:Ma10_g27140 transcript:Ma10_t27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALPLAYASSVVHPFEAGRLSFSTKATKHKKQIYQFRKVTKVLAYYGLTNPPYKLDALEPYMSRRTLELHWGNIHRGYVDSLNKQLANSPLYGCTMEELIKTTFNNGNPLPEYNNAAEVWNHDFFWESMQPNGGRLPWGGVLDQIEKDFGSFSNFRDEFVHSAMMLFGSGWVWLVLKTNERRLSIVRTSNALCPLVWGDIPIISLDMWEHAYYLDYKDDVSKYVNNFMDHLISWHSATARMVRTEAFVNLGEPKIPVA >Ma08_p26450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39010748:39018244:-1 gene:Ma08_g26450 transcript:Ma08_t26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRTSSVGRVSSILVAAFLFLQQVTVIARNASAWLTLTGNAPAIVAKGGFSGLFPDSSHDAYSFALIASSPDTILWCDVRLTKDGLGICLPDIKLDNCTDISNIYPNGQNVYLVNGVNTTGWFSVDYSIQELSTVAVTQSIYSRTFRFDFNNYAILAVEDVVTNFEPPGLWLNIQHDIFYGQHNLSMTEYVLSVSKRVPVNYLSSPELAFLSSIAADFSNSDTKLVFRFLGKDIAEPSTSQTYGSLLNNLTYIKTFVSGILVPKSYIWPVTADNYLLPYTSIVKDAHKLGLEIYAADFANDNTFSYNYSYDPLAEYLSFVDNGVFSVDGVVTDFPITPSEAIGCFSHINKSVIDHGKPLIISHNGASGDYPDCTDWSYQKAVDDGSDFIDCPVQVTQDGIAICMSSIDLIVDTTVTKSPFASRLSSIPEIQNTPGIFTFNLTWDEIQKNLKPIISNPESKYQLVRNPRYANAGSFMRLSDFLAYAKDKPLGGVLISVEYAAFMAEQLGFSVIDMVISALSDAGHNNQTAFEVMILSTNSSVLVEFRQKTKFKLVYKIDESIRDAVASSIVEIKEFADAVAIDRQSVYPVTQQFTTRQTDIVSKLQNAGLAVYVYLFQNEFVAQPWDFFSDATVEINTYVQGAGVDGIITDFPATASTYKRNSCRKMGKNAPSYMSPAQGGGLLQLISPQSLPPALSPMPVLDVSDVVEPPLPPVAPKLALNPAAADIAPSPSQLSGALRLVSSLFVSLALACVSLLLV >Ma05_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6513538:6518694:-1 gene:Ma05_g08870 transcript:Ma05_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKREAASTRPPNPALPYREDCWSEGETSALVDAWGDRYVELNRGNLRQKQWQEVADAVNSRSGAGRRPPRTDVQCKNRIDTLKKKYKVEKARIAGGGESQWPFFSRLEALVGSAPPPAAKKPSASPPLALPLPSHRKGSALPAALALRPAEPREKRTAAATSSFPVDNPFFRRAAAAAAAAAEDDEDEDEDEDDEGSASLSRSSSRSGKKPKRGREGEGNGIRELARAIMSFAEIYERVEESKQRQMMELEKQRMEFAKALEFQKMQIIVDLQVQLAKIKRAKRSDTDSYS >Ma09_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31349908:31358477:1 gene:Ma09_g20950 transcript:Ma09_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKGSSSRKNFGLLVSHVERPQPSVIVIGGGISGIAAARALSNASFNVILLESRNRLGGRVHTDHSFGCPVDMGASWLHGVCNENSLAPLIRGLGLRLYRTSGDNSVLYDHDLESYALFDKDGNQVPQQVVTKVGEAFERILKETEKVRNEHVVDMSLSQAISFVLERYPQLRQEGMAHEVLQWFICRLEAWFAADADTISLKNWDQEHVLSGGHGLMLQGYYPVIQALSEDLDIRLNHRVKKIAQCNNRVIVTVEDGNTFVADAAIITVPLGVLKANLIEFEPKLPEWKLSAISDIGVGIENKIALRFSNVFWPNVEFLGLVAQKSYACGYFLNLHKATGHPILVYMAAGRFAYDMEKLSDEEAVNFVMLQLKKMIPDATDPIQHLVSHWGTDGDSLGSYSCDLVGKPADIYERFCAPVDNLYFAGEAASADHSGSVHGAYTSGVMAAEVCQRHLSVQHGISDLFQLVMREELSEAMVPLQISRM >Ma09_p20950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31349939:31358477:1 gene:Ma09_g20950 transcript:Ma09_t20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKGSSSRKNFGIGGLLVSHVERPQPSVIVIGGGISGIAAARALSNASFNVILLESRNRLGGRVHTDHSFGCPVDMGASWLHGVCNENSLAPLIRGLGLRLYRTSGDNSVLYDHDLESYALFDKDGNQVPQQVVTKVGEAFERILKETEKVRNEHVVDMSLSQAISFVLERYPQLRQEGMAHEVLQWFICRLEAWFAADADTISLKNWDQEHVLSGGHGLMLQGYYPVIQALSEDLDIRLNHRVKKIAQCNNRVIVTVEDGNTFVADAAIITVPLGVLKANLIEFEPKLPEWKLSAISDIGVGIENKIALRFSNVFWPNVEFLGLVAQKSYACGYFLNLHKATGHPILVYMAAGRFAYDMEKLSDEEAVNFVMLQLKKMIPDATDPIQHLVSHWGTDGDSLGSYSCDLVGKPADIYERFCAPVDNLYFAGEAASADHSGSVHGAYTSGVMAAEVCQRHLSVQHGISDLFQLVMREELSEAMVPLQISRM >Ma08_p34170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44276791:44278006:1 gene:Ma08_g34170 transcript:Ma08_t34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPGLTDPSHGVREMSSGSSDPSSSTSSGDSQIKRCADCRTTTTPLWRAGPCGPKSLCNACGIRYRKSRRAVPVSKEAGTGMRKTKREIDGGSGGERFEVSFKLRMLGPGLCKPRSMVQKQRRRSMLGEVEEAAVLLMALSSGFLHA >Ma10_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31428673:31429826:1 gene:Ma10_g21820 transcript:Ma10_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAWDLSFLLRLLAHAEEQTRQLEANLGDASAADHCRSLVQQISSDLKEAVSVARLMDFEGPQQPAWQGNAAVDLPRWSSEGLWSENSETVLKEQERREMCKKRKTLPKWTIQVQVSGSQAGGVPEDGYSWRKYGQKEILGTRHRRGYYRCTRSNSVGCLATKQVQRSDRDPCVFHVTYRGEHTCLDNLQARLHDEAPTILEARHHLQDQQLPLCPKTSFMEMQDHNLVSSFSFPSTPLSSFEPKNQIFSYTNPMENDHSSSFSSPFMSPPTSESNYFAVSPCQRSSYGGGTADAAFKPPPHVEFDPIFSIDTSKFF >Ma00_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:24635574:24636674:-1 gene:Ma00_g03080 transcript:Ma00_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTFFLIRILYFHVFTISDDIVLNHLKCIFELSYKWKEGSRIGIARIRGYADAAHTPLLFTTTPALAIRKALLNTGLEASQVDYYEINEAFSVVALAIRSFFHSLLIKLMFMVGLPPWTPSRLQWNSHTGHLARGIETKKW >Ma08_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8368665:8379417:1 gene:Ma08_g11320 transcript:Ma08_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSDRFGEKVEFKLSNLQAIKVPRGWEKLFLSIVSVDSGKTIAKTGKSTVRSGNCQWTGTESIWVSQDDVSKELEECHFKIVVSPASARSIILGEVTLNVADYLGKEESGPLFLPLKKCDSGTTLQVKIQCVTPKSKFRVGKSWKDTTSHLEDHSNIDDLDSKSDGSDNLFNRNLGSSSSNHLANTSYPEEPGNRETCFSASGSHRSSDSGDSFGRTAFSPKNSLNGGQYIGRLDSSGSQISATYSTGPGDEILRSNPSSFNSRASGSSLHTNNWQDITQRTSNNGLATPSLRPTGSSKDLLEASEEIEELHDEVKMWERHSRQLKLDLEILKKEISEKSKHQADLDRQLSAAHNERDSLKQEVKHLKAALEESMSNRTDVSNVKNEDMVRVQMELEDELNFQKDSNVNLTQQLKKTQESNIELVAILQELEEITEKQKLELANLSQQNHVDKHEGHRSQKSFDNEAEWERKLALKEEEIAILEEKLSNIANNDKMSSGRNPDLIREVEVLTSKVNELERDCAELTDENLDLIFKLKELSKDVRKGNHTHGSRSTDFHDHISSNNSEYENGLLISQIHDFEDELIRKEAMCGPLSSKLKDLEKVSADLERELQHYKDEASDLEIRLHQRQRKLEEKDLELYNLQQKIKSSLETDLEGFNTFAMKGFEEVESFGCSDMQNVVSEMDKQIRLALTQVRSLHSNDSSDADKARGSDIDLTFPGVDHIDQKNQLECITKSLHELNALLRENVVRCNPISRGASSGLSQRSSNDTEAPEQLKDESSTTQEPEDEFQSTLLLKEKEIDRLGHSNKELADLISSLQKEKCQLEEDLASLRQENIDNSKYLQDMEHDLLVLTGSVESHVSVNKTLERKSVELESCNKELELHVSELEQENVKLAERISGLEAQLRYLTNEKESNRLELEGTRSLAADLKDEVEQQKAEMEMQKAELKQKLQETQKRLSVALEESDYSSRSNSKLQATIASLIEECSSLQKLNGDLKKQKLEFHERITHLEIELDESKKKNFDFCKQVDLLEIKLSLLQKDVALKEKSLLSQLEHIFQDHKEHEERIDKAHSLLNKIELEKTVEVENLRKEMSNLTAQMSSNHDDQEKITSDAVHEASILRSDKVKLECSLQEVNSKAKLYETDLQTLRQESKNKIQGLVDLLNASKQSEEMLMADIEHIQRTMDSVKSSEEKHRKMVNDLELKLKSSDYEKQQVMEESTGLKLQLQKLSELQNSVLDLKGSLDGADFEKRKLEELLKSVSEEYEELKAEKVSLTEKVSNMQKALCNSEDDKRSRVVLQEKLLRLESDLSIKEASYAQEAEFKNELNRIKRTNSEYQRKVQSLEQENLELMKKVQIMEKDLMLRKASCQDEKVSSEDDDKPHSHLEGPHCSKEVHEPERLLLETKHADAVEADNMDEVQHKRVVSGKEADHLLKNNVNEHTDKISSLEAELREMKERYLNMSLRYAEVEAQREGLVMQLKSMKKEKRWFS >Ma04_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7566723:7570338:-1 gene:Ma04_g10650 transcript:Ma04_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT5G43850) UniProtKB/Swiss-Prot;Acc:Q8H185] MWTKVIFHLYGHRLVKDEHHKLIRHTRGSRSFVATNKIQGRGIMWNRIYPSNKYGMVGCGSIHHPQPKDLRNLEPRTLISHQQIPVMAPEAWLIDESDEDQRLPHHRNPKEFVSLSKLQEIGVLYWHLDPKNYESSEELKKIREDRGYNYMDLLELCPGKVENYEEKLKNFYREHIHADEEIRYCLEGSGYFDVRDKDDTWIRIWIKEGDMIVLPAGIYHRFTLDTSNYVKLMRLFIGEPVWAAYNRPQEDHPARQVYIKTLESSGVAVKAH >Ma04_p10650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7563689:7570338:-1 gene:Ma04_g10650 transcript:Ma04_t10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT5G43850) UniProtKB/Swiss-Prot;Acc:Q8H185] MWTKVIFHLYGHRLVKDEHHKLIRHTRGSRSFVATNKIQGRGIMWNRIYPSNKYGMVGCGSIHHPQPKDLRNLEPRTLISHQQIPVMAPEAWLIDESDEDQRLPHHRNPKEFVSLSKLQEIGVLYWHLDPKNYESSEELKKIREDRGYNYMDLLELCPGKVENYEEKLKNFYREHIHADEEIRYCLEGSGYFDVRDKDDTWIRIWIKEGDMIVLPAGIYHRFTLDTSNYVKLMRLFIGEPVWAAYNRPQEDHPARQVYIKTLESSGVAVKAH >Ma06_p27640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29567325:29571360:1 gene:Ma06_g27640 transcript:Ma06_t27640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSMDSQKVRIALEEKGIDYTSYHVNPLTGKNMDVPFFRINPSAKLPVFQNGSHIIFHAIDIIQYIERLSVSLNGEENPISSKVMEWVERIESWNPKLFTLTHIPDKYRLFVSKFIRRVVISRMVEAPDLACLYHVKLREAYETEDNLKGPMNLQRSEEKLSSLLDDAEIQLSATTYLVGEDFTTADSMFVPILARITLLDLEEKYISCRPKITAYYDLVKRRPSYKKVIGKYFAGWRKYRTLLKTMCFLCIRSMFGKY >Ma06_p27640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29567340:29571360:1 gene:Ma06_g27640 transcript:Ma06_t27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSMDSQKVRIALEEKGIDYTSYHVNPLTGKNMDVPFFRINPSAKLPVFQNGSHIIFHAIDIIQYIERLSVSLNGEENPISSKVMEWVERIESWNPKLFTLTHIPDKYRLFVSKFIRRVVISRMVEAPDLACLYHVKLREAYETEDNLKGPMNLQRSEEKLSSLLDDAEIQLSATTYLVGEDFTTADSMFVPILARITLLDLEEKYISCRPKITAYYDLVKRRPSYKKVIGKYFAGWRKYRTLLKTMCFLCIRSMFGKY >Ma04_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10559805:10561826:-1 gene:Ma04_g13960 transcript:Ma04_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g01580 [Source:Projected from Arabidopsis thaliana (AT3G01580) UniProtKB/Swiss-Prot;Acc:Q9SS97] MRSRECLSNLFASCIEANSIAQLHSLLLRSGLLAAADCFFATRLASAYSKLRHVQDARKIFDEIPHPNTYLYNAVLRAHLGARQLPQTLHLFRRMTAVTSLSDRPDNFTLSIVLRACAGLSALRQGQAIHGLVVKMQQACSDLFVGSSLVEMYSRCGEMGDAVAVLDGFPDPDVVLRTSVITGYQQNGNAEEAVSFFSRMLVGEGVAPVPVTLISVVSAIGQLGDLRSGKSCHGFLVRMGFQYALSLANSVLNMYAKLGEIRMTRKLFDMMPERDVITWSCMISCYSQNGNAVEALDVYKSMIEVGVEPNSITLVSVLRACTLALDLGEGRKVHEYATQRGYDSELVVSTALVDMYMKCSCYTEAIDTFNGMPKKDVVSWAAVIGGYAQNGMANEALKVFQDMLSDGPNPDAVTMVKVLTASSLLGVLRQAVCLHCYLIKSGFDNKVFVGAALVDLYSKSGSLGNAVQVFETVSEKDAVLWSSMIAAYGMHGLGTKAIATFERMIQSSITPSAVTFMTLLSACSHAGLVEQGRRIFDSMSHVYGVTPTSEHCGIMVDLLGRTGELEEAFRLIERMPGPVDPHVWCALLAGCAIHHDIDMGEMAARILLKQEAEHAGYYNLLSNIYAFDGKWDQMVGVKKLMMDRGLRKTPAYSSVEVNNEVHAFLAGEGLHQG >Ma04_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3333463:3341593:-1 gene:Ma04_g04390 transcript:Ma04_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWQDLCQAVFIGLIFSFLIAKLISVVISFKEDNLRVVREHDLTAEAPLVERSSLDVGKKADEGVGGSEKEEEGLLEDDSDWEGIESTELDEAFTAATAFVAATAADKNSTKVSSDVQLQLYGLYKIATEGPCTIPQPSALKMTARAKWNAWQKLGSMPQEEAMQKYLMIVSELYPSWASGSSKKMNDEDTLPSSSAAKGPMGPVFSTFVHEEESDTDIKLEPVHDFAREGDADGLLALIANGASVNSKDSEGRTPLHWAVDRGHLGVVEILLNKNADVNAQDDERQTPLHYAVLCEQEAIAELLIKHHANHHIKDEDGNSPLDLCGSQWAFMLQAR >Ma08_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2710260:2718785:-1 gene:Ma08_g03780 transcript:Ma08_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEWRRSLLGELARRSMCCLKYYWNVRVINGANLGGQLGDELGNFSSIRTIDLSNNNIGGGIPENLPLTLHTFFLSANQFMGSIPNSLSKLTLLTDMSVNNNHLTGDLPDAFSSLTGVINLDLSFNNLSGQLPPSMGSLSSLTTLHMQNNQLSGILNVLEDLPLKDLNIENNLFSGPVPEKLLNVPNLKKDGNPFNTTIAPSPATPQSPPLLSHSGAPIPYITHTNSSDGSSSQESNHGVKKRSVSTMKIVGYVFVVVVLVIVIVLMVIICLSKYQERKSKKDKLFKSQVRRTGIGFKEAKSKDHFAETNNRFREAAKEVHEERKKESGVDIAVAGLVSTFPVPVMKDSGKEKEHIIDMRETDVIIMPPPPVEKVIVKPIVTSGNVVGLSPEMMNTPPTPTTSYSVAELQQYTNSFSEENLIRDGRLGKAYLAVLPDGKLLEVMKLDNVNSRIPVGDFLELVLSVSELRHPNILELVGYCAEFDQRLLVYKYFGKKTLHDILHAEDDVNGNLKLSWSARLEVALGAAKALEYLHEDCQLPLVHQNFEPANLLLNDELAVCVTECGLSSLMSTNSVTQLSGRMRALYNYEAPEINDSGQHTDRSDVYSFGVVMLELLTGRKPHDSTRPRAEQHLVRWASSQLYDIDALARMVDPLISGSYPVKSLSCFADIISRCIQQEPEFRPAITEVVQDLNHMVKDVKGAANK >Ma05_p30840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41055337:41056675:1 gene:Ma05_g30840 transcript:Ma05_t30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLPSYDHIAEEDEEEEDYIDMDVSCATEMGNSTTNSFLCYAIVSSPHSKEFEFQMSVQPAESEATAYPADELFYKGKLLPLHLPPRLQMVEKLEKSKEMNASDDEAAIAPATPTDRTPFGPCNISPASSCYVSGELDAEDYYRCSAELVRSNRKSSWSKKLRLIKHLSQSLKLKASKAYLKSLFNKPRRPDESCAAAQQTKECTDGSAAEARKKLVEQAQIGTHLFDDTNALGLMRSINREKHIEEDDVCYRKSFSGAMSWSHTATDSSVSLTSASSSSSSSFTGTTRSGGSNQPLLLLNRSSSLNAEVESSIQGAISHCKKSQQMISGRKSASDVGFCSLSAPIIAVARENQEKPGLCRG >Ma06_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21931342:21935061:-1 gene:Ma06_g23770 transcript:Ma06_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESATTSYSSSPSGYGIGPPWLFRGRALYQLHLVKAEIARAFIPKELKLVEAFGYTLGGLFLAHYDDSPAGVFDELVVIAGIVWNPPTSCAWASRVLVNSHEACRHGRKEIGLPSHVAIFSKRDAKASDQPLYKQRTSFIHSKPKEHSEIQVLEMEDYSQTSICNISLPFAGDRWMGPKIRISLPSFSGQTTHNPQLLKYSCQIEC >Ma01_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5491817:5495517:-1 gene:Ma01_g07570 transcript:Ma01_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGQQRPPSRNLRRSVVVMRVTTDERVVAAAAPRDKTVYKDNWFERLAIHHLSSAIEATTGMTNKKEGYESLVAAAIMISKKFDTKAQQGLVIRSLQEAFPSAILTMIKILLPPSTFSREYFAAFTTMFFPWLIGRCEVRKSEIKGKSEKNVVYIPKCRFLESTNCVGMCTNLCKIPSQKFIQDSLGMPIYMVPNFEDMSCEMIFGQQPPLDDPALKQPCYHKSCIAKQRHSMKCSS >Ma04_p33770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33259263:33261465:1 gene:Ma04_g33770 transcript:Ma04_t33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARQRFLFAASVFVLCFHSFCQAKKPPSYTFVKHAADGPTVSYHDYIIVGGGTAGCPLAATLSRSFDVLVLERGGSPYGNSNISNLATFVRNLADLTPTSPTQRFVSEDGVINARARVLGGGTCINAGFYSRASAREVREMGWDVELVNRSYRWVEEEVASEPQLIQWTSALKEGLLHAGVTPYNGFTYDHLYGTKIGGTTFDRHGHRHTAADLLKYADPNRLTVLLRATAQRILFRDGGRQRPRASGVVYKDEKGNVHEAYLKDCPGSEVIVSAGALGSPQLLMLSGVGPADHLGSLGIEVVLDQPMVGQGMSDNPMSLIVIPSPQPVEITSVQVVGIAPSGYYVESLTGLNLRADLLGASSGGGAEPSRASSEQGNEEIYPFQGGLIVEKLARPLSRGHLHLKNLNPEDNPAVTFNYFVEPEDVRTCVEGMETIKRVLETKEMSEFRNSNQSVEDLITLSASLIVNNRTRHDDDSTSLEQYCKDLTMTIWHYHGGCQVGQVVDHDYRVLGVDALRVIDGSTFTFSPGTNPQATVMMLGRYMGVQIQKQRLVGDVEIM >Ma04_p33770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33255147:33261465:1 gene:Ma04_g33770 transcript:Ma04_t33770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELARQKFLFAASVFVLCFRSFCHAEKPPSYTFVKHAADGPTVSYHDYIIVGGGTAGCPLAATLSRSFDVLVLERGGSPYGNSNISNLATFVRNLADLTPTSPTQRFVSEDGVINARARVLGGGTCINAGFYSRASAREVREMGWDVELVNRSYRWVEEEVASEPQLIQWTSALKEGLLHAGVTPYNGFTYDHLYGTKIGGTTFDRHGHRHTAADLLKYADPNRLTVLLRATAQRILFRDGGRQRPRASGVVYKDEKGNVHEAYLKDCPGSEVIVSAGALGSPQLLMLSGVGPADHLGSLGIEVVLDQPMVGQGMSDNPMSLIVIPSPQPVEITSVQVVGIAPSGYYVESLTGLNLRADLLGASSGGGAEPSRASSEQGNEEIYPFQGGLIVEKLARPLSRGHLHLKNLNPEDNPAVTFNYFVEPEDVRTCVEGMETIKRVLETKEMSEFRNSNQSVEDLITLSASLIVNNRTRHDDDSTSLEQYCKDLTMTIWHYHGGCQVGQVVDHDYRVLGVDALRVIDGSTFTFSPGTNPQATVMMLGRYMGVQIQKQRLVGDVEIM >Ma09_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10764111:10765364:-1 gene:Ma09_g15440 transcript:Ma09_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCSKEGLNRGAWSAREDQILTDYITIHGEGKWRDLPESAGLKRCGKSCRLRWLNYLRPDIKRGNITEDEENLIVRLHALLGNRWSLIAGRLPGRTDNEIKNYWNTYLGKKTSPQLCIRGSSRRKENKKGESSVEEVKARDGQPSQVIRTTAVRCNKVYLPSLREELLPTDNYHSYELTHHNESPSSLVLRDAGPVDFLADFDMDELMSSFEDDGLLQRCIDEAHDDGNFNSLWFCDAMQQDAREGTGCPESHAAFELESLASPMDSAEGEQKN >Ma04_p21960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24376479:24379663:1 gene:Ma04_g21960 transcript:Ma04_t21960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKKTRKFAVMKKIVSSKTLKKYKEEILNPKKKDLEKENLPRNVPSVSSALFFKYNTALGPPYMVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELENIAKDPRFERLPCTHKGTYADDCIVDRVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITRHKYSIERLPEATIGGAPRI >Ma04_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24376477:24379663:1 gene:Ma04_g21960 transcript:Ma04_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKKTRKFAVMKKIVSSKTLKKYKEEILNPKKKDLEKENLPRNVPSVSSALFFKYNTALGPPYMVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCIVDRVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITRHKYSIERLPEATIGGAPRI >Ma03_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1275532:1287254:1 gene:Ma03_g01850 transcript:Ma03_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVASPLCTRLVAAACMSSSAECDRRRAAGSRRRRRTTATAEIRPPLDSDIFRFGIQGPMSSCPAFEAAPSLGLSKAEANRRRRRTACGKAMAVALEPAKELAVNKKRQMKQRRVVVTGMGVVTPLGHDPDIFYNNLLEGVSGVSEIETFDCSNYPTRIAGEIKSFSADGWVAPKLAKRMDKFMLYMLTAGKKALEDGGVTEEVMNQFEKTRCGVLIGSAIGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDSAIIPIGLGGFVACRALSQRNNDPTKASRPWDIDRDGFVMGEGAGVLLLEELSHAKQRGAQIYAEFLGGSFTCDAYHMTEPHPEGTGVVLCIDKALSDSGVSREDVNYVNAHATSTPSGDLKEFQALIRSFGNNPELRVNSTKSMTGHLLGAAGTVEAVAVVQAIRTGWVHPNINLDNPEESVDASVLVGAKKERLDVKVALSNSFGFGGHNSSILFAPYEE >Ma03_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3544311:3553938:-1 gene:Ma03_g05410 transcript:Ma03_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MLPFQGLIVSDLLDDPNGGLVVLSSGLPLPSLVASLLLLHDASAGSLLLLSASDTQKSAISAALRRYGQPDLPLPSDIPGDLPSHNRTALYASGAALFVTPRVLVADLLTSRVPPSSIAALVVLNAHRLSDTSTEAFIARILRDHSPSSPIHAFSDRPHAMVAGFAKAERIMKSLFVRRLHLWPRFHVLVSTDLERAPPEVVDVRVPMTPAMIGIQAAVLGSMDACLKELRRTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGRKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWIFADSSYKIFELAKKRVYQMVRADGTKISVDTKSATRKRKVNSENKKETGSGTGASSAIETDGSKVDTKAGVVLEEVLEEAPKWKVLRELLEEIEEERRKDIQPIEGEMVAEDAGDLSEIVLVACKDEHSCMQLEDCISRSPQQVMREEWEKYLLGKVELHSLRKRNKKRSQEPKGFGVLDGVVAAGSSDNSEPSSISKYENDALLAAASEINILSKEVDVGDNSQSESRMKDFRKTRGKGRSRKGLSKTQLPEAKSYQIDNNDKNEKTSSKHEGGHSDPERKSPGSYQVNNLENICIEKDLIQGHKEESHGATLNHIKPLPPVQFYALDSDQHILDVLNPFVIIVYHPDMTFVREIEVYKAENPSKKLKVYFLFYEDSAEVQKFEASIRRENSAFESLIRQKSLMMIPVDQNGRCIGPSTSCEPQLVIAQNSLTRKAGGRKLQEKKMQVIVDMREFMSSLPNVLHLKGMHVIPVTLEVGDYVLSPQICVERKSIADLFQSFASGRLYHQVETMSRYYRMPVLLIEFSQDKSFSFQSASDIGDDVSPTSIISKLSLLVLHFPRLRLVWSRNVHATAEIFLSLKQNQDEPDESKAIRVGVPSEDGVVENDVRAENYNTSAVEFLRRLPGVTDANYRALMDGCKNLAELALLPIERLTELMGGQKAARMLKEFLDAKCPTLL >Ma06_p36760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36046445:36049047:1 gene:Ma06_g36760 transcript:Ma06_t36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVTEFSSVIPEVVKPKRAEWTTATVETDSITTPYPLNTDGKILSRYLRPSTGSCHDFCKYGLKHEYEEKKRHHFFLGASTNSQMPDGEHNQSHFMTVNQRKHKSELKPRTTNTKDELTDKTRLSEQMDLPPEMIIRTSSSLTNLAAGFVHESSSLKHISTVHDRENNASFELSAANQNEKLSEEFMDVASDEHESRRLFCESAITKLDRPTLQNGVAVEQCIPITKVEGSSEEPVSIKFMISSTIQENFASSEHKAEKATEGSSLEQISMELRIASPIVDDIAFAEYQMANGVDESSNKSMNIGVKALPGSSEGPSSLKLLNHKSKASAKCRPAYRAEALPKEALSMKLKTPPSQKSSTSTMNTPIDQAGFAKKQIQVRSSRIGDKTKRELNMLKRNEETGGSNGHKVIKEEQCDIAVGPKCVKKNFAARKTMKSIRLESEQEHPSCFKSNILSATPRVMKKATLPPSKSLVDRSFVGGTSLKLKVLVKSSPASISSTGLFDPRYREKIIKVGDKSNGGKEKAFESLSTLPSTKSRLSRVSSMKLRKYRKVIPSFTTNYQAKAGNFGLKDKTIRAFEPNLEKIDLKALRQKLRKHRSHPDRNEELKESGSQLGGSSETVLHRGASQRTYRDVCESEIKSAPERNTGINSEYKIGTSRKSNFSRSKMVNLQPENNNNPPRRLRFRQVQTVGDNRKAKEIATESFRNRMESDGVGPSASLSDAINVVLRHQDVNDKKDTQGLFNNVIEETASKLVESRKSKVKALVGAFETIISLQESKVAPLVAVP >Ma11_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4522502:4523368:-1 gene:Ma11_g05800 transcript:Ma11_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFVRTPGTAMIGLSQPRDGAASDDDEDGGGSSGARSSRGGAGGGGSSSGAGGEPSSGGGSAARKPRGRPPGSKNKPKPPVVITKASESAMHPVVLELAGGSDIVSGVTDFARRRRVGVSVLGGSGTVADVTLRHLSAHGPSTISVPGRFDILSLSGTLLPPQPPASAGAPAPTVAAWTARLPPLTVSLAGPHGQVIGGTVAGPMTAVGPVLLVAATFAKPEFHRLPLSEDDEEGVKEEDVSPETEALVALGETSPLPGQLSHTDVVLWAQPSSTRPPPHAHPPPRY >Ma05_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35152573:35154547:-1 gene:Ma05_g23140 transcript:Ma05_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRKTLAHRLWQITRVGSPATSSSASRASSHLLNSGSRDEHGLLRRFLSSFVQKRPIFHPALPPDRHALPLGDRLLERICGLSPDRIRLDLLLPPSAPIPAAAEQEEEEEEEKRPPVARVDAARARLRATGKRCVSHAEFVRICCEASGGDRGMEVARSLDESGAVVVWGDVVFLRPEEVAKAIETVIAPSLARWSDAEREELREMEATKAEIDRSAVAHVRRELCCGLGLLAAQTAAFMRLTFWELSWDVMEPICFYITSIYFMAGYAFFLRTAREPSFEGFFATRFAARQKRLMKARNFDIHRFDELRRASPPPHRQLTPPSCNARAKTVS >Ma05_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35372466:35373671:-1 gene:Ma05_g23340 transcript:Ma05_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQAMTLDMDFGMRTPASSYSASLVTVAPQQDAAAPAYPYGLPPAVDALRVTDVLDFSGRDLFPSAFGADTQFFTVGGTAETDSSFDLYVPSEEAAELEWLSQFVEDSFSDVPYQYTGLTTTASDKQLLVDQRIAGDNQLGVDQCVARYAARSKRSRSSNPTTVWSSLTPPPQSSPSSSSSSSSDFPPSQPDSIGIKCISSRGGGSNGGSRGKKSGWGVGAEGGVRRCMHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLLPEYRPAASPAFVLTQHSNSHRKVMEIRRQKELLLRHHKNQPPASAAAAANRPELLDGNDVC >Ma09_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5760488:5766550:-1 gene:Ma09_g08690 transcript:Ma09_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATA MPCLIQSPRFLLSHCLSPLPPNARAVFCGRLSHRSAAAALSSDAQTIASNQSSSCAPLPPPPSSEILSVRESLLSRRRTAAEIAEEYLDRLRRTEPQIRSFLHVSETVMREAEDLDRLVESGAELGPLAGVLIGVKDNICTVDMPSTGGSRILEGYRPAFDATAVRKLKEAGAIVIGKTNLDEFGMGSTTEGSGFQVTANPWDVSRVPGGSSGGSAAAVSARQCAVSLGSDTGGSVRQPASFCGVVGLKPTYGRISRFGLMSYASSLDVIGCFGSSIIDAAIILNAVAGHDRFDATSSMNEVPDYASCLISIDQFDSKPLKGLRIGMITETMGDGVDMGVVSSIKAAALHLEELGAVLTEVSLPSFSLGLPAYYILASSEASSNLSRYDGVRYGNQVAADELISLYGDSRAIGFGPEVKMRILMGTYALSAGYYEAYYKRAQQVRTLVRKSFKDALETNDILISPAAPSPAFRIGEKVNDPLAMYAGDIMTVNVNLAGVPALVVPCGFVEGGIVGLPVGLQMIGTSFSEEKLLRVGHIFEQTLQNHRFVPPLLA >Ma11_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20474373:20475259:-1 gene:Ma11_g14780 transcript:Ma11_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSVRDVLAFHKLDRGMYDKLVALGTTPEVSRNVVALFMWLELIGVDVIVYIRSNPDHYILLRLAAEAESILDCIRHDTPPSDGADSSLAIPLTASLVNEPLNLRFFYCHRDVAVRGITQILDGVGTLIFDDYLHALLAEYEAEAQAAEADARGRAKAVFPMLPRELARPYLPRVSPTPEDSRSMFITFSKGFPLNREDIVEYFTEKWGDCVERVMMEKTTTSAAMPTYGRIVFTSESFIGLVLNGKQLVKFTIKGRQLWARKYEQRKNPI >Ma09_p24450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36105170:36111641:-1 gene:Ma09_g24450 transcript:Ma09_t24450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKYSEKRFVTRSVMPSGDKHHPLFVKKVALRELPNESRNINKPPIKSLPKESVKQLTPDSRKVAGIKRQQPDAPPSPSSYQPQGKISPLANIVYTRRKLETEQGKMGDFVNINHAESPESRKLSNNCTKIPNMPKNLIQEAKASYPSHVSDAVTSLAASSVGLSFPHVHGKPISRLTGPEPLDSVSAAGCSFTADPHKVNNEGWKDRFLRLQTFLKTCDQANQEDYIQMLRSLSAVGRSRHAVELEKRAIHLLLEEGKELQRMKVLNVLGTPSNDHASTPSQAPFSLASRAPES >Ma09_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36105170:36111713:-1 gene:Ma09_g24450 transcript:Ma09_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKYSEKRFVTRSVMPSGDKHHPLFVKKVALRELPNESRNINKPPIKSLPKESVKQLTPDSRKVAGIKRQQPDAPPSPSSYQPQGKISPLANIVYTRRKLETEQGKMGDFVNINHAESPESRKLSNNCTKIPNMPKNLIQEAKASYPSHVSDAVTSLAASSVGLSFPHVHGKPISRLTGPEPLDSVSAAGCSFTADPHKVNNEGWKDRFLRLQTFLKTCDQANQEDYIQMLRSLSAVGRSRHAVELEKRAIHLLLEEGKELQRMKVLNVLGTPSNDHASTPSQAPFSLASRAPES >Ma09_p24450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36105170:36111713:-1 gene:Ma09_g24450 transcript:Ma09_t24450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVTSLAASSVGLSFPHVHGKPISRLTGPEPLDSVSAAGCSFTADPHKVNNEGWKDRFLRLQTFLKTCDQANQEDYIQMLRSLSAVGRSRHAVELEKRAIHLLLEEGKELQRMKVLNVLGTPSNDHASTPSQAPFSLASRAPES >Ma09_p24450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36105170:36111681:-1 gene:Ma09_g24450 transcript:Ma09_t24450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKYSEKRFVTRSVMPSGDKHHPLFVKKVALRELPNESRNINKPPIKSLPKESVKQLTPDSRKVAGIKRQQPDAPPSPSSYQPQGKISPLANIVYTRRKLETEQGKMGDFVNINHAESPESRKLSNNCTKIPNMPKNLIQEAKASYPSHVSDAVTSLAASSVGLSFPHVHGKPISRLTGPEPLDSVSAAGCSFTADPHKVNNEGWKDRFLRLQTFLKTCDQANQEDYIQMLRSLSAVGRSRHAVELEKRAIHLLLEEGKELQRMKVLNVLGTPSNDHASTPSQAPFSLASRAPES >Ma08_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29483263:29495070:1 gene:Ma08_g18240 transcript:Ma08_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGEVCNFFRKPTKNKNIRKRKTTGSDDEDGAADDAPSTGPAIVKSRKSIADNKLMFSSSSSKPSSKPSADSGGAGDPDEDAGERGSGALFQFESSKEIQVQHDSRATAVLETETEFSKDARAIRERVLKQAGEVLKGKSKAGGGDDKLYKGIHGYTDYKAGFRREQTVAGEKAGGAHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKPGWQLEKEWEEAEKARKRGLAAGGGGGDGDDGDQEDDDDDDDSLPFACYICRQPFEDPVVTKCKHYFCEHCALKHHAKNKKCFVCNKPTLGIFNTAREIRKKMVQEK >Ma04_p02840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2319283:2321684:1 gene:Ma04_g02840 transcript:Ma04_t02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSVERLWSLGWCVPWLLLLVCAVWALNHAWWRPRRQDRLLRAQGLQGTPYRFLRGDLKEDKRLLEEALSKPMPLSHHIVPRVEPFLHAAMNDLVSNAGSRFFSWIGPVPRVMIMDPELVREILSNKFGHFDRASLSPLGRALGTGLLSYNRGKWAKHRRILNPAFHVEKLKRMLPAFSTSCGDLVGRWENLVGQEGSCELDVWPEFQYFTGDVISRAAFSSNYEEGRRIFQLQLELAQLVVQAIHSAYIPGYRFLPTPMNNRIKAINKEIRSLLRGIIRKREEAMKTGEASGQDLLGLLMESNIKQFQEHGNKNAGMTIDEVVDECKLFYFAGQETTAILLTWTMVVLSMHPEWQARAREEVLQVLGKDKPEIDGLNRLKIVTMILYEVLRLYPPLLHIQRRTYKTVEIGNVSYPPGTLLALPIVFLHHDQILWGEDASEFKPERFAQGIAKASRDQIAFFPFGGGPRVCIGQNFALLEAKMGLSAILQRFWFELSPSYAHAPLNVRTLHPQHGAQLRLHKLGVVS >Ma04_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2319275:2321682:1 gene:Ma04_g02840 transcript:Ma04_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSVERLWSLGWCVPWLLLLVCAVWALNHAWWRPRRQDRLLRAQGLQGTPYRFLRGDLKEDKRLLEEALSKPMPLSHHIVPRVEPFLHAAMNDLGSRFFSWIGPVPRVMIMDPELVREILSNKFGHFDRASLSPLGRALGTGLLSYNRGKWAKHRRILNPAFHVEKLKRMLPAFSTSCGDLVGRWENLVGQEGSCELDVWPEFQYFTGDVISRAAFSSNYEEGRRIFQLQLELAQLVVQAIHSAYIPGYRFLPTPMNNRIKAINKEIRSLLRGIIRKREEAMKTGEASGQDLLGLLMESNIKQFQEHGNKNAGMTIDEVVDECKLFYFAGQETTAILLTWTMVVLSMHPEWQARAREEVLQVLGKDKPEIDGLNRLKIVTMILYEVLRLYPPLLHIQRRTYKTVEIGNVSYPPGTLLALPIVFLHHDQILWGEDASEFKPERFAQGIAKASRDQIAFFPFGGGPRVCIGQNFALLEAKMGLSAILQRFWFELSPSYAHAPLNVRTLHPQHGAQLRLHKLGVVS >Ma09_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3543810:3551419:1 gene:Ma09_g05510 transcript:Ma09_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRAAAAAGSESLIATLTSATQALGRGFDVTSDARLLYCKGAPGSRLVLLDDARTESLVIADNGGGGQIVLRAVPSDVKISRDRDRRESSGVCNFQQMAEYFNKKSGLSGTVPLGSFNSMFSLTGSWKVDAAATKALAMDGFHFPLYTAKLMTVDLVLRDDVKRAVPRNWDPPSLASFIENFGTHIITSVTIGGKDEVYIKQHHSSQLSVSEIENYVKEIGDQRFLNLEHQSLNAPLNYKDKDVTVIFRRRGGDDLVQNHADWVHTILSAPDIINITFLPIVSLLNGLPGIQNLSRAVDLYLEYKPPVEELQYFLDFQVQRVWAPAPVNIPGHQRKEPVCPSLQFSLMGPKLYISSEQVSVGRKPVTGLRISLEGNKRNRLAIHIQHLAILPKILLPHWDSHVAIGPPRWQGPEEQDSRWFEPIKWKNFAHVSTAPIESNETNIGDLSGVYIVTGAQLGVWDFGARSVLHLKLLFSKVPGCTIRRSVWDHSPSTTHALKSEDTSSSASADYSKLVKIVDMTEMLKGPQDTPGHWLVTGAKLGVEKGKIVVRVKYSLLSY >Ma08_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2820617:2824581:1 gene:Ma08_g03990 transcript:Ma08_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEDDARYPPNLHPPKPRHYFPSSSSAPRPKTRFRNPPPPPHFGRGYDDATDDDEEEEAEEEEQNYMDQPVDDDEDDDGDGHRRTRNYGDDDDDDDSSSESRGKRRRIDKLALGFEFAPRLRAAAPPKPLARTSPPDWSEDSTFVLLDAWGDRYLQNGRKSLRSDEWNEVAKKVSQASKVVRSDAQCRNRVDTLKKKYKKEKASIMAHGNAGSKWVFFKKMDALMSSPSPPPLTARQQPPPPPPPRLPCGVDAGEYVFASSSVYRNGYSGNNEMKDSPGDSGTEDDGDEENDSDGLPPQRENICSDSSFKMLAESIQKFGEVYEKMENHKRQQMAELERMRKEFQRDLEVQKRQILERVQEEIAKLREEQVEEDDDEDLEDRDEDNNDGDDGGSAENLSG >Ma08_p03990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2820617:2824455:1 gene:Ma08_g03990 transcript:Ma08_t03990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEDDARYPPNLHPPKPRHYFPSSSSAPRPKTRFRNPPPPPHFGRGYDDATDDDEEEEAEEEEQNYMDQPVDDDEDDDGDGHRRTRNYGDDDDDDDSSSESRGKRRRIDKLALGFEFAPRLRAAAPPKPLARTSPPDWSEDSTFVLLDAWGDRYLQNGRKSLRSDEWNEVAKKVSQASKVVRSDAQCRNRVDTLKKKYKKEKASIMAHGNAGSKWVFFKKMDALMSSPSPPPLTARQQPPPPPPPRLPCGVDAGEYVFASSSVYRNGYSGNNEMKDSPGDSGTEDDGDEENDSDGLPPQRENICSDSSFKMLAESIQKFGEVYEKMENHKRQQMAELERMRKEFQRDLEVQKRQILERVQEEIAKLREEQVEEDDDEDLEDRDEDNNDGDDGGSAENLSGIISASIYLTAFV >Ma10_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24419292:24419905:1 gene:Ma10_g10510 transcript:Ma10_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:Projected from Arabidopsis thaliana (AT1G09200) UniProtKB/TrEMBL;Acc:Q0WRA9] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma06_p31470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32597783:32602904:-1 gene:Ma06_g31470 transcript:Ma06_t31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPAVILLVVAGTANAAHLAAAGPFPAKLNLERALPTRGVGVEHLKARDRARHGRFLLGASFTPAGVVDFPVEGSSNPFTVGLYFTRVKLGNPSKEFYVQIDTGSDILWVTCSSCSGCPISSGLNIQLEFFDPDKSSSSSLVSCSDDRCTSALQTEEAVCSTSGSSSSLCSYSFLYGDGSGTSGYYVSDTMYFDTVLGNEQTVNSSATVVFGCSNSQSGDLTKSDRAVDGIFGFGQHELSVVSQLSSIGVAPKVFSHCLKGSDNGGGILVLGEIIEPGIVYTPLVPSQSHYNLYLESISVNGQILSIDPSVFATSSAQGTIIDSGTTLAYLAEQAYDPFVSAIVSSLSPLVHSIPSKGNKCFITSSSVDESFPSVTLNFKGGASLPVKPEEYLLQQVSVDNSIVWCIGWQRNHGSGITILGDIVLKDKIFVYDLANQRIGWMDYDCALSVNVSTSSVKNEYLNTEQLNVNGASHTGSVKLLSTGIAIVLVYILMLPNLRR >Ma11_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22964149:22968976:1 gene:Ma11_g17740 transcript:Ma11_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSEEFYSPRGSLAEKGSAGCMSTSRRTFTAAVEKCGSQSSTKSTNVASSPLSPPVASSPHVGSSPSHSSSRSLMSTSQRSLAGGLEYTVLPQPPPPPRPPRPPTPSPPKQKPSMPSPPSSPEEREYERKMDHLDLAGSNLRSTMRLADSPSPPGAQPQRQAPPPPPLPPPPPTRHWESQVRESLARKPPIAPPEPTGTKAPPALVNPTYEVEKKKEGPRPKLKPLHWDKVQASSKRAMVWDQLKSSSFRVDEEMIETLFVCNATNGTPKETNKQQVRPVPTQENRVLDQKKSQNIAILLRALNVTKEEVCEALSEGNADSLGTELLETLLKMAPSKEEEHKLKAHEEDSPFKLGPAEKFLKALLDIPFAFKRVDAMLYIAAFDSEVNFLRKSFETLEAACEELRNSRLFLRLLEAVLKTGNHMNVGTNRGDAHAFKLDTLLKLADVKGIDGKTTLLHFVVQEIIRTEGSHLAATNNWAANAQDNTLPDDLECRKLGLQVITGLEGELSNVKKAAAVDSDMLHSYVTKLAGGIKKVDEVLRSNEEFGSEEGGCRFRDAMDQFRKKAEDDIIKVQAQESVALSLVKEITEYFHGNSVKEEAHPFRIFVVVRDFLSILDQVCKEVGRINEQAVVSSSRQFPVPINPTLSPAFLRFHALRPKRSDEESSRSS >Ma01_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12543851:12544662:-1 gene:Ma01_g17160 transcript:Ma01_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLHGEHRKQLRDIFDRFDMDRDGSLTHLELAALLRSLGLKPTGDQIHALLANMDANGNGSVEFDELAVALAPVMTEQAFVNQEQLLEVFRSFDRDGNGYISAAELARSMARMGQPLTFLELTEMMRQADTDGDGVISFEEFATVMAKSAAEFLGLTLVAS >Ma10_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32452136:32462389:-1 gene:Ma10_g23640 transcript:Ma10_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVKSEMSNSRGGAEEGGTVAARSSVWESIRGCGISGMRIDKEELRRRILMPAYLRLAMSSAIRARDADAGAEAALKDGAEGRGGGPEVDEMPEAPMVVFVNSRSGGRHGPQLKLRLQELISEEQVFDLSVTQPPQFVRYGLTCLENLANLGDDCAKAVRENLRIMVAGGDGTVGWVLGSLGELFVQKREPVPPTGIIPLGTGNDLSRSFGWGGSFPFAWRSAVKRSLLKAVSNPIQHLDSWHVTIMMQETGLDLPYSLKPLQDYDLTQDVDIQRELPESVSCFGGIFYNYLSIGMDAQVAYGFHHLRNKKPYLAQGPISNKLIYAGYGCTQGWFFTPCMKTPGLRGLKNILALYIKKVNSKEWEKIPVPSSVRAIVLLNLDSYGGGRHPWGRPMPEYLEKRKFFEARADDGMLEIFGLKQGWHASFVMVELISAKHIAQAAAVKLELRGGQWNKAYMQMDGEPWKQSIEREQSTFVKIERVAFQSRMINGK >Ma05_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9125733:9126433:1 gene:Ma05_g12630 transcript:Ma05_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFINRSNHGGQSNFQSVRSRSIRDLRPSIPHSDPRKREEMILVAVVAELLEKYTALVSHALEQLVHDAPFPRRVRLLILRNLPFASPPPPLPPPPHALRVRSRAAPVAAR >Ma04_p30070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30822158:30824374:1 gene:Ma04_g30070 transcript:Ma04_t30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 23 [Source:Projected from Arabidopsis thaliana (AT5G41920) UniProtKB/Swiss-Prot;Acc:Q9FHZ1] MLRSVQRRPSIKANAMRPKRPERDPSSSSAGGSTAAPGHATPGCGDVEDEDRARKKRNQHQPEMEIVVAEEEHVEAEGRGAESRGLRLLGLLLRCAEAVAADQLAEARDLLPEISELASPFGSSPERVAAYFADALRARIVSSFLGAYSPLAAVAATQRRISHAFNSYNAISPLVKFSHFTANQAIFEALDGEDCVHVVDLDIMQGLQWPGLFHILASRPAKLRSLRLTGVGSSIELLEATGRRLSDFAEALGLPFEFHPLEGKIGHLADPAPLLAPRHPREATVVHWMHHCLYDVTGSDAGTVRLLQALRPKLITIVEQDLSHAGGFLGRFVEALHYYSALFDALGDGAGADSEERHAVERQLLAAEIKNIVAVGGPKRTGEVKVERWGEELSKAGFRRVSLAGSPAAQANLLLGMFPWKGYTLVEEHGCLKLGWKDLSLLTASAWQPAADDHDVEADRIPHIS >Ma05_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2672670:2681747:-1 gene:Ma05_g03640 transcript:Ma05_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G03950) UniProtKB/Swiss-Prot;Acc:Q941D5] MNIFAKKPTAKESLRASKREMTTATRGIEREIGALQLEEKRLVAEIKRTAKTGNEAATKILARQLIRLRQQISNLQGSRAQMRGIATHTQAMHATTSVAAGMKGATKAMGAMNKQMAPAKQIKVMQEFQRQSAQMDMTSEMMSDSVDDVLDNEEAEDEIEDLTSQVLDEIGVDVASQLSSAPKGRIAGKNVGSAESSKVDELEKRLAALKNP >Ma05_p30500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40886384:40887237:1 gene:Ma05_g30500 transcript:Ma05_t30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAVGHTSQVRLGSLTCKLSVGPRRNRTANGTGEVQHLIQNSIWFPIDTHRSSRRRRRRQVKEEVIFVPHGQPMECKPKEPARVNVLFKHVRKMLSGSTAVIAEACDSWFNCRKLKLPEGCGYEFQIQCGSIGWSVGVTLGSAQAGREGQQACDCLHRRRKLSGDSAGRVDDAARRAEQHHLPHKQRRVHHRGGDPRRALKCHQELGLHRPGGRHPRR >Ma09_p28700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39361922:39364753:-1 gene:Ma09_g28700 transcript:Ma09_t28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKYLASLCKILTSAVFQRAITAIRKNQGSSSMDIVYEFDNLTKELRSIQSFLRDMEHSKLDSESLVNWVEEVKEAARDFEVMTEQIVYYAHALVWDKSWSSKAYAYISSHLPKLKEELVNNIPQRRDRYLKPSLVRREEQSCSSGRQMRQPHEELPHETTCTDVVGMEQNEATVIPWLLGETDNSQRNMVISICGMGGLGKTCLAWRIYNSQHVKRHFDCSAWVSISKTYNAEELLRSIIRQIIDKRNLPATPDELDRSSRAALLGLLDQCLHQKRYVIVLDDVWSRNACNDFSYLLQNGKIGSRVIVTTRDHHVAASLSLDSHILNLQPLPESEAWSLFCKKALWTDPNKSCPRDLEIWARRIVAKCDGLPLAILTIGSLMSSQDRSSLTWKHFYLGISSQLSNNEMLVTMSRSLMLGYDDLPYHLKQCYLYCGNVFPESRIIKKNWLLRLWVAEGLVEDKRGMTSEEVAEGYFDELILRSMLQVARKDESGKVKACRMHILMREVSLCVSKADKLCAVFDEQGVTVDEAKARRISVQISIEKLPAVRHEEKTPLSRLRSLLFFVDDEASAAAFLSRSKYLMLLKVLELRNVPIDHVPFEVFDLFNLSYLSLRDTKVEVLPKYVERLKILKTLDLRGTKVICLPDEVARLKELRHLLMDCVYVIKKIKKWRGTISWIHNIEGLLTLKTVEADKRLIANLAALNQLRSLGLTNVHADDGVLLCDSISKMGHLLSLTIDAASDEALMLDSLPSPPPHLRKLVLDGQLWKVPPWFDLLSSLTHLYLLDSQLEATCNPIPHLEKLESLVHLTLLRAYNGARLCFRANTFLRLKSLNIAELKCLSQLDMEKDALPSLSLLHLSRCGDLQGEKLHGIDNLPALRHLYLQDMPESLMSSLGGDHRLKASTGWESISKSMSWL >Ma03_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4707530:4713320:1 gene:Ma03_g06860 transcript:Ma03_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSKSDLELKPLWFSKSHVTKQDNDQSHHNLLAMTVGIKQKLTVDAIVRKFLSENFAIILFHYDGIVNEWHDLWWSHIVIHIAAHSQTKWWFAKRFLHPDTVSIYDYIFLWDEDLGVENFHPGRYLKIMKSEGLEISQPALDPNLSEIHHRITVRRKKRTVHRRVFGTRGTGECSSTSTGPPCTGWVEGMAPVFSRSAWHCAWHLIQNDLIHGWGMDMKLGYCAQGDRTKKVGIVDSEFIVHQGVQTLGGSSAKKVSLHVLLLVVATDLCNLHPS >Ma06_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13551321:13554834:-1 gene:Ma06_g19610 transcript:Ma06_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETASRDTCLTQKHQCPCGDDQCYIDEEAYEDDEFTEQFGPAEPSFLPGIPRTFGTTKIIPPPYVGQSFQNDDEALEYYSNFARNSGFLVRRERSKGNPEHPLGVYKRELVCHRAGPPLPVKSGEENASKRVRKKKPSRCRCDAQMVIKKNVTAGATHWVVVNFSNVHNHELLDRNNLQFSPGYRYISAVDRERILALAKGGCNVNLILRALEMDKGVKPGELTFTEKDVKNFLQASVSINPENEGSELLKSCKFMKEKNPDFRYEFTSVEANKLEHIAWSYVGSVRAYTVFGDVVFFDTSYRLHAYNRPVGVWFGIDNNGYIIFFGCAVLLDEKPDSYRWALQAFLRLMDGKYPQTMLTDFHIGLKDAVMTEFPHTKHAFSLWHIMSKLPSWFSVLLDAQYEKFKAEFCRVRDLETKEEFEHEWDQMVTEYGLNSDRHISLLFVHRSYWAVPYLRSWFFGGLLATGDLSVKSFFRGFVNSQTRLKDFVEQVGVAVDFQNQAGEEATTRQNHQNFQIKTCLPLEEHASTILTHYAFEMFQKEIMTSTQYAVFETSRDTYLVRHHLSSDGGHTVSCSPSNEEVSCSCKGFECSGILCWHVLRVLSLKNCFLVPEKYLLHRWRRESSLFPKSSGYNYRTQALRSLASIIIQESSITKDRFEYVQWHLSRLLNHIRDMPAADEATLDLELSSTFDATVDVVPARTVTRGRPRKLKGLTKTAKEMQAM >Ma06_p19610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13551321:13555015:-1 gene:Ma06_g19610 transcript:Ma06_t19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETASRDTCLTQKHQCPCGDDQCYIDEEAYEDDEFTEQFGPAEPSFLPGIPRTFGTTKIIPPPYVGQSFQNDDEALEYYSNFARNSGFLVRRERSKGNPEHPLGVYKRELVCHRAGPPLPVKSGEENASKRVRKKKPSRCRCDAQMVIKKNVTAGATHWVVVNFSNVHNHELLDRNNLQFSPGYRYISAVDRERILALAKGGCNVNLILRALEMDKGVKPGELTFTEKDVKNFLQASVSINPENEGSELLKSCKFMKEKNPDFRYEFTSVEANKLEHIAWSYVGSVRAYTVFGDVVFFDTSYRLHAYNRPVGVWFGIDNNGYIIFFGCAVLLDEKPDSYRWALQAFLRLMDGKYPQTMLTDFHIGLKDAVMTEFPHTKHAFSLWHIMSKLPSWFSVLLDAQYEKFKAEFCRVRDLETKEEFEHEWDQMVTEYGLNSDRHISLLFVHRSYWAVPYLRSWFFGGLLATGDLSVKSFFRGFVNSQTRLKDFVEQVGVAVDFQNQAGEEATTRQNHQNFQIKTCLPLEEHASTILTHYAFEMFQKEIMTSTQYAVFETSRDTYLVRHHLSSDGGHTVSCSPSNEEVSCSCKGFECSGILCWHVLRVLSLKNCFLVPEKYLLHRWRRESSLFPKSSGYNYRTQALRSLASIIIQESSITKDRFEYVQWHLSRLLNHIRDMPAADEATLDLELSSTFDATVDVVPARTVTRGRPRKLKGLTKTAKEMQAM >Ma04_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4547030:4548695:-1 gene:Ma04_g06150 transcript:Ma04_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTLLLVLCIIFLLVSPSLSGRTQLERQRKAIEISIGVGVGGSGSGSESPTASPSPTDNSCGCSPPASPPSSEPQPSDFPNLKQYYAYLVIQRFKRSITCDPNGVIQTWVGYRPCTYQGFYCAAPPDSPDTPTIASVDFNGFRLCAPTVAGFVDQLPDVALFHANSNNFSGPIPDLTGLPYLYELDVSNNLHSGPFPADVLALSNLIFLDLRYNLFAGSVPASVFALDLDVLFLNNNNFFRPLPADLGSSPVAYLTLANNGFTGPIPRSICNASKTLVEVLFLNNKLSGCLPYEIGLLSIATVFDAGFNQVTGPIPWSFGCLLKVEQLNLAGNLLYGEVPDVVCRLAKDGNLANLSLSGNYFTSLGLSCWDLVKSKVLDVRHNCIVGLPEQRPPSECSKFLWRPKHCPVSHYIPCSLSNCSVKPATSKSKSSEYMTYKALHQPPPN >Ma05_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21925591:21927003:-1 gene:Ma05_g17940 transcript:Ma05_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGEDDKDGHENDLVMPGFRFHPTEEELIDFYLRRKVEGKRFSVDLIAFLDLYRYDPWQLPALATIGEKQWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRVIRSSESLRPIGLKKTLVFYYGKAPKGTRSSWIMNEYRLPHCENHQHQKAEISICRVYKRGGSEDHLRHTHARRPASLEGATDDIKSTVSFRTATEDGTSSTQQSDAVFFSTRTTFSSTCSPVTAAGVDDQHEYSNQLNHLLSMLSQPQMQPLGELPNAQTAVSDSLWGSNPLHEAGY >Ma05_p17940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21925591:21927000:-1 gene:Ma05_g17940 transcript:Ma05_t17940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGEDDKDGHENDLVMPGFRFHPTEEELIDFYLRRKVEGKRFSVDLIAFLDLYRYDPWQLPALATIGEKQWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRVIRSSESLRPIGLKKTLVFYYGKAPKGTRSSWIMNEYRLPHCENHQHQKQAEISICRVYKRGGSEDHLRHTHARRPASLEGATDDIKSTVSFRTATEDGTSSTQQSDAVFFSTRTTFSSTCSPVTAAGVDDQHEYSNQLNHLLSMLSQPQMQPLGELPNAQTAVSDSLWGSNPLHEAGY >Ma07_p22760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30572715:30580809:1 gene:Ma07_g22760 transcript:Ma07_t22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELETAETDGHLRESSSRKKRKRKEGKTRMTTKEEKNPTVSIAVAGFIIDNAQSLELATLLAGQVARAATIFRIDEVVVFDNRASSDVDTVVRPGHDGNESESGAEFLTMILRYLETPQYLRRRLFPMHKSLKFVGLLPPLDAPHHLRKHEWCSFREGVTLDVNPPNSKGTLVDVGLNKNVVIEEVLEPGKRITVAMGDNRGAEIDGFKKAVDSSSPRDQIGMYWGYKVRYCSNLSSVIRNCPYKGGYDHIIGTSEHGLVVASSELVIPSFRHLLIVFGGLGGLEENIEEDNNLKGKNVHDVFNSYLNTCPLQGSRTIRTEEAIFISLQYFQEPIKRAEQLCNGK >Ma07_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30572715:30580809:1 gene:Ma07_g22760 transcript:Ma07_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELETAETDGHLRESSSRKKRKRKEGKTRMTTKEEKNPTVSIAVAGFIIDNAQSLELATLVARAATIFRIDEVVVFDNRASSDVDTVVRPGHDGNESESGAEFLTMILRYLETPQYLRRRLFPMHKSLKFVGLLPPLDAPHHLRKHEWCSFREGVTLDVNPPNSKGTLVDVGLNKNVVIEEVLEPGKRITVAMGDNRGAEIDGFKKAVDSSSPRDQIGMYWGYKVRYCSNLSSVIRNCPYKGGYDHIIGTSEHGLVVASSELVIPSFRHLLIVFGGLGGLEENIEEDNNLKGKNVHDVFNSYLNTCPLQGSRTIRTEEAIFISLQYFQEPIKRAEQLCNGK >Ma04_p26970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28264525:28265020:-1 gene:Ma04_g26970 transcript:Ma04_t26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRAIKPGHKHVGAAELCFSSCMVKYTNIHQWPDFRSSLYSISCVTLNQVHPIVSVPGYRQTQVTPELLSTASKLEQGSKFTHGLE >Ma11_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23177062:23190861:-1 gene:Ma11_g18020 transcript:Ma11_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLRRFRGFALHKHEHKQRREKGLVAYQDELLQATQDIHDIRNFYDSLLSAAAATANSAYEFSEALREMGTCLLEKTALNDDEESGRILLMLGKAQFELQKAVDIYRVHVVQTITTPSESLLKELQTVEEMKRQCDDKRDLYMLAAQRGKGRLRNAKGESFSPQQLQAAQEDYEEEATLFLLRLKSLKKGQSRSLLTQAARHHASQLNFFRKGVKSLEVVEPHVKFVAEQHHIDYQFRGLEDNQLEEYEDDDSDENGDHGELHFYYGQHDQHHDVSYFSRSSMEENVDSRQADFSPFTKGPRAVSLSAPLFCDKKSKSSERINQIEPSSAKRFYTYALPTPLDVKSSNSTSSANSVSAARVENKGVWPAQPWHSSPLQPNFLGKDYRDVELPSPTSLPREQSILKESNNISSPIRTPIAFSVGMFPQLIPSNASDPKKIERQAFSGPLTSKPPSSKPASSTNSMSSMEHLPGVPAKPTNIPIPKLPLPRKVSPSASPPPLVTSPRINELHELPRPPIGFSKQTGPSNLIGHSAPLVSRGQELNATCKPPSISSHTATPLPKPPGVMARSFSIPSSGQRSNSTVPKSLDLPHNRRTTADTSPPHTLMNIGVA >Ma08_p34680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44652588:44686584:1 gene:Ma08_g34680 transcript:Ma08_t34680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSVEKLLENGVGVHFSGLHVDDLEPRNSEEPLATSTTENGYGEPFIIGVTGGASAGKTAVCDMIIEQLHDQRVVVVNQESFYYDLTEEKLNHVHEYNFDHPDAFDTENLLCCMEKLRHGQAVDIPNYDFRTNKSKLPPRKVNSSDVIILEGILIFYDIRIRELMNMKIFVDTDADVRLARRIRRDTVEKGRDLKTVLDQYSKFIKPAFDDFILPTKKYADIIIPRGGDNHVAINLIVQHIHTKLGQQSLCKIYPNLYVIQSTFQIRGMHTLIRDNETTKHDFIFYSDRLIRLVVEHGLGHLPFKEKQVITPTGSIYTGVEFCKRLCGISIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLVYHNLPNDIANRNVLLLDPILGTGNAAVQAISLLLQKGAQESNIIFLNLISAPQGVHVVCKRFPRIKIVTSEIESGLYEDFRVFPGMGEFGDRYFGTDDD >Ma08_p34680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44652707:44686584:1 gene:Ma08_g34680 transcript:Ma08_t34680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSVEKLLENGVGVHFSGLHVDDLEPRNSEEPLATSTTENGYGEPFIIGVTGGASAGKTAVCDMIIEQLHDQRVVVVNQESFYYDLTEEKLNHVHEYNFDHPDAFDTENLLCCMEKLRHGQAVDIPNYDFRTNKSKLPPRKVNSSDVIILEGILIFYDIRIRELMNMKIFVDTDADVRLARRIRRDTVEKGRDLKTVLDQYSKFIKPAFDDFILPTKKYADIIIPRGGDNHVAINLIVQHIHTKLGQQSLCKIYPNLYVIQSTFQIRGMHTLIRDNETTKHDFIFYSDRLIRLVVEHGLGHLPFKEKQVITPTGSIYTGVEFCKRLCGISIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLVYHNLPNDIANRNVLLLDPILGTGNAAVQAISLLLQKGAQESNIIFLNLISAPQGVHVVCKRFPRIKIVTSEIESGLYEDFRVFPGMGEFGDRYFGTDDD >Ma08_p34680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44652707:44686584:1 gene:Ma08_g34680 transcript:Ma08_t34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSVEKLLENGVGVHFSGLHVDDLEPRNSEEPLATSTTENGYGEPFIIGVTGGASAGKTAVCDMIIEQLHDQRVVVVNQESFYYDLTEEKLNHVHEYNFDHPDAFDTENLLCCMEKLRHGQAVDIPNYDFRTNKSKLPPRKVNSSDVIILEGILIFYDIRIRELMNMKIFVDTDADVRLARRIRRDTVEKGRDLKTVLDQYSKFIKPAFDDFILPTKKYADIIIPRGGDNHVAINLIVQHIHTKLGQQSLCKIYPNLYVIQSTFQIRGMHTLIRDNETTKHDFIFYSDRLIRLVVEHGLGHLPFKEKQVITPTGSIYTGVEFCKRLCGISIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLVYHNLPNDIANRNVLLLDPILGTGNAAVQAISLLLQKGAQESNIIFLNLISAPQGVHVVCKRFPRIKIVTSEIESGLYEDFRVFPGMGEFGDRYFGTDDD >Ma01_p15550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11262724:11264709:-1 gene:Ma01_g15550 transcript:Ma01_t15550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATSLQSFRFLCKAPGFGPRSCVLAAHRSSFLFFDPKPHLSTRGLKGYCPISLSKQGQRRIGARRSTIVRASSSSPSSDPIAPLQLESPIGQFLSQILVSHPHLLPAAVDQQLEQLQTDREAAKNKEEPTPADTDIVLYRRIAEVKANERRRALEEILYALVVQKFVEADVSLVPAICQSADPSGKVDQWPPEDEKLERLHSAEAYEMIKNHLALILGQRTSDTNLVAPISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKTLPWGSDVEESAIKQAMIDESVPSVQPEIPHPEVSSWSSPSFGQGGMKPCRLRSYVMSFDSDTLQRHATIRSKEAFSIIEKHTEALFGRPEIVITPEGAIDSSKDELIKISFAGLRRLILEAVTFGSFLWDVESYVDSRYHFVTN >Ma01_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11262724:11264718:-1 gene:Ma01_g15550 transcript:Ma01_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATSLQSFRFLCKAPGFGPRSCVLAAHRSSFLFFDPKPHLSTRGLKVFDGYCPISLSKQGQRRIGARRSTIVRASSSSPSSDPIAPLQLESPIGQFLSQILVSHPHLLPAAVDQQLEQLQTDREAAKNKEEPTPADTDIVLYRRIAEVKANERRRALEEILYALVVQKFVEADVSLVPAICQSADPSGKVDQWPPEDEKLERLHSAEAYEMIKNHLALILGQRTSDTNLVAPISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKTLPWGSDVEESAIKQAMIDESVPSVQPEIPHPEVSSWSSPSFGQGGMKPCRLRSYVMSFDSDTLQRHATIRSKEAFSIIEKHTEALFGRPEIVITPEGAIDSSKDELIKISFAGLRRLILEAVTFGSFLWDVESYVDSRYHFVTN >Ma08_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2086984:2087421:-1 gene:Ma08_g02780 transcript:Ma08_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSISVMTFNLHEGDQPSDSPNSWEKRKDLCVSVITSYSPTILCTQQGLKWQLEFLQQCLPGDC >Ma03_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8418798:8421994:1 gene:Ma03_g11140 transcript:Ma03_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGAGRSTDDNLLSASYSGAKSIYCCRAMLTTSAGVWLGDNPFRFSLTLLLYQLITIFGACSLTHVVLSRLGQPLAISQILAGVLLGPSFLGRNLWFAGRFFTRQSFEQLNTIYILSLVIFYFVVGVKADLSMIHKAGKKAVVIAVLGTLLPYVSVFLMATALKHKMPPRLTETPVLLILSDGWCLTSYPVVSAVLSELNLLTSKLGRLALSAALIADIIHLFAEACFGTFLLSGKEGNAMKGLSGLLSFLGLVGIIMYVMRPLVLWIIRRTPDGALLSEASFVSVLLMALACGLMSEIIGFDFLAGPFFFGLVLPGGAPLGTTLVERVDRFVKGVLLPVCLAVGGMRMNLASLADATQWAWFEMFVVLCVVSKFVGVVLPCICSNMPHRDALSLGLMMTSKGIYEVETAVRWKETEMVDDQLYTTLILSIVIFGGGTAPLVKYLYRPEDRYVAYKRRTLQHAIPDDELRILACVHEQDNVVPVLALLDASGPSPNAPICVYLLHLIQLVGRADAVLHPHRRHKKSSSVPVVFTESDHIVNAFQSFEKQHRDGISIIPYICISPYSTMHDDVCSLAHDKKVTLVIVPFHKHFGVDGSTSSASSAVQAVNLNVLRYAPCSVGILVDNGLSDAGSLVHRVAVYFLGGPDDREALAYGARMAEHANVELMVVRFLPPKEWREQGRDEIIDDRMLMQFLRDSVDGHRVVYREEVVKDGEKTMEVIRETSPHFSLLIVGRRAEKESPLTAAMSMWSEYPELGVIGDLLASTDLGSRASTLVVQQQARVMGPMARSAHSPVSTPKKKRVVPRHDVDGGR >Ma01_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13553069:13557376:-1 gene:Ma01_g18290 transcript:Ma01_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase [Source:Projected from Arabidopsis thaliana (AT3G24030) UniProtKB/Swiss-Prot;Acc:Q9LIQ4] MSDMAGGEEAAADGSAARWGRRAWELLERVRARAPLVQCITNFVSMDLMANALLAAGASPAMVHSLREIDDFTPRADALCVNLGTLSDGWLPSMRAAAAAAARSSRPWILDPVAVSASEFRMEACLGLVALWPTVIRGNPSEIIALSSACRVRDSKGVDSFHESPDAVESAKSLAQSSGAIVAVSGATDFITDGQIVVAAQNGVAMMQKITATGCAVTALIAAFVAVEPSNPLEATSCALSIFGLAGELGMEMANGPASLRVHMIDSLHGLHEHTVASRIKISLVS >Ma03_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2516980:2525373:-1 gene:Ma03_g03810 transcript:Ma03_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSCNILVIWRGKQFDVNVNPDSNVKEFGQKLQELTNVRPDTMRLFVPQKNNKGSKMLSPFSDVHSMLGLRESAILEGKTIKMMGVFSDEVDEVSHNSNKPDLRIAGFAEEEQRLRQRMIYRPQASLKLPQGTYIFCEFRTLQIPGIELNPPPSEALRRMHMLACDPGIIAIMNKHRWRVGIMSEMAPEGYVGISPKCILGFNKNHGEEICLRLRTDDLQGFRKYESIKRTLLHELAHMVYSEHDSNFFALNKQLNEEAASLDWTKSTSHTLNGRKYLDHYEEEDYVELSSKNSGQKLGGATNSLVSARASSIAAAYNRMANASIYDHMPVKSKEPDPDDSQLMDDQGKFSEPDPDDMVTSENDAMLVDMVMDARRPFSKSSMLDMLDELDPDDSLDHKNMSEPDPENSLDNKNISEPDPDDSLDNKDISEPDPDDSFNKKNESEPDPYDCLDSKNMSEPDPDDSHARGLIVSRSEPDPDDTLGGEDIKLAKETDSTGTRSNKVDTSNPRDSEPDPDDSLNNVLLDAQMEEMHLGKHCREPDMDGFVKDMVLGDYERLQQSAEGPLATENSMAVDHVNDLDNQELQRIEEPAALFCSRLQRAIDILRSEATPLQATSVLQTLFRIIRNVIEHPDEVKFRRLRKANPQFQRNVANYKAAMEVLTLVGFCEDVISDEIGRAETFLVLKRNDPGLLWLAKSSLELSIT >Ma04_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7745511:7750349:1 gene:Ma04_g10990 transcript:Ma04_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECHSLRCCGDMFAPPTTKLGFRHAVVPVGRWGGRRSGRLELSGLRPSLLRIQAALPGQESSPESAKRCCDSSAPGTSSYVLSTVGNSTNILWHECPVGKLERQKLLSQKGCVIWITGLSGSGKSTLACALSRELHYRGHLTYILDGDNVRHGLNRDLSFNGEDRAENIRRVGEVAKLFADAGLICIASLISPYKKERDACRAMLSDSSFIEVFLNIPLEVCEARDPKGLYKLARAGKIKGFTGVDDPYESPLDCEIVIQQNDGKCPTPKAMAEQIISFLDNNGFLQA >Ma09_p31080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40910038:40916924:-1 gene:Ma09_g31080 transcript:Ma09_t31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPSQGREEMIGPKGVIKKIEFVRTITKALYSLGYERTGAVLEEESGIPLYSSMVNLFRKQILDGNWDESLITLHKIGLDDENILKSVSFLIFEQKFFENLEKNRIMEALETLRSEITPLGINKKRVHEFSSCIVSPSQRILLGFANVGTETLKPRMKLLEELQKMLPPSIMVPERRLEHLVEQALGVQREACYFHNSHESCLSLYTDHQCGKDQLPSHTTQVLQEHHDEVWFLQFSNQGKYLASSSNDKSAIIWEVHEDRELTLKHKLIGHKKSVLMVAWSPDDSHLLTCGMEEAVRRWDVHSGECLYVYEKTGLGLISCGWLLHGKQLFSGVTDKTICFWDLDGKELDCWKGQRTSKTSDVAVTKDGRQIISMCQETVILLLDKETKIQTLIEEEQTITSFSLSEDDNFLLVNLINQEIHLWNIRNDPKLVTTYKGHKRSRFLIRSCFGGLEQAFIASGSEDSQVYIWHRSTGDLLEALPGHSGTVNCVSWNPSNPHMLASASDDHTIRIWGLSKVNRKRKETYSNGTVLHLCNGSSK >Ma09_p31080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40910038:40916924:-1 gene:Ma09_g31080 transcript:Ma09_t31080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPSQGREEMIGPKGVIKKIEFVRTITKALYSLGYERTGAVLEEESGIPLYSSMVNLFRKQILDGNWDESLITLHKIGLDDENILKSVSFLIFEQKFFENLEKNRIMEALETLRSEITPLGINKKRVHEFSSCIVSPSQRILLGFANVGTETLKPRMKLLEELQKMLPPSIMVPERRLEHLVEQALGVQREACYFHNSHESCLSLYTDHQCGKDQLPSHTTQVLQEHHDEVWFLQFSNQGKYLASSSNDKSAIIWEVHEDRELTLKHKLIGHKKSVLMVAWSPDDSHLLTCGMEEAVRRWDVHSGECLYVYEKTGLGLISCGWLLHGKQLFSGVTDKTICFWDLDGKELDCWKGQRTSKTSDVAVTKDGRQIISMCQETVILLLDKETKIQTLIEEEQTITSFSLSEDDNFLLVNLINQEIHLWNIRNDPKLVTTYKGHKRSRFLIRSCFGGLEQAFIASGSEDSQVYIWHRSTGDLLEALPGHSGTVNCVSWNPSNPHMLASASDDHTIRIWGLSKVNRKRKETYSNGTVLHLCNGSSK >Ma09_p31080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40910038:40916924:-1 gene:Ma09_g31080 transcript:Ma09_t31080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPSQGREEMIGPKGVIKKIEFVRTITKALYSLGYERTGAVLEEESGIPLYSSMVNLFRKQILDGNWDESLITLHKIGLDDENILKSVSFLIFEQKFFENLEKNRIMEALETLRSEITPLGINKKRVHEFSSCIVSPSQRILLGFANVGTETLKPRMKLLEELQKMLPPSIMVPERRLEHLVEQALGVQREACYFHNSHESCLSLYTDHQCGKDQLPSHTTQVLQEHHDEVWFLQFSNQGKYLASSSNDKSAIIWEVHEDRELTLKHKLIGHKKSVLMVAWSPDDSHLLTCGMEEAVRRWDVHSGECLYVYEKTGLGLISCGWLLHGKQLFSGVTDKTICFWDLDGKELDCWKGQRTSKTSDVAVTKDGRQIISMCQETVILLLDKETKIQTLIEEEQTITSFSLSEDDNFLLVNLINQEIHLWNIRNDPKLVTTYKGHKRSRFLIRSCFGGLEQAFIASGSEDSQVYIWHRSTGDLLEALPGHSGTVNCVSWNPSNPHMLASASDDHTIRIWGLSKVNRKRKETYSNGTVLHLCNGSSK >Ma09_p31080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40910038:40916924:-1 gene:Ma09_g31080 transcript:Ma09_t31080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPSQGREEMIGPKGVIKKIEFVRTITKALYSLGYERTGAVLEEESGIPLYSSMVNLFRKQILDGNWDESLITLHKIGLDDENILKSVSFLIFEQKFFENLEKNRIMEALETLRSEITPLGINKKRVHEFSSCIVSPSQRILLGFANVGTETLKPRMKLLEELQKMLPPSIMVPERRLEHLVEQALGVQREACYFHNSHESCLSLYTDHQCGKDQLPSHTTQVLQEHHDEVWFLQFSNQGKYLASSSNDKSAIIWEVHEDRELTLKHKLIGHKKSVLMVAWSPDDSHLLTCGMEEAVRRWDVHSGECLYVYEKTGLGLISCGWLLHGKQLFSGVTDKTICFWDLDGKELDCWKGQRTSKTSDVAVTKDGRQIISMCQETVILLLDKETKIQTLIEEEQTITSFSLSEDDNFLLVNLINQEIHLWNIRNDPKLVTTYKGHKRSRFLIRSCFGGLEQAFIASGSEDSQVYIWHRSTGDLLEALPGHSGTVNCVSWNPSNPHMLASASDDHTIRIWGLSKVNRKRKETYSNGTVLHLCNGSSK >Ma01_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15231782:15232735:1 gene:Ma01_g19580 transcript:Ma01_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPTRTWFSRLRQSSISSFDQLAGEFEQNFLANARPKPSMITLLALSQRKDESLSQFVARFAIEIQGFLDAHPSLILQAFLMGLKPSRFFRSLIKKPPATIFEMLQCTNQYVTAEALVAARRVEGKRPRAELSRGTTLAAPVTPRCELGRQELPLPRPSPLPLNTSRTEIFLQIMETGLLQQPHPMKATYKDRSKYYRFHQDYDHDTEDCHDIQNQIEVLIQRGHLGRYLKFPKATPHPKGLVERQIDIISGGPAAAALRRERPTPVARSRNVPGSSLSLKFPSGPGRPSAPTMTTLW >Ma03_p33380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34753416:34755750:1 gene:Ma03_g33380 transcript:Ma03_t33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGIGSSSSRDNTSFWQFSDQLRLHTSTFSNLSLGDSIWSDSFDVRRTDERRNLDSSAPLSADFNPNPWKMTYTNHKLAFGTYNNNNYNSQLNRYNAVDDNGKHTNDGVAKNGNYFTGNNIDYKYGGGETKNYFNKAIGKPANFGPGGSKKSNINVSNDGNLGKKKKNTNNSNNNHNNSNNNNDRGGAVDKRFKTLPPGEALPRNEAIGGYIFVCNNETMPENLTRQLFGLPSRYRDSVRAIKPGLPLFLYNYSTHQLYGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVVTRRIYDPLEEDAFRPILHHYDGPKFRLELNVEEALKLLDIFAENDNA >Ma08_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8450360:8450923:1 gene:Ma08_g11440 transcript:Ma08_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPARTSLSMTTPWPGREGSSPGRLPGRAVVPKKEEKEGCCIFLMVVISWYVIVGFVFISYITLLASTGMRPSFLMGSLEPW >Ma10_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30022764:30034657:-1 gene:Ma10_g19510 transcript:Ma10_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADVGAAKTKEEIEAEISRAMRARVSDFKERADTLTLEGVRRALEKDLGMNMFSLDAYKRFIKQCLEECFYGADDENVPKLSGVSSSQSAKEEKSRQAEDYQQSADFRKSNSDEIEGSPASGGKSASNDEPVNNQGPQDDSDINEDKIRKAIESRADYFRANSASISLAEVRRLLEEDLKLEKKALDAYKSFVTEELDKVLQIPEVVKASNGVKKQLKKVSQNVDVKKPGKNTKRARKESESSDTNDSVTEDEEVDEDIRPKKKTNEKTKSVARAPKRQKKSQDKNESSSSSEKKMVEQASENTSEDDGGNSSADSPHSSKGDVKKKQEKPTQVYGKRVEHLKSIIKSCGMGVPPSVYKRAKQVAESKREAYLIKELEGILKKEGLSTNPSEKQMKVVRKKKERAKELEGIDMSNIVSSSRRRTSSSYIPLPKPKIEVDSDEDDEDEENEEDTDDDNEDDVSGSGGESDEGDEDESD >Ma03_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4395688:4399942:1 gene:Ma03_g06330 transcript:Ma03_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERPCKVRASADPKPWTSDGRRPSPPPPPPSSAAAEAGNGGNFDRVLFKNLVEMVPLVESLMDRRSNSSFTRRASVVYTPAPSNSKKAAESKDGRASQTISAKKQRDLDTAQRNDQNGSSDDLSIFSRNVEVENSLKDREELSILREQVNDLQRKIVEKDESLKSAEIEINQMKAACASIDELKFQIAEKDSLAKSINSQLNNAKITLADKQAALERLTWEARMSNKKIEELQGEIISMDYEIAALMQIYEKLCINNSAIFSDDGLTSYNLEPLPDANEIDDIEIEKMEEARTYYHAAVAAAKENPTEESLATAAEARLRLQALLI >Ma01_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7688867:7698677:-1 gene:Ma01_g10710 transcript:Ma01_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMEKLGGTSEEEEEEGMDMDVKEEDDEDEDGRIRAMVAGAVEIGGGGRYFLALPDLPQESGDRADAPASASGSGSGSRRSRPAEEKERTKLRERHRRAITGRILAGLRRHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSSQVGRPAAAAAVAIPATPTATAAPPSPTPPFRGIAPAGALRTLDHPSGRLKGVFTPPISAYDGHCRSVVPVAGASRAGEKVADSSPLIGSSTDSGNDKQLMDLIPRMPERDFAGSPYVPVYVMLPLGVVNTYCELVDPDGLMEQLKKLKSIGVDGVMVDCWWGIVEAHIPQQYNWKGYMHLFQIVRDTKLKLQVVMSFHECGGKVGDDMCIPLPQWVAEIGRSNPNIYFTDSEGRCNPECLSWGVDKERVLLGRTALEVYFDFMRSFRVEFDEFFEDGTICEIEIGLGPYGELRYPSYPVRHGWRYPGIGEFQCYDSFLQKSLKKAADARGHSVWARGPHNAGSYNSQPHETGFLCDGGEYNSQYGRFFLGWYSQVLVEHGDSVLFLAKLAFDGSSIAVKISGIHWWYKTASHAAELTAGFYNPCNRDGYAPIASMLKKHGAALNFTCTELHTLDHYEDFPEAMADPEGLVWQVLNAAWDVGIPVASENALPCYDRDSYNKILESAKPLNDPDGRHLSSFTFLRLSPVLMQNHNLLEFERFVKQMHGESVPMCR >Ma01_p10710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7688867:7697272:-1 gene:Ma01_g10710 transcript:Ma01_t10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFGKTVTFPCQLRLDSHLCLPIPLPKVGRPAAAAAVAIPATPTATAAPPSPTPPFRGIAPAGALRTLDHPSGRLKGVFTPPISAYDGHCRSVVPVAGASRAGEKVADSSPLIGSSTDSGNDKQLMDLIPRMPERDFAGSPYVPVYVMLPLGVVNTYCELVDPDGLMEQLKKLKSIGVDGVMVDCWWGIVEAHIPQQYNWKGYMHLFQIVRDTKLKLQVVMSFHECGGKVGDDMCIPLPQWVAEIGRSNPNIYFTDSEGRCNPECLSWGVDKERVLLGRTALEVYFDFMRSFRVEFDEFFEDGTICEIEIGLGPYGELRYPSYPVRHGWRYPGIGEFQCYDSFLQKSLKKAADARGHSVWARGPHNAGSYNSQPHETGFLCDGGEYNSQYGRFFLGWYSQVLVEHGDSVLFLAKLAFDGSSIAVKISGIHWWYKTASHAAELTAGFYNPCNRDGYAPIASMLKKHGAALNFTCTELHTLDHYEDFPEAMADPEGLVWQVLNAAWDVGIPVASENALPCYDRDSYNKILESAKPLNDPDGRHLSSFTFLRLSPVLMQNHNLLEFERFVKQMHGESVPMCR >Ma11_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6733669:6736800:1 gene:Ma11_g08460 transcript:Ma11_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSIRTPRRSIDWNPSRCTYEKESKEEDKYRLLGKQLAIDASFEPVMAGRPSLLWNHKHLVS >Ma08_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27301469:27302427:-1 gene:Ma08_g17860 transcript:Ma08_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRGPWTLEEDTLLTHYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLSQEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQMKVDANSTMFRDALRCHWTPRLLEKIGSSQTMQNPVANTNAPTTDQAQLTSCSTVLPQVPVAMSDPNSVTLDQFSSIISSMNNAYDLDAWGLTPMPASDHHTVANNDCSSDVGDDLWCVDELHDMFKSYLSGAETEFPFC >Ma06_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8668950:8681170:1 gene:Ma06_g12510 transcript:Ma06_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKSMSSSPPDKHCEIKASSMECLELPKSCNLKDQKTESNLEHHLMVPQRVTSIPPTSRLADHVSGFQSDMLSLPPLFGKGNIMDLSGPYENGLFSSSLSDILDKKLRLSSRIVPFGQSVNSVNSNFGEDEPFESMEEIEARTIGNLLPDDDDLFPGAAENIGYIHRPSNGNDIDDDIFYSGGGMELESDDNSNRNRKSEFVEGGLFTGQQGEPNGPFSSEHPYAEHPSRTLFVRNIDSNVEDGELRALFEHYGDIHTLHTACKHRGFVMVSYYDIRAAQNAMQALQNKPLRHQNMDIQFSIPKDNPSEEDINEGMLIVFNLDSSITIDDLRQIFGIYGEIKEISETPHKHHHKFIEFYDVRAAAAALHALNKSDIAGKKIKLEPSRPGGARQSLMQQLSPELEQEELDGCWQGSPKNSLPGCLGSSSLGPITPNNLENGALRGLPSAIQAPFTPLMGTTFHGFSSSVPQNLSSPVKIASVDNHTNQATHADISPSVGQINTGFQGMSDMHPHSLPDYHNGISNSIPYNSNTTSTMGIGVISRPSGGIDKRHLQKVGSGSFNGHSFNANEAFGVSSNGSYPLQGHQYVWTNTNAFPHKPPGSMLWSNSSLLINNIPAHQPSQIHGIPRAQSHLLNTLHHHVGSAPSVNPSLLDGRHAYAGDSMEQPAFHPGSLGNMGLSAIPQLKSLELASRNIFSPSSGNCLDPCLSPAHIRIPSPQQRGHMFHGRNPMFPVTGPFDGSTDRIRSRRNDTNVNQCDNKKQYELDIERIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPVGTNVRSRSRSRTVSGGEENHQGGISAYANGEASCDAVGYPPASTKDSDRHKPIFLGEADFGAKLEA >Ma06_p12510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8671515:8681170:1 gene:Ma06_g12510 transcript:Ma06_t12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGPYENGLFSSSLSDILDKKLRLSSRIVPFGQSVNSVNSNFGEDEPFESMEEIEARTIGNLLPDDDDLFPGAAENIGYIHRPSNGNDIDDDIFYSGGGMELESDDNSNRNRKSEFVEGGLFTGQQGEPNGPFSSEHPYAEHPSRTLFVRNIDSNVEDGELRALFEHYGDIHTLHTACKHRGFVMVSYYDIRAAQNAMQALQNKPLRHQNMDIQFSIPKDNPSEEDINEGMLIVFNLDSSITIDDLRQIFGIYGEIKEISETPHKHHHKFIEFYDVRAAAAALHALNKSDIAGKKIKLEPSRPGGARQSLMQQLSPELEQEELDGCWQGSPKNSLPGCLGSSSLGPITPNNLENGALRGLPSAIQAPFTPLMGTTFHGFSSSVPQNLSSPVKIASVDNHTNQATHADISPSVGQINTGFQGMSDMHPHSLPDYHNGISNSIPYNSNTTSTMGIGVISRPSGGIDKRHLQKVGSGSFNGHSFNANEAFGVSSNGSYPLQGHQYVWTNTNAFPHKPPGSMLWSNSSLLINNIPAHQPSQIHGIPRAQSHLLNTLHHHVGSAPSVNPSLLDGRHAYAGDSMEQPAFHPGSLGNMGLSAIPQLKSLELASRNIFSPSSGNCLDPCLSPAHIRIPSPQQRGHMFHGRNPMFPVTGPFDGSTDRIRSRRNDTNVNQCDNKKQYELDIERIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPVGTNVRSRSRSRTVSGGEENHQGGISAYANGEASCDAVGYPPASTKDSDRHKPIFLGEADFGAKLEA >Ma06_p12510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8668950:8681170:1 gene:Ma06_g12510 transcript:Ma06_t12510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKSMSSSPPDKHCEIKASSMECLELPKSCNLKDQKTESNLEHHLMVPQRVTSIPPTSRLADHVSGFQSDMLSLPPLFGKGNIMDLSGPYENGLFSSSLSDILDKKLRLSSRIVPFGQSVNSVNSNFGEDEPFESMEEIEARTIGNLLPDDDDLFPGAAENIGYIHRPSNGNDIDDDIFYSGGGMELESDDNSNRNRKSEFVEGGLFTGQQGEPNGPFSSEHPYAEHPSRTLFVRNIDSNVEDGELRALFEHYGDIHTLHTACKHRGFVMVSYYDIRAAQNAMQALQNKPLRHQNMDIQFSIPKISETPHKHHHKFIEFYDVRAAAAALHALNKSDIAGKKIKLEPSRPGGARQSLMQQLSPELEQEELDGCWQGSPKNSLPGCLGSSSLGPITPNNLENGALRGLPSAIQAPFTPLMGTTFHGFSSSVPQNLSSPVKIASVDNHTNQATHADISPSVGQINTGFQGMSDMHPHSLPDYHNGISNSIPYNSNTTSTMGIGVISRPSGGIDKRHLQKVGSGSFNGHSFNANEAFGVSSNGSYPLQGHQYVWTNTNAFPHKPPGSMLWSNSSLLINNIPAHQPSQIHGIPRAQSHLLNTLHHHVGSAPSVNPSLLDGRHAYAGDSMEQPAFHPGSLGNMGLSAIPQLKSLELASRNIFSPSSGNCLDPCLSPAHIRIPSPQQRGHMFHGRNPMFPVTGPFDGSTDRIRSRRNDTNVNQCDNKKQYELDIERIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMINPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPVGTNVRSRSRSRTVSGGEENHQGGISAYANGEASCDAVGYPPASTKDSDRHKPIFLGEADFGAKLEA >Ma11_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27277310:27281389:1 gene:Ma11_g24200 transcript:Ma11_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTRRWVLGLIYILAVATIWIAASYIVQSVVDSGVSPFLITYICNSLFVVYIPIVEISRYVEDSNEKIFSWFKGKNHSDTQLSADLENVNLLVEGDHNTHPPVISPTGMEIMSGAVSGSQDSESTFHSQSRIIFEQEPIMAIDDCSQQVDSKGRWTRTCVATISLLICPFWFFAQLTFNLSLKYTTVTSNTILSSTSSLFTFLVALAFLGEKFTWLKLTSVLLCMGGTIIVSLADSGSEVSAIATNPLLGDVLALISAGLYAVYITLIRKKLPDEKKGEGQASTAQFLGFVGLFNLLIFLPVAVFLNFTRLEPFRSLNWNQFGLIVGKGLLDNVLSDYLWVKATHLTTTTVATAGLTIQVPIAAVVDTLTGHAPHLMDYIGAVAVMIGFAGINIPSDDSPGTQAIPKEEVTSIVVDDGHLELASDGGTIDAR >Ma09_p16000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11338901:11349086:-1 gene:Ma09_g16000 transcript:Ma09_t16000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKGGMKISNSTDASSHEQEENNDFQSKSKQQDLKKRNNSMPYHKLFSLADTADLALMVVGTIAAISDGVSLPLTTVLFGDMINTFGKTRDINYIVHEVSKVALKFVYLGIANAIASFLQVACWTITGERQAAQIRNLYLKAILRQDIAFFDKEANTGEVIAKISGDTFLIQDAMGEKAGKFIQLVSSFVGGFIVAFVQGWQLTLVMLSTIPPMVLAAAVMATVLTKMAARGQTAYSEAAATVEQTIGSIRTVVSFTGEEHAIKKYNKSLKSAYKASVLEGLSAGVGLGATFGIVFFGYGLGIWFGSKMILKKNYTGGDVINVIFAVITGSMSLGQASPCTSAFAAGQVAAFKMFETINRKPEIDAYDTTGTTLDDIRGDIELKDVCFSYPARPHEQILKGLSLFVQGGTSVALVGESGSGKSTIISLLERFYDPQAGEILIDGINLKEFKLRWIRGKIGLVSQEPVLLASTIRENIAYGKDDATIDEIKAAADLASASKFIDKLPQGLDTLVGEHGIQLSGGQKQRVAIARAVLKDPRILLLDEATSALDAESESILQEALDHAMKNRTTVIVAHRLTTVRNANMITVVHQGSIAEKGSHDELIKIPNGAYNQLVRLQEVKQDSDQHTPVDQDNIYATIGQQLIQTSSQLSTNRWSSIGSDSFHPLSESFRVPVGLLEAPMETSQCEGSLEKIQVPVSRLASLNIPEIPLLLLGTIAAIISGILLPIFGALLSSIIRTLYEPPTKLRKDSKFWTLMLTFLGLATLLSIPARAYLFAIAGSKLIERIRAMSFDKIVHMEVGWFDKLENSSGAIGARLSADAATVRTLVGDTLALAVQNAATLVAGLAIAFSACWQLALIILALAPLVGLNGWIQLKFMKGLNADAKMMFEEASQVASDAIRNIRTVSSFTAEEKVIELYRRKYKGPMNSIIKQGLIGGLGFGLSNILLFCVYATGFYAGARLVKDGETTFANVFRVFFALNFAAVGITQYSSLAPDSAKAKSATASVFAILDRKSKIDSSDDSGTTLDLVEGNIVFDHVSFRYPTRPDVRIFHDLCFAVQSGKTVAIVGESGSGKSTLLSLLQRFYDLDSGHILLDGVEIQKLKLRWLRQQMGLVSQEPVLFNDTVRANIAYGKGGDATESEILAAAESANAHQFISGLQQGYDTLVGERGAQLSGGQKQRLAIARAIIKDPKILLFDEATSALDTESERAVQEALERVMINRTTIVVAHRLSTIKGADMIAVLQDGTIVEKGKHEDLINIKDGFYATLVAFQSA >Ma09_p16000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11338901:11349086:-1 gene:Ma09_g16000 transcript:Ma09_t16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKGGMKISNSTDASSHEQEENNDFQSKSKQQDLKKRNNSMPYHKLFSLADTADLALMVVGTIAAISDGVSLPLTTVLFGDMINTFGKTRDINYIVHEVSKVALKFVYLGIANAIASFLQVACWTITGERQAAQIRNLYLKAILRQDIAFFDKEANTGEVIAKISGDTFLIQDAMGEKAGKFIQLVSSFVGGFIVAFVQGWQLTLVMLSTIPPMVLAAAVMATVLTKMAARGQTAYSEAAATVEQTIGSIRTVVSFTGEEHAIKKYNKSLKSAYKASVLEGLSAGVGLGATFGIVFFGYGLGIWFGSKMILKKNYTGGDVINVIFAVITGSMSLGQASPCTSAFAAGQVAAFKMFETINRKPEIDAYDTTGTTLDDIRGDIELKDVCFSYPARPHEQILKGLSLFVQGGTSVALVGESGSGKSTIISLLERFYDPQAGEILIDGINLKEFKLRWIRGKIGLVSQEPVLLASTIRENIAYGKDDATIDEIKAAADLASASKFIDKLPQGLDTLVGEHGIQLSGGQKQRVAIARAVLKDPRILLLDEATSALDAESESILQEALDHAMKNRTTVIVAHRLTTVRNANMITVVHQGSIAEKGSHDELIKIPNGAYNQLVRLQEVKQDSDQHTPVDQDNIYATIGQQLIQTSSQLSTNRWSSIGSDSFHPLSESFRVPVGLLEAPMETSQCEGSLEKIQVPVSRLASLNIPEIPLLLLGTIAAIISGILLPIFGALLSSIIRTLYEPPTKLRKDSKFWTLMLTFLGLATLLSIPARAYLFAIAGSKLIERIRAMSFDKIVHMEVGWFDKLENSSGAIGARLSADAATVRTLVGDTLALAVQNAATLVAGLAIAFSACWQLALIILALAPLVGLNGWIQLKFMKGLNADAKVFFALNFAAVGITQYSSLAPDSAKAKSATASVFAILDRKSKIDSSDDSGTTLDLVEGNIVFDHVSFRYPTRPDVRIFHDLCFAVQSGKTVAIVGESGSGKSTLLSLLQRFYDLDSGHILLDGVEIQKLKLRWLRQQMGLVSQEPVLFNDTVRANIAYGKGGDATESEILAAAESANAHQFISGLQQGYDTLVGERGAQLSGGQKQRLAIARAIIKDPKILLFDEATSALDTESERAVQEALERVMINRTTIVVAHRLSTIKGADMIAVLQDGTIVEKGKHEDLINIKDGFYATLVAFQSA >Ma09_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11338901:11349085:-1 gene:Ma09_g16000 transcript:Ma09_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKGGMKISNSTDASSHEQEENNDFQSKSKQQDLKKRNNSMPYHKLFSLADTADLALMVVGTIAAISDGVSLPLTTVLFGDMINTFGKTRDINYIVHEVSKVALKFVYLGIANAIASFLQVACWTITGERQAAQIRNLYLKAILRQDIAFFDKEANTGEVIAKISGDTFLIQDAMGEKAGKFIQLVSSFVGGFIVAFVQGWQLTLVMLSTIPPMVLAAAVMATVLTKMAARGQTAYSEAAATVEQTIGSIRTVVSFTGEEHAIKKYNKSLKSAYKASVLEGLSAGVGLGATFGIVFFGYGLGIWFGSKMILKKNYTGGDVINVIFAVITGSMSLGQASPCTSAFAAGQVAAFKMFETINRKPEIDAYDTTGTTLDDIRGDIELKDVCFSYPARPHEQILKGLSLFVQGGTSVALVGESGSGKSTIISLLERFYDPQAGEILIDGINLKEFKLRWIRGKIGLVSQEPVLLASTIRENIAYGKDDATIDEIKAAADLASASKFIDKLPQGLDTLVGEHGIQLSGGQKQRVAIARAVLKDPRILLLDEATSALDAESESILQEALDHAMKNRTTVIVAHRLTTVRNANMITVVHQGSIAEKGSHDELIKIPNGAYNQLVRLQEVKQDSDQHTPVDQDNIYATIGQQLIQTSSQLSTNRWSSIGSDSFHPLSESFRVPVGLLEAPMETSQCEGSLEKIQVPVSRLASLNIPEIPLLLLGTIAAIISGILLPIFGALLSSIIRTLYEPPTKLRKDSKFWTLMLTFLGLATLLSIPARAYLFAIAGSKLIERIRAMSFDKIVHMEVGWFDKLENSSGAIGARLSADAATVRTLVGDTLALAVQNAATLVAGLAIAFSACWQLALIILALAPLVGLNGWIQLKFMKGLNADAKMMFEEASQVASDAIRNIRTVSSFTAEEKVIELYRRKYKGPMNSIIKQGLIGGLGFGLSNILLFCVYATGFYAGARLVKDGETTFANVFRVFFALNFAAVGITQYSSLAPDSAKAKSATASVFAILDRKSKIDSSDDSGTTLDLVEGNIVFDHVSFRYPTRPDVRIFHDLCFAVQSGKTVAIVGESGSGKSTLLSLLQRFYDLDSGHILLDGVEIQKLKLRWLRQQMGLVSQEPVLFNDTVRANIAYGKGGDATESEILAAAESANAHQFISGLQQGYDTLVGERGAQLSGGQKQRLAIARAIIKDPKILLFDEATSALDTESERAVQEALERVMINRTTIVVAHRLSTIKGADMIAVLQDGTIVEKGKHEDLINIKDGFYATLVAFQSA >Ma09_p16000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11338901:11349085:-1 gene:Ma09_g16000 transcript:Ma09_t16000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKGGMKISNSTDASSHEQEENNDFQSKSKQQDLKKRNNSMPYHKLFSLADTADLALMVVGTIAAISDGVSLPLTTVLFGDMINTFGKTRDINYIVHEVSKVALKFVYLGIANAIASFLQVACWTITGERQAAQIRNLYLKAILRQDIAFFDKEANTGEVIAKISGDTFLIQDAMGEKAGKFIQLVSSFVGGFIVAFVQGWQLTLVMLSTIPPMVLAAAVMATVLTKMAARGQTAYSEAAATVEQTIGSIRTVVSFTGEEHAIKKYNKSLKSAYKASVLEGLSAGVGLGATFGIVFFGYGLGIWFGSKMILKKNYTGGDVINVIFAVITGSMSLGQASPCTSAFAAGQVAAFKMFETINRKPEIDAYDTTGTTLDDIRGDIELKDVCFSYPARPHEQILKGLSLFVQGGTSVALVGESGSGKSTIISLLERFYDPQAGEILIDGINLKEFKLRWIRGKIGLVSQEPVLLASTIRENIAYGKDDATIDEIKAAADLASASKFIDKLPQGLDTLVGEHGIQLSGGQKQRVAIARAVLKDPRILLLDEATSALDAESESILQEALDHAMKNRTTVIVAHRLTTVRNANMITVVHQGSIAEKGSHDELIKIPNGAYNQLVRLQEVKQDSDQHTPVDQDNIYATIGQQLIQTSSQLSTNRWSSIGSDSFHPLSESFRVPVGLLEAPMETSQCEGSLEKIQVPVSRLASLNIPEIPLLLLGTIAAIISGILLPIFGALLSSIIRTLYEPPTKLRKDSKFWTLMLTFLGLATLLSIPARAYLFAIAGSKLIERIRAMSFDKIVHMEVGWFDKLENSSGAIGARLSADAATVRTLVGDTLALAVQNAATLVAGLAIAFSACWQLALIILALAPLVGLNGWIQLKFMKGLNADAKMMFEEASQVASDAIRNIRTVSSFTAEEKVIELYRRKYKGPMNSIIKQGLIGGLGFGLSNILLFCVYATGFYAGARLVKDGETTFANVFRVFFALNFAAVGITQYSSLAPDSAKAKSATASVFAILDRKSKIDSSDDSGTTLDLVEGNIVFDHVSFRYPTRPDVRIFHDLCFAVQSGKTVAIVGESGSGKSTLLSLLQRFYDLDSGHILLDGVEIQKLKLRWLRQQMGLVSQEPVLFNDTVRANIAYGKGGDATESEILAAAESANAHQFISGLQQGYDTLVGERGAQLSGGQKQRLAIARAIIKDPKILLFDEATSALDTESERAVQEALERVMINRTTIVVAHRLSTIKGADMIAVLQDGTIVEKGKHEDLINIKDGFYATLVAFQSA >Ma02_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27608757:27618581:-1 gene:Ma02_g22200 transcript:Ma02_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLHLLLNKPPPHPFLPPSSFFSPLSSSFHVSSRRPRPIPRFSFARRLLCSKSDPPTQSDPRRGEPAPSIVGDLLDYLNESWTQFHATAEAKRQLIEAGFHLLNENDEWDLRPGGRYFFTRNMSCLVAFVVGERYSVGSGFHVIAAHTDSPCLKLKPRSASSKSGFLMVNVQTYGGGLWHTWFDRDLSVAGRVILKDGHGSFSHKLVKVRRPLLRVPTLAIHLDRTVNTEGFKPNLETQLLPLIATKIEDAEMKSEDKKIAPSSSKSAHHSLLLQVLCEELSCDVSDIMGMELNVCDTQPSCLGGGNNEFIFSGRLDNLASSYCALRALIDTCRLPGDLANEQAIRMVALFDNEEVGSNSMQGAGAPTIFQAMRRIVDCLAQERFREGSFERALRSSFLVSADMAHGLHPNFPDKYEEHHRPALQKGVVIKHNANQRYATSSLTAFLFKEVANVHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREICGKDDIDIAYKHFMAFFQSFSDIDKKLSVDF >Ma05_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:532393:534278:-1 gene:Ma05_g00870 transcript:Ma05_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >mito5_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:158662:158835:1 gene:mito5_g00040 transcript:mito5_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFHLYTWWNLAYLNENGLALHLYGLERRTYTGLDQYLIQGKCFFEGKLCAGRKNG >Ma07_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29530402:29531781:1 gene:Ma07_g21410 transcript:Ma07_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAPHCAIPCHVDDDDSTHLLPKSISLSTQLSLPSLRSLDSCAETVPYSLHRCTATLRAHSSYVSAIAVHGESVYSGSPDQEIRLWPCVHLDSASSSSSSSSAPRTDRLTSFTVAAAKSPVKSLVVAGDNLFSSHQDGKICVWQINRRERQHCKLKAVLPTQKDRFLSLLVPENYVQVRRHKKCTWVHHVDAVSGLAVSHDGALLYSVSWDRALKVWRTSDFKCMESVAGAHRDAINAVAVSLDGHVYTGSADARINVWRRGGEGGTKHSLVQTLERHRSAVNALALSADGSVLYSGACDRSVVVWEGGGGRMEAAGALRGHRRAILCLAAVGGVVCSGSADRTVRVWKRGVLEKGRYWCLAVLEGHGGPIKSLTAAPVVDEGSRTESSCGLGGSSSSSSSCLVLSGDLEGEIKVWRVSIRPPPPEGIFC >Ma09_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33772773:33774726:-1 gene:Ma09_g21810 transcript:Ma09_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMMLSRGSKTLVALHRHHLQLQCGQWGWWSRRWLVKVRLKWVKNRGFDHIIDRDTDIKAACLLKDAIAAAPSGLLPARSLARFQKNLGLTVPVLRFLRRYPTLFRELPHPRFPSLPAFSLAPAALLLHRRESETFQAALPEDAAHRLARLLMMARSRALPLSAIFPLRYDLGLPPDFPSALAATRSDLFRLSRRPTDGAFILSLSSWPDHLAFSALQLRHRDALAQPSYRDFKKPSVSSAAPLAFPMRFPRGYGSMKKVKAWMEDFHHLPYVSPYEDASGIDPDSDLMEKHVVGVLHELLSLTIHKKTKRNYIRSLREELGLPHRFTRVFTRYPGIFYLSLKCKTTTVVLREGYQSGKLVDPHPLSLVRDKFFYVMRTGLLYRGKGMTKLVLEEDDLFGEGNCREEQKEQIAIDNEEQDGVYGDDVGFDDEDVDASDNDDDECYELDDSDDEDGSDNG >Ma06_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15131162:15132628:1 gene:Ma06_g21020 transcript:Ma06_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYNGNNHSAGGVRTGEGPPRLGNSGGDPSSLEQDGKKRKKRDDHFKGIDLIDHFEGIDLLGLVNLKFKDGGNIVEHISLFQSLANKLVAIKMNIDDEM >Ma08_p26560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39091664:39096739:1 gene:Ma08_g26560 transcript:Ma08_t26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWQRAKGFAEEAAKRSQEISKEAAKRSQELTKGAARFSQEFVSETAKKSKEIASEAAKKADLLRSEALRAAEQIKTLAVDIPIPMPPTLGQGSNAAVVPEPRSDLERFGVTEELREFVKGITISTFRDFPMQDEPETSDVPTVSNVRQDLSEWQARHATLVLSTVKEISNFRYELCPRYMKERKFWRIYFILVDSHVALYEKQYMEELKKKEEQKQIDSVKENPTALPPTLPDAKETILPKSSTSLTAEDLDAFLLGDLGSDDEGLDDGKDGLDDDFDKIGSTSGLDSDSEKI >Ma09_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3676569:3681705:-1 gene:Ma09_g05720 transcript:Ma09_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQCSSLLLRATPLPSPSLPRSISVPANPKPHKSRHLTPFEPIPSSPIPSPSPIDTSGAHLHHDCSLGSYSRMLHGCSSRGSLPRGKAVHGRLLRAGIEPDAHLWNCLLNMYCKCGSLKGARLLFELMPHRDVVAWTCLMAAHACANDGEEGMRMFCEMMTDGVWPNAFALASGLKACSVCEDLGFGQQLHGEAVKMHLLSDPIVGSSLVDFYVKCAGMELAEKVFFGLPEKNVTSWNALLGGYAWLGEDMKVLELFRGFMESGTMVSEFILPTVIKHCAGLGEVRQGRSLHCLVIKIGLEQDGFLSSSLVDMYSKCGLVEEAHKIFVRIVDPDVVVWSAMISGFDQQGMGLEAVELFRSMKRMGVRPNYFTVASVAGAASQLDDQALCGSLHAYILKNGFDMRKEVGNAILNMYMKNGVVEDGCMVFDTMMEHDTISWNSLLSGFHSGSSCDKGLRIFIGMLTQNIMPNTYTYISILRSCTSLKDARYGAQVHAHIFKSNLSRDSFLGRCLVDMYASSGDLENACLVFDRLTERDVFSWTVIITGYTNTYQGEKAINCFRQMQQQGLDPNEFTISCCLGACSYIAALDSGRQFHSHAIKSGLTGSYVSSNLINMYAKCGCMMDAEAAFNESTFHDEVSWNILICGYSQHGYVGKALESFQQMIEEGKRPDEVTFVGVLSACSHAGLLNEGMKYFNSMYRIYGITPTIEHHHCIIDILGKAGKHDEVVHFIDEMGLSSDASIWQTALGTCRIHGNVEFAERAANKLFELDPSVDSSYIMMSNLYAAAGRWEDATRMRKFMVSRGIKKEPGCSWIEVNGQCHVFLAQDGSI >Ma09_p05720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3676569:3681705:-1 gene:Ma09_g05720 transcript:Ma09_t05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQCSSLLLRATPLPSPSLPRSISVPANPKPHKSRHLTPFEPIPSSPIPSPSPIDTSGAHLHHDCSLGSYSRMLHGCSSRGSLPRGKAVHGRLLRAGIEPDAHLWNCLLNMYCKCGSLKGARLLFELMPHRDVVAWTCLMAAHACANDGEEGMRMFCEMMTDGVWPNAFALASGLKACSVCEDLGFGQQLHGEAVKMHLLSDPIVGSSLVDFYVKCAGMELAEKVFFGLPEKNVTSWNALLGGYAWLGEDMKVLELFRGFMESGTMVSEFILPTVIKHCAGLGEVRQGRSLHCLVIKIGLEQDGFLSSSLVDMYSKCGLVEEAHKIFVRIVDPDVVVWSAMISGFDQQGMGLEAVELFRSMKRMGVRPNYFTVASVAGAASQLDDQALCGSLHAYILKNGFDMRKEVGNAILNMYMKNGVVEDGCMVFDTMMEHDTISWNSLLSGFHSGSSCDKGLRIFIGMLTQNIMPNTYTYISILRSCTSLKDARYGAQVHAHIFKSNLSRDSFLGRCLVDMYASSGDLENACLVFDRLTERDVFSWTVIITGYTNTYQGEKAINCFRQMQQQGLDPNEFTISCCLGACSYIAALDSGRQFHSHAIKSGLTGSYVSSNLINMYAKCGCMMDAEAAFNESTFHDEVSWNILICGYSQHGYVGKALESFQQMIEEGKRPDEVTFVGVLSACSHAGLLNEGMKYFNSMYRIYGITPTIEHHHCIIDILGKAGKHDEVVHFIDEMGLSSDASIWQTALGTCRIHGNVEFAERAANKLFELDPSVDSSYIMMSNLYAAAGRWEDATRMRKFMVSRGIKKEPGCSWIEVNGQCHVFLAQDGSI >Ma09_p05720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3676569:3681705:-1 gene:Ma09_g05720 transcript:Ma09_t05720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQCSSLLLRATPLPSPSLPRSISVPANPKPHKSRHLTPFEPIPSSPIPSPSPIDTSGAHLHHDCSLGSYSRMLHGCSSRGSLPRGKAVHGRLLRAGIEPDAHLWNCLLNMYCKCGSLKGARLLFELMPHRDVVAWTCLMAAHACANDGEEGMRMFCEMMTDGVWPNAFALASGLKACSVCEDLGFGQQLHGEAVKMHLLSDPIVGSSLVDFYVKCAGMELAEKVFFGLPEKNVTSWNALLGGYAWLGEDMKVLELFRGFMESGTMVSEFILPTVIKHCAGLGEVRQGRSLHCLVIKIGLEQDGFLSSSLVDMYSKCGLVEEAHKIFVRIVDPDVVVWSAMISGFDQQGMGLEAVELFRSMKRMGVRPNYFTVASVAGAASQLDDQALCGSLHAYILKNGFDMRKEVGNAILNMYMKNGVVEDGCMVFDTMMEHDTISWNSLLSGFHSGSSCDKGLRIFIGMLTQNIMPNTYTYISILRSCTSLKDARYGAQVHAHIFKSNLSRDSFLGRCLVDMYASSGDLENACLVFDRLTERDVFSWTVIITGYTNTYQGEKAINCFRQMQQQGLDPNEFTISCCLGACSYIAALDSGRQFHSHAIKSGLTGSYVSSNLINMYAKCGCMMDAEAAFNESTFHDEVSWNILICGYSQHGYVGKALESFQQMIEEGKRPDEVTFVGVLSACSHAGLLNEGMKYFNSMYRIYGITPTIEHHHCIIDILGKAGKHDEVVHFIDEMGLSSDASIWQTALGTCRIHGNVEFAERAANKLFELDPSVDSSYIMMSNLYAAAGRWEDATRMRKFMVSRGIKKEPGCSWIEVNGQCHVFLAQDGSI >Ma09_p05720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3677150:3681705:-1 gene:Ma09_g05720 transcript:Ma09_t05720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQCSSLLLRATPLPSPSLPRSISVPANPKPHKSRHLTPFEPIPSSPIPSPSPIDTSGAHLHHDCSLGSYSRMLHGCSSRGSLPRGKAVHGRLLRAGIEPDAHLWNCLLNMYCKCGSLKGARLLFELMPHRDVVAWTCLMAAHACANDGEEGMRMFCEMMTDGVWPNAFALASGLKACSVCEDLGFGQQLHGEAVKMHLLSDPIVGSSLVDFYVKCAGMELAEKVFFGLPEKNVTSWNALLGGYAWLGEDMKVLELFRGFMESGTMVSEFILPTVIKHCAGLGEVRQGRSLHCLVIKIGLEQDGFLSSSLVDMYSKCGLVEEAHKIFVRIVDPDVVVWSAMISGFDQQGMGLEAVELFRSMKRMGVRPNYFTVASVAGAASQLDDQALCGSLHAYILKNGFDMRKEVGNAILNMYMKNGVVEDGCMVFDTMMEHDTISWNSLLSGFHSGSSCDKGLRIFIGMLTQNIMPNTYTYISILRSCTSLKDARYGAQVHAHIFKSNLSRDSFLGRCLVDMYASSGDLENACLVFDRLTERDVFSWTVIITGYTNTYQGEKAINCFRQMQQQGLDPNEFTISCCLGACSYIAALDSGRQFHSHAIKSGLTGSYVSSNLINMYAKCGCMMDAEAAFNESTFHDEVSWNILICGYSQHGYVGKALESFQQMIEEGKRPDEVTFVGVLSACSHAGLLNEGMKYFNSMYRIYGITPTIEHHHCIIDILGKAGKHDEVVHFIDEMGLSSDASIWQTALGTCRIHGNVEFAERAANKLFELDPSVDSSYIMMSNLYAAAGRWEDATRMRKFMVSRGIKKEPGCSWIEVNGQCHVFLAQDGSI >Ma07_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4122429:4122885:-1 gene:Ma07_g05680 transcript:Ma07_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARVLGGRKQMARTKRVGGATVRWTGKPLISKKRTARSRKAMSCIERKLEVLQELVPNGPSVQELDGLFREAANYIVSLQVQVKVMQILLDELSPKVSDN >Ma09_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12490718:12493077:-1 gene:Ma09_g17020 transcript:Ma09_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLESLRRFSSLPLPASAPLPHLLSLLSRCSTFGHIAQTHAFMIARGLPGDNLLLAKFLHACSSLGFRGHALLAFDRAERPDVYLLNTMIRCLCQTDSAEHAVSLFNRIQGSGLRPDTYSFPFVLKAVAHLGMLQLGREVHGQITRFGLDADIHVSTALVVMYSNCGEVNDARKLFDGFQLRDVVMWNAMTAGYVKVGDVDSARDIFEQMPKRNVVSWTTMIAGFADATGSDEAITMFRRMQLEGGIEPDEVALLAVLSACANLGALDLGEWIHSFIGKRGLYKTIPLMNSLIDMYAKSGYIHKSLEVFEDMKQRSVVTWTTMIAGFASHGLGFEALELFHRMEREHVQPNDVTFLAVLSACSHIGLTDLGRWYFDRMYSKYMIKPRIQHYGCMIDLLGRAGCLREARDLVRRMPFEPNGAIWGALLAAARSHGDAELGELALRHLVEIEPHNSGNYILLSNIYAAHEMWDDVAKLRKMMKERGVMNVPGASSIEVDGAVHEFTSRDGSHPYFNRIYELLHEMSGHLKMIGYVPKHHSGILDFEEG >Ma06_p33840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34318107:34319910:-1 gene:Ma06_g33840 transcript:Ma06_t33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCHYLLSSPFTSGLPLKTTRTTTTKTRPPPFPKAPIIIASSARPLRAAVIGGGPAGASAAEALALGGIETYLIERAPSGSKPCGGAIPLCMLSEFDLPSSLVDRRVTRMRVLSPSNRAADFGRSLLPHEHIPMLRREVLDAFLRRRAADAGARLLPGLFTSIRLPLSDSDPYLVHYTASPSTPGSLAGTPSALAVDVVIGADGANSRVARSISAGDYTTAIAFQERIRLPPAGMSRYEDLAEMYVGADVSPDFYAWVFPKCDHVAVGTGTSAAKPDIKRLQAAIRARAGPKIAGGEVIRVEAHPIPEHPRPRRVVGRAALVGDAAGYVTRCSGEGIYFAAKSGRMCGEAIVRAWKERGVVTEADLKTAYLRKWDEEYRGMFRFLDLLQQVFYGSNAGREALVELCADEYVQRMTFESYLYKRMARGDWRKDLGLAWRTIGSLVRAGVMGWEVDRLRGIGADSS >Ma02_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16286931:16289426:-1 gene:Ma02_g04880 transcript:Ma02_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAEVKKVPAAGTQEEEEAVGGEVAAVLDFDMLCATVALQTQGMSVGRSRRIEAVAEEGDEDEGMEFGGVQRMWEGDVTDCFDDRRIAIEAACCPCYRFGMNMRRANLGSCFLQATVHSIFIVAALFSFIAFGITNRHCFLYMAIASTISSGLYLGHFRTRLKKRFNIRGSNSLLDCINHLICPCCTLCQESRTLEMNNVQNGVWHGRGDTICLATGGEGSKAFTALHKPPLFATRIPDLCSMERASNGSEHSWNADTSHSKSLVLSDQLGQHN >Ma01_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27842930:27844168:1 gene:Ma01_g23370 transcript:Ma01_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGLSEEEFGPQIHFREYSFLQNPSVPKHVKESLLNVQLCDAHSKGCNISDGTTSRGFIQFPRNSTEQMYMQVFSQYKDIKVLHFSSMANAFQGFNDEAREVKFRNRMKRYVGMWCCVENRDPGHIYYDIYWDEKPEWKPEPPRTSQDDHPPWD >Ma10_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23612957:23619849:-1 gene:Ma10_g09460 transcript:Ma10_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDLFKQVCVWVQFQKQDFVSGRVAASHAVEKLTTLIDRHWPLVYSWCTIVAMFLFRLLLRWRDCVARGLRSLFTFGTTALFVILWSCFVCLTSMTSIVYAILSLGAAATFIHYLGFTPGLSIIGPCGILIMWIYGYFWLIAMLLIAGGYVISLNHARYLILTAIGYAVYCVNAYVGLHGIFVALNLSFISHDILNNLLQRCDCTDEGTHVKEQKESKQVMEDFSVDSEYSPPTKEAEDAVYSKSFCTTTKASNLSNTKKDASSSKVVIMEPISLVEMERIMNSSNHYEVLGLLRNKSVDHNILKKEYHKKVLLVHPDKNMGSQLACESFKKLQCAYEVLSDLTKKKNYDEQLSKEEHGRECQRSSVTSQQGGAEFHPEESRCIQCTKCGNSHIWICTNRSKSRARWCQDCSQYHQAKDGDGWVESGCQPVVMTLQKLEIPRAFVCAESKVFDVSEWAICQGMTCRPNTHGPSFHVNMVAFDSTGLRSNSSTYSWGLDAKMAVEDGEFELWLQEALASGIFSETPKRRKSWPFKINQKGMKPWRRSP >Ma09_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8058934:8061049:-1 gene:Ma09_g11900 transcript:Ma09_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANPRKEGGGGNGASPPIPLLPCFDVKAFVATLVVLTLVMAMWQLQPYESLFTSRSSCPLATATSNTVTSVRKSGLSLTPDSAFNSTALKPLPSSNLSVPTAKMRTPALDRNKRVFQAYGSAAALFVLMGAYRGGPATFAVVGLASKPTHVYGRPWYKCEWVPDPNPSAAPNATSQPQPVRAKAYKMLPDWGYGRVYTVVVVNCSFPFNPNTDNAGGKLLLHAYYSTKSRRYEKFVALEESPGSYDESRFRPPFKYEYLYCGSSLYGNLSASRIREWMAYHAHFFGPSSHFVFHDAGGIGPEVRAVLDPWVRAGRATLQDIQAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPQGRTLESVLRNLSDYTQFTIEQNPMSSKLCVQDPKKDYSKEWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGKTTHKTEDMIRYYHYHNSINVLGEPCREFVPLPAAGNVTWFEKIPYVYDDNMKRVADTIRRFEKQTIGTIPAW >Ma02_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2276354:2277821:1 gene:Ma02_g00190 transcript:Ma02_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEAGGRGEYGGKDYSDPPPEPLVDAEELTKWSLYRAAIAEFVATMLFLYVTVATVIGYKHQSDPAVNPTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKISLVRALLYMIAQCLGAICGVGLVKGFQSAYYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWMFWVGPFIGAAVAAAYHQYILRASGAKALGSSSSI >Ma03_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1899953:1900698:-1 gene:Ma03_g02780 transcript:Ma03_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQSLQYVQENPDEVCPAGWKPGEMSMKPDPKLSKEFFAAI >Ma03_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:864238:866787:1 gene:Ma03_g01110 transcript:Ma03_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLIVGLARAMRRKRTSSLSILSSKRGPRDYYKGKNCKPTGFHTRKGGYVVMDEKLPLYVVPDLTDFKLKPYVSQCPRDATATSASTTTAESADKAK >Ma06_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2435858:2438398:-1 gene:Ma06_g03310 transcript:Ma06_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGSSYASGSRSATRRHVDYGRTYVVRPKGRHRATIVWLHGLGDNGASWYQLLETLPLPNIKWICPTAPTRPVALFGGFPCTAWFDVADPSQDGPDDADGLEASAAHIANLLSSEPADVKLGIGGFSMGAATALYSASCFAHGRYGNGGRYPINLSAVVGLSGWLPCSRSLKTKVESSQEAARRAASLPLLLCHGTGDGVVPYKQGERSAETLRMSGFRNLTFKAYNGLEHYTIPEEMDAVSKWLTARLRLDGSRA >Ma04_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11469149:11484049:-1 gene:Ma04_g15170 transcript:Ma04_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNLKENYSDLIGDSTRSEPVASNAAWWHSDIAENLKSVSLAPRGETLTTGGFPCDVWQAEYSSQAASQILWSTGTYSGLIPNGFYSIIPDKKLKELFDTIPSPDGLYSLGMEGSKADIILVDAEKDKKLCMLKQLSAAMVKGLYANPALIIKKIAGLVFDFYKRPNSDLSPAKAAVEDVSHLMDNRGIQLLGQIRQGSCRPRAILFKVLADAVGLESKLVVGLPNDGGVKCIDSFKHMSVVVVLNSVELLVDLMRYPGQLIPFSTKAIFISHISAAGESDSAEYDSCDSPLEPNSPLYGLSDKVEAAGSEHNETLQSLYQRRADPSSSLLDHSLRRVMLRSTTFAEGNLSEPDIANAFWRRSRRKVVAGRTASSSPEHPLCRTRGRSMLGSDRQSFREYADGVTISRSDGASTSDARRMRRRSISITPKIGDDIVRTVRAMNETLKQKRFLRDHVVEASGSFSMNDKHRLNDPSSNDEASGRLSSTCNNFRKQTGSTQKAISLPSSPHVYRGETSDISGDFLRTEVMESKWNKILQSSSLLNKPLLPFDEWNIVFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDMTTENMEDFCNEISILSRLRHPNGNDFLLPDSDLGEYMEMGSLYYLIHTSGQKKRLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMKAGPMRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGMTPVQVVYAIANEGKRLVIPEGPLSSLISDCWAEPDERPSCQEILTRLLDCEYSLC >Ma04_p15170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11469149:11484049:-1 gene:Ma04_g15170 transcript:Ma04_t15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNLKENYSDLIGDSTRSEPVASNAAWWHSDIAENLKSVSLAPRGETLTTGGFPCDVWQAEYSSQAASQILWSTGTYSGLIPNGFYSIIPDKKLKELFDTIPSPDGLYSLGMEGSKADIILVDAEKDKKLCMLKQLSAAMVKGLYANPALIIKKIAGLVFDFYKRPNSDLSPAKAAVEDVSHLMDNRGIQLLGQIRQGSCRPRAILFKVLADAVGLESKLVVGLPNDGGVKCIDSFKHMSVVVVLNSVELLVDLMRYPGQLIPFSTKAIFISHISAAGESDSAEYDSCDSPLEPNSPLYGLSDKVEAAGSEHNETLQSLYQRRADPSSSLLDHSLRRVMLRSTTFAEGNLSEPDIANAFWRRSRRKVVAGRTASSSPEHPLCRTRGRSMLGSDRQSFREYADGVTISRSDGASTSDARRMRRRSISITPKIGDDIVRTVRAMNETLKQKRFLRDHVVEASGSFSMNDKHRLNDPSSNDEASGRLSSTCNNFRKQTGSTQKAISLPSSPHVYRGETSDISGDFLRTEVMESKWNKILQSSSLLNKPLLPFDEWNIVFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDMTTENMEDFCNEISILSRLRHPNGNDFLLPDSDLGVILFLGACMKPPHLSLITEYMEMGSLYYLIHTSGQKKRLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMKAGPMRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGMTPVQVVYAIANEGKRLVIPEGPLSSLISDCWAEPDERPSCQEILTRLLDCEYSLC >Ma05_p09320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6753697:6761183:1 gene:Ma05_g09320 transcript:Ma05_t09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLLTKLRKQQFLGHPQLFGSGQFHHVGHKVEGGLGSKLGNLHGRFQSSYVGTFARRVRDTDVSNDVTLLKELYRSDPERVIRLFESQPSLHSNPSALAEYVKALVKVDRLEQSTLLKTLQRGIANSAREEDSIDSISSIPALKNVGQSTKDGILGTASAPIHMVTAETSNFKEQLWRTFRTIAVSFLVLSGVGALIEDRGLSKGLGLHEEVQPSMDSSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFTAGKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMADLEYAKDKIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISKKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLQQATSVARAMVTKYGMSKQVGLVSHNYDDNGKSMSTETRLLIEEEVKGFLERAYHNAKTILITNNKELHALANALLEHETLSGVQIKNLLAQVNSQQQQPQLVAAPHVSTPPVPTAPPSAAAAAAAAAAAAAQAAAKAKGVAQPAVGS >Ma05_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6753697:6761183:1 gene:Ma05_g09320 transcript:Ma05_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLLTKLRKQQFLGHPQLFGSGQFHHVGHKVEGGLGSKLGNLHGRFQSSYVGTFARRVRDTDVSNDVTLLKELYRSDPERVIRLFESQPSLHSNPSALAEYVKALVKVDRLEQSTLLKTLQRGIANSAREEDSIDSISSIPALKNVGQSTKDGILGTASAPIHMVTAETSNFKEQLWRTFRTIAVSFLVLSGVGALIEDRGLSKAGLGLHEEVQPSMDSSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFTAGKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMADLEYAKDKIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISKKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLQQATSVARAMVTKYGMSKQVGLVSHNYDDNGKSMSTETRLLIEEEVKGFLERAYHNAKTILITNNKELHALANALLEHETLSGVQIKNLLAQVNSQQQQPQLVAAPHVSTPPVPTAPPSAAAAAAAAAAAAAQAAAKAKGVAQPAVGS >Ma08_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7910607:7922576:1 gene:Ma08_g10790 transcript:Ma08_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLSLPLIDLYSPDRAAVAESIRQACIEYGFFYVINHGIGKGIFQKVFEESQKFFSLPLEEKMKLKRNDAHRGYTALYAETLDTSTEFKGDLKESFYIGSNSQDDLNQWPSEESLPLWRDTMQSYHQDVMSVAKRLASLIALSLNLDDNFFERIGAWDFPMASVRLLHYPGELSACDNGKYGASAHSDYGIITLLVTDGVPGLQICREKDTNPQLWENVHHVNGAFIVNVGDLLERWTNCLFRSTLHRVLAIGKDRYSVAFFLDPNPDCLVECLESCCSDSHPPRFPPIRSGDYLKERLRVTYS >Ma11_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1697074:1700694:-1 gene:Ma11_g02350 transcript:Ma11_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQPKFHQESTAHALVDGSGTNDGNAYTYDRNSISQDATTSVVYEAKADAATAGHSEGVVTDENMASISQNMAGYDSIDRSTSEMTNYQSTGAVMNGSV >Ma10_p28070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35074742:35076701:-1 gene:Ma10_g28070 transcript:Ma10_t28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRIGCSPAAFFVALSLVNSFVFSAVDRHGTWPAALPEGLLALDIVDKIHVDPDTTARFSTDYGGLSQADPAAVLYPSSPDDIATLVRFAYSSSPSSFSIAAHGHGHSIRGQALVPGGVVVDMASLGRGRSDRINVSSDWYVDAGGEQLWIDVLRETLKHGLSPRSWTDYLYLTVGGTLSNAGISGQAFRHGPQISNVYELDVITGKGDTITCSREENSDLFYGVLGGLGQFGIITRARIALEPAPQRVRWLRLFYTDFSSFTGDQELLVSMTEKVFDYVEGQLLTEKDVGNSSFFSDTDTEKVKMLAAEYGRIYFVEGGVYYEPATASMVDQKLETLLKRLSFVPGFAFTKDVAYISFLNRVQEEETHSTAPENVLHPWLNMFVPKSRIRDFEMGVFKGILKNNNPIGLVLIYPFNKNKWDDKMSAAIPDEEVFYTIGLLPSATVDDWKYLDSQNDEILRFCQQEGIDFKQYLPHYTTQTDWKNHFGLKWDVFVRLKRRYDPQALLSPGQRIFTSSL >Ma11_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24237106:24240214:-1 gene:Ma11_g19340 transcript:Ma11_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSCIPLPLPNHRLPPVSRSRHNGVISSTTIRVNDIDMYILLSTGLEIVYCMHAESRPILDVNQAPSAAAGRNSEEDGGVSSPNSRASSATAKRSTEREAGSRGVSDEEDDGDGCRKKLRLSKDQSAILEESFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLRRCCQDLTEENRRLQKEVLELRALKLSPQLYMHMTPPTTLTLCPSCERACGSTSAALAAASSSSRNQPPAEYHQFLHQQRPVAAPWAPIQLRPSFLDAPPQRS >Ma11_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27532100:27538499:-1 gene:Ma11_g24650 transcript:Ma11_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPKPTPEDDVHHRAAPAAAGRRKGTVNRAWLVLSDSGRSYHEEVGKHSIMRRTGLPARDLRVLDPLLSYPSTILGRERAIVINLEHIKAVITATEVLIPNSSDPLVAPFVQDLQSRVSSSYGAPQQEAQDTGDLDGEVMGKSTSCWPSFPGQEMRHGSGVSKEHGSLSGDVSQGSPSPELDVTNDGSTKVAPFEFRVLEVCLESACRCLESETLALEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQRVRDELEHLLDDDMDMAEMYLTDKLASQRVGESSSRINLDNDASEQADDGDDEFRVETESCRESFGALKPNIEELEMLLEAYFVQIDGTLNKLYHLREYVDDTEDYINIMLDEKQNQLLQMGVMLSTATVVTTAGVVLVGLFGMNIGIDLYNAPYHKFWETTWGTIVGCVILYVLAIGFGKKSGLLQ >Ma08_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6090958:6091189:-1 gene:Ma08_g08610 transcript:Ma08_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTFSFRLLEVEKTGSTFGQLKVYISYLATLNQERSC >Ma08_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21535493:21537027:-1 gene:Ma08_g16910 transcript:Ma08_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWSSPFQDPNHVKVQRNRSLTRGEIDAFWKEKKSKEEYVGAVNGLVDSNQENINIGSSEMLQPSGDLPPRDRKQSILNSSSKSDADILKTNCWWTRSSYAFLNAIPVTSMEGPSYKYASQYNSSGSVEKNGMYDFAVELLDYCTYVPVRKLCCVYQHILNVLVGGVADLLADRSFSLIWFL >Ma03_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11224333:11226896:1 gene:Ma03_g14060 transcript:Ma03_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPLRAGGVIRFNPNLFSPPPPSSPPKRISLYHPSLRPLLSCRSLRGLLQAHAHFLVTGLLHDSFAASRLLKAAADSAAAPLSYTLLLFRSVPAPDAFCANVVLKALSLSLDTLLALPFFVANLRSGFAPNSFTFPVLFSACARASSLDSGETCHGQAVKRGVDAVLHVRNSLVHMYATCGLVGCARMLFDEMSHRDTVSWNSMIDGYVTSGELNSARELFDEMPGRNVVSWNVMIGGYVKGRNPEMGLELFRLMDKKGLKGNDKTMVSVVTACGRLGKLNGGRSIHAYYTRNFVDDNVIFGTALVDMYSRCRRVDVARRVFEEMPKRNLVCWNAMIVGHCIHGDPLDGLALFDKMVGEGCDTHEETNDSFHLETTGISPDEVTFIGLLCACARKGLLTQGKKYFEQMTKLYNLKPTFAHYWCMANLYGGLGLVEEAEEVLRGMPEDEESLALGGLLGLCRFRGELELGESIAKRLVELEPSNGSRYSLLQNIYVAAGKWEDAHKVKEMMRNREIRSMPGHRLVDLNEIVHNFKIGDRSKPEIEKVYEMLDDVAARLRIRGATMDLTESVQQ >Ma02_p07890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18380709:18390262:-1 gene:Ma02_g07890 transcript:Ma02_t07890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPRHSVDIPLSKTLVALKRVRSLRDPSTNSMSKFTAVIEHMNIGANSLNVPFEMNDSNKHHVLQSGKYHLKEEIEDVLSNSGSNFSSRIPNPKSTFPEKSCAVKVRGSKPTRTKLAHKSHRDRPRKSLASARVRHSTRHVEVEVDSYKAPEFDLADRVTATGKKPFYRNSRKPASAVSHAGSPCKSVSEAHTTGSRRPTMGFATLDSQSKSNDVVGSNFSGCGISYCWSGTPKYRGRNIYSDDEEQEKPLLSAEQSETACINSARYPQSPRSLSQKFRPKSFNELVGLNVISQSLLHAITKGKISPLYLFHGPHGNGKTSTARVFAAALNCLSDVEHRPCGFCRECVLLFSGRSRNIKELDAAKINHKERFKALQKNASVVPCSARFEVFIIEECQSLREEAWATIFKSLDELPGRAVYVMITSDLDTLPHSYVSRCQRYHFPKIKEVDIINRLQKISIEEELEFDMDALDFIATKSNGSLRDAETMLDQLALLGKRITVSLANELIGVVSDDELLNLLDLALSSDTANTVRRARELMVSRVDPLQLVSQLANVIMDILAGRSGFSELGRSFIQRHAAADIGMQKLRHALKVLSETEKQLRSSKNQATWLTVALLQLSAVESSPLTEINSSHACTEMTYLRGNYVRDDGILSTTKAWEGIRSSVCYTCSENKPGCSDRNCNRKKLESIWQKVTQRCQSNSFRSFLQQEGCLSAVYTHEGVAVAEVEFYRPDHVSRAAKSQELIACALQHVLGCNVEIRIKFVPKPVRKVSKPKKSPFSLLSCSGRKQEISLSTMSDDCETETSARIESSFKIYSSHHAQKLSPFIAQFGDKPLPKNHDIKATTVRKTEDNVQGAESVATDGQINLQNERKVETYSSGKLGEASEFSSVPEPEIQPNCFPKKLKFQRKLFSSNTAHAICLRIQQQNKSELSIPDKEASESYFCMYDPYILNSSSASQFTCSSREETIPCKESRLRSKLFCWRMPKPQVLD >Ma02_p07890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18380709:18390262:-1 gene:Ma02_g07890 transcript:Ma02_t07890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPRHSVDIPLSKTLVALKRVRSLRDPSTNSMSKFTAVIEHMNIGANSLNVPFEMNDSNKHHVLQSGKYHLKEEIEDVLSNSGSNFSSRIPNPKSTFPEKSCAVKVRGSKPTRTKLAHKSHRDRPRKSLASARVRHSTRHVEVEVDSYKAPEFDLADRVTATGKKPFYRNSRKPASAVSHAGSPCKSVSEAHTTGSRRPTMGFATLDSQSKSNDVVGSNFSGCGISYCWSGTPKYRGRNIYSDDEEQEKPLLSAEQSETACINSARYPQSPRSLSQKFRPKSFNELVGLNVISQSLLHAITKGKISPLYLFHGPHGNGKTSTARVFAAALNCLSDVEHRPCGFCRECVLLFSGRSRNIKELDAAKINHKERFKALQKNASVVPCSARFEVFIIEECQSLREEAWATIFKSLDELPGRAVYVMITSDLDTLPHSYVSRCQRYHFPKIKEVDIINRLQKISIEEELEFDMDALDFIATKSNGSLRDAETMLDQLALLGKRITVSLANELIGVVSDDELLNLLDLALSSDTANTVRRARELMVSRVDPLQLVSQLANVIMDILAGRSGFSELGRSFIQRHAAADIGMQKLRHALKVLSETEKQLRSSKNQATWLTVALLQLSAVESSPLTEINSSHACTEMTYLRGNYVRDDGILSTTKAWEGIRSSVCYTCSENKPGCSDRNCNRKKLESIWQKVTQRCQSNSFRSFLQQEGCLSAVYTHEGVAVAEVEFYRPDHVSRAAKSQELIACALQHVLGCNVEIRIKFVPKPVRKVSKPKKSPFSLLSCSGRKQEISLSTMSDDCETETSARIESSFKIYSSHHAQKLSPFIAQFGDKPLPKNHDIKATTVRKTEDNVQGAESVATDGQINLQNERKVETYSSGKLGEASEFSSVPEPEIQPNCFPKKLKFQRKLFSSNTAHAICLRIQQQNKSELSIPDKEASESYFCMYDPYILNSSSASQFTCSSREETIPCKESRLRSKLFCWRMPKPQVLD >Ma02_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18380709:18389385:-1 gene:Ma02_g07890 transcript:Ma02_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPRHSVDIPLSKTLVALKRVRSLRDPSTNSMSKFTAVIEHMNIGANSLNVPFEMNDSNKHHVLQSGKYHLKEEIEDVLSNSGSNFSSRIPNPKSTFPEKSCAVKVRGSKPTRTKLAHKSHRDRPRKSLASARVRHSTRHVEVEVDSYKAPEFDLADRVTATGKKPFYRNSRKPASAVSHAGSPCKSVSEAHTTGSRRPTMGFATLDSQSKSNDVVGSNFSGCGISYCWSGTPKYRGRNIYSDDEEQEKPLLSAEQSETACINSARYPQSPRSLSQKFRPKSFNELVGLNVISQSLLHAITKGKISPLYLFHGPHGNGKTSTARVFAAALNCLSDVEHRPCGFCRECVLLFSGRSRNIKELDAAKINHKERFKALQKNASVVPCSARFEVFIIEECQSLREEAWATIFKSLDELPGRAVYVMITSDLDTLPHSYVSRCQRYHFPKIKEVDIINRLQKISIEEELEFDMDALDFIATKSNGSLRDAETMLDQLALLGKRITVSLANELIGVVSDDELLNLLDLALSSDTANTVRRARELMVSRVDPLQLVSQLANVIMDILAGRSGFSELGRSFIQRHAAADIGMQKLRHALKVLSETEKQLRSSKNQATWLTVALLQLSAVESSPLTEINSSHACTEMTYLRGNYVRDDGILSTTKAWEGIRSSVCYTCSENKPGCSDRNCNRKKLESIWQKVTQRCQSNSFRSFLQQEGCLSAVYTHEGVAVAEVEFYRPDHVSRAAKSQELIACALQHVLGCNVEIRIKFVPKPVRKVSKPKKSPFSLLSCSGRKQEISLSTMSDDCETETSARIESSFKIYSSHHAQKLSPFIAQFGDKPLPKNHDIKATTVRKTEDNVQGAESVATDGQINLQNERKVETYSSGKLGEASEFSSVPEPEIQPNCFPKKLKFQRKLFSSNTAHAICLRIQQQNKSELSIPDKEASESYFCMYDPYILNSSSASQFTCSSREETIPCKESRLRSKLFCWRMPKPQVLD >Ma02_p07890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18380710:18390262:-1 gene:Ma02_g07890 transcript:Ma02_t07890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAPRHSVDIPLSKTLVALKRVRSLRDPSTNSMSKFTAVIEHMNIGANSLNVPFEMNDSNKHHVLQSGKYHLKEEIEDVLSNSGSNFSSRIPNPKSTFPEKSCAVKVRGSKPTRTKLAHKSHRDRPRKSLASARVRHSTRHVEVEVDSYKAPEFDLADRVTATGKKPFYRNSRKPASAVSHAGSPCKSVSEAHTTGSRRPTMGFATLDSQSKSNDVVGSNFSGCGISYCWSGTPKYRGRNIYSDDEEQEKPLLSAEQSETACINSARYPQSPRSLSQKFRPKSFNELVGLNVISQSLLHAITKGKISPLYLFHGPHGNGKTSTARVFAAALNCLSDVEHRPCGFCRECVLLFSGRSRNIKELDAAKINHKERFKALQKNASVVPCSARFEVFIIEECQSLREEAWATIFKSLDELPGRAVYVMITSDLDTLPHSYVSRCQRYHFPKIKEVDIINRLQKISIEEELEFDMDALDFIATKSNGSLRDAETMLDQLALLGKRITVSLANELIGVVSDDELLNLLDLALSSDTANTVRRARELMVSRVDPLQLVSQLANVIMDILAGRSGFSELGRSFIQRHAAADIGMQKLRHALKVLSETEKQLRSSKNQATWLTVALLQLSAVESSPLTEINSSHACTEMTYLRDDGILSTTKAWEGIRSSVCYTCSENKPGCSDRNCNRKKLESIWQKVTQRCQSNSFRSFLQQEGCLSAVYTHEGVAVAEVEFYRPDHVSRAAKSQELIACALQHVLGCNVEIRIKFVPKPVRKVSKPKKSPFSLLSCSGRKQEISLSTMSDDCETETSARIESSFKIYSSHHAQKLSPFIAQFGDKPLPKNHDIKATTVRKTEDNVQGAESVATDGQINLQNERKVETYSSGKLGEASEFSSVPEPEIQPNCFPKKLKFQRKLFSSNTAHAICLRIQQQNKSELSIPDKEASESYFCMYDPYILNSSSASQFTCSSREETIPCKESRLRSKLFCWRMPKPQVLD >Ma05_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3041654:3044874:1 gene:Ma05_g04020 transcript:Ma05_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLLSALLLGSIVMLVVVLNHFLPLLLNLGAAPRGSFGWPLLGETLRFLSPHASYALGSFLEDHCSRYGKVFKSQLFCTPTIVSCDQELNHFILQNEEKLFQCSYPRPIHGILGKSSMIVVVGDTHKKLRNLALTLVTTTKARAGYLNDIERIALRVMGSWKDKKRIFFCEEARKFTFSVIVKQVLGLSQDEPQTAKILEDFLTFMKGLISFPLYIPGTAYAKAVQARARISLTVKAILEERRKNGGDSSQKGDFLDELLSVGNLSEEEKVSFLLDSLLGGYETTSLLMSMAVYFLGQCPSALEQLKSEHQSIRANKKDEYLNSEGYKKMEFTQHVINETLRCGNIVKFVHRKALKDVRYKEYLIPCGWKVLPVLSAVHLDSSLHGNPQEFHPWRWEGYNQTSTKKFTPFGGGPRLCPGSELAKVEVAFFLHFMVLNFRWKLEGEEDIPIAYPYVEFPRGLPLEIEPVPSEY >Ma01_p08000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5743667:5748972:-1 gene:Ma01_g08000 transcript:Ma01_t08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKSEAMGLDEADRTLYSTFCAAANSLSQVYTQAMNQQKISFQAGERHALNEMDYAGEGSLVSPRSPFQHQHHQTTIHLTNSGIQPASGLFGQPTVGLASRSGHSDQAKNSVFSNALSSQVCRSLQPYHLAQGSGLYANAVIPTGTAGARNHDPNQNRDTNSLSSNYSSMDIHTDSPPHEAY >Ma01_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5743667:5748972:-1 gene:Ma01_g08000 transcript:Ma01_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKSEAMGLDEADRTLYSTFCAAANSLSQVYTQAMNQQKISFQAGERHALEKLYQWIVRNHEEGSRVTIADIVTHIQNEMDYAGEGSLVSPRSPFQHQHHQTTIHLTNSGIQPASGLFGQPTVGLASRSGHSDQAKNSVFSNALSSQVCRSLQPYHLAQGSGLYANAVIPTGTAGARNHDPNQNRDTNSLSSNYSSMDIHTDSPPHEAY >Ma01_p08000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5743667:5748942:-1 gene:Ma01_g08000 transcript:Ma01_t08000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKSEAMGLDEADRTLYSTFCAAANSLSQVYTQAMNQQKISFQAGERHALEKLYQWIVRNHEEGSRVTIADIVTHIQNEMDYAGEGSLVSPRSPFQHQHHQTTIHLTNSGIQPASGLFGQPTVGLASRSGHSDQAKNSVFSNALSSQVCRSLQPYHLAQGSGLYANAVIPTGTAGARNHDPNQNRDTNSLSSNYSSMDIHTDSPPHEAY >Ma07_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10493980:10495829:1 gene:Ma07_g14030 transcript:Ma07_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGAWDLSSLLRLLAQGEEQARQLEAHLEAPSLAEHCKSLLQRVRSTLEEAISMAKLVDYEMSRQPACHNAIAEAAAAAASDSPRSNSGSPRSESSERVFKEHERMEMCKKRKTLPKWTNQVRVSSGGVTEGLEDGYSWRKYGQKEILGARHPRGYYRCSHRNSVGCLATKQVQRSDQDPCVFDITYRGEHTCLQRPQPSPLPEPELRQSHENPPTIHEALLHHPRQHLQSSLRVETEGLNMHDQVLSSSFSFPSTPLDSFGPQSRVFSSTNPLENTYVGSFSPFISPTTSESNYFSVSPCQMSGYGGGIAPNTSESDLTEIISAATSTTNSPKLDAAFMLDHAEFDQIFPFDAPKFF >Ma05_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11547496:11549924:-1 gene:Ma05_g15470 transcript:Ma05_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDLAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVSKLLGRIYYFDRDSPTPGTLPPKVSAAVNGVAFCGTLSGQLFFGWLGDKLGRKKVYGMTLMLMVICSVASGLSFGHDPNGVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILTGGIVALIVSAAFKSRFDAPAYQFDREGSTVSQADYIWRIILMLGALPAALTYYWRMKMPETARYTALVAKNAKKAAADMSKVLQVDIVEEQTKVEQLTMKEANSFGLFSREFARRHGLHLVGTTTTWFLLDIAFYSQNLFQKDIFTAIGWIPKAATMNAIEEVFRIARAQTLIALCGTVPGYWFTVALIDTIGRFTIQLMGFFFMTVFMLGLAIPYNHWTTHHIGFVIMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQNSTDPTKRDKGYPAGIGVRNALFVLAACNFLGVIFTFLVPESKGRSLEEMSGENEDEEQMESAAPHNRTMPA >Ma03_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10267961:10268920:-1 gene:Ma03_g13250 transcript:Ma03_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSRFKSVFLEQMLMGFQLSGFPCRTMSLRARMQAIKLSADVAMAVARGSRRWTHGLIAHLSKKEDNKSFLKCILGKQYERLTMPCYSSWKIQRCKTILRRSFRERFGKQKPAQACTLARSLVKKRAQVLKRLVPGGKAMDGYSLLDETMDYVVCLQAQVDLMRHLLGAFEASKLRAQTKGTSSQGRKSIKDETDGNKKDLIELCMHLSDNA >Ma06_p28490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30162065:30165313:-1 gene:Ma06_g28490 transcript:Ma06_t28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MAFASAVTPPSLFLVPSKPIVTNPRKFPVPAVTGGRRCLSVVVKAIGDSSDTSSDASIVKDVQNAWSNYEDRIAFVGLGFAAIVAVWASGHLIEAVDKLPLFPSVFEFIGILFSWWFIYRYLLFKPDREELSKIIKNAISTVLGK >Ma04_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10912551:10915482:1 gene:Ma04_g14420 transcript:Ma04_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTSSGIPSTPLLKDEVDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPRKTITVPDGFDYELYNRNDINRILGPKAACISFNDSTCRCFGFLVSKKKYVFTVDDDCFVAKDPSGKEINASEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPHERNARYVDAVITVPKGALFPMCGMNLAFNRELIGPAMYFGLMGDGQPIRRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPLVNLKKEYHGIHWQEEVIPFFQAVSIPKKCTTPQECYIELSKQVKEKLGKVDEYFNKLADAMVTWIEAWDELNPSEKLSNISAE >Ma03_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5075009:5075628:-1 gene:Ma03_g07270 transcript:Ma03_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRSSDPLFYSSGAPYAPPAKYLPPYRRSFSLRGWLAFRSMAGKPSEGRRRERRIGAGPRCRMTEI >Ma02_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18598892:18602065:1 gene:Ma02_g08170 transcript:Ma02_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSISTVRRHTSKIAPLASTPVLFILLSSLASTAEAYDPLDPNGNITIKWDVMQWAPDGYVAVVTIFNFQQYRHIQAPGWMLGWTWAKKEVIWSMVGGQATEQGDCSRFKGNIPHCCKKDPSVVDLLPGTPYNMQIANCCKGGIISSWVQDPANAASSFQISVGAAGTTNKTVRVPKNFTLKSPGPGYTCGAAKIVKPSRFVSQDGRRTTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNITQPGSCVEGDSPYLASAINGPGKSSFTPLVQCTSHMCPIRVHWHIKLNYREYWRVKIAITNFNYRMNYTQWNLVIQHPNFDNLTQIFSFNYNSLTPYGGINDTAMLWGIKYYNDLLMQAGPSGNVQSELLFQKDASAFTFQKGWAFPRRIYFNGDNCVMPPPDAYPRLPNDSPQLKGSLCLPVIILWATLTWLLIYVQ >Ma03_p15760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17078090:17083057:-1 gene:Ma03_g15760 transcript:Ma03_t15760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSKSDASSGSDSHPSNDCTGPLNGNPIQRTSSGNVVPSRSTTVKRTASAIFPSSVVTSIEPLLLFKDVPNSQKQNLFISKLNLCCVVFDFSDPNKNSTEKDMKRQALLGLIDYVDAGASRFTEPMISACCKMFAVNLFRAFPPNTRSCTGGGENEEEEPIFDPAWCHLQLVYDLLLKFVESSSLDAKIGKKYVDISFIVRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYRFVFEADRHNGIAELLEVFGSVISGFALPLKEEHRLFFQRVLIPLHKPKTLGVYLQQLTYCVTQFIEKEPKMACSIIKGLVRYWPVTNSQKEVMFLSELEEVLEATDTAELLNCMVPLFQRIGFSINSSHFQVAERALFLLNNDHVISLVSQNRQAIMPLVLPALERNTRSHWNQAVINLSQNVKNMLSEMDEEIFLACKKELGEEEEKRRIAEEKRKMIWEHLEGSAALQPVTGNTAVMVMPTVAPPITAALT >Ma03_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17078090:17083057:-1 gene:Ma03_g15760 transcript:Ma03_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSKSDASSGSDSHPSNDCTGPLNGNPIQRTSSGNVVPSRSTTVKRTASAIFPSSVVTSIEPLLLFKDVPNSQKQNLFISKLNLCCVVFDFSDPNKNSTEKDMKRQALLGLIDYVDAGASRFTEPMISACCKMFAVNLFRAFPPNTRSCTGGGENEEEEPIFDPAWCHLQLVYDLLLKFVESSSLDAKIGKKYVDISFIVRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYRFVFEADRHNGIAELLEVFGSVISGFALPLKEEHRLFFQRVLIPLHKPKTLGVYLQQLTYCVTQFIEKEPKMACSIIKGLVRYWPVTNSQKEVMFLSELEEVLEATDTAELLNCMVPLFQRIGFSINSSHFQVAERALFLLNNDHVISLVSQNRQAIMPLVLPALERNTRSHWNQAVINLSQNVKNMLSEMDEEIFLACKKELGEEEEKRRIAEEKRKMIWEHLEGSAALQPVTGNTAVMVMPTVAPPITAALT >Ma11_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10326297:10329535:-1 gene:Ma11_g10730 transcript:Ma11_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNNCNRYLHSLSLVITTRPPNPDAYSHPFVSLPPIARQLRRCPLDRSLRRNHSRRSSCCVKPGDFKVHEHMKISCP >Ma03_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14857257:14860621:1 gene:Ma03_g15090 transcript:Ma03_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSVPLFGIREGGEQYNKPPPPPTNQQSSSSAPIAPKKKKKKRNLPGNPNPDAEVIALSPKTLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPETTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDRCSKRYAVQSDWKAHAKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPSGMSAIGSHLYGNSSINLGLPPVNSHVSSFQSQSLPSADLGRAPFQFDHLSSSAFRSPQPRSSPLYLGGGSSQEFGGDPQILENKTFSGLIQDMQTTSSSSSAAAAGSLFDLSFFSDGRGMCAMNSIDGNSEPAKLFDGNPMRNNNNIDVSCLLASSVHNESAAAPLMSATALLQKAAQMGVTSSGRCGSSFLTGFGSTYSSGSGGSKHANHRDEFRSSGPGQGSRTQVENENHFQNLMFSLANGSSGIFGGGNERETGLGGFGPGLCNLSSGGMGGADGLTRDFLGVGGVMRSMGAGARQRGGEVGVAMAGALDSETKSGHCSLPSTDGSLQSRRNNP >Ma09_p29680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40077002:40077616:-1 gene:Ma09_g29680 transcript:Ma09_t29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKHRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHCPAVNVDRLWSLVPDAVKDAATKDAAAAPLIDVTQFGYFKVLGKGMLPSDRPVVVKAKLISKIAEKKIKAAGGAVVLTA >Ma05_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5882479:5884634:1 gene:Ma05_g07940 transcript:Ma05_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAEAQFHVLAVDDSLMDRKLIERLLKTSSFQVTTVDSGSKALEVLGLSEDQTSPSAVSPEHNEIEVNLVITDYCMPGMTGYDLLKKIKGSSSLKDIPVVVMSSENVPSRINRCLEGGAEEFFLKPVQLSDMKRLRPHILKGRSKDQQLQREENSNTIIISSGGSKRKAMDEEPSPERTRLRFSTGSLTIL >Ma06_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24502060:24515723:-1 gene:Ma06_g24880 transcript:Ma06_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISVGMVRSCGALLNELKHIREEIGESEADKEQMLLEIEKECLEIYTRKVDEASKAKAQLHQSVTAKEAEIAALVASLGEHTVHSTKDKKAASLKEQLASVTPILENLRIKKEERIKNFADVRLQIEKITAEIREREHQHDAMTSLAIVDEHDLSMRKLNEQHEQLRELQKEKSDRLHKVLEYVNEVHSLCGVLGLDFRKIVVEVHPSLHETSPEQSTNISNKTLEGLALAILKLKAEKKIQLQKLRETMKSLFALWKLMGSPEQERKHFERLACILESPEHEITHSGLLSHKTIEQAEVEVNKLTKLKASKMKELVLKRRLELEEECRRAHIEPDTSMATEKTAALIDSGLVDPSELLANIEAQIVKVKEESISRKEIMDRISKWLATCEEENWLEQYNQDENRYSCGRGGHINLKRAEKARITIIKIPALVDNLISKTFTWEDEKNKPFLYDGVRLVSMLEEYKLTRQQKEQEKRRDRDQKKLQTLLLTEKEAVFGSKPFPKRSNSLNRKPSGYSFNGKGNGFMTPVPRRLSAGSATPELLIPRSYSGRHSGYFKEMRRLSTTPLNFIAPPKDDSLSTFTSISGSEPESPTLT >Ma06_p24880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24502060:24515723:-1 gene:Ma06_g24880 transcript:Ma06_t24880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREEIGESEADKEQMLLEIEKECLEIYTRKVDEASKAKAQLHQSVTAKEAEIAALVASLGEHTVHSTKDKKAASLKEQLASVTPILENLRIKKEERIKNFADVRLQIEKITAEIREREHQHDAMTSLAIVDEHDLSMRKLNEQHEQLRELQKEKSDRLHKVLEYVNEVHSLCGVLGLDFRKIVVEVHPSLHETSPEQSTNISNKTLEGLALAILKLKAEKKIQLQKLRETMKSLFALWKLMGSPEQERKHFERLACILESPEHEITHSGLLSHKTIEQAEVEVNKLTKLKASKMKELVLKRRLELEEECRRAHIEPDTSMATEKTAALIDSGLVDPSELLANIEAQIVKVKEESISRKEIMDRISKWLATCEEENWLEQYNQDENRYSCGRGGHINLKRAEKARITIIKIPALVDNLISKTFTWEDEKNKPFLYDGVRLVSMLEEYKLTRQQKEQEKRRDRDQKKLQTLLLTEKEAVFGSKPFPKRSNSLNRKPSGYSFNGKGNGFMTPVPRRLSAGSATPELLIPRSYSGRHSGYFKEMRRLSTTPLNFIAPPKDDSLSTFTSISGSEPESPTLT >Ma06_p24880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24502060:24515723:-1 gene:Ma06_g24880 transcript:Ma06_t24880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISVGMVRSCGALLNELKHIREEIGESEADKEQMLLEIEKECLEIYTRKVDEASKAKAQLHQSVTAKEAEIAALVASLGEHTVHSTKDKKAASLKEQLASVTPILENLRIKKEERIKNFADVRLQIEKITAEIREREHQHDAMTSLAIVDEHDLSMRKLNEQHEQLRELQKEKSDRLHKVLEYVNEVHSLCGVLGLDFRKIVVEVHPSLHETSPEQSTNISNKTLEGLALAILKLKAEKKIQLQKLRETMKSLFALWKLMGSPEQERKHFERLACILESPEHEITHSGLLSHKTIEQAEVEVNKLTKLKASKMKELVLKRRLELEEECRRAHIEPDTSMATEKTAALIDSGLVDPSELLANIEAQIVKVKEESISRKEIMDRISKWLATCEEENWLEQYNQDENRYSCGRGGHINLKRAEKARITIIKIPALVDNLISKTFTWEDEKNKPFLYDGVRLVSMLEEYKLTRQQKEQEKRRDRDQKKLQTLLLTEKEAVFGSKPFPKRSNSLNRKPSGYSFNGKGNGFMTPVPRRLSAGSATPELLIPRSYSGRHSGYFKEMRRLSTTPLNFIAPPKDDSLSTFTSISGSEPESPTLT >Ma03_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29281231:29293088:1 gene:Ma03_g25170 transcript:Ma03_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIFGFSRRRTKLGRLKVHLADPVQGTRSPIRPSKRHSQSNEENEVLPSSSGRSDDHNCHCSSRTFDLHKCSVDSSENWKVLPTYGNKPTPRFHHAAAIVGSKMVVVGGDSSHGVLDDTLILSLDTLTWATATSNVYSSPGGVSLKIPACRGHALVSWGKSALLVGGKTEPPSDKLSVWSFNVETECWSHIEAKGNIPVARSGHTVLRAGTVLILFGGEDVKGRKLNDLFMFDLKSLSWLPLHYKGTGPSARSNHVAALHEDKLLFVFGGQSKSRILNDLYSLDFETMIWSRIKVRGHHPSPRAGSCGALCGTKWYITGGGSKRKRHAETLVFDVLKLEWSASVVSPTVSITTNRGFSLLPVHHKDKSFLLAFGGYKKESSNQVEILFLVTNKCSMSWRSAPETELLPYEECAANIRELGAHLKAVSSPASVNPVARHSLASALEQQISGRKSISDDPSVSTLCKQCHQDQDYGLAAKSQKPLEDEKYETDDQLVLHNTSSQRSKRQEAEIKMDIAGILASTEEMHAESEGSNVDRVLPIETDGRSVLTNPSNIYQLYETKIASLTRKNVLLEEQLKAALTCREAAEKNLSSAVKSKQEVETKLADTVKEVELLKEKLAGVELAQEEANSLSNIVHSHNVRLEHDVAFLKAILDDTQKELYSTRGVLAGERARAFQLQVEVFHLKQRLQSLENRAPTPRKPIQICNDVLKQRMQSFENRAPTSTEPIQM >Ma03_p25170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29281231:29293088:1 gene:Ma03_g25170 transcript:Ma03_t25170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIFGFSRRRTKLGRLKVHLADPVQGTRSPIRPSKRHSQSNEENEVLPSSSGRSDDHNCHCSSRTFDLHKCSVDSSENWKVLPTYGNKPTPRFHHAAAIVGSKMVVVGGDSSHGVLDDTLILSLDTLTWATATSNVYSSPGGVSLKIPACRGHALVSWGKSALLVGGKTEPPSDKLSVWSFNVETECWSHIEAKGNIPVARSGHTVLRAGTVLILFGGEDVKGRKLNDLFMFDLKSLSWLPLHYKGTGPSARSNHVAALHEDKLLFVFGGQSKSRILNDLYSLDFETMIWSRIKVRGHHPSPRAGSCGALCGTKWYITGGGSKRKRHAETLVFDVLKLEWSASVVSPTVSITTNRGFSLLPVHHKDKSFLLAFGGYKKESSNQVEILFLVTNKCSMSWRSAPETELLPYEECAANIRELGAHLKAVSSPASVNPVARHSLASALEQQISGRKSISDDPSVSTLCKQCHQDQDYGLAAKSQKPLEDEKYETDDQLVLHNTSSQRSKRQEAEIKMDIAGILASTEEMHAESEGSNVDRVLPIETDGRSVLTNPSNIYQLYETKIASLTRKNVLLEEQLKAALTCREAAEKNLSSAVKSKQEVETKLADTVKEVELLKEKLAGVELAQEEANSLSNIVHSHNVRLEHDVAFLKAILDDTQKELYSTRGVLAGERARAFQLQVEVFHLKQRLQSLENRAPTPRKPIQICNDVLKQRMQSFENRAPTSTEPIQM >Ma10_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33207660:33210558:-1 gene:Ma10_g24750 transcript:Ma10_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEVKNYDSGSPRPFRVWGRGDSRLRRFGVRLPHRRGGRRSRSSGMLVYLYPFVLPPQCQRK >Ma04_p31740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31966281:31968124:1 gene:Ma04_g31740 transcript:Ma04_t31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVEAFRVLEQSQVSPPNGSAPPASIPLSFFDIVMSPAGPVRRIFFYDFPHPTAVVVDSVLPRLRSSLSLALQRFYPLAGNLLCSSSPDDRSGIGCADGDSVSFVVVECVGVDFRELSGTRAHSVAELRLLAPQLVWSGAAKPLLAVQVTVFPNHGFAVGASVPHTVCDGSSYVQFMNAWASACRTGQLAEPAPSFDRTAVPNPLQLRSVNILPGFDRCGTEESPTLASDFVSATFCLTQDQLQRLKRSVTAKFDERHGSFHCSTAVVTYAYAWICLVKTFGYAGDKIAHLIFLADYRARLQPPLPMSYFGNCIVPCFVEVKVSDLVGEDGIVVAAEAIGKAIQGLRDGAALEGVHGWGGRWQFAATQQAMTVAGSSRFRVYDADFGWGRPVKVEMVIQRAGALSLAESRSGDGVEIGLSFDRTEMDLFERHFSAGLELLSE >Ma10_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25977317:25989021:1 gene:Ma10_g13040 transcript:Ma10_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFFLELIVKSMALEQNRLFYHSLPSGEDIPPLQLKEGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKILDEMPVFYNLNAVEKREVLIVILQIVRNLDDPSLVKAWQQSIARTRLFFKLLEECLLHFEHKKPADSLLLGCSSRSPDNEGHASPKYSDRLSPAINTYLSEASRQEVRGTPENGYLWHRVSPQLSSPNQPYSLREALAQAQSSRVGATTRALRESLHPILRQKLEVWEENLSTAISLQVLKIIDKFSVAAASQSIATDYGKLDCITSILMGLFSRYQSLAFWKAFFPVFSHIFSVHGATLMARDNDRFLKQVAFHLLRLAVFRNDSIRRRAVLGLQILVRNSFYYFMNTTRLRVMLTITLSELMSDVQVSQMRPNGSLEESGEARRLRKSLEEMADQSTTIKLINDCGLPGNALEAIPECSTDNIWSWSEVKYLSDSLLQALDAGLEHALLASIMTVDRYAAAESYYKLAMAYASVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWNREHVASLRKICPMVSSAVTAEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCANILELIIPVHKSRRAYGQLAKCHTSLASIYDSILEQESSPIPFIDATYYRVGFYGNRFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGSHTLHIIPDSRQVNAEELQPDVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVVKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDHLPRLQSLQRILQGSVAVQVNSGVLSVCKAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >Ma10_p16640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28269454:28278842:1 gene:Ma10_g16640 transcript:Ma10_t16640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVNRQIDYMAPTVLLEYTNQKQMKKSSQDLSFAMGKLQKLCSGSVVDYQHGLEVMLESECFASSTHADSKDSTSPKRKRCITLNVGRCNSFNVPLQVICLSKMSGFQKKELKTKLKSELEQTQVFQEKLNLRSVLNVGVTTSSSINENEKKSDPTVRSGSQMKRGISGKFESSKTAEHPVSANNSNLVLMKQCQTLLKLLMSHQYGWVFNKPVDPKKLNIPDYYTVIKQPMDLGTIKKKITSGAYSSPWGFVADIRLTFTNAMTYNPPGNDVHIMADTVSKFFETRWKPIEKELASADAHVKRETQAPKSVSSSKKRKMPLNDSNTPAPESIIPKMTDEDKQSLTRRLESLLADLPDYIIDFLRRNSGNMNQSCEEIEIDIETLGEDTLFELQKLLDNYLQERETRQVKVKQCKGEVNENGVHTSAMYSCKGNDFADEDVDICGDDPPMSCYPTLAIEKDAKARNIKCSSSSSSSSDSGSTGDSDSSTGSEPEEQVSVPKDAAKENSGTKASSDQEKSDVMNPLDFNRTWSGLSQSEKDAHPRSLLVEADECQEGEHAPSERQVSPQKLYRAALLRSRFADTILKAREKTLHQGDKGDPEKLRREREEIERQQREERARLQAEAKAAEDARRQAEAEAAAEAKRKRELEREAARQALLKVEKTVDINEDCRILKDLEMLRTAPAEEIPMSLDEKCSDYYLNGIGGFKLGGNPLEQLGLFIKVDDEEEEEGEPKGAPEIDVEEGEID >Ma10_p16640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28269442:28278842:1 gene:Ma10_g16640 transcript:Ma10_t16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLLEYTNQKQMKKSSQDLSFAMGKLQKLCSGSVVDYQHGLEVMLESECFASSTHADSKDSTSPKRKRCITLNVGRCNSFNVPLQVICLSKMSGFQKKELKTKLKSELEQTQVFQEKLNLRSVLNVGVTTSSSINENEKKSDPTVRSGSQMKRGISGKFESSKTAEHPVSANNSNLVLMKQCQTLLKLLMSHQYGWVFNKPVDPKKLNIPDYYTVIKQPMDLGTIKKKITSGAYSSPWGFVADIRLTFTNAMTYNPPGNDVHIMADTVSKFFETRWKPIEKELASADAHVKRETQAPKSVSSSKKRKMPLNDSNTPAPESIIPKMTDEDKQSLTRRLESLLADLPDYIIDFLRRNSGNMNQSCEEIEIDIETLGEDTLFELQKLLDNYLQERETRQVKVKQCKGEVNENGVHTSAMYSCKGNDFADEDVDICGDDPPMSCYPTLAIEKDAKARNIKCSSSSSSSSDSGSTGDSDSSTGSEPEEQVSVPKDAAKENSGTKASSDQEKSDVMNPLDFNRTWSGLSQSEKDAHPRSLLVEADECQEGEHAPSERQVSPQKLYRAALLRSRFADTILKAREKTLHQGDKGDPEKLRREREEIERQQREERARLQAEAKAAEDARRQAEAEAAAEAKRKRELEREAARQALLKVEKTVDINEDCRILKDLEMLRTAPAEEIPMSLDEKCSDYYLNGIGGFKLGGNPLEQLGLFIKVDDEEEEEGEPKGAPEIDVEEGEID >Ma10_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28269454:28278842:1 gene:Ma10_g16640 transcript:Ma10_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVNRQIDYMAPTVLLEYTNQKQMKKSSQDLSFAMGKLQKLCSGSVVDYQHGLEVMLESECFASSTHADSKDSTSPKRKRCITLNVGRCNSFNVPLQVICLSKMSGFQKKELKTKLKSELEQTQVFQEKLNLRSVLNVGVTTSSSINENEKKSDPTVRSGSQMKRGISGKFESSKTAEHPVSANNSNLVLMKQCQTLLKLLMSHQYGWVFNKPVDPKKLNIPDYYTVIKQPMDLGTIKKKITSGAYSSPWGFVADIRLTFTNAMTYNPPGNDVHIMADTVSKFFETRWKPIEKELASADAHVKRETQAPKSVSSSKKRKMPLNDSNTPAPESIIPKMTDEDKQSLTRRLESLLADLPDYIIDFLRRNSGNMNQSCEEIEIDIETLGEDTLFELQKLLDNYLQERETRQVKVKQCKGEVNENGVHTSAMYSCKGNDFADEDVDICGDDPPMSCYPTLAIEKDAKARNIKCSSSSSSSSDSGSTGDSDSSTGSEPEEQVSVPKDAAKENSGTKASSDQEKSDVMNPLDFNRTWSGLSQSEKDAHPRSLLVEADECQEGEHAPSERQVSPQKLYRAALLRSRFADTILKAREKTLHQGDKGDPEKLRREREEIERQQREERARLQAEAKAAEDARRQAEAEAAAEAKRKRELEREAARQALLKVEKTVDINEDCRILKDLEMLRTAPAEEIPMSLDEKCSDYYLNGIGGFKLGGNPLEQLGLFIKVDDEEEEEGEPKGAPEIDVEEGEID >Ma01_p22620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25566613:25572237:1 gene:Ma01_g22620 transcript:Ma01_t22620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRRYLPLSGVSIPHLILISSLIFSSIPGSLSSKAITLRSIQIYNTHEFLGPHPTIYFYCKGENKTILPDVKEKHFSYIFYGEESWQPLTELPGKKCKRCGLYEFDTIKSDDVFDEWDLCLDDFINGISIHYKAKQFNATLLCEDCQVATDSAQTSTSSDGSANKKIDLILVVVISVLVSIMATIVTVGAYKYWQKRKREKDQAHFLKLFEEGDDIEDELGLSM >Ma01_p22620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25566612:25572160:1 gene:Ma01_g22620 transcript:Ma01_t22620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRRYLPLSGVSIPHLILISSLIFSSIPGSLSSKAITLRSIQIYNTHEFLGPHPTIYFYCKGENKTILPDVKEKHFSYIFYGEESWQPLTELPGKKCKRCGLYEFDTIKSDDVFDEWDLCLDDFINGISIHYKAKQFNATLLCEDCQVATGAYKYWQKRKREKDQAHFLKLFEEGDDIEDELGLSM >Ma01_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25566612:25572160:1 gene:Ma01_g22620 transcript:Ma01_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRRYLPLSGVSIPHLILISSLIFSSIPGSLSSKAITLRSIQIYNTHEFLGPHPTIYFYCKGENKTILPDVKEKHFSYIFYGEESWQPLTELPGKKCKRCGLYEFDTIKSDDVFDEWDLCLDDFINGISIHYKAKQFNATLLCEDCQVATVGAYKYWQKRKREKDQAHFLKLFEEGDDIEDELGLSM >Ma02_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26034731:26035494:1 gene:Ma02_g19940 transcript:Ma02_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEIRWFEMVKEMVPRELVYSRNADEKTAREIFTESHKEMFKDCKNRLMEMGKTCSGLVAAVVFASSFSIPGEKNSKTGNPEYFNRLPFKVFTHAYVIGLSCAATSLVLFLSLVISPYKEQQFRRAIPTKYFFACFSFGLALTALLLAFTCNIFLQIYGGQKTLTKDILQLVLELTVFPTVCLVVLLYRGANFGPSFFRRIWC >Ma03_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28564672:28617241:-1 gene:Ma03_g24280 transcript:Ma03_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLYGSSHDVLEVTSVAYGSCGTPSNPISSDKSGNTTVALKAAGTRYFICGTAGHCRSGMKMAVRVASSGSPPPSPLPDFPPDGPADPPSLPFPPLSLSPPAPMGAAGGACVHAKVAADLGIGISMMMAMVVVAAAL >Ma05_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33776155:33777740:-1 gene:Ma05_g22040 transcript:Ma05_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHRKPPHALLVPYPLQGHIIPAVHLAIKLASRGFAITFVNTEAVHHQTCCAARRSGSDLGHDIFPAARASGLDIRYELVSDGLPVAFDRSLNHDQFMFAVLHVLSAHVEELIRKLSLRADPPLTCLIADTFFVWPSTLAKKFGIPYVSFWTEPALIFSLYYHMDLLIRNGHFASHGDRHISIPTRLLFLFFPHDSFMCLVVDNSKDTITYVPGVAAIEPADLMSYLQETDVSTVVHQIIFKAFDEAKAADFVLCNTVQELESDTISALQREKPFYAVGPIFPAGFTRSAVATSLWAESDCSQWLDSKPPGSVLYISFGSYAHIGRRDLEEIAHGVLGSTVSFIWVLRSDVVSSDEPDPLPEDFAEESQGRGVVVPWCCQVELLKHRSIGGFLTHCGWNSILESIWCGVPLLCFPLLTDQFTNRKLVVNDWRIGLDLGRTNKVNREEVSKRIEILMEGEAGNEARKNTREVRRALEIALTPEGSSHKNLDQFIADLTKYGEQINGV >Ma02_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16700246:16700987:1 gene:Ma02_g05360 transcript:Ma02_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSTLAFPTLRIRSPSLPSSSSSSSSSRPSRRLLWPRCATTAVPPPAAAATLYDVLGIPASATVEEIKAAYRRLARVCHPDVAAASRAAPADEFIRVHAAYATLTDPEKRAEYDRRVMAAAAPSMASATVGRRPAGPFYSSSPRRMWETDQCW >Ma09_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3194735:3197687:-1 gene:Ma09_g04970 transcript:Ma09_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHQPHQSHNNLISSRIAAPSERQLFLQRGSTTGESGLVLSTEAKPRLKWNPELHARFIEAVNQLGGADKATPKTIMRLMGIPGLTLYHLKSHLQKYRLGKNLQAQTNIRSTKNIFGCSLAAERTPEDNGSSRNIAAPTNKTMQINEAFTKQIEVQKQLHEQLEVQRHLQVRIEAQGRYLQLVLEKAQERLEKQSLGSVGLEVAKVQLSELVTKVSGECFGNSFLGVEEIPVLHMSQLTPAHFADCSVDSCLTSDRSQKEQDTHDTTIGIRACHKDLPSCMKQFGEDKLEQTQHAWCGDLNEQKIFSSVPEVQRKENNEDRLLEHPSSNRSVVRQETKKQSDGFGLSCLTTELELKIHEDDEGASGCKQFELNGFSWN >Ma01_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4430672:4432132:1 gene:Ma01_g06190 transcript:Ma01_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEDETPPRKKSFLRSSVTLLVAERLLLFFFGAGVALLFSVSALSSSLCTVLPNPIPTPLPTIIHHRPPLAPSIPRRPLPVATTAPRPPLSTVSHRRDSRKDPDRRRSTRATFYDDPSLSYTIDRPITNWDSKRREWLRLNPSLATPDRVVMVTGSPPGRCPNPGGDHLLLRFYKNKADYCRLHGYDLFYNTALLHPEMPGCWAKIPLVRAAMVAHPESEWVWWVDQDAAFTDMEFRPPLHRYRAHHFVVPGWPYMVYTARDWVGLNAGVFLLRNSQWGLDFLDAWASMGPQTPHHGKWGRILDAEIRGKLTSFADDQSALVHLLAKEQRRWRDKVHLESAYDLHGYWEPIVGRLEDVAAAYAEMERRDAVLRRRHAEKEAGSYGETRSRYLDAAAGGGGEKGSGKRWPRKRRSFVTHFTGCQPCGGDHNPAYTWQGCVDGMARALNFADDQVLRAYGFGHTNLNDSASIRSLPFGYPASSRGGR >Ma10_p16430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28140365:28146405:-1 gene:Ma10_g16430 transcript:Ma10_t16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFGVAVQKTSFATSGQCRRVIIACSKVGKGKTDACYKARQSAKTDCQAKIVAKAAGDGLLHLVEVNNEHNHPVNPSTARFLNCYKKMAGSRKQESVGQSNGQGSSRPDEIECEDSTKIGRLKLAKGDDEAVYQFFANMQNKDPNFFYLVDLNDHGCLRSLFWADGRCRTAYQYFGDVVSVDTTLLTEKYDLPLILFVGMNHHGQLVLLGCSLISNETVQTYTWLFKAFQTCMLGICPNSIVTDYSKAIQGAVLDVFSGARHRLCLSSIMKKVPGKLKGHPDFKAIKRALKKAAFDSLKVDEFEKCWMKMIVDHGLENNDWLASLYENRHMWVPAFLKNTFWAGMSVSQRGETISTYLNGHVYPKTSLKQFFSKYDMILQSKYKKEAEADSESHKTPLLISKFYMEEQLSKLYTFNMFKKFQEELKATMYCDVLLIQMDGPLINFQVKECSFIEDGKATENKDHEVLYNTDKLEIQCICGSFEFCGILCRHALSIFKFQQIFEIPSHYILGRWKKDYKRLHAVDRSLNDMQVDNVVERYDYLTMRCLHLAELGFISDDRYQVALKLLKEVEKSLLDDGICRDRQPRLLSFGMNSNENVQNLLLAQLGTSEGNKNSNSLQVKRRGRPPKKAKEPNMEMLARPNKEQDFLRSSLVGNEGNILHSNPTPSHLNTQRIDLMEDITTDDLSFGSHFGMHVNHQHHIGNQPRTQTHNLLQDQYDHQTIGNPRMQWIYQQILQDDQIPKAPSGRRTG >Ma10_p16430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28140365:28146406:-1 gene:Ma10_g16430 transcript:Ma10_t16430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFGVAVQKTSFATSGQCRRVIIACSKVGKGKTDACYKARQSAKTDCQAKIVAKAAGDGLLHLVEVNNEHNHPVNPSTARFLNCYKKMAGSRKQESVGQSNGQGSSRPDEIECEDSTKIGRLKLAKGDDEAVYQFFANMQNKDPNFFYLVDLNDHGCLRSLFWADGRCRTAYQYFGDVVSVDTTLLTEKYDLPLILFVGMNHHGQLVLLGCSLISNETVQTYTWLFKAFQTCMLGICPNSIVTDYSKAIQGAVLDVFSGARHRLCLSSIMKKVPGKLKGHPDFKAIKRALKKAAFDSLKVDEFEKCWMKMIVDHGLENNDWLASLYENRHMWVPAFLKNTFWAGMSVSQRGETISTYLNGHVYPKTSLKQFFSKYDMILQSKYKKEAEADSESHKTPLLISKFYMEEQLSKLYTFNMFKKFQEELKATMYCDVLLIQMDGPLINFQVKECSFIEDGKATENKDHEVLYNTDKLEIQCICGSFEFCGILCRHALSIFKFQQIFEIPSHYILGRWKKDYKRLHAVDRSLNDMQVDNVVERYDYLTMRCLHLAELGFISDDRYQVALKLLKEVEKSLLDDGICRDRQPRLLSFGMNSNENVQNLLLAQLGTSEGNKNSNSLQVKRRGRPPKKAKEPNMEMLARPNKEQDQYDHQTIGNPRMQWIYQQILQDDQIPKAPSGRRTG >Ma10_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28140365:28146405:-1 gene:Ma10_g16430 transcript:Ma10_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFGVAVQKTSFATSGQCRRVIIACSKVGKGKTDACYKARQSAKTDCQAKIVAKAAGDGLLHLVEVNNEHNHPVNPSTARFLNCYKKMAGSRKQESVGQSNGQGSSRPDEIECEDSTKIGRLKLAKGDDEAVYQFFANMQNKDPNFFYLVDLNDHGCLRSLFWADGRCRTAYQYFGDVVSVDTTLLTEKYDLPLILFVGMNHHGQLVLLGCSLISNETVQTYTWLFKAFQTCMLGICPNSIVTDYSKAIQGAVLDVFSGARHRLCLSSIMKKVPGKLKGHPDFKAIKRALKKAAFDSLKVDEFEKCWMKMIVDHGLENNDWLASLYENRHMWVPAFLKNTFWAGMSVSQRGETISTYLNGHVYPKTSLKQFFSKYDMILQSKYKKEAEADSESHKTPLLISKFYMEEQLSKLYTFNMFKKFQEELKATMYCDVLLIQMDGPLINFQVKECSFIEDGKATENKDHEVLYNTDKLEIQCICGSFEFCGILCRHALSIFKFQQIFEIPSHYILGRWKKDYKRLHAVDRSLNDMQVDNVVERYDYLTMRCLHLAELGFISDDRYQVALKLLKEVEKSLLDDGICRDRQPRLLSFGMNSNENVQNLLLAQLGTSEGNKNSNSLQVKRRGRPPKKAKEPNMEMLARPNKEQDFLRSSLVGNEGNILHSNPTPSHLNTQRIDLMEDITTDDLSFGSHFGMHVNHQHHIGNQPRTQTHNLLQDQYDHQTIGNPRMQWIYQQILQDDQIPKAPSGRRTG >Ma08_p26390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38957114:38958221:-1 gene:Ma08_g26390 transcript:Ma08_t26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYTQDHVYHHPWDRVTTAAWRKFTDPDTPALLSHIVDVHTLHRRLDPASGCLHAVRSITVRSPPLPFILRRILGQDAVVCHCVESTVVDARGRSMEIVVRNASLRGLLEVEERSTYRPHPDLPEGWTAFRQQTNIRCKPLSALAAVAEKVEQRCAERFQQNSVKGREVVERICKYLEAEASAAISC >Ma07_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10706125:10710184:1 gene:Ma07_g14280 transcript:Ma07_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQMDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFIVLGNKIDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFQCIARNALKNEPEEDIYLPDTIDVAGGARQQQSSGCEC >Ma11_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22548362:22552528:-1 gene:Ma11_g17180 transcript:Ma11_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLVQPIGQKRLTNVAIVRLKKHGVRFEIACYKNKVLSWRSGVEKDLDEVLQSQTVYSNVSKGILAKSKDLIAAFGTDDQSKICLEILDKGELQVAGKERESQLSSQFRDIATIVMQKTINSETQRPYTISMIERLMHEIHFAVDPNKSSKKQALEVIRELQKHFPIRRSPMRLRLIVPEKNIPTLMEKLNVWNATVTSKDESGSHPSVVCEMEPGLFRDCDAVMRNLQGRLEILAVSVHAEGDAHVDEYELMEEFAPSSTKESDSVAQLGEKLHRHGISAENSSSEDQVKQNRCTTCDAVVGDAKQYREHFKSEWHKHNLKRKTRQLPPLTAEECLADLEVGDSKADLKEYSF >Ma09_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3108253:3109803:-1 gene:Ma09_g04830 transcript:Ma09_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVGIPNDMGISFNVQPKMKALKIAERARDAILSGKFHQVCINLANGDMEGHTGDIKATVVACKVADEAVKMIIEATEKVEGVYVVTADHGNAEDMVKRDKSGQPLLDKDGNIQILTSHTLHPVPIAIGGRGLAPGVRFRKDLPNAGLANVAATVINLPGDYEPH >Ma01_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6567298:6575092:-1 gene:Ma01_g09130 transcript:Ma01_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPCKGISVPRLGVQSQVICRNASPGAKTCHYTKMVLMPQIVTSSNLASSPASFLAQVSSSALFNKVPHLNRHNRGARFVVRAAADYYDVLGVSRNASKSEIKSAYRKLARNYHPDVNKEPGAEQKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGGTRAARNRPMQGDDESYNLILNFKDAIFGVEKEIEITRLESCGTCDGSGAKPGTQPSKCNTCGGQGQVVSSARTPLGVFQQVMSCTTCDGTGEFSTPCNTCRGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIEVLSDPVLKRDGTNILYTCKVSYIDAILGTTTKVPTVDGMVELKIPAGTQPGTTLVMAKKGVPYLGKPNTRGDQLVRVQVEIPKSLSTEEKKLIEELANLSKAKTANSRR >Ma09_p25890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37250289:37252469:-1 gene:Ma09_g25890 transcript:Ma09_t25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGTRKFAVLLCADESEHVKQAYGGYLKVFMGLLGEEDETWHVYRAMHGELPCMADVHTYDGFVITGSCSDAHGDDRWINDLVSFLRSLDSMKKKVLGVCFGHQILSRALGGKTGRAMKGWDIGVTSINLSPSANKLLSSLHIPSHLPIIECHRDEVWQPPPYAEVIACSEKTGVEMFRYGDHMMGIQGHPEYNKDILRHLLDRLLQRNLIQACQAETAKASLEAQEPDREAWKRLCRGFLTGQLSW >Ma06_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9574521:9576079:1 gene:Ma06_g13980 transcript:Ma06_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLVHVLLISFSFFCLFFVESQSSDSCASKLTVARLIPVINTTFLSCLTPLRSANFVLRYAKAGPGIWSFVLSAADPKSYVAVGFSGDGRMVGSSAIAGWITGSGAGIAKQYSLGGKKSSGCPPDQGDLLLQGTPVVALQSSRLYLAFQLNVDQPPPYLIFAVGPDNGFPSSPTFLLPEHRDENSISVNYTALGVATSDSGGSEEEEGGEEAFGAKRKHALLVMLGWGVLMPIGIVVARYFKNWDPLWFYSHLSIQGLGFGLGLAGVILGFGLDDDGISGVGAHEGLGIAILVGGCLQVTALLARPGKASKVRKYWNWYHHYVGRAAVVCAVANVFYGFKIAEETKSWNIGYGVFVAVWGFIAIFLELRRCASEK >Ma02_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5339986:5347162:1 gene:Ma02_g00460 transcript:Ma02_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGGGGGCDGQHSQTQGLSRQGSLYNMTLNEVQNHLGEPLHSMNLDDLLKTVFAAEANQLPGVDLDIPLDQYASSSGLHRQGSITMPQELSKKTVDEVWRDIQQGKKKGEELQRSGHERQTTLGEMTLEDFLVKAGVVAEGIAKDGNDMIGNVDPVGNADLISGTQDFTRGTSWLRQFQQTATMDQQIHGQQSLMGAYMPSRPLPQPLGAGTGPMFEAVFPEGQINISSPTMGAFSDPQTPGRKRGGSEDVAEKLAERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKKQKELDRVLFAVPLPEPRYQLRRTSSAPF >Ma09_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1554962:1555984:1 gene:Ma09_g02170 transcript:Ma09_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNKKHNGEVTKANGISRYIAIDLVESVLMHMNPKDAMRLSTTCKEWRVTTPQYDPTIRKTPWFFTILRSTNSCILRSVVNEDTSFEIKLPCYSGESIFIDNVLHGWLVIEDVTVSLYNPFSRLRLDLPFAPFSSFYSFYMTSAPTNPDCIVLGHNSEYLFVWRPGDDFWSVDKNVEVKYYKSVVMFRGQLYAIRYSKISSRQFVCFQVAPFQRKDLDVPPPMDLYNNDVYLVESCGELLMVCIFGVSKVHLFRLDLKNKEWIEMESLGDRAIFLNKRHNKNSGVSVSAHETGCLGNCIYLIDWISVYIYNLENHSIKIFDKGLLGYNLMQWIIPTSS >Ma04_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3415732:3419786:1 gene:Ma04_g04480 transcript:Ma04_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRHLCDNGITKVPRKYVLPLPDRPQLTPAARKPSLKLPVIDVGQLLSPDRTEVLATLDRACKEYGFFQTVNHSIAGEVTRRMIDVGKRFFELRFEERAKYMKTDVRGPVTYGTSFNQTKDRVFCWRDFLQLSCHPLDSVLPWWPTSPMDLRDEVVSYAKHIKSLFLVLMTAVPESLGADPAILKEFDDGSQLMAINCYPAGEWITVEPLPNSVIVNVGDHLEIYSNRRYKIVLHRALVNTSKSRLSMASLHSVSFDRVVRLSPELVDKDHPKLYKDTDFAAFLTDTSCCETKHKHFLESRKDWRRTNPRARVDLPLRDYKDS >Ma05_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1174842:1175765:1 gene:Ma05_g01910 transcript:Ma05_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVDKLQCLHALCSHMLSSFTVLREKEGALLRAASNLPQQHNHKSPPSAQKKTISKDGDCSNGKVKAYSCCVAATVVSLQCHGCRMQGRGGEKATVRALVGSRPPICERRCITCGHCEAVQVPVIPQEKKSRSRQFLGTATVRGDYSSNYKPLSWKCKCGDLIFDP >Ma06_p36210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35738725:35744229:1 gene:Ma06_g36210 transcript:Ma06_t36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDQWTRELNEASKLAEDISVMISERGSLPPSGPDTQRHLTAMRRKITILRTRLESLESILSKLPSLQPIKDKELNKRQEMLANLKSKADQMASELNMSNFSNRKDLFGDGKKSADVVSRTAGLDNQGIVGLQRQIMREQDEGLEKLEETVLSTKHIALAVNEELDLHTRLIDDLDEHVDITDTRLQRVQKRLAILSKRTKGGCSCMCLLLSVVAIVILIVIAWVLIKYL >Ma09_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35584737:35588262:-1 gene:Ma09_g23870 transcript:Ma09_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKGRRSESFWPSIVMRKWLNIKPKVHEFSEDEVDTESDDDDAKISSMVSFLEAAGSKDEYKPHASVSNTPETETRIPLSRIRRRKSETLRFNYITNKDVRVMVGTWNVAGRFPVEDLELDEWLDTKEPADMYVLGFQEVVPLNAGNVLGAEDNRPIQKWEEIIRRTLNKSLQPKALCKSYSAPPSAASMSSTPADADTHNKEAASLPTTGTDDYGKLNRKKTNASDGGFKLDWPEYSLDTPHQVLTSGKRLRRVFSSSARIGLDWLEEAQVLEPRNLAINAGLKRVCHSSGNLGMLWSEQQVAADVLDSVNDISDRSSEEDAKSDEADADEQRSRPRSRYSRVVSKQMVGIYVSVWVCRRLRRHVNNLKVSPVGVGLMGYMGNKGSVSVSMTLFQTRLCFVCSHLTSGHKEGDQHKRNSDVYDILQRTRFASAMNADHPQTIPSHDRIFWLGDLNYRLTMPDAEIRGLVASRRWDELMKFDQLSNELRSGHTFDGWKEGLITFPPTYKYESNSNRYVGEDAREGEKRRSPAWCDRIVWLGKGIKQLSYWRSEQSLSDHRPVSSVFLVEVEVLDQRKLERVLNFTTAGLISEKNL >Ma10_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23568852:23573466:-1 gene:Ma10_g09380 transcript:Ma10_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSYRYQAEILVKDYLLADPFVRYTSVLGGIFMCKMAYDFTQLISSFYFKGYASLTKIQRIEWNNRGMSNAHAVFITLMSLYLVFFSELFSDSIEGLVTFRNSNLSTFTLGVSVGYFMTDLAMIFWLYPSLGGMEYVLHHVLSIISISYSMLSGEGQLYTYMVLISETTTPGINLRWFLDTAGMKRSSAYLVNGVAMFFAWLIARIFLFLYLFYHIYLHYDQIRQMHTFGYLLSFLVPIVLFAMNMMWFGKILKGLKKMLAKRQ >Ma10_p27980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35020476:35021011:1 gene:Ma10_g27980 transcript:Ma10_t27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGALTIDGDEAPERRMERMIRESPVVVFSRRGCYTCDVILRLLAVVGTHATVIVLEDGEAGPAALPALFIGGAAVGGFEGLVALHLGGRLVPRLREAGA >Ma10_p26110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33968507:33971199:1 gene:Ma10_g26110 transcript:Ma10_t26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEPLRGSSGEDRDGAMGSFSVADVDFADEALLEDISFGDLFLGIDHGDVLPDLELDPAEIFAEFSVGEEHSGSTTAWEAAEGMLGVDGASQDVVVAALEEHNGGRGEEVVSAMTREESMVMPSRARPSSPDGNKGRTPSAAAAAKGSHRKRKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAVSWSQRRQVYAAGAGAKRDINPWVAPTIGFVPPTPAAPPSAVQHFRPLHVWGHPTTEAPLVHMWLRHLAPRSPTVPWAPQPPPSPPDPAYWHHHYQRGSREGWFPRAMTQGTPCFAPPLPTAVINRFPAPHVPGIAPHPMYRPPPPVVTKRSSSQLHLDAHPSMESVDAAIGDVLAQPWLPLPLGLKSPSMDSVLVELQKKGVQKVPPACG >Ma10_p26110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33968507:33971201:1 gene:Ma10_g26110 transcript:Ma10_t26110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEPLRGSSGEDRDGAMGSFSVADVDFADEALLEDISFGDLFLGIDHGDVLPDLELDPAEIFAEFSVGEEHSGSTTAWEAAEGMLGVDGASQDVVVAALEEHNGGRGEEVVSAMTREESMVMPSRARPSSPDGNKGRTPSAAAAAKGSHRKRKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAVSWSQRRQVYAAGAGAKRDINPWVAPTIGFVPPTPAAPPSAVQHFRPLHVWGHPTTEAPLVHMWLRHLAPRSPTVPWAPQPPPSPPDPAYWHHHYQRGSREGWFPRAMTQGTPCFAPPLPTARFPAPHVPGIAPHPMYRPPPPVVTKRSSSQLHLDAHPSMESVDAAIGDVLAQPWLPLPLGLKSPSMDSVLVELQKKGVQKVPPACG >Ma09_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9441456:9444292:1 gene:Ma09_g13930 transcript:Ma09_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSRLSLSLLLLLLLLLVPVLASSASSFPLFPRPPRNECVGLDTGFSTGFQLETSVEESVTREIVRLANAPETVDWIRKVRRDIHEFPELAHEEFVTSERIRRELDLMGIAYKWPVAGTGVVATIGTGLPPFVALRADMDALPIQELVEWEHKSKVKGKMHACGHDAHVSMLLGAAKILQGLRHTLQGTVVLLFQPAEEKGVGASEMIREGALRGVEAILGMHVAYIFPTGVVASRPGEFLAGCGNFRAIVRRRSLGVTRQGSTDPILAASASVISLQSLVSREASPLEAQVVSVTKVDGGDSYSTVPDSVTISGTFRVFSKRSFNEITSRIEEVIRAQAAVYRCTAEIEFLESEEPPIPPTVNDRAIHEYVQQVSREVVGEKKTRVAPQVMGSEDFAFFLEEVPGTLLLIGTYNERIGSIHPPHSPYFTIDEDALPVGAAIHAAFAHFYLLKSANGAAD >Ma09_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17991996:17993270:-1 gene:Ma09_g18530 transcript:Ma09_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVHPSLTASRLCVSAVHPKGLLPCLPTRNGCSRKPGRSLVLPVTAAKSSSDIGGINLRHLERAIRLHSAISNRSIKEFVELIGDECRHCFTSLPAEPLELSKKAFQMLHSFMVYNSVMLVIKPTADYGVDIGIRWIANFAKDNLPMAFGCSISTMHVYEGIVFWRNAKNIIDTLIQMQVAERLEKILLPIIDKLVPEGVFEGKERVALMYSLLSLLIMVVSVIILKNTMV >Ma02_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7929447:7930292:-1 gene:Ma02_g01100 transcript:Ma02_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVKIKDCEIYSYNPDFDGDHPFLEKGAIWAFNFFFYNRKLKRVVSFRCCCFSKLAAEEFLGNDVLREEEEEEEEEEDLLDDMEI >Ma00_p00110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:122390:127376:1 gene:Ma00_g00110 transcript:Ma00_t00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRSDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVEDKVVKAQIWDTAGQERQTLSDRYRAITSAYYRGAVGALLVYDVTRHTTFENVERWMKELRDHTDSSIVIMLVGNKADLRHLRAVTVEDSKAFAERENSFFMETSALESTNVDNAFTEVLTQIYRVTSRKALDAGDDPTTLPKGQTINIGTHDDVSAVKKAGCCSA >Ma00_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:122370:127388:1 gene:Ma00_g00110 transcript:Ma00_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRSDDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVEDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHTTFENVERWMKELRDHTDSSIVIMLVGNKADLRHLRAVTVEDSKAFAERENSFFMETSALESTNVDNAFTEVLTQIYRVTSRKALDAGDDPTTLPKGQTINIGTHDDVSAVKKAGCCSA >Ma06_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14943326:14953380:1 gene:Ma06_g20790 transcript:Ma06_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase small chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27740) UniProtKB/Swiss-Prot;Acc:Q9LVW7] MISCPLPTSLETLAPTAAAMENPLAVASNVRHLPRHKLFMSFAAHSKRSGMLQVVSVHQRVKAASSLYSDCDVDVQKPWKTADARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESSQCFLAGLVIRSLSICTSNWRCTESLGDYLAKRNIMGIYDVDTRAITRRLRQDGSLIGVLSTEESQTDEDLLEIAHKWKIVGVDLISGVSCKAPFVWSQKTHSEWEFHKDQNQVENFHVVAYDFGIKHNILRRLASYGCKVTVVPSTWPATETLKMKPDGVLFSNGPGDPAAVPYAVETVKEIIGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVGNLRNGRVEISAQNHNYAVDPASLPAGVEVTHINLNDKSCAGLACAELNLMSLQYHPESSPGPHDSDPAFREFMELMKASKK >Ma06_p31670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32730234:32730737:1 gene:Ma06_g31670 transcript:Ma06_t31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNKTPPPAPQPPSPGAGVSAAQVRRQPLILKQPTRPGPRCAELAGVTAADCAAVCCCGPCMVVNLVVLTAVKLPARVCRRVLHARDKRKERARKRKEARLLGPRSDAGGDRLSTVTTEDLNGDVEGPSPAEVAEVEKEILARFQRTGFWRSPSRSESQRRLERYP >Ma02_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19594856:19602043:1 gene:Ma02_g09750 transcript:Ma02_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRSSSYGEDFDDGNDVGEKLGFRDWPRRNQDPDRSVSSSHRRPSFSKTEGLRKVSSSSSSHDRPLDDDWEPSRHIRRRYDHEPEPFDWRKSYDRYRDGRDGGDRLMQASSPRGSSYGSDRMHRSESFSGLRREFPKGFRSDRDRSRREGNASSSWWRSRSSKELSADEVRKSPSVDSDSVGRRSHAASPDDHRGKVRSRDSPTGVQSRRAEIQTVKTSKSCKESGSSSEMEEGELEPDPKPEPEPEPVAEPSSDSKAATGLESENHKDRDPEYNSLSEEVPDQKEILVDGKKVDVDDNGSVVAEEEAKLTDTTIMETKKTDDQVRNDQAVDSSLLDVSSKLTDAVVDGGNISDQGRNNQSTAVKELDEGSGEGEGMATDAYGDNEVEGKFCGEKCDAVKELDDRRWEGERKAEDANGDIEVEVKFCATKQEACGEDNSCSQFHGEELAGNHEGQAVEEEAKEMTSAISPLKEEKLVEDREEARPNEAVVEIEQAVEEEAKVMTSSICPLQKEISMENKKETQCNEAKVETKQKHETADEQGVEAEDEIQQKHETGVEFEVQQKEQSGIDLETEPEGTSSLFDQTREVTCEINHEVVTLTLMRDQSKLNYKDKGKGLAFSLSAERDSVEDDNAIEGFSGRGFELVFRSDTGQPEKACSSGIVARRLEDDNLKIEPLDLCLALPGGLFDHSSKHSKPKIETLSCARDIQSLPSSFRTNSNGFTTSISFTSSQPFVHNPSCSLTQNSLDNYEYSVGSHPIFQGVDQVSSGTIWQAQTSNDSKMKGSVPLYQRVLLNGNLSHNLRNTTNGQHQSVPTCIQQSSLPRQMSPTDSHGSHETGSQLNKDKRLLMGERNSSSLCRTEKRDGEQLVLNGSGVTEKILSKIMAEPLQLSGRMLQEMTDQSVAYLRETISEMLTVTDKSRQMREFQEALRRRSDMTMDTLINCPCVLLEILVAIKTGLPDFIRRTTNIKSSDLVEIFLNLKCQNLACRNSLPVDDCDCKVCIDKTGFCSACMCLVCSKFDNASNTCSWVGCDVCLHWCHTDCGLQGSYIRNGLSTSADEGITEMQFHCVACHHPSEMFGFVKEVFKTCAKDWKVDTLAKELQYVKRIFSTSNDLRGKRLHDLADKMLLNLEKKASHSEVVMHILTFLSDSEFNLSSASVSTPKDSSRNEAGQINVACSSKDWLPSVPSEKASLLENTGLVLSMGCDQVGRKARDVELQMSLEKKPVVDELESVIKFKHAEAKMYQERADDARREAESLKHISIAKNSKIDEDYASQIEKLRLSEAEERRRQKFEELQAIEKAHREYFNMKMRMEADIKDLLLKMEATKRNLNT >Ma09_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11831216:11837628:-1 gene:Ma09_g16460 transcript:Ma09_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIVDLEEGLELVQKGITKLTNILEGRAEPSFITDECMMLYTTIFNIASRNHPQDHSQLLYDKYRELLEEYLTSEALPALSEKHDEVMLLELVHRWKNYKVMVRWLTHFFFYINRYYIPRRSLTPLAEVGLNCFRQLVYQVLKDKVRDAVISLINRERDGEQVDKTLLKNVLNIFVEIGSGKTHYYETDFEAHMLRETSTYYSQKASIWIVEDSCPDYMFKAEECLKREKDRALHYLHEISEPKLLQKVEDELLSPYTNQLLENETSGCHVLIRDNKVDDLSRMCRLFYKIASGMNLISEIIKQQVTAELTVLMKQAEDAASNKKPEKKDEIGLQELVLVRSVIELNAKYLAFSNDCFQNNSPLRKPLKEVFEVFCNKGFSGNSCVELLATFCDHTLKKGATKNSSEAVEDTIEKTVKLLAYVNDKDLFAEIHRKKLARRLLFNRSAHDDYERSMLKKLEQQFGWQVTWKMEGMVTDMTLAREIQSSFEEYLKSNRQENPGTDLSVTVLTTRFWPGYKSHDFNLPSELIKCVQAFKIFYETTYRRKLTWLYSLGSCNINAKFGERTIELIVTTHQAAVLMLFNSADRLGYSEIKSQLAMTDDEVTRLLHSLSCARYKILNKEPNSQSISRTDYFEFNSEFTEAMKRIKIPPPPVDERNKVIQDVDKGREYAIDAAIVRIMKNRKVIVYQQLVVECMEHLNHVFKPDIKAIKKRIEALITREYLERDQKNPSIFRYLA >Ma08_p30560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41778240:41782929:1 gene:Ma08_g30560 transcript:Ma08_t30560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSTSVSSHSERYSDDQELSRASPNDASVSQAQSREVLCNASDGEVHETVKRLTEKLSVALLKISAKEDLVKQHAKVAEEAVSGWEQAEAEVVALKQQLQTTLQKNSALEDKISQLDGALKECVRQLHQSREEEEEKVRYSISEKTQMWGSEKHVLEKQLVELTAQLEAAKTKAGTFDHGLKARLEAVEKENMALKTELRSQSEDIQVLLLERELSNKAAETASKQHLESIKKVTKLEAECSRLQIANRRSSSASVHKPISSLACVESLTDSQSDSGERSLGGIDNGPGCSDSWASALIAELDQFKSEKADSRILTRCPVEIELMDDFLEMEKLVALPETDPENSDLEPEADSNQLVRRYNLLEVDKEFMHHMLIELEEKVERLEHEKAELEIASTESCNRLEASRSLVTAAESKVVELQTKLDMENESKQTAMSEFMDLEGKRKELETQLESAYLENGKLCEKVSLLEESSKSERESSFELKASIDNTEAAREALNSQLESAHSQLSSLNETIGVLEQQVKEERAFSSELASKVKALESQLEHAHSEASKLQEKVNFWELKAEEETKLSAEIAIKLEATEAARKKLELELQSAHEFATKAEAIEAAKKALEIQLDSALGETGKLSDKVALCEAKIDEERALSAEFAAKCHKLEADLSKIKQEAHLRRAANPNPELKIKQEKELAVAAGKLEECQKTIASLNRQLKSLTDLDDFMLGAEKLEHDGSLQDPGGSKAKDFHHNDSSEVEGSCTLPDGKESIPLQSSFYLLSSSSSSLSGFVRSLPHSRSISH >Ma08_p30560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41778240:41782929:1 gene:Ma08_g30560 transcript:Ma08_t30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSTSVSSHSERYSDDQELSRASPNDASVSQAQSREVLCNASDGEVHETVKRLTEKLSVALLKISAKEDLVKQHAKVAEEAVSGWEQAEAEVVALKQQLQTTLQKNSALEDKISQLDGALKECVRQLHQSREEEEEKVRYSISEKTQMWGSEKHVLEKQLVELTAQLEAAKTKAGTFDHGLKARLEAVEKENMALKTELRSQSEDIQVLLLERELSNKAAETASKQHLESIKKVTKLEAECSRLQIANRRSSSASVHKPISSLACVESLTDSQSDSGERSLGGIDNGPGCSDSWASALIAELDQFKSEKADSRILTRCPVEIELMDDFLEMEKLVALPETDPENSDLEPEADSNQLVRRYNLLEVDKEFMHHMLIELEEKVERLEHEKAELEIASTESCNRLEASRSLVTAAESKVVELQTKLDMENESKQTAMSEFMDLEGKRKELETQLESAYLENGKLCEKVSLLEESSKSERESSFELKASIDNTEAAREALNSQLESAHSQLSSLNETIGVLEQQVKEERAFSSELASKVKALESQLEHAHSEASKLQEKVNFWELKAEEETKLSAEIAIKLEATEAARKKLELELQSAHEFATKAEAIEAAKKALEIQLDSALGETGKLSDKVALCEAKIDEERALSAEFAAKCHKLEADLSKIKQEAHLRRAANPNPELKIKQQEKELAVAAGKLEECQKTIASLNRQLKSLTDLDDFMLGAEKLEHDGSLQDPGGSKAKDFHHNDSSEVEGSCTLPDGKESIPLQSSFYLLSSSSSSLSGFVRSLPHSRSISH >Ma08_p30560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41778240:41782929:1 gene:Ma08_g30560 transcript:Ma08_t30560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKSPGETESSTSVSSHSERYSDDQELSRASPNDASVSQAQSREVLCNASDGEVHETVKRLTEKLSVALLKISAKEDLVKQHAKVAEEAVSGWEQAEAEVVALKQQLQTTLQKNSALEDKISQLDGALKECVRQLHQSREEEEEKVRYSISEKTQMWGSEKHVLEKQLVELTAQLEAAKTKAGTFDHGLKARLEAVEKENMALKTELRSQSEDIQVLLLERELSNKAAETASKQHLESIKKVTKLEAECSRLQIANRRSSSASVHKPISSLACVESLTDSQSDSGERSLGGIDNGPGCSDSWASALIAELDQFKSEKADSRILTRCPVEIELMDDFLEMEKLVALPETDPENSDLEPEADSNQLVRRYNLLEVDKEFMHHMLIELEEKVERLEHEKAELEIASTESCNRLEASRSLVTAAESKVVELQTKLDMENESKQTAMSEFMDLEGKRKELETQLESAYLENGKLCEKVSLLEESSKSERESSFELKASIDNTEAAREALNSQLESAHSQLSSLNETIGVLEQQVKEERAFSSELASKVKALESQLEHAHSEASKLQEKVNFWELKAEEETKLSAEIAIKLEATEAARKKLELELQSAHEFATKAEAIEAAKKALEIQLDSALGETGKLSDKVALCEAKIDEERALSAEFAAKCHKLEADLSKIKQEAHLRRAANPNPELKIKQQEKELAVAAGKLEECQKTIASLNRQLKSLTDLDDFMLGAEKLEHDGSLQDPGGSKAKDFHHNDSSEVEGSCTLPDGKESIPLQSSFYLLSSSSSSLSGFVRSLPHSRSISH >Ma10_p26180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33999417:34002547:1 gene:Ma10_g26180 transcript:Ma10_t26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVSSSLVRTPCLPLRISSRSPRSSIPTRVSSLPFSLSRFPRAQSYRRREALRPSSAIRALGDMTVTPSSSTERFSSNVTESEDATVCEDYTEGDCGSGSVDDSKMTRVCDKLIEVFMVDKPTTTDWRRLLAFSKEWSNIRPHFYRRCEERADREGDPGMKHKLLRLGRKLKEIDDDVQRHNELLEVIKEAPDEISAIVARRRKDFTKEFFVHLHTVAESYYNEPSKQNELARIGNVCIAAVQAYDNASQSIEALNAAELKFNDIISSPTLDAACRKIDNLAEKKELDSALMLMITKAWSAAKETNMMKDEAKDILYHLYKTAVGNLQRLMPKEIRILKYLLTIKDPEEQLHALREAFTPGVELEGKDVDCLYTTPEALHTWIQTVLDAYNFSREGTLIRDARDLMNPKVIQRLEELKKVVQNNFL >Ma08_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5821695:5829465:-1 gene:Ma08_g08360 transcript:Ma08_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPAAAAATLSTPADLFSFDDDDGFGDFIFASSDQPLPLHRQQDDDDWGEFVVGPLGSQRFESPATPPPFDAFPSHSAAVVVDEIVGVKEWEKPRGALPLSIFGEEEEEVNEPQPLQPLDLCPPSLSSSSPATDHKGPVGGGELRDLITSLYGHAPQPVGVDRIGSRLAEGKEDDTGESSWEYKDASSSSPNSVLKLMMEDGIREEKSGPRGVAAVGEVEVLRIGSQGHQEANGWISVGSDGQDVSNGGTDGAGFLSDASFPMVVHDRIRNAQNTDDWFSGPENGEKRGEEEFWKFGDTVMENKVVSTLGQKSHEEPMIQDAETKMLGPSTETEATRSVLKSNLVDWPVHSCSNFKKEADLGLNDLNGYFCEPMFVGSSTKDITFTSSDRLTNNTLEMFIEVDQDDAVCAANDATKKHQNSDMVSSVQRDYHLGRTQCEFLKTSEVVVRNQDSACEIDKNDSTNLTHISLVALYCRLKDGSASLLNCHLDDLKNAYEVASLSGEEVKEKEIYEEIKVAYEKLEEVKGTENAKIGEHLSKNVCISQLLKAVEEPNFRAFEQEYHLSERIISAGKELCAAIEFLEHTNSVLCILALASREEQHAYIHAWSAISVACVQELQHGAIILQESVRAQKLMQILFQEVKYFIAIGEIYRVTEVLRVSLKLYKPWILLNRGVSSQLLTNLDKCAEAWTVSGLENALKTISDANGVEYAVLAKRLLSSIKHLGDLDLSHYSFNHDKTICRISLLTTEELQDMKMVLWSGNYYFGKLANLWANRISHDPPKLTF >Ma08_p08360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5821695:5829465:-1 gene:Ma08_g08360 transcript:Ma08_t08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPAAAAATLSTPADLFSFDDDDGFGDFIFASSDQPLPLHRQQDDDDWGEFVVGPLGSQRFESPATPPPFDAFPSHSAAVVVDEIVGVKEWEKPRGALPLSIFGEEEEEVNEPQPLQPLDLCPPSLSSSSPATDHKGPVGGGELRDLITSLYGHAPQPVGVDRIGSRLAEGKEDDTGESSWEYKDASSSSPNSVLKLMMEDGIREEKSGPRGVAAVGEVEVLRIGSQGHQEANGWISVGSDGQDVSNGDDWFSGPENGEKRGEEEFWKFGDTVMENKVVSTLGQKSHEEPMIQDAETKMLGPSTETEATRSVLKSNLVDWPVHSCSNFKKEADLGLNDLNGYFCEPMFVGSSTKDITFTSSDRLTNNTLEMFIEVDQDDAVCAANDATKKHQNSDMVSSVQRDYHLGRTQCEFLKTSEVVVRNQDSACEIDKNDSTNLTHISLVALYCRLKDGSASLLNCHLDDLKNAYEVASLSGEEVKEKEIYEEIKVAYEKLEEVKGTENAKIGEHLSKNVCISQLLKAVEEPNFRAFEQEYHLSERIISAGKELCAAIEFLEHTNSVLCILALASREEQHAYIHAWSAISVACVQELQHGAIILQESVRAQKLMQILFQEVKYFIAIGEIYRVTEVLRVSLKLYKPWILLNRGVSSQLLTNLDKCAEAWTVSGLENALKTISDANGVEYAVLAKRLLSSIKHLGDLDLSHYSFNHDKTICRISLLTTEELQDMKMVLWSGNYYFGKLANLWANRISHDPPKLTF >Ma11_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2508331:2510088:-1 gene:Ma11_g03380 transcript:Ma11_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSSFPMLASFPFLLFLIWCAHLVFFKARSTPREPPCAGFAAPKCYPLVGNLPHFINNCDRFLEWTTELLVASPTGTVTVAPFVFTANPSNVEHMLKANFDNYPKGGDVVSALHDFLGRGIFISNGEQWKAQRRAASFEFNTKSLRAFVFDRVRHEAADRLVPLLRQASRDGQVLDLQELFDRFAFDNIISLVFDQDADCLRRGNKEGERFFRAFHKAAHLSVDRMKLPHPLVWKLKRWLDTEDERRLRESTAVVHEFVDKYVRLKRSGGCTSSGGDLLSRFAEDGTRTDEFLRDFLLSVVLAGSDTTPAAITWFFYVLSSKPDVVDHIREELRLVRARRCEPGGEAFTLEELREMNYLHAAIAESLRLHPAVPLLPRVCAADDEMPDGTRVRRGWTVMYNSYAMGRAEVIWGKDCGEYKPERWLEVGVFQARSAFQYPVFHAGPRMCLGKEMAVIQMKAITASILEGFDMELAEQRGKHDLSISMRMDGGLPMRFRERRGR >Ma02_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20752311:20753821:1 gene:Ma02_g11690 transcript:Ma02_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPEASDPIGAAAVGFPAKKMEGFVEAPLSERGLEIAKSREELLRLLHDLPESEFELSLTDLVEKGTGVAGDPVANKAASLLEGQLNRANVVSKERRRRKKQKRSSSRSSFGSSSDGVLLNFYVPASLSRSLTTPRSSRRPAAAAATTDWRRQEDREMKTLGCWSGLWQRGRGK >Ma03_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6781551:6786518:1 gene:Ma03_g09200 transcript:Ma03_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEPRRSHHSPRRVDPIEEHLIDQGFLPSKDEGGKDDIHGLTKHESRVLAKKCGDRNRFACGRRFGIGDVVWAKAFAYTWWPGRIEKMRGSSAWVSFYGCVKSRLVRVVEIHGFEESYARMLRIGGVKLSDAVRLALEDLQRRTALGLMCSCRSSAMGVSEDLDDQIAEATRFEPMEMLGFVLDVATSAWVGEGETVKAVRMSVQISVYRQHVSICQNSEIDERFCPGKLLDFVTTMAICWSIDDCDSSSDSKAVAQLDGYRKFVSVCPSRRNLQIVELEDNFLSDESEDDCHEMSDSSSDGEIVVEAREVTEDNHLFDRQEDFEVVQYQVQGDEICMVNRADFSLFDLDEEYESLQDHELEQVAYHGDSTWENIYMSEKLEMQNSTTGISLEEGTETTSTKAFAFTSDVNQIADNGTCFKSDEQTIDSFSSCQSNNLCKNTVDLSAHKIASPGEVIDYDGISFDDSGTENLKQQDGNVTIFEHKDMHSAVGTESSDESSLLQIAPLVGRQWVINHRNDQETQIPTEAVNVNHKIQFSSEVDPIVNPGGNLEIISRLGSLKRTAWQSACKKRRLDHPSSSKSSFMLLKGLEPDGEAIASAVNMTGCCTAPHPGEGGISEKSVSRKALMLSGSDKDMSPSAKNSIVSCGTNQIKGDMRGYCSTFLLKLTNQTKFHRKKRHDLPITSDMSNWTRFYVSPLARDISKSSSNLRKPSTTDRGSGYHKSLHMKFPKDFNLPTREELENKFGVFGPLECSRTRVFFYTGAAQVVFVDHADAAAAYRYVKKKSIFGEANVRFWFDEHENFRKEQKSDDLPVPLVGHSSLYLTSCHQVPDTLHISDKKKNCGANSEHVSDDISVHMEERSSLSFKTYHQTPDAVHRSDKKKSCSTNNGTTSVPNFNNSSLNLKSCLRKPDSPQGKDKRCKVRFIIET >Ma03_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28922317:28924664:1 gene:Ma03_g24630 transcript:Ma03_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPASESRSSPQQHQTTVNSTTEIQLRPIIDDMFQNSWEAAERGYETCSYYESKLKQILQFAFIDIIISLMAVFAVFIAQRDLKGHANELHLLICGYLTTCSLLCGVTLMFFTINLLSRKNQSVQRGQHTTTVFLLVISCALLILTAAGFSTLLHKRSMFLAALLPGCLLLGTLLYFIFHAGDDHDQNSTGYGSYKSELKHSLGLSSTVVSLAFSGLITTLIGTAKSNSDQALTINTKICIFQMFFAAMFGLLLMLLSSVPPSFKQQSTREFLTKLLKALSYSLLGSLALIAATAASAFLDVFLVLVLLPTPFLGVTLWFCIEWHSTQKQPHGPRTTTNVGHDLKLKLISKVGTTTTSISFGGLTGAFSGFIGRKGSELQLKICVLVMFFAFLSSFSVKLLTFSTPKPGTLMTVIKSLSTCSVLLLLFSAIIVFFLEFLGG >Ma05_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8490832:8494226:-1 gene:Ma05_g11610 transcript:Ma05_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHAAAKVVLDVEEVDTKRVDGCQASKQVGEIKSVNWLAEPCPVPDSGMKLGVAVENSVVDSAVNLSWHDSSTSLQSATSFVMPAPTVTCMSIRDMGTTGVFGLPTSFGLGKLSPLH >Ma04_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4674131:4675484:-1 gene:Ma04_g06340 transcript:Ma04_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYHNFLEGCFNHHPFSCNLSPPPPPPPPFSTYNFYSNYINYNTTHLYSPPPPSPPLREALPLLSLSPTDLHQHEEETACSASPSVDYGGNSSKKCFNDGENGEDEAVMVALRIGLPSPSTADVCSGVSPASADDEKELEEDGGGDAAPLCYPIVGRLTKGQYWIPTPSQILLGPTQFSCLVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYRRKHGVKPFACRKCGKALAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKAFGQGHAACGIDCFDEEDEPSSEIEQDSDHSRHELH >Ma01_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4891993:4896515:-1 gene:Ma01_g06800 transcript:Ma01_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSWSYCVWGFILVMSCGSSDPPTYCSAASPELKLYQAFIFSVPVFFTFILLLLFYLSYLRRRRAHWQSLRLRASQMGRGDVPRSSESGIKKEVREMLPVVVFKESFLIRETQCSVCLGDYQSDERLQRIPPCGHTFHVDCIDHWLATNTTCPLCRVSLLPATKSTASDFSDHAVEVEQGSLEEQSSERPTNSGFVNSQIEAAEEQRDGADDLICVRREAQQLRHDEEGSVSNVIKADGSV >Ma05_p29230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39986838:39987716:-1 gene:Ma05_g29230 transcript:Ma05_t29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAARGELRETRFRGVRKRRWGRFAAEIRDPAKRSRVWLGTFDTAEEAARAYDAAALRFRGTKAKTNFAYPGPLYAPVVAGSVPSSPSNSNAESSTPSPKAGAVTALLPLDLELGHASARFSFPHRPASSAREATVSHHCLALDRAAALTGMSVCDRLQSASDSVSPAEPTMRLPFDVDLNLSPPSEVV >Ma11_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6094662:6095292:-1 gene:Ma11_g07650 transcript:Ma11_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGMGGFYRQKKRGGIAKPTLKRSSTGKSAAIALSFTDPDQSPVLGAIAEHGKTASAVAFEEERLRQFDLDMRYGPCLGLSRMERWDRAAAMGLNPPGDVEAILLKSSAAAADCHWEGRI >Ma08_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2444921:2447090:1 gene:Ma08_g03330 transcript:Ma08_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLCRSWVFSTFFCLSIAVPLATSLSFNFSSFDQSSRSKIQLQRDAYVANDRIQLTKNQLDGSITSSGGRAVYGEPLLLWDPETRELTDFTTRFVFAINGLNESSTGDGVAFFLSSYPSAIPPNSLGGSLGLFNSTPLPANSTINNTVAVEFDTFKNDFDTSANHIGIDVNSVNSSAVVDWRSNITDGREVNAWVSYNASTHNLSVFMTYAQDAGSSNSSLSYVIDLRDVLPEKVAVGFSAATGSGIETHALLSWSFNSSLLPTPLAAKRKSKMGLVVGVVIGAAVLMVVLGSLGLILRRRTSGRDAEDEEELEFDRNMDDEFERERGPKRFAYQELADATRNFSEEEKLGEGGFGSVYRGYLKDSKFEVAIKRISRGSKQGRKEYVSEVKIISRLRHRNLVQLVGWCHDRGEFSLVYEFMPNGSLDSYLYSTARLLEWPARHRVALGLASALLYLHEEWEQCVVHRDVKPSNVMLDSAFNAKLGDFGLARLVDHDRGSQTTVLAGTMGYLAPECVTTGKASKETDVYSFGILALEIACGRRPVQLMEQASKVRLVEWVWELYGRRRLLEAADEKLGGVFDEKQMECLMVVGLWCAHPDYDRRPSIKQVINVLNLEAPLPELPPSMPVLMYYAPPIEAYRLSYASSAAGTTSASSASTACVTESSNSNMSRGLSSTTSHLLKFQ >Ma01_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:539205:543875:1 gene:Ma01_g00730 transcript:Ma01_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MSTASTTPPPHKAEPLRPQQSLQHIDSMASLHLLPNSLAPVTPSFPPPTLRHSSVSTFVSSPPAPHPRRLALSACAKRKKNPWLDPFDDGEDPDMEYGSLFADGKQEEDPRPPEDPDNPYGFLKFPMGFNVELDSLAAKVRGDVRRCCCVVSGGVYENLLFFPVVQLIKDRYPGVLVDVVASPRGKQTYELNKNVRWANAYDPDEDFPESAEYIDMIGVLKNRYYDMILSTKLSGLGHAAFLFMTSARDKVSYVYPNVNAAGAGLLLTETFTPPSSNLSQAGFDMYHQMVEWLGRPARNVPRQPVPPLKVSISKKLKAYVEAKYSKAGAEKRKFVVIHGIETDSVASMRSKGDTDCLLPIRVWAEIAKGIRGVKPLFVIPHEKVREDVEEVIGEDSCILFITTPGQLAALIDDSAGVIATNTAAIQLATARNKPSIALFSSEEKGNLFVPNAQEKRCSIVSSPTGKLKDIDVEAVKNAVEIFEGSPVFA >Ma08_p31120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42129444:42131069:1 gene:Ma08_g31120 transcript:Ma08_t31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSPHHEDDVDVLEYLEFSESDYAGPPALPPPSSPPSPNDEFDMALLDAVDSTLLWEINNDDATTRVEQLVADNDYCGGGGSGPPAGKTGELMAVSPAWAPATGLDCSCCHVLREVIHSDGQKNMKLALHGGLGVFYHAILDVYYNVDGFVPAMEQSYIDLSGQNLEWVKQFFLDYGLLRVRERYVMMQDSLSAFYDALCVPMSYEEEKVVPEPGLCQSRPSELHCTGEVGKSKAGIATQRERTRRLHLKDVANYVHLPITEAAKELQICPTALKKVCRKHGMLRWPYRKIKSIDRTISNLQRELRSGTAEGATEVEARIERLVAQRARICAGLPP >Ma07_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14024849:14027440:-1 gene:Ma07_g16460 transcript:Ma07_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGSSTDILYFDAFQKLGLARENMKPMCSALTEFTGDSISPLGAITLPLTLGTPPRSKMVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYYQTIKFLTHAGVEEVTGSPRESRRCYLTAVSLHKKAKIEPPLEDPRETKKPAPHPELRGSAVDIPLHKAWPDQTVKVGSELAEREREQLVGLLWENADIFTWSPSDMTGVDPGVAQHHLNIPPDARPVKQKPKRQAPDRQRAIREEVGQLLAAGFIEEARYPQCLNNACPKDCYPLPKIDQLVDATARHARLSFMDAYSGYNQIRMAPEDREHTAFLTDQGVYFYKVMTFGLKNAGATYQRTVNKMFAHQIGRNMEVYVDDMIVKSQEAGAHLADLTEAFATLRKFGMRLNPIECAFGITSGKFLGFIIHERGIDANPEKVRAIIDMQSPRTIKDLQRLNGRLVALSRFLARSSDHCLPFFRALKNPKNFQWTTECEEAFKQTKQHLAGLPRLASVSPGEKLGLYLAASRHVVSSVLIKESSGEQLPIYYVSHVLSGPEGCYPPIEKLALALVLSARKLRPYFQAHPVEVITDQPLRQVAAIRILTDSQLMVEQLIGGYEARDPTMAKYLARVRDLTAKFPYFTLSNVPREENERADVLAKLASKPTPEAWPEVEELPARAVEVVATAPGSAPITWVQELLCFKRDGTLPHDEVAARRLRRTHAWYTEESGRLYKQSFTYPLLRCLEPDEA >Ma06_p35850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35570919:35573044:-1 gene:Ma06_g35850 transcript:Ma06_t35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFDDGGLWLPSDFLCDEFVLSGGGGKDGGGDFAAACFTGEFSFGFGSNLDSPVESVAETEESDEEEDHIAGLTQQMARYFLQDEDKDASGGAADDAKAMARSPQSTLCVWSAASNKGSPNGPSLVSSPPSTSPMKKQNKDPADLLYEAAGQVIRMRSNDLGRRESLYDRGILGAPIKPTLAASVASENASFGYHATGAVTPVLTHQQLQAAQFHHLRQQQTMKQPLSVAWGTQSKARGGGRPLDLSPSAWPPLRKPPPQQQQPPLPGAGMRAVFLHNAGSRKESAGTGVFLPRTVGKKIEPRKKTGCSTVLVPDRVVQALNLNLKEFAAQPRFPGGFVLTHDALVGRSSAGLSHQRRKHNLTASPTPDAAVATAATHDIGLPQEWTY >Ma10_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7966825:7981614:-1 gene:Ma10_g02490 transcript:Ma10_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MQKPAGEPEYLSGFGNHFSSEAVKGALPRDQNSPLGCPCGLYAEQISGTSFTTPRKLNQRSWLYRIKPSVTHKPFHPRVPRHERLVSEFNESTSSATPTQLRWKPVDIPESPTDFIDGLYTICGAGSSFIRHGYAIHMYAANKSMSGCAFCNADGDFLVVPQKGRLSITTECGKLVVSPGEIVILPQGFRFSIDLPDGPSRGYVAEIFGEHFQLPDLGPIGANGLAAARDFLVPTAWFEEASHPGYTIVQKFGGGLFTAKQDFSPFNVVAWHGNYVPFKYDLSKFCPFNTVLIDHGDPSINTVLTAPSDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATIAVGENSEPVRLKGTMAFMFESYLIPRVCPWALDCPYLDANYYQCWIGLRSHFSSNNRSENGSPDVPGTTQVLSEDKGDA >Ma06_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:426927:429234:1 gene:Ma06_g00570 transcript:Ma06_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAHMSYGHQGDINTPYVVHTVPQVPLLTNGEMVDDIPPEQHALVRSFMGGGGKKIHPLPFSDPNLSGIPDSALPIGYSAHVHLRSLDPSKDLLTYGYGSVAWKERMENWRQKQEKMHKMRNDGGSKGWNNDNEEPDLPLYGKEGQPACNEDRGDRCDHMLRRAAWSHERETSDEGDCDGRLWQ >Ma02_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15303350:15303442:1 gene:Ma02_g03850 transcript:Ma02_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYTLDWMSYKSSHSFPLQFIIIGISLPH >Ma03_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26988885:26995876:1 gene:Ma03_g22230 transcript:Ma03_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRSVGGETMDEEEHEVYGGEIPDEADMDADVDMALPNENAAKLQELDEMKRRLKEMEDEAAALREIQAKVEKEMGAVQESSSAAATQASKEEVDARSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEALQLNESELHGRQIKVAAKRTNVPGMKQFRPRRFNSYAGSPYRRPFMPPYFASPYGYGKSPRFRRPMRYRPYL >Ma11_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1530868:1532191:1 gene:Ma11_g02150 transcript:Ma11_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEEALASNSSDSISNGEFVHAVVKGKRTKRQRTQPPPPMPQVLSTGVADSSSASSAEAASGTITEEEEDMANCLILLAQGRAFDTGPKPEEHKDEAGGGGGGSEKFTSRKLIEAATTTNGKAGIYVYECKTCSKCFPSFQALGGHRTSHKKHKLAATTTTAEEKKLEVTDDMLQISMNSFSKPFASSSQTPTKPKVHECSICGSEFSSGQALGGHMRRHRPLAIADSQEAKKDKSFLSLDLNLPAPADDELQRPPSPTLALATKRPFIFSASASAPALVVDCHY >Ma08_p21630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35449261:35452497:-1 gene:Ma08_g21630 transcript:Ma08_t21630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAQVKAESPSDGFFASGLHSKSSSRDGKKLSGSSSKVSAASVPPTPRSEGEILQSVNVKSFTFTELRIATRNFRPDSVVGEGGFGSVFKGWIDEHTLAAAKPGTGVVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPHLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRGSYFQPLSWKLRMKIALGAAKGLAFLHSDVAKVIYRDFKASNVLLDSNHEAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLELLSGRRAVDKNRPTGEHNLVEWARPYLTSKRKIFRVLDPRLGGQYSLVGAQKAAVLALQCLSSEARYRPTMDQVVAALEQLQDAKDTERSPQSEQKSSGQSIGSNSHRSLRRRSNECAKGKVAHHRPPASPLCTN >Ma08_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35449261:35452497:-1 gene:Ma08_g21630 transcript:Ma08_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAQVKAESPSDGFFASGDVFSCLGLHSKSSSRDGKKLSGSSSKVSAASVPPTPRSEGEILQSVNVKSFTFTELRIATRNFRPDSVVGEGGFGSVFKGWIDEHTLAAAKPGTGVVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPHLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRGSYFQPLSWKLRMKIALGAAKGLAFLHSDVAKVIYRDFKASNVLLDSNHEAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLELLSGRRAVDKNRPTGEHNLVEWARPYLTSKRKIFRVLDPRLGGQYSLVGAQKAAVLALQCLSSEARYRPTMDQVVAALEQLQDAKDTERSPQSEQKSSGQSIGSNSHRSLRRRSNECAKGKVAHHRPPASPLCTN >Ma02_p11900.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20853541:20857370:1 gene:Ma02_g11900 transcript:Ma02_t11900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMFSSPMIISPVDVEPRIADVDEMIESSVGRELFEPILEPYEGMEFESEEAARAFYHTYASQMGFKARVSSFIRSKRDKTIISRQLVCSREGFRSTKDTNGEGRTKRPRIITRVGCRAMIMVKKQSSGKWVVTKCEKIHNHVLGTQGKVVMLDYDPYLHEDEEVIENPVGNETSMNSGAISDEMVVLPPEGEPGLEPHEGMEFESEHEAQIFYKEYAKRAGFRARISSYYRSKRDNSIISRLLVCSKEGFRAKKDENAEERLQRPRAVTRIGCKAMIMVKKRDSGKWTVSKLVKHHNHELIPRSASDDECSAEEDDELVEMERAFVLHEGDAITEPYEGMEFESEDDAKIFYIAYSRHIGFNMRVSTYYRSKRDKSIISRLFVCSKEGFYVKKDMGGESKIKRPREATRVGCKAMLMVKKNNSGKWVVSKFEKDHNHPLGSLSKIRKFRKQKLLSGSPEEIQLELNHNGRESPTTRYNNLCREAMKYAEVGAASPDVYSVAMHALREAVEKVTAIKRKAGGVADLGPVVGKCSIENRNKQDSQIEPSTHLNQQSRTRSHPSESRSKSSYDRPPRKMRICNSGKQPRDHIGRSCPSKQVQLQQIDIVPHESVNMLFDEPVNELIQKGDG >Ma02_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20853541:20857370:1 gene:Ma02_g11900 transcript:Ma02_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMFSSPMIISPVDVEPRIADVDEMIESSVGRELFEPILEPYEGMEFESEEAARAFYHTYASQMGFKARVSSFIRSKRDKTIISRQLVCSREGFRSTKDTNGEGRTKRPRIITRVGCRAMIMVKKQSSGKWVVTKCEKIHNHVLGTQGKVVMLDYDPYLHEDEEVIENPVGNETSMNSGAISDEMVVLPPEGEPGLEPHEGMEFESEHEAQIFYKEYAKRAGFRARISSYYRSKRDNSIISRLLVCSKEGFRAKKDENAEERLQRPRAVTRIGCKAMIMVKKRDSGKWTVSKLVKHHNHELIPRSASDDECSAEEDDELVEMERAFVLHEGDAITEPYEGMEFESEDDAKIFYIAYSRHIGFNMRVSTYYRSKRDKSIISRLFVCSKEGFYVKKDMGGESKIKRPREATRVGCKAMLMVKKNNSGKWVVSKFEKDHNHPLGSLSKIRKFRKQKLLSGSPEEIQLELNHNGRESPTTRYNNLCREAMKYAEVGAASPDVYSVAMHALREAVEKVTAIKRKAGGVADLGPVVGKCSIENRNKQDSQIEPSTHLNQQSRTRSHPSESRSKSSYDRPPRKMRICNSGKQPRDHIGRSCPSKQLQQIDIVPHESVNMLFDEPVNELIQKGDG >Ma02_p11900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20853541:20857370:1 gene:Ma02_g11900 transcript:Ma02_t11900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMFSSPMIISPVDVEPRIADVDEMIESSVGRELFEPILEPYEGMEFESEEAARAFYHTYASQMGFKARVSSFIRSKRDKTIISRQLVCSREGFRSTKDTNGEGRTKRPRIITRVGCRAMIMVKKQSSGKWVVTKCEKIHNHVLGTQGKVVMLDYDPYLHEDEEVIENPVGNETSMNSGAISDEMVVLPPEGEPGLEPHEGMEFESEHEAQIFYKEYAKRAGFRARISSYYRSKRDNSIISRLLVCSKEGFRAKKDENAEERLQRPRAVTRIGCKAMIMVKKRDSGKWTVSKLVKHHNHELIPRSASDDECSAEEDDELVEMERAFVLHEGDAITEPYEGMEFESEDDAKIFYIAYSRHIGFNMRVSTYYRSKRDKSIISRLFVCSKEGFYVKKDMGGESKIKRPREATRVGCKAMLMVKKNNSGKWVVSKFEKDHNHPLGSLSKIRKFRKQKLLSGSPEEIQLELNHNGRESPTTRYNNLCREAMKYAEVGAASPDVYSVAMHALREAVEKVTAIKRKAGGVADLGPVVGKCSIENRNKQDSQIEPSTHLNQQSRTRSHPSESRSKSSYDRPPRKMRICNSGKQPRDHIGRSCPSKQLQQIDIVPHESVNMLFDEPVNELIQKGDG >Ma02_p11900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20853541:20857370:1 gene:Ma02_g11900 transcript:Ma02_t11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFMFSSPMIISPVDVEPRIADVDEMIESSVGRELFEPILEPYEGMEFESEEAARAFYHTYASQMGFKARVSSFIRSKRDKTIISRQLVCSREGFRSTKDTNGEGRTKRPRIITRVGCRAMIMVKKQSSGKWVVTKCEKIHNHVLGTQGKVVMLDYDPYLHEDEEVIENPVGNETSMNSGAISDEMVVLPPEGEPGLEPHEGMEFESEHEAQIFYKEYAKRAGFRARISSYYRSKRDNSIISRLLVCSKEGFRAKKDENAEERLQRPRAVTRIGCKAMIMVKKRDSGKWTVSKLVKHHNHELIPRSASDDECSAEEDDELVEMERAFVLHEGDAITEPYEGMEFESEDDAKIFYIAYSRHIGFNMRVSTYYRSKRDKSIISRLFVCSKEGFYVKKDMGGESKIKRPREATRVGCKAMLMVKKNNSGKWVVSKFEKDHNHPLGSLSKIRKFRKQKLLSGSPEEIQLELNHNGRESPTTRYNNLCREAMKYAEVGAASPDVYSVAMHALREAVEKVTAIKRKAGGVADLGPVVGKCSIENRNKQDSQIEPSTHLNQQSRTRSHPSESRSKSSYDRPPRKMRICNSGKQPRDHIGRSCPSKQVQLQQIDIVPHESVNMLFDEPVNELIQKGDG >Ma05_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17802741:17807797:1 gene:Ma05_g16610 transcript:Ma05_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g76060 [Source:Projected from Arabidopsis thaliana (AT1G76060) UniProtKB/TrEMBL;Acc:Q63Z96] MRRTANMGFSSVIRRGLSPRLPSPWRSLHEGPDTIDELLDRHLVKKSPKDNDEDDELAAQRRLTSTRREALSLYRDVLRASRFFAWSDARGVPWREVLRENARREFEEARFERDPEVVTRLLIGGRDAVQKALDKLVEGSKKRVEAEEQQRRDGGGR >Ma11_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27137844:27141868:1 gene:Ma11_g23970 transcript:Ma11_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSGSAAGPSSGSGDGSAPRRNSKRPKYSKFTQQELPACKPILTPKWVISVFTLVGVIFVPIGIAALMASNNVVEIVDRYDTECIPANMTGDKVAYIQNDDIIKTCTRNLTVPKDMDQPIYVYYQLDNFYQNHRRYVKSRNDAQLKDADQANKTSGCDPEKTTKDGSPIVPCGLIAWSLFNDTYNFTLGNKNLSVNKNGISWKSDRDHKFGKDVFPKNFQNGILRGGASLDSNKPLNKQEDLIVWMRTAALPTFRKLYGKIHQDLKANDIIMVTLENNYNTYSFNGKKKLVLSTSSWLGGKNDFLGIAYLTIGGLCFFLATAFTVVYLVKPRKLGDPSYLSWNRNPSGH >Ma08_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9487152:9488306:1 gene:Ma08_g12540 transcript:Ma08_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPYGTSEGSFLSLSWRCPLKQLLLKELVVVHGDRKVGECRKSLHGQ >Ma10_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13057936:13059465:-1 gene:Ma10_g03290 transcript:Ma10_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQRIHLGGPSTAGLPTPGEHPPHDETRDERPAAVSERYWQLFNDPGLSPPNGAPAGPSSVSPEAFHDLPHQVRALTSMVQTIIPIVSQRTPLHATRPSQQRGTHVRTHVPLPELPGSPRNPTTRPGSREAEDTASRPEPEAPTADSTNALRAQLRLVSQRLDEVQQEVRKSKGELEADGHQGSPFTPEIQDQAIPPHFRLPLLDAYDGTTDPADHVAAFRAQMALFGTSDALMCRAFPTTLRGPARTWYSGLKPGTVASFDQLAKDFELNFLAYARPKPSMALLLELNQKEDEPLSHFVNRFMTQIRGLFFWLLVERPPAAVPEMLQRASQFIAAETWMAGKREEHKKLKSEPPRQQQPAASRRKMDRPDPRPPLPALNSSRTEIFLHKKGKGLLKDPHPMRNPRELADRSRYCRFHRQHGHDTEQCYELKRQIEELILRGHLGQYLRPNKEQSPRLEGPVERHIDVIAGGPTLGGGSMSGRKAYA >Ma06_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7795976:7798773:-1 gene:Ma06_g11090 transcript:Ma06_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLATNVRGIDPLSLGVGVLIGCFLVSLTYVTMYKSDMLSSSFLASQISWSTDAASTRHSVESFSGTRQPARESKPRCNSSSAGSDLCEENEFTGTLRISPSESDIIPREKTSGGTRKPDNKKEGIGRPCDPKSGRCQVVNGPPTLVDRLQTPVSSHSKKPDVEAQMRPLCEIGYRSDVCDIHGDIRIIGKDLSSVILVTPSRAARNESWQVKPYARKWDHGVMPHIKVVNVRSENDYVAAPQCTVGHTVPAIVFTTAGYVGNYFHAFTDVLVPLFQTARQFDGEVQFVISSCNFWWIRKYKPYFDKLSRYEIIDYDKDARVHCYKHVIVGLRSDQDMMIDPSKSPMGYTMSDFMGFMRRTYSLDRDHALRISEAPGKKPRLLIISRSQTRRFVNVNKIVGMAKKVGFEVVVSEGGFNLANFSRVVNSCDVMLGVHGAGLTNFVFLPPDAVLIQVVPFGRLEWVASTYFAKPAGKTQLKYLEYTIGEDESTLTELFPRDDPVFRDPKSIHKLGWIKMGEIYLTKQNVRLDLKRFKPVLLEALRLLRE >Ma10_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16791997:16792505:-1 gene:Ma10_g05480 transcript:Ma10_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYRCPIPTSEPSNRASQVGSTVRVLNSNVTGPPNHHLQASLPRDPAGVLFED >Ma05_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10585962:10593596:-1 gene:Ma05_g14500 transcript:Ma05_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQPKKPKRKKEILGARSLFFFLFSSSSNYDEPQGLLLLFSSSTHDSNMLTEKENWFDQNLDHFSPTDHSTFKQRYFEYLGYYKPPEGPIFLLVGGESEQIGIGKSFYTELAEKFGAAIVSIEHRYYGKSTPYKELTTENLKYLSSKQAIFDLAVFRQYYQELLNAKYNVSETENRWFVIGGSYSGGLAAYFRLKFPHLTCGAYASSAALIAIYNFTEYDQQIGTTAGPECKTVLQEITHLVDEQLNSDRQSIKELFGASKIDNDDDFRFLVADAAALSLQYGFHDFVCDLLVNAKKNGSDILEAYADYVKNVANQGIFGVTLEYYDRLYLKDPTSGNSAYRLWWFYICSEMGYFLVAPQNDSIRSTKLDERYNLDFCKDIFGEGVYPDSDMANTYYGGRKFAGSRVIFTNGSQDPCRHISKQTSSKDLPSYMVECNGCAHGIDVLGCPYAPEDTKGIANFLSFDPSYVETN >Ma08_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:203276:204677:-1 gene:Ma08_g00230 transcript:Ma08_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSRKNRNRNACSNPSTRTATAEDRNSGGCGGGGDSSSSSDANRGILDERVLMLVFRSMDWDPHVICVAACVSRRLRAVAGRVLFRELCFARAPRMVAALTSVGAAPGGRLGGGWHALAKLLFFCCGCAAPTRFFTLDRPAPGHFVPASRFSKTSGRSFLARRCWGDLLFVSDPCEHRESSRGGDEDLGAYRGVFRGFMRSRTRALLIGKQAELEAGVRCPYCGARVWSMTAAGLVPRSASRRLGSHEGSLEYFVCVNGHLHGYCWLAHLSTDEEEEEEEEEEDDDVNVAL >Ma10_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24836070:24843205:1 gene:Ma10_g11270 transcript:Ma10_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEIALIVFSSRGRLYEYSNNNIKSTIERYKKACADSSNSDAIVDVNSQHYYQQESAKLRHQIQILQNGNRNLMGDSLSSLSVKELKQLENRLERSITRIRSKKHELLFAEIEYMQKRESELQSDNSYLRAKIAENERAQQLSIMQAGTEYYTLPTFDPRNYYHTNMLEAAADYSHHQDQTTLHLGYDTKTDSAA >Ma06_p29490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30857155:30857717:-1 gene:Ma06_g29490 transcript:Ma06_t29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSGYTSKKSLILLASLIFLLGNNTAGRVVVKLPCNGRAGGCLMEDGVEEVEMSSEETRRLLWSVTGKRYISYEALRRDVVPCNKPGLPYYSCHASPRANPYNRGCQIISGCRSDSP >Ma03_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4319179:4319815:-1 gene:Ma03_g06240 transcript:Ma03_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGEVASISPSLRAHYNMPQNDVTSSHFGTLFGPYLTQQLQAKPLMHGFGLPGSFLDGSTTSDEAGGRQLSLAEERRQRRMISNRESARRSRMRKQKHLSELWSQVIHLQSANRQLLDELNRVMRERDQIALENDRLRHEESELQKKLENAPVESF >Ma00_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5816596:5818160:1 gene:Ma00_g01320 transcript:Ma00_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVSSSARTLAGCKEEGEGERELVTRDLLGGEVGLELQVPTAGESRLDLSSGKTYLQQRERSPATRNLHDLNLSPPSPSMASLGLKLEAATSAVHVEYQSVCTLEKVKSALERESRLVATTEPLFPRPDGFSPSPPFTSSSTKRQAEDTGGRVMAVAACPVCLLYVLISTVDPRCPRCTAHVPVNGPRKKPCIDLNFSLQPGNHDTH >Ma08_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37180963:37182143:1 gene:Ma08_g23820 transcript:Ma08_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLPSLSFLVLLSLALVNAGPSSATTMAFYNKCRETVWPGVQPSAGKAVLARGGFRLLPNQAYSLRLPVGWSGRVWGRQGCAFDPATGRGRCETGDCDGALYCKGVGGAPPATLAEITLGQGRKQDFYDVSLVDGYNLGMSMTPFRGSGRCGRAGCVRDLNEVCPAGLAVRAGHSNRVVACKSACSAFGSPRYCCTGSFGNPQQCKPTAYSRLFKTACPRAYSYAYDDPTSIFTCTGASYLITFCPQH >Ma10_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21995872:21996928:1 gene:Ma10_g07640 transcript:Ma10_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHRQRYGEGNAMKCKSHPYEQGVGVCASCLRERLLALVAAQNELSANHHRRRRSDPPPQPPPPLVFPRSSSPYVSHRRSVGFDASPAHPHPHHNTRFFSTPQVGPTFGDAADGGRFGEISGGRRRRFSFRTFFGHHRSDEAEPGLGAPKASAPGSWFSALIRGRRKKAHLSSATDEEEEAPPVGARRSRRTVERGMPPTMEYEDDNDDGNGYTSDKCRRRPTPTPMRLFPAAHRPHRSISAVSGFSACLSPLVRFRSDARRSHTAEPEISRDISSPSYPIQHWNPAALGPNLSRNVAASKKLK >Ma09_p06990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4482912:4488480:-1 gene:Ma09_g06990 transcript:Ma09_t06990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKKWTEEEERSLLDKYAEMAADESLSRLRTRERRFRPIAAHVNARHHAADPAAYPFLWSWKDAATKVHNMRHQYLLVKRKLLVLNRTSSVSCSADAAIDDWAKQGISHWPNFLRYRSIFGDASLQPADPAPVPGVPFDDDGELGLGLAFDCCTEGASEGADREIEDNEGFDFDDVTPVSAAVPQQLLPPPVVEMGTTKRRKKNRTEQRRALATWWGWEARMEEREAERESLRRERKRTAEQVEEEREQGRRQAKQQWREEDLEWEERMEVKRAEWRKRMEGMLKEHQVEMEQVQAQILHEQQTVVGQLLGALSQWVASPVFGGFSDGGSGPGMGNHHHDHHQHHHQPMPYLSQMMQGLHHHVNGIVSAENRIDGDAHEDHFIVDH >Ma09_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4486414:4488480:-1 gene:Ma09_g06990 transcript:Ma09_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKKWTEEEERSLLDKYAEMAADESLSRLRTRERRFRPIAAHVNARHHAADPAAYPFLWSWKDAATKVHNMRHQYLLVKRKLLVLNRTSSVSCSADAAIDDWAKQGISHWPNFLRYRSIFGDASLQPADPAPVPGVPFDDDGELGLGLAFDCCTEGASEGADREIEDNEGFDFDDVTPVSAAVPQQLLPPPVVEMGTTKRRKKNRTEQRRALATWWGWEARMEEREAERESLRRERKRTAEQVEEEREQGRRQAKQQWREEDLEWEERMEVKRAEWRKRMEGMLKEHQVEMEQVQAQILHEQQTVVGQLLGALSQWVASPVFGGFSDGGSGPGMGNHHHDHHQHHHQPMPYLSQMMQGLHHHVNGIVSAENRIDGDAHEDHFIVDH >Ma09_p06990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4482912:4488480:-1 gene:Ma09_g06990 transcript:Ma09_t06990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKKWTEEEERSLLDKYAEMAADESLSRLRTRERRFRPIAAHVNARHHAADPAAYPFLWSWKDAATKVHNMRHQYLLVKRKLLVLNRTSSVSCSADAAIDDWAKQGISHWPNFLRYRSIFGDASLQPADPAPVPGVPFDDDGELGLGLAFDCCTEGASEGADREIEDNEGFDFDDVTPVSAAVPQQLLPPPVVEMGTTKRRKKNRTEQRRALATWWGWEARMEEREAERESLRRERKRTAEQVEEEREQGRRQAKQQWREEDLEWEERMEVKRAEWRKRMEGMLKEHQVEMEQVQAQILHEQQTVVGQLLGALSQWVASPVFGGFSDGGSGPGMGNHHHDHHQHHHQPMPYLSQMMQGLHHHVNGIVSAENRIDGDAHEDHFIVDH >Ma01_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12889862:12890660:-1 gene:Ma01_g17600 transcript:Ma01_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKAALPGRAWRLLRMALLWARKGGVFKRGIFVDLRIVPGYLKSLKPGGRGSDRLHFGEREFSFEETPAFRFKTPSVRLLRIPCITPAGDLDTEDDDLVFAKLDRNSYLPDKHEAKEASEIGCEDDDDDNAARECEDHAGMEEEDEIDRKAEQFIAKFYEQMKMQRQISWLQYNEMLLRGVN >Ma07_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4061728:4074194:1 gene:Ma07_g05610 transcript:Ma07_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELSQLAESMMQAAALLADEDVDEGSTKRRTSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISVDLQRDGSLSSKSIVLQIDGKSQQVSASALRHSLQDRLSKGSGRSRTDEIYLKLRTSTAPSLKLIDLPGLDQRAMDDSVVSDYGAHNDAILLVVVPAAQAPDISSSRALRLAKEFDGEGTRTIGVISKIDQSAGDQKTLAAVQALLLNQGPRSAADISWVALIGQSVSIASAQSGSVGSESSLETAWRAETESLKTILTGAPQNKLGRIALVDTLAKQIRKRMKIRLPNLLSGLQGKSQNVQDELFRLGEQMVQSAEGTRAIALELCREFEDKFLQHIATGEGAGWKVVATFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDIVSGAANATPGLGRYPPFKREVVAIASTALENFRNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREEELKNRSSKKANEAEQAILNRASSPQPGSQGGSLKSMKDKSNQPEKETKEGSALQIAGPSGEITAGFLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNVEEFSEEDEPPKSSKDSKKANGPEKDPTLIFKITSKVAYKTVLKAHSTVVLKAESMADKVEWVNKIRNISGHSKGTPSKGASDSEAGLRQSHSDGSLDTMSRKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAQSTAKIEELIQEDQNVKHRRERFQRQSSLLSKLTRQLSIHDNRAAAASSSDGSTGTESSPRTNISSGDDWRSAFDAAANGSVDGSYTGSSRSSSSNGRRHGNPTQNGDASSGANSGSRRTPNRLPPAPPQGGSSSYRY >Ma08_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6815298:6815471:-1 gene:Ma08_g09430 transcript:Ma08_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPEAQFHVLAVDDMTVSSTESSLRGFSGHLPTEGGTLTANGFKHREKGNYHSLV >Ma09_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2499507:2502282:1 gene:Ma09_g03790 transcript:Ma09_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLDSKSTIGVEFATKTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKKTFDNVQRWLRELRDHADSNIVVMMAGNKSDLNHLRAVSENDGQVLAEKEGLSFLETSALESHNIEKAFQTVLTEIYHIVNKKALAAQEAARTAVPPTQGTTINVSDSAGGSKYACCSS >Ma04_p38580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36047516:36048760:1 gene:Ma04_g38580 transcript:Ma04_t38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLHLFPSQLLGSREIIDTAERQQNPYNMQMGFVAPVSGAASSVAAGSFLPVYNSPAPVTTADVSDSGLTFNNAPSRKRSRPLSFLGDDISSHLQQQMLDIDRLVLQHAAKVRAEMAERRKRLTRQILAAMEEGVSKRLKAKEDEIASIGKLNWALEERIKSLCMENQIWRDLALSKEATANVLRTNLEQVLAAQVRVEEGAATASDAESCCCGDNGEDGAEEGIKAGGWRSACRTCREREPSVLLLPCRHLCLCAECGPAVDACPVCNCTKNGSVNVNMS >Ma08_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6279277:6283614:-1 gene:Ma08_g08830 transcript:Ma08_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQLWLLLLLVLLSCIADSVSTMNQQKDRSIKSAVFLSPPFFLHPGSVANKFYFNIPFPKGHIALKSFDAEVIDENGIPVPLHETYLHHWIIERYYGRKESLADGNSELKAFNHSNFIWMRNAGICKGTLGQYYGLGSETRRTSTWVPDPYGIEVGNPEKIPDGYKEKWLLNVHAIDTRGVEDRFGCTECKCSLYNVTMDEFGRALPKDYDGGLHCCYDQTQCQVRAGIQNVSRILYLKYTVKWLNWVENIIPVKIYIFDVTYSGEHSVDPSKENPLNLSCKVEYEIKSCKDNGGCVDTKRTQLVIPTGGDIVYGVAHQHSAGLGATLYGQDGRVLCTSNPTYGDGEEAGNEAGYIVGMSTCYPKPGSVQVVDGEMLTLESNYSSNQAHTGVMGLFYVLVAEAVPKSKTMLFLSFPGSLDLGLTKHTWSFVLAGGMLTAIFGISYLCKRGRRKPYQPLVLT >Ma08_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8860037:8864756:1 gene:Ma08_g11870 transcript:Ma08_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQLHLGAFHSSPPSQQHSSSEEEEDEEEEDEEEEEEEEEEGCPISSPLIVPGSDGEEGEGKENRHQHHPFSIMAVLVAALRKSLVMCSVGAGEDGACRRTSPASMEIGWPSDVRHVAHVTFDRFDGFLGLPVELEPEVPGGVPSASASVFGVSAESMQCSYDKRGNSVPTILLSMQRHLYLQGGLQVEGIFRINAENSQEVFVRDHLNRGIVPHGIDLHCLAGLIKAWFRELPRGVLDSLTPDQVMHCNSEEECSELVRMLPPTEAALLDWALNLMADVVEHEHLNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLIIKTLREREVAAFAARGLHSCSESPSDKDQAKSTNPSERYTLHTSEKTPNLYALDKVAIGKFLFSSEQSLGKDKESFNSEKKGETDEEREFISSKISPLSCDLDAVEDKEVEGAMDRSGFRKGMRKLCGHPVFQLSSFTKKTAELNIVNSRGEGLGMMCIACQREDVLSRLTDVAE >Ma01_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2893942:2900302:-1 gene:Ma01_g04360 transcript:Ma01_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MGRTSAAMAVFLFLLLSLFSILQLVSSSPEIRVLSAERRIDVTAPIARVFLTLKVENAGTSEVSEVLVAFAPTEAEHLAILKASVAEGKRKKKTYVPLSVNPSESPDAPNGAQLYSVSLRSPLKSGEATTVEVLYVLTHLLEPFPAEISQSEPQLVYYRDSATLLSPYYIVEQITSIKTPSNKVESFTRVDPASRVGSELRYGPYHDHGPYSYSPVIVHFENNNPFAVVEELVREIEISHWGSLQIRDHYKLKHAGARHKGVFSRLEYQFKQSVSGVASFRHLLMKLPPRVHSVYYRDEIGNISSSHLHIDSQKSELEIEPRYPLFGGWKATFTIGYGLPLQDFLFESHDGRRYLNFSFGCPLIETMVDDLTVKVILPEGSRNPTAAVPFPVDQHLEMTYSYLDVVGRTTVVLQKKNVVPEHNTPFQVYYDFNPVFMFAEPLMLISAVFLFFVACIAYVHVDLSIGKSS >Ma06_p35630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35405733:35409195:1 gene:Ma06_g35630 transcript:Ma06_t35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAAIVTSGVAALNNEMEQGGGGDGKGEDEEAAHGLSMTSLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQLFYGLLGSWTAYLISVLYIEYRARKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAFVHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATVYVFTLTLPSAAAMYWSFGDQLLTHSNAFSLLPHSGWRDAAVILMLIHQFITFGFASTPLYFVWEKVIGMHDTKSICLRALVRLPVVIPIWFLAIVFPFFGPINSAVGALLVSFTVYIIPSMAHMLTYRTPSARKNAAEKPPFFLPSWTAMYAVNAFVVAWVLVVGFGLGGWASLTNFVKQVDTFGLFAKCYQCPKPQQPPPFSAPGPQMQHH >Ma06_p35630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35406134:35409195:1 gene:Ma06_g35630 transcript:Ma06_t35630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAAIVTSGVAALNNEMEQGGGGDGKGEDEEAAHGLSMTSLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQLFYGLLGSWTAYLISVLYIEYRARKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATSVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAFVHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATVYVFTLTLPSAAAMYWSFGDQLLTHSNAFSLLPHSGWRDAAVILMLIHQFITFGFASTPLYFVWEKVIGMHDTKSICLRALVRLPVVIPIWFLAIVFPFFGPINSAVGALLVSFTVYIIPSMAHMLTYRTPSARKNAAEKPPFFLPSWTAMYAVNAFVVAWVLVVGFGLGGWASLTNFVKQVDTFGLFAKCYQCPKPQQPPPFSAPGPQMQHH >Ma03_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25815189:25816995:-1 gene:Ma03_g20660 transcript:Ma03_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMNYLSYFAPLSLLLFLLTSLAFFDQALFYNFHSFLAPLGNAHEPLSVSSLSSSSVTDHVEHQVLGINKPELAHRVKLGTVEEGLAKARAAIKRAAISRNRTFSEAQDWIPRGAVYRNAYAFHQSYIEMEKRFKVWIYKEGEPPIAHDGPSKNIYAIEGHFIIEMERERNPFVARHPADAHVFFLPFSVVNIVHFLYKPNVTDYRGPLKRLIADYVAVVADRYPYWNRSLGADHFMVSCHDWAPHLSDANSDLYENSIRVICNANTSEGFKLGKDVTLPEVHLPGGELSQPAANQLHNEKTILAFFAGGSHGYIREMLLHHWEGKDEEVVVHEYLPEGVNYDELMSKSKFCLCPSGYEVASPRIVESIFVGCVPVTISVDYPLPFSDVLDWRKFSVQIPVEKIAEIKAILRAIPESRYKKLQKRVTQVQRHFVVNQPAKRYDMIHMVLHSIWLRRLNVRLPY >Ma10_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25527558:25529136:-1 gene:Ma10_g12450 transcript:Ma10_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAEIRQSQRAEGSATVLAIGTATPVNVMYQADYPDYYFRITKSEHLTKLKEKFKRMCNTSMIRKRYLHLNEEILKENPNICAYMAPSLDARQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHLVFCTTSGVDMPGADFQLTKLLGLRPSVNRFMMYQQGCFAGGTVLRMAKDLAENNRGARVLVVCSEITALSFHGPSESHLDNLVGQALFGDGAAAIIVGADPDPATEQPIFQLVSASQTLLPDSEGAVDGHLREVGLIFHLLKDVPVLISKNIERSLVEAFKPLGISDWNSIFWIAHPGGPAILDQVEAMLGLEKEKMKATREVLKEYGNMASGTVLFILDEMRKRSAEDGKATTGEGLEWGVLFGFGPGLTVETVVLHSIPIADK >Ma05_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5428289:5429962:-1 gene:Ma05_g07450 transcript:Ma05_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDRVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLMRCSKSCRLRWINYLRPGIKHGNFTPHEEGIIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKMIDTAGSYVISPDTSPVCHDYMSKGCNTEAVKQEMKFPLSRFSQTPSIYASSTENISRLLEGWVRSSPKAAAKKKEFAITDNNNSSSSSSSNTITAASPKENKQAERNQVGCLAAAHEDLDSLLSFENTSGMISWGKIHGPQLAQANAEAKQDAENSQPPLSSLEKWLFDEALGQTDGFLELPANCLSWSAMLS >Ma08_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15468857:15474035:1 gene:Ma08_g15390 transcript:Ma08_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPENSAGNETSAEAETKAEVPSSPAAEAETEENDAAAEEDEEEEEEDEEEAEEEDAAEDESPPAEAAPTAQGGGVEVKKWPGWPGDNVFRLVVPVLKVGSIIGRKGELIKKLCEETKARVRILEGPIGVSDRIVLISGKEEPEAEISPAMDAVLRIFKRVNGISDISSNSGSVPGTCSVRLLVASSQAVNLIGKKGEAIRSIQESSNATVRVLSGELPFYAAPDERIVEIQGESLKVLKALEAVTGHLRKFLVDHSVLPLFEKSYNTPVTQDRPVDTWGENTQSYSHGVQQSAIGNDYGLPLKRDSFFIDRESQLDSQIPRSGLALYGQDPAVSGLRSSALGRTGSALEVTQKMQIPLTYAEDIIGIGGGNIAYIRRTSGAVITVQETRGLPDEITVEMKGTTAQVHVARQLVQEFITGRREPVSSNYGGVDTGLRSSYSQLASTAYPSSSYASHSYGGYGSSGLGGYGGYRP >Ma06_p38500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37233189:37239736:-1 gene:Ma06_g38500 transcript:Ma06_t38500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSRELEAQMIPEWKDAFVDYRQLKKHVKKIKLALLRSSLPSSSSPDGNPDGVAGCCDSGYGLFLLDSARAFAARFYACRDDHHLPADEENLFEMVLVQSREDEVKEFLEKSEQELKKVNAFYANKEKEFCERSEILRKQLRILIDLKQLLHEHRCSRHQCSVPPSSGGASVTSLLSDASSVSVGNPESPAAGAEERDSLTEEVISTLERNGVSFVGLGKAKAKKSAKPRAATSLRIDIPATTPARAMSMIWEDLVNSSRKEDSGGGDYLNRKKLQRAEKMIREAFVQLYRGLALLGTYSSLNMEAFRKILKKFEKVSNHLQESAAFSSKVKRSHFISSDKGLVQVMKLVDEVESIFTKHFAGSDRKKAMKFLRPQQPKESHTITFFAGLFTGSFVTLFTVYAILAHFCGIFSSTDGEAGYMQTVYPVFSMFALLSLHIFLYGCNIFAWRGTRINHNFIFEFSPNTALKRRDAFLISASLMTAVVGALVVHLLLRSAGVSQKHVDAIPGALLLVFTGLLICPFNVFYRSTRYCFIRVMRNIALSPFYKVLMVDFFMADQLTSQIPLLRHMELTACYFMAAAFKVHPYETCTRAQQYKLLVYVISFLPYYWRAMQCVRRYIEEGRDVNHLANAGKYISAMVAAAARLKYAVEATPLWFAIVIVTSTGATFYQLFWDFVKDWGLLDLSSKNLLLRDDLILKNKCVYYASMGLNSVLRLAWIGSVMPLSLGQVEHRLLDFLLASLEIVRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFCELVSDD >Ma06_p38500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37233189:37239736:-1 gene:Ma06_g38500 transcript:Ma06_t38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSRELEAQMIPEWKDAFVDYRQLKKHVKKIKLALLRSSLPSSSSPDGNPDGVAGCCDSGYGLFLLDSARAFAARFYACRDDHHLPADEENLFEMVLVQSREDEVKEFLEKSEQELKKVNAFYANKEKEFCERSEILRKQLRILIDLKQLLHEHRCSRHQCSVPPSSGGASVTSLLSDASSVSVGNPESPAAGAEERDSLTEEVISTLERNGVSFVGLGKAKAKKSAKPRAATSLRIDIPATTPARAMSMIWEDLVNSSRKEDSGGGDYLNRKKLQRAEKMIREAFVQLYRGLALLGTYSSLNMEAFRKILKKFEKVSNHLQESAAFSSKVKRSHFISSDKVMKLVDEVESIFTKHFAGSDRKKAMKFLRPQQPKESHTITFFAGLFTGSFVTLFTVYAILAHFCGIFSSTDGEAGYMQTVYPVFSMFALLSLHIFLYGCNIFAWRGTRINHNFIFEFSPNTALKRRDAFLISASLMTAVVGALVVHLLLRSAGVSQKHVDAIPGALLLVFTGLLICPFNVFYRSTRYCFIRVMRNIALSPFYKVLMVDFFMADQLTSQIPLLRHMELTACYFMAAAFKVHPYETCTRAQQYKLLVYVISFLPYYWRAMQCVRRYIEEGRDVNHLANAGKYISAMVAAAARLKYAVEATPLWFAIVIVTSTGATFYQLFWDFVKDWGLLDLSSKNLLLRDDLILKNKCVYYASMGLNSVLRLAWIGSVMPLSLGQVEHRLLDFLLASLEIVRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFCELVSDD >Ma11_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21281155:21283574:1 gene:Ma11_g15610 transcript:Ma11_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVTPSRTPFSPFLSSQLKPIPKPTLHLHSPIPSPPPKDATATATTFTLQQTKEIHGHIIRTQFRPTNILPAQSSPQAQLNFLITSYIKNNTPSAALNLYARMRETAASPDNFTVPSVLKACAQLSSLRQGMEIHGFVIKAGFHWDVFVHNSLMQMYSECGSLGFATKLFDEMPDRDVVSWSTMIRSYAWCKLFDEAIELVREMLRSGIRPSEVAIINMLNLFADVGEFTKGRPVHTYLIKNSARESPSVNATTALIDMYVKCGSISAARRVFDRMEEKSTASWAAMIAGFIRSRDMGTAMELLIEMRHNHVFPNEITMLSLVIECGSIGNLELGKWLHAYILRNGFKMSVALGTALVDMYCKCRDLWSAREMFDLMDGKDIAVWTAMIAGYAHTNCIKEAFDLFTQMKDANIKPNEITMVNLLSLCSEAGALDRGRWIHACIDKQGIEMNVVLTTSLVDMYAKCGDINAAYAVFTQTTDRDVCMWNAMINGLAMNGYGEETISLFMEMEKVGVRPNNVTFIGVLRACSHSGLVEEGKQFFSCMEHDYGLVPKVEHYGCMVDLLGRAGHLVEAHELIRRMPNEPNVIIWGALLAACKVHKNPKLGELAAKELLKLEPHSSGYNILLSNIYAIDRRWSEVAEVRKSMKDTGIKKMPGMTAIEVNGVVHEFVMGDASHPQSKKIQAMLSEMQEKLKRAGHVADTSGVYLNIDEEEKETVLNYHSEKLAMAFGLISTPPRTPIRIVKNLRVCDDCHAATKLLTQIYGRVIIVRDRNRFHRFCEGSCSCQDYW >Ma10_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31282337:31286574:1 gene:Ma10_g21500 transcript:Ma10_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGFLFPSSGSSVRNPPPLERAEGEEEEEEEGGDRRGGAEVDAGAGEEEERWSRILPELLGEIVRRVESSHGRWPLRKSVVSCACVCRRWREVTTGVVRPPTETGKITFPASLKQPGPRDLPIQCFIKRNKKNSTFYLYLSLTQTFVDKGKFLLAAQRFRHGAHVEYIISLNVDDLSQGSNAYVGKLRSDFFGTKFKIYDSRPPPGGAKASSSRSSRRFASNQISPQVPAGNFVISQVSYKFNLLKTRGPRRMLCTLKCPAMVPSASDAGTMVLKNKAPRWHENLQCWCLNFHGRVTVASVKNFQLVASSDGPNRAGGADDDGDDPVLLQFGKVGDDMFTMDYREPLSAFQAFAICLTSFGTKFACE >Ma10_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24508117:24509560:-1 gene:Ma10_g10710 transcript:Ma10_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFRRLQRMEGDDNVRAWRSHAIKKRKARDGFGACHVKAKDADDGKFLVLLQAKERMLREDPKRSGQEDATDEQLRRLLLLAASAIDADHADAAIDALVDLYQHASLHGHPMQRVMAYFADGLAARILTDSSPFYRSIMAHPTPEEEFTGFTELYRASPCYQFAHFTANQTIVEAFEAEEKQNGRRLYVIDFDVSYGFQWPSLIQSLCDKATTSKPISLHLTGFGRSTEELKNTETRLVGFSKSCSNLEFVYNGLLRGSTTSDLKIEKNATLAVNLVFYLQTMKSSSEMLATLMSIHSLNPSVVVLAEKEISQRPAGYSARFVESSLNYFAAMFRSLHDCLPADSLGRLSIEKNHLGREIKSAITCNCEKTSAWKGRMESTGFQGMKLSSRSVSQAKLLLKIKGQFSTIEHVSNSGFGISETDDGRTLSLCLQDRNLITVSAWKCTR >Ma05_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9174147:9184221:-1 gene:Ma05_g12710 transcript:Ma05_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) UniProtKB/TrEMBL;Acc:A0A1I9LLU9] MLFQVGGQGARPTFFEMAAAQQLPASLRAALTYSLGVFALRRPFLHKVLDYDDEFFSLLMLVLETHSLRTTDASFSESLYGLRRRSVNITPSKHTSDMESNDRIRHSGLKKRQKVLSVAFLVILPYVKSKLHAIYNQQREARLQASLWGHDDVRLDDADFLLDQGEISHGQIQASSEAGSIALDLKRKIKTIIGACYPWIHATNEGLSFAYQLLYLLDATGFYGPGLHALGVHVCRATGQELMDSSSRISKIRSRERERLRGPSWLKAIQRVLLSCLYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVARDGIPLPQDRTLCPLCSQKRMNPSVVTVSGFVFCYSCIFKYVSQYKRCPITLMPATVEHIRRLFHDL >Ma05_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3654789:3662629:-1 gene:Ma05_g04790 transcript:Ma05_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKVRFSLDPHSSPQMGSMDGRSEHEFEDESLVTPVNLSRRSNGLNGGYHGSFSTAEQDTNIRSLNDSLWRTLKVVLFTSKINILMPCGPLAVFIHHSFDDKGWVFFLSLLGIIPLAERLGFATEQLAFFTGPTVGGLLNATFGNATELIISIHALKGGMIRVVQQSLLGSILSNMLLVLGCAFFSGGIVFLKKEQVFDKAAAVVNSGLLLMAVMGLLFPAVLHSTHSEVHYGKSELALSRFSSCIMLVAYASYLVFQLKDQRDSYDPVGEEGCQNEGSTDDEDEVAEISKWEAITWLAILTVWISVLSDYLVDAIQGASVAWNIPVAFISVILLPIVGNAAEHASAIIFAMKDKLDISLGVAIGSSTQISMFGIPFCVVVGWIMGQPMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLMLCYLIVAASFFVHVDPPVDDKKP >Ma05_p04790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3654789:3662629:-1 gene:Ma05_g04790 transcript:Ma05_t04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKVRFSLDPHSSPQMDKINEKPQLAIVHSHLEMGSMDGRSEHEFEDESLVTPVNLSRRSNGLNGGYHGSFSTAEQDTNIRSLNDSLWRTLKVVLFTSKINILMPCGPLAVFIHHSFDDKGWVFFLSLLGIIPLAERLGFATEQLAFFTGPTVGGLLNATFGNATELIISIHALKGGMIRVVQQSLLGSILSNMLLVLGCAFFSGGIVFLKKEQVFDKAAAVVNSGLLLMAVMGLLFPAVLHSTHSEVHYGKSELALSRFSSCIMLVAYASYLVFQLKDQRDSYDPVGEEGCQNEGSTDDEDEVAEISKWEAITWLAILTVWISVLSDYLVDAIQGASVAWNIPVAFISVILLPIVGNAAEHASAIIFAMKDKLDISLGVAIGSSTQISMFGIPFCVVVGWIMGQPMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLMLCYLIVAASFFVHVDPPVDDKKP >Ma03_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24392620:24392955:-1 gene:Ma03_g18900 transcript:Ma03_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITERQVEKFIWKNIVTRFGLPEAIITDNGSQFTSARFQEFCANYGIQLRFSSVAHPQMNGLAEVTNRSILNGLKRRVSAAQSAWVDELPSILCSLRTTPKAATGESPYSL >Ma01_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7885409:7886227:1 gene:Ma01_g10990 transcript:Ma01_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAKSSLETHEAFSRQTSLHNPSSLHAVDFILGLEMAEPHRIHPAAVDVESPLPSPSQAAKSGENDQQQPKSSRRRRRSRCCRCLCCTALTLVVLIIAIGATVGILYLVFRPKIPKYSVDRLTLSNFTVDDDTTISATFNLTVTARNPNRRIGIYYGHGSHLSAWYNGTRLCTGAFPVFYQGHRNTTVVSLLLAGETQLGSGLLQELQQQQQQTGTVLLDFRGSVPVRVKLGRLKLPKVSFKVRCNIVVNSLSSSNSISLRSSHCKFKLKL >Ma08_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:640675:644118:-1 gene:Ma08_g00670 transcript:Ma08_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAFRAKEIAERKFMAEDVSGAKKFATKAQNLFPALEGISQMIATLDVYLASESKVFGEMNWYAVLSLNPSADEDTLKKQYRKLALQLHPDKNKSIGAEGAFKLISEAWAVLSDKSRRMIYDQKINVKGYCQRYSQAKNCTIPKTSNGFHNFAKSAASRVRGPKNSSNAARATAHPSSQLPKSNTFWTSCNRCKMQYEYLRLYLNHKLLCPNCHEPFIASETVLPTSRPSFSWAASRQFHQNSSHNTKNVYGPGRNNSNFSGMRASVFQHGANLGSYNNQNFQWGVFSRTAGVASATASSTAAAQAANVVHQTYEKVRREREEAQAAARRAEAFHRKNSAMKRNIIASVKRNAASSDSLPNKRRRGNGDDVGNDNGGVCKEHNGVSGVECVNGVSVELSKSRMSAMENKFSQHITHLDIQNMLIEKTKLVIHSKLGEWHSSTTERKEEKESEKNRQKPSNDDKVKIKDSVHGDFTDEELLNKSKNDAEQCIGEKNLINEHPGDSDNEINEPMSMDVPDSDFHDFDNDRSEKSFESDQVWATYDEEDGMPRHYALIQKIISFTPFKVCMSFLTSKSNSEFGSLNWIASGFAKTCGDFRVGKYEVNETINIFSHKVRWEKGSRGVIKIVPKKGEIWVLYRNWSPEWNEHTPDDVIYKYDMVEVLEDYSEELGVTVTPLVKVAGFKTVFHRHLDPKEVKRIQKEEMFRFSHQVPSYSLTGEEAVNAPKGCHELDPAATPLELLQAVTEVETDAVMKAAEQIDKL >Ma08_p00670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:640675:644118:-1 gene:Ma08_g00670 transcript:Ma08_t00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAFRAKEIAERKFMAEDVSGAKKFATKAQNLFPALEGISQMIATLDVYLASESKVFGEMNWYAVLSLNPSADEDTLKKQYRKLALQLHPDKNKSIGAEGAFKLISEAWAVLSDKSRRMIYDQKINVKGYCQRYSQAKNCTIPKTSNGFHNFAKSAASRVRGPKNSSNAARATAHPSSQLPKSNTFWTSCNRCKMQYEYLRLYLNHKLLCPNCHEPFIASETVLPTSRPSFSWAASRQFHQNSSHNTKNVYGPGRNNSNFSGMRASVFQHGANLGSYNNQNFQWGVFSRTAGVASATASSTAAAQAANVVHQTYEKVRREREEAQAAARRAEAFHRKNSAMKRNIIASVKRNAASSDSLPNKRRRGNGDDVGNDNGGVCKEHNGVSGVECVNGVSVELSKSRMSAMENKFSQHITHLDIQNMLIEKTKLVIHSKLGEWHSSTTERKEEKESEKNRQKPSNDDKVKIKDSVHGDFTDEELLNKSKNDAEQCIGEKNLINEHPGDSDNEINEPMSMDVPDSDFHDFDNDRSEKSFESDQVWATYDEEDGMPRHYALIQKIISFTPFKVCMSFLTSKSNSEFGSLNWIASGFAKTCGDFRVGKYEVNETINIFSHKVRWEKGSRGVIKIVPKKGEIWVLYRNWSPEWNEHTPDDVIYKYDMVEVLEDYSEELGVTVTPLVKVAGFKTVFHRHLDPKEVKRIQKEEMFRFSHQVPSYSLTGEEAVNAPKGCHELDPAATPLELLQAVTEVETDAVMKAAEQIDKL >Ma10_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4908304:4910539:-1 gene:Ma10_g01590 transcript:Ma10_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNVSTGGLNLTFTPSTTHPNSYAFINGIEILSIPDLFSSATPLLVDGDDGHIVYTIDPDQALETVYRLNVGGQAIPPIEDSGLFRSWDDDSPYIYGAAFGVSYSNDPNVTITYPTSVPSYIAPPDVYSTARSMGPNARVNLNYNLTWILPVDAGFYYLVRLHFCEIQYPMVKINQRVFDIYLNNQTATKEADVIGWSGGIGIPVYRDYVVMTMGRGQMDLWVELHPYTLANPEYYDAILNGLEVFKLQNSNNSLAGLNPGARSPLYVDPGDLRKGSAKHKSEVPVIVGGVVGGFAVLLAGFCLIGMCKCQKKKMMKEGKGAATSNGPYGLSHLSLYGNTCSAASAQSNRCRYFSIAEIKAATNDFDESLLLGIGGFGKVYRGEIDGGITKVAIKRANPMSEQGVHQFRTEIEMLSKLRHNHLVSLIGYCEENCEMILVYDYMAQGTLREHLCRTQKPPLPWKQRLEICIGAALGLHYLHTGAKRTIIHRDVKATNILLDDKRVAKVSDFGLSKIGPPADKSHVTTMVKGSFGYFDPEYFRMMQLTEKSDVFSFGVVLFEVLCARPALNPMLSDEKVSLSEWALHCQKNGMLDMIIDPYLKGKIAPLSFKWFVQTAVKCLADTGKERPSMVDVVRNLELALQLQERAEESDSIDGGISDETVLFVGIENKNSDDRSHWL >Ma08_p07190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4918221:4927809:-1 gene:Ma08_g07190 transcript:Ma08_t07190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIIGVFIASFSLLCVLVMKGSVGRLGIKRLLLGWGDSKLMGPMLHEDTGSMCVSEVMISLPPDIKHEVIKCLVRKGFHFDISGEYYRLENMYFECLELIHSCHPVLRRHLADMPQNTAPAMSPASGPSPASALSPNTRVEQQTPTPTRSPSYDLAPASSPPPNQQISVLPTNISPSEPSKTNPGVASFLHEEEQKNKIKLIIIAVLISSAGTTLLLACVFCCYQKCCRQNNSSIKERDEGALLHVGLHDLAGSPIKSFGPVVSNHNDKHGDFSGYHCTPAVPSFGLKGASEPKAHLPHPPGGSTLSTINLPAQSPVVPPPPPAAVPPPPAIPPPSAPRPPLPNRKIGARPPPPPPKATHPSQGSSRTTQPSNLGPNHLGSLDGDGDDTAPKTKLKPFFWDKVLANPDQSNVWHQIRSGSFQFDEDMIETLFSYSSAGGKNNGKGKKESPPGETSTQYIQLLDPKKSQNLAISLKALGVKKQEVHDALMEANELPTALLQTLLRMQPTTDEELKLRLYDGDLSLLGPAEQFLKDLVHIPLAYKRMDVLLFMSTLREDVSSIKESFETLEVACTELRSNRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVRAVRLARQSGNMSCLTNYSLNSDDFGEHSPRESGEDYHDIGLKVVSCLSSELQNVKKAAGLDADAITSAVASFGHRLMENKEFLNTDMKSLEENSGFHHSLQCFVENAEVAINFLLEEERRIRSLVQNTTDYFQGNTGKEEGLRLFVIVRDFLGMLDKACKEIRESPNKVSKTPKVKGSPRAAPIPDPRQLLFPAIVDQRVDSSSSDEEGP >Ma08_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4918222:4927801:-1 gene:Ma08_g07190 transcript:Ma08_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIIGVFIASFSLLCVLVMKGSVGRLGIKRLLLGWGDSKLMGPMLHEDTGSMCVSEVMISLPPDIKHEVIKCLVRKGFHFDISGEYYRLENMYFECLELIHSCHPVLRRHLADMPQNTAPAMSPASGPSPASALSPNTRVEQQTPTPTRSPSYDLAPASSPPPNQQISVLPTNISPSEPSKTNPGVASFLHEEEQKNKIKLIIIAVLISSAGTTLLLACVFCCYQKCCRQNNSSIKERDEGALLHVGLHDLAGSPIKSFGPVVSNHNDKHGDFSAVPSFGLKGASEPKAHLPHPPGGSTLSTINLPAQSPVVPPPPPAAVPPPPAIPPPSAPRPPLPNRKIGARPPPPPPKATHPSQGSSRTTQPSNLGPNHLGSLDGDGDDTAPKTKLKPFFWDKVLANPDQSNVWHQIRSGSFQFDEDMIETLFSYSSAGGKNNGKGKKESPPGETSTQYIQLLDPKKSQNLAISLKALGVKKQEVHDALMEANELPTALLQTLLRMQPTTDEELKLRLYDGDLSLLGPAEQFLKDLVHIPLAYKRMDVLLFMSTLREDVSSIKESFETLEVACTELRSNRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVRAVRLARQSGNMSCLTNYSLNSDDFGEHSPRESGEDYHDIGLKVVSCLSSELQNVKKAAGLDADAITSAVASFGHRLMENKEFLNTDMKSLEENSGFHHSLQCFVENAEVAINFLLEEERRIRSLVQNTTDYFQGNTGKEEGLRLFVIVRDFLGMLDKACKEIRESPNKVSKTPKVKGSPRAAPIPDPRQLLFPAIVDQRVDSSSSDEEGP >Ma08_p07190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4918221:4927801:-1 gene:Ma08_g07190 transcript:Ma08_t07190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIIGVFIASFSLLCVLVMKGSVGRLGIKRLLLGWGDSKLMGPMLHEDTGSMCVSEVMISLPPDIKHEVIKCLVRKGFHFDISGEYYRLENMYFECLELIHSCHPVLRRHLADMPQNTAPAMSPASGPSPASALSPNTRVEQQTPTPTRSPSYDLAPASSPPPNQQISVLPTNISPSEPSKTNPGVASFLHEEEQKNKIKLIIIAVLISSAGTTLLLACVFCCYQKCCRQNNSSIKERDEGALLHVGLHDLAGSPIKSFGPVVSNHNDKHGDFSGYHCTPAVPSFGLKGASEPKAHLPHPPGGSTLSTINLPAQSPVVPPPPPAAVPPPPAIPPPSAPRPPLPNRKIGARPPPPPPKATHPSQGSSRTTQPSNLGPNHLGSLDGDGDDTAPKTKLKPFFWDKVLANPDQSNVWHQIRSGSFQFDEDMIETLFSYSSAGGKNNGKGKKESPPGETSTQYIQLLDPKKSQNLAISLKALGVKKQEVHDALMEANELPTALLQTLLRMQPTTDEELKLRLYDGDLSLLGPAEQFLKDLVHIPLAYKRMDVLLFMSTLREDVSSIKESFETLEVACTELRSNRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVRAVRLARQSGNMSCLTNYSLNSDDFGEHSPRESGEDYHDIGLKVVSCLSSELQNVKKAAGLDADAITSAVASFGHRLMENKEFLNTDMKSLEENSGFHHSLQCFVENAEVAINFLLEEERRIRSLVQNTTDYFQGNTGKEEGLRLFVIVRDFLGMLDKACKEIRESPNKVSKTPKVKGSPRAAPIPDPRQLLFPAIVDQRVDSSSSDEEGP >Ma08_p07190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4918221:4927801:-1 gene:Ma08_g07190 transcript:Ma08_t07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIIGVFIASFSLLCVLVMKGSVGRLGIKRLLLGWGDSKLMGPMLHEDTGSMCVSEVMISLPPDIKHEVIKCLVRKGFHFDISGEYYRLENMYFECLELIHSCHPVLRRHLADMPQNTAPAMSPASGPSPASALSPNTRVEQQTPTPTRSPSYDLAPASSPPPNQQISVLPTNISPSEPSKTNPGVASFLHEEEQKNKIKLIIIAVLISSAGTTLLLACVFCCYQKCCRQNNSSIKERDEGALLHVGLHDLAGSPIKSFGPVVSNHNDKHGDFSGYHCTPAVPSFGLKGASEPKAHLPHPPGGSTLSTINLPAQSPVVPPPPPAAVPPPPAIPPPSAPRPPLPNRKIGARPPPPPPKATHPSQGSSRTTQPSNLGPNHLGSLDGDGDDTAPKTKLKPFFWDKVLANPDQSNVWHQIRSGSFQFDEDMIETLFSYSSAGGKNNGKGKKESPPGETSTQYIQLLDPKKSQNLAISLKALGVKKQEVHDALMEANELPTALLQTLLRMQPTTDEELKLRLYDGDLSLLGPAEQFLKDLVHIPLAYKRMDVLLFMSTLREDVSSIKESFETLEVACTELRSNRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVRAVRLARQSGNMSCLTNYSLNSDDFGEHSPRESGEDYHDIGLKVVSCLSSELQNVKKAAGLDADAITSAVASFGHRLMENKEFLNTDMKSLEENSGFHHSLQCFVENAEVAINFLLEEERRIRSLVQNTTDYFQGNTGKEEGLRLFVIVRDFLGMLDKACKEIRESPNKVSKTPKVKGSPRAAPIPDPRQLLFPAIVDQRVDSSSSDEEGP >Ma06_p30400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31668803:31674303:1 gene:Ma06_g30400 transcript:Ma06_t30400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSAGPEEVEDGMTDGSFHTPEWHAARLANLKTSHTITWEEFKRKQKEDELKRDELEADKDRMMREYRAQLDAERARKLSLGKSHSASKSHRKKGISSLPCHHDSDRKDKDSKKRSCRKRKHKRSSESSSSGSSSESSSSDDDYDDSEREPNRSRSKRSKKKRKHRSRVKHSSSDHEENGGPVRLSKFFGSVKR >Ma06_p30400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31668803:31674303:1 gene:Ma06_g30400 transcript:Ma06_t30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSAGPEEVEDGMTDGSFHTPEWHAARLANLKTSHTITWEEFKRKQKEDELKRDELEADKDRMMREYRAQLDAERARKLSLGKSHSASKSHRKKDRKDKDSKKRSCRKRKHKRSSESSSSGSSSESSSSDDDYDDSEREPNRSRSKRSKKKRKHRSRVKHSSSDHEENGGPVRLSKFFGSVKR >Ma10_p31100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37326196:37326624:-1 gene:Ma10_g31100 transcript:Ma10_t31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMSKLRRLLRHGHGKEEPVSAPRGYVPVIVGLGDDSKRFVIHLTMLGDAKMLELLYVSAEEFGFRNPGILRIPCDAGSFERWMHGKNSRQIHKFSGEEVISFL >Ma10_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16783738:16787214:1 gene:Ma10_g05460 transcript:Ma10_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCIGKPDTDDNDPIPPPLDRSKSKSKSPLVLKKQSSVKETKISSSGSGRIAAQTFTFRELAVATKNFRADCLLGEGGFGRVYKGRLEGSNQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPSPDKKWLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLDEEYNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLELITGRRAIDNSRAAGEHNLVAWARPLFRDRRKFSQMADPMLQGQYPVRGLYQALAVAAMCVQEQPSMRPLIADVVTALAYLASQTYNPKNQQNQHTSRLPAPGTPPQTRLDIEKKPSGGSERDRLRGLK >Ma09_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11335328:11335903:-1 gene:Ma09_g15980 transcript:Ma09_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTAYSRPLSSISTPLILASGGAGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQEEEDIQPDQPRIIFAGRSRTAARSPTTTSRRSPPSTLSSASAAVLRSARRRPTPSRIRSNTRRRRSNSRCSSSIRWTMPPERSPASARSAPTLSVEPAPSWPTTLTATTAASAASPTSTRRRKVSQLLIAFLI >Ma01_p17880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13162225:13169528:-1 gene:Ma01_g17880 transcript:Ma01_t17880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAASPVSSLTPQVIGSAFVQQYYHILHQSPEMVHKFYQDSSTVNRPNSDGEMTSVMSVQAINDMIMSLDFRNCFTEIETADSQISYQNGVLIVVTGSFIGQDAIRRKFAQSFFLAPQEKGGYFVLNDVFRFLSETRQREMNYMLGDGTKDDSPQVPISPEAEPTCQEHDAPETPLLEENADNMEELPNQSEDGGSGFDDEVIVDPPADTGESDSQTVHEVIASGGQDDLPKKSYASIVKVMKGSSSPVPVYTTPKEKVEVAPQEPVIVSPAPASTPEVSHPASNNFPENNNNVEEEGHSIYIRNLSLNATAEQVEEEFKKFGPIKPGGVQVRSHKVERYCFGFVEFESLKSMQAAIEASPVMIGGRQAIVEEKRTTTRVVNGVVTNNGTGNSGRGRFQLGRGAFRNDNFRGRGSFSSNMGYRRNEFRNRAEYSGRGWGPGFRGSDGYQQRTFQNGDGMIEQRTFQNGDGDGMIVGRSRGGGPKITAVSA >Ma01_p17880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13162225:13169528:-1 gene:Ma01_g17880 transcript:Ma01_t17880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAASPVSSLTPQVIGSAFVQQYYHILHQSPEMVHKFYQDSSTVNRPNSDGEMTSVMSVQAINDMIMSLDFRNCFTEIETADSQISYQNGVLIVVTGSFIGQDAIRRKFAQSFFLAPQEKGGYFVLNDVFRFLSETRQREMNYMLGDGTKDDSPQVPISPEAEPTCQEHDAPETPLLEENADNMEELPNQSEDGGSGFDDEVIVDPPADTGESDSQTVHEVIASGGQDDLPKKSYASIVKVMKGSSSPVPVYTTPKEKVEVAPQEPVIVSPAPASTPEVSHPASNNFPENNNNVEEEGHSIYIRNLSLNATAEQVEEEFKKFGPIKPGGVQVRSHKVERYCFGFVEFESLKSMQAAIEASPVMIGGRQAIVEEKRTTTRVVNGVVTNNGTGNSGRGRFQLGRGAFRNDNFRGRGSFSSNMGYRRNEFRNRAEYSGRGWGPGFRGSDGYQQRTFQNGDGMIEQRTFQNGDGDGMIVGRSRGGGPKITAVSA >Ma01_p17880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13162225:13169528:-1 gene:Ma01_g17880 transcript:Ma01_t17880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAASPVSSLTPQVIGSAFVQQYYHILHQSPEMVHKFYQDSSTVNRPNSDGEMTSVMSVQAINDMIMSLDFRNCFTEIETADSQISYQNGVLIVVTGSFIGQDAIRRKFAQSFFLAPQEKGGYFVLNDVFRFLSETRQREMNYMLGDGTKDDSPQVPISPEAEPTCQEHDAPETPLLEENADNMEELPNQSEDGGSGFDDEVIVDPPADTGESDSQTVHEVIASGGQDDLPKKSYASIVKVMKGSSSPVPVYTTPKEKVEVAPQEPVIVSPAPASTPEVSHPASNNFPENNNNVEEEGHSIYIRNLSLNATAEQVEEEFKKFGPIKPGGVQVRSHKVERYCFGFVEFESLKSMQAAIEASPVMIGGRQAIVEEKRTTTRVVNGVVTNNGTGNSGRGRFQLGRGAFRNDNFRGRGSFSSNMGYRRNEFRNRAEYSGRGWGPGFRGSDGYQQRTFQNGDGMIEQRTFQNGDGDGMIVGRSRGGGPKITAVSA >Ma01_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13162225:13169528:-1 gene:Ma01_g17880 transcript:Ma01_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAASPVSSLTPQVIGSAFVQQYYHILHQSPEMVHKFYQDSSTVNRPNSDGEMTSVMSVQAINDMIMSLDFRNCFTEIETADSQISYQNGVLIVVTGSFIGQDAIRRKFAQSFFLAPQEKGGYFVLNDVFRFLSETRQREMNYMLGDGTKDDSPQVPISPEAEPTCQEHDAPETPLLEENADNMEELPNQSEDGGSGFDDEVIVDPPADTGESDSQTVHEVIASGGQDDLPKKSYASIVKVMKGSSSPVPVYTTPKEKVEVAPQEPVIVSPAPASTPEVSHPASNNFPENNNNVEEEGHSIYIRNLSLNATAEQVEEEFKKFGPIKPGGVQVRSHKVERYCFGFVEFESLKSMQAAIEASPVMIGGRQAIVEEKRTTTRVVNGVVTNNGTGNSGRGRFQLGRGAFRNDNFRGRGSFSSNMGYRRNEFRNRAEYSGRGWGPGFRGSDGYQQRTFQNGDGMIEQRTFQNGDGDGMIVGRSRGGGPKITAVSA >Ma03_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6388109:6398132:1 gene:Ma03_g08680 transcript:Ma03_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE2 [Source:Projected from Arabidopsis thaliana (AT2G40840) UniProtKB/Swiss-Prot;Acc:Q8RXD9] MLKSGLFPGIKSLSSVTLVFRLPYYTQWGQSLLVCGSEPVLGSWNVKQGLALGPSHEGDELIWCGKVAVSVGFSCEYSYYVVDDGRNALRSEAGKKRRLTLPDGVREGAVVEIHDLWQEASETLFVRSAFKDVIFSGGKKSLAAADESSKELEKILDQQDSIIVQFMIRCPKVKDGASVHVIGSASELGKWRPHDGLKLRYAGDFTWKAECVLRKYEFPLKYKYCHVHQMKDPSLELGPNRELAVDFQSSHPPNYVILADGPYRAVPWRGAGVAIPMFSVRSSDDLGVGEFLDLKLLVDWAVECGFHLVQLLPVNDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENIPEDIKEEILRAKEQLDKKDVDYEATMAAKLSIAKKLFNLEKSKILNSSSFKNFLSENENWLKPYGAFCFLRDFFETSDHTQWGRFSHFSSEKLEKLVSEDALHYDVICFHYYIQFHLHVQLSEAADYAREKKVVLKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPGHAVTGLVGKFRPSIALSQELEREGIWDFNRLSQPYIRQDILQEKFGTLWTVIASNFFNEYQKLCYEFKDDCNTERKIIAKLKSMTEISLWLEKEDKIKKDLFDILQNIVLIRDPEDARKFYPRFNIEDTSSFKNLDEHSKNVLKRLYYDYYFCRQENLWRQNAMKTLPVLLNSSDMLACGEDLGLIPSCVHPVMQELGLIGLRIQRMPSEPDVEFGIPSQYSYMTVCAPSCHDCSTMRAWWEEDEERRCCYYKSVAGCNDMPPPRCTTEVAYFIIQQHMQAPSMWAIFPLQDLLALREEYTTRPAVEETINDPTNPKHYWRYRVHVTLDSLMLDEDLKTIIKDMVLSSGRSDPVNETNASSSEKKLMEKVQENISAVQINGNT >Ma03_p08680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6388109:6398132:1 gene:Ma03_g08680 transcript:Ma03_t08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE2 [Source:Projected from Arabidopsis thaliana (AT2G40840) UniProtKB/Swiss-Prot;Acc:Q8RXD9] MLKSGLFPGIKSLSSVTLVFRLPYYTQWGQSLLVCGSEPVLGSWNVKQGLALGPSHEGDELIWCGKVAVSVGFSCEYSYYVVDDGRNALRSEAGKKRRLTLPDGVREGAVVEIHDLWQEASETLFVRSAFKDVIFSGGKKSLAAADESSKELEKILDQQDSIIVQFMIRCPKVKDGASVHVIGSASELGKWRPHDGLKLRYAGDFTWKAECVLRKYEFPLKYKYCHVHQMKDPSLELGPNRELAVDFQSSHPPNYVILADGPYRAVPWRGAGVAIPMFSVRSSDDLGVGEFLDLKLLVDWAVECGFHLVQLLPVNDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENIPEDIKEEILRAKEQLDKKDVDYEATMAAKLSIAKKLFNLEKSKILNSSSFKNFLSENENWLKPYGAFCFLRDFFETSDHTQWGRFSHFSSEKLEKLVSEDALHYDVICFHYYIQFHLHVQLSEAADYAREKKVVLKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPGHAVTGLVGKFRPSIALSQEELEREGIWDFNRLSQPYIRQDILQEKFGTLWTVIASNFFNEYQKLCYEFKDDCNTERKIIAKLKSMTEISLWLEKEDKIKKDLFDILQNIVLIRDPEDARKFYPRFNIEDTSSFKNLDEHSKNVLKRLYYDYYFCRQENLWRQNAMKTLPVLLNSSDMLACGEDLGLIPSCVHPVMQELGLIGLRIQRMPSEPDVEFGIPSQYSYMTVCAPSCHDCSTMRAWWEEDEERRCCYYKSVAGCNDMPPPRCTTEVAYFIIQQHMQAPSMWAIFPLQDLLALREEYTTRPAVEETINDPTNPKHYWRYRVHVTLDSLMLDEDLKTIIKDMVLSSGRSDPVNETNASSSEKKLMEKVQENISAVQINGNT >Ma10_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27861531:27871894:1 gene:Ma10_g15960 transcript:Ma10_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGEFPPAYKLIERNEFLYRKHKKQKEEDIAICECQYDAGNPESACGDRCLNVLTSTECTPGYCPSGSHCKNQRFQTCQYAKSRLFKTEGRGWGLLADENIKAGQFVIEYCGEVISWKEAKQRSQAYESAGLKDAYIIYLNAYESIDATHKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQEIPAGTELAYDYNFEWYGGAKVRCLCGAACCSGFLGAKSRGFQEATYLWEDNDDRYSVENVPLYDSEDDEPTSKSLKAIVPYKENEDFLGNADGFGSVVLSESIPMVVEPLNFVPMEVNGVKYETTEDECMYAENAQENFARKSAMISRIRSNSACRNYHIDSNSLSKTSSRYPGGKAKFGVRKQVNVKLICERLAVAEAREEIIAYEESKKQATAQLDSLYDEIRPAIEEHERDNQDSVSTSVAEKWIEASCCKLKADFDFYSSIIKNIATVPRVSNDASPQVDGAVNEVLLLENGH >Ma10_p15960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27861450:27871814:1 gene:Ma10_g15960 transcript:Ma10_t15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGEFPPAYKLIERNEFLYRKHKKQKEEDIAICECQYDAGNPESACGDRCLNVLTSTECTPGYCPSGSHCKNQRFQTCQYAKSRLFKTEGRGWGLLADENIKAGQFVIEYCGEVISWKEAKQRSQAYESAGLKDAYIIYLNAYESIDATHKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQEIPAGTELAYDYNFEWYGGAKVRCLCGAACCSGFLGAKSRGFQEATYLWEDNDDRYSVENVPLYDSEDDEPTSKSLKAIVPYKENEDFLGNADGFGSVVLSESIPMVVEPLNFVPMEVNGVKYETTEDECMYAENAQENFARKSAMISRIRSNSACRNYHIDSNSLSKTSSRYPGGKAKFGVRKQVNVKLICERLAVAEAREEIIAYEESKKQATAQLDSLYDEIRPAIEEHERDNQDSVSTSVAEKWIEASCCKLKADFDFYSSIIKNIATVPRVSNDASPQVDGAVNEVLLLENGH >Ma02_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21720262:21723342:1 gene:Ma02_g13220 transcript:Ma02_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFVGRCIETLAASIGNKLAVVIEVKDELENLRRRLERISYVLKDAERRRMQDSAISNWLNELKDVMYDADDIIDLCRAEGGTLLDDQPPAPQTPPPVCCRFPLVSCFAGVKLRHEIGNRIRSLNKRLDAMSRDELMQKLEQSSPDVVLNRGVNLRQTDPLLEQDIVGNEINDATENLVDFLTRRNDINCCLCAITGMGGIGKTTLAQKIFNDPKTQDIFQVRAWVCVTQKFSEIELLKQIIRETRVNYREDMTKAELQPMLRDAVRGKSLFLVLDDVWQADVWVDLLRNPILQSGVANGRILVTTRYENIAHQIGSARIHRVKLLPDDSGWELLCKKAFVSGGEEDMENLKDVGFDIVSRCKGLPLAIKTVGSLLATKQRGRREWEKVARSDAWSMSELPEQLRGALYLSYEDLPSHLKQCFLYCSLFPEDYVLRKESLVRLWCAEGFVRSQGDSAMEDVAEEYWKELQRRSLLQPLPNSLVESPCVMHDLLRSLAQFLSRDECFYGDADAIKSTPTSKLRRLSVREEGERVAIPESVIQKKCLRTLMVLKTPPVVEDKLLARLPRLRVLLLNGRGIQSIPDSIGNLTHLRYLDLRETDVSSLPESIERLRNLLTLNVMDCRYLRSLPRGVTRLLNLRRLGLFNSAVRNVPKGIGRLQHLNDISGFIVGDEEDDRSGGCDLEELNSLRELRKLSIFNLERVSNGASVLFHKNHLTRLALLCTPYSCRLGGTLYTEEEIRRIGKVFDELRPPPCLEEELWIDGFFGRRFPSWMMSSLGTSFPRLTRLFLNRCELCQKLPPLGRLPELKYLDIGAASALVRIGHEFLGDETSKAASTVVFPKLKIFRIEDMPNWETWNLGGSGDDEDDDGGQEHYGLPRLEDLVVRNCPKLSALPIGTNGVHKVRTFPALSRLTIHDCSALEYMENLDALEYLKLVDESMEHLPPWLPGLIQGRRSRFKMDVFCNLRLLKRYLDDGPDWPIVREIPIANIYSDTVNGRASLHHVKETSYYRTTNI >Ma07_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1340574:1342109:1 gene:Ma07_g01710 transcript:Ma07_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGPPVMAPPQYAAPPPRRQAGFLEGCLAALCCCCLIDECCCDPSIIFIS >Ma05_p28950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39761125:39769929:1 gene:Ma05_g28950 transcript:Ma05_t28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGPGNQQQEEEQVCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEIDSLPSYPSLPPQLVCQLHNVTMHADVETDEVYAQMTLQPLSLLEQKDPYLPAELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFLQQPPAQELTAKDLHGNEWRFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDIDPVHWPNSHWRSVKVGWDESTAGQRQPRVSLWEIEPLTTFPMYPTSFPFRLKRPWPTGLPSLHGGKDDGISLNLPQMLFQNGGNTGFQSLNFQDISVTPWMRPRLDNPVLSLQPDMYQTMAVAGQKMRIVDTTKNVSPGMLQFQQTQNITSRTSPIVPSQVLQHGHSQTPQTFLQNLQVNQVQDQSRSEFLQHQLQHGCSFGEQQQVPRQHQTQIQQQKILSNHQKVPDGVSALSQLLSVSQSSSTTLQNISSFSQPQNFPDSNGNSVSTASASPLHNILHQLSPEEASNLLSWPRGNQIVTSSSTSSKRMAIASMIPSEVQCMMPQVEQLGASQPAISQHSVILSPFPRRQYVVDQDGNMDGQNHLLFGVNIDSSSLLVQNGMSNLGHLSNETDSMNMHYAASNLVGSSGNDFGLNQAFTVSNGLEPPGLLQAPGNMDSLNQSSGTFVKVYKSGSCGRSLDITKFSSYHELRSELGRLFGLEGQLEDPLRSGWQLVFVDRENDILLVGDDPWQEFVNNVWCIKILMRQEVQQMGKPSADFLNTAHSKRLPSNSCDEYITRQDSRSLSTVTASVGTLEY >Ma05_p28950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39761164:39769929:1 gene:Ma05_g28950 transcript:Ma05_t28950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGPGNQQQEDTEEQVCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEIDSLPSYPSLPPQLVCQLHNVTMHADVETDEVYAQMTLQPLSLLEQKDPYLPAELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFLQQPPAQELTAKDLHGNEWRFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDIDPVHWPNSHWRSVKVGWDESTAGQRQPRVSLWEIEPLTTFPMYPTSFPFRLKRPWPTGLPSLHGGKDDGISLNLPQMLFQNGGNTGFQSLNFQDISVTPWMRPRLDNPVLSLQPDMYQTMAVAGQKMRIVDTTKNVSPGMLQFQQTQNITSRTSPIVPSQVLQHGHSQTPQTFLQNLQVNQVQDQSRSEFLQHQLQHGCSFGEQQQVPRQHQTQIQQQKILSNHQKVPDGVSALSQLLSVSQSSSTTLQNISSFSQPQNFPDSNGNSVSTASASPLHNILHQLSPEEASNLLSWPRGNQIVTSSSTSSKRMAIASMIPSEVQCMMPQVEQLGASQPAISQHSVILSPFPRRQYVVDQDGNMDGQNHLLFGVNIDSSSLLVQNGMSNLGHLSNETDSMNMHYAASNLVGSSGNDFGLNQAFTVSNGLEPPGLLQAPGNMDSLNQSSGTFVKVYKSGSCGRSLDITKFSSYHELRSELGRLFGLEGQLEDPLRSGWQLVFVDRENDILLVGDDPWQEFVNNVWCIKILMRQEVQQMGKPSADFLNTAHSKRLPSNSCDEYITRQDSRSLSTVTASVGTLEY >Ma05_p28950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39761125:39769929:1 gene:Ma05_g28950 transcript:Ma05_t28950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSGPGNQQQEDTEEQVCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEIDSLPSYPSLPPQLVCQLHNVTMHADVETDEVYAQMTLQPLSLLEQKDPYLPAELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFLQQPPAQELTAKDLHGNEWRFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDIDPVHWPNSHWRSVKVGWDESTAGQRQPRVSLWEIEPLTTFPMYPTSFPFRLKRPWPTGLPSLHGGKDDGISLNLPQMLFQNGGNTGFQSLNFQDISVTPWMRPRLDNPVLSLQPDMYQTMAVAGQKMRIVDTTKNVSPGMLQFQQTQNITSRTSPIVPSQVLQHGHSQTPQTFLQNLQVNQVQDQSRSEFLQHQLQHGCSFGEQQQVPRQHQTQIQQQKILSNHQKVPDGVSALSQLLSVSQSSSTTLQNISSFSQPQNFPDSNGNSVSTASASPLHNILHQLSPEEASNLLSWPRGNQIVTSSSTSSKRMAIASMIPSEVQCMMPQVEQLGASQPAISQHSVILSPFPRRQYVVDQDGNMDGQNHLLFGVNIDSSSLLVQNGMSNLGHLSNETDSMNMHYAASNLVGSSGNDFGLNQAFTVSNGLEPPGLLQAPGNMDSLNQSSGTFVKVYKSGSCGRSLDITKFSSYHELRSELGRLFGLEGQLEDPLRSGWQLVFVDRENDILLVGDDPWQEFVNNVWCIKILMRQEVQQMGKPSADFLNTAHSKRLPSNSCDEYITRQDSRSLSTVTASVGTLEY >Ma05_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5841204:5845739:-1 gene:Ma05_g07900 transcript:Ma05_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIREFFDSVGSFFSGGDNIPWCDRDIIAGCEREVAESGNAESKNESLMRLSWALVHSRHPEDVNRGIAMLEASLDNSASPLQSREKLYLLAVGYYRNGDYPRSRQLLDRCLEIAPDWRQAQTLRKVVEDRIAKDGVIGIGIAATAVGLLVGGIAAVVARKK >Ma08_p25710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38436948:38444725:-1 gene:Ma08_g25710 transcript:Ma08_t25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ckl12 [Source:Projected from Arabidopsis thaliana (AT5G57015) UniProtKB/TrEMBL;Acc:A0A178UEU7] MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENIKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFIMGLGRRANQVYIIDFGLAKKYRDTSSHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSFEALCRGYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRELFIREGFQFDYVFDWTILKYQQSQISGAPPRAIGPSTGPNSGLAPAFANDRQSGHRHGRVIPPAVDLGSLSDQKAPMGNNPSVSKERDPHRQGWVTPPVIDSGSLTKQKAPMGNNPSVSKDAKFSSSAFMGQSSESSRRAAVSSSRDMVNTDADASHTRATEASPGNSRRVSSAQRSSAISSAERKHTSSGQKPSAVKNYESTLKGIKGLNFDNEERN >Ma07_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8454372:8456625:-1 gene:Ma07_g11390 transcript:Ma07_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPTTKWAQRSDKVYITIELPDAKDVKLTLEPEGRFYFSATSGTANIRYELDLELFDRVIVDESKAAVGLRTICYLVKKAEKKWWSRLLKQAGKPPVYLKVDWDKWIDEDDEKENKFGGMDFDDMDFSKLDVGGADDEPDDDDDLADTADRDGEDADMEEAKAEGGKVEPAQAASTNEAVDKA >Ma04_p37810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35539771:35543782:-1 gene:Ma04_g37810 transcript:Ma04_t37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRIASETDVSIQHTFASRYVRDSLPRFRMPANSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDDYFVMDPHKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTQKNKETGWDTPIHVDAASGGFIAPFLYPDLTWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKNIIENCQENALVLKNGLEKTGRFNIVSKDNGVPLVAFSLKDRSRHDEFEVSDFLRRYGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVHDIERVLHELDALPPKNNGEEAKHAREMKIQRSVTEALKKMVLASKAKGKTTGVC >Ma07_p13670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10278391:10280240:-1 gene:Ma07_g13670 transcript:Ma07_t13670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAVSTTCGHLYCNEDASKILSSDAACPICDQVLSKSLMRPVDINPGNEWINMAMAGVSPQILMKSAYRSVMFYIGQQELEMNYKMKKIVGQCKQKCEVMQEKFTEKLEQIHTAYQKMAKRCQMMEQEIESLSKDKQELQEKYAEKSRQKRKLDEMYDQLRSEYESVKRSAIQPANSFFPGAEPELFSGMTNMMGGREYLCRDQSVFTPETPGRREMWPSTKTANLEAFDLSGGSPAKAAPGPVGSGTRRQPRPPFGAAANNPAATTLHDLIISPMKRPQLSRNHARLFT >Ma07_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10278391:10280240:-1 gene:Ma07_g13670 transcript:Ma07_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAVSTTCGHLYCNEDASKILSSDAACPICDQVLSKSLMRPVDINPGNEWINMAMAGVSPQILMKSAYRSVMFYIGQQELEMNYKMKKIVGQCKQKCEVMQEKFTEKLEQIHTAYQKMAKRCQMMEQEIESLSKDKQELQEKYAEKSRQKRKLDEMYDQLRSEYESVKRSAIQPANSFFPGAEPELFSGMTNMMVPDDLFVADQSVFTPETPGRREMWPSTKTANLEAFDLSGGSPAKAAPGPVGSGTRRQPRPPFGAAANNPAATTLHDLIISPMKRPQLSRNHARLFT >Ma09_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2614940:2622207:1 gene:Ma09_g03980 transcript:Ma09_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLVSFRSSIIAAPLPPLPRGGRFGFPVYRPRKMGTRVRMSFQDIPPLHGADSLAHLHGIVSRVESLLYTLADATAPATDASTVVQKNGGWFGFISDAMEVVLKVLKDVFTAIHVPYAYGFAIILLTVIVKVLTFPLTKQQVESTLAMQNLQPKIKAIQERYKGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFLWIPSLGGPTTIAARQNGSGISWLIPFVDGHPPLGWSDTAAYLVLPVLLVISQYVSMEIMKPPQTDDPASKNSLLVFKFLPVMIGYFSLSVPSGLSIYWFTNNILSTAQQIWLRKLGGAKPAVSGDAGGIISAGRAKRTAQPVQTGERLKQLKEEENRKMFNKALAVEGQTSASTSISDDESDGESNDKGKVSDKAYSSAESKKIPEYTAPKRGKRSKRKRAVP >Ma08_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4049009:4051681:1 gene:Ma08_g05970 transcript:Ma08_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLMRSTRCSSFSPSPTWKPPVSLLARPALLSLRSSSLCPLGPLSSSRDLLSRRHGGRRVTVMSMEAGIGVMGTKLGMMTYFEPDGTVVPVTVVGFREGNIVAQVKTAATDGYDAVQVGYRRVRDRKLTKPELGHLEKAGAIPMRHLQEFRLQSVDGFEPGQRLVVEDIFKEGDLVDVSGNSIGKGFQGGIKRHNFRRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDSELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >Ma07_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2739794:2744032:1 gene:Ma07_g03560 transcript:Ma07_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLGLLFLGLASFSFGTGNAQANSFLVDCGSNYSTTDANSRKWVGDSSGDGFSLSYPGTTASTSTVEENSVYQSLYKTARIFDTPSHYGFNVSSGSYCLRLHFYPFSFVNLNVNDSVFDVTANELKLVSKFNVPAEISCRSTTNNSVITSLVKEYFLYISSSELQIRFVPNSGSFAFVNAIEVFEIHNNLFVDSVNRVGSKEKVPLSMNDLGIETMYRLNVGGPALEPSKDRDLWRTWEPDDGFMFSVNAATAISSTSKISYSSVNDSSIAPLLVYETARIMSDNEVVEKRFNVSWRFDIDPNFDYLVRLHFCELIYDKQNQRIFRIYINNKTAAEEYDVFIRAGGMNKAYHEDYVDIVPQQIDTLWLQLGPDSSIGALGIDAILNGVEIFKLSRNGNLAHVSERIGTGGEGFGTKRTKSKVLWAATGGIISVVTISMACVFYCHRIQKRKADGVKENPPGWHPLFLHETIASTTNAGTSKLPLINDGLAASYRLGRRFTLAEIKAATSNFDDSLVIGTGGFGKVYKGEIDDGIPVAVKRGNPQSQQGLAEFETEIEMLSKLRHRHLVAMIGYCEEQREMILVYEYMANGTLRSHLYGTALPALSWKQRVDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKMADFGLSRAGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVVCARPVINPSLPKDQINLAEWALRWQRQRSLESILDPRLAGDYSLESLKKFGEIAEKCLADEGKNRPTMGEVLWHLEYVLHLHEAYRKSGDGDSFGSGELGFADMSFSLPFVREGDEEPFP >Ma03_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8277114:8277686:1 gene:Ma03_g10960 transcript:Ma03_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDFGASKLVPQDEDQIATLVQGTYELLTGKKAVSFKGSEEERSLAASFMLAMKENRLLDVLDNQVKNEGDMELIQEISELARQCLDIKGEERPTMKEVVEELGKLRKVMQHPWVPNNTEEVESLLGESSNDHGINYHGTETTTCYNSEKRLASNIESGR >Ma01_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1599016:1629489:1 gene:Ma01_g02410 transcript:Ma01_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGSAAKLIVEALLQRFLPLARRRIETAQAQQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTYQRKLAVECIFCSACIRFVECCPQEGITEKLWSGLENFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFTELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNCTPHKRKSELYHALCNMLSSILAPLAEGGKSHWPPLGVDSALALWYEAVARIRGKLMHWMEKQNKHIAVGFPLVTLLLCLGDPQTFNINFGPHMELLYKHLKDKNHRSMALDCLHRVVKFYLNVYADYQPKNHVWDYLYSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFTMNHMILELLKPDSSSEAKVIGLRALLAIVMSPSNQRFGLEVFHVHGVGHYVPKVKSAIESILRLCNKAYSQALLTSPKSSIDAVMKEKSQASLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISFDPGVREEAVQVMNRIVRHLPHRRYAVVRGMANFILKLPDEFPLIIQTSLGRLVELMRLWRACLSDELSMNDAQTIKRSSLGGDKVNSSPFLQSADLSEFQTTEVDALGLIFLSSVDVQIRHTALELLRSVRALRNDIRDFSANERADHRLHEAEPIFVIDVLEENGDDIVQSCYWDSGRPFDLRREFDPVPPDITLQSILENSDKNRWTHCLNELVKFAAELCPASVQEARLEVMRRLALITPVELGGKASQSQDAENKLDQWLMYAIFACSCPPDNREDGGFTAAKELFHLILPSLRHGSETHAHGAVAALGHSNLEVCETMFGKLATFVEEVSSEAEGKPKWKNQKSRREDFRIHIANIYRTIAEKVWPGMLSRKPVLRLHFLRFIEETYRHTSTSSSDSFHELQPLRYALASVLRYLAPEFVESKSEKFDIRTRKKLFDLLISWCDDTGSTWSQESSSDYRREVERYKVGQHNRSRESIDKITFDKDVVEQVEAVQWASMNAISSLLYGPSFDDNARKMTGRVISWINNLFVEPAHRAPFGYSPVDPRTPSYSKYIGDGGRSNAGRDKHKVGHFRVLLAKTALKNLLQTNLELFPACIDQCYSPDSSIADGYFSVLAEVYMREEIPKCEIQRLLSLILYKVVDQSRQIRDNALQMLETLSAREWAEDDTEGTGHYQASVVGNLPDSYQQFQYKLSSKLAKDHPELSELLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFLKLWNSGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNNRNIIPVLDFLITKGIEDCDSNTSIEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEEIEEPVRPSKVDPLANFILEFSQGPTTAQVATVADSQPHMSPLLVRGSLDGPLRNASGNLSWRTSGITGHSISGPLSPMHPDGNMVAPTTGRSGQLLPSLMNIPGMSMSGPLMNIRSSTGNLRSRHVSRDSGDCPIDTPNSTEDILHPASSVIQGISASELQSALQGHQQHLLSRADIALILLAEIAYENDEDFREHLPLLFHVTCVSMDSSEDIVLLHSQHLLVNLLYSLAGRHLELYEVESSDGENKQKVFSLIKYIQSKRGSLMWDNEDPTLVRTELPSTALLSALVLSMVDAIFFQGDLRETWGAEALKWATECTSRHLACRSHQIYRALHPSVKSENCMLLLRCLYRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVYIYGQVLELFSRVIDRLSFQDRTTENVLLSSMPRDEFDTYSCDAAELRREESRSGMEPLPPESQKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRMTVPYCDSIFGNPDTRLLMHITGLLPWLALQLMKDSVSTDSVSPLEHQYQKACSVATNIGLWCRAKALDDLAEVFVAYSRGEITSGDDLFTRVSPPICSAWFPKYSSLAFGHLLRLLEKGPVAYQRVVLLMLKALLQQAPMDAAQSPHVYAVVSQLVESTLCWEALGVLEALLQSCSTVAGGHMDDLLSNENGHGAGERFLQGMLAPQSSFKARSGPLQYLAGSAFGAGLAAQGAGSTTDGGLSAREVARQNTRLLLGRVLDTCALGKKRDFKRLVPFVASFGA >Ma01_p02410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1599016:1629489:1 gene:Ma01_g02410 transcript:Ma01_t02410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGSAAKLIVEALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTYQRKLAVECIFCSACIRFVECCPQEGITEKLWSGLENFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFTELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNCTPHKRKSELYHALCNMLSSILAPLAEGGKSHWPPLGVDSALALWYEAVARIRGKLMHWMEKQNKHIAVGFPLVTLLLCLGDPQTFNINFGPHMELLYKHLKDKNHRSMALDCLHRVVKFYLNVYADYQPKNHVWDYLYSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFTMNHMILELLKPDSSSEAKVIGLRALLAIVMSPSNQRFGLEVFHVHGVGHYVPKVKSAIESILRLCNKAYSQALLTSPKSSIDAVMKEKSQASLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISFDPGVREEAVQVMNRIVRHLPHRRYAVVRGMANFILKLPDEFPLIIQTSLGRLVELMRLWRACLSDELSMNDAQTIKRSSLGGDKVNSSPFLQSADLSEFQTTEVDALGLIFLSSVDVQIRHTALELLRSVRALRNDIRDFSANERADHRLHEAEPIFVIDVLEENGDDIVQSCYWDSGRPFDLRREFDPVPPDITLQSILENSDKNRWTHCLNELVKFAAELCPASVQEARLEVMRRLALITPVELGGKASQSQDAENKLDQWLMYAIFACSCPPDNREDGGFTAAKELFHLILPSLRHGSETHAHGAVAALGHSNLEVCETMFGKLATFVEEVSSEAEGKPKWKNQKSRREDFRIHIANIYRTIAEKVWPGMLSRKPVLRLHFLRFIEETYRHTSTSSSDSFHELQPLRYALASVLRYLAPEFVESKSEKFDIRTRKKLFDLLISWCDDTGSTWSQESSSDYRREVERYKVGQHNRSRESIDKITFDKDVVEQVEAVQWASMNAISSLLYGPSFDDNARKMTGRVISWINNLFVEPAHRAPFGYSPVDPRTPSYSKYIGDGGRSNAGRDKHKVGHFRVLLAKTALKNLLQTNLELFPACIDQCYSPDSSIADGYFSVLAEVYMREEIPKCEIQRLLSLILYKVVDQSRQIRDNALQMLETLSAREWAEDDTEGTGHYQASVVGNLPDSYQQFQYKLSSKLAKDHPELSELLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFLKLWNSGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNNRNIIPVLDFLITKGIEDCDSNTSIEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEEIEEPVRPSKVDPLANFILEFSQGPTTAQVATVADSQPHMSPLLVRGSLDGPLRNASGNLSWRTSGITGHSISGPLSPMHPDGNMVAPTTGRSGQLLPSLMNIPGMSMSGPLMNIRSSTGNLRSRHVSRDSGDCPIDTPNSTEDILHPASSVIQGISASELQSALQGHQQHLLSRADIALILLAEIAYENDEDFREHLPLLFHVTCVSMDSSEDIVLLHSQHLLVNLLYSLAGRHLELYEVESSDGENKQKVFSLIKYIQSKRGSLMWDNEDPTLVRTELPSTALLSALVLSMVDAIFFQGDLRETWGAEALKWATECTSRHLACRSHQIYRALHPSVKSENCMLLLRCLYRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVYIYGQVLELFSRVIDRLSFQDRTTENVLLSSMPRDEFDTYSCDAAELRREESRSGMEPLPPESQKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRMTVPYCDSIFGNPDTRLLMHITGLLPWLALQLMKDSVSTDSVSPLEHQYQKACSVATNIGLWCRAKALDDLAEVFVAYSRGEITSGDDLFTRVSPPICSAWFPKYSSLAFGHLLRLLEKGPVAYQRVVLLMLKALLQQAPMDAAQSPHVYAVVSQLVESTLCWEALGVLEALLQSCSTVAGGHMDDLLSNENGHGAGERFLQGMLAPQSSFKARSGPLQYLAGSAFGAGLAAQGAGSTTDGGLSAREVARQNTRLLLGRVLDTCALGKKRDFKRLVPFVASFGA >Ma02_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23635800:23641996:1 gene:Ma02_g16160 transcript:Ma02_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPENPKPSTIISAVAPPPRTLNVQKFAESRAAELDSLHSIISTRLNHDFRIRRDKRRRTTGHRASTKNHGRPKRRKLGFEDGILPEDKEVQTTKKMSRRTRRRMEFRSNPSSGFCTAGDGTKRLRTHLWHAKRFTMVKRWGFYLPLGLHGRGRGSRAALKWFKYGALLHDASYCLPIQIEGPEDSILAVLRMVLFPSPYVPSTAPEKLSNQVAHGVCYGKAMLYHIEAPVSNLISPVIYMWQPFLRDIDHVSAEKDGVSNSSVSTYKDECGSPIRKLWIWIHAAAFNEGFGVIDNACQKQMHDCGVYVRCFTLEGQIARLEVMGSKAIKMIKKILHPVSQSCSSADNSLLNQSSLTPCSNSQVQKSILLCHAEKLPSHAILSLTVHDPRDLPSSRTEVTDNELSTILEGYFQGEDSLWSRPETNGIFLSDSISLWDCSNNLNPPVPESIICKEKHDRRLKDFYLEPSSHAGASTEVKDCPSRTCPILLLKHADYGSYCMGWSIILPLSWVKTFWTLLVSHGCHAIGLRERRWVASNSGLPSFPFDFPDCKAYTSFMADEAAAFDTASELRPLAVRPSRVPIPSPWNSIVSTVIKGPNILRGFMMMDAQISSGIIPENSILDVDSKDKVSSPERQAAVVFPGFIARTSKVLDWYLKKLGCRNLLILPDEQIAENSTLDWVKISANVMHADSKLCFVRVMLHAYKEGVFEDGAIVCAPNLTDLSRWTSRSEGEEDLEELQVPQSFVKSCFTEQNSGKWELQDCEDSLALQTFRWPIGFVTTGFVRGSSKPVAEAFCEARLLAMLRGQQSCDTQTAEAEIFVFVRNMRSTAYRRALATIVLEQQNDDLEFM >Ma01_p08730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6270360:6277683:1 gene:Ma01_g08730 transcript:Ma01_t08730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKFPSFGSAQQQQPQPNFQEIPVQSWYPPSVVGSPSPFSTPTSSSVGNAHQRAFDRPQSPSQVQPSPTEAAGIIARLKDKSVDELRKLLNDKEAYNALFNSLDQVKIQNNLRDELRKETLQLAKENLEKEPRILELRNQCTIIRTTELAAAQEKLAELERLKEETLSRCSPSALLEMLHDAMNKVEEESEMLHRQLLDREIDLPSFVQKYKKLRTLYHKRALLHLAAKTSGLSA >Ma01_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6270360:6277683:1 gene:Ma01_g08730 transcript:Ma01_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKFPSFGAQQQQPQPNFQEIPVQSWYPPSVVGSPSPFSTPTSSSVGNAHQRAFDRPQSPSQVQPSPTEAAGIIARLKDKSVDELRKLLNDKEAYNALFNSLDQVKIQNNLRDELRKETLQLAKENLEKEPRILELRNQCTIIRTTELAAAQEKLAELERLKEETLSRCSPSALLEMLHDAMNKVEEESEMLHRQLLDREIDLPSFVQKYKKLRTLYHKRALLHLAAKTSGLSA >Ma01_p08730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6270360:6277683:1 gene:Ma01_g08730 transcript:Ma01_t08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKFPSFGSAQQQQPQPNFQEIPVQSWYPPSVVGSPSPFSTPTSSSVGNAHQRAFDRPQSPSQVQPSPTEAAGIIARLKDKSVDELRKLLNDKEAYNALFNSLDQVKIQNNLRDELRKETLQLAKENLEKEPRILELRNQCTIIRTTELAAAQEKLAELERLKEETLSRCSPSALLEMLHDAMNKVEEESEMLHRQLLDREIDLPSFVQKYKKLRTLYHKRALLHLAAKTSGLSA >Ma01_p08730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6270360:6277683:1 gene:Ma01_g08730 transcript:Ma01_t08730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKFPSFGAQQQQPQPNFQEIPVQSWYPPSVVGSPSPFSTPTSSSVGNAHQRAFDRPQSPSQVQPSPTEAAGIIARLKDKSVDELRKLLNDKEAYNALFNSLDQVKIQNNLRDELRKETLQLAKENLEKEPRILELRNQCTIIRTTELAAAQEKLAELERLKEETLSRCSPSALLEMLHDAMNKVEEESEMLHRQLLDREIDLPSFVQKYKKLRTLYHKRALLHLAAKTSGLSA >Ma03_p29870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32719882:32720041:-1 gene:Ma03_g29870 transcript:Ma03_t29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILSEILLSGFMINITLRRRTHLAQSSVVFLYWFYVFS >Ma03_p30230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32953680:32956683:1 gene:Ma03_g30230 transcript:Ma03_t30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENAETNGHNQIQVNGSYSFIPDGDSDGRWVGRLFVTNIEIGHGSNGTVVFEGFYGGRPVAVKRLLRAHYDVAFKEIQNLIASDRHPNIVRWYGVEQELDFVYISQERCICSLSDLICICSDSSSHSNQFCSVQQMMAKDVVSGLAHLHEVGIIHRDLKPQNVLISNDRHLNAKLSDMGISKCLLEDMSSLSRNATGYGTSGWQAPEQLLHGRQTRSMDLFSLGCILFFCITKGKHPFGNHFERDANIIHNRMDLFLVDHIPEAEHLLCQLLQPDPKMRQADLFSQFPWLNAVEVLCHSLFWSSETRLSFLQDVSDRVELEDRENESELLKSLENSAPNAFGGKWDDKLDVAFITDMGHYRKYHFDSIRDLLRVIRNKLNHYWELPKELQETLGPVPQGFDMYFASRFPKLLIEVYKVVYRFCKEEDYLSKYFQCSSLL >Ma02_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16817009:16820710:1 gene:Ma02_g05550 transcript:Ma02_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGHGESTTYKGFTIHHPKRWHVVTGKGLCAIMWFWVLYRAKQDGPVVLGWHHPWEGHGDHSHDHEASH >Ma02_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27784467:27785701:1 gene:Ma02_g22490 transcript:Ma02_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASILFLPLLYCFAFCLLLRPVVSVDALFNEPGQYLLAPRSLGDFGFYLGTNCSIQYWPKRAKKYYYLHSGFPGARGCQLWMNYNGTSQLLPAGEVEPRKFKPEATRSGYYVLAGVQGDTYVYGPAIFCTPKELCLPALPHAVAPRSDGQRLLDDRDVGLGGAVMSGPKVVLPGDVLSYANYTLRLDSTCNLSIVDVGSGEATWTTNTALPNLPVIECQMFLSPMGELVLRAQHPDGRIQRLYGTGAIAGHYDDPGHLYALRYDGRLMIYPPKPWGAWFDLDPKPVAVA >Ma01_p00410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:291748:296401:-1 gene:Ma01_g00410 transcript:Ma01_t00410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVINRLLRKGFRFQSSVQAPSLVSSVRQEDAGIKSLKVFALIGAGISGILSFATVTSADEAEHGLPAPSYPWPHKGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKVSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIRDGLHYNPYFPGGAIAMPKMLIDGAIEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVVKSRKLVLDVIN >Ma01_p00410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:291726:296368:-1 gene:Ma01_g00410 transcript:Ma01_t00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVINRLLRKGFRFQSSVQAPSLVSSVRQEDAGIKSLKVFALIGAGISGILSFATVTSADEAEHGLPAPSYPWPHKGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKVSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIRDGLHYNPYFPGGAIAMPKMLIDGAIEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVVKSRKLVLDVIN >Ma01_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:291726:296368:-1 gene:Ma01_g00410 transcript:Ma01_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVINRLLRKGFRFQSSVQAPSLVSSVRQEDAGIKSLKVFALIGAGISGILSFATVTSADEAEHGLPAPSYPWPHKGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKVSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIRDGLHYNPYFPGGAIAMPKMLIDGAIEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVVKSRKLVLDVIN >Ma07_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3134396:3137839:-1 gene:Ma07_g04160 transcript:Ma07_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIGWKKARVLMEATRRTEWVWASGGNGERNNGGEGSGGGVGVAVEKVLFVKVMTDEQMEILRSQIAVYATISEQLIEMHKIITAYQDSLSGMKLSGIYVDPLMASGGHKLTARQRWTPTSVQLQMLETIFNQGNGAPSKQKIKHITIELSQHGQISESNVYNWFQNRRARSKKMQKMAALPSNSESEIETDEDSPNEKKLRPDKNVPVTIRSDPIYNMQISAEVHLLDQTQGIYQLNGSSKSCGSLDQMSYANVLSTPRLDHLMDKFDIPMSFSPFHPGESYDGIG >Ma03_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3436225:3439418:1 gene:Ma03_g05260 transcript:Ma03_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILSRALIRTSQALFRDPCSSPHHLFLSCSRSHALSFLPRLLSFRGRSDRPAKAEIVEIDLGTEVEVAEVDVVRHLDDAIHAILVRKSAPDWLPFIPGSSYWVPPRRRALGLLELVAKFTNPLTEEETMSFTTIRGWPSSSYFVEGKHPVKKSRKKTPTQSDDEE >Ma05_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:754137:758308:-1 gene:Ma05_g01280 transcript:Ma05_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPAKTVYIGNLDEKVSERVLYEILIQVGRVVDLYIPRDKETNRHKGYAFAEYESEEIADYAVRLFSGLVRLHNKTLKFAISGQDKSSQNTRTPMTPKPNSPPILKPTSVHTREIATSNGLVSQGIGSRNYEYTRRVFGAMLNNVNRWGGTHPIVYPSY >Ma03_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24554339:24562292:1 gene:Ma03_g19180 transcript:Ma03_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MAGREILMLEAPPDASRQGWSAGPDAELVDALPYIDDDYGDPRVKGEVDRLVEEEMRRSGKKPADFLRELPPLPKLSFEDHPMLAREYERVRAGKPPATLEMSRYGLEPPPANKRNDVTAWRLALRNAQSLLQHQVIRIENLEMLLNHGAEVWKHQNREMEAYLSRLEATAREYDKLIEAVNRDRKFQQQNTGGQLNMLNAQWRELCQKNIEIQAACADLQNHIDQIKLEAKESGLDLDMKMEN >Ma04_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25104396:25110224:1 gene:Ma04_g22910 transcript:Ma04_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQQIQALKIPEAVVALAQAAGKVSGETEKSDLPGWSLFPCTVQMLKCEKCSREFCSTINYRRHIRVHRRSLNIDKDSTKNRMLLGVFWDKLPLEEAKEISSFKNVDIEDVTGSSIIRALKTSVQNLGLPLLPHIYVKAGTTLLNVIEGSPSRFPISSQELFGVLDDASEETFLCAGSTLSMQKYIFSGDAGKIALETKNSVAFASFMLEQKLVKSWYADKDIEALKCQRVLMEEEEVAKKRQAELQERKRLKKLRKKEKRVNISTGVANAKNEKCLFDTVEATCSSIETLNEMLACEFCQNTSKEPVCQETQCGEATRLVEPQLDWNRRQHSHPDNADQTVDQKIKAKASECQITTTSGQVPMSAQISPNGHVLGEVPSAKSSVTSTNDLMILTSKTVPDREDANERNTDPKARYSYNEHEVLIGSISVTLRKGYDDSREASALVQKHFKKLAKNDLHPSSAIRTTIELHRPVCHDIADSTTEKIATEGNQFHSDAFNQISVEDIYLSSVAMVDSGTDKCWNSFTDLGDAGLSLPRMFSSQIARAFLAERWKESMIADHVTLTLPSDLEATRLSSEFEVQDRRL >Ma02_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14293463:14309593:-1 gene:Ma02_g02890 transcript:Ma02_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLPKWASKPCIMGIDEAGRGPVLGPMVYGCLYCTLSYQKTLATLNFADSKTLKEEKREELFENIKADDSIGWEVDVIDPRELSAKMLKRTKINLNEISHNSAIGLVKRVLDMGVLLTEVYVDTVGDAEKYRVKLSEKFPGVKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVLDETAENMQRNFGSGYPGDPETKAWLDRHKHMVFGFPTIVRFSWGTCKPYFKDFVEVVWESDKMDEDCPNNGRSKHQQKLSDLGFTGYKRKSEDIESSGKGRCKFFQARKLQLVSKF >Ma02_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22064225:22071411:1 gene:Ma02_g13880 transcript:Ma02_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGGGTDVWNSSGPDAASADHLVVMVHGILGSTADWKFAADQFVKMLPDKVIVHCSQRNMYKLTLDGVDVMGERLAEEVTEVINKRPNLRKISFIAHSVGGLVARYAIGRLYKSPKWKSLDNPRCTVCDDNQTGSICGLLAMNFITVATPHLGSRGNKQVPFLFGVTAIEKLASCVIHWIFRRTGKHLFLTDDDKGMPPLLQRMVDDCDDLHFMSALQAFKRRVAYSNVDYDHIVGWRTSSIRRKSDLPEWEDSLNERYPHIVYEEYSAGSRNDKCVTDLLTNGDSDMIEEMLVMGLTRLSWERVDVSIQRFGAHSVIQVKDPFEHSEGADVIQHMIDHFLT >Ma11_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10736231:10745588:-1 gene:Ma11_g10820 transcript:Ma11_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESFMDVDLERDDIKNVKLSKSDRNIEGKRLIKKGPSSKDADASGNNFSRENEKCDLRRADSELDLSNCQRYACSYCFLPKNYPVPPASGMTELGASVLNVHLVSVTFGSENCPFKFTRRNKYEECLNRCEDDRFELDMWLEIATLTVKRVEELLEKIHSHKIEPEINIRIEDHFTSQDLRCLEQLYGDYGLHVVDILRENVSASLPVILTRLKQKLEEGLRMQADLQNDWAEVFVKNHSRALDHRRFYFKQQDPKTLSFKALLAECKLLNEKMDENNHLKSAAGNGHIISNMVFEYTDTDIHDVLYKIIALSSVENCTSKDELDKIMKVWTTFVEPMFGVFSRLQDTEINKETMKHGYKGSVSSSWQSHGAPCARGFSTHLKSGCLFNPSVPQLGKENLKNFEGSQNRKSIAHRTCGEQLEIFDEVYQYNELAAICNCEDGREDGELSPEVHFEENSLLDLEGTVIDKVEVKDGPEGKQYQVCPGESMCDVEAEAKTKVDDVDAVVDASNNQCDDVGYDVQESSHEHDGEEEGIDHDTKSDEMSVLDIFEGICHPKMTKPLTERAPRVLHHKDRRSRIFYGNTSFYLLFRFHQILYERILSAKTNSSAAEIQLRTLKNTGLPSFYAKFKESLYRFLNHSIDKSKFEDDCYTFVGPQSYLLSTLNILISKLVKQLQAVASNEMDNRFFQLYAYENSRGPGRFSDLVYLQNACVIHDGDIFRFECSLNPTRLSIQLVEHACKESDSTNISPGSDFADYLYNGFLKSVPDIKEMSNVFLRRNMRKCNCDDEHSATCEAMSKIQMINGIICARHRRFLVPQVEEQEIVGWYRLPYTCTRQE >Ma01_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14066590:14073984:-1 gene:Ma01_g18770 transcript:Ma01_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICIEEDYSQTPCPLYDDHSYPSSRIILEQASNQDEFPNPLNDSSIGKTNSETKTPSIVVNSHMPHLCLHSVGSTPDDDFGCVSVLRPASTEKTVNLHPGQNESTNGSTDIHNSISSRGVVDLGLGNIEDEMKKLRLSSDGHKSHQTQQHLQQVGINTRRLSSHVQTGQSHVLAQGVHHSQITGCHYSHGQPKLPSVEVQPLLQSSGVSPSFVTGAAYGTPYYHNMQSSGLHPSHFGISGYALNPPVVTPLVSANPPHHTGNLVPFENAVGANFSARASGVSSGGNVASGVEMQHLYKIYGQLAPAIQPPFPDPIYVPFYQYPSIDAYATEAPASSAYLPHQTPQATRVAGVNTLCAIKGGSVSPNYHESSPNISFLMQFPSSPLVSPVFQGSSVAGTSFSGRKNGNIKFSFGNAGSLFGWQGQRRCEKADYSNSYSFIEELKSNKACRYELSDIAGHIVEFSTDQHGSRFIQQKLETCSLDEKASAFKEVLPHASSLMTDVFGNYVIQKFFEHGNAEQRKELAIKLVGNVLPLSLQMYGCRVIQKALEVIELNQKTQLVQELDGNVMRCVRDQNGNHVIQKCIECVLTQKIRFIISAFRGQVVTLSTHPYGCRVIQRVLEHCTDESQSHWIVDEILQSACLLAQDQYGNYVTQHVLERGKPHERSQIISKFFGQIVQMSQHKFASNVVEKCLEYGNIEERDHLIKEIVGHAEGNDNLLDKILDAFSVSHEDNQMLISTSRKVGFMVMMKDRFANYVVQKILEMSTDKQCEILLDRIRVHLQALKKYTYGKHIVARVEQLCGEAAPES >Ma09_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7462882:7467116:-1 gene:Ma09_g11040 transcript:Ma09_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYQRLMLVVLLVVVISFVPPLALGRHLDSVDMEVLLEVKKSFTEDLRRVLDDWSSDNPDHCSWTGVTCDLDSGVVALNLSSSSLAGSLSPSLGRLSRLATLDLSSNRLTGTIPSQLARLSALTTLFLYSNRLSGTMPPSLGSLSGLRVVRLGDNPGLSGPIPGSFGDLRNLTTLALALCNLSGPIPSRLGRLTQLHNLVLQQNQLDGPIPPELGNLDKLQILNLADNLLGGEIPSQLGELSQLTYLNLMSNQLEGTITSSLGKLSGLRNLDLSMNQLEGELPTELGQLSELNYLVLSNNKLSGHLPENLCLNATKLEHLFLSGNNFTGRIPASIVQCRSLTQLDLANNSFTGAIPVELGELANLTDLLLNNNSLWGSIPSEFGNLSNLQTLALYHNELRGGLPEEIGKLQQLQILYLYENQLSGEIPPAIGNCSSLQMIDFYGNQFSGSIPASIGRLEQLSFVHLRQNDLSGEIPASLGRCRQLTILDLADNRLSGGIPATLGLLQSLQQLMLYNNSLEGSIPEEMFDCRNITRVNLSNNRFNGSILPLCGSSSLLSFDLTNNSFNLEIPAQLGNSPALERIRLGSNRLAGEIPPMLGEIGALSLLDLSSNSLTGVIPEELASCKNLTHIVLNNNQLTGVIPTWVGSLPQLGELKLSSNRFFGPLPIELFNCSKLLKLSLDDNSLNGSLPSEVGKLASLNVLDFARNQLSGGIPASIGRLSKLYDLRLSHNLFSGPILMELGQLQELQSALDLSFNDLSGEIPSSLASLAKLEDLNLSHNSLAGEVPRQIGEMSSLVELDLSHNNLQGQLDERFARWPPQSFAANLALCGYPLPPCGVARSAHHRSTSSSAAVAAISASVTLVIILLLIAAVIWIRRWCTKRTIEVNCTYSSRSSSQAHRELIMKGSRRRELKWDAIMEATCNLSDEFVIGSGGSGTVYRAELPSGETVAVKKILHQHRESLLQDKSFVREVKILGRIRHRHFVKLLGFLSSNDGEHLLVYEYMENGSLWDWLHKPGVSQKRKRELNWEARLKIAIGLAKGVEYLHHDCVPRIVHRDIKSGNVLLDGDMEAHLGDFGLAKAVTDGTETGSCFAGSYGYMAPEYAYSLKATEKSDVYSMGIVLMELVSGLMPTDRTFGGDMDMVRWVQSRVASASSSSVAEREELLDPALRLLASHGESSMYDVLDVALQCTRTTPAERPSSRHVSDRLLRISLKIHRAGSGKKTAV >Ma03_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24846304:24847880:-1 gene:Ma03_g19550 transcript:Ma03_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLNLFLALLLCTSSGGLAEPCSSSDIAVRQTRTGGTVEGKPEYEVLVTNTCECSQSRVLLRCYGLSSVEPVNRRAIRPVDEERCIVGGGRPLTRGAPIRFKYAWMTPQDFPVISTQIHCQ >Ma10_p13130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26050301:26052728:-1 gene:Ma10_g13130 transcript:Ma10_t13130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKALLGLFAAVTLGIVVSKLRGKHLKLPPGPLPVPVFGNWLQVGDDLNHRNLTALAKRFGDILLLRMGVRNLVVVSSPTLAREVLHAQGVEFGSRTRNVVFDIFTGKGQDMVFXSPEGAGHGVHGVRRPLAQDAADHDGALLHQQGGAAEPGGVGGGGAAGGGGREAGPPGGHRRGGAPPPAAADDVQQHVPDHVQPPVPGHGRPALQQAQGRQRRAQPPRPELRVQLRRLHPRPPPLPQGLPQEVSRPQGQPPQALRRSLRGGEEEEDGRIGIDDGAQVRHRSHLGRGEERRDQLRQCPVHRGEHQRCSHRDDAVVDRVGGGGAGEPPGDPAEAPPRARLCAGPRRPHHGTRHPEAALPSGRHQGDPPVAHGHPSPRPPHEPPRRQARRLRHPRREQDPRQRLVARQQPRPLEEPRGVPPRALPGGGSQGGGQRQRLPLPALRRRPPQLSRHHPRPAHPRHHPRPPRPGLRAAAAAGRQQGRHHREGRSVQPPHTQALHHRLQAQGV >Ma10_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26050301:26052728:-1 gene:Ma10_g13130 transcript:Ma10_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKALLGLFAAVTLGIVVSKLRGKHLKLPPGPLPVPVFGNWLQVGDDLNHRNLTALAKRFGDILLLRMGVRNLVVVSSPTLAREVLHAQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQNREGWEEEARQVVEDVKRDPRAATDGVVLRRRLQLMMYNNMYRIMFNHRFQGMDDPLFNKLRAANGERSRLAQSFEYNYGDFIPVLRPFLRGYLKKCQDLKVNRLKLFDDHFVAEKKKKMDELGSTMELKCAIDHILDAERRGEINYDNVLYIVENINVAAIETTLWSIEWGVAELVNHPEIQRKLRHELDSVLGRGVPITEPDIQKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWWLANNPAHWRNPEEFHPERFLEEEAKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQDFELLPPPGVSKVDTTEKGGQFSLHILKHSTIVCKPRA >Ma01_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5731464:5735412:1 gene:Ma01_g07970 transcript:Ma01_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPLSLPEEEPHAPKQFREENLKEGVVDDFKNVPKYIYGLTASQMDMFVSEDNPVRQHAEKLERKSFLLQEIP >Ma10_p30410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36719760:36720277:-1 gene:Ma10_g30410 transcript:Ma10_t30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGIFIGQAWSGVPWFEAGADLGAIAPFSFGSLLGMQLIFMGRVESKRWVDFFNLESQSVEWATPWYRTAENFANATGEQGYPGGKFFDPLCFAGTLRDGVYVPDVEKHERLKVAEIKHARIAMLAMLVFYFEAGQSKTPLGALGV >Ma10_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30462247:30472788:-1 gene:Ma10_g20220 transcript:Ma10_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFAAGIRILLVLVSTFSLLLIPSEPAVSSIDLGSEWMKVAVVNLKPGQSPISIAINEMSKRKSPAVVAFHGGNRLVGEEAAGIVARYPDKVYSFVRDMIGKPYKNAKDLTSSLYLPYDLVEDSRGAAGIRIDDGVTVYTAEELLAMVLSYGITLAESHATVPVKDAVISVPPYFGQAERRGILQAAQLAGINVLSLINEHAGAALQYGIDKDFANESRHVILYDMGSTSTYAALVYFSAYNTKEFGKTVSVNQFQVKDVRWDAKLGGQDLELRLVEYFADEFNKQLGNGFDLRTSPKAMGKLKKQVKRTKEILSANTVAPISVESIYDDLDFRSTISREKFEELCADLWERALVPLKEVLRNSGLKIDDIYAVELIGGATRVPKLQAKLQEFLGRNDLDKHLDADEAIVLGASLHAANLSDGIKLNRRLGMIDGSSYGFVLQLDGPDLLKDENTDVLLIPRMKKMPIKLFRSIKHNKDFEASLSYDKANELPPGVLSSKFAQYSVLGLTETSEKYAARNLSSPIKANLHFSLSRSGVISLDRAEAVIEITEWVEVPRKNTTLENNTTDSFNVSTETSPGNTSQGNAESLSSDDDADISPNASNGKQDNDIITEKILKKKTFRVPLKVVEKSSGPGSVLSKDSFSEAKIRLGALDTKDAERRRTAELKNSLEEYIYSTREKIEDNAEVGKVSSEEERSFFVEKLSEVQEWLYTDGEDASASEFKERLESLKAIGDPIFFRLNELTARPLACEHARLYLNDLQKIVNNWEMNKPWLPKDKTEEVLSEAEKLRNWLEGKEEQQKRTSILSTPIFESEEVYQKVAKLQDKVASVNRIPKPKPKIEKPPKEELVTQENSTGTSNNTSGEPTPEAGHTTQDSVDSSHAGTDQERAAHDEL >Ma06_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:400174:402120:1 gene:Ma06_g00500 transcript:Ma06_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVVGLPWLRIWVFPELHPPFSRPGLPLDSPEKSLRLGFLRHWSPGGRGARPFRSVADRESARRRREDGAIQLPVCQVNISVPLPLSLRSFVMGIPGLMLCVCDFNTLQRATGKRRNDNSIPNLVKVEFLVRSNMFALLRCHFRVACKCLEKSTDILLQSDSEFVKDATELLKDGIDLVTYRQGSSEFVVLSSALYSSQC >Ma05_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32478778:32481696:1 gene:Ma05_g20790 transcript:Ma05_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGTTCPEPMGATSNGSWQGDNPLDSALPLAILQICLVIALTRFLAFVLHPLRQPRVVAEIIGGVLLGPSAIGRSERFLKMVFPKQSLTVLDTLASVGLIFFLFLVGMELDLRAIRRTGQRALAIAIAGISLPFVMGIGTSVVLRHTVAKGVPEAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDIAAWILLALAIALSGSGSPLVSLWVLLSGVGFVAFVAIFVRPVLDWMARRSPEGEPVNEMFICATLATVLAAGFVTDTIGIHALFGAFIVGVVVPKDGPFAGVLIEKIEDLISGLFLPLYFVSSGLKTNVATISGGESWALLALVICTACLGKICGTVIVSLLVKVPAREAIALGFLMNTKGLVELIVLNIGKDRKVLNDETFAILVLMALVTTFLTTPIVMAIYKPARRSAPYKHRTIQRNDTDSELRVLACFHGSRNIPTMINLIESSRGIRRRGITVYAMHLMELSERSSAIFMVHKARLNGLPFWNRKGDSDNGADHLVIAFQAYQQLSNVSVRPMIAISDLETMHEDIITSADQKRAAFILLPFHKLQQIDGSMESTGHAYHLINRRVLRRAPCSVGVLVDRGLGGTAQVVASEVSYTVATLFFGGRDDREALAYSARMAEHPGIQLIVVRFIPPQTGNWFLERSRGGGGSVSIIVDANEISADDACITEFRDKVLASNESIRYDDKVMGSRVEIIAAIKSIGRCNLFLVGQAPPIMVLSEKADSPELGPVGSYLASSEFSTTTSVLVIKQHDPTADPPDPENDGTEIYDEPDTPTTDLSRH >Ma07_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27880089:27881896:1 gene:Ma07_g19970 transcript:Ma07_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTARMSSSRIPSVKRIPIANSMAEKLIPAAFRCPISLDLMKDPVTLHTGITYDRRSIEAWLELGNLTCPVTKQALENEDLVPNHSIRRMIQDWCVANRCLGIERIPTPRIPVTPTQVSDLLSEISVACRRGDNARCRELARKIKALGRESERNRRCIISGGAGRVLSNCFSELAAESLENPRAGVMDEILAALAAFLPLDEGSYLQLASPKPLDSIVSVLKSADLEGRLNAALVLKELASSSPPDRIEVVARTDGLIEALVKLIEKPISPRATNASMVTAFYLVASSERIAARFVEMGIVSLLLEILVDSEKSMCEKALGVLDGVLSCSRGREMASAHSLAVPVLVKKTSRVADMETEFAVSALWKLCKNDDEGERVVEALQAGAFQKLLLLLQVGCKGTTKEKVSDLLKLLNRKKRSSECIETVDLRGLKRSF >Ma09_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7439668:7443930:-1 gene:Ma09_g10990 transcript:Ma09_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLLQNQTNRRGGGHRPPEVGGGAGQTDGILRSPSAVFWIVLHGLCCVISLVLGFRFSRLVFFLLFSSPSSTFTTSSTSTVFTSAPIIHAATTTTTMTTTTTTTTTTRTQTLAVSLPTTPPPPATSAEASLAAANTTQSRVVVGRHGIHIRQWPYPDPTEVMQAHWIIERVQREQRLQYGVRTPRRLIVVTPTYVRTFQALHLTSLLHTLLLVPYPLTWLVIEAAPAGDSSNETTTLLARSGLPFLHISFSDPMPDNWADRRLTEARMRLRALRVVRERRMDGVVVFADDSNVHSMELFDEAQKVKWVGAVSIGILAHSGRSGAGEEDKSPVPIQGPACNSSGDLVGWHIYNPLPHTGATFVGAAKTMLRAKMEWAGFVLNSRLLWREAEGKPEWVRDLDAVGKVGEQIENPLVLLKDASFIEPLGNCGKKVLLWWLRIEARHDSKFPPGWDIDPAVEATIAAKRTTWIDSPPELPSQMMVSNQESHTSRKSMPSWSKHATHDEEKHDSSVERGQN >Ma10_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15784438:15793050:-1 gene:Ma10_g05060 transcript:Ma10_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKTAPPPDCFLNQHCSRWAQMYLKYCLCSTKDGISLFLGAASIISWVIAEIPQIMTNYCEKSTEGLSIAFLMTWIVGDLFNLIGCLLEPATLPTQYYVALLYTASTVILTGQTMYYGYIYHRLEPNKHGIHVKSQKHHQEDGSAEECLLGDSKKTRVHGYQSNGTSPSKEVNIPSSPIPVEVLCDSYGSDFYYTSARSLSKSPVPAFGAWLAHSCDNGGSPPRSGNQQSVAKEPLIDRIIFPQSAPPNLSTKNMLAVVPSAVFFFGMCVLHLCTNDVHTASPNGMVIRVGRKLLQDHVQDDGSIGVGNLLGWAMAAIYMGARLPQIYLNIRRGNVQGLNPLMFVFAVSGNATYVGSILVESLDWHKIRPNLPWLADAGGCILLDVFILIQFFYFHYRKQQRSESKDNPA >Ma03_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15355308:15360774:1 gene:Ma03_g15470 transcript:Ma03_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGWFRKKAKGAPERNSGPAVTALSSSSASRSDGSAAGKQNPSRSTGPSASQRSIPALYEERAHNLRVFEYDELRAATDNFSRMNKIGEGGFGGVYKGYIIPPDGEEGRTLVAIKKLNQRGLQGHKQWLVEVQFLGVVEHQNLVKLLGYCAKDGERGIERLLVYEFMPNKSLEDHLFNRAYPALPWNLRLHIALGVAQGLAYLHEGLDVQVIYRDFKASNVLLDKEFNPKLSDFGLAREGPTDGHTHVTTAVVGTYGYAAPDYIETGHLTIKSDVWSFGVVLYEILTGRHSLDRNRPTNEQKLLDWVRQFPVEARKFTMIMDPRLRSEYSLEAARKIAKLANSCLVKNPKERPSMSQVVECLKQAMQMDPVKEHTSGNKSNRKKTDAVSLSSRSH >Ma10_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8677026:8677571:-1 gene:Ma10_g02650 transcript:Ma10_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTGSSADVLYLDAFLKLGLTKESLKPICSVLTGFTSDSVSPLGTVTLPLTLGAPPRTKMVMSTFLVVDLPTAYNAILGRPTLNKIRAVVSTYHQTVKFPTHARTGEVWGSPQESRRCYLTAVSLYKRAKTNQPLEDPREKNWSTPHPEPTVPTCNVPLMEDRPDWMVQVGSELPEQERE >Ma00_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19521443:19527882:-1 gene:Ma00_g02650 transcript:Ma00_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSTALGLCGFGVGLSAGIVIGYFLFIYFQPSDVKDPKIRPLVEHDSKSLEQMLPEIPLWVKNPDYDRIDWLNKFLEMMWPYLNKAICRTAKQIADPIIADNTVKYKLDSVEFETFTLGSLPPTFQGMKVYITEEKELIMEPSLKWAGNPNVVVVVKAYGLKLTAQVVDLQIFATPRITLKPLVPSFPCFAKILVSLMDKPHVDFGLKLLGADLMAIPGLYRFVQETIKNQVANMYLWPKTLEVPVLDVSKASRKPVGILHLKVIRAYKLKKKDLLGKSDPYVKLKLGDDKLPSKKTRVKHSNLNPEWNEEFKFVIRDPENQFVELNVYDWEQVGKHEKMGMNVIRLNELAPDEPKTLTLDLLKNLESRDVQSDKSRGQIVVEALYKPFKEKEVPKDVGENADEVEKAPDGTPSGGGLLVVIVHEAKDLEGKHHTNPYVRVTFRGEEKKTKHIKKNRDPRWEEEFQFVCEEPPINDKMHVEVLSRAPSIGIHPKESLGYVTISLTDVVNNKRTNETYHLIDSKNGRIQIELQWRTS >Ma00_p02650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19521443:19527882:-1 gene:Ma00_g02650 transcript:Ma00_t02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSTALGLCGFGVGLSAGIVIGYFLFIYFQPSDVKDPKIRPLVEHDSKSLEQMLPEIPLWVKNPDYDRIDWLNKFLEMMWPYLNKAICRTAKQIADPIIADNTVKYKLDSVEFETFTLGSLPPTFQGMKVYITEEKELIMEPSLKWAGNPNVVVVVKAYGLKLTAQVVDLQIFATPRITLKPLVPSFPCFAKILVSLMDKPHVDFGLKLLGADLMAIPGLYRFVQETIKNQVANMYLWPKTLEVPVLDVSKASRKPVGILHLKVIRAYKLKKKDLLGKSDPYVKLKLGDDKLPSKKTRVKHSNLNPEWNEEFKFVIRDPENQFVELNVYDWEQVGKHEKMGMNVIRLNELAPDEPKTLTLDLLKNLESRDVQSDKSRGQIVVEALYKPFKEKEVPKDVGENADEVEKAPDGTPSGGGLLVVIVHEAKDLEGKHHTNPYVRVTFRGEEKKTKHIKKNRDPRWEEEFQFVCEEPPINDKMHVEVLSRAPSIGIHPKESLGYVTISLTDVVNNKRTNETYHLIDSKNGRIQIELQWRTS >Ma10_p28520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35384001:35386081:1 gene:Ma10_g28520 transcript:Ma10_t28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGCSLSISSLLCQEDATDLDPDLDLDLEFEDELCQAYLLLKDAIFTDEEYIQELASRERSSFERQDREFSSCDECLVPADNWFKRARSDAIRWILNTTARFGFGFQTAYLAVAYLDRFLRRRRIDNGKPWATGLLSTACLSVAAKMEERRVPPLSEMQIEGYAFDSNAVQRMELLLLDTLQWRTNCVTPFDYLSYFRSKFQCEESLHKAIDFIFAAIDAINLTACRSSAVAAAAILAASSEIYSKELLETKMSTTSLFQSFSEKEHVFSCYSIMTQDLPKNMITPKRSSSSEASENYSSITVAIDSASISSSRTKRRRLRLPDIH >Ma10_p28520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35384001:35386081:1 gene:Ma10_g28520 transcript:Ma10_t28520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPGCSLSISSLLCQEDATDLDPDLDLDLEFEDELCQAYLLLKDAIFTDEEYIQELASRERSSFERQDREFSSCDECLVPADNWFKRARSDAIRWILNTTARFGFGFQTAYLAVAYLDRFLRRRRIDNGKPWATGLLSTACLSVAAKMEERRVPPLSEMQIEGYAFDSNAVQRMELLLLDTLQWRTNCVTPFDYLSYFRSKFQCEESLHKAIDFIFAAIDAINLTACRSSAVAAAAILAASSEIYSKELLETKMSTTSLFQSFSEKEHVFSCYSIMTQDLPKNMITPKRSSSSEASENYSSITVAIDSASISSSRTKRRRIEKLGS >Ma10_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22131915:22136150:-1 gene:Ma10_g07820 transcript:Ma10_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAILAAAMAAHTVLLSASSPAFVFPGFSSASPARPFHSAIVGQPLRPASSTAKLVSTAAKAKPLVVVAAAKKAVAVLKGNSSVEGVVTLVQEDNGPTTVKVRVTGLTPGLHGFHLHEFGDTTNGCISTGAHFNPNKMTHGAPKDEIRHAGDLGNIVANVDGVAEATIVDNQIPLYGPNSVVGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPVE >Ma03_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9578971:9592782:-1 gene:Ma03_g12420 transcript:Ma03_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVSLSLSLPLSPTARSDPSVAASPFGAFRRSGLRFCGLRREVLSLRSLNSEASGRISPYPARGLSNKVLAAAKSNGSPTKGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDIVGGTCVNRGCVPSKALLAVSGRMRELQDEHHLKALGLQVASPGYDRQSVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGTQRVKYGKVGFPDTEVTARNIIIATGSVPFVPNGIEVDGKTVFTSDHALKLEWVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDHHTGVFAKKITPAKDRKPVLIELIDAKTKEPKDTLEVDAALIATGRAPFTKGLGLENINVTTVRGFVPVDEHMQVMDADGNLVPHIYCIGDANGKLMLAHAASAQGISVVEQISGNNNVLNHLSIPAACFTHPEISMVGLTEPQAREKSEKDGFEIRVAKTSFKANTKALAENEGEGLAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRIQDIRFAVHAHPTLSEVLDELFKSAKVNVGTSPSVSEPVAV >Ma09_p28310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39111315:39114514:1 gene:Ma09_g28310 transcript:Ma09_t28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLAVIDQDLGGDASDFEVDGVKCDNLNENDVSDEEIESEELTRRMWKDRVKLKRIKERERLAAQQAALETSKPKQPSEQALRKKMSRAQDGILKYMLKLMEVCNVRGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPSAIAKYEAENFAADKAQNSGSKNQCSLADLQDATLGSLLSSLMQHCDPPQRKFPLEKGVPPPWWPSGKEDWWIGLGLPKGQGPPYKKPHDLKKVWKVGVLTGVIKHMSPNIGKIKTHVRKSKCLQDKMSAKESSIWLGVLNREEMIVNQLSSDNGMSDVTQDSGHRERREDTNSCSDEYDVDGLEDARGSTSCKDDAKNLQVETLPCAVTSREEGPAVNSNQLCQGKEQTSEQPKQKRPRLSVASADRQTAKTQNEHIPKETRNAIPDMNDTDMSLLVHHAPSVSHETHMNPNSRHQGRDLQNQNLEPQSAISNFASIPSINVAAGNMFTDDQPLQYPEVGNSELEFATTINTGSNYGFYKSSGGSGILQDKQQYPMFVPGHSVMSDDSIIPVGNNSYDHVTTPNVNSHTITGDMHLFVDGSFYTEPDRFDGSSFGLPLDLIGISSPIPDIGDILHDDDIMEYLGT >Ma06_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23149513:23149620:1 gene:Ma06_g24640 transcript:Ma06_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLSELMKQLSEKVCLLASRSRLSIWIGPRTLFH >Ma06_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12301808:12304989:1 gene:Ma06_g18150 transcript:Ma06_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSRRWAEPQAPPQPPPTYHHPESHLYSAPPPQPVPQHLLPHPVAYYPYPNPHPNPYPPGDPETAVRPDAHASHHRIPADPYASRLYATRGVHDGLHPVPYPYPGQESPPAVPGPIHRPFPVDPYVGGFYAPRGGHEVLHPASCSYRGGEGPAGVSGVSLYYHGRGGSEQHGFAVEAIHPFPGANPLGHSAGQPQFSTELEQPIPSHMQFPDWNMAMHPTSKLTKKRTLKDQKWTTKVVQSAYCEVCKINCDTQEVLMIHKQGKKHQKNLQKLQESVTAKEKQEPIAAVEKETSIVEEEKYVGEQKRKRGALATTEELEEKKRRVLEGGAAAEEVKVCTLCNVVVNSQKVYEYHIAGQKHAAMVKKQQEAKAAAES >Ma01_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15434750:15442381:-1 gene:Ma01_g19700 transcript:Ma01_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGSDYSSYYAPQSPPPPTNPSSVPAELPPSTPIQQLYASAPPYSTDFPLAAAPGPAPSSYAPFSQNPEPASCLPPSVPSYGQPQSQLPPQFPSFPPYNSSSYPPETSPSPTASLYPHQQPSSVPYYPYDPNQLATGSHASPMNPISVPTSSPNPSSSLSSPYTSYNVSQQSSAMMPEQEAQYFNDNSGRYGASMNDGYGHSRSGFGQEFHEKNLYAGMHYDEFGPQDDGLGDGVYQYDGGRSEPYGSRGTVPTRSPTLFDDYGRSVSFPSVGNNQGGSVGKIVRAVPKEDMQPDVNNGQKFRVKLLLEGGGQNTTDVLCQIGLDGIRMLDPSTNRTLRIYPLETVTRWEVLDSSTFAFWSKSFVDIDPRRIRLQSNSYTTNTILDTVTAATVQLKEMGGNGSLTRGEEAADASKVSDQSAERKKSLVNWMNLIKPANEEKDHWVPDEAVSKCTSCGSDFGAFNRRHHCRNCGDIFCDKCTKGRIALTTEENAQQVRVCDRCMVY >Ma05_p15970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14916273:14927957:-1 gene:Ma05_g15970 transcript:Ma05_t15970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPNEAGGRPLFWILVAAVLISCALAYLGLSTALRRQESRFLGLPNDGLELEGTGAGGGDEDCCRGQEHLELWGPAVKWGADHKFNSSRDCCRACKAMCGSVGPCLCNSWVFCGDRERCGERFGECWLKKQEDVLLPALHDLGEKVIWTSGLIYGIGEGIVGLETDYGTLHIKLFPDCAPHSITYILELLRSHRCAGCQIYRAESRGPSWDPKGDHLSYASFGPPYALVQGTLEAEGVQFKKLPLEACSTIKRGSVAWIDSGPEFFISLANHDEWRRAYTVFGAILPEDMEIAEKIAGLQTRSDVWNNIDVLVLEKPIYLKLKRITNLGANGP >Ma05_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14916273:14927957:-1 gene:Ma05_g15970 transcript:Ma05_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPNEAGGRPLFWILVAAVLISCALAYLGLSTALRRQESRFLGLPNDGLELEGTGAGGGDEDCCRGQEHLELWGPAVKWGADHKFNSSRDCCRACKAMCGSVGPCLCNSWVFCGDRERCGERFGECWLKKQEDVLLPALHDLGEKVIWTSGLIYGIGEGIVGLETDYGTLHIKASFGPPYALVQGTLEAEGVQFKKLPLEACSTIKRGSVAWIDSGPEFFISLANHDEWRRAYTVFGAILPEDMEIAEKIAGLQTRSDVWNNIDVLVLEKPIYLKLKRITNLGANGP >Ma06_p31220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32403380:32410668:1 gene:Ma06_g31220 transcript:Ma06_t31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDAGGGGVDVLLMDEAYEFSAPRFFDFINGETEEYIKRAELWFETSLSYAPSPFVPRIREGRSIQIDSLCDFGNVEKEQKVEVSSKDSHQTDPAPEVDNTARNYQHKLATDMEVKAKKDTEIEVSEVECCQTNIVPQQETSSTSVAITGTLSHKEVPLELPIALSGGALAAASAAFTYIAQASSLKAMPPSIVNNNEIVEACTPRTQRSPVKGPSSAKTLAAKRIANLIRQPSTLKQKSKSPTQSLKSTKRKNVIKCPSNIAAKNSMGNDIAQENQAVKRQKLHDGRFRQIHNVKNGVLYHKSRLGLTGGTDMLTNVEKSLRKEVSPYVSAAEMVNKFQSRTRDLDIFQNRSLSHSDTASAVHRRSKLTLTRPKDPELGTAHRARAVRIKSSAELEEEMLSKIPKFKARPLNKKILEAPTFPALPRSIPQPPVFQEFRLKTMERANQHAETSSAVSSLDGVVQNQVKPIRLTEPRPPHLETSFRARPSKNKSSQESELEELEKIPKFKARPLNKKILESKREIGMFCNPKPQKTIPQEFHFATEDRLGPPAIVVEIFDKLSLHSESSNHEKKEVPRITIPNPFHLHTEERGLEKESQLAEQLLQKELEEEWARIPKATPYPYTIDYPVIPPKPEPKQCTKPEAFQLESLVRHEEEMLRKLEEKERTEREEAQQRIFRAQAIKNFDHLPLPERERKPLTEVQKFVLHVDHRSVQRTEFDRKIKEKELRCKRLREEQESAKMIEEEKAVKQMRRTMVPHARPLPKFNNPFIPQKSMKQGTKPRSPDLHVNHRVERRALHMR >Ma06_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4988662:4988994:1 gene:Ma06_g06980 transcript:Ma06_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGIKIYKNTKTKTHQKKIFDWMGMNRNYSTISNLEPWFFPEFVLLMHIRLNRGSYQSNYFFSNIYYENNISQNQNKNNISQNQNEKILVKIKIKKILVKIKIKIDTCA >Ma08_p28960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40742003:40742995:-1 gene:Ma08_g28960 transcript:Ma08_t28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMA [Source:Projected from Arabidopsis thaliana (AT3G24140) UniProtKB/TrEMBL;Acc:A0A178VKX8] MAHIAVERNRRRQMNEHLRVLRSLMPGSYVKRGDQASIVGGAIELVRELEQLLQRLESQKRRRLFGGGKAPKSVMDGPPLPLQQRHQVNLLDLDHGSRLREEIAENRSCLADVEVRLLGFDAMIKILSRRRPRQLIRTIAALEDLQLAILHTSIATIEPTVLYSFNVKISSESLCTAEDIANSVQRILCFIDANTSSQA >Ma06_p38550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37281337:37284865:1 gene:Ma06_g38550 transcript:Ma06_t38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYARKAKIDGKAAVTEAVHHQPSLGVHTRAKTLALKRLQETSPDTASCYLQLRSRCLEKHLPVPSSARSRAATRNRPNADPNPNSHASPSRSPNHVNQAAGAAESPVNSDSVGSVPTKSRCFTKTKATALAVREVSPEVDPAETEGSFGENVLDLDASRTAREPTPCDLIRDSETVGTPGSTTRRTASKRQAATPSSIPTNLEMEELFAGPEQLQQRSFVERYNFDVVKDQPLPGRYEWVKLDS >Ma08_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15531488:15535129:-1 gene:Ma08_g15450 transcript:Ma08_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVILTQKQIEFRGSHADPPPPMLPAAFRRARHRPPPRPLPQAPAPSFPPPSPLPTNFSTPYAVCASQGFDPIDQFLPPRTLPRSPSRRHLYRETLLSLAYVLKTAPGRLHDLKSLALDGLRAAPLARLLCSFPRHQPALAFFDAALPDLSADTVSSCCEAAHLVATIGDPALKPLAQDLLASLLRRIGPGRATEIIHWIDRHQSHYPALHLVLHGFLDAGMASEAVDVLARIRKQGEIPSLTALAILLRLLFRGGDSRKAWKLFKDMIGRGPRPSFRTFNAMILGSCQKGHVRVGEGLLQVMPRLHCEPDACSYNILLKAHCIYRRTSYAFDLFDSMLSSGCAPTVVTYSILIDALCHEGRMEEARKLFEDMQKEGIQSNTITYNVLIDGHVKAGQIDKAKMVYRQMREKGFMPDCYTFNILVAGFYKFHRDWEDEVTLLCDDLFKPELCSDGSMLDVLVSRFCWDGRLDDARELLQSAVEQGVAISVAGFNSVITGFSKEGLEEEAFCLYQTMMDLGLSPSVSTCNALIIGLCNRGRLQEARELINKMTEKGFFVNVSAFTIYIDGCFRVGDVTAALRCWNEMERQGIHADVVGFSAYINGLCRANYIDEAQKAFCEMSRRGLVPNNFTYNSLISGFCRVGNVAEALKLEREMRQNGLFPDIFTSNIIIDGLCRQGRMKMANNMLIGMYTNGLAPDVVTYNTFINAYCRAFDMVNAVRFVNKMSSDGFEPDIFTYNIWIHSLSTNRMMNRAMKMLDELVSTGFVPNTITYNTFMNGICCDILDRAMILTGKLLKMAFVPNVVTVNLLLSHLCKQGLAKRALMWGERLCNVSFTFDDATQNILDRACRDVQEGAEIPVESGKGLFLEFLMRITYDFLCKSRHLECKPSMITRRPR >Ma05_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10431419:10441663:-1 gene:Ma05_g14320 transcript:Ma05_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQQDWPPLANGGSSEGFSHPMPTNPDLSAVGPGNLIRAEQATAEVLRCIQPTVVSEQRRKAVVEYIQKLLKRYIGIEVFPFGSVPLKTYLPDGDIDLTALSFPNSEDALANDVRSVLQSEEQNKGAEFEVKDVQYINAEVKLVKCIVENIVVDISFNQIGGLCTLCFLEKVDQEIGKDNLFKRSIILIKTWCYYESRILGAHHGLISTYALETLVLYVFHLFHESLDGPLAVLYRFLDYYSKFDWDNYCVSLQGPIPVSFLPELVVEPLETNGGDLLLSKEFIKECIDMFSVPPRFSESSRIFLKKHLNIVDPLKQNNNLGRSISKGNFYRIRSAFTYGARKLGRVLLLPAENIAAEVGMFFASTLERHGTGERPDVQQDVSLSCSDSRFIEHNEVGSTSSNKESPLDSQVKCSGGALCDNINNIKISDLDEGYGTELQFNGHNSNKHLDGLHNCTKMENHLMGGEASVKHLDKNARDLVSIEAFNLKAAESCLDSSSHNQIGGDLSSGKAYHAPPLFFHVGNGSESVILDNVNSGDTAKKEVISSRFVAPGEEPNNESESHGTSTSGFKTNSVSASTGSIHGSSTTSWNSHLSEYLNTAAWSCEGNGNSSPNCSKLSDLVGDFNLHYMNLLYALKSQSQEYFMSQYFMPNYGPSPSQYQNKQSWNGFSQQSIYMHIRANGVIPAPSFSLNPGVYAAKDIQKTKGTGTYFPNTNSRSYRERQSPGRGKNQTPPNQLSRSRNNSRLEVQDRNLLEENNETLPQPQSPVFTGVPQPSCSATRELSHGNDIVLGLKGKLEFGSLGPVKLRVSSPEQSKKLESVSPSNQSSAAILESTVQRPSTNLRYERSMKPYQLKDESDFPPLNG >Ma06_p35780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35520423:35525937:1 gene:Ma06_g35780 transcript:Ma06_t35780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSFFDDGVTLDPLLIPTEEDKEEKKEGLGGIFFRRIPVASTAFSTAPEFHQFLPIPSPESESLPRSHLPDASDPISFTADEGGMYDADVAVRQPDERMAGREGDPSPSGSRKTTRSELVGMLALLRGMAMDPRNRSMAAAEEEWLETQALRARETLFQTLDDATRRSEFPPPPERKLRTGRVSTEGSQKKNSGKLGSVEVPTQPKRRSERIAQNANQSIRHLCTRRQRIGFGSNFQADVPDWTSPPSETDVSNYNEDLNTLKWLGTRIWPAEGDDRKNCDLVIGKGKLNYCACSFPGSVVCIRSHVSEARLKLKDDLGQAFFAWGFDGMGEEVSQLWTNEEQMSFDALKRLKNESGYSCFWEVASKYFISRSRRDLINYYFNVYLLRRTSNQSRLTPEHINTDEEDNEHSEDARRMKRIVIKKKAKLMRNHDQDSSGVNSVKSFTLAA >Ma06_p35780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35520423:35525937:1 gene:Ma06_g35780 transcript:Ma06_t35780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSFFDDGVTLDPLLIPTEEDKEEKKEGLGGIFFRRIPVASTAFSTAPEFHQFLPIPSPESESLPRSHLPDASDPISFTADEGGMYDADVAVRQPDERMAGREGDPSPSGSRKTTRSELVGMLALLRGMAMDPRNRSMAAAEEEWLETQALRARETLFQTLDDATRRSEFPPPPERKLRTGRVSTEGSQKKNSGKLGSVEVPTQPKRRSERIAQNANQSIRHLCTRRQRIGFGSNFQADVPDWTSPPSETDVSNYNEDLNTLKWLGTRIWPAEGDDRKNCDLVIGKGKLNYCACSFPGSVVCIRSHVSEARLKLKDDLGQAFFAWGFDGMGEEVSQLWTNEEQMSFDALKRLKNESGYSCFWEVASKYFISRSRRDLINYYFNVYLLRRTSNQSRLTPEHINTDEEDNEHSEDARRMKRIVIKKKAKLMRNHDQDSSGVNSVKSFTLAA >Ma06_p35780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35520423:35525937:1 gene:Ma06_g35780 transcript:Ma06_t35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSFFDDGVTLDPLLIPTEEDKEEKKEGLGGIFFRRIPVASTAFSTAPEFHQFLPIPSPESESLPRSHLPDASDPISFTADEGGMYDADVAVRQPDERMAGREGDPSPSGSRKTTRSELVGMLALLRGMAMDPRNRSMAAAEEEWLETQALRARETLFQTLDDATRRSEFPPPPERKLRTGRVSTEGSQKKNSGKLGSVEVPTQPKRRSERIAQNANQSIRHLCTRRQRIGFGSNFQADVPDWTSPPSETDVSNYNEDLNTLKWLGTRIWPAEGDDRKNCDLVIGKGKLNYCACSFPGSVVCIRSHVSEARLKLKDDLGQAFFAWGFDGMGEEVSQLWTNEEQMSFDALKRLKNESGYSCFWEVASKYFISRSRRDLINYYFNVYLLRRTSNQSRLTPEHINTDEEDNEHSEDARRMKRIVIKKKAKLMRNHDQDSSGVNSVKSFTLAA >Ma02_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14521401:14525123:1 gene:Ma02_g03190 transcript:Ma02_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLTREQIAEFKEAFSLFDKDGNGHITTKELENVLRTRGQNPTEAELEEMINEVDADKSGTIDFPEFLNLMARKMHADSEEELKEAFRVFDKDQNGFISAAELHDVMVNLGEQLTDEEVNEMMREADSNSDGQIDYKEFVKVMIAKRRQEEGNDSRKAETSPRQSKHGDDRPSPSKCGQFCSSCTIL >Ma09_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6172974:6174498:-1 gene:Ma09_g09340 transcript:Ma09_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRCFVPLPPTAEMTTMAAPPIAPSAAYEFAWGPSPVTTGGSEPNLPRITPDFSPPLIAMVAVVGTAFLIVLYARILSRQFRLLRRRWRRWRRRRRLLQSTAAASDLESPPPFGGAASFGSSSDLFLSPYGLDDAAIKALPVSLFSRAKAKQLAVTNRECAVCLLEFEDDDTLRTLPLCAHAFHMDCIDVWLRSHATCPLCRAAVLRHEAIFAPMRAARIRPSLDDILLVDPPPDPGGVAILPDPDPDPEITPAAASPLHTEDGFGPRDFLLKRSYSFGFERSLAAERMVLEASTASPWRYRQHRVGFWSKRWPSPFGGSYSASRAPRVFSFRSYRGAAAAATLAKSPAFFKRRGFFPLSSEPSGRLSTGAGPSSRRSRSMTSPSSLLLRPTPSSSLTFSSSRIRCGDPEALLSPDRLK >Ma05_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16032464:16035571:-1 gene:Ma05_g16300 transcript:Ma05_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEFRLEEVAVRAHPQLLASVSIVCLQRIPVTGRLQVQLLSRELPSSSSGQYIFPMGSFETRSGPKDKVVLVLGATGTGKSRLAVDLAAYFDGEIVNSDKMQVYDGLDVVTNKVTDEERAGIPHHLIGGIPRDAEFTASDFRREAILAVESIVRRGRLPIVAGGSNSYIEAMIEGAGREFRRRYECCFLWVDVQLPVLHAFVAERVDCMVGRGLVQEVRGLFDPGVVDYSRGIRRAIGVPEMDRYLRAEGTETDEEAKARLLEVALDEIKANTCKLTCCQLQKIHRLSTLSGWNVNKVDATEVLRKKGKKEDEAWAAQVACPSIEIVAKFLQQTITEEDQVAEAAAQEAEQFALVTKENRPCLMNETVVLAATTTKAAAALVTAGVDMVKVASTTVAVVGATV >Ma05_p30320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40771371:40771706:1 gene:Ma05_g30320 transcript:Ma05_t30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDYYHGGGTRICPITRLLNPSNSTAQPKALSTIRTVVRDAIAQGFASTTFYISLITLSTDVMDPFSWMRHLPSPERSRGGVSKR >Ma06_p10870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7582299:7594368:1 gene:Ma06_g10870 transcript:Ma06_t10870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEQYNECHNNQFLHESTTKGMSCMAQMPFCSTVNNFHNADVPFSMGHVQVKDGAGAPEAFTDPSIYGDTRLMFDWKFSSLNVSSVPVSPFVSSIDSKIMKGRFIGSNFELTDMISMQHPPPGSGSLLQSYLKAQVHDSQQGHLCQIKQKCMGSQPSLQGPHPPYDPFVQHQFLQKDQYQQQEENKVGNQDFASSHSESFLNGPRLMNSQNLLDVVPIEPLAIHDVIKHEQPPPMFEGIASDVDHLTTIPQRPTRFQAIHNVLFLYEHVRNCQDDGKGCILLQCMNLKRNLHHILHCKDANCTKNCFKYKRLFDHYTECCKSLCSICGPVRMRLKEVSQRNFSNAFTEPETTLPAVPRPDHKESAFKRAKTMHHFDQNGTHHVSLPVMNCSLLTDTGVSQLGQEVSIPVDMKTDSPEPVEKQSVDSNFRDSGEIYDTKIEDTEVKIQEGFGVEPAELAQVVTHSEQENLVQLEFLEADISSQCDITLAAAAKVSETKMDNPKRVVSLLDTFTAEMLREHIRSLEQHISKDKANTSESQGMEHLVDQNFCSLCGMEKLIFEAPPRYCSSCYKQINPKGVYYTMRSFKSTKYGCGAKISFCGKCHGASGESIKVGGEDIRKSDLERRLNYAETDAETEWWVQCDKCKAWQHQICALFNGKRKEALQAEYICPKCYLEELERGKCEPLPQSSILGASDLPKTILSDHIEQWIFQRLKQEREERARILKRSVDEVPGAEGLIIRVVSSVDKKLEVNPNFREVFKEEMYPSHFPYKSKVILLFQKIEGADVCLFGMYVQEYGLDCAFPNQRYVCISYIDSVKYFRPEIKAATGEALRTFVYHEILIGYLNYCKKCGFTSCYIWACPSLRQDYYILYCHPKTQKTPKSDKLREWYQTMIRKAMKEKVILEHTNLYDNFFVHTSECKTKVTAARLPYFDNDFWPGKADILLQEDKNESQRKGTKAVIERALRAAKRDASAGNPKDILLMHQLGEIIRPIKEDFIMIHLQHTCKYCYLPIVSGKQWVCNVCKNFHLCEWCYDKGQNLDMKDRHPVTSKEKHSFRLVESDGLADTDDKDKMMQSKLFDMRTGFLGFCQSEQYQFDTLRRAKHSTMMILDHLHDPTAITTASSCAICHCNMDNDQNWHCMICQDYHLCADCYHKKGASYHKHQLVSHATMADRTLQPKKQRNGKINIQMILDALLHAPKCCAPQCAYTCCLQVKKLFLHSRKCKVRAHGGCISCKKIWLLLRWHVRVCQEFDCHVPRCKDLKDFTRKMRHSRSKESSSKVVSESSISPDQQLPSIFASLEGPL >Ma06_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7582299:7594368:1 gene:Ma06_g10870 transcript:Ma06_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPNVWSLETPSDMKETFPAVFNDPTSSTGDAKITCNLFQSVSFNSHLFDSPVLGDGFSASHRYIPNEHHRVVAGVSLGSAGNLTSWSGGKPEPKTPQHVPPLSRNITINQHFGNQMPPEQYNECHNNQFLHESTTKGMSCMAQMPFCSTVNNFHNADVPFSMGHVQVKDGAGAPEAFTDPSIYGDTRLMFDWKFSSLNVSSVPVSPFVSSIDSKIMKGRFIGSNFELTDMISMQHPPPGSGSLLQSYLKAQVHDSQQGHLCQIKQKCMGSQPSLQGPHPPYDPFVQHQFLQKDQYQQQEENKVGNQDFASSHSESFLNGPRLMNSQNLLDVVPIEPLAIHDVIKHEQPPPMFEGIASDVDHLTTIPQRPTRFQAIHNVLFLYEHVRNCQDDGKGCILLQCMNLKRNLHHILHCKDANCTKNCFKYKRLFDHYTECCKSLCSICGPVRMRLKEVSQRNFSNAFTEPETTLPAVPRPDHKESAFKRAKTMHHFDQNGTHHVSLPVMNCSLLTDTGVSQLGQEVSIPVDMKTDSPEPVEKQSVDSNFRDSGEIYDTKIEDTEVKIQEGFGVEPAELAQVVTHSEQENLVQLEFLEADISSQCDITLAAAAKVSETKMDNPKRVVSLLDTFTAEMLREHIRSLEQHISKDKANTSESQGMEHLVDQNFCSLCGMEKLIFEAPPRYCSSCYKQINPKGVYYTMRSFKSTKYGCGAKISFCGKCHGASGESIKVGGEDIRKSDLERRLNYAETDAETEWWVQCDKCKAWQHQICALFNGKRKEALQAEYICPKCYLEELERGKCEPLPQSSILGASDLPKTILSDHIEQWIFQRLKQEREERARILKRSVDEVPGAEGLIIRVVSSVDKKLEVNPNFREVFKEEMYPSHFPYKSKVILLFQKIEGADVCLFGMYVQEYGLDCAFPNQRYVCISYIDSVKYFRPEIKAATGEALRTFVYHEILIGYLNYCKKCGFTSCYIWACPSLRQDYYILYCHPKTQKTPKSDKLREWYQTMIRKAMKEKVILEHTNLYDNFFVHTSECKTKVTAARLPYFDNDFWPGKADILLQEDKNESQRKGTKAVIERALRAAKRDASAGNPKDILLMHQLGEIIRPIKEDFIMIHLQHTCKYCYLPIVSGKQWVCNVCKNFHLCEWCYDKGQNLDMKDRHPVTSKEKHSFRLVESDGLADTDDKDKMMQSKLFDMRTGFLGFCQSEQYQFDTLRRAKHSTMMILDHLHDPTAITTASSCAICHCNMDNDQNWHCMICQDYHLCADCYHKKGASYHKHQLVSHATMADRTLQPKKQRNGKINIQMILDALLHAPKCCAPQCAYTCCLQVKKLFLHSRKCKVRAHGGCISCKKIWLLLRWHVRVCQEFDCHVPRCKDLKDFTRKMRHSRSKESSSKVVSESSISPDQQLPSIFASLEGPL >Ma08_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36549172:36550944:1 gene:Ma08_g23120 transcript:Ma08_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGRCLGERRVRQLHRALRNGRLTLLCLVMTIVVLRGTIGAGRFGTPEQDLHDIRRLLHSHPHHPHRALTEQQPQHTDSNGAEEEEDPPRDPSKPYSLGPKISDWDEQRADWLRRHPERPNFLGSTKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNLALLDAEMAGFWAKLPLIRSLLLAHPEVEFLWWMDSDAMFTDMAFELPWERYAPFNLVLHGWNEMVYDDRNWIGLNTGSFLLRNCQWSLDLLDVWAPMGPKGKTRTEAGKVLTAFLKDRPVFEADDQSAMVYLLVTQRDRWGDKVYLESAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRKVKRIRNETSNPLELKDELGLLHPAFKATKVTGTAS >Ma04_p33300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32995759:32996400:1 gene:Ma04_g33300 transcript:Ma04_t33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLFLVPKLGSSRPTPGRSWPWICISFVLGRDQIKSLKQLVVAQIEEGKTESFHCSTIVVSYAYVWPCLVPAQGVDRDKTAHLAFAVDCRGRLSLPLPAGYFGSCVGSCYVEVGSGDTAGGDDDGFLAACEATGRTIVRLKEGLFDGAGRWLEKMSYVLANRAVSVAGSPKLKVYGVDFGWGRPEKLEMTSIRTTGAESPVGEDRICVAQE >Ma09_p29750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40117459:40121771:1 gene:Ma09_g29750 transcript:Ma09_t29750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRSSIGTFQDLELRLGLSPLYKGQSSAASCTRGCPEFILIEDDDDDVQMYTPSSGEEIRLDQYTSSLAPTIREDDLELRLGVGASNFYLQSSNGWNDTLEDGYKDPNAWKSGKASSSQYMSNIIEVKLRCAICMDTMKEETTTTCGHVFCKACIISAIRVQKRCPTCREKLSQSNIHRIYLPGSTS >Ma09_p29750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40117474:40121771:1 gene:Ma09_g29750 transcript:Ma09_t29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRSSIGTFQDLELRLGLSPLYKGQSSAASCTRGCPEFILIEDDDDDVQMYTPSSGEEIRLDQYTSSLAPTIREDDLELRLGVGASNFYLQSSNGWNDTLEDGYKDPNAWKSGKASSSQYMSNIIEVKLRCAICMDTMKEETTTTCGHVFCKACIISAIRVQKRCPTCREKLSQSNIHRIYLPGSTS >Ma08_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7307481:7308954:1 gene:Ma08_g10010 transcript:Ma08_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMALSGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGKTMTTVTHHKKSVRAMALHPKERAFASASADNIKKFNLPKGEFLHNML >Ma08_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37696750:37697466:-1 gene:Ma08_g24590 transcript:Ma08_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPTQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKVGEDIAKETAKEWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVVEIARVMRPRSMAKDLAGTVKEILGTCVSVGCTVDGKDPKDLQTEISDGDVEVPLE >Ma09_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35417541:35424146:-1 gene:Ma09_g23590 transcript:Ma09_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRAVMRRNEVLRAHLGMALVQMAYGGYHVLTKSVLNVGMNEVVFCVYRDLVAISILGPFAFLQHRRSIRLQLNRRLLTSFFLLGFTGIFANQLLFLLGLSYTNPTYASAIQPAIPVFTFILSVVLGIETIDLGASEGRMKVVGTLVCVSGAILMVLYQGPAIVGSIVYDMSYHNAVGMKPQPEPIGWLTSGPLGFGLEKWHIGVLCLIGNCFCMAAYFVLQAPVLRKYPASLSLTAYSYFFGALLMVLAGIFNTSDYTEWMLTPPEIIAVLYAGIVASAINYGIMTWSNKILGPSMISLYNPLQPASSTLLSMIFLGSAIYLGSIVGGILIIIGLYLVTWARYKETESESGFICGHHDSASLEDISLMKKQDASSSSSAIP >Ma05_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32418666:32423324:1 gene:Ma05_g20760 transcript:Ma05_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLLANSASNFPRDGPDDDGGDEVLAGLDSASRNGEGEDRFEIEVEKVGEQKNRRRIQSRVRVNAELESVWSVLTDYEGLADFIPSLAVSQLLDKKDKFARLYQVGQQNLALGLKFDAKGVLDCYERDLQIGYDVDEDEKILVGKEFQTTLSYVVELVPKLWLPVRLIEGRLCREVKTNLLCVREEAQRIQRLKGEMLDI >Ma06_p30030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31371568:31379148:-1 gene:Ma06_g30030 transcript:Ma06_t30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRPSLHQPPHATAFPVFIDSSLGTNLAMSVSPDDTVADLKRKIRIEHTLCFPDVGEVSVQALKVKRRGAFYHLSNTMLVRSAFDGSKATWFLHVDVVPTSISKCQGTAEHFENQTMEQLDDSHQILVDPSRNLDEDRLPGRKDISVDDMSNVNEKHAELVGHVDLSEQKPGSCQIECLMNTSCDQFATHNCNVEQSTQVHQLERPEIDVENQVHGSDGRVKEATKLSAIPNSGLSFDQANKEGRENKVPELGDFDGESVPVGTEGKSTKRNDVERNDHFINANSEHVLSGNKMGNQRKANSVEEHTSTYNVGGALGGKATSTMGKLPSEVDTVAGKAILVSPEELYSKLRDGDPNLIEHRTDDKKKSKKRRHSSKSQQTEATSDMRSNDPDMALPQNTKEPYVEHVPLLGKNSSGLGPEIKEGNHVGALVNKHKDLNKQFDAATKQASENAAGEDSLQVDTYSQKIDVLDFSSENMAKGSHINHISDMDFCKNIVEADTHEVPLDDNHKDGITESNFAPSTKEAAPFRNSAEVESQIPTDVRRKKRSRKNLPKTLSGSNDPSHSLECADEGNPKEQHGDHRGIDKESHTRTSNHEDTAKLYSENPSAGNVGTPHRKRRRKSEKVELTNFQTNSYEPVSSLDNVANKQHSKGKLDGQEPQQLVVTTEIKSLPNTAVMDPMCPSIPDDTKSQRKKKRSAKVELQDHESTQQNFAHALVGEVAEEKAKKTLNNHDKELGKESNSLANNGEAAVLGGSTKVDTAICSISQGKSTHRRKRKSGKLYSSHDTSGSQITEVNFEKTSTIISRHPGGASDDVILPNKTEQNASHDNGLNVHSTGSFNNLSNKCEFSCNLAKTDNEENLKGALVSTSANLVKEFPLHMAHRNFDKAIEANESRELPDFDNDKINFVDAFCPSIVQHESVVSAHVSTPVDTEPKKQRKTKKKRKSNKHDSACDLVYPSEMDKHHMVNSGQLICDSGNQDLSDQIGQPTSKGFSNGKAHDDAAKRTTNCDVNAGAATSDFMPPTRLHDSNVEEKIQGNKPDTFRLSSHDEQSKHVYNSKEKASSSKAAAKSPDHHHANASVDLGNETCQPEKNVIVNVGAHAVQSPSKTSRVSHKETMADPVASSDSTEEDTPVQAKQYRLAVRKVPSKNFGEFSNSNKQESSLFTPGAIFNAATSDSSEDEFEFRNREVTELGAFDSSASSSDSDGDLENRKISGPKSAAYGSKDEGSDGDITLSQSLSVSRKGMPLGTILRSSNSYKKAKFLASQSQAEDSESQPVDVVPETQPESD >Ma08_p05560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3783998:3791918:1 gene:Ma08_g05560 transcript:Ma08_t05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMPPALALPPQVGSSLCDSPVEGARRKRSAETEDLPSNPMPASGSGSAADVEQTEVMAPVAAALEEDGDDLEVRTIPGSDEEDPSSIEPEMSVGSSSSVVSDSSNLSCAIDEFSISDSSGEMGTPSSMDAGTVHGNAVPGPASWELGVNPLPVSLNVTTPSVIELGNPESSRSGDGSHLRSLFLMERLPLWGFITICGRRPEMEDAVVVVPYFFEVPLWMLIGDQSMDGLDPDVIRTPLHFFGVYDGHGGAQVANYCRERLHLVLMEQLENLAKHLGGTSRSDWKKHWEKAFVDCFQKVDDQVGGKESRGNMGSTAEAQSEGDILCRNVLIEAVAPETVGSTAVVAVVCPSHIIIANCGDSRAVLCRGKQPIPLSVDHKPNREDEYARIEAQGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPEPEVTIVPRAREDECLILASDGLWDVMSNEEVCDVARRRILLWHKKNGPVSAATQRGEGTDPAAQAAAECLSRLAVQKGSKDNITIIVVDLKAQRKFKSKSEHGRLDFTKEPCT >Ma08_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3783998:3792979:1 gene:Ma08_g05560 transcript:Ma08_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMPPALALPPQVGSSLCDSPVEGARRKRSAETEDLPSNPMPASGSGSAADVEQTEVMAPVAAALEEDGDDLEVRTIPGSDEEDPSSIEPEMSVGSSSSVVSDSSNLSCAIDEFSISDSSGEMGTPSSMDAGTVHGNAVPGPASWELGVNPLPVSLNVTTPSVIELGNPESSRSGDGSHLRSLFLMERLPLWGFITICGRRPEMEDAVVVVPYFFEVPLWMLIGDQSMDGLDPDVIRTPLHFFGVYDGHGGAQVANYCRERLHLVLMEQLENLAKHLGGTSRSDWKKHWEKAFVDCFQKVDDQVGGKESRGNMGSTAEAQSEGDILCRNVLIEAVAPETVGSTAVVAVVCPSHIIIANCGDSRAVLCRGKQPIPLSVDHKPNREDEYARIEAQGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPEPEVTIVPRAREDECLILASDGLWDVMSNEEVCDVARRRILLWHKKNGPVSAATQRGEGTDPAAQAAAECLSRLAVQKGSKDNITIIVVDLKAQRKFKSKSEHGGQNLVNACS >Ma01_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19621025:19630455:-1 gene:Ma01_g20830 transcript:Ma01_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIVARLEAAVARLEALAAAGSLAAPAGVPDAALAVDPAVVAFDELVERSLGRLSAAADKIGGKVQEATKILAEAFAVLKELLVKAKQCQKPDLAGLQEFLKPLNEVILKASALTEGRRSEFFNHLKTVADSLTALAWVAYSGKDCGMNMPTSHVEESWQMAEFYNNKILVEYRNKDANHVEWAKALKELYLPSLWDYVKSFYPLGLTWGSAGSAVVCSSASAPSVAKDPPPQAPVPPPPPSASLFTSEAASSRPKEGISAVFQEIGSSKSVTAGLRKVTDDMKTKNRADRSSVVAVTEKGSRANSFSFRKEGPPKLELQMGRKWAVENQIGKKNLVIDDCDSKQTVYAYGCKDSVLQVKGKVNNITVDKCTKMGIVFMDVVAACEIVNCNGVEVQCQGSAPTISVDNTSGCQLYLSKDSLGASITTAKSSEINVMVPGAGPESDWVEHALPQQFVHTFKNSQFTTSAVSHSGG >Ma03_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17704688:17711860:-1 gene:Ma03_g16100 transcript:Ma03_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNGEISYLRNEEENENDSVALISLPPPPPPTLEKLVVGYALTSKKVKSFLQPKLEGLAKKKGIVFVAIDQSQPLVDQGPFDIVLHKLTGKEWQQILQDYWEKHPEVTVLDPPAAIQHLYNRQSMLQDVANLNLSDCYGKVGTPRQLVITKDPSSIPDAVSKARLTLPLVAKPLVVDGSAKSHELSLAYDEFSLSKLDPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVSKRELLNNTGVFRFPRVSCAAASADDADLDPSIAELPPRPLLEKLARELRLRLGLRLFNIDIIREHGTRDRFYVIDINYFPGYSKMPGYEHIFTDFLLSVVQSKFKRLLSSN >Ma06_p30340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31638122:31641621:-1 gene:Ma06_g30340 transcript:Ma06_t30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLNPALVGGSFVIKCIEEQYPIDPLQKHIVQLEKSKADKKRADKAAKPQSKRTHAGGCIYVPRITSMPDKASTEHTLRDFLIHLTGSMSMLPIVIIRRRRLSDHDFFNLLACDHQSASRWPTLLFLECCSFCSWIIDYQCCGGSVFCDLSFKWLFPKNF >Ma04_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10849266:10852721:1 gene:Ma04_g14330 transcript:Ma04_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQGSPQSSPDAQGSRQRPMDASQGLRQPLMDTPQGSRQPRQQQYQPRRPDPPSGGDGLFNAVVTIFLFVLVFLVSSSTTGSSLSVLHQVPEGHVGVYWRGGALLEATTDPGFHWKLPLITQFEPIQVTIQTDQVRDIPCGTKGGVMISFDKIEVVNRLRKSFVYQTLHEYGVFYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDEKVKDSIQADCTRYAPGIEIISVRVTKPNIPVSIKRNFELMEEERTKALIAIEKQKVAEKEAETQKMIALSEAEKNAQVSQIQMEQKLMEKNSAKRQEEIENEMYIAREKSQADANFYRVMKEAEANRLKLTPEFLELKFIEAIANNSKIFFGEKVPNMVMDQRLLGNYLDGISKKGRGDV >Ma04_p14330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10849266:10852721:1 gene:Ma04_g14330 transcript:Ma04_t14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQGSPQSSPDAQGSRQRPMDASQGLRQPLMDTPQGSRQPRQQQYQPRRPDPPSGGDGLFNAVVTIFLFVLVVVFLVSSSTTGSSLSVLHQVPEGHVGVYWRGGALLEATTDPGFHWKLPLITQFEPIQVTIQTDQVRDIPCGTKGGVMISFDKIEVVNRLRKSFVYQTLHEYGVFYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQALIAIEKQKVAEKEAETQKMIALSEAEKNAQVSQIQMEQKLMEKNSAKRQEEIENEMYIAREKSQADANFYRVMKEAEANRLKLTPEFLELKFIEAIANNSKIFFGEKVPNMVMDQRLLGNYLDGISKKGRGDV >Ma04_p14330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10849266:10852721:1 gene:Ma04_g14330 transcript:Ma04_t14330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQGSPQSSPDAQGSRQRPMDASQGLRQPLMDTPQGSRQPRQQQYQPRRPDPPSGGDGLFNAVVTIFLFVLVVVFLVSSSTTGSSLSVLHQVPEGHVGVYWRGGALLEATTDPGFHWKLPLITQFEPIQVTIQTDQVRDIPCGTKGGVMISFDKIEVVNRLRKSFVYQTLHEYGVFYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDEKVKDSIQADCTRYAPGIEIISVRVTKPNIPVSIKRNFELMEEERTKALIAIEKQKVAEKEAETQKMIALSEAEKNAQVSQIQMEQKLMEKNSAKRQEEIENEMYIAREKSQADANFYRVMKEAEANRLKLTPEFLELKFIEAIANNSKIFFGEKVPNMVMDQRLLGNYLDGISKKGRGDV >Ma06_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8689152:8694335:-1 gene:Ma06_g12540 transcript:Ma06_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MDRNGSVKEGGWRAEHAIAGNSRVMEALRELIIYPFLYANESRKLGLKWPRGLLLYGPPGTGKTSLVQAVVRECDAHLTMINPYSVHKSHAGESEKFLREAFSQAYSYASSGKPSVIFIDEIDAICPRRSSRREQESRIVGQLLTLMDGSKSSSRSHLHIVVVASTNRVDGIDPALRRPGRFDSEIEVTVPTIEERLQILELYSKNLQLDENVDLQSIAASCNGYVGADLEALCREAARFAYRRLDSRDKDALILKMEDWENARAEVGPSMTRGITKEVSKVSWDDIGGLKDLKKKLQQAVEWPIKHADSFARLGISPVRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSKYVGEGEALLRRTFQKARLAAPSIVFFDEADAIAPKRGHGGSSGGNVSVGERLLSTLLTEMDGLELATGIIVLAATNRPHVLDAALMRPGRFDLVLYVPPPDAEGRHEILGIHTRHMKLGEDVDLRKVAESTDHFTGADLEGLCREAGMVALREDMSADSVCNRHFQTARDSLRPSLTKSMIEEYASARIRR >Ma02_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17433862:17434293:-1 gene:Ma02_g06430 transcript:Ma02_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVTRHHVKDSVLDGKEPVMAAYGMSSFEYQGTDPRFNKVFNEAMQSHSTIMISRLLRTYGGFDDVEVLVDVGGGIGTTLGMITAKHPRIKGINFDLSHVISEAQPLPGSLLIHLLSEKESCSLLDLGLRANIVCCCRCAAR >Ma07_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31774608:31776680:1 gene:Ma07_g24390 transcript:Ma07_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCWLLVITFVLSMGFHCELAGAHGRRQLLQSLEPPDDGVCTAVVSPQGYECQEYEVKTQDGYILTMHRIPQGRGGGSAGKRQPVLLQHGVLMDGMTWLLNPPQQSLAFVLADNGFDVWITHGRGTRWSRRHESLDTSNPAYWAWSWDELASFDLPATVGFVFRKTGQKLHYVGHSMGTLTALSAFSEGKLVDKIKSAALLTPVAYLTYMTTPMGRAAGSAFSGEMLGALGVGEFDPKGAVGTNYLEFVCAMPGVNCYDLMASFTGPNCCLNYSTVDMYLKYELQPTSVRTLVHFLQTIRSGVITKYDHGSSMANMVAYGQSSPPEYHMPNIPHHLPLLLSYGGGDRLSDVKDVQLLLKDL >Ma11_p06550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5321035:5325717:-1 gene:Ma11_g06550 transcript:Ma11_t06550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSKYAHSPAHVAVARRDHAGLRRMVAALPRLPKAGEVTTEEESLAGELTADAVSAVIDRRDVPHRETPLHLAVRMRDPISAEILMSAGADWSLQNEHGWSALQEAVCTREDAIAMIIARHYQPLAWAKWCRRLPRIVSSIERILDFYMEITFHFESSVIPFISRIAPSDTYRIWKRGPNLRADMTLAGFDGFRIQRSDQTFLFLGQGAPAEDVHPPLPPGSLIVLAHKEKEITNALEGAGAKPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERAEMVGNWKTKVYDMLNVMVSVKSRRVPGAMTDEELFTVDNDERIANGGDLDGELDDVLTPEERMQLDSALRMDNSQGLDESEGNSGALEGVENSESNGVTKERTSWFGWSSKRTSKNTGGDDADDVKNKHVKLCPENGHHKSESAKEMGDIKKGKEKGSKKKTGNESNKHESEFKKGVRPVLWLTPDFPLKTDELLPLLDILANKVKAVRRLRELLTTKLPQGTFPVKLAIPIVPTIRVLITFTKFEELQQSDEFSTPLSSPTHFQESKAKETEGSGSWYSWVKRSRGGQSSDSSEGRSCKDEIDPFHIPSDYTWIDATEKKRRMKAKKGKSKRGTNRKQSTRGSEDQQLLDGFE >Ma11_p06550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5321035:5325717:-1 gene:Ma11_g06550 transcript:Ma11_t06550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSKYAHSPAHVAVARRDHAGLRRMVAALPRLPKAGEVTTEEESLAGELTADAVSAVIDRRDVPHRETPLHLAVRMRDPISAEILMSAGADWSLQNEHGWSALQEAVCTREDAIAMIIARHYQPLAWAKWCRRLPRIVSSIERILDFYMEITFHFESSVIPFISRIAPSDTYRIWKRGPNLRADMTLAGFDGFRIQRSDQTFLFLGQGAPAEDVHPPLPPGSLIVLAHKEKEITNALEGAGAKPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERAEMVGNWKTKVYDMLNVMVSVKSRRVPGAMTDEELFTVDNDERIANGGDLDGELDDVLTPEERMQLDSALRMDNSQGLDESEGNSGALEGVENSESNGVTKERTSWFGWSSKRTSKNTGGDDADDVKNKHVKLCPENGHHKSESAKEMGDIKKGKEKGSKKKTGNESNKHESEFKKGVRPVLWLTPDFPLKTDELLPLLDILANKVKAVRRLRELLTTKLPQGTFPVKLAIPIVPTIRVLITFTKFEELQQSDEFSTPLSSPTHFQESKAKETEGSGSWYSWVKRSRGGQSSDSSEGRSCKDEIDPFHIPSDYTWIDATEKKRRMKAKKGKSKRGTNRKQSTRGSEDQQLLDGFE >Ma11_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5321035:5325717:-1 gene:Ma11_g06550 transcript:Ma11_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSKYAHSPAHVAVARRDHAGLRRMVAALPRLPKAGEVTTEEESLAGELTADAVSAVIDRRDVPHRETPLHLAVRMRDPISAEILMSAGADWSLQNEHGWSALQEAVCTREDAIAMIIARHYQPLAWAKWCRRLPRIVSSIERILDFYMEITFHFESSVIPFISRIAPSDTYRIWKRGPNLRADMTLAGFDGFRIQRSDQTFLFLGQGAPAEDVHPPLPPGSLIVLAHKEKEITNALEGAGAKPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERAEMVGNWKTKVYDMLNVMVSVKSRRVPGAMTDEELFTVDNDERIANGGDLDGELDDVLTPEERMQLDSALRMDNSQGLDESEGNSGALEGVENSESNGVTKERTSWFGWSSKRTSKNTGGDDADDVKNKHVKLCPENGHHKSESAKEMGDIKKGKEKGSKKKTGNESNKHESEFKKGVRPVLWLTPDFPLKTDELLPLLDILANKVKAVRRLRELLTTKLPQGTFPVKLAIPIVPTIRVLITFTKFEELQQSDEFSTPLSSPTHFQESKAKETEGSGSWYSWVKRSRGGQSSDSSEGRSCKDEIDPFHIPSDYTWIDATEKKRRMKAKKGKSKRGTNRKQSTRGSEDQQLLDGFE >Ma06_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:446595:453158:1 gene:Ma06_g00600 transcript:Ma06_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSEQATAAGAGASQPSDQGSMDPFLHLLRILPYSFLRPPRTRLKLPSSLALPSPMTVFSLVLLTYFAVVSGLVYDVIVEPPGIGSTQDHFTGTVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGVGLILMDLALDRNRARSVRISFASFGISAVVIAYLMSMLFIRIKIPAYLS >Ma04_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2482818:2482928:1 gene:Ma04_g03130 transcript:Ma04_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERVKEGALRTLGLFQVLPRLVVFDLDYTLWSFY >Ma03_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24474397:24477901:1 gene:Ma03_g19010 transcript:Ma03_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVDAAGEPIPTSAVLMAAAKHITQRCRAENVAFIKCKKKDANPEKCLDKGRQVTSCVLNLLKELHQKCPKEMDAYAGCMNYYTNEFDLCRKEQEAFEKASLLSK >Ma03_p19010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24474397:24477901:1 gene:Ma03_g19010 transcript:Ma03_t19010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVDAAGEPIPTSAVLMAAAKHITQRCRAENVAFIKCKKKDANPEKCLDKGRQVTSCVLNLLKELHQKCPKEMDAYAGCMNYYTNEFDLCRKEQEAFEKASLLSK >Ma04_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7353335:7354097:1 gene:Ma04_g10310 transcript:Ma04_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGGLEQRRRRRRWFCCVLCILILVLLVVTAVVLALTVFKIREPTGELVSVTVSGVSPRVDLPALRVELNVTLDLAVRVHNRNYAAFTHAPGGRTSLLYRGTQVGEADVAPGRIPSRGSELLQLALAVEVDRIVAELGSLLSDVVAGAVAFDTVTRLPGRVTFMGFIKRHAVATSDCHVVIGVSDLSVRSQDCTYKTNL >Ma09_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7989905:7998859:-1 gene:Ma09_g11760 transcript:Ma09_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRILAFHGRLLISQENKKAGYFFQSNQRIDFLASRSHGSLRKKGLPFLLFRVFSQFRFPVGGNNVLGRHKGMGHVNGSSSSYTKSLYTMSRSNYSATHHAKLAWERLSLICSYDGPALSMISKLACAVSLSFTRFQVVPGFLAFSVGELAWAQKAWADGNFYSSRNGLLMQAEARSLYFSSVIFSIIEGFILVLRAAYLSILFLPAILLAPFADSFGLEFRKRWLRVVHRTLERAGPAFIKWGQWAATRPDLFPKDLCLELTKLHTKAPAHSFAYSKTTIEKAFGRKLSEIFEYFEEKPVASGSIAQVHRASLRFAYPGQQLKKPIEVAVKVRHPGVGDSIRRDFMIINLVARISSLTPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRDVSFPRPLYPLVHPSVLVETFEQGESVSRYIDELEGNTQIKRDLAHIGTHALLKMLLVDNFIHADMHPGNILVRVPHTKRSRKKIFRPKPHVIFLDVGMTVELSKSDRANLLEFFKAVSLRDGRTAAECTLKLSQHQGCPNPKAFIEELERTFTFWGTREGDIFHPVECMHQLLEQVRRHRVNVDGNVCTVLVTILVLEGWQRKLDPDFDIMNTLKTLLLKEDWAQPIDYYFS >Ma09_p11760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7989903:7998859:-1 gene:Ma09_g11760 transcript:Ma09_t11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRILAFHGRLLISQENKKAGYFFQSNQRIDFLASRSHGSLRKKGLPFLLFRVFSQFRFPVGGNNVLGRHKGMGHVNGSSSSYTKSLYTMSRSNYSATHHAKLAWERLSLICSYDGPALSMISKLACAVSLSFTRFQVVPGFLAFSVGELAWAQKAWADGNFYSSRNGLLMQAEARSLYFSSVIFSIIEGFILVLRAAYLSILFLPAILLAPFADSFGLEFRKRWLRVVHRTLERAGPAFIKWGQWAATRPDLFPKDLCLELTKLHTKAPAHSFAYSKTTIEKAFGRKLSEIFEYFEEKPVASGSIAQVHRASLRFAYPGQQLKKPIEVAVKVRHPGVGDSIRRDFMIINLVARISSLTPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRDVSFPRPLYPLVHPSVLVETFEQGESVSRYIDELEGNTQIKRDLAHIGTHALLKMLLVDNFIHADMHPGNILVRVPHTKRSRKKIFRPKPHVIFLDVGMTVELSKSDRANLLEFFKAVSLRDGRTAAECTLKLSQHQGCPNPKAFIEELERTFTFWGTREGDIFHPVECMHQLLEQVRRHRVNVDGNVCTVLVTILVLEGWQRKLDPDFDIMNTLKTLLLKEDWAQPIDYYFS >Ma10_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31640326:31650879:1 gene:Ma10_g22180 transcript:Ma10_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACKSSSRFHCLLKVAFPPNAPLSSPLSRLQDCPRGQSLSLIWKMASTKDRHAELDRRKKRKSIMHVWRPISTQSASNEELHQNKPSGVSDKEITTQEDSVMGISMTLTTSARAVDHGNDFETENVSTCHDLSTTSNSGGALEQGVMEGAVQVMNPTTGAELGSGDERHSISIEVGASLIRFIKGKGGSMQKQIEGELGVKIVFPSSKEDSNITIEGTVQSVAKASEKIASILEEAVESPKLDYSHFISLPLALHPELVEKLNCFQNSIIGDSTFSDDDDLEKESNDGTTDDEDNQSERQKVAVRLEVQDEKECVKVKINAMDYKSTTRASSLTDMGIDRSIFIKPKTFHLTVLMLKLWNNERIATAAEVLQRISSKVQDALENRPVYIRLQGLMCMRGSPAKARVVYAPVKEIGGEGRLSRACQVIIDAYVEAGLVLEKDAQQALKLHATLMNARHRKRKGRRTKRHDSFDARHIFRVYGSEDWGEYHIPEVHLSQRFKFDESGYYHCCTSIPLPESMQID >Ma10_p22180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31640268:31650879:1 gene:Ma10_g22180 transcript:Ma10_t22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIACKSSSRFHCLLKVAFPPNAPLSSPLSRLQDCPRGQSLSLIWKMASTKDRHAELDRRKKRKSIMHVWRPISTQSASNEVDHSRSDVQCQLPELHQNKPSGVSDKEITTQEDSVMGISMTLTTSARAVDHGNDFETENVSTCHDLSTTSNSGGALEQGVMEGAVQVMNPTTGAELGSGDERHSISIEVGASLIRFIKGKGGSMQKQIEGELGVKIVFPSSKEDSNITIEGTVQSVAKASEKIASILEEAVESPKLDYSHFISLPLALHPELVEKLNCFQNSIIGDSTFSDDDDLEKESNDGTTDDEDNQSERQKVAVRLEVQDEKECVKVKINAMDYKSTTRASSLTDMGIDRSIFIKPKTFHLTVLMLKLWNNERIATAAEVLQRISSKVQDALENRPVYIRLQGLMCMRGSPAKARVVYAPVKEIGGEGRLSRACQVIIDAYVEAGLVLEKDAQQALKLHATLMNARHRKRKGRRTKRHDSFDARHIFRVYGSEDWGEYHIPEVHLSQRFKFDESGYYHCCTSIPLPESMQID >Ma05_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1460340:1462662:-1 gene:Ma05_g02290 transcript:Ma05_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPPPLEPSADADKLSYEIFSILESKFLFGYDDPNLLLSSSASSAAASAPRPAGRVRILSIDGCGRPSDALLAAVSLARLESSLRRRSCDPSVRVADFFDVAAGSGAGGVLAAMLFTRGPDGRPLYAADEALRFLLSQAGRSSSGHFAPARRGPLGWLFRRRPGAVLARVFGDATLRDTVKPVLAPCYDLATGAPFLFSRADAVEADGYDFRIREVCAATCADSALGAAPVEMRSTDGRTWIAAVGGGVTMANPAAAAITHVLNNKREFPFAAGVEDLMVLSLGSTSGGSLGAEGRRRRQVPSAAELVRIAGDGVADMVDQAIAMAFGPNGITNYVRIQANGFGSGNYTPKSSNATKLLSSLEETLSQKNVESLLFRGKKMSDQSNGEKIEWFASELAKEDERRKKSRIPVVVLKQVVSPRTSSTAASIVIDGDREKT >Ma03_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28596112:28598209:1 gene:Ma03_g24320 transcript:Ma03_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQWHALALGLFASIIAPFGGFFASGLKRAFKIKDFGDTIPGHGGFTDRMDCQMMMAVFAYIYHQSFVVSQGFPVEMILDQILNHLTDEEQHDLHFLLGRILHERQLEQQ >Ma04_p22710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24986402:24991116:-1 gene:Ma04_g22710 transcript:Ma04_t22710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARGLAFSSSPVSSSTKRVFIDLSSALLRSSFRGWEKSFSALVPSGPYKPQKGEKISEQERRALVESFVDKYRASNAGRFPPVSHVRQEIGGSYYIIKQLVQEMKYNKRSSLNGGAHHLTKAEDVDQSSSAKKVPFTSAVDNMLKTDVGDKQDESSVSLKLDIGVDAMQSPSGETIGSRTEKSANDIAHDASFSKVVEHNRGKDEVLETEEHLRDHPRSTEQSDSSARTTDLWGNLRLLADGIVSFWRKM >Ma04_p22710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24986350:24991116:-1 gene:Ma04_g22710 transcript:Ma04_t22710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARGLAFSSSPVSSSTKRVFIDLSSALLRSSFRGWEKSFSALVPSGPYKPQKGEKISEQERRALVESFVDKYRASNAGRFPPVSHVRQEIGGSYYIIKQLVQEMKYNKRSSLNGGAHHLTKAEDVDQSSSAKKVPFTSAVDNMLKTDVGDKDESSVSLKLDIGVDAMQSPSGETIGSRTEKSANDIAHDASFSKVVEHNRGKDEVLETEEHLRDHPRSTEQSDSSARTTDLWGNLRLLADGIVSFWRKM >Ma04_p22710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24986402:24991109:-1 gene:Ma04_g22710 transcript:Ma04_t22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARGLAFSSSPVSSSTKRVFIDLSSALLRSSFRGWEKSFSALVPSGPYKPQKGEKISEQERRALVESFVDKYRASNAGRFPPVSHVRQEIGGSYYIIKQLVQEMKYNKRSSLNGGAHHLTKAEDVDQSSSAKKVPFTSAVDNMLKTDVGDKQDESSVSLKLDIGVDAMQSPSGETIGSRTEKSANDIAHDASFSKVVEHNRGKDEVLETEEHLRDHPRSTEQSDSSARTTDLWGNLRLLADGIVSFWRKM >Ma04_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24986402:24991109:-1 gene:Ma04_g22710 transcript:Ma04_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARGLAFSSSPVSSSTKRVFIDLSSALLRSSFRGWEKSFSALVPSGPYKPQKGEKISEQERRALVESFVDKYRASNAGRFPPVSHVRQEIGGSYYIIKQLVQEMKYNKRSSLNGGAHHLTKAEDVDQSSSAKKVPFTSAVDNMLKTDVGDKQDESSVSLKLDIGVDAMQSPSGETIGSRTEKSANDIAHDASFSKVVEHNRGKDEVLETEEHLRDHPRSTEQSDSSARTTDLWGNLRLLADGIVSFWRKM >Ma02_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27949404:27952622:-1 gene:Ma02_g22680 transcript:Ma02_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRVHMERMSLGIAVTFLLDKMDYQDSSHRNSVSAYKEWGLRQQTSQCCPTYMCVLLVGFVDTGSHSFSSNKIIIAGHHVIWSVMPLYCYGLSFRLVHVEYLPSLCN >Ma05_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8922126:8923789:-1 gene:Ma05_g12330 transcript:Ma05_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVEEAHAVPGVVSVLSAILARVAERNDAAGRPVSPRHLASAFRGLARPAISVRGYLERIFRYAGCSPACYVVAYIYLDRFARRHPAASFDSLNVHRFLITSVLTAVKFMDDICYNNAYFAKVGGISLMEMNHLEIDFLFSIGFELNVTPAIFSSYCSILQRELYLQSPPPPPPSTNLHCCLTEDGSSSRQQKQGAVQLIFFGT >Ma04_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9276127:9278491:1 gene:Ma04_g12310 transcript:Ma04_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSASATRRLPRLLKTQDPFRGPPRARARAFNFLVSEHTAKWLQVLDSIRSWKFPHSFAELDVDVRLGVLLVQLINEVPPVKFEGRIVACEGDSNPALGHPIEFICLDLEAPAVCKHCGFRYVQDHHH >Ma04_p35310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34144991:34146238:-1 gene:Ma04_g35310 transcript:Ma04_t35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD18 [Source:Projected from Arabidopsis thaliana (AT2G45420) UniProtKB/TrEMBL;Acc:A0A178VVX7] MDSNTYSSQLGGGSGGRCAACKTMKRKCVKGCIFAPYFDAERGAARFAAVHKLFGASNVSKLLLQIPIDKRVDAIATLCYEAQARLRDPVYGCVAHIFALQQQVVNLRAELSILQTQLEALQELPLPPVPTFPSPTPFSSILPTTWGLSDVEAPLVQPSRQQHQLWTDGTHLLAISGGGDGVVANCSYAQENLLTGRPPSSSPSTADLSTFVAPDLVQPASLHQQQHQLWSFRTNLPDGMNYEENFLSGTDRLPPTILPTTGDRTARQHEPQQLWADGTSPSDGSGGDDGEWWW >Ma10_p16390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28117685:28122172:1 gene:Ma10_g16390 transcript:Ma10_t16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENTIVTVDEKDHVHLVKESQPEDILCPEMEMDENENGVIGCADGSAGFEGEMGEESNVIFSREAPILTKGSLISKGHCCGSKVRSKSSELMESEIQRKDKNKQEKKLSRQERIELGRLFQGAVSSQDLELAENLILLADPLTLNDMLCIALDSIWFLTTRQELNGITGLIKKIVANGANDFTRAALRTSFLASCVSACQSKTMSLADTVGIMAHRLHERLQECHGDEVLKAEAGAKVQKFTEWALKCIGVHSRCQENKGRRSHSTILEVQLQLSAFKNFLDLAGNNLTGKDFTEAFDAACFPLTLFSTSGISATVIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDIDVDLALGFASHYCKIGTMECLVEEGNAAAFLGPLMRAAERGCMQVVQWFVDRGCRDMELCLALTAAASSSQVGIASYLLPHIPQHVLAALSIEVLKAAGERSSGSLDGVAFLLRNNFLGDPAATYAVADSIARSSDEAVAPNLRAFLKEHWSEAAYAEGLSYGQNHYVNFMRILRRGGSPICLKDLPPPLVTAIAYLPLYRECQEKGGQLLPQKLRGQLVEAASRVGGRPVEKNSQARELLAILEQHLPTFFLQLPNIGSTLL >Ma10_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28117703:28122172:1 gene:Ma10_g16390 transcript:Ma10_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENTIVTVDEKDHVHLVKESQPEDILCPEMEMDENENGVIGCADGSAGFEGEMGEESNVIFSREAPILTKGSLISKGHCCGSKVRSKSSELMESEIQRKDKNKQEKKLSRQERIELGRLFQGAVSSQDLELAENLILLADPLTLNDMLCIALDSIWFLTTRQELNGITGLIKKIVANGANDFTRAALRTSFLASCVSACQSKTMSLADTVGIMAHRLHERLQECHGDEVLKAEAGAKVQKFTEWALKCIGVHSRCQENKGRRSHSTILEVQLQLSAFKNFLDLAGNNLTGKDFTEAFDAACFPLTLFSTSGISATVIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDIDVDLALGFASHYCKIGTMECLVEEGNAAAFLGPLMRAAERGCMQVVQWFVDRGCRDMELCLALTAAASSSQVGIASYLLPHIPQHVLAALSIEVLKAAGERSSGSLDGVAFLLRNNFLGDPAATYAVADSIARSSDEAVAPNLRAFLKEHWSEAAYAEGLSYGQNHYVNFMRILRRGGSPICLKDLPPPLVTAIAYLPLYRECQEKGGQLLPQKLRGQLVEAASRVGGRPVEKNSQARELLAILEQHLPTFFLQLPNIGSTLL >Ma07_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27413718:27415222:1 gene:Ma07_g19400 transcript:Ma07_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDLPPKTGTSFWRTSFHGVNALSGFGILSIPYAVSQGGWLSMILLLTIAVVCCYTGILLQRCMDSNSLVKTYPDIGEIALGHKGRILVSVFLYLELYLVATEFLILEGDNMEKLFPRKSFVIAGLKIGGKQAFILLGAFVILPTTWLRSLDLLSYVSLGGVLASLVVVASVLWAGAVDGVGFHERGVLLNWTGIPTAVSLYAFCYSGHAVFPTIYVPMKDRRMFPMVLFISFTLCTLNYSLMATVGYLMYGETLKSQITLNLQAGKLSSKIAICTTLITPFVKYALLVTPIARAIEDRLHVSKHWSISLVIRTGIVITTVAVALSVPFFGDIVALTGSFLSSTATMVLPCVCYLKIFKNSRKWSYELLCIVAIIVAGSVVAVTGTYASLKQIIQHL >Ma09_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6329516:6332065:1 gene:Ma09_g09620 transcript:Ma09_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVASLSVAAAAMGGRYLIQAWQAFKARPVVPRVRRFYPGGFEQQMTRREAALILGVREHAPLDKIKEAHRRAMVANHPDSGGSHYLASKINEAKDVFVGKLRGGSSMF >Ma08_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8429637:8432014:1 gene:Ma08_g11410 transcript:Ma08_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDPSGTLVSWNESSDLCQWRRVVCNSRSRVSILDLQGLGLTGSVGPYLGNLSYLRFLYLQDNQLSGELPHQLGGLLHLEVLNASSNLIGGAIPANLSRCSNLTTLDLSQNAISGSIPSDLELLSKLQVLKLGKNQLTGTIPSAIGNLSSLTTLNLGTNSLGGPIPGDLGRLHALKVLQIAINDLAGQVPPTLYNLSSLVFLDFASNDLFGEIPGDVGYRLPNLVDFHFCFNRFTGLIPPSLHNVTSIQSLRFSHNFLHGPIPPGLGNLRNLVMYNIGFNQIVSSGADGLEFITSLTNSTRLEHLAIDENLLEGVIPESVGNLSGRLYKFYLGANKIFGSIPASIGQLSSLALLNMSHNSISGGIPPEVGQLRQLKMLGLADNRLSGEIPAAIGNLTMLTVLQLCGNQLEGSIPSTLGQLQQLQSVDASSNKLEGSIPRELFTLSTLTSLLNLSKNSLSGPLPEEISDLVNVVAIDLSGNMLSGNITPSIGNCRSLQILSMSNNSFSGPIPDAIGDLKGLRSIDLSLNQLSGPIPESLGELEGLEFLNLSYNHLEGVVPSDGVFRNLSAVHLEGNSKLCRSSACTNTRNRSKLPLFSIVIVTAFIVFLMASTICVFFVKTRTRAAKISTMTDSTRGQHPLISYEELHRATESFHPRNLVGTGSFGSVYKGVLRDGMQVAVKVLNLAAGGVLKSFVAECDALRNARHRNLVKLITVCLSLDPRNDEFRALVYEFMGNGSLEEWIRGKRRHEDGSGLSLVERLDAAI >Ma04_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26877410:26888650:1 gene:Ma04_g25010 transcript:Ma04_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKTVAIDDDEYSFPEDLQQQEQQPLPTQDTGSEIRTAANSRKGKKGGKNQRDVAADDQPAEDWEKSSSAGAAEPSREDDDDAVTLVFSGKKKSSKSKKSSAVTSGFASLNAFGEDADDEEPDSKSRSKVKSEVDDRVDDEMDESRGLDVELGRQGSLEDADSKKQQKKKKKKGGRIAQEEDFDQLLAELGEAPATAQTLTPSAVLDEMDGNGDLDVELSHQGSLADPDLKKQNKKKKKKSGRTAQEEDDLDKLLAELGEAPPLASASTSSPPTLAEEEVEGDEVKDADLESDRQVNGAEEAVSKKQQKKKKKKSGRTAQEEEALDKILAELGEEQLPPPPSAPPHQSALVVQESASAPVEQPEAEDRDGEAEGESAAAKKKKKKKEKEKEKKAAAAAAAAVVEVKEEKVEVKGKVPDKKLPKHVREMQEALARRKEAEERKKREEEERLRKEEEERKRLEELERLAEEAKRRKKEKEKEKLLKKKQEGKLLTAKQKDEQRRLEAMRKQFLAQSEVPIGDSGVVIKKRPKYETKKSKLTQSKVVETEKVMENEHVVDEPRTEQAVQDVMVEEESLSQVGDSDDKTEAEQEPEEVKAVDEDEDDEEWDAKSWDDLDVTLPATNPFAEEDQDIMTKPKGTIEHVVSFPVESQTNSTAPAKPAVKKVADPRTSSKKNDANNKEDEHESECATNVKRGKEALIKQESTAVEDKSKKSGPDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFTNLRSRGSSLCDIAILVVDIMHGLEPQTIESINLLKSRNAEFIVALNKVDRLYGWKSCPNAPIVKALRQQSNDVKNEFNMRLTQIITQFKEQGLNTALYYKNKEMGETFNIVPTSAISGEGIADLLLLLVQWAQKTMEEKLTYVDEVQCTVLEVKVIEGLGTTIDVVLVNGALHEGDQIVVCGMQGPIVTNIRALLTPHPMKELRVKGSYLHHKELKAAQGVKISAQGLEHAIAGTSLYVAKPEDDLEDLKKTVMQDVEKVMSRIDKSGEGVYVQASTLGSLEALTEFLRSPAVSIPFCDFSIGPVHKKDVMKASVMLERKKEFATILAFDVKVMPDARELADETGVRIFVADIIYHLFDQFKAYIDNLREEKKKESAEEAVFPCVLKIMPNCIFNKKDPIVLGVDVLEGILKIGTPICIPSREFIDIGKIASIEINHKQVDVATKGQKVAIKIVASSPEEQQKMYGRHFDIDDELVSHISRRSIDILKTNYRDDLSMEEWRLVVRLKSIFKIP >Ma01_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12119190:12133824:1 gene:Ma01_g16710 transcript:Ma01_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINVGKANLVEQLELILSLRGSYPIVAIDTEFPGFIRDTPRNATEEERYNDVKHNVDNMHLIQLGVALFDEGGNTPWPGCCWQFNFSDFDPDVDASSPDSIELLVIWDLSERNSELCRQLEEVRVGSGPEAVAAAEKHATDSEEEVARLRAELEQSGDSVKELQEFLRLDRAELRLLKSEALGLAKRAEKVEAEARAASDALAEEVRLRPSKDKEAIEAYKRSENFELGLTRMGRVSYEYGYRITLGRFCSCPPGSEVEKDPFASHPVDLEVDMPEDVPFDDRPKTPGE >Ma03_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27907052:27908644:-1 gene:Ma03_g23300 transcript:Ma03_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLFPCLRKLQIERCPRLKRMPPLPPSVKSLSLCQVGLTEVPRLWEEIDESSSSMTVSELKIYSLEEIKLEDIPECERLPCLGQLPSLKVLRIQRMPAVKKVGDGFFGSRDHGKCFPSLEVLTFINMPEWEEWSWADGRQLFPRLRNLEIKRCPRLKRLPPLPPSLETLEIDEVGLTELPGLWEGIHGGGSCITASLSTLRIRKCPNLRNLEEGLLSHSNIEIAECAELKWQPVKGFKELTSLAALSLCSCPNLMGMTRDWAIDILLPPSIEELVLSDCGNLGKLLPGCLHNLTSLTRLEIGDCLSIESLPETSLLHLKRLQSLSIWHCDELRSIDGLRVLESLRELTIKLCPKLLLNEGNEQVEGSSVTELYIDDTALFKLSLLRRTLPSVHALTISNFPRATMSDEEEQLLRSLTALKWLEFEDCKNLQSLPRELHVLPSLRLLAIIGCPEIQALPEKGLPTSLSNLHFEDCHPRLTEQLQKHLAEIKSSGRLAIREGTSHPTIASPHRFRWFFVVFGCFSFRVSDTTP >Ma05_p31820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41567293:41570600:-1 gene:Ma05_g31820 transcript:Ma05_t31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKASASLAFLSASSEASPPHSPAALLRGPHPSFSASFLPFRSPGGMMRRASVVAAAAHSDQAITGVVFQPVEEIQKELSVVPTAPGLSIARQKYDDDCESAINEQINVEYNNSYIYHALFAYFDRDNVALKGLAKFFKESSEEERVHAEKLMEYQNKRGGRVKLQLICRPPSEFDHPEKGDALYAMELALCLEKLTNEKLLNLHSVADRCNDAQLTDFIESEFLEEQVDDIKKISEYVAQLRRVGKGHGVWHFDQMLLQGDAA >Ma06_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6145022:6147110:1 gene:Ma06_g08740 transcript:Ma06_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEYITRLLVVVFGYAYPAFECFKTLEQGQGNAQQLRFWCQYWIIVAILTVIEMPGNFLVSLLPMYGEAKLAFLVYLWYPKTKGTDVVYETFLRPLVMQYEPDIEERFRNLRAKSGQLLVFYLKNFTEKGQILFLEALHYVVSKSSGSTEKTKRSSWMSRLVANKKQEKEEKEKPGVEKLEEIADALLGANPKQRRSRPHK >Ma01_p17490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12801201:12804632:-1 gene:Ma01_g17490 transcript:Ma01_t17490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNSSREKELVFDLEGGENSVIRELEGSKDTWCSAGQDNGMLSRVWSSFINIDGPIKGERVVRLGDSASSSVELSLIDGEALGDRSVGLEAKMGLLEKKVGLETTKKKGCKKPPKPPRPPNSLPLDVTNQKLMKEISEIAMMKRARIERMKKKVKDANSARINGNLWALIITILFILVIMWQGVLPSGSSSGRSNGHPESSVETRGGLISIHFYKNASGNTPKASTSMSPNDVEPASGYDEHNKATRNRSGSLRERNHANPSMG >Ma01_p17490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12801201:12804632:-1 gene:Ma01_g17490 transcript:Ma01_t17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNSSREKELVFDLEGGENSVIRELEGSKDTWCSAGQDNGMLSRVWSSFINIDGPIKGERVVRLGDSASSSVELSLIDGEALGDRSVGLEAKMGLLEKKVGLETTKKKGCKKPPKPPRPPNSLPLDVTNQKLMKEISEIAMMKRARIERMKKKVKDANSARINGNLWALIITILFILVIMWQGVLPSGSSSGRSNGHPESSVETRGGLISIHFYKNASGNTPKASTSMSPNDVEPASGYDEHNKATRNRSGSLRERNHANPSMG >Ma01_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12801201:12804632:-1 gene:Ma01_g17490 transcript:Ma01_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNSSREKELVFDLEGGENSVIRELEGSKDTWCSAGQDNGMLSRVWSSFINIDGPIKGERVVRLGDSASSSVELSLIDGEALGDRSVGLEAKMGLLEKKVGLETTKKKGCKKPPKPPRPPNSLPLDVTNQKLMKEISEIAMMKRARIERMKKKVKDANSARINGNLWALIITILFILVIMWQGVLPSGSSSGRSNGHPESSVETRGGLISIHFYKNASGNTPKASTSMSPNDVEPASGYDEHNKATRNRSGSLRERNHANPSMG >Ma01_p17490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12801201:12804632:-1 gene:Ma01_g17490 transcript:Ma01_t17490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNSSREKELVFDLEGGENSVIRELEGSKDTWCSAGQDNGMLSRVWSSFINIDGPIKGERVVRLGDSASSSVELSLIDGEALGDRSVGLEAKMGLLEKKVGLETTKKKGCKKPPKPPRPPNSLPLDVTNQKLMKEISEIAMMKRARIERMKKKVKDANSARINGNLWALIITILFILVIMWQGVLPSGSSSGRSNGHPESSVETRGGLISIHFYKNASGNTPKASTSMSPNDVEPASGYDEHNKATRNRSGSLRERNHANPSMG >Ma08_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4875481:4879342:-1 gene:Ma08_g07120 transcript:Ma08_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVKHSSAAIGVTDLVRRGSSPVRPQMASIPLISATKGPNLSLATRKPLYLVSPEGFGFGSRDGFMSAAEPRGFALRCGAYEADRSDSVEISGEETRSAASQKVKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLATGSLMMLISWATRIAEAPKTDFKFWKALAPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPVPVYLSLVPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKRGMKGTSVSGMNYYACLSILSLLILTPFAIAIEGPQMWAAGWQTALSQIGPHFIWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQAKQ >Ma08_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32414533:32415131:1 gene:Ma08_g18820 transcript:Ma08_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDALLSNSDFIVLIVYIYTSPPSCGAATPTLISSSPPSKRLFATCSWTSIGVSNFSSKKLETLLSIAKIPPADNQDTVEVNPLWQQQKLRCG >Ma06_p22510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18491552:18499730:-1 gene:Ma06_g22510 transcript:Ma06_t22510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide 3'-phosphatase ZDP [Source:Projected from Arabidopsis thaliana (AT3G14890) UniProtKB/Swiss-Prot;Acc:Q84JE8] MSLRFSLSSLPPFRRNAVLFLIPASRPLMAPTKVVAEYAKSGRSSCKSCSKAIAAGALRLGSSANDPRGFDLVRWFHVDCFPAESLALAAADEIVGFSSLKDHEKDALRKLEPSASSNQITEEVHKRCRGAYVKMEAKSSKKPKICIADEAGSEDLVEERPEGQKAGSHLSVNAKHNFLQMDFHISDTKDRYKDATLPPKWIAFRTIIFNEKEDGFHDSERIAAFDFDGCLVNTSVKRIGPDAWSLMYPSIPEKLQELYKGGYKLVIFTNESNIERWKNKRQQAVDSKIGRLENFIKCVKVPIQVFIACGLGKNKDGTDDPFRKPQPGMWKLMEEHFNSSIAVDMDQSFYVGDAAGRTNDHSDADIKFAKVVGLKFYVPEEFFGA >Ma06_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18491552:18499730:-1 gene:Ma06_g22510 transcript:Ma06_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide 3'-phosphatase ZDP [Source:Projected from Arabidopsis thaliana (AT3G14890) UniProtKB/Swiss-Prot;Acc:Q84JE8] MSLRFSLSSLPPFRRNAVLFLIPASRPLMAPTKVVAEYAKSGRSSCKSCSKAIAAGALRLGSSANDPRGFDLVRWFHVDCFPAESLALAAADEIVGFSSLKDHEKDALRKLEPSASSNQITEEVHKRCRGAYVKMEAKSSKKPKICIADEAGSEDLVEERPEGQKDATLPPKWIAFRTIIFNEKEDGFHDSERIAAFDFDGCLVNTSVKRIGPDAWSLMYPSIPEKLQELYKGGYKLVIFTNESNIERWKNKRQQAVDSKIGRLENFIKCVKVPIQVFIACGLGKNKDGTDDPFRKPQPGMWKLMEEHFNSSIAVDMDQSFYVGDAAGRTNDHSDADIKFAKVVGLKFYVPEEFFGA >Ma03_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11278422:11284612:1 gene:Ma03_g14130 transcript:Ma03_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALKEELIFLILQYLNEKKYKEAVHKLEQESGCYFNMKYFGDLVQEGNWDEAEKYLGSFTKLEDNRHSVKIYFEIRKQKYLEALDMSDRGKAIDILRKDLKVFASFNEELYKEMVQLLALENFRQNQQLSKYGDVKSARNNMWVELKKLFEANPVFRDKLKFPPCESRLESLIKQSLRWQHQQCPNPRPSPTFHTLYTDHTCPTDGARGPAPRNVQLMGPPNPRAETLPPMDSHTPLPLVVSPSASATQRWMAIANPPLPHDAAAAAAQVPSGLLQPQSAAAFFKHPRTPTNAPPGGGDNQTLESERLWKRLRTAQTDEASFSGASHPPNIRPQDDIPKTVVRTLNQGSNVMSLDFHPIHQTILLVGTNVGDVDIWEVGSKERIAHKTFKVWDMGSCSMPLQSSLVKDATISVNRCLWSPDGSILGVAFSKHLVQTYAFSLNAELGQLLEIDAHVGSVNDIAFSHPDKSLSIVTCGEDKTIKVWDATTGQKRYTFEGHDAPVYSVCARHIESIEFIFSTGSDGKIKAWFYDGSGPKVEYDAPGHGCTTMAYGANGTRLFSCGTSKDGETHLVEWNETNGRIKTTYSGFRNRPFGVVQFDTSRNLLAAGDENLIKFWDMDRAYMLTSTDADGGLPASPRLRLNGEGSLLAVATSDDGIKILANTDGQRSLTTREKRDYDDTVKRIVQATVDGTTAADVKLRIPGDNGWKSSDIVDSARLRALRLPDSTTASEVVQLLYTNSGIAVLGLGSNGVHKLWTWARVDHNPSGKSNASVAPRLWQPSNGAVMINDTTGANPEEGTSCMALSNNDSYVISASGGNVSLFSLVASKLMTTLLSPPPVPTFLAFHPQNNNMIAIGTEDSSILIYNAQTATVTADLKGHQKKITGLAFSRSLDVLLSSGADAQLCMWSIDGWEKKMSRFIQAPASHAAAAAPLDGDTKVQFHKNHPHLLVVHASQLSIYDSKLECMHSWSPRDALAASISSATYSCDGLLVHAGFRDGAVGIFEADGLKLRCRIAPSAYLSPSMQKSREAAVVYPVVIAAHPSAPNQIALGMSDGAVHVLEPSDAVPPKEKAALPSIRLQS >Ma10_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30296152:30296923:1 gene:Ma10_g19930 transcript:Ma10_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRAEGAMPSSKASAEAFGKAQRRQKASTPRLATAVASLENAAAMGGGDDKNPAAAAASAADIRQPSFIPSAPAEEEAVGWGDGAAELGEWWWCLWGVEEEKLLGWFPFVDEDFLCSDSRGSEGPGGLLWEEEDHDIWELQNIHEIPHAAAK >Ma08_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27020473:27021759:1 gene:Ma08_g17760 transcript:Ma08_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPRTVKDLQRINGRLVALSRFLARSGDRCLPFFKALKDPKNFQWTSECEEALKQMKQHLATLPRLASVFPGEKLGLYLAASPYVVSSVLVKEISGAQLPIYYVSHILSGPEERYPPIEKLALALVLSARKLRPYFQAHSVEVVTDQPLRQILTKFDVAGRLLRWAVELGEHDISYVARTAIKAQAVADFIVELARVGKDLKRTPEAWTLHVDGSANSGGAGAGLVLLAPDGRSFERSLRFGFKATNNEAEYEALLAGLRLALEMQVAAIHVLTDSQLVAEQLSGGYEARDATMAKYLARVRDLTTKFPHFTLSNIPREENARVDALAKLASRRTPEAWSEIEELPARAIEVATTAPGSTPTTWVQELLRFKRDGALPLDEVAARRLRRTHAWYTEESGRLYRRSFTYPFLRCLEPDEAQTVLAETQ >Ma04_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21914805:21943176:-1 gene:Ma04_g19320 transcript:Ma04_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSANAPIAMREALTLPSLGINPQFITFTHVTMESDKYICVRETSPQNSLVIVDMSMPMQPLRRPITADSALMNPNARILALKAQIQGTMQDHLQIFNIEQKTKIKSHQMPEQVVFWKWINPKMLGLVTQASVYHWSIEGDSEPVKVFDRAANLTNNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVEQQRSQALEAHAASFATFKVVGKENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASTIGGFYAINRRGQVLLAAVNEATIVPFVSSQLNNLELAVSLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQDILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYVPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDIFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFTHYDRPQIAQLCEKAGLYMRALQHYTELIDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRANLQIIVQTAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYNPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHFLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVESLVVECEKRNRLRLLTQFLEHLVSEGSQDAHVHNALGMIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLVPENEYRRQFIDQVVSTALPESKNPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTSIKADPSRVMDYINRLDNFDGPAVGEVAIEAQLFEEAFAIFKKFNLNVQAVNVLLDNIQSIERAVEFAFHVEEDSVWSQVGKAQLREGLVSEAIESFIRADDATQFLDVIRAAEDSNIYHDLVKYLLMVRQTVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDDALYEAAKIIFTFISNWAKLASTLVKLRQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSDYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRVCDEQQHWQELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVVIKVANVELYYKAVHFYLQEHPDLINDLLHVLALRVDHTRVVDIMRKAGHLHLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESVDTHDNFDQIGLAQRIEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNLYKDAMETCSQSGDRELSEELLVYFIEKGKKECFSSCLFICYDLIRPDVALELAWMNNMLDFAFPYLLQFIREYTSKVDELIKDKIEAQNEVKVKEKEEKDLVTQQNMYAQLLPLALPAPPIPGVGATGMGGPYPAPPPMAGMGMPPVPPFGMPPMGTY >Ma10_p29160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35824798:35828724:1 gene:Ma10_g29160 transcript:Ma10_t29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASTGSIPLLPFSVTGIIGLALFYFYYVFWIRPERMRSKLRSQGIDGPPPSLIHGNILEMRKILREERKKKAQEECAPSVTACYVSALFPYLTRWRRRYGPIFMYSTGSMQTLHVSHPDLVKEISVCKSLDLGKPLYLQKDRGALLGKGILTSNGALWAHQRKVIATELFMDKVKGMVDLIVEAAIPLFKSWESAVDNGGGSSDIVVDEDLRKFSADVVSRTCFGSSFAEGKEIFSRLRQLQMLMSKISIFIGIPGVRYLPMKSNRKIWRLTQEIRTLILNIVKERREDISTSSGQDLLQSIIEGSTISNSGLDSAESFIVDNCKNIYFAGHETTAVTATWCLMLLASHPEWQHRVREEVLEVCQGKFPNYDMLRRLKMLTMVIQETLRLYPPAAFMVRETLQDMKLGDIHIPKGITISTPIASLHHDPEYWGSDTDEFKPNRFSRGIAGACRSPHAYIPFGSGTRTCAGQNFAMVELKVILSLLLSKFVFSVSPSYRHSPAFRLTIEPEFGVPLIVKRL >Ma07_p25700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32680612:32686422:-1 gene:Ma07_g25700 transcript:Ma07_t25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAAGALRRLVLVLVLVPLLLVESRLDPIRLPSDRVAKPIIGEGDPLGTRWAVLIAGSNGYYNYRHQADVCHAYQVLKKGGLQDENIIVFMYDDIANNEDNPRPGVIINDPWGEDVYAGVPKDYVGDDVNVNNFFAVLLGNKTALSGGSGKVVNSAPNDHIFVFYSDHGGPGVLGMPTYPYLYADDLIAVLKKKHASGSFKSMVFYLEACESGSIFEGLLPDNIKVYATTAANTTESSYGTYCPGMFPSPPPEYSTCLGDLYSVSWMEDSYMHNWQIETLKQQYQLVKTRTAVHRTYLFGSHVMQYGDLDLNAKKLVSYVGSNPANDNPTYVDYNSLPPLPEAVNQRDADLIHFWHKFHRAPEGSHQKLNAQKHLLEVMEHRLHIDTSIQLIGKLLFGSEQGPEVLESVRPAGQPLVDDWSCLKSMVRAFETYCGSLSQYGMKHMRSLANICNAGIREETMAEVSAQACLRFPSNSWSSLHRGFSS >Ma04_p28200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29287554:29293694:-1 gene:Ma04_g28200 transcript:Ma04_t28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 8 [Source:Projected from Arabidopsis thaliana (AT5G47240) TAIR;Acc:AT5G47240] MDKVLMDLRPEVVFLGRAYAKPVMNRICGLRFPRQSCSHGERGFLEVKSSNSIATTSSEGIDSVAPRMLLGNASSNTNGSSSTARFWTSPEEHCVLESCEDEYGGIIVIPEKLPWNTNAFAYVLQESLSSWKMKGKKGIWLKVPLGRSELVPIAVKAGFKYHHAEQAYVMLTYWIPEGPCLLPANASHQVGVGGFVINENNEVLVVQEKYCSSTSVGAWKLPTGFIHESEEIFSGAVREVKEETGIDTEFVEVISFRHAHHLAFGKSDLFFICMLRPLSFEIKIDEQEIEAAKWMPLNEFMEQPLIQDDNMFRKIIDICIARLGKRYCGLAAHQVISKFDGRSSSLYYNVVEPQDFNCQGV >Ma08_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35053970:35056624:-1 gene:Ma08_g21050 transcript:Ma08_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHNHHSLGYNQLSLHHHHHQQQQLQRPQPRPRHGGGMRGGVIGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKAAIDQLAELPPWSPSATIVAAHAASSSRPPPPSSQSLSTEQGAGEPGRGPIAAAESVVSARFGFGGGNESPSFLPPSLDTDSIADTIKLFFPVAAAAAAATSPSSSPSVGFQTYSPDVPSRTSSQVKDLRLSLQSFQDPIFPNPESGHHHHHHLQYHQSPAPPTHNAHFPSSGQIAFDAGPAGWAEQGQRIVPWNVVDSSGGGGGYVFSFPPPQAVPLHSVLGQSQFFCQRGPLQSSNSPAIRAWADPVDATTDHRMQPALHPSISTIGFTSGAGFSGLRIPARFQGEEEHDGITSKPPSASRN >Ma11_p10530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9950293:9956151:1 gene:Ma11_g10530 transcript:Ma11_t10530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRCLVAMVLICLLSICHGRGLRVGHKNNGHVYNHTLAKILVEYASAVYMSDLTALFTWTCSRCTDLTEGFEVVELIVDVENCLQAYVGVAHDLNAVIVAFRGTQEHSIRNWIQDLFWKQLDLNYPDMPDAMVHHGFYSAYHNTTLRPGIVSAVQEARELYGDIPVMVTGHSMGGAMASFCALDLTVNYGIHNVQLMTFGQPRVGNAAFVSYFSKHVQNAVRVTNEHDIVPHLPPYYSYFPQKTYHHFPREVWVHNVGIGSLVYMIEKVCDGSGEDPSCSRSVSGNSVSDHLAYFGIEMQADTWGTCRIVFHGNMVQYQVDLAGNIVLSKRPGVSSVLQQSVEADKSRNAI >Ma11_p10530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9950293:9956151:1 gene:Ma11_g10530 transcript:Ma11_t10530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLTALFTWTCSRCTDLTEGFEVVELIVDVENCLQAYVGVAHDLNAVIVAFRGTQEHSIRNWIQDLFWKQLDLNYPDMPDAMVHHGFYSAYHNTTLRPGIVSAVQEARELYGDIPVMVTGHSMGGAMASFCALDLTVNYGIHNVQLMTFGQPRVGNAAFVSYFSKHVQNAVRVTNEHDIVPHLPPYYSYFPQKTYHHFPREVWVHNVGIGSLVYMIEKVCDGSGEDPSCSRSVSGNSVSDHLAYFGIEMQADTWGTCRIVFHGNMVQYQVDLAGNIVLSKRPGVSSVLQQSVEADKSRNAI >Ma11_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9950293:9956151:1 gene:Ma11_g10530 transcript:Ma11_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRCLVAMVLICLLSICHGRGLRVGHKNNGHVYNHTLAKILVEYASAVYMSDLTALFTWTCSRCTDLTEGFEVVELIVDVENCLQAYVGVAHDLNAVIVAFRGTQEHSIRNWIQDLFWKQLDLNYPDMPDAMVHHGFYSAYHNTTLRPGIVSAVQEARELYGDIPVMVTGHSMGGAMASFCALDLTVNYGIHNVQLMTFGQPRVGNAAFVSYFSKHVQNAVRVTNEHDIVPHLPPYYSYFPQKTYHHFPREVWVHNVGIGSLVYMIEKVCDGSGEDPSCSRSVSGNSVSDHLAYFGIEMQADTWGTCRIVFHGNMVQYQVDLAGNIVLSKRPGVSSVLQQSVEADKSRNAI >Ma11_p10530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9950293:9956151:1 gene:Ma11_g10530 transcript:Ma11_t10530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLTALFTWTCSRCTDLTEGFEVVELIVDVENCLQAYVGVAHDLNAVIVAFRGTQEHSIRNWIQDLFWKQLDLNYPDMPDAMVHHGFYSAYHNTTLRPGIVSAVQEARELYGDIPVMVTGHSMGGAMASFCALDLTVNYGIHNVQLMTFGQPRVGNAAFVSYFSKHVQNAVRVTNEHDIVPHLPPYYSYFPQKTYHHFPREVWVHNVGIGSLVYMIEKVCDGSGEDPSCSRSVSGNSVSDHLAYFGIEMQADTWGTCRIVFHGNMVQYQVDLAGNIVLSKRPGVSSVLQQSVEADKSRNAI >Ma04_p26830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28153573:28154501:-1 gene:Ma04_g26830 transcript:Ma04_t26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVDFKQNEASLRVGSLPGAGPWPHANTTILLAKHGAIVSFITAPINAARTDAVISQAKRVGLPTDFVEPPFPCTKVGVPEGCENVDLLPSMSSLQPFFTATSVLHQPLKQHFQAQRQAPGCMVADCCNPWMREFAKELRMPYLLFHGPSCLYVLCSHMVLQHKIYDRIDDPFEPFDVPGLLHWLEINLAHHAGLGKVPRGGSGGEDGC >Ma08_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16090110:16092392:1 gene:Ma08_g15830 transcript:Ma08_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLPIASPLLPLLMAPVAFAAVLAFIVRPRSVRIPIKGRHVFISGGSSGIGLALARLAATEGARVSILARSAVRLQEARDAIRLATGVDVAIFSADVRDADAVANAVEEAGPVDVLVCNHGVFTPQELEKQDLEEVRFMVEINLMGIFHLIKAALPAMKQRAKATGLPASIAIMSSQAGQVGVYGYTAYSASKFALRGLAEALQHEVIAHNIHVSMIFPPDTDTPGFAEEHKRRPDLTNIIAGSSGGMKADDVAKKALNGIKSSMFIVPCNFEGSMLSIATAGLSPQSSFFTALAEVLGAGFMRFMGLCFQWNWFSLIEKWHSKKKNM >Ma10_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22571084:22572229:1 gene:Ma10_g08260 transcript:Ma10_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNHLEGFVIGHSDPTNELHEEGCDLEELRALSKLRCLRIYRLERAVTRVSVFVDKTLLKELTLYWISPEEDGDDEGDDVTWTEQQFQAVEKLCDELSPPSSLQDLTIRRFPGRQLPFWLMSTSLDKSFPNLAFLRFWYLKSCTELPPPGMLPLLKHLDVTGGEAIKTIGPEFLGRKFPGASAFPKLEHLEFDEMPNWEEWSVWRMEENGQGPHLKLFPNLKICKIIECPKLRALPEGLSHATKLKELYLDSTQDLREITNLRLNYKLEVKDNTMLNRISNLSMKYLKVEDCPNLDYVENLDRLQQLVLICPRQMKQLPQWLSTLFSSAKAFLPLSGASENWNCNATSCC >Ma04_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4381199:4381718:1 gene:Ma04_g05850 transcript:Ma04_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEFPHREPRSLPPIQTRAIDMKPLEEDQSKSTSSEEVEHCVTPRSEQQEAKPALVCPPAPRKPRPAKRKLRPPPNGYYPVPTDLASVFVPLPCPANKKVRVG >Ma05_p07810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5708660:5730959:1 gene:Ma05_g07810 transcript:Ma05_t07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MGGCFSDVRGGQQAVGSGGPAAGASPQELNDAVGYFLHSRGLRGLFTTLELSFSASKLRNLDVLSKSDPMVVLYSKKRDGMLEELGRTEVIMNSLSPAWITKFTINYQFEIVQPLVIRVYDVDTKYHNIPVKMLNLNEQDYLGEASCALSEIVTKPSRSMTLNLQDQRGHSGLHSGTITIHAEETVASRVAVEMILRCSKLENKDTFSKSDPFLRISKIVESGSSIPICKTEVINDNLNPVWKPVTLSMQQFGSKENPLMIECFDFNSSGKHDLIGKLQTSVSDLEKLGREKNGANFYISSVARPDNRKMLKGQLFVDKFIEKTQYSFLDYISSGFELNFMVAIDFTASNGDPRLPASLHFIDPSGRLNSYQQAIIGVGEVLQFYDSDRRFLAWGFGGRIAYESVSHCFNLNGNSEECEVSGVEGIMSAYAMALHNVALAGPTLFGPVINKAAEIASRSLSTRQNKYFILLIITDGVITDLQETKDAIVKASDLPMSILIVGVGGADYKEMEILDADNGKRLESSTGRIATRDIVQFVPMRDVQGSQVSVVQALLEELPGQFLSYMQSRDITPHLAHPREASAPPFPQ >Ma05_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5708661:5730959:1 gene:Ma05_g07810 transcript:Ma05_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MGGCFSDVRGGQQAVGSGGPAAGASPQELNDAVGYFLHSRGLRGLFTTLELSFSASKLRNLDVLSKSDPMVVLYSKKRDGMLEELGRTEVIMNSLSPAWITKFTINYQFEIVQPLVIRVYDVDTKYHNIPVKMLNLNEQDYLGEASCALSEIVTKPSRSMTLNLQDQRGHSGLHSGTITIHAEETVASRVAVEMILRCSKLENKDTFSKSDPFLRISKIVESGSSIPICKTEVINDNLNPVWKPVTLSMQQFGSKENPLMIECFDFNSSGKHDLIGKLQTSVSDLEKLGREKNGANFYISSVARPDNRKMLKGQLFVDKFIEKTQYSFLDYISSGFELNFMVAIDFTASNGDPRLPASLHFIDPSGRLNSYQQVSGVEGIMSAYAMALHNVALAGPTLFGPVINKAAEIASRSLSTRQNKYFILLIITDGVITDLQETKDAIVKASDLPMSILIVGVGGADYKEMEILDADNGKRLESSTGRIATRDIVQFVPMRDVQGSQVSVVQALLEELPGQFLSYMQSRDITPHLAHPREASAPPFPQ >Ma11_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27898613:27898924:-1 gene:Ma11_g25170 transcript:Ma11_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETSKKNIKIYIYIYIKYKCTSSLVPLFLKGQSSSLLSIVDTSCYWKLQSEG >Ma10_p26070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33944115:33948978:-1 gene:Ma10_g26070 transcript:Ma10_t26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSGGVGGAVLHHHHRRWALREKAKNRVNDLQGMFADVQSAHKEGRSADAAVLEEQLHQLLREWKAELGEPSPAASAASSLPGNSRGPSDLSSYIRRMLQLNEEDDDATSKLAEPPLTSANPKDEPLDVLGPDVGELQSGGVAVFRGEYSLTQEMPDYVFLGTQQFKCGSIEAQRAALHCLEEANNLEFHHYNPHQELPQSVFLGFNAGGFDVGDLTPFLSEFIPAICPPPSAFLRPKCALWDCPRPARGSEWFEDYCSSFHATLALNEGPPGMTPVLRPGGIDLKDGPLFAALIAKTHGKNVGIPECEGAATNKSPWNAPELFDLLVLNGESVREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFGGLKRSYYMDPQPLRHYEWHLYEYEINNCDACALYRLELKLVDPKKSIKGKVTSDSLVDLQQQMGRLNAENTMDSKRYAKGRPRFQYKDSAETMYSALDFINQMENGESLHSSLDQKALVCDGVVYMRRFQTPVDDLNGYYGT >Ma04_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1437492:1442814:-1 gene:Ma04_g01610 transcript:Ma04_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGIRVKDGDLVVVRTKILSDVHQNVVLTPASGNGVMNAAFIGVRSERRGSRNVFPIGKLLDLRFMCTFRFKMWWMTQRMGSCGRDIPFETQFLIVEGADGSHFGEGSEGGVGQPAVYTVFLPILEGAFRAVLQGNVNDELEICLESGDPAVEVYEGTHLVLVAAGSDPFEVITYAIKTVEKHLQTFSHLERKKMPDILNWFGWCTWDAFYTNVTAEGVQQGLESLGMGGIHPKFVIIDDGWQSVAMDPTGIASESENAANFSNRLTHIKENHKFQKNGREGHRDEDPANGLAHIVTEAKEKHQVKYVYVWHAITGYWGGISPGVSGMEHYDSKLQYPISSPGVQSNEHCDCLDSITKNGLGLVNPEKVYNFYNELHSYLASAGIDGVKVDVQNILETLGAGHGGRVTLARKYHQALDASIARNFPDNGIISCMSHNTDNLYSSKRTAIVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGNHDFDLLKKLVLPDGSILRAKLPGRPTRDCLFSDPCRDGKSLLKIWNLNDYTGVIGVFNCQGAGWCRVGKKNLIHDEQPGTITGIVRSKDVDYLPRVADCGWNGDSIIYAHQGGELIYLPSNASLPITLRSHEYEVFTVAPVKQLSNGVSFAPIGLIKMFNSGGAIKELNYESTRKAIIDLKVRGCGVFGAYVSVSPVKVAVDAEAVDFTYDENHGLLTIHLGIPQRDSYIWDITIEF >Ma03_p27190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30659392:30667803:1 gene:Ma03_g27190 transcript:Ma03_t27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSAVTGFEMAIVVPKKREKERDDTADCVEFLVRELKKAGLIVERVFGISDEFIKLAAPVEILGRVAAELQMKKLTYIGIELQFEWDEVTAFVRQPDGSLFSWCERFRCFQHLIYGIVNKTDSDMMLLFDGKEFQFRRNESLLKMMETDEVVKQVFPTHDEVKRKQLLRTWALNWLDFTWQPIDEIYAYFGTKIATYFAFLSMYTRWLFFPAALGLALQLVDIGPLQPLVLPAFFIFVVTWAVFFFQFWKRKNSALLARWGINYTLSEYKAVQIAQSSFLHVHDKCEKKFGDEPIEKKILQRDEWLGLLLRIRNNAIIVLAIICLQLPFELAYAHLYEVTESDVLKYALTAAYLLAIQYYTRIGGKVSVILIKYEKDQGEESSAASLVYKVFGLYFMQSYIGLFYHALSHRNLLTLRQVLIQRLVVSQVLENMIENSIPYLKYSYKKYKAFHKKKHEKGSSEKMVHLVTRVEKEYLKPSYTASIGEELEDGLFDDFLELALQFGMIMMFACAFPLVFCFATLNNVTEIRADALKLLVMLRRPIPRAAATIGAWLNIFQFLIIMAICTNCVLLICLYDQEGKWRIEPGLAAILVIEHALLLIKFGFSHFVPEEPAWVRANRMRTVAQARDVFSKQLLRSISNIERKHL >Ma08_p25920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38593914:38597604:1 gene:Ma08_g25920 transcript:Ma08_t25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCQTESGGGSALCSIMELLVVEIVLAAERSLLLLLLEVGSLSSQTGPLDEGYEGVYRLLRGGKQEVVPENKDDGDSDDDNDDEDDNNEDGDDQDDGGEDDFSGEENDNEGDEEADDPEGNGGGGSEEDDDDDDDDDDDDDDDEDDEEGEEDEDDEEDEELPQPPAKKRK >Ma08_p25920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38593917:38597604:1 gene:Ma08_g25920 transcript:Ma08_t25920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCQTESGGGSALCSIMELLVVEIVLAAERSLLLLLLEVGSLSSQTGPLDEGYEGVYRLLRGGKQEVVPENKDDGDSDDDNDDEDDNNEDGDDQDDGGEDDFSGEENDNEGDEEADDPEGNGGGGSEEDDDDDDDDDDDDDDDEDDEEGEEDEDDEEDEELPQPPAKKRK >Ma08_p25920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38594031:38597604:1 gene:Ma08_g25920 transcript:Ma08_t25920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGTWLVDMEALCQTESGGGSALCSIMELLVVEIVLAAERSLLLLLLEVGSLSSQTGPLDEGYEGVYRLLRGGKQEVVPENKDDGDSDDDNDDEDDNNEDGDDQDDGGEDDFSGEENDNEGDEEADDPEGNGGGGSEEDDDDDDDDDDDDDDDEDDEEGEEDEDDEEDEELPQPPAKKRK >Ma05_p00530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:314296:321739:-1 gene:Ma05_g00530 transcript:Ma05_t00530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSPTLTTQPQEEEPRCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEVDSQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSAQEQKDPYLPADLGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELVARDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNENNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVISLTKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSSFPLRFKRPWPTGLPFFHGGRDDEFSLNSPLMWLRDGGNPALQSLNFQGVGVTPWMQPRLGTSMLALQPDMHQTVAAVALQEMQTMDLTKQVTPAMLQFQQPQNTTSRSAPILQSQILQHAQPQSHQPLLHTIQGNQMQSQAQSQFLQHHLQQGHSFAEQQQQQQHNLQLQIPEHQQFQQQRVLPAYQQVPYGAANLSQLSSSSQSQSTTLNMISPSSQLKDFPDSNGNSVSASSVSPLDNILHQISPEETSQLLSLPRYAQPVTSNPWSSKRIAVESMLPSGAQSVLSQVEQIGSGQPNIPLQSVVLPPFPGRECSVNQDGSMDIQNQHMFGVNIDSSISVQNGIRSLGTGVNGTNSTNIVYAACNLLRSAGNDFPINQAVNGSNGLHESGLLQSTENVDQVNSQSGTFVKVYKSGSFGRSLDITRFSSYHELRSELGHLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPEEVQQMGKQGVDFVNSAPIKRLQSNGCDDYVSQQHSRNLSAARITSVGSFKY >Ma05_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:314296:321739:-1 gene:Ma05_g00530 transcript:Ma05_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSPTLTTQPQEEEPRCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEVDSQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSAQEQKDPYLPADLGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELVARDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNENNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVISLTKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSSFPLRFKRPWPTGLPFFHGGRDDEFSLNSPLMWLRDGGNPALQSLNFQGVGVTPWMQPRLGTSMLALQPDMHQTVAAVALQEMQTMDLTKQVTPAMLQFQQPQNTTSRSAPILQSQILQHAQPQSHQPLLHTIQGNQMQSQAQSQFLQHHLQQGHSFAEQQQQQQHNLQLQIPEHQQFQQQRVLPAYQQVPYGAANLSQLSSSSQSQSTTLNMISPSSQLKDFPDSNGNSVSASSVSPLDNILHQISPEETSQLLSLPRYAQPVTSNPWSSKRIAVESMLPSGAQSVLSQVEQIGSGQPNIPLQSVVLPPFPGRECSVNQDGSMDIQNQHMFGVNIDSSISVQNGIRSLGTGVNGTNSTNIVYAACNLLRSAGNDFPINQAVNGSNGLHESGLLQSTENVDQVNSQSGTFVKVYKSGSFGRSLDITRFSSYHELRSELGHLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPEEVQQMGKQGVDFVNSAPIKRLQSNGCDDYVSQQHSRNLSAARITSVGSFKY >Ma05_p00530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:314296:321739:-1 gene:Ma05_g00530 transcript:Ma05_t00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSPTLTTQPQEEEPRCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEVDSQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSAQEQKDPYLPADLGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELVARDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNENNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVISLTKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSSFPLRFKRPWPTGLPFFHGGRDDEFSLNSPLMWLRDGGNPALQSLNFQGVGVTPWMQPRLGTSMLALQPDMHQTVAAVALQEMQTMDLTKQVTPAMLQFQQPQNTTSRSAPILQSQILQHAQPQSHQPLLHTIQGNQMQSQAQSQFLQHHLQQGHSFAEQQQQQQHNLQLQIPEHQQFQQQRVLPAYQQVPYGAANLSQLSSSSQSQSTTLNMISPSSQLKDFPDSNGNSVSASSVSPLDNILHQISPEETSQLLSLPRYAQPVTSNPWSSKRIAVESMLPSGAQSVLSQVEQIGSGQPNIPLQSVVLPPFPGRECSVNQDGSMDIQNQHMFGVNIDSSISVQNGIRSLGTGVNGTNSTNIVYAACNLLRSAGNDFPINQAVNGSNGLHESGLLQSTENVDQVNSQSGTFVKVYKSGSFGRSLDITRFSSYHELRSELGHLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPEEVQQMGKQGVDFVNSAPIKRLQSNGCDDYVSQQHSRNLSAARITSVGSFKY >Ma07_p19850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27774933:27778747:1 gene:Ma07_g19850 transcript:Ma07_t19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGTLNGLRGKRLSLTLIIIFTTLLIWGWERTPHQDTFHPQHEQDLYPQVARIKYIHQLPSEEEDFPDADTIGNRTNGTVPQVLSDKPVGSPTASKTTEVDEGLQAITVENNACNYAKGKWVSHERRPLYSGFGCKQWLSEPWACRLTQRKDFSYEKFRWQPESCDMPEFEGSKFLRRMQDKTIAFVGDSLGRQQFQSLMCMVSGGEQRSDVEDVGLQYGFVTGPDAARPNGWAYQFSSTNTTILYYWSATLCDVEPLNVSDPATNYAMHLDRPTSFLSQNLHRFDVLILNTGHHWNRGKLNANRWEMYVNGAPNTDKKLAPMGSAKDFAVHSVVKWLDGQLPLHPRLKAFYRSISPRHFFNGEWNSGGTCDNTKPLAGGSVVSQDAAVDVAAVSAVNGTSVELLDITALSQLRDEGHISKYSIKGTQGVQDCLHWCLPGIPDTWNEILAAQL >Ma07_p19850.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27774943:27778747:1 gene:Ma07_g19850 transcript:Ma07_t19850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGTLNGLRGKRLSLTLIIIFTTLLIWGWERTPHQDTFHPQHEQDLYPQVARIKYIHQLPSEEEDFPDADTIGNRTNGTVPQVLSDKPVGSPTASKTTEVDEGLQAITVENNACNYAKGKWVSHERRPLYSGFGCKQWLSEPWACRLTQRKDFSYEKFRWQPESCDMPEFEGSKFLRRMQDKTIAFVGDSLGRQQFQSLMCMVSGGEQRSDVEDVGLQYGFVTGPDAARPNGWAYQFSSTNTTILYYWSATLCDVEPLNVSDPATNYAMHLDRPTSFLSQNLHRFDVLILNTGHHWNRGKLNANRWEMYVNGAPNTDKKLAPMGSAKDFAVHSVVKWLDGQLPLHPRLKAFYRSISPRHFFNGEWNSGGTCDNTKPLAGGSVVSQDAAVDVAAVSAVNGTSVELLDITALSQLRDEGHISKYSIKGTQGVQDCLHWCLPGIPDTWNEILAAQL >Ma07_p19850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27774947:27778747:1 gene:Ma07_g19850 transcript:Ma07_t19850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGTLNGLRGKRLSLTLIIIFTTLLIWGWERTPHQDTFHPQHEQDLYPQVARIKYIHQLPSEEEDFPDADTIGNRTNGTVPQVLSDKPVGSPTASKTTEVDEGLQAITVENNACNYAKGKWVSHERRPLYSGFGCKQWLSEPWACRLTQRKDFSYEKFRWQPESCDMPEFEGSKFLRRMQDKTIAFVGDSLGRQQFQSLMCMVSGGEQRSDVEDVGLQYGFVTGPDAARPNGWAYQFSSTNTTILYYWSATLCDVEPLNVSDPATNYAMHLDRPTSFLSQNLHRFDVLILNTGHHWNRGKLNANRWEMYVNGAPNTDKKLAPMGSAKDFAVHSVVKWLDGQLPLHPRLKAFYRSISPRHFFNGEWNSGGTCDNTKPLAGGSVVSQDAAVDVAAVSAVNGTSVELLDITALSQLRDEGHISKYSIKGTQGVQDCLHWCLPGIPDTWNEILAAQL >Ma07_p19850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27774947:27778747:1 gene:Ma07_g19850 transcript:Ma07_t19850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGTLNGLRGKRLSLTLIIIFTTLLIWGWERTPHQDTFHPQHEQDLYPQVARIKYIHQLPSEEEDFPDADTIGNRTNGTVPQVLSDKPVGSPTASKTTEVDEGLQAITVENNACNYAKGKWVSHERRPLYSGFGCKQWLSEPWACRLTQRKDFSYEKFRWQPESCDMPEFEGSKFLRRMQDKTIAFVGDSLGRQQFQSLMCMVSGGEQRSDVEDVGLQYGFVTGPDAARPNGWAYQFSSTNTTILYYWSATLCDVEPLNVSDPATNYAMHLDRPTSFLSQNLHRFDVLILNTGHHWNRGKLNANRWEMYVNGAPNTDKKLAPMGSAKDFAVHSVVKWLDGQLPLHPRLKAFYRSISPRHFFNGEWNSGGTCDNTKPLAGGSVVSQDAAVDVAAVSAVNGTSVELLDITALSQLRDEGHISKYSIKGTQGVQDCLHWCLPGIPDTWNEILAAQL >Ma07_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27775149:27778747:1 gene:Ma07_g19850 transcript:Ma07_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGTLNGLRGKRLSLTLIIIFTTLLIWGWERTPHQDTFHPQHEQDLYPQVARIKYIHQLPSEEEDFPDADTIGNRTNGTVPQVLSDKPVGSPTASKTTEVDEGLQAITVENNACNYAKGKWVSHERRPLYSGFGCKQWLSEPWACRLTQRKDFSYEKFRWQPESCDMPEFEGSKFLRRMQDKTIAFVGDSLGRQQFQSLMCMVSGGEQRSDVEDVGLQYGFVTGPDAARPNGWAYQFSSTNTTILYYWSATLCDVEPLNVSDPATNYAMHLDRPTSFLSQNLHRFDVLILNTGHHWNRGKLNANRWEMYVNGAPNTDKKLAPMGSAKDFAVHSVVKWLDGQLPLHPRLKAFYRSISPRHFFNGEWNSGGTCDNTKPLAGGSVVSQDAAVDVAAVSAVNGTSVELLDITALSQLRDEGHISKYSIKGTQGVQDCLHWCLPGIPDTWNEILAAQL >Ma11_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23299515:23300005:1 gene:Ma11_g18190 transcript:Ma11_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFQIRSHFLLLLLLLFVFIVTPQLSSCRPIKAVDPSNDGRVLVPSPESHPGRAPCSNSRKEQLAEKYKPLLLNLLPRGPSTPSGPSPGINSNHKN >Ma03_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22366360:22371391:1 gene:Ma03_g17080 transcript:Ma03_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDEKSSSPMGNRERDRELLIPVADHDSDSRASSSSACPNHHHHHHHHRHSHTGREAFYIVIHSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINLFGLGFVTSITFIFLVGVFMSSWLGASVLSLGEWFIKRMPFVRHIYNASKQISSAVSPDQNKQAFKEVVIIRHPRLGEHAFGFITSTVVLQSYSGEEELCCVYVPTNHLYIGDIFLVSSKDVIRPNISVREGIEIVVSGGMSMPQVLSALDPHTVPDGARSGRIYP >Ma03_p17080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22366360:22371421:1 gene:Ma03_g17080 transcript:Ma03_t17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDEKSSSPMGNRERDRELLIPVADHDSDSRASSSSACPNHHHHHHHHRHSHTGREAFYIVIHSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINLFGLGFVTSITFIFLVGVFMSSWLGASVLSLGEWFIKRMPFVRHIYNASKQISSAVSPDQNKQAFKEVVIIRHPRLGEHAFGFITSTVVLQSYSGEEELCCVYVPTNHLYIGDIFLVSSKDVIRPNISVREGIEIVVSGGMSMPQVLSALDPHTVPDGARSGRIYP >Ma09_p22790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34691285:34693430:1 gene:Ma09_g22790 transcript:Ma09_t22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSASSLQSVSGACGSSDSFHGLKFARNGGGGGGGGGSFLEASVYLAPMAAAAPPRKGKGVVQRGQPPPPPPRCQVEGCNVDLTGVKAYYCRHKVCGMHSKSPKVVVAGIEQRFCQQCSRFHQLHEFDQGKRSCRRRLACHNMRRRKPPPGTLSSAFHEDSNGFRGFLVDFTHPKLPALARDVWQIGQAGDQALSIQYQCGLGTPSNRGVILMQDPGTEPIFSTSGTLDLAAGSDSSCALSLLSQPWDSTSKVNHQAISSEGIPIAEPTSKNDCIDSSWGFKGLEGSSSSTSFDARPRQVGQPENSHFSGELELAFLKRQCMGLDPSRRYQHLDDVIHWSL >Ma09_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34691285:34692986:1 gene:Ma09_g22790 transcript:Ma09_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSASSLQSVSGACGSSDSFHGLKFARNGGGGGGGGGSFLEASVYLAPMAAAAPPRKGKGVVQRGQPPPPPPRCQVEGCNVDLTGVKAYYCRHKVCGMHSKSPKVVVAGIEQRFCQQCSRFHQLHEFDQGKRSCRRRLACHNMRRRKPPPGTLSSAFHEDSNGFRGFLVDFTHPKLPALARDVWQIGQAGDQALSIQYQCGLGTPSNRGVILMQDPGTEPIFSTSGTLDLAAGSDSSCALSLLSQPWDSTSKFMGV >Ma10_p27850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34923535:34927271:-1 gene:Ma10_g27850 transcript:Ma10_t27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSAKSCFQPFCYDGFQEESSSYSFSSDLLPSLGAKINQSIKLRKFIISPYNPRYRAWQMFLVLLVIYSAWICPFELAFLRYLPPKLFWVENILNSFFAIDIILTFFVAYLDRKSYLLIDDPKRIAARYLSSWFIFDILSTAPFQAISLLFEGSGNDLGFKILNMLRLWRLRRVSSLFSRLEKDIRFNYFWTRCTKLILVTLFAVHSAACFNYLIADRYPNPRRTWIGAVISNFRSENLWTRYVTAMYWSITTLTTTGYGDLHAENSREMLFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNFRDTIQAALEFAARNQLPQRIKDQMLSHICLRFKTEGLKQQETLNDLPKGISSSIAYCLFFPILQQVQLFHGVSFNFLYQLVTEMQAEYFPPKEDVILQNEASTDLYILVSGAVDLISNIGGTEQVYERVAAGEVFGEIGVLCCMPQPFTARTIELSQILRLSSTTFMNMFQENTEDGNTIRNNLLQKMKLEQRPSTRVDENGPRVHDEWLKKENQSLSSQHQDYKVQEQPTRRSMETGDIPCTPQESVCYGIKLNDAERYAAEHRDDQTAHCKIIILDQGVNMDKVNDSNGTSTALTEKDAYDGSYELSLRYRNRMSIIENYQVANIHRTNTTTEIKQERFQQLMQSKFPDKMKKTSSDLGSSAVNTSDTQTTNKRVIIHMLSRKSRSSREQIRKLINLPGSLEELFKTASQKFTGYQPTKVVNQDNAEIDDIAVVRDGDHLFLLEL >Ma07_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10528954:10541274:1 gene:Ma07_g14110 transcript:Ma07_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVGSDGKRGFVFVEDFQAEEDGIAMAEARCLDAIRSALENLEDQLEFFHAIKSQQRTERDAAMVRLVQSRSILGRRLAEHQGKKYIVIEMA >Ma09_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10338801:10340123:1 gene:Ma09_g15020 transcript:Ma09_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKKMVKVMKVDGTTVKLKPPAQTTQALRDHPGHTLLDAEEVKRLGLRARPLDPDAALKPGKLYFMVELPRLPDRRAPRRASSGALRVSAKERLESLRLARRSMSDLAIATPAPPSVEAEEAMDGTVRLKMRLPKAQVQRLMRESKDATDAAQKIMHLCAGKDGSSPSPSPEPTTSTVDAGRKEKRTRFLAVK >Ma06_p26180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27829016:27829495:1 gene:Ma06_g26180 transcript:Ma06_t26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHEPVLLDLDFLLLDEPEPALTRGNKTPLVHVAETRRLAVSVEDERRRLRIISNRESARRSRIRRRRQLEGLRSEADRLRAQNRAVAGRLGRVNRSVLLFRRDNERLRSESAGLRRRLAEIRRLVLCWWLRRLPLPSAAAGGGYLLGKELMLASLMV >Ma04_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4511257:4513109:1 gene:Ma04_g06110 transcript:Ma04_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIPLLLFLLAFSVLSLLPLLPLVLLSSKSTPHSPPRSPSPHRLRVFVADLPRSFNYGLLDRFWSLSGPDSRIGVDLDARLRSTLANSTEKDAFPPYPESPLLKQHSAEYWLLGDLETPEASRAASFAERVYESDDADVVFVPFFSSLSAQMELGWGRKGRFRKRERNEDYGRQREVVDRIKSSEAWRRSGGRDHVFVMADPVAMWHVRSEIAAAIFLVLDFDGRCRFDSKASNGSSSHVIMQHAEVSSLKDVIVPYTHLLPRLHLSQDQRRRTLLYFRGAKHRHRGGLIREKLWELLADEPDVIMEEGSPDATGLEQSTGGMRRSDFCLHPAGDTPASCRLFDAIVSLCIPVIVSDSIELPFEGTLDYSDFSVFVSARDALRAGWLVNHLRGVYEEQKDRLRRNMARVQPMFEYDNGDGNGGGIGPVPSDGAVNHIWKKVHEKLPMIREALIREKRTTTTTPEGAAALWRQCQCSI >Ma10_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24226747:24231269:-1 gene:Ma10_g10170 transcript:Ma10_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREILHKIKVKAGFGSSSETGKGKSKIAGRSIRHGYHLVKGKSSHPMEDYLVAEFKKVNDYELGLFAIFDGHLGHDVADYLRSHLFENILKEPEFWSDIESAIRKAYESTDSKILEKQAELGRGGSTAVTAILIDGVKLVVANIGDSRAVISKDGVAIQLSVDHEPSRERYLIEEKGGFVSNIPGDVPRVDGRLAVARAFGDRSLKEHLSSDPDVADEIIDEYAEFLILASDGLWKVMSNQEAVDFIKDVKDPQAAARLLTEAAVARKSKDDISCIVVKFN >Ma11_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3694531:3695352:1 gene:Ma11_g04760 transcript:Ma11_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLFFFFFFLITVLSTSLHVPVATGIASIAKTIVVNPSGTGDFRSIQVAINSVPDFNNQWIKIHLASGVYREKVVISAYKSYILLEGEGAQKTSIEWGDYASDSGGHDTTSSGTVTSYASNIVVAGITFKNKYDGYSHMKQAVAAMISGDKSSLYSCSFIGYQDTLADLFGRHYFKGCYIQGVTFPTSIRMAQ >Ma06_p30350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31641726:31642818:-1 gene:Ma06_g30350 transcript:Ma06_t30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSANLFQLLHGTVKLLTCVVHLVCHIKCQVWLKFFLVVGGKLRLVISLMHLNLWNNFHWCLCLKHI >Ma11_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3626873:3628870:1 gene:Ma11_g04650 transcript:Ma11_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMELVKMVILLVFISSTFYSTPVHARSHHHHHKKHPRRDGSEPPPSPSISLPPAPAPAPSPEPTTAVLNVLSFGAVGDGVTDDTEAFKSAWDSACEEGPGVVLVPQGYAFKISSTIFAGPCHGELTLQVDGTIMPPDGPDAWPQGTSRRQWLVFYRANDLTLQGGGLFDGKGAKWWDLPCKPHKGRNHTTLTGPCDSPVAFRFFMSSNLAVHRIRIHNSPQFHFRFDNCRNVTVDAISINSPALSPNTDGIHVENSVDVGIYNSVISSGDDCVSIGAGSTNIHIRNLTCGPSHGISIGSLGKQNTRACVTNVTVKDSVIKHSDNGVRIKTWQGGSGSVSWVSFENIRMDTVRNPIIINQYYCLTKVCKNQTSAVYVSDVSYAGIKGSYDTRSPPIHFGCSDAVPCTNITLTDVELLPAQGDAIADPFCWNVYGESQTLTIPPVSCLLQGLPRSIMDIDSDRCY >Ma04_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11401156:11403635:-1 gene:Ma04_g15060 transcript:Ma04_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKFISPLILLSLSFAFFHCSLATHPSTLERGSSLSVEQHEEDVLTSPDRAFSCGFRKVGTNAYCFSIWFTNSEEDAVVWTANRDAPVNGRGSRVSLRRDGKLALTDVDGSTVWDTNTSYAGVSTAELLDTGNLVLKDRDGHVLWQSFDSPTDTLVPWQPLTKGKRLVSPIAKGARRSGYYTLYFDNDNVLRLMYDGPEISSIYWPNPDSTLYQNGRSNYNSTRIAFLDEKGNFHSSDGFKALASDLGVGIRRRLTMDYDGNLRLYSLNESTGTWSITWEALSQICNVHGLCGRNGICEYYPGIRCSCPPGYEMSDPADWNSGCKPKFNLSCSNHTQQQLHFIELQETDYYGFDMSTYSTMISFEACRNACIQSCDCRAFGYRLAGNGLCFPKSSLFNGYKSPNFPGSIFLKVPISVVSSSSSNQSVVTCNSDGSTVTTSSSLRYGNNRSNTKWVYLYGFAASLGAAEFLFIFAGWWCIFRGRDTTKSVEEGYRMISRQFRRFTYRDLREATGKFKEELGRGSSGAVYRGVLDDKRVLAVKKLTDVMEGEEEFWAEVSVIGRINHMNLVRMWGFCSEGQHRLLVYEYVENQSLDKYLFGRADSGSSLGWNQRFKIALGTARGLAYLHHECLEWVIHCDVKPENILLDRDFEPKIADFGLAKLSKRDGPGFNISRMRGTTGYMAPEWALSFPITAKVDVYSYGVVLLEILKGSRVSDWVEADGTEKSLRSLVAELKEQLGGSDESWVRDVVDHRLRGQFNHEQATAMVKIAVSCLEEDRSRRLTMNEIVKLLIACGEKDADRI >Ma08_p09630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7020530:7023813:-1 gene:Ma08_g09630 transcript:Ma08_t09630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLITLLTPMVPTSSSPPSPGLCKPHAAAHATNFFPVLSSPRALSSAFRGHHHFRGNGTMRRRRRRLVVRAGPPSTNSLIFAFVLPLSLLLGTIFTAARVADRLDEKYLEELAMNKAIMEEKEAEEDDSDKDGKVAAEEDESILPDEEEGVAAVPRVRNRPKREAQL >Ma08_p09630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7022411:7023813:-1 gene:Ma08_g09630 transcript:Ma08_t09630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLITLLTPMVPTSSSPPSPGLCKPHAAAHATNFFPVLSSPRALSSAFRGHHHFRGNGTMRRRRRRLVVRAGPPSTNSLIFAFVLPLSLLLGTIFTAARVADRLDEKYLEELAMNKAIMEEKEAEEDDSDKDGKVAAEEDESILPDEEEGVAAVPRVRNRPKREAQL >Ma08_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7022920:7023857:-1 gene:Ma08_g09630 transcript:Ma08_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLITLLTPMVPTSSSPPSPGLCKPHAAAHATNFFPVLSSPRALSSAFRGHHHFRGNGTMRRRRRRLVVRAGPPSTNSLIFAFVLPLSLLLGTIFTAARVADRLDEKYLEELAMNKAIMEEKEAEEDDSDKDGKVAAEEDESILPDEEEGVAAVPRVRNRPKREAQL >Ma05_p08250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6131675:6136569:-1 gene:Ma05_g08250 transcript:Ma05_t08250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMEDDPALTAVKNSIRALGRGFDANCDTRLLYCKGAAGSRVVEVDEQHARDLPIGDGLVVSNVSRDVKCTLESPRRESVGACGFYEMAEHFNTKALLSGNIPLGKFNSAFSFSGSKKIDAAATKSLAMDGKFIPLCKVQLTKHPSLLRDNVRAAVPRSWEPLSLARFIENYGTHVITSITVGGKDVIYVKQHISSTLSITEIKNYIQDAGDQRFSEVETRTSSGPMRMKGKAVDPFIFNSQGIYPQPLSAPYLSAKEDVTVIFRRRGGDDLVQNHVDWARTVPSAPDVIEMTFFPITSLLESIPGKDHLVRAINLYLEYKPPIEELRYFLEFQIPRIWAPVREEFPGHQRKEPVCPFLQFSIMGQKLYVSQEQVSVSRKPVTGLSLCLEGAKQNRLCIQVQHLATLPKILQPYWDTHVAIGAPNWKGPEEQDSRWFEPVKWKNFSHVTTAPIENHENFISDLSGVFVVTGAQLGVWDFGSKNVLYMKLLYSKVPGCTIRRSLWDHSPDGLVGKLKRLPSGLSTSSDESSSDSDVGRLMKFVDLSEMKKGSDDIPGHWLVTGGKLGILKGKIVLRLKYSLLNY >Ma05_p08250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6131675:6136569:-1 gene:Ma05_g08250 transcript:Ma05_t08250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPALTAVKNSIRALGRGFDANCDTRLLYCKGAAGSRVVEVDEQHARDLPIGDGLVVSNVSRDVKCTLESPRRESVGACGFYEMAEHFNTKALLSGNIPLGKFNSAFSFSGSKKIDAAATKSLAMDGKFIPLCKVQLTKHPSLLRDNVRAAVPRSWEPLSLARFIENYGTHVITSITVGGKDVIYVKQHISSTLSITEIKNYIQDAGDQRFSEVETRTSSGPMRMKGKAVDPFIFNSQGIYPQPLSAPYLSAKEDVTVIFRRRGGDDLVQNHVDWARTVPSAPDVIEMTFFPITSLLESIPGKDHLVRAINLYLEYKPPIEELRYFLEFQIPRIWAPVREEFPGHQRKEPVCPFLQFSIMGQKLYVSQEQVSVSRKPVTGLSLCLEGAKQNRLCIQVQHLATLPKILQPYWDTHVAIGAPNWKGPEEQDSRWFEPVKWKNFSHVTTAPIENHENFISDLSGVFVVTGAQLGVWDFGSKNVLYMKLLYSKVPGCTIRRSLWDHSPDGLVGKLKRLPSGLSTSSDESSSDSDVGRLMKFVDLSEMKKGSDDIPGHWLVTGGKLGILKGKIVLRLKYSLLNY >Ma05_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6131681:6136569:-1 gene:Ma05_g08250 transcript:Ma05_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMEDDPALTAVKNSIRALGRGFDANCDTRLLYCKGAAGSRVVEVDEQHARDLPIGDGLVVSNVSRDVKCTLESPRRESVGACGFYEMAEHFNTKALLSGNIPLGKFNSAFSFSGSKKIDAAATKSLAMDGKFIPLCKVQLTKHPSLLRDNVRAAVPRSWEPLSLARFIENYGTHVITSITVGGKDVIYVKQHISSTLSITEIKNYIQDAGDQRFSEVETRTSSGPMRMKGKAVDPFIFNSQGIYPQPLSAPYLSAKEDVTVIFRRRGGDDLVQNHVDWARTVPSAPDVIEMTFFPITSLLESIPGKDHLVRAINLYLEYKPPIEELRYFLEFQIPRIWAPVREEFPGHQRKEPVCPFLQFSIMGQKLYVSQEQVSVSRKPVTGLSLCLEGAKQNRLCIQVQHLATLPKILQPYWDTHVAIGAPNWKGPEEQDSRWFEPSLELSLEFGTLDRRTSCT >Ma10_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3944640:3949820:1 gene:Ma10_g01070 transcript:Ma10_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLDWESLTEATSGAVGALVSTTVLYPLDTCKTKYQAEVQSHGQRKYRNLSDVLWEAISKRQFLSLYQGLGTKNLQSFISQFVYFYSYSYLKRLYLRKSGARSVGTKANLVVAAAAGACTVVVTQPLDTVSSKMQTSAFGKSKGLWETLSEGYWSEAYDGLGISLLLTANPAIQYTVFDQLKHRLLRRQSSNVLPANTESSPAALSASAAFVLGAISKSLATILTYPAIRCKVMIQSANTEDESKSDTRPKHPKTMVGALSSVWRREGFPGFFKGLQAQILKTVLSSALLLMIKENISKSTWVAMLALRRFLLVSQKRIKSH >Ma05_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18084077:18084388:1 gene:Ma05_g16730 transcript:Ma05_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQWVLHDWSDAECVRILQRCKEAIPSKEEGGKVVIVEMVLNVDDSPPELAETQLLFDLYMMANTSGKQRSEAEWGKLFKSAGFSDYTLKPLLNLRSVIEVYH >Ma02_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28891645:28893558:1 gene:Ma02_g24190 transcript:Ma02_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQTPPATADAPISTPPPSFGKRVTVLCIDGGGVRGLIPATIIAFLEAELQKLDGPEARIADYFDVIAGTSTGGLVTAMLTAPNKEKRPLFAAKDIVQFYLDNSPKIFPQKKFGCSAGLFNSALNLVGAVSGPKYDGKYLHAIIRQLLGDTRLSQTLTNVVIPTFDIKFLQPTIFSTYQTKSTPLKDALLSDICIGTSAAPTYLPGHYFETKDDNGNKRSFNLVDGGVAANNPTLTAMTEVSKEILLSNPDFFSYQPVEYDRFLVISLGTGAPKQEEKFTAQESAKWGVLGWLLNKGTTPLIDIFTQASADMVDIHASVLFQALNKGKNYLRIEDDTLTGQTSSVDVSTKKNLQDLVDIGNSLLKKPVSRVNIETGHSEAVDGEGTNEAALTGFAKKLSDEKRRRQSKQLTSSDATQH >Ma02_p24190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28891630:28893558:1 gene:Ma02_g24190 transcript:Ma02_t24190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQTPPATADAPISTPPPSFGKRVTVLCIDGGGVRGLIPATIIAFLEAELQKLDGPEARIADYFDVIAGTSTGGLVTAMLTAPNKEKRPLFAAKDIVQFYLDNSPKIFPQKNAGLFNSALNLVGAVSGPKYDGKYLHAIIRQLLGDTRLSQTLTNVVIPTFDIKFLQPTIFSTYQTKSTPLKDALLSDICIGTSAAPTYLPGHYFETKDDNGNKRSFNLVDGGVAANNPTLTAMTEVSKEILLSNPDFFSYQPVEYDRFLVISLGTGAPKQEEKFTAQESAKWGVLGWLLNKGTTPLIDIFTQASADMVDIHASVLFQALNKGKNYLRIEDDTLTGQTSSVDVSTKKNLQDLVDIGNSLLKKPVSRVNIETGHSEAVDGEGTNEAALTGFAKKLSDEKRRRQSKQLTSSDATQH >Ma08_p32170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42864742:42870484:-1 gene:Ma08_g32170 transcript:Ma08_t32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPRPESAINENEIRITSQGVIRNYVSYATSLLQERRGREIVLKAMGQAISKAVAIAEIIKKRFPGLYQDTAISSVSITDVWEPIEEGLVPLETTRHVSMISISLSTRELNKNSPGFQVPLHVEQPKRPQRYQQSHQSQQQQQFQQQQQFQPKQAQGQLNEDSYVRGRGRARGRGRGRGWGRGGYSGFAEYDNNQGGYGNYQGGYGYNQGGYGYNQGRYGYNQGGYGGYTHEHDNGGWHSNWGRGGGRSRGSWNYRGGGYGGRGGGGRAGGRGYGRGRGRLVDGPGRGNQV >Ma09_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11889024:11895664:1 gene:Ma09_g16530 transcript:Ma09_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSCGEKPWSREQLNFFRMSSLMLLPDQARAISLNIMPIPGSEKSSVSQHFISPQIHYMQVFSNSVNELYTDAPFSDPRQLCTIPAENILGDFVLPISRVDAAKSYYGSQTRNLFQHFKLIENPLLDQSNNSSIDNVSTTVVNTPYIKRESTSYIGKALSLVRNGEVGTSATVPRSHALTKENSDERKEHAIEIHSREMVHSREDKARAATKNRLRRARITEGIKALQNSIPFSGQGKKESVLDDVIDYIKFLKLQLKVVSQSRLNGEAAIYPFVYVEGYGHYLLHQQCCGEPLEEAMGQLMESNMQSALDLLGSKGLIILPIGPCMLPSSH >Ma01_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6707866:6713237:-1 gene:Ma01_g09280 transcript:Ma01_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKQHLKRLAAIDLQLCSAQVTQSTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVSLNLDLAEVAEFVKKRFGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCSISFSECGGIEIEDNWDKVKTIFLPTEKPMTSEACAPLIATLPLEVRGKIGDFIRGVFAVFQDLDFSFIEMNPFTFVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVLSPAESYIHELDEKTSASLKFTILNPKGRIWTMVAGGGASVIYADTVGDLGYALELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVATTFNGIIRALREKEAKLKAARVHIYVRRGGPNYQTGLAKMRSLGDEIGIPLEVYGPEATMTGICKQAIDCIMSAA >Ma06_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13503130:13512308:-1 gene:Ma06_g19590 transcript:Ma06_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEILDETLELPDDRTSEPGVERSPSDIVDPSLSRSLSSSRLNARAAEFVPRVAQPPSPAPAQIHHGHDPVAHQVMHVFHQTSPSPTYFGPGASSFEYYGGGPAGGFGEHEGGHSGVDPDQSYPARDGLSEEVTQKITKQVEYYFSDVNLATTEHLMRFINKDPDGFVPISVVAGFKKIRALVHNNSQLAMALRTSSKLVVSDDGKKVGRQQPFTESDMEELQSRIVVAENLPEDHCYQNLMKIFSAVGSVKTIRTCYPQPPNGTAAAVNRPTKLEMLFGNRLHAFVVYETLEDAEKAVAELNDEKNWRTGLKLRLFPKFVTKHGQARGRRGHEADINGEEDVCTSTHSNEKQVEDTYHPSEVLHEHESEESFNDRDGAPRRGRGRGRGGRGRGRGQPYNNSRGGGHAVGTPPSSYVIHPDREQPAVAHKQPPGPRMPDGTRGFTMGRGKPVVPTSTV >Ma00_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28158391:28158699:1 gene:Ma00_g03290 transcript:Ma00_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFLGAISAGVGSSSPRSSHPWANRHSGCCRDEPDEQSLTHSRSSSSVGLDNDPSVGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPPSPQFEHFTGQFNR >Ma02_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20191870:20203477:1 gene:Ma02_g10730 transcript:Ma02_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIKRHPHRSNEIPAPAAAAASSSAPSTSTVSPSCASDHRAAASLTPPSPSEPPRSAAAADDRQDYLLSEEEFQMQLALAISASNSEFRGDLDGDQIRAATLLSLGRDRIEQGREEGTAESLSRRYWDYNVLDYGEKVVDGFYDIFGPLGNSANHGRMPSLHELQTRIGDLGFEVIVVNRAIDPALVELEQVAQCILLGCPTAEIGLLVQRISELVMEHMGGPVRDANDMLTKWMEKSTELRATQQTSLLPIGCIRIGLSRHRALLFKVLADNVGIPCRLVKGSHYTGVDDDAVNIIKLAEREFLVDLMAAPGTLIPADVLSLKDTSSNPKVSKNMSPSTSKPEEDHFKDELLGGEHKGGNEVPFLDESTALDKRLRYEKSIVMPSVQSDCNGESSTTSGALSGGNMSLCMQDQPDQFTSLTSATCSKQKGIVGAAVDGDNTGKRKVNMALNPQNAVDSTNLFAELNPFRVTGVGESSPHSKATDSTNGGYQRRSEKVALGPGRSQVPLIWKGQSACNETRNTKQNNIVELSVPRRNHVLNASSSKMPGPAAKVYSGGSTNVAGSSISSNSVGVISAANQTSGTSLSIGYSFSECVESGNAPEKNRNHELDSRHFDLPADKSLVSVLSSGEKYLMDDRTRGVVSKMSQPHELSGHMKNINEKHDPKKCSHDRFLGTSVSSVDQESSSSSQARPSQLDPMLDDVAEWEIPWEDIIIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVQIMRRLRHPNVVLFMGAVTRPPNLSIVSEFLPRGSLYRILHRPHCQIDEKRRIKMALDVAKGMNCLHTSVPTIVHRDLKSPNLLVDKNWTVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEKSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDVPKEVDPLVARIIWECWQTDPSLRPSFAQLTTALRSLQRLVIPSHQETQSPPMPQEISVNLTP >Ma06_p38670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37348286:37350967:1 gene:Ma06_g38670 transcript:Ma06_t38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAEKLPPEFRDSLGVMHGQAETFLKHSEHVRSDFSSSTMDSSSNFQSHLTNEGIDTRESTSQHSIDNGLKSPPSSRQSTDGEAELVEQFEPGVYITFIQLKNDIKMFKRVRFSKRRFAEQQAEDWWNKNKERVFKKYSYPGQATTTLPTSAPNEEEDATPSS >Ma05_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7131415:7132642:-1 gene:Ma05_g09920 transcript:Ma05_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLDEMPSDVVSKVLAFTTPADAGRSSAVSRLFRAASSSDELWKRFLPSNIDEILPRAVAPIEFSSKKELFSRLCDPILIDGGNKSFALERSTGRNCLMVSSRDLWITWGGDNRYWTFTSDYESRFAEVAQLMQVCWLDLGGEIRSTMLSPKTCYAAYFIFQLQENSGGFQSPVQEASISIGAHVSETRVILKPGRRRTTDPTTQIPRARDDGWMEIEMGEFLNDDYEESVRFRFRQVTEMNWKWGLIVQGIEFRPKN >Ma06_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2025922:2032128:1 gene:Ma06_g02640 transcript:Ma06_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSIAALHLLLRSAPAAAVVVAGILLVARRRRSNSPPAVPGWPLIGNLLQLKEKKPHLTFAKWAATYGPIYTIRTGTSTVVVLNSTELAKEAMVTKFSSISTRKLSKALMLLTSNKSMVAMSDYGDFHRMVKRYVLTSLLGANAQKHNRGYRDTMICNVLNILHSEIKSDPSRAIEFRTPFQAEIFRLSLKQALGQDVESIFVEELGKEMTKKEIFNTLVVDPMMGAIEVDWRDFFPYLSWIPNRSFETKIQGMVTRKMAVTRALIMEQKKRRERGEEINCYLDFLLSENTLTEEQLTTLVWEAI >Ma11_p24960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27753462:27760219:1 gene:Ma11_g24960 transcript:Ma11_t24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGILIKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDIFTNQGFYLKVSDSSHATYVSLPDEHNDLILSDKIQLGQFIHVDRLEAGSPVPILKGVRPLPGRHPCVGNPEDLVATNSLGFLDAEKPKPSSDSKHNNSTSSENEKSKLGTSKLTIKTQDVDKKKSSLSKSSSFLSKQAQDVDKKKSSLSRSSSLLSKQACNGKLEEDTVKSRSMNSRSIPSSPTSVYSLPASFEKFSNEIKKQARAKGLKKPASPRVGLLEKAASVLKVTTAGRKSSAGNLLGDLVPSIELGPKALRKSWEGNLEFKGRDCSTLKATKLDTKSESRSTTAPRQKPLTNDKLLPKEDSKIQSPMKKNTASAAADDSDKSTKQRPAAVKKSSEITHSLNFANLVKVAPNRKWTDGSVSWQPVPSSLAKLGKGLLKYRDAAQLAAVEAMQEASASETLIRCLSMYAELSTTSKEDNPQPAVEHFLALHSSLSRAATVTDSLSKTKSRSLAATSPETLPGVDPIPEEVLRASADSRRRATSWVSAALATDLSPFSLYDHKPSPASAASSAVVVLEGSSKTAVASSKVSHQSKSRSSPALASATRGKARGAAPPSPPPEWQRGGGLEEGAALARALEGEAQVWFLGFVERFLDTDAAAPGPSDREQVAAMLSQLKRVNDWLEAIGRRRSEGEADSEPEDGEGPGEGVPTETVERLRKKIYEYLLTHVESAAVALGGGVQLSAPQPPASAGRPGRRG >Ma11_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27753004:27760219:1 gene:Ma11_g24960 transcript:Ma11_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGILIKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDIFTNQGFYLKVSDSSHATYVSLPDEHNDLILSDKIQLGQFIHVDRLEAGSPVPILKGVRPLPGRHPCVGNPEDLVATNSLGFLDAEKPKPSSDSKHNNSTSSENEKSKLGTSKLTIKTQDVDKKKSSLSKSSSFLSKQAQDVDKKKSSLSRSSSLLSKQACNGKLEEDTVKSRSMNSRSIPSSPTSVYSLPASFEKFSNEIKKQARAKGLKKPASPRVGLLEKAASVLKVTTAGRKSSAGNLLGDLVPSIELGPKALRKSWEGNLEFKGRDCSTLKATKLDTKSESRSTTAPRQKPLTNDKLLPKEDSKIQSPMKKNTASAAADDSDKSTKQRPAAVKKSSEITHSLNFANLVKVAPNRKWTDGSVSWQPVPSSLAKLGKGLLKYRDAAQLAAVEAMQEASASETLIRCLSMYAELSTTSKEDNPQPAVEHFLALHSSLSRAATVTDSLSKTKSRSLAATSPETLPGVDPIPEEVLRASADSRRRATSWVSAALATDLSPFSLYDHKPSPASAASSAVVVLEGSSKTAVASSKVSHQSKSRSSPALASATRGKARGAAPPSPPPEWQRGGGLEEGAALARALEGEAQVWFLGFVERFLDTDAAAPGPSDREQVAAMLSQLKRVNDWLEAIGRRRSEGEADSEPEDGEGPGEGVPTETVERLRKKIYEYLLTHVESAAVALGGGVQLSAPQPPASAGRPGRRG >Ma09_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3353034:3357154:1 gene:Ma09_g05210 transcript:Ma09_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5-like protein [Source:Projected from Arabidopsis thaliana (AT1G60660) UniProtKB/Swiss-Prot;Acc:O22704] MELIVIAMILLLLVGALFVIPRSNRKGKARGTHPSVTTKTIRTYTKEEVSLHNTRTDCWIIVKDKVYNVTPYVEEHPGGDAILNNAGGDSTKGFYGPQHATRVFDMVDEFYIGDLKN >Ma10_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7856858:7858757:1 gene:Ma10_g02400 transcript:Ma10_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTSYVDVLPRSSHCTNWFVAEVSCGSGWWTQEENKRFEDALAEFDGDSPDRWEKVAALIPGKSVGDVVSHYRELVDDVTEIEAGRIPCPVYHGASPFTLDWENNYDSEGWKNSYCLSGGKRSGRRAPDHERKKGVPWTEEEHKLFLLGLQKYGKGDWRNISRNLVITRTPTQVASHAQKYFLRLNSGSRDKRRSSIHDVTTDDLPNSRPPSPSSSQPCTIATQSSSAMASASSCQFSETAVSDQQSEVACVFDPSSDSSHRNRFTI >Ma10_p02400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7856858:7858757:1 gene:Ma10_g02400 transcript:Ma10_t02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTSYVDVLPRSSHCTNWFVAEVSCGSGWWTQEENKRFEDALAEFDGDSPDRWEKVAALIPGKSVGDVVSHYRELVDDVTEIEAGRIPCPVYHGASPFTLDWENNYDSEGWKNSYCLSGGKRSGRRAPDHERKKGVPWTEEEHKLFLLGLQKYGKGDWRNISRNLVITRTPTQVASHAQKYFLRLNSGSRDKRRSSIHDVTTDDLPNSRPPSPSSSQPCTIATQSSSAMASASSCQFSETAVSDQQSEVACVFDPSSDSSHRNRFTI >Ma08_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4220569:4220981:1 gene:Ma08_g06220 transcript:Ma08_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTWDILSTLSRQKEIVIVMKIHRRETGEKIYEAIDSIPLSCLVTGNRGFDKIKRVLLGSLTTW >Ma04_p34540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33678042:33678326:-1 gene:Ma04_g34540 transcript:Ma04_t34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDRLAEAVRSKIKRLDDPDPRFLRYASPHPTLADHTPILAVPETRVTTLPNGLRIATDSTRDSRTATVGVWIDAGSRFETDEPTGPRTSWST >Ma09_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2206847:2208738:1 gene:Ma09_g03250 transcript:Ma09_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSSLGIGLSLVSGCLFLALVAELYYLLWWKKRSSREVDHDSRSPAGELLYLCCWRKPSSLSSTALNPRDMTTSVDAAAYSDEGQLQLRSDSSSKDLLLKAFGGEDGMEAELMRLHGLAGPPRFLFTIKEETKEDLESEDGRSRGGRSRKSSRGKSLSELFVSSDTPFLTPLSSPPLFTPPLTPLDCYKQSGFNPLFESTKEEDFIKVWSSPPPKFKFLKDAEEKLHRKKLMEEAGKVHRNGGLVNSTARQESHVSSHPPGVAASHPTGPEEEDGSFITIVIGKNRERGQQHHSSSSQVIPLPSSPSSFRPVQGKRSSSI >Ma02_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26574628:26578415:1 gene:Ma02_g20710 transcript:Ma02_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASFFPVDPQIPIDFKIRKSLTGHGLTVRDAQGSLAYRICAPRRLRCSSSSAPNPRLTKTLFDAAGNPLISVVYHNDEWHGYRGNSQELKDLIFTVRKILYSPFETELHVFLSSTNIGDQKPCFRLKGNPFRRACTIISGNSTVAQTSLLYKLRKIIYSRHKFRLTVYPGNDCVLVIAMLMAFFWK >Ma01_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5030481:5034423:-1 gene:Ma01_g06950 transcript:Ma01_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRKLHLSIIFFLCIVYAIALARRCGALSPDGLSLLAFKAAVSEDPSSSLAGWSEGDEDPCRWPGVSCANITGFAYPRMVGIAVSGKNLSGYIPSELGTLLFLRRLNLHSNRLSGPIPAQLFNASSLHSLFLYDNLLSGPFPAAVCDLPRLQNLDFSRNALSGPLPPAIRGCRQLQRLLLAGNSLSGEIPAGIWAEMVGLVQLDLSSNEFEGPIPPDLGELDSLGGTLNLSHNRFSGAIPSTLGNLPSTVSLDLRYNNLSGEIPGAGSLANQGPTAFLNNPGLCGFPLLIPCEARTEPAAEAPGGRRGAAAAASAGGAGAEAAAGEATGGMRAGLIVLISVADAAGVALMGLVVVCAYWKVKDQEKGCAKLGGEGGRPGRRWGCTWCGAAGEDKGEQGLPSSEDEEEGVAGGGGGAEGELVAMDKGFKVELEELLRASAYVLGKGGKGIVYKVVVGDGAAVAVRRLGEGGGAGGRYKEFAAEVRAMGRVRHPNLVRLRAYYWAPDEKLLITDFISNGNLTAALRGRSGHPSLSWPVRMRIARGAARGLAYLHDCSPRKLVHGDLKPSNILLDADFNPRISDFGLLRLLSLASSPSSSSDAAAPSSSTTGLLGAALPQSAKSTHLDLPSPYRAPEARAAAALPTQKSDVYSFGVVLLEMLTGKPPEMSSPSPSSSSGEQVPGLVRWVRKGFEEARPLSDLADPVLLRDVHAKKELAAAFHVALACTDVDPMARPRMKMVSEKLDRIVS >Ma10_p02730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9340874:9349784:-1 gene:Ma10_g02730 transcript:Ma10_t02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERESSRSRGDGSGSGRRLGRSNAIKNVHYEPATAAAGEESPRLSTHFDPHPPAAAYSTITSFRMGGVDGEVDLICRSLGLSGPEDFAIPTSVWEEARRARSSSDLLLFPPSRDSFSRSPPTLLAASNFFDAIDDRRTLVVDDEAGDKKQLPIGTRRGVEGIRRGFKRLEITLPNKISRLTLNPPPPISLPHVDDGTSTWDIVRSFASGEEEERPAPEHDDGNDAATSTCLERRPFGSEEDGMSDDGEKLRLRSGDTDKELTGSTSASASDSSAVAVITVSPNAILRRSIKSWTRGGRLGSGSFGTVYEAISADGFFLAVKEVSLLDQGNDAKECIYQLEQEIGLLCRFEHENLIQFYGMDKDVSKLYIFLQLATQGSLVSLYQKYHLQNSQVSAYTRQILEGLNYLHGQNVVHRDIKCANILVDANGSVKLADFGLAKEISKLNWAKSCKGTVYWMAPEVVKTKPYGPSADIWSLGCTVLEMLTRHPPYRNLEWIEAFYKIGHGELPNIPNSLSIEAHDFVRKCLQVNPEDRPSAAQLLKHPFVKRSHPDSAGSVQSSPTKARPR >Ma10_p02730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9340792:9349784:-1 gene:Ma10_g02730 transcript:Ma10_t02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERESSRSRGDGSGSGRRLGRSNAIKNVHYEPATAAAGEESPRLSTHFDPHPPAAAYSTITSFRMGGVDGEVDLICRSLGLSGPEDFAIPTSVWEEARRARSSSDLLLFPPSRDSFSRSPPTLLAASNFFDAIDDRRTLVVDDEAGDKKQLPIGTRRGVEGIRRGFKRLEITLPNKISRLTLNPPPPISLPHVDDGTSTWDIVRSFASGEEEERPAPEHDDGNDAATSTCLERRPFGSEEDGMSDDGEKLRLRSGDTDKELTGSTSASASDSSAVAVITVSPNAILRRSIKSWTRGGRLGSGSFGTVYEAISADGFFLAVKEVSLLDQGNDAKECIYQLEQEIGLLCRFEHENLIQFYGMDKDVSKLYIFLQLATQGSLVSLYQKYHLQNSQVSAYTRQILEGLNYLHGQNVVHRDIKCANILVDANGSVKLADFGLAKEISKLNWAKSCKGTVYWMAPEVVKTKPYGPSADIWSLGCTVLEMLTRHPPYRNLEWIEAFYKIGHGELPNIPNSLSIEAHDFVRKCLQVNPEDRPSAAQLLKHPFVKRSHPDSAGSVQSSPTKARPR >Ma10_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9340874:9349784:-1 gene:Ma10_g02730 transcript:Ma10_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESSRSRGDGSGSGRRLGRSNAIKNVHYEPATAAAGEESPRLSTHFDPHPPAAAYSTITSFRMGGVDGEVDLICRSLGLSGPEDFAIPTSVWEEARRARSSSDLLLFPPSRDSFSRSPPTLLAASNFFDAIDDRRTLVVDDEAGDKKQLPIGTRRGVEGIRRGFKRLEITLPNKISRLTLNPPPPISLPHVDDGTSTWDIVRSFASGEEEERPAPEHDDGNDAATSTCLERRPFGSEEDGMSDDGEKLRLRSGDTDKELTGSTSASASDSSAVAVITVSPNAILRRSIKSWTRGGRLGSGSFGTVYEAISADGFFLAVKEVSLLDQGNDAKECIYQLEQEIGLLCRFEHENLIQFYGMDKDVSKLYIFLQLATQGSLVSLYQKYHLQNSQVSAYTRQILEGLNYLHGQNVVHRDIKCANILVDANGSVKLADFGLAKEISKLNWAKSCKGTVYWMAPEVVKTKPYGPSADIWSLGCTVLEMLTRHPPYRNLEWIEAFYKIGHGELPNIPNSLSIEAHDFVRKCLQVNPEDRPSAAQLLKHPFVKRSHPDSAGSVQSSPTKARPR >Ma03_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8872096:8880813:-1 gene:Ma03_g11420 transcript:Ma03_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELMAEVGSEQETTELSENNETEVEVEGRDLLMKENDTDKQSSQLSVKAGNSESVETSAENNLAEISDKTDDTLTEKQVYGALLEKKTDETLLNKQTDGLVTVTMAQIDTGSDQIGGLGSPSTLLENKTASVMTHVKEPHAEDNHTKTYLNDENTGGDCSTQSSTFNPYFDGNLSGSEEEQASFLKELVSFYKKRRMVFRPPKFYGQTLNCLKLWRIVSRLGGYELVTQCRLWPEVGLTFKPPKTFTTVSWSFRTFYEKVLLEYEKHKSRTGQRKNPFIHLPSFMRFENQDGNSSILREDEQGPFKRRKPYQEHAAKVVKTLVNPRKTVEVADFGAPADWVKINVLQSNECYDVYVLVPGLMIGEVHIESDRTGFLIISGQPEQHDNPWGITPFKKVINFPLPIDPHHTTAVAFLHGLLFVRVPIQRRVGWHCGV >Ma04_p39150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36353060:36357615:-1 gene:Ma04_g39150 transcript:Ma04_t39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLLLSILCFISGTLSEESPYVTIIVKGSDIVAETDDSFVCATLDWWPPQKCNYNQCPWGQSSVLNLDLTHPFLSNAIQAFEHLRIRIGGSLQDQVVYGVPTLGHPCLPFSKMSGGLFGFSEGCLSMSRWDKLNLLFQRTGAIITFGLNALNGRHPVHNGMWVGNWNSTNARDFIKYTISRGYPVDSWEFGNELSGSGVSARVSAEQYAKDLIGLKTLLKELYKNSRMRPLLVAPGGFFDQKWYTQLLQASGRDVVNALTHHIYNLGPGDDYRIESKILDPQYLSRITDTFRNLQLTIERHGPWSSAWVGEAGGAYNSGSRRVSNKFLDSFWYLDQLGMASKYNTKVYCRQTLIGGNYGLLDTHTLTPNPDYYSALLWHRLMGKRVLSIDISGSSYLRAYGHCRKGKAGVTLLLINLSKSTKFSVTVRDNLNAIPAEGGDIQTDNSFVHSFKRVLSWMGRKASSESESREEYHLTGKGRDHLSQTVLLNGIPLELTEDGKIPPLNPVYVPASSPLHVAPLSIAFVVLPNFEAQACS >Ma05_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10100532:10101629:-1 gene:Ma05_g13900 transcript:Ma05_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSEEATAMNCSEEVAKEVAAMHFVTTAIENLEMVPDAACGGEEEAENNNLGEKQITAAITAAIVVAVRAQAFLSFPLSLLTILIVITFPLLLIAIVGILTSAFLLVSYYTIVSKYCGTFSSPRGRPRPGPGPDVREAWRFCPSNGLDEAVIGNITVCRSERGDGLVEGTDRAVCPSEFREDESLRLLPKCSHAFHVPCIDAWLKSHSNCPLCRANFVSVNSPAPPPPPAAENSHPVDDDSQW >Ma01_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8351503:8355702:-1 gene:Ma01_g11560 transcript:Ma01_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGRSLEDEEKRSLVETFLGITASTREEALFFLESHDWVLDSAILSFYDDNSDSHQHRQPQDHDDDPADQDEDYIPQEVAAPAAVPPPRVTRSTGAAAAPTARVTRSSASAAALSGSSTAMGSRGDKKPSKPSGSRPTIRTLADLNRSSDPGSDSDSDGPQEYYTGGEKSGMLVQDPSKGGNDVDAIFEQARQMGAMQGPFEPQQSSSSRSFTGTGRLLTGESVPPAPQQPENVVHNIYFWRNGFTVNDGPLRRFDDPENASFLESIRKSECPKELEPADRRSMVNVNLIRREENCPEPARRLTPFQGVGRTLAGGSSDSSVTEPTVDNAASSAVPSSFGLSVDESMPSTSIQLRLADGTRMVARFNTHHTIGDIRAFIDASRPGSARTYQLQTVGFPPKQLNDVGKTIEEAGLANSVIIQKG >Ma07_p00430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:364847:367743:-1 gene:Ma07_g00430 transcript:Ma07_t00430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSIGEKKVIKIDVSSDTVCPWCFVGKQNLQKAMDSAKDQFDFEVRWHPFFLNPNAPKEGVRKTDFYKQKFGPAQYEGIISRMTKVFQSLGFQYDTSGLTGNTMDSHRLITYASTQGYDKQNALVEELFLNYFCQGKYIGDRQVLLDAAQKVGIGGAAELLEDPTKGIEEVQEEFEKYSSGINGVPHYVINGKYKLSGGQPPEVILKAFEAAANDASF >Ma07_p00430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:364847:368989:-1 gene:Ma07_g00430 transcript:Ma07_t00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSATYCRLPLSDTAWLQVETTTRPNTIVDPPSFCCRHISNHSYIGSMTHSIGEKKVIKIDVSSDTVCPWCFVGKQNLQKAMDSAKDQFDFEVRWHPFFLNPNAPKEGVRKTDFYKQKFGPAQYEGIISRMTKVFQSLGFQYDTSGLTGNTMDSHRLITYASTQGYDKQNALVEELFLNYFCQGKYIGDRQVLLDAAQKVGIGGAAELLEDPTKGIEEVQEEFEKYSSGINGVPHYVINGKYKLSGGQPPEVILKAFEAAANDASF >Ma07_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:364847:368876:-1 gene:Ma07_g00430 transcript:Ma07_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSIGEKKVIKIDVSSDTVCPWCFVGKQNLQKAMDSAKDQFDFEVRWHPFFLNPNAPKEGVRKTDFYKQKFGPAQYEGIISRMTKVFQSLGFQYDTSGLTGNTMDSHRLITYASTQGYDKQNALVEELFLNYFCQGKYIGDRQVLLDAAQKVGIGGAAELLEDPTKGIEEVQEEFEKYSSGINGVPHYVINGKYKLSGGQPPEVILKAFEAAANDASF >Ma06_p29530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30886967:30889720:1 gene:Ma06_g29530 transcript:Ma06_t29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERFFGVNWQSMDAGMPPEMNSRAAAADQLPNSFLNLGWEQPMHHDAHFESALSSLVSSPSSNTPAGNDSVIIGELIGRLGSICNSGEVSPPSRYQSANTSCYSTPLNSPPKLNLSGMDHQQQGRGGVPVPGNQMAAGKFSPFAADPGFAERAARFSCFGARGYAGLGGQFGLPEAGKLSRVSSSQSLKAVQMVASDNGKEVPVSEPERPAMETRSKFGGEAEFGNSQEASSVSDRTTAVGAENNARKRKAASKGKAKGAPLSSSNMNPPKPSDGENSDAKRCKPAETDGADKDAAVKPKTEENGDVGRKQGEEGNAKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLAALNPQLDFNMEALLPKDMHQVLGQLPQQVYPLDMASTAFSYAQQPQGTPLQSIVTNSLDVQGSLNPLESSLRRPPTMQLPRLDGFTDATSQLGNLWEDDLQYVVQMGLGQSQGTAFSSQTMPVE >Ma08_p26500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39046215:39048947:-1 gene:Ma08_g26500 transcript:Ma08_t26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPRPSTLKYIIIGDTGVGKSSLLLQFTEKRFQPANGSTTNVEFAAKMITINNEPIKLKIWDTVGQETFRSITRSYYRDSAGALLVYDITRRETFNHLASWLEDLSEHAGANVTIMLIGNKCDLARRRAVSTEEGEQFAEDHGLYFMETSAKTAQNVEEAFINTAAAIRENIEDRGSDVLDEVSLITLGLSFKMMKICCYNLRMMQEKLDMNQSGFQSGRGFQRGVGRCPHLKLVAAAAESYCKSNKVLLLPLFPLDSS >Ma09_p08530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5657574:5662271:-1 gene:Ma09_g08530 transcript:Ma09_t08530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLAALKGWNSSFLLTPRSPPSRRCFRSEAALDALRSHSRTVTAAARSHLVLYNYPSFSGAFAALFAHLFHSHLGLPFLVLPFSSVEPFRAEDFETGAFETCYLLDFIGPKLFSVEMSRIIPRVIAFDHRENTLRRISRIGECLDNLELRIDTKKSSALAVYDFFSEKLFQMKSSQVEIANLLNQEDAERVATVLRHVEDVDLHQWRMPDIKAFNIGLRDERAKLNCITNPFMFEQLLQIDASVLIAKGNSYTRSREDAAKKLLGKAFKIQLGRGLYGKCLAVRADGNSDLSHEIGVELSRRSAAAGLRPIGAVVFMQRCNLKMCLRSTDCGTDTSEIAKAFGGGGNQSSSSFIIRMDEYNRWISAN >Ma09_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5657574:5662271:-1 gene:Ma09_g08530 transcript:Ma09_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLAALKGWNSSFLLTPRSPPSRRCFRSEAALDALRSHSRTVTAAARSHLVLYNYPSFSGAFAALFAHLFHSHLGLPFLVLPFSSVEPFRAEDFETGAFETCYLLDFIGPKLFSVEMSRIIPRVIAFDHRENTLRRISRIGECLDNLELRIDTKKSSALAVYDFFSEKLFQMKSSQVTRRVEIANLLNQEDAERVATVLRHVEDVDLHQWRMPDIKAFNIGLRDERAKLNCITNPFMFEQLLQIDASVLIAKGNSYTRSREDAAKKLLGKAFKIQLGRGLYGKCLAVRADGNSDLSHEIGVELSRRSAAAGLRPIGAVVFMQRCNLKMCLRSTDCGTDTSEIAKAFGGGGNQSSSSFIIRMDEYNRWISAN >Ma11_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21208616:21212679:-1 gene:Ma11_g15520 transcript:Ma11_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQISRDWKAKGEQRLHRSDIEGSKKEHTAMANNCRRGRSNLQSFLDSTTPSVPCHKLPKSCCRAVQEQLTGKDSVEEFFVLGDLWEQYYEWSAYGASVPVCLHDETTIIQYYVPYLSGVQIYTHKATAASRETSGNKSCSDGEYKTLAGLDAASQGFDLDHGNEWRTSEHARHLYFEFFESCSPYGRIPLLDKVLELAQTYPGLTSFKSTELSPASWMSVAWYPIYHIPTTPSVKELSVCFLTYHSLSSLFQDHIKGSMANDLGFTRAGKNGTKSEKKNHISLPPFGLATYKLQGSLWTSFESGDHERINSLFSAANSWLKQLKAQHHDFNFFTTHCMSMRCLPCW >Ma08_p26010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38659253:38667318:-1 gene:Ma08_g26010 transcript:Ma08_t26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISHPPMEQLQDLEYCIDSNPPWVETILLAFQNYILMLGTSVMIPSMLVPLMGGTDADKIRVIQTLLFVSGINTLLQALFGTRLPTIVGGSFSYVIPILYIIRDSSLQQIPDPHERFLQTMRAIQGALIIASSLQIIIGYSQLWGIFSRFFSPLGMAPVIGLVGLGLFDRGFPAVGNCVEIGIPMLLMLIGLSQYLKHYRPFRDIPIFERFPVLICVTIIWIYSLILTAGGAYNHRPARTQNSCRTDRANLISSAPWFKFPYPLQWGAPTFDAGHSVAMMAAVLVSIVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAALYCVLFGLVASVGISFLQFTNMNSMRNLIITGLSLFLGISVPQYFNDTRVSSGHAPVNTHAGWFNGFLNTIFLSPPTVGLIVSIFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >mito5_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:32472:32989:-1 gene:mito5_g00020 transcript:mito5_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFNQLIRHGREEKRRTNRTRASDQCPQKQGICLRVLTRTPKKPNSALRKIAKVRLSNQQHIFAHIPGEGHNSQEHPIVLVRGGRVKDSPGVKSHCIRGVKDLLGIPDRRRGRSKYGAERPSSK >Ma03_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7068805:7074066:1 gene:Ma03_g09520 transcript:Ma03_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRRVAMDQPKHRCFSFASLPVLVIVLFFLSAVTADLSSDKQVLIAFANSIHHSSKLKWNSNNSICSTWVGVTCSLDRTHVLALRLPGIGLYGSIPANTLGKLDHLRVLSLRSNRLTGNLPSDIFSLPSLKFLYLQHNSFSGELPTSLPSALESLDLSYNFLTGEIPTRIQNLSQLSVLNLQNNLFSGPIPDLKLPKLKHLNFSDNNLNGSIPFSLQRFPNGSFTGNPHLCGPRLPQCAAVLPSPTPYNPSLAPQPTFPENHEKSSRKKTNSGLIIAIAAGGLAVLLLFAILLFVCFFKRKERKSGGDSKEKSSGGGRGEKPKEEYSSGVQAAERNKLVYFEGCTYNFDLEDLLQASAEVLGKGSYGTAYKASLEDGMTVVVKRLKEVVVGKKEFEQQMEMIETVGQHPNLNPLRAYYYSKDEKLLVYDYVPTGSFSALLHGNRGTDRPALDWDSRVKIILGTAYGIAHIHSKGGAKLAHGNIKSSNILLNQDLKPVVSDYGLMVLMNLPAKPSRIVVGYRAPETIEARKITQKSDIYSFGVLLLEMLTGKAPLQSQGHDDVVDLPRWVQSVVREEWTAEVFDRELMGSQNTEEEMVQMLQIAMACVARVPDRRPRIEEVIQRIEEIRQSGSESRPSSEDRHKDSNVQTP >Ma03_p09520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7068805:7074066:1 gene:Ma03_g09520 transcript:Ma03_t09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRRVAMDQPKHRCFSFASLPVLVIVLFFLSAVTADLSSDKQVLIAFANSIHHSSKLKWNSNNSICSTWVGVTCSLDRTHVLALRLPGIGLYGSIPANTLGKLDHLRVLSLRSNRLTGNLPSDIFSLPSLKFLYLQHNSFSGELPTSLPSALESLDLSYNFLTGEIPTRIQNLSQLSVLNLQNNLFSGPIPDLKLPKLKHLNFSDNNLNGSIPFSLQRFPNGSFTGNPHLCGPRLPQCAAVLPSPTPYNPSLAPQPTFPENHEKSSRKKTNSGLIIAIAAGGLAVLLLFAILLFVCFFKRKERKSGGDSKEKSSGGGRGEKPKEEYSSGVQAAERNKLVYFEGCTYNFDLEDLLQASAEVLGKGSYGTAYKASLEDGMTVVVKRLKEVVVGKKEFEQQMEMIETVGQHPNLNPLRAYYYSKDEKLLVYDYVPTGSFSALLHGNRGTDRPALDWDSRVKIILGTAYGIAHIHSKGGAKLAHGNIKSSNILLNQDLKPVVSDYGLMVLMNLPAKPSRIVVGYRAPETIEARKITQKSDIYSFGVLLLEMLTGKAPLQSQGHDDVVDLPRWVQSVVREEWTAEVFDRELMGSQNTEEEMVQMLQIAMACVARVPDRRPRIEEVIQRIEEIRQSGSESRPSSEDRHKDSNVQTP >Ma03_p26940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30475539:30476784:-1 gene:Ma03_g26940 transcript:Ma03_t26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALPHRFLNTAKASSYTQSLAPPLRSSSSDASPSLLPNAIFLYHRPVFRTPVGVELVDRVQGIGSGRIRLEGLSPPPPTPPDVVPVAEARKVLRAAQMEAVRTRLRGLGRSCVSYAEFSRVCGEVPGVESDVGLASALDTSGAVLVLGDVVFVRPETVAQAIESMIPAPLAQRSEARAKELKEMEARKVSIDAEAAALVKREMRWGLGVLALQTAGFMRLTFWELSWDVMEPICFYVTSVYFMLGYAFFLRTSRDPSFEGFFHARFAAKQKRLMKTHSFDMDRLNELRRGATTPAKESCDCHQRIDFVEPVH >Ma11_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1018679:1018864:1 gene:Ma11_g01430 transcript:Ma11_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGMKESITQKKIRAEKQKTRAENIKERINQKKMRRIEKREKKLMRPGFEGRKEGYVNE >Ma06_p26250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27941347:27947342:1 gene:Ma06_g26250 transcript:Ma06_t26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWKRKRVGSSGGHLPSPDGTLTEVLPSAASGGGFDDPAAADVLLRLELDDPDPLSIDLHLCSAALRRSRYFAALLSDRWQRPGRGPCPGPGGAISHRLTLKVVACPGSPRGPFDAHVAVLRLLHTLDFSGAIGSVSDALEMIPVASELLFDDCIRACVRFLEAVPWTEEEEDGVLNLIPFLRREESQDLLARVLPVMVEKGDGKSVPEEMLHGLIDSATRSHPNVATIKAFVAKLLRDYPSRDSVRRVLDQAFLTSMETVKDYLGKYASPDFRVAGDNDEREAMQRLNLHAAVVNAKHLHWLVERMIELRVADTAVAEWSEQAALAADLQKTFRDDAWKNIAPGLLSLVIKSTFRVAKAVVSGTTVAPRQVRMKLVRGWLPVLNVCRDIVSPMPTGQKSVIQELEETFLSIISTLPMSDSQELLQQCLSFSTRNIDDCPHLILAFKTWFRRANRPPYDGVRP >Ma11_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27678554:27680263:-1 gene:Ma11_g24880 transcript:Ma11_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLQLASIFVFICCLLFLLDWMNKGFTKYKGRNPKPLPGPWNLPFIGSMHHMVGQLPFRALRELTRKHGPLMLVRIGQVDYAVASSREAAEEILKKQDITFASRPQLVAAQVIVYGATDIGWTPYGPYWKQLRRICFMELLGTKRIRSFTSIRTEETLDLMRDISRSETEHIDLSEKLFGLANAIVCRAAFGKRWDRRERFVTIIKETFDLLGGLCLADTFPSLNFLDILTGSMSKMQRVRRQMDDILGEVIKEHEVKAATSNSERVDEVDLVDVLLRLKDDPKLEIPMTTDNVKAVILDMFIAGTETSSTVVEWAMSELIRNPSIMERAQEEVREAMKGKNRVEDSDTSELKYMKLIIKETMRLHPPAPLLQRRCRETCEVMGYEIEAGTCVFVNAWAIGRDPRHWDDAETFKPERFQGSSIGFNGLDLEYLPFGAGRRICPGIGFGLAVVELALASLLLHFDWKLPDGMKPEELDMRETRGLVASRKTELKLMATTRIPLPATI >Ma10_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24369751:24376540:1 gene:Ma10_g10430 transcript:Ma10_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLREALRRLCLEFGWSYAVFWRVTGFGSPMHLIWEDGYCDQNPGMPRSKVSELLLKEQGVIKSTDSFLELGCQADDGLGVLVHKIMASQVHVVGDGLVGHAASMGKHQWINQNNLDKFGFVSKGFADMNCQVLAGIQTVVVVPVLPFGVIQLGSTQMVLENIEFVDHVKSLLIKLKCGPRALSSDITQKALQEKSQIYSSPGKLISGCRSTDVCTRVDNIWPITAGGCCSGLTSPPAPKSFTESLSSVSSQLNKKHQSTAREVMSSKQTLKTTDTLGKSPVQSTQDRFCKLDIDRVRQVPPADMGSECQNESSTLNSVLLSDSLKALEEELMLTSALGAIETTNNLPTSLEEAKNSPLNLKSVSSNNSDKLGSVGFLSFGVNGCSNSCANVSAHGIPPVLLQTSYSLRSPGISEVSETDQPFPINKVSHGVSNAGTLKENNDLVEASCILSSGSDTRASCSDLLPEIFQSNLDLVWQDQRCKNVCHEPNVTCPVDNCSNVQYLTEYKPLHTPKNDTLLLPLEFASSCDLFSMLGFGQRTHCSNGSLDDVLEHKNSASSCKLGTHMPKFPTDSDACPVVGSSDQISCSGLFSAADSDQLLDAIVSKVNPKAKQETDDDLSFKASLSKNHSSHYAGSPDHGQVHLSKQGKDGLVGFLPVPVKTEPASSSYGKSSCSFEEVGEYPQNSGLHRSQISARVENIQNVKYEFVSDSDSKKVADLGKLNRKRPRPGESPRPRPKDRQMIQDRIKELREIVPNGSKCSIDALLEKTIKHMLFLQSVTKHGDKLKVTGEPKISNKEGGLLLKDNFEGGATWAFEVGTQPTICPIVVEDLNPPRQMLVEMLCEDRGFFLEIADFIRGLGLTILKGVMEARKNKVWARFAVEANRDMTRMEIFLSLVQLLEPASESVAAPSSAAANINTAPTVFHQTSVPARVI >Ma08_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3454839:3455896:-1 gene:Ma08_g05040 transcript:Ma08_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDSEFRCFVGGLAWATDDASLERAFSPFGEIIESKIINDKETGRSRGFGFVTFRDEQSMRDAIEGMNGQILDGRSITVNEAQARSGGGGFRSGGGGGYGGGRRDGGGYNRGGGGGGYGGGGGGYGGGGGGYGGGGGGYGGGGGYGRDRGYAGGDGASRFPRGGGGASDGNWRK >Ma02_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17173669:17175871:1 gene:Ma02_g06130 transcript:Ma02_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLQVLSALDAAKTQWYHFTAIVISGMGFFTDAYDLFCISLVTKLLGRIYYHVDGSPTPGILPPQVSSAVNGVAFVGTLSGQLFFGWLGDKLGRKRVYGMTLMLMVLCSVASGLSFGHSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGLGILAGGMVTIAVSTAFKNRFQAPPYAVDPAGSTVPEADYVWRLILMFGSLPAALTYYWRLKMPETARYTALVAKDAKQAASDMSKVLQVEIEEEQSTVEQIAKAPTNTFGLFSKEFLRRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPKPATMNALEEVFRIARAQTLIALCGTVPGYWFTVALIDVIGRFTIQVLGFFMMTAFMLGLAIPYHHWTTKGNHIGFIVLYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKLGAIVGSFGFLYLAQNQDPAKADRGYRAGIGVRNSLFLLAGCSLLGLACSFLVPESKGKSLEEMSGETEGEEQVAAGPNRTVPV >Ma08_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8557469:8583588:1 gene:Ma08_g11560 transcript:Ma08_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVRRSYSASPTDYKLLEEVGHGASATVYRAIYVPANEIVAVKRLDLDRCNSNLDDIWREAQTMSLIDHPNVISAYCSFVVERFLWVVMPFMAEGSCLHLMKFSYPDGFEEHVICSILKETLKALEYLHRHGHIHRDIKAGNILLDKSGVVKLGDFGVSACMFDKGDRQRARNTFVGTPCWMAPEVLQPGSGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKKFSKSFKEMIAMCLVKDQTKRPTAEKLLKHSFFKHAKPPEISLKSILSDLPPLWDRVKTLQLKDAAQLALKKMPSSEQEAISQSEYQRGVSAWNFDIEDLKAQASLIQDDDDISEMKEDEETMRAFVVSKDPSTSRSNSEKSMFNNKTIPRDCTHGTEFSEAKCSSRDEVLQTELVDTSNQERFDGHDNHRLENNLAPQTSKHDSVCNQGKVEVGKKQQTYSGPLLPSGVSAISLSERGLTSERTESDNQQGTEKYKRDARKITNFSGPLMLPNRASANSLSAPMRSSAGCGDLLEDKIKPNVVQIKGRFSVTSENVDLVKDLPLSNISRRSSQGSSSMRKSASVGDCLVQPKPAPPGQHPKEISNSNPKEFGNSSVPASIVMPHLQNLFQQTSFQRDLLMSLLNSLQQNEIVDVFPSGMPSQTQNLKNEKLLDAAYTERERLLLSKILELQARMIGLADELTAAKLIHFQLQQELNALYCQEEVEEEGEEEYRRNEEIEET >Ma07_p24200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31620212:31622394:1 gene:Ma07_g24200 transcript:Ma07_t24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTGVSAFPEGENIFSWIGTIEGSKGTPYEGLSYKLSLKFPLDYPFKPPLVKFETPCFHPNIDQCGNICLDILQEMWSSAYDCRSILLSIQSLLGEPNNESPLNCYAATLWSNQEGLYVFSILDSLLLFLSVFSALHYIQNNQNCEGNAF >Ma07_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31620033:31622404:1 gene:Ma07_g24200 transcript:Ma07_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRNVDNASAPPPSPAPSSKQPKPPPNPVDTASVSQRLQKELMALMMSGDTGVSAFPEGENIFSWIGTIEGSKGTPYEGLSYKLSLKFPLDYPFKPPLVKFETPCFHPNIDQCGNICLDILQEMWSSAYDCRSILLSIQSLLGEPNNESPLNCYAATLWSNQEDYKKMVHKQYSSGGEALES >Ma07_p24200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31620033:31622394:1 gene:Ma07_g24200 transcript:Ma07_t24200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRNVDNASAPPPSPAPSSKQPKPPPNPVDTASVSQRLQKELMALMMSGDTGVSAFPEGENIFSWIGTIEGSKGTPYEGLSYKLSLKFPLDYPFKPPLVKFETPCFHPNIDQCGNICLDILQEMWSSAYDCRSILLSIQSLLGEPNNESPLNCYAATLWSNQEGLYVFSILDSLLLFLSVFSALHYIQNNQNCEGNAF >Ma11_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6062825:6068282:-1 gene:Ma11_g07590 transcript:Ma11_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVMIFCAAAGFLGLLSAALAFAAEATRIKVSDVETTTLGECRYPRSPALALGLIAAVALIIAQAIINTVAGCICCKKYPNPSDTNWTIGLISFIASWVTFIIAFVLLLSGAALNDRWGQERMYFGEFCYVVKSGVFSGGAVLSLASVALGIVYYISSVSLKNVQPCNSSQNQDIALGHPHTTPQSRTTPVFVHEDTYNRQQFP >Ma07_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3341020:3343331:-1 gene:Ma07_g04530 transcript:Ma07_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGTDASPIESPPRPDAALRAELESLRDSHRELRSRFAAAEESLAGLRIRDLDLSRALEQASEERDSLRIKLIEAEVSAREEEEEASWAQRWELSHLIEIFKARFNEVVEERSRRDGVVSGILDSMRSVRGCLSRIGGRISDENFEEDDGEKSNLEDASEVVSKESRLICQLGVAVESKFTEHDKMRRKEKKELENSIVSLTEENRDISSLLRVALVEKEAVEKSLSKLKGSGEQKRGAILQIAERGLQRVGFGFIKGVIGGESQPDQPSSSSVSATSDGSECEEEVISLASTVERIMRNLRLEITDLRRALEESRSESEDLQSLIEKQEEKIIEGELYIKDLEERQILLAKSVEELTTEIKEAGEEAARWREACELEVEAGKAAIVEREKEVGSLREELRRTKSALDTANGKLSLKEKLAKTAMAAQAAAEATLRLADKRAAGLGERIEELTRQLEEEAEHGRRERTGVGRRVRYVCWPWQAFRVAPAARAGSRSRERRRRMMMLPEMEALLRFNI >Ma06_p34400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34644968:34646731:-1 gene:Ma06_g34400 transcript:Ma06_t34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRVVHLSGQVEEYSRHVTAGEILAANPDHVLSKPCSSQGVVRRILIVSPESELKRGDIYFLIPTSTLPDQKKSSDDKRKKHAGNTRTTEILAEKKQPSHRRHRSGRVGVWRPHLERICEDS >Ma10_p01950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5544119:5553973:-1 gene:Ma10_g01950 transcript:Ma10_t01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKDVENGVGMHDAQGNEARQPLLIHQKESSADSQGSIWMVLLSTAVVVCGSFEFGSCVGYSAPTQSGITKDIGLSLSEYSVFGSILTIGAMIGAVTSGHLADFIGRKGAMRVSAVICIIGWLAIYFAKGAVLLYFGRISSGYGIGVLSYVVPVFIAEIAPKNLRGGLATLNQLLICGGHSVAFVIGTLVTWRTLVLVESPRWLAKVGNQKEFTAALQKLRGEDADITQEAEEIQEYIEDLQTLPKAGIQDLFQSIYIRSVIVGVGLMVFQQIGGINGVGFYASKIFVSAGFSSGKLGTILMGSIQVPITIVGALLMDRSGRIPLLMVSASGTFIGCFITGTSFYLKAQGIYMEWVPTLALCGILVYVGAFSIGMGAVPWVIMSEVFPINIKGIGGSLVTLVNWFGSWAVSYAFNFLMSWSSAGTFFIFSAANAATIVFVAMVVPETKGRSLEEIQESLNSSK >Ma10_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5544119:5553973:-1 gene:Ma10_g01950 transcript:Ma10_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKDVENGVGMHDAQGNEARQPLLIHQKESSADSQGSIWMVLLSTAVVVCGSFEFGSCVGYSAPTQSGITKDIGLSLSEYSVFGSILTIGAMIGAVTSGHLADFIGRKGAMRVSAVICIIGWLAIYFAKGAVLLYFGRISSGYGIGVLSYVVPVFIAEIAPKNLRGGLATLNQLLICGGHSVAFVIGTLVTWRTLVLVGFLPCLLLLLGLVFVPESPRWLAKVGNQKEFTAALQKLRGEDADITQEAEEIQEYIEDLQTLPKAGIQDLFQSIYIRSVIVGVGLMVFQQIGGINGVGFYASKIFVSAGFSSGKLGTILMGSIQVPITIVGALLMDRSGRIPLLMVSASGTFIGCFITGTSFYLKAQGIYMEWVPTLALCGILVYVGAFSIGMGAVPWVIMSEVFPINIKGIGGSLVTLVNWFGSWAVSYAFNFLMSWSSAGTFFIFSAANAATIVFVAMVVPETKGRSLEEIQESLNSSK >Ma04_p33110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32917072:32917395:1 gene:Ma04_g33110 transcript:Ma04_t33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVPWIVNSEIYPLRYRGLCGGLAAVANWVSNLIVTQTFLSLTEALGTAPTFLLFCGISAVAFVFIFLLVPETKGLSFEEVEKMLESNDYKAWRGARTQESKDHNT >Ma05_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34696085:34700883:1 gene:Ma05_g22920 transcript:Ma05_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLWRKAKKAMGLNLCVHVPSAMGDDGFPSGGPAAGWRVSDAAATATSSPAGSVGASEFQALMPSTPTLSQGSLRVSKSGSRSSKKICAICLGSMKAGHGHALFTAECSHTFHFHCITSNVKHGNYVCPLCKATWKEIPFKASLPSEHPHGRARVNPVSWLQEGHMTVVRRLPHADSTNRRREQFPSHFRELEPENFNDDEPLDLLSETVRNSQQNCPKIVEVKTYPEFSAISQSALVENFAVLVHLKAPHASMKQNPSRNHNASSTVSQNSRAPIDLVTVLDVSGSMAGTKLALLKRAMSFVIQNLGPSDRLSVIAFSSAARRLFHLRRMSDTGRQQALQAVNSLVSSGGTNIAEGLRKGVKVIEERKEKNPVCSIILLSDGQDTYTFPSSATGAQHSQLDYKSLVPPSIISGTAIPVHAFGFGADHDSAAMHSISEVSGGTFSFIESEIVIQDAFAQCIGGLLSVVVQEMQLDVECVHPGVQLASIKSGSYRNQLLNDARTGLIEVGDLYADEERDFLVSVNVPCAKEEIILLKVACVYRDPISKDTVHLEVKEVRIQRPEIILSQTPSIEVDRERNRIESAEAMSDARAAAERGDLSDAVSILEQRRMILSESLAAQSNDQLCLALDAELREMQDRMASRQRYEASGRAYVLSGLSSHSWQRATTRGDSTDSASLVHAYQTPTMVYMLNRSQTMCPSPRHPAPPIQHTRSFPSQPQSN >Ma06_p27200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29236894:29239673:1 gene:Ma06_g27200 transcript:Ma06_t27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEGEGEGEGKRSQIERRLESFSREQKDGGKRRGEKPKRKPALTIYMKTLGSSHNKVRFFMCLRFLTFSH >Ma07_p27220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33672700:33676393:-1 gene:Ma07_g27220 transcript:Ma07_t27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYMALLFGAPSFTGNLNILRRTTISERPSPRIRCSAQAAPTRRTANYQPNLWTADRIQSLTSSSATVEEERKTKGIDDLKEDVRKLIYEKKEVEDQLQLVDHLQQLGVAYHFKDDIDDVLRCLYGSLEDINMLLKDDLHATALVFRLLRENGFDVSEDLFNRFRDEKGDFKASLQHETKGILSLYEASYVAKEGELVLDQATDFTTKHLKCLMEKGSLEPRLREHVAHALELPLHWRMQRLHTRWFIEAYQREATMNPVVLELAKLDFNVVQGMYKGELRELSIWWTNLGLAQKLSFFRDRLTENFLWTVGCAFEPQFWEYREIQTKANCLIAMLDDVYDIYGTLNELELFTEAIERWDANKIDRLPDYMKLCFLAIFNAANETGYRVMKEKGLDIIPFLRKAWTDLCKAFLLEAKWYNQGHKPKLDEYLDNAWMSSGGHVFMTNAYCMSDNLTKESLESFSTYPKVARCSAMLFRLYNDLATSTIELERGDAPSSIQCYMLESGVPETAARRKIRELIKANWRGINGDRSSSYGEIFKTVAVGLPRMSQFIYQHGDGYSAPDGETKKQIVSLLFEPLQL >Ma07_p28110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34266213:34269778:1 gene:Ma07_g28110 transcript:Ma07_t28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVPCPKCSVTARYGAWSRNWIRKSTPPDSFLKPAPEPSSLSGSAWVLSSKVPSRRHFPILKAHFQEVAVVSSSNSSSLVSTKSESTPIKGEDSSMVEESANEDAVTDTTISAFMAEVSNLVKLVDSKDITELHLKTEACDLLIRKKEALPQPPVASAPVIMQYPQTMLPPQPSAHVFPSAQSNPVAASPAPALPPPPTTGSKSSLPPLKSPMAGTFYRCPAPGEPPFVKAGDKVQKGQVVCIIEAMKLMNEIEADQSGTVVEILAEDGKPVSVDTPLLVIQP >Ma07_p28110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34266213:34269787:1 gene:Ma07_g28110 transcript:Ma07_t28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVPCPKCSVTARYGAWSRNWIRKSTPPDSFLKPAPEPSSLSGSAWVLSSKVPSRRHFPILKAHFQEVAVVSSSNSSSLVSTKSESTPIKGEDSSMVEESANEDAVTDTTISAFMAEVSNLVKLVDSKDITELHLKTEACDLLIRKKEALPQPPVASAPVIMQYPQTMLPPQPSAHVFPSAQSNPVAASPAPALPPPPTTGSKSSLPPLKSPMAGTFYRCPAPGEPPFVKAGDKVQKGQVVCIIEAMKLMNEIEADQSGTVVEILAEDGKPVSVDTPLLVIQP >Ma07_p20530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28400599:28406472:1 gene:Ma07_g20530 transcript:Ma07_t20530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASEVIPVVDLRLLSQVEINSLSLSCPNAFDLRRCDDVVVPKIDRSVFNESAGSRKQTYSRLRLGPHKPDPAAPSTVASRRPRGLFSPSPSSSSAAVPIDSSLPQASNANDDDPGRRENLQIVFYLRQLFAREENASQTLNISYSTPAQAIPNQTNGNGLQEPGRAELALVVVEDGDREVLNRNGQTVDLIALGQKVDPFSEELRRRTVGLTTEEQLLSFMSGLDGQWASRRRRRRIVDASGFGDHLPRGWKLLLGLKRKDGDVWVYCRRYISPCGKHFVSCKEVSSYILALLGDPNALLLAPIENNASTPRIEKLNTDYAAGPSVQDNYANDVPSCSTVVSFSPSPADCEKQIVLYNSENQLSSQQRSAKRRKLGKLIDECVMVKDGNFECQFCHKMFTERDCYNGHVGVHARCQGPTSEALPDEVGSRELYNPAPLAAVPYELSLAEIKEENSTLKSVAELHLASTSLQHSRANHETDHDWMGTLTTKIPFRSKIVDVLVNHRSVDHCNPKETAHASNITDEQFSSCTSSVDAVVLPVVNVTHVKIAQETSTTSADDQVDNCFHKPKETTEASNVKNIKVSDAKKGEATEFKNVDTRNSKNIEPNSVQVDYHGTNGYNSEIVRNTAKDVHCSPCLDMTSIAPLDMTNTPCGMGTEVEEIFPKSMSIECTISDCNVTGYEPDEIVETNNSLSIHANDSIKSISTAFINMDNVVLENSNELDGSSCAAISEIENNDIEQKLDPENHLINLSGNNSSYVIGIGVDDTFTSNMEENVLVEMDKSSRKIDLASSGSFCSEDIVSESILSMQLNANCINLPYVGGHTSGAERNRDCMFDIDMNESVLGKMNVPGVELHRCFNGSSDGDEGVVTCDAAQGTKGNSLEVDVNLGSPWLPSSDIPIVGMIPDQQYEDNAIAVSQKDKLSGFDQLTLDAVESSEYVQLNGQDSISVCEPTIGLSYVTELDNESVQLGWNISLQSNAFELTSVCVWCSREFSHVATNAEEESDTLGFICPACKAKISGHLSVLSNSSSG >Ma07_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28400599:28406472:1 gene:Ma07_g20530 transcript:Ma07_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASEVIPVVDLRLLSQVEINSLSLSCPNAFDLRRCDDVVVPKIDRSVFNESAGSRKQTYSRLRLGPHKPDPAAPSTVASRRPRGLFSPSPSSSSAAVPIDSSLPQASNANDDDPGRRENLQIVFYLRQLFAREENASQTLNISYSTPAQAIPNQTNGNGLQEPGRAELALVVVEDGDREVLNRNGQTVDLIALGQKVDPFSEELRRRTVGLTTEEQLLSFMSGLDGQWASRRRRRRIVDASGFGDHLPRGWKLLLGLKRKDGDVWVYCRRYISPCGKHFVSCKEVSSYILALLGDPNALLLAPIENNASTPRIEKLNTDYAAGPSVQDNYANDVPSCSTVVSFSPSPADCEKQIVLYNSENQQQLSSQQRSAKRRKLGKLIDECVMVKDGNFECQFCHKMFTERDCYNGHVGVHARCQGPTSEALPDEVGSRELYNPAPLAAVPYELSLAEIKEENSTLKSVAELHLASTSLQHSRANHETDHDWMGTLTTKIPFRSKIVDVLVNHRSVDHCNPKETAHASNITDEQFSSCTSSVDAVVLPVVNVTHVKIAQETSTTSADDQVDNCFHKPKETTEASNVKNIKVSDAKKGEATEFKNVDTRNSKNIEPNSVQVDYHGTNGYNSEIVRNTAKDVHCSPCLDMTSIAPLDMTNTPCGMGTEVEEIFPKSMSIECTISDCNVTGYEPDEIVETNNSLSIHANDSIKSISTAFINMDNVVLENSNELDGSSCAAISEIENNDIEQKLDPENHLINLSGNNSSYVIGIGVDDTFTSNMEENVLVEMDKSSRKIDLASSGSFCSEDIVSESILSMQLNANCINLPYVGGHTSGAERNRDCMFDIDMNESVLGKMNVPGVELHRCFNGSSDGDEGVVTCDAAQGTKGNSLEVDVNLGSPWLPSSDIPIVGMIPDQQYEDNAIAVSQKDKLSGFDQLTLDAVESSEYVQLNGQDSISVCEPTIGLSYVTELDNESVQLGWNISLQSNAFELTSVCVWCSREFSHVATNAEEESDTLGFICPACKAKISGHLSVLSNSSSG >Ma08_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33968019:33985401:1 gene:Ma08_g20140 transcript:Ma08_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGLGSVLHFGNSIGASYFGRSSSMLLCHRRKRFSSSPLITMAQQANEQLLVVVGGGASGVYASLRAKFIAPHLNVLVIEKGKPLAKVKISGGGRCNVTNGLFFDSAGLADNYPRGNRELRGSFFNIHGPKDTIRWFSDRGVELKTEEDGRVFPVSNSSASIVDCLLSEAKSRGVVLQIGKTVSDVSLIDGEQFLLKVEKRTMNFVEYIKADYVLMATGSSQQGYKIAAQLGHSIIDPVPSLFTFKIEDTQLPSLSGVTFPRVKAKLKLKSIQKNLPEYTQVGPMLVTHWGLSGPVILRLSAWGARELFLSNYTGILLVDFVPDIHLEDVKYILCQHKDQLAKTKACNSFPTKFNLVKRFWRYLLEREGLDADILWASISNNHLNSIALLLKQCPFGIAGKGQFKDEFVTAGGVPLSEVSINTMESKMRPNLFFAGEILNVDGITGGFNFQNAWSGGYIAGTRIGELASRQPVKGNSVKET >Ma07_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14062973:14063351:1 gene:Ma07_g16480 transcript:Ma07_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWCLSSELPRQRRLRPRRRVPGDPRLRVRSAGEGLHSQHGGEPAVLRRAAHSSVDIWACPRRLLIAHHGLILYNLDVVYEEEKGGVDVMMEKIGGKDCV >Ma04_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3246321:3249068:-1 gene:Ma04_g04260 transcript:Ma04_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLALPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Ma09_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9375905:9378141:1 gene:Ma09_g13830 transcript:Ma09_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKKKETAASIPAQPMAAALALLFHHHRCHPRHRLLLLLLLVPVYSAPELAPLSDTNALLLLKDSFTNATALSSWTPTNSNPCNPSSPWRGVLCLHDIVTALHLSEMGLSGSINVDALSRFTGLRIVNFANNSFSGPIPPLGRLHALKAIYLSRNHFSDAIPTDFFDGMTRLKKLWLNDNAFTGLIPYSLSKATALLELRLEDNHFSGSIPALILPSLSSLNLSNNHLEGAIPDVYSSFNASSFLGNKDLCGEQLDSQSCKTMTHMGNDKVLVLCFVTVVLVCLAMYIFTKRERSGESALDTVQSQRKLETGATSPGSPHEEYQRAFSSRPKGGSINGYGRMESSRKPVGNGREGGSGGGGDGGDGGGGGGGGGGAADLVMVNDRKGAFGLPDLMKAAAEVMGSGGLGSAYKAVMANGVAVVVKRIRDMNRVGKEAFDAEMRRLGCFVHPNLLPPLAYHYRKDEKLLVYEYIPKGSLMYVLHGDRGLDYSSLDWPTRLKIARGVARGLAYLHAEQPPVEAPHGNLKSSNVLLAPDFEPLLVDYGFLPLVNPAQAPTTMQAHRSPEALADRPVDPRSDVYCLGVILLELLTGKFPSQYLDSAEGGTDVVHWATYAIGEGREAEILGPALVAGAQSSMPDMKRLLRVAVDCTDPEPDRRIELREAVERIEEVAAEVETAAPGERSGRRVGSIGEASERRSDNSFSSAMS >Ma00_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39895164:39896351:-1 gene:Ma00_g04610 transcript:Ma00_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHYLLLLSLLLLLSYSDAAAPRKLVLVQQPPLVLKYHNGPLLKGDYTLSLLFYGRFSAAQRAIVADFIRSLSPNGASPPPPSAASWWQTIARYGGAAVHFSLGPQLIDESYSKGKLLSSSDVATLAARAASGRGSRAISVVVTAADVAVDGFCSSRCGTHGRLPGSAGFVWVGDSASQCPGQCAWPFHQPLYGPQTPPLVAPNGDVGMDGVVINLATLLAGAATNPNGDGYYQGPADAPLEAVTACTGVFGSGAYPGYPGKVLVDPSSGASYNAKGLGGRKYLLPAMWDPVTSQCSTLV >Ma04_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9845563:9845842:1 gene:Ma04_g13020 transcript:Ma04_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKRLRDEKGDASQTPLMFVLI >Ma04_p39420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36590941:36594384:1 gene:Ma04_g39420 transcript:Ma04_t39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSQRAAGAPIPFFAVLCSWARRSSLVAEMVALLMVIGLAVSFLVACSALLRWNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPGFMKNQRLRYGSLFKSHILGCPTVVCMDPELNRFVLMNEGKGFVPGYPQSMLDILGRSNIAAVHGEMHKTMRSAMLGLVSSPMIRDKLLPRIDEFMRSYIDNWSGSVIDIQEKTKEMALMSALKQIASIETGPVSESLKTEIFKLVLGTLSLPINIPGTNYYRGFKARKNLVGLLRRLIEERRISGCSYDDMLGSLLKVDDSSKVKLNDEQIIDLIIALVYSGYETVSTTSMMAVKYLHDHPRVLEELRSEHLEIRKGKSREDGIDWSNYKTMKFTRAVILETLRMATVVNGVLRKTTKDVAMKGFIIPKGWRIYVYTREINYDPLMYPEPLTFNPWRWLDKNLDSHHHFMLFGGGGRMCPGKELGTAEIATFLHYFVSRYRWEEVGGDNILKFPRVQAPNGLHIRVWDNKDQIVQSIR >Ma06_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11211925:11213425:1 gene:Ma06_g16560 transcript:Ma06_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRRVLPPSPSPPPPSSSSRPLDLEVTVVSANHLKNVNWRNGDLKPYVVVYLDPDRRAASKPDDAGSTRPVWNERLALPLPSAETLLFLTLDVFHSKPSETPKPLVGTARSRLKDLLHQDAFAGYATGRGGGAPPSPVRTLELRRPSGRPQGKIRIRLAIRERPCPPPEPRPGYHFPPPPPSSGYYFPSNAPPFPSPPPPHSARDYRNFSPPPPPIPPYGHPIPSHPPPSQYPYGRYSDPYSSGYYSPAAAYYSAPPAPAPAPAQPYYDRPSGYGGPSAPTGYSSGFSAYDHRPKGGKIGAATGLAVGAVAGALGGLVLEEELRYEEEKINERAESSFSARDDYYSDHRADY >Ma07_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2202854:2204544:1 gene:Ma07_g02790 transcript:Ma07_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKTAKLERCNNYLRRVNSAKIISASSRLLFRASILATVALILLFTLHYPPLLLLSHYSANAAARSSHRSLLSSDVTYGGAAWEREVRSSATPRRPSGLTVLVTGAAGFVGTHCSLALKKRGDGVVGLDNFNSYYDPSLKRARQSLLSRHGVLVVDGDINDTPLLTKLLDVVPFSHVLHLAAQAGVRYAMRNPQSYVTSNVAGLVALLEVAAKHADPQPAIVWASSSSVYGLNTATPFSELHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTNNILRGKPITLFRVQDGTAVQRDFTYIDDVVKGCLGALDTAERSTGSGGKKRGPAQLRVYNLGNTSPVPVAKMVGILEELLGKKAKKHVVTLPQNGDVPYTHANVSMAERDLGYRATTDLATGLKKFVRWYVEYYDRKSKKEASA >Ma05_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4123635:4129442:-1 gene:Ma05_g05440 transcript:Ma05_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNKHSMMGDGGGGGSGAEAKGGGGLARQTSVYSLTLDEFQSTLGGLGKDFGSMNMDELLKNIWTAEESQVMAAVAAPVLDAGLQRQGSFTLPRTLSQKTVDEVWRGLVCLPQNPPAAAVAGVSHHQRQPTLGEMTLEEFLVRAGVVREQPAAPSTSLPQRLNGDHSNNGNSNNNAISNVLFGDPPVANNASSLALGFTQASRNSRDVVLTNPIANSSVTNLPMMATAEPSQFVVPHGAVDLGNPQRNRGGGLVGIGDAGLKNGLMSGAVGLGTVAGTVAVGSPANHLASDALRKSGGDLSSVSPVPYAFGGGLRGRKPSAVEKVLERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKELNEELQKKQEKMMDMQKHQVFEIINQQRGPKKLCLRRTQTGPW >Ma09_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13175241:13177304:1 gene:Ma09_g17600 transcript:Ma09_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19150) UniProtKB/Swiss-Prot;Acc:Q8LCQ4] MALAIASSALCSPHLRVQASKPVPGNTRACSRSPTRLHATKGVSSVCQPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPELLRWFAQAELMHSRWAMLAVAGILIPECLEKLGFIENFSWYDAGAQQYFADPLTLFVVQMALMGWVEGRRWADYLNPGCVDIEPKFPNRKNPKPDVGYPGGLWFDFMMWGRGSPEPVMVLRTKEIKNGRLAMLAFMGFWFQAIYTKEGPLDNLMAHIADPGHCNIFSAFVSN >Ma05_p25180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37181205:37182377:-1 gene:Ma05_g25180 transcript:Ma05_t25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEQEKRYALLLAAKDSDYVTKMYNGYFNVFVQAFGEEGESWDLFRVVEGEFPDMEDLDKYDGFVVSGSPYDAYGNDLWILRLCFLLQTLHAMHKKVLGICFGHQVICRALGGRVAKAGGGWDVGIRKVIMVDDDMPRPKLFDRLGETPPSALIIECHQDEVWQVPVGAEVIGFSEKTGVEMFCVGDHILGIQGHPEYGKDILYNLMDRLVSSDCINGCFADDVKASLEEAEPDNQFWEKLCKTFLKGNNNEICCAVKVD >Ma06_p33150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33896893:33897825:1 gene:Ma06_g33150 transcript:Ma06_t33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSILSLSFFFFFFFSLLVTLSHAATFEIVNRCSYTVWAAAVPGGGRQLNQGQSWTINVNAGTTGGRIWGRTGCSFDGSGRGRCQTGDCGGVLSCTAYGSPPNTLAEFALNQFNNLDFFDISLVDGFNVPMDFSPTSGGCRGIRCAADINGQCPGALKAPGGCNNPCTVFKTDQYCCNSGSCSPTDYSRFFKRNCPDAYSYPKDDQTSTFTCPGGTNYRVVFCP >Ma11_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25758289:25759332:-1 gene:Ma11_g21740 transcript:Ma11_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSLGSPGASGGDGKEEKRRSIIGAAGSAVTAPPEKPEAAFDADKLIRTSTRADRSIHLIPVFTILCLVVLYFFSHEPTHADLQTFSGPALRFDTRDAVSGTERGGVTSAAIHESHRGMKASAAARRLRHRKLKST >Ma06_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9238580:9243180:1 gene:Ma06_g13480 transcript:Ma06_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRSARGAEDGGGGGGGSAIPGVDAEVGFAKLQGEDFEYYMQTYSIILGRNSKTSAVDVDLATLGGGMNISRHHARIFYDFPRRRFALEVLGKNGCLVEGVLHVPGTPPIKLDSQDLLQIGDKQFYFLLPSRSFFGGGAPVPRQPSGVSLAAYPGRVGPFNRGILVHDEEEEDDDDDDDGEEEEDEDEVRGAVVARNGGKRIRRDLGNEWEEKLGRAGKAGPSQRPGTKAERRSRVDREADNQQLLALEEKDVISSVATLLADLCAPGEWMPMEKLHAELVEEYANVWHHSRVRKYLTAEDWPQTEAKGRPWFGLLKLLRKYPDHFVINIQLKGKITSEFVSLVPLPS >Ma01_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13851689:13859152:1 gene:Ma01_g18560 transcript:Ma01_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQNASGGSSSRMDLNLYLSLPSLRRSQSRDLGSDLTLSSVHLPWSPIAEEAHVSAPYSPSNALSTPDILPADPLHAADNNNPGSEYNPNSSSREPNYGPESQSFDDPYTLPPVHGNEPIIPERSPLVPSQPVPPLQFVRQPSACGVDAQIGYPPPPQLSSRAAHRQVEATLGVYSQIRLSDLTAQGDALSVQQELREHPEYRFQRLIELTNRLWGRNRRPSNDGQRFDSGAHSLTSPERLMHDIVQSQRALEASRKCAEGKEVEYLDKKNEDKSGNAAANFECNICYELAKDPVVTPCGHLFCWFCLYQWLHAHSVNSECPVCKGHVLEINVTPIYGRGGEETKDHKKCGEDGQSGLKIPPRPHANRIESFRQQVRDRLEEGIANSRRNDVDEEVHDGVRIEGYRPSRRQGRFGAARTLATRRMRRIQREEGTGSNSTGLGLLRDPTSGHPLVPSAVFQDGVDLSRPAGSPTSSLNHHSPEPLHQHSHRVEPVMVSDQASASSSVAVIHGDTAGVNPSAGTSAAGPSNSGRRRARNSALGSSDVDGVRNTRNRRLN >Ma10_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25647886:25655215:1 gene:Ma10_g12610 transcript:Ma10_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACASSSAASLLTLHMLRPSSAHRHPFAVAVAATHLYPSPTVAGFKASSSASSLIDLRLCRTTSLPLLLPSSQRCHQRRPPRKAISAVFERFTERAIKAVIFSQREARALGQEMVFNQHLLLGLVAEDRGPGGFLGSGITIDRARKAVRTIWTEAAAADPTRMRPPSSGLATDVPFSISSKRVFEAAVECSRNMGSNFIGPEHIAIGLFNADDGSAAQVLRSLGADPSRLALVALSRLQGELAKDGREPLASSGKIQKKSPAGKPVSLKYPEKTKEKSLLTQFCVDLTARASEGLIDPVIGRDTEIQRIIQILCRRRKNNPILLGDAGVGKTAIAEGLALRIVKREITSFLAAKRILSLDVGLLMAGAKERGELEARVTGLIREVRKSGDIILFIDEVHTLIGSGIVGRGNKGSGLDIANLLKPSLGRGELQCIASTTLDEHKTHFENDKALARRFQPVFINEPSQEDAVKILLGLREKYETYHKCRFTLEAINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMDAFKRKKEEQISVLSKSPEEYWQEIRAVQAMHEMVLTNKLNYSTDLRVTDESKIMGDVKDASESSISSSLNYDEQITVGPEEIATVASLWSGIPVKRLNADERKLLVGLDEELKRRVIGQDDAVSAISRAVKRSRVGLSDPGRPIAALLFCGPTGVGKTELTKALAASYFGSEEAILRLDMSEYMERHSVSKLIGSPPGYIGYGDGGTLTEAVRRRPFTVILLDEIEKAHPVIFNILLQVFEDGHLTDSQGRRVSFKNTLVVMTSNVGSEAISKGKRSIGFLISKDEQSNSFAAMKALVMEELKAYFQPELLNRIDEVIVFRSLERTQMMEILDIMLKQVKSRLLSLGFGLQVSDAIKDLVCQQGFDRSYGARPLRRAVTRLVEDVISEAILTGDYKPEDTLMLDVDASGNPVVNRIPDQTIHLSDVSSPFQ >Ma08_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2704514:2707139:-1 gene:Ma08_g03750 transcript:Ma08_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLEMMRLIYLFFSVLFPALILESSSAAFTPADNYLLACGSSQDVSVQGQLFVPDSQQSSFSLRTSGDGTFSASNSALPSPVYQSLRIFQELAHYSFDIRQEGRHWIRLYFYPAPNSGHDLSSAPLTVVTDEFVLMNNFTFKNSNRTYLFKEYLVNVTSDSLVLTFIPSNGSVSFVNGIEVISVPDGLIYDQALAIPNAPFSGLSVLGLETMYRLNMGGPLLTPQNDSLGRTWENDAKFLHVNSSAVKVSVDLATITYPDGITIETAPRWVYSTAEAMEDANVTDLNFNITWVFSVDPSFLYLIRLHFCDIVSKALNTLVFNVYVNSDIAIASLDLSSLKGELAVPYYKDFVSSSSNGSNTLSVSVGPDTMADFSNAILNGLEIMKISNDAKSLDGVYAVKDLLPESPSGRNKLGIVIGIVLGSLVVIALATLSYCCFVVRKRKTRPHDHPWLPLPFYGNSHTISKVSTTSQKSGTASCISLASTNLGRVFMFQEILDATNKFDESLLLGVGGFGKVYKGTLDDSTKVAVKRGNPRSEQGLAEFQTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTNLPSLSWRQRLEICIGASRGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCARPALNPVLPREQVNIAEWAMSWQKKGMLERIVDPALVGKIHPGSLRKYGDTAEKCLAEQGVDRPTMGDVLWNLEYALQLEETSSLADPYENSIRSISGIMLPQMEPLDSSISVVEGVNTSTDDDAEDATTSAVFSQLVNPRGR >Ma02_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22647003:22649442:1 gene:Ma02_g14670 transcript:Ma02_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATLGGVTALLLLIVSAAAAASESSPALRESVATLPVAASGGQINSNSVLVALLDSHYTELAELVEKALLLPSLEAAVGRHNVTIFAPRNEALERDLDPEFKRFLLEPGNLRSLQTLLLYHVVPSRVASDSWPQSRRPTLAGDHVQLSSSSSAGAAVDRKVGLAAVVHPDAVVRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPAGAPPVLPIYDAMAPGPSLAPAPAPGPGSGKHWFDGESQVKDFIQTLLLYGGYNEFADILVNLTSLATEMGRLVSEGYVLTVLAPNDDAMARLTADQLSEPGAPEAIMYYHLIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVMAREADGSVKFGAGEGSAYLFDPDIYTDGRISVQGIDAVLFPPDEEAPPASLLSPAAHKAEVAQVKAKAKLKAKLRRGKLLEIGCNLLGAFGQRSHFATCQ >Ma02_p14670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22647002:22649442:1 gene:Ma02_g14670 transcript:Ma02_t14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATLGGVTALLLLIVSAAAAASESSPALRESVATLPVAASGGQINSNSVLVALLDSHYTELAELVEKALLLPSLEAAVGRHNVTIFAPRNEALERDLDPEFKRFLLEPGNLRSLQTLLLYHVVPSRVASDSWPQSRRPTLAGDHVQLSSSSSAGAAVDRKVGLAAVVHPDAVVRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPAGAPPVLPIYDAMAPGPSLAPAPAPGPGSGKHWFDGESQVKDFIQTLLLYGGYNEFADILVNLTSLATEMGRLVSEGYVLTVLAPNDDAMARLTADQLSEPGAPEAIMYYHLIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVMAREADGSVKFGAGEGSAYLFDPDIYTDGRISVQGIDAVLFPPDEEAPPASLLSPAAHKAEVAQVKAKAKLKAKLRRGKLLEIGCNLLGAFGQRSHFATCQ >Ma11_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26845716:26847522:-1 gene:Ma11_g23540 transcript:Ma11_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein CP33, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52380) UniProtKB/Swiss-Prot;Acc:Q39061] MATVVSIRSLHGVPTLSSHSPAHRDRTSPSHHYSLPRSRGPGSLRLKTLVLLPFPSFTFSPSRLHNSTSLFASAAASYSGDEDEDVEQFEDEQQDDDEEEQEWNRSKASTRAVFLRQEVGRLYVGNLPFTMTPAELAEVFSEAGTVETVEVVYDRVTERSRGFAFVTMASVEEANEAIRMFDGSQVGGRTVKVNFPEVPRGGEREVLGPRMRSRGFVDSPYKVYAGNLGWSLTSQALRDAFFSQPGLLGAKVIFDRDTGRSRGFGFVTFASAEECQAAIEAMNGVVVEGRPLRLSLAEGRPSTSSSQESRVEAQSQGSSLESSLSY >Ma07_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:853218:860217:-1 gene:Ma07_g01110 transcript:Ma07_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSFVTSLGTSLIIFVVLMLVFTWLNRKPGNAVIYYPNRILRGLDPLEGRTVTRNPLTWIKEAVKASEADVIAVAGVDAAVYLVFLSSVLAILVFSGIILLPVLLPVAATDNGTRSTIDSNSQGTFKNLDKLTMGHVKEGSSRLWAFLLGVYWVSFVTYYVLWKSYTHVSDLRAGAKTSAVVKPEEFAILVRDIPAAPPGQSMKDHVDSYFRALHPETFYKSMVVTDNKTANKIWEEKEGYRKKLARAEVVYAESKTTGKPEGTRPTNRTGFLGLVGPKVDTIDHCNEKIQELLPKLEAEQKITLKEKQQGAALVFFSSKPAAVSASQTIHAQKIDTWTMMEAPEPQQVLWTNLSKKFYEREIRSLIVYGIVFLTVCFYMIPIAFVSAFTTMENLKKYLPFLKKIVDQPEIKTVLEAYLPQIALLVFMALLPTFLMYLSKEEGIPSESHAVRAASGKYFYFIVFNVFLGVTIGGTLYGSLKEIIKKPSQIITLLGSSLPKSATFFLTYVALKFFVGYGLELSRLVPLIIFHLKKKYLCKTEAEVKEAWAPSDFGYATRFPNDMLIVTIVLCYSVIAPIIIPFGVVYFGLGWLVARNQALKVYVPRYESNGRMWPHMHARIVAALIVYQITMLGYIGILRKFYYAPFLIPVIAISFIFAYVCKQRFYLAFFHTPLEVACEGTKEIPNMESIYAAYIPPCLSTEKLHDADQFEDAHSHSLRTTSL >Ma03_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5548065:5548709:1 gene:Ma03_g07810 transcript:Ma03_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAALTVGLASPSSATQKRAVSGSDAFFHSMRNPTKAGRQTPRPQRRSLQIRASSSTPSSVKEQALAGLTAAALAAALVIPDVAEAAQPGLSPSLKNFLLSIVAGGVVLSAIGGAVIAVSNFDPVKRS >Ma03_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26958310:26958604:1 gene:Ma03_g22130 transcript:Ma03_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSLSVDVLRKGVSLNLYCRRIRSPRFSFSSILHFVFISLFCCSCPFLVLFFWVLW >Ma02_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16497703:16498489:1 gene:Ma02_g05160 transcript:Ma02_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma06_p35030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35066289:35067018:1 gene:Ma06_g35030 transcript:Ma06_t35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANRLAQANAASGMAVNDDCKLKFLELKAKRTYRFVIFKIDEKQKEVVVEKVGEPSSSYEDFAASLPTDECRYAIYDFDFVTEENLQKSKIFFIAWSPDSSRVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVFRSRAN >Ma06_p35030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35065647:35067018:1 gene:Ma06_g35030 transcript:Ma06_t35030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLELKAKRTYRFVIFKIDEKQKEVVVEKVGEPSSSYEDFAASLPTDECRYAIYDFDFVTEENLQKSKIFFIAWSPDSSRVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVFRSRAN >Ma07_p26160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33069920:33078010:-1 gene:Ma07_g26160 transcript:Ma07_t26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMWFCILLLVSVLNIGGALSDSTYLIGLGSYDITGPAADVNMMGYANAEQIASGVHFRLKARTFIVAEPGGNRVVFVNLDACMASQLVTIKVHERLKSRYGNMYNEKNVAISGIHTHSGPGGYLQYVVYIVTSLGFVRQSFDAIVDGIEQSIIEAHENLRPGNIFVNNGELLDASINRSPSAYLNNPATERSHYKYDVDKEMTLLKFVDEKWGAVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQEGLPKGSDSIYHGAVVTGPRHSRFYRRVSIIIPQPHENAYEFEQLASSFPASGGRHLASSKSVSQRVRDRQDGKPKFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGDRQFVKAVELFDTASELVKGKVDYRQTYLDFSKLEVALTDGDQKVVKTCPAAMGFAFAAGTTDGPGMFDFKQGDDKGNAFWKLVRNILKTPSQEQIACQQPKPILLDTGDMNVPYDWAPSILPVQIIRIGQVVILCVPGEFTTMAGRRLRDAVRTVLTSDGSGEFGSNVQIVISGLSNTYSQYVTTFEEYQIQRYEGASTLYGPHTLSGYIQEFKKLASALLNGKSFGSDLQPPDLLDKQISLLPPVVMDATPAGVKFGDTSADVPENSTFRPGDMATATFWSACPRNDLLTKGTFSLVEFLDSSTWVPAYDDDDFSLRFKWSRPSQLSSYSHATLEWRIPETATAGVYRLRHFGASKSLLGKISHFTGTSRAFVVL >Ma11_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27622969:27625102:1 gene:Ma11_g24740 transcript:Ma11_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSLLLGPSPLPTLFIILILPLSLLLLLRLGLKRNNLRARTHGMPPSPPKLPFIGNFHQLGSHPHRSLHALSQKHGPLMLLHLGQVPTLVVSSPDGARDVMRNHDQVFASRPALKPVKVLFGGTTDVAFAPYGDSWRQLRKICVFHLLSSIRVQSYRLIRLEEVDFMIRKISSQASPTTSVDMSEIFYYFANDIICRVVSRKLNREEGRNVLFRELIQEFSVLLSKFYVGDYFPWLGWVDVLFGSMERVNKSKKRWDDLLDGVIQEHEDRSAAEGDDGEKDFVDVLLSLREDRGGNHALLTPQTIKALLIDIFSGATETVYVALEYAMAELLRNPRMMAKLQHQVRGIASRTKGTVKEEELDEMVYLKAIIKEVLRLYPPAPLLVPRELMEDCQIQGYNIPKKTRVIVNAWAISRDPSHWEAPDEFKPERFMGDGAMDFKGNDFEFIPFGAGRRICPGMGFANASLELALATLVYHFDWELPGGMTGEDVDMSEAFGVVLQRKQRLHLVAKPWSIGQEEQHL >Ma04_p39890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36875872:36879648:1 gene:Ma04_g39890 transcript:Ma04_t39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTCLPNTNNSRPNPTSKLKKNPMGANPFTFLALLHLLLFHSVIAVIPAAANIGTAEPFIGVNIGTDLTDLLPPADLATFLKAQQIKHVRLYDADPGILSALAGAGVSVAVGVPNNQLLALGSSPATADAWVARHVLPFHPATPISAVAVGDEVPAALPSALPLLLPALRSLSAALAAANLSSIPVSTPLPFSVVLDPFPPSQAYFNQTLANSFFLPLLRFLADTASPLMLNLYPYYAFVQSRGAVPLDNALFKPLSPSLEEIDPNTLLHYTNVLDAMVDAAYVSMRNLNFTGVPVLITETGWPHNGSRRDEPYATANYASTYTSNLIRHMLDRRGTPLRPEATPSVYIYELFDEDLRPGPLSEAHWGLFYGNGTPAYLLNVAGAGGFLANDTTNRTYCVAAEEADRRSLQAALDWACGPGRANCSEIQPGESCYQPNDVRSHASYAFDSYYQMQGKAAGSCFFQGVAMITTTDPSHGKCIFPGSKQRSATGAGTNKAESSKAVVSPTSRLGKGRLYEHIVPMILNSILLVVCVSI >Ma05_p28770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39650423:39652310:-1 gene:Ma05_g28770 transcript:Ma05_t28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLILELSTALLSILLVFFFRSSNIFFKLPANLSFQVPVNLTSDYGRIIHGSPAAVLKPSSPDDISLLLKSIYSSPLHNDVTVAARGTGHSTHGQALAPGGFVIDMRSLPLSITIVDGDGTSYVDAGGGALWIDVLNETLRHGLSPRSWTDYLYLTVGGTLSVGGISGQTFKQGPQISNVVEMDVVTGKGEIVQCSEQENSDLFHGVLGGLGQLGIITRARIKLRPAPPMVAWIRVKHHDFDQFTRHGELVVNSGEVDYLEGFIKFGDAPQSHQPKNAFSRFGAERCVKPRQPTAYYLLEMAIYYDDDDVSDAAKKRAKVLARVSSMTSVEILEVSYLDFLNRVREEELSLRRMGLWDVPHPWMNLFVPRSQIRRFHDLFLRTMSDSCVNGPIIIWNLNTSIVVPQDEAGEDVFYVVSVLRAAPPICTVGSSCLDGLMQQNEQMIRMATARGSSHGGGGMGAKQYMPYLRCEEEWREHFGQKWKRFEELKSKFDPLNVLAPGQRIFKRRKPLKASAEAGEL >Ma04_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1836722:1837761:1 gene:Ma04_g02090 transcript:Ma04_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASNFMTSFQILAKALKLLKLNLKPLLPIMLLSTILSSALLFDVRTHLSPLILDPFVMPFPMATSFEDYINFEFGATHDIKELLAITIFVLVEHTLYLPTITVTIYAVSAAYSTAAATHLTLSGVMRSLKACFITRLWVTMLELAFNLLIASVNMMSVGIWIFGIVLAVASVLQNYLRVVWVLALVVSVVEDYSGVAALRRALQLVRGNFAQTWRLSVFAFQTGIHFFVAHNLASSLLCRRPIVGVAMSVFSAVGVAFWVMLALAAEVVFYGECKKKRRGGEETAVKGGPGNDVDEEPSNTHEHQL >Ma09_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32870779:32871224:1 gene:Ma09_g21560 transcript:Ma09_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDNIKERTPAILRLIPSFYFTAKYGDQGWMIMLTSLLGLTIGYLTVCVLTEAPKGSKGTRAKCLGKLACVLSFSWYILWGGPLLALAQRQGLVMLYRL >Ma11_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25227906:25228647:-1 gene:Ma11_g20840 transcript:Ma11_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMALLFLFVAMFLMCFGIGVVFMVYMCILWSSILQNGEGEKGGKGLSTAELERLGGAADGGAVAGQECAVCLEDIEAGQAARVLPDCRHAFHRPCADRWLSAHPDCPLCRAYLHPPPPPPSVPLQVVLSA >Ma03_p24580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28869430:28872923:1 gene:Ma03_g24580 transcript:Ma03_t24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSCIFRKRKTSRRQHDQPSEDIPGTEVAKIYTYKELKNATDDFSPANKVGEGGFGSVYKGRLRDGKFVAIKVLSSESKQGAREFLTEITVISGIIHENLVKLYGCCVEGTHRILVYNYCENNSLAQTLLGSSHSSIQLDWRTRAKICVGVARGLAFLHEEVRPHVVHRDIKASNILLDKDLTPKISDFGLAKLLPANMTHVSTRVAGTIGYLAPEYAVRGQVTRKSDVYSYGVLLLEIVSGRCNTNTRLPYEDQFLLERTWGLYECGKLANIIDNSLTDDLDVEEACKFLKIGLLCTQDTMKLRPSMSNVVKMLTGKKDVDSEITKPGIIDDFMELKIRSKNKADPMSSSGISPALEKSPSSSENTTYASMTFTSISERD >Ma03_p24580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28868392:28872923:1 gene:Ma03_g24580 transcript:Ma03_t24580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSCIFRKRKTSRRQHDQPSEDIPGTEVAKIYTYKELKNATDDFSPANKVGEGGFGSVYKGRLRDGKFVAIKVLSSESKQGAREFLTEITVISGIIHENLVKLYGCCVEGTHRILVYNYCENNSLAQTLLGSSHSSIQLDWRTRAKICVGVARGLAFLHEEVRPHVVHRDIKASNILLDKDLTPKISDFGLAKLLPANMTHVSTRVAGTIGYLAPEYAVRGQVTRKSDVYSYGVLLLEIVSGRCNTNTRLPYEDQFLLERSPGITSGLLILQTWGLYECGKLANIIDNSLTDDLDVEEACKFLKIGLLCTQDTMKLRPSMSNVVKMLTGKKDVDSEITKPGIIDDFMELKIRSKNKADPMSSSGISPALEKSPSSSENTTYASMTFTSISERD >Ma03_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28869428:28872923:1 gene:Ma03_g24580 transcript:Ma03_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSCIFRKRKTSRRQHDQPSEDIPGTEVAKIYTYKELKNATDDFSPANKVGEGGFGSVYKGRLRDGKFVAIKVLSSESKQGAREFLTEITVISGIIHENLVKLYGCCVEGTHRILVYNYCENNSLAQTLLGSSHSSIQLDWRTRAKICVGVARGLAFLHEEVRPHVVHRDIKASNILLDKDLTPKISDFGLAKLLPANMTHVSTRVAGTIGYLAPEYAVRGQVTRKSDVYSYGVLLLEIVSGRCNTNTRLPYEDQFLLERSPGITSGLLILQTWGLYECGKLANIIDNSLTDDLDVEEACKFLKIGLLCTQDTMKLRPSMSNVVKMLTGKKDVDSEITKPGIIDDFMELKIRSKNKADPMSSSGISPALEKSPSSSENTTYASMTFTSISERD >Ma03_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6817258:6817485:-1 gene:Ma03_g09250 transcript:Ma03_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFAQLEILCEKLYTSQNSPERIQAESNLKCSSVNPDYIPQCQYILDNASTPYALVFASSSLLKQKTENRLSFW >Ma02_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21046310:21050886:1 gene:Ma02_g12240 transcript:Ma02_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G07440) UniProtKB/TrEMBL;Acc:A0A178UEW8] MNALAATSRNFRQAARILGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPSELSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVIYATKSLLAEHGKSISGSTFVIQGFGNVGSWAAQILHEEGGKVIAIGDITGAIKNPNGIDIPALIKHRSEGGAVKDFKGADPLDKDELLVHECDVLIPSALGGVLNRDNAANVKAKYIVEAANHPTDPEADEIFAKKGIPVLPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNMELHKYMRSAFNNIKAMCKTHDCNLRMGAFTLGVNRVARATLLRGWEA >Ma06_p26240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27933644:27938039:-1 gene:Ma06_g26240 transcript:Ma06_t26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASIPSGGFLAGRTPVLGLPVYALLFISAAALLVALLLFLLRRSRARMKRRSPALIPTSTKEIAEIPTAAPSEHDKGIKEMKNAAVAKAEKPSEASCSSSSSSTEKEKPEKKENIGWGRWYTLAELEAATAGFSPGNVIGEGGYGIVYRGVFPDFSVAAVKNLLDNKGQAEKEFKVEVEAIGKVRHKNLVGLIGYCAEGVKRMIVYEYIDNGTLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKVLGSGSSFVTTRVMGTFGYVAPEYACTGLLNESNDVYSFGVLLMEIISGRSPVDYSRPVGEVNMVEWFKRTVQSKREEEVVDPLIEVKPSPRALKRVLLVCLRCIDMDAQKRPKMGQIVHMLEGDEFPFRMELRSAREGTLSSSMSAKLAPPANSERSMW >Ma02_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25962423:25963930:-1 gene:Ma02_g19770 transcript:Ma02_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNKGSWTPEEDLRLIAYIQKHGHGNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGNFTEEEEDNIIKLHGLLGNKWSKIASHLPGRTDNEIKNVWNTHLKKRLAFKEQNNPEEALSSSSSSTLPSCSDQGDNKSNGEQNNPCLHSHNSSLEVIEIPIEPDVDLWSMLDEEPRGSTMIISSASSTELSAMNHGVDPEVVPDVLIDPDIWSMIEDEDACSLTWEGLQSNNSFAVEEESNREGNDGEWLEYLEKELGLREAAAVEDQGSPLRGAVEQPCPWVEREDGDTVSCYLQEGPSCPSRSDRLEIVLID >mito9_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000020.1:331177:332300:-1 gene:mito9_g00040 transcript:mito9_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKFTFFTQFFWSCLILFLFYILICNDGYGVLGISRILKLRNQLLSHRGNNIPIGDPNCFADISIKGFRTGVSYMYSSLFEVSQWCAIISSFDSFGKRRIITFIESLGEISGSRGMERRIIYLISKSSYNTSSSRITCRNSIMLIHVPHGQGSIFNTP >Ma02_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13014226:13014321:1 gene:Ma02_g02020 transcript:Ma02_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLCTGSDLCTPEVIVSFMLMFSFCCDRKF >Ma09_p28010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38857995:38865422:-1 gene:Ma09_g28010 transcript:Ma09_t28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESQKHLLSLIRNFASEKSQEELRVSDRKKRLLELQNDLNVANADLDGAKRSREMVEQELRGSQVQLSMIGASIHAQEARISLLQEEILKLRSDLDTLKSEVRFMRDEFVNSMCELNKKIRLDMQGFLKGLEDNITCLSTQMHELEAEYEKERHNRDKVCEQLAHVERRWFLVTAIMEETKQLQELAKQTSELEKVYASLGEDLQKKCTCPGCGSNNIEDGGN >Ma05_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9201830:9202440:-1 gene:Ma05_g12740 transcript:Ma05_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSGNQPPAPLPTSDVAPLDDSAYKVGRLSVEERKEKIHRYMRKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELGEVARLSSSSHEFDDDEEAVVKEEDILDSSDILAHISGVNSFKYNYTLESWI >Ma07_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12230489:12230855:-1 gene:Ma07_g15970 transcript:Ma07_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLETWGRFCKVQQCDVFLWSTRR >Ma04_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15872772:15873759:-1 gene:Ma04_g16480 transcript:Ma04_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDCINGLLFPEERLFSEWPHFGDVLGETDLKPLPESLARLDDTMGFSAPSLFCDTCSATVPCQCNACSESLEKMESDDIDLDSFAWSCNLSSDAVDVRLDPLPLPACDLPFGPFETSGEGGDVPIWDGRKTSEKRGCGRASRLNGLGFDEIKNYFYMPITKAAKEMNVGLTVLKKRCRELGIARWPHRKMKSLKSLVRNVQAMGKGTFEEDGVRKELETLEEHRRLMEENPEIQLTERTKKLRQACFKANYKRRRLLHHPCF >Ma03_p00120.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:181355:192909:1 gene:Ma03_g00120 transcript:Ma03_t00120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G36960) UniProtKB/TrEMBL;Acc:F4IP39] MENQTSPTENLLINNANNTSPSSSSMCTDTQNPVKKPTRQWAAWTRQEEENFFNALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGFCFDAKNSKDTNAAMLRWWSLLEKHSCTASKLHLKPRRFKIFIEALENQLLKDRNKTRRKRLPEDMYSPTSTTILSKGPGNDIYPVKLLTVESPSTNKSTASRGTFHKNDMFSNMNCRRDLSSMRPLRPKRRPGVVASAEYKRWEKAAIAGVSLVADAAEELERATNNISFSYSEETYHVLANKICTNTGICSTEMAKAIIEPSLKLKLQLFPVDEQTLNVLEKDGHNPHLELTLSGRKRISSVVEHLNRKWGDSSVAVGEIMLFPFSVKQEDSASSKRWTSKDTVVSAADVYATVGSPAIFRLRYGWFSTAEAGSEGIEVSHTTLCPDDCLHSEDTENENQAVSVKDLATSVVDLPEPPEADCVQCEERFPEPLQPSYGEKARAQSSWKNKDVVNTEEICVLMSAGEWADSLTNISVGDLLNEASKAANSKHMNFPNQPSVSCLKQASFSCDSFDAAIAAHMSGHHLSSLSDKASQPSIWDAEETCDEFSFQMLSAQAQERLKPASSTCTDGDEQFSSKSSPGIQNFLKDFGEQRSKDDPRCDKSEVETCKDRISSDGSNHEKDPCLADIYWSDSLGPLDLDMPPSKFQGQDLIFSDSLSLSSLSRLLANSLDEFQNCSLFCLDSKDPA >Ma03_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:181355:192908:1 gene:Ma03_g00120 transcript:Ma03_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G36960) UniProtKB/TrEMBL;Acc:F4IP39] MENQTSPTENLLINNANNTSPSSSSMCTDTQNPVKKPTRQWAAWTRQEEENFFNALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGFCFDAKNSKDTNAAMLRWWSLLEKHSCTASKLHLKPRRFKIFIEALENQLLKDRNKTRRKRLPEDMYSPTSTTILTSRGTFHKNDMFSNMNCRRDLSSMRPLRPKRRPGVVASAEYKRWEKAAIAGVSLVADAAEELERATNNISFSYSEETYHVLANKICTNTGICSTEMAKAIIEPSLKLKLQLFPVDEQTLNVLEKDGHNPHLELTLSGRKRISSVVEHLNRKWGDSSVAVGEIMLFPFSVKQEDSASSKRWTSKDTVVSAADVYATVGSPAIFRLRYGWFSTAEAGSEGIEVSHTTLCPDDCLHSEDTENENQAVSVKDLATSVVDLPEPPEADCVQCEERFPEPLQPSYGEKARAQSSWKNKDVVNTEEICVLMSAGEWADSLTNISVGDLLNEASKAANSKHMNFPNQPSVSCLKQASFSCDSFDAAIAAHMSGHHLSSLSDKASQPSIWDAEETCDEFSFQMLSAQAQERLKPASSTCTDGDEQFSSKSSPGIQNFLKDFGEQRSKDDPRCDKSEVETCKDRISSDGSNHEKDPCLADIYWSDSLGPLDLDMPPSKFQGQDLIFSDSLSLSSLSRLLANSLDEFQNCSLFCLDSKDPA >Ma03_p00120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:181355:192909:1 gene:Ma03_g00120 transcript:Ma03_t00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G36960) UniProtKB/TrEMBL;Acc:F4IP39] MENQTSPTENLLINNANNTSPSSSSMCTDTQNPVKKPTRQWAAWTRQEEENFFNALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGFCFDAKNSKDTNAAMLRWWSLLEKHSCTASKLHLKPRRFKIFIEALENQLLKDRNKTRRKRLPEDMYSPTSTTILSKGPGNDIYPVKLLTVESPSTNKSTASRGTFHKNDMFSNMNCRRDLSSMRPLRPKRRPGVVASAEYKRWEKAAIAGVSLVADAAEELERATNNISFSYSEETYHVLANKICTNTGICSTEMAKAIIEPSLKLKLQLFPVDEQTLNVLEKDGHNPHLELTLSGRKRISSVVEHLNRKWGDSSVAVGEIMLFPFSVKQEDSASSKRWTSKDTVVSAADVYATVGSPAIFRLRYGWFSTAEAGSEGIEVSHTTLCPDDCLHSEDTENENQAVSVKDLATSVVDLPEPPEADCVQCEERFPEPLQPSYGEKARAQSSWKNKDVVNTEEICVLMSAGEWADSLTNISVGDLLNEASKAANSKHMNFPNQPSVSCLKQASFSCDSFDAAIAAHMSGHHLSSLSDKASQPSIWDAEETCDEFSFQMLSAQAQERLKPASSTCTDGDEQFSSKSSPGIQNFLKDFGEQRSKDDPRCDKSEVETCKDRISSDGSNHEKDPCLADIYWSDSLGPLDLDMPPSKFQGQDLIFSDSLSLSSLSRLLANSLDEFQNCSLFCLDSKDPA >Ma03_p00120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:181355:192909:1 gene:Ma03_g00120 transcript:Ma03_t00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G36960) UniProtKB/TrEMBL;Acc:F4IP39] MENQTSPTENLLINNANNTSPSSSSMCTDTQNPVKKPTRQWAAWTRQEEENFFNALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGFCFDAKNSKDTNAAMLRWWSLLEKHSCTASKLHLKPRRFKIFIEALENQLLKDRNKTRRKRLPEDMYSPTSTTILSKGPGNDIYPVKLLTVESPSTNKSTASRGTFHKNDMFSNMNCRRDLSSMRPLRPKRRPGVVASAEYKRWEKAAIAGVSLVADAAEELERATNNISFSYSEETYHVLANKICTNTGICSTEMAKAIIEPSLKLKLQLFPVDEQTLNVLEKDGHNPHLELTLSGRKRISSVVEHLNRKWGDSSVAVGEIMLFPFSVKQEDSASSKRWTSKDTVVSAADVYATVGSPAIFRLRYGWFSTAEAGSEGIEVSHTTLCPDDCLHSEDTENENQAVSVKDLATSVVDLPEPPEADCVQCEERFPEPLQPSYGEKARAQSSWKNKDVVNTEEICVLMSAGEWADSLTNISVGDLLNEASKAANSKHMNFPNQPSVSCLKQASFSCDSFDAAIAAHMSGHHLSSLSDKASQPSIWDAEETCDEFSFQMLSAQAQERLKPASSTCTDGDEQFSSKSSPGIQNFLKDFGEQRSKDDPRCDKSEVETCKDRISSDGSNHEKDPCLADIYWSDSLGPLDLDMPPSKFQGQDLIFSDSLSLSSLSRLLANSLDEFQNCSLFCLDSKDPA >Ma02_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20536068:20539669:-1 gene:Ma02_g11320 transcript:Ma02_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRP5A [Source:Projected from Arabidopsis thaliana (AT1G53140) UniProtKB/TrEMBL;Acc:A0A178WBH9] MAANAFQSSTPMKTPNPKNHSSASRRAPPPNQMDSSVSAVADFKSRFEAYNRLQAAAVAFGERLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPSALEPRCRFQEEDSEEYGGPIVLASAIADLIRSRTEAHLRKLQSSVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPESTPTEILSMVKSLASPPHRLLLFLQQSSVEWCSSLWLDAIREIDPTFKRTMIVVSKFDNRLKEFTERWEVDNYLSANGYLGENIRPYFVALPKDRGSVSNEEFRRQISQVDAEVLRHLRDSVNGGFDEEKYGPYVGFSCLRQYLESELQRRYKEAAPATLALLEQRCNEVSIELARVESKLQATSDVCHLRRSAMLHVASICSHMVALIDGASDPAPEEWGKTTDEEQSDSGIGSWPGVTVAVKPPNHTLKLYGGAAFERAMHEFQCATYSIECPLVSREKVANILLAHAGRGGGGGLTEAAAEIARAAARAWLAPLLDAACERLSFVLKSLFDLALERNRSHDSDYWRKTGDMDGYIGFHAALRRSYNNFIQDLSKQCKEIVQHHLSSVTSPYSQVCYENDLVGDVGRFNQLSATSFVLDLSDAKDEMGADQENIPPRDQQHSTPGKATEAKDALRESQMTVPETPSPDQPQDVYGVKKDTVNLMDIGGRKRQARIITSGRNLEANRNQNTSILFGAGNKGSRTGSSYAEICSMSAQHFARIRQVLIERNIPSALSAGFLTPCRERLFVALGLQLFAVNDEKFMDMFVAPGAIDVLQGERQSLNKRQKVLQSCLNEFKNIARAL >Ma04_p31360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31684816:31688501:1 gene:Ma04_g31360 transcript:Ma04_t31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYVVFGGLAAVAAVMELSKTSKDRIATSSAFNAFKNNYCLVYSLMMAGDWMQGPYVYYLYSQYGFDKGDIGQLFIAGFGSSMLFGTIVGSLADKRGRKRACITYCITYILSCITKHSPQYKVLLLGRILGGIATSLLFSAFESWLVAEHNKRGFDPQWLSVTFSKAIFLGNGLIAIVSGLFANLLADNLGFGPVAPFDAAACLLGIGMAIILSSWGENYGDPSNSKDLITQFKGAAVAIAADEIIALLGAIQSLFEGSMYTFVFLWTPALSPNDQDIPHGFIFATLMLSSMLGSSIASRLMARSTLRVEFYMQIVFAVSAFTLLLPLISNFFVAPSTVKGGSISFSGCVQLLGFCVFEACIGIFWPSMMKMRSQYIPEESRSTIMNFFRIPLNIFVCIILYNVNAFPISIMFGMCSIFLFMASVLQRRLMVVAETHKSKPHDWTTLKEKDSESDMLSIQI >Ma10_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31322368:31323757:1 gene:Ma10_g21580 transcript:Ma10_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKSPRTPSMEYCRRLRRRTPPGRAVAASCPRLVSAVAILLPPGEQLCISLQQHHCSPDSCHSHSPPPPPRASFIDRMAEIDEALLTELLEEPCAEEAEDDDRLSYVIRSLEAEISNMDAVTTGDEGGSSAGAEEASDDGGWEELLSAVDGDDTATRVEDELFDCVDVGVEPDSAGDAVSDWFMDWDV >Ma11_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27794670:27795640:-1 gene:Ma11_g25010 transcript:Ma11_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFANRLMPCLLTSPFLSFLFSSSFGFLALYRSTTTLLSRLLHDPSSNSLLRLTMHGENRAGHSSHHQPAQGQPQPPQTAKLIIRPQASPPTHPVAWFVAAFCTLLWVAIILGGLAVLIIYLVFRPRNPRLEISSVTLNGAYIDVGTLNADLTILANFSNPNEKVYVSFSYMQLDLYYQGTMIATQAVEPFEEGRGESVLRTVHMISSQVPLPGKVADALRGGKMGEGLSLELVGSFRTRLDLGSWLHYTYWLHGRCDIFVGGPPNGALQSSRCTTKH >Ma03_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1347552:1350501:1 gene:Ma03_g01970 transcript:Ma03_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGGAEEENYGPPASQYAAPPNRNALGHDRDPRGPMAARNGAPPKVLPIEIPAISISELNRLTNNFSDKALVGEGSYGRVFRVTLSTGEPAAIKKLDPSASNEPDTDFAAQLSMVSRLKNEFFVELLGYCLDANHRILVYQFATKGSLHDVLHGKKGVQGAEPGPVLSWSQRVKIAYGAARGLEYLHEKIQPPIVHRDVRSSNVLLFDDFASKIADFNLTNQSPDTAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDEYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKALQPLLNAKPGQEQHN >Ma01_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1805525:1805795:1 gene:Ma01_g02730 transcript:Ma01_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNADKSIYIVPGHCEDFWNQYTPAEFSDWTSRRLRPREAHFGVEELRL >Ma03_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:238511:241310:1 gene:Ma03_g00220 transcript:Ma03_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQQVLLQHLRPSPSSAPGGSPLILASFCTASDSAAYQRNACFGDDVVVVVAYRTAICKSKRGGFKDTYPEELLTAVLKALLDKTSLNPNEVGYIVVGAVLAPGSQRATEFRMAAFYVGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTANMLSWEGSINPKGNKFQKAQDCLLPMRIMVENVAHQFGVARQEQDQAAVESHRKAAAATASGKFKEEIIPVTTKIVDPKTGEEMQVTISVVDGI >Ma02_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20791025:20793489:1 gene:Ma02_g11770 transcript:Ma02_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVSGRVLCAAARSESSAASSAAAASSAASTSVRKAQNPLEEFFEVDRSTDEEKPVIYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLHAQNLRFPNQERIPKARKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >Ma06_p26510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28378614:28380066:-1 gene:Ma06_g26510 transcript:Ma06_t26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRWEMSIHRCCPQPPSVLSLAATSNNCERLAKHSCCMADNLTRDLLHRLFADGYRADVGVYTNDGIILAHASILGMASPVIKTMLKQSKRRGGRRRAISIRGVPHNAVRIFLRFLYTSCYEEEEMNEFVLHLLVLSHAFVIPSLKMVCVQQLERGLLTTENAVDALQLARLCDAPRLCLLCHRLIVKQFKEVSASGGWKVMRQSDPVLEKELLESVIDDDSSKIERVRKIEERKIYLQLHEAMEALVHICRDGCRTIGPHDKVLKQNAAPCNFPACKGLEALVRHFAGCKNRVLGGCTHCKRMWQLLELHSRLCSQVDGCKVPLCRHFKERLRQQSKKDEVKWKLLVSKVLEAADFSRARVHSSVVVVCTQPQLTFH >Ma09_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1018191:1018895:-1 gene:Ma09_g01390 transcript:Ma09_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLYPKGKGKIHPSPADGGGPSSPSQDVLAVLKLLPAAILALTVALTYQDKEVLAYLITRSIHGPATLAAGAERRRCRGHRPLFDCGCFDCYISFWSRWDCSPDRELIHQAIEAFEEHLASLEKKAGGRGRRRERRVVDRAEKGKKKNKERRKAVVEEEEEKSVEESAMANEAASLSGEVKESSDIVEAAAEEDEEKSAEEEALPVGCERRRGWPDVMGLFNSRLLSLWGPGV >Ma05_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18026520:18027638:-1 gene:Ma05_g16720 transcript:Ma05_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPPHLRSLLLAVLLMIGSTSAATQTETLVTVVGATECLDCAQNSIKTEDAVKGLRVAIKCKVRNEKYDTKAAAELDSNGDFNVKLPSELLQDNGELKHECFAQIHSKSDAPCPDMNGLNPSKLVLKSKEGGKHTFVAAPGKLSFSSVTCASATQALLLWHKKFHKHHLPTYKSPSPVHKLPPKPYYHPHPHPVYKPLTHKTPSPVYKPPAPENKPPSGGHYKPPAHGYKPPSGGYYNPPAAPVYKPSPVPYHEHPAIPIPKHHEEQKA >Ma02_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27329485:27330063:1 gene:Ma02_g21780 transcript:Ma02_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKEIKRKKKKRLLSNGYGFSSSSSTESDQEDGFFSSEEREGKEEEAEAFFSSRSFSSDSCDFYQRPTSKKKKKKKKNNNNNNKKEPERPPRRRRGGGRKYEPWGVCKGLQPLVSVRSPAAKNGVAVVKRSRDPYTDFRSSMAEMITERQIFEAEDLENLLQSYLCLNSPHLHLVILQAFSDIWVVLFGR >Ma04_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21788724:21794586:1 gene:Ma04_g19210 transcript:Ma04_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIRTLLSERRHPFLLAFFLLSLLILLLLLFSDASSYLPFPRDVLPSSSSSSARFLPSPPISLPNPCPNPSSDAGGEPLQEAAADGDDDGGSEGVAVRWEICRGGKTFQAVEYIPCLDNWKAIKKLKSRRHMEHRERHCPKPSPRCVVPLPRGYKIPVPWPKSRDMIWYDNVPHTKLIEYKKDQNWVRKSADYLVFPGGGTQFKEGVSSYIEFIEQILPIVSWGRRTRVILDVGCGVASFGGYLLDKDVITMSFAPKDEHEAQIQFALERGIPAFLSVIGTQRLAFPDNVFDLIHCARCRVHWDGDGGKPLMELNRILRPGGFFVWSATPVYRDDGRDQAVWNAMVALTNSICWKTVVKSTDASGIGVVIYQKPVTNTCYEERKENNPPLCIEKNRPSISWYVPLDSCLPRIPVLSSDQQDNWPTFWPDRLNSKSSSLSEEQSNKYAEEKFIVDMKLWEKLVTEVCFHDLAINWSNIRNVMDMNAGFGGFAAALVNWPLWVMNVVPIDGPDTLHIIFDRGLIGIYHDWCESFDTYPRTYDMLRSTFLFENLTERCDILDVVVEMDRILRPGGWVFIQDTTEMIKKMRPILHSLHWVTSLYKQHILVGKKGFWHPDSELIFLDRVSRRSQYHTSI >Ma10_p25500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33593202:33594484:1 gene:Ma10_g25500 transcript:Ma10_t25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPFPHCSNSLEKPFGKQLELNPIPPPSNPYFPIFASDGLPLPLGAVTGAVPLPSPPSLPPVDNSLATASPLMRKKRSGSFTRKRSWRNDRNSKILTAKGPRDRRIRLSNEVARKFFDLQDMLGFDQGSKTVQWLFNMSKHAIQELTAISDPQLGGLGIQSPASFTLGLEKSTMESDSQGKSTTKLVTATSVKNSKNEKQLIKPSGNAGSNLMRARESRAKARARARERTTEKRRMWLLSSTIASHATRNEGSHLLNLSSSLLGSIAEMEARQRSSSHTQRIRLSDQRNYEFPAQDGPCLIPIFGYSEKIADRNDMDNILQEQSDMERMP >Ma10_p25500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33593202:33594222:1 gene:Ma10_g25500 transcript:Ma10_t25500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPFPHCSNSLEKPFGKQLELNPIPPPSNPYFPIFASDGLPLPLGAVTGAVPLPSPPSLPPVDNSLATASPLMRKKRSGSFTRKRSWRNDRNSKILTAKGPRDRRIRLSNEVARKFFDLQDMLGFDQGSKTVQWLFNMSKHAIQELTAISDPQLGGLGIQSPASFTLGLEKSTMESDSQGKSTTKLVTATSVKNSKNEKQLIKPSGNAGSNLMRARESRAKARARARERTTEKRRMWLLSSTIASHATRNEAH >Ma06_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3553729:3554039:-1 gene:Ma06_g04780 transcript:Ma06_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLQTRVPGSMIAHMGVSLDFNISIRDWHISVLCTDMRCSPCGAHMLLHIISLFNHLPPHPMSSMESSL >mito4_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000015.1:508376:509251:1 gene:mito4_g00030 transcript:mito4_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLKGHIVCKGRAFPIDIGTSVPETQVSPIISAMSNPIYLSSHHPSECMKQMLCI >Ma02_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21643676:21645084:1 gene:Ma02_g13090 transcript:Ma02_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSRSSSSNSYLLISETPRRTMEEVWKDITVTALNRERAITPLEHHGYGRHHHHANSSPSFRDMMLQDFLAGPSSRPLTISPPAVEELPLPLPPTPPALPQTAFSLNYGMELQYLGPDPKTHSDSTSSSHNVSIISSAFSSVMAGPPSPTGLFSFCSKKQRLRENPTVGVNRRFQRLIKNRESAARSRARKQAYTNELELEVSHLKEENAKLKKQYEELRLAMNLPKRNTLKRSCTSSF >Ma06_p25570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25927364:25933622:-1 gene:Ma06_g25570 transcript:Ma06_t25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGHERKRRHDEERSESFNLRNLSKLVLPPLGVSTYNQNQNDSRGKVILPMDSRYRCWETFMVVLVAYSAWVYPFEIAFMGAAPKGGLFIADNVIDAFFAVDIVLTFFVAYIDSRTQVLVRDPRKIAVRYLSTWFIMDLASTLPFEGLGYLITGRVKSGVSYSLLGMLRLWRLRKVKQFFTRLEKDIRFSYFWIRCARLLCVTVFLVHCAGCLYYLLADRYPHQGKTWIGAVMPNFREASLWMRYIASIYWSITTMTTVGYGDLHAVNTREMIFNIFYMLCNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEVASNFVCRNHLPARLREQILAYMCLRFRAETLNQQHLMDQLPKSICKSICQHLFLPTVKEVYLFKGVSRETLLLLVTTMKAEYIPPREDVIMQNEAPEDVYIVVSGEVEIVYSDTETEQVVGALSAGDMFGEISALSDRPQSFTFRTRTLSQLLRLKQSTLKEVLQTKQEDGIAIIKNFLKHQIEFKDISIEDLIGENGECDEVSIPCNLLTVAATGNSCFLEKLLKAGMDPDIADSRGRTPLHIAASKGYEDCVLVLLNHAGNLNIQDMDGNTPLWDAIAAKHHKIFNLLHQCACISNPNTSGDLLCLAAKRNDLSTMKELLKHGLNIDSENHEGLTALQIALAENYEDMVAFLVLNGASIEKANLNGRGARKIRNGVLKEMDQQRDAGCVNTLPESNGQFTQTLVLEKQENSFKLETNGYPPRISVYKGHPLLRNNCSGSGKLMCLPSTMEELKTIIGNKFEIDARNRILTNEDGAEVESIEVLRDNDKLFVVEDEELVKLHSKCQ >Ma05_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5863194:5873757:-1 gene:Ma05_g07930 transcript:Ma05_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAADHGRTGHAERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESTLIWFSGKEEKHLKLSHVSRIMPGQRTAIFQRYPQPEKECQSFSLIYSDRSLDLICKDKDEAEVWFAGLKTLIPRSHHRSWRTESRSDGASSGTNSPRTYTRRSSPLNSPFGSSDSMQKDGSDTFRVRSPYGSPTKNGLDKSFSEVVSYAVPPKSFFPSDSATGSLHSLSSGCSDNINGHARAIATDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGSLRLGSYSGIKLDSLAPKALESAVILDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDADVSQPKLIDALVNMNIELVACGEHHTCAVTLSGDLYTWGDGTSTLGLLGHGNEMSNWIPKRVNGALEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDCKSVSVPREVESLRGLRTVRAACGVWHTAAVVEVMSGNSSSSNCSSGKLFTWGDGDKGRLGHGDKENKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSAVYGQLGNPQADGKLPMRVEGKLLKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPTLVEALKDKQVRSVVCGTNFTAAICIHKWVSGVDQSMCSGCRLPFSFKRKRHNCYNCALVFCHYCSNKKSLRASMAPNPHKPYRVCDNCFIKLSKPLESDSSLHSAASRRGNIIKGFTEMTEEDKLDPKSNVQIPRISSIESFKQIENRSSKKNKKFEFIGSRVSPIPNGTSRWGALNISKSFNPASGTSKKFFSASVPGSRIVSRATSPVSRRPSPPRSTTPTPTLGGLTSPRIILDNSKGGTDSISQEVLKLRAQVANLARKAQLQEVELERATKQLKEAISIAEEEAAKSKAAKEVIKSLTAQLKSMAERLPIGAAENSKLPSLASLNTTPTSSGVSVAAVERLSSMLTSQETDANVSSCVLVSNGPSSTGSHNNGGTPVARNGSKVIDADPDRETEWVEQDEPGVYITLTSLPGGVRDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMVDRSTISIRSDERSR >Ma01_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6184545:6184802:1 gene:Ma01_g08610 transcript:Ma01_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLRGYLKDASFNKVELRCGVGPRRYSTASEDSSKKVSSAFLELIDVTWCYAH >Ma03_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3186079:3189667:-1 gene:Ma03_g04820 transcript:Ma03_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLELMERSLHLPFLASSFVFFCCFSFFAGRYDFGVLVSAQNSTVPVDVGVILYLRSFPGKMSRTSISMAIEDFYAVHRNYTTRVVLQVKDSENEAIGAAAAAVAMLKDVQTDGFGFVFPRGSPLVSDISRAILNITEGERMTEIEKAWFGDPTSCPNQSNNLASSSLAFRSFGGLFLITGVVSVLALLIFLARFIYTEWDELQAAAGRQSSLWKKMVVLLKYYHDVNEPLPCPTLKRDDFAAIDIGEMNQHTQPGVVQRCLIWWVP >Ma02_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:150914:151672:1 gene:Ma02_g00030 transcript:Ma02_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYFFLVSSWREADVLFAAHFM >Ma03_p28230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31362737:31362901:1 gene:Ma03_g28230 transcript:Ma03_t28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENLVFETSPGVEAITSFDEMGILDDLLRGIYAYGFEKPSPRDPATGRDSHH >Ma08_p28820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40652387:40653649:1 gene:Ma08_g28820 transcript:Ma08_t28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASISPMASQLKSSLLSSSSRCLLIPKGISRTPLRDLQSRRKPSLTVRAIQAEKPTYQVIQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGVEVGLAHGYLLVGPFVKAGPLRNTEYAGAAGSLAAAGLVVILSVCLTMYGVASFKEGEPSTAPTLTLTGRKKEADKLQTAEGWAQFTGGFFFGGISGVIWAYFLLYVLDLPYYIK >Ma05_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19548711:19549829:1 gene:Ma05_g17210 transcript:Ma05_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGNSQEAQGQALGTSTGAGSGDGGIKEQDRLLPIANVGRIMKQMLPPNAKISKEAKETMQECVSEFISFVTAEASDRCHKEKRKTVNGDDICWALGTLGFDDYAEPMRRYLHKYREVEGDRSASNGQNTRSSNFGTGDGQTLFDDMDRNNPSTSRRY >Ma06_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7314018:7318764:1 gene:Ma06_g10590 transcript:Ma06_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGAAAVGLRSTSHVVLATVNKAASDLSSHQRKVFKIDDHIGVAIAGLTADGRVLSRYLRNECINHSFVYESPLPVSRLVVRLADKAQVCTQRSWKRPFGVGLLVAGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFMERRFEAFNGYSRDELIKDALFAIKETLQGEKLTSSICTVAVVGIGEPFHMLDQKTIQEVIDSIDIKEDDASAAAADQAPMQEEDKSSEAAAADQASMQEEDKSSEAAPMDI >Ma04_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:234491:237029:-1 gene:Ma04_g00170 transcript:Ma04_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPFRPVPHPSSSPPPPPPDPSSDADLLRHLLSLSRDVSSSQQPSFSSSSPLRINPNLSSVPRNVKQLSFLFEELLLAVQDEDDDHDLALPRTASLCFREILLVLHRLKVLFDDHDCRSRAFVLFRAERIAAEIHEQVVDLATFLDIVPLAELRVPEDVRDLLRLLRRQLRRTSPAVDPAALSLRRDVLELVAGVESGTIPDRGALRGIFRQLSLDGARSCRHEIERVERDIADRVVDDRRVPGMVALASILRYAKCVLFGASTPRSDSSIGAGGHKSPFSEAEDLAVPADFRCPISLDLMRDPVVVATGQTYDRESIDRWIGSGHATCPKSGQALPYLELLPNRALKNLIARWCRDNNLPYDVADTITSTSDRQDDADGVTAGTNKAALEAARMTASFLVEELATAPSTEAAHRLVHELRLLAKHGSDNRALVAEAGAIPLLLPLLRSADAGLQVNAVTALLNLSILEANKRRIMHADGAVDGVVHVLGEGTTWRAKENAAATVLSLCSVHSYRRRLARHPRMVEVLVQMARDGPSSAKKDAMAAILSLAGDRENTGRLVEGGVVAAALETAVEPEVAEEASAVLAAVARRGGAEAVAEAEGAVARLVGVLRRGSDWARESAAAALVAVCRRTGASIVAELAAVPGIEWVIWELMGAGTERARRKAAALSRICRRWAAAVEAERTARFSAMSVTAASTTVA >Ma08_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3292961:3293570:1 gene:Ma08_g04730 transcript:Ma08_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRFYRLVLSCVLAVAVLRCSGPVEAGRRGGLLARTAPTAADQFLTGHNEARKAVLVGPLQWSANLSSSASALVSHQKQNRSCEFADLESSTYGANQAWTSYPVSPEEAVRSWVGERKYYSYENNSCAAGHECGTYTQVVWRKTAEVGCAQATCGKRGSTLTLCLYLPHGNVQGQKPY >Ma05_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37144221:37148770:-1 gene:Ma05_g25120 transcript:Ma05_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKASSLEELKNETVDLEKIPIEEVFEQLKCTKEGLTSQEGADRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEMAAIMAIALANGGGRAPDWQDFLGIAILLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGTWAEQDAAILVPGDIISIKLGDIIPADSRLLEGDPLKIDQSALTGESLPVTKNPGSGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIATGIVIEIIVMYPIQHRSYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKDHVVLLAARASRTENQDAIDAAMVGMLADPKEARAGIQEVHFLPFNPVDKRTALTYVDANGNWHRASKGAPEQIMSLCSCKEDVRKRVHAVIDKFAERGLRSLAVARQEVPERTKESAGTPWQFVGLLPLFDPPRHDSAETIRKALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQHKDETLATLPVDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVSDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGTYLALMTVLFFWAMKETDFFSNKFHVRSLRDSEHEMMAALYLQVSIISQALIFVTRSRGWFFLDRPGLLLCCAFVIAQLIATLVAVYANWSFARIKGCGWGWAGIIWLYSLISFIPLDWIKFAIRYVLSGNAWTYLFERKTAFTTKKDYGREEREAQWAIAQRTLHGLQPPDTTSLFNDKSSYRELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTMQQHYTV >Ma04_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21152365:21156978:-1 gene:Ma04_g18860 transcript:Ma04_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G28315) UniProtKB/Swiss-Prot;Acc:F4IHS9] MSEGTTGFQLGVIGSLALSVASSVSIVICNKALISSLGFPFASTLTSWHLMMTFCTLHVAQRFHFFDPKSIDARTVVLFGLLNGTSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETVFLKKNFSKGIKLSLLVLLIGVGIASVTDLKLNLLGSILSGLAIATTCVGQIMTNTIQRRLKVSSTQLLYQSSPYQAAILFVAGPFVDQLLTKRSVFAYSYSLLVLGFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILHDPFTSRNIMGILVAMFGMGLYSYFSVKESKKKSANDVLPISQMTHKETTPLLTTRTNDKDGNESKKVNGVPTAAKDSE >Ma04_p18860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21152365:21156978:-1 gene:Ma04_g18860 transcript:Ma04_t18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G28315) UniProtKB/Swiss-Prot;Acc:F4IHS9] MSEGTTGFQLGVIGSLALSVASSVSIVICNKALISSLGFPFASTLTSWHLMMTFCTLHVAQRFHFFDPKSIDARTVVLFGLLNGTSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLLETVFLKKNFSKGIKLSLLVLLIGVGIASVTDLKLNLLGSILSGLAIATTCVGQIMTNTIQRRLKVSSTQLLYQSSPYQAAILFVAGPFVDQLLTKRSVFAYSYSLLVLGFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILHDPFTSRNIMGILVAMFGMGLYSYFSVKESKKKSANDVLPISQMTHKETTPLLTTRTNDKDGNESKKVNGVPTAAKDSE >Ma09_p27910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38769536:38770564:1 gene:Ma09_g27910 transcript:Ma09_t27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSKPNEYYPPSSQYVPPPPPSAMGFPHPVTAPPYQGLQPWSTGLCDCTDDCGNCCMTCFCPCVTFGRIAEIVDQGSASCGTSGALYCLLEYLTCFHWVYSCCYRSKMRAQYSLPESPCADCLVHCCCEPCALCQEYRELKHRDFDMTIGWHENMGRRARAANIPPAPQGGMWR >Ma10_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31563086:31566326:1 gene:Ma10_g22040 transcript:Ma10_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIKDASNIEFVTAVGAAAHAVTLQEEEEDLLNQNKTIDQLMHPTAKVKSEREDSMNKPTDSSRLSRWFEAKAGRQSGSSRFERGQRQTGRQNPSTTKPTASFSKKENGGKRTTDSTRMETKVDAWERAKIDKIRKRYDKMKSIILEWQNEKKLKAKRRMERKERDLELRHERALREHRIEVSRIDKMADGARALAEERKRNGESTTVEKAKKMRSTGKVPHTCFCF >Ma08_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2196512:2201917:1 gene:Ma08_g02930 transcript:Ma08_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVRMIDIAVNFTDYMFKGIYNGRQCHAADIPAVLARAWSAGVDRIIVTGGSLQESKEALAIAETDGRLFCTVGVHPTRCKEFEESGDPEQHFQALVSLAREGIQKGKVVAIGECGLDYDRLQFCPADIQKKYFEKQFELAEAVKLPMFLHMRAAAEDFCDILAQNKERFISGVAHSFTGSAEDRDRLLSFENLFIGINGCSLKTHDNLDVLRSIPVERMMIETDSPYCEIRTTHAGRQFVKSDWPSKKKEKYDDDSTVKGRNEPCFVRQVLEVVAGSKGIGDLEHLGKILYHNTCRVFFPNDLDTAADAFLESGHNVQ >Ma02_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19705619:19710645:1 gene:Ma02_g09970 transcript:Ma02_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYEKELAAAKKAASLAARLCQTVQKALLKSDIQSKADKSPVTVADYGSQAIVSLVLKMELPSEKFSLVAEEDSADLQKDESQETLKRITDLVNDTFSSEGTYSISVSEEDVLAAIDSGKSDGGPHGRHWVLDPIDGTKGFVRGDQYAIALALLDEGRVVLGVLACPNLPFTSIANLDGHSSENQIGCLFSAQTGCGAYMQLLHEPSAAKISVCTTENPADASFFESYEAAHSLHDLSSAIAKKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGIATDAAGNNLDFSKGRYLDLDTGIIVTNKKLMPSLLSAVQEAIREQTQSASHM >Ma05_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9418653:9421855:1 gene:Ma05_g13020 transcript:Ma05_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLHKTLLCATLLLLLLLLPRAPLVKASLGSQGSALLHWKATLRSPQSLRSWNLNSSPCNWTGIACNYTVTGRERSVIIEISLPSMGLAGPLDALDFSALGSLLYLDLSYNQLGGIIPPAISALFRLVSLDLTSNQFTGKIPIEIGFMKDIQFLSLDQNKMIGTIPPSLSNLTGLVHLDLGDNKFVGVIPKELGRLHNLMYLDLGFNSLSSSIPSILGNLTKLDHMDLYKNQLIGVVPRELKNLVNLIYLDISYNYLIGGVLSSFKNLTKLESFFLWGNQLTGPIPFEIGNLIEIIFLDFSENLLTGSIPFSIGNMTKLKILHLWSNQLSGPLPIEINNNTGLTYLKLSNNSFVGYVPPNICKGGVLKDLTLDTNNFQGPIPNTLKNCTTLERVRLEHNQLTGDVSQCLGVYPHLYYMDLSFNQLSGTLSPDWARWHNLTLFIISNNNITGVIPTEFGQLKNLQELDLSSNYLQGEIPKSFGSLTLLYNLSLSNNQLVGQVPLEFGMLSNLRLLDLSSNNLAGRIPDQLGDCTNLGSLKLNNNNFGGTIPLAISNLVYLQDTFDISQNSLTGEIPSQLSKLVMLQSLNLSHNSLSGHLPSSLTYMTSLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNIDLCGVVRGLPPCVSPGTPTRNASMVVITIIASVVFFLLLFIFIAAALRFHKRKKPPVPVDDNHLKEGAFSILNFDGRDVYKDIIEATEDFDAKYCIGSGAYGSVYRAELASGELLAVKKIHLPDTEGTCDEQPFQTEIQTLTQIRHRNIVKLYGFCSSPRRKFLVYEYMERGSLGSVLRSDTAAELDWVKRLSIVKDVARALFYMHHDCTPPIVHRDITSNNILLDSEFNACVSDFGIARLLNPDSSNWTMLAGTRGYLAPELAYTMRVTTQCDVYSFGVVTLELLMGEFGEVLISILSSSPINDSFVKDALDRRLPVPEGQVADEVVAVLSLAHRSVDNHPESRPTMKQVSEKLCVVKTPPPSLRSIDALKFSDLMSVEI >Ma10_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29309010:29309783:-1 gene:Ma10_g18360 transcript:Ma10_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRFTRRRGRGQRWRWLRPGEGNGGGGEGGNPQRLLSPLRDLSRAKKVDVEVAKPIVSCIPDLDSQYADLSSTGPFSPGCWKTFDLAKMLSCLHNMLAENL >Ma11_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:890827:898366:1 gene:Ma11_g01250 transcript:Ma11_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYNLMDASKGVGSADQRPKQCPASSADANVRLPEVTASGAVRPVLNYSSQTGEEFALEFMRERAMPKKPTVQNASNDQNMITNNGDMKCGMNIPHMGSESMKDPLKLVTGDDCQLKEIEKNFSDTEQKGHYASSRSIQQISSGEGSSRTVSNGYTPAQASDISSRRMKFLCSFGGKILPRPSDGKLRYVGGNTRIIRISRDISWEEFMQKTMAVYSRPHTIKYQLPGEDLDALISVSCDEDLQNMMEEYNVLEGGEGSQKLRLFLITPDDVDDVHFSLGSADGDSEIHYVVAINDIDLGSGKSSYGHGLASTSTSDLDQLLNLNVESGRANAYTVAAQSAGFITAPVASPAAFPSKIQSSLSAHYDSHPQGFEDHRYHYVEGEQYAYNSINPPDRYQNLDSIMSIPMSVTSDYQYRSNYTDIGSSVQPVQQFLYQGIAQAPYSGISPFDKEPVKMDLKLAVDDFFQRKMEGKHVGSHDTEPISTIQQHDASVSNCLHAKNTNVVSVPENLTSVLHLKSKGKQLEPASVSSAISVTVGHGSDLNIDDHYPSSETLTSAYYDDEADMTEGNSKNPPSNPSRGYQSERLPREQVFLNRLSKSDDSSGSNYLINQACLIAAQESVAEATDTILEEELGAQTEKPLSSAKPPRPSNASIEDKKVRNAINQANKFRHVSIVEGLETAKFSQPMTPLINQDVCDPDEVVPKSVVQTGPHQTDVIIDEKTYKQAKKIHKPEFQLAPSKFVSDKTTVVEDGILQETSMSRHMQKVTNVGDTDMTKINIREAYVAGALIKPQEGPSVIQNIPWDEKPNNDTYNNDVVEPAFTWVETAVGAASQEESSAPSLEQRDILVDINDRFPPNLLSDIFSKAGNAENLSNINLLRKDDTGLSLNMQNHEPKRWSFFRNLAQDEFNCKDFSLMDEDHINYPAFLPTVEEGISRPYQFSPLENERADFGQIDSQIDFSEEMQDSSSTVVEDPNVLHPGYIPSQVSHHLGMDKVEGLQVENPFTKLGETLRMHMSENEELKFEDGEDAEPVANEIAYDFDLSNLQVIKHEDLEELRELGSGTFGAVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTMEFWREAEILSQLHHPNVVAFYGVVKDGPGGTMATVTEFMVNGSLRHVLLHKDKYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIRQNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPATCDPEWRRLMEQCWAPDPLQRPSFSQIAGHLRAMSVASQVKPTK >Ma06_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6039544:6042868:1 gene:Ma06_g08550 transcript:Ma06_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHILKIMALVVVVAGLWISLLQTSVVPRSYTWLLPVYLIISLGCYGLLMVGIGLILFPTCPQEAVLLQKDIVEAKEFLTSRGVDVGSD >Ma04_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4178882:4185629:1 gene:Ma04_g05600 transcript:Ma04_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGSAAAGEGHIKGVPTHGGLYVQYNVYGNLFEVTAKYVPPLRPIGRGAYGIVCAAVNSQTREEIAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPNRENFNDVYIVYELMDTDLHQIIRSKQTLTDDHCQFFLYQLLRGLKYVHSASVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRSLPQYPKQNFCARFPTMSNGAIDLLEKMLVFDPSKRITVDQALHHPYLASLHDINDEPVCPTPFNFDFERPSYTEEDIKELIWRESLKFNPDPVY >Ma08_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37535246:37545461:-1 gene:Ma08_g24320 transcript:Ma08_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MNAPSTAVGLSSADRHVLTAVSVGAAALSLAGSAFIVLCYLLFKELHKFSFKLVFFLALSDVFCSFFTIVGDPSNRFFCYAQDYSVHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDYGSVFHLYVWGTSLATTVIRSIGSDYGRPGAWCWTEPARSGKVAHLITFYVPLWSAILYNGFTYFQVNRTLSNATRMAVALSEQPNQSDMRAEKKAFNRWGYYPLILIGSWSFATINRLYDFISPDHKIFWLSFLDVGFAGLMGLFNSIAYGLNSSVRRAVTERIELWIPEKYRRWLPVSSKLRSRQPESELTSLIVASQQ >Ma08_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3424498:3428860:1 gene:Ma08_g04970 transcript:Ma08_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPEQMQSTGSKQSKFSFLWFPQPVNEIFQAS >Ma06_p23870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22053639:22055226:-1 gene:Ma06_g23870 transcript:Ma06_t23870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKEDATNDDGGSVKIGTTGTIGSLMTREIESMKQASSSAQRKQQTGPVSVPCGANPRKALQRRNQTNEYGSTGSSSSGTGHTHCSNHEDAQKSQHTPRKKGHRHAPILRSDDSPTNRNLDTDKVEKKVHTYMVKVVDLKCGNPMSSRLKKLSFSKLSESIS >Ma06_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22053639:22055898:-1 gene:Ma06_g23870 transcript:Ma06_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKEDATNDDGGSVKIGTTGTIGSLMTREIESMKQASSSAQRKQQTGPVSVPCGANPRKALQRRNQTNEYGSTGSSSSGTGHTHCSNHEDAQKSQHTPRKKGHRHAPILRSDDSPTNRNLDTDKVEKKVHTYMVKVVDLKCGNPMSSRLKKLSFSKLSESIS >Ma10_p30220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36564939:36565784:-1 gene:Ma10_g30220 transcript:Ma10_t30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDEGEEEMDLPMGSSYDTPMENSGRGGGGGGGAKMGGGGESAGTMAVGGSGHRKAYGGGGGRGRYRECLKNHAVGIGGHAVDGCGEFMAAGAEGSLDALRCAACGCHRNFHRKDPEEGGAGGGGASWGGGAMEISGYHSQFSPYYRTTAEFLHHHHYAAAAFAGQQHRAAPLALPSTSGGGAQSREEQEDMSNPTMGGGASGGGMAASGSGARKRFRTKFTQEQKDKMLAFAERLGWRIQKHDEAAVQQFCEETCIKRHVLKVWMHNNKNTLGKKP >Ma04_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11116235:11116833:-1 gene:Ma04_g14660 transcript:Ma04_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLHHWSNGLPDHRRMNVLDVVGCFSGNWIPQLQVFFEFGRRYKHLIRAERTCRHNLEWIRRSLTSVVRSRDVYIRVCVLRNTVEQIYILCAQDDAFTEAGQRSVDGGKYSSW >Ma04_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23144993:23149030:1 gene:Ma04_g20430 transcript:Ma04_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDYKVEMTNDGMHEFLVDFHGPKESPYQGGVWRIRVELPDGYPYHSPSIGFVNKMYHPNVDEMSGSICLDVINQTWSPMYDLVNVFEVFLPQLLLYPNPLDPLNGEAAALLMRDEPAYEQKVKEYCLKYAKPEDIGASPEEKSSDEELSENECDSSDEQMLGKPDP >Ma04_p20430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23144993:23149030:1 gene:Ma04_g20430 transcript:Ma04_t20430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSKRREMDLMKLMMSDYKVEMTNDGMHEFLVDFHGPKESPYQGGVWRIRVELPDGYPYHSPSIGFVNKMYHPNVDEMSGSICLDVINQTWSPMYDLVNVFEVFLPQLLLYPNPLDPLNGEAAALLMRDEPAYEQKVKEYCLKYAKPEDIGASPEEKSSDEELSENECDSSDEQMLGKPDP >Ma10_p26410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34107110:34109420:-1 gene:Ma10_g26410 transcript:Ma10_t26410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSSKAAALELFDVGPCEEPFRLGYLVGRKFSSMIQSRAAADLVLQRQLLPFARTPQAEPLIQAICSANRQRFPAHWEELLGTAEGSGVPVLHIILLNFRKEILPFIPKEETTPQKEAAADDDDDDDDCSDVLVVNDSMAIAAHNEDANTALLGHTYLVRARLPNGASFTAYTYAGELPSCAFGFNSNGIAFTLNSVPPRLEEIIAGGIGRNLISRDLLEATSLEDSLDRICSCNTAVGHSYNLVDVISRRILNVETASKNRFSIHEVGKTPFFHANMYLHLQVEQVQDENSISRQRRAAQLSAESKSAVLSILGDSADEKYPIYMTGPTLYTLCTALIDVDERTVSILQCNPKKGDVSYVLPIC >Ma10_p26410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34107110:34109420:-1 gene:Ma10_g26410 transcript:Ma10_t26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSSKAAALELFDVGPCEEPFRLGYLVGRKFSSMIQSRAAADLVLQRQLLPFARTPQAEPLIQAICSANRQRFPAHWEELLGTAEGSGVPVLHIILLNFRKEILPFIPKEETTPQKEAAADDDDDDDDCSDVLVVNDSMAIAAHNEDANTALLGHTYLVRARLPNGASFTAYTYAGELPSCAFGFNSNGIVRSTTFLSLLCMSCCGHKMTTNMSQAFTLNSVPPRLEEIIAGGIGRNLISRDLLEATSLEDSLDRICSCNTAVGHSYNLVDVISRRILNVETASKNRFSIHEVGKTPFFHANMYLHLQVEQMRTLSAGREEQPNSQQSQNQQFFRSWGILQMRNIRST >Ma10_p26410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34107110:34109420:-1 gene:Ma10_g26410 transcript:Ma10_t26410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSSKAAALELFDVGPCEEPFRLGYLVGRKFSSMIQSRAAADLVLQRQLLPFARTPQAEPLIQAICSANRQRFPAHWEELLGTAEGSGVPVLHIILLNFRKEILPFIPKEETTPQKEAAADDDDDDDDCSDVLVVNDSMAIAAHNEDANTALLGHTYLVRARLPNGASFTAYTYAGELPSCAFGFNSNGIVRSTTFLSLLCMSCCGHKMTTNMSQAFTLNSVPPRLEEIIAGGIGRNLISRDLLEATSLEDSLDRICSCNTAVGHSYNLVDVISRRILNVETASKNRFSIHEVGKTPFFHANMYLHLQVEQVQDENSISRQRRAAQLSAESKSAVLSILGDSADEKYPIYMTGPTLYTLCTALIDVDERTVSILQCNPKKGDVSYVLPIC >Ma04_p32240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32306362:32307006:1 gene:Ma04_g32240 transcript:Ma04_t32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMVRSPCCEKAHIKRGAWTREEDHLLIAYIRAHGEGCWRSLPMAAGLLRCGKSCRLRWNNYLRPGLRRGIFTMKEDELIIKLHSRLSNRWSLIAARLPGRTDNEIKNHWNTHVRRKLLSRGTDPATHRRLIHEEKDTGRLLPYKQEKISSSSSSSSNREGLWNIQDYRCPYLNLDLCLSPPSQKP >Ma09_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31843164:31843640:1 gene:Ma09_g21110 transcript:Ma09_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSPVSRGRGHGGRGRGRAYGDDTADYGDGSWDNKERGYGRGGYFRGRGRGFRGRGGYGGQPDFQQETNGNDNEGSIPVRGRGKFLSGL >Ma10_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28263652:28270028:-1 gene:Ma10_g16630 transcript:Ma10_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYTRNTIINVRGAGSKLVRRWRTGRLKDASFALAKALSCERTQGGKFPKRGHSFEGRIPLKVKDDDLALFSEMQNHERDNFLLHAYDDFDESISQLRYFSDFKIGVTVPTGRECKDLLNAVGEKNDYDWLLTPPDTPLFPSLDDDEPQPANVYRGRTRTQPISISRTSMTLRTKRSSASPSPRSGYSVSYSRITPSSAYCSIPPPVLRSTTPSRRSSAPLTKPSSLAQTSLTPILRRMSTGSSGQAFTGRRGTSPVKTNRGSSASPKLHG >Ma09_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10519900:10522296:-1 gene:Ma09_g15220 transcript:Ma09_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGSKRTVEEDGTARRSYELPLVGTRRTSVRNLTCWHRKPDQGTYRNYLNSGLPRRILYYKRGEWTDFPESAREAIIDGFRHQMPCMAVFLGRKVMLVDLLCMVMSNLMTKKHTSVAWIDEADRCFFPCLSFDGGADEPSELVSGVVRPQAYSVPAPQMVTEVIVGDGNGPPAPEAKILNLQTDSESFAFMEKLFLSGMPSFVKPENVLCIYEYVPKDTNAQVQFQAFDSQLRSTREKRGNANGKYAWFGSTTQEILRILTYGFGSAVAPTVGAAFGSGIYLTPYHRSFSSVNLCSVDGNGVQCMLLCQVILGNLEQVRPGSLQNSPSSDDYDSGVDDRKDPRCFVVWATHANTRVHPRSVVIFKLPPNLQEYFFDLSDFRFDKNPIRNIPRFNRLSAPKHTFVSLPGHEINQQMPYTILYYKVQHHISPIEKELLFRHHVDFQGILEAAPNADDMKDTASSRMKPRRIPSKKANDSSGR >Ma01_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15192666:15193787:-1 gene:Ma01_g19520 transcript:Ma01_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAVGGSDGILQSFADRMDALHKAIASLAALPKTNESQEEHVLENFHSSRLIRKLVLDCPGFAATLWEMALDGKCDVWAQGHSCKVLLAFLESSVSEVKDLAKPELQPLMDRGVLKAPENRLVEKGV >Ma05_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8612317:8614947:1 gene:Ma05_g11770 transcript:Ma05_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLSILESVFSPIISFFTRIFGYALSCEQYIESLQKEIGELRSKRDDVKREVDREARQGMEATNEVMLWLKNVEGLEAEVGRIVEEFDARFANPADGTSKLVLRYQLSKRADEARDEASSLKGKSNFYKVVDKLMPVRFEERPAALTVGMDSMLEHLGGAYADDDVGVIGVHGMGGVGKTALLNRFNNEVLVQATHLNVVISIRVTRDFDVEKTQRAIGERLGLSWDERKTEDERAMVLYKVLSKMTFVLLLDDLWEPLDLATVGIPTPTGHSKVILTTRIEDVCDRMDAMKIKVGCLEWEDAWDLFKRKAGERLIRGDLEIRHHAEELARRCGGLPLALITVGRAMASKRTAKEWRHAVTTLSNTPWQLLGMEENVLHRLKLSYDKLDDRLKTFLLYSSLHMGMNPMHKATIIDLCIGEGAIDDFDSPEDAYGEGYDLVGVLKAASMLESSGEDHVKMHPLIRAMVSWIVCECGKKDNRWLVQAGAGLAEAPDAEKWEGAERISLVSNEISSLPEEPHCPALLTLLLSGNRGLRTIPDGFFRSMACLRVLDLSRTSIEELPPEIGTLLQLQYLDLYETSVTCLPKELGNLVKLRSLLLSGTPHLRTIPNGVIEGLTELRVLCMYASYGTWRASSSGAGISFEELEGLKRLRYLDITLENATSLQRLSRARRLAMSTRYMHIRGCLGLTTIQLPSPSLGRCMRGLRCLRISHSSKLEEIIVGGGSTGNEWSLLPNMHVLMLQQLLKARIIFKDRIFPNLRFLHVWYCSGIEQLIRFEDEAGEGQEPEVVAAFPHLKELHLVGLSELKSLGGERRVLAFPCLRVLQVNECPKLKELGMVGEEMTAIFCSQEWSDGLEWGDDGIKQALSPLFTPLQ >Ma10_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3872817:3873564:-1 gene:Ma10_g00940 transcript:Ma10_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRAGSLHRQCLQHVRIVGGIPCHVPEAHLCHVILEQFEKINSSRAFPSNPANNSRDPQLIW >Ma10_p28660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35478640:35487791:-1 gene:Ma10_g28660 transcript:Ma10_t28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYNDPFNRNNPAVQARTKAQNRANVLQLKLIGQSHPTGLTNNLLKLFEPRPPLLYKPPLEKRKCPPYTGMAQFVSHFAEPNDPEYAPPVIEGETPTQRRARIRKLRLEEGARKAAEELEKYDPSKDPHVTGDPYKTLFVARLNYETTEHRIKRELETYGPIKRVRLITDKVTNKPRGYAFIEYMHTRDMKTAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGSTRIGGEEVNQKHSGREQQQIAAGHSRSEEPRARDDRQLDREKSRERGRDREREVERPRDRSRERTRDRDPREERHHHHRDRDRNREKERERDRGRERDRGRGRDKDRDRGHEYDRERERDRTRDRDRERERDYDHASHERESGYSLDKDSEHGRSESKQGRERTEAKERDLEHDDYGQGWYNERSKHGHERDYKQFDQSQQYDRVQHQGVVEPEHEQERPSRHEGDYYDRAPYDKGQAGDYHHQYGYADYEAREEGEAVGDDYGYHRSERSLSREYEN >Ma01_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:932823:936350:1 gene:Ma01_g01320 transcript:Ma01_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHQAEPETGGGHNMDQTTVNSTVVPYPTGTAHPGPRPPQAAAVFPITLKFEEVVYKVKVGGGGWWRRSSSPAEKTILNGITGVVCPGEMLAMLGPSGSGKTTLLTALGGRLGGKLSGKITYNGHPFSGAIKRRTGFVAQDDVLYPHLTVTETLTFTALLRLPGTLTRAEKAHQAQQVISELGLSRVAHSMIGGARGVRGVSGGERKRVSIGLELLVDPSLLLLDEPTSGLDSTTAARIVGTLKRLAAEKGRTVVTTIHQPSSRLYRMFDKLVLLSEGSAIYYGRAAAAVDYFAMVGFASPIDGVNPADLLLDLANGIAPESNYASENGDGSGGLQQEKKAVKEALIGAYDRNIATRLKAELCAVDLNNYGYTREMANAMKREQWCTSWWEQFTVLLSRGLKERRHEAFNKLRIFQVLSVATLGGLLWWHTPTSHIQDRTALIFFFSVFWGFFPLYNAVFTFPQERPMLRKEQASGMYRLSSYFLARTAGDLPMELALPTAFTFIIYWMGGLRSDPVAFLLSLLVVLFSVLVAQSLGLAVGAILMDVKQATTLASVTTLVFLMAGGYYVQQIPPFVVWLKYLSYSFYCYKLLLGVQFSEHDAYECSSGVMCPVIDYPAIKSVGLGHIWIDVCIMGLMLVGYRLVAYLALHHLQSG >Ma02_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23803936:23807973:1 gene:Ma02_g16440 transcript:Ma02_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPRNLDAKAANATAVAASCHVVVVPYPGRGHINPMMCLCHLLAAHGAVVTFVVTEEWLALLHAADAVPPPAAVRLRTIPNVIPSEAGRGADFPSFLEAVYTKMGDPFERLLDELDLPPAAIIADSYLSWAVAAGNRRGIPVALLVTISPAAFSVLYHFDRIPSHLLSLVAVSDHVAGEEFLGQWVPGLISFRLADVKSISMATTLKRALESVYWVLKAQIILFTSFYELGPRVIDSLRSELSCPVYSVGPCIPYMMPQVNKSIPCSGNDVDYFSWLDSQKERSVLYVSLGSFLSVLESQMEEIAMGLRASETKFLWVALGNSPRMQEVCGKSGFVVPWCDQLRVLHHPSVGGFLTHCGWNSILEAIFAGVPMLTFPIAWDQPLNSRLVVDEWKIGLSLKEELRKDNIVGRDNVAMLVKRLMDSDGGVEGKEMRRRARELQTASLKAIEEGGSSHGSIISFLKGLKQCKN >Ma03_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6675705:6677640:-1 gene:Ma03_g09070 transcript:Ma03_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCNKFFQKCKPYVAMISLQFGYAGMNIITKVSLNHGMSHYVLVVYRHAFATLSIAPFALILERKVRPKMTFSIFMQIFALGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVLAVICRMEKVHLKKVRCQAKVVGTLVTVAGAMLMTLYKGPIMDMAWTKHAQLQHANVPAVADSTDKDWLKGCIFLIIATLAWASLFILQAATLKKYDAPLSLTSLICFMGTLQATAVTLFMEHKPSVWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQDKGPVFASAFSPLMMIIVAIMGSFILAEKIYLGGIVGAALIVAGLYSVLWGKHKENKEKEMEALDIPVAVKSIIELDEVELEKAKANNLMISPLDKAAVAVNVQYQRTP >Ma04_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:132041:142357:1 gene:Ma04_g00100 transcript:Ma04_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSIRSATNARFPLLLFHQSQLPAMTRSVRLRKFDGRSGRSSVFARYSQSRDISTRLQDSMENFPKLVEDIIQTSINTGPRGALRLAQGIQAVIGVGGEWLTEVSKTANTSSGLPSGMQLGLLSPIYLRKLFERMGATYIKLGQFIASAPTLFPAEYVQEFQNCFDRAPAVPFHEIEVTLNEELQRPLDSIYEYIDPVPIASASIAQVHGARLKNSQQEVVIKVLKPGIEDVLVADLNFVYVVARVLEFLNPELRRTSLVGIVRDIKDSMLEEVDFRKEAANIESFRRYLEKMGLEKQAKAPQVYQHCSTRRVLTMERLYGVPLTDLDSIRSLVPDPEITLVTALNVWFGSLIACDNFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEAFLASFANEDYEAMASSLIEMGATEKDVDVKAFARDLEKIFYINTGIDDLDTEIVVATARGPNAAAISANVVVDERQMNALFLDVVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPTMNMLQDQRITIVSNRRLRSTGELSRSSGF >Ma08_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7542249:7543223:1 gene:Ma08_g10300 transcript:Ma08_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNKKDGVSGSEMEE >Ma09_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34455074:34456737:1 gene:Ma09_g22530 transcript:Ma09_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEQQLSISIPVISASPSGDVDLDACSLPPKVSILTGIHAGYFRISLSLCGQALLWKTLSEPGTDARALRHVVRMLPSAAFVLLWSVALASLVLLCALYACRCLFKFRHVQAEFLHHVGVNYLFAPWISWLLLLQSAFFLRPGSSAAYDALWWVFSVPIVVLDLKIYGQWFTKGKRFLSAVANPTSQMTVIANLVGARAAAKMGREEVATCMFAVGIAHYLVLFVTLYQRFEGSNSLPAMLRPVFFLFIAASSTASLAWSSISGSFDTASKMLFYLSLFLLASLVSRPALFRRSMRRFSITWWAYSFPLSMVALAATEYAQEVKGAVPNALMLLLAVLSVLVIVVLMGFTAVKTGDLLPRGDDDPFVPSNQIAPAA >Ma03_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24404219:24413579:1 gene:Ma03_g18920 transcript:Ma03_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKWFGAVKKVFSPESKEKKEERLKKKLEDGTSKHPDPCPAESLECTAREAVPPPPLPHPDEDKVVEIETEQSKHACSVATPAAVEPVVTSAEAAREVISLTTRTKFPGKSREEIAAIKIQTAFRGHLARRALRALRGLVRLKSLIDGNSVKRQATSTLRCMQTLARVQSQIRARRIRMLEDNQALQKQMLLKHERELESLKMGEEWDDSLQTKEQIEAGLLSKQEAAIRRERALAYAFSHQWKSSSKSVNPMFMDPNNLQWGWSWLERWMAARPWETRSTTDRELNNDRASVKSTMQSDGGGEILKAYANRGSNPEKPSPVVLKLSRPASRQSPSTPPNKAPWSMGKIKSASPKCSWVPLEDDSRSIVSVQSERSRRHSLATASVRDDESLAGSASVPSYMAPTESARAKSRFQSLSNDNNIDSPDKTSVSSVKKRLSFPTGDKFSPASPAPMRRNSGPPKVDMASVKDVAVQS >Ma10_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24243663:24247727:1 gene:Ma10_g10200 transcript:Ma10_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQTHFCHVFLLSFLTLQFCQLFSTEAEAGAKLYIVYLGERKHENPDHVTASHHDMLTSLLGSKEEAVSSIVYSYKHGFSGFAAMLTEAQAEELAESPDVISVRPSRNYELQTTRSWDFLGLNYMHPTGLLKKSNYGDGIIIGMVDTGIWPESRSFNDDGYSPVPSRWRGVCQVGVAFGANNCSRKVIGARYYTAGIDDSNLRIDYLSPRDYNGHGTFTASVAAGSLVRGASFHGLAAGDARGGAPRARLAVYKAVWGSGSGSGAGNTATVLAAIDDAIHDGVDILSLSLVVLEEDSFGSLHAVAKGITVVYAAGNLGPIPQLLFNTAPWVITVAASTIDRSFPTTIILGNNQSLVGQSIFYNSTSESKNKYMPLVLGGSCSPESLNGTDISGSIVLCLAYSLEPGFPTALSTVQNAGGKGLIVAQFTINVLEFTKQCIGIICVLVDFDIGYQIEKYITTERSPQVAVELTHNAIGKEVLSPKVAWFSSRGPAIPFPGVLKPDVAAPGVSILAAKRDGYTFGSGTSAACPHVSGVVALLKSLHPDWSHAAIKSALVTTASITNGYGMPIQAEGNPRKIADPFDFGGGQIDPDRAADPGLVYDIDPKEYYKFFNCTTGQFDICAEVLQPVYYLNLPSISIPDLKTTTAVWRTVTNVGEEVDAVYKASFESPPGVQMVVEPPTLVFDATAKVRSFKVTFTATHKVQGDYMFGSLTWLDGGAHAVRMPIAVRVVIRDFYADVA >Ma03_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4722224:4723032:-1 gene:Ma03_g06890 transcript:Ma03_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKKEAAFLLSAVKPPQTRNEQQQRGMSSSYDWQPSFPSSSRLSREQETSVIVSALAHVVSGYATAPAQLPVAETCRMCGIDGCLGCEFFSFDEDEVAVAASESRGSGGARKRRKKKKSKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFVTAEEAARAYDRAALEFRGPRAKLNFPFTDPQPAAAAQASHRAGRLNASSTAGHPRVSPLQQEKPTVAERGMQEMMKVWELIV >Ma07_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31618152:31619574:-1 gene:Ma07_g24190 transcript:Ma07_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEIPNPNFSPYPSPNPNPNPNPSNDRDPLIDRRPKRKRNLPGTPDPEAEVIALSPGTLLAASSFVCEVCGKEFRRDQNLQIHRRGHNLPWNFAAKKASSDEAAARARRRVYVCPEASCVYHDPSRALGDVTGIKKHYSRKHGEKKWECERCPKKYAVRADWKAHTKICGTREYECHCGTLFARKDSLLAHQAACEATATTTTTAEEREASTVNGELLFPSLWGRSTERAGNKGG >Ma06_p35730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35485584:35491744:-1 gene:Ma06_g35730 transcript:Ma06_t35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNRSPASLGGSPAAKVPGETKTRADSTPSRKRSRSDCASESPIKDQQPAKRRSPRRCNGDREENCIGNHNGSLANGKQLGSPKSPRKRLFGPSNQKPKWNPRDPAQMQAAKQALHLATPPANVVCREVEQKRVIDFCKACIQQSKAGSLYVCGCPGTGKTLSIGKVQEHLLVWSKEEGIQSPDILTINCTSLTSTTEIFSKMLVKFRPTSKIKNFSPLQHLQKIFSQKGQSSGRMMLIVADEMDYLITKDSSVLHDLFMLTTLPFSRCILIGIANAIDLADRFLPKLESLNCKPVVITFRAYSKDQILKILQQRLMVLGCDVFQSAALEFCARKVAAASGDIRRAFDICRSAIEVLEAELRDPTEKKETEIVSFDHMDIAISKSCKSPLVNIIRSLPQHQQVILCSLVRLFRQRKNSTALGEINRSYLDICKSTQIRPIGMTEFADMCRALADQGLLELANSRKDRSKKIKLKVDVSDITFAFKGIRFFQNCLE >Ma04_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9587925:9595880:1 gene:Ma04_g12700 transcript:Ma04_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCY1 [Source:Projected from Arabidopsis thaliana (AT2G18710) UniProtKB/TrEMBL;Acc:A0A178VZD7] MLLSARELLSAPSHGIHFSTFPSARSPAASLAFARTRGGPCRASSSGAADAEPRRRSVPWDTLRLNFSDDSRCIQPSDFSVFDPLGLNKESSLGLGALWESVLDFFSQTFESTASSKKDKSSSKRGVAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLTLSRLGVYVPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGVCSLGIVPFINAQIVFQLLTQIYPKLQDLQRREGEAGRKKVLQYTRYASVGFAVFQAIGQVVYLRPYVNDFSTEWVLSSVVLLTLGSVFTTYIGERISDLKLGNGTSLLIFTSIISYLPASFGRTIAQAFQDGNYVGLATITLSFFLLVLGIVYVQEAERKIPLNYASRYRSGGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLTALKKAAVALNPGGPLYLPTNVLLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSAFLAVLAAGPSVIEQTTHLTAFRGFAGTSILILVGCATDTARKVQAEIISQKYKNIEFYDINQYGP >Ma05_p20840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32530201:32538156:1 gene:Ma05_g20840 transcript:Ma05_t20840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEITAPPPSEENEVANRESTAVVVSAGDDALEVGDGVDSSSAKRKREEEEEEEEREVEGVEETKVSDAGDGKTRRDLLWKTSLCSFYRRQPGKAGCSHGDACRYAHGEAELRIRPDNTWDPTSERAKKLLRASNNGDDAKAESSEAEDPTVDVTSLDKCLIGLPRKWASDNLKSFLDGQGILYKTAKKKKGMTVGFVCFEDSQQLESAIEVLNANSTSGKQIKVVDAIRRSHEKKSEIPTSNQTKGQLVDSEQCTDDPNTSTSTSLIEDDDAVEKDTSVFEGLVSKTTRTARDVVAPLADLPYGDQLEKKKKTLMQTLKRLTRNARKACPASVALPEWITKSRDIGGLPCKFEGILESPLANGYRNKCEFSVGVSLKGKPTVGFMVGNFREGITAIEEPINCPNVSRISSEYALIFQEFLQSSVLPIWNRLENKGFWRQFTVREGRKTSQTSSTENAENSVVEVMLIIQVCSSDVDAEIMKNEFQKMVQCLAHGAAACQPPLPLTVIVVQDHKGISNAAPADCPLIPLMVPVAEQQLCSENKCATEARIHDYISNLRFSISPTAFFQVNTLAAERLYSLAGNWADLNPETLLFDICCGTGTIGLTLAHRVGMVVGIEMNASAVSDAQRNAMINDIKNCRFVCGKAEDVVGALLKEYLDVPKQHAKASKEEMLSCPENGPNKNLEPVGVSVENYDGGVKSEANLEGSSEENLTSDSMAVDGPDKDLNVSMETMGTCSAECSDTTEDNQVCKEPTEETCASKDEDGAVMHQFKNVVAIVDPPRVGLHPVVIKALRTHPRIRRLVYISCNPESLVANAIELCTPTTDMPEKGKGNRGWRNMSSAGLARHRTKSMPKSEPFQPIRAMAVDMFPHTDHCEMVMLLER >Ma05_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32530201:32538156:1 gene:Ma05_g20840 transcript:Ma05_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEITAPPPSEENEVANRESTAVVVSAGDDALEVGDGVDSSSAKRKREEEEEEEEREVEGVEETKVSDAGDGKTRRDLLWKTSLCSFYRRQPGKAGCSHGDACRYAHGEAELRIRPDNTWDPTSERAKKLLRASNNGDDAKAESSEAEDPTVDVTSLDKCLIGLPRKWASDNLKSFLDGQGILYKTAKKKKGMTVGFVCFEDSQQLESAIEVLNANSTSGKQIKVVDAIRRSHEKKSEIPTSNQTKGQLVDSEQCTDDPNTSTSTSLIEDDDAVEKDTSVFEGLVSKTTRTARDVVAPLADLPYGDQLEKKKKTLMQTLKRLTRNARKACPASVALPEWITKSRDIGGLPCKFEGILESPLANGYRNKCEFSVGVSLKGKPTVGFMVGNFREGITAIEEPINCPNVSRISSEYALIFQEFLQSSVLPIWNRLENKGFWRQFTVREGRKTSQTSSTENAENSVVEVMLIIQVCSSDVDAEIMKNEFQKMVQCLAHGAAACQPPLPLTVIVVQDHKGISNAAPADCPLIPLMVPVAEQQLCSENKCATEARIHDYISNLRFSISPTAFFQVNTLAAERLYSLAGNWADLNPETLLFDICCGTGTIGLTLAHRVGMVVGIEMNASAVSDAQRNAMINDIKNCRFVCGKAEDVVGALLKEYLDVPKQHGIISEVSSMSHTAKASKEEMLSCPENGPNKNLEPVGVSVENYDGGVKSEANLEGSSEENLTSDSMAVDGPDKDLNVSMETMGTCSAECSDTTEDNQVCKEPTEETCASKDEDGAVMHQFKNVVAIVDPPRVGLHPVVIKALRTHPRIRRLVYISCNPESLVANAIELCTPTTDMPEKGKGNRGWRNMSSAGLARHRTKSMPKSEPFQPIRAMAVDMFPHTDHCEMVMLLER >Ma02_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27499520:27503845:1 gene:Ma02_g22060 transcript:Ma02_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFALLLAEVAVVGVLLFNTPLRKLALLGLDRLKRGRGPLVVRTIAATGLVVLGSSLYSMSKIWSRSDQIGALTPTDQVLHSRHFLEASLMGYSLFLALIIDRLHHYIREWRGLRKSMEAATKQNRLLEEAKSGKSDEIKGREEKITSLNEEIQQLKLASEERMKEVKTAEANAIALRKQSEGLLLEYDRLLEDNQNLRSQLQSIDHHLSHSDSKKNA >Ma02_p22060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27499534:27504240:1 gene:Ma02_g22060 transcript:Ma02_t22060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFALLLAEVAVVGVLLFNTPLRKLALLGLDRLKRGRGPLVVRTIAATGLVVLGSSLYSMSKIWSRSDQIGALTPTDQVLHSRHFLEASLMGYSLFLALIIDRLHHYIREWRGLRKSMEAATKQNRLLEEAKSGKSDEIKGREEKITSLNEEIQQLKLASEERMKEVKTAEANAIALRKQSEGLLLEYDRLLEDNQNLRSQLQSIDHHLSHSDSKKNA >Ma06_p06730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4840284:4842195:-1 gene:Ma06_g06730 transcript:Ma06_t06730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRGSAEPSWKQRSEWKTSPERRMVWGEARARATTGRRAAVVYYLSRNGHLEHPHFMEVPVSSSEGLFLRDVVDRLRVLRGPAMAGLYSWSSKRSYKNGYVWHDLSEGDFIHPVHGNEYVLKGTELLHLGIPSSSSSGSQESSASSTSSEKPSETSKCAQDDAAVSSVTKQAVRSSIDVSEYKVYKNHLKAEPTVKSADASTQTEEHRQHRWRVPIGEEKREEKLKTVVTENPAAELSRDEISPPPSSSSPETLEALIKADCRRTAAVGPDDKDRMDGICLSGRVRASAVLMHLISCGFISVKANGLSLMPQDMGRLGRAASMGRIPSSRAIKLEDKEYFSGSLVETDKMVGDGEGGELPSLKRSSSDNADRYALNRKLKLDTDSFMDLNQCDKGNLNSILSWWMQIWLLILFTSWCVTNRGSKMDLGKQVEEDARTRCVPRKPKTRKERKP >Ma06_p06730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4840284:4842215:-1 gene:Ma06_g06730 transcript:Ma06_t06730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRGSAEPSWKQRSEWKTSPERRMVWGEARARATTGRRAAVVYYLSRNGHLEHPHFMEVPVSSSEGLFLRDVVDRLRVLRGPAMAGLYSWSSKRSYKNGYVWHDLSEGDFIHPVHGNEYVLKGTELLHLGIPSSSSSGSQESSASSTSSEKPSETSKCAQDDAAVSSVTKQAVRSSIDVSEYKVYKNHLKAEPTVKSADASTQTEEHRQHRWRVPIGEEKREEKLKTVVTENPAAELSRDEISPPPSSSSPETLEALIKADCRRTAAVGPDDKDRMDGICLSGRVRASAVLMHLISCGFISVKANGLSLMPQDMGRLGRAASMGRIPSSRAIKLEDKEYFSGSLVETDKMVGDGEGGELPSLKRSSSDNADRYALNRKLKLDTDSFMDLNQCDKGNLNSILSWWMQIWLLILFTSWCVTNRGSKMDLGKQVEEDARTRCVPRKPKTRKERKP >Ma06_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4840284:4842195:-1 gene:Ma06_g06730 transcript:Ma06_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRGSAEPSWKQRSEWKTSPERRMVWGEARARATTGRRAAVVYYLSRNGHLEHPHFMEVPVSSSEGLFLRDVVDRLRVLRGPAMAGLYSWSSKRSYKNGYVWHDLSEGDFIHPVHGNEYVLKGTELLHLGIPSSSSSGSQESSASSTSSEKPSETSKCAQDDAAVSSVTKQAVRSSIDVSEYKVYKNHLKAEPTVKSADASTQTEEHRQHRWRVPIGEEKREEKLKTVVTENPAAELSRDEISPPPSSSSPETLEALIKADCRRTAAVGPDDKDRMDGICLSGRVRASAVLMHLISCGFISVKANGLSLMPQDMGRLGRAASMGRIPSSRAIKLEDKEYFSGSLVETDKMVGDGEGGELPSLKRSSSDNADRGSKMDLGKQVEEDARTRCVPRKPKTRKERKP >Ma04_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14407355:14408234:1 gene:Ma04_g15860 transcript:Ma04_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGSILVEFEPFTEIESCVGLDWNSGNRGPGRIPLEWTSRLQLALGAAKGLAYLHGTSNSKLSHQHLTSSNVLVDGEGNACISDFGLLELVSPSPPSSSFSSGSHAAVAETRQRSDVYSFGIILLEILTGRPAVVHGEEDLAKWVQTVVREEWTSEVFDIELTRGKGAEDEMFALLQVALLCVAQEPKDRPRMAVVYKMIEDIRERGNRRSRGSLSPSLHDHSYESSSPCLSEDTPTFTSC >Ma03_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8301917:8305728:-1 gene:Ma03_g11000 transcript:Ma03_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRSPPSPLTLAAPFATTAGGNGGRHQLLLPHRSWTNPNLAASTRVGGGASVRCMANQRRVKMVAKQIQRELSDMLLTDKVLQYAVLPEASLGADRYLSSLTTISGVEVSGDLQVVKVYVSVFGDERGREVAIAGLKSKAKYVRSELGRRMKLRLTPEIRFIEDESLERGSRVLAILDRLKEEKKSSKGNDVKQLEPLNSSEEDGDGDMDDEDEGIIYIK >Ma01_p20220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17337311:17409542:-1 gene:Ma01_g20220 transcript:Ma01_t20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKLSSLFAAFAATKTSKNPKPVPPSPEFVPPKKSPDPIHFTNSSPPSSGSETAEKPAGVVSKQVLSRLKPAASRISKSSLAPGEAVDSAFGISKAISSILSGDNDMSHPSSSKESTDGMLLKNILDVPWFSSMSQINTTQWKKEVSRERKQKYIFKNTESRRFVELMKKCADKLGTKSTVEFFGRLGREMGLREYNALIGLCISKARNCSNEEDSMVHIKKAYGLFASMREKGLQIEEQSYGPLLMYMIDMKLTQEFQMFSEFFKDENPESSSRIGYYEMLLWISVGNEDKIRELIDSVGVADTQDSYKFAESYLLAFCKSDRKEELLRLLEVVNIWKVSSPKYINSVFQTLGRLQLEASTEKIILQLKTAGFGEEDISFYIYEYTTCVPNLAVEDVVSKFINLHQKLGIIPSIASYEKVIMHCCNSCKIYAALDIVDHICKSGLDVPIQCFHPILHACEQYGELDMVHPVYSMMQQHNLTPKGDFSESMISLFVKMKDFEGAYNLLAYAKGMNEMPTTSMYNIIMAGYFREQNYYGALNVLKQMEDADIKPDSKTFSYLIANCKCEEDIVKYRDEMRHSGIEFTKYVYMALINAYAKFGNFEMAKQVVLDKEIPVKYINEIKSVLVSALSSNGQVNDALCVSDEIKQAGSNLEPKAVISLIEHIRAEGEIDRLLQLLGELKDSNSWFDGCSRVILYCIQYNLSSTAIELLKQLKEKDKSSTYIVIDQIFSQIWETTPTNVKIGVELLQAVKEELELHPSRTSLDFLLSTCVKAKDSQLAWFVWAEYEASGLSYNVSTFVRMYQALLASREQEAANEMLKQIPKEDPHVRCLIQSCKTAYCY >Ma01_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17337311:17409542:-1 gene:Ma01_g20220 transcript:Ma01_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKLSSLFAAFAATKTSKNPKPVPPSPEFVPPKKSPDPIHFTNSSPPSSGSETAEKPAGVVSKQVLSRLKPAASRISKSSLAPAVDSAFGISKAISSILSGDNDMSHPSSSKESTDGMLLKNILDVPWFSSMSQINTTQWKKEVSRERKQKYIFKNTESRRFVELMKKCADKLGTKSTVEFFGRLGREMGLREYNALIGLCISKARNCSNEEDSMVHIKKAYGLFASMREKGLQIEEQSYGPLLMYMIDMKLTQEFQMFSEFFKDENPESSSRIGYYEMLLWISVGNEDKIRELIDSVGVADTQDSYKFAESYLLAFCKSDRKEELLRLLEVVNIWKVSSPKYINSVFQTLGRLQLEASTEKIILQLKTAGFGEEDISFYIYEYTTCVPNLAVEDVVSKFINLHQKLGIIPSIASYEKVIMHCCNSCKIYAALDIVDHICKSGLDVPIQCFHPILHACEQYGELDMVHPVYSMMQQHNLTPKGDFSESMISLFVKMKDFEGAYNLLAYAKGMNEMPTTSMYNIIMAGYFREQNYYGALNVLKQMEDADIKPDSKTFSYLIANCKCEEDIVKYRDEMRHSGIEFTKYVYMALINAYAKFGNFEMAKQVVLDKEIPVKYINEIKSVLVSALSSNGQVNDALCVSDEIKQAGSNLEPKAVISLIEHIRAEGEIDRLLQLLGELKDSNSWFDGCSRVILYCIQYNLSSTAIELLKQLKEKDKSSTYIVIDQIFSQIWETTPTNVKIGVELLQAVKEELELHPSRTSLDFLLSTCVKAKDSQLAWFVWAEYEASGLSYNVSTFVRMYQALLASREQEAANEMLKQIPKEDPHVRCLIQSCKTAYCY >Ma08_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2613018:2615203:-1 gene:Ma08_g03620 transcript:Ma08_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAASLHLTLLVVSATALTAALASGIKPQILYDEQRSLNASGFASTTVPAFPVARSNNRSECRLDLSDELFGGVGDACIRGGLDRSRCCPVLAAWLFAAHARSALELQPPPATDDGLDGMDGPMMPDDNQKCVDSLQSALERRDIRLPRPNATCDTVLCFCGIRLHQIGSLRCPAAFNVSGGAARSATPTLAVRQLEGDCRNASYAGCTRCLHALEKVKGHGGGVGEYEGGGDRAKRMFGRDCQLMGLTWLLARNKTAYIPTVSAVLRAVLYSAHPPQAGGGGGGGYKCSPDQENMPLAVDSLQFQHQSDSAAAFSFQPAPPVSHLVLSSLALLVLLAWLPFL >Ma05_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9770606:9772717:1 gene:Ma05_g13450 transcript:Ma05_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYDILQFPVVMKALYKILLYGLHLLLCNHIILDVKFQEVISLWLIWNNVCRRHPSQLLKILLPFICHGLATKMDHNAQSGTQWNASYGNMHQYMASLYMCTIIGIHPESNTS >Ma07_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16712006:16727935:1 gene:Ma07_g17240 transcript:Ma07_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSGATLSPLRRKDGGDGIGESRDEEEASRRPLEPESVGIEMPESDRRIGTSGSRNQLLSGDATGGAYFSNINLIQRNKSKISSLTCFGVDMTPDNFAVAIVYFVQGVLGLARLAVNFYLKDDLHLDPAETAVVTGLSSLPWLIKPVYGFISDSFPLFGYRRRSYLFLSGLLGALSWSLMAMFVDSKYSAGLCILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVNKYGVRYVFGITAFLPLMTSAVAILVKEHASNATRGHNLSSTSSGFLKSSKQSIFQLWAAIKQPDIFLPTLFIFLWQATPHSESAMFFFITNKLRFTPEFLGRVKLVTSVASLVGVALYNSLLKNVPLRKIFLLTTIFGSTLGMTQVFLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAARLCPSGVEATLFATLMSISNAGSVTGGLIGAGVTHLLGVTKDSFKNLALLIIICNLSSLLPLPLIGLLPDEKEESKNVDIEQAKLN >Ma08_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9469637:9470606:1 gene:Ma08_g12510 transcript:Ma08_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLGWGTLDNGEWRKGPWTAQEDKLLTEHVSLHGDGKWNSVSKLTGLRRNGKSCRLRWVNYLRPDLKRGNITPQEEIIIQELHALWGNRWSNIARSLPGRTDNEIKNYWRTHFKKSKPSKNVEKARARFLIRQRQEKQGQEEQQHLHQGAQQQQADMRAAMKRAEEAALAEDMEETTYMYSVSCMLQGGGFSGYSSDGSTGDGSWGSLWNLGDVPDDLCAVTALYGERR >Ma09_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2538328:2539021:1 gene:Ma09_g03850 transcript:Ma09_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTISPSLLLLPLSSGSVSVAAEDAIPPEIIPTAAEESAASTVVSALLFVAFIGLFMLTVGVISLAQLQSPPVALVIYLAVQDLLQKRERDKFEKEEAEKTKKGGKKAKAKARTGPRGFGQKIEEDEE >Ma09_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4883133:4889134:-1 gene:Ma09_g07430 transcript:Ma09_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAQIHALGSVPFFPSSKSPSSRVAASSSLFFGVRRPGSASGARLSARRRNQRSGYGPLRVVCEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVLRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLSADFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVDNSLKDAKLSFKDIDEVILVGGSTRIPAVQELVKKMTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPTDEVERMVKEAEKFAKEDKEKRDAIDTKNQSESVIYQTEKQLKELGDKVPAAVKEKVEGKLKDLKDAVAGGSTQSMKDAMAALNQEVMQLGQSLYNQPGAAGAGPGAGAGSGPAPGADAGSSGPSSKGSENGDVIDADFTDSN >Ma04_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17638340:17642624:-1 gene:Ma04_g17390 transcript:Ma04_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSSFAIKPKAMKFDPTSNDRAAKAVSPADDVSESAASRLSEKLCMTTLQADSPLNILYKPTAKVVSRATAALLANLENPDAIRQKPTRNYQQPAVVVEQAKPDYVAGRRQSCSRSDLKNQQQPTGCGDRPSYDGYNWRKYGQKQVKGSESPRSYYKCTHPACPVKKKVERSLEGQIAEIVYNGEHNHPKPLPPRKLSSGSQGQAFFSEEAGREAGSNPSWGSSVSEVKVSATSVDEQNEPSLAGIPTYAGRVHFSHESFIATTYDSSDNNTDASTQIGKVGSRSRAASMASDKLDCKRRKNEDPYSGANSVTEDGAEADPITLTAMECDIPGDGFRWRKYGQKLVKGNTYPRSYYRCTSTKCNVRKYVERASDDSGYYVTTYEGKHNHEMPERKINFGASDPDTEEGFNGSSDHNFQ >Ma04_p17390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17638340:17642170:-1 gene:Ma04_g17390 transcript:Ma04_t17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSSFAIKPKAMKFDPTSNDRAAKAVSPADDVSESAASRLSEKLCMTTLQADSPLNILYKPTAKVVSRATAALLANLENPDAIRQKPTRNYQQPAVVVEQAKPDYVAGRRQSCSRSDLKNQQQPTGCGDRPSYDGYNWRKYGQKQVKGSESPRSYYKCTHPACPVKKKVERSLEGQIAEIVYNGEHNHPKPLPPRKLSSGSQGQAFFSEEAGREAGSNPSWGSSVSEVKVSATSVDEQNEPSLAGIPTYAGRVHFSHESFIATTYDSSDNNTDASTQIGKVGSRSRAASMASDKLDCKRRKNEDPYSGANSVTEDGAEADPITLTAMECDIPGDGFRWRKYGQKLVKGNTYPSYYRCTSTKCNVRKYVERASDDSGYYVTTYEGKHNHEMPERKINFGASDPDTEEGFNGSSDHNFQ >Ma04_p17390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17638340:17642170:-1 gene:Ma04_g17390 transcript:Ma04_t17390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSSFAIKPKAMKFDPTSNDRAAKAVSPADDVSESAASRLSEKLCMTTLQADSPLNILYKPTAKVVSRATAALLANLENPDAIRQKPTRNYQQPAVVVEQAKPDYVAGRRQSCSRSDLKNQQQPTGCGDRPSYDGYNWRKYGQKQVKGSESPRSYYKCTHPACPVKKKVERSLEGQIAEIVYNGEHNHPKPLPPRKLSSGSQGQAFFSEEAGREAGSNPSWGSSVSEVKVSATSVDEQNEPSLAGIPTYAGRVHFSHESFIATTYDSSDNNTDASTQIGKVGSRSRAASMASDKLDCKRRKNEDPYSGANSVTEDGAEADPITLTAMECDIPGDGFRWRKYGQKLVKGNTYPRSYYRCTSTKCNVRKYVERASDDSGYYVTTYEGKHNHEMPERKINFGASDPDTEEGFNGSSDHNFQ >Ma05_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:74898:79216:-1 gene:Ma05_g00110 transcript:Ma05_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLAVLLLLAVASAFDCDERSDGYCKHMSRSTPRPHSVTITEFGAVGDGVTLNTVAFQNAVFYVRSFADKGGAQLYVPKGRWLTGSFDLTSHLTLFLDKDAVIIGSQDASQWPPVEPLPSYGRGIDLPGERHRSLINGYNLIDVVITGNNGAIDGQGSVWWEWFRSHTLNYSRPHLLELVSSSDIVVSNLTFLNPPAWSIHPVYSSNVTIQNIIIHASSDSPYTDGIVPDSCSNFHIEDCSISVGHDAIVLKSGWDSYGISFGKPSSNIQINNVHLQTSLGSALAFGSEMSGGISDVRVEHLYVRNSHTGINFKTTRGRGGYIEDIVISDVKMENVHEAIQFTGYCGAHPDDQYDPDVLPVVRRVTIKDVVGTNISNAGVFSGIDHDPFTAICLANISLPITSDLSDPWTCSNVAGFSDSVFPQPCLDLSLNSSLFCFSLETFRAIAEI >Ma05_p00110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:74898:79216:-1 gene:Ma05_g00110 transcript:Ma05_t00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLAVLLLLAVASAFDCDERSDGYCKHMSRSTPRPHSVTITEFGAVGDGVTLNTVAFQNAVFYVRSFADKGGAQLYVPKGRWLTGSFDLTSHLTLFLDKDAVIIGSQVLIATHRHLLFMDASQWPPVEPLPSYGRGIDLPGERHRSLINGYNLIDVVITGNNGAIDGQGSVWWEWFRSHTLNYSRPHLLELVSSSDIVVSNLTFLNPPAWSIHPVYSSNVTIQNIIIHASSDSPYTDGIVPDSCSNFHIEDCSISVGHDAIVLKSGWDSYGISFGKPSSNIQINNVHLQTSLGSALAFGSEMSGGISDVRVEHLYVRNSHTGINFKTTRGRGGYIEDIVISDVKMENVHEAIQFTGYCGAHPDDQYDPDVLPVVRRVTIKDVVGTNISNAGVFSGIDHDPFTAICLANISLPITSDLSDPWTCSNVAGFSDSVFPQPCLDLSLNSSLFCFSLETFRAIAEI >Ma06_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4825024:4828129:1 gene:Ma06_g06700 transcript:Ma06_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKKKTPAELLRENKRMLDKSIRDIERERQGLQTQEKKLIAEIKKTAKQGQMAAVKVMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMAQMNRQMNLPALQKIMQEFELQNEKMEMVSEVMGDAIDDALEGDAEEEETEELVNQVLDEIGVDINAELVKAPSTAVAKPEAANKVAQPEAAGNGDSGIDNELQSRLDNLRKM >Ma07_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13297160:13305368:-1 gene:Ma07_g16420 transcript:Ma07_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICKMFDFGYKAQYMDGQRENFLRLEESSPRLSHASDTERMNRCTFNVEGHGRGSKSTSRSLKGGVRKGPEGLKFLGRSFRFGASREVFPEDLKVSEKKIFDPQDKFLILMNRLFVISCILAVSVDPLFFYLPIKDENEKSTCLGIDRKLAIASTTVRTIIDCFYLIRMALQFRTAYIAPSTRVFGRGELVIDPAQIAKQYLRSNFIIDFLSVLPLPQIVVWRFLHRSKGSDVLATKNALFAIVLLQYIPRLSRILPLTSELKRTAGVFAESAWAGAAYYLLWYMLACHVVGAFWYVLAVEREDDCWHAVCKTKNDICNANFLYCGNEHIDGYDKWQNVSAQVLQDLCLPHDDNSFFSFGIFEQSLNSNIVASKEFCIKLAYCFWWGLQNLSTLGQGLQTSTYLGEVIFSIAIAVLGLVLFALLIGNMQTYLQSMAIRLEEMRVKRRDSELWMHHRMLPSDLRERVRRYDQYKWLETRGVDEEGLVQSLPKDLRRDIKRHLCLALVKRVPLFENLDERLLDAICERLKPTLFTENTYILREGDPVDEMLFIIRGRLESITTDGGRSGFFNRSFLKERDFCGEELLTWALDPKSSGNLPTSTRTVKALKEVEAFALNADELKFVAGQFRRLHSRQVQHTFRFYSQLWRTWAACFIQAAWRRHARRKMADLQQREEEAGLRNNLVGGTTSLGAAIYASRFAANALRSVHRLRNRSVRELVRLQKPPEPDFTADDAD >Ma07_p16420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13297160:13305362:-1 gene:Ma07_g16420 transcript:Ma07_t16420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCTFNVEGHGRGSKSTSRSLKGGVRKGPEGLKFLGRSFRFGASREVFPEDLKVSEKKIFDPQDKFLILMNRLFVISCILAVSVDPLFFYLPIKDENEKSTCLGIDRKLAIASTTVRTIIDCFYLIRMALQFRTAYIAPSTRVFGRGELVIDPAQIAKQYLRSNFIIDFLSVLPLPQIVVWRFLHRSKGSDVLATKNALFAIVLLQYIPRLSRILPLTSELKRTAGVFAESAWAGAAYYLLWYMLACHVVGAFWYVLAVEREDDCWHAVCKTKNDICNANFLYCGNEHIDGYDKWQNVSAQVLQDLCLPHDDNSFFSFGIFEQSLNSNIVASKEFCIKLAYCFWWGLQNLSTLGQGLQTSTYLGEVIFSIAIAVLGLVLFALLIGNMQTYLQSMAIRLEEMRVKRRDSELWMHHRMLPSDLRERVRRYDQYKWLETRGVDEEGLVQSLPKDLRRDIKRHLCLALVKRVPLFENLDERLLDAICERLKPTLFTENTYILREGDPVDEMLFIIRGRLESITTDGGRSGFFNRSFLKERDFCGEELLTWALDPKSSGNLPTSTRTVKALKEVEAFALNADELKFVAGQFRRLHSRQVQHTFRFYSQLWRTWAACFIQAAWRRHARRKMADLQQREEEAGLRNNLVGGTTSLGAAIYASRFAANALRSVHRLRNRSVRELVRLQKPPEPDFTADDAD >Ma04_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20955749:20970263:1 gene:Ma04_g18780 transcript:Ma04_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGLVDTLLGVVGFGLGIAIGLVVGYFFYVYFQPSDVKDPIVRPLHELDSNALQKLLPEIPFWLKNPDYDRVDWLNKFILNMWPYLDKAICNRIQSETKPIFDQYIGKYWIKSIEFHNLTLGSLPPTIHGVKVYETQEKELVFEPAVRWAGNTNIVLVLKLSSIKVTVQLLDLQISLVPRVTLKPLVPSFPCFANLTVSLMEKPYVDFGLKILGGDLMALPGLYRFVQDTIATEVSNLYHWPKLIEVPILDSSSCATKKPVGILHVNVVRASNLLKMDLLGKSDPYVKLSLSGERLPSKKTSIKMRNLNPEWNEQFKLTVKDPETQVLQLHVYDWEKVKMHDKLGMQVIPLSLLTPHETKEFTLDLLKNLNPNDPQNTRNRGKIIVQLTFDPFKEDNGSFNMGLDEKLSGSDRATQDTSYNGGLLLVTVEAAEDVEGKRHTNPYAMILFRGEKKKTKVIKKSRDPRWKEDFQFMLEDAPIDEKIHVEVLSKGRLFSFHPKESLGYVDINLSDVVNNGRINERYHLINSHNGVIHLEIKWNTT >Ma05_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:721988:724029:1 gene:Ma05_g01200 transcript:Ma05_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALHLLVAVVLLLSTPSSAAHRRHPHAHHHKHKANATSPSTPIHQACRAALSPDVCESVLSHLSISLPPDSSGSDVALAALSAASRGHQTARSNAQSILDAAGSDRNRSTAARNCLELLSLSDHRISAAIKALPAGALADSRTFSGAAELYQYACRAGLTKVNDTQIVVDAMAFLERLTNLTTSATAMIAALQRYGPDTSRWGPPQTERDGYWPDAAAAGSGSGGGKARKSFPRPGAPADATVCKRSECRYRSVQAAVDAAPENSTRPFVIHIKEGVYEETVRVPFEKTNLVFFGDGMGKTVITGSLSADMVGVSTYNTATVGVTGDGFMARDLTMANTAGPDAHQAVAYRSDSDRSVLEHVEFLGHQDTLYASSLRQFYQSCRVSGTVDFIFGNAAAIFRDCSILVVPRQLDPEHGETNTVTAHGRSDPAQSTGFVFDRCVVNGSDEYLALYKSNPAVHLAYLGRPWKEYSRTVFIDCSMGEIVRPEGWTPWSGDFALPTLYFGESGSSGPGGKATARVPWSSKIPAEHLGAYSLESFIQGDQWIPDDSEHKN >Ma05_p28100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39196962:39198589:1 gene:Ma05_g28100 transcript:Ma05_t28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQLPSIPILVFSFLFLLLLNKKRGFTNCRNESYPPRRPPGPWNLPFVGCMHHLVGQLPFRAFRELARKHGPLMLLRLGQVDVVVASSREAAEEILKNQSVTFASRPELLAAKIIGYGPTDIAWSPYGPHWRQLRKLCFMELFSARRIRSFSSIRTEETLDLMRDIARSGGTPVNLTEKLFGLSNAVVCRAAFGKRHKHRERFVPLIKDTLALFGGFCVADMFPSLKFIDVLSGAEFRLRRLRRRLDEILGDIIKEHEGKASASRGDKAGEVDDLVDVLLRLKDDPQLEVPLTMENVKGVIVDMFVAGTETSSTVVEWAMSELMRNPEIMERAQKEARELAAQRRNIIEESDISEPNYMKLIIKETLRLHPPATLLPRLCRETCEVMGYRIDDGTRVFVNAWANGRDARYWDDAESFKPERFQGSAMDFKGVDFDYLPFGAGRRICPGIGFGMATVELALAHLLLHFDWELPHGMRPEELDMCETMAFVAPRKTELKLIATIRVPIPIAT >Ma03_p06320.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4382871:4391048:-1 gene:Ma03_g06320 transcript:Ma03_t06320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSHNQLNMIMLENVAAQEESQDHVANTLKRGRQVTVPPDCETEDLASEEHDENKCTEAPYNVLKMIGTVETDTLSISDKTVTQIKVQDNDCQVIKDICVDDGLHAFEKILLKNAAVSENIPSGFKTYATNANDNWNQQMTHGTALVVEGLKYTDAADDDKDQKSSWGLFESKQKLDEGNQLASKTSEKITLQHLFSLGELDTLAHHMVLTSYGSISSTKQSIGQMNIEQVTLEEEHSENLQCDSSMTYESIMILENLNDDLSEGNLETKCSAATNDPLDVTFSDRNGDSMEKPPNAQLEGVCNSDFNSVAAAAAAAISGTEKNNKNADDKQLVNALYHRSNEEMVFDATTASAQSSFYPNNHGDLHFSGPSYLSGPKVSSGHIAYSGSISLRSESSTTSTHSFAFPILQAEWNTSPIRMVKADRRHLRKYRWRTGLFCCKF >Ma03_p06320.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4382871:4391048:-1 gene:Ma03_g06320 transcript:Ma03_t06320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSHNQLNMIMLENVAAQEESQDHVANTLKRGRQVTVPPDCETEDLASEEHDENKCTEAPYNVLKMIGTVETDTLSISDKTVTQIKVQDNDCQVIKDICVDDGLHAFEKILLKNAAVSENIPSGFKTYATNANDNWNQQMTHGTALVVEGLKYTDAADDDKDQKSSWGLFESKQKLDEGNQLASKTSEKITLQHLFSLGELDTLAHHMVLTSYGSISSTKQSIGQMNIEQVTLEEEHSENLQCDSSMTYESIMILENLNDDLSEGNLETKCSAATNDPLDVTFSDRNGDSMEKPPNAQLEGVCNSDFNSVAAAAAAAISGTEKNNKNADDKQLVNALYHRSNEEMVFDATTASAQSSFYPNNHGDLHFSGPSYLSGPKVSSGHIAYSGSISLRSESSTTSTHSFAFPILQAEWNTSPIRMVKADRRHLRKYRWRTGLFCCKF >Ma03_p06320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4382871:4391048:-1 gene:Ma03_g06320 transcript:Ma03_t06320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSHNQLNMIMLENVAAQEESQDHVANTLKRGRQVTVPPDCETEDLASEEHDENKCTEAPYNVLKMIGTVETDTLSISDKTVTQIKVQDNDCQVIKDICVDDGLHAFEKILLKNAAVSENIPSGFKTYATNANDNWNQQMTHGTALVVEGLKYTDAADDDKDQKSSWGLFESKQKLDEGNQLASKTSEKITLQHLFSLGELDTLAHHMVLTSYGSISSTKQSIGQMNIEQVTLEEEHSENLQCDSSMTYESIMILENLNDDLSEGNLETKCSAATNDPLDVTFSDRNGDSMEKPPNAQLEGVCNSDFNSVAAAAAAAISGTEKNNKNADDKQLVNALYHRSNEEMVFDATTASAQSSFYPNNHGDLHFSGPSYLSGPKVSSGHIAYSGSISLRSESSTTSTHSFAFPILQAEWNTSPIRMVKADRRHLRKYRWRTGLFCCKF >Ma03_p06320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4382871:4391265:-1 gene:Ma03_g06320 transcript:Ma03_t06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSHNQLNMIMLENVAAQEESQDHVANTLKRGRQVTVPPDCETEDLASEEHDENKCTEAPYNVLKMIGTVETDTLSISDKTVTQIKVQDNDCQVIKDICVDDGLHAFEKILLKNAAVSENIPSGFKTYATNANDNWNQQMTHGTALVVEGLKYTDAADDDKDQKSSWGLFESKQKLDEGNQLASKTSEKITLQHLFSLGELDTLAHHMVLTSYGSISSTKQSIGQMNIEQVTLEEEHSENLQCDSSMTYESIMILENLNDDLSEGNLETKCSAATNDPLDVTFSDRNGDSMEKPPNAQLEGVCNSDFNSVAAAAAAAISGTEKNNKNADDKQLVNALYHRSNEEMVFDATTASAQSSFYPNNHGDLHFSGPSYLSGPKVSSGHIAYSGSISLRSESSTTSTHSFAFPILQAEWNTSPIRMVKADRRHLRKYRWRTGLFCCKF >Ma03_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4382871:4391265:-1 gene:Ma03_g06320 transcript:Ma03_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSHNQLNMIMLENVAAQEESQDHVANTLKRGRQVTVPPDCETEDLASEEHDENKCTEAPYNVLKMIGTVETDTLSISDKTVTQIKVQDNDCQVIKDICVDDGLHAFEKILLKNAAVSENIPSGFKTYATNANDNWNQQMTHGTALVVEGLKYTDAADDDKDQKSSWGLFESKQKLDEGNQLASKTSEKITLQHLFSLGELDTLAHHMVLTSYGSISSTKQSIGQMNIEQVTLEEEHSENLQCDSSMTYESIMILENLNDDLSEGNLETKCSAATNDPLDVTFSDRNGDSMEKPPNAQLEGVCNSDFNSVAAAAAAAISGTEKNNKNADDKQLVNALYHRSNEEMVFDATTASAQSSFYPNNHGDLHFSGPSYLSGPKVSSGHIAYSGSISLRSESSTTSTHSFAFPILQAEWNTSPIRMVKADRRHLRKYRWRTGLFCCKF >Ma03_p06320.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4382871:4391048:-1 gene:Ma03_g06320 transcript:Ma03_t06320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSHNQLNMIMLENVAAQEESQDHVANTLKRGRQVTVPPDCETEDLASEEHDENKCTEAPYNVLKMIGTVETDTLSISDKTVTQIKVQDNDCQVIKDICVDDGLHAFEKILLKNAAVSENIPSGFKTYATNANDNWNQQMTHGTALVVEGLKYTDAADDDKDQKSSWGLFESKQKLDEGNQLASKTSEKITLQHLFSLGELDTLAHHMVLTSYGSISSTKQSIGQMNIEQVTLEEEHSENLQCDSSMTYESIMILENLNDDLSEGNLETKCSAATNDPLDVTFSDRNGDSMEKPPNAQLEGVCNSDFNSVAAAAAAAISGTEKNNKNADDKQLVNALYHRSNEEMVFDATTASAQSSFYPNNHGDLHFSGPSYLSGPKVSSGHIAYSGSISLRSESSTTSTHSFAFPILQAEWNTSPIRMVKADRRHLRKYRWRTGLFCCKF >Ma09_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:214538:215356:-1 gene:Ma09_g00250 transcript:Ma09_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGRFLLVHVHDDKPGRSSALSNLLKQQRKKHNVFLFWFRNTKGYTRLETRKCSQQQHGNHILLSQPRAKRGLSWEARKCSQKVGAVRERIDPWDSRWFKRRQHRRCVHVTIGKPVGEVLPGGARLFQRREAFFLQLQRPLGLFDYGIGAWCMPPCRCLSPITNNTRSDSDEYHHTLYVTSHVKHNITKVENR >Ma03_p25340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29417987:29420109:-1 gene:Ma03_g25340 transcript:Ma03_t25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 55 [Source:Projected from Arabidopsis thaliana (AT5G49130) UniProtKB/Swiss-Prot;Acc:Q9FH21] MVTGDQIHKCLTISEVSEELKRMKDIGLPITVINLVSYIKGMASVACMGRLGRLELAGGALAVGFTNVTGYSVLAGLAMGMEPICSQAFGSRNLSLASRALRRTILLLLLVSLPIALLWINLRPIMRVVRQDSDVARVAACYCRFALPDLLANSLLQPLRVYFRCKGAPCPLMWCMSLAVVIHVPLTASLSSAIGVPGVAIATCLTDFNTLLLLLLACVISSRTADEAAYLPIPSSPTTCSSYKPWSEWSSLIRLALPSCLAVCLEWWWYELMTVASGYLSNPRVSLATAAIVIQTTSLMYTLPTTLSTSVSARVGNELGAGQPRRAQTAAAVGMGLAVAGSCISLLWATVGREAWGRVFTGDGEVLELTKAVLPVIGLCELANCPQTTGCGVLRGSARPSVAAAINLYAFYLVGAPVALLLAFRLDLGFLGLSLGLLTAQVACALPVVHLVRSMDWEREASKAVDLVGSGGRALEGEYEEKATLKGEGLQP >Ma04_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21626351:21628941:1 gene:Ma04_g19070 transcript:Ma04_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKACCVLFGTKRVVQDYLHELSLSYLKWMRVSNSPKRNKSFKFFNYCSNYPNFHKIIIDV >Ma03_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28321725:28323587:1 gene:Ma03_g23920 transcript:Ma03_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDLHNLIAGKPGFSAVSAVSDHAHFLGQHHSSFIAAPGPDQQYGMLVAASRGGEVDLPPPPPPPPLPPPGMESFGFYETAFGGGGGQGRWPRQETLTLLEVRSRLDSRFREAAHKGPLWDEVSRIMAEEHGYHRSGKKCREKLENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGGSSSATAEIIQPCSKPTYASALPSNREALQVSRFSGNVSLSSSSEFDGTSSTGEEGEQEDGSMRRAKTGRKSWKSKVEELVDEQIKRFIEVQETWMNQMLRTLEHMEQARISREEDWRRQEAERVDREYGLWASERAWMEARDAAIIQALEKISRRELRSQPQEDRSANGEHGDEADGNWAAQRWPESETASLVRIRRSMEAEFGEGGSGKVGLWGEVSAAMACLGTNRSAKGCKEKWDDVNKRSRKAKESQKKRRRSGLTNKHGEGSCSEPSGCHEEGEQGSDVAGGFALADGPASPPDFDGGVNGVNEISFLFSVGEDESLWANNGGVRNTGG >Ma03_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1313636:1318326:-1 gene:Ma03_g01920 transcript:Ma03_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGRPVVDTPPPPFTPAAVSRAPPIVFRTLFDRLRHCHAGGRRRLRRRGTTGSGGGSGARGWRSLIAINDASTLNDFEIYIGEVNDAYEDSALRTHLFAAGLADHRPSWAMDGAPLLLPHQDLIHLRTERNRRLIQTSSTKSARIKVPAKGFQWSHTCEA >Ma07_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8523659:8524557:1 gene:Ma07_g11480 transcript:Ma07_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQKERFSKRMRYQDGKEHDGCCLSKPDTELCVGNPLPLEWQQCLDIRSGEMYFYNTKTRKRTFRDPRLIPTPPRPCLSLDLKLKIDPAVTDDDEFRCNPRSSRGSASRSLPWISLDADRREMVATVCMRCHMLVMMCKAALSCPNCKFVHPPYPSLRHVNEATSRA >Ma06_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9807247:9810737:1 gene:Ma06_g14310 transcript:Ma06_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKRVPTVLSNYQEENAGEPLGCGRSCLGKCCLPASKLPVYAFKNDPRPKASSVGTDELPPDFFLNTLLLGQWEDRMNRGLFRYDVTACETKVIPGDHGFIAQLNEGRHLKKRPTEFRVDRVLQAFDPAKFNFTKVGQEEVLFRFEAAGGDEAHLFESDGNEGTKSPSVVAINVSPIEYGHVLLIPRVLDSLPQRIDQESFLLALRMASEAGSPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAPTAKIPTNQGRSKSGVTVSRLLNYPVRGLVYEGGKHLKDLADMVANSCIWLQSNNIPFNVLISDSGRRVFLFPQCYAEKQALGEVGQELLDTQVNPAVWEISGHMVLKRKKDYEEASEDYAWRLLAEVSLSEERFHEVKTYIFEAIGLVEPEEEMIHEEEEKGTPSNSSDPMHAPQFPEGCLILQ >Ma08_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34206185:34207427:1 gene:Ma08_g20260 transcript:Ma08_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFQYKHEQHLCDVLVFFPFFLFLFFLVFLCGLPAQQTKHFGSIDFNPYVISLQEDEYLVGVEGSVDTM >Ma07_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6742665:6745258:-1 gene:Ma07_g08990 transcript:Ma07_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSASGEASASSNQQSSLIATEPSPVKKKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSKEARKKVYVCPEVTCVHHEPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVKSDWKAHSKICGTREYRCDCGTLFSRHHIIYSALLRDSFVTHRAFCDALTERSSRFTRFMRATEVGNSPSSSHGLQQEIALKREQQHFDRSDIPPWLNCQAITSLNYFDVMPSSIYPMRLDEAYPHENPVPPPPFFPTDHSATSFPHMSATALLQQAAQMGSTVSRPSDADQMATHDSSAYNATSGFDLGLSSSHQEVSGGREGGFVGSFDDALGRIPGFKGRQNSFVDGVVRSQGRGEGGGGGGNDGMTRDFLGPRAVFPQGYP >Ma08_p25230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38164995:38172462:-1 gene:Ma08_g25230 transcript:Ma08_t25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQKHSSLSEETKNLNLTNAPTSSTSMLRSCLNFSDHQSFQYNVCQGSPSRSLTEQSAMQRSGEPTTSGQASIVATRQPQIISDVKQIQYLSDNIKPYDINKDRTTTFAGETEGSCFEFSFSESLQCIKDEELHRNSKTMLVLSEKKQLQHESVLAATEVIRKEAERISGKDHVERDELLNIVDTVGSRLCAKISPHVPAVRSLEMVSATDSSKKQSDGSLVDHRTKGSCKGKLVKRSVCSQDQLTDDVQSAHSVSLIDEVSLETEVVEVVTVENSSAEVSQSTLIVDTAENDSAGLGCQHLRSSSANFDVSISDGSDLPCSDDYMSLSANENLIDTTTGDVRETILTRKSPNAAKMESKLTRASYSLRNFTSVPKDVGLSKGNAYISPSLEKFLSVTKMDKNSENIMDAMEKSITQLSYNVRTQNHSSESRYFLRSLNRHGKTYSYSELNLTGNTTSSKRSSELMTDACEISWPKRRKLICYSDGVLATSRITLHRLLHTQEDTCCSSKVSSEIVPLEEMQITPSPSENVLNMDIENAEFRSNSEFHQQSKRQCLKEDDSCLKSKDQVMDIPLPTQCTKGVTSPSLAHGNSRPSLMIEIGGTEFTLSEPKEPGSSGNDADGKLSHVVSEVYCHNLQSIEEGTVFDAGKSLSKYGASVLSNFQNDLAMNCDDSMPEFEGFSIGVSPVKKNDICYDVDYPCFKEENIPLDVQQGNSTHLVTPTARPSGNYKINNIPDLLQSLPNGLLEHLHNDSLCFYGDYTRQFRSEHDKISDLYNSLGSSFDCSFVERSSSNSTPFSARFAWASSKAPRTPPIENSALRKASSRSGASSQTVGTNPELVCFRIDENSSTTEDIERQDKLSTSKEGICSRGIQVLNNRESLKDVTSVYENASTFVPFTKMLLESGSLEFSNAKSHSGTQTSFDSLLATAYTGNKDLTADKENQCLSINGKKEGKVVKSLCNKPEINVKAVERNRSQASILKGCKPSNIISNISSFIPMLQKKQQATTTKGKKDIKVKALEAAEAAKHLEEKKQNEREMRKAAAKLERERLEQEKQLKQKQLEEQKRRKEADRKRQREEERKEKERKRRCIEETRKLQREQDERLHTKKEEKELRCKAADDEGRKKGLVLEAKQQLKSEKGGEVAGSRNTMEVEPVATKVVISSDCMKGIIQGRMSTTKENLNSQSYEISPYKDSDDEDGEEENMRRRKHGPSWAEKECLGKILLSQQHLDPLEIFCRKSNFNLSEVLYSSAARRWPL >Ma03_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11250775:11258476:1 gene:Ma03_g14090 transcript:Ma03_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MDCLRSPFLSPFTSPPQNPFGLPPPRPRSKLLNSNPPVRSSNASDPWSLSDGNSGRPRPRPYRRNPRKPLSDDDARRIIHAKAQYLSRLRRNQGSGAQTPRWIRRTPEQMAQLIEDDRDGHLYGKHVVAAIRKVRALGGRPEGSYDMREVMASFVTRLSFREMCVVLKEQRGWRQVRDFFAWMKLQLCYRPSVIVYTIVLRIYGQVGKIKLAEQIFLEMLEAGCEPDEVACGTMLCAYARWGRHKDMMLFYSAVRRRDILPSVAVFNFMISSLQKQKLHEKVIQLWKQMLDDAVEPNRFTYTIIISSYAKEDLVDDAFDAFRKMKKSGFTPEEATYSLLITLSVKHGKGDDALQLYEEMKALAIIPSNYTLASLLTLHCKNANYSKALALFTEMERNKIVPDEVIYGILIRIYGKLGLYEDALKMFEEIEKIGLLNDEKTYVAMANVHLNVGNYEEAVGIIELMRSRNVELSNFAYNVLLRCYVAKEDVASAELTFQMLSKTGLPDAGCCNDLLRLYAKLGLFEKAKVLISQVRHDEIKLDEGLYKTVLEVYCKKGMIDDAEILMEEMENVGLAIDKFTKTSLMAMYGAAGGLQKAENLLKNLEQPDATAFSVMLCLYLENGDTEKAKEILKSLCQTNGGLSTASQLISKYAREGSIVEVETLYRQILDLGFIPEDSVVASMITLYGRCHQLKQAQEVFASVSHSSKPAEAAYNSMIGVCCKCGDVDEAIRLYKEMINRAYTQDAVTISILVNTLTKNGKYMEAERIIYDSFNSNMELDTVAYNTYIKSMLEAGKLHSAVSIYDHMISSGVPPSLQTYNTMISVHGQRGKLEKAIEMFNTAQGLGLSIDEKAYTNMISYYGKAGRTEKASLLFSKMMEVGILPGRISYNTMINVYATSGLHREAEDLFQDMQRIGHFPDSHTYLALVRAFTESKKYSEAEKTIRRMIGDGIAPSSAHFNHLIFAFTKEGFIFEAERVIREMRETGLDPDLACCRTMMRAYMDYGLVEKGLSFFETINKFLKPDGFILSAAAHLYEFAGKESEAGDILDAINLNGLLFLRNLRVGSKVQA >Ma10_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1527067:1531465:1 gene:Ma10_g00290 transcript:Ma10_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGTIAAILLLSCLLVYPPSHAFYLPGVAPRDFHKDDELQVKVNKLSSTKTQLPYDFYFLDYCKPSKIMNNAENLGEVLRGDRIENSIYVFKMRRDETCKVSCRTKLTAESAKNFKEKIDDEYRVNMILDNLPVAVPRLRRDGSQAPTYEHGFRVGYKASYKDDKYYINNHLSFRVMYHKDPESEDSRIVGFEVIPSSVKHEYTDWDEKNPKVHTCMPSTKITPSSNTPQEVAADAYNVFSYDVTFQPSEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLFFGMTLVTMIFASLEWKMITLKTAFMFPGIVFGIFFMLNALIWGEKSSGAVPFGTMFALVFLWFGISVPLVFVGSYLGFKKPAIEDPLFFILTSIWLNQFYYIFGFLFIVFVILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYSVFYFFTKLEITKVVSGILYFGYMLITSYAFFVLTGTIGFYACLWFVRKIYSSVKID >Ma05_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6488701:6489409:-1 gene:Ma05_g08830 transcript:Ma05_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIGVSSPEAYSFAIFCCQSSLLLNDSCVIARERCHVLVSAISMLIQQKQLLTEI >Ma06_p28400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30099216:30101770:-1 gene:Ma06_g28400 transcript:Ma06_t28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLLLLLLLLCYHGFLIAAAGEHSSPVRLELVRHPAPSGGSRLEHVQELFRSDRLRQRMIHDRIQRGRHRRRACDVSPPSKNGSSVPAPAADSFKMPLKSGAYSGTGQYFVRFLLGTPAQRFLLVMDTGSDLTWVKCRLRPPGCRGGGNGTRDFRSDVSSSFKPIPCSSDMCKTSLPFSLTTCPTPASPCAYDYGYSDGSTAQGVFANEKATIILSNGRRAKLRGLVVGCTSTSAGSSFSAADGVLGLGYSDISFAGRATARFKGRFSYCLVDHLSPRNASSFLTFGPNAAALPSPPPRETALVLDLEPFYTVGVDGISVDGELLAIPRIVWDVGAGGGAILDSGTSLTVLAEPAYRAVASALSRRLEGIPRVSVDPFDYCYNWTAAGGQRKLPRMVVHLAGSAALEPPAKSYVIDVADGVKCLGIAMAPWPGVSTIGNILQQEHMWEFDLKNRRLRFRRSRCRRE >Ma01_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:270993:271615:-1 gene:Ma01_g00360 transcript:Ma01_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKAQYGLRVMLVAFALLLACNTMAEAITCNDAVSALIPCGSYLIGQGGADPSPRCCESARALNRMASTVASRRQLCECLKQTGPSFGVKPERVQHLPPFCKIDLSMPVSPDVDCSRVA >Ma05_p28910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39735974:39736651:-1 gene:Ma05_g28910 transcript:Ma05_t28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRKKKTSMGRQRIEIKRIENEEARQVCFSKRRAGLFKKATELSVLCGAEIALVVFSPAGKPFSFGHPSVDSVVGRFLSGGAAPTPTPVVDHRMVPARASLVHELDRQYLELGERLAAERARKDALEAALRGRWGSTAGLLDANLEEIGLVELERLREALERLRWDVAMRVNQLVIEVQTRSVVMAGDAAAGGFVGGFLAAAEEGVVALPPQHGFSYGYGGIF >Ma08_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35051543:35052169:-1 gene:Ma08_g21040 transcript:Ma08_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTICCALNNLEIVGLSFTAFLVFLGVFLYVRYLLLRHRPPADPPHERPKAGLDPSAIAALRSFSYRRASTAEGRRGEHTCTVECAVCLSMVEEGETVRQLSACQHLFHAECIDVWLLSNSTCPVCRADARPERMSEKANSEERMEAMTLEVHGSAASSGKTTAETSGAKEEALGSSSSLLQGVRSGRRPQLQLQGNGMPDLESNL >Ma04_p33600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33143575:33144645:1 gene:Ma04_g33600 transcript:Ma04_t33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKLAMLIQQEIHGIERLGPIWRPLWWHFYSRMISWYYCALELPDMMLKDGLASNEQEPHLRFPFFRKVDYPINVNHHLRYGVGFMWSSTEDEW >Ma04_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25123026:25124745:1 gene:Ma04_g22940 transcript:Ma04_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLFVDDDHDDEDDAYMTEERKMLGIRSSDPAPDPISSDVSLYSVLPIAALHILNRIEIGKGEGRLRAEAKAMGEEKEKKGGGEKKEEEEAVELKLDMHCEGCALKVRKAVKGFEGVKAVVVDAANNKLKAIGKVDPWKLKEFLEAKTHKMVDIISPKDPPKKSKDDDKKKDEGQKKSSDDKKPKPSAVSTAVLKIRLHCDGCIRRIKRNIYKIKGVEEVTVDAAKDLVTVKGTMDVKSLVTVLKERLKRGVEILPAKKDEGGGGEVKKEKAAGGGGEKKEKAGDGGEEKKEKGGDSKGEKKEKGGDGSGDKEEKKEVGKKETTTTVVEANKMDYYGPPFGGYGGYGYRVEMIHPPQFFSDENPNACSIM >Ma11_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:771052:771376:-1 gene:Ma11_g01050 transcript:Ma11_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVEAQVPIPAKIDGFACNGAPVWGQSVVVEAFFDPLCPDSRDSWPPLKQALLHYPDRVSVDVHPFPLPFSLSLSHVLITHAS >Ma05_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3437758:3442805:-1 gene:Ma05_g04520 transcript:Ma05_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADSMLQTSKLGLGIPRRRRIRGTTGPDSVKLGFRTRSAAQEQRTESGEMFSVRWMSCFRVCVGKGKKAEVESIRGGEDLWDAASAAQQGSFPEHLVVMVNGLVGSADDWRFAAEQFVKKLPDKVIVHRSECNSSRLTFDGVDLMGERLAEEVISVVKQRRGVHKISFVAHSLGGLIARYAIGRLYEPITTMESSVGTEDHEDRGTGIKGRIAGLEPMNFITFASPHLGSRGHRQLPFLCGLPFLERRASEAAHLIVGRTGKHLFLTDNDDGKPPLLLRMVDDCDDIKFRSALHAFKRRVAYANANFDHMVGWRTSSIRHQRELPKHHLLVHDEKYPHVVYVDKGERINNHNEVSTVAEAPKDELEEEMIRGLKQVSWERVDVSFQKSRQRYIAHNTIQVKSYWLNSDGEDVIFHMIDNFLV >Ma01_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4298770:4315327:-1 gene:Ma01_g06060 transcript:Ma01_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQGKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVLSAPYDKVAIISGGGSGHEPAHAGFVGPGMLTAAICGDVFTSPPVDSILAGIRAVTGSMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKVETVVVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLADVAAEAKHASEMVGTMGVALSVCTLPGQVTSDRLGPGLMELGLGIHGEPGAAVVDLQPVDVVVSHVLKQILSPETKYVPITRGSRVVLMINGLGAIPMMELMIAAGKAVPQLQVEHGLAVDRVYTGSFMTSLDMAGFSISIMKADPAILQRLDAPTSAPSWPVGVEGDRPPTKIPIPVPASCSRKTNERTFNEPQELNEQGCMMEVAIEAAANEIINLREKLNEWDSKVGDGDCGSTMHRGATAVLEDMKRCYPLNDAAETVNEIGASLRRVMGGTSGILYDIFCKAAYASLKGNQVITPSQWATALEASVAAVSKYGGALAGYRTMLDALIPASKVLREILDTGDDALKAFLLSSEAALTGAESTKNMHAQAGRSTYIAADLLSSVPDPGAMAAAAWYRAAALAVENKLRPSETEQL >Ma07_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1091029:1092639:1 gene:Ma07_g01400 transcript:Ma07_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTEEQISEFQEAFCLFDKDGDGCITLEELGTVIKSLGQNPSEEELHEMIREVDSDGNGTIEFGEFLNLMSRKVKETNVEEELKEAFKVFDKDQNGYISASELRNVMMNLGEKLTDEEVDQMIREADLDGDGQVNYEEFVRMMMAV >Ma07_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5885339:5885901:1 gene:Ma07_g07920 transcript:Ma07_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMLLYDLFVALGVILQQKAESTIPIPTFSPPEGNTTFVEGTTWCVARPGVSQFDLQNALDWACGLGAADCSLVQPGAACYDPDTLLGHASYAFNSYYQQNGNSDIACYFGGTAIITNRDPSYGSCKYLSSG >Ma04_p36240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34673784:34674719:-1 gene:Ma04_g36240 transcript:Ma04_t36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLLASTSTGSIRTESRSTNTTFPLPLFCPKSCGNISFEYPFGIGDGCFRPGFNLTCRNHSTSAPRLFLGDGTIETSRNSYTGSTLNRLDVVGCSAMASLVDLTTNKTISTCFSLCAASDPSQYSEWSDVNSGYCTLDLDFNNTTALEIQLTRLNQRELHLVNTSGIKFIMFDADADDREGVLNGSRTNVEATLAWYMNDHLSCEEAINTDTYACVSQNSLCRDVMTETMYLSNSSGYLCQCSASYQGNPYVPNGCQGNFPPL >Ma04_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:993805:994248:1 gene:Ma04_g01150 transcript:Ma04_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAGRERRSDRISGLTDELLLSILSELPIGDAAATAVLSKRWKGLFPSLPRFRIDFSQAGALPTFETVRSIICSRDSPLTSFHKQTTNDVHYGRFRQMVHSVSASRLGNRLRTATLYLDRILPLSIPFVQFLLMNAGVLQKMTCF >Ma07_p25590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32626867:32627129:-1 gene:Ma07_g25590 transcript:Ma07_t25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTGGGGTLQIWRMSDSIYRPEDEVLSELEKFKAHILSCSPKS >Ma08_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1707379:1708993:1 gene:Ma08_g02100 transcript:Ma08_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAARPHGFAGRTPPCSPVRPPPPLMSSERFLSGYGQEEPCVFRGPAEKRAQDHGDPERLAGSLIGAIGITPNAGILSNASSFYGDLVSDSCKYEVVKKEDRGEEKQVRSSSWSSINGDATAAYVVKGQWTAEEDSQLVRLVKQHGVRKWSYISRNMFGRIGKQCRERWHNHLRPDIKKAMWTEEEERQLIEAHMKFGNRWAEIARHMSGRSENSIKNHWNATKRKMNAKTSRRGNPDNGGRCPPSVLQEYIQSKSTTLDFNKTCSTKTTPSSTSTQDSTGSMEERLLHTRSAGGLQRGETHGSFMHGSALNRLAFDACQCMPTPCIGGGCYQPTAHSCSNNFSESSRSTHLHSRNYASASSTDVIGMDNTWELLKARASSTSRNRDLDLVEMLSLQFSSSSQSSSSHSALHVANSKCF >Ma11_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16724431:16727598:-1 gene:Ma11_g12840 transcript:Ma11_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MVALSSSFLSSSPLTAPVLHLGSRFDVTRTAATKTAVDDAGGFARVLRCAVGPRPRKASGAPRPPTTTRSTATSPRFRSTPKRAPVAAAPAAGAPPKKRHWKEGEFPGISESSVSRRPRTPIKNLKKKADDRATAKAWACTVTEALADRIQKKQWQEALEVFDMLKEQSFYSPKEGTYMKLLLLLGKSGQPIQVQKLFNTMEEEGCQPTSELYTALLAAYCRSNLLDEAFSVLVKMKTLPHCQPDVYTYSTLIKACVEASRFDLVDSLYQDMSEHLITPNTVTQNIVLSGYGKAGKFDEMEKVLSGMLESTTCKPDVWTMNIILSLFGNVGQVDMMEKWYEKFRSFGIEPETRTFNILIGAYGKRRMYDKMTSVMEYMRKLAFPWTTSTYNNVIEAFADVGDAKNMEYAFNQMRAEGMKADTKTFCCLLNGFSIAGLFHKVVSTVQLAERLEVPTNTSFYNAVISACAKAGDLLEMERVFKHMNERHCAPDTVTYHILVEAYRKEGMTDKIYDLKQENPGMVELELVTP >Ma02_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17690242:17691768:-1 gene:Ma02_g06730 transcript:Ma02_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKDVLQLTPEEDEEARMYAMKLVMASCLPITLKAAIELDLLEIIVRAGPGAKLSPADIAAQLPTDNPQAADMTDRILRLLAAHDIVRCSVETSPDGRLLRKYGAAPVCKHLTKNEDGVSMAPMCVYAVDKVLMDAWYHMKDAVLDGSIAFHKAHGMAAFDYLGIDPRFNRAFNECMRSHSTILNKKLLEIYRGFDDINVLVDVGGGTGAVLHMITSVHPHVKGINFDLPHVISEAPPYPGVEHVSGDMFAGVPLGDAIILKWILHDWSDEDCTKILQSCWKALPEKGKLILVEYVLPMLPEPNLTSQSVFPMDVGMMINFGGRERTQKELEELAKAAGFAGCKVTYIAIYNDRLMEFNKG >Ma01_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25711047:25744453:1 gene:Ma01_g22680 transcript:Ma01_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMIKQFLSRFPRKQSKSGDKRDSPAGASPPSSTSSTSSKSGDLPSSRITILPPGSDPGLNHLTNYVPAVKSKQNGSPVLPAYEALPSFKDVSSSERQILFIKKLNLCCVVFDFIDTTKNLKEERIKRQTLQDLADYVTSANAKFPESVIQEIIKMVSINLFRTFISLPNEYNALQAFDLEDDQPVMDPAWPHLHLVYDFFLRFIQSPETDAKVAKRYIDHSFVLKLLDLFDSEDSREREYLKTILHRIYGKFMVHRPFIRKSINNIFYQFIFETEKHNGIAELLEVLGSVINGFALPLKEEHKLFLVRALIPLHKPKCMGMYHQQLLYCITQFIDKDCKLADIVIRGLLKFWPVTNSSKEVMFLGELEEVLDATQPAEFQRCMVPLFHQVARCLSSSHFQVAERSLFLWNNDHIENLIKQNDKVLLPIIFPLLERNAKCHWNQAVQSLTLNVCEIFSDNNHELFADCLKKFEEDEVKGKEIQLKRESTWKRVEELATTKAASNEPVLIPCIIRHPV >Ma09_p31400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41141493:41148006:-1 gene:Ma09_g31400 transcript:Ma09_t31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAATVNGVRKATPPEEEHPRKAFGWAARDASGRFSPFVFSRRNNGDEDVTIKILYCGMCHSDLHVARNEWARTAYPVVPGHEIVGMVTQVGGSVQKFKVGDRVGVGCMVNSCRSGSCHGCQQGLENHCPGLVFTYNSVNVDGTMTYGGYSDMIVVDQHFVIRFPENMPSDSGAPLLCAGITVYAPMKYHGLDQPGKHLGVVGLGGLGHVAVRFGKALGMKVTVISSSPGKEKEATERLGADDFLVSSDPGRMQAAMGTMDGIIDTVSAVHDVTPLMFLLKTRGKMIMAGAPEKPLQLDAFSLIIGEKSIAGTCIGGVKETQEMIDFAAEHNITADIELVSMDRVNEAMERLSKGDVRYRFVIDIGNTLTAA >Ma11_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26790953:26799321:1 gene:Ma11_g23470 transcript:Ma11_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFISSSTAVQDNSTEENTTHPSRVSDFGLLEQSTVFHIGAASDIRRDLAASVKQSGQTFTSNISQSGVLSNPLASSGTTPAVRVEPLTSPQQKGQQPKLVSFSSSQFENWGESTMADASPRTDTSTDVDTDDKNQRSERGQLAAIAASDSSDRSKDKTLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQTHAMGGNGALAFDVEYARWLDDHNRQISELRTAVNAHASENDLRVIVDGIMTHYEEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGICNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSTGNVANYMGQMAMAMGKLGTLETFLRQADNLRQQTLQQMIRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >Ma07_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7233119:7237182:-1 gene:Ma07_g09650 transcript:Ma07_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSNRVESFPYASELEASLPIPTPSLKPLEVHKVPAPQDNPTLQSLKQRLSEVFFPDDPLHQFKNKSLFRKLVLALQYLFPIFQWGSEYSLRLLKSDAISGVTIASLAIPQGISYAKLAGLPPIIGLYSSFVPPLIYSVLGSSRDLAVGPVSIASLVMGSMLREVVSPDKEPILYLQLAFTATFFAGVFEASLGLLRLGFIVDFLSKPTLTGFMGGAAIIVSLQQLKGLLGIVHFTTKMGIIPVMQSVLENRTEWAWQTVVMGLSFLVFLLVARHISSRRPKLFWVSAAAPLTSVILSTVLSFIFKAQNHGIKTIGHLQKGVDPPSVNMLFFRGPYLSLAIRTGIITGILALTEGMAVGRTFASLKNYQIDGNKEMVALGAMNMAGSCASCYITSGSFSRSAVNYNAGCKTAVSNIVMASAVLFTMLFLMPLFYYTPNVMLSAIIIVAVIGLIDVRGAFLLWKVDKFDFLACMSAFFGVLLISVQTGLAIAVGVSLFKILVHVTRPNTVIMGNVPGTNSYRNLAQYREAVQLPSFLILGIESPIYFTNSIYLQERILRWVREEEEKIKKLNESSLKCIILDMAAVTAIDISGLETLAELKKTLDKRFIDLVLANPVLEVAQKLSQLGTWDLFGSERIYMTVGEAIAASSYKAQTSACIVHRTSV >Ma10_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33195936:33200787:-1 gene:Ma10_g24720 transcript:Ma10_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSALSRPIGSPLSIRSTPILRHRPVSALRSTPLPSAAALSFIVRPLSPNPLRLRPVKRCHSPIRASSSPSSAALNPAASPSASPQPTQGAKPVPFAISVAIGLAVRFLVPRPVDVTPQAWQLLAIFLSTIAGLVLSPLSVGAWAFIGLTASIITKTLTFSAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGRSTLGLSYGLTISEAFIAPAMPSTTARAGGVFLPIIKSLSLQSGSKPGDQSAGKLGSFLIMSQLQSAGNSSALFLTAAAQNLLCLKLAEELGVRISSPWVSWFKAASLPAIVSLLATPFILYKLFPPQVKDTPDAPALATKKLEQMGPVTTNEWAMVVTMVLAVSLWVFGDALGIPSVVAAMLGLSILLLLGVLNWDDCLSEKSAWDTLAWFAVLVGMAGQLTKLGIVTWMSNCVAKLLQSFSLSWPAALCVLQASYFLIHYLFASQTGHVGALYSAFLAMHLAAGVPGVLAALALAYNTNLFGALTHYSSGQAAVYYGAGYVELPDVFRLGFIVALINALMWGVVGTFWWKFLRLY >Ma11_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19538:20296:-1 gene:Ma11_g00050 transcript:Ma11_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEAKKNWLYLHAMIDWLSMDSVTPKTEATKEQTVELLIAISQSVPRMNLTSNPSPMNSTIVIMAREKENEDAEKYRSKLISISYTQSPDVQRPPSLENCGS >Ma01_p08080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5793316:5796329:1 gene:Ma01_g08080 transcript:Ma01_t08080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPNFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFERPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAAAQPLPDDDDDIFD >Ma01_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5793316:5796412:1 gene:Ma01_g08080 transcript:Ma01_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPNFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFERPFLYLARKLAGILLAGIRTSILLNHLPLLLRRCRLTWPHNNNMKQS >Ma10_p28930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35657397:35660387:-1 gene:Ma10_g28930 transcript:Ma10_t28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGLPDQLPSCLLDLNWDQTMDTAGHFESVLSSIAPPSQSSGSPASADGVAFHKLMGGSQSIGNSSDGTWPRSHHIGVRLNSPPKPNLSVLGHIRHLQQEATGGVAVSTQLDQFYSFGGRNYGHLAPQFGLLDTLQMELPLRLNANNGELMNAREESSLSDPTTRGVSSGGAARSNSKKRKAPPTDKVKGDAILANSAIDPPKVAKEEDSDAKRCRSMVKNRDENDAVKPKAEQNDGCSSNGNGGQKQCRENTAKSTEPPKDYIHVRARRGQATDSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKAVMLDEIIIYVQSLQRQVEFLSMKLATVNPHLDFNNLSNLLPKDVHQTCGAMPNSVYSLEASGVAPPYINQPSLHCVLPDGMEIHRSADLLGSTLHQNVNTHQPLLAGFENSPSQLGNFWEDGLQNVSQMDIGHD >Ma09_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3066557:3068268:1 gene:Ma09_g04740 transcript:Ma09_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSEVTLLLLQLLAVASCCAMAVTGYREREIGGEEEVRGTTASPFKLEKSEQVVKTEGGEVRVVRGFGSSSKWGGGGPVSPMHIGFIFMEPKTLFIPQYLDSSLILFVHRGDVRVGWIYKDGLAERQLKMGDIIHIPAGSTFYMVNAGEGQRLQIICSIDTSDSMDFSPYQSFFIGGGMYPTSVVAGFDMGTLSTAFNVTEEEVQAILGSQTGGPIVLLTGEAAERIDRRRRKGLLEFHDEEEEDGWWTWRKLLTGILGGGFGDRNRGKKRPVRSPDPYNVYDRDPDFRNDYGWSLALDEHDYHPLKHSDIGVYVVNLTAGSMMAPHVNPRATEYGVVLGGSGEVHVVSPNGTGAMKAEVAEGDVFWIPRYFPFCQVASRSGPLEFFGFTTSARRNRPQFLVGASSILTAMMGPELAAGFGVSEEQLRDVVDAQREATILPSRPWPPVRREGKTPVNR >Ma06_p30080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31425307:31425501:1 gene:Ma06_g30080 transcript:Ma06_t30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNITMACIGVKAEKQLTDARVLQMIREARVEVMVSSNSSDHSPGRWLDNVQSLLREHGFRG >Ma04_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24824187:24824447:1 gene:Ma04_g22530 transcript:Ma04_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSKVWCTATSVKPNSFMPDIAFNANLSVFGLARLVDHELDMQTTALAGTRGYMALNTSSPANTVVALDSFLLASHIGHVSNDN >Ma04_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5684151:5686129:1 gene:Ma04_g07840 transcript:Ma04_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVEAQKPLLHWLARRAGLRQQTVELEPGTVISFWVPKANVTTKKKSCVCNSRKEEKKKNKPAVVLVHGFATDGIVTWQFQVGSLADRYDVYVPDLFFFGGSATNFPDRSPEFQADCLAAALGRLGVQQCTIVGFSYGGVVSFKMAERWPDLVRYLVVSGSTFAMTDSLSSATHKRLGVSSSAELLLPESVEGLKALLSAATYKKLWLPDCFHKDFLEVMFTNRKERAEMLEALVISSQEASVPHLQQERILLLWGENDNIFNLEHAKNIKEQLGEKTTLKIIKKAGHLVHLERPCIYNRCLKEFLVLVHPGVSQK >Ma07_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11211737:11213527:1 gene:Ma07_g14930 transcript:Ma07_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDTTTTFAPSFHLLNPKSHLSLLHDQVDDNKKKRNHSEDDANGDDRSSSADDFVEAGSGGCSSGRRPRGRPRGSKNKSKPPVIVTRESPNALRSHVFEVGSGAGIMDTIATFALRRRRGVAILSARGAVTDVKLRQPDAPPGSVVALPGRFQILSLSGAFLPAPAPSGATSLSAYLARGQGRVVGGSVVGELVASGPVVIIAATFANVIYERLPLPDDEQPVGTEQSKGGNGASDDSFSAADPSSMSLPTDLLRHGKQDVFGAWALASSRPPLSYW >Ma07_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4187447:4188190:-1 gene:Ma07_g05750 transcript:Ma07_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRFFSVHEARRHHFLGSCYLCKKPIAENKDVFMYRGDTPFCSKECRWEQMDMDEALENESKKQSFAMKGKPSLTIPSNCDKKSFKADAAAVVAG >Ma06_p21410.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15609072:15632853:-1 gene:Ma06_g21410 transcript:Ma06_t21410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELQGSSGYIEVKLSEEANIDVAEDENQSLRSDSTDLLGKEGKKEEEEESASDGEFIKVEKEILADVEERSHLLNPMVEVEETSHNANHRSDSSEAHADSIKMKEKNKELELQLETVLGKLKSSEVEKALLISEFDLANDKLEKMTKHCEELELGHKLMKNQILEAEQIYNLQLESLEEALKASYIKDKELTDARESFIELSTELDSSRKRMKALEEELLSSVSKVHKLEELNKHSSLQAESESKNVLELQMMLDLAHANAKEMEGQVNDLQMELKELHNENAEKQHVEEALQSTLLELSISQEKLEISESQVAKLEQELASKDHFICGLSEELNLYKVSQEQLRADVAELENMLSTSREDLETKLVNLQELELKLQEQVKEREADEACFKNQEVQISSLQNDLSELTREKATLESTVTDLNTKLLENEETHSQLEMKINLADQDLKKTDSLLSQALSYKEELNKKMELLEQLYHESRITAEVSAKRNLELEGLVQSSNAAEESIRKQLKESEMKLASSEKKNMELEQQINLAEIKRLDAESEINKLNEKIMELTADNALSRSCLESFEDRIGQLESSLSQSSLRNSELEKELNDLLRKCAEHEERATATHLRSIELEDMIHSSHSRAEDSKKRAGELELLLEATNSRTKELEQLLSTIEEKHRDVEALSKQYSSKVSGLVTELEATHQAANDKERELTDILYVVVEDRKKFEDLSNNQEKKLYESENEIQILKNELKYLKEKVASVQEDLEASSDRERELLEILKYDGEQLEHHSKALEDLTAKNLELNSLNESLIKDSQLKLQEAAASIKQKEMEAKELLEKLNFLEEQLISYKDDAVEATENVALLKVELGENAIKLVSLENNVEELNRKVSEANLRTQQKFAENELLSMENSKLREELEAHQQKVNELDEFSKSIHAEKEATVEKLISHASTITELTDERSKCLEIQFATESRLKENEAQLQEAIEKYKQRDLEARDQYEKLLAFETHLRTYEEQVSESAVITSTQKHKLEEAHFKLQDMEGLVEKLESKLDQLKTENEGLARHNLSLTEELATYETKMNELHVAFDAAVAKKEDVSMELHSFKKETEDLLQLLNSDKEKLQSQITSVMEENNMLNEMYQNARRQLEATIVQLEEKLSDQMARELSLNSIIENLKEELSEKSLMQPRILELEEKLRDAEEQLEHHGKAVEQITARNRNLKSINESLVKDSELRLQEAAESFKQKDSEAKELLEKLKSLEENLVFYEEQLVEASENVASLKAELGTNTMKLVYLENNLEELKQKLSEANLRCEQKLSENELLASSNSKLMEELEAHQHKVDELNKLLTSISAEKEATVEQLASHASTIASLTDEHSRGLELQFATESRLKENEAQLHEAIEKHKQRDLQVRDLYENLLALETQLRTYEEQASESAVVEATQKGKLEEAHFKLQELEGLVEQLKRKSDQSKIENEDLTRNNLSLTEKLAMYETKMNELQVALDAAVTEKEDIFMQLHSSKKEMEDNMQLLISDKEKFQSQMISIMEENNMLKDMHENSRKDLEARLAQLEEKLSEQKAREFSLDFLVEGLKAELSEKSLMQLQLEQKLQYADEQLEHHSKAVEELTTRNLELNSLNKTFVKDSELKLEQAAASFMLKESESIELLEKFKSCEEQLSFYKEQAVGAAENVASLKEELGANAMKQVSLENNIEELKQRVSEANLRCEQTLSENELLITSNSTLREELETNQHKVNGLNELLKSIHAEKEAAVEQLASHARTIAKLTDEHSSGLELQFSTESRLKENEAQLHEAIEKNKQKDLEARDQYEKLLSLETQLRTYEEQASESAVVAATQKGKLEEAHFRLQELEGFVEQLKHKSDQFKMDNEDLLKDNLSLTEKLVMYETNMNELQEALDAAVTEKDDIFMQLHSSKKEIEDLMQFHISDKEKLQSQITSVMEEHNTCNEMYQNARKELKAAIAQLEEKLSEQKARELSFDSLVESLKAELSEKSTMQSELEQRLKYAGEQLEHHREAVEELTARNFELNSLNETLVKNSELKLEEAATSFMQKESEVKRLLEMLKSLEEQLAFYKEQAVGAAENVASLKTQLEASTMKLVSLENNVEELKQKVSESNLTCEQTLSENELLATSNSKLREELEDHQHKVNDFNELLKSIHAEKEAAVEQLASHASTIAKLTDEHSRGLELQHAAESRIEENEAQLYEAIEKHKKKDLEARNLYEKLLSLETQLRNDEQASEPDVAATQKGILEEAHFKLQEVEGLVEQLKSKLDQFKIENEDLSKDNVGLTEMLAIYESKLNMVQVALDTAVREKEDIIMQLHSSRKELEDIIQSHINDKEKLQSQVTSLMEENNMHNEMHQNVRKELEAAIAQLDERLSEKKSELEQRLRHAGEQVELHRKDVEEFAARNLELNSLNESLIKDSELKLEQAAASILQKESETKELLDKLKYLEDQSTFYKEQAVEATENVTSLKAELGTNALILVSLENNVQELKQKVSEANLKGEQTLAENELLATSNSKLLEELEAHQDKVNELEELLKSIHAEKEAADKKLASHASTIAKLTDEHSQGLELQYTTELRLKENEEQLHEAIEKHKKRDLEARDLYEKLLALESQLKTCEEQASESDILTATQKSKLEEAHFKLQEHEGLIEQLQSSLAQFKTENEDLSRDNLSLTEELATYETKMNLLQAALHEATAEKEDTLMQLHSSTKQLEDMMQLHMSDKEKLQLQINFVMEENNMHDKMHQNARKELEATIAQLEEKLSEQKSDLEQKLRHAEEQLEHHRQAVEELAARNMELSSLNESLIKDSELKLELSAANILQKESEVKELLEKLKSLEEQSSFYKEQAIEATETVASLKAELGVNAKTLVSLENKVEELKQHISEANLKHEQTLAENELLATSNSKLREELEAHQQKVSELNEVLKSIHTEKEAAVEQLASHASTIAKLTEEHSRGLELQFATEFRLKENEAQLREAIEKQKQRDLEARDLYEKQLALESQLKSYEEQASESAIFEATQKSELEEAHFKLQEHEGLVQQLKSTLDQFKTENEDLSRENLSLTVELATLKTKMNELQVALEASVAAKENIFLQLHSSKKEMEDLMQLLISDKEKLQLQITSIMAESNMRNEMHNDARKELEETIVQLTQNLSEQKAREFTLDSLVESLKSDLSEKSLMQSELEQKLRYAGEQLEHHKEAVDELTTRNLELNSLNESLLKNSELKLEQAAKSIMQKESEAKELLNKLKSLEEQSAFYKEQAVQATENVASLEAELGANAMALVSLETNVEELKQKVSEANLKGEQTRAENELLVRSNSMLMEELKSHQHKVNELEELLKFIQAEKEASVEQLVSHANTIAQLTDEHSRGLELQLATEYRLKENEAQLHEAIDKHKQIDFEARDLSEKLLALESQLNAYEEQAIESAVIATTHKGKLEEAHFKLQELEELVQQLESKLDQFKTENDFLSRDNLSLTEELATYKTKINELKVAHEAAVTDKEDIFVQLHSSKKEMEDHMQLLLSDKEKLQSQITSVMEENNLHNEMHQNARKELKETIAQLEEKISEQMERESSLDSLVEILKSELSTKFLMQSELEQKFRYAGEQLEHYKKAVEELMTRNLELNSLNESLFKDSELKLEQADACIIQKESEANELLEKLRSVEEQSAFYREQAVKATENVASLKAELGVNVMKLISLENIVEELKQKVSEANLKGEQTFAENELLATSNSKLREELESHQNKLNELKEFLEFIHAEKEFAVEQLASHASTIAQMSDEHSRDLELQLATECSLKENEAQLHEVIEKHKQRDLEARDLYEKLLALETQLRAYEEQASESAVVAATQKGKLEEAHFKLQELEGLVEQLKSQLDQFKIKNEDLLRDNLSLSENLAMYAMNMNELQVALDAAVTQKEDIFMQLCSSKKEMEELMQLHSSDKEKLQSQITSVLVENNMCNEMYHNARKELEATLAQFQEQLSEQKTRESSLVSLVESLKAELSGKSLMQSELEQNLRYAGEQLEHHRNALEKLTTRNLEQLRQADATIMQKESEAEELLEKLKSAEEQLASQKGKLEEAHSKLQELEGLVEQLKSMSDQFKTENEDLSRDNLSLTEEIATNKTRMNGLQLALDATVTEKEDIFMQLHSSQKNMEDLMQLHISDKEKLQSQITSVMEENKKCNKMHQNSRKELEATVSKLEEKLSEQKAREFSLDSLAESLKAELSEKSLMQSELEQKLRYAEEQLGHHRKAVEELTARNLELNSLNESLIKDTELKLEQVAASILQKESETKELLEKLKSLEEQSTFYKEQLVEATQTVTLLEAELGANALTLVSLENNIQELKQKVSEANLKGEQTLSENELLATSNLELREELEAHQHKVNELNEVLKSIHAEKEAVNEQLASHASIIAKLTDEHSQGLELLFATEVCLKENEAQLHEAIEKHKQRDLEDRDLNEKLFALEYQLKNYEEQASELAIVAATQKSNLEEAHFKLQEYEGLVEQLYCRLAQFKTENEDLSRDNLSLTEDLATYETKMSKFQEALDEATAEKEDIFMQLHSSMKEMDDVKQLLISDKEKLQSQITSVMEENIMLHEMYQNMRRELETTVQLKEEPTKEKAREFPLNSLVGNLEAELAEKSLMQARISELEHKLLLAEKTYIQEIESLVSAAAEKEAVLTAKLKDHTSLLQERDSLDKKLKEILKELDLAQRTITEQKELISTKEFEMQASMKQTLDALWSKNQDAALLEKQVEELKQRLQEAKTQNKEKVIEQSKKLTLVNVELHEMRLKLSKTTQMERKIAELENALLLAYATSGQEVKNETSHAELKDAIEVFSRDCGLDTSTLLKRKIKISDRVHQDTRTTDADPNVHDDTEPLVAMALKFILGVALVSMIVGIILGKRY >Ma06_p21410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15609072:15632853:-1 gene:Ma06_g21410 transcript:Ma06_t21410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELQGSSGYIEVKLSEEANIDVAEDENQSLRSDSTDLLGKEGKKEEEEESASDGEFIKVEKEILADVEERSHLLNPMVEVEETSHNANHRSDSSEAHADSIKMKEKNKELELQLETVLGKLKSSEVEKALLISEFDLANDKLEKMTKHCEELELGHKLMKNQILEAEQIYNLQLESLEEALKASYIKDKELTDARESFIELSTELDSSRKRMKALEEELLSSVSKVHKLEELNKHSSLQAESESKNVLELQMMLDLAHANAKEMEGQVNDLQMELKELHNENAEKQHVEEALQSTLLELSISQEKLEISESQVAKLEQELASKDHFICGLSEELNLYKVSQEQLRADVAELENMLSTSREDLETKLVNLQELELKLQEQVKEREADEACFKNQEVQISSLQNDLSELTREKATLESTVTDLNTKLLENEETHSQLEMKINLADQDLKKTDSLLSQALSYKEELNKKMELLEQLYHESRITAEVSAKRNLELEGLVQSSNAAEESIRKQLKESEMKLASSEKKNMELEQQINLAEIKRLDAESEINKLNEKIMELTADNALSRSCLESFEDRIGQLESSLSQSSLRNSELEKELNDLLRKCAEHEERATATHLRSIELEDMIHSSHSRAEDSKKRAGELELLLEATNSRTKELEQLLSTIEEKHRDVEALSKQYSSKVSGLVTELEATHQAANDKERELTDILYVVVEDRKKFEDLSNNQEKKLYESENEIQILKNELKYLKEKVASVQEDLEASSDRERELLEILKYDGEQLEHHSKALEDLTAKNLELNSLNESLIKDSQLKLQEAAASIKQKEMEAKELLEKLNFLEEQLISYKDDAVEATENVALLKVELGENAIKLVSLENNVEELNRKVSEANLRTQQKFAENELLSMENSKLREELEAHQQKVNELDEFSKSIHAEKEATVEKLISHASTITELTDERSKCLEIQFATESRLKENEAQLQEAIEKYKQRDLEARDQYEKLLAFETHLRTYEEQVSESAVITSTQKHKLEEAHFKLQDMEGLVEKLESKLDQLKTENEGLARHNLSLTEELATYETKMNELHVAFDAAVAKKEDVSMELHSFKKETEDLLQLLNSDKEKLQSQITSVMEEHNTCNEMYQNARKELKAAIAQLEEKLSEQKARELSFDSLVESLKAELSEKSTMQSELEQRLKYAGEQLEHHREAVEELTARNFELNSLNETLVKNSELKLEEAATSFMQKESEVKRLLEMLKSLEEQLAFYKEQAVGAAENVASLKTQLEASTMKLVSLENNVEELKQKVSESNLTCEQTLSENELLATSNSKLREELEDHQHKVNDFNELLKSIHAEKEAAVEQLASHASTIAKLTDEHSRGLELQHAAESRIEENEAQLYEAIEKHKKKDLEARNLYEKLLSLETQLRNDEQASEPDVAATQKGILEEAHFKLQEVEGLVEQLKSKLDQFKIENEDLSKDNVGLTEMLAIYESKLNMVQVALDTAVREKEDIIMQLHSSRKELEDIIQSHINDKEKLQSQVTSLMEENNMHNEMHQNVRKELEAAIAQLDERLSEKKSELEQRLRHAGEQVELHRKDVEEFAARNLELNSLNESLIKDSELKLEQAAASILQKESETKELLDKLKYLEDQSTFYKEQAVEATENVTSLKAELGTNALILVSLENNVQELKQKVSEANLKGEQTLAENELLATSNSKLLEELEAHQDKVNELEELLKSIHAEKEAADKKLASHASTIAKLTDEHSQGLELQYTTELRLKENEEQLHEAIEKHKKRDLEARDLYEKLLALESQLKTCEEQASESDILTATQKSKLEEAHFKLQEHEGLIEQLQSSLAQFKTENEDLSRDNLSLTEELATYETKMNLLQAALHEATAEKEDTLMQLHSSTKQLEDMMQLHMSDKEKLQLQITSVMEENNMHKGMHHNARKELEAAIAQLEEKLSEQKAREFSFDSLVESLKAELSEKSLMQSDMEKKLIHAGEQLEHHRNAVEELTARNSELNSLNESLIKDSELKLEQAAANILQKDFEVKELLEKIKSLEEQSSFYKEQAIEANENVNSLKAELGANAKTLVSLENNYEELKQQISEANLKHEQTLAENELLATSNSNHREELEAHQQKVIELNEFLKSIHTEKEAAVEQLTSHATTIAKLTEEHSRGLELQFATEFRLKENETQLHEAIEKHKQRDFEAKDLYEKLLALESQLKSYEEQASESAIVAATQKSKLDEAHCKLQEHEGLVEHLYSRLAQFKTENEDLSRDNVSLSEKLATYETKLNELQVEFDTAIIEKEDIIMRLHSSNKELEDIMQSHMSDKEKLQLQINFVMEENNMHDKMHQNARKELEATIAQLEEKLSEQKSDLEQKLRHAEEQLEHHRQAVEELAARNMELSSLNESLIKDSELKLELSAANILQKESEVKELLEKLKSLEEQSSFYKEQAIEATETVASLKAELGVNAKTLVSLENKVEELKQHISEANLKHEQTLAENELLATSNSKLREELEAHQQKVSELNEVLKSIHTEKEAAVEQLASHASTIAKLTEEHSRGLELQFATEFRLKENEAQLREAIEKQKQRDLEARDLYEKQLALESQLKSYEEQASESAIFEATQKSELEEAHFKLQEHEGLVQQLKSTLDQFKTENEDLSRENLSLTVELATLKTKMNELQVALEASVAAKENIFLQLHSSKKEMEDLMQLLISDKEKLQLQITSIMAESNMRNEMHNDARKELEETIVQLTQNLSEQKAREFTLDSLVESLKSDLSEKSLMQSELEQKLRYAGEQLEHHKEAVDELTTRNLELNSLNESLLKNSELKLEQAAKSIMQKESEAKELLNKLKSLEEQSAFYKEQAVQATENVASLEAELGANAMALVSLETNVEELKQKVSEANLKGEQTRAENELLVRSNSMLMEELKSHQHKVNELEELLKFIQAEKEASVEQLVSHANTIAQLTDEHSRGLELQLATEYRLKENEAQLHEAIDKHKQIDFEARDLSEKLLALESQLNAYEEQAIESAVIATTHKGKLEEAHFKLQELEELVQQLESKLDQFKTENDFLSRDNLSLTEELATYKTKINELKVAHEAAVTDKEDIFVQLHSSKKEMEDHMQLLLSDKEKLQSQITSVMEENNLHNEMHQNARKELKETIAQLEEKISEQMERESSLDSLVEILKSELSTKFLMQSELEQKFRYAGEQLEHYKKAVEELMTRNLELNSLNESLFKDSELKLEQADACIIQKESEANELLEKLRSVEEQSAFYREQAVKATENVASLKAELGVNVMKLISLENIVEELKQKVSEANLKGEQTFAENELLATSNSKLREELESHQNKLNELKEFLEFIHAEKEFAVEQLASHASTIAQMSDEHSRDLELQLATECSLKENEAQLHEVIEKHKQRDLEARDLYEKLLALETQLRAYEEQASESAVVAATQKGKLEEAHFKLQELEGLVEQLKSQLDQFKIKNEDLLRDNLSLSENLAMYAMNMNELQVALDAAVTQKEDIFMQLCSSKKEMEELMQLHSSDKEKLQSQITSVLVENNMCNEMYHNARKELEATLAQFQEQLSEQKTRESSLVSLVESLKAELSGKSLMQSELEQNLRYAGEQLEHHRNALEKLTTRNLEQLRQADATIMQKESEAEELLEKLKSAEEQLASQKGKLEEAHSKLQELEGLVEQLKSMSDQFKTENEDLSRDNLSLTEEIATNKTRMNGLQLALDATVTEKEDIFMQLHSSQKNMEDLMQLHISDKEKLQSQITSVMEENKKCNKMHQNSRKELEATVSKLEEKLSEQKAREFSLDSLAESLKAELSEKSLMQSELEQKLRYAEEQLGHHRKAVEELTARNLELNSLNESLIKDTELKLEQVAASILQKESETKELLEKLKSLEEQSTFYKEQLVEATQTVTLLEAELGANALTLVSLENNIQELKQKVSEANLKGEQTLSENELLATSNLELREELEAHQHKVNELNEVLKSIHAEKEAVNEQLASHASIIAKLTDEHSQGLELLFATEVCLKENEAQLHEAIEKHKQRDLEDRDLNEKLFALEYQLKNYEEQASELAIVAATQKSNLEEAHFKLQEYEGLVEQLYCRLAQFKTENEDLSRDNLSLTEDLATYETKMSKFQEALDEATAEKEDIFMQLHSSMKEMDDVKQLLISDKEKLQSQITSVMEENIMLHEMYQNMRRELETTVQLKEEPTKEKAREFPLNSLVGNLEAELAEKSLMQARISELEHKLLLAEKTYIQEIESLVSAAAEKEAVLTAKLKDHTSLLQERDSLDKKLKEILKELDLAQRTITEQKELISTKEFEMQASMKQTLDALWSKNQDAALLEKQVEELKQRLQEAKTQNKEKVIEQSKKLTLVNVELHEMRLKLSKTTQMERKIAELENALLLAYATSGQEVKNETSHAELKDAIEVFSRDCGLDTSTLLKRKIKISDRVHQDTRTTDADPNVHDDTEPLVAMALKFILGVALVSMIVGIILGKRY >Ma06_p21410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15609072:15632853:-1 gene:Ma06_g21410 transcript:Ma06_t21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELQGSSGYIEVKLSEEANIDVAEDENQSLRSDSTDLLGKEGKKEEEEESASDGEFIKVEKEILADVEERSHLLNPMVEVEETSHNANHRSDSSEAHADSIKMKEKNKELELQLETVLGKLKSSEVEKALLISEFDLANDKLEKMTKHCEELELGHKLMKNQILEAEQIYNLQLESLEEALKASYIKDKELTDARESFIELSTELDSSRKRMKALEEELLSSVSKVHKLEELNKHSSLQAESESKNVLELQMMLDLAHANAKEMEGQVNDLQMELKELHNENAEKQHVEEALQSTLLELSISQEKLEISESQVAKLEQELASKDHFICGLSEELNLYKVSQEQLRADVAELENMLSTSREDLETKLVNLQELELKLQEQVKEREADEACFKNQEVQISSLQNDLSELTREKATLESTVTDLNTKLLENEETHSQLEMKINLADQDLKKTDSLLSQALSYKEELNKKMELLEQLYHESRITAEVSAKRNLELEGLVQSSNAAEESIRKQLKESEMKLASSEKKNMELEQQINLAEIKRLDAESEINKLNEKIMELTADNALSRSCLESFEDRIGQLESSLSQSSLRNSELEKELNDLLRKCAEHEERATATHLRSIELEDMIHSSHSRAEDSKKRAGELELLLEATNSRTKELEQLLSTIEEKHRDVEALSKQYSSKVSGLVTELEATHQAANDKERELTDILYVVVEDRKKFEDLSNNQEKKLYESENEIQILKNELKYLKEKVASVQEDLEASSDRERELLEILKYDGEQLEHHSKALEDLTAKNLELNSLNESLIKDSQLKLQEAAASIKQKEMEAKELLEKLNFLEEQLISYKDDAVEATENVALLKVELGENAIKLVSLENNVEELNRKVSEANLRTQQKFAENELLSMENSKLREELEAHQQKVNELDEFSKSIHAEKEATVEKLISHASTITELTDERSKCLEIQFATESRLKENEAQLQEAIEKYKQRDLEARDQYEKLLAFETHLRTYEEQVSESAVITSTQKHKLEEAHFKLQDMEGLVEKLESKLDQLKTENEGLARHNLSLTEELATYETKMNELHVAFDAAVAKKEDVSMELHSFKKETEDLLQLLNSDKEKLQSQITSVMEENNMLNEMYQNARRQLEATIVQLEEKLSDQMARELSLNSIIENLKEELSEKSLMQPRILELEEKLRDAEEQLEHHGKAVEQITARNRNLKSINESLVKDSELRLQEAAESFKQKDSEAKELLEKLKSLEENLVFYEEQLVEASENVASLKAELGTNTMKLVYLENNLEELKQKLSEANLRCEQKLSENELLASSNSKLMEELEAHQHKVDELNKLLTSISAEKEATVEQLASHASTIASLTDEHSRGLELQFATESRLKENEAQLHEAIEKHKQRDLQVRDLYENLLALETQLRTYEEQASESAVVEATQKGKLEEAHFKLQELEGLVEQLKRKSDQSKIENEDLTRNNLSLTEKLAMYETKMNELQVALDAAVTEKEDIFMQLHSSKKEMEDNMQLLISDKEKFQSQMISIMEENNMLKDMHENSRKDLEARLAQLEEKLSEQKAREFSLDFLVEGLKAELSEKSLMQLQLEQKLQYADEQLEHHSKAVEELTTRNLELNSLNKTFVKDSELKLEQAAASFMLKESESIELLEKFKSCEEQLSFYKEQAVGAAENVASLKEELGANAMKQVSLENNIEELKQRVSEANLRCEQTLSENELLITSNSTLREELETNQHKVNGLNELLKSIHAEKEAAVEQLASHARTIAKLTDEHSSGLELQFSTESRLKENEAQLHEAIEKNKQKDLEARDQYEKLLSLETQLRTYEEQASESAVVAATQKGKLEEAHFRLQELEGFVEQLKHKSDQFKMDNEDLLKDNLSLTEKLVMYETNMNELQEALDAAVTEKDDIFMQLHSSKKEIEDLMQFHISDKEKLQSQITSVMEEHNTCNEMYQNARKELKAAIAQLEEKLSEQKARELSFDSLVESLKAELSEKSTMQSELEQRLKYAGEQLEHHREAVEELTARNFELNSLNETLVKNSELKLEEAATSFMQKESEVKRLLEMLKSLEEQLAFYKEQAVGAAENVASLKTQLEASTMKLVSLENNVEELKQKVSESNLTCEQTLSENELLATSNSKLREELEDHQHKVNDFNELLKSIHAEKEAAVEQLASHASTIAKLTDEHSRGLELQHAAESRIEENEAQLYEAIEKHKKKDLEARNLYEKLLSLETQLRNDEQASEPDVAATQKGILEEAHFKLQEVEGLVEQLKSKLDQFKIENEDLSKDNVGLTEMLAIYESKLNMVQVALDTAVREKEDIIMQLHSSRKELEDIIQSHINDKEKLQSQVTSLMEENNMHNEMHQNVRKELEAAIAQLDERLSEKKSELEQRLRHAGEQVELHRKDVEEFAARNLELNSLNESLIKDSELKLEQAAASILQKESETKELLDKLKYLEDQSTFYKEQAVEATENVTSLKAELGTNALILVSLENNVQELKQKVSEANLKGEQTLAENELLATSNSKLLEELEAHQDKVNELEELLKSIHAEKEAADKKLASHASTIAKLTDEHSQGLELQYTTELRLKENEEQLHEAIEKHKKRDLEARDLYEKLLALESQLKTCEEQASESDILTATQKSKLEEAHFKLQEHEGLIEQLQSSLAQFKTENEDLSRDNLSLTEELATYETKMNLLQAALHEATAEKEDTLMQLHSSTKQLEDMMQLHMSDKEKLQLQITSIMAESNMRNEMHNDARKELEETIVQLTQNLSEQKAREFTLDSLVESLKSDLSEKSLMQSELEQKLRYAGEQLEHHKEAVDELTTRNLELNSLNESLLKNSELKLEQAAKSIMQKESEAKELLNKLKSLEEQSAFYKEQAVQATENVASLEAELGANAMALVSLETNVEELKQKVSEANLKGEQTRAENELLVRSNSMLMEELKSHQHKVNELEELLKFIQAEKEASVEQLVSHANTIAQLTDEHSRGLELQLATEYRLKENEAQLHEAIDKHKQIDFEARDLSEKLLALESQLNAYEEQAIESAVIATTHKGKLEEAHFKLQELEELVQQLESKLDQFKTENDFLSRDNLSLTEELATYKTKINELKVAHEAAVTDKEDIFVQLHSSKKEMEDHMQLLLSDKEKLQSQITSVMEENNLHNEMHQNARKELKETIAQLEEKISEQMERESSLDSLVEILKSELSTKFLMQSELEQKFRYAGEQLEHYKKAVEELMTRNLELNSLNESLFKDSELKLEQADACIIQKESEANELLEKLRSVEEQSAFYREQAVKATENVASLKAELGVNVMKLISLENIVEELKQKVSEANLKGEQTFAENELLATSNSKLREELESHQNKLNELKEFLEFIHAEKEFAVEQLASHASTIAQMSDEHSRDLELQLATECSLKENEAQLHEVIEKHKQRDLEARDLYEKLLALETQLRAYEEQASESAVVAATQKGKLEEAHFKLQELEGLVEQLKSQLDQFKIKNEDLLRDNLSLSENLAMYAMNMNELQVALDAAVTQKEDIFMQLCSSKKEMEELMQLHSSDKEKLQSQITSVLVENNMCNEMYHNARKELEATLAQFQEQLSEQKTRESSLVSLVESLKAELSGKSLMQSELEQNLRYAGEQLEHHRNALEKLTTRNLEQLRQADATIMQKESEAEELLEKLKSAEEQLASQKGKLEEAHSKLQELEGLVEQLKSMSDQFKTENEDLSRDNLSLTEEIATNKTRMNGLQLALDATVTEKEDIFMQLHSSQKNMEDLMQLHISDKEKLQSQITSVMEENKKCNKMHQNSRKELEATVSKLEEKLSEQKAREFSLDSLAESLKAELSEKSLMQSELEQKLRYAEEQLGHHRKAVEELTARNLELNSLNESLIKDTELKLEQVAASILQKESETKELLEKLKSLEEQSTFYKEQLVEATQTVTLLEAELGANALTLVSLENNIQELKQKVSEANLKGEQTLSENELLATSNLELREELEAHQHKVNELNEVLKSIHAEKEAVNEQLASHASIIAKLTDEHSQGLELLFATEVCLKENEAQLHEAIEKHKQRDLEDRDLNEKLFALEYQLKNYEEQASELAIVAATQKSNLEEAHFKLQEYEGLVEQLYCRLAQFKTENEDLSRDNLSLTEDLATYETKMSKFQEALDEATAEKEDIFMQLHSSMKEMDDVKQLLISDKEKLQSQITSVMEENIMLHEMYQNMRRELETTVQLKEEPTKEKAREFPLNSLVGNLEAELAEKSLMQARISELEHKLLLAEKTYIQEIESLVSAAAEKEAVLTAKLKDHTSLLQERDSLDKKLKEILKELDLAQRTITEQKELISTKEFEMQASMKQTLDALWSKNQDAALLEKQVEELKQRLQEAKTQNKEKVIEQSKKLTLVNVELHEMRLKLSKTTQMERKIAELENALLLAYATSGQEVKNETSHAELKDAIEVFSRDCGLDTSTLLKRKIKISDRVHQDTRTTDADPNVHDDTEPLVAMALKFILGVALVSMIVGIILGKRY >Ma06_p21410.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15609072:15632853:-1 gene:Ma06_g21410 transcript:Ma06_t21410.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELQGSSGYIEVKLSEEANIDVAEDENQSLRSDSTDLLGKEGKKEEEEESASDGEFIKVEKEILADVEERSHLLNPMVEVEETSHNANHRSDSSEAHADSIKMKEKNKELELQLETVLGKLKSSEVEKALLISEFDLANDKLEKMTKHCEELELGHKLMKNQILEAEQIYNLQLESLEEALKASYIKDKELTDARESFIELSTELDSSRKRMKALEEELLSSVSKVHKLEELNKHSSLQAESESKNVLELQMMLDLAHANAKEMEGQVNDLQMELKELHNENAEKQHVEEALQSTLLELSISQEKLEISESQVAKLEQELASKDHFICGLSEELNLYKVSQEQLRADVAELENMLSTSREDLETKLVNLQELELKLQEQVKEREADEACFKNQEVQISSLQNDLSELTREKATLESTVTDLNTKLLENEETHSQLEMKINLADQDLKKTDSLLSQALSYKEELNKKMELLEQLYHESRITAEVSAKRNLELEGLVQSSNAAEESIRKQLKESEMKLASSEKKNMELEQQINLAEIKRLDAESEINKLNEKIMELTADNALSRSCLESFEDRIGQLESSLSQSSLRNSELEKELNDLLRKCAEHEERATATHLRSIELEDMIHSSHSRAEDSKKRAGELELLLEATNSRTKELEQLLSTIEEKHRDVEALSKQYSSKVSGLVTELEATHQAANDKERELTDILYVVVEDRKKFEDLSNNQEKKLYESENEIQILKNELKYLKEKVASVQEDLEASSDRERELLEILKYDGEQLEHHSKALEDLTAKNLELNSLNESLIKDSQLKLQEAAASIKQKEMEAKELLEKLNFLEEQLISYKDDAVEATENVALLKVELGENAIKLVSLENNVEELNRKVSEANLRTQQKFAENELLSMENSKLREELEAHQQKVNELDEFSKSIHAEKEATVEKLISHASTITELTDERSKCLEIQFATESRLKENEAQLQEAIEKYKQRDLEARDQYEKLLAFETHLRTYEEQVSESAVITSTQKHKLEEAHFKLQDMEGLVEKLESKLDQLKTENEGLARHNLSLTEELATYETKMNELHVAFDAAVAKKEDVSMELHSFKKETEDLLQLLNSDKEKLQSQITSVMEENNMLNEMYQNARRQLEATIVQLEEKLSDQMARELSLNSIIENLKEELSEKSLMQPRILELEEKLRDAEEQLEHHGKAVEQITARNRNLKSINESLVKDSELRLQEAAESFKQKDSEAKELLEKLKSLEENLVFYEEQLVEASENVASLKAELGTNTMKLVYLENNLEELKQKLSEANLRCEQKLSENELLASSNSKLMEELEAHQHKVDELNKLLTSISAEKEATVEQLASHASTIASLTDEHSRGLELQFATESRLKENEAQLHEAIEKHKQRDLQVRDLYENLLALETQLRTYEEQASESAVVEATQKGKLEEAHFKLQELEGLVEQLKRKSDQSKIENEDLTRNNLSLTEKLAMYETKMNELQVALDAAVTEKEDIFMQLHSSKKEMEDNMQLLISDKEKFQSQMISIMEENNMLKDMHENSRKDLEARLAQLEEKLSEQKAREFSLDFLVEGLKAELSEKSLMQLQLEQKLQYADEQLEHHSKAVEELTTRNLELNSLNKTFVKDSELKLEQAAASFMLKESESIELLEKFKSCEEQLSFYKEQAVGAAENVASLKEELGANAMKQVSLENNIEELKQRVSEANLRCEQTLSENELLITSNSTLREELETNQHKVNGLNELLKSIHAEKEAAVEQLASHARTIAKLTDEHSSGLELQFSTESRLKENEAQLHEAIEKNKQKDLEARDQYEKLLSLETQLRTYEEQASESAVVAATQKGKLEEAHFRLQELEGFVEQLKHKSDQFKMDNEDLLKDNLSLTEKLVMYETNMNELQEALDAAVTEKDDIFMQLHSSKKEIEDLMQFHISDKEKLQSQITSVMEEHNTCNEMYQNARKELKAAIAQLEEKLSEQKARELSFDSLVESLKAELSEKSTMQSELEQRLKYAGEQLEHHREAVEELTARNFELNSLNETLVKNSELKLEEAATSFMQKESEVKRLLEMLKSLEEQLAFYKEQAVGAAENVASLKTQLEASTMKLVSLENNVEELKQKVSESNLTCEQTLSENELLATSNSKLREELEDHQHKVNDFNELLKSIHAEKEAAVEQLASHASTIAKLTDEHSRGLELQHAAESRIEENEAQLYEAIEKHKKKDLEARNLYEKLLSLETQLRNDEQASEPDVAATQKGILEEAHFKLQEVEGLVEQLKSKLDQFKIENEDLSKDNVGLTEMLAIYESKLNMVQVALDTAVREKEDIIMQLHSSRKELEDIIQSHINDKEKLQSQVTSLMEENNMHNEMHQNVRKELEAAIAQLDERLSEKKSELEQRLRHAGEQVELHRKDVEEFAARNLELNSLNESLIKDSELKLEQAAASILQKESETKELLDKLKYLEDQSTFYKEQAVEATENVTSLKAELGTNALILVSLENNVQELKQKVSEANLKGEQTLAENELLATSNSKLLEELEAHQDKVNELEELLKSIHAEKEAADKKLASHASTIAKLTDEHSQGLELQYTTELRLKENEEQLHEAIEKHKKRDLEARDLYEKLLALESQLKTCEEQASESDILTATQKSKLEEAHFKLQEHEGLIEQLQSSLAQFKTENEDLSRDNLSLTEELATYETKMNLLQAALHEATAEKEDTLMQLHSSTKQLEDMMQLHMSDKEKLQLQITSVMEENNMHKGMHHNARKELEAAIAQLEEKLSEQKAREFSFDSLVESLKAELSEKSLMQSDMEKKLIHAGEQLEHHRNAVEELTARNSELNSLNESLIKDSELKLEQAAANILQKDFEVKELLEKIKSLEEQSSFYKEQAIEANENVNSLKAELGANAKTLVSLENNYEELKQQISEANLKHEQTLAENELLATSNSNHREELEAHQQKVIELNEFLKSIHTEKEAAVEQLTSHATTIAKLTEEHSRGLELQFATEFRLKENETQLHEAIEKHKQRDFEAKDLYEKLLALESQLKSYEEQASESAIVAATQKSKLDEAHCKLQEHEGLVEHLYSRLAQFKTENEDLSRDNVSLSEKLATYETKLNELQVEFDTAIIEKEDIIMRLHSSNKELEDIMQSHMSDKEKLQLQINFVMEENNMHDKMHQNARKELEATIAQLEEKLSEQKSDLEQKLRHAEEQLEHHRQAVEELAARNMELSSLNESLIKDSELKLELSAANILQKESEVKELLEKLKSLEEQSSFYKEQAIEATETVASLKAELGVNAKTLVSLENKVEELKQHISEANLKHEQTLAENELLATSNSKLREELEAHQQKVSELNEVLKSIHTEKEAAVEQLASHASTIAKLTEEHSRGLELQFATEFRLKENEAQLREAIEKQKQRDLEARDLYEKQLALESQLKSYEEQASESAIFEATQKSELEEAHFKLQEHEGLVQQLKSTLDQFKTENEDLSRENLSLTVELATLKTKMNELQVALEASVAAKENIFLQLHSSKKEMEDLMQLLISDKEKLQLQITSIMAESNMRNEMHNDARKELEETIVQLTQNLSEQKAREFTLDSLVESLKSDLSEKSLMQSELEQKLRYAGEQLEHHKEAVDELTTRNLELNSLNESLLKNSELKLEQAAKSIMQKESEAKELLNKLKSLEEQSAFYKEQAVQATENVASLEAELGANAMALVSLETNVEELKQKVSEANLKGEQTRAENELLVRSNSMLMEELKSHQHKVNELEELLKFIQAEKEASVEQLVSHANTIAQLTDEHSRGLELQLATEYRLKENEAQLHEAIDKHKQIDFEARDLSEKLLALESQLNAYEEQAIESAVIATTHKGKLEEAHFKLQELEELVQQLESKLDQFKTENDFLSRDNLSLTEELATYKTKINELKVAHEAAVTDKEDIFVQLHSSKKEMEDHMQLLLSDKEKLQSQITSVMEENNLHNEMHQNARKELKETIAQLEEKISEQMERESSLDSLVEILKSELSTKFLMQSELEQKFRYAGEQLEHYKKAVEELMTRNLELNSLNESLFKDSELKLEQADACIIQKESEANELLEKLRSVEEQSAFYREQAVKATENVASLKAELGVNVMKLISLENIVEELKQKVSEANLKGEQTFAENELLATSNSKLREELESHQNKLNELKEFLEFIHAEKEFAVEQLASHASTIAQMSDEHSRDLELQLATECSLKENEAQLHEVIEKHKQRDLEARDLYEKLLALETQLRAYEEQASESAVVAATQKGKLEEAHFKLQELEGLVEQLKSQLDQFKIKNEDLLRDNLSLSENLAMYAMNMNELQVALDAAVTQKEDIFMQLCSSKKEMEELMQLHSSDKEKLQSQITSVLVENNMCNEMYHNARKELEATLAQFQEQLSEQKTRESSLVSLVESLKAELSGKSLMQSELEQNLRYAGEQLEHHRNALEKLTTRNLEQLRQADATIMQKESEAEELLEKLKSAEEQLASQKGKLEEAHSKLQELEGLVEQLKSMSDQFKTENEDLSRDNLSLTEEIATNKTRMNGLQLALDATVTEKEDIFMQLHSSQKNMEDLMQLHISDKEKLQSQITSVMEENKKCNKMHQNSRKELEATVSKLEEKLSEQKAREFSLDSLAESLKAELSEKSLMQSELEQKLRYAEEQLGHHRKAVEELTARNLELNSLNESLIKDTELKLEQVAASILQKESETKELLEKLKSLEEQSTFYKEQLVEATQTVTLLEAELGANALTLVSLENNIQELKQKVSEANLKGEQTLSENELLATSNLELREELEAHQHKVNELNEVLKSIHAEKEAVNEQLASHASIIAKLTDEHSQGLELLFATEVCLKENEAQLHEAIEKHKQRDLEDRDLNEKLFALEYQLKNYEEQASELAIVAATQKSNLEEAHFKLQEYEGLVEQLYCRLAQFKTENEDLSRDNLSLTEDLATYETKMSKFQEALDEATAEKEDIFMQLHSSMKEMDDVKQLLISDKEKLQSQITSVMEENIMLHEMYQNMRRELETTVQLKEEPTKEKAREFPLNSLVGNLEAELAEKSLMQARISELEHKLLLAEKTYIQEIESLVSAAAEKEAVLTAKLKDHTSLLQERDSLDKKLKEILKELDLAQRTITEQKELISTKEFEMQASMKQTLDALWSKNQDAALLEKQVEELKQRLQEAKTQNKEKVIEQSKKLTLVNVELHEMRLKLSKTTQMERKIAELENALLLAYATSGQEVKNETSHAELKDAIEVFSRDCGLDTSTLLKRKIKISDRVHQDTRTTDADPNVHDDTEPLVAMALKFILGVALVSMIVGIILGKRY >Ma06_p21410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15609072:15632853:-1 gene:Ma06_g21410 transcript:Ma06_t21410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELQGSSGYIEVKLSEEANIDVAEDENQSLRSDSTDLLGKEGKKEEEEESASDGEFIKVEKEILADVEERSHLLNPMVEVEETSHNANHRSDSSEAHADSIKMKEKNKELELQLETVLGKLKSSEVEKALLISEFDLANDKLEKMTKHCEELELGHKLMKNQILEAEQIYNLQLESLEEALKASYIKDKELTDARESFIELSTELDSSRKRMKALEEELLSSVSKVHKLEELNKHSSLQAESESKNVLELQMMLDLAHANAKEMEGQVNDLQMELKELHNENAEKQHVEEALQSTLLELSISQEKLEISESQVAKLEQELASKDHFICGLSEELNLYKVSQEQLRADVAELENMLSTSREDLETKLVNLQELELKLQEQVKEREADEACFKNQEVQISSLQNDLSELTREKATLESTVTDLNTKLLENEETHSQLEMKINLADQDLKKTDSLLSQALSYKEELNKKMELLEQLYHESRITAEVSAKRNLELEGLVQSSNAAEESIRKQLKESEMKLASSEKKNMELEQQINLAEIKRLDAESEINKLNEKIMELTADNALSRSCLESFEDRIGQLESSLSQSSLRNSELEKELNDLLRKCAEHEERATATHLRSIELEDMIHSSHSRAEDSKKRAGELELLLEATNSRTKELEQLLSTIEEKHRDVEALSKQYSSKVSGLVTELEATHQAANDKERELTDILYVVVEDRKKFEDLSNNQEKKLYESENEIQILKNELKYLKEKVASVQEDLEASSDRERELLEILKYDGEQLEHHSKALEDLTAKNLELNSLNESLIKDSQLKLQEAAASIKQKEMEAKELLEKLNFLEEQLISYKDDAVEATENVALLKVELGENAIKLVSLENNVEELNRKVSEANLRTQQKFAENELLSMENSKLREELEAHQQKVNELDEFSKSIHAEKEATVEKLISHASTITELTDERSKCLEIQFATESRLKENEAQLQEAIEKYKQRDLEARDQYEKLLAFETHLRTYEEQVSESAVITSTQKHKLEEAHFKLQDMEGLVEKLESKLDQLKTENEGLARHNLSLTEELATYETKMNELHVAFDAAVAKKEDVSMELHSFKKETEDLLQLLNSDKEKLQSQITSVMEENNMLNEMYQNARRQLEATIVQLEEKLSDQMARELSLNSIIENLKEELSEKSLMQPRILELEEKLRDAEEQLEHHGKAVEQITARNRNLKSINESLVKDSELRLQEAAESFKQKDSEAKELLEKLKSLEENLVFYEEQLVEASENVASLKAELGTNTMKLVYLENNLEELKQKLSEANLRCEQKLSENELLASSNSKLMEELEAHQHKVDELNKLLTSISAEKEATVEQLASHASTIASLTDEHSRGLELQFATESRLKENEAQLHEAIEKHKQRDLQVRDLYENLLALETQLRTYEEQASESAVVEATQKGKLEEAHFKLQELEGLVEQLKRKSDQSKIENEDLTRNNLSLTEKLAMYETKMNELQVALDAAVTEKEDIFMQLHSSKKEMEDNMQLLISDKEKFQSQMISIMEENNMLKDMHENSRKDLEARLAQLEEKLSEQKAREFSLDFLVEGLKAELSEKSLMQLQLEQKLQYADEQLEHHSKAVEELTTRNLELNSLNKTFVKDSELKLEQAAASFMLKESESIELLEKFKSCEEQLSFYKEQAVGAAENVASLKEELGANAMKQVSLENNIEELKQRVSEANLRCEQTLSENELLITSNSTLREELETNQHKVNGLNELLKSIHAEKEAAVEQLASHARTIAKLTDEHSSGLELQFSTESRLKENEAQLHEAIEKNKQKDLEARDQYEKLLSLETQLRTYEEQASESAVVAATQKGKLEEAHFRLQELEGFVEQLKHKSDQFKMDNEDLLKDNLSLTEKLVMYETNMNELQEALDAAVTEKDDIFMQLHSSKKEIEDLMQFHISDKEKLQSQITSVMEEHNTCNEMYQNARKELKAAIAQLEEKLSEQKARELSFDSLVESLKAELSEKSTMQSELEQRLKYAGEQLEHHREAVEELTARNFELNSLNETLVKNSELKLEEAATSFMQKESEVKRLLEMLKSLEEQLAFYKEQAVGAAENVASLKTQLEASTMKLVSLENNVEELKQKVSESNLTCEQTLSENELLATSNSKLREELEDHQHKVNDFNELLKSIHAEKEAAVEQLASHASTIAKLTDEHSRGLELQHAAESRIEENEAQLYEAIEKHKKKDLEARNLYEKLLSLETQLRNDEQASEPDVAATQKGILEEAHFKLQEVEGLVEQLKSKLDQFKIENEDLSKDNVGLTEMLAIYESKLNMVQVALDTAVREKEDIIMQLHSSRKELEDIIQSHINDKEKLQSQVTSLMEENNMHNEMHQNVRKELEAAIAQLDERLSEKKSELEQRLRHAGEQVELHRKDVEEFAARNLELNSLNESLIKDSELKLEQAAASILQKESETKELLDKLKYLEDQSTFYKEQAVEATENVTSLKAELGTNALILVSLENNVQELKQKVSEANLKGEQTLAENELLATSNSKLLEELEAHQDKVNELEELLKSIHAEKEAADKKLASHASTIAKLTDEHSQGLELQYTTELRLKENEEQLHEAIEKHKKRDLEARDLYEKLLALESQLKTCEEQASESDILTATQKSKLEEAHFKLQEHEGLIEQLQSSLAQFKTENEDLSRDNLSLTEELATYETKMNLLQAALHEATAEKEDTLMQLHSSTKQLEDMMQLHMSDKEKLQLQITSVMEENNMHKGMHHNARKELEAAIAQLEEKLSEQKAREFSFDSLVESLKAELSEKSLMQSDMEKKLIHAGEQLEHHRNAVEELTARNSELNSLNESLIKDSELKLEQAAANILQKDFEVKELLEKIKSLEEQSSFYKEQAIEANENVNSLKAELGANAKTLVSLENNYEELKQQISEANLKHEQTLAENELLATSNSNHREELEAHQQKVIELNEFLKSIHTEKEAAVEQLTSHATTIAKLTEEHSRGLELQFATEFRLKENETQLHEAIEKHKQRDFEAKDLYEKLLALESQLKSYEEQASESAIVAATQKSKLDEAHCKLQEHEGLVEHLYSRLAQFKTENEDLSRDNVSLSEKLATYETKLNELQVEFDTAIIEKEDIIMRLHSSNKELEDIMQSHMSDKEKLQLQINFVMEENNMHDKMHQNARKELEATIAQLEEKLSEQKSDLEQKLRHAEEQLEHHRQAVEELAARNMELSSLNESLIKDSELKLELSAANILQKESEVKELLEKLKSLEEQSSFYKEQAIEATETVASLKAELGVNAKTLVSLENKVEELKQHISEANLKHEQTLAENELLATSNSKLREELEAHQQKVSELNEVLKSIHTEKEAAVEQLASHASTIAKLTEEHSRGLELQFATEFRLKENEAQLREAIEKQKQRDLEARDLYEKQLALESQLKSYEEQASESAIFEATQKSELEEAHFKLQEHEGLVQQLKSTLDQFKTENEDLSRENLSLTVELATLKTKMNELQVALEASVAAKENIFLQLHSSKKEMEDLMQLLISDKEKLQLQITSIMAESNMRNEMHNDARKELEETIVQLTQNLSEQKAREFTLDSLVESLKSDLSEKSLMQSELEQKLRYAGEQLEHHKEAVDELTTRNLELNSLNESLLKNSELKLEQAAKSIMQKESEAKELLNKLKSLEEQSAFYKEQAVQATENVASLEAELGANAMALVSLETNVEELKQKVSEANLKGEQTRAENELLVRSNSMLMEELKSHQHKVNELEELLKFIQAEKEASVEQLVSHANTIAQLTDEHSRGLELQLATEYRLKENEAQLHEAIDKHKQIDFEARDLSEKLLALESQLNAYEEQAIESAVIATTHKGKLEEAHFKLQELEELVQQLESKLDQFKTENDFLSRDNLSLTEELATYKTKINELKVAHEAAVTDKEDIFVQLHSSKKEMEDHMQLLLSDKEKLQSQITSVMEENNLHNEMHQNARKELKETIAQLEEKISEQMERESSLDSLVEILKSELSTKFLMQSELEQKFRYAGEQLEHYKKAVEELMTRNLELNSLNESLFKDSELKLEQADACIIQKESEANELLEKLRSVEEQSAFYREQAVKATENVASLKAELGVNVMKLISLENIVEELKQKVSEANLKGEQTFAENELLATSNSKLREELESHQNKLNELKEFLEFIHAEKEFAVEQLASHASTIAQMSDEHSRDLELQLATECSLKENEAQLHEVIEKHKQRDLEARDLYEKLLALETQLRAYEEQASESAVVAATQKGKLEEAHFKLQELEGLVEQLKSQLDQFKIKNEDLLRDNLSLSENLAMYAMNMNELQVALDAAVTQKEDIFMQLCSSKKEMEELMQLHSSDKEKLQSQITSVLVENNMCNEMYHNARKELEATLAQFQEQLSEQKTRESSLVSLVESLKAELSGKSLMQSELEQNLRYAGEQLEHHRNALEKLTTRNLEQLRQADATIMQKESEAEELLEKLKSAEEQLASQKGKLEEAHSKLQELEGLVEQLKSMSDQFKTENEDLSRDNLSLTEEIATNKTRMNGLQLALDATVTEKEDIFMQLHSSQKNMEDLMQLHISDKEKLQSQITSVMEENKKCNKMHQNSRKELEATVSKLEEKLSEQKAREFSLDSLAESLKAELSEKSLMQSELEQKLRYAEEQLGHHRKAVEELTARNLELNSLNESLIKDTELKLEQVAASILQKESETKELLEKLKSLEEQSTFYKEQLVEATQTVTLLEAELGANALTLVSLENNIQELKQKVSEANLKGEQTLSENELLATSNLELREELEAHQHKVNELNEVLKSIHAEKEAVNEQLASHASIIAKLTDEHSQGLELLFATEVCLKENEAQLHEAIEKHKQRDLEDRDLNEKLFALEYQLKNYEEQASELAIVAATQKSNLEEAHFKLQEYEGLVEQLYCRLAQFKTENEDLSRDNLSLTEDLATYETKMSKFQEALDEATAEKEDIFMQLHSSMKEMDDVKQLLISDKEKLQSQITSVMEENIMLHEMYQNMRRELETTVQLKEEPTKEKAREFPLNSLVGNLEAELAEKSLMQARISELEHKLLLAEKTYIQEIESLVSAAAEKEAVLTAKLKDHTSLLQERDSLDKKLKEILKELDLAQRTITEQKELISTKEFEMQASMKQTLDALWSKNQDAALLEKQVEELKQRLQEAKTQNKEKVIEQSKKLTLVNVELHEMRLKLSKTTQMERKIAELENALLLAYATSGQEVKNETSHAELKDAIEVFSRDCGLDTSTLLKRKIKISDRVHQDTRTTDADPNVHDDTEPLVAMALKFILGVALVSMIVGIILGKRY >Ma06_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15609072:15632853:-1 gene:Ma06_g21410 transcript:Ma06_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELQGSSGYIEVKLSEEANIDVAEDENQSLRSDSTDLLGKEGKKEEEEESASDGEFIKVEKEILADVEERSHLLNPMVEVEETSHNANHRSDSSEAHADSIKMKEKNKELELQLETVLGKLKSSEVEKALLISEFDLANDKLEKMTKHCEELELGHKLMKNQILEAEQIYNLQLESLEEALKASYIKDKELTDARESFIELSTELDSSRKRMKALEEELLSSVSKVHKLEELNKHSSLQAESESKNVLELQMMLDLAHANAKEMEGQVNDLQMELKELHNENAEKQHVEEALQSTLLELSISQEKLEISESQVAKLEQELASKDHFICGLSEELNLYKVSQEQLRADVAELENMLSTSREDLETKLVNLQELELKLQEQVKEREADEACFKNQEVQISSLQNDLSELTREKATLESTVTDLNTKLLENEETHSQLEMKINLADQDLKKTDSLLSQALSYKEELNKKMELLEQLYHESRITAEVSAKRNLELEGLVQSSNAAEESIRKQLKESEMKLASSEKKNMELEQQINLAEIKRLDAESEINKLNEKIMELTADNALSRSCLESFEDRIGQLESSLSQSSLRNSELEKELNDLLRKCAEHEERATATHLRSIELEDMIHSSHSRAEDSKKRAGELELLLEATNSRTKELEQLLSTIEEKHRDVEALSKQYSSKVSGLVTELEATHQAANDKERELTDILYVVVEDRKKFEDLSNNQEKKLYESENEIQILKNELKYLKEKVASVQEDLEASSDRERELLEILKYDGEQLEHHSKALEDLTAKNLELNSLNESLIKDSQLKLQEAAASIKQKEMEAKELLEKLNFLEEQLISYKDDAVEATENVALLKVELGENAIKLVSLENNVEELNRKVSEANLRTQQKFAENELLSMENSKLREELEAHQQKVNELDEFSKSIHAEKEATVEKLISHASTITELTDERSKCLEIQFATESRLKENEAQLQEAIEKYKQRDLEARDQYEKLLAFETHLRTYEEQVSESAVITSTQKHKLEEAHFKLQDMEGLVEKLESKLDQLKTENEGLARHNLSLTEELATYETKMNELHVAFDAAVAKKEDVSMELHSFKKETEDLLQLLNSDKEKLQSQITSVMEENNMLNEMYQNARRQLEATIVQLEEKLSDQMARELSLNSIIENLKEELSEKSLMQPRILELEEKLRDAEEQLEHHGKAVEQITARNRNLKSINESLVKDSELRLQEAAESFKQKDSEAKELLEKLKSLEENLVFYEEQLVEASENVASLKAELGTNTMKLVYLENNLEELKQKLSEANLRCEQKLSENELLASSNSKLMEELEAHQHKVDELNKLLTSISAEKEATVEQLASHASTIASLTDEHSRGLELQFATESRLKENEAQLHEAIEKHKQRDLQVRDLYENLLALETQLRTYEEQASESAVVEATQKGKLEEAHFKLQELEGLVEQLKRKSDQSKIENEDLTRNNLSLTEKLAMYETKMNELQVALDAAVTEKEDIFMQLHSSKKEMEDNMQLLISDKEKFQSQMISIMEENNMLKDMHENSRKDLEARLAQLEEKLSEQKAREFSLDFLVEGLKAELSEKSLMQLQLEQKLQYADEQLEHHSKAVEELTTRNLELNSLNKTFVKDSELKLEQAAASFMLKESESIELLEKFKSCEEQLSFYKEQAVGAAENVASLKEELGANAMKQVSLENNIEELKQRVSEANLRCEQTLSENELLITSNSTLREELETNQHKVNGLNELLKSIHAEKEAAVEQLASHARTIAKLTDEHSSGLELQFSTESRLKENEAQLHEAIEKNKQKDLEARDQYEKLLSLETQLRTYEEQASESAVVAATQKGKLEEAHFRLQELEGFVEQLKHKSDQFKMDNEDLLKDNLSLTEKLVMYETNMNELQEALDAAVTEKDDIFMQLHSSKKEIEDLMQFHISDKEKLQSQITSVMEEHNTCNEMYQNARKELKAAIAQLEEKLSEQKARELSFDSLVESLKAELSEKSTMQSELEQRLKYAGEQLEHHREAVEELTARNFELNSLNETLVKNSELKLEEAATSFMQKESEVKRLLEMLKSLEEQLAFYKEQAVGAAENVASLKTQLEASTMKLVSLENNVEELKQKVSESNLTCEQTLSENELLATSNSKLREELEDHQHKVNDFNELLKSIHAEKEAAVEQLASHASTIAKLTDEHSRGLELQHAAESRIEENEAQLYEAIEKHKKKDLEARNLYEKLLSLETQLRNDEQASEPDVAATQKGILEEAHFKLQEVEGLVEQLKSKLDQFKIENEDLSKDNVGLTEMLAIYESKLNMVQVALDTAVREKEDIIMQLHSSRKELEDIIQSHINDKEKLQSQVTSLMEENNMHNEMHQNVRKELEAAIAQLDERLSEKKSELEQRLRHAGEQVELHRKDVEEFAARNLELNSLNESLIKDSELKLEQAAASILQKESETKELLDKLKYLEDQSTFYKEQAVEATENVTSLKAELGTNALILVSLENNVQELKQKVSEANLKGEQTLAENELLATSNSKLLEELEAHQDKVNELEELLKSIHAEKEAADKKLASHASTIAKLTDEHSQGLELQYTTELRLKENEEQLHEAIEKHKKRDLEARDLYEKLLALESQLKTCEEQASESDILTATQKSKLEEAHFKLQEHEGLIEQLQSSLAQFKTENEDLSRDNLSLTEELATYETKMNLLQAALHEATAEKEDTLMQLHSSTKQLEDMMQLHMSDKEKLQLQITSVMEENNMHKGMHHNARKELEAAIAQLEEKLSEQKAREFSFDSLVESLKAELSEKSLMQSDMEKKLIHAGEQLEHHRNAVEELTARNSELNSLNESLIKDSELKLEQAAANILQKDFEVKELLEKIKSLEEQSSFYKEQAIEANENVNSLKAELGANAKTLVSLENNYEELKQQISEANLKHEQTLAENELLATSNSNHREELEAHQQKVIELNEFLKSIHTEKEAAVEQLTSHATTIAKLTEEHSRGLELQFATEFRLKENETQLHEAIEKHKQRDFEAKDLYEKLLALESQLKSYEEQASESAIVAATQKSKLDEAHCKLQEHEGLVEHLYSRLAQFKTENEDLSRDNVSLSEKLATYETKLNELQVEFDTAIIEKEDIIMRLHSSNKELEDIMQSHMSDKEKLQLQINFVMEENNMHDKMHQNARKELEATIAQLEEKLSEQKSDLEQKLRHAEEQLEHHRQAVEELAARNMELSSLNESLIKDSELKLELSAANILQKESEVKELLEKLKSLEEQSSFYKEQAIEATETVASLKAELGVNAKTLVSLENKVEELKQHISEANLKHEQTLAENELLATSNSKLREELEAHQQKVSELNEVLKSIHTEKEAAVEQLASHASTIAKLTEEHSRGLELQFATEFRLKENEAQLREAIEKQKQRDLEARDLYEKQLALESQLKSYEEQASESAIFEATQKSELEEAHFKLQEHEGLVQQLKSTLDQFKTENEDLSRENLSLTVELATLKTKMNELQVALEASVAAKENIFLQLHSSKKEMEDLMQLLISDKEKLQLQITSIMAESNMRNEMHNDARKELEETIVQLTQNLSEQKAREFTLDSLVESLKSDLSEKSLMQSELEQKLRYAGEQLEHHKEAVDELTTRNLELNSLNESLLKNSELKLEQAAKSIMQKESEAKELLNKLKSLEEQSAFYKEQAVQATENVASLEAELGANAMALVSLETNVEELKQKVSEANLKGEQTRAENELLVRSNSMLMEELKSHQHKVNELEELLKFIQAEKEASVEQLVSHANTIAQLTDEHSRGLELQLATEYRLKENEAQLHEAIDKHKQIDFEARDLSEKLLALESQLNAYEEQAIESAVIATTHKGKLEEAHFKLQELEELVQQLESKLDQFKTENDFLSRDNLSLTEELATYKTKINELKVAHEAAVTDKEDIFVQLHSSKKEMEDHMQLLLSDKEKLQSQITSVLVENNMCNEMYHNARKELEATLAQFQEQLSEQKTRESSLVSLVESLKAELSGKSLMQSELEQNLRYAGEQLEHHRNALEKLTTRNLEQLRQADATIMQKESEAEELLEKLKSAEEQLASQKGKLEEAHSKLQELEGLVEQLKSMSDQFKTENEDLSRDNLSLTEEIATNKTRMNGLQLALDATVTEKEDIFMQLHSSQKNMEDLMQLHISDKEKLQSQITSVMEENKKCNKMHQNSRKELEATVSKLEEKLSEQKAREFSLDSLAESLKAELSEKSLMQSELEQKLRYAEEQLGHHRKAVEELTARNLELNSLNESLIKDTELKLEQVAASILQKESETKELLEKLKSLEEQSTFYKEQLVEATQTVTLLEAELGANALTLVSLENNIQELKQKVSEANLKGEQTLSENELLATSNLELREELEAHQHKVNELNEVLKSIHAEKEAVNEQLASHASIIAKLTDEHSQGLELLFATEVCLKENEAQLHEAIEKHKQRDLEDRDLNEKLFALEYQLKNYEEQASELAIVAATQKSNLEEAHFKLQEYEGLVEQLYCRLAQFKTENEDLSRDNLSLTEDLATYETKMSKFQEALDEATAEKEDIFMQLHSSMKEMDDVKQLLISDKEKLQSQITSVMEENIMLHEMYQNMRRELETTVQLKEEPTKEKAREFPLNSLVGNLEAELAEKSLMQARISELEHKLLLAEKTYIQEIESLVSAAAEKEAVLTAKLKDHTSLLQERDSLDKKLKEILKELDLAQRTITEQKELISTKEFEMQASMKQTLDALWSKNQDAALLEKQVEELKQRLQEAKTQNKEKVIEQSKKLTLVNVELHEMRLKLSKTTQMERKIAELENALLLAYATSGQEVKNETSHAELKDAIEVFSRDCGLDTSTLLKRKIKISDRVHQDTRTTDADPNVHDDTEPLVAMALKFILGVALVSMIVGIILGKRY >Ma06_p21410.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15609072:15632853:-1 gene:Ma06_g21410 transcript:Ma06_t21410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELQGSSGYIEVKLSEEANIDVAEDENQSLRSDSTDLLGKEGKKEEEEESASDGEFIKVEKEILADVEERSHLLNPMVEVEETSHNANHRSDSSEAHADSIKMKEKNKELELQLETVLGKLKSSEVEKALLISEFDLANDKLEKMTKHCEELELGHKLMKNQILEAEQIYNLQLESLEEALKASYIKDKELTDARESFIELSTELDSSRKRMKALEEELLSSVSKVHKLEELNKHSSLQAESESKNVLELQMMLDLAHANAKEMEGQVNDLQMELKELHNENAEKQHVEEALQSTLLELSISQEKLEISESQVAKLEQELASKDHFICGLSEELNLYKVSQEQLRADVAELENMLSTSREDLETKLVNLQELELKLQEQVKEREADEACFKNQEVQISSLQNDLSELTREKATLESTVTDLNTKLLENEETHSQLEMKINLADQDLKKTDSLLSQALSYKEELNKKMELLEQLYHESRITAEVSAKRNLELEGLVQSSNAAEESIRKQLKESEMKLASSEKKNMELEQQINLAEIKRLDAESEINKLNEKIMELTADNALSRSCLESFEDRIGQLESSLSQSSLRNSELEKELNDLLRKCAEHEERATATHLRSIELEDMIHSSHSRAEDSKKRAGELELLLEATNSRTKELEQLLSTIEEKHRDVEALSKQYSSKVSGLVTELEATHQAANDKERELTDILYVVVEDRKKFEDLSNNQEKKLYESENEIQILKNELKYLKEKVASVQEDLEASSDRERELLEILKYDGEQLEHHSKALEDLTAKNLELNSLNESLIKDSQLKLQEAAASIKQKEMEAKELLEKLNFLEEQLISYKDDAVEATENVALLKVELGENAIKLVSLENNVEELNRKVSEANLRTQQKFAENELLSMENSKLREELEAHQQKVNELDEFSKSIHAEKEATVEKLISHASTITELTDERSKCLEIQFATESRLKENEAQLQEAIEKYKQRDLEARDQYEKLLAFETHLRTYEEQVSESAVITSTQKHKLEEAHFKLQDMEGLVEKLESKLDQLKTENEGLARHNLSLTEELATYETKMNELHVAFDAAVAKKEDVSMELHSFKKETEDLLQLLNSDKEKLQSQITSVMEENNMLNEMYQNARRQLEATIVQLEEKLSDQMARELSLNSIIENLKEELSEKSLMQPRILELEEKLRDAEEQLEHHGKAVEQITARNRNLKSINESLVKDSELRLQEAAESFKQKDSEAKELLEKLKSLEENLVFYEEQLVEASENVASLKAELGTNTMKLVYLENNLEELKQKLSEANLRCEQKLSENELLASSNSKLMEELEAHQHKVDELNKLLTSISAEKEATVEQLASHASTIASLTDEHSRGLELQFATESRLKENEAQLHEAIEKHKQRDLQVRDLYENLLALETQLRTYEEQASESAVVEATQKGKLEEAHFKLQELEGLVEQLKRKSDQSKIENEDLTRNNLSLTEKLAMYETKMNELQVALDAAVTEKEDIFMQLHSSKKEMEDNMQLLISDKEKFQSQMISIMEENNMLKDMHENSRKDLEARLAQLEEKLSEQKAREFSLDFLVEGLKAELSEKSLMQLQLEQKLQYADEQLEHHSKAVEELTTRNLELNSLNKTFVKDSELKLEQAAASFMLKESESIELLEKFKSCEEQLSFYKEQAVGAAENVASLKEELGANAMKQVSLENNIEELKQRVSEANLRCEQTLSENELLITSNSTLREELETNQHKVNGLNELLKSIHAEKEAAVEQLASHARTIAKLTDEHSSGLELQFSTESRLKENEAQLHEAIEKNKQKDLEARDQYEKLLSLETQLRTYEEQASESAVVAATQKGKLEEAHFRLQELEGFVEQLKHKSDQFKMDNEDLLKDNLSLTEKLVMYETNMNELQEALDAAVTEKDDIFMQLHSSKKEIEDLMQFHISDKEKLQSQITSVMEEHNTCNEMYQNARKELKAAIAQLEEKLSEQKARELSFDSLVESLKAELSEKSTMQSELEQRLKYAGEQLEHHREAVEELTARNFELNSLNETLVKNSELKLEEAATSFMQKESEVKRLLEMLKSLEEQLAFYKEQAVGAAENVASLKTQLEASTMKLVSLENNVEELKQKVSESNLTCEQTLSENELLATSNSKLREELEDHQHKVNDFNELLKSIHAEKEAAVEQLASHASTIAKLTDEHSRGLELQHAAESRIEENEAQLYEAIEKHKKKDLEARNLYEKLLSLETQLRNDEQASEPDVAATQKGILEEAHFKLQEVEGLVEQLKSKLDQFKIENEDLSKDNVGLTEMLAIYESKLNMVQVALDTAVREKEDIIMQLHSSRKELEDIIQSHINDKEKLQSQVTSLMEENNMHNEMHQNVRKELEAAIAQLDERLSEKKSELEQRLRHAGEQVELHRKDVEEFAARNLELNSLNESLIKDSELKLEQAAASILQKESETKELLDKLKYLEDQSTFYKEQAVEATENVTSLKAELGTNALILVSLENNVQELKQKVSEANLKGEQTLAENELLATSNSKLLEELEAHQDKVNELEELLKSIHAEKEAADKKLASHASTIAKLTDEHSQGLELQYTTELRLKENEEQLHEAIEKHKKRDLEARDLYEKLLALESQLKTCEEQASESDILTATQKSKLEEAHFKLQEHEGLIEQLQSSLAQFKTENEDLSRDNLSLTEELATYETKMNLLQAALHEATAEKEDTLMQLHSSTKQLEDMMQLHMSDKEKLQLQITSVMEENNMHKGMHHNARKELEAAIAQLEEKLSEQKAREFSFDSLVESLKAELSEKSLMQSDMEKKLIHAGEQLEHHRNAVEELTARNSELNSLNESLIKDSELKLEQAAANILQKDFEVKELLEKIKSLEEQSSFYKEQAIEANENVNSLKAELGANAKTLVSLENNYEELKQQISEANLKHEQTLAENELLATSNSNHREELEAHQQKVIELNEFLKSIHTEKEAAVEQLTSHATTIAKLTEEHSRGLELQFATEFRLKENETQLHEAIEKHKQRDFEAKDLYEKLLALESQLKSYEEQASESAIVAATQKSKLDEAHCKLQEHEGLVEHLYSRLAQFKTENEDLSRDNVSLSEKLATYETKLNELQVEFDTAIIEKEDIIMRLHSSNKELEDIMQSHMSDKEKLQLQINFVMEENNMHDKMHQNARKELEATIAQLEEKLSEQKSDLEQKLRHAEEQLEHHRQAVEELAARNMELSSLNESLIKDSELKLELSAANILQKESEVKELLEKLKSLEEQSSFYKEQAIEATETVASLKAELGVNAKTLVSLENKVEELKQHISEANLKHEQTLAENELLATSNSKLREELEAHQQKVSELNEVLKSIHTEKEAAVEQLASHASTIAKLTEEHSRGLELQFATEFRLKENEAQLREAIEKQKQRDLEARDLYEKQLALESQLKSYEEQASESAIFEATQKSELEEAHFKLQEHEGLVQQLKSTLDQFKTENEDLSRENLSLTVELATLKTKMNELQVALEASVAAKENIFLQLHSSKKEMEDLMQLLISDKEKLQLQITSIMAESNMRNEMHNDARKELEETIVQLTQNLSEQKAREFTLDSLVESLKSDLSEKSLMQSELEQKLRYAGEQLEHHKEAVDELTTRNLELNSLNESLLKNSELKLEQAAKSIMQKESEAKELLNKLKSLEEQSAFYKEQAVQATENVASLEAELGANAMALVSLETNVEELKQKVSEANLKGEQTRAENELLVRSNSMLMEELKSHQHKVNELEELLKFIQAEKEASVEQLVSHANTIAQLTDEHSRGLELQLATEYRLKENEAQLHEAIDKHKQIDFEARDLSEKLLALESQLNAYEEQAIESAVIATTHKGKLEEAHFKLQELEELVQQLESKLDQFKTENDFLSRDNLSLTEELATYKTKINELKVAHEAAVTDKEDIFVQLHSSKKEMEDHMQLLLSDKEKLQSQITSVMEENIMLHEMYQNMRRELETTVQLKEEPTKEKAREFPLNSLVGNLEAELAEKSLMQARISELEHKLLLAEKTYIQEIESLVSAAAEKEAVLTAKLKDHTSLLQERDSLDKKLKEILKELDLAQRTITEQKELISTKEFEMQASMKQTLDALWSKNQDAALLEKQVEELKQRLQEAKTQNKEKVIEQSKKLTLVNVELHEMRLKLSKTTQMERKIAELENALLLAYATSGQEVKNETSHAELKDAIEVFSRDCGLDTSTLLKRKIKISDRVHQDTRTTDADPNVHDDTEPLVAMALKFILGVALVSMIVGIILGKRY >Ma06_p36240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35750502:35750627:-1 gene:Ma06_g36240 transcript:Ma06_t36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLCPMNMMRVTSNNSVTADNYGNERQDKLCQYLSGRLPP >Ma05_p24390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36633108:36644544:-1 gene:Ma05_g24390 transcript:Ma05_t24390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTLKTPLHWDWETLTLFSKKDAEISKSAHQPDWKFAGGRGIHHANGNGSVNSSDAGAFSGSELGYGSSRSSISVSVGSTSKDISRTPQFNFDSVEQSPENLKKNKDLARVETGIMHRVVASDGPEKPLICLKLGKRTHLKDVSEGNNNKNPSSLSSTNLSTGLIKKPKVSQQHMQNSYCQVEGCNVDLTSAKDYHRKHKVCESHSKSPEVIVSGQERRFCQQCSRFHVLSEFDQKKRSCRRRLSDHNARRRKPHPETIPFTSSMFPASYYGGRQQTNLIFGQDPFGQVKNMVSSKWDDSGGFKLIPTKLSWVNSSEPGSVDAHLHLQYNDISNKISTSGHDMDRLLAFRAMTDKAPNKGLGAPVDASYSDGASDLRRALSLLSAESWVPSNPEPVSDFQFTNARTLASHSATCPTNTTTGIQQQDELPLAKPYPLNLQSNGSQFPEFQLHKTTF >Ma05_p24390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36633108:36644544:-1 gene:Ma05_g24390 transcript:Ma05_t24390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSIKGGKVGPLLETVWTQVFVLRLNFWTFSASVCFGMDWTLKTPLHWDWETLTLFSKKDAEISKSAHQPDWKFAGGRGIHHANGNGSVNSSDAGAFSGSELGYGSSRSSISVSVGSTSKDISRTPQFNFDSVEQSPENLKKNKDLARVETGIMHRVVASDGPEKPLICLKLGKRTHLKDVSEGNNNKNPSSLSSTNLSTGLIKKPKVSQQHMQNSYCQVEGCNVDLTSAKDYHRKHKVCESHSKSPEVIVSGQERRFCQQCSRFHVLSEFDQKKRSCRRRLSDHNARRRKPHPETIPFTSSMFPASYYGGRQQTNLIFGQDPFGQVKNMVSSKWDDSGGFKLIPTKLSWVNSSEPGSVDAHLHLQYNDISNKISTSGHDMDRLLAFRAMTDKAPNKGLGAPVDASYSDGASDLRRALSLLSAESWVPSNPEPVSDFQFTNARTLASHSATCPTNTTTGIQQQDELPLAKPYPLNLQSNGSQFPEFQLHKTTF >Ma05_p24390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36633108:36643517:-1 gene:Ma05_g24390 transcript:Ma05_t24390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTLKTPLHWDWETLTLFSKKDAEISKSAHQPDWKFAGGRGIHHANGNGSVNSSDAGAFSGSELGYGSSRSSISVSVGSTSKDISRTPQFNFDSVEQSPENLKKNKDLARVETGIMHRVVASDGPEKPLICLKLGKRTHLKDVSEGNNNKNPSSLSSTNLSTGLIKKPKVSQQHMQNSYCQVEGCNVDLTSAKDYHRKHKVCESHSKSPEVIVSGQERRFCQQCSRFHVLSEFDQKKRSCRRRLSDHNARRRKPHPETIPFTSSMFPASYYGGRQQTNLIFGQDPFGQVKNMVSSKWDDSGGFKLIPTKLSWVNSSEPGSVDAHLHLQYNDISNKISTSGHDMDRLLAFRAMTDKAPNKGLGAPVDASYSDGASDLRRALSLLSAESWVPSNPEPVSDFQFTNARTLASHSATCPTNTTTGIQQQDELPLAKPYPLNLQSNGSQFPEFQLHKTTF >Ma05_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36633108:36644554:-1 gene:Ma05_g24390 transcript:Ma05_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTLKTPLHWDWETLTLFSKKDAEISKSAHQPDWKFAGGRGIHHANGNGSVNSSDAGAFSGSELGYGSSRSSISVSVGSTSKDISRTPQFNFDSVEQSPENLKKNKDLARVETGIMHRVVASDGPEKPLICLKLGKRTHLKDVSEGNNNKNPSSLSSTNLSTGLIKKPKVSQQHMQNSYCQVEGCNVDLTSAKDYHRKHKVCESHSKSPEVIVSGQERRFCQQCSRFHVLSEFDQKKRSCRRRLSDHNARRRKPHPETIPFTSSMFPASYYGGRQQTNLIFGQDPFGQVKNMVSSKWDDSGGFKLIPTKLSWVNSSEPGSVDAHLHLQYNDISNKISTSGHDMDRLLAFRAMTDKAPNKGLGAPVDASYSDGASDLRRALSLLSAESWVPSNPEPVSDFQFTNARTLASHSATCPTNTTTGIQQQDELPLAKPYPLNLQSNGSQFPEFQLHKTTF >Ma09_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1267323:1275907:-1 gene:Ma09_g01720 transcript:Ma09_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSSRSSASDGARKRGRGKRVDSSASATASACDWTDASSEEEERAVGVFRKALIDRNLLPARHDDYHTMKRFLKARGFNIEKAIRMWSEMLQWRMDFGADTILQDFVFSELDEVLRYYPHGFHGVDKDGRPVYIERLGMVDPNKLLTVTTVERFIKYHVQVIEKILSEKYPACSLAAKRHIDTMTTILDVQGVNWMSVGKLARDVVLRIQKIDSDNYPEILHKLFIVNAGSGFRLLWNTIKGLIDPRTTAKIVVLGDRYQNTLLELIEMSQLPDFLGGSCTCSNEGGCLTSNKGPWSDPQFMDAVHSGITSSRNRDNPIDEKKLTRQVPMYKNGNIQLKSDSKISVSPIQSIPLLDTQSNPVYENCSPIRTNEHLPSNRSATRTKLRNRPGGGSVDRFLVLVTEAVSKIIMKLLAVLYVFCRLPNVVVNVRSSDHQRLDPANANSINHLTRHDVPEDCVLQFLERLQKLEELVTEINRKPTRIPEEKDVMIRESLNRIQSIEHDLQKTKDVVKVTSQKQIELEESLDILRETASQVKSCWFKDCKYIPGGTSEDSF >Ma04_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2629062:2637648:1 gene:Ma04_g03410 transcript:Ma04_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCNSISGGDSSANRIVRWRSTGIVALRDSKLRAIPSEVLVVDSFVRTLDLTNNKINEVTPEISRLVNIQRLVLAGNLIESLPTTIGVLQSLKVLILDGNRVTNLPDELGSLYRLEKLSITGNSLTCLPKNIGDLCNLLLLNVSDNKLKSLPESIGGCVALEELQANGNFIEELPPSVCNLLHLKFLSLNNNTIRQLPQNLLKDCKALQNVSLHDNPISMDQFQQMKGFEEFEARRKKKYDKQIDSNVMMNSAGLDEGLDLR >Ma04_p03410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2629061:2637648:1 gene:Ma04_g03410 transcript:Ma04_t03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCNSISGGDSSANRIVRWRSTGIVALRDSKLRAIPSEVLVVDSFVRTLDLTNNKINEVTPEISRLVNIQRLVLAGNLIESLPTTIGVLQSLKVLILDGNRVTNLPDELGSLYRLEKLSITGNSLTCLPKNIGDLCNLLLLNVSDNKLKSLPESIGGCVALEELQANGNFIEELPPSVCNLLHLKFLSLNNNTIRQMKGFEEFEARRKKKYDKQIDSNVMMNSAGLDEGLDLR >Ma10_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17172677:17174710:-1 gene:Ma10_g05780 transcript:Ma10_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSMEVLPPATMPCYPSSRSFPGEWWSGGGVGTGRWTPEENKRFEYALATFDKDTPDRWLKVAASIPGKTPSDVESHYRDLLDDVSEIEAGRIPCPGYDPPFTVEWESNYGLEALKHPYCVAGKRSGPRAPDQERKKGVPWTEDEHKRFLLGLQKHGKGDWRNISRNFVITRNPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTANLPENEPPLPSRSSSSASAPPPSVSFSSILDSNRPNEATALPSSLAQGSQFVQPRHGVTAYGPKLEAHDPMFRDRNLLFQMQASHHHPHG >Ma06_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2458159:2459971:-1 gene:Ma06_g03340 transcript:Ma06_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] MAGDATTDPLLPRAGFRGWCCPRFSVTLPSPAASFRLKTTVWAELGGSVGDLGTYIPIVLALSLVNHLDLGTTLIFTGLYNAITGLLFGVPMPVQPMKSIAAVAISESSAHLSVPQIMAAGLSTAAVLFLLGATGLMSALYRFIPLPVVRGVQLSQGLSFAFSAIKYIRYDQDFAAAKSVGPRPWLGLDGLVVAISALLFIVLVTGSGDDPPLQDPSTPRRRQRGSCVPYSSRIPTALLVFVLGVVLCFARDPSIFGDLKLGPSRIQLVRITWNDWKVGFVRAAIPQIPLSVLNSVIAVCKLSSDLFPSRGHEVSATAVSVSVGLMNMVGCWFGAMPVCHGAGGLAGQYRFGGRSGASVLFLGIGKVVLGLLFGSSFVRLLGAFPIGILGVLLLFSGIELAMASRDMASKEESFVMLVCAAVSLTGSSAALGFGCGILLFLLLRLREVNCRALLPSTNNGDGGGDRC >Ma04_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19247574:19253878:-1 gene:Ma04_g17940 transcript:Ma04_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRVHLPSEIPVVGCEITPYVLLRRPDGSVSTDDVPESAPFNGYCIRYKWYRDQSDQKVSVCSVHPTEQATLQCIVCVKEKVPIAKSYHCSPKCFTDAWQHHRSLHEQAKRTIKENGIEEEEAFGRFNNSGSGSHNAGLSGATINVGSSVLNNGPVPIYSTTVAERNGEAWSEVGRSRTYTPTSDDINHVLKFECVVVYAETRIPVGNVHTILTSRVIPAPSPTPRRMIQVNGADVLGQLDVDSRSASSRTFSVLSYNILSDAYATSETYSYCPSWALSWSYRRQNLLREIIGYHADILCLQEVQNEHFEEFFAPELDKHGYQALFKRRTSEVYSGNPNSVDGCATFFRRDRFSQVKKYEVEFNKAAQSLTDQGIAAGQQKAALTRLIKDNIALIVVLESKFGNHGADNPGKRRLLCVANTHVNVHHEYMDVKLWQVHTLLKGLEKIAISADIPMLVCGDFNTVPGSAPHSLLARGKVDPLHPDLAVDPFGILRLTNKLSHQLPLVSAYSSFARMGGVAPGVEQQRRRMDPATNEPLFTNCTRDFVGTVDYIFYTADSLMVESLLELLDEESLRKDTAIPSPQWSSDHIALLAQFRCKHRTRR >Ma09_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2052931:2060790:-1 gene:Ma09_g02990 transcript:Ma09_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S cluster assembly factor HCF101, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24430) UniProtKB/Swiss-Prot;Acc:Q6STH5] MQLLQTPPSGLAISTQSPLPRSGANSLKAHRLSSTLRCSSSVRVHRRFVRPPSLPRASSVEASASVESVDAAKKEVLVALSQIIDPDFGTDIVSCGFVKDLFVDEALKEVSFRLELTTPACPIKDMFEQRANEVVGALPWVKKVNVTMSAQPAKPVFAGELPKGLQRISNIVAVSSCKGGVGKSTVAVNLAYTLVGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPETRTILPTEFMGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTAEWGELDYLVIDMPPGTGDIQLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCIAVVENMCYFDADSKRYYPFGKGSGSQVVQQFGIPYLFDLPIRPTLSASGDSGTPEVVADPQGEVAKTFQDLGVCVVQQCAKIRQQVSTAVSYDKSIRAIKVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDVAEDIEPEDIRPMGNYAVSITWPDGFNQIAPYDQLETMERLVDVPEPTRAAGIGAV >Ma07_p28630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34620908:34621258:-1 gene:Ma07_g28630 transcript:Ma07_t28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSPSHLPSRREHAGARSAPPLPQHSVRKPARKPRRRAGPPPPRVYLADPRSFRQLVQSLTGVPTSQPLDLPPASAQPPTAPHLATMAASRSGVAGHGLTAELLPPSSYPAWT >Ma10_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15067008:15068705:-1 gene:Ma10_g04400 transcript:Ma10_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDLQLPPGFRFHPTDEELVAHYLCRKCADLPVPVPIIAELDLYKYDPWQLPGLASYGEKEWYFFSPRDRKYPNGSRPNRSAGSGYWKATGADKPVGTPRPLAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKNNSLRLDDWVLCRIYNKKGNSGSEKPGNPVSHPSGSTVAGDSPEDRKPALGQGAPPLAYSFAPSDSIPRLHADSSCSEHVLSPEFVCEREVQSQPRWRATDRDKAVGLGNPAAAYNANANSAFTQFEPGLLSSGLGDPFQDILMYLGKPF >Ma08_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5991170:5992993:1 gene:Ma08_g08520 transcript:Ma08_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKASKLERYNSYLRRRVSSAKLIAASSYILFRATLLAALLLIFLFLLHSPPLLFSGSHYYSSSHSNHRSLLSSSSAAYGGAAWEQDVRRSATPRRADGLSVLVTGAAGFVGTHCSLALKRRGDGVVGVDNFNAYYDPALKRSRQVLLTRHGVLVLDADINNVALLAKLFDVVPFSHVLHLAAQAGVRYATRNPQSYVASNVAGLVSLFETAAKHADPQPSIVWASSSSVYGLNTEAPFSELHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTKSILSGKPITLFRMPDGTAVQRDFTFIEDVVKGCLGALDTAKKSTGVAGGGKKRGPAQLRIYNLGNTSPVPVAKMVSILEDLLRKKAKKNVVTLPQNGDVPFTHANVSLAERDFGYRPTTDLATGLKKFVKWYVEYYGVEITKRINRKRKIAGEEEEGAAASA >Ma07_p15050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11307957:11312406:1 gene:Ma07_g15050 transcript:Ma07_t15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MGRIAVAAVVSLWVIPISVLVDRVVPDPYMDEIFHIPQAQRYCRRDFGTWDPMITTPPGLYYISLVYIASLFPSMWFAKFAPTMSSICSAATLRSTNAFVAVICSVLIYDLLIQLRPTLGEKKATIYAILLASYPLHWFFTFLYYTDVASLTAVLAMYLASLKRKYWLSAMLGALATMFRQTNIIWMFFIAANSAVSYAENLYGQDSVLQNKHKTMLEDNDLLSDNRSTPISSGLRKRRMHNSSSNSQQSVFERSKFSLHHPPAGFFDEILDVISKLWNMKWKVAIAFAPFVMVLLAFVTFVIWNGSIVLGAKEAHPVSPHFAQMLYFGLCCAAALGPVHFTQATCLYQSFRKNKIFYSFQILLALVIGFIAVFFFSIAHPYLLADNRHYPFYLWRKVIQVHWLMKYLLIPLYVYSWSFIISILGEHQRRIWVLSYVIATALVLVPAPLIEFRYYTIPFYFLILHSKIGETSNWLLIGSLYAVVNIFTMYLFLFRPFHWDHEPGTQRFIW >Ma07_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11307957:11312406:1 gene:Ma07_g15050 transcript:Ma07_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MGRIAVAAVVSLWVIPISVLVDRVVPDPYMDEIFHIPQAQRYCRRDFGTWDPMITTPPGLYYISLVYIASLFPSMWFAKFAPTMSSICSAATLRSTNAFVAVICSVLIYDLLIQLRPTLGEKKATIYAILLASYPLHWFFTFLYYTDVASLTAVLAMYLASLKRKYWLSAMLGALATMFRQTNIIWMFFIAANSAVSYAENLYGQDSVLQNKHKTMLEDNDLLSDNRSTPISSGLRKRRMHNSSSNSQQSVFERSKFSLHHPPGFFDEILDVISKLWNMKWKVAIAFAPFVMVLLAFVTFVIWNGSIVLGAKEAHPVSPHFAQMLYFGLCCAAALGPVHFTQATCLYQSFRKNKIFYSFQILLALVIGFIAVFFFSIAHPYLLADNRHYPFYLWRKVIQVHWLMKYLLIPLYVYSWSFIISILGEHQRRIWVLSYVIATALVLVPAPLIEFRYYTIPFYFLILHSKIGETSNWLLIGSLYAVVNIFTMYLFLFRPFHWDHEPGTQRFIW >Ma04_p27770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28933811:28936875:-1 gene:Ma04_g27770 transcript:Ma04_t27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGHPWRTEIPRPPELPRDPMEFLSRSWSASAFEVSRALTPLPPPAAAIPEDAAGELEELGAAAAAVAGNTFSFASSATSQLVMERIMSQSEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPCHMDDVKFWGAADARKPQPCRGTSKTVGRWLKDRRERKKEESRAQNAQLHAAVSVAGVAAAVAAIAAATASASGSGKDDRAARTDVAVASAATLVAAQCVEVAEIMGAEREHLASVISSAVSVRTPGDVVALTAAAATALRGAATLKARALKEVWNIAAVIPVEKGGAANNNHHRRRMQEKELDSNSSSVSGELVAEENFLGLCCQELLARGTELLKRTRKGALHWKIVSVYVNKMDQVMLKTKSKHVAGTITKKKKSVIVEVCKDIQAWPGRHLLEGGERRRYFGLRTAEQRVIEFECRSRREHDMWTQGVSRLLNIVNEKKKQQQQQHHHPHRA >Ma09_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6992783:6996623:-1 gene:Ma09_g10270 transcript:Ma09_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQAHALIPRVKLGAQGLEVSKLGFGCMGLTGVYNAPISDEAGISIIKHAFNSGITFFDTSDVYGPHTNEILLGKALKQLPREKIQVATKFGIVDINATGLVISGKPEYARACCEESLRRLGLDYVDLYYLHRVDTTVPIEETMGELRKLVEEGKVKYIGLSEANPDTIRRAHVVHPISALQMEWSLWTREIEKEIVPLCRELGIGIVPYSPLGRGFFGGRGVTEKLPSNASLIHIPRFVGENLEKNKVLYVRLENLAKKHQCTTAQLALAWVVHQGDDVVPIPGTTKLRNLDDNIGSLKVKLTEDDLKEISDAVREEEVAGYRSHSVFEPFTWKYAKTPPIHSNLAA >Ma09_p10270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6992783:6996596:-1 gene:Ma09_g10270 transcript:Ma09_t10270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGVYNAPISDEAGISIIKHAFNSGITFFDTSDVYGPHTNEILLGKALKQLPREKIQVATKFGIVDINATGLVISGKPEYARACCEESLRRLGLDYVDLYYLHRVDTTVPIEETMGELRKLVEEGKVKYIGLSEANPDTIRRAHVVHPISALQMEWSLWTREIEKEIVPLCRELGIGIVPYSPLGRGFFGGRGVTEKLPSNASLIHIPRFVGENLEKNKVLYVRLENLAKKHQCTTAQLALAWVVHQGDDVVPIPGTTKLRNLDDNIGSLKVKLTEDDLKEISDAVREEEVAGYRSHSVFEPFTWKYAKTPPIHSNLAA >Ma04_p39490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36625762:36627708:-1 gene:Ma04_g39490 transcript:Ma04_t39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRKSRAVKDALPLRRMLPFALLVLLAVLLVTFLLRVGTVTSSSSFVNMYGGSAVWETDRSLVIHLKSELKLPKQSILSMSLDQRNKLPPWNMDRFPNLAKDHTKIVLYVHNRPQYLRLVVSSLAAVEGISETMLIVSHDGYFAEMDRIVQDIRFCQAKQIFAPYSPHLFPDGFPGVSPGDCHDKDDPIVTKCNGTADQYGNHRSPRTVSLKHHWWWMMNTVWDGMEETKGFSGHILFIEEDHYIYPNAYRNLQLLIGLKPMKCPECYAANLSPMDVNSIGEGWDMLVAEKMGNVGYSFNRTVWRKIHTKAKEFCSFDEYNWDITMWATVYPSFGTPVYTLRGPRRSAAHFGKCGLHQGRGKSSSCIDNGEARFQLDEIDKILNIKPDWKVHVVKKQSGYQAGFKGWGGWGDERDRELCLSFAYMYHDVQISSG >Ma07_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28703673:28704147:1 gene:Ma07_g20750 transcript:Ma07_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLTPPLATQVRLFLENPLCRRMKRLLARNYISIYQECATRNDALLELAKLDFNLLQCLHHDEIKSISIWWNDLFLTKNLSFARDRVVECYY >Ma10_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28998679:29000242:1 gene:Ma10_g17720 transcript:Ma10_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERSEGRDFMSVDSFSQLPFIRPVPKPSSSSSSSTSGIRLFGIEVPHHPTNEEDNTEKDHTTTVNGGGESARKFECHYCYRQFPTSQALGGHQNAHKRERQHAKRVHIQSAALAAIHHGPAAIDGHHLYGFFNYHQPFGPVLPAAAHFAVDSPSTPHYPACHATSAASGSFGGCFYGGLGSAAQPINGSPLPGLWKAPGVVHGSASVGLVHGDSPMPSPTTRRDQEPRIEGIGGIISDGNDRGASSASSKSQFAYQLMPGVKENVSLDLHL >Ma03_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16477562:16478636:-1 gene:Ma03_g15590 transcript:Ma03_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSSSTITTTSSSSFRDATLFPPASCTTATHAVDGAPPRGFIDVNASGFLPSSASSSSSLRPRSLPRSSSSHLLPVYHPTTHPFKPMPFSFPLQHQPRHQNPPPELASPSSPPSSSSCDFPHFKACPVRRVFSTGDLQRLTGMVVSGENHNQEGGEIAAKAARYKPEERKERIVRYRSRRKHRNFHKKITYACRKTLADSRPRVRGRFARNGETDGRVEVETDAAENSCEQADGGREVGDGSDRSRAVPTAGEEYDDEDIWAAISDVLSMNLLS >Ma06_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5768112:5772503:-1 gene:Ma06_g08130 transcript:Ma06_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSEWGLSPLSAVDPEIHDLIEHEKRRQSHGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEHIDAIENLCRSRALAAYRLDPAKWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVSYATGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRSIADKCGALLLCDMAHISGLVAAQEAANPFEFCDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEDAVYDFEDKINFSVFPALQGGPHNHQIAALAVALKQAMSPGFKAYAKQVKANAVALGNYLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIAEFLHQAVTICLSIQKEHGKLLKDFNKGLVNNKDIEELKAAVEKFAASFDMPGFQMSAMKYKD >Ma09_p15300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10610662:10616062:-1 gene:Ma09_g15300 transcript:Ma09_t15300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGILQKVRGSKLSLVLTALMCTTLVIWAWEKTPALSVIFPPLERFDILSPVPAKTSATSSDDTHRLASADKNLSAADEMESSSSNETEPVLSLPASESSATSTPNNSTSDKDLKESPMQEKGECNYAKGKWVADTRRPLYSGSACKQWLSGMWACRLMQRTDFSYENFRWQPQGCVMPEFSRSDFLRRMQNKTIALIGDSLGRQQFQSLMCMVTGGETSPEVEDVGKDYGLVKAPGALRPDGWAYRFPSTNTTILYYWSASLCELEPLNKSDPATHYALHLDRPVTFLKQHLCRFDVLVLNTGHHWNREKFRGNRWEMYAGGMPITDGELADMRNLKNLTLHSIAKWVDSQLLQHPQLKAFVRTMSPRHFVNGDWNTGGSCDNTVPLAGGSEVLQDRSSDPVAEDAIKGTSVTLLDITSLSQLRDEGHISKYTLKASTGMQDCLHWCLPGIPDTWNEILYAQI >Ma09_p15300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10610662:10616062:-1 gene:Ma09_g15300 transcript:Ma09_t15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGILQKVRGSKLSLVLTALMCTTLVIWAWEKTPALSVIFPPLERFDILSPVVPAKTSATSSDDTHRLASADKNLSAADEMESSSSNETEPVLSLPASESSATSTPNNSTSDKDLKESPMQEKGECNYAKGKWVADTRRPLYSGSACKQWLSGMWACRLMQRTDFSYENFRWQPQGCVMPEFSRSDFLRRMQNKTIALIGDSLGRQQFQSLMCMVTGGETSPEVEDVGKDYGLVKAPGALRPDGWAYRFPSTNTTILYYWSASLCELEPLNKSDPATHYALHLDRPVTFLKQHLCRFDVLVLNTGHHWNREKFRGNRWEMYAGGMPITDGELADMRNLKNLTLHSIAKWVDSQLLQHPQLKAFVRTMSPRHFVNGDWNTGGSCDNTVPLAGGSEVLQDRSSDPVAEDAIKGTSVTLLDITSLSQLRDEGHISKYTLKASTGMQDCLHWCLPGIPDTWNEILYAQI >Ma09_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10610662:10616062:-1 gene:Ma09_g15300 transcript:Ma09_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGILQKVRGSKLSLVLTALMCTTLVIWAWEKTPALSVIFPPLERFDILSPVVPAKTSATSSDDTHRLASADKNLSAADEMESSSSNETEPVLSLPASESSATSTPNNSTSDKDLKESPMQEKECNYAKGKWVADTRRPLYSGSACKQWLSGMWACRLMQRTDFSYENFRWQPQGCVMPEFSRSDFLRRMQNKTIALIGDSLGRQQFQSLMCMVTGGETSPEVEDVGKDYGLVKAPGALRPDGWAYRFPSTNTTILYYWSASLCELEPLNKSDPATHYALHLDRPVTFLKQHLCRFDVLVLNTGHHWNREKFRGNRWEMYAGGMPITDGELADMRNLKNLTLHSIAKWVDSQLLQHPQLKAFVRTMSPRHFVNGDWNTGGSCDNTVPLAGGSEVLQDRSSDPVAEDAIKGTSVTLLDITSLSQLRDEGHISKYTLKASTGMQDCLHWCLPGIPDTWNEILYAQI >Ma09_p15300.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10610662:10616090:-1 gene:Ma09_g15300 transcript:Ma09_t15300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGILQKVRGSKLSLVLTALMCTTLVIWAWEKTPALSVIFPPLERFDILSPVVPAKTSATSSDDTHRLASADKNLSAADEMESSSSNETEPVLSLPASESSATSTPNNSTSDKDLKESPMQEKGECNYAKGKWVADTRRPLYSGSACKQWLSGMWACRLMQRTDFSYENFRWQPQGCVMPEFSRSDFLRRMQNKTIALIGDSLGRQQFQSLMCMVTGGETSPEVEDVGKDYGLVKAPGALRPDGWAYRFPSTNTTILYYWSASLCELEPLNKSDPATHYALHLDRPVTFLKQHLCRFDVLVLNTGHHWNREKFRGNRWEMYAGGMPITDGELADMRNLKNLTLHSIAKWVDSQLLQHPQLKAFVRTMSPRHFVNGDWNTGGSCDNTVPLAGGSEVLQDRSSDPVAEDAIKGTSVTLLDITSLSQLRDEGHISKYTLKASTGMQDCLHWCLPGIPDTWNEILYAQI >Ma00_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:20203084:20215653:-1 gene:Ma00_g02740 transcript:Ma00_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNEISMANSRQNVRKLVKDGFIIRKPTKIHSRSRARRALEAKRKGRHSGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGTGDRVPAAQPIASAFDSVEETPVLSEPSSLISASSADDPAISCDPVDDTSSISFMSFMQKSHEKGRGEAGEMAALTSLCPPATPKPSSAVRSTPPVVFAGRRKWAESRRRTGGVVASRLGADASDPLLQAALRAASLRFQESLRPDPLFVDPYSGCLLSPTVSHEDLEDKCLPSLRHYRWTTKYVDDKLLALLGTMDELSQIVLLTDGMDTRPYRLSWPFSCVIFDISPQSVFNVASQKLKGTGAKIGRNCNLVHVPLESIDLQAALYKKGFSGNKPSLWAIQGLPISTLTSLKGILSLVSSSAMKGSILMGELPDFLAGAEFETKEKRHQWTDKLFMSHGFRVNVVGYDEIAKNVDLDEPFDDTRNIVFSAEQLRFSDAEMESWRAHLERIEQEGDEEGFEEL >Ma07_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3983363:3984208:1 gene:Ma07_g05490 transcript:Ma07_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQLPPKKAGTKPKERLFRGVRMRKWGKWVAEIREPNKRSRIWLGSYCTPVAAAKAYDTALFYLRGRAARLNFPDDVSVDDAGSGADIGMPAALIRKKAVEVGAKVDALQLRSASVFSSRSQEYRRRKEEKRYKNPDLNQEPTPESSDDEWSSGLQ >Ma10_p29450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36037130:36042063:-1 gene:Ma10_g29450 transcript:Ma10_t29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFTAVKRTLICNCCQGEQRENLGKSKHSDPLPTKEVETAAHDDAQLLPLAPIEGVKLTETGDEESKHANSGALASAVAAEAAAVAAESAAVAAEAAAQVIHLTTSMTGLLGESKEEIAAIKIQAAFRGCLARRTLRDLRGFVRLKRWVDGNSVKSQTTNTLHSMETMARVQTQIRSRRIRMTEENQALQRHLQRKHDIQLAKIKINEEWDDSLRSKEQIEANILNKQEAAIRRERALAYAFSHQWKSSSRSSTMVPTDPSNPQWGWNWLQRWMAARPWENHRTTETKDDATIKIANCSTVGQTMKRHDTSMERTSSAAHKSSRPPSHQSPATPQSKPSSVMNRKKSVSPPRLSRCSADDDSRSMLSLQSEQPRRRSSSARDNESLVSSVSLPSYMASTESVRARSRFLSPQSERHETLKERLICSVKRLSFPMTDVNSISPPARIPKVEISPLKDVAIEKEQEANN >Ma01_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1872369:1874321:-1 gene:Ma01_g02860 transcript:Ma01_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTHIDISAWKDKATGRNFNSLRELLEKNYMETSGHETVGSECKSLKEVVESGGKNIEISVITKEHDLRHLDEAEIGSIVAEIQAEKAAVEAAKEAPSEDT >Ma08_p30000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41431832:41433053:1 gene:Ma08_g30000 transcript:Ma08_t30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRTRKRLLSNHLLLPPTMRDFASCFSENAVKISDASCSGSSSGGLALDGTSVVSAVTCVYGVRLSTLKELLIRVAWSKSHVGPALSIGIDDSPSLHCWKPDAMSCQLLRKKKGARSYVSGKSAVVVHWDLSSARYGSGPEPIDNFYVVIMVNAEFGLLLGDMSKEHIRRFGGTIPTAEFSMISRKEQVLGHSRHSTTSRFRDAGRDHEITVRCKGDGCDAKDSELSVCIDRKRVVQVRKLRWNFRGNQTVFVEGSPVDVMWDVHDWWFGNPSGCAMFMFRTRSSPESRLWSSSSSEEEMSGFSLVIQAFRSP >Ma08_p29110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40839491:40846899:-1 gene:Ma08_g29110 transcript:Ma08_t29110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MLPSAIAAGNSLFYCIDPRFPTRLHLIHTNPVLLGLHNPSPFRPKSTTSRDASPIFRNHSSLHPVALTDSNDAAELVPSSAAAVAAAIQRASPSSPVVFTQRVEKQGKEGLVLPSPDFQRLCLEQLDLFRMVVDPDAVLSVYVRPAGSYIMDQLELRRVVFYPETDVSESADCVILVGNFSIPSGLRAAEAALSKQKVEVISGTGALVLPMVKHPFVVGFLVAELPKKDLDSCENVDSGELCVPFCSPKDDSLGGAPYSSKKPWEIEAFSEDLMKAYGQFTTEQRSRAIVISRSLATAYVMDQKAMLLQQSSWQNSVRMNHLIEQIRAPLSSIRALTKMLSVHVKRSEISYDIIEDLLIQGEHMKDALQQLQDSAYLTKVNIVRYNEETIKKMHDPKFSHQELSRSLPSENDSSENKTYSMQKMEPVLPLSSGKKDLAMPMPPLWLVPLKQNITRQTMCCF >Ma08_p29110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40838039:40846899:-1 gene:Ma08_g29110 transcript:Ma08_t29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MLPSAIAAGNSLFYCIDPRFPTRLHLIHTNPVLLGLHNPSPFRPKSTTSRDASPIFRNHSSLHPVALTDSNDAAELVPSSAAAVAAAIQRASPSSPVVFTQRVEKQGKEGLVLPSPDFQRLCLEQLDLFRMVVDPDAVLSVYVRPAGSYIMDQLELRRVVFYPETDVSESADCVILVGNFSIPSGLRAAEAALSKQKVEVISGTGALVLPMVKHPFVVGFLVAELPKKDLDSCENVDSGELCVPFCSPKDDSLGGAPYSSKKPWEIEAFSEDLMKAYGQFTTEQRSRAIVISRSLATAYVMDQKAMLLQQSSWQNSVRMNHLIEQIRAPLSSIRALTKMLSVHVKRSEISYDIIEDLLIQGEHMKDALQQLQDSAYLTKVNIVRYNEETIKKMHDPKFSHQELSRSLPSENDSSENKTYSMQKMEPVLPLSSGKKDLAMPMPPLWLVPLKQNITRPCVVSDILKDLVGAALPLADNQQRSLELNELSQFLQVGVEESSLRQALSNLIEGALLRTCIGGKVQIYATGAPAGGALVIIDDDGPDMHYMTQMRSLTPFGVDLFADGMVEDNMTWNFVAGLTIAREILESYGCVIRVISPRALDAAFGTGGTRIELWFPALPSDSSDAAGEV >Ma03_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1066040:1067288:-1 gene:Ma03_g01520 transcript:Ma03_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKGNGVDAAALSRKRPRAPIRRTTSMKEFSAEDLAAAVEGGEGIRRRHNDFLLRERRVEAAALDAPPRSIHRRSFSDVWATTKFLMTCGLCNRRLGPGFDTFIYRGEMSFCSFECRQHKMNQDELRDKGMLESKKSDATHSTTDSQSTGDGQS >Ma11_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22452112:22454278:-1 gene:Ma11_g17050 transcript:Ma11_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLIAGWFVGTFIAKVADLGILYVKNQYVYRDVKGKLKKLEKNLRKIQAALFEVDKRQITNPGLEEWLWDLKDSVYAAEDMIDGFEYNLLEDIAKGKNQLIFEEQSKDRAKIKNKFKNTLKLLVFCNEDLNQLDDAIKQFSDLVDELGKLLKVVELNVATNKKDDAEIPNWRRTTSPIKPRPPRGRDKEVKKLKMLLETGNNLNPDSNSFSLVSIVGPGGIGKTELARLVYNDESLNFDIKAWVCVCNNFDVRRLSIEIIESAAIHRYIGLHSINNLDEILKLTDLHSISNLDEIQKILSECLKDKRVLVVLDDVWEESVANWENLCSALRSGHKGCRIIVTTQLESVAKMMGTRDIVNLDGLDGKVNWELLKECSLSDQKHAEHRRLERIGWEISQKLGGSPLAAVTVGRALKYDLKEEHWRRILHKKIYEIEEKEGDIVSVLRLSYEQLPAYLKQCYISCSLFPKNHSFERDDLLQMWMALGFVQANDKHDRMEDIGQDLINELSARSFFVNAKRKEDKFVIHAVLHELADCISDGEYFRLDDEYQGNQPIRIPDKARHIYVTADNLVMFSKILCKKDNVRSLVVAGDLSHGIPKSDFVDSLKEVLDSFKCLRLLILSVLGSGLPKAIGGLKHLRYLEIPGDVITEWPESFCKLYHLQWVNLKMCSKNLLLPEKMNRLIRLR >Ma07_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3493815:3496750:1 gene:Ma07_g04770 transcript:Ma07_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKEEVRLRTSKANATLQVTGVAAAIAGVAAAGSLKRSMHEESNGSGGNMDDSALSRVVASAAALVATVCAEAAESVGASKAHVAAVISSGIATQSSADIAALTSMAATSLREDSSVNLTAENKKHVSEEQKMLGRGALLPVQIQTGNFVHRIVSIFYKHDKLVLKLGKKHLRGAFTTYKEYVIVDAVDGRKGGGFDTSAHASHIIALCTTEGIIKLSFKDSKQCRVWRVLESRVFEADVPIDFTGD >Ma03_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6933805:6934152:1 gene:Ma03_g09380 transcript:Ma03_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPEVYVKVAELISQPNFMKESVKQIFSRVCKKTSNKGFTRYMILIQLEATPIHQFSVSCNCAISISLVVCTLAILMLPFVHNILKMKALREINNLKGQNIVLYIISCHLKFHL >Ma08_p29060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40808063:40810764:-1 gene:Ma08_g29060 transcript:Ma08_t29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSLGLFTSICLLQSVVAVTCGALMMFYLDEIAAFGHGWETAGKLRGSTPHDQLLIQTSDSFAGLLLLAVGFLLFMVAFVRDHGFQIFFAKGCILLHAAMALWRVYFERRLEDLAHDWPRQLVGDLVLSLSWVFFLVYSWREKYD >Ma04_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20445915:20446277:1 gene:Ma04_g18480 transcript:Ma04_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLWMLLSLGVSVLLLLLLLSRATKRSSKSRGQRLPPGPTPLPVVGNLFELGDKPHRSLARLAKVYSPIMTLRLGQVNTVFVSSPEMARQILQENDAVLSSRWIPESVRVLAFNEASMV >Ma02_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21677570:21687467:1 gene:Ma02_g13170 transcript:Ma02_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTAWAKPGAWALDAEEHEAVMAKNEDSSSEAPTEQEQDFPSLTAAAASRIRKKKKKAQTLSLAEFTTGKPVSHGAAGRLSSSSSFSSKGLTSDELLNLPTGPRERSAEELERSSSRGFGYAYGARGRASGEDPNPARWGSSRVSDEPRRGGFGGSGDGSSRDLEPSRADENDDWGAGKKPLVPERRERGGGGGFFDSQSRADESDSWISTKSTAAPPIGRRMGGGGFDVPRARMGGFEMFNKEGSNGGGADSEQWGKKKDLPDSDTWKRDEERSSGGGRRRLVLQARSLPLSNGNDGGQAQDQEKESTEKKSKGSNPFGAARPREEVLAEKGQDWKKIDEKLEAMKIRDIPPERSSFGKNGIGVANGTRRSPESRTDRAWRKPEAAESSPQREDKVENTVPEN >Ma01_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5302255:5303158:1 gene:Ma01_g07340 transcript:Ma01_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAVAAVQPVAVKGLAGSSFNGKKLALKPSRRAAPRANLRSTAVVAKYGEKSVYFDLEDLGNTTGQWDLYGSDGPSPYNPLQSKFFETFAAPFTKRGLLLKFLLLGGGSTIAYLGATSSGDVLPIKKGPQLPPTPGPRGKI >Ma07_p21520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29600948:29607403:-1 gene:Ma07_g21520 transcript:Ma07_t21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGQRSRTIKKQSWRSVLMLAYQSLGVVYGDLSTSPLYVYKSTFADDIEHTESNDEIYGVLSFVFWTLTLIPLLKYVFVVLRADDNGEGGTFALYSLLCRHGSFGFLPSGQLADVELSAYKKKDSTASGGGAAASIVKRLLEKHHALQLMLLILALIGTCMVIADVFSAVSGLELAMSKEHHKYVEVPIACLILVCLFALQHYGTHRLGFLFAPIVMLWLLCISVIGVYNIFYWNPRVYRAFSPFYMYNFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSPLSIKIAFTSVVYPSLLLAYMGQAAYLSQHHIIQTDYRIGFYVSVPERIRWPVMAIAILAAVVGSQAVITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWILMTLCLAVTVGFRDTKLLGNASGLAVMSVMLVTTCLMFLVIVLCWHQSIFLAACFILFFGSIEALYFSASLIKFLEGAWFPLALCIVFMIIMYIWHYGTIKKYEFDVENKVSINWLLSLGPTLGFVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVPMPYVDPQERFLVGRVGPKEYRLYRVIVRYGYQDIQKDDLEFERDLVCSIAEFIRSEGSKPSGLAEETDKDDGQMTVVGTGLRIREEKVEAEDAPGPSASREILSPVIVPKKQVRFLLPRSPKIKEEAKEELQELLEAREAGMAFILGHEYMRAKSGSSLIKRLAIDLGYEFLRRNCRGHMYAFSIPHASTLEVAMVYHV >Ma07_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29600948:29607403:-1 gene:Ma07_g21520 transcript:Ma07_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERGQRSRTIKKQSWRSVLMLAYQSLGVVYGDLSTSPLYVYKSTFADDIEHTESNDEIYGVLSFVFWTLTLIPLLKYVFVVLRADDNGEGGTFALYSLLCRHGSFGFLPSGQLADVELSAYKKKDSTASGGGAAASIVKRLLEKHHALQLMLLILALIGTCMVIADGILTPAISVFSAVSGLELAMSKEHHKYVEVPIACLILVCLFALQHYGTHRLGFLFAPIVMLWLLCISVIGVYNIFYWNPRVYRAFSPFYMYNFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSPLSIKIAFTSVVYPSLLLAYMGQAAYLSQHHIIQTDYRIGFYVSVPERIRWPVMAIAILAAVVGSQAVITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWILMTLCLAVTVGFRDTKLLGNASGLAVMSVMLVTTCLMFLVIVLCWHQSIFLAACFILFFGSIEALYFSASLIKFLEGAWFPLALCIVFMIIMYIWHYGTIKKYEFDVENKVSINWLLSLGPTLGFVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVPMPYVDPQERFLVGRVGPKEYRLYRVIVRYGYQDIQKDDLEFERDLVCSIAEFIRSEGSKPSGLAEETDKDDGQMTVVGTGLRIREEKVEAEDAPGPSASREILSPVIVPKKQVRFLLPRSPKIKEEAKEELQELLEAREAGMAFILGHEYMRAKSGSSLIKRLAIDLGYEFLRRNCRGHMYAFSIPHASTLEVAMVYHV >Ma08_p06090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4116802:4120637:1 gene:Ma08_g06090 transcript:Ma08_t06090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQTALPPELADNVIRLYRECLRRAKYIGHQQHNTKLVVGMVRQQFRKHMHETDPEKIQKLKDDAARGLINHMLYEAEKMTGTCWKEE >Ma08_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4116802:4120041:1 gene:Ma08_g06090 transcript:Ma08_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQTALPPELADNVIRLYRECLRRAKYIGHQQHNTKLVVGMVRQQFRKHMHETDPEKIQKLKDDAARGLINHMLYEAEKMTGHKFAGSK >Ma08_p06090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4116807:4120041:1 gene:Ma08_g06090 transcript:Ma08_t06090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQTALPPELADNVIRLYRECLRRAKYIGHQQHNTKLVVGMVRQQFRKHMHETDPEKIQKLKDDAARGLINHMLYEAEKMTGHKFAGSK >Ma08_p06090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4116802:4120041:1 gene:Ma08_g06090 transcript:Ma08_t06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQTALPPELADNVIRLYRECLRRAKYIGHQQHNTKLVVGMVRQQFRKHMHETDPEKIQKLKDDAARGLINHMLYEAEKMTGHKFAGSK >Ma05_p23000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34822084:34825586:-1 gene:Ma05_g23000 transcript:Ma05_t23000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVSDDNSELDFHPSSDRLTRSNTDMGCSTPGCNSIDYSRTISTVSTYSEVNDENSSPYDPPPVGWPISKLVGRASPDLSKHGRKKTDDMLDWKPGSQDISLSELEMMKEKFSKLLLGEDMSGGGKGVCSAVALSNAITNLYATVFGHFYKLEPVSPEKKNMWRREMDCLLSVCDYIVEFFPSSQTLPDGTTLDIMATRPRSDIYLNLPALEKLDAMLLDILNSFKKTEFWYVEEGKQSPSASSRSFRRVVQRKDEKWWLPVPCVPDSGLSEEAQRALQKRRDCANQIHKAAMAINNSILAEMEVPESYMASLPKSGRLGVGDSIYRYMSTIEPFSPDHLLDGLNISSEHDALEIADRVEASMHVWWRKASMHTSKSSWDMVKDLVADENKNQILASKAETLLLCLKQRYPGLSQTTLDTSKIQYNKDVGQAILESYSRVLEGLAFNIVAWIDDVLFVDASVRKRLRATQQRSQKESLL >Ma05_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34822084:34826030:-1 gene:Ma05_g23000 transcript:Ma05_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVSDDNSELDFHPSSDRLTRSNTDMGCSTPGCNSIDYSRTISTVSTYSEVNDENSSPYDPPPVGWPISKLVGRASPDLSKHGRKKTDDMLDWKPGSQDISLSELEMMKEKFSKLLLGEDMSGGGKGVCSAVALSNAITNLYATVFGHFYKLEPVSPEKKNMWRREMDCLLSVCDYIVEFFPSSQTLPDGTTLDIMATRPRSDIYLNLPALEKLDAMLLDILNSFKKTEFWYVEEGKQSPSASSRSFRRVVQRKDEKWWLPVPCVPDSGLSEEAQRALQKRRDCANQIHKAAMAINNSILAEMEVPESYMASLPKSGRLGVGDSIYRYMSTIEPFSPDHLLDGLNISSEHDALEIADRVEASMHVWWRKASMHTSKSSWDMVKDLVADENKNQILASKAETLLLCLKQRYPGLSQTTLDTSKIQYNKDVGQAILESYSRVLEGLAFNIVAWIDDVLFVDASVRKRLRATQQRSQKESLL >Ma07_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7215035:7217814:-1 gene:Ma07_g09620 transcript:Ma07_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLB3 [Source:Projected from Arabidopsis thaliana (AT4G32690) UniProtKB/TrEMBL;Acc:A0A178V2G3] MQSLQQKASEWSGVAASDAFAIDDTNIFQALGGIQPFIDLSTNFYNRVYDDEEEWFRSIFANSKKEDAIQNQYEFFVQRMGGPDLYSQRKGHPALIGRHAPFPVTHQAAERWLYHMEQALESIPSIDPDSKVKMMNFFRHTAYFLVAGNEMIKQRQAVQRNQATSDSTSS >Ma02_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19168448:19171262:-1 gene:Ma02_g08970 transcript:Ma02_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVQTTEENYANPKTCLFHVLFKAASLAFYILSALFIDSFVIIFVVTVFLAALDFWVVKNVSGRILVGLRWWNEIDERGESVWKFECLDQESLARMNKKDSWLFWWTLYITAVAWIFLAIFSLIRFQADYLLVVGVCLSLSIANIVGFTKCRKDAKKQIQQFASQTIASHLTSSLQSAFSIV >Ma05_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2220068:2231613:-1 gene:Ma05_g03110 transcript:Ma05_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTPSSGVWKLYQPYWKRTNLLQLGVVALLCSASYFLGICHHGGDSASFNSTVLSAVSCDRNPPATTTTVGAGTLDFAVHHGADQSSTGFPVVREFPACDVKYSEYTPCEDRTRSLRFDRKRLIYRERHCPEKGELLKCLIPAPPGYKSPFPWPASRDTAWFANVPHKELAVGKAGQNWIRVDGDKFRFPGGGTMFPNGADTYIDDIDQLISLSDGSIRTAVDTGCGVASWGAYLLSRNVLTMSFAPKDSHEAQVQFALERGVPAMIGVLASIRLPYPSRAFDMAHCSRCLIQWHLYDGQYLMEIDRILRPGGYWILSGPPINWKQHWKGWNRTKEDLSEVQSAIEAVARSLCWNKLKEKGDIAIWQKPVNHISCKANRKIIRSPQFCQSQDPDSAWYTKMETCIVPLPKVASPEETAGGAPKRWPERLTAVPPRIASGSVVGVTAETFLQDTELWKKRVGYYKKVIGQLGQAGRYRNLLDMNANFGGFAAALIDDPLWVMNIVPTTSQVNTLGVIYERGLIGTYQDWCEAMSTYPRTYDLLHADSVFNLYKDRCEMEDILLEMDRILRPEGTVIIRDDVDILVKIKSIADGMRWNSRIADHEDGPLQREKLLLVVKTYWTVGEEEEHPGSDPRHSWWTEG >Ma06_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7067887:7077093:-1 gene:Ma06_g10210 transcript:Ma06_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGGDSDPYGSRFPSSSAGGGISGGPSRRYRVPFSANLIHAPLSALLEYSGILRPGASQSETESLIPLPELNLAQVDESSVSAVADRGEVSIRIIGSGDQESLRMASTQPQPSTAWSGGQGNSGGRGVSGESNPAFSERHRGGDGGSNSGVGEAASSSASSVSASIAAVDGPSTDAEASMTGGRNRDSSYQRYDIQQVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWISAVMFKSNDILKKQTALKGERKLTVLVGTAFFSMLHIFGIYWWYRNDDILYPLIMFSPKAIPQFWHAIFIILVNDIMVRQAAMVVKCILLVYYKDRKGRNYRQQCQMLTLVEYLLLLYRSFLPTPVWYRFFLNKEYGSFFSSLTTGLYLTLKLTSAVEKVQSFISAFKALSCKDVSYGSYATAEQVITAGDMCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRAVVKPAGLRSFGDGSTSLFYQLF >Ma06_p10210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7068140:7077093:-1 gene:Ma06_g10210 transcript:Ma06_t10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPGGDSDPYGSRFPSSSAGGGISGGPSRRYRVPFSANLIHAPLSALLEYSGILRPGASQSETESLIPLPELNLAQVDESSVSAVADRGEVSIRIIGSGDQESLRMASTQPQPSTAWSGGQGNSGGRGVSGESNPAFSERHRGGDGGSNSGVGEAASSSASSVSASIAAVDGPSTDAEASMTGGRNRDSSYQRYDIQQVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWISAVMFKSNDILKKQTALKGERKLTVLVGTAFFSMLHIFGIYWWYRNDDILYPLIMFSPKAIPQFWHAIFIILVNDIMVRQAAMVVKCILLVYYKDRKGRNYRQQCQMLTLVEYLLLLYRSFLPTPVWYRFFLNKEYGSFFSSLTTGLYLTLKLTSAVEKVQSFISAFKALSCKDVSYGSYATAEQVITAGDMCAICQEKMHAPILLRCKHIFCEDCVSE >Ma06_p23740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21901195:21903205:1 gene:Ma06_g23740 transcript:Ma06_t23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENDGLRQDNSTEASKSCDPQFKTASFTSCDDIEAIRHFRVTKERLSLTFRLMQVQGLPAWANSSSVTIGDVIQQGNVLVAILSNYMVDIDWLLSGKFHTLHAGPFI >Ma00_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1751871:1753723:-1 gene:Ma00_g00560 transcript:Ma00_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 2 [Source:Projected from Arabidopsis thaliana (AT3G20015) UniProtKB/Swiss-Prot;Acc:Q9LHE3] MVVTSFFVLQLLFLPPFAALALDFHVVKPHDLAFGARHRPAKLAPLGHGHNRLSLSLFHRDALPGRNFSGHRHRLDRLLERDSRRVVTLTRRLSTARYHVDDFGSEVVSGLEEGSGEYFVRVGVGVPPRDQYLVVDSGSDIVWIQCRPCSQCYAQSEPVFDPAESASFAGVACGSPICGLLLSGASAAAGCHAGDCRYAVSYGDGSSTKGTLALETLTFGTSAVRDVAIGCGHSNRGLFVGAAGVLGLGWGPMSFVGQLGGQAGGAFSYCLVSRGSDAPTGSLVFGRTAAVPVGAVWVPLLRNLRAPSFYYVRLLGLGVGGVRLPVLEDVFQLTEEGEGGVVMDTGTAVTRLPDAAYAALRDAFGAATAGLPRAPGVSIFDTCYSLFGYQSVRVPTVSFYFAGGTILTLPARNFLIPVDDMATFCFAFAPSSSGLSIMGNIQQEGIQITFDAANGFVGFGPNTC >Ma09_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21836264:21837432:-1 gene:Ma09_g19260 transcript:Ma09_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGAEFVGTFILIFGATAAPIVNQKYNGAEALIGNAACAGLAVMIVILSTGHISGAHLNPSLTIAFAALRHFPWSHVPAYVVAQVSASICASFALKGVFHPFLSGGVTVPSVSTAQAFFIEFVITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPSSGGSMNPVRTLGPAVAAGNYKQIWIYLVAPTAGAVAGAAVYTAVKLKGDDGELPRRSFRR >Ma04_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10634914:10664076:-1 gene:Ma04_g14080 transcript:Ma04_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIIGSLVWVEDPAVAWIDGHVAKITGQNAEVQTSNGKTVVANLSKVYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLAARYQLNEIYTYTGNILIAINPFQRLPHLYDSHMMTQYKGAPLGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNRQGKISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPQEIVDKYKLGKPSSFHYLNQSNCYELVGVSDAHDYLATRRAMDIVGISAQEQDGIFRVVAGILHLGNIDFTKGQEVDSSVLKDDKSKFHLKMTAELLMCDSEALEDALCKRVMITPEEVIKRPLDPHAATISRDGLAKTIYSRLFDWLVDKINVSIGQDPTSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFAQKLYQTFKTHKRFIKPKLSRTDFSIGHYAGEVLYQSDQFLDKNKDYVVAEHQDLLSASKCSFVSGLFPSLPEETSKSSKFSSIGSRFKLQLQALMDTLNSTEPHYIRCVKPNNLLKPAVFENLNVMQQLRCGGVLEAIRISCAGYPTRRIFYEFLHRFGVLAPEILEGNNDEKIACRKILEKKGLTGFQIGKTKVFLRAGQMAELDARRAEVLNRAAKSIQNQIRTHILRKRFIALRKSTILVQSLWRRKLAFKLFERMRRENSAIKVQKNLRRYKARKAYTQLKFSVVVLQTGFRFLAARNEFRFKKQTKAATVIQAHWRCYRAHSYHKKLKRASIVTQCRWRGRVARKELRKLKMAARETGALKEAKDKLEKTVEDLTWRLQLEKRLRTDLEEAKGQEIAKLQSSLQATQSKLDETTEILAEEREAARKAIEEAPPVIKETTIHVQDTEKIDSLTAEVENLKASFQSEKQRADDAENKFTEAQKISEERQRKLHESEGKVHQLQESLHRIEEKLANVESENKVLRQQAVSIAPSKLLSGRSKSSLQRSSENGLVINTETRTTADPLSASFNMRENYEVEDKPQKSLNEKQQEHQDLLIRCIAQDLGFAGSRPVAACITYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSFVNGSLTGVDKLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGTSRSLGNAAGQQALIAHWQGIVKSLDSFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELENWCYKSTDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSVQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMDPIDISDIEPPPLIRENSGFIFLLPRTD >Ma06_p38710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37361919:37362521:1 gene:Ma06_g38710 transcript:Ma06_t38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDHATMEDDEITQEDALAAISAYFEEDGLVHHQLDSFDEFIKNTMQEIVDESADIEIHPEHQHHPDRQPDSDEIPLSFPQTIHKISFDRIYLSKPMVTESNGETIKLFLKIQH >Ma08_p28810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40651701:40652002:-1 gene:Ma08_g28810 transcript:Ma08_t28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREAEVPPLKFGMVAEEICRSGFPTATDFGSLEELIIRTRFLCPKPHPENIIRFPGFVCSCSESKVAM >Ma09_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10528406:10530562:-1 gene:Ma09_g15230 transcript:Ma09_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRASVSNLTCWHGKPDEQSCVNYLKSGLPRRILYYKRGEWTDFPESERKVIINGCRHQMPCMYALFGCELVLVDLLCMVMSNLTTKKRNSVAWIDEADRCFFPSQSFDEGADEPSEQVSGVVRPQASSVPPPPAPEANVHNLQRDSSTFVLIRKIFLSGMPSFVKPENVVCILEYVPKDTSALVQHHAFESQLRSRIEKRGDANVRDAWFGSTTQEIFRILAHGFGSAVAPTAGAAFGSGIYLTPCGRSFSSVNLCGADQNGVQCMLLCQVILGKEELVWPGSKQNSPSSDKYDSGVDNHAEPRCYVVWARHANSRTLILPKYVVAFKLPPNLQAYFFDLRDVRFDKYPIRNIPDFNRLTGPVSVPTFSPLLREMYVALPDVAHPLLYTVVYAMVQNHISPIDKELLYRHHLEFQAFASTDDEFFRKITVILGDRLPVFELNRTRDHHLVAFMYRFAD >Ma04_p36520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34859496:34860586:1 gene:Ma04_g36520 transcript:Ma04_t36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDIRLPKEDNDHLTRPPPHSPRRRRFLSFRHVNALAVVAVLAASGMVPATDLTFVLFSFVYLLLLSATAFPPLGPPGAAADPPVFGARNRLLAAYVSVGAVVGLLLPVAYILDGVLAGDKEGIRAAAPHVFLLAAQVFLEGVTFSGRFSLPMRAFVPIFYNTKRLFTIVDWLGSEVGKAEGKHGAGRRLLAGRALAVANLAFWAFNLFGFLLPVYLPRALKGYYSGNGSNNNNTKVKD >Ma09_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12736060:12737757:1 gene:Ma09_g17200 transcript:Ma09_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLLASDRTVVEVMSRIRKSAVQLGVLLREEVAGDSTVGVVFAELTSSISRVFDVLESMETVEGGQRLDAGLLSPPPPPPPHHHQISTKKRKIYPATDRREGCRRRSHLPSKIVGSKTLNDGQTWRKYGQKEIQSSKNPRSYFRCTHKFDQGCMAVRQVQRSEEDPSTYLITYLGEHTCRDPAMAPQLFSTSDVNNTCLLSFGASRHRAEKEAQVPASPFTSRKQESDEEGLSNLTTACSSPDYFVIPAAEKPAVMTATSGFLADLYFEDVFGFDHDGFLS >Ma01_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4400083:4401873:-1 gene:Ma01_g06150 transcript:Ma01_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLEFFVERFLLKITNFAAGEICKVLCVREEVETLERRLARIKGFLLTAEQRRHVDPATDTRVRELKDVMYDADDIIDLCIIEGGSLLKSRASTTFAVCNPSSSQSSSYFGSIKHRYEISGRIKRLNDRLKEITEDRSTIPDVENVKIDPPHRPERSPGTPSIEVKADIIGTQIEGAAQNLINSIVEISEKPKCVVFGIVGMGGIGKTTLARKVFNDERIIQNYPIRVWLCVTKKYSETDLLKEMIRSVGGNVEGAKSRAELERKLVSLLSKNLLLVLDDVWSPEVWEDFLKNPLMHEAASNCRIVITTRDENVARNLGDNVHHVEKMDEECGWELLWKTVWDNREKGDISRFKEIGTKMVQKCDGLPLAIKVLAGALRSRRSTMEWEKVLRSDLWKMKKLDENVLGVLFLSYEDLPSHLKQCFLHCSLFPDKADMYRKDLIRLWVAEGFTEENGELSMEEIAEGYYEDMIWRNLLQVDPTFVDGSRCTMHDLLRSLAQSLIQGEGVYVSDLQSLNSDPLTKLRRLSTSNIGERIQLPDRIVEEKCLRSLLIFDSPRARTIGDDLFKKLRNLRVLLLNDTSIESLPKSIGELSHL >Ma01_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12334471:12340942:-1 gene:Ma01_g16870 transcript:Ma01_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAISPSAAPPLLRSSTKPPAPRWSPLLLPLHRGGPRLRPLAAVPSFSVVASSHGPSVPRPWVSLPSLSDRRPEDGLAVRATSVPDGDAASKDAGGGGLLQTLQLGSLFGLWYLFNIYFNIYNKQVLKGFTFPLTITTVQFAVGTFLVLFMWTTNLYKRPKISASQLAVILPLAMVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGEMPTIWVLLSLVPIVGGVGLASLTEASFNWAGFWSAMASNLTFQSRNVLSKKAMVKKEESLDNINLFSIITIMSFCLLAPATFFVEGIKVTPSYLQSAGLNFKEIYLRSLLAALCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGVALAGVFLYSRVKKIKPKSA >Ma09_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15673287:15683657:-1 gene:Ma09_g18110 transcript:Ma09_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIQEYLEGCKFLPKLNNELPTERNSTYKERFSSLQNLVLIMFEHDTILIPPETSWFGYYPDGAFSAVLPPQQTILYTEDWIGLKALDDAGRVKFISVQGNHLRISEDDIKKYIVPFLVDDKPSKLLRQKSSVDTGLLAFTMDPGK >Ma04_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5595542:5597427:1 gene:Ma04_g07710 transcript:Ma04_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCAPTFPCKLIGVHRWTAPSNASPRPCLRPHIRCAAQTPHRRSANYQPSSWSDEYIQSLRNDTKVEEDNATRMGKLTEDVKQLIYMKKGIEDQLQLIDHLQQLGVAYHFKEDIKDALWTIYRSMEEVNMLLKDNLHATALMFRLLREHGFAVSEGVFYRFMDDKGNLKASLRHQTEGLVSLYEASHLAKEGEHVLEEATNFTTKQLKSLMEGSLEPHLREHVAHALELPLNWRMPRLQTRWFIEASQREAKMNPLLLELAKLDFNRVQNIHQRELREVSRWWSNLGLAQRLPFSRDRLVENYFWTVGLAFEPQFARCREAQTKANCLITTIDDVYDVYGTMDELELFTDAVDRWDVNAMGKLPEYMKICFLALFNTTNDTAYNVMKEKGLDIIPHLKKAWADVCKAHMVEARWYHQGYT >Ma10_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7487628:7489293:1 gene:Ma10_g02210 transcript:Ma10_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSVPVYVDPPNWNQLGSSTGGGRNEAPQLPPPPPHGLVGAPRGEGGMVGSIRPGSMAERARLAKIGQPEQALRCPRCDSSNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKRTKSGSSSSAKNAVTAAYRQSRSPSSTIAAGATTSGITLQQQQQSPLMSSFYPPPDCRTRNLGFGFDGIQSFDAVEYQVPGSHSVGLENLRLHQHIRQFPSIMGGLEPPPPPPPRTPSLTPFQSLYPSFIGEGSGSDGRSLAALVPTRVPSSGFLMQLASTKMDDNAQELGLPRHDLIWGGSAGGSGNGGWATATGFSSFSSSLTDKFL >Ma10_p02210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7487628:7489293:1 gene:Ma10_g02210 transcript:Ma10_t02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSVPVYVDPPNWNQLHAPQLGSSTGGGRNEAPQLPPPPPHGLVGAPRGEGGMVGSIRPGSMAERARLAKIGQPEQALRCPRCDSSNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNKRTKSGSSSSAKNAVTAAYRQSRSPSSTIAAGATTSGITLQQQQQSPLMSSFYPPPDCRTRNLGFGFDGIQSFDAVEYQVPGSHSVGLENLRLHQHIRQFPSIMGGLEPPPPPPPRTPSLTPFQSLYPSFIGEGSGSDGRSLAALVPTRVPSSGFLMQLASTKMDDNAQELGLPRHDLIWGGSAGGSGNGGWATATGFSSFSSSLTDKFL >Ma06_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1766698:1769044:1 gene:Ma06_g02260 transcript:Ma06_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWCSMFLLRSVLPLPMAIILVTFAGGTNSTSQHHGVELLLKQLTSWRQHQKESMQGEATTPGYRTLVSCLLCFIAASVSSAGGVGGGSLFLPILNLVAGLDLKAATTYSAFMVTGGSIANVLCNLFFTSVGTEATDPPINYEIALLSQPSMLLGVSLGVICNIMFPEWLITVLFAVFLACSTFRTCKAGVRCWHAETEETETTDGHGRERSVNGAEEALLGGAQSGRIRFPWKDVVVLVMIWVCFFLLHVLAGDKHGKGAINLKPCGVAYWFITLSQVPLAIAFTAYVLYEKKDSHHRQVDTQDKAQIRIQALPVFAFPPAALLTGVLSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVMFSASMTTVKYIILGMIQVDRASVYAVLCFAASAIGSMVMKGIVLKSGRVSPIVFTVTAVMAVIKKKKKSTRDQGRDCEEQYSIAAYPIPFQALQFFHANS >Ma07_p25210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32284552:32298159:-1 gene:Ma07_g25210 transcript:Ma07_t25210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGEAAPPPPLIHRDWFFPSAAPFLHTAASSSSSSSKPVNPRRPPLFPSTRRNPKPYLGRSRPSMTTRSFPSSSGSSSAPSSSSPSPLQSFVARGDSRYAGIRRKVCFDAPRAKDAARMEGRKAAPGLRTAAITDSGAGSPGGRIKSRWSLSVFVAVVITILCSLIRKNFLLYNEVLLLQDQLFILDSRVRACGVSAPLVLANIISEESDKILSRSHKSSALFASVAILSIPFIAFKYIDYVSKLRRSTDSEAVSLSKQLAYRVDVFLSVYPYAKPLVLLLATLLLIGLGGLSLYGVTDDTLADCLWLSWTYIADSGNHANSVGFGPKLVSLSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVIEENHTLVLGWSDKLGSLLNQLAIANKSLGGGTVVVMAERDKEEMELDIAKMEFDFKGTSVICRSGSPLILADLKKVSVSKARAVVVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGIKMASCGGKIILNPDDSYILQEGDEVLVIAEDDDTYTPAELPMVRRGYLPKDFIVPKSPERILFCGWRRDIEDMIMVLDAFLALGSELWMFNDVPENEREKKLIDGGLDFNRLENISLVNREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYKEAIASHVSRGSFSHGTWIGDMQQASNKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMQIRSADLYVREEEEMNFFEIILRARQRKEIVIGYRLANSERAIINPPDKNVRHKWSAEDVFVVIAEKE >Ma07_p25210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32284552:32298159:-1 gene:Ma07_g25210 transcript:Ma07_t25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGEAAPPPPLIHRDWFFPSAAPFLHTAASSSSSSSKPVNPRRPPLFPSTRRNPKPYLGRSRPSMTTRSFPSSSGSSSAPSSSSPSPLQSFVARGDSRYAGIRRKVCFDAPRAKDAARMEGRKAAPGLRTAAITDSGAGSPGGRIKSRWSLSVFVAVVITILCSLIRKNFLLYNEVLLLQDQLFILDSRVRACGVSAPLVLANIISEESDKILSRSHKSSALFASVAILSIPFIAFKYIDYVSKLRRSTDSEAVSLSKQLAYRVDVFLSVYPYAKPLVLLLATLLLIGLGGLSLYGVTDDTLADCLWLSWTYIADSGNHANSVGFGPKLVSLSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVIEENHTLVLGWSDKLGSLLNQLAIANKSLGGGTVVVMAERDKEEMELDIAKMEFDFKGTSVICRSGSPLILADLKKVSVSKARAVVVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQEGDEVLVIAEDDDTYTPAELPMVRRGYLPKDFIVPKSPERILFCGWRRDIEDMIMVLDAFLALGSELWMFNDVPENEREKKLIDGGLDFNRLENISLVNREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYKEAIASHVSRGSFSHGTWIGDMQQASNKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMQIRSADLYVREEEEMNFFEIILRARQRKEIVIGYRLANSERAIINPPDKNVRHKWSAEDVFVVIAEKE >Ma10_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32109887:32111434:-1 gene:Ma10_g22990 transcript:Ma10_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNSEAAQIIEVDMEVPPGVSGLGGNKICGGASCDFSDIRTSSKDAKERSASMRKLLMAVILCIIFMSVEVAGGIAANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPQQSYGFFRVEILGALVSIQLIWLLAGILVYEAIVRIIHDNGEVQGKLMFAVAAFGLLVNIIMAVMLGHEHGHGAHSHAHGGHSHSHKKADRDHSQHDDDHGHGHGITVTTHHHHHASEEKIKDEHVPLLRHSDHSLVENSGVRKQPRNINVHSAYLHVLGDSIQSIGVMVGGAIIWYKPEWKIIDLICTLIFSVVVLLTTIKMLRDILEVLMESTPREINATKLEKGLCEMDGVVAVHELHIWAITVGKVLLACHVTITRDSDADLVLDQVIGYIKREYNISHVTIQIERV >Ma02_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23073030:23076083:1 gene:Ma02_g15310 transcript:Ma02_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPFLRARSSSARATVGSPYPVSESTPTSSSSGNRVLGLRIPHRSGGPGEAADPRHFVLMVWPTFSHACALFIRSVAILAQCFHAVFLVLGMISFSYFLLRSFRYAGNSRETTSSRYFHRP >Ma08_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35046128:35046825:-1 gene:Ma08_g21030 transcript:Ma08_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGMFLRDNSGSIIFSLCMIVCVLYCLICSRCRRHRNRADRPPQAALAMSAGGAATRDEPLDAGLSQLAIDALPAFAHHVDCKDTCPTTQCAVCLNPVMEGEMVRLLPGCRHAFHVECIDMWLHSHSLCPLCRAEAKPPAPAEKVELRRDPGPQPLPMV >Ma01_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7847129:7850607:-1 gene:Ma01_g10920 transcript:Ma01_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGDDAAFGNESGTGNGSSILPGIGSKGKYERIDAELANDRPTRGSESKRYVFVCAVFASLNSVLLGYDVGVMSGCILFIQKDLHITEVQQEVLVGCLSIISLVGSLAAGRTSDAIGRKWTICLAAIIFQTGAAIMAFAPSFRVLIIGRLLAGVGIGCGVMVAPVYIAEISPTVSRGSFTSFPEIFINLGILLGYISNYAFSGLSEHISWRIMLGIGIVPSVFIGFALFIIPESPRWLVMQKKVEEARSVLMRISESEEEVECKLAEIEEAAGGVKGEKCEEKAVWLEFLRPSPALRRMLITGFGIQCFQQISGIDATVYYSPTIFRDAGISSDSKLLAATVAVGFTKTTFILVAILLIDRVGRKPLLYVSTVGMTVSLFCLGLALSLLGHELVSPRVGILVAILAVCGNVAFFSVGLGPICWVMSSEIFPLRLRAQAAALGTVGNRVSSGVVAMSFLSMCRALSVAGTFFIFSAISAISVVFMYMYVPETKGKSLEQIELLFDSGREWQRIEVELGDMEVLVQKE >Ma07_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29737498:29739066:-1 gene:Ma07_g21670 transcript:Ma07_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTGTDTIIIKVTKALRSAGLESSDLIVGIYFTKSNEWTGKSSSGRRSLHDIRSVPNPYEQAISIIGRTPSDFDDCSLIPCFDFGDGQGVRLLSWQHDGFREAQQRYRELVPGIKLAAGPTSSAPIIETVMSIVDESGGKYHVLLIIADGQVSRSIDVEIGHYSPQEEDTIATMVEAS >Ma01_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3969074:3973653:1 gene:Ma01_g05620 transcript:Ma01_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELKEMGLEAFIFYEVKLDIHFQHLDSWHAGRE >Ma07_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11801558:11812869:-1 gene:Ma07_g15660 transcript:Ma07_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSLTTAKQNNNPEGTKHFHHSSLLSVQLSNQHDCKNLLDDRLSSTSPYRAQTELLKSSSFKPGSPRSYVSHSQCSDHMFSRSSTFCTSLYSSSSAISESSRKLSSSPFLPHPPKCEKQNSAVQLSSSPLLFNGDTSARSCEDEHTDDLMKDFLNLSGDASDGSTHGEIYGNNGIALSEQIELQLLSEQLGIAITDNGESPRLDDIYETPQVSSLPLSSNHNQAVQLSEPPAKVQLHSSPSTATVSASAANKTRLRWTLELHERFVEAVNKLDGAEKATPKAVLNLMNVEGLTIYHVKSHLQKYRLTKYIPEAKEDDKKASCPEDKKAPSVSDDNDLAKRRNIQVTEALQMQIEVQKQLHEQLEVQRALQLRIEENAKYLQKILEQQQKARNPTSSTQRSISEVPLEQHSPAPDQSEVGIDCSPPNSLKHKENDSESDSKSVKDCKRIRLEVEQEILS >Ma11_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3885200:3890845:-1 gene:Ma11_g05050 transcript:Ma11_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRVVYKRAAAGRRRRRRSKASDDDDDDDDEEYVLGEEEEEESSDEPLASDGEEDEYEFGESTGGSDEDDEQEFLAPRSKPRKAPSKPKRRVKKSRVWDDDDDDDYEEEEEEEEEDEEEEEDRVDFSIRKKGERRKKAGVRSRRQKPRASDSEEEVREEEDDDGDEDFSPDEQDFEDEEASVISEFKRKGGNPKQGGKKRKPRALKRKKGKRSRPHRSGEDDDFIVKDRVAVDRKSKKPKETGRRKVSTSWAIKNKSPVESDTSDFDFVTSDDDFMGDTVLLNRPEINNKYFRKTNTKRTRGKVMVSSDKSESSLDGDYMISEEELRDLGVGGVLDQPQLKRISAGMKGDKKGKEKENDELGKPLCGICLSEEQRMTVRGVLNCCTHYFCFACIMEWSKVESRCPVCKRRFGTITKSSRSDPGFGLRKAVIKVEKRDQVYQPSEEEIRRMMDPYENVVCIECHQGGDDSLMLLCDICDSPAHTYCVGLGREVPEGNWYCECCRSAGDGSSYLQNERIVTDQAANSSDFLVTPVRTEDVAVRDNTNLQRSGQPSMQEIDLNVSPRSVEDYGSTSQFFGAGAATLSGRRAIHQRIRILLSNSRPRQISAETDVLRDNMASGVMTSEVRDSGENLHSSQGFSSWTRGSAAEQCHHNNRPSVQSNANLVQCATESSSFQHVNGAKEQVHSMVKSHLKELSSGSPLDRITFKEFARRSTHTVLAACGIKHHRRMVSVPVHPPGCCSHVSDQEQVVVTQGCCLSCFSSYVKDVVQKILHAT >Ma03_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17504343:17504592:-1 gene:Ma03_g15910 transcript:Ma03_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKMSAKVSSSAPSIVEDIENETYLDEEEGIEGQEEENEFNEDDLCENDDNIDYDE >Ma05_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19632383:19650764:-1 gene:Ma05_g17250 transcript:Ma05_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAKPDTATTSTPPSAGRGSRKFYFFYGHRKPSQNRPVVRGGLFSNRKTLPSSAASAAVAVLPAVDFRDWDPEYDIKPAPLPPSVPAADRRLSPLARYILDSLRRHRRWCPAVLSDLRKLRRVPPDLVAEVLRSRPLLDPSLSTRFFHWAGKQKGFCHSFASYNAFAYALNDAARPAAADQLPDLMLAQGKPPSEKQLEILVRMHADAGRGLRLFHIYRKMRGKFGVKPRIFLYNRILDALVRTGHLDLALSVYDDLRADGLKEEAITFTILAKGLCRAGRTDDLLQVLERMRQELCRPDVFAYTAIIKVLASEGNVDGCLRVWEEMAKDRVEADVMAYSTMVSCLSKAGRVEKGCQLFHKMKRKGLVIDRAVYGSLVEGFVAEGRVGDGCRLLEEMVDDGYRGDLGIYNSLIGGLCAAGRVDKAHKLFLIVIQEGLIPSFETVTPLMSAFADTSDMSKFFYLVNQLVELELPVLDHISNFFTFFIAKGGRESKALEVFESLKTKGYFSISIYNILIERLHSIEDGKHAHALFEEIKCSKDIQPDSFTYSLIIPCFVEKGDIRYACSCYNLMMENSWTPSTEAYCSLVKGLCKIGEINAAITLVKDCLGNVTSGPMEFKYALTVLNTCRLKKPEKVIEVLDEMIDEGYPLEDIIYCAIMFGFCKYASSGEARKVFAVMKDRNLLTEANFIVYEELLNEHLKKTTAGLVISGMKFFGLESKLRWTSNLD >Ma04_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7064318:7072367:1 gene:Ma04_g09930 transcript:Ma04_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGRGRGRGGRGRGMGAIYDTRIAKHVPYEEFPEDVTLPSVPSVDPLSNEVKALIASKIKLEYFWKGSCYNLQEGGSKSRSQVTEIERFSDRFKQKAQGKREALAHYLKLTPSNFPSELIQGSKRVHHENKKLRWDRAPEDKLFDLFEKLEENYKGQDGKVLKEKKGESDDEEDAEEEVEEESSDDDDYNQNIDFDDDEDDLNMEEEEHEDVYE >Ma08_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10809792:10810413:-1 gene:Ma08_g13680 transcript:Ma08_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPSRLSSLFCWFLECSMLRLSLLIPHWSSLGVLSWEFLELHWCREGILVINESKKPCHERERESRLAPRCGEWFGCSPWDTPCCRCWFPQQRRRTKTRRSEDAATPESAGSIGSCLAAKDRRKNQPVNLPSKTNMFVNTNTFSARAHFGAGKESWWVRDL >Ma02_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21244143:21244727:1 gene:Ma02_g12560 transcript:Ma02_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAANIFFCCQCGCTFPFNLANAVNGGDIIWCFHCGRSVVLANPNPGVVIFGDEQPSSSDDDDPDPAPFDDVDMFPSSIIGLPSAGFLNAGVVSLDELEQEFEQWYEEPIDVSASSSGTQPASRAAVEALPDVKISVAAPGDDPVDCSVCMESFDAGEVVKQMPCKHMFHDRCILRWLDYHNSCPLCRYELPR >Ma11_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9410974:9411598:-1 gene:Ma11_g10140 transcript:Ma11_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMERCAPKQLEEDMKPAGGGDGRAIKEGSCKVKILLTRKELEWLVLHLKEKGEQRLEDVLSEMHREMEKERGKAKVWKPTLESIEESPVVQNGRVVV >Ma11_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2439783:2441175:1 gene:Ma11_g03280 transcript:Ma11_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRWEEMPTDCLVSIFLRLGLDDLTISVPFVCKSWAQASLDPGCWRVVDFRTLDLMPWSRFCKGFVSRYSLRSFSFSPLMEFVVDRARGSVTELVFPSSSSVSWRDLVYASVNRCPGLKRLALPDRLMLEDELRIPELVGGWRSLEELELESKPSSFLALVARIGHDCRRFTRLRVSGLITPEDACVMVNCLPELKCLDLSKSYLTKTELGVIVNGCKSLERLAVKDCLGFEADEEVVSMASNLKVFDHQGSKLLDDYGYETDEPEHQTGIFCW >Ma11_p03280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2439748:2441175:1 gene:Ma11_g03280 transcript:Ma11_t03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRWEEMPTDCLVSIFLRLGLDDLTISVPFVCKSWAQASLDPGCWRVVDFRTLDLMPWSRFCKGFVSRYSLRSFSFSPLMEFVVDRARGSVTELVFPSSSSVSWRDLVYASVKCPGLKRLALPDRLMLEDELRIPELVGGWRSLEELELESKPSSFLALVARIGHDCRRFTRLRVSGLITPEDACVMVNCLPELKCLDLSKSYLTKTELGVIVNGCKSLERLAVKDCLGFEADEEVVSMASNLKVFDHQGSKLLDDYGYETDEPEHQTGIFCW >Ma06_p27560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29532249:29535870:1 gene:Ma06_g27560 transcript:Ma06_t27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSVAITLRPPTAAAGPRKTRMHRLIEEEGIVLMPGIYDALSAAVLQSLGFRAGFVSGYAVSASRLGMPDIGLLTPPEMADAARAICAAAPNVAFIVDADTGGGNALNVQRTVKDIIATGAAGLFLEDQVWPKKCGHMQGKQVIPAEDHAAKIAAAREAIGDADFFLIARTDARATAGGLPDAIARANLYMEAGADACFVEAPRSDDELREVCKKTNGFRAANMLEGGFTPLHTPQELKELGFHLIVHSTTAVYASARALIDVLKVMKEEGTSRGQLDKLTTFEEFNSLIGLKTYNETGARFEKFRVPSN >Ma02_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19817155:19818631:-1 gene:Ma02_g10140 transcript:Ma02_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRKPGDWVCRSCQYVNFCRRDSCQRCGELKLGVERADYTSVSGSWDVKPGDWYCSCGVHNYASRSSCFKCGATKDDSASAVAQSWGFRCSGAWSSGWKSGDWICTRLGCNEHNYASRMECFRCNAPRDYSSGY >Ma06_p16720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11343088:11347725:1 gene:Ma06_g16720 transcript:Ma06_t16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPTQLLGPQIHSSAAAPRRRKYMPNDGTIVPTTIIVRARKKSLAAAPWTRKHIPYGRTIGPTTIVIRVCKKYIAPFSASVVSVAAAAAGGFILISSFPPSPPPIDGGGGGGGWFRGGGGDGGGGFWSNLFSPFVALAKEEGGGGHGWDPHGLPANIVVQLNKLSGLKRYKISEILFFDRRRSTTVAGTNDSFFEMVSLRNGGVYTKAQLQKEVENLASSGMFEKVDLEGKTKPDGTMALTVSFTESTWQSADAFRCINVGLLPQTKQMEMDADMTEREKLEYFRIQEREYKRRIDRSRPCLLPVTVQREVLQMLRERGKVSARLLQRIRDRVQKWYHDEGYACAQVVNFGNLNTREVVCEVVEGDITQLNIQFLDKLGNLCEGNTQLAVIRRELPRQLRSGHVFNIEAGKQALRNINSLSLFSNIEVNPRPDEKKEGGIIVEIKLREMDQKSAEVSIEWSLVPGRHGQPTLASIQPGGTISFEHRNIKGLNRSIVGSVTSSNLLNPQDDLSFKLDYVHPYLDGVTNPRNRTFRVSCFNSRKLSPVFTGGPGADEVPPVWIDRAGFKANVTENYTRQSKFTYGLVMEEITPRDETSSICTHGARALPSGGLSMDGPPTTLSGIGVDRMAFAQANVTRDNTKFLNGAIVGERDVFQVDQGLGIGSNFPFFNRHQLTLTRFIPLKQVEEGIDKSAPPVLVLHGHYGSCVGDLASYDAFTLGGPYSVRGYNMGELGACRNILELAAELRIPIKKTHVYLFAEHGNDLGSSKDVKGNPTEFFRRAGHGSSYGAGLKLGMVRAEYAVDHNTDTGAVFLRFGERF >Ma06_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11343088:11347729:1 gene:Ma06_g16720 transcript:Ma06_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPTQLLGPQIHSSAAAPRRRKYMPNDGTIVPTTIIVRARKKSLAAAPWTRKHIPYGRTIGPTTIVIRVCKKYIAPFSASVVSVAAAAAGGFILISSFPPSPPPIDGGGGGGGWFRGGGGDGGGGFWSNLFSPFVALAKEEGGGGHGWDPHGLPANIVVQLNKLSGLKRYKISEILFFDRRRSTTVAGTNDSFFEMVSLRNGGVYTKAQLQKEVENLASSGMFEKVDLEGKTKPDGTMALTVSFTESTWQSADAFRCINVGLLPQTKQMEMDADMTEREKLEYFRIQEREYKRRIDRSRPCLLPVTVQREVLQMLRERGKVSARLLQRIRDRVQKWYHDEGYACAQVVNFGNLNTREVVCEVVEGDITQLNIQFLDKLGNLCEGNTQLAVIRRELPRQLRSGHVFNIEAGKQALRNINSLSLFSNIEVNPRPDEKKEGGIIVEIKLREMDQKSAEVSIEWSLVPGRHGQPTLASIQPGGTISFEHRNIKGLNRSIVGSVTSSNLLNPQDDLSFKLDYVHPYLDGVTNPRNRTFRVSCFNSRKLSPVFTGGPGADEVPPVWIDRAGFKANVTENYTRQSKFTYGLVMEEITPRDETSSICTHGARALPSGGLSMDGPPTTLSGIGVDRMAFAQANVTRDNTKFLNGAIVGERDVFQVDQGLGIGSNFPFFNRHQLTLTRFIPLKQVEEGIDKSAPPVLVLHGHYGSCVGDLASYDAFTLGGPYSVRGYNMGELGACRNILELAAELRIPIKKTHVYLFAEHGNDLGSSKDVKGNPTEFFRRAGHGSSYGAGLKLGMVRAEYAVDHNTDTGAVFLRFGERF >Ma06_p16720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11343088:11347725:1 gene:Ma06_g16720 transcript:Ma06_t16720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAPTQLLGPQIHSSAAAPRRRKYMPNDGTIVPTTIIVRARKKSLAAAPWTRKHIPYGRTIGPTTIVIRVCKKYIAPFSASVVSVAAAAAGGFILISSFPPSPPPIDGGGGGGGWFRGGGGDGGGGFWSNLFSPFVALAKEEGGGGHGWDPHGLPANIVVQLNKLSGLKRYKISEILFFDRRRSTTVAGTNDSFFEMVSLRNGGVYTKAQLQKEVENLASSGMFEKVDLEGKTKPDGTMALTVSFTESTWQSADAFRCINVGLLPQTKQMEMDADMTEREKLEYFRIQEREYKRRIDRSRPCLLPVTVQREVLQMLRERGKVSARLLQRIRDRVQKWYHDEGYACAQVVNFGNLNTREVVCEVVEGDITQLNIQFLDKLGNLCEGNTQLAVIRRELPRQLRSGHVFNIEAGKQALRNINSLSLFSNIEVNPRPDEKKEGGIIVEIKLREMDQKSAEVSIEWSLVPGRHGQPTLASIQPGGTISFEHRNIKGLNRSIVGSVTSSNLLNPQDDLSFKLDYVHPYLDGVTNPRNRTFRVSCFNSRKLSPVFTGGPGADEVPPVWIDRAGFKANVTENYTRQSKFTYGLVMEEITPRDETSSICTHGARALPSGGLSMDGPPTTLSGIGVDRMAFAQANVTRDNTKFLNGAIVGERDVFQVDQGLGIGSNFPFFNRHQLTLTRFIPLKQVEEGIDKSAPPVLVLHGHYGSCVGDLASYDAFTLGGPYSVRGYNMGELGACRNILELAAELRIPIKKTHVYLFAEHGNDLGSSKDVKGNPTEFFRRAGHGSSYGAGLKLGMVRAEYAVDHNTDTGAVFLRFGERF >Ma08_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15181717:15201248:-1 gene:Ma08_g15150 transcript:Ma08_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLDNAPVNLASLREQSQKDLLNILKNIRGKKCLVIDPKIGGSLSLLIQTSLLRENDAELRHLSAEPVQTECSKILYLVRPQINLMKFISSNIQYDLSKGVQREYFVYFVPRRTVVCEKIFEEEKVHHILTIGEYPFYLLPLDEDVLSFELDLAYKECYVDGDTSSVWHIAKAIHKLEFSFGIVPNVRAKGRAATKVADILNSLQVEEPVNAADMGIPEINTLILLDREVDMVTPMCFQLTYEGLLDEILQINNGSVEVDGTVMGQQDGKKIKVPLNSSDKLYKEIRDMNYEVVVQVLRQKATSIQQDYAEVKSTSQSVSKLRDFAKKLYSVPEIARHVNLAHHLQSFTCKPSFHARLDIEQTILELQNFEACFEYIEEMIHKQEPLVNVLRLLVLFSITNSGLPKKNFDYLRREILHSYGFEHMPTLYNLEKAGLFKKQESKSNWLTIARALQLIVEDTETANPNDISYIFSGYAPLSIRLIQHAIRSGWRSIEEIMKLLPGPHVDLKRGASSYSSLDANPIFQSNLDRVADGRRSLALVIFIGGVTYAEIAALRFLSSQEGMGYDFIVGTTKMVNGTTMLETLMMNNV >Ma11_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4035517:4038424:1 gene:Ma11_g05270 transcript:Ma11_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLFVGIVGNVISVLVFASPINTFRRIVRNRSTEDFEPSPYVITLLNSSLWVYYGITKPGGLLIVTVNGVGVVMEAVYVALFLLYAAPPLKAKTAVLVAALDVGFFGAVALVTRLAVHGSSRVVVIGFVCAFLNVLMYGSPLCAMQRSVITRRSVEYMPFLLSFFLFLNGGIWTLYAILDKDIFIGIPNGIGLILGTSQLIMYMIYMNSEASNLSGESYQESQQQRLIVASNEANIP >Ma11_p05270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4035517:4038424:1 gene:Ma11_g05270 transcript:Ma11_t05270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLLFVGIVGNVISVLVFASPINTFRRIVRNRSTEDFEPSPYVITLLNSSLWVYYGITKPGGLLIVTVNGVGVVMEAVYVALFLLYAAPPLKAKTAVLVAALDVGFFGAVALVTRLAVHGSSRVVVIGFVCAFLNVLMYGSPLCAMRSVITRRSVEYMPFLLSFFLFLNGGIWTLYAILDKDIFIGIPNGIGLILGTSQLIMYMIYMNSEASNLSGESYQESQQQRLIVASNEANIP >Ma11_p05270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4035519:4038424:1 gene:Ma11_g05270 transcript:Ma11_t05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQFWSLLLPLRNRSTEDFEPSPYVITLLNSSLWVYYGITKPGGLLIVTVNGVGVVMEAVYVALFLLYAAPPLKAKTAVLVAALDVGFFGAVALVTRLAVHGSSRVVVIGFVCAFLNVLMYGSPLCAMQRSVITRRSVEYMPFLLSFFLFLNGGIWTLYAILDKDIFIGIPNGIGLILGTSQLIMYMIYMNSEASNLSGESYQESQQQRLIVASNEANIP >Ma07_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7698294:7710182:1 gene:Ma07_g10330 transcript:Ma07_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEQSCVENKQSAAASSSSLSEGSYGMTRMSPAVCSRPAPSPSGRRTSGPIRRAKGGWTPQEDETLRKAVEAYKGRCWKKIAEFFPHRTEVQCLHRWQKVLNPELIKGPWMPEEDEKIISLVAKYGATKWSIIAKSLPGRIGKQCRERWHNHLDPTIKKDAWTVEEELVLMNAHCVHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKLDFFMASGKLPLFPKPEMLDDSEAITNLDGRHSLLSLSEGSDTITRAFSGSVCSFDSGLPAEPWKLEDQSNWLALSTVQDSKTEALTNLTVRGINDPDTGCSKHTSTSDICTRSDPETELAECSNSGENDQLNDTLQPTKSKLETPPDFGSLFYKPFQLEDVCLSAASTLLTTHDSIQQSYCSAIVTSPNSYLTPPSVTGRNSIQSVESVLKSAARSFSNTPSIFRRTKREAETMFASDSSSSQPDRLKILDTSGTTVEGKSGDNSEATDSSKFSSSPCDSGTILYNGKSFNVSPAYRLRSKRSAIVKSVEKQLDFTFKENDCDGNIEHLNLPTDSSSHSSNTILPSIQERKLTEPPIGS >Ma11_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13676320:13698559:-1 gene:Ma11_g11520 transcript:Ma11_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHIACPITCRRICDCELGFPAGLRGEAGKGAFLDEIDALEEFLSDPWTVRPDAAEREEEETVQVWVPRVSPAVAVPSAAVDDRGAGVGDEVKRALLQRQALAASLAAEDYVRRLEAGGTAELLGEATSNLARDDQGVSTVKVMCRICFSGEIEGSEKAMKMLLCKSCNRKYHRSCLKTLVEHRDLFHWSLWSCPACRICEVCRRTGDPNKLMYCKRCDGAYHCYCQHPPHKNVSRGPYLCPKHTRCHSCGSTVSGSGLSTRWFLGYTCCDACGRLFVKGNYCPICLKVLRNPECLAEGVECISCKMILLQGESGISTMRPL >Ma02_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25474225:25475327:1 gene:Ma02_g19210 transcript:Ma02_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAFQVGLALAVMTIVLSGLASAQSGCTTTIISLAPCLNYITGNSSTPSSSCCSQLASVVQSQPACLCSVLNGGASSFGITINQTRALAMPAACNVQTPPVSECNSVAGGPEKSPTASPATPATPVPSTPSSPKSEGGSKATPATTSDGSSYKSSRSLMLSILFLAGCVSFTGL >Ma08_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8138461:8140583:1 gene:Ma08_g11020 transcript:Ma08_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKCLRLRSNLPLHPSTRSSAEATTRDRGSYSLPIKLDRRCFPATATPPLSFKHAPIRPLSSLLVVPKCSSDPDAATGGSDLAPVPVSARTFTAWDMASLWVGLVVGVPAYYLAGSLVNLGMAWWQGVATVFVANLVVLFPLVLTGVPGTRYGVPFPVLARAAFGVRGAHVPTLLRALVGCGWYGIETWIGGQAVFLLLPAALKSSASALSVIPWLGTSPLEFSCFLVFWLAQMGTILRGMDGIRELEKYSAPILIFLSSALLCWAYVKAGGFGRMLSTPSRLSTAEFWNLFFPSLTANISYWATLALNIPDFTRYARSQKDQILGQAGLPVFMGAFTFLGLAVTSATEVIFGRVISDPIHLLGQIGGLSTTIVAIFGISLATITTNIAANVVAPANALVNLSPSAFTFRKGALLTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGIIIADYYLVKRMELDMSALYSNDPRGSYYYQKGFNVAAMAALVAGILPILPGLLHKVGILASTSGAFVVAYNNAWFVSFFIAGVAYWILSSSGRRWKGAHNA >Ma01_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20341280:20366641:-1 gene:Ma01_g21150 transcript:Ma01_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKTVEELQDNSAGVHFSGLHVDDLERRNSKELPATSAIENGYREPFVIGVAGGAASGKTTVCDMIIEQLRDQRVVLVNQESFYYDLTEEELNCVHEYNFDHPDAFDTENLLCCMEKLRCGLAVNVPNYDFKTHKSKLPARKVNPSDVIILEGILVFHDPRVREMMNMKIFVDTDADVRLARRIKRDTVEKGRDIKAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHISTKLGQHNLCKIYPNLYVIQSTFQIRGMHTLIRDAKTTKHDFIFYSDRLIRLVVEHGLGHLPFKEKQVNTPTGSVYTGVEFCKRLCGISIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYHNLPKNVADRNVLLLDPILATGNSAVQAISLLLQKGVQESNIIFLNLISAPQGVHVVCKRFPRIKIVTSEIDFGLNEDFHVIPGMGEFGDRYFGTDDN >Ma01_p01130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:765701:770930:-1 gene:Ma01_g01130 transcript:Ma01_t01130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPTMSSIAMPYTGGDIKKSGELGKMFDLHMEKSRKSGPLPSAPLRNGSFGGAAPHSGPVMPNASGRSSYSGSLSSAVPVSNSSVNRQKSNSGPLKHGDSIKKSSGPQSGGINPMARQNSGPLPPPLPATGLITSGPINSGPLNSSSVPHKVSGPLNSIGSVKLHNTTVVHNQAVTNLGQEEDYSFKGSLPKPILWSVVLLFVMGFIAGGFILGAVHNAVLLIVVVIIFGAVSSLFIWNTCFGRRAIIGFISQYPDAELKTAKDGQYVKVTGVVTCGNFPLESSYSKVPRCVYTSTSLYEYRGWDSKAANSKHRHFTWGLRSMERNVVDFYISDFQSGLRALVKAGYGAQVTPYVDESIVFDINPDNKGFSPEFLRWLRKRNLSSDDRVMRLKEGYIKEGSTVSVMGIVRRNDNVLMIVPPSEPFSTGCQWAKCILPANLEGIVLRCEDTSKIDVIPV >Ma01_p01130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:765701:770930:-1 gene:Ma01_g01130 transcript:Ma01_t01130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPTMSSIAMPYTGGDIKKSGELGKMFDLHMEKSRKSGPLPSAPLRNGSFGGAAPHSGPVMPNASGRSSYSGSLSSAVPVSNSSVNRQKSNSGPLKHGDSIKKSSGPQSGGINPMARQNSGPLPPPLPATGLITSGPINSGPLNSSSVPHKVSGPLNSIGSVKLHNTTVVHNQAVTNLGQEEDYSFKGSLPKPILWSVVLLFVMGFIAGGFILGAVHNAVLLIVVVIIFGAVSSLFIWNTCFGRRAIIGFISQYPDAELKTAKDGQYVKVTGVVTCGNFPLESSYSKVPRCVYTSTSLYEYRGWDSKAANSKHRHFTWGLRSMERNVVDFYISDFQSGLRALVKAGYGAQVTPYVDESIVFDINPDNKGFSPEFLRWLRKRNLSSDDRVMRLKEGYIKEGSTVSVMGIVRRNDNVLMIVPPSEPFSTGCQWAKCILPANLEGIVLRCEDTSKIDVIPV >Ma01_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:765701:770930:-1 gene:Ma01_g01130 transcript:Ma01_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKPPTMSSIAMPYTGGDIKKSGELGKMFDLHMEKSRKSGPLPSAPLRNGSFGGAAPHSGPVMPNASGRSSYSGSLSSAVPVSNSSVNRQKSNSGPLKHGDSIKKSSGPQSGGINPMARQNSGPLPPPLPATGLITSGPINSGPLNSSSVPHKVSGPLNSIGSVKLHNTTVVHNQAVTNLGQEEDYSFKGSLPKPILWSVVLLFVMGFIAGGFILGAVHNAVLLIVVVIIFGAVSSLFIWNTCFGRRAIIGFISQYPDAELKTAKDGQYVKVTGVVTCGNFPLESSYSKVPRCVYTSTSLYEYRGWDSKAANSKHRHFTWGLRSMERNVVDFYISDFQSGLRALVKAGYGAQVTPYVDESIVFDINPDNKGFSPEFLRWLRKRNLSSDDRVMRLKEGYIKEGSTVSVMGIVRRNDNVLMIVPPSEPFSTGCQWAKCILPANLEGIVLRCEDTSKIDVIPV >Ma10_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24591117:24591288:1 gene:Ma10_g10880 transcript:Ma10_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLGLGIICGTVGYLDSTLFVRKIYRNIKCD >Ma10_p31350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37532094:37535928:-1 gene:Ma10_g31350 transcript:Ma10_t31350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPIKTQMAVSILSRTTSSDYHGSSQSEGKQGGRRRVFVQTETGCVLGIELDRGDNAHTVKRRLQIALNVPTEESSLTFGDLVLKNDLSAVRNDSPLLLTRNALHRSSSTPCLSPTGKDIQHRDRSSPIEILVCSSRCSRTRQLVKDVMNAIRNGVDPIPVHSGLGGAYYFRNVRGECVAIVKPTDEEPFAPNNPKGFTGKALGRPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVTHSVFHVNEGVNCNTSGKAVDKKRSAVSKIASFQQFVPHDFDASDHGTSSFPVAAIHRIGILDIRIFNTDRHGGNLLVRKLEGVTGRVGAQTELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELKYIADLDPVKDSEMLRMELPMIREACLRVLILSTIFLKEAAAFGLCLAEIGEMMSREFRGMEEEPSELEVVCIEARQLIAERIVFSPVANPNDDDATLFDIDYEEADLAMPKSPASYAFGQRGRSSKNPLSRLEESLEEQEDDEHMESNVKSTCCPSACEWHPHFSKLSTSLKGIALAGKSQRCLVGAPSANFTCSKIKNSGGASRSQVGNSRSANEQLPANVNFVKLADMGEEEWSAFLEKFQELLPGAFRSRKCGAAGQRQKQRLGTSCQF >Ma10_p31350.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37532094:37535726:-1 gene:Ma10_g31350 transcript:Ma10_t31350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPIKTQMAVSILSRTTSSDYHGSSQSEGKQGGRRRVFVQTETGCVLGIELDRGDNAHTVKRRLQIALNVPTEESSLTFGDLVLKNDLSAVRNDSPLLLTRNALHRSSSTPCLSPTGKDIQHRDRSSPIEILVCSSRCSRTRQLVKDVMNAIRNGVDPIPVHSGLGGAYYFRNVRGECVAIVKPTDEEPFAPNNPKGFTGKALGRPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVTHSVFHVNEGVNCNTSGKAVDKKRSAVSKIASFQQFVPHDFDASDHGTSSFPVAAIHRIGILDIRIFNTDRHGGNLLVRKLEGVTGRVGAQTELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELKYIADLDPVKDSEMLRMELPMIREACLRVLILSTIFLKEAAAFGLCLAEIGEMMSREFRGMEEEPSELEVVCIEARQLIAERIVFSPVANPNDDDATLFDIDYEEADLAMPKSPASYAFGQRGRSSKNPLSRLEESLEEQEDDEHMESNVKSTCCPSACEWHPHFSKLSTSLKGIALAGKSQRCLVGAPSANFTCSKIKNSGGASRSQVGNSRSANEQLPANVNFVKLADMGEEEWSAFLEKFQELLPGAFRSRKCGAAGQRQKQRLGTSCQF >Ma10_p31350.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37532094:37535756:-1 gene:Ma10_g31350 transcript:Ma10_t31350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPIKTQMAVSILSRTTSSDYHGSSQSEGKQGGRRRVFVQTETGCVLGIELDRGDNAHTVKRRLQIALNVPTEESSLTFGDLVLKNDLSAVRNDSPLLLTRNALHRSSSTPCLSPTGKDIQHRDRSSPIEILVCSSRCSRTRQLVKDVMNAIRNGVDPIPVHSGLGGAYYFRNVRGECVAIVKPTDEEPFAPNNPKGFTGKALGRPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVTHSVFHVNEGVNCNTSGKAVDKKRSAVSKIASFQQFVPHDFDASDHGTSSFPVAAIHRIGILDIRIFNTDRHGGNLLVRKLEGVTGRVGAQTELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELKYIADLDPVKDSEMLRMELPMIREACLRVLILSTIFLKEAAAFGLCLAEIGEMMSREFRGMEEEPSELEVVCIEARQLIAERIVFSPVANPNDDDATLFDIDYEEADLAMPKSPASYAFGQRGRSSKNPLSRLEESLEEQEDDEHMESNVKSTCCPSACEWHPHFSKLSTSLKGIALAGKSQRCLVGAPSANFTCSKIKNSGGASRSQVGNSRSANEQLPANVNFVKLADMGEEEWSAFLEKFQELLPGAFRSRKCGAAGQRQKQRLGTSCQF >Ma10_p31350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37532094:37535751:-1 gene:Ma10_g31350 transcript:Ma10_t31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPIKTQMAVSILSRTTSSDYHGSSQSEGKQGGRRRVFVQTETGCVLGIELDRGDNAHTVKRRLQIALNVPTEESSLTFGDLVLKNDLSAVRNDSPLLLTRNALHRSSSTPCLSPTGKDIQHRDRSSPIEILVCSSRCSRTRQLVKDVMNAIRNGVDPIPVHSGLGGAYYFRNVRGECVAIVKPTDEEPFAPNNPKGFTGKALGRPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVTHSVFHVNEGVNCNTSGKAVDKKRSAVSKIASFQQFVPHDFDASDHGTSSFPVAAIHRIGILDIRIFNTDRHGGNLLVRKLEGVTGRVGAQTELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELKYIADLDPVKDSEMLRMELPMIREACLRVLILSTIFLKEAAAFGLCLAEIGEMMSREFRGMEEEPSELEVVCIEARQLIAERIVFSPVANPNDDDATLFDIDYEEADLAMPKSPASYAFGQRGRSSKNPLSRLEESLEEQEDDEHMESNVKSTCCPSACEWHPHFSKLSTSLKGIALAGKSQRCLVGAPSANFTCSKIKNSGGASRSQVGNSRSANEQLPANVNFVKLADMGEEEWSAFLEKFQELLPGAFRSRKCGAAGQRQKQRLGTSCQF >Ma10_p31350.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37532094:37535928:-1 gene:Ma10_g31350 transcript:Ma10_t31350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPIKTQMAVSILSRTTSSDYHGSSQSEGKQGGRRRVFVQTETGCVLGIELDRGDNAHTVKRRLQIALNVPTEESSLTFGDLVLKNDLSAVRNDSPLLLTRNALHRSSSTPCLSPTGKDIQHRDRSSPIEILVCSSRCSRTRQLVKDVMNAIRNGVDPIPVHSGLGGAYYFRNVRGECVAIVKPTDEEPFAPNNPKGFTGKALGRPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVTHSVFHVNEGVNCNTSGKAVDKKRSAVSKIASFQQFVPHDFDASDHGTSSFPVAAIHRIGILDIRIFNTDRHGGNLLVRKLEGVTGRVGAQTELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELKYIADLDPVKDSEMLRMELPMIREACLRVLILSTIFLKEAAAFGLCLAEIGEMMSREFRGMEEEPSELEVVCIEARQLIAERIVFSPVANPNDDDATLFDIDYEEADLAMPKSPASYAFGQRGRSSKNPLSRLEESLEEQEDDEHMESNVKSTCCPSACEWHPHFSKLSTSLKGIALAGKSQRCLVGAPSANFTCSKIKNSGGASRSQVGNSRSANEQLPANVNFVKLADMGEEEWSAFLEKFQELLPGAFRSRKCGAAGQRQKQRLGTSCQF >Ma10_p31350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37532094:37535928:-1 gene:Ma10_g31350 transcript:Ma10_t31350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPIKTQMAVSILSRTTSSDYHGSSQSEGKQGGRRRVFVQTETGCVLGIELDRGDNAHTVKRRLQIALNVPTEESSLTFGDLVLKNDLSAVRNDSPLLLTRNALHRSSSTPCLSPTGKDIQHRDRSSPIEILVCSSRCSRTRQLVKDVMNAIRNGVDPIPVHSGLGGAYYFRNVRGECVAIVKPTDEEPFAPNNPKGFTGKALGRPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVTHSVFHVNEGVNCNTSGKAVDKKRSAVSKIASFQQFVPHDFDASDHGTSSFPVAAIHRIGILDIRIFNTDRHGGNLLVRKLEGVTGRVGAQTELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELKYIADLDPVKDSEMLRMELPMIREACLRVLILSTIFLKEAAAFGLCLAEIGEMMSREFRGMEEEPSELEVVCIEARQLIAERIVFSPVANPNDDDATLFDIDYEEADLAMPKSPASYAFGQRGRSSKNPLSRLEESLEEQEDDEHMESNVKSTCCPSACEWHPHFSKLSTSLKGIALAGKSQRCLVGAPSANFTCSKIKNSGGASRSQVGNSRSANEQLPANVNFVKLADMGEEEWSAFLEKFQELLPGAFRSRKCGAAGQRQKQRLGTSCQF >Ma10_p31350.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37532094:37535302:-1 gene:Ma10_g31350 transcript:Ma10_t31350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDSPIKTQMAVSILSRTTSSDYHGSSQSEGKQGGRRRVFVQTETGCVLGIELDRGDNAHTVKRRLQIALNVPTEESSLTFGDLVLKNDLSAVRNDSPLLLTRNALHRSSSTPCLSPTGKDIQHRDRSSPIEILVCSSRCSRTRQLVKDVMNAIRNGVDPIPVHSGLGGAYYFRNVRGECVAIVKPTDEEPFAPNNPKGFTGKALGRPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVTHSVFHVNEGVNCNTSGKAVDKKRSAVSKIASFQQFVPHDFDASDHGTSSFPVAAIHRIGILDIRIFNTDRHGGNLLVRKLEGVTGRVGAQTELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSEDELKYIADLDPVKDSEMLRMELPMIREACLRVLILSTIFLKEAAAFGLCLAEIGEMMSREFRGMEEEPSELEVVCIEARQLIAERIVFSPVANPNDDDATLFDIDYEEADLAMPKSPASYAFGQRGRSSKNPLSRLEESLEEQEDDEHMESNVKSTCCPSACEWHPHFSKLSTSLKGIALAGKSQRCLVGAPSANFTCSKIKNSGGASRSQVGNSRSANEQLPANVNFVKLADMGEEEWSAFLEKFQELLPGAFRSRKCGAAGQRQKQRLGTSCQF >Ma07_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30567875:30572187:1 gene:Ma07_g22750 transcript:Ma07_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGRVSSARNSSLLLPRSPARSREEGGGGGGAGMEALRRLVRRVKPFEHVGLLGFVVFTAALFLSLLCSAHSDYSWVWPGGGGYRGLWVSRTKGGGVERGRSDGSGGGECDWSEEEWVWDEGFRCSENGRSDRFYTKWRWQPAGCDLPRFKTKKMLKKLRNRRLVFVGDSIGRNQWESLLCMLSMGVSNKSSIYEVNDSPITKHMGFLVFKFEEYNCTVEYYRSPFLVLQSHPPPGLPEQIKATV >Ma08_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34708514:34711024:1 gene:Ma08_g20580 transcript:Ma08_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGDGFALVAADTSAVHSILVHKSNEDKVMILDSHKLLGASGEAGDRVQFTEYIQKNVHLYEFRNGIPLATAAAANFTRGELALALRKNPYFVNILLAGYDKDIGPSLYYIDYIATLHKVDKGAFGYGSYFALSMMDRHYHSGMSLEEAIDLVDKCIIEIRSRLVVAPPNFVIKIVDKDGAREYAWRESIKDAGVSAA >Ma04_p29430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30394009:30395435:-1 gene:Ma04_g29430 transcript:Ma04_t29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKQTSRTDSLCHHFLHSKNFEGLDLGKMDKVMNNGSIIKLEARLAIQAGAAGITVSNHGARQLDYVPATISALEEVVKAAQGRVPVFLDGGARRGTDVFKALALGASGVFIGRPVAFALAAEGEAGVRKVLQILRDEFELTMASSGCTSPREITRSHIVTEADSHLPACLEVMMLLR >Ma05_p21510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33195219:33201035:1 gene:Ma05_g21510 transcript:Ma05_t21510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTRQTLSDAASDLDFMDELLSGSVFDCCDLLQTGTSAFLLPVLGVSYSSPNPTLPGIDYQVDAERSIFSVESASEETQIQMLDGGQSIYPSPAGEWEADDSNYRSAMSRQIQPTMKQRLSYALRYIKESNGDGDVLVQIWVPVMRGNQQVVTTCGQPFLLQSNCQGLVKYRSVSTRYQFLADENSREAVGLPGRVFLGKLPEWTPDVRYFSSCEFPRVSDAQRYEVCGTIALPIFEMDSRSCLGVVEVVMMTQKVNYSSDFEIICNALQAVDLRSSEVSSVPRIKMSGDSYHGALPEIQMVLKAACETHRLPLAQTWISCIRQGKKGTRHSDENFKDCVSTVDAACYVRDPSMSGFHQACSEHHLLRGQGVAGKAFMTNQPCFSSDITDFSKIEYPLSHHAKLFRLRAAVAIRLRCIHTRKVDFVLEFFLPINCIESEKQKLMLNSLSTTIQEVCQTLRVVTTKELEHETVLENDDRIPSGTFSDKSVSEIGQRSTVNEILPVGTPDVDITEEGFSILAHWDPSDIILPTGDMFSEFKQHYGESNDDNQVSFFAEINVSNMDSETEKRHTKTEKIVSLQELQKYFAGSLKDAAKSLGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQVVIDSVHGPGGAVQFSSLYENFIKATWSENNLATGTTFSVSKQNDLPDFSNANQQLESRLISRTSGSNSLSSSSCSQNSNSSQGYSSEQRKCVDAHEFSFRQNTSVEENQSNMLEKVQSHIEFHSQPEVAPKSVVRTLNQKFHIDHHSSGTMSFPLMNRCDFIKVKAIFGEEKVIFRVQPTWGFQELKQEIQRRFNIGDTALVGIKYLDDDSEWVLLTCEEDLKECIDVHKSTDAHTIRLSVHPVAQPNTKSSWSSTALS >Ma05_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33195219:33201035:1 gene:Ma05_g21510 transcript:Ma05_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTRQTLSDAASDLDFMDELLSGSVFDCCDLLQTGTSAFLLPVLGVSYSSPNPTLPGIDYQVDAERSIFSVESASEETQIQMLDGGQSIYPSPAGEWEADDSNYRSAMSRQIQPTMKQRLSYALRYIKESNGDGDVLVQIWVPVMRGNQQVVTTCGQPFLLQSNCQGLVKYRSVSTRYQFLADENSREAVGLPGRVFLGKLPEWTPDVRYFSSCEFPRVSDAQRYEVCGTIALPIFEMDSRSCLGVVEVVMMTQKVNYSSDFEIICNALQAVDLRSSEVSSVPRIKMSGDSYHGALPEIQMVLKAACETHRLPLAQTWISCIRQGKKGTRHSDENFKDCVSTVDAACYVRDPSMSGFHQACSEHHLLRGQGVAGKAFMTNQPCFSSDITDFSKIEYPLSHHAKLFRLRAAVAIRLRCIHTRKVDFVLEFFLPINCIESEKQKLMLNSLSTTIQEVCQTLRVVTTKELEHETVLENDDRIPSGTFSDKSVSEIGQRSTVNEILPVGTPDVDITEEGFSILAHWDPSDIILPTGDMFSEFKQHYGESNDDNQVSFFAEINVSNMDSETEKRHTKTEKIVSLQELQKYFAGSLKDAAKSLGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQVVIDSVHGPGGAVQFSSLYENFIKATWSENNLATGTTFSVSKQNDLPDFSNANQQLESRLISRTSGSNSLSSSSCSQNSNSSQGYSSEQRKCVDAHEFSFRQNTSVEENQSNMLEKVQSHIEFHSQPEVAPKSVVKAIFGEEKVIFRVQPTWGFQELKQEIQRRFNIGDTALVGIKYLDDDSEWVLLTCEEDLKECIDVHKSTDAHTIRLSVHPVAQPNTKSSWSSTALS >Ma03_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26334852:26336072:1 gene:Ma03_g21360 transcript:Ma03_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFDNLFCLLGPNGAGKTTVINCLTGITPVTGGDALIYGYSVRSSVGMSKIRRMIGVCPQFDILWDELSALEHLHLFASIKGLPPSRIKSVAEKSLADVKLIAAARVRSGSYSGGMKRRLSVAIAFIGDPKLVFLDEPFQNGLYLPRIQCFHIPPSYSLDHTKASPFLK >Ma08_p25400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38253152:38258478:-1 gene:Ma08_g25400 transcript:Ma08_t25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFEAQSKPVSGDRSENDTEKAAADASAAHLAPKGSSVATEVGIRNSPMPTPKPSSTAGPQLIMFYGGTINVYDSVPPEKAQAIMLIAAAMAVATRVSTNNTAATAVAARTSAIRTAAAAAAAHSPVVVGPAAAAATTAVAAPAAPALTRTLSFLSSSAVTDGSPKQPQLAPNPGSSLCKLQAELPIARRHSLQRFLEKRRDRVVSKAPYATDKSSDGIKAASEGQL >Ma05_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28258226:28261095:-1 gene:Ma05_g19740 transcript:Ma05_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVPRWTPSPSPSRPLHPRATAAVGDDIGMHGVISPRAVGAAIFPFGDVLTSPPPLNGGPRRDDEHGAEEVGNGDIAGRKVAGNSGSNQQGVFLTWEDLWVSAMDRKGGRVSILSAVTGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSAVSQKGDILINGQRQKLAFGASAYVTQDDVLMTTMTVREVVYYSAQLQLPDSMSRSEKRERAEATIREMGLERAMDTRIGGWASKGISGGQKRRVSICIELLTRPQLLFLDEPTSGLDSAASYHVMDRITRLARLEGMTVVAAIHQPSSEVFELFHGLCLLANGRTVFFGPASMTNEFFASNGFPCPSLRNPSDHYLRTINKDFEQDIEEDLESKPRSTSEAIDILVKSYRSSATLQQVAQQIAEIRDMGGDVVKKRNQASFMTQSLVLTGRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYHDVGHSFGSIQARGSMLMFTAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVTAFTIANTLSATPYLALISVIPGAIAYYLVGLQTSIDHFIYFALVLFMCMMLVEGLMMIVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPVWRYPMYYIAFHKYANQGFYKNEFLGLIFPNNQAGGPPTITGDEILRETWQVEMGYSKWIDLAILFGMVILYRLMFLGIVKTVEKLKPIFKSLMARVPQKSLS >Ma04_p36300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34730059:34734906:-1 gene:Ma04_g36300 transcript:Ma04_t36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVEILLFLLASTSMGSIRTESRSTNTTFRLPFSCRKSCGSISFGYPFGIDDGCFRTGFNLTCRNHSTSSPRLFLGDGTIEVTRIDTNQGLVYIKPPTIVMGIDAEFKRASLIDLENWPYSFELMEHMTRDSYQGTTSNRVYVVGCSATASLVDRTTNKTISTCFSICAAGDPSQYSEWSDVNSGCCTLDLNFKNTTALEIQLTRLNQKELHLVNTSGIKVIMFDGDDDVLQGVLKGRRTNAEATLAWYMNDHLSCEEAKNTDTYACVSQNSLCQGVFLDSSYINNSVGYLCRCSTGYQGNPYVPSGCQATSFTRSPANDCATKCGSVNILFPFGLKQGCYRGKSFALTCNETSGPPVLLFNSHYKVSTILLEEGKLELERVNEYDYTFESERTRLFACFEEQTIVSWVIASQFCEDARKNMTTFACVDAHSSCFDTTTTNNIQDVSGYYCKCSQGYQGNPYLRHGCKDINECNSTEKPLCYGICTNTDGGYTCTCAAGTYGDPKLAPCIPNNKKQTLLLGVIIGASNGIGLLLLCSSLLILRRKWKKRKQKKTREKYFHQNHGLLLQQLISSTEDISEKTKIFSLEEMEKATNNFDETRVLGRGGHGTVYKGILSDQRVVAVKKSKYMKQSEIDQFINEVAVLSQINHRNIVKLFGCCLETEVPLLIYEFISNGTLLDHLHIPNGNSILSWDDRLRIAVEAAGALAYLHSAASISIFHRDVKSSNVLLDDHLTVKISDFGSSRLIPLDQTHLLTGVQGTFGYLDPEYYHTSQLTEKSDVYSFGVILLELLTGKKPIFSTECEDRLNLSMHFLQTVRENHRFDLIDDLVMKEATEEELIDMIGLVEMCLRLKGAKRPTMKEVEYKVQNLRRIRLKKTGHCLVKVDEETEHPPRDSPYAFSEVVDQANQYTSRNYTLEKEFMWSHHCPR >Ma01_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12687735:12704212:-1 gene:Ma01_g17320 transcript:Ma01_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVTEFAGGGFVVGLIFVHTIADGIGLAQFLNAVAEIARGLPNPTVEPAWSREVIPNPPKLPPGGPPVFPSFKLLDATVDLSADHINHVKARHLELTGQRCSTFDVAISNLWQSRTRAINLDPGVDVHVCFFANTRHLLRPVLPPEGGYYGNCIYPMTVTASSGRIASAELIDVISIIRDAKARLPDEFAKWFAGDFKDDPYEFSFTYSSLFVTDWTRLGLLDVDYGWGKPLHVIPFAYLDIMAIGIIVAPPAPQKGTRVMTHCVEKEHMQAFLEEMKGFA >Ma04_p36980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35104936:35106929:1 gene:Ma04_g36980 transcript:Ma04_t36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPMCGNLLQIEPAGSGRRLRFFCPTCPYVCPVTNKIVKKQHLAKKELETIFSGADAMKFAPKTATTCPRCHNGEAFFRQMQIRSADEPMTTFYKCCNDNCQHEWRDD >Ma03_p18120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23744891:23750588:-1 gene:Ma03_g18120 transcript:Ma03_t18120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQALSGVSDEGKPDVSVKYENRESVDAKDVSGILCVNKGEDSLLCVGTSSAPTKADFNLHEGKLDVVVKSEKRDLVDAKDLSGLMCSNNREDSVFHVGSSTALTKVNEVMNEEIDIIDCTASTVVKTVKDEDQDATEHSSSFGDTFSGSDSELKQDHGDMEIESPFFPSNEDPAAIDGFNRVFKKKKVSAHWRKFISPLMWRCQWLELRMKELLSQASKYDKELAAYKHEKELQSKMIELDSSVSRAVPLTSQTHWRGAMKRRKRKRNEDKVDLSSYMSNHVVFSYYENKRTDTDGHSVDDDCGDLEDNIRGNDDNDWLLGLKGCDSSLEQILLNIEAVQSRVTKLKTLLNNCICRNAREISSGNLFLGDVPAGYAQNVSDSPRNNTDSMPAGLLGSPPHRVSEYEMEDMVMPESAASSYGDAADLDIIESTVGGLLSADASLDQDRIRDLCRDSADDILIDNQVAENDYQNFKKVSHATEELQEMIKTQAETHSGDESTAPKDSMPEPGPATENVDMPQQPVLKPCYTGKKRGRKPKKKRRGGSVAGSGPPRSEKLHVSGPSKSEKLHGSGPSKSERPQGSGPSRSERLQITVPLRSERLKKRRLSSKNT >Ma03_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23744891:23750584:-1 gene:Ma03_g18120 transcript:Ma03_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQALSGVSDEGKPDVSVKYENRESVDAKDVSGILCVNKGEDSLLCVGTSSAPTKADFNLHEGKLDVVVKSEKRDLVDAKDLSGLMCSNNREDSVFHVGSSTALTKVNEVMNEEIDIIDCTASTVVKTVKDEDQDATEHSSSFGDTFSGSDSELKQDHGDMEIESPFFPSNEDPAAIDGFNRVFKKKKVSAHWRKFISPLMWRCQWLELRMKELLSQASKYDKELAAYKHEKELQSKMIELDSSVSRAVPLTSQTHWRGAMKRRKRKRNEDKVDLSSYMSNHVVFSYYENKRTDTDGHSVDDDCGDLAEDNIRGNDDNDWLLGLKGCDSSLEQILLNIEAVQSRVTKLKTLLNNCICRNAREISSGNLFLGDVPAGYAQNVSDSPRNNTDSMPAGLLGSPPHRVSEYEMEDMVMPESAASSYGDAADLDIIESTVGGLLSADASLDQDRIRDLCRDSADDILIDNQVAENDYQNFKKVSHATEELQEMIKTQAETHSGDESTAPKDSMPEPGPATENVDMPQQPVLKPCYTGKKRGRKPKKKRRGGSVAGSGPPRSEKLHVSGPSKSEKLHGSGPSKSERPQGSGPSRSERLQITVPLRSERLKKRRLSSKNT >Ma01_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13519389:13526892:-1 gene:Ma01_g18240 transcript:Ma01_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRIKRDGCNNYQNFHSGYEDLTCLLGLRRSVSTVYSEVSNSSLATGQVTDVKCMGYYADQSQTNKSPTEDDQRVSDKYRDEHSETCSFLRPIQVCRHDCQGSAASSSPQSGKLKLLCSFGGKILPRPGDGKLRYVGGETRIVSMGQNVTWKELMHKTFGICKQPHTIKYQLPGEELDALISVASDEDLQNMMEEHYGVEKADASQRLRLFLIFLNESENTCLDSLGLQSNSEYQYVVAVNNMLDPSPRKNSRRNSFSGQMGCHLDDSPSLHKESPSCPQFDALDGAKAQNGIGIFNHHLSPQFFINSPSGLKSPFQSPPFSPKPIQQRDTRYPQEQSVDDQIKPLQPLGSYYVFDTGYSPSAAGAKLHDNSHTDVDLPLRSHAGAPLQDHSQMKGLVKPLFTHSETNLAAYPRCEIPPAMDISFDSKRHLGRAAENLGWVTGSDVSLAAFQIAPHECSDSFLQNQHETMKPYTKVSPYSELRIGNLEEGSRPRPSLLFESQGTMPTDAQVRFSQQPELCGINYFHYQTLDFTDKEHRNKELVDQDLLHGCSYDNIMQAIHPQDNKTRQNNRSEESETSIKECRLLEARLSSEELEALETSVPASSIVLTSDPDNHMEHCSSEQIDGCIQDISVKICGKVNGQHGESFQLLNCDFACEGGNTIAVSPVPWPKDSLETVNQKKSAEQMARESSGDRDSNGVVDIPSNAPVSHFPSVIISQNMKNNQEYGDLDQDLLDHDLPNWFLSWTPAITENSEREVSCLDQSVVDFSDSGCIVKENGFCGHKQVKENGKQSVHEMHDKHPIEGIVTVEDVTHAVPSSILFSAVVVPHVLRETVKDADDDDSLLPEVTDAGIYPAESETEDMKAGVRELDESISDAAIAEIEAGIYGLQIIKNADLEELHELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQERLSNDFWREAQILSKLHHPNVVAFYGVVPDGAGGTLATVTEFMVNGSLRHVLLRKDSALDHRKKLIITMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDLQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIVMWEILTGEEPYANMHCGAIIGGILNNKLRPPIPERCESEWRRLMEQCWSPDPVARPSFSEITDRLRAMSMAYQQKAQTRANR >Ma03_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2661743:2663170:-1 gene:Ma03_g04090 transcript:Ma03_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMSAAEMQVVIRVDEAAVSSLWKKLEATSLEDRHAEQATIFRVPHNIRAIDSSAYEPKIVSLGPYHADNERLGAWDGLKWRYFKKFLNRNPNKHLCDYLEEVEMLAPAARTAYSERPGMDPGKFVEMMLLDCCFVVELLLVQMEEKQQAEMRMGPKWPEASSTFEQDPMISAWRWALPLLAHDVMMLENQLPLVVLRRLLELASPCASLKEPLDYFFRNFPLTNNKRKITDENIKSSPHLLHLFHTCIVPTNSGSDGGGESTSHQQSWIGCLSSKRMIAKKSGKDSQPSHTAMPCARELREARVKFVKKEATSFLDISFRGNKMEIPQVKVDDETNSLLRNLIALEQCYPRAGIHVTTYAWFMDRIIDTPMDVALLRQHKIIENGLGNDEDVANVFNKLGKEVIMDLQGCYLSDLGQAVTKHCDNKCNRRWASLNHNYLTSPWAIISVFAAILLFLLTIIQTVFSVLSYFKQS >Ma11_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6829276:6830577:1 gene:Ma11_g08600 transcript:Ma11_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEPEELQFLGVVGIYREAAKILARWRRLFVKIAGALVLPLSILFFVHIAISHRLFSTIDSDESALDDAPPGSTSESSALQRLVSDWSAFLLFKGFYLVALLVLALLSTAAVVYSVASIYTARGDLAFRKVLTVVPRVWRRLMVTFLWAFLVLLALNSATIALLVFILLMGGNSSTGPVLTILVVIPLYLVALVYVSVVWHLASVVSVLEDARGLEAMRRSRRLIQGKLLTASVIFVMLNLGFGLVEWAFRGLLVRGRGGGPGLSLGLGLLLLLLLCLVILFALAVQTVVYFVCKSYHHESIDKSNLADHLEAYLGEYVPLKSQDVQMEQLRV >Ma11_p02790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2069779:2075761:-1 gene:Ma11_g02790 transcript:Ma11_t02790.3 gene_biotype:protein_coding transcript_biotype:protein_coding METAEGQIRGILTHGGRYVRYNVYGNLFEVTAKYVPPLRPLGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDGKRTLREIKLLSHMDHENVIGIKDIIRPPQRENFSDVYIVYELMDTDLNQIIRSNQLLTDDHCQYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDLMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTRQPLFPGRDYVQQLRLITELIGSPDDSSLGFLRSENARKYVKQLPRYPRQNFALRFPNMSPGARDLLDRMLVFDPSKRITVDEALHHPYLAPLHDINDEPVCASPFSFDFERPSFTEENIKELIWRESLRFNPDP >Ma11_p02790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2069790:2075761:-1 gene:Ma11_g02790 transcript:Ma11_t02790.4 gene_biotype:protein_coding transcript_biotype:protein_coding METAEGQIRGILTHGGRYVRYNVYGNLFEVTAKYVPPLRPLGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDGKRTLREIKLLSHMDHENVIGIKDIIRPPQRENFSDVYIVYELMDTDLNQIIRSNQLLTDDHCQYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDLMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTRQPLFPGRDYVQQLRLITELIGSPDDSSLGFLRSENARKYVKQLPRYPRQNFALRFPNMSPGARDLLDRMLVFDPSKRITVDEALHHPYLAPLHDINDEPVCASPFSFDFERPSFTEENIKELIWRESLRFNPDP >Ma11_p02790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2069512:2075761:-1 gene:Ma11_g02790 transcript:Ma11_t02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAEGQIRGILTHGGRYVRYNVYGNLFEVTAKYVPPLRPLGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDGKRTLREIKLLSHMDHENVIGIKDIIRPPQRENFSDVYIVYELMDTDLNQIIRSNQLLTDDHCQYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDLMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTRQPLFPGRDYVQQLRLITELIGSPDDSSLGFLRSENARKYVKQLPRYPRQNFALRFPNMSPGARDLLDRMLVFDPSKRITVDEALHHPYLAPLHDINDEPVCASPFSFDFERPSFTEENIKELIWRESLRFNPDP >Ma11_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2069512:2075761:-1 gene:Ma11_g02790 transcript:Ma11_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAEGQIRGILTHGGRYVRYNVYGNLFEVTAKYVPPLRPLGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDGKRTLREIKLLSHMDHENVIGIKDIIRPPQRENFSDVYIVYELMDTDLNQIIRSNQLLTDDHCQYFLYQILRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDLMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTRQPLFPGRDYVQQLRLITELIGSPDDSSLGFLRSENARKYVKQLPRYPRQNFALRFPNMSPGARDLLDRMLVFDPSKRITVDEALHHPYLAPLHDINDEPVCASPFSFDFERPSFTEENIKELIWRESLRFNPDP >Ma03_p33140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34614352:34616475:1 gene:Ma03_g33140 transcript:Ma03_t33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRSSNKVDVSLSPNAVLPEFVKKSSKESSLLTKCIRDVLEFAEEDTDRVTFALKVGLAMLLVSLLGLIQRPFEVFGTNILWSILTVGIMFEYTVGATLYRGFNRAVGSLFAGIFAILVIGISMSSGRFAEPFVVGFSIFLVGAATSFVKLWPSCVPYEYGFRVILFTYCLIVVSIYRMSNPMRTAMERLYSIAIGAAVTVGVNLLVFPIWAGEQLHEELVNSFYCVAESLEECARKYLSGDGLEHTEFCKRVVIDEFPDDPACQRCRAILNSSARIESLANSAKWEPPHGRFRHYYGAWSEYVKVGAVLRHCAYEVMALYGCLRSEIQAPIELRVTFQTEILEATVEAAELLRGLANDLGNMKHTVRVSQLMRVRVSTDRLQRSVDLHSYLLNSHGFPRKPTGKDCSHGSGSDPSSKLHREAERSPATAQPQTRTYHETMKKQQRRLYSWPSREVDELEEEDGGGDSAELITRMRALESTAALSLATFALLLIEFVARLDHLVDAVEQLAATAKFKQQAGLERTK >Ma01_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15229096:15230302:1 gene:Ma01_g19570 transcript:Ma01_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMECDRRQLWPDPMSSGGSDPSSSDSQLKSCTGCRTTKTPLWRAGPSGPKSLCNACGIRYRKSRRAVPRFEDAGAKEKREVDGGGEAFGVSFKLLMLGSGLCKPTSMDRKQRRRRRRGVLGEEEQAAVLLMALSSGFLYA >Ma01_p19570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15229087:15230302:1 gene:Ma01_g19570 transcript:Ma01_t19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMECDRRQLWPDPMVSLPLLLSFVVATCLLIHGPYLQSSGGSDPSSSDSQLKSCTGCRTTKTPLWRAGPSGPKSLCNACGIRYRKSRRAVPRFEDAGAKEKREVDGGGEAFGVSFKLLMLGSGLCKPTSMDRKQRRRRRRGVLGEEEQAAVLLMALSSGFLYA >Ma03_p31330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33579473:33589793:1 gene:Ma03_g31330 transcript:Ma03_t31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRLYCFVHKVPVCGDCICFPEHQICVVKKYSDWVVDGEYDWPPMCCLCNAALEAGSDQTNRLGCLHLMHTHCLVSHIKSFPPQTAPAGYVCPACSSPIWPPVSIKDTGSHLHLKLKEAIIQSGLEKNVFGNHLVSLSAENRVPPPAFASEPIMRMSSVEDTERGGASSVNSVKDSKPMLSLTVTDDKYSELYSTIGVGSSKLEPEIVEVDGPNALENQFMQDQEPHLMISPGAAARKPTYHGRQNSETSYYADDEDGTSKKYTRRGPVRHKLLRMLLPFWSSSLPTLPVTAPPRKEANTNGPEGRIRHQRSSRMDPRKILLIMAIMACMATMGILYYRLAQRSLSVSITEDEPQ >Ma09_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5894073:5901640:1 gene:Ma09_g08860 transcript:Ma09_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTYPLSTSSEFLRGRGRSFLFGNTCFVLSEPLSLLGCGGSLLLPKSSVVAGSCDRRTTLSGLATIPRNVNTQRSWSTQWFPFRRSIMSSSSAFLGGSELSARRVATSDARSGHGLSGMMRIDICYRYKGFDYCRRASGSLKNRQPWGTNMIYKCFWSDAHATNWRSNSSIEPGSQDFQTSHTASFSAGAASDVSFDAAMQEEQLQNSDVSSEHKISGDRSLKLLSGSCYLPHPDKEETGGEDAHFICVDEQAIGVADGVGGWADLGVDAGQYARELMSNSVNAIREEPKGSVDPARVLEKAYTITKARGSSTACIIALTDQGIHAINLGDSGFIVVKDGCTIFRSPVQQHDFNFTYQLESGNGSDLPSAAQVFTFPVSSGDVIIAGTDGLFDNLYNNEITAVVVHATRAGLGPQVTAQKIAALARQRAQDRNRQTPFSTAAQDAGYRYYGGKLDDITVVVSYITASDA >Ma06_p35470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35285545:35286764:1 gene:Ma06_g35470 transcript:Ma06_t35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGANGSFSPGEEQIPYPDLQHGTDTTAAPSRPRRATGWLSLLLTGSRRLSPSVCGTASGRPSRWGNSRTPATQASPRAPLSRRRPFPPSSLSPTTPPPSTTWHIGVRVKATKKLSNFLCVHNRLRSKRLAPVMIKEVTRRVHLENIWPAAYTAGVVLPTPFTTFRYWHRSLNPKKLIDVVFSRLGARMTMSRTIRLDKLPVSTTTTGLRKMELRNVPTVTRLLREYLSQYVVAPDLDETDVDLMQLIEDYFCQYCDKLCFIYLCVNRISQEYRKIGKMYIFERSNWRTNKSSTWHQKECVIPTSKEKKQSSRQAKSIYNPIKQNLCQNCPFTTLS >Ma09_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1631430:1637283:-1 gene:Ma09_g02280 transcript:Ma09_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLLAQALSARISLLPLSSHAKTLRMSVTRRSSRSLSSEAESPSSEFDPNLDFQHLEPDKGCDVSDGEKRFYGRKKRAKRIIEVDGETAKEESADKKVSGPIDIEDFAYHKINLSATSNGINISASFPTHKTKSTHLSIKSKANLPANWEAVFDGIRKMRLAEDAPVDTMGCEKAGLSLPPKERRFAVLVSSLLSSQTKDAVTNGAIKRLSDKGLLDADAIVKSDEATIAGVIYPVGFYSRKAHYLKKVAEICLEKYQGDIPNSLNELLALPGVGPKMAHLVMNVGWNNVQGICVDTHVHRICNRLGWVSRPGTRQKTSTPEETRVSLETWLPKDLWDPINPLLVGFGQTVCTPLRPQCGSCGINQLCPSAFKESASPNSKAKRSQK >Ma08_p28390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40328640:40334103:1 gene:Ma08_g28390 transcript:Ma08_t28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDVKSSSPMGNRERDRELLIPVATAADDPDSRASSSSASPAHHHHHHPHPTSHSGREACYKVIRSWVSKKFMTGCVILFPIAITFYITWWFIRFVDGFFSPIFAQLGINIFGLGFVTSILFIFLVGVFMSSWLGTSVLNLGEWFIKRMPFVRHIYNASKQISSAVSPDQNKQAFKEVVIIRHPRVGEYAFGFITSTVILQSYSGEDELFCVYVPTNHLYIGDIFLVNSNDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPHTVPERTRTYP >Ma06_p35190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35154484:35157137:-1 gene:Ma06_g35190 transcript:Ma06_t35190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRLVTLAPCFYGDGRPVGAADSAAAAAMASEPVDEGLGHSFVYVGPDVPHLANYGSDASSSSRVHHSDEGAAIGTTMFRSISGASVSANAATPLSTAPLVLRNELACSSAASFESSSSFASVPLQPRHSGSLSGPIGSDRHYFSSGHLDRGFHSGPIENQRTSCAFSGPLDRLPSSSSSSGHFYRSLSQRLAIRRAARHSRTAPALIRRFTKYLSRTATRFSCVATPRETSTKPEGGRVPNLIANLDSAADPSSNSTTINSSGNDQMSFDCIGDESDSSDGTNGNLHWAQGKAGEDRTHVVVSEEHGWVFVGIYDGFNGPDATDYLLANLYPAIQRELKGLLWDDPQNTRETSTDTSQELDDSCCEEGKQSRRIKRSERNMACDGEASETNRRSKEQPTQSSTGGAVDHRAVLKAISRALRKTEEAYLHMANTMVSANPELALMGSCVLVMLMRGEDVYLMNVGDSCAVLARRAESDLWNLVGQATQDLESIRNETLRYLESYDDGDLLAVQLTLDHSTCNDEEVRRIRNEHPDDPGAIVNNRVKGSLKVTRAFGAGYLKQPKWNNALLGAFKIDYRGTSPYITCNPFLCYHRIGPKDKYLILSSDGLYQYFTNEEVVSQVEMFTATNPDGDPAQYLVEEVLYRAADKAGMEFNQLLDVPQGDRRKYHDDVSIIIISLEGRMWRSCA >Ma06_p35190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35154484:35157137:-1 gene:Ma06_g35190 transcript:Ma06_t35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRLVTLAPCFYGDGRPVGAADSAAAAAMASEPVDEGLGHSFVYVGPDVPHLANYGSDASSSSRVHHSDEGAAIGTTMFRSISGASVSANAATPLSTAPLVLRNELACSSAASFESSSSFASVPLQPRHSGSLSGPIGSDRHYFSSGHLDRGFHSGPIENQRTSCAFSGPLDRLPSSSSSSGHFYRSLSQRLAIRRAARHSRTAPALIRRFTKYLSRTATRFSCVATPRETSTKPEGGRVPNLIANLDSAADPSSNSTTINSSGNDQMSFDCIGDESDSSDGTNGNLHWAQGKAGEDRTHVVVSEEHGWVFVGIYDGFNGPDATDYLLANLYPAIQRELKGLLWDDPQNTRETSTDTSQELDDSCCEEGKQSRRIKRSERNMACDGEASETNRRSKEQPTQSSTGGAVDHRAVLKAISRALRKTEEAYLHMANTMVSANPELALMGSCVLVMLMRGEDVYLMNVGDSCAVLARRAESDLWNLVGQATQDLESIRNETLRYLESYDDGDLLAVQLTLDHSTCNDEEVRRIRNEHPDDPGAIVNNRVKGSLKPKWNNALLGAFKIDYRGTSPYITCNPFLCYHRIGPKDKYLILSSDGLYQYFTNEEVVSQVEMFTATNPDGDPAQYLVEEVLYRAADKAGMEFNQLLDVPQGDRRKYHDDVSIIIISLEGRMWRSCA >Ma10_p03780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14388027:14395440:1 gene:Ma10_g03780 transcript:Ma10_t03780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKEGFLTEEQREMLKIATQNAEVLSSSPRSPSKMVLPELHIKGGGGGGGRATAVGVRHIRRSHSGKLVRVKKDGAGGKGTWGKLLDTNPVSRIDRNDPNYDSGEEPYELVGATVTNPIDDYKKSAATIIEEYFTTGDVELAATELRDLGSDEYHHLFVKKLVSMAMDRHDKEKEMTSVLLSALYADVISSGQISQGFFMLLESIDDLALDILDAVDVLALFIARAVVDEILPPAFLKKAMRTLSESSTGLQVIQTAEKSYLSAPHHAELVERRWGGTTHITVEEVKRKMTELLREYNEHGDTVEACRCIRELGVSFFHHEVVKRALILAMEIQTSEHLILKLLREASEECLISPSQMTRGFSRLAESLDDLSLDIPTAKSLFQTIVPKAISDGWLDPSFLKSKVSDEEHRDEGYEKLRKYKEEAVIIIHEYFLSDDIPELIRSLEDLAAPEYNPIFIKKLITLAMDRKHREKEMASVLLSALSMELFSGDDIVNGFIMLLESAEDTTLDILDASDELALFLARAVIDDVLAPLNLEEISNKLPCICSGSETVRIARSLVSARHSGERLLRCWGGGTGWAVEDAKDKIIKLLEEYDSGGDVGEACQCIRDLGMPFFNHEVVKKAIVMAMEKKKDRLLELLQECFGEGLITINQMTKGLSRVRDGLDDLALDIPDAEQKFLLYVEHARRHGWLLASF >Ma10_p03780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14388027:14395440:1 gene:Ma10_g03780 transcript:Ma10_t03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKEGFLTEEQREMLKIATQNAEVLSSSPRSPSKMVLPELHIKGGGGGGGRATAVGVRHIRRSHSGKLVRVKKDGAGGKGTWGKLLDTNPVSRIDRNDPNYDSGEEPYELVGATVTNPIDDYKKSAATIIEEYFTTGDVELAATELRDLGSDEYHHLFVKKLVSMAMDRHDKEKEMTSVLLSALYADVISSGQISQGFFMLLESIDDLALDILDAVDVLALFIARAVVDEILPPAFLKKAMRTLSESSTGLQVIQTAEKSYLSAPHHAELVERRWGGTTHITVEEVKRKMTELLREYNEHGDTVEACRCIRELGVSFFHHEVVKRALILAMEIQTSEHLILKLLREASEECLISPSQMTRGFSRLAESLDDLSLDIPTAKSLFQTIVPKAISDGWLDPSFLKSKVSDEEHRDEGYEKLRKYKEEAVIIIHEYFLSDDIPELIRSLEDLAAPEYNPIFIKKLITLAMDRKHREKEMASVLLSALSMELFSGDDIVNGFIMLLESAEDTTLDILDASDELALFLARAVIDDVLAPLNLEEISNKLPCICSGSETVRIARSLVSARHSGERLLRCWGGGTGWAVEDAKDKIIKLLEEYDSGGDVGEACQCIRDLGMPFFNHEVVKKAIVMAMEKKKDRLLELLQECFGEGLITINQMTKGLSRVRDGLDDLALDIPDAEQKFLLYVEHARRHGWLLASF >Ma10_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14388027:14395440:1 gene:Ma10_g03780 transcript:Ma10_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKEGFLTEEQREMLKIATQNAEVLSSSPRSPSKMVLPELHIKGGGGGGGRATAVGVRHIRRSHSGKLVRVKKDGAGGKGTWGKLLDTNPVSRIDRNDPNYDSGEEPYELVGATVTNPIDDYKKSAATIIEEYFTTGDVELAATELRDLGSDEYHHLFVKKLVSMAMDRHDKEKEMTSVLLSALYADVISSGQISQGFFMLLESIDDLALDILDAVDVLALFIARAVVDEILPPAFLKKAMRTLSESSTGLQVIQTAEKSYLSAPHHAELVERRWGGTTHITVEEVKRKMTELLREYNEHGDTVEACRCIRELGVSFFHHEVVKRALILAMEIQTSEHLILKLLREASEECLISPSQMTRGFSRLAESLDDLSLDIPTAKSLFQTIVPKAISDGWLDPSFLKSKVSDEEHRDEGYEKLRKYKEEAVIIIHEYFLSDDIPELIRSLEDLAAPEYNPIFIKKLITLAMDRKHREKEMASVLLSALSMELFSGDDIVNGFIMLLESAEDTTLDILDASDELALFLARAVIDDVLAPLNLEEISNKLPCICSGSETVRIARSLVSARHSGERLLRCWGGGTGWAVEDAKDKIIKLLEEYDSGGDVGEACQCIRDLGMPFFNHEVVKKAIVMAMEKKKDRLLELLQECFGEGLITINQMTKGLSRVRDGLDDLALDIPDAEQKFLLYVEHARRHGWLLASF >Ma10_p03780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14388027:14395440:1 gene:Ma10_g03780 transcript:Ma10_t03780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKEGFLTEEQREMLKIATQNAEVLSSSPRSPSKMVLPELHIKGGGGGGGRATAVGVRHIRRSHSGKLVRVKKDGAGGKGTWGKLLDTNPVSRIDRNDPNYDSGEEPYELVGATVTNPIDDYKKSAATIIEEYFTTGDVELAATELRDLGSDEYHHLFVKKLVSMAMDRHDKEKEMTSVLLSALYADVISSGQISQGFFMLLESIDDLALDILDAVDVLALFIARAVVDEILPPAFLKKAMRTLSESSTGLQVIQTAEKSYLSAPHHAELVERRWGGTTHITVEEVKRKMTELLREYNEHGDTVEACRCIRELGVSFFHHEVVKRALILAMEIQTSEHLILKLLREASEECLISPSQMTRGFSRLAESLDDLSLDIPTAKSLFQTIVPKAISDGWLDPSFLKSKVSDEEHRDEGYEKLRKYKEEAVIIIHEYFLSDDIPELIRSLEDLAAPEYNPIFIKKLITLAMDRKHREKEMASVLLSALSMELFSGDDIVNGFIMLLESAEDTTLDILDASDELALFLARAVIDDVLAPLNLEEISNKLPCICSGSETVRIARSLVSARHSGERLLRCWGGGTGWAVEDAKDKIIKLLEEYDSGGDVGEACQCIRDLGMPFFNHEVVKKAIVMAMEKKKDRLLELLQECFGEGLITINQMTKGLSRVRDGLDDLALDIPDAEQKFLLYVEHARRHGWLLASF >Ma03_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1616907:1622845:-1 gene:Ma03_g02370 transcript:Ma03_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQPSVAGRSPLSAQVVGNAFVQQYYHILHQSPELVYRFYQESSKLGRPDAHGAMSLITTTEAINEKILSIGFVRVETKSVDAQESLGGGVIVLVTGFLTGEDTVKRDFTQSFFLAPQDKGYYVLNDIFRFVEEADNQPEHQGLVNGTSAPHVPEHGSPTQKEQHALEQTSPSAVEDEGVSEVEVYNPSDNGEVVEEEEPMGEVINEAPNTCQTAVVESRAVTTQEELPKKSYASIVKILKGSSSAFVPARIPSKPSSIKAESQALPTPAADVPASSFNAAESSNMQEAEADGYSIYVKNLPLDATPAQLEEEFKKFGAIKSGGIQVRSHKLQGFCFGFVEFEAASAVQSAIEASPVIIGGRQAYVEEKRTTGSRVSNRGRFAPDRGGGFYNDGRGRGYYGGGRGYGRGDYNARPDFGSGGGGRGRSSNRRSDVGYQRV >Ma04_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5384609:5386048:-1 gene:Ma04_g07450 transcript:Ma04_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGGSSGGSGHSAAMYADRPMPHSDQLMHQSSADEDSFMRHSSSSTTASSGFYSDYPMAMSGESSPFIMSPWHQSSPHPANDPAFADAAAGLPFTGLISSLVREEGHIYSLAAIGDLLYTGSDSKNIRVWKNQKDFAGFKSSSGLVKAIVIAADRIFTGHQDGKIRVWRVSPKSAAVHKRIGSLPRLKDVIRSSLKPSNYVEVRRHRSALWIRHSDAISCLCLDEDQGLLYSSSWDKTFKVWRISDSRCLESVIAHDDAVNSVVTAFGGLVFTGSADGTVKVWRRELQVKSTKHSPVQTLLKQESAVTSLAVSPTAPILYCGSSDGIINFWEGEGQLSHGGVLRGHKMAVLCLAAAGSLLLSGSADKNICVWRREGTVHNCLSVLSGHSGPVKCLAIVTDTGGEEGGRGGAAASWIVYSGSLDKSVKVWRVSEQSPEALLRAPQTGAYGGRAE >Ma08_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5257960:5259449:1 gene:Ma08_g07680 transcript:Ma08_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRPLECGVTIRSSSVSSDPGVSNRDLGHGGGSGSGRGGNATWNRVVIVGGGIAGSLLAKSIQFHADVVLIDQKEYFEIPWATLRSTVEQPVAEKAIFSHTDYLVNGTVITSSAVDVTETDVITADGRHVTYDYLVIATGHTTTSPRCKRDMIEKFKEANVKMRTSSSVLVIGGGPAGVELASDIASVYPDKKVTLVHSGSRLLGFISRKAGNKALEWLRSKNVDVLLEQSIDLDTISEADGIYMTSAGEAIAADCYYVCVNKRLGSSWLRKSMVLKDSLDIYGQLKVDEHLRVKGRNNIFAIGDIIDVPERKQGMLAQKHAVVAAKNLKQLMKVSNKETKLSKYRPSISITMVSLGKKDAVAELPFTTMSGFLPGLIKTRELFLRRTRKLLGVDHHSGFL >Ma09_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4769373:4774613:1 gene:Ma09_g07280 transcript:Ma09_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPSLLRHLFRDAAVIVSPRSPRGRAEGRRFVTYMPRPGDGAPRPVTLIPGDGIGPLVTGAVQQVMEAMHAPVYFETYEVHGDMTTVPAEVIESIRRNKVCLKGGLRTPVGGGVSSLNMQLRRELDLYASLVHCFNLQGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREVAKKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNEKIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGSSTTQEVVDAVIAKLD >Ma08_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11283758:11288994:1 gene:Ma08_g14170 transcript:Ma08_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVEMKEVQAFSNSVSSAGVPSTLQHMKEIASLIESGAQAKEVRRIVRAVRLTMMLRRKLRAPLVAAFLGYVLTPASEVFAKLSSYLPKDDDHDMDVDTAASAVQGPAKHSIPELEIYCYLLVLIFLIDQKRYNEAKACSSASIARLRNMNRRTVDVIAARLYFYYSFTYELTNSLAEIRGTLLALHRMATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLLYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRIGDLELFRTVADKYSGTFSSDRTRNLIVRLRHNVIRTGLRNISISYSRISLADVARKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSNKEKESEEKRRERQQQEQELAKHIAEEDDDF >Ma08_p14170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11283743:11289633:1 gene:Ma08_g14170 transcript:Ma08_t14170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVEMKEVQAFSNSVSSAGVPSTLQHMKEIASLIESGAQAKEVRRIVRAVRLTMMLRRKLRAPLVAAFLGYVLTPASEVFAKLSSYLPKDDDHDMDVDTAASAVQGPAKHSIPELEIYCYLLVLIFLIDQKRYNEAKACSSASIARLRNMNRRTVDVIAARLYFYYSFTYELTNSLAEIRGTLLALHRMATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLLYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRIGDLELFRTVADKYSGTFSSDRTRNLIVRLRHNVIRTGLRNISISYSRISLADVARKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSNKEKESEEKRRERQQQEQELAKHIAEEDDDF >Ma08_p14170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11283758:11289633:1 gene:Ma08_g14170 transcript:Ma08_t14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVEMKEVQAFSNSVSSAGVPSTLQHMKEIASLIESGAQAKEVRRIVRAVRLTMMLRRKLRAPLVAAFLGYVLTPASEVFAKLSSYLPKDDDHDMDVDTAASAVQGPAKHSIPELEIYCYLLVLIFLIDQKRYNEAKACSSASIARLRNMNRRTVDVIAARLYFYYSFTYELTNSLAEIRGTLLALHRMATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLLYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAVIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRIGDLELFRTVADKYSGTFSSDRTRNLIVRLRHNVIRTGLRNISISYSRISLADVARKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSNKEKESEEKRRERQQQEQELAKHIAEEDDDF >Ma01_p10340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7449332:7452644:1 gene:Ma01_g10340 transcript:Ma01_t10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLVLGQIVGDVLDPFTRSATMRIMYNNREISNGSRLRQSAVADKPQVQIEGNDPRKLYTLVMVDPDAPSPNNPTDREYLHWLVTDIPETLDASHGNEIVSYESPRPQAGIHRIALVLFRQQVQQTVHAPGWRQNFSTRDFSAFHNLGLPAAATFFNCQRETGCGGRR >Ma01_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7442032:7452644:1 gene:Ma01_g10340 transcript:Ma01_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNIRDPLVLGQIVGDVLDPFTRSATMRIMYNNREISNGSRLRQSAVADKPQVQIEGNDPRKLYTLVMVDPDAPSPNNPTDREYLHWLVTDIPETLDASHGNEIVSYESPRPQAGIHRIALVLFRQQVQQTVHAPGWRQNFSTRDFSAFHNLGLPAAATFFNCQRETGCGGRR >Ma00_p00850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3242884:3245112:-1 gene:Ma00_g00850 transcript:Ma00_t00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTICLILGFTYSSWPFPVVFGYQGARLVPFICPYEGMIRRLSTKLLNVCVSSLCKARNLDKAEAVIIDGIRLGCLPDVVTYNTLIDAYCCLVGIDEAYVILYRMREAGVRPDVITYNSLIAGATRCCLPTRSLDLFEEMLQAGLVPDVWTYNTLMHCLFKCGYPEDAYKIYLDMISKNVTPSLTTYNTLINGMCKTGNAMSGLRLFRNLERLGFSAELVTYNTIINGLCKSGRIGEARRILKELGESDCVPNEITYTTVMKCCFQNGRFEQGFEIFYGMMNKGYTADVFAYCAAISALIKMGNLEDANACVEQMLRNGIGLDKACYNTIIYLQCKEGKLDYAFQLVNEMEEAGLGSDQYTYSILIDGLCRMGYIDSANKQLHMMEMRGFRSNLVAYNCLIDGLCKLGEVDLALKLFHEMKSKDNYTYTSIVNGLCKKSRFHAASKLLLNCLKEGSSVLTSTHRAVIAGLQSAGFRRDAKRLRASLRVACLLRY >Ma00_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3242884:3245112:-1 gene:Ma00_g00850 transcript:Ma00_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLSTKLLNVCVSSLCKARNLDKAEAVIIDGIRLGCLPDVVTYNTLIDAYCCLVGIDEAYVILYRMREAGVRPDVITYNSLIAGATRCCLPTRSLDLFEEMLQAGLVPDVWTYNTLMHCLFKCGYPEDAYKIYLDMISKNVTPSLTTYNTLINGMCKTGNAMSGLRLFRNLERLGFSAELVTYNTIINGLCKSGRIGEARRILKELGESDCVPNEITYTTVMKCCFQNGRFEQGFEIFYGMMNKGYTADVFAYCAAISALIKMGNLEDANACVEQMLRNGIGLDKACYNTIIYLQCKEGKLDYAFQLVNEMEEAGLGSDQYTYSILIDGLCRMGYIDSANKQLHMMEMRGFRSNLVAYNCLIDGLCKLGEVDLALKLFHEMKSKDNYTYTSIVNGLCKKSRFHAASKLLLNCLKEGSSVLTSTHRAVIAGLQSAGFRRDAKRLRASLRVACLLRY >Ma06_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22979104:22979901:-1 gene:Ma06_g24460 transcript:Ma06_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPWRKLRLPRRGAASPTPPPPLLPPPPSSFLRSSSSFKDVRSLLGASPSPSPMLSPAPVFHRVRSASSAFRTWCTPPEDLFGLPPGEEKRIVLYYTSLRVVRQTFDDCADVRTILRGLRVAVDERDVSLDAAFMRELKGILGGRRKPLGLPQVFIGGRHFGGAEEIRRLYETGELKRYVEGAAPAVVGVCEGCGDFRFVLCRSCSGSRRCYSEKGGGGFRTCTACNENGLVRCPLCCAHTTV >Ma05_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5358773:5360313:1 gene:Ma05_g07380 transcript:Ma05_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGLSLFALLIMFGAARGYSGGWSSAHATFYGGSDASGTMGGACGYGNLYSQGYGTDTAALSTALFNNGQSCGACFEIMCGSDNGWCLQGSIIVTATNFCPPNNALPSDNGGWCNPPRQHFDLSQPVFQRIAQYKAGIVPVQYRRVPCAKKGGIRFTINGHSYFNLVLITNVGGAGDVVAVSIKGSRTGWQPMSRNWGQNWQSNSCLDGQSLSFTVTTSDGHSVTSANVAPPNWSFGQTYSGAQF >Ma11_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:495599:512672:-1 gene:Ma11_g00710 transcript:Ma11_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENECSGAGHQAPTLTAAPLSPIPRETWEGCSVLLDINDGDRLVFARLSPAATLKIGNKKCSLGPLMGCPFGALFRVENGPGGPYLARCSPSTSAASNNSMQESEKGNTTENNRDNRLLIDNNTAQNLSSNDIDTMRKEGATGDEIIEALIANSSTFGKKTVYSQEKYKRKKQKKYAPKVLLRRPSARSICETYFKKFPARTGFLRVDTLSLLLCMANVGAHSDVLVVDMIGGILTGAVAERLGGTGYVCSISFGATPYPSDIVRMFNFSNEVRSRIVQIPFSDLCKSHESLESSETLLNVKESSTLAESSSYKNSIAISAEPINQELDLMEDQPVSNEEMLTFPANSEPNPLSSNSCSTYTIDCKHENNASITHEPVTKGRRPPPEIVDWWKTNGFSSLIVAAPESDVGTIVQELLPLLSYSAPFAIYHQYLQPLATSMHRLQNSKMAINLQISEPWLREYQVLPSRTHPLMQMSCFGGYMLSGIKICNSDTCEK >Ma07_p25260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32344022:32348438:-1 gene:Ma07_g25260 transcript:Ma07_t25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIRPLCNGLNPEIMIRKQRINLCAGLPFSRRMQSSIRMQNVTRENFRRDGYKSIDAIEAISSDPESLGNSMSPFEDFTVTVNNSDDRELKIRVDVSGTRTQAIFDDVFSKLVAAAQPIPGFRRVKGGKTPDIPKDILLHVIGPSKVNKHSIKKIINATVAEYIEKESFKVTKDLRVKQSYEELESTFQPGNDFGFDAILQLQETKKSKS >Ma03_p33290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34704636:34708646:-1 gene:Ma03_g33290 transcript:Ma03_t33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPFTHSASLSTALKPAAPRTLGFGSSFSFAKSPSHLLRRIHTQAAPGGRVGGAALAARMVSSLPSIGGSVTSLDFESSVFKKEKVNLAGHDEYIVRGGRDLFHLLPDAFKGIRQIGVIGWGSQGPAQAQNLRDSLAAANSDIVVKIGLRKGSRSFDEARAAGFTEENGTLGDIWETVSGSDLLLLLISDAAQADNYEKVFSHMKPNSILGLSHGFLLGHLQTLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFGVHQDIDGRAADVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEELAYKNTVECITGIISKTISTKGMLAVYDALSEEGKKEFNAAYSASYYPCMDILYECYEDVACGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGEKVRAVRPEGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQAFVGVDKKSPINQDLLSNFLSDPVHGAIEVCARLRPTVDISVPPDADFVRPELRQSSN >Ma07_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28965284:28970879:1 gene:Ma07_g20980 transcript:Ma07_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLARVAWNLRQSRRLSFAIPPSISATQIDPSFFKRGLPFTTCKGFSTLETQESGGLIPSELLTKKTVLRPDRDIGQYADLVTRVTNFHGEDKGFMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYRQKGTGRARHGTLRGPQFRHGAIMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLIVFEDLALPSHKTKNIVNYVTQMENTKKVLLVDGGPIDDKLKLATRNLHYVNVLPSIGLNVYSILLHDVLVMTQDAVNRIVERMHTPINR >Ma10_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15696792:15697505:-1 gene:Ma10_g05000 transcript:Ma10_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTRWVVVSFSVFLSLLHGPLSQRSAPAPPPALDCTGAMMNLSACLTYAEAGSNLTRPGKGCCRGLASVVDTEPVCLCQLICDNDVFGVEIDTTKALALPTACRVDAPPATLCAVLGAPIASPSPSSGDPEEPESPAGSSSSLETETPATAPPNSHNSSSRYGTTGLAFLILLSFVDVILASLHA >Ma01_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3818219:3818747:1 gene:Ma01_g05420 transcript:Ma01_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRRGERSAPAESPDGGREGDDNREEEGMEKFYALIANIRAIRDSLRRSQRKRLRKEAAKPVWKPRFEMEDFMHEEEEEDEEEGSGSSAIGASRPPKQRRKKEEREEEGEEGKSHGLDLCLSL >Ma11_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1164714:1167961:1 gene:Ma11_g01660 transcript:Ma11_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRRSSQLFSDGFLDGAVSRSRENGTIATIPASSSVSSFSSSSSDSPPKVNYIEHRVSKMDTLPGVAIKYGVEVEDVKRLNGLTTDIQMFAHKSLQIPLPGRHPPSTRSTNGSAANGFSKEHTPPRHPSNGVLDFLQSLKLKTPSTKVSPAMNNLRSYYGLTQFEKDPVLEGTEMAVYRIGDCCLADELLPTEAPFSDSLIGQHQKSRSLVNGFSPENGKTAQEKTILDTAENNEIEKAVRRRQKNDSVPSLGTPELLLEDNNSGFSGRKGKGLSMRPKLGSRTDVDMVHPNASPNGDSFVTNGSVFVRKSSSTSSLQESENSSSIWPTSKWTLNPEVLARPLFDGLPKPINVWKNKAALD >Ma06_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13802815:13806628:1 gene:Ma06_g19710 transcript:Ma06_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) UniProtKB/Swiss-Prot;Acc:Q9SYL0] MACLELDLPQCFSNEQPLPMASTSYKTFNVPDACRSHQLNSGRSVPQPPPVHGSSYGARKCSKSLKDDTYVPDETRIAWDKLFYEGYGADVHVLTKDKDIILAHSSILGISSPVLRNLLEQARVIKGFRKITIQGVPSEAARAFIRFLYSSCCEPDLMKNFVLHLLVLSHAFAIPSLKRTCIKLIEQEVLTEENVVDVLQLARLCDAPRLSLSCTKMILNDFKAISLSDGWKAMKQANPSLEQELLESLVGEDSKRQDRLKKMEERKVYMQLYEAMEALLHICRDGCRTIGPHDKMPKDSETTCKYAACKGIESLVRHFKGCRIRVPGGCMHCKRMWQILRLHSQMCSEPDLCKVPLCSHFKDKMKSLSKREEFKWKLLVSKIMAAKGTINSILARRLLLG >Ma09_p30380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40521204:40521894:1 gene:Ma09_g30380 transcript:Ma09_t30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKTKGPAQKLFPLFFFFSLLLVLYEMQSLDTKGSVVNTAAAAATTSSGTSSSGGGGHGGSSSGEAGNGDSGSSDSGSGYGSATYHPRNDGGYHHNRRKNGGSSCGVSLHAMLAAFAFLAAALTPCG >Ma04_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10084050:10085562:-1 gene:Ma04_g13360 transcript:Ma04_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTRGAVVGRGSFGTVSLAFVRREDEHRQLPSLMAVKSAPLPRAAFLREEKAVLSDLQDCPHVVRCFGEEVAPDAATGTPTYNLFLEFVAGGTLHDLLRRSGGSLRESSVRRYARSILRGLHCVHSRGYAHCDVKLQNILVDRRGGDVKIADFGLAQRIGDKIHGGAGAGGGIRGTPLYMSPESAARGECGAPADIWSVGCAVAEMVSGRPAWGRPGGGDAWELLYRIGFGDQLPEIPSELSEEGKDFLRRCFVKDPAERWTAEMLLQHPFVAPNDDLVDDSAEAAAVDGKHCSVADASPRSVFGLSQWSSSSRSPPSCSTDWGLMESVAAEGPASRVLPAAAGRVRDLANTQLPDWSSCSSSDGWIDVRDRDVNSVTEEGRHDDAQETKLDSMPTLEEKVSRHGRGPARADVLLESTVQLALPSSIQQHEDRMECVRLIGGGVNMCSSFCSLTAKDCKVVPNLNVYKYRDI >Ma04_p26400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27864332:27865835:-1 gene:Ma04_g26400 transcript:Ma04_t26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLPSAAAEKAAEQVSPDGQRSLPTPFLTKTYQLVDDPSVDDMISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKITQAAPAVAGPAAVSVPVNRAGSPANSGEEQVLSSNSSPGPPPSVAAGSSSSVELTEENERLRKENTRLSRELAQMKSLCSHISVLISKYADEGGGGRAAEAPPPPSTVLELMPARREEEELEEEDEEEELQEAETETGMEVEGAVKAEEMTSARLFGVSIGGKRAREEDKAEDPTPEVKSEPSDPASDTKEDISPERHQRSWVVYCPRPVRRACHGAEGGVGDRDGSLT >Ma08_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2026208:2028728:-1 gene:Ma08_g02720 transcript:Ma08_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRGRFLSFPLVIGAVIIGVVSGKAIFGPPLEEYWTKKLQEEAAKKGDASTS >Ma08_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4095682:4099434:-1 gene:Ma08_g06050 transcript:Ma08_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSPRAVTANGASPGSRPRPSSTNVARKSIQFNKLRPVVNNVRIPKERSHEDAKVAGLHGSQVVEQHARLQRRLESNFKGPEDGASTRIKELQGRLDESERTVRDLQSEVLALKTQIEKLQVLNVELESQKKKLEKDLSVRKSGFIDVLEVVPQKSENTAEMEVGRHDNCKNQSSVLNPITRAVEVQLEVLVENHFPALAAPLSPIARAPPPPPPPPPPHNARGRVTVHKASALAELYHSLTKQDGKQGSMNNGSCASPQSNKAHLSIVGELQNRSAHLLAIKADVETKGHLIKHLIKKVRSVSFASMEEVLTFVDWLDGELSTLADERAVLKHFDWPEKKADALREAAFEFRDLKRLEAEVSSFKDDVCLPCEATLKKISNLLDKLEQSVGRLIKLRAASMLLYSECKIPTDWMLESGMVCKMKQVSLQLARVYMRRVSMELESVRPSEKEMAQKALLFQGVRFAYRAHQARGLDSEMMFTIEELKKRVESRGRGQ >Ma07_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31098257:31100447:1 gene:Ma07_g23450 transcript:Ma07_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREELKFSEHVVKTRKTILPKRAASRGSECRKRVVRIHFDDVDATDSSSSDGECGARRLVRRQVHEIGIEVVPVPRRRAAVTRKETAARGGESGRRKFRGVRRRPWGRWAAEIRDPRQRKRVWLGTFDTAEEAATVYDMAAVRLKGSKAVTNFPAARPAAQTTEEEEAKCEVRGGGGGVTGARSSPTSVLHYGDEWAPFDYTGNDEVDPFGFGADASPLFFTEYCRPTRRLLQAEFGDFDAEDFS >Ma10_p30840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37071310:37073773:-1 gene:Ma10_g30840 transcript:Ma10_t30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPREAPPPPPVIGKAGRYTVFITPPRAPKPSDAPSVSPQAASPVKVAPLSQKATPSPPPPPPVQVPPMQFEKPSTKSSGSVFGFLWEAIAKVQDAHSSLDEYLADWFGLNQSKYQWALNDYYENNGKEKESGKGGKQKDLVSKEQAL >Ma02_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24238023:24245453:-1 gene:Ma02_g17240 transcript:Ma02_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRLQVRNEFRLGDAKLYDEGKSDKDDSKAILDGVAVAGLVGILRQLGDLTEFAADVFHDLHEQVTATAARGRKISTRIQNIEFALPFVEKAVKGQRSHIHFAYVAGSKWHARLQDEKSQLVPSELPYFMIESYEECREPPQLYLLDKFDHHGTGACLKRYSDPSYFRRAWAASETEKAEGLQRKKVQKIQRKGSQPRIGEVQREAYISCKNSSTRFASPSIDGQSFTTEDASVHDAGLNSEFSSRSPSFGSRIREQTLDVNNSAVPGGLKDNEVSESEILCNHSDLNANGSHDNSKGRLGDSSNQGSLHRSSAVRSYSVTWDEKTEIVKPRNSVSCDVTLINTVQDSESLQVDSEPQNQNHTKAGAFSQADILLDTGKVRVSLSGANCMDEVTSEAENHADARNTLESDAEKEVECQTKWEVNPPCDFSSREMQPGNIRKQDRAAPNPSIVKLPNASQSSLKQDSSSMFMVLKPSGSSGHMPPPQETVDSSPNHEYSFGNNIHDNSEGKFDRGNRNSHLPESDIADSQIMMADKSRPKTSVACAPSIQLWANGGLFGVGASKPLFLGVMNNSSVDVTSGSRNCSSNLPNNILKTSKRINGSSTTSDAIFSFSESISRASKSAQKIDGESPVSRSLVQSNSSVNRFPARTNDLVQLNDSSKYPSSCHRNQQYDKDVKQKSQVPARSLSLGVCDMGRENHSIVTNVSSNRPVTTKNSAVVDGVPLSVVYNTKSQYHAYNQSTKGSSSGFSEPGQRFLANSLETKGSIANTDGSVPSGDKRKSEDISRLNNRMKAPSEAASLAFFGQGIKKQFDGESLERSTSSTSYLPEQSSPPLEHMKISFQPMNGLETSKMKLKVLNGSLPETSEDSIFPAFQLIQGPVDSSPDNGSESDDDTFCISCPSSEDLFSPLTDANSELWDKDERSECEDHELCDVSPRISMLNASFSGYMKLEKVNQSMMDMESGLGRYGVGNTEGTFQDVATLKLPVRGSAVSLGNQQRRHDSSSDEPASVQMKTNGHLPPPPPLPPMKWRISKPSITSGGDEAANFTDNTNYLNGLQTPTSFSPHQQDQSAPRQPCDSDLMMPYSNKTQDQQKPNKQKNLREGASNKEVDLWGELLCQIRNKSYNLRCTSMSKISLQPQATSM >Ma02_p17240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24238023:24245453:-1 gene:Ma02_g17240 transcript:Ma02_t17240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRLQVRNEFRLGDAKLYDEGKSDKDDSKAILDGVAVAGLVGILRQLGDLTEFAADVFHDLHEQVTATAARGRKISTRIQNIEFALPFVEKAVKGQRSHIHFAYVAGSKWHARLQDEKSQLVPSELPYFMIESYEECREPPQLYLLDKFDHHGTGACLKRYSDPSYFRRAWAASETEKAEGLQRKKVQKIQRKGSQPRIGEVQREAYISCKNSSTRFASPSIDGQSFTTEDASVHDAGLNSEFSSRSPSFGSRIREQTLDVNNSAVPGGLKDNEVSESEILCNHSDLNANGSHDNSKGRLGDSSNQGSLHRSSAVRSYSVTWDEKTEIVKPRNSVSCDVTLINTVQDSESLQVDSEPQNQNHTKAGAFSQADILLDTGKVRVSLSGANCMDEVTSEAENHADARNTLESDAEKEVECQTKWEVNPPCDFSSREMQPGNIRKQDRAAPNPSIVKLPNASQSSLKQDSSSMFMVLKPSGSSGHMPPPQETVDSSPNHEYSFGNNIHDNSEGKFDRGNRNSHLPESDIADSQIMMADKSRPKTSVACAPSIQLWANGGLFGVGASKPLFLGVMNNSSVDVTSGSRNCSSNLPNNILKTSKRINGSSTTSDAIFSFSESISRASKSAQKIDGESPVSRSLVQSNSSVNRFPARTNDLVQLNDSSKYPSSCHRNQQYDKDVKQKSQVPARSLSLGVCDMGRENHSIVTNVSSNRPVTTKNSAVVDGVPLSVVYNTKSQYHAYNQSTKGSSSGFSEPGQRFLANSLETKGSIANTDGSVPSGDKRKSEDISRLNNRMKAPSEAASLAFFGQGIKKQFDGESLERSTSSTSYLPEQSSPPLEHMKISFQPMNGLETSKMKLKVLNGSLPETSEDSIFPAFQLIQGPVDSSPDNGSESDDDTFCISCPSSEDLFSPLTDANSELWDKDERSECEDHELCDVSPRISMLNASFSGYMKLEKVNQSMMDMESGLGRYGVGNTEGTFQDVATLKLPVRGSAVSLGNQQRRHDSSSDEPASVQMKTNGHLPPPPPLPPMKWRISKPSITSGGDEAANFTDNTNYLNGLQTPTSFSPHQQDQSAPRQPCDSDLMMPYSNKTQDQQKPNKQKNLREGASNKEVDLWGELLCQIRNKSYNLRCTSMSKISLQPQATSM >Ma02_p17240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24238023:24245175:-1 gene:Ma02_g17240 transcript:Ma02_t17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRGSSGYCGSWATLRNVFHDLHEQVTATAARGRKISTRIQNIEFALPFVEKAVKGQRSHIHFAYVAGSKWHARLQDEKSQLVPSELPYFMIESYEECREPPQLYLLDKFDHHGTGACLKRYSDPSYFRRAWAASETEKAEGLQRKKVQKIQRKGSQPRIGEVQREAYISCKNSSTRFASPSIDGQSFTTEDASVHDAGLNSEFSSRSPSFGSRIREQTLDVNNSAVPGGLKDNEVSESEILCNHSDLNANGSHDNSKGRLGDSSNQGSLHRSSAVRSYSVTWDEKTEIVKPRNSVSCDVTLINTVQDSESLQVDSEPQNQNHTKAGAFSQADILLDTGKVRVSLSGANCMDEVTSEAENHADARNTLESDAEKEVECQTKWEVNPPCDFSSREMQPGNIRKQDRAAPNPSIVKLPNASQSSLKQDSSSMFMVLKPSGSSGHMPPPQETVDSSPNHEYSFGNNIHDNSEGKFDRGNRNSHLPESDIADSQIMMADKSRPKTSVACAPSIQLWANGGLFGVGASKPLFLGVMNNSSVDVTSGSRNCSSNLPNNILKTSKRINGSSTTSDAIFSFSESISRASKSAQKIDGESPVSRSLVQSNSSVNRFPARTNDLVQLNDSSKYPSSCHRNQQYDKDVKQKSQVPARSLSLGVCDMGRENHSIVTNVSSNRPVTTKNSAVVDGVPLSVVYNTKSQYHAYNQSTKGSSSGFSEPGQRFLANSLETKGSIANTDGSVPSGDKRKSEDISRLNNRMKAPSEAASLAFFGQGIKKQFDGESLERSTSSTSYLPEQSSPPLEHMKISFQPMNGLETSKMKLKVLNGSLPETSEDSIFPAFQLIQGPVDSSPDNGSESDDDTFCISCPSSEDLFSPLTDANSELWDKDERSECEDHELCDVSPRISMLNASFSGYMKLEKVNQSMMDMESGLGRYGVGNTEGTFQDVATLKLPVRGSAVSLGNQQRRHDSSSDEPASVQMKTNGHLPPPPPLPPMKWRISKPSITSGGDEAANFTDNTNYLNGLQTPTSFSPHQQDQSAPRQPCDSDLMMPYSNKTQDQQKPNKQKNLREGASNKEVDLWGELLCQIRNKSYNLRCTSMSKISLQPQATSM >Ma03_p25280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29381305:29390006:1 gene:Ma03_g25280 transcript:Ma03_t25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQSKIKLAALVGITLSVLSLLVHIFVANYSVGDFLRYKLQEDYLYPQGHKLWHRRLWGPVSSLEVLQPYAKPRNFYPVPGQQNGLIYAKIFGGFVKIRSSICDLVAIARLLNATLVIPEIQQSVRSKGISKKFKSFSYLYDEEQFIAALLDDVIVIKSLPADLKEARKRTKFPTISPKRSASPTFYIREVLPKLKQSKVIRMLVSDGGCLQPILPSSLDEYQRLRCRVAFHALKFRSEIQALGKQMVERLQATVGPYLSYHPGLVRNTLAFHGCAELFQDVHTELIQHRRKQLIKQGTVDEDLIVDSVSRKTNGSCPLLPEEVGLLLRAMGYPPNTVIYLAGSETFGGQRILVPLQAMYTNLVDCTSLCSKKELLNLIGPEYPLTSNLSQPPPVKSEKQLIEEWKKAGPRPRPLPPPPARPFYQHENEGWYGWVAETDIEPEFSPLDLRKQAHRLLWDALDYYVSVEADAFFPGFNNDGSGWPDFSSLVMGHRLYQTPSGVTYRPSRKTLAGLFGSVHDNLYHPTHNWMQLVRDHLNKSLGIDGLLAGAKSSKPANFLSYPFPECACRTSKSYDIPAHVMSSNGEILYGIEDECPEWMVRGLAMASFKTTGAKDEDVDEIELLEDDNETDGQSESDNGSRSDTSRSMEHDEEMDLDD >Ma10_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26383841:26384413:-1 gene:Ma10_g13650 transcript:Ma10_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLMLSCEEKQRGEVGGASRPSGAGGVRQFECKTCSRRFPTFQALGGHRTSHTRPRGRGDGCNPVQKMRPKLHSCPVCGLVFPMGQALGGHMRRHKTAAPDAHAAREGGARELRWLDLNLPPLENELQLQSLGFRYTAEGSATRDSSSYSSHSA >Ma03_p26990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30504737:30505501:-1 gene:Ma03_g26990 transcript:Ma03_t26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTTFFVIALLLFVSLGEAARHAPADPTNTQTEGVEEDSFEKMDEECGGVGKEECLMRRTLAAHTDYIYTQGKKH >Ma08_p32310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43006895:43007866:-1 gene:Ma08_g32310 transcript:Ma08_t32310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVACELGSMTVVRWCAGREKQQQQQQPMGFGGGRRMRRTRRRRGGTVRLGSRRRGLLLRRLVRWSQFRWRVVAELFAPLKKAVAEMVSGRELVRSQHLALPFICHFPVPLV >Ma08_p32310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43006895:43007866:-1 gene:Ma08_g32310 transcript:Ma08_t32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVACELGSMTVVRWCAGREKQQQQQQPMGFGGGRRMRRTRRRRGGTVRLGSRRRGLLLRRLVRWSQFRWRVVAELFAPLKKAVAEMVSGRELVRSQHLALPFICHFPVPLV >Ma04_p40150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37067027:37069693:-1 gene:Ma04_g40150 transcript:Ma04_t40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHSSRASAFCWTATPFRQSILDMKAQNHRSHHHSADADSAAAGSTASAPRAAEGHVPHQDNHIPHHLPPARWHPDDPSAALVRLMCSYGGRILPRPHDNQLRYVGGETRIVAVPRSASFAALLSRLSKFLPAAAVQPPCLKYQLPHEDLDALVSLTSDEDIESMFDEYDRLASANVRAPRLRLFLFPPPSVAFGSVVDAPGSTRDQWFVDALNGQGAGAACGPPSLERGRSEASSIVSEVPDYLFGLDTNSPPAKPVVQPASSEPGSPFSASSQLSVPPIPDLPPVKTKLHVEETGFNTVEPIATEQAGLVPNPVLSYAPEPVPVFYMPPSVHGGSLTMQQLPIPVQYMPPLRAVADSQLPLGFQPSFPVVAAKPIKGAPVYVGGTTDTMNLVP >Ma02_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25543943:25552417:-1 gene:Ma02_g19370 transcript:Ma02_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEEESPHPISEISIEGRGIPGDKVDKSEAEKVEALASAFDPEFLSCLLQPLVDHHDPNYVGIRRLLLHRKAVSSPVLDRRQEWRCNGRGYVAYRNFIRRPRNWESMAVASQSSSPGNSGRWAPSPGPQSILYEADSWSSSRDLRCSNQSFGHRASFSSNTSDLDRQIRYVEPAYSFVGMHCIFDNCKASVTIVKFGHMSSDLLAYGASDGSLTICHVSEPPSVFQQLRGHSKAITDFDFSSNNQYIASSSMDKTVRVWEISKGHCIRVIYGVSSQLCIRFHPVNNNLLSVGNANKEISEINFSTGRVINKLLFENEITAMDNDHTGQHIFAGDAQGCIYTVKVNSHTGSISRSHRNRSIRTKSPITTIQYRTFSLVARGPVLLACALDGNICFFSVALEVQGYLTLVCSLKLAPRVHNIQASFCPLLSLEKGEFVVSGSEDSNVYFYDLTRPKHTCVNKLQGHGCAVIGVTWNHGENLLASSDSDGTVIVWKRAQTS >Ma03_p32290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34157647:34158426:1 gene:Ma03_g32290 transcript:Ma03_t32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEQGIAISSMAAEQWSTEKIPRFPRRDSAIGNSDGMMFESNINFNFAPGFALFSFSGTPLRDDDWDFVEADPLSLISIPSIPSNVCNSDLQTCRGKALLTTISACTSYVRRNACMIPLSRHEQPIEVWRVPRRADGWVPIPSHPLPPFTFSFAYHPLTWRTMTAAIPCCDFHRRGYYIFAIFFFFFFSPLISFLLGVPRDRGNGEITWKTAPRVTWPLLTDLSPLICLVCRGLVDSLPPPAPSYIVLLGHSYVAVVL >Ma09_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26424466:26425017:-1 gene:Ma09_g19780 transcript:Ma09_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding VASIAAKLPGRTDNEIKIYRNTHLRKKLLRMGIHPVTHRPTTTNLNLLDALKLMQADAAQLVQLQLLQSLIQAVTSGSSPHMDSMSLFGSPSLGNNQANDLFSLNREIEGFLGGSLDLQDSVSAVPDLSGVGQYLSMDLQHVPESSFCKDGGGAEISNVPSAISFQSFRFYSICFSLSRDQDH >Ma09_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7304735:7320939:1 gene:Ma09_g10730 transcript:Ma09_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHGDDEEKWLAEGIAGIQHNAFYMHRALDSNDLKDALRYSVQMLSELRTSLLSPHKYYELYMRAFDELRKMEMFFREETARGTISVIELYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPVRGLFLRSYLCQISRDKLPDIGSEYEGDADTVNHAIEFVIQNFTEMNKLWVRMHHQGPTREKDKREKERSQLRDLVGKNLHVLSQIEGVDLDIYKETVLPRVLEQVVNCKDELAQHYLMDCIIQVFPDEYHLQTLETLLGAFPQLQPTVDIKTVLSQLMDRLSNYAASSIEVLPEFLQVEAFSKLSNAIGKVIEAQVDMPVVGAITLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGRAKLEDSRATKQIVALLSAPLEKYNDIGTALKLPNYPRVMDHLDNGTNKVMAVVIIQSIMKNTTCISTADKVEALFELIKGLIRDMDETQDDEIDEEDFKEEQNSVARLIHMLHNDDPEEMLKILCTVRKHIILGGPKRLPFTVPPLVFSALKLVRHLQGQDGDVIGEEISATPKKIFQILHQTIEALLSVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEVADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDEQDGIKDGERVLLCLKRALRIANAAQQMANVTRGSSGPVVLFIEILNKYLYFFEKGNPQVTSSVLQGLIELIKTEMQTDGSSDPSADAFFASTLRYIQFQKQKGGAMGEKYDPIKI >Ma08_p28850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40675818:40676618:-1 gene:Ma08_g28850 transcript:Ma08_t28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKRAEILMQIMLVVSAVAAFGVGYTIGSFRTMMLAYAGGVVLTTLITVPNWPFFNRHPLKWLDPSEAERHPKPQVNSAAASSKKKAAKHK >Ma10_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30776866:30778330:1 gene:Ma10_g20720 transcript:Ma10_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSNSPCAACKFLRRKCIPGCIFAPYFPPEEPHKFANVHKIFGASNVAKLLNELLPHQREDAVNSLAYEAEARVKDPVYGCVGAISVLQRQVQRLQKELDAANADLLRYACNDIPLGLPVPPTMASPMGAYRRTEFSRRIGNRGGPLFQAPALAVPSPPPWSTDIFSGDHSNNPVEDTDQTGDESSI >Ma10_p20720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30776866:30778330:1 gene:Ma10_g20720 transcript:Ma10_t20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSNSPCAACKFLRRKCIPGCIFAPYFPPEEPHKFANVHKIFGASNVAKLLNELLPHQREDAVNSLAYEAEARVKDPVYGCVGAISVLQRQVQRLQKELDAANADLLRYACNDIPLGLPVPPTMASPMGAYRRTEFSRRIGNRGGPLFQAPALAVPSPPPWSTDIFSGDHSNNPVEDTDQTGDESSI >Ma02_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23338151:23340844:-1 gene:Ma02_g15770 transcript:Ma02_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEEGGEPSTVEQAVAKGSAVGEGGKKGGGRGGEGLKKGPWTPAEDAILVEHVRRHGEGNWNAVQRHSGLARCGKSCRLRWANHLRPNLKKGSFSPEEELLILRLHAQLGNKWARMAAQLPGRTDNEIKNYWNTRLKRRQRAGLPIYPPEMHDDARLDGHHHHDPQRQVNTTSPVTLRQLAAELPSLQLPPLVDPIAFRPLSGITLSPFPQNPFASQLGLGFPPSPASQPPTPTSLFQPPQQLGLGNYAMNQPPPFSPAFAVKMELPSCQLFAEPVGRSDEPSSCGLLEALLQDTQTIEDMKIAELLELQEADEEGTRWEQLFCDRGNDGEGIKETSQGCSSAKFEGFDCCMGTKIKSEQPGDASLANDDIATLLDIPVSTMSMIPDWCNSDGRDISNGQSLVTTSDEIGLDIHQLASSLSAGSTEQDWNISLWPWNNMPGIC >Ma08_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23339502:23341438:1 gene:Ma08_g17160 transcript:Ma08_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKIKKFFFFFFLLGSILLTKNIVVKDYLVRHVDLSIRSL >Ma08_p33560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43830132:43832550:1 gene:Ma08_g33560 transcript:Ma08_t33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPLNTDLGFNVAASPSRYASLVTLTVSRRRRGAPWNRCDSMGLPPPFRRGKNGRGGRRFSSDLSRQITAALPSSLRKRYSA >Ma02_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20140200:20140374:-1 gene:Ma02_g10640 transcript:Ma02_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFWIEQITLEFCCVSFQFSQSEI >Ma04_p23030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25230212:25239042:1 gene:Ma04_g23030 transcript:Ma04_t23030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSRKGLIAADRWKGQQRSDLPLTTKLLSDVPPEIELSDYGKLPDSGTESPTGLLDGENLRAETIVDLDIFFRRLYSYYCEKGLWCIITKWIVEILNVIFMVLFIAFFLLYVDWDALRKAKCGIEAVESGQKPCDLAKEVVKHQPLVPFTVTKAIIIASMVILTLYALLKFLKFFTQLKSTVMIRHFYYNRLNITDRDIQTTPWPQVLEKVVQLQKSQQLCVVKELSAHDVVMRIMRKENYLIAMLNKGILAFPISSWVPGAGPAVKSRTAGRKNHLILPKTLEWTLNWCIFESMFDRHAEQFYHHPSAASSRRWSNLSKWIFREFNEVDHLFIHRLNNSVVPASNYLKQFPSPLITIIAKFVSFVSGGFAAILIIFAILDESLLEGQIFGRNLFWYAAVFGTVTAISRVAVTDELQVLDPEGAMSLVIQQTHYMPKRWCGRENSDSVRTEFETLFQYTGMMLLEEMASIFVTPYLLIFVVPRHVDDILQFISNFTVYVDGVGDVCSFSLFDFQSHGNRKHGSPFDTERYKWSSQGKLEKSFLSFQSTYPCWEPSRHGQQFLSGLRKFREKQMHPQTVQENPSTHTSHLKSKLKDQTELTKRFFSGDEQHGNQGISPTDHKLGSLWAISPSQKTHPYIWDWYYTDFQLNRDVNPNNAITLPTEEMCPPLNKQLTEAEDDENWCPQFSDRHHSQLEGSSSRNDAIQHQSPEHHDIGRWWDRHPGPSSFVPQASFLEPPCYGQQNFDFHSDDTVWRSEAQVANGVEDNSVQKNLHNMSRTTDMDDSGTDDDAFNLHFTDDNDKSFIGKTSYTPNSVPSITIPVTIIPSSKDPVW >Ma04_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25230212:25239042:1 gene:Ma04_g23030 transcript:Ma04_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSRKGLIAADRWKGQQRSDLPLTTKLLSDVPPEIELSDYGKLPDSGTESPTGLLDGENLRAETIVDLDIFFRRLYSYYCEKGLWCIITKWIVEILNVIFMVLFIAFFLLYVDWDALRKAKCGIEAVESGQKPCDLAKEVVKHQPLVPFTVTKAIIIASMVILTLYALLKFLKFFTQLKSTVMIRHFYYNRLNITDRDIQTTPWPQVLEKVVQLQKSQQLCVVKELSAHDVVMRIMRKENYLIAMLNKGILAFPISSWVPGAGPAVKSRTAGRKNHLILPKTLEWTLNWCIFESMFDSNFCLQRDFLNNPSSLRKRLIYVGIGLFLISPCLVIFMLVYLFLRHAEQFYHHPSAASSRRWSNLSKWIFREFNEVDHLFIHRLNNSVVPASNYLKQFPSPLITIIAKFVSFVSGGFAAILIIFAILDESLLEGQIFGRNLFWYAAVFGTVTAISRVAVTDELQVLDPEGAMSLVIQQTHYMPKRWCGRENSDSVRTEFETLFQYTGMMLLEEMASIFVTPYLLIFVVPRHVDDILQFISNFTVYVDGVGDVCSFSLFDFQSHGNRKHGSPFDTERYKWSSQGKLEKSFLSFQSTYPCWEPSRHGQQFLSGLRKFREKQMHPQTVQENPSTHTSHLKSKLKDQTELTKRFFSGDEQHGNQGISPTDHKLGSLWAISPSQKTHPYIWDWYYTDFQLNRDVNPNNAITLPTEEMCPPLNKQLTEAEDDENWCPQFSDRHHSQLEGSSSRNDAIQHQSPEHHDIGRWWDRHPGPSSFVPQASFLEPPCYGQQNFDFHSDDTVWRSEAQVANGVEDNSVQKNLHNMSRTTDMDDSGTDDDAFNLHFTDDNDKSFIGKTSYTPNSVPSITIPVTIIPSSKDPVW >Ma04_p23030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25232392:25239042:1 gene:Ma04_g23030 transcript:Ma04_t23030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMRKENYLIAMLNKGILAFPISSWVPGAGPAVKSRTAGRKNHLILPKTLEWTLNWCIFESMFDSNFCLQRDFLNNPSSLRKRLIYVGIGLFLISPCLVIFMLVYLFLRHAEQFYHHPSAASSRRWSNLSKWIFREFNEVDHLFIHRLNNSVVPASNYLKQFPSPLITIIAKFVSFVSGGFAAILIIFAILDESLLEGQIFGRNLFWYAAVFGTVTAISRVAVTDELQVLDPEGAMSLVIQQTHYMPKRWCGRENSDSVRTEFETLFQYTGMMLLEEMASIFVTPYLLIFVVPRHVDDILQFISNFTVYVDGVGDVCSFSLFDFQSHGNRKHGSPFDTERYKWSSQGKLEKSFLSFQSTYPCWEPSRHGQQFLSGLRKFREKQMHPQTVQENPSTHTSHLKSKLKDQTELTKRFFSGDEQHGNQGISPTDHKLGSLWAISPSQKTHPYIWDWYYTDFQLNRDVNPNNAITLPTEEMCPPLNKQLTEAEDDENWCPQFSDRHHSQLEGSSSRNDAIQHQSPEHHDIGRWWDRHPGPSSFVPQASFLEPPCYGQQNFDFHSDDTVWRSEAQVANGVEDNSVQKNLHNMSRTTDMDDSGTDDDAFNLHFTDDNDKSFIGKTSYTPNSVPSITIPVTIIPSSKDPVW >Ma08_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7333978:7334875:1 gene:Ma08_g10050 transcript:Ma08_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRPCLLPVLMFVGVLASVALAQQGKIAVIGTVPCSTATATATVSAKSMPAFPNATVQVRCGSSVIASTTTNSNGAFAMLLSEQTSTVSDLLSSCKLVIPTPVSTCDASLRATGNLQSPLQLLSGTGLDGLLGNKSLLGDIFGKGGLLGGILGGDGLLGGILGPSKFTVARN >Ma08_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14182760:14183511:1 gene:Ma08_g14660 transcript:Ma08_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNRAEVAPNFEVLPADPAKAQRRTQDSAVHSTGTRARKAAAVSTEKPREARGEDESLASGVAEASPPLAAAVVAKEEEGSAGWDGAAELGGGNGWWWWWGCVEEEKLLGWFPFANEDFNYCEGSGGGELGDLLWEEADHDIWQLQHIYEIPNTTKQ >Ma10_p06130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17592861:17598285:-1 gene:Ma10_g06130 transcript:Ma10_t06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRGFRRRKRAAKDAAAAGQEGGDWWVDFSRRITGHLSLPEEPRKFESVFKISRTTFNYICALVRDDMMSKTSHLAFADGKILSVEDQVAVALRRLSSGESLLNIGVSFGVNQSTVSQVTWRFVEAMEERGIHHLKWPTTQEMEDIKCKFEKIRGFPNCCGVIGTTHIMMCLSSADTSNVIWVDHEKKHSMLLQAIVDHQMRFRDVATGWPGSMNELSVLRSSGFFKMCEKGTRLDGEEMELPEGFQVREYIIGDSGFPLLPWLLTPYQGKDLSDAKTEFNKRLSATVAVAQSALAKLKDMWKIIGGEMWRPDKHRLPRIILVCCLLHNIVIDLEDEVRDDALSSHEHDTSYKQQLCNIADNNGVMLRDKLSAYLSGRLPP >Ma10_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17592861:17597835:-1 gene:Ma10_g06130 transcript:Ma10_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKTSHLAFADGKILSVEDQVAVALRRLSSGESLLNIGVSFGVNQSTVSQVTWRFVEAMEERGIHHLKWPTTQEMEDIKCKFEKIRGFPNCCGVIGTTHIMMCLSSADTSNVIWVDHEKKHSMLLQAIVDHQMRFRDVATGWPGSMNELSVLRSSGFFKMCEKGTRLDGEEMELPEGFQVREYIIGDSGFPLLPWLLTPYQGKDLSDAKTEFNKRLSATVAVAQSALAKLKDMWKIIGGEMWRPDKHRLPRIILVCCLLHNIVIDLEDEVRDDALSSHEHDTSYKQQLCNIADNNGVMLRDKLSAYLSGRLPP >Ma10_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32666554:32667095:1 gene:Ma10_g23920 transcript:Ma10_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPFLASCTSNLHLVTINMVVGDVAPAANGTNGRTVCLTGAGGFNACWLVNLFLEKVYTVKGTVINTDDPKNAHLKAMKGAKEAERKRPTFSTSTLFVLLLIVVRASSTLFALSQTTPNK >Ma11_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1719059:1735384:-1 gene:Ma11_g02390 transcript:Ma11_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPEEPVVSKKSGLLFERRLIEHHIASHGKCPVTKEDLTIDDLVPVKTNKVVKPRPLQAASIPGLLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARVLLAQAERQIPLLTASAANATTIVSNGKRAAEDELGPDGKRIRPGINPAIIAELTECNALLSGQRKKRQIPATLASVDALERFTQISSHPLHKTNKPGILSIDIDASKDMIATGGFDTNGVLFNRTSGQILSTLAGHSKKVTSVKFVPGSEVIVTGSSDKTVRVWQGTEDGSYDCRHILRDHTAEVQAVTVHATQKYFVTASMDSTWCFYDLSTGSCLTQVGDASTEEGFTSASFHPDGLILGTGTTGAIVKIWDVKSQSNVAKFDGHVGSVTAMSFSENGYFLATAAMDGVKLWDLRKLRNFRSFAPYDPNTPTNSVEFDFSGSYLAIAGSDTRVYQVANVKLEWNLIKTFPDLSGTGKVTCVKFGADAKYMAVGSMDRNLRMFGLPGDDSEEPRSPDN >Ma08_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3880730:3884040:1 gene:Ma08_g05700 transcript:Ma08_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSVDYWRKFFRSANSDIFEVIEQAILVAASDCPRQFRSRRDQIVEKLFAALLPRCFGCDRVELRGAEGDGSMRRLGEKESKADSSNDGPESLNRAVSNYTYDEAEALTEEIEEEGQIVGEVMRIKEILGNQHDESDAVLLESLRRLQLMELSVDVLKATEIGRAVNGLRKHNSKQIRHLVRTLIDGWKVLVDEWVSAAAAVAGNSPDSVTPSVIDDEEGLPSPPLDEGALFATQTTSIQLSKFFDGMDDDGNFRNSGESDEGWENGTKPTNYEILKKQQPMQLPVAREENREMRRGPQQSNFVKEKGHVRRREPWQSAIPEDKLHMRRRELEMRQPELHEICVWQATSQSILSKQSKAVIVESGPGKSAKFAPEQKASSEAKVKQQQDTAALKRKPPMILQDKSKYSEEASVQAKLEVVRRKLHEGYRQAENAKKQRTIQVMELHDIPKQAHNSQPVPKSRSHFRNRANGWQ >Ma10_p31220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37395706:37426513:1 gene:Ma10_g31220 transcript:Ma10_t31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGILGGGRSSWGYGHPAAARQIGGRWWQQEVKRRERWLVGLGIVLHAVYMLSIFDIYFKTPIVHGMDPVPQRFSPPAKRLVLLVADGLRADKFFEPDSDGRFRAPFLRSVIKEKGRWGISHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFAFGSPDIIPIFCRSVPHSTWATYPHEYEDFASDASFLDQWSFDQFHSLLNRSRNDPKLRQMLLQDKLVIFLHLLGCDTNGHAHRPYSSIYLSNVKVVDKIAEDVYNLLENYFNDNQTAYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIKNPGWLISDSLSDDGFRFVDEHKHDMPTPTEWGLRGIERVDVNQADLAPLMATIVGLPCPVNSVGNLPLGYLSLNKAEEVEAVFANTKQILNQFLQKSRLKQLSSLYFRPYKPLANFSSFLDQIEDLIAAREYKAALKTCHILRAMSLEGLHYFQTYDWLMLMATIILGYIGWMMNLTLHVLQSYTFLGNIFLKKTQESSLRITKKNVYLGGCLLMSLLSVILYLENSPLLYHAYISMTVFLWTQILSKLQLLNAIWKELSARNFISNMKLLSVLVMSFIILEFLVASFFNRKLYTWFFLIAGILAALHLFFIASGRHIMALYLWSSCWFLSMFTLMPAEIPDNNPLVVASGGLIVLIGMASRWIQSSSRGDNFKLYIVQMSEQTSQFHKLFLVQASLVVVSSLMVWLSTSHRALKKELLPMHQLINWSIAVVSMILPLFSPPSILSRLTSIFLGFAPPFLLLSIGYEAVFYSALALVLMGWILLECVNLYSAECQGPLHVRNLEDNPTGGGYNARYLQLSDIRIPLFFMVLFNIAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFLLVICVFSAISKLIRVPRLGCYFIVIIFSDLMTIHFFFLVRNTGSWMEIGNSISHFGLMSAQVVFVLLLLALTSIYTKDIEVPSQKLSWGKAT >Ma11_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6242293:6243712:1 gene:Ma11_g07820 transcript:Ma11_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAEGKGGYWAGLEGRRCDSCKGAAALLYCGADAAYLCGECDARVHGASLLALHHERVWVCEVCEQAPAAFTCKADAAALCVACDADIHAANPLARRHERIPIVPFLEPLKPSTTSAAAFLLGNEKDEEKENDATSWLLPNPGHTHPKVLIGAPEIKSTEFYFSDVNPYLDPEYSTKMDAGFYQADSVVPVHAKASGFDGGAPPPPSFLPADAPIELDCAPSKPSYGSYTTHSMSHSVSSSEAAVVPDGIGNGAAPTDREARVMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRSEIEAKLDRLYPSSAAAAFMVDGGYDVVPSF >Ma03_p32930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34518598:34520918:1 gene:Ma03_g32930 transcript:Ma03_t32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLFGPGAIMSLGHGKENCSVPCLIEHFKELGSSDSLTEESSADHTGTSLKICAVKAGGMMSLAIDDHGSLWIWGNCPQANDDGEFCLSSSSVPLPVWNFHGHTVVKVACGNEHVVAVVSAGETYTGGDDLVCYAWGNNNHGQLGLGDKEVRLRPEVISTFNEESSWVVYEVACGAFHTAILTNKKSYNQEIESKCWTFGLGENGQLGHGTTKSICLPQTVDALPQDAFLISLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDAGDAYLPLRIRASETNGFRFTGPMQVACGAAHTVLVSDNGYKLWAWGRGRSGVLGRGQTADSYVPSAVMWPPLDTVFKDEKLKTDDLISKTEDHEVGKTVEMDHKLSAATEELNFLKTKLTLMERYAGLLHLSIFRKPLDERRLPQSLQDSGVFDVRKELENVLEKADDEELIRMEMFYRSMLSTVKDRLMKRRVRELVKECLVSLSTGRQHHSP >Ma03_p32930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34518590:34520787:1 gene:Ma03_g32930 transcript:Ma03_t32930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLAIDDHGSLWIWGNCPQANDDGEFCLSSSSVPLPVWNFHGHTVVKVACGNEHVVAVVSAGETYTGGDDLVCYAWGNNNHGQLGLGDKEVRLRPEVISTFNEESSWVVYEVACGAFHTAILTNKKSYNQEIESKCWTFGLGENGQLGHGTTKSICLPQTVDALPQDAFLISLDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDAGDAYLPLRIRASETNGFRFTGPMQVACGAAHTVLVSDNGYKLWAWGRGRSGVLGRGQTADSYVPSAVMWPPLDTVFKDEKLKTDDLISKTEDHEVGKTVEMDHKLSAATEELNFLKTKLTLMERYAGLLHLSIFRKPLDERRLPQSLQDSGVFDVRKELENVLEKADDEELIRMEMFYRSMLSTVKDRLMKRRVRELVKECLVSLSTGRQHHSP >Ma03_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28741412:28742007:1 gene:Ma03_g24460 transcript:Ma03_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRIRCPNPEPSTSRIEERLHRFLKPGALARLRDSRISSARPGLSVALLRLSSPSSRSPAAPRPAAAQNDGIFPCFAVRAYGPRFLQRRKLAAAAKSIFFAPPSPDLPEPVSDAFPFDLVAAR >Ma04_p29380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30330189:30344539:-1 gene:Ma04_g29380 transcript:Ma04_t29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHKRPFSISRSSGGGTPNSNSGASGGATHISPELSPAMKKAKPQLAATCSLEKEKNGLHPQPHHSNTTAAAAVAAQSGEEDDAMLVDQEELKPGASAPVMVTGVAANLSRKKATPPQPSVKKQLVIKLVKGKPSLPKNFEEDTWATLKSAITAIFLKQPDPCDSEKLYQAVSDLCLHKMGGNLYQRIQKECETHISSTMSSLVGQSPDLVVFLSLVEKCWQDFCDQMLTIRGIALVLDRTFVKQTPNIHSLWDMGLQLFRKHLALSPEVEHKVVTGLLRLIEKERQGEAIDRTVLSHLLKMFTALGIYTESFEKPFLVCTSEFYAAEGVKYMQQSDVPDYLRHVESRLHEEHERCLLYLDASTRKPLVATAEKQLLERHTSAILDKGFTMLMEANRVDDLQRMYTLFQRVDALELIRQALSSYIRGTGQVIIMDEEKDKDLVPYLLEFKASLDKIWEESFFRNEAFSNTIKDSFEHLINLRQNRPAELIAKYVDEKLRAGNKGTSEEELESILDRVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFKQSSQARTKLPTGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYHGRRLMWQNSLGHCVLKAEFPKGKKELSVSLFQTVVLMLFNDTQKLSFQDIKDSTGIDDKELRRTLQSLACGKVRVLQKIPKGREIEDDDSFVFNEEFSAPLYRLKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >Ma06_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7309588:7313394:1 gene:Ma06_g10580 transcript:Ma06_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTC [Source:Projected from Arabidopsis thaliana (AT1G75330) UniProtKB/TrEMBL;Acc:A0A178W4A4] MAAVTIFGSASLHSSIADPVRGGSHRRSSAPRLLPSSSTPARFFGTRGSRISCRSSAPAHSAISGSKESELKSGPKDFLHISDFDKATILKIINQAVEVKALLKSGDRTFLPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDVIMARVFAHQDILDLAKYSSVPVINGLTDYNHPCQIMADALTIVEHIGQLEGTKIVYIGDGNNIVHSWLLLASVVPFHFVCACPKGFEPDEKTVDKARNAGISMIEITNDPKEAVRGADVVYSDVWASMGQKEEAAYRKQKFQGFQIDESLMEIAGPKAYFMHCLPAERGIEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHLFGL >Ma08_p21340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35261378:35263920:1 gene:Ma08_g21340 transcript:Ma08_t21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSPSSSLSPIHQEISSSDGLPIQQSLLLSESLKGLRNMRSQLYSAAAYFELSYINDDQKEILVTSLKDYAVKAILNAVDHLGSVSCKVNSVVSEEVDEVSVAESEVSCIEQRLRTWQASIDHEGLSQQSLSLRPPKYHERYILPGEFMDEGFHPPEDNYKLQQHQTAIGRSTSPIRNVPSRSTSPSTREHSSSPSRSRRSTSPSPQAEKISSEEKRSTSPLGASNPLARTRSAANRPTVPKSSKQSHLESQNSLPKSLRAERKETPNKKRGFLKSLLKRNRSWMDDSLYSYLDEY >Ma08_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35261378:35263920:1 gene:Ma08_g21340 transcript:Ma08_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSPSSSLSPIHQEISSSDGLPIQQSLLLSESLKGLRNMRSQLYSAAAYFELSYINDDQKEILVTSLKDYAVKAILNAVDHLGSVSCKVNSVVSEEVDEVSVAESEVSCIEQRLRTWQASIDHEGLSQQSLSLRPPKYHERYILPGEFMDEGFHPPEDNYKLQQHQTAIGRSTSPIRNVPSRSTSPSTREHSSSPSRSRRSTSPSPQAEKISSEEKRSTSPLGASNPLARTRSAANRPTVPKSSKQSHLESQNSLPKSLRAERKETPNKKRGFLKSLLKRNRSWMDDSLYSYLDEY >Ma00_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2021117:2021494:1 gene:Ma00_g00690 transcript:Ma00_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTRTQEVSTSTPLIEDQIRNYRRNQRRIFNARRRLGQLISRNPDSNIQVLEQQIDPQAQLQLSMRERAAIAPAEILYHSRRDDESVELSNSQRDHSLDSGATPTACLSFIVVNLAHLSQHMD >Ma03_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16872968:16877583:1 gene:Ma03_g15690 transcript:Ma03_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G70530) UniProtKB/Swiss-Prot;Acc:Q9CAL2] MTVRVRIRRPVFFLMVVVISSATLITPSLSDPRTSQAALICTNHTASAAARSSFVANFLSAMDAVTPQITARQYARVVVTGGSNPDNASAVYAFGQCMKDLSKADCDMCFATCKTQILKCLPFQKATRGGRNFLDGCYLRYDEYEFFGEALSQDDRVICSDQEFAGNTTAFTENTKNLVKKLSTEAPRNDGFLVGSVEAGNSSVYGLGQCWEFVNKSSCEKCLLNARKTINSCIPKQEGRVLNSGCYMRYSISRFYNNSGTDAAGGGGGHLAVILAVVSSAVAFVMILASIIFFGKRKILQRRKVRRQLGALATTIRRSSLNFKYETLERATNYFSDANKLGQGGSGSVFKGVLPDGRSVAVKRLFFNTRQWVDQFFNEVNLISGLEHKNLVKLLGCSVTGPESLLVYEYVPNKSLHHYLSDNANAKLLSWNIRYKIIMGTAEGLAYLHEESQLRIIHRDIKLSNILLDEEYAAKIADFGLARLFPEDKTHISTGIAGTLGYMAPEYLVRGRLTEKADIFSFGVLLIEVITGRRNSSRSEDPISTLQEVWNLYTSNRLLEAIDPILEGNFPMEKATRVLQIGLLCAQASAELRPAISMVVKMLVDDSPIPSPTQPPFLNSSMQIALAARMKANSRHGTSTQSSDNNTTITVIEPR >Ma07_p26520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33286262:33293611:1 gene:Ma07_g26520 transcript:Ma07_t26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGSSEMTLWFSVLILLSVSAVAHHLGNETSTKGFISLDCGGAANSSYEVESTDIEYTSDDRNISAGESHSIASNYLNSVSTPLQNLRSFPSGSRNCYTLTTVQKNTAYLVRATFMHGDYDGSRSAGGGALPLQFDLHIDVNFCKTVNITDASTAYAVEVVVYLLADSVSVCLINTGFGTPFISVLELRPLNEALYTDVLSGTTSLVLFVRLDLGTTANEVVRYPEDRYDRLWEPFVSWSERLHFQPSLDSLNTTLNVTNSVGDRVEAPLVVMQTAAVPLNSDKLEFYWDFADSGAPVNEFYANLLFSELLPNNSRAFNIYLNGRSLSNDYTPPQLVSDTVNNGNRPLTPSPRYQWTLNSTNSSSLPPILNALEVYTLMHLKNNLTDSDDVAEINKIRVQYSVKRNWMGDPCTPSQYAWEGLNCSSSGTDLTRIVAINLSSSALNGPISPNFAMLERIESLDLSHNNLTESIPDDLGNLPLLRVLDLSGNDLTGEIPASLRQKSDAGTLTFRYDGNRYLCINATFCEATPGKSTSKKISTVVVVILCLIALLLLLGVIFFVWKLRKSSGKISKLVRGHRDNPFQLENRQFTYEELEKITNNFKNDIGKGGFGTVYHGCLEDGTQVAVKLRSHSSSQGTKEFLAEAQNLIRIHHKNLVSLVGYCMDGDHLALVYEFMSLGTLQDHLRGKSSGVTTLTWGQRLQIAVEAAQGLEYLHKGCRPPLVHRDVKTTNILLSDSLEAKIADFGLSRAFQNDVDSHVSTTVVGTPGYLDPEYYFTYQLSEKSDVYSFGVVLLELITGQPPILKHPQHNASLVQWVHQRLATGNIEDIVDANLQSLYEVNSIWKTADIAFKCTSRTSQQRPTMTDVLMDLKEGLALELARETSELPSMSGKNLDAENTGISQTSTNEIQYLVGFSPAAR >Ma07_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7694166:7697710:1 gene:Ma07_g10320 transcript:Ma07_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLRHENDHPGCMQSLLHFLDLHQRLRIRKTLAYRRSSSARVKSPKKKQNSASVGDDQNSLDKDEDLLTKNKENSGGRSSPNALMKSLISRRRSREKDKKQNTSPSSSQMQRTLSIHHLESDDYVSPDELTPQNENFATELTSDVTECSSSNEAVPPLPMTSERAAIEKQSRFGRSKSHVNWASRHQLVDKKLNVARDSIPNDLGRDAALYKSKEFMEMMQLFSENKELFLKFLQDPTFIFTDYTQEQAASISAMKLTKSGSFPAAGWSHRNRPLSLDDKQEENGSIDAPSSTCNAVEDGAHSMESMAHPDAANMLKAESEALDRAELGFAETKNTKNVGNVSTRFKVLKQKIKYIIKENKKEHQRISMDSILHKIPYGEKVSENVKKEAESLWHRRLGKSISRAKKIGLLSSSNKQTLRSIRKSSSLTESLGRYSQLLESVSSTESKRSPDMSMMIKEDTDSLRQKTPKLLKRIFSLPELPTGSASKDLRNEVSNSQLLVRPTSRAVARSTTVKTCDTSQLDSVAALTSNEHSIEPEKLVEHFMEEDVSGIPVETEEPSVPIDEQEQSIVDEDSFSSLDGSKILVTDSKVHEDTTSPTGETPQSETEESELMTIAEADFGIDASLTMENLEQQQIEETPTQTKFSEFSSFHIQVHEGEEAEFEYVRNILMMSGFSSEEAFGEWHLPDQPVDPSLFEDSLDDLETAAAEPNITLKHMLLFDLINEVLLETYDTSFAYCPWVLHADCQIRPLTVGHRVLEEVWAVISKHLSYQLLLDQTVESTVARDFTRNDGWMNLQHDTEYVALDLEDLLLEDLIDEIVLEFTGLSCSSMLHVPYYN >Ma03_p08430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6111660:6122476:1 gene:Ma03_g08430 transcript:Ma03_t08430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGLVLGALLVVLIWYLWKGLNYLTWRPYVITEAFRKQGVRGPAYRFWSGSLGEIRSISKAAMEQILDVKSHDISTRVQPFYRKWTSEYAGEPFLFWFGPEPRICVCHPELIKQVLANKFRFYPKIDPPPNVTSLLGKGLILVEGTEWVRHRRVVGPAFHMEKLKILTKTTAECAKAMLEGWEAEYQQKEIDVSAQFQELAVDLISQATFGSSFTEGKEVFLAQQELQKIVVASNLSINIPGTKYIPSRSNLYKWKLEKRVRSKLVSIIQARVDSKERCGYGNDLLGLMLEACHKPEGQILSRDDIVDECKTFFFAGQDTTAQFVTWTMFLLSTNQNWQEKLREEVQRECGMQTPDADMLSKLKLVTMVLLETLRLYGPVDVLRRKAGKDMTLGKINIPKDTEIVMPIMLTHRNKEIWGPDADEFNPLRFEHGVTKAATHPTALLAFAVGPRACIGQNFAMLEAKTVIAMILQRFSFSLSSEYKHAPRRSTTVQPQYGLPVVLKPLRAGT >Ma03_p08430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6111658:6113945:1 gene:Ma03_g08430 transcript:Ma03_t08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGLVLGALLVVLIWYLWKGLNYLTWRPYVITEAFRKQGVRGPAYRFWSGSLGEIRSISKAAMEQILDVKSHDISTRVQPFYRKWTSEYAGEPFLFWFGPEPRICVCHPELIKQVLANKFRFYPKIDPPPNVTSLLGKGLILVEGTEWVRHRRVVGPAFHMEKLKILTKTTAECAKAMLEGWEAEYQQKEIDVSAQFQELAVDLISQATFGSSFTEGKEVFLAQQELQKIVVASNLSINIPGTKYIPSRSNLYKWKLEKRVRSKLVSIIQARVDSKERCGYGNDLLGLMLEACHKPEGQILSMDAIVDECKTFFFAGQETTAQFLTWTVFLLSTNQNWQEKLREEVLRECGMQSPDADMLRKLKLVTMVLLETLRLYGPIDVLRRKAGKDMTLGKINIPKDTEIVMPIMLTHRNKEIWGPDADEFNPLRFEHGVTKAATHPTALLAFAVGPRACIGRNFAMLEAKTVITMILQRFSFSLSSEYKHAPRRSISVQPQYGLPIVLKPLRAGIRIG >Ma03_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6111661:6113945:1 gene:Ma03_g08430 transcript:Ma03_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGLVLGALLVVLIWYLWKGLNYLTWRPYVITEAFRKQGVRGPAYRFWSGSLGEIRSISKAAMEQILDVKSHDISTRVQPFYRKWTSEYGEPFLFWFGPEPRICVCHPELIKQVLANKFRFYPKIDPPPNVTSLLGKGLILVEGTEWVRHRRVVGPAFHMEKLKILTKTTAECAKAMLEGWEAEYQQKEIDVSAQFQELAVDLISQATFGSSFTEGKEVFLAQQELQKIVVASNLSINIPGTKYIPSRSNLYKWKLEKRVRSKLVSIIQARVDSKERCGYGNDLLGLMLEACHKPEGQILSMDAIVDECKTFFFAGQETTAQFLTWTVFLLSTNQNWQEKLREEVLRECGMQSPDADMLRKLKLVTMVLLETLRLYGPIDVLRRKAGKDMTLGKINIPKDTEIVMPIMLTHRNKEIWGPDADEFNPLRFEHGVTKAATHPTALLAFAVGPRACIGRNFAMLEAKTVITMILQRFSFSLSSEYKHAPRRSISVQPQYGLPIVLKPLRAGIRIG >Ma01_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17616075:17616302:1 gene:Ma01_g20330 transcript:Ma01_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRQILIFLTAIVFVDLPTVGGLKRTKGGCKENEGNACALTTIAIATATAICSCPTHKASCFKPFFASIQDWYPC >Ma09_p25630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37025967:37028208:1 gene:Ma09_g25630 transcript:Ma09_t25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHKWSLFSVVIHLSFAAMVIPLVSSLSFNFTSFREDDQSFHIEDDASFNGTVINLTQYPMQYDIGRVVYNEPLLLWDADTRNLTDFTTNFSFIIDSVNQSSYADGLAFFLSPYGSTIPTYSRGGFLGLYSNSSLDNTTVRTVAVEFDTFSNDWDPKGDHLGIDVNSIISNKTVPWNSRVRDGRRANAWVNYDATTFNLSAFVTYGEDQLSNGSTSLSLTVDLRDFLPERVAVGFSATTGNLTETHTLLSWSFISTLQSPEENRGNKKLVGIVVAAVVGVVVVLGGLLWFLLWRKKATARAVRIQGGTGSFVGAEDGVDSDGTIDDEFEKEGRPKRIPYQELVRTTRNFSEEGKLGEGGFGSVYKGNLDGLDVAIKRISKDSKQGRKEYVSEVKIINRLRHRNLVRLIGWCHARGEFLLVYEFMPNGNLNSHLFRSENPLGWHARHKIALGLASALFYLHEECEPYVVHRDVKPSNVMLDSAFNAKLGDFGLARLVDHDCGLRTTNLAGTLPYMAPEYLHNGTASKESDVYSFGIVALEIACGRRPMEAMLLAEWVWELHGRGAVLDAADKRLNGNFTEAQMERLMVVGLWCAHPDQSLRPSIKQAINVLNSETPLPKLPPRRPRPVYRHPSDDMAAPATSSNFVTATSSSASAASASASSSASAASSSSDVKPPTATHSTNSLNISISPTSTYQTIHERLLHKVDV >Ma05_p25240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37224648:37225313:1 gene:Ma05_g25240 transcript:Ma05_t25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Ma07_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6997210:7000285:-1 gene:Ma07_g09340 transcript:Ma07_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSPKVSTSSLIDAKLVQHRVSVSKQCPGCGHKLDRKPDWVGLPAGVKFDPTDQELVEHLEAKVKAEDLTSHPLIDEFIPTIEGDDGICYTHPEKLPGVRRDGLSKHFFHRPSKAYTTGTRKRRKIQSTCDLQRGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGESEEEKEGELVVSKIFFQTQPRQCTWSDKSSTTAAATAEGMDQIRDSGGASCSSDLVSKRDEHSYSSMEMHQHLMKPDNFSFAPFRESFNEVAVGEEPKARDEHSEHEHLVGSPHHQVAQEHQHLQQLQVVPTAAFHITRPMHPIPAIVSTHPHRQTSMVLEDPYQVSRILLQSDKFQQLELQQKLDHRSASGLEELVMSCTSARTNETSIAHSQETEWHFPFWQSDNPNHHGG >Ma11_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21720346:21726863:1 gene:Ma11_g16160 transcript:Ma11_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MAATAAISTLFFSTRPPAAGEARRIRGSRRRVRCSVASDPAVRDPGGEAAAGRLSVDCVVVGGGISGLCTAQALATRYAGAAAEVLVTEARNRVGGNITTVERDGYLWEEGPNSFQPSDPVLCMAVDSGLRDDLVFGDPNAPRFVLWNGKLRPVPSKPTDLPIFDLMSIGGKLRAGFGAIGIRPPPPGHEESVEDFVRRNLGDEVFERLIEPFCSGVYAGDPAKLSMKAAFGKVWKLEQNGGSIIGGTIKAIQERNKNPKPPRDPRLPKPKGQTVASFRKGLAMLPDAISSWLGNKIKLSWKLTSIRKLDDQGYILMYETPEGLTSVQTRSVVLTIPSYIASNLLRPLSNDAADALSKFYYPPVAAVSISYPKEAIRKECLIDGELKGFGQLHPRSQGVETLGTIYSSSLFPNRAPPGRVLLLNYIGGATNTGIVSKTESELVEAVDCDLRKMLINPNADDPFVLGVRVWPQAIPQFSIGHLDHLEAAKATLSKGGFQGLFLGGNYVAGVALGRCVEGAYDSASEVSDFLTKFAYN >Ma02_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28663561:28668416:-1 gene:Ma02_g23800 transcript:Ma02_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASATAASSSSLAIAVPHSGRLRKSSLLLSRTNIAVRRNFPSVVKASARVDKYSKSDIIVSPSILSANFSKLGEQVKAVDVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTIDQVKSLGAKAGVVLNPATPLSAIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRLCAEKGVNPWIEVDGGVGPSNAYKVIEAGANAIVAGSAVFGAKDYAEAIRGIKTSKRPLAVAA >Ma03_p28010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31204065:31209786:-1 gene:Ma03_g28010 transcript:Ma03_t28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAKPSAVRAVRKLMGAGASAILGRGFNSSKCKTEAKMATARIKLLRNKREAQVRQMRRDVAMLLESGRDETARIRVEHVIREQNVMAANEIIELFCELLVARLPIIAKQRICPPDLKEGISSLIYASPRCSEIPELSRIVHMFEKKYGKDFVSAATDLRPESGVNCMLIEKLSVRKPTGEIKLKVLKEIAKEYRVTWDAAESEQELLKPPEEALEGPCTFTSGASFPAKPTLPLHDIQPNEHYIRPNNNREGSILQFNDPMSAAEAAAESAEKAIQAAQAAAHLANQNSHSFDQPTQMDTLICNLNKFNQPERLQRQSLSSCQPYGTNDLSENEVKPPGKFFNSQSFNCSNNMDDDSMDTVNLDEKRILRRNSCTTHTAHSDIKFDDSDGLESDTDEELEMDSPPLESHRPPSRPPPVLPSQQVHSEESYADSHQESSKKNSGTC >Ma01_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7786099:7786793:-1 gene:Ma01_g10830 transcript:Ma01_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESSSFLSCDKLDRVVNWVGASVSSAFFASLERCSCITLSTTDNDDEVEEEAKDRPLMLTKPVSIDGPDDATSPAVSTNPSVVDKLAPV >Ma03_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23923948:23938102:1 gene:Ma03_g18390 transcript:Ma03_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPKAPESLNRENHDDGSAASFFDIYGPDGKADVIYKTPAADSTLNLQDIQGLVTWVIGDGLMPSWVFVKNKPLIQKVILLYIPGLDAALYMENSGLLTGLRDSCGAPKPALALSCVSDELQTIDALLTCKVKRKRDEVDLKPKTSGQTFEQGELFALENLRELPFPIHYYTLSRKELEDNGYILNQPGVIATLPSPSGSPLHEILALDCEMCVTAEGFELTRVTLVNVAGEVVLDKLVKPSNSILDYNTRYSGITREMLNDVTTTIKDVQEEFLKLVHKETILVGHSLENDLLALKISHDLVIDTAILYKHSRGACYKIALRVLSSRFLSRQIQASGNGHDSIEDARAAMELALLKIRHGPDFGLPPKFTRSKLVAALHQSGKSCSLVDDVSILKRYSDESCNSIPVFSDSEALSRAIKEVKNEKVSFIWTRFSGLFSYFKKQAQDVERLRHRIAEVISSLTCKRKKARTTIKHGAVCSELKDILTGIDVQIQKLYNALPNNALLIVSTGHGDTAIVQRLRKMLTDGCDENINREEVVRALEELQARAEVALCFVCVKH >Ma02_p11640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20715936:20726134:-1 gene:Ma02_g11640 transcript:Ma02_t11640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKFTDQNKIIFVGDMNVKSGTCNVCSATCSSCMHRIAAAMESDGDCGSSDNIFERKEADSRSFVGAKCGSCNDLQIAASETSNLLSGSSSHDSYSENADSKTVRKSTAYDTYEDIDIPLKVSTVEAVKEDKVLRNGTASLGNGTSCSFCRPDFENGASAREQYVPGSHGNNDSCITGGRDSNPLLINDNLKLDMRDTPCSSVSTCKLDAKETEVLNQVEATCEYDGGFMDAGCGNSGKLSTFPGESFCKKSDSLGLHSKSDLTEASTRKNISPRPNIDFHSQSEHISSHNADSKDMEAYPPCQVLEEPCKRLIVDDESSYQGLTAAGSDHAPKTIMLPNNEASKAIRIRRDISSGKFMNEDGCFESASGFGSSECNLHESGKPQQSLLHGQVSESDCMLYDVKVCDICGDTGREELLATCSRCIDGAEHTYCMRIMLDKIPEGDWLCEECWMKEELEMKRLDKTETFSGKLNVQCLNKKGENIGKSMNPENLANLNTKPTDPEACGSSKEMQNPMGTRKRHTYQMDLASPMVKKVSETADEALKAASPKICSAFAHENSSKNFHTAEVKRTNMASSLGSQYAKSSWTSSHSPSLGYSSSIVKEKLFPSKGGSASKQVSFKKNVEPKVEHLAEGVHQNLAKESTPRNTQYQSLVETINKSASFNNNSSGHHNFQSSENYQSIKSPQDEDPGSLKPKKERNVTERKSSFVLDHPFASPHVGRKSLPDLGMKVALLNRNSSKNLEPSILGTSKGLNRENDAEYKEVKQRQPSLKCKSYGIFDSENRKRGKFVNEEPVHANSGANNRSYNDAGGVTSSVSSFAESWHQAHSKDKTKSIPNTSRLTISGGKSALCSGKLTKASYATQCHQIDKLTLYSAKPSADVSLIDGTSKRNRWKDVAKAAMSRNNKSRIVDQSECRLLSNHVNSEGSSRSSLTSSICQKNFPLEGAPDGKVILRSSDTDYSKTDSAANMGQTEHSTKSLCNSGVGDLNVNPTNFDELNEKPSTQSLPHHPSLLATISRSVIIPEQECIWQGAFEILKIGTITEIVDGIQAHLSMFASPKVHEVVCQFPCKIQLEEVPRVSLWPLQFQEINPKEFNIALYFFAKDSESYERSYRKVLEAAIENDLALKGNISEVELLIFPSSMLPESSQRWNMLFFLWGIFRGRKSDSLKPHTDLQELCESTIITDPTVQELSPSHTGELSTFQKHDSQKYPPKEFSRGNESSGPSTSGPDDICKTQNFLYKAAGEKESGELSNHNSDLCPRIYSFDLNEICPAVKSNETNLGNLDDVAVAGKIPGIHANSVRHSLSGSLLGYSASSFGQDNGGTRKIKEKECSVIEFGTKDNIMKIDCLSWGSKPNMKRAHTCSATYGEASQSTDGANTWQEKAGYFCSEDDLEHKRMKHYSEGHGTGSHRDHSIDGRSPFKVQPFVTSYLHEQQQRYGVNYGIVMTESLRCTERSFFPVDACPLRNNVVENFGYFISRDDEASQEPDTPDLELSLGGKKQSLKKEIFASFHPSVDKGGLYKLSGSTFSEDDTSACLSLSLTSPDMEKKNTENPVPKELHRGNTILNLFGGSTDT >Ma02_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20715936:20726134:-1 gene:Ma02_g11640 transcript:Ma02_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKSGTCNVCSATCSSCMHRIAAAMESDGDCGSSDNIFERKEADSRSFVGAKCGSCNDLQIAASETSNLLSGSSSHDSYSENADSKTVRKSTAYDTYEDIDIPLKVSTVEAVKEDKVLRNGTASLGNGTSCSFCRPDFENGASAREQYVPGSHGNNDSCITGGRDSNPLLINDNLKLDMRDTPCSSVSTCKLDAKETEVLNQVEATCEYDGGFMDAGCGNSGKLSTFPGESFCKKSDSLGLHSKSDLTEASTRKNISPRPNIDFHSQSEHISSHNADSKDMEAYPPCQVLEEPCKRLIVDDESSYQGLTAAGSDHAPKTIMLPNNEASKAIRIRRDISSGKFMNEDGCFESASGFGSSECNLHESGKPQQSLLHGQVSESDCMLYDVKVCDICGDTGREELLATCSRCIDGAEHTYCMRIMLDKIPEGDWLCEECWMKEELEMKRLDKTETFSGKLNVQCLNKKGENIGKSMNPENLANLNTKPTDPEACGSSKEMQNPMGTRKRHTYQMDLASPMVKKVSETADEALKAASPKICSAFAHENSSKNFHTAEVKRTNMASSLGSQYAKSSWTSSHSPSLGYSSSIVKEKLFPSKGGSASKQVSFKKNVEPKVEHLAEGVHQNLAKESTPRNTQYQSLVETINKSASFNNNSSGHHNFQSSENYQSIKSPQDEDPGSLKPKKERNVTERKSSFVLDHPFASPHVGRKSLPDLGMKVALLNRNSSKNLEPSILGTSKGLNRENDAEYKEVKQRQPSLKCKSYGIFDSENRKRGKFVNEEPVHANSGANNRSYNDAGGVTSSVSSFAESWHQAHSKDKTKSIPNTSRLTISGGKSALCSGKLTKASYATQCHQIDKLTLYSAKPSADVSLIDGTSKRNRWKDVAKAAMSRNNKSRIVDQSECRLLSNHVNSEGSSRSSLTSSICQKNFPLEGAPDGKVILRSSDTDYSKTDSAANMGQTEHSTKSLCNSGVGDLNVNPTNFDELNEKPSTQSLPHHPSLLATISRSVIIPEQECIWQGAFEILKIGTITEIVDGIQAHLSMFASPKVHEVVCQFPCKIQLEEVPRVSLWPLQFQEINPKEFNIALYFFAKDSESYERSYRKVLEAAIENDLALKGNISEVELLIFPSSMLPESSQRWNMLFFLWGIFRGRKSDSLKPHTDLQELCESTIITDPTVQELSPSHTGELSTFQKHDSQKYPPKEFSRGNESSGPSTSGPDDICKTQNFLYKAAGEKESGELSNHNSDLCPRIYSFDLNEICPAVKSNETNLGNLDDVAVAGKIPGIHANSVRHSLSGSLLGYSASSFGQDNGGTRKIKEKECSVIEFGTKDNIMKIDCLSWGSKPNMKRAHTCSATYGEASQSTDGANTWQEKAGYFCSEDDLEHKRMKHYSEGHGTGSHRDHSIDGRSPFKVQPFVTSYLHEQQQRYGVNYGIVMTESLRCTERSFFPVDACPLRNNVVENFGYFISRDDEASQEPDTPDLELSLGGKKQSLKKEIFASFHPSVDKGGLYKLSGSTFSEDDTSACLSLSLTSPDMEKKNTENPVPKELHRGNTILNLFGGSTDT >Ma02_p11640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20716862:20726134:-1 gene:Ma02_g11640 transcript:Ma02_t11640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKFTDQNKIIFVGDMNVKSGTCNVCSATCSSCMHRIAAAMESDGDCGSSDNIFERKEADSRSFVGAKCGSCNDLQIAASETSNLLSGSSSHDSYSENADSKTVRKSTAYDTYEDIDIPLKVSTVEAVKEDKVLRNGTASLGNGTSCSFCRPDFENGASAREQYVPGSHGNNDSCITGGRDSNPLLINDNLKLDMRDTPCSSVSTCKLDAKETEVLNQVEATCEYDGGFMDAGCGNSGKLSTFPGESFCKKSDSLGLHSKSDLTEASTRKNISPRPNIDFHSQSEHISSHNADSKDMEAYPPCQVLEEPCKRLIVDDESSYQGLTAAGSDHAPKTIMLPNNEASKAIRIRRDISSGKFMNEDGCFESASGFGSSECNLHESGKPQQSLLHGQVSESDCMLYDVKVCDICGDTGREELLATCSRCIDGAEHTYCMRIMLDKIPEGDWLCEECWMKEELEMKRLDKTETFSGKLNVQCLNKKGENIGKSMNPENLANLNTKPTDPEACGSSKEMQNPMGTRKRHTYQMDLASPMVKKVSETADEALKAASPKICSAFAHENSSKNFHTAEVKRTNMASSLGSQYAKSSWTSSHSPSLGYSSSIVKEKLFPSKGGSASKQVSFKKNVEPKVEHLAEGVHQNLAKESTPRNTQYQSLVETINKSASFNNNSSGHHNFQSSENYQSIKSPQDEDPGSLKPKKERNVTERKSSFVLDHPFASPHVGRKSLPDLGMKVALLNRNSSKNLEPSILGTSKGLNRENDAEYKEVKQRQPSLKCKSYGIFDSENRKRGKFVNEEPVHANSGANNRSYNDAGGVTSSVSSFAESWHQAHSKDKTKSIPNTSRLTISGGKSALCSGKLTKASYATQCHQIDKLTLYSAKPSADVSLIDGTSKRNRWKDVAKAAMSRNNKSRIVDQSECRLLSNHVNSEGSSRSSLTSSICQKNFPLEGAPDGKVILRSSDTDYSKTDSAANMGQTEHSTKSLCNSGVGDLNVNPTNFDELNEKPSTQSLPHHPSLLATISRSVIIPEQECIWQGAFEILKIGTITEIVDGIQAHLSMFASPKVHEVVCQFPCKIQLEEVPRVSLWPLQFQEINPKEFNIALYFFAKDSESYERSYRKVLEAAIENDLALKGNISEVELLIFPSSMLPESSQRWNMLFFLWGIFRGRKSDSLKPHTDLQELCESTIITDPTVQELSPSHTGELSTFQKHDSQKYPPKEFSRGNESSGPSTSGPDDICKTQNFLYKAAGEKESGELSNHNSDLCPRIYSFDLNEICPAYACRGTWMMLLLLERFPVFMQIVYDIALVDHCLDIQLLLLDKIMEGQERLKRKNAQ >Ma02_p11640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20715936:20726134:-1 gene:Ma02_g11640 transcript:Ma02_t11640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKFTDQNKIIFVGDMNVKSGTCNVCSATCSSCMHRIAAAMESDGDCGSSDNIFERKEADSRSFVGAKCGSCNDLQIAASETSNLLSGSSSHDSYSENADSKTVRKSTAYDTYEDIDIPLKVSTVEAVKEDKVLRNGTASLGNGTSCSFCRPDFENGASAREQYVPGSHGNNDSCITGGRDSNPLLINDNLKLDMRDTPCSSVSTCKLDAKETEVLNQVEATCEYDGGFMDAGCGNSGKLSTFPGESFCKKSDSLGLHSKSDLTEASTRKNISPRPNIDFHSQSEHISSHNADSKDMEAYPPCQVLEEPCKRLIVDDESSYQGLTAAGSDHAPKTIMLPNNEASKAIRIRRDISSGKFMNEDGCFESASGFGSSECNLHESGKPQQSLLHGQVSESDCMLYDVKVCDICGDTGREELLATCSRCIDGAEHTYCMRIMLDKIPEGDWLCEECWMKEELEMKRLDKTETFSGKLNVQCLNKKGENIGKSMNPENLANLNTKPTDPEACGSSKEMQNPMGTRKRHTYQMDLASPMVKKVSETADEALKAASPKICSAFAHENSSKNFHTAEVKRTNMASSLGSQYAKSSWTSSHSPSLGYSSSIVKEKLFPSKGGSASKQVSFKKNVEPKVEHLAEGVHQNLAKESTPRNTQYQSLVETINKSASFNNNSSGHHNFQSSENYQSIKSPQDEDPGSLKPKKERNVTERKSSFVLDHPFASPHVGRKSLPDLGMKVALLNRNSSKNLEPSILGTSKGLNRENDAEYKEVKQRQPSLKCKSYGIFDSENRKRESWHQAHSKDKTKSIPNTSRLTISGGKSALCSGKLTKASYATQCHQIDKLTLYSAKPSADVSLIDGTSKRNRWKDVAKAAMSRNNKSRIVDQSECRLLSNHVNSEGSSRSSLTSSICQKNFPLEGAPDGKVILRSSDTDYSKTDSAANMGQTEHSTKSLCNSGVGDLNVNPTNFDELNEKPSTQSLPHHPSLLATISRSVIIPEQECIWQGAFEILKIGTITEIVDGIQAHLSMFASPKVHEVVCQFPCKIQLEEVPRVSLWPLQFQEINPKEFNIALYFFAKDSESYERSYRKVLEAAIENDLALKGNISEVELLIFPSSMLPESSQRWNMLFFLWGIFRGRKSDSLKPHTDLQELCESTIITDPTVQELSPSHTGELSTFQKHDSQKYPPKEFSRGNESSGPSTSGPDDICKTQNFLYKAAGEKESGELSNHNSDLCPRIYSFDLNEICPAVKSNETNLGNLDDVAVAGKIPGIHANSVRHSLSGSLLGYSASSFGQDNGGTRKIKEKECSVIEFGTKDNIMKIDCLSWGSKPNMKRAHTCSATYGEASQSTDGANTWQEKAGYFCSEDDLEHKRMKHYSEGHGTGSHRDHSIDGRSPFKVQPFVTSYLHEQQQRYGVNYGIVMTESLRCTERSFFPVDACPLRNNVVENFGYFISRDDEASQEPDTPDLELSLGGKKQSLKKEIFASFHPSVDKGGLYKLSGSTFSEDDTSACLSLSLTSPDMEKKNTENPVPKELHRGNTILNLFGGSTDT >Ma01_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5933368:5934358:1 gene:Ma01_g08270 transcript:Ma01_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPTHEMPSMDASSSDCQLKCCADCRTTKTPLWRAGPSGPKSLCNACGIRYRKNGRALPVSKKKKVEIGSGGGEGFGGVFQASEAGVGFAGARTMIQKQRRRGMLGEEEAAVSAGFLYA >Ma08_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:420601:422824:1 gene:Ma08_g00470 transcript:Ma08_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQLKTPVCGGDDNVNNSEKEKTKTALMRAFVERRDPDAKEVDNLTLRRFLRARDLDIEKASAMFLKYLKWKKTAVPNGFVSETDIQNELAQTKVFMQGRDKAGRPIGVVFGAKHFYSTREMDEFKRFVVYVLDKLCASMPGGQEKFVGIVDLQGWGYSNCDIRGYIAALDIMQNYYPERLGKAYMVHVPYLFMKAWKIIYPFIDNNTRKKIVFVENKNLKATLMKDIEESQIPQTYGGELPLIPIEKSTM >Ma08_p34590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44574091:44578590:1 gene:Ma08_g34590 transcript:Ma08_t34590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIGSLPPPFRLPPFTCDLHPDETVTGFCASCLRERLAGLDAAPGRRSTSSVSALKSVFSRVSASSHPSFLRPELRRCKSVSFTRRAVASAFGFESQRSSCDVRGRYTLWSLFRQDDLGRGYQPFAPSASTAAAPATMEGGGIELECRSLRFVPSSSATVPSLGTRADEDDGDEIRAADPVLQVGVSSDVDGADAVEETEVKPMKDHIDEDVQAKKLPSKDLKEIAGSFWFAASVFSKKLHKWRRKHKDKKRGEAAAAVMSAEKPPKFSRRLRDTQSEVAVDAFGRRSCDTDPRFSLDAARMSFDDPRFSLDEPRASWDGYSIGGQAAFSRLPAMLSVVEDAPAAAVLRSDGLIPVEKDAVTPGGSAQTRDYYLDCSSTRRWRSLDRSNSNSIREHQLNELKPVSYAKVSPAGGTFFPFHHANLLDRDLRDLSSKSLSNEYVGSLDASFRDLREGAATKKPGKWSKAWNIWGFIQRRSNSRAGANVVERSLSESWPGLQSHQGYNGRILWRNSTVSSRSSFGVHGGYGGIRHSSLDINGQSKRRKDYVLERNRSARYSPSYGDNGMLRFYLTPMRNSRRNGSSRKGRHINSQYFVRNMLGLY >Ma08_p34590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44574091:44578590:1 gene:Ma08_g34590 transcript:Ma08_t34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIGSLPPPFRLPPFTCDLHPDETVTGFCASCLRERLAGLDAAPGRRSTSSVSALKSVFSRVSASSHPSFLRPELRRCKSVSFTRRAVASAFGFESQRSSCDVRGRYTLWSLFRQDDLGRGYQPFAPSASTAAAPATMEGGGIELECRSLRFVPSSSATVPSLGTRADEDDGDEIRAADPVLQVGVSSDVDGADAVEETEVKPMKDHIDEDVQAKKLPSKDLKEIAGSFWFAASVFSKKLHKWRRKHKDKKRGEAAAAVMSAEKPPKFSRRLRDTQSEVAVDAFGRRSCDTDPRFSLDAARMSFDDPRFSLDEPRASWDGYSIGGQAAFSRLPAMLSVVEDAPAAAVLRSDGLIPVEKDAVTPGGSAQTRDYYLDCSSTRRWRSLDRSNSNSIREHQLNELKPVSYAKVSPAGGTFFPFHHANLLDRDLRDLSSKSLSNEYVGSLDASFRDLREGAATKKPGKWSKAWNIWGFIQRRSNSRAGANVVERSLSESWPGLQSHQGYNGRILWRNSTVSSRSSFGVHGGYGGIRHSSLDINGQSKRRKDYVLERNRSARYSPSYGDNGMLRFYLTPMRNSRRNGSSRKGRHINSQYFVRNMLGLY >Ma06_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12084222:12085263:1 gene:Ma06_g17790 transcript:Ma06_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKSLDLQMIPWCFHVVGLACRPAASSRTSPTPPVQAEGVRLIGSDGRVRVYHRPVAAAELMKEHPCHLVCRSDAFFIGQKVPPLAAGDQLQPGHSYFLLPSHFFHSVLSFVTLATSLLAPSGAGKRALLRPFDIQKTASGTLQIRVADEFLEEESRDGTSRLVTTDALEKEYRTLVRCRSSQWKPKLETIRESERRSRGVNPFGGFKRRKKKKGSL >Ma01_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6992432:6995809:1 gene:Ma01_g09760 transcript:Ma01_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLQRDPPTSCSAGPVGEDLFHWQATIMGPSDSPYAGGVFFVKIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTLSKVLLSICSLLTDPNPDDPLVPEIAHMYKTQSSRYEETARAWTQKYAMG >Ma02_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27378346:27379167:-1 gene:Ma02_g21870 transcript:Ma02_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVLSTRQRPKALRGVVASPPTSSPPASALTAPRLHESENTCRRLRDGRDIYMHVSLCWEINRIIVNELLAGLYLMFVFHFPQEKMHANTRIFKY >Ma10_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33150687:33153515:1 gene:Ma10_g24660 transcript:Ma10_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAREANVYMAKLAEQAERYEEMVEFMEKVAGAAAAGEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNHDHVDAIRAYRGRIEAELSSICAGILRLLEDRLIPAAAAADSKVFYLKMKGDYHRYLAEFTTGSERKDAAENTLAAYKAAQDISLAELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGMDEIKEASKLDDE >Ma03_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6900527:6902243:1 gene:Ma03_g09340 transcript:Ma03_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNELKATADMGFFPPPLPPFPGALGSPFGERECKGVEQGWVFQISRWGGQEHHGGEEDEGGGNSTTHESVQHHKLCARGHWRPAEDTRLRQLVSQYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEKRLLAAHRLYGNKWALIARLFPGRTDNAVKNHWHVIMARKHREQSNGYRKRKPLTSSLSSPPPEALLPKRPEGNSTSHGSCSCDSTIISTKDESASTCTTDLSLNSFSTITSVVGPSSLSRHNPPPYQTHLHDLVNGYGEKVLSAGNQCHQKSDDPGSGFLPNGGAPIRWFPGFDQSGSSANPEISAKGTVDYHMSKAWLQGETTYGREKISLPFIDFLGVGAT >Ma01_p01360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:959120:962441:-1 gene:Ma01_g01360 transcript:Ma01_t01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHRLVLRRSGGLMPSGIPSKPKLGLRVLGIFSAWPRLASFAPVKASSGVGDTSVSHSTIVPASAAEPGHRRDPVLGSLEVDDVEEKLEKVIYRCRFFAFLPVAGSLMGSIICFLKGCTSVIDSFGEYFLSGGKVILMLVGAIDVYLIGTVMLVFGMGLYELFISNFDIAKMSSSGSNLLGLFKLLERPRWLEIKSVNELKTKVGHVIVMALLVGMFENSKKVTISSPADLLCFAASILLSSSCLYLLSKLHATK >Ma01_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:954611:962441:-1 gene:Ma01_g01360 transcript:Ma01_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHRLVLRRSGGLMPSGIPSKPKLGLRVLGIFSAWPRLASFAPVKASSGVGDTSVSHSTIVPASAAEPGHRRDPVLGSLEVDDVEEKLEKVIYRCRFFAFLPVAGSLMGSIICFLKSAILTIPSAVQGCTSVIDSFGEYFLSGGKVILMLVGAIDVYLIGTVMLVFGMGLYELFISNFDIAKMSSSGSNLLGLFKLLERPRWLEIKSVNELKTKVGHVIVMALLVGMFENSKKVTISSPADLLCFAASILLSSSCLYLLSKLHATK >Ma01_p01360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:959120:962441:-1 gene:Ma01_g01360 transcript:Ma01_t01360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHRLVLRRSGGLMPSGIPSKPKLGLRVLGIFSAWPRLASFAPVKASSGVGDTSVSHSTIVPASAAEPGHRRDPVLGSLEVDDVEEKLEKVIYRCRFFAFLPVAGSLMGSIICFLKSAILTIPSAVQGCTSVIDSFGEYFLSGGKVILMLVGAIDVYLIGTVMLVFGMGLYELFISNFDIAKMSSSGSNLLGLFKLLERPRWLEIKSVNELKTKVGHVIVMALLVGMFENSKKVTISSPADLLCFAASILLSSSCLYLLSKLHATK >Ma06_p25980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26589939:26595478:-1 gene:Ma06_g25980 transcript:Ma06_t25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNPPGAIRRSSSAEGQEDSDKDDEDITDDALRATIKQSKKVLEIQKNLLRQIVERRKFVSSIKDNFVTKEEETVSYNQNYSSFSNMDIDNDEDFDRTNNPWSYSDNYAQTTEADVLDYGEETIDGIEVEQSVAETLGLLREADLEPSQPQDMPPVIFEESEYYTMNDEILEVSAEEGMQGSNEQQEVPPEEGIMDSAPLAGPNVMNVIVVAAECAPWCLPVGGLGDVVGALPKSLARRGHRVMVVAPRYGNYAEPKEVGVRKRYKVDGQVFICSMSSWSETSCRYNIIFLAFPLLVLQDMEVMYYHAFIDRVDFVFIDSPVFRHIGNDIYGGNRLDILKRMILFCKAAVEVPWHVPCGGTCYGDGNLVFVANDWHTSLLPVYLKAYYRDNGLMIYARCVLVIHNIAHQGRGPINDFSYVDLPGHYMDFFKLYDPVGGEHFNIFAAGLKAADRLVTVSHGYAWELKTPEGGWGLHGIINDNDWKFQGIVNGIDTRSWNPKFDMYLQSDGYTNYSLETLQMGKSQCKAALQRELGLPVRDNVPIISFIGRLDDQKGVDLIADAMSWLVDQDLQLIMLGTGRPDLEDMLRKFESEHHDKVRGWVGFSVKMAHRFTAGADVLLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVKQFDPFNETGLGWTFKRAEANKMIEALGHCLNTYRNYKDSWEGLQRRGMMQDLSWDNAAQRYEDVLVAAKYQW >Ma09_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8840942:8844067:1 gene:Ma09_g13100 transcript:Ma09_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSAVDPLREFAKDSVRLVKRCHKPDRKEFSKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Ma04_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24361675:24363198:1 gene:Ma04_g21940 transcript:Ma04_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGNAGNAPRTNHSTHPHPSGDDPWISHFCHPHPLELTSLEQALTPTICAGCASPAAGCVYSCKACNYVLDVSCAKMPRRIRHPAHPHSVNLFATPPSKDGPSNCDACGRSSSGFTFYCDPCGFRLHCQCAAKPLTINHRTHPHPLNLIFSPPYEDKGFSCDICGDAGLNHWLYRCAACEFDAHIGCATGGTLQPLARTPTTQQQAPARTPKPQQQAPARTPTPRQQQASPRPSAPLQQASPRPSTPQQQAARRPRTSQQQGPRLPTSQQQGFQRPFAPQQLAPPLSLLPPGLLQPLRPLLPGLLQPLRPLLPPGLLQPPRPLWTQPPQMNQATQGSNNSVEQQNGEGTGSREQQNGEGTKSEEHHNGEGTESVEHHNDEGDIPDTTGEEDGDVGGSSSGYHWNQDGCDDGSDWMMTQDGGYDESSSVPEDSFGGSDESYEVNMEVTFEL >Ma02_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5959725:5961764:1 gene:Ma02_g00610 transcript:Ma02_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNVFEKNILVNINIHLPQYSTLVSFSLYMDSHKQAGIVYNGGLVPLLKLLDSKNGCLQHNAAFALYGIAENEDNVSDFIKVGGVQKLQDGEFIIQATKDYVAMTTKRLEEKINGPIRFYLLYLMRVGEKVVQRRIALALAHLCSPEDQRTIFIDDDGTLVKKSPTLCFVDAASPSPSPQVYLGEQYVNSSTLSYVSFLVEGCKCFYAHRIALLASSDAFRAMFDGGYQVRELMCQPCLFFFRIRP >Ma10_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16569815:16573731:1 gene:Ma10_g05420 transcript:Ma10_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNLPWSGELTAFEGKPVEEGSGAGQMDDSGTSNSSVLNAEASVDVADEDSCSAQPAAAFEFSILKNSASVERENEVEEEMFEEDQRRPGLLTRELFPPAPVVPHVPQLPLVDSSSSSCRAHWMDLTFSQVDGPIELRVLHQQQQQQQLQQQQQQQQAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLCDYEEDLIQMKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIRYNGKEAVTNFEPSAYEGEQLSEGNSEGQGEREEL >Ma10_p05420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16569818:16573731:1 gene:Ma10_g05420 transcript:Ma10_t05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNLPWSGELTAFEGKPVEEGSGAGQMDDSGTSNSSVLNAEASVDVADEDSCSAQPAAAFEFSILKNSASVERENEVEEEMFEEDQRRPGLLTRELFPPAPVVPHVPQLPLVDSSSSSCRAHWMDLTFSQVDGPIELRVLHQQQQQQQLQQQQQQQQAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLCDYEEDLIQMKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIRYNGKEAVTNFEPSAYEGEQLSEGNSEGHDLDLNLSISQPSVNSPNGDQNPLGSSQFKASDADTARQGEREEL >Ma09_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1454216:1457019:-1 gene:Ma09_g01960 transcript:Ma09_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAAATKMHLIKGDRHLYAKSDDSVVVKQILATHSPDGRDIDTMPLLKLVEDILQRATPTVIVTPQAHLELVEDKAHHLEVVGMLEALAYTIHKISCELTCKCSGGGDGHATTLSLFNSLSNYSWDAKVVIALAAFAVSYGEFWLTAQLHTVNPLAKSVALLKQLPDILEHTEALKPRFDALNNLIKAMVDVTKCIVQFKELPSEYISPDAPDMAMALAHIPTAVYWTIRSVVACASQIISLIGLGHEHVSSTSEAWELSSLAHKLSNIHDHLTKQLELCYRHIGEKKNIEAYQTLVHLFQTVHIDNMKILKALMYSKDDLPLVDGTTKRRVSVDVLRRKIVMLFISDLDISQEELFVLIQIYSDTHQGKIERHYEIVWLPIIDRHVPWGGAKEETFNRLASMMPWYSLHHPSLLEPPVIKYIRDVWHFDKRPMLVVLDPQGKVVCPNALHMMWIWGSLAFPFTSNREEALWKDETWRLEFLIDEIDPVMLGWVKEGRHVCLYGGEDIEWIRRFTTLMRRASQEARIPLEMVYVGKSSPRDRVKKAVTVIANEKLSGYWQDPVMMWFFWTRLESMWYSKMHHGKTVENDPIVQEVLTMLSFDGSDDGWAVVSRGSVEMVKAQGKMINNCLETFDKWKVRVEEEGFIPALTNALQPFHTPEHCTRLILPGDTGRITEQVVCAECKRPMEKFVLYRCCNE >Ma06_p27610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29557723:29562965:-1 gene:Ma06_g27610 transcript:Ma06_t27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQYRPSGTERLAVRVHNDPVDRIPLRPARIRRLGKAHRRPSAAVLAVALAVVLLVTFATSGRLSSSRKDVRRDLTKDGDENDELEFHPRVNRSAHSTELKFGRGSGKIGRDSRSWDGDDRRRDNDFNKEGLSKEQHGVEKKGFSESGTSGKEQVSMKDRLDKVEEKGGGKTEDKLSNEGGRAELDSHEEKNEVSVEDDEELKQDTEVKEDDLDDEYNDATDMQDTHNEIDNADRIRELGEGDGREVKKDASLGMREKDSGKTQGGAGGSLNSKKGQARVGGETMRHSSSEKKSGSKRKPKHHKFSSSSCEIKFLNSTAQIVEPLHGKKIASFSLQYTHIENRPNGLENWEPRFAGHQTLEEREVSFIAQDQTINCGFVRGPTGSPSTGFDITEDDVKFMSSCHVAVSSCIFGNSDRLRTPFSRTITPLSRKNVCFVMFMDEITLKTLLSEGQKMENGVIGLWKIVVVKNLPYTDMRRVGKIPKLLTHRLFPSARYSIWLDSKLRLQSDPYLILEYFLWRRGYEYAISNHYDRHCVWEEVLQNKKLNKYNHTVIDQQFEFYQSDGLKRFDPSDPNKLLPSYVPEGSFIVRAHTPMSNLFSCIWFNEVDRFTPRDQLSFAYTYLKLRRTNPAKPFHLNMFKDCERRSMAKLFHHRAEEKRKNPT >Ma10_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27794310:27799285:1 gene:Ma10_g15860 transcript:Ma10_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVGCGGGGGDGWSEAVEDLVDRGDVEGAISVLESVVSRLQTLDGPPSPSGDLRLAAALGDLADLHSSRGFSLKADELRSRGFAIRARGSVPPLTPTSGGSEPVREKISPQEEIRVSTPSSDHEEDEDDWEAIADRGIHDESLLSSNAGDGEASSSSRKDPEVLVTPKRRGRGSFLYQKSCLYSEQVDAVTLADDNSKLDIESIEGHQRRAEDDAASGGRNSGYGTNHVLVLYDFPPSTRTTELEKFFEKFKDGGFAIRWVNDTVALAVFRTPAFARDAQNITHYPFKVRSLQEDDNLWNQICTKDLEPPYPRPKTSARTAQRLIAQVVGIKPSTEFGSNDLRKQEEARKNRIVTRRSLRDDAWGSDDP >Ma07_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:677567:678557:-1 gene:Ma07_g00840 transcript:Ma07_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHNLHLFSSQHLLRSRFQLLITIWNQPSLHTMQMGFLSPVSGAAAAPGSVLGFNNVASAAVLAPRKRLRQVSLLGDDMSSHLQQQVLDIDRLILQHAERARAELTERRKRFTTQILAALEEGMSKRLRASQEEVARLGKMNWALEERIKSLCVENQMWRDMAQSNEATANALRANLEQFLAAQARAEEEAATADDAESCCCVGDGEEEAGVRREWRRACRSCHDGEPSVLLLPCRHLCLCAACGPAVDACPVCQCSKSGSVCINMS >Ma03_p30660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33203698:33204834:1 gene:Ma03_g30660 transcript:Ma03_t30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLASSDLCGKSQMHPGIGSPIPSILLLLALSSTPSSSSESYYRYADCASHTYSCGGTKINISYPFRVDGRADYCGYPGYYVSCSKNNTSMTIEIDGKGYVLKDIDYLNHLITVVDPPFVNQSCPQPYQNTSLDFSMYSYSDRDKNVTVFVNCTALSPPIPEVHDMGCAPGGRHGFYQLTDENHIEMFENCSSMVVVPMHQAAANEIRYGKRSFSDAVKGGFSLRWEAGKGWCRDCVESGGHCGFDVLSPENHTCFCPHNSTLGTCPPNKGARLETSAWV >Ma03_p31030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33413077:33427468:-1 gene:Ma03_g31030 transcript:Ma03_t31030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MASLSLAGLLPATPSVSASRSFLFRPVPCKNPSACPSLQFPSPNSSPIRGRLFWRCAFRRRLDGVSGEEEDVEEWDEEEDWEIEEEEEDDLSSLDLKSMEEEAIDAVRQYSQSLSTEMGFEDEVNERRKHSSEKQKLKKSSSKHIPDHLLPRVTIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGDHEFMVVDTGGVMTFSRSQIDVMEELAITTTVGMDGIPLTVREAAVARMPSMIERQATAAVEEAAVLIFLVDGQAGLVAADMEIADWLRKNYSDKCVILAVNKCESPHKGLMQASEFWSLGFSPLPISAISGTGTGELLDLVCSELKAIEASDSNEEEENYIPSIAIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEITGPDGQKYRLIDTAGIRKRAAVASAGSTTEVLSVNRALRAICRSDVVALVIEAMACITEQDVRIAERIEKEGKGCVIVVNKWDTIPNKNQQTTTYYDQDVREKVRFLDWAPIVYSTAISGHSVDKIIADVGMVEKERSRRLGTSILNQVVQEALAFKPPPRTRGGKRGRVYYSTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRKDAGFPGTPIRLLWRSRRRGNKGNGTQ >Ma03_p31030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33413077:33427109:-1 gene:Ma03_g31030 transcript:Ma03_t31030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MLSDSTPNLYPPKWASIPDHLLPRVTIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGDHEFMVVDTGGVMTFSRSQIDVMEELAITTTVGMDGIPLTVREAAVARMPSMIERQATAAVEEAAVLIFLVDGQAGLVAADMEIADWLRKNYSDKCVILAVNKCESPHKGLMQASEFWSLGFSPLPISAISGTGTGELLDLVCSELKAIEASDSNEEEENYIPSIAIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEITGPDGQKYRLIDTAGIRKRAAVASAGSTTEVLSVNRALRAICRSDVVALVIEAMACITEQDVRIAERIEKEGKGCVIVVNKWDTIPNKNQQTTTYYDQDVREKVRFLDWAPIVYSTAISGHSVDKIIADVGMVEKERSRRLGTSILNQVVQEALAFKPPPRTRGGKRGRVYYSTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRKDAGFPGTPIRLLWRSRRRGNKGNGTQ >Ma03_p31030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33413077:33424180:-1 gene:Ma03_g31030 transcript:Ma03_t31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MSIPDHLLPRVTIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGDHEFMVVDTGGVMTFSRSQIDVMEELAITTTVGMDGIPLTVREAAVARMPSMIERQATAAVEEAAVLIFLVDGQAGLVAADMEIADWLRKNYSDKCVILAVNKCESPHKGLMQASEFWSLGFSPLPISAISGTGTGELLDLVCSELKAIEASDSNEEEENYIPSIAIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEITGPDGQKYRLIDTAGIRKRAAVASAGSTTEVLSVNRALRAICRSDVVALVIEAMACITEQDVRIAERIEKEGKGCVIVVNKWDTIPNKNQQTTTYYDQDVREKVRFLDWAPIVYSTAISGHSVDKIIADVGMVEKERSRRLGTSILNQVVQEALAFKPPPRTRGGKRGRVYYSTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRKDAGFPGTPIRLLWRSRRRGNKGNGTQ >Ma09_p30580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40645397:40646822:-1 gene:Ma09_g30580 transcript:Ma09_t30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT4G21350) UniProtKB/Swiss-Prot;Acc:O81902] MEVEELPEDFRCPISLEVMTDPVILSSGHTFDRASIQRWLDSGNRTCPLTKLPLPPSPSLIPNHALRSLISNFLAHRPVAAVATAASHGADDAVILARLSFPSDRPSLAAVLRLAQRGGLAAHSLVADTGAASVLLRHAAAPDRPDLQNLALRALLHLSLEGDDARVGLVAEGAIDPVVAALRRGPGCTAAALAATLLTSLAVVEVNKATIGAHPAAIPRLVALLQDGDVRERREAATALYELCKFAENRRRAARAGAVQPLVHFARDGSERAVRVLGLLSKCLEGKEAMRKAVGLVGVMAEVVRAGSPRATEHALMALNLVCSDSKDKALQAIEAGVLDLCSVLSGDVNQKTRKNAMELGLLLEKSRFGGLS >Ma07_p28920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34810730:34811629:1 gene:Ma07_g28920 transcript:Ma07_t28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRKTPVRPWKKGPARGKGGPQNASCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAALAYDEAARRLYGPDAYVNLPHLRANIGSSIVGKPPHRFKWFPSKSFTPAMPTCGLLNLNAQHNVHVIHQRLQELKNSKPSSCSSSSSSASSCPSTFRSSEPPAVVPIETRLESSVNGGREVVHQLPVERDPPSAVEKPQIDLKEFLQQLGVLKPESAPEGGESAGNLTLPEQTPEVSSEMASQAFGLHQSDFNWDTLIEMRGLDGNPVMQDDGSQLDDVQEEDLSLPISIWDL >Ma09_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6377262:6379483:-1 gene:Ma09_g09690 transcript:Ma09_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDKLFWYLRCRASIDPRAVHGLSCKLGCLCSTFFCNGLLHAYTWLSSSVPADARKLLDEIPQPNVATWSTVISCYARAGDLRHAVSLLRELLQGSIGGCEGDNFLLPHASNLGNVIAGCAHAKDLKVGLQMHCTAIKLGVENDTFVAGTLIDMYGKCGKVDESLRIFNQMSDGDAVTWTSIVTCLANSGELRLWETALGIFKDMICKGIWPVNMTFVCLVKILDEPKRLCQAKQVHGCMVKLGIKIDDLLGSALIAMYGRCGGLDEVVRLSDRVNMDVVSWTSLLVAYMQNGCNLDAVNVFRKMIEEKVAVDSFTIASVIGACSAMEELEAGEEIHCYALRHDFLSDVSLCNALITLYGRCNQITEAEIVFQLMRDKDIISWTALLTCYGQNGCGGPAILLFREMLQDGIKPPIYCVSGAIRACSVIASLSMGEQIHCRTVKTGIDDDLSVENSLITMYAKCGHIELALRFFDSMTNRNVVSWNALITGFSQHGHERAALELFGQMQKEGIQPDDYTFSGVLVSCSRLGLVEQGCQYFRVMSAEYGLKPKLEHYACMVDLFGRAGKLYEAMEFINIMPFEPDQLIWEALLASCKIHGNIELVKFVAKKIMQMRPGDPSPYITLSTMYASMSMWDRKASVQAIMKDGGMQKEPGRSWIEGQDLPKDTIYTLQVGGA >Ma11_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26018138:26019318:1 gene:Ma11_g22150 transcript:Ma11_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWHGMGRNPLAPLDASSFGSHSPSHPHPHKSPNSNPYPPPPPTPVRMAAQDAQPFRWHYDALDDKNFHVRGRGLLFLLISFTILLFFTLICLYVRWACRHRPQWGAETAPVSMSSAATSHRTAGLDPRIIDSFPVHLHRDLEAGEEAQCSICLSSLTEGDKVKVLPSCGHTFHVECVDEWLKAHTSCPLCRASLADSSVAAEPAGAAV >Ma11_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1470809:1471327:1 gene:Ma11_g02050 transcript:Ma11_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRNKDSKPSSDETGSAEPRMDDSGGTRRPYYECTFCKRGFSNAQALGGHMNLHRKHRTRERQSPATPSVMERTEDDYAGNNSAFCPHPYEPFRGYTYFPSSSLSYNGSDVRMGTDSGLQAPYEMSLFGGELRMGSSTHVDGPVAVAGEGRRQEVEDAELDLELRLGHQP >Ma08_p30440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41702447:41702833:-1 gene:Ma08_g30440 transcript:Ma08_t30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANADRAHQSELWCSDTRSSSPKATGAAAADFERRYRSVSQAGRAVPAVWCFGDPDAERRRRVASYKAYSVEGKVKASLRKGYGWVKAKCSGIVRGW >Ma06_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8061242:8061886:1 gene:Ma06_g11580 transcript:Ma06_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTEAGSSEEVAFQVFPWIWLQLMQCVLDGLVDVPREPVHEAEHRALVAEVIDHHASYFLIKSILALRDPAFVLYPPWLSVLARALLWMGGWRPTAALRLVPAGILSAEQAWALEAIRQVTRAEVAVVEEEMRRVQIEGVVGLMMAGRAAVAAVAAAEKAAIERMLARQWTVGVVADSLRMKVLRRVVAVLSPLQAVDFLAAVVRMEISMHQM >Ma07_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4561629:4568730:-1 gene:Ma07_g06330 transcript:Ma07_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDTQNPLLGETTCGSLLQQLQLLWDEVGECDEERDRMLLQLEQECLEVYKRKVEQASKTRALLLQSLADSKAELIRLLSALGEKSFIGIPDKSYGTIKEQLAAIAPLLEQMCKQKEDRIREFSDVQLQIEKIRGEIAGNLKIGEQIGTTVDEEDLSLKKLDEYQFQLQELQKEKSDRLHKVLDFVSTVHDLCAVLGLDFFGTIIEVHPSLNDSVGVQSKSISDDTLSKLSSMVTALEEDKKTRLQKLQELAAQLTDLWNLMDTPMEEQSLFSHVTCNISATVDEVTIPGALALDLIEQAEVEVERLDQLKASKMKEIAFKKQTELEDIYARAHIDIDSAASRDKILALIDSGNVEPSELLAEMDHQILKAKEEGMSRKEILEKVEKWMSACEEESWLEDYSRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVDMLIAKTRAWEEDRGMSFMYDGVPLLAMLDEYTLLRQEREEEKRRQRDQKRIHEQLATEKEALFGSRPSPARPLGPKKVMGPHANGGASNGTPSRRLSLNANQGSMNGVRSMSRDGKRDSNRPAAPVNYVAIAKEDAASQMCVTEQAPASP >Ma07_p06330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4561629:4568730:-1 gene:Ma07_g06330 transcript:Ma07_t06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQKEDRIREFSDVQLQIEKIRGEIAGNLKIGEQIGTTVDEEDLSLKKLDEYQFQLQELQKEKSDRLHKVLDFVSTVHDLCAVLGLDFFGTIIEVHPSLNDSVGVQSKSISDDTLSKLSSMVTALEEDKKTRLQKLQELAAQLTDLWNLMDTPMEEQSLFSHVTCNISATVDEVTIPGALALDLIEQAEVEVERLDQLKASKMKEIAFKKQTELEDIYARAHIDIDSAASRDKILALIDSGNVEPSELLAEMDHQILKAKEEGMSRKEILEKVEKWMSACEEESWLEDYSRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVDMLIAKTRAWEEDRGMSFMYDGVPLLAMLDEYTLLRQEREEEKRRQRDQKRIHEQLATEKEALFGSRPSPARPLGPKKVMGPHANGGASNGTPSRRLSLNANQGSMNGVRSMSRDGKRDSNRPAAPVNYVAIAKEDAASQMCVTEQAPASP >Ma09_p16500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11864448:11870197:-1 gene:Ma09_g16500 transcript:Ma09_t16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGTDSGGGSAGEEDPSLAKRVADRYLKREVLGEGTYGVVFKAIDTKTGQTVAIKKIRLGQYKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMESDLEAVIRDRNIVLSLADTKSYLQMTLKGLAYCHKKWVVHRDMKPNNLLIASDGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLYGAKQYGAGVDVWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKPSQWPDMVYLPDYVEYQYVPAPPLRTLFPMASDDALDLLSKMFIYDPKARITVQQALEHRYFSSVPAPTKPSLLPRPPPKGESQNQKPSDFNPQEGPIVLSPQRKLRRVTLDHDGLAGSTFRSEKAGENAKEVRHMDGTTSQSGSMPMSIDLGAMFGSIPPPRPTLNSVDRSHLKRKLDLDAEFDHL >Ma09_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11864996:11870197:-1 gene:Ma09_g16500 transcript:Ma09_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGTDSGGGSAGEEDPSLAKRVADRYLKREVLGEGTYGVVFKAIDTKTGQTVAIKKIRLGQYKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMESDLEAVIRDRNIVLSLADTKSYLQMTLKGLAYCHKKWVVHRDMKPNNLLIASDGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLYGAKQYGAGVDVWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKPSQWPDMVYLPDYVEYQYVPAPPLRTLFPMASDDALDLLSKMFIYDPKVLLISTCTNKAILASKATSKGRITESEAFRLQSTGRAYRFVSAKEAKEGHPRPRWIGGKHIPIRKGW >Ma04_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6825591:6827930:1 gene:Ma04_g09630 transcript:Ma04_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARMDILKAQSQPASGDRSEVDSEKKEAKEEESAVHLSLSGSAAATEVGIRNLPTPKPSPPATSQLIMFYGGAVNVYDAVPPEKAQAIMLIAAATAVVARAAANNTAAVAAIAKPPVVVGPAAATTAAAVPAAPVLTRTLSFLSSSAASNGAPTQPQLAPNPSSPLCKLQAELPIARRHSLQRFLEKRHDRVASKAPYASVKPSDDVDVASEGQPQLI >Ma10_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7999028:8001776:1 gene:Ma10_g02560 transcript:Ma10_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEVPNIKKWNVIYPVYINSKKTVTEGRRVGATKACENPTCIEIGDCCSYLKIPFAIELDKAYSRDFMQRGRVRVLLKREDGSLCNTDIGSKKQLMLRVAELIPKHHGRTKKQEPANTSTATVGPSKSGKGGKKKR >Ma02_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28271498:28273963:1 gene:Ma02_g23120 transcript:Ma02_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSARLYHPEMEKEAFWGLNWQSTDAKVPPELNSGTAAAALLPQRLLNLNWNQPMSCDAGFESALSSAVVGQTGSICNSGEISPSSRRRGASNSCYSSPLNSPPKLNGRGALPMPINPMPGAHSVPFAAEPWFADGAAMLSNYGGGSSAGFPGQFGLPVIPANLSRVSSSKSLKTGTGSGMGAPEKGSDAGMLGPARMEMEMMSKLGGSSTPADSEPGNGQEESSVSSLRGVTDSNARKRKAAAKGKGKEASLSYAATDPFTMTEEENSDAKRSKPAEANGKMKTEQNGDPGRKQPPEPPKDYIHVRARRGQATDAHSLAERVRREKISKRMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATLNPQLDDMESILSKDMFQARGLMPQPVYPAEMTSAAVSNVHQPQATPGQSIVTSLLEAQFSLNPLESSLHQSQSLQLVPLDGFTVASSQLGEFWEDDLQSVAQVGYGRDQKPAFSSQSYPGHMKIEL >Ma08_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35216916:35227161:-1 gene:Ma08_g21280 transcript:Ma08_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G32770) UniProtKB/Swiss-Prot;Acc:Q94FY7] MEVASSAALTRRSAFPTASAAPNRRPVNPLTAGLVPFSTHLPSLARGLGCRAVSRDLAPVPAASDGEEKTPVSAAPVYTPTPPNRELRTPHSGYHFDGTTRLFFEGWYFKVSIPECRQSFCYMYSVENPAFPNGMGLLDNAVHGPRFTGVGAQILGPDDKYICQFTKDSKNFWGSRHELMLGNTFVVGKGSTPPFEEIQPQDFRKRVSEGFQVTPLWHQGFIRDDGRSNYVQTVKTARWEYSTRPVYGWGDVKSKQKSTAGWLAAFPVFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGAGFPRKWFWVQCNVFEGASGEVALTAAGGLRKLPGLIDNYENAALIGVHYGGSFYEFVPWTGTISWDIAQWGFWHMSAENDTHVIELEATAGDHGTPLRAPTTEAGFVTACKDTCFGDLRLQLWERRNGDGGKGKMILDVSSNMAALEVGGGPWFSGWKGSTSSLEVVRRVLRVPIDVESFFPLPIFKPAGL >Ma08_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12923043:12924167:-1 gene:Ma08_g14570 transcript:Ma08_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKGCSFTVGGGSRSDDRVARSPFSPLSLVSRTERNADVKDRAESFPSEPRLPPRRIHRRKDRNGAHQPHLLPKDRHHRHRNRQPSDPSGRDGGDRFLVRRGQAYTHLEKELAGCICWKVRSR >Ma09_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12887384:12888695:-1 gene:Ma09_g17310 transcript:Ma09_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase reductase 2a [Source:Projected from Arabidopsis thaliana (AT3G51680) UniProtKB/Swiss-Prot;Acc:Q9SCU0] MPAAEVMTEKSHQGMHVLPWEAGTLLHKRLEGKVAIVTGGARGIGEATVRVFARHGAKVVIADMEDVAGESLAALLGPSVSFMHCDVREEADVEQLVSSTVARHGRLDVLCNNAGVLGRQTRRSKSIAALDADEFDGVMRVNVRGTALGMKHAARAMLPRHAGCIISVASVAGVMGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCISPFGVATQMLVNAWRDEDDGEDGIEFVPPPTEEEVEKTEELVRGLANLKGATLTARDIAEAALYLASDESRYVSGHNLVVDGGVTTSRNLIGL >Ma10_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27319744:27322594:1 gene:Ma10_g15100 transcript:Ma10_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPLSLLLLLACFSTSSSSSLYADHCSSVVPESEATSLFVDSDSSFRISNGNFSGGGGLFRSPRASNSSSFPYFHFYPNYLHKTRSPDVLQVEGTLVLGHGHPTVFHGMTYHRRRPLRNVTLQEEATFDFSGFWSESTGKLCMVGHRIFYKPLGDPLQPSAVLKLNYPKTSNIFTSLVSGTVESLGPHHIDPISLVAYAQKEYDFTMIPQANHSCSSLPFQEESLSFGRTSVCSNLLQYVTGRTFQLDYDSGCTGSNCGTLSGSFGFSARFLSFDMIQCSENGRLHLYIEFPNSSYLSYDVPMVPKKSMVGEGYWDHVKNRLCLIACYILEGSSQASPSVGDCSIGLSLWFPTVMTLRRNDVVGHMWSTKKKSDPGYFSMVSFHRSGGRMVTIPGLRYNYTQMDSVSRSCKVRSGKTQSSEERYPDGRSSHDMRFSIVVKDAGGRSGWGEANVFSIGDVLCGDNDFVMASETASFVPAADWVAKNQSVWNVSYAISYYMYSASAEGGEQFDIAAEGIYDAGSGTLCMKGCRSPSLPTKNQTAIDCEILINIQFPPLNSKMGGRINGTINTTRSKQDPLYFDPIKLYSQQIYAAEVTEAIWRMDVEIVMVMISLTLSCICIGLQTFHAKKHRDALPSMSITMLGVLILGYVIPLVLNFEALFANRSQSGFLSLRSGGWLDVHEVIVRILSGLALFLSFHLLQMAWSARSLDENKGHRVAEWTTLKLCLPLYFAGALLTWLISSRHHLQRSEFSRQRHGSRWEDLVPYAGLVLDGFLLPQIVLNVCRNSKDKILTPFFYVGITITRALPHLYDAYRSRSYNRRIDSSYIYASPGGDFYSLVWDVIVPCEGLLFAAAIYLQQRVGGYCLLPQRFRKRVEYEAVPVVAL >Ma03_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9952975:9953956:1 gene:Ma03_g12930 transcript:Ma03_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVKLRLPDAAAHRRLSDALAPHHLRTHLQENLFFDGAAGELSSRFAVLRIRFYDADARCVVSLKAKARLVGGVSRVEEDEEDIDPSLGRACAAEPWRIADAAGSSRIMKRVLEEFGLDGKVASFVCLGGFRNVRAVYGWNEGLTLELDETQYDFGTSFELECETTDPERAKELLERFLKENGIPYSYSEASKFAVFRAGKLLP >Ma06_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9846177:9847514:-1 gene:Ma06_g14370 transcript:Ma06_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIIDFSKLEGEERAETLAQIATGCEEWGFFQLVNHGIPVELLERVKKVCSECYRLRAEGFKGSKPVQLLNKLVEEEEDEAADAKRLDDVDWEDVFLLQDDNEWPSNPPEFRETMKEYREELRKLAEKVMEVMDENLGFEKGSIKSAFSGNGEHHPFFGTKVSHYPPCPRLDLVNGLRAHTDAGGVILLFQDDQVGGLQILKDGQWIDVQPVANAIVINTGDQVEVLSNGRYKSVWHRVLTTSDGNRRSIASFYNPSLKATIAPGTNEDDSAAALYPKYVFGDYMDVYVKHKFSPKEPRFEAVKAL >Ma06_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10371940:10373474:-1 gene:Ma06_g15250 transcript:Ma06_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQFMAKSSVEEDSRGGRDGDAAGVARHPYDFLESGPRNLPSPNWRDLIRSSWRDPNYKRMVIACFIQAAYLLELDRQDKKTEENGLAPNWWKPFKYKLTRTLIDERDGSIYGAILEWDRSAAMADLVPVRPRGAPKAVLALRGTLLKSPTIRRDLQDDLRFLARESLKGSVRFAGALEALQSAVARFGSTNVCIGGHSLGAGFALQIGKASAMQGVFVDCHLFNPPSVSLVMSLRIIGEKASSVVRRMKVVARSGEVAAAAEEAKASEKDGSSAEAKRWVPNLYVNNSDYICCYYNHTAGVGANGSPDAVKPIPRNGDAAAKLFVASKGPQRFLEAHGLQQWWSDDMELQLALSHSKLIDRQLRSLYAVSQPHPSSKS >Ma04_p01850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1612645:1615011:-1 gene:Ma04_g01850 transcript:Ma04_t01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSLYVSLLFKALTFIPRRRPADAAVFLSTHSYSAASRAPQSNLMAEYLVSSCGFDPDEAAKALKLLGRIESRHQPDSVLGLFKSYGFDDTQVKKVISANPRWLLLDVEKTLAPKFRALQDLGFSCSDITHLVISNNHAFSYKSQTILSKIQLWQGLLGSNDLLVKVCKKHRWFLGYSIEKTIQPNIEILRDCGITDQKLSMILRYRPLLGTLKAETLKALISRVEGLGVARTSGMFLHTLNALKSVSEKNFKAHLEFFEGFGWSKDDFLAAFRKAPCLVGFSLKSLQRKMEFLVNETRCAPSYLAPRPRILLMSLEKRLIPRYRILTVLKSRGVHIGNHQMITYMLYPEKKFLEKFVIRHTEFPELIELYNEAPKNRTAL >Ma04_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1610983:1615011:-1 gene:Ma04_g01850 transcript:Ma04_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNRSLYVSLLFKALTFIPRRRPADAAVFLSTHSYSAASRAPQSNLMAEYLVSSCGFDPDEAAKALKLLGRIESRHQPDSVLGLFKSYGFDDTQVKKVISANPRWLLLDVEKTLAPKFRALQDLGFSCSDITHLVISNNHAFSYKSQTILSKIQLWQGLLGSNDLLVKVCKKHRWFLGYSIEKTIQPNIEILRDCGITDQKLSMILRYRPLLGTLKAETLKALISRVEGLGVARTSGMFLHTLNALKSVSEKNFKAHLEFFEGFGWSKDDFLAAFRKAPCLVGFSLKSLQRKMEFLVNETRCAPSYLAPRPRILLMSLEKRLIPRYRILTVLKSRGVHIGNHQMITYMLYPEKKFLEKFVIRHTEFPELIELYNEAPKNRTAL >Ma11_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18734317:18739058:1 gene:Ma11_g13670 transcript:Ma11_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFEVNKMAASLVPGVLIKLLQHLNTNVKVGSKHRSSLLQVVSIVPALSGSDLFTNQGFYLKVSDSSHATYVSLPDEHNDLISSDKIQLGQFIHVDGIEAGSPVPILKGVRPLPGRHPCVGNPEDIVAANSSHGFLDAEKPQQSSDTSCNINTTSVNEKSKLGNSKLAIKTQEVQKKRASLGKSGSFQSKQLASDKLGKDGISVRLKSMDSWSITSSPTSVCTLPASFEKFSFEKFSNETKKQAQAQRPEKPLSRFRLLDKAASVLKVNNVGRKSSAGNFLRNLVPDIELDPNGLRKSWVEKVEAKGWDSSTLKAAKLETRSKSKSTSAPQQKSSTNEKLLTKKDSKIQTTMKKTNAKAATDDSDRSAKRRPSVIKKSSETATSLNLADFVKVVPTNRKWTDSSVSWSSLPSSLAELGKELLKYRDAAQLAAVEAVQEASAADSLIRCLSMYAEISTSAKEDNPRPTVEQFLALYASLRNATAVTDSLSKTTLQTLPVTAPGQSLSGDPTLEEALKVSADVRRRAVSWVGAAVATDLAPFSLYDHKPSSASTASRAVLVLEGPSKTAAATAPSKATQTKSRLSLTSVSVGRGNARGPAAPPSPPPEWEREVGPNEGARLVRTLREESRAWFLGFVERFVDAEAAARGRSNRQQLAAMLSQLKKVNEWLEAIGCHRSDGEAVDGEGSGDVPAETVERLRKKIYEYLLTHVESVAVAVGGACPPSQAVVGRSGRRG >Ma11_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9040043:9040933:1 gene:Ma11_g09770 transcript:Ma11_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFQPNLFLLLPLVSVLVMAPDLAAGDNAAHLHFYMHDTLSGSTPSAVRVVRVSDSTVLGFGDVVVTDDPLTEGPDLRSAPVGRSQGLYAMVSQGTGDPALLLGVNLVFTEGTRNGSTLAVLGRDAVYLPVRELPVVGGSGVFRLARGYALIKTYSLNTTTGDAVLEWDVFVVQ >Ma05_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4806175:4806367:1 gene:Ma05_g06440 transcript:Ma05_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEISSSKPDCRKNTSCKSVVHHLIHGAEHQKLS >Ma04_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1257130:1260271:1 gene:Ma04_g01380 transcript:Ma04_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTAIFFPLFVLLLVAAPPADAVEQKAVIFFNRSNFQSTFVFGAASSAYQYEGAAAEGGKGPSIWDTFTHMHPEVIADRSNGDVAVDSYHRYKEDISFLKYMGMDAYRFSISWPRILPSGSLSGGVNMEGIRYYNNLINDLRANGMKPFVTLFHWDLPQELESRYQGFLSPAIVDDYRDYAEICFKEFGDRVKHWITFNEPWTFCRSGYLFGVFAPGRCSPPWAARRCSEGDSSREPYLCAHHQLLAHAAAVRLYRDKYQASQRGDIGITLISHWFVPYENSKSDADAVARALDFMFGWFMDPLSQGDYPFVMRALVGDRLPEFTAKQSEMVKGSFDFIGLNYYTTYYANSSSPTTVRGSNSDSYTYQSGQRGRIPIGLQAASNWIYIYPRGIRQLLLYVKSRYNDPIIYITENGVDEVNNASWPLDKALQDDTRIDYHRRHLSFVLEAMSKGAKVRGYFAWSLLDNFEWISGYTVRFGLVHVDYKDGLKRYPKASAHWFQELLKN >Ma07_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30635535:30641703:-1 gene:Ma07_g22850 transcript:Ma07_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MISAMSCCRLSAPTKIPTLSKNPNVPGKPAVPLCARGHFGKRMHELPVKMPKVSPSLWVAAVAVGSLVAVDSVGALPLENVLEVPFPTFLADISLGDWFGGLLYSAGQQANEAVQNQLSALSFTSVAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAEVIWNSVSFSLGLATTLAILGIGASFAGKAYGQIGQGLPVAASGLAILMGLNLLEIIELQLPSFFSDFDPRSAAANFPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIIGGSLLLTYTTGYIAPLLVAASFAGALQSLLSFRRFSAWINPLSGALLLGGGLYTLLDRLFPVASMVM >Ma09_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34731043:34732848:-1 gene:Ma09_g22860 transcript:Ma09_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDKTKCQAPEGPILCINNCGFFGSAATMNMCSKCHKDMMLKQEQAKLDASSIGNLASGKGPVITGNADVGTGYLEAKSLLAQVSDESGSSDIREAKAEGPNRCNTCRKRVGLTGFRCRCGDLFCSVHRYSDKHVCPFDYQTSARNAIAKANPVVKAEKLDKI >Ma05_p29350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40050861:40062358:-1 gene:Ma05_g29350 transcript:Ma05_t29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MEVPKDHITTLLDNGLFYSAQMLGCFLVSSVVTNSEASPYLKAENLVLQGDALFGEKEFRRALSMYKQALQHCKIISKQTMNNSRSSFSASSRSSSPNSMNVSPVNENEVKFKITLCHCALSENRPALVEMEGIPPKARTLRMNLTLGKLYRISRHNRSAIACYKDCLRNCPYVLEAITALAELGVSSKEVSSLFPQTPSRGGRPPFDHLDPARWLHRYVEAQCCIASSDYKGGLDHLSELLQQFPNNVHILLEIAKVEAIIGRHDEAIMNFEKVRSIDPFIVTYMDEYAMLLKLKSDISKLNKLVHDLLNIDPTRAEVFVALSALWERKDERRALSYAEKSLRIDDRHISGHIMKGNLYLSLNRPDAAVTAFRGAQELRPDLRSYQGLVRSYLALSKTKEALFIAREAMKLMPQSAKALKLVGDVHASSSSGREKARKFYESALRLEPGFLGAALALAELHVVEGRHKDAVSLLERYVKDWADDSLHIKLAQVFAATNMLSEALSHYQAALRINPQNEAAKKGLERLEKQMKGMDPDAPDEEEENEADDAEGDQEEGELL >Ma07_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3023802:3029881:1 gene:Ma07_g04030 transcript:Ma07_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPRRRYTRSPSPYKGRPKSRSQSRGLSRSRSRSPRPRIRTRSRSRSRGRNEAVNPGNTLYVTGLSSRVTERELEDHFSREGKVVGCHLVVEPRTRVSRGFAFVTMDAAEDADRCIKYLNQSILEGRYITVEKSRRGRPRTPTPGKYLGVISTRDSHRSDRGRYHGGYGRDDYGGGYPRSPRRSPYRGGHDYSGYYDSRSRRERSRSPYYDRSPERAAAGYGRRANGYAR >Ma03_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8957538:8960479:1 gene:Ma03_g11550 transcript:Ma03_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g13770, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13770) UniProtKB/Swiss-Prot;Acc:Q9LIC3] MHGRSRVFLLRRIHFKDSDRPRQLSCQTSPPPLPPDSNLKPLCLQGRLHEALSGMATLGTEVRFHGYDALLTACIDRRAFLEGQLVHAHMIKSQYLPSVYLATRLLIMYVKCDSLNDARHMLEAMPERNVVSWTAMISGYSQKGHRAEALELFVKMMEAGQLPDEYTFATVLTSCTGPFGPKHGRQIHSLALKTNFDSHMFVGSSLLDMYAKASEIDDARRVFDMLPDRDVVSCTAIISGYAQLGLDAEALEVFKLLHKEGMECNYVTFSCLLNALSGLAALDYGRQVHGLVIRCELPFYVVLQNSLIDMYSKCGSLIYSRKIFDNMLERSVISWNAMLAGYGKHGLGSEVVRLFKSMVQEVRPDGVSFLAVLSGCSHGGLVDEGLDFFDFMVNGQRMKPDIGHYGCVIDLLGRAGRIEKALDLMKCMPFEPTTAMWGSLLGACRVHANVSIGEFVAQKLLDIEPENSGNFVILSNIYAAAGRWQDVARVRELMKERTVTKEPGRSWINLDKTMHTFYSSDRSHPQREQINAKIMEVYERIKEAGYVPDLSCVLHDVDDEQKESMLLGHSEKLAIAFGLMGSTPCKLIRITKNLRICVDCHNFAKYVSKVYARYISLRDSNRFHLIVRGTCTCRDYW >Ma01_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13214230:13217813:1 gene:Ma01_g17900 transcript:Ma01_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLSSSTFAVTGSMGKLATFALSFLFVLVLSSLIPSTEAYDSLDPNGNITIKWDIMQWTPDGYVAVVTIFNFQQYRHIQAPGWILGWTWAKKEVIWSMVGAQTTEQGDCSRFKGNTPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVINSWVQDPANAASSFQVSVGAAGTTNKTVRVPKNFTLRAPGPGYTCGIAKIVKPTKFVTQDGRRTTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCTCGCQNNATQPGSCVEGDSPYLASVINGPGKNSFTPLVQCTSHMCPVRVHWHVKLNYKEYWRVKIAITNFNYRMNYTQWNLVIQHPNLDNLTQLFSFNYKSLTPYGGINDTAMLWGVKYYNDFLMEAGPYGNVQSELLFRKDPSTFTFEKGWAFPRRVYFNGDNCVMPPPDSYPWLPNAATRLTSTMMMALFAALAWLLIYV >Ma08_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1555:2120:1 gene:Ma08_g00010 transcript:Ma08_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDKDSSDAVEGFSVFKSSESLSSILERNALEVTSAEMKKDVEKEIEKASILRKKYGIHISGHGVPPPLESFAELSS >Ma09_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7373233:7373809:1 gene:Ma09_g10860 transcript:Ma09_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSYSPLVLLLLLLLLPFSVSAAVATVTGHENTAPREKLTHLHFFFHGRFASPNATAVLVAVPPGTNATFNTFGAVIVLDDMLKDGPEASSKLIGRAQGLTAQASLEGTYLLMVVNFVFTAGEYNGSSLAILGRFVPDVSGTERSIVGGTGKFRMARGYTVGNVYIWTASYFVLELDAYIVHY >Ma02_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25060401:25062305:1 gene:Ma02_g18600 transcript:Ma02_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPRLFFFSLVFLASAAFANAHIADFDEYWQKKAEVAQSKARNSYNPDPESVTRHFNEAVYEDAQTNVTRRGLRGQKRSHGPCLATNPIDRCWRCNENWIHHRKQLATCAKGFGRHTIGGKNGKFYVVTDASDDDLVNPKKGTLRYGVIQDRPLWIVFAHDMVIRLTEELIVNSYKTIDGRGANVQIMGGAGVTIQYVHNVIVHNLHIQDIKAGNGGMIRDSEHHYGLRTRSDGDGISIYGSSNIWIDHVSMSNCMDGLIDAIEGSTAITISNSHFTRHNEAMLFGASDAYSPDAIMQITVAFNHFGKGLVQRMPRCRWGFVHVVNNDYTHWEMYAVGGSQHPTIISQGNRYIAPSNPFAKEVTKRDYATESVWKQWTWRSEGDLMLNGAFFVKSGGGGGLQKYDKTDIIKAKPGSFVTRLTRYSGALDCFPQRPC >Ma11_p22520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26276189:26279250:-1 gene:Ma11_g22520 transcript:Ma11_t22520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKQAEEAAIPATDIATFSNETAQGGDKERGGETASVFSMKSLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQMFYGFLGSWTAYLISVLYIEYRARKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAAVHGQVEGVTHSGPTQLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKHIYLLATVYVFTLTLPSAAATYWAFGDQLLTHSNAFSLLPKSRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDAKSVCLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTPSARQNAAEKPPFFLPSWTGMYVVNALVVGWVLVVGFGLGGWASMTNFVRQVDTFGLFAKCYQCPNPHPPPPALPPQQRRQL >Ma11_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26276189:26279250:-1 gene:Ma11_g22520 transcript:Ma11_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKQAEEAAIPATDIATFSNETAQGGDKERGGETASVFSMKSLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQMFYGFLGSWTAYLISVLYIEYRARKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAAVHGQVEGVTHSGPTQLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKHIYLLATVYVFTLTLPSAAATYWAFGDQLLTHSNAFSLLPKSRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDAKSVCLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTPSARQNAAEKPPFFLPSWTGMYVVNALVVGWVLVVGFGLGGWASMTNFVRQVDTFGLFAKCYQCPNPHPPPPALPPQQRRQL >Ma11_p22520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26276189:26279250:-1 gene:Ma11_g22520 transcript:Ma11_t22520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKQAEEAAIPATDIATFSNETAQGGDKERGGETASVFSMKSLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQMFYGFLGSWTAYLISVLYIEYRARKEKENVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAAVHGQVEGVTHSGPTQLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKHIYLLATVYVFTLTLPSAAATYWAFGDQLLTHSNAFSLLPKSRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDAKSVCLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTPSARQNAAEKPPFFLPSWTGMYVVNALVVGWVLVVGFGLGGWASMTNFVRQVDTFGLFAKCYQCPNPHPPPPALPPQQRRQL >Ma05_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9239264:9248668:1 gene:Ma05_g12820 transcript:Ma05_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNITGLTYLELSNNSFVGYVPPNIYKGGALNHLTLDTNNFQGPIPTTLKNCTTLERVRLEHNQLTEDVSQCLGVYPHLYYMDLSFNQLSGTLSPDWARWHNLTLFRISNNNITGVIPTEFGQLTKLGELDLSSNDLQGEIPQSFGSLTLLYNLSLGNNQLVGQVPPEFGMLSNLELLDLSINNLAGRIPDQLGKCTKLRSLKLNNNNFSGTIPLAIGNLVYLQVTFDISQNSLKGEIPFQLSKFVMLQSLNLSHNYLSGHLPSSLTYMTSLSTVDVSYNELDGPVPDSPAFRRAPVEWFAHNNDLCGVVQGLPPCVSLGTPTTDDRSKRHKIVIVAIIASVVFFLLLFIFIGAFRKREKDTVPVDNNHFKEGAFCILNFVGRDVCKDIIEATEDFDAKYCIGSGAYGSVYRAELASGELLAVKQIHLPDTEGTCDEQPFQTEIQTLTQIRHRNIVKLYGFCSSPRRKFLVYEYMERGSLGSVLRSETAAELDWVKRVSIVKDVARALFYMHHDCVPPIVHRDITSNNILLDSEFKACVSDFGIARLLNPDSSNWTMLAGTRGYLAPALAYTMRVTTQCDAYSFGVVTLELLVGEYGEVLISILSSSPINDSFVKDVLDRRLPVPEGQVADEVVTVLSLALRSVDNHPESRPTMKQVSDKLCELHKTLLCATLLLLLLLLPRVPLVKASLGSQGRALLHWKATLRSRHSLRSWNLNSSPCNWTGVTCNYPVAGTGHSTITEISLPSMGLAGPLDALDFSTLRSLLRLNLSYNQFGGVIPSTISALSRLVSLDLTSNQFTSKIPIGIDSMKELRFLSLSQNQMVGAIPTSFSNLTGLVSLHLEDNKLVGVIPEELGRLYELMYLDIAVNRLSGSIPSILGNLTKLYHLNLYQNHLIGVIPRELKNLVNLVYLSIGDNNLTGGVLSSFGNLSKLQLFSLWRNKFSGPVPFEIGNLIEVTNLDFSENLLTNSIPFSIGNMTRLEKLDFWDNQLSGFIPLEIGNLVKVTNLLLSGNHLIGPIPSSIRNMTRLKIIYLWDNQLSGFIPFEIGNLIEVTNLILFNNLLSGSIPSSIGNMIKIKNLSVFDNQLSGPLPMEINNITGLTYLQLGNNNFVGYIPPNICKGRALKYLSLHMNNFQGLFSTTLKNCTGLVRVRLEHNQLTGDVSQYFGVYPHLCYIDLSFNRLSGTLSPDWVKWHNLTLFRISNNNITGVIPTEFGQLTKLGELDLSSNYLQGEIPKSFGSLTLLYNLSLGNNQLVGQVPLEFGMLSNLELLDLSSNNLAGRIPDQLGNCMKLRSLMLNNNNFSETIPLAVGNLVYLQVTFDISHNSLTGEVPSQLSKLVMLQSLNLSHNYLSGHLPSSLMYMTSLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNNDLCGVVQGLPPCVSLGTPTTDDRSKRHKIVIVAIIASVVFFLLLFIFIGAFRKREKDTVPVDNSHIKGGAFCVLNFVGRDVFKDIIEATEDFDAKYCIGSGAYGSVYRAELASGELLAVKKIHLPDTEGTCDEQPFQTEIQTLTQIRHRNIVKLYGFCSSPRHKFLVYEYMERGSLGSVLRSDTAAELDWVKRVSIVKDVARALFYMHHDCTPPIVHRDITSNNILLDSEFNACVSDFGIARLLKPDSSNWTMLAGTRGYLAPELAYTMRVTTKCDVYSFGVVTLELLVGAYGEELISILSSPSGNNVFVKDALDQRLSLPVARVADEVIAVLTAALSCANNSPESRPAMKQVYENICSVKTPQSCGSLDALRLSDLMNADI >Ma11_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26688636:26691302:-1 gene:Ma11_g23310 transcript:Ma11_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPATLTLGPSAGFSPNQTKVPSFNRRPSFPLPPNSSHLKARSSRGSIPRLQEKLYFSPLPTVGAVAVDSDRLGLSEPAQEEKPRRYYFVVANAKFMLDDEEHFKELLYERRRNFGERNREQDFWLVIEPKFLDKFPGIAKRLNRPAVALVSTDGPWMTFMKLRLDRVLADSFEVDTLEEALAYNSIDLNFEKPEKWTAPYPKYEFGWWEPFLPPGSSKSSS >Ma04_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26442835:26460182:-1 gene:Ma04_g24460 transcript:Ma04_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDCAATQLIDVNRAFNVDGLDRFLKAVKLANYGLSYAVVSIIGPQSSGKSTLLNHLFGTNFREMDAFKGRSQTTKGIWIAKGVGIEPCTIILDLEGTDARERGEAMMRLFSPRKTTLLFVIRDKTKTPLEHLEQVLREDIQKIWDTVYRPQAHKETLLSEFFNVEVTALASYEEKEELFKEQVAQLRQRFFHSIAPGGLAGDRRGVVPASGFSISVQQIWKVIRENKDLDLPAHKIMVATVRCEEIANEKLRCLTSDKGWLALEASVQAGSVSGFGRKLDSILDAYLSEYDMETFYFDDGVRTAKRLQLESKALHLVHPAFQAMLGHLRSKALDKFKNDLERSLESRKGFAMSVRTCAEASLLEFDTEFTDVAIQHADWNASKIREKLCHDIEAHAASVRGVKLSELKASYEKKITEALVQPVESLFDSAAQDIWASIRELYRHETENAISGYSSSLAGFELDQSTFDKMMADLKNYARGVVVRKIREEAGKVLIHMKDRFSTVFSHDKDSMPRVWTGKEDVRKITEEARAVALKLLAAMAAIRLDDQPDKIENILSSSLMDGPVIKNRTIEASRDPLASSTWEGVPPENTVITPVQCKSIWKQFKVETEYTVSQAISSQEAFKHNNSWLPPSWAIVTIAILGFNEFMMLLRNPLYLAILFILFILSRVVWYELNIAGEFQNGTLSGLLAISTRFLPSVMNILKRVAGEGNRHHQAAQSSQQSLPSTAQTPRNLEPEM >Ma04_p24460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26442835:26460242:-1 gene:Ma04_g24460 transcript:Ma04_t24460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDCAATQLIDVNRAFNVDGLDRFLKAVKLANYGLSYAVVSIIGPQSSGKSTLLNHLFGTNFREMDAFKGRSQTTKGIWIAKGVGIEPCTIILDLEGTDARERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREHAANRPLLKMVFQAMMRLFSPRKTTLLFVIRDKTKTPLEHLEQVLREDIQKIWDTVYRPQAHKETLLSEFFNVEVTALASYEEKEELFKEQVAQLRQRFFHSIAPGGLAGDRRGVVPASGFSISVQQIWKVIRENKDLDLPAHKIMVATVRCEEIANEKLRCLTSDKGWLALEASVQAGSVSGFGRKLDSILDAYLSEYDMETFYFDDGVRTAKRLQLESKALHLVHPAFQAMLGHLRSKALDKFKNDLERSLESRKGFAMSVRTCAEASLLEFDTEFTDVAIQHADWNASKIREKLCHDIEAHAASVRGVKLSELKASYEKKITEALVQPVESLFDSAAQDIWASIRELYRHETENAISGYSSSLAGFELDQSTFDKMMADLKNYARGVVVRKIREEAGKVLIHMKDRFSTVFSHDKDSMPRVWTGKEDVRKITEEARAVALKLLAAMAAIRLDDQPDKIENILSSSLMDGPVIKNRTIEASRDPLASSTWEGVPPENTVITPVQCKSIWKQFKVETEYTVSQAISSQEAFKHNNSWLPPSWAIVTIAILGFNEFMMLLRNPLYLAILFILFILSRVVWYELNIAGEFQNGTLSGLLAISTRFLPSVMNILKRVAGEGNRHHQAAQSSQQSLPSTAQTPRNLEPEM >Ma10_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26024318:26033702:-1 gene:Ma10_g13080 transcript:Ma10_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGGSDPYRTPSSGSAGGGSSRRYVIPYSASNLIQAPLSALLEYSGILRPEASQSESERLIGGGLPLPEVGPARVDESSTSPTGGGGEVSIRIVGAGDQESLRVASSQLHRSTLGPGGEVNSGVVVPGELIAASSERQGGDGVSDNGVGEGASPSSSVPTSDSAFGGHSADGDVNMTGNNRDSSYQRYDIQRIAKWIEQILPFSLLLLVVFIRQHLQGFLVTIWISAVMFKSNAVLRKQTALKGERKISVIVGIILVCMVHVFGIYWWYRNDDLLYPLIMLPPKEIPRFWHAVFIILVNDVVMRQAAMVVKCILLIYYKNFKGRSYRKQGQMLTLVEYFLLLYRSLLPTAVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEQVQSFFAALKALSRKEVHYGSYATSEQVIAAGDLCAICQEKMNVPILLRCKHIFCEDCVSEWFERERTCPLCRVLVKPAGLRSFSDGSTTLFFQLF >Ma07_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5621069:5622873:-1 gene:Ma07_g07560 transcript:Ma07_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHNMYFILVFFFFLIVTTAHEHALRGRDAHLLLYFKASADPCNAVLRSWEGHDPCSGSWIGVTCYRSRVVGLRLDHASLTGSVTPLFGLTRLRVLSLRRNNLTGSLPHLTTLNNPRLRRLSLSHNKLRGTLNVSLPSLVSLRVEHNQFSGGVEGLRLPNVSDFNVSSNQLSGQVTDLLSKFPASAFEGNQGLCGSPLPGCGEVSRLSDDTTAVSPSPASLQSATDSSSPRSSTKVGFTALLAIGISDLLVIAIGLVVIVGMYLWLRKKLITSLNGAAASIRELEPDQLQREEKEKDRSLICFEGGEDLRLDCLLKSSAEVLGKGLSGSTYRAVLEDGIIVAVKRLSAVQFPSHCKAFDRQMHLIGRVRHPRVVSLRAYCNAHEEKLLVYDFMPNGSLLSLLHLSCAGEGSGRTLDWISRKQILMGAAEGIAFIHAFPARSPLVHANIKPSNILIDEQGNACIAEWGIMRFASNFHQAMPHYPSGCLSDGAMFAAGTTTRLGYRAPELVNGKEQPTQESDVYSFGMVLLEVVTDKEIDDAESEGEVMGMVKIGMMCTAECPEERPKANQVVRMLSDFL >Ma09_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8809768:8810318:1 gene:Ma09_g13060 transcript:Ma09_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTATEASNDASLLVQITSDTVHYNPFDLSAWPNSMLSELNAPIPPLVADNGCGAYGSDAQSEMILPPSDLNRSRTCSSSSSSSWVGVVRGRTRSLTEVATSAKPAAVSSMVVDTQEEGARLVHVLLACVEAMR >Ma10_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27460535:27467863:1 gene:Ma10_g15310 transcript:Ma10_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSENSARLVSSNFLSVKTPTKAENAWDIPSGSNALCGSSDVSLFSSSLPVLRHEKSNFADSHIGAQWTFDASSKLEKGNNDAESKVCVDDLDLHGTGILLPDDEEALLSGILDDFDLNGLPSQVDELEDYDLFGSVGGMEMDSDPIESITVGIAKANISDGFLGNGISQYGLPNGVGTISGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRSLYTACKHRGFVMISYYDIRSARSAMRALQNKPLRRRKLDIHFSIPKDNPTDKDMNQGTLVIFNLDPSVSNEDLRKIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRSLNKSDIAGKRIKLEPSRPGGARRNLMQQLTHELEHDETRVYRHHGGSPIANSPPGLWAQFSSPNDNSPLQVLSKSPSGGAMSPIKNNHLPGLASVLPPIVSTSTKIAPIGKDQNRASHADQVISGGSPIHGSGYQQSHSFPDHGHGLVTPTLGNLTSFGPSTSNASGVGTLTGPQFLWGSSASYTDNMQSSAWQSRATGNSFMSNGQGQGQNFLYSGHHGSFTGSSHSQHHHPVGSAPSVVPFERQYGYFPESPETSFMNQVAFGNTGINRNGGSLLMNTTPQATMNQGIMSGNMPDNSSPNMRMMPPQRFGPVLFRSPPYSGLSSIGIDGLVDRNRSRRVDNHGGQVDKRLYQLDLDKIIKGEDTRTTIMIKNIPNKYTSKMLLAAIDETHKGTYDFLYLPIDFKNKCNVGYAFINVVSPAHIISFYEAFHGKKWEKFNSEKVASLAYARIQGRAALVAHFQNSSLMNEDKRCRPILFYSEGAEAGYQELFSSSHVDVHQEDMTESVGDSPEGPRGSSNGLPENTNVPGTSLEG >Ma10_p15310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27460558:27467863:1 gene:Ma10_g15310 transcript:Ma10_t15310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSENSARLVSSNFLSVKTPTKAENAWDIPSGSNALCGSSDVSLFSSSLPVLRHEKYSHIGAQWTFDASSKLEKGNNDAESKVCVDDLDLHGTGILLPDDEEALLSGILDDFDLNGLPSQVDELEDYDLFGSVGGMEMDSDPIESITVGIAKANISDGFLGNGISQYGLPNGVGTISGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRSLYTACKHRGFVMISYYDIRSARSAMRALQNKPLRRRKLDIHFSIPKDNPTDKDMNQGTLVIFNLDPSVSNEDLRKIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRSLNKSDIAGKRIKLEPSRPGGARRNLMQQLTHELEHDETRVYRHHGGSPIANSPPGLWAQFSSPNDNSPLQVLSKSPSGGAMSPIKNNHLPGLASVLPPIVSTSTKIAPIGKDQNRASHADQVISGGSPIHGSGYQQSHSFPDHGHGLVTPTLGNLTSFGPSTSNASGVGTLTGPQFLWGSSASYTDNMQSSAWQSRATGNSFMSNGQGQGQNFLYSGHHGSFTGSSHSQHHHPVGSAPSVVPFERQYGYFPESPETSFMNQVAFGNTGINRNGGSLLMNTTPQATMNQGIMSGNMPDNSSPNMRMMPPQRFGPVLFRSPPYSGLSSIGIDGLVDRNRSRRVDNHGGQVDKRLYQLDLDKIIKGEDTRTTIMIKNIPNKYTSKMLLAAIDETHKGTYDFLYLPIDFKNKCNVGYAFINVVSPAHIISFYEAFHGKKWEKFNSEKVASLAYARIQGRAALVAHFQNSSLMNEDKRCRPILFYSEGAEAGYQELFSSSHVDVHQEDMTESVGDSPEGPRGSSNGLPENTNVPGTSLEG >Ma10_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32304974:32306834:-1 gene:Ma10_g23270 transcript:Ma10_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILSRALIRSPYCSHRLLVAAESALLPFSARLLALRGRSDARIVEVDVGTEDDAGEVEDEVEIDVLGLRRLEDAIHAISIRRSAPDWLPFIPGSSYWVPPPRRTVGVLEVVRKLANPLTEEETMSLTNIRGWPSSAYFVEGISPHAVKKSPKKASAESDDEE >Ma08_p09580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6931848:6941299:-1 gene:Ma08_g09580 transcript:Ma08_t09580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKVLIFGSFTEEETRLFQRQSAACMCSFEKAGRHFGSVDFASESKEHNSSARSNSIQVSNVIADLACKNEPGKINNWLQTSKANGEAINSCQDDELMEKRKDTLGLVSPHITEKGVAGAELSASPKVQEGWVQASKANSISVDSSAIESPVVDIPKALADKAPNVKSILPRGLINSGNLCFLNATLQALLSCSLFVQLLQDLRSQSIPEIGYPTLHTFIKFISEFDMPDVLSAKSNVKVTFESGKSFSPIMFDAVLKRFTPDLPIGISSRPRQEDAQEFLSFIMDQMHDELLKLDGIFASTDGGEVPLISSSEDDGWETVGPKNRSAITRTQSFFPSRLSAIFGGQLRSVVKARGNKASATVQPFLLLHLDIFPESVNTIEDALHLFAAPETLEGYRTSAGKAGLVSASKSVKLQKLSKVMILHLMRFSYGSEGSTKLHKPVHFPLELVLVHELIVSPSSESRRYELVATITHHGQGPSEGHYTANAKCSSGRWLHYDDASVTAVTPNKVLHDRAYVLFYKQIQ >Ma08_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6931844:6939438:-1 gene:Ma08_g09580 transcript:Ma08_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFKQVLIFGSFTEEETRLFQRQSAACMCSFEKAGRHFGSVDFASESKEHNSSARSNSIQVSNVIADLACKNEPGKINNWLQTSKANGEAINSCQDDELMEKRKDTLGLVSPHITEKGVAGAELSASPKVQEGWVQASKANSISVDSSAIESPVVDIPKALADKAPNVKSILPRGLINSGNLCFLNATLQALLSCSLFVQLLQDLRSQSIPEIGYPTLHTFIKFISEFDMPDVLSAKSNVKVTFESGKSFSPIMFDAVLKRFTPDLPIGISSRPRQEDAQEFLSFIMDQMHDELLKLDGIFASTDGGEVPLISSSEDDGWETVGPKNRSAITRTQSFFPSRLSAIFGGQLRSVVKARGNKASATVQPFLLLHLDIFPESVNTIEDALHLFAAPETLEGYRTSAGKAGLVSASKSVKLQKLSKVMILHLMRFSYGSEGSTKLHKPVHFPLELVLVHELIVSPSSESRRYELVATITHHGQGPSEGHYTANAKCSSGRWLHYDDASVTAVTPNKVLHDRAYVLFYKQIQ >Ma05_p30980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41125241:41131884:-1 gene:Ma05_g30980 transcript:Ma05_t30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLIFSPSSFLAAPLPPLPRRSRLGFPLYRPRRADTRVRMTFHGAPPPNGVDSLVDLHGIVSRVEGLLYTLADAAVATDATAAAPDASTVVQKNGGWFGFISDAMEVVLKVLKDGLTAIHVPYSYGFAIILLTVIVKVLTLPLTKQQVESTLAMQNLQPKIKAIQERYAGNQERIQLETARLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGHPPLGWSDTAAYLVLPVLLVVSQYVSMEIMKPPQTNDPATKNSLLVFKFLPLMIGYFALSVPSGLSIYWFTNNVLSTAQQIWLRKLGGAKPVVSGDAGGIISAGRAKRSASQPERTGERFKQLKEQENRKMLNKALPIEEGQGLASTSNADDESDGESIDKGEVPEETYASNESKKLPQYNTTPKKGKRSKRKRVVQ >Ma08_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38037188:38040620:-1 gene:Ma08_g25020 transcript:Ma08_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKDCVGRSGGVEAAVDDRCSPGSAAGAWPGNSNWWPPGFRFHPTDEEIVLYYLKRKICGRRFRLAMIGDVDVYKWEPWELPDKSELKSGDKQWYFFSPRDRKYPNGSRSNRATKCGYWKTTGKDRTISQNSKAMGNKKTLVYYHGRAPKGERTNWVMHEYTLDEQVFLSCNNVQDCYALYKVFRKSGPGPKNGEQYGAPFREEEWDDDVVDEIFRSQDNSELPTNPWNTEVPTIEPLHDLVSAGTSCDAGSNQLVDELEDILLELSNEQNMVGQYSEHSAYVSEVNVETEIGKHNFCPSLTKATSFENSGICYELSTSDTKFQVTQPDSACVQPVEDPEMTSLTSNTQQILGQADEEFLEIKDFNDPESIMWSWNDLNNRDQIDGPNELYDSYDYFDAPVAFSDDFDSLGLAAPISYLDGFGGDEALDESYHVSTELWGHNQGFGASNADPNQVFMTSPASGAAYASTSSNMEMVQRQNSTSESWFSSALSTLLDSVPSRPALASENTFISRAFERVSSFRAGQVGVHGPNATADGHHATSGRRGGRNGGILFISFLVGLGAVFWVLTAGAVVKVFSAFLARFNSS >Ma02_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19436604:19442129:1 gene:Ma02_g09480 transcript:Ma02_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNREGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDVSRDSMRLITGSADQSVKLWNVQTGAQLYSFNFDSPARSVDFSVGDKLAVITTDPFMGLPSTIQVKRIARDPNEQSSESVLTIKGPQGRINRAIWGPLNKTIISAGEDAVVRVWDTETGQLLKESDKETGHQKTVTSLSKSADGSHFLTGSLDKSAKLWDARTLTLIKTYVTERPVNACAISPLLDHVVIGGGQEAVHVTTTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDPDYFTIKM >Ma02_p09480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19436604:19442162:1 gene:Ma02_g09480 transcript:Ma02_t09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNREGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDVSRDSMRLITGSADQSVKLWNVQTGAQLYSFNFDSPARSVDFSVGDKLAVITTDPFMGLPSTIQVKRIARDPNEQSSESVLTIKGPQGRINRAIWGPLNKTIISAGEDAVVRVWDTETGQLLKESDKETGHQKTVTSLSKSADGSHFLTGSLDKSAKLWDARTLTLIKTYVTERPVNACAISPLLDHVVIGGGQEAVHVTTTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDPDYFTIKM >Ma08_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37162477:37168252:1 gene:Ma08_g23790 transcript:Ma08_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDSQQRRPQRQSVFSGADPACIDNDGHEENGDSSDPSLPPPTPAAAGQASRHWRDVFWLCVFLLHLLVFGFALALLGINRFNRADRLNIDRFTNLTGGANFSQASFVQYLKQTDTAELTETYWPFYGVAGGASVLLAWAWLSLLRIRASQMMKVSIHILTTYLAVVSVLCFWAEHFFWGVVFAVGAALQFLYVMSVLDRFPFTMLVLQKAVKMVLAIPDLMRVAYAFMIIMLCWMILWSFGISGVIASSLDDSGCWWLLVIFSVSLFWTGAVFCNTVHVIVSGMVFLVLMHGNVSAASMPSKPLLKSLRYAVTTSFGSICYGSLFTAAIRTLRWKIRGIRSKIGSNECLLCCVDFLFHLVETLVRFFNKYAYVEIAVNGKGFNSSARDAWELFQSTGIEALVAYDCSGAVLLMGIILGGLLTGTCTGVWTWYKRSDKLIMVGSTAILMGMILVGLAVVVIESAVTSIYVCYAEDPFLIQRWDAEFFDQMSEVLHERLQYRSARARQVMDQRFDQLPDESHV >Ma02_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15966443:15974481:-1 gene:Ma02_g04610 transcript:Ma02_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKARAVEDGKETKELDNDVTVYRLADHKGLILKINIYSKANRPVTLKFEDVVYKIKTKGKGKAKEGERVILKGVSGAVSPGEMLAMLGPSGSGKTTLLTVLGGRIGRTRLLTGSITYNGKPFTNSLKRRTGFVTQDDVLYPHLTVTETLVYTALLRLPSTLSRQEKVAQAEAVMAQLGLTACRSSIIGGPLVRGVSGGERKRVSIGQEMLIDPSLLFLDEPTSGLDSTIAGRIVSTLWDLTKGGKTVIMTIHQPSSRLFYMFHKVLLLSDGNSIYFGKGSDAMDYFASVGYAPTVPMNPADFLLDLANGVSSDETSEDRASTKEALVSAYRLHLHDKVSEEVLALSKQFKECEPDNIETQWCTSWWEQFTVLLQRDLKERKHESFSGLKISQVLVVALLAGFLWLHSGGYVQDQVGLLFFVASFWSFYPVFEAIFTFPQERTMLTKERSSGMYRLSSYFAARLVGDLPMELILPIIFITITYWMGGLKPVAVNFFMNLAVLLLCVLVAQGMGLALGALVMDLKTATTLGSILMLSFMLAGGFYVQNIPPFIEWIKYISLSYYTFKLQIASQYSPNDTYQCTPSMRCQIADFPSIKLVGFDHKALAVFALLLMLVLYRLAAYLGLMRVGVTN >Ma05_p29940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40476045:40479906:-1 gene:Ma05_g29940 transcript:Ma05_t29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTKKPADPATPTDADTPAHAPDPSPAPDDAPVQTAPVPTAAFSPPPKPAAPIGPVLGRPMEDVRTTYSLGKELGRGQFGVTHLCTHKATGDKYACKTIAKRKLANKEDIEDVRREVQIMYHLSGQPNIVELKGAFEDKQSVHLVMELCAGGELFDRIIARGHYTERAAASLLRTIVQIVHTCHSMGVMHRDLKPENFLLLNKDEDAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYIFLCGVPPFWAESEQGIFNTILRGQIDFTSDPWPNISPGAKDLVRKMLNLDPRQRLTAFEVLNHPWIKEDGEAPDTPLDNAVLNRLKQFRAMNQFKKAALRVIAGCLSEEEIKGLKEMFKNMDSDNSGTITLEELKQGLSKQGTKLSEYEVKQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITKEELEQALKEKGLYDGKEIKDIIAEADVDNVSRKRPCRILLEPV >Ma10_p30570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36840650:36851630:1 gene:Ma10_g30570 transcript:Ma10_t30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDRICEKELSNSSLSIEFFKQSGWSDAQVMKLMQRESWLLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPCLLYLRDIQTRIDFWRSLLGSNERLIKASRRSMFLLSSSLARKIEPNISLLRECGFSEQFIAQMVVAQPSFFCRTNKYIDESIKRVEELGVSRDCKMFPHALLSVMTLSRSRFDATFATLMSFGWSQPDSLATFRKHPGIWNYSKKNISDKVTFLMKEAGCELTYIIGHPVLLTYSLEKRLRPRYEVVNFLDQNKLLDKGYNLLSVVMLSEEKFRNKFLFLLRKEKFIAQYDSYVVAVQGKHHVIVEN >Ma04_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23744032:23745018:-1 gene:Ma04_g21160 transcript:Ma04_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIPETDVALLEYVRRYLLDDPEPHPCELSSSAANLPRAPTRHRSSRFEDQRNDGWTPSSVDPLPAEERHYRGVRRRPWGKFAAEIRDPAKKGARVWLGTFDTAVDAALAYDRAAYRMRGSRALLNFPLQIGSEETSEPMSPAVAAPSKRSAPEPASPSSSLSSSSSSSSSSRSPSEKASPKRRKKETEAAITSSSLPPSVSFTEGSVSESVQSQAGSQFVNRTGIRSVGPVGQLSIVSHVFVS >Ma07_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7366575:7368838:1 gene:Ma07_g09860 transcript:Ma07_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVPRSNTPHILCLVNQFVMLNDFQILSDPVKRREYDEKGVCVVEDYNAIEYLNRY >Ma11_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4531385:4532703:-1 gene:Ma11_g05820 transcript:Ma11_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQQKARTLVQRLSSVAAESKSAAEAIAEIRRLSKQDPEMRAPLADAGAVPLLAARLLNHHHHHSSAAEAQENAAAALLNLSISAREALMCTPGILDALAAALRLLSPAAAQHAAATVYSLLSVEAYRSVIGSKKPLIAALVDLLGAPGAPTRSIKDALKALFGLALYPLNRTALVELGAVPPLFALVVKDGRRGLVEDASAVIAQVAGCDESVEAFRRVDGVSVLVDLVVGGSGRARENAAAALLNLVKSGGDKAVGDVREVDGAEAAVRALAGGDSGVSARGKSKAEALLTVLESRRGSQLQRIDDSETGTDDSVPHTPLSYSSPTSSP >Ma06_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3047269:3047984:-1 gene:Ma06_g04190 transcript:Ma06_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYYHHNGPHCDSPYSPSPPLPLHLCLFLLTLFIFVGLSWYMAYESVFESLLDQLKLLLMASPLLLLLVVHWLSNDERRRVPFFIPLPERESFHRAGGSPWGVGLLVVLLMFMISYQSYFHERWFPLLSR >Ma09_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11393362:11394434:1 gene:Ma09_g16070 transcript:Ma09_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSRNSWPLALPSFFYLLASSLLSCTAQSAEAGCGPYGHGVSSIVSEELYHSIFLHKDDSACPANGFYTYASFVRAASSFPRFGRTGDLDTRKREVAAFLAQISHETTGGWATAPDGPYAWGLCFKEEINPQSNYCDSTNKKWPCYPGKSYHGRGPIQLSWNYNYGPAGKALGFDGLKNPETVANNSEIAFKTAIWFWMTEQKPKPSCHDVMVGRYRPTAADVQANRTIGFGLVTNIINGGLECGVPGDSRVADRIGFYKRYAQLFDVAVGPNLDCAYQKPF >Ma10_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31536159:31537234:1 gene:Ma10_g21990 transcript:Ma10_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSAFHFIKGLYNSPNGERLAGGVQAVRMNAPRVGGSFAVWGGLFSAFDCSMVYLRQKEDPWNSIVAGAATGGFLQMRQGAGPAARSALFGGILLALIEGAGIMLNRVLSAPQNLPPMEDPIPAGLPPNIAAMTAAAGTSSATTGGFSQVGYQPNESVGATSSSSSSSWFGGFFGGGKKQGDDLQGGGSKTEALESFDTPSTPIPSFEYK >Ma09_p26430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37721690:37723434:-1 gene:Ma09_g26430 transcript:Ma09_t26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPPLCRLLSRLHRRPPISQPRRAFVDARVKWIRDRALDHAVEKEKHLQPFHALKDLLLFPSSAASSSPSGPSFPLAFIASRAADLRLPFRPIRFIRLFPSAFIEELPPPTAGAPPRPVIRPTPALLSLHDDERRAFEAARPDAADRLLRLLMLAPRRRLPLCLVDRLRWDLGLPRDYARSLLPDYPDYFQIVPSTTGVGGALDLELVLYRKDLAVSAMERYAMKTGGYKKGMSLAFPLHFSRGFDLEKKVRKWLDEWQKLPYISPYEDASHLVPKSDLAEKWMVAKLHEVLHLFVPKKTEKENLVLLGEHLGLSPGFRKVITHHPGIFYVSNKLKTQTVVLREAYRRDLLVGKHPLMGLRYQYIHLMHKGKETDAKKKHSKSRIGAAHGSIDNGITAEDEEGEEEDDEDEDEEEEEELSAASGVDTEDESDDEHVSQSSTMEQRETTRHGTNRRVMVSN >Ma08_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28159312:28160656:1 gene:Ma08_g18030 transcript:Ma08_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASTQSTASTDTHHNPHFDELRWVIQIRHTLEEEHDDDMGIPVSVFNVPKCLQVTKPEAYVPQLIAVGPYHHWRPELYEMERYKLAAARRTQKQFHTIKLQHLIEQFTKLEHKIRAHYHRYLDFNGDTLAWMMAVDASFLLEFLQVYAVQEEGEGEGKVLRRLSSRMSHLVDYTGRKSAHNVILRDIMMLENQIPLFLLRKILELQCSSIEVADGTLTKMLTGLLKELSPFKLMDNFPCIDVAQHAHLLELLYYVLVPKSDDDGDDNEIEEQNDEAAPKEQKYGNSGYVRQLLDAVWKIGSSLNGAPIRFIKGVIVSRPVKLVVQVPWKILTSLPGFSIVKQPVE >Ma03_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25964291:25967844:1 gene:Ma03_g20890 transcript:Ma03_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVNFCWSIITSSLIWLCCFMAMHLFRIRQRNSFQKDLKLPGVTDSCTRDDDDESKEKETPSLSFKFQYQLSDPSARKDEPSMKPSIDNLGFLSENDFSGFMEGAGIGGIEDQKFLHAEPLEEEKPVSWKTNPSTEPEITDRERFLSEFSGFDSDTESLGASDGYSVKDLIVDSDSDGLLSERDLDEYEHQAEAIEVSINATKFQVQQFEDIRRFEETELQSTQNRDTESVTADGEFCPFKNHRRHIKCAGNGSDNSEPELDQIQKIQASKLLPMELIDSSDVELHTTEKHSSTRRGSEQVLSSNEAFHDGHLGSIPSSEEEEQALLRAELDELEEELGIEEKVPNQSRQKEDTDLEDLDDEEDYDDLESLWEHQDLMEELKLEMRKLRAVGLPTILEESEAPKAVDDPKPWKIHETLLHEDPMDELHKFYKSYRGRMRKFDILNYQKMYAIGLLQLKDPLQSMKYQRSLIPTLKSLLPQSLWPRGLKPGTDLSEEFIKELQSDLEMVYVGQTCLSWEFLLWQYEKARELPQSARYRNHQYNQVAEEFQQFQVILQRFIEDEFFKGPRLPNYVKHRCGNQNLPQVPHIREDNLKEKMEAQLKGNHIICSEVLEEIMEESIRIFWEFVKADKDETPWMLKGLLGTHVQLQDPADFKFMANVQSNLQKKEKKLKDILRIRNCLVKKFKKPKEDGSNQDLFFSQVDLKLVARVLRMSRITTEQLVWCHTKLSNIVFIEGKVHREPSFLLFPC >Ma05_p25440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37375958:37380313:-1 gene:Ma05_g25440 transcript:Ma05_t25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRSGNGSSGGERRSSARWTAILCACSFALGILFTNRSWEGPDATGQIVSTGRQEQELQIVAEDCATKRRAEQDEDVMGEVTRTHEVIQSLDKTISTLQMELASQRSALELNGANGSPTIAVSSQQRKKAFVVIGINTAFSSRKRRDSVRETWMPQGDKLRQLEHDKGIVIRFMIGHSATSKSILDKAIDSEESLHNDFLRLDHVEGYHELSAKTKMFFSTAVAIWDADFYVKVDDDVHVNLGMLATTLARHRSKPRTYIGCMKSGPVLSSKNVKYHEPEYWKFGEDGNKYFRHATGQIYAISKDLAMYISINQAILHKYANEDVSLGSWFIGLEVEHIDERNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKDVHERCGEGDGTVWSTLF >Ma05_p31280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41266369:41268308:1 gene:Ma05_g31280 transcript:Ma05_t31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSWETSVTESINAVYLLFSAYLVFVMQLGFAMLCAGSVRAKNALNVMLTNVVDAVVGTVSYYLFGFAFAFGGSNPFIGTSFFALHHVPNDSYDYAYFLYQWAFAIAVAGITSGSIAERTQFSAYLVYSSLLTGFVYPVVAHWVWSSEGWLSPSAGGVGLLFGSGAIDFAGSGVVHLVGGIAGLWGAVIEGPRVGRFDAFGKPVPMRGHNATLVVLGTFLLWFGWFGFNPGSYARILVPYPDAPDQGNWTGVGRTAVTTALAGSTAGLVTLFGRRLLAGHWDALDVCNGLLGGFVAITSGCAVVEPWAAIVCGFFAAWVLIGLNTLALKLRFDDPLEAAPLHGGCGAWGLIFTGLFAKEELVVQAYESGEVGVSRPFGLLLGGGWGLLLAQVVAVVVIVGWVSLTMAPLFYVLHKLHLLRISVDEELAGLDISSHGGYAYVAHPEEHHPRFYADYTSIADGTR >Ma08_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1291288:1292812:1 gene:Ma08_g01470 transcript:Ma08_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHNQCHNNSPNLRRSVPDGTVTEPVRTRWTPKPEQILILESIFNSGMVNPPKGETVRIRKLLEQFGSVGDANVFYWFQNRRSRSRRRQRQLQASLMAGQQVSSGVPRASTPPTSSNTSSSNSAGGEAGLFSCSSFASPSSSNFMIDDSADDLFSICRQMGFMESTQDISQLHYQPGTVIVFINGVLNEVPSGPIDLRAMFGHNVMLVHSSGEVLPVNEHGILMQSLQMGESYFLVTRPT >Ma03_p09570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7099518:7139030:1 gene:Ma03_g09570 transcript:Ma03_t09570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSRPIRSGGIVSVNDGPSCSEAPHLENNNLCKEVGAVDNGEHSNCSKPIYIDVDCSSWGSAEHLDIAEVILNDGKFSDGKIDYGLLKDSFNELKFSLRFRLCNVKEGSFRLGQWPVLSATDSIFLEFLFVEDQGREHGKNCSITLSGTFDGPDESVSSLVHLVYLKFLALRLILVVEDFVDVPALRFRVEVLKSAFDACDSILETVRQPWRKSMMNVMHWLRPEVTTSEAIYGIEGPSVQVDEASSYGRSKHAQFDIADFYEAIKPSREEPMLDEELPDLLPCLRPYQRRAAYWMVQREKAANIISGEKAPYQLSAPYSVPVNFLDRSSRMFYNPFNGNISMHRDSSSLHVSGGILADEMGLGKTLELLACIMAHRRPSLEAGFVYNNHSHDIEAEVKIKRQKWERVECICGAASESSRYRGLWVQCDLCDAWQHAKCVGYSPKESSLPSHENETKGGGSVKVLSESKFSHKKKDTSNIVKISGDYICSLCSELIEAAKIDMYTGATLIVCPAPILAQWQSEVMRHTRSGSLKICIYEGARSLDSLITSRTDMTELASADIVLTTYDVLKEDLSHDSDRHDGDRHFLRYQKRYPVVPTLLTRINWWRLCLDEAQMVECNQASVTEMAMRLHAQHRWCITGTPIQRRLDDIYGLLRFLRTNPFDVYRWWVEIIRDPYERRDRVAMQFVYNFFRKIMWRSSKAHVAEELHLPPQEVCLSWLTFSSIEEHFYQKQHETCVSHAHEIIKNLKDDIRKREAVFDLDASCYRFLSHSEVARLVGPLLKLRQACCHPQVGSSGLCSLQQNPLTMEEILGVLIGKTKIEGEEALRKIVSALNGLAAIAIIEEDVKRAVLLYREALALADEHSNDFRLDPLLNLHIHHNLAELIPLTSEFSEHCLSAGLPLENNELRKRKSSSADRFDKYYVKRGKTRKGCKPISAGDDISLEQNNKTGDVTSNVHGLAENGAKDLEHDACCFSTLDNSVNIVSEVDVPCLASPRCYAVGCLRKTCENIKQKYLSVFTSRMSLTQEEFKSSSMQVSSILNEMENQTTIWWLHALHLISQDKESSAELTRKIEQSLSRNAQSAGLSRVSSRFRSTSGLIYNIQTGLDSLGSSRLELINRLIEIDQTMDNPKDDDIERLRYCPNCYDGDGPLCLHCELDVLFQVYEARLSLVRRANNYAMFESIEEALDLQKRNFELNLFFRNNKTSLDNGTGNEKSKQRLVKEAIQVLKVPSELETTLRVIKSHSTAILGRQGVESAKKHLLLFEGMRKEYAQARSLSRAQAQVLRAYDEIKMATTRLRLKATEDEPAAINVLSKEELVPSSLQLSSEKFVSLSSLQRIKGQLRYLKGMALSNQKAFVAQIRSLALYVMRDFLIRRWFLNVDMSFVAIVVFK >Ma03_p09570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7112674:7142362:1 gene:Ma03_g09570 transcript:Ma03_t09570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVYNFFRKIMWRSSKAHVAEELHLPPQEVCLSWLTFSSIEEHFYQKQHETCVSHAHEIIKNLKDDIRKREAVFDLDASCYRFLSHSEVARLVGPLLKLRQACCHPQVGSSGLCSLQQNPLTMEEILGVLIGKTKIEGEEALRKIVSALNGLAAIAIIEEDVKRAVLLYREALALADEHSNDFRLDPLLNLHIHHNLAELIPLTSEFSEHCLSAGLPLENNELRKRKSSSADRFDKYYVKRGKTRKGCKPISAGDDISLEQNNKTGDVTSNVHGLAENGAKDLEHDACCFSTLDNSVNIVSEVDVPCLASPRCYAVGCLRKTCENIKQKYLSVFTSRMSLTQEEFKSSSMQVSSILNEMENQTTIWWLHALHLISQDKESSAELTRKIEQSLSRNAQSAGLSRVSSRFRSTSGLIYNIQTGLDSLGSSRLELINRLIEIDQTMDNPKDDDIERLRYCPNCYDGDGPLCLHCELDVLFQVYEARLSLVRRANNYAMFESIEEALDLQKRNFELNLFFRNNKTSLDNGTGNEKSKQRLVKEAIQVLKVPSELETTLRVIKSHSTAILGRQGVESAKKHLLLFEGMRKEYAQARSLSRAQAQVLRAYDEIKMATTRLRLKATEDEPAAINVLSKEELVPSSLQLSSEKFVSLSSLQRIKGQLRYLKGMALSNQVTHHQCLDSLSKPQETAKNSSFAIKESICSTDQEPCPVCHERLFNQKMVFECGHVICCNCCLQMTDHAVVHSGKNQRKWITCPTCRQRTDFENIAYVDEKQNKGSDSRLSNTFNSKAESENSITVKGSYGTKIEAVIRRILWITSNDEEAKIIVFSSWNDVLDVLGHALVANSITFVRMKGGRKSQVAIAQFKGQENMQVGEKTQEQLTSSKSVRVLMMLVQHGANGLNLLEAQHVILMEPLLNPAAEAQAISRIHRVGQDKKTFIHRFLVKNTIEESIYKLNMTRDVSSVISPKVSKNQDQPILTLQDVESLFPLARPSELHQDRNEPDGSLRNLPPAVAAGLAAHRRLFEGHNNLR >Ma03_p09570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7099518:7142362:1 gene:Ma03_g09570 transcript:Ma03_t09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSRPIRSGGIVSVNDGPSCSEAPHLENNNLCKEVGAVDNGEHSNCSKPIYIDVDCSSWGSAEHLDIAEVILNDGKFSDGKIDYGLLKDSFNELKFSLRFRLCNVKEGSFRLGQWPVLSATDSIFLEFLFVEDQGREHGKNCSITLSGTFDGPDESVSSLVHLVYLKFLALRLILVVEDFVDVPALRFRVEVLKSAFDACDSILETVRQPWRKSMMNVMHWLRPEVTTSEAIYGIEGPSVQVDEASSYGRSKHAQFDIADFYEAIKPSREEPMLDEELPDLLPCLRPYQRRAAYWMVQREKAANIISGEKAPYQLSAPYSVPVNFLDRSSRMFYNPFNGNISMHRDSSSLHVSGGILADEMGLGKTLELLACIMAHRRPSLEAGFVYNNHSHDIEAEVKIKRQKWERVECICGAASESSRYRGLWVQCDLCDAWQHAKCVGYSPKESSLPSHENETKGGGSVKVLSESKFSHKKKDTSNIVKISGDYICSLCSELIEAAKIDMYTGATLIVCPAPILAQWQSEVMRHTRSGSLKICIYEGARSLDSLITSRTDMTELASADIVLTTYDVLKEDLSHDSDRHDGDRHFLRYQKRYPVVPTLLTRINWWRLCLDEAQMVECNQASVTEMAMRLHAQHRWCITGTPIQRRLDDIYGLLRFLRTNPFDVYRWWVEIIRDPYERRDRVAMQFVYNFFRKIMWRSSKAHVAEELHLPPQEVCLSWLTFSSIEEHFYQKQHETCVSHAHEIIKNLKDDIRKREAVFDLDASCYRFLSHSEVARLVGPLLKLRQACCHPQVGSSGLCSLQQNPLTMEEILGVLIGKTKIEGEEALRKIVSALNGLAAIAIIEEDVKRAVLLYREALALADEHSNDFRLDPLLNLHIHHNLAELIPLTSEFSEHCLSAGLPLENNELRKRKSSSADRFDKYYVKRGKTRKGCKPISAGDDISLEQNNKTGDVTSNVHGLAENGAKDLEHDACCFSTLDNSVNIVSEVDVPCLASPRCYAVGCLRKTCENIKQKYLSVFTSRMSLTQEEFKSSSMQVSSILNEMENQTTIWWLHALHLISQDKESSAELTRKIEQSLSRNAQSAGLSRVSSRFRSTSGLIYNIQTGLDSLGSSRLELINRLIEIDQTMDNPKDDDIERLRYCPNCYDGDGPLCLHCELDVLFQVYEARLSLVRRANNYAMFESIEEALDLQKRNFELNLFFRNNKTSLDNGTGNEKSKQRLVKEAIQVLKVPSELETTLRVIKSHSTAILGRQGVESAKKHLLLFEGMRKEYAQARSLSRAQAQVLRAYDEIKMATTRLRLKATEDEPAAINVLSKEELVPSSLQLSSEKFVSLSSLQRIKGQLRYLKGMALSNQVTHHQCLDSLSKPQETAKNSSFAIKESICSTDQEPCPVCHERLFNQKMVFECGHVICCNCCLQMTDHAVVHSGKNQRKWITCPTCRQRTDFENIAYVDEKQNKGSDSRLSNTFNSKAESENSITVKGSYGTKIEAVIRRILWITSNDEEAKIIVFSSWNDVLDVLGHALVANSITFVRMKGGRKSQVAIAQFKGQENMQVGEKTQEQLTSSKSVRVLMMLVQHGANGLNLLEAQHVILMEPLLNPAAEAQAISRIHRVGQDKKTFIHRFLVKNTIEESIYKLNMTRDVSSVISPKVSKNQDQPILTLQDVESLFPLARPSELHQDRNEPDGSLRNLPPAVAAGLAAHRRLFEGHNNLR >Ma08_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35087908:35092497:-1 gene:Ma08_g21100 transcript:Ma08_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHLLPLPLLPIPLVYKTLLRFPSKPLAPHPRTLCSSAASSSSYSSMASIPKKVLVPIANGTEPMEAVITIDVLRRAGADVTVASAEKDLRVDACWGVKLVADALVADVATASFDLISLPGGIPGSDTLRDCGVLESIVKKQAEKGGLYAAICAAPAVALGSWGLLKGLKATCYPSFMDKLPSDATAVESRVQVEGQVVTSRGPGTAMEYSLALVEKLYGKDKADEVAGPMVMRPHHGVDFAMTEINSTSWKFDSTPQILVPIANGSEEMEAVMIIDTLRRAKANVVVASVEDKLEIVASRKVKLIADMLLDEAIKLQYDLIVLPGGLPGAQAFSNSEKLVNLLRKQAESSKLYGAICASPAIVLETHGLLKGKKATAYPAMCDKLSDQSYCENRVVVDGNLITSRGPGTSLEFALAIVEKLLGRQKSLDLAKSMVFV >Ma10_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16815844:16817110:-1 gene:Ma10_g05500 transcript:Ma10_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNDAVLPSLSSPFSSSLGNTGPPWLQSSDDSTELQRVNCGGLLGALQEKSDGGSLTGSLRRFLGMSNVTGKGDPMMTTGCSAAGVLPKVVAERRKRKISRDPANKNQTPSSPSSKNPKTMCSKTMARMPLIKVGDKITALQQLVSPFGKADTASVLHEAALSIKFLHEQIEMLTAPYFGMRSPEEGDEGLADSNAELQSRGLCLVPVFAMVELLDQEDYGLNFY >Ma02_p01840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12121060:12133027:-1 gene:Ma02_g01840 transcript:Ma02_t01840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEGEVSRLFRIRRTVMQMLRDRGYLVVAAKDIDINMRQFLEKYGENPKRDELVINKQKKNDNNDQIYVFFPTEAKVGVKQIKQYVERMKQENVFRAILVVQQNLTPFARSSLQEASQKYHLEVFQEAELLVNIKDHVLVPEHQVLTSEEKKTLLERYTLKETQLPRIQVTDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >Ma02_p01840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12121060:12133073:-1 gene:Ma02_g01840 transcript:Ma02_t01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEGEVSRLFRIRRTVMQMLRDRGYLVVAAKDIDINMRQFLEKYGENPKRDELVINKQKKNDNNDQIYVFFPTEAKVGVKQIKQYVERMKQENVFRAILVVQQNLTPFARSSLQEASQKYHLEVFQEAELLVNIKDHVLVPEHQVLTSEEKKTLLERYTLKETQLPRIQVTDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >Ma02_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12121060:12133027:-1 gene:Ma02_g01840 transcript:Ma02_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEGEVSRLFRIRRTVMQMLRDRGYLVVAAKDIDINMRQFLEKYGENPKRDELVINKQKKNDNNDQIYVFFPTEAKVGVKQIKQYVERMKQENVFRAILVVQQNLTPFARSSLQEASQKYHLEVFQEAELLVNIKDHVLVPEHQVLTSEEKKTLLERYTLKETQLPRIQVTDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >Ma02_p01840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12121060:12133081:-1 gene:Ma02_g01840 transcript:Ma02_t01840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEGEVSRLFRIRRTVMQMLRDRGYLVVAAKDIDINMRQFLEKYGENPKRDELVINKQKKNDNNDQIYVFFPTEAKVGVKQIKQYVERMKQENVFRAILVVQQNLTPFARSSLQEASQKYHLEVFQEAELLVNIKDHVLVPEHQVLTSEEKKTLLERYTLKETQLPRIQVTDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >Ma10_p28980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35688780:35694358:-1 gene:Ma10_g28980 transcript:Ma10_t28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDMNVYLRAFYRCQSLTWRQAVCFCSYGSHAGNFALREAFVSQLRVVGNKWGQSFNFGYQVTTKTNPELEEESKGKRVVLRLLYLLF >Ma10_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26128554:26129195:-1 gene:Ma10_g13260 transcript:Ma10_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSPCASCKLLRRRCTPDCVFAPFFPSDEPHKFAMVHRVFGASNVSKMLQELPLHQRADAVSSLVYEANARMRDPVYGCVGAISYLQHQVSQLQMQLALAQAEMLCIQMQQAQLMDADGMQYLMQSDLSAVAQLTNYPSSSSNVPHEPLKRENPWT >Ma06_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11744629:11773340:1 gene:Ma06_g17300 transcript:Ma06_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCTHCAEECPTVRDPDKGYICCALCGRIIDEDIYFTGPTFAKDSSGQSRFAGSIMHSVQSGYSASHERTLMKGRDEIRHIVDSLRVGGGDSIINKAHAFYQIAVDKNFTKGRRTSQVAAACLYIACRQTKKAYLLIDFSDYLQINVYVLGAVFLQLCKTLSLLEHPIVQKLVDPSLFIHRFTERLLGRKNNAVSETALRIIARMKRDWMQTGRKPSGLCGAALYISAHSHGLKYSKIDVVSVVHVCEATLTKRLIEFENTESGSLTIEEFLATADELNAESELDQLPKAGKVLCKHKDSEVPHFAHGLCRKCYDKFIKISGGLQGGAEPPAFQQAERRRMEKEEKEKKMRDSNLDNHHTYEKSGSIHDKENGSAKIPDIGQAEGKTTNDPESAGSAGDNSLDSEQQDLDDTADDETENFSDIDDVEVNGYLHNEEEKELKKIIWEEMNKEYLEEQAAKEAAVAAAKEAYEANFADGTEDVLAAKELAEATAAALAKSRKERKKRRAEESKNAAPAQTPLEATRQMLKKKTFSSKVNYEALEALYTSEQDNGKKQKIESDAADPYNLHDNIENHGVDPGATEDGDRPEEFEDHNFAEVSYDDYNGIGDEDYGYDEDFDFS >Ma06_p17300.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11746658:11773340:1 gene:Ma06_g17300 transcript:Ma06_t17300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCTHCAEECPTVRDPDKGYICCALCGRIIDEDIYFTGPTFAKDSSGQSRFAGSIMHSVQSGYSASHERTLMKGRDEIRHIVDSLRVGGGDSIINKAHAFYQIAVDKNFTKGRRTSQVAAACLYIACRQTKKAYLLIDFSDYLQINVYVLGAVFLQLCKTLSLLEHPIVQKLVDPSLFIHRFTERLLGRKNNAVSETALRIIARMKRDWMQTGRKPSGLCGAALYISAHSHGLKYSKIDVVSVVHVCEATLTKRLIEFENTESGSLTIEEFLATADELNAESELDQLPKAGKVLCKHKDSEVPHFAHGLCRKCYDKFIKISGGLQGGAEPPAFQQAERRRMEKEEKEKKMRDSNLDNHHTYEKSGSIHDKENGSAKIPDIGQAEGKTTNDPESAGSAGDNSLDSEQQDLDDTADDETENFSDIDDVEVNGYLHNEEEKELKKIIWEEMNKEYLEEQAAKEAAVAAAKEAYEANFADGTEDVLAAKELAEATAAALAKSRKERKKRRAEESKNAAPAQTPLEATRQMLKKKTFSSKVNYEALEALYTSEQDNGKKQKIESDAADPYNLHDNIENHGVDPGATEDGDRPEEFEDHNFAEVSYDDYNGIGDEDYGYDEDFDFS >Ma06_p17300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11746658:11773340:1 gene:Ma06_g17300 transcript:Ma06_t17300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCTHCAEECPTVRDPDKGYICCALCGRIIDEDIYFTGPTFAKDSSGQSRFAGSIMHSVQSGYSASHERTLMKGRDEIRHIVDSLRVGGGDSIINKAHAFYQIAVDKNFTKGRRTSQVAAACLYIACSYVLGAVFLQLCKTLSLLEHPIVQKLVDPSLFIHRFTERLLGRKNNAVSETALRIIARMKRDWMQTGRKPSGLCGAALYISAHSHGLKYSKIDVVSVVHVCEATLTKRLIEFENTESGSLTIEEFLATADELNAESELDQLPKAGKVLCKHKDSEVPHFAHGLCRKCYDKFIKISGGLQGGAEPPAFQQAERRRMEKEEKEKKMRDSNLDNHHTYEKSGSIHDKENGSAKIPDIGQAEGKTTNDPESAGSAGDNSLDSEQQDLDDTADDETENFSDIDDVEVNGYLHNEEEKELKKIIWEEMNKEYLEEQAAKEAAVAAAKEAYEANFADGTEDVLAAKELAEATAAALAKSRKERKKRRAEESKNAAPAQTPLEATRQMLKKKTFSSKVNYEALEALYTSEQDNGKKQKIESDAADPYNLHDNIENHGVDPGATEDGDRPEEFEDHNFAEVSYDDYNGIGDEDYGYDEDFDFS >Ma06_p17300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11746160:11773340:1 gene:Ma06_g17300 transcript:Ma06_t17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCTHCAEECPTVRDPDKGYICCALCGRIIDEDIYFTGPTFAKDSSGQSRFAGSIMHSVQSGYSASHERTLMKGRDEIRHIVDSLRVGGGDSIINKAHAFYQIAVDKNFTKGRRTSQVAAACLYIACRQTKKAYLLIDFSDYLQINVYVLGAVFLQLCKTLSLLEHPIVQKLVDPSLFIHRFTERLLGRKNNAVSETALRIIARMKRDWMQTGRKPSGLCGAALYISAHSHGLKYSKIDVVSVVHVCEATLTKRLIEFENTESGSLTIEEFLATADELNAESELDQLPKAGKVLCKHKDSEVPHFAHGLCRKCYDKFIKISGGLQGGAEPPAFQQAERRRMEKEEKEKKMRDSNLDNHHTYEKSGSIHDKENGSAKIPDIGQAEGKTTNDPESAGSAGDNSLDSEQQDLDDTADDETENFSDIDDVEVNGYLHNEEEKELKKIIWEEMNKEYLEEQAAKEAAVAAAKEAYEANFADGTEDVLAAKELAEATAAALAKSRKERKKRRAEESKNAAPAQTPLEATRQMLKKKTFSSKVNYEALEALYTSEQDNGKKQKIESDAADPYNLHDNIENHGVDPGATEDGDRPEEFEDHNFAEVSYDDYNGIGDEDYGYDEDFDFS >Ma06_p17300.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11746658:11773340:1 gene:Ma06_g17300 transcript:Ma06_t17300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCTHCAEECPTVRDPDKGYICCALCGRIIDEDIYFTGPTFAKDSSGQSRFAGSIMHSVQSGYSASHERTLMKGRDEIRHIVDSLRVGGGDSIINKAHAFYQIAVDKNFTKGRRTSQVAAACLYIACRQTKKAYLLIDFSDYLQINVYVLGAVFLQLCKTLSLLEHPIVQKLVDPSLFIHRFTERLLGRKNNAVSETALRIIARMKRDWMQTGRKPSGLCGAALYISAHSHGLKYSKIDVVSVVHVCEATLTKRLIEFENTESGSLTIEEFLATADELNAESELDQLPKAGKVLCKHKDSEVPHFAHGLCRKCYDKFIKISGGLQGGAEPPAFQQAERRRMEKEEKEKKMRDSNLDNHHTYEKSGSIHDKENGSAKIPDIGQAEGKTTNDPESAGDNSLDSEQQDLDDTADDETENFSDIDDVEVNGYLHNEEEKELKKIIWEEMNKEYLEEQAAKEAAVAAAKEAYEANFADGTEDVLAAKELAEATAAALAKSRKERKKRRAEESKNAAPAQTPLEATRQMLKKKTFSSKVNYEALEALYTSEQDNGKKQKIESDAADPYNLHDNIENHGVDPGATEDGDRPEEFEDHNFAEVSYDDYNGIGDEDYGYDEDFDFS >Ma03_p26210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30024017:30027870:1 gene:Ma03_g26210 transcript:Ma03_t26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKTLPSHMKQCFSYCSIFQKGYEFDKDKLVKIWMGRGFLQHQGTKQMEDTGAEFDELLGRWLCDALFKNRRIDKNTYKIFSSAHTLSIDECFDVLWDKGLRHGITIESHNAQFRLKLNYDKKNHFDSKKLMYIVWIQKLVGFNSSVIQIPPDLVLHLKYLRVLDFSDSFLEELPETIGDLVHLRYLDLTATAIARLPESISKLYSLQTWRLRECFSLKGLPKGITNLINLRHLEEDSRLISSVAGIGKLTCLQELPIFKIHQQIGHRISELKDMTEIRGSLCVLNLENVADAEEARQARLSYKQHLQKLKLEWNAHSAGSFRDEDILECLQPHESLKELHIEGYNGSRFPSWVGDPVFSSLTKMYLHYCNCSLLPPPGRLRFLTHLEMQGPDGIKHLGPEFCGHGRDGGFPSLEVLQLEDMTEWLEWSGVTETHFPCLRELSIVRCPKLRRLPAITSLSSVHISNCSDLQSLPADLTRLRRLEIYHCENMVVPSEGSGLQSLASLKHLDVENCPKLMSVVEAEAVHLPKSLQVLRINSCTDPSSWVFKEIRFLTSLQYLQISECMNLKVLPEGLRALPSLHSFLVLSCPMLHERCKEERGLDWHKINHIPTAVIDGQHL >Ma09_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29631803:29642197:1 gene:Ma09_g20580 transcript:Ma09_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFEDIFLGEDERKKKENAAEMEGGPSEPRLIPNLSSIADSVVRRCSRILLLSMEQLQQSFEAEIPDHFKQPTSYARNLVEYCSYKALCVETQCPDHLADKEFSLLTFDMMLAWEAPDTETESLLKANACSNHPEFDDDNEGSLFYACATRMASQIDGKMTVGLEAFARIASACASVADPITVHNLFDALTSSSGGCLHFLIYDKYLKSLYKVFKSMKHISGQHRNLNFNLADGEIILEVDARSILQHNGISTRPGRLTLTSHALYFEASGIGSYDKAVIYNLSKDLKQVVKRELTGPWGARLFDKAVMYKSDSVAEPIYLEFSGHSHRDYWFAIIQEVLNVNKFIRKYNLRSFQKAEALSKAALGIFRHHALKEAFHVTPSHFKSILAFNLAEKLPKGDKILEALYNHLELMQVGYQNNADVVTASEEKPLAGPLPDSLYTLIRIGFLLPKEDNPEGNDILVHNIHVGQTCPLQMAVRESVCYSGRVEAARATLYQVKVEDIDTNLAVIKDLLFPLSQLGKLLSHLASWEDPFKSKVFLFLILYLLYRGWVWYILPCALVSAAVCMFWHKHHGSGKPIKAFQVTPPPNRNPVELLLMLQDGVSQLQTNVQGGTIALLKLRALLIAAFPQTTNKVAITLVIVAIAVSLVPFRHLLVLVLLEIYTRHMPLRKESSEKLVRRIKEWWSRIPAAPIQIGAHEEHNNS >Ma06_p32210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33156054:33162014:-1 gene:Ma06_g32210 transcript:Ma06_t32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKSLGGGGGGAGGEPPVPPPLARQGSVYSLTMDEFQSTLGGIGKDFGSMNMDELLRNIWTAEESYAMTAALGDGSGPGSGLQRQGSLTLPRTLSQKTVDEVWRDLVGHSSSCSQVPAVRGLDVPRQSTLREMTLEEFLVRAGVVREDMAPPQAPSRPTNNTSNDTSVFYGDLPAVNSSAGLDLGFSQAPAGSTGNIVDAPIPHGSAANLGMTVTAARLYAPPMTLGNGVDLANPQGMTGGGLVGFGHADVRNGLTTGILGLGAAGVLAASGSPADHLSSDRLGKGNGDLSSVSPVPYVFSGGMRGRKGNGALEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEQNQELQEKQAEMMEMQKNQVLQMINQQHGPKKKCLRRTQTGPW >Ma01_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4276799:4279568:-1 gene:Ma01_g06040 transcript:Ma01_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCLRHSHLTPPSSFQHNHSDGKCFGSSSRTLFLPPSLVSIPFSLRGSHLRPSHGWKIARVTSSLIEAPVLWAGRLCIFYALLKTGLAGSDSNPFVPSGLRGDGEDLGFSKWIEMLQGRSDDKKATDRRKLVSKWHPTTKGTLKRSYRVPSKAEGRRLLKSIASLLSDDDHFVDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLVVEITAFPAGPLTDKDYIKAEKLERVLRSGSSI >Ma10_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29544624:29546823:-1 gene:Ma10_g18720 transcript:Ma10_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGDAAIKLFGKTIPLRPSSSGGHDEKDHQEGEGALKSRKQDHESSDSQEKNEPATSTSDLHTPASVDPEDVSTKNSSHKEEEEDDEESQRSVKKPDKTLPCPRCKSLDTKFCYYNNYSVNQPRYLCRNCQRYWTAGGTMRNVPVGAGRRKNKNSCHIRRIALSESAIRALQSDARYPQSTVLNFDSMASALVLPENTVSCNRNGIHGEMAPPPSTMNNGDEKSSRPSDTLNAKGSQNYQRTIAPIPCFSGSPWRYPWNPALSFCASSLPVPFYPAAAYWSGPWLSPAASSSPDGCLPRSGSSTTPTLGKHSRDDTCILIPKTPRIDDPEEAAKSSLWTMVGISNGELLKAFQPKREIKNQVPTLLHVNPAALCRSLNFQEAS >Ma06_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1795729:1799039:1 gene:Ma06_g02300 transcript:Ma06_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASILLFLLAVTLFSSSIATGGDVLTPHRPILDDGTTLISAGGAFELGFFSPVGSDNRYVGIWFHKVSLQTVIWVANRQRPVAGRTGSLSLAANGTLFVTDNSTVVWSSGSPALANPVAQLLDDGNLVVMESGSSGKDPNSFAWQGFDFPTDTLLPNMKLGWNLTSGLNRNLTSWASLSDPAIGNYTMGVDVDGDPQGFLWSRDSKQWRAGSWNGIQFSGIPDMKTYSQFSFEFIIRPDEVFYSFFVHDDSIISRLTVLPSGILQRLVWIEHSKLWSVFWFAPRDQCDYVSPCGPNGVCDSNNSPICECLHGFRPKNPTNWDLRDGSDGCVRETALDCRNGTDGFNQLSNAKLPDTSRAVVNRSLNLQQCRDACSRNCSCTGYAAASFNSSGIWNGCIMWTTHLTDLRVYVSGGQDLYVRVAAADLDQSDSETKHSHRTRVFVIVVAVLAPAILLAACVACCVGRKKMIMTRRATSGTKSLSGHHIDDGAEGKDLDLPLFDLGTIADATDNFSIHNKLGEGGYGPVYKGKLEEEQEVAIKRLSKTSMQGLDEFKNEVTVIAKLQHRNLVRLLGCCIQAGERVLIYEYMPNGSLDAFLFDKVKGELLNWRSRYTIIVGIARGLLYLHEDSRLRIIHRDLKASNILLDKDMNPKISDFGMARIFGGDETEVNTMRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIVSGKKNRGVYYSGRHLNLLAYTWSLWKEDRVLEMVDESIGESFPTDEVLRCIKVGLLCVQEMPEDRPTMSSVALMLGSDSALLPQPAHPGFVSARCPIEMDSSISKQESMSINNVSVTMFEE >Ma06_p02300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1795729:1799039:1 gene:Ma06_g02300 transcript:Ma06_t02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASILLFLLAVTLFSSSIATGGDVLTPHRPILDDGTTLISAGGAFELGFFSPVGSDNRYVGIWFHKVSLQTVIWVANRQRPVAGRTGSLSLAANGTLFVTDNSTVVWSSGSPALANPVAQLLDDGNLVVMESGSSGKDPNSFAWQGFDFPTDTLLPNMKLGWNLTSGLNRNLTSWASLSDPAIGNYTMGVDVDGDPQGFLWSRDSKQWRAGSWNGIQFSGIPDMKTYSQFSFEFIIRPDEVFYSFFVHDDSIISRLTVLPSGILQRLVWIEHSKLWSVFWFAPRDQCDYVSPCGPNGVCDSNNSPICECLHGFRPKNPTNWDLRDGSDGCVRETALDCRNGTDGFNQLSNAKLPDTSRAVVNRSLNLQQCRDACSRNCSCTGYAAASFNSSGIWNGCIMWTTHLTDLRVYVSGGQDLYVRVAAADLDQSDSETKHSHRTRVFVIVVAVLAPAILLAACVACCVGRKKMIMTRRATSGTKSLSGHHIDDGAEGKDLDLPLFDLGTIADATDNFSIHNKLGEGGYGPVYKGKLEEEQEVAIKRLSKTSMQGLDEFKNEVTVIAKLQHRNLVRLLGCCIQAGERVLIYEYMPNGSLDAFLFDKVKGELLNWRSRYTIIVGIARGLLYLHEDSRLRIIHRDLKASNILLDKDMNPKISDFGMARIFGGDETEVNTMRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIVSGKKNRGVYYSGRHLNLLAYTWSLWKEDRVLEMVDESIGESFPTDEVLRCIKVGLLCVQEMPEDRPTMSSVALMLGSDSALLPQPAHPGFVSARCPIEMDSSISKQESMSINNVSVTMFEGR >Ma07_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24678758:24693693:-1 gene:Ma07_g18180 transcript:Ma07_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGDDPDHSVERRKPTGSPADDLSKQHRGAAMTSPSADRRKVSCDDALEFLVALKEGLDPDKYEEFLDTVVDMKEQSSAVHETVERLKELLRISGLPESALAFDVFVPKGWDAPAPSVSEKKPDYRDALAHVRRVRSRFSNNEAKYTSFLDIFRMHRTDRKPMDQVHEEVMALFQGHDDLLEEYSFFFNHGSERKRYGIVSSSRPFSPQDEERPTNSNDAPAGEKEGRLSLYADCHLSNLEHSKSQKYVERHSVVGKDQRGSSKMCMVQKETHKNLNNLLPVQKPSQRFDHCVAEPPHKDHRARLVDEEEIPMDMDHEGDGLQEKLSENDRIRDTKRLFGGSSVLKDKDPCKNNLFGDDEECDHGKGYLKPDTSKCHRSAPGYVILPDHCHILPPSYMSEIGSAVLNDSLVCMTSGSENNSFKIMHWNKYEECLIRCDDDRFELDMLLKLITVTAKRVEMLLKVKGDKVEPESDIPIENYFTSQDLRCIELLYDEYGLDFIDAVRENVSSALPVILNRLKQKEEEILEKLSDFSEVWTEAQATNHLRSLDHRSFYFKQQDPKTLSSKALLAECKQVNEMDDNMLLDRIAGGHFIPVVFEYTDAEIHDVLYKIIELSCHANCTLEGELDKVMNIWTTFFEPMFGVFWQLQDTESNKENKKHDYRSSLSSSGQCSGGSDAEVAYESLISGHTSNLSVICTQIAKEQHENFEGGQNQESVVDRTCGDPIRFNDKVHQYNEVSAVCNNEDEKEEGELSPEVYFEENIVVDSEGIVMDKLVDDGTEGRQLQLGPGALTMDFVVDAEAQVNGDAENEGIESASGPFKDSPNAHEAVVDASHGQCDDNDVQESSHDNEEEDEIDHDAKGGSVQVDKMSDDADNFQGEGTNLPFSACQLKTSKPLTEHAPRLLHNEDRQTRIFYGSTSFYLLLKIHQILYKRILSAKTNSSAAEIRSSNQDNANHHNQYARFKDALYSYLGGLTDKSNFEDDCLAFIGPQSYILSTLDILVHKLVKQLKAVAISETNNKFLQLYAYEKSRGPGRFDDLVYLQNARVINNGDLFRFECSSNPTRISIQLLEHPNDETEANVVPSNPDFAAYFYSGFLQNISDEKGTYKGFLRRNIRKFHKYHKCNCDGHCATCEPMERIQVTNGLQCRILNSFKVLYVMGTEDLLFRKEKRNRFAYKPKRFDL >Ma11_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5135268:5136580:-1 gene:Ma11_g06360 transcript:Ma11_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCDFCGKGEALVFCCADEAALCDACDRRVHCANKLAGRHRRFSLDPPSAHSHPLCDICREKRSLLFCREDRAILCDHCDASIHSANHLTMKHSRFLLTGIRLSASPISFPEAEITGSSASSISEYLINMCPGWHVEDLLVEDGTTAAAMDGFSNVDELLPLLEEGVGGGGLESIRAPHVPQFPSTLPPAGGTRHPHSLGGKEVAINLERWSNDAFMVPQISPAPTPGKRSRHSVQHY >Ma04_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11348094:11350936:1 gene:Ma04_g14980 transcript:Ma04_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGVVVVVVVLSCFTSFPLFGLSDSAISLVSRSSSLLAAYHCLQLSSIFNRIARFHTNII >Ma04_p39640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36667226:36673925:-1 gene:Ma04_g39640 transcript:Ma04_t39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKEKTKTTIISSPASAPAKTLPSASSEPTQEPPTPIPPATTSVLPEWSASLQAFYGRGAAAMMPQAFYPPPPIAAPQPVVWGAQQVMPPYGSPIAYASFYPHGGFFAQPPMNAAMAYRTPETQGRPPEAKDKQITSKGTSKDGGELTGKWGNGGKGTSGAAENSSQSDDSATEGSSDTREDGSQPKDHSLARKRSYGNMIAEGEASHPLDTAEHSGANAESTYSGRSRTAKKLPVSAPGRATLPGSQTNLNIGMDFWGATHAGSVPMKDDRELRREKRKQSNRESARRSRLRKQQECEELARRVTDLESENSALRVEIESLKKLRGELKAENKSIMEKLKQRYGPENFSELGISIDPSKLEPDGASG >Ma08_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8693930:8695802:1 gene:Ma08_g11700 transcript:Ma08_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGAIPGSAARCQCDHHDEPHGLLTAPLVVVEKPVAKTQAEVAPAPVLTPKPLGSVSLGLVEATSVLSIALPMVLTGLLLYCRSMVSMLFLGRLGDLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAQRHRLLGLALHRTVLLLLSASVPIALLWYYIRPLLLLVGQEPALAAAASSYLHACLPDLLLQSFLHPLRIYLRTQSITLPLTVCAGLAVVLHLPINYLLVSVLRLGIGGVALASVLFNFNVVVFLIGYVYFSGIHRSTGGLSFSSECLKEWRSLLNLAVPSCISVCLEWWWYEIMIILCGLLLNPQVTVASMGILIQTTSLLYIFPSSLSFGVSTRVGNELGANRPDRAQRAATAGLSCSFVVGLLALGFAFSVRHVWARMFTGDPAILDLTASVLPILGLCELGNCPQTTGCGVLRGSARPKLGADINLGSFYAVGMPVAVGLAFWTPLDFRGLWLGLLSAQATCVVLMLVTIDSTDWDAQAERAQLLTGAAIDEDYKESLPKTNNPTGGDDTDVIVKIER >Ma08_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15921397:15923506:-1 gene:Ma08_g15730 transcript:Ma08_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31920 [Source:Projected from Arabidopsis thaliana (AT1G31920) UniProtKB/Swiss-Prot;Acc:Q9C6T2] MVGGLALHQTQPFIPPPPSRNPASHVSEHRPREPLSCLPPPHHVKTMEEFKKLHARFIKLGLDRVPRHAGDLLLACSLSEWGSMDYARSIFLGLDDPGTFDFNTMIRGSLVHGDPQGALLFYPEMLRRDVEPDNFTFPLVLKACSQLSALAQGLQIHGHAAKHGFQCDVFVQNSLINMYGKCGEVERSCRAFEQMGSCRTVVSWSALTAAHTRMGLWGKCLEIFAMMTRQGLRADESSMVSALSSSKNLGAYGTGRSIHCSLLRRFTGLNVVVQTSLIDMYISCGCLEKGIAIFETMSEKNTWTYSVVISGLAMHGEGERALQVFSDMLHGGHEPDEAIYVGVLSACSHAGLLDEGLRCFDRMRVEHRIPPSPQHYGCVIDLMARAGRLKEAYELMESMPAAQTEAAWRCLLSACKTHGELEVAERASRNLEELNARNSGDYINLSNMYAEAKRWSDAAMTRREMVDRGLVQAPGYSRVEVKGKMHTFVSNDKRHPQRRQVYEMAYQMEWQLRLEGYTPEEKRRMVVGAESQKLAIAFALLNTSQGSPIRIITNLRMGKECHTYTALVSTIFEREIIVRDRNRFHCFRQGVCTCGDHW >Ma08_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32897552:32901548:1 gene:Ma08_g19090 transcript:Ma08_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTGSALQFRNLRPLLALERGSPTSLRGPSRIRCGLRGERKPLWRGRVMSTEAIQAVQALKLANSSSSSRRIEGVLDARVGRLLKADQIAVLAELRRQNEWQLALQVFAFIRKEVWYKPELSLYSDMIFMLGKNKLIGLAEELFSELQKEGLQPDTRAYTEMIGVFLQVDMVEKAMDVYKSMKELGCSPDKLTLTILIRNLEKVGLEDLASDVRKDCAEYMDFPEKFLKEVDKKFPKRRSLKLV >Ma01_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16545485:16551875:1 gene:Ma01_g20080 transcript:Ma01_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MTKEKELFRPRVPGRVGMYVCGVTTYDLSHIGHARVYVTFDVLYRFLKHQKYDVCYVRNFTDVDDKIIARANELGEDPLSLSSRYCDEFHSDMAYLQCLPPSVEPRVSNHMNQIIDMIKQILDNDCAYIIDGDVYFSVDKFPAYGRLSGRKLEDNRAGERVAVDSRKQNPADFALWKSAKDGEPYWESPWGPGRPGWHIECSAMSAAYLGHSFDIHGGGMDLVFPHHENEIAQSCAACSKSNIGYWIHNGFVTVNEEKMSKSLKNFFTIREVIELYHPLALRFFLIGTHYRSPINYSMTQLDIASDRLYYIYQTICDCEETFHQHHGNDLKDSLPQDTLQCIQKLHLDFESSMSDDLHTPVALASISEPLRIINDLLHTRKGRKQELRIESLSAMVKEIKNLLGILGLAPSNYSEVLQQLRERALRRVGLTEDELLLKIDERTTARKNKQYDKSDEIRKGLAAIGITLMDSHTGTTWKPTIPHDVHEHASAM >Ma06_p31800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32824127:32829854:1 gene:Ma06_g31800 transcript:Ma06_t31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFRCFRPEGSNGRRRDRPVPRSRPSTSKINQGSLVSKRQLESFFVNEDHGSLSKQVSKSSAKDNVHKDGSYGELKHETDFLKASGALLRTPEEIQKASRIETAQAPAKEGLSSNHVSKLPGASCKELLCDENYKVSRCPSQEQENAGISQSNTECFTFKGHQTPQCCEYSLPSVNQNFESVQNEYTVEPKLDGHTADILPSQHEPCNQPFASKNLPFPTPVEVTDEMETSATVYPTNLGNTKYEKNTRIGTQYIFPILNPVENLSRQKLLNEDSSEPLQSYDSSDHKTNNIPDAGEKIQQISLVTDPEEAELSGTPRYTSPSRLETPRDKTLLRPEYPDLVTTSLSQWLKPPIAKDEHNEIKEKSHSGKSSYEDRPILGMVAAHWKEEEPGHKSPKEWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDENSLPKRKFVPGKRVEFEDELSDTAAS >Ma03_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28464040:28466473:1 gene:Ma03_g24100 transcript:Ma03_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHLLVGLFIGTLLSEFCDFSLSVLIGSFIVNHVTWNKLVLKKECE >Ma10_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15032271:15034854:1 gene:Ma10_g04340 transcript:Ma10_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLIVACHGSTETRYRSAGADDDTGKRKPPKYKVLREDKYKFLFSERSSSCKFESLKLALFIITCCTVLTLAFCPMIHKERVLSRSGSRSLFVDVARMWQTMLADPRYVSNLDVVWDQIPSFLKYVNADGGSPRIGLLNFNSTEARLWRQTLPQAEVSSVHLDYAETSITWKVLYPEWIDEQEENEVPACPSLPEPEIEEGSRFDLVAVKLPCDRSGSWSRDVARLHLQLAAAKIAAASAAGHSQVHVLIVTDCMPFPNLFTCKNLVQHEGNLWLYKPDSATLEEKLRLPIGSCELAIPFEAKVRMYTEFGRREAYVTILHSAKQYVCGAIAAARSIRLAGSARDLVILVDEAVSEQDRNGLEAAGWKVRVIERIRNPKAKRDAYNEWNYSKFRLWQLTDYDKVIFIDADLLVLRNIDFLFAMPEISAIGNNGSLFNSGVMVIEPSNCTFQLLMDHIDDITSYNGGDQGYLNEIFTWWHRTPRHTNFLKHFWVGDSEERKKKKDGTFGADPPVLYVIHYLGLKPWLCFRDYDCNWNSQKFWGFASDAAHATWWRVHDTLPEDLQNLCLLSTKRKAYLEYNRRKAEKANYPDGHWRRNITDPRSEICSEAFCNWESMLLHWGEKRPSNNSHASVGGTAAVPSS >Ma04_p28700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29707252:29710067:1 gene:Ma04_g28700 transcript:Ma04_t28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVSVCRGLVVVLALVAWLSCAAAEGGGGDRAVRQRLEVRRHLKRLNKKPVKSIRSPDGDIIDCVHVSHQPAFDHPFLKNHSIQMRPTYHPEGLFDENKLASQEKKTPSTAQLWHQNGRCPEDTIPIRRTTRDDVLRASSVKRYGRKKHRSIPCPLSVDPDLLNESGHQHAIAYVEGDRYYGAKATINVWRPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNNEVAMGASISPISDYDGSQYDVSILVWKDPKEGNWWMQFGNDYVLGYWPSFLFSYLSDSASMIEWGGEVVNSDADGEHTSTEMGSGRFPEEGFSKASYFRNIQVVDGSNNLRAPTGVGAFTEQSNCYDVQNGNNGEWGQYFYYGGPGRNSNCP >Ma07_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30965336:30967241:1 gene:Ma07_g23260 transcript:Ma07_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MADESKPFFPVESAHHVKPPPPRRAQSLTPESRFWRSFRHSELASGLILPVTALEFSPVAPYHVAAACSAAVHLFDGAASPSLAPLPHSPLSGFSDVAYSPSFRCDGALLAAGGESGLVQVFRVDKAGPPLRRLRAHARPVRVVRYPRVADKIHLFSGGDDALLAYWDVPSEAQVVSFPGAHRDYIRAGSASPTSPEVFATGSYDHTVKLWDVRVPPGSNLLLGFSHGDPVESVLFLPSGGLLATAGGNVVKIWDIISGGKLTHTIESHNKTVTALCLGRIKNESPSDDGGEPRLLSVSIDGYMKSFDFATFKITHSMRYPAQLLSVGFSPSGNARLVGTSDGVIYMGMKKTKKQEEANGTNAASELDGFIPEPEKQVLRPTNYRYFHRGKSEKPQDTDYVIPRAAKVKLAEHDKLLKKFWHKEALVVALSKKSASSVVAVMEELVARKKLLKCVANLDVDELRLLLRFLHKNATSPRHARFLMGLTKKVLEMRADDIQSNNNLRIYVRNLKRMIAEEIQIQRSLQEIQGMISPLLVIAGR >Ma06_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6914010:6919614:1 gene:Ma06_g09940 transcript:Ma06_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP721A1 [Source:Projected from Arabidopsis thaliana (AT1G75130) UniProtKB/TrEMBL;Acc:A0A178WDX2] MATFLFSLLLFSFCLVLRAVYSLLWLPHRTHLDFLRQGVLGPPRRPLAGNAADVRRLYAAAQAAPLPAFSHDVAGRVVPHYAEWSARFGRTFVYWFGTRPRLAVVEPELARAVLTDPTGAFEKVGLNPSARQLFGEGLVGLKGTKWAHHRRVLTPAFNMERLKCWVPAIASSTSSMLDEREARDENRFEFEIDVNKEFHAFTAEVISQVAFGSSYEEGKQNFQLQEEQMLLVSLALRSVYIPGFRFVPTKKNKKRWRLNKEIRNSLRKLIEINGNECESSRNLLGLMILANKNDEEEKMGIEEIIDECKTFYFAGKETTANFLTWVILLLALHEDWQKKAREEVVCVFGLHKHPNAEGLGNLKIVSMVLKETLRLYPPAVALNRLTTRSVKLGNLDVPSGTHIYIPQIVIHHDVEVWGRDANEFNPSRFADGKGHHLGAFLPFGIGSTVCIGQNLALLEAKVALAMILQRFEFTVSPSYVHAPMLLMTLQPQYGAQVLMRKI >Ma04_p34880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33855100:33857863:1 gene:Ma04_g34880 transcript:Ma04_t34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEYEGLERFGMENDYEGGEWIGGEFYYRRKKERPVQTREDAIYGTFAAGSSDSDSDGGGRHSWKRRKGDLISKPDLSKPVHFVSTGTVMPDQEIDRNIKEESERAAEASNPVQGLGCGLGFQADKKDAEGDEDDEDNFLPTAFGRKIKEGAQRREKEKKWEKEKSKLAKPLGRRSGSSADDVGKFEVHTKGIGLKLMKMMGYKEGSGLGKSEQGIVAPVEAKLRPKNMGMGFNDYKEAKLPAVDEAPREKATVAVASGRSKEKRWLKQRQGKLKAKILTADELLAKKQEEGIEVVQKVLDMRGPQVKVLTSLENLNMEDEMKQNDLPMPELQYNVRLIVDSTEADIQKLDQALRREREKVVSLQKEKEKILKEEVRQRQQLQVMEMIAGVLERIKEDNLSGSLTLDSLLTTFRDLKERFREDYKLCNISCIASAFAYPLLLRVFQGWDPLQNPLHGMSLLSSWRDLLQGDQPYDYSESLMTASPYAQLVSEVILPAVRISGTNTWQAKEPESMLRFLEAWERLLPPVVLQSILENVVMPKLTAAVDSWDPRRETVPIHVWVHPWLPLLGQRLETLYHTIRYKLGSVLHAWHASDASAYAILSPWKDVFDAASWEHLMVRYIVPKLKIALQDFQVNPANQNLDQFNWVMTWASVIPIHHMVHMLEVDFFSKWQQVLYQWLCSNPNFNEVMQWYMGWKGLFPAELLANERIRMLLSAGLDMMNQAVEGMEVVQPGARENVSYLRVTEKRQFEAQQHAAAYSSVHVNGSGSMNEMSFKESIEAYAMEHGLLFLPKVGRSYNGLPVYGFGNVSICIDSVKRLLYAQLQEGTERWSAVSLTQLLEMHQNATHR >Ma11_p00160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:63751:68388:1 gene:Ma11_g00160 transcript:Ma11_t00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIQALWNHPAGPKTIHFWAPTFKWGISIANVADFSKPPEKISYPQQVGVYILLSICGNKCLFNFSSIFFAKRDCHCLMLAAVTCTGLIWSRYSTVITPKNWNLFSVNVVMAGTGIYQLARKTRHDHFSEVQVPGKE >Ma11_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:63751:68377:1 gene:Ma11_g00160 transcript:Ma11_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIQALWNHPAGPKTIHFWAPTFKWGISIANVADFSKPPEKISYPQQVAVTCTGLIWSRYSTVITPKNWNLFSVNVVMAGTGIYQLARKTRHDHFSEVQVPGKE >Ma11_p00160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:63781:68389:1 gene:Ma11_g00160 transcript:Ma11_t00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIQALWNHPAGPKTIHFWAPTFKWGISIANVADFSKPPEKISYPQQVGVYILLSICGNKCLFNFSSIFFAKRDCHCLMLAAVTCTGLIWSRYSTVITPKNWNLFSVNVVMAGTGIYQLARKTRHDHFSEVQVPGKE >Ma10_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26702667:26705983:1 gene:Ma10_g14170 transcript:Ma10_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLQRQLANLKKSLFDQGNLDEQFYQLEELQDDASPNFVEEVVTLFFRDSSRLVANIEQAIEKCPRDYGSLDALVHQLKDSASSIGAAKMMKDCTVFREYCEKRNSEGCLRSFQKVKKEHSTLRLKLENYFQLLRQVGPAEKATRSGK >Ma10_p14170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26702667:26705983:1 gene:Ma10_g14170 transcript:Ma10_t14170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLQRQLANLKKSLFDQGNLDEQFYQLEELQDDASPNFVEEVVTLFFRDSSRLVANIEQAIEKCPRDYGSLDALVHQLKDSASSIGAAKMMKDCTVFREYCEKRNSEGCLRSFQKVKKEHSTLRLKLENYFQLLRQVGPAEKATRSGK >Ma10_p14170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26699581:26705983:1 gene:Ma10_g14170 transcript:Ma10_t14170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLQRQLANLKKSLFDQGNLDEQFYQLEELQDDASPNFVEEVVTLFFRDSSRLVANIEQAIEKCPRDYGSLDALVHQLKDSASSIGAAKMMKDCTVFREYCEKRNSEGCLRSFQKVKKEHSTLRLKLENYFQLLRQVGPAEKATRSGK >Ma10_p14170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26699581:26705983:1 gene:Ma10_g14170 transcript:Ma10_t14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLQRQLANLKKSLFDQGNLDEQFYQLEELQDDASPNFVEEVVTLFFRDSSRLVANIEQAIEKCPRDYGSLDALVHQLKDSASSIGAAKMMKDCTVFREYCEKRNSEGCLRSFQKVKKEHSTLRLKLENYFQLLRQVGPAEKATRSGK >Ma01_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9168351:9175134:-1 gene:Ma01_g12560 transcript:Ma01_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPPSSLESELTRHGERISAIKNHISKFRTLEAPDLDNFQLGVSRKGHVPLDLEGMFKKEADISVVKCCSDPVINMEDSLLNSVQKTRAKAHNVDAKHLGFAGLDDARESTPRKADDAVTLSDLSFHLSGSETNMLQSSLISNDLAKKASDPDAHDVSQREKIKFKESCQPLNTSSEVDHLDHSFVQDSLPAEGDLVGKKRRIEQSLVMDESHISKISRTEKSPIFSSEPVATKPMIHLAHPSEIIDQSLETGGQRTAVHWSDIFSKVSDAKKLVFSPIIHKLALQELDILEDMLGELQVARKYLKISSSLRNNDHLDDLHRQRVTEAWFLQDKFLYEQAKMQIKRAKLDQLRGKAHSIQSGLKECNSLKSMFSQLCLQNTRGVQNKENQVHSVSSITSCRNKETDERMASRRHELKMLEQKEDHLLKSLGVCCKIKGNMSTDGITKVANERLEMRNRINIIHQQSRLWELSNTMKRDNKHDIVLNYCNFLFQRFTIDSCQVSSILVNNSLHAENIGKTFHNMNEHVVFEFVFGDKGDSRVISSKCFQQKTLETSLLMGILLDVLAEVQVARMENLNLTFSTFSHTPTGQLELQLCFMNFKNGQKVTLSMDMSELKCATYPSEPSELKYKICEAQTTLSPSLSARLMAMLRSHQGKRLVILSLCRAISLLFQDLLAS >Ma03_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7429689:7436260:1 gene:Ma03_g09970 transcript:Ma03_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSGPLMIACSALCEAGEKKIIDSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEINDIPKYPSLPSKLICVLLDVTMHADAETDEVYAQMTLQPVNKYDREAMLASEMGLKQNKHPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELVVKDLHDVSWTFRHIFRGQPKRHLLTTGWSVFVGTKRLSAGDSVLFIRDEKLQLLFGMRRANRQQPALSSSVLSSDSMHIGILAAAAHAATNNSPFTIFCNPRASPSEFVIPFAKYNKAMYTQVSLGMRFRMMFETEDSSVRRYMGTVTGISDLDPVRWKNSQWHNLQVGWDESTATERRTRVSIWEIEPLATPFYLCPPPFFRRNFPEAPGVPDAHEVENAFQRVMPWLNDDYGIKDTQSALFPGLSLVQWMSMQQNHQLMTPAAQPAHFPSAIASALQNGLVTEDPTKMLNFQTHGASAPSLNSKLNPQTQQLNQTLQLPRELQQQPQLLPQASASHHVQLPPLQQKSINLQQQQFQQQEQGQLQQPQSQPQPQQLRPVAVQEAADHQLMQHQTSSGQIVSQTHSSSQHLQPSLLQLQELQSSCLSSMDPLHQSLLPQILPVQEPLELQHSLLQKQQEQLQCLMQPQLQLQLLQKLQQQQLLSQLSPSLLSQLPQLLAQQNQQYQERRDLQQRVDGSGNLSLQLKQLHQEHKISVLGNQKSPLLVRTQSSLSGTKGPSSSNFPLTNSHIVSLSLNGKTQQGPAILVEETVAPPVDNMLQELQSKPQVMIKHEQFSFNESKLPNQLPVADQLDAFSTTSFCLESKVHEGLSLPPHCLDGNSQDHQDNFLLGTMPDTLLSRGLGMGKEIQNLISGYWQQKDVDTELSTADISSQSFGVADMSFKPGCSADVVVNEGVPNRGAWANQHQRMRTYTKVQKRGSVGRCIDVTRYKGYDELRHDLACMFGIEGQLEDSHRTEWKLVYVDHENDVLLVGDDPWEEFVSCVQSIKILSAAEVQQMSLDGNLIGLSVGTEACSGSNSGNPWRGQLDDCSFASFQH >Ma03_p09970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7428547:7436266:1 gene:Ma03_g09970 transcript:Ma03_t09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPTACGFAGNPEEGEKKIIDSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEINDIPKYPSLPSKLICVLLDVTMHADAETDEVYAQMTLQPVNKYDREAMLASEMGLKQNKHPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELVVKDLHDVSWTFRHIFRGQPKRHLLTTGWSVFVGTKRLSAGDSVLFIRDEKLQLLFGMRRANRQQPALSSSVLSSDSMHIGILAAAAHAATNNSPFTIFCNPRASPSEFVIPFAKYNKAMYTQVSLGMRFRMMFETEDSSVRRYMGTVTGISDLDPVRWKNSQWHNLQVGWDESTATERRTRVSIWEIEPLATPFYLCPPPFFRRNFPEAPGVPDAHEVENAFQRVMPWLNDDYGIKDTQSALFPGLSLVQWMSMQQNHQLMTPAAQPAHFPSAIASALQNGLVTEDPTKMLNFQTHGASAPSLNSKLNPQTQQLNQTLQLPRELQQQPQLLPQASASHHVQLPPLQQKSINLQQQQFQQQEQGQLQQPQSQPQPQQLRPVAVQEAADHQLMQHQTSSGQIVSQTHSSSQHLQPSLLQLQELQSSCLSSMDPLHQSLLPQILPVQEPLELQHSLLQKQQEQLQCLMQPQLQLQLLQKLQQQQLLSQLSPSLLSQLPQLLAQQNQQYQERRDLQQRVDGSGNLSLQLKQLHQEHKISVLGNQKSPLLVRTQSSLSGTKGPSSSNFPLTNSHIVSLSLNGKTQQGPAILVEETVAPPVDNMLQELQSKPQVMIKHEQFSFNESKLPNQLPVADQLDAFSTTSFCLESKVHEGLSLPPHCLDGNSQDHQDNFLLGTMPDTLLSRGLGMGKEIQNLISGYWQQKDVDTELSTADISSQSFGVADMSFKPGCSADVVVNEGVPNRGAWANQHQRMRTYTKVQKRGSVGRCIDVTRYKGYDELRHDLACMFGIEGQLEDSHRTEWKLVYVDHENDVLLVGDDPWEEFVSCVQSIKILSAAEVQQMSLDGNLIGLSVGTEACSGSNSGNPWRGQLDDCSFASFQH >Ma04_p31870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32079332:32081846:1 gene:Ma04_g31870 transcript:Ma04_t31870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKLEAVKDVEEEKTAIVPASEKKPDDFKALAIVEQVEDPEKSSGDSDDRDAVLARIVAEKRLSLIKAWEENEKFKAENKALKKISSINAWENSKKAEVEAELKKKEEELEKKKAEYAEEVKNKIALIHKEAEGKRAVAESRRGEEVLKTEKKAAKYRATELVPVLQRRLFDFFQS >Ma04_p31870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32079131:32081846:1 gene:Ma04_g31870 transcript:Ma04_t31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKLEAVKDVEEEKTAIVPASEKKPDDFKALAIVEQVEDPEKSSGDSDDRDAVLARIVAEKRLSLIKAWEENEKFKAENKALKKISSINAWENSKKAEVEAELKKKEEELEKKKAEYAEEVKNKIALIHKEAEGKRAVAESRRGEEVLKTEKKAAKYRATELVPVLQRRLFDFFQS >Ma11_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12121006:12124544:1 gene:Ma11_g11140 transcript:Ma11_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAADHADAKKPDADRSVDYAPYPTLTAEDVAPPPPPSAAVGPRDITSLPPEHNPYVTSSPAPKNTMDTVRDVLGKFGKKVSEAAKKTEDLAGDVWQHLKTGPSVADAAIGRISQTSKVIAEGGYEKIFQQTFEILPEEKLQKSYACYLSTSAGPVMGILYLSTAKLAFCSDNPLSYKVGDKTEWSYYKVAIPLHQLRSVNPATSRANTGEKYIQVVSVDNHEFWFMGFVNYDSAVRILQEAVQDAH >Ma09_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7016205:7018243:1 gene:Ma09_g10290 transcript:Ma09_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQMKIQPVDASGSVAFAPRSDPGKPAAKSRLKRLFERQFPSVLRNPAAEKVAGAGDCREKERDDGGGDVEPSSVFLDTMVFSFTEEGHHDKPPRGRCNCFNANFDDSSDDDFDARDGDAPGSAAAPGDAAEAIKGLVLCASMAERNLLADASKILEKAKNSKNKGDWRRMVADGLRSLGYDVAVCRSRWDQSPSFPAGEHEYIDVVVEGGDRLLVDVDFRSEFEVARPTKSYRAVLQHLPSVFVGRSDRLQQIVALASEAARQSLKKKGLHVPPWRRPEYMKAKWLSPYHRTTATEVTEESVSRGAQSGRGSSNNTIPCSISAIDFSGVSEQSTNAAGTGDDIPDAAARERMEVVVSPWRPPPVRPKAGVKVVTGLALVL >Ma02_p16700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23947732:23953808:1 gene:Ma02_g16700 transcript:Ma02_t16700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRSYLISRVFRSSCEQESDWHCMDYHIHESSDNKKRPLDEEFEEQQLEDRVKRNKSPQLPDTPDIKDMQLLLDNSYHRGREHGDSSIVSQLGGELIVSCLLRLSRSDYGAVASLNRMFRSLIRSGELYQLRRKMDIVEHWVYFSCSVLEWEAYDPYRGRWITVPKMPPTESFMCSDKESLAVGTELLVFGKEVNSHIILRYSILTNSWSRGVVMNSPRCLFGSASLGEKAIVAGGTNAQGDILNSAELYNSQTQTWETLPSMNQARKMCSGVFMDEKFYVIGGMASNTKVLTCGEEYDLKRGSWSVIPNMSDGLNGANGAPPLVAVVNNELYAAHYADKELRKYDKVKHTWVRLGSLPESSVSMNGWGLAFRACGERLIVIGGRRGSLGGMIELNSWIPNRGPPEWNMIASKHSGNFVYNCAVMGC >Ma02_p16700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23947732:23953808:1 gene:Ma02_g16700 transcript:Ma02_t16700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRSYLISRVFRSSCEQESDWHCMDYHIHESSDNKKRPLDEEFEEQQLEDRVKRNKSPQLPDTPDIKDMQLLLDNSYHRGREHGDSSIVSQLGGELIVSCLLRLSRSDYGAVASLNRMFRSLIRSGELYQLRRKMDIVEHWVYFSCSVLEWEAYDPYRGRWITVPKMPPTESFMCSDKESLAVGTELLVFGKEVNSHIILRYSILTNSWSRGVVMNSPRCLFGSASLGEKAIVAGGTNAQGDILNSAELYNSQTQTWETLPSMNQARKMCSGVFMDEKFYVIGGMASNTKVLTCGEEYDLKRGSWSVIPNMSDGLNGANGAPPLVAVVNNELYAAHYADKELRKYDKVKHTWVRLGSLPESSVSMNGWGLAFRACGERLIVIGGRRGSLGGMIELNSWIPNRGPPEWNMIASKHSGNFVYNCAVMGC >Ma02_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23947732:23953808:1 gene:Ma02_g16700 transcript:Ma02_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRSYLISRVFRSSCEQESDWHCMDYHIHESSDNKKRPLDEEFEEQQLEDRVKRNKSPQLPDTPDIKDMQLLLDNSYHRGREHGDSSIVSQLGGELIVSCLLRLSRSDYGAVASLNRMFRSLIRSGELYQLRRKMDIVEHWVYFSCSVLEWEAYDPYRGRWITVPKMPPTESFMCSDKESLAVGTELLVFGKEVNSHIILRYSILTNSWSRGVVMNSPRCLFGSASLGEKAIVAGGTNAQGDILNSAELYNSQTQTWETLPSMNQARKMCSGVFMDEKFYVIGGMASNTKVLTCGEEYDLKRGSWSVIPNMSDGLNGANGAPPLVAVVNNELYAAHYADKELRKYDKVKHTWVRLGSLPESSVSMNGWGLAFRACGERLIVIGGRRGSLGGMIELNSWIPNRGPPEWNMIASKHSGNFVYNCAVMGC >Ma06_p32060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33041549:33042073:1 gene:Ma06_g32060 transcript:Ma06_t32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDKEKHTSSLSMDASRLCNSITLQMSAASSAGRRCNSSSFTCRAHQARMGRPAAANFYQVLALEPENAGADAVKRAYRSLALRHHPDVCPPSGKEEATRTFVEIQRAYEILSDPVLREEYDHQLGLVGSAKQRDQIDEERRRVYPKEVWMEQLRELKSRSMSRMGKKKLECL >Ma06_p38360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37138637:37148976:-1 gene:Ma06_g38360 transcript:Ma06_t38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQEAGFYFNMKYFEDEVHNGNWDNVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARTIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGALAPSPANNPLLGSMPKAGGFPPLGTHAPFQPVPAPVPTPLAGWMSNPSAVTHPVVSGAAIGLNAPTNPVAILKHPRTPPTANPSIEYSSADSDHVSKRTRPIGISDEVNLPVNILPVSYPQNHNQATYTIEDLPKTVARTLSQGSNPMSMDFHPLQRTILLVGTNVGDIALWDVGTRERLIHKNFKVWELGTCSMSLQASLVKDPAVSVNRIIWNPDGSLFGVAYSRHIVQIYSYHGSDDIRQHLEIDAHVGGVNDIAFAHPSKQLSFITCGDDKTIKVWDATSGTKQYTFEGHGAPVYSVCPHHKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGETFIVEWNETEGAVKRTYQGFRKRSLGVVQFDTTRNRFLAAGDEFLIKFWDMDNTNLLTTIDADGGLPASPRIRFNKEGTLLAVSTHDNGIKILANADGIRLLRTLENRSFDASRTVSETITKPMMSPLSAAAVATTSGITDRTAPSMPISGMNGDGRNLVDVKPRITDESMDKSKIWKLTEINEPTQCRSLRLMDNLRTSKISRLIYTNSGAAILALASNAIHLLWKWPRNERNSSGKATASVAPQLWQPPSGILMTNEITDTNPDEVVHCFALSKNDSYVVSASGGKISLFNMMTFKTMTTFMPPPPTATFLAFHPLDNNIIAIGMDDSTIQIYNVRVDEVKRKLRGHSKRITGLAFSNALHVLVSSGADAQLCVWGTEGWEKQRSRFLQIQSARTPSTISDTRVQFDQDQIHFLAVHETQIAIYETTKLECVKQWSPREGSAPISHATFSCDSQSIYASFLDATVCIFNAANLKLRCRILPAAYLPASVSTNVHPLVIAAHPSEPNQFALGLTDGGVHVVKPLESEGKWGVNPPAENGSASSLSAPPTTAGPSSSDQPQR >Ma02_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14485508:14491850:1 gene:Ma02_g03130 transcript:Ma02_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRWGFYLPLELHGRGRGSRAALKWFKYGALLHDASYCLPIQILPLLSVQDSILAVLRMVLFLSPYVPSTAPEKLCNQVAHGVCYGKAMLYHIEAPVSNLISPVIYMWQPFLRDSDHANAEKDGDSNSSGSTHKDECSSPIRKLWIWIHAAAFCEGFGVIDNACQNQPFKNGIIYLCWDIEMHECGVYVRCFSLEGQIARLEVMGSKAIKMIKKILHPVSQSCSSADDSLLNQSSLTPCSNSQAQKSILLCHAEKLPSHAILSLTWNFLSDSISLWDCSNNLNPPVPESIICKEKHDRRLKDFYLEPSSHAGAATEVKDCPSRTCPVLLLKHADYSSFCMGWSIILPLSWIKTFWTLLVSHGCHAIGLRERRWVASNSGLPSFPFDFPDCKAYTSFMADEAAAFDTASELCPLAVRPSRVPIKVCNFVLYRRPNILRGYMTLDAQTSSGIIPENSILDVDSKDKASSPERQVAVLFPGFIARTSKVLDWYLKKIGCRNLLSLPDVQIAENSTLDWVKISANVMHADSKLCLLRVMLHAYKEGVFEDGAIVCAPNLTDLSRWTSRSEGEEDLEELQVPQSFVRSCFTEQNSGKWELQDCEDSLALQTFRWPIGFVTTGFVRGSSKPVAEAFCEARLLAMLRGQESCDTLKQQRLRFLCLSEI >Ma03_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10951190:10952776:-1 gene:Ma03_g13910 transcript:Ma03_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGASVLLLALLCIAFVPSHGALQVGFYSGKCNGTDVEAAIRSVVAARFGRDSSIVPALLRLHFHDCFVRGCDASILLDGSGTEKTAPPNLSVRGYDLIDQAKTALESRCPGVVSCADIIAVATRDAVVLGGGSQYTYAVQTGRRDGVVSLASEAISNLPGASFSASQAIAAFGAKGLSASDTVLLLGGHTVGVTHCSFIRNRLYNYNGSGKPDPTMDPALVNLLRSRCPQSSTVDNTVFLDHSTPSTVDNGYYKQILAKRGVLKVDQNIAMDGATNATVRSLANGGSSFPSLFGRAMVKMGAIQVLTGAQGQIRKSCRVVKK >Ma08_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37838612:37840925:1 gene:Ma08_g24760 transcript:Ma08_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLPLLPMDPSLLPPALAALAVLLALYIWFRVRLPPGPRRWPVVGNLYDIKPVRFRCFAEWAQTYGPIMSVWFGTTLNVVVSSSELAREVLKEKDQQLADRPRSRSAARFSRDGKDLIWADYGPHYVKVRKVCNLELFSPKRLEALRPIREDEVTAMVESIFHACSHPEKVGKSLVLKDHLSAVAFNNITRLAFGKRFVNPDGSTDEQGVEFKTIVSNGHKFGASLSLAEYVSWLQWLCPLDVEAYDKHSARRDRLTKIIMEEHTQARYKGGAKDHFVDALLTLKDQYDLSEDTIIGLLWDMITAGMDTTVISVEWAMSEIVRNPRVQRKIQDELDRVIGQERIMNETDFASLRYLQCVVKESLRLHPPTPLMLPHKANADVKIGGYDIPKGSNVIVNVWAIARDPKTWKNPLEFRPERFLEEDIDIKGHDFRVLPFGTGRRVCPGAQLGINLVTSMLGHLLHHFSWTLPDGVKPEDVDMTETPGMVTFMQTPLRVVATPRLPSHLYLRVPSEI >Ma04_p35920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34478272:34481329:1 gene:Ma04_g35920 transcript:Ma04_t35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNESILFYSPGVSTTAAGLFRLLPEIQVVELLLAISIFVAIHSLRKGSRQGLTSWPVLGMLPSLVLGVRKNIYEWLTGVLNHQGGTFTFCGPWFTSLQCVITADPRNLEHLLKVKFTNFPKGEYFRTSVQDLLGNGIFSADDETWRRQRKIASLEFHSAEFRSMTVQSLVELVHSRLLPVLAAAHDRRTPIDLQDVLLRLTFDNVCMIALGTDPGCLRPGLPEIPFAKAFEDATEATTMRFIMPTGVWKALRYLDLGSERWLRRSIEVVDEFAYEVIRARREELSSSEQTRTARSDLLTVFTKLTDEDGKPYSDKFLRDVCVNFILAGRDTSSVALAWFFWLLNRHPKVEQSILSEIRNITEERGGEADGELVFKPEQVKRMDYLHAALSEALRLYPSVPMDHKEVVEDDVFPDGTVLKKGTKVIYAVYAMGRMESIWGKDCMEYKPERWLKDGRFMSESAYKFTAFNGGPRLCVGKDFAYYQMKVVAASILHRYQVKVVEKHPVVPKMALTLYMKHGLQVTLCRRDEVEPVEKMKKNKS >Ma08_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6740272:6743182:1 gene:Ma08_g09320 transcript:Ma08_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVTVVMEREAGEKEEKVHVGDAEAKPDGDHKKEVDGGGKGAGAVVVAVDAAQSETRASRLQTQHPVSIQVVPRGAATPATPADAYQPTLTPSQVGLASLNSRAYTNRISLLLFVLHLLVAAAAVCFFCFKGVEGVLDFNSEKARKERHVLKFWLPPIEGASVLSIILAFAWQKAIRSWPSVMVSFILWACFFSTMAAGILLLCFSLPATDGLGVALIAFSIGAGLYACWVTRRIPFAGKVFALALRPATKFPDLNGPAYLMMGVGFLWISAWCFAVIGALNFYYPPLTILLLVLSLAWTAEVMRNVANLTVSRVIALYYLRGMQSNTQFSFQRATTINLGSACLGSLFVPSIEALRIIARGLNLLEGEDEFLFSCAHCCLRVMESIFRYGNSWAFVHIAAYGRGFVAASQSTWGLFERHKMEELVDSDITSAVCFLTGVTSGALSLIFAASWTFSSHKHYTATVSLLAFFVGYLMVSFFFPASFPSSFTPHDNDPHFLLPNRRGSAWRCRMRASRATSFATPRTRARGCSTTPSLPGSTRSGPTVRGSCRRHGSPGVTLQPKRRRRLCLQSHSTAAGSSAVSRSKTSTVVGGRAGYRGGGVDCPSREGWMV >Ma08_p09320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6740276:6742772:1 gene:Ma08_g09320 transcript:Ma08_t09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVTVVMEREAGEKEEKVHVGDAEAKPDGDHKKEVDGGGKGAGAVVVAVDAAQSETRASRLQTQHPVSIQVVPRGAATPATPADAYQPTLTPSQVGLASLNSRAYTNRISLLLFVLHLLVAAAAVCFFCFKGVEGVLDFNSEKARKERHVLKFWLPPIEGASVLSIILAFAWQKAIRSWPSVMVSFILWACFFSTMAAGILLLCFSLPATDGLGVALIAFSIGAGLYACWVTRRIPFAGKVFALALRPATKFPDLNGPAYLMMGVGFLWISAWCFAVIGALNFYYPPLTILLLVLSLAWTAEVMRNVANLTVSRVIALYYLRGMQSNTQFSFQRATTINLGSACLGSLFVPSIEALRIIARGLNLLEGEDEFLFSCAHCCLRVMESIFRYGNSWAFVHIAAYGRGFVAASQSTWGLFERHKMEELVDSDITSAVCFLTGVTSGALSLIFAASWTFSSHKHYTATVSLLAFFVGYLMTRIGMALPHACVACYFVCYAENPSPRLFDDTIPARLNQIRSDSEGFVPTPRFPRRHPTT >Ma06_p36250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35750958:35751287:-1 gene:Ma06_g36250 transcript:Ma06_t36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKTFNYICSLVRNDLIAKASKFAFADGKILSVENQVAVALRRLGSGESLLNIGVSFGMNHSTVFQVTWQFVEAMEHRGIQHLRYNHWLARKHDWVPGFMQLRLLQDV >Ma04_p28760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29747106:29753127:1 gene:Ma04_g28760 transcript:Ma04_t28760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSTSTGSLPPGAGGGGGGIGGGGGGGVGGVGVRGWLSATSVSSSGKRIKKEMLELNADPPADCSAGPKGDNLYHWVSTIIGPQGTPYEDGIFFLDIVFPCDYPFKPPKDAWSPAMTISKVLLAIRSIITNPVPSDNPEIAGITQLYLTDRAKHDEIAAEWTRRFAR >Ma04_p28760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29747106:29753127:1 gene:Ma04_g28760 transcript:Ma04_t28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSTSTGSLPPGAGGGGGGIGGGGGGGVGGVGVRGWLSATSVSSSGKRIKKEMLELNADPPADCSAGPKGDNLYHWVSTIIGPQGTPYEDGIFFLDIVFPCDYPFKPPKVTFKTRIYHCNVDSVGDLNLDILKDAWSPAMTISKVLLAIRSIITNPVPSDNPEIAGITQLYLTDRAKHDEIAAEWTRRFAR >Ma04_p28760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29747106:29753032:1 gene:Ma04_g28760 transcript:Ma04_t28760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSTSTGSLPPGAGGGGGGIGGGGGGGVGGVGVRGWLSATSVSSSGKRIKKEMLELNADPPADCSAGPKGDNLYHWVSTIIGPQGTPYEDGIFFLDIVFPCDYPFKPPKVTFKTRIYHCNVDSVGDLNLDILKDAWSPAMTISKVLLAIRSIITNPVPFILDGQS >Ma04_p28760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29747106:29753127:1 gene:Ma04_g28760 transcript:Ma04_t28760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSTSTGSLPPGAGGGGGGIGGGGGGGVGGVGVRGWLSATSVSSSGKRIKKEMLELNADPPADCSAGPKGDNLYHWVSTIIGPQGTPYEDGIFFLDIVFPCDYPFKPPKVTFKTRIYHCNVDSVGDLNLDILKDAWSPAMTISKVLLAIRSIITNPVPYNPEIAGITQLYLTDRAKHDEIAAEWTRRFAR >Ma04_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8191244:8191810:-1 gene:Ma04_g11580 transcript:Ma04_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFYYATGGDCVSGSSPPPPAAPPVPHGTELACIDRAVPEIFSFVLCFLVIASLVNFAFVSPSDDDEEEERRAKKVVTGGLDPAVLASFPVVTCPEARGAGEGMVGRECAVCLTEFGVGDALRVLPPSRHGFHPVCIDPWLAGHATCPLCRSDLAAGHVIVNGVEGQWLRRWNAFSCRSTPGVALT >Ma11_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16847761:16848702:-1 gene:Ma11_g12880 transcript:Ma11_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEEAPEPLKYQTLALKVSIHCEGCKREVKRVLQHIDGVYKISVDSQQHKVVVTGNVTAESLIRKLTKSGKHAELWPKQKPIENAGGGGGGGKKKKNKNKNDGKPNEPPENTENNVTYSGDDSSAEASDKPDSEAPPLPEKQSGTEKAVTDAGCGKKKGKKGRKEHDSSSGGSGKPGGADPEVASQEAGQKAAGGSSLAIPPAIDAVSYSSVHPMPILMQQQSNCIYSTAPPSSYYFSEENANACCLM >Ma04_p37000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35112332:35112649:-1 gene:Ma04_g37000 transcript:Ma04_t37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEKLTGGADSSGGVEESDRRWGRAGQLWLKHSCPWFEDFIFYIKMQKQKTTRLGFIRLRKYIDIVVDERRSSTAFYTE >Ma07_p16000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12258509:12261045:-1 gene:Ma07_g16000 transcript:Ma07_t16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKRSRSCRILAAVEGLEAKLERNSTTTFVMSLVIPVLCLLCVPFLVYRRPTLEWLQTIRVTAGIGSVESPEDKLLGGLLPPGFDESSCLSRYQAALYRKASPHKPSPFLVEKLRRYETLHRKCGPNTELYNRSIQQLESDHSTGPMECNYVVWIPHYGLGNRVMSIVSGFLYALLNDKVLLIHVDRDMEDLFCEPFPDTSWVLPKDFPIENLGGFEGDATLSYGKLIRDKIINNDMRFATKVTLPAYVYLHLPWYSKEWDRLFYCEDAQQLLRKIPWLLLRTDEYFVPSLFLVDEYEEELQQLFPERTTVFHHLVRYLFHPTNTVWGYVMRYYQGYLAKADERIGIQIRIFPLVPIPFETMLNQIINCTLSQHILPAINPQEPAAAPSNAAKVKAVLVTNLNSSYHDRLRDMYYEHSTTTGEVITVHQPSHEEQQHTDKRSHNVKAWSEITLLSFSDVLVTTAWSTFGYVAQGLGGLKPWILLRHPRPGVACVHAMSTEACFHAPPDYDCRARKGGADLSAVVPYVRQCEDVEVGLKLFD >Ma07_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12258509:12261045:-1 gene:Ma07_g16000 transcript:Ma07_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKRSRSCRILAAVEGLEAKLERNSTTTFVMSLVIPVLCLLCVPFLVYRRPTLEWLQTIRVTAGIGSVESPEDKLLGGLLPPGFDESSCLSRYQAALYRKASPHKPSPFLVEKLRRYETLHRKCGPNTELYNRSIQQLESDHSTGPMECNYVVWIPHYGLGNRVMSIVSGFLYALLNDKVLLIHVDRDMEDLFCEPFPDTSWVLPKDFPIENLGGFEGDATLSYGKLIRDKIINNDMRFATKVTLPAYVYLHLPWYSKEWDRLFYCEDAQQLLRKIPWLLLRTDEYFVPSLFLVDEYEEELQQLFPERTTVFHHLIRIFPLVPIPFETMLNQIINCTLSQHILPAINPQEPAAAPSNAAKVKAVLVTNLNSSYHDRLRDMYYEHSTTTGEVITVHQPSHEEQQHTDKRSHNVKAWSEITLLSFSDVLVTTAWSTFGYVAQGLGGLKPWILLRHPRPGVACVHAMSTEACFHAPPDYDCRARKGGADLSAVVPYVRQCEDVEVGLKLFD >Ma00_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4975940:4976954:-1 gene:Ma00_g01210 transcript:Ma00_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLDINQPAYGGEEEYPMGSIEEEEEEGGTPRPKKLRLSKEQSRLLEESFRQHHTLNPKQKEALAMKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRALRVAPPTVLSPHTRQPVPASSLTMCPRCERVTAAPHLAPSSAGGHPFRRPGALPVAGIADG >Ma07_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2745441:2749693:1 gene:Ma07_g03570 transcript:Ma07_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMRFSRGISITNKAARLVGARGFAIASGKGKKGPKGGANDAPKASLMSHEVKSTTVVGANILKDGTDPKIVPDSEYPDWLWRLLDKRPTLSELRRKDSESLPFEDLKRFVKLDNRARIEGNNAARAKN >Ma07_p26370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33190922:33193170:1 gene:Ma07_g26370 transcript:Ma07_t26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWRRKAKPTLPQRLLVPLLMVIFHFTPSAAIDLVFNGFEASDLDLYANATLEPFPSSAAPAQRRHRSRYLSLTANDTFSLGRALLPSPVTTKSANSSEALPFATSFLFSIAPVPQALPGHGIAFLFAPEPGTLGATSSQHLGLFNLSTDGDPSDRVLAVEFDVFQNEEFNDINANHVGVDRNSLTSVAAAPAGYWPDDEGGAAASFVGLTLNDGTNYQAWVDYAGGRLNVTMAPVSFGRKPRRPLLSVEIDLSDLFLDKMYVGFCASTGRLVEHHRVLGWSFSNSNFSAADGLITADLPNFIPPATESDANSRRHLVIGLYVAAIVVLLSILGIWMAISRRRSRKRSTEEVCEETIEDWESEYWPHRIAYQEIVTATEGFSNGNLIGRGGNGRVYKGVLGGVQVAVKLFSQTNEEEAKHFAAEVSTLGRLKHRNLVGLRGWCRARRTAAAGGGDAMILVYDYMENGSLDQWIFGAGQPLDWGSSVRILREVAAAALYLHEGWGEAVVLHRDIKASNVMLDGSMTGRLGDFGLARAHQRGRALGTTRVVGSAGYLAPEVVRTGRATTATDVYAFGVLALEVARWRRAAEEGLPPLVAWARQAAAMGGEAAVVDRRVRASEGYDEGEAARVVAVGLACTRIAAAARPTMRQVVRMFEAGEDETADGGDDSTSLLLDARLKPAMRSDKAFASAGAQRQPHRHLTFEELRHSLSCSTSLSGSDVILEGR >Ma06_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4671007:4675277:-1 gene:Ma06_g06450 transcript:Ma06_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYSDVAKRARDLLYKDYQTDQKFTITTSTLNGVSITTSGTKKNELIFGELQSQWKNNNISVDVKATSDSKFATTVTVDELTPGLRSIFSVIIPDQRSGKVELQYLHNYAGVTAGIGLTANPVVNLSGVVGTNTYAVGADVSFDTATGNFIKCNGGLNVINADLIASLTVNDKGDSVTASYYHLVSPLSTAVGAELTHCFSSNENTMTFGTQHTLDPLTNVKARFNNCGKASALIQHEWRPKSFVTISGEVDTKAIEKSAKVGLALVLRP >Ma11_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:756000:762340:-1 gene:Ma11_g01030 transcript:Ma11_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAADPSASSSSPRSGRVARHPRSHVVRGEPDAPAAGTPPSHSSPPPPLPAVVIPSQETADRSPRKPPPEDLPPSSEAAGGKKQAWKRPANGSIDAGAAVMGGAASWPALSESAKACPRSPSSDALKPHSDGLLSASLGHAISTSLPKTNSNPSSPARQKSMKRGGSGGDGGSGEPTDGGAALPSPPSASSPLPLANLDKQAPPEISPRGKTTKNTSNWDHSSPGGSLGSHAHDGGDHLRSYGFNQRWKNGSGAGSHHNNFGSRYDQERGGYEGYRRNAGGRDIHMQQRGARPYLRPPAPPVTPPFLSPPPQVLPYGNQIVFPDMSSPIFYVATQPPPEGVPFVPHPAVPSAMFIPAIDPQCASLLKQIDYYFSSDNLCRDVFLRNNMDEKGWVPISLIAGFNRVRQLTTSIDFILDTLRLSTVVEVQGDKVRKRNDWMNWVLPPSNVSGQSPATLNSDNLAACLQSVGLDGAAVWSSTRVPNHGERFLSRSASGNLRNQLQVAVNSDRDDTGKVIGLADSDRTKSGRSLFRSDTL >Ma10_p23440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32371045:32375848:1 gene:Ma10_g23440 transcript:Ma10_t23440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVGKRAAGEHNFPRRPSIASRNQNNQGRSVHYPDRLGCGARQNTTRGALIGCQEKYKYTRAPFSSMNCEAMEASSSRSSPISSGCGRSFREQKNKELLRESTIAESSTKQDESEDLINIDKQVYVEILNSESQRRLEDSEGISVTTEHFKPSVLQNISTNITESSISASVPKPHRRINRQFGTGKQDPSSSSFLHHPSVSHRNSSHAVKPISQRLSSGPETCSLKSLSCTSISDILPSGCSSSDFTHHKRADAVRKRPSGGDSSATRSKGASASSGGGYVVPMHTGAGSSSSSRDQSMHRPMSRTRNQPTIRDGPVSVRTHRGSTEENQMRLSVQGDDSTLLLHEPIMNPEYQWAQFSSPDTPPENASRSSPALYNLYGRPGSGNRASQSTLLSQPEDNSTRMFYGSLRDRDVYRRFNMDGFAEVLLALERIEQVEALAHEQSLMLETSLLFGGLSFHDHHRDLRMDIDNMSYEELLALEEKMGTVSTALSEEQLSKCLERSIYEPTHLTTGITFCFDEDMKCSICQEEYVGEDEIGKLSCEHRYHATCIAQWLRRKNWCPICKSSVLPSQ >Ma10_p23440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32371160:32375848:1 gene:Ma10_g23440 transcript:Ma10_t23440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVGKRAAGEHNFPRRPSIASRNQNNQGRSVHYPDRLGCGARQNTTRGALIGCQEKYKYTRAPFSSMNCEAMEASSSRSSPISSGCGRSFREQKNKELLRESTIAESSTKQDESEDLINIDKQVYVEILNSESQRRLEDSEGISVTTEHFKPSVLQNISTNITESSISASVPKPHRRINRQFGTGKQDPSSSSFLHHPSVSHRNSSHAVKPISQRLSSGPETCSLKSLSCTSISDILPSGCSSSDFTHHKRADAVRKRPSGGDSSATRSKGASASSGGGYVVPMHTGAGSSSSSRDQSMHRPMSRTRNQPTIRDGPVSVRTHRGSTEENQMRLSVQGDDSTLLLHEPIMNPEYQWAQFSSPDTPPENASRSSPALYNLYGRPGSGNRASQSTLLSQPEDNSTRMFYGSLRDRDVYRRFNMDGFAEVLLALERIEQVEALAHEQSLMLETSLLFGGLSFHDHHRDLRMDIDNMSYEELLALEEKMGTVSTALSEEQLSKCLERSIYEPTHLTTGITFCFDEDMKCSICQEEYVGEDEIGKLSCEHRYHATCIAQWLRRKNWCPICKSSVLPSQ >Ma10_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32371045:32375848:1 gene:Ma10_g23440 transcript:Ma10_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVGKRAAGEHNFPRRPSIASRNQNNQGRSVHYPDRLGCGARQNTTRGALIGCQEKYKYTRAPFSSMNCEAMEASSSRSSPISSGCGRSFREQKNKELLRESTIAESSTKQDESEDLINIDKQVYVEILNSESQRRLEDSEGISVTTEHFKPSVLQNISTNITESSISASVPKPHRRINRQFGTGKQDPSSSSFLHHPSVSHRNSSHAVKPISQRLSSGPETCSLKSLSCTSISDILPSGCSSSDFTHHKRADAVRKRPSGGDSSATRSKGASASSGGGYVVPMHTGAGSSSSSRDQSMHRPMSRTRNQPTIRDGPVSVRTHRGSTEENQMRLSVQGDDSTLLLHEPIMNPEYQWAQFSSPDTPPENASRSSPALYNLYGRPGSGNRASQSTLLSQPEDNSTRMFYGSLRDRDVYRRFNMDGFAEVLLALERIEQVEALAHEQSLMLETSLLFGGLSFHDHHRDLRMDIDNMSYEELLALEEKMGTVSTALSEEQLSKCLERSIYEPTHLTTGITFCFDEDMKCSICQEEYVGEDEIGKLSCEHRYHATCIAQWLRRKNWCPICKSSVLPSQ >Ma10_p23440.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32371045:32375848:1 gene:Ma10_g23440 transcript:Ma10_t23440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVGKRAAGEHNFPRRPSIASRNQNNQGRSVHYPDRLGCGARQNTTRGALIGCQEKYKYTRAPFSSMNCEAMEASSSRSSPISSGCGRSFREQKNKELLRESTIAESSTKQDESEDLINIDKQVYVEILNSESQRRLEDSEGISVTTEHFKPSVLQNISTNITESSISASVPKPHRRINRQFGTGKQDPSSSSFLHHPSVSHRNSSHAVKPISQRLSSGPETCSLKSLSCTSISDILPSGCSSSDFTHHKRADAVRKRPSGGDSSATRSKGASASSGGGYVVPMHTGAGSSSSSRDQSMHRPMSRTRNQPTIRDGPVSVRTHRGSTEENQMRLSVQGDDSTLLLHEPIMNPEYQWAQFSSPDTPPENASRSSPALYNLYGRPGSGNRASQSTLLSQPEDNSTRMFYGSLRDRDVYRRFNMDGFAEVLLALERIEQVEALAHEQSLMLETSLLFGGLSFHDHHRDLRMDIDNMSYEELLALEEKMGTVSTALSEEQLSKCLERSIYEPTHLTTGITFCFDEDMKCSICQEEYVGEDEIGKLSCEHRYHATCIAQWLRRKNWCPICKSSVLPSQ >Ma10_p23440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32371045:32375848:1 gene:Ma10_g23440 transcript:Ma10_t23440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYVGKRAAGEHNFPRRPSIASRNQNNQGRSVHYPDRLGCGARQNTTRGALIGCQEKYKYTRAPFSSMNCEAMEASSSRSSPISSGCGRSFREQKNKELLRESTIAESSTKQDESEDLINIDKQVYVEILNSESQRRLEDSEGISVTTEHFKPSVLQNISTNITESSISASVPKPHRRINRQFGTGKQDPSSSSFLHHPSVSHRNSSHAVKPISQRLSSGPETCSLKSLSCTSISDILPSGCSSSDFTHHKRADAVRKRPSGGDSSATRSKGASASSGGGYVVPMHTGAGSSSSSRDQSMHRPMSRTRNQPTIRDGPVSVRTHRGSTEENQMRLSVQGDDSTLLLHEPIMNPEYQWAQFSSPDTPPENASRSSPALYNLYGRPGSGNRASQSTLLSQPEDNSTRMFYGSLRDRDVYRRFNMDGFAEVLLALERIEQVEALAHEQSLMLETSLLFGGLSFHDHHRDLRMDIDNMSYEELLALEEKMGTVSTALSEEQLSKCLERSIYEPTHLTTGITFCFDEDMKCSICQEEYVGEDEIGKLSCEHRYHATCIAQWLRRKNWCPICKSSVLPSQ >Ma05_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1737424:1738240:-1 gene:Ma05_g02640 transcript:Ma05_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRMLLAVLVIVAAAAMPQSSMAANHTVGEASGWHPDFNYTAWTDGKMFMVGDNLVFNYKQGAHNVMQVGGADYKACNTSAATINTFTSGGDVVPLNATGKSWYICGFGDHCSRGQKLVVNVMSGSVSPAPPPSSSSISRNVVASYAYQIMVAAVALTTAMIFAR >Ma10_p30600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36905111:36928036:1 gene:Ma10_g30600 transcript:Ma10_t30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTQKAPRLLCAKVETTLKPRMRSLQDMGFSVTEIVQLVSKFPTVLYHNIQPNLNFLKSLLGSNERLLKACSRNRFLLTSSLARKIEPNISLLRECGISDECIARMVVLNSGFVVRKNKFIKEVMEHVEELGVPHDCGMFPHALLTVLNSSRSKCDATFATLKSFGWSQPDIVAILRKNPCVWRLSKKNISDKMTFLTKEAGCELQYIIRNPGILAYSLEKRLRPRHEVINFLEQNKLLDKGHRLLYVMPLTEQKFMNKFLFPYKEKFTALYNSYVAAVQGKHHVVAEN >Ma08_p27670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39844091:39845466:1 gene:Ma08_g27670 transcript:Ma08_t27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKNQAAVVDEEDDEEEEIELPSSTSLGYNPPPPPPPIRGSAFSKPVLNPFSSLISPSRGGGGSGSGSSAARNSGFYGITTPSSVHPTTAAAVDHQVSLSVVRNSDPVAVGGATTPDSKSTAAARNSTSAATADVSVRYKECLRNHAAGIGGHVLDGCGEFMPEGEPSTPGGLKCAACGCHRSFHRKETDGDNEATDPYYRVAARPALLLPPPPQHHHHHKQFPFGSPTTPSSALVAFGGNASASGGTTTESSSEERINAGAPTPATAQRKRFRTKFTVEQKEKMLAFADRVGWRIQKQDEAQVQEFCAKAGVRRQVLKVWMHNNKHLFKKQQQPASEDEPSAQQHLHQPHPPQD >Ma11_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3378732:3380016:-1 gene:Ma11_g04260 transcript:Ma11_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKADIVEVFKLPLEEKKAFAQLPNSLEGYGQAFVVSDDQQLDWADMLYLVTRPLQSRNIDLWPAQPPTFRDSLSCYSMELKGVAGTLLEVMAKNLGVAPEEFSTIFQDQPQGVRINYYPPCPRADEVLGLSPHTDGSGLTLLLQVTDVEGLHIRKGGNWFPVKPLPGALIANIGDIIEILSNGVYKSIEHRAIINAKEERLSIATFHGISEDSVIGPLAEIVKGYKPKYVSMSYREFMKTYFSTKLEGRRLMESLKL >Ma04_p29600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30489652:30489855:-1 gene:Ma04_g29600 transcript:Ma04_t29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNHQTKGILEEEEDDLSHLILHQKYLCSPEKSSLYWIWLPHLYMVEINSRNTFVALKKKFFLIIEL >Ma03_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7236029:7237502:-1 gene:Ma03_g09730 transcript:Ma03_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQATSEPVHSSKPATKRSLLSSLMEATTGATAVSTVPDSSPSFKEDTYLLSTLKSSEQKALRELKQLLSASPTPISMWGVPLASSAPGDDRADVVLLKFLRARDFDAGQAHDMLLRCAEWRREFGADGVAEEELVGFKELEGVVAYMHGWDRRGHPVCYNAYGVFRDKAVYESVLGNPEKLKRFLRWRIQVMERGVRLLQLRPGGVNSIIQVTDLKDMPKRQLRAASNHILSLFQDNYPEMVTRKVFVNVPWYFTVMYSMIGPFLTERTKSKFVIAREGHVAETLYRFIRPEFVPVQYGGLSRPGDLQNGPPKPTTEFTIKGGERMSLEIDGIEGGATITWDMVVGGWDLDYGAEYVPSDAGGYTVVVEKTRRIPATADEPIHHVFTAKEAGKMVLSVDNTNSRRRKVAAYRYFVCRSSSS >Ma08_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29090148:29091756:1 gene:Ma08_g18180 transcript:Ma08_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIQVFLPLVMAMILMAVPRASSAFSGLRVELTHVDSNGNFSKFELLQRAALRSSRRMARLTTRAMKAAASANEVQAPVHAGNGEFLMDLAIGTPSLAFPAIIDTGSDLIWTQCKPCDECFSQPTPVFDPSSSSTYTNLPCSSNLCQALPTFTCGASSCEYLYSYGDSSSTQGVLASETFTFGTENSTAVSGVAFGCGDTNQGSGFSQGSGLVGLGRGPLSLISQLDLGKFSYCLTSLDDSKKSPLLFGSLADLTASAAASAIQSTPLVQNPKHPALYYLSLKDISVGGNRLQIPSSTFAVQEDGSGGLIIDSGTSITYLEVGAYRRLKKAFLSQMQLPVADGSEIGLDLCFLAPSASSSVEVPKLVFHFDGADLHLPAENYMIMDSSDGSLCLTIMPSSGLSILGNFQQQNLQILYDLKSKMLSFVPSQCDQL >Ma08_p29180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40868524:40870998:-1 gene:Ma08_g29180 transcript:Ma08_t29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHESGVTRLHLISKWALAIVGSGRNHKVRNIERMCHEAATNADQAGINHFMRHNADSIISSSQFAEIELRKAVGRVPVVGPKQVCKSPNKHLLVYSLCEDLEM >Ma08_p33140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43593854:43598340:-1 gene:Ma08_g33140 transcript:Ma08_t33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRSFEVRLSSLSGHLRGKSQVFVPEPHDTPPVIQSSRWASLPPELLRDVIKRLEASEGTWPSRKDVVACAAVCRAWREMCKEIVRSPEFCGKLTFPISLKQPGTRDGMIQCFIKRDKSKLTYHLYLCLSPAVLVENGKFLLSAKRIRRTACTEYVISMDANNISRSTNTYIGKLRSNFLGTKFIIYDTQPPYNGAAICPPGRTSRRFYSKKVSPKVPSGSYSIAQVTYELNVLGTRGPRRMHCVMHSIPASALEPGGTVPGQPDSLVSRSLEESFRSMSFSKSIMDRSMDFSSSRFSDIIGGTQEGDEGGEAKERPLVLRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAASQPAAGAPTPSQPAPSEHDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma10_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22717767:22720003:-1 gene:Ma10_g08290 transcript:Ma10_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSLFPVASTPIVQVLLIGLLGAYLASGYSNLLPHSARRDMNKVVFTVFTPSLMFASLAKTVTLKEIISWWFMPVNLGITFLIGGILGWIVVRILRPPRHLEGLVIATCSAGNMGNLLWIIIPAICEQDGNPFGNDKVSGARGLASFSMAIGGIYIWTHSYSLMRNASKIYHASHISCHAGVIERHGNDQRVSTDQENMLSLPVEVMEEMADNQIDIPLLPNGSLHGEKVNSWDKMKETLHSIVEELLAPPTVASIMGVIVGATPWLKSLFAGSSAPLRVVEDTVKLLGDGTVPCITLVLGGNLTQGLRRSVVSNAVIVAIVCVRYLVLPVIGIAVVRAAYGLGLVPYDPLYRYVLMIQFGVPPAMNIGTMAQLFDVGQEECSVIFLWTYVVATVAVTVWSTVFMWILS >Ma08_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32836490:32841897:1 gene:Ma08_g19050 transcript:Ma08_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQEGAQQPHLVLAHKLFLLSHPDVDDIEKVRLRDEVVDAVKIHDMASLFESLSSSSVLGIDAAVLDSMRTRIEDEIKKLDDKIADAEENLGESEVREAHLAKSLFFIRIGDKEKALEQLKITEGKTVAVGQKMDLVFHTLQLGFFYMDFNLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFSYDTFVFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYDCQYKSFFSAFSGITEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMATAFGVSVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Ma00_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15159249:15162824:-1 gene:Ma00_g02030 transcript:Ma00_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPFFTFSIIAGIVAIFSATSAQRVELLSLQKKMLSKSKFVIDAWDKEAQSIDASLIGI >Ma06_p36790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36059886:36061175:-1 gene:Ma06_g36790 transcript:Ma06_t36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHFAVAANSSSEGDERQQSSYSLRENPKKTWRLSDSGDGDGEMQCAECGNEFLSWRAFFGHMRCHSEKPSEERGEQDGPCSNVVHRNGFGSQLGTEAAAETVAGRRIRSKRTDRAYPEDEDGAINLMLLSRGVRNWSRSCSETSDKNSAEVLETWDFVSIGSEKTGSKSGDPRNGFKKMESRGADDGIARDDIDETKKPVAYASITDDLKNPDQEPTPCPSKNEDPSSVAGETSMKTRLDGIDHKLGRNSSSTLERFDPADDSLRHASSNDCLAKATKKRSQFECKSCNKVFDSHQALGGHRASHKRMKGCRGHRTHVAENSTETDASADEAATEDTGSGSSKANKGHRCLICSRFFSSGQALGGHKRSHLVATAGSKRLWAAIRQQPLEKPGVLDLNLPADGSEDLKSWWLGGNLKHEPLLGVISN >Ma01_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8045286:8048931:-1 gene:Ma01_g11160 transcript:Ma01_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPB15.9.9 [Source:Projected from Arabidopsis thaliana (AT5G09920) UniProtKB/TrEMBL;Acc:A0A178UIQ5] MSGEEEENAAELKIGEEFLKAKCLMNCEVARILDHKYDQLQQTSDDPTNQISQVFEKSMQYVKRFSRYKNPDAVRQVREVLSRYKLAEFELCVLGNLCPETVEEAIAIVPSIKSKGRMLEDDAIEKMLHDLSLIKKFE >Ma11_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25301521:25304706:-1 gene:Ma11_g20970 transcript:Ma11_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFRSHTHHRKGPARFRSLDFGERNGYLKGVVTEILHDPGRGAPLARVTFRHPFRYKLQKELFIAAEGMYTGQFVYCGRKASLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVLARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSNCRAMIGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKAEKTS >Ma04_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1502808:1514800:1 gene:Ma04_g01690 transcript:Ma04_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALKDWGRRNEEKEMSTAGEQIEITIDRRWVVSLKDKLKVTEQPAWRTEQPTIFRVLPHLRGIEPKAYEPTIVSLGPFHHHKSHLKAMDHLKYKYLSKFLGRNPEKPLEDYLKLIKENERQARMAYSEEVEMSSDDFVQMMLLDCCFVIETILPEEEGQATIWSLSPVLVRDMLILENQLPFCILQPLFDSTFLRQSLDLSSLILGFLSKASTHFTLWRKNTVMCDAGMKVEIDVDKAWMDTLRRKVEEAKWDQWRTEQARIFKVPGVLQEAEPRAYKPRIVSLGPYHHGKSELQPMEELKWNYLRRFVRRQPQKKLEDYLNETRELVNRSRRFYFGDKVNPNESSMIMSDNKFVEMMLLDGSFVIEIMISWVKGVSERKVGQNAIKNTIWSPLAVAQDMLLLENQLPFFLLDCLHDTAFPEDAGGLTELTQEFLRMFIMFMNDNERVPRHGFHHILHLCHFCIVAAKKPYNSKTQPSWSLMFEGMQKERMNMLSFFRDNPVPVEQPASMIPWIPSATQLKVAGIQFKMKTRAKSFLDITFQNGKLEIPQLVVDDQTNVLFKNLIAFEQCSQDAGTHLAAYASLMDSIINTAADVELLQKDQIIINTSGDNTEVANFFNKLCKDVLIKDEDYLTSIYRDVNKHRGIKYHIWWRTLHRDYFKNPWTIIWLCAAIFAFILTITQTVYTVLSYIRPPK >Ma08_p27510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39726818:39727145:1 gene:Ma08_g27510 transcript:Ma08_t27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSCDRKPPSAEAKQETEKKASKSTHLKVAVRVDDSQNVRHRKRCVMVILAPCACHKPLLANAR >Ma06_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2056306:2061709:1 gene:Ma06_g02690 transcript:Ma06_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRWTTAVQRWDMEPSYLTAATAFSGSDNSSCVHFSGDAAAAAAPDSAPPAAEVEGLRRLSDHLGSAFQSPDFEFLADARIAVGPPGDGGSAPREVAVHRCVLSARSIVFREEFARRGRGTAAAPVRMELKELVKDFEVGYDALVAMLGYLYTGRVAPLPKAVCACVDEECRHDACRPAVDFMAEVLYASSVFQIAELVSLFQRHLLGILDKMAIDDIPVILSVAKLCDSSCANLLSKCIDVVVKSDLDTITLEKKTPPDIVKQIMDLRLNFGLVGSESSSFPDKHVKRIHRALDSDDVDLVRMLLKEGNTTLDDACALHYAVAYCDSKITTELLDLALADVNHRDFRGYTVLHIAAMRKEPKIIVSLLTKGARPSDLTLDGRKALQIAKRLTKSVEYLRSIEEGEASPKSRLCIEILEQAERRDPQVGEASVSLAMAGDDLRGRLLYLENRVALARLLFPMEARVAMDIAQVDGTSEFTLGSTSNRSTGNQRTAMDLNEAPFKIKEEHLARMRALSRTVELGKRFFPRCSEVINKIMDDDLTEITGLGHHTSEEKRRRFQELQEVLSKAFSQDKEEFDRSALSSSSSSSSSSTSIDKVCPNKKMR >Ma06_p35350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35229506:35232439:-1 gene:Ma06_g35350 transcript:Ma06_t35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVGSPGTWSGLLLRTGQCVFAGASIGVMVSALGFSNYTAFCYLIASMGLQVLWSLGLACLDVYALKIKRDLHNPVLVSLFVVGDWVTATLSLAAACSSAGVTVLFARDVHFCRTIPQFSCGRFEISVAFAFVTWLLISMSSLVMFWLLASV >Ma01_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3234914:3242880:-1 gene:Ma01_g04730 transcript:Ma01_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAAGGGEGEDELVTPGELLGDSSGLIAGTGAYVAPNGRSIRASLAGIRRITPPQSDAADQRSTVEVVGHKAQGAVPQPGSVVIARVTKVMARMASADIMCVESKAVREKFTGIIRQQDVRATEIDKVDMYLSFHPGDIIRALVLSLGDARAYYLSTAKNELGVVSAQSIAGATMVPISWTEMQCPLTGQVEKRKVAKVD >Ma06_p26850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28828834:28832329:1 gene:Ma06_g26850 transcript:Ma06_t26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIVGGVVGGVAGGVGDVVGGILGVSKGVQVKGTVVLMPKNVLDFNDLAGNVIDGLFDILGQNVTFQLVSATVGDPNNGNRGVVGSPASLQYLGRLPSLAAGESRFSVTFQWEENKGIPGAVIVKNKHATQFFLKTLTLDNFPGKGRIHFVCNSWVYPANNYRYDRIFFANTTYLPGATPAPLKPYREDELRHLRGDDVTSELQEWDRVYGYAVYNDLGTPDDANLVRPILGGSAVYPYPRRGKTNRPMTRKDPNTESRLGTLNTLNIYVPRDERFGHVKMGDFLTYGIKAVVNGLLPVLDAIVNVTPFEFDSFEDIMRLYEEGIPVPYVPLFDELRQSIPFEMVKEVLRVEGGQRLLKLPKPQIIKFDKSAWRTDEEFAREMVAGVHPVLIKLLKVFPPVSELDPNKYGNQNSTITAAHIEANLDGLTVDEALGSNRLFILDHHDVFMPYIARINSTAHKAYATRTLLFLKADSTLKPLAIELSLPHPDGEQYGAVSKVYSASENGVDGSLWQLAKAYVGVMDVGVHQLVSHWLGTHAILEPFIIATNRHLSVVHPINKLLTPHYRDTMNINALARQSLISADGILEKTSVQGKFSLEYSSWVYKNHWNFVDQALPDDLVKRGVAVRDQNGELSLLIKDYPYAEDGLQIWKAIETWVTEYCTIYYPSDDALRADAELQAWWKEMRDVGHGDKKDEPWWPKMETVFELTQSCTIIIWLASAFHAVINFGQYPYGGYVPNRPTISRRLVPEPGTPEHDLLETNPDKVFLRTISSQYQTIIGVSLLEILSTHSSDEVYLGQRDTPEWTTDQKALEAFQRFGKALNSIEEEINKKNADPSLKNRNGPAKMPFTLLFPSSEVGITGKGIPNSVSI >Ma06_p25830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26347245:26355383:-1 gene:Ma06_g25830 transcript:Ma06_t25830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSSRSGLQFPVGRIHRLLKSRISANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >Ma06_p25830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26347245:26355345:-1 gene:Ma06_g25830 transcript:Ma06_t25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSSRSGLQFPVGRIHRLLKSRISANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >Ma06_p25830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26347245:26355335:-1 gene:Ma06_g25830 transcript:Ma06_t25830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSSRSGLQFPVGRIHRLLKSRISANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >Ma06_p25830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26347245:26355254:-1 gene:Ma06_g25830 transcript:Ma06_t25830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKKKPVSRSSRSGLQFPVGRIHRLLKSRISANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >Ma02_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9975444:9978034:1 gene:Ma02_g01440 transcript:Ma02_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSRFAVHADSSFALRGFLNGTSGYPRLEWGHQKLPNLELPRGSRTITKLPYDCSILLQSPQRKISIYGNRAIHVTRTLAESTRVVSNRPLSPHLPVKKPQLRATFSISHRIFGASLASAIMLIPIAWKFSLLLDV >Ma07_p24140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31577133:31581914:-1 gene:Ma07_g24140 transcript:Ma07_t24140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] MALQRSTCKLVNSLKFLLPRRASYLHSHATSFGFKEVREEEKSKLVGNVFSSVASNYDLMNDLMSIGLHRLWKDRLVTKLGPFPGMKHLDVAGGTGDIAFRVLESIRSVSRRAMQDGFAETEEQTQIYICDINPNMLNIGKKRAIERGFAEDRYLHWVEGDAEALSFEDESMDGYTIGFGIRNVTHIEKALAEAYRVLKRGGRFLCLELSHVEFPVFKQLYDYYSFSVIPAVGELVAGDRESYQYLVESIRRFPNQDAFGRMIVDAGFQKVEYENLVGGVVAIHSGLKL >Ma07_p24140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31577133:31581914:-1 gene:Ma07_g24140 transcript:Ma07_t24140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] MALQRSTCKLVNSLKFLLPRRASYLHSHATSFGFKEVREEEKSKLVGNVFSSVASNYDLMNDLMSIGLHRLWKDRLVTKLGPFPGMKHLDVAGGTGDIAFRVLESIRSVSRRAMQDGFAETEEQTQIYICDINPNMLNIGKKRAIERGFAEDRYLHWVEGDAEALSFEDESMDGYTIGFGIRNVTHIEKALAEAYRVLKRGGRFLCLELSHVEFPVFKQLYDYYSFSVIPAVGELVAGDRESYQYLVESIRRFPNQDAFGRMIVDAGFQKVEYENLVGGVVAIHSGLKL >Ma07_p24140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31577133:31581914:-1 gene:Ma07_g24140 transcript:Ma07_t24140.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] MALQRSTCKLVNSLKFLLPRRASYLHSHATSFGFKEVREEEKSKLVGNVFSSVASNYDLMNDLMSIGLHRLWKDRLVTKLGPFPGMKHLDVAGGTGDIAFRVLESIRSVSRRAMQDGFAETEEQTQIYICDINPNMLNIGKKRAIERGFAEDRYLHWVEGDAEALSFEDESMDGYTIGFGIRNVTHIEKALAEAYRVLKRGGRFLCLELSHVEFPVFKQLYDYYSFSVIPAVGELVAGDRESYQYLVESIRRFPNQDAFGRMIVDAGFQKVEYENLVGGVVAIHSGLKL >Ma07_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31577133:31581740:-1 gene:Ma07_g24140 transcript:Ma07_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] MALQRSTCKLVNSLKFLLPRRASYLHSHATSFGFKEVREEEKSKLVGNVFSSVASNYDLMNDLMSIGLHRLWKDRLVTKLGPFPGMKHLDVAGGTGDIAFRVLESIRSVSRRAMQDGFAETEEQTQIYICDINPNMLNIGKKRAIERGFAEDRYLHWVEGDAEALSFEDESMDGYTIGFGIRNVTHIEKALAEAYRVLKRGGRFLCLELSHVEFPVFKQLYDYYSFSVIPAVGELVAGDRESYQYLVESIRRFPNQDAFGRMIVDAGFQKVEYENLVGGVVAIHSGLKL >Ma05_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34052480:34054224:1 gene:Ma05_g22310 transcript:Ma05_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKTSLLQQQQPQQQGSHGVSDEDVAWAVGNGNFWGEEFSVDDLLNLEFIENEKGAEEEGEEAEHKGTEDSNAGSPSPSSSSSLSFQPVSEISLPAHDAEELEWVSLIIDDSLPEFSSCPGVVPLPLAPRSPDETETQAESRRAHTIEGDSSVSPTVCVLSTEAVVPVRAKRSKRSRTAAGCWSVSRPLPFAESSSDSITTTTSSSCASSFSSPALSFHRVTNHLSAAGEKSILLCGSLPPDKQQKPNKRGRKPKSPPSAAASNERRCTHCGAQKTPQWRAGPLGPKTLCNACGVRFKSGRLLPEYRPACSPTFVSHVHSNCHRKVIEMRRKKQAAAAPPVTSFSTRSTGPAEPVDLYVM >Ma02_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27969551:27970235:-1 gene:Ma02_g22730 transcript:Ma02_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTRKDHLAADSRTVYRGSTTTPREQSHTSSPSKYFSAESLLLLLCLTASLLILPVILPPLPPPPLAVLLLPIGILVLLMILAFMPTDVSKIACPCE >Ma11_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26085149:26089081:1 gene:Ma11_g22250 transcript:Ma11_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGTRLYVGHLSSRTRSRDLEDLFSRYGRVRDVDMKHDYAFIEFSDPRDADDARYSLNGREFDGSRIIVEFAKGIPRGSGGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCHNSPKTLKRGRSYSRSPSPRHGRSRSYSRSRSYSRSRSPAPRRDGRHTEREDTRSRSPGYDRSPRSRSPRSRSPRSRKSTSSPKGRKQSLSPDGSKSPRASRSPSPTERREVERNGSNYNESPVRENSRSPTSQDRDNSPVGGGYQSPETNRRSPSPKDDRDEDGHASPRASESQD >Ma11_p22250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26085149:26089080:1 gene:Ma11_g22250 transcript:Ma11_t22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGTRLYVGHLSSRTRSRDLEDLFSRYGRVRDVDMKHDYAFIEFSDPRDADDARYSLNGREFDGSRIIVEFAKGIPRGSGGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCHNSPKTLKRGRSYSRSPSPRHGRSRSYSRSRSYSRSRSPAPRRDGRHTEREDTRSRSPGYDRSPRSRSPRSRSPRSRKSTSSPKGRKQSLSPDGSKSPRASRSPSPTERREVERNGSNYNESPVRENSRSPTSQDRDNSPVGGGYQSPETNRRSPSPKDDRDEDGHASPRASESQD >Ma11_p22250.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26085140:26089081:1 gene:Ma11_g22250 transcript:Ma11_t22250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGTRLYVGHLSSRTRSRDLEDLFSRYGRVRDVDMKHDYAFIEFSDPRDADDARYSLNGREFDGSRIIVEFAKGIPRGSGGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCHNSPKTLKRGRSYSRSPSPRHGRSRSYSRSRSYSRSRSPAPRRDGRHTEREDTRSRSPGYDRSPRSRSPRSRSPRSRKSTSSPKGRKQSLSPDGSKSPRASRSPSPTERREVERNGSNYNESPVRENSRSPTSQDRDNSPVGGGYQSPETNRRSPSPKDDRDEDGHASPRASESQD >Ma11_p22250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26085157:26089081:1 gene:Ma11_g22250 transcript:Ma11_t22250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGTRLYVGHLSSRTRSRDLEDLFSRYGRVRDVDMKHDYAFIEFSDPRDADDARYSLNGREFDGSRIIVEFAKGIPRGSGGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCHNSPKTLKRGRSYSRSPSPRHGRSRSYSRSRSYSRSRSPAPRRDGRHTEREDTRSRSPGYDRSPRSRSPRSRSPRSRKSTSSPKGRKQSLSPDGSKSPRASRSPSPTERREVERNGSNYNESPVRENSRSPTSQDRDNSPVGGGYQSPETNRRSPSPKDDRDEDGHASPRASESQD >Ma11_p22250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26087249:26089081:1 gene:Ma11_g22250 transcript:Ma11_t22250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDYAFIEFSDPRDADDARYSLNGREFDGSRIIVEFAKGIPRGSGGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCHNSPKTLKRGRSYSRSPSPRHGRSRSYSRSRSYSRSRSPAPRRDGRHTEREDTRSRSPGYDRSPRSRSPRSRSPRSRKSTSSPKGRKQSLSPDGSKSPRASRSPSPTERREVERNGSNYNESPVRENSRSPTSQDRDNSPVGGGYQSPETNRRSPSPKDDRDEDGHASPRASESQD >Ma11_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6951166:6958360:-1 gene:Ma11_g08750 transcript:Ma11_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGQPQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANHNQAFVEFAELNQAISMISYYASSSEPAQVRGKTVYIQYSNRQEIVNNKASGEVVGNVLLVTIEGVEAGDVSIEVIHLVFSAFGYVHKIATFEKAAGFQALIQYTDAATASEARNALDGRSIPRYLLPEHVTSCHLRISFSAHTDLNIKFQSHRSRDFTNPYLPVNPSAIEGTLQPVLGPDGKMKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTATVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYTVADTGILAAPQGSSVTIVSTGLQANPHVAGTFVSNVGMQQAFPNRQMPSWDPNKANYASMGGTFPGQPFGPSAGAPYPASAARPTASAGYVQASQQMPQYGIQPRPATARGAPFIGHPPRYF >Ma11_p08750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6951166:6957295:-1 gene:Ma11_g08750 transcript:Ma11_t08750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKLFIWSILTRSISRYQHLHSERYHVFSAFGYVHKIATFEKAAGFQALIQYTDAATASEARNALDGRSIPRYLLPEHVTSCHLRISFSAHTDLNIKFQSHRSRDFTNPYLPVNPSAIEGTLQPVLGPDGKMKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTATVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYTVADTGILAAPQGSSVTIVSTGLQANPHVAGTFVSNVGMQQAFPNRQMPSWDPNKANYASMGGTFPGQPFGPSAGAPYPASAARPTASAGYVQASQQMPQYGIQPRPATARGAPFIGHPPRYF >Ma10_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10307685:10307876:-1 gene:Ma10_g02980 transcript:Ma10_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIILFPKTLFVGKTSFLERETISPIESQVANIFITIFYKVVRSNQINRSFIFYESLYFYSSG >Ma08_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4547789:4550036:1 gene:Ma08_g06720 transcript:Ma08_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKRKKRLRTLRREISEPFYEKKEAAKLAAQEAALAAPRLPVKAPVAADEPAAETSAAMDMEMADGGSASHDQCKSHLKPIGGIGKKKLKKKLKLKGKKRGKGKGKGKIRNRKV >Ma05_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8334954:8336140:1 gene:Ma05_g11460 transcript:Ma05_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLAMLSSNPVTWCFTVVLTVLCVMSKIYSLEFGYANFLNENYGDFIFTDGSSIGDGALQITPNSGNPTYQSGRVLYKETFKLRRSNGSTLTSIDTTFVFNIRPLTRPGGEGLAFILTNNPALPSNSGGQWLGIVNDQTNNKLANHIIAVEFDTRKSYPQDLDDNHVGLDVNGIISKAQLSFGSTNINISSGSDIVVNLQFDAISNSVKVNASTFNETVRGTYIFNFVSYVDLSNYLSEDVWVGFSASTGNLTQLNQIKSWYFRTIDIDMTGTEQFMWLLAMLILLPVAAVCL >Ma02_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16585130:16586967:1 gene:Ma02_g05260 transcript:Ma02_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVPLFSTAPTNRLRHCPQLPVSRLPEIPRVASPCETLAPATRISLSAATAPTHLRSHRRPTSPPSAMASKATSAATAASGKQGLLVFDREEVLSVSLAKYTAELSEKFVRERGAFTVVLSGGSLIMSLRKLTESPYLESVDWAKWHVFWVDERVVPKDHEDSNYKLAFDGFLSKVSIPPGQVYAINDALSAEGAAEDYETALKHLVNVGVVAVSSVTGYPRFDLMLLGMGPDGHIASLFPGHPLLHVTEKWVTFIKDSPKPPPERITFTLPVINSSAYIAMVVTGAGKAGAVCKALGSEKSASDLLPVEMASLEDGEFTWFTDKAAVSMLQGKASV >Ma06_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24302883:24306289:-1 gene:Ma06_g24870 transcript:Ma06_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 16 [Source:Projected from Arabidopsis thaliana (AT3G43860) UniProtKB/Swiss-Prot;Acc:Q8VYG3] MRSSNAVLVVACLVLISNYAFVMAAGGEYNYKDALTKSILFLEAQRSGKLPPNSRILWRDDSALDDGNLANVDLVGGYYDAGDNVKYGLPMAFTITTLAWTALAYESELSATGELENVYAAISWGTDYFLKASAKKNRLWVQVGDPVADHQCWMRPENMKTPRTLYKIDEHTPGTEIAAETAAAMAASSIVFRNHNRTYSRQLLNKAKLLYEFARNHKGTFDGECPFYCSYSGYNDELLWAASWLYIATKKIKYQKHITQEGISASVAEFSWDLKYAGAEILLSGVHLTEGGLHNFKRQADNFVCAVLPDTPFHQVYISPGGLVHLRDGANTQYVTGTAFLFGIYSDILIKNHQTVSCGNQVIQPSRLTEFAKQQMDYVLGSNPRGRSYMVGFGANSPTQVHHRGSSVPVMSSDKTVSCSMSFVNWYNKNQPNPNVLTGAIVGGPDRYDNFDDQRWDSSKLEPTTYINSLAIGPLAKLAIHGS >Ma08_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2257321:2263927:1 gene:Ma08_g03010 transcript:Ma08_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVENKRYVLKKIRLARQTDRTRRSAHQEMELISKVQNPFIVEYRDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGHLFPEEKLCKWLVQLLMALDYLHKNHILHRDVKCSNIFLTKDQSIRLGDFGLAKILTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPTFKAFDMQALINKINKSIVAPLPSSYSGPFRGLIRSMLRKSPEHRPSAAELLKHPHLQPYVLQVNLKSSPMRNMLPIHHITTNHIRKIRFQDDADDSVHKEKRKSFGNERILKLNKTVEQYSLSSTQTVKDFPNYLNQRVRNLSTGSSQVGEIGIDKAIDGKYSNILKTPRHTPTKNFTTPRTRVESSKASHTGPKRESFASQTPSDRIGQTTRRASLPLPTFETRPKSSLGSLRRVESPDVSVNSPRIDRIAEFPLASYEEPLLSIRKLSSAHGSSSATPHYGDRSITKDKCTIQIFRVEGDNGSDSSDRNPTAADASSRGSSESRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYDELGVLLKPFGPGKVSPRETAIWLTKSFKETIL >Ma10_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33300130:33308426:1 gene:Ma10_g24940 transcript:Ma10_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGICYPSPAHRLRLRRSLPILPPSCIRHLRPPRSIVGLPRSSSSAASSSAVPAEKIKNGAVEKDPIKLWHRYVDWLYQNKELGLFLDVSRIGFTEEFFDQMEPKLQKAFRAMQDLEKGAIANPDEGRMVGHYWLRNPKLAPNSFLRLQIENTLDAICKFADDVISAKIKPPSSSAGRFTQVLSVGIGGSALGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEIQKAFREAGLDFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGASLMDEANRTTVVKDNPAALLALCWSWASGGIGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLHDRPSGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESITVTVQQVTPRSVGALIALYERAVGIYACLININAYHQPGVEAGKKAAGEVLALQKRILAVLNEASCKEPIEPLSLEEIAERCHVPEQIEMIYKIVAHLAANNRALIAEGSCGSPRSIKVFLGECNVDELYA >Ma03_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8943266:8951593:-1 gene:Ma03_g11530 transcript:Ma03_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQVEPSIDASSSPPSVDAPAPAATSARSPQLLLRPRREPFEHGLLPIPKLIFSDGTQTLAALADKLLHRSISSPHRVDVPALADAFQISLDHARLALDTLASVLPFEPDPDGVANVHDIVLFLYVQSYKRLVPRPHKDSAAIADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQVDEEAHQLSYLQKHMANIITLLAEPAEGEGDESLVLTVERFEHLGFLLHFSEGIPLTQAAPFFANSDPDMPAVPVSAAQVHDWVVQNIVVSLEHNAEKVLAKDNNMHNAADLDVPMTDICSSHTRVQSSSPPGTSVPANSTYVTSVTFVEGISKASVVKQPCDIKGPSLKVLNCHDSVIYILAPLSYATIYGCSDATVVLGAIGKAVKVEHCERVQVIAAAKRICIANCRECLFCLGVNQQPLIVGDNHKLQVAPFNTYYSQLEDHLSQVGVDSSINRWDEPLVLGMVDPHDSLSHPAGVSDVQAESATCIDPDQFTNFLIPNWFGADSPQSTKYNPFPLPEIYRASQKKKHSNLTDVQEAIRDVQLDENRKRELASALHVHFRDWLYASGNIRQLYCLQSD >Ma08_p29490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41056430:41058000:-1 gene:Ma08_g29490 transcript:Ma08_t29490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLKGSAICPSTTRWWSKETVAVVTGANKGIGFALVKRLAELGLTVVLTSRDVGKGKAAVESLDGQGIHVAFCHLDVAVPSSIVTFAAWLERRFGGLDILINNAAVSFNEIDTNSVEHAETVIRTNFYGSKMLIESLLPLFRRSIATSSRILNISSQLGLLNKVRNPALKELLQDEEILTVAAVERMVSQFLHHVKMGTWREEGWPTVWTDYSVSKLALNAYSRLLAKQQEGRGLSVNCFCPGFTRTSMTRGRGSRSPEEAAEVGAKLALLPPHQLPTGKFFKWCTPSLYSKL >Ma08_p29490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41056438:41058000:-1 gene:Ma08_g29490 transcript:Ma08_t29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLKGSAICPSTTRWWSKETVAVVTGANKGIGFALVKRLAELGLTVVLTSRDVGKGKAAVESLDGQGIHVAFCHLDVAVPSSIVTFAAWLERRFGGLDILINNAAVSFNEIDTNSVEHAETVIRTNFYGSKMLIESLLPLFRRSIATSSRILNISSQLGLLNQAVNQSHVSLCALQKVRNPALKELLQDEEILTVAAVERMVSQFLHHVKMGTWREEGWPTVWTDYSVSKLALNAYSRLLAKQQEGRGLSVNCFCPGFTRTSMTRGRGSRSPEEAAEVGAKLALLPPHQLPTGKFFKWCTPSLYSKL >Ma04_p33860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33292342:33293270:1 gene:Ma04_g33860 transcript:Ma04_t33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGMMEGRLVFTYGTLKRGFSNHGLLQDMVRTGDAAFVGAARTAGRLPLVCGPYRVPFLLNLPGAGERVSGELYAISPRALARMDELEGTRKGHYERLPISVVVVGGDGGQEAAEVDAEAYYAHRSYAGELWRRNEETGYKVYSEKEAKGYVKRADRPQDITFLDQIRIFLASPQT >Ma00_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:958786:961827:-1 gene:Ma00_g00370 transcript:Ma00_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWLWQSHPVYPLLLLLNRDEFHDRPTKPVAWWGDGFQKILGGRDGLAGGTWLGCTKDGRLAFLTNVLEPDLLSSAKSRGELPLRFLQDRKSPVEFAEDLVKEANEYNGFNLILADTSSKLMVYVSNRPKGEPVSVQVVSPGLHVLSNAKLDSPWPKAQRLGTSFMDIILKHGDEEISQEDMVEELMFDTTKANREKLPNTGCDPNWELNLSSVFVGVETELGRYGTRSTAALSVKTTGNVSFYEKYLENGVWKEHTVAYNIEKGQ >Ma01_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21299312:21312906:1 gene:Ma01_g21530 transcript:Ma01_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKIIKHWKILRGDNVMIIRGKDKGETGIIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFSIESPLHVSNVQVLDPATGKPCKIGYKYLEDGSKVRVSRGLAASGTVIPRPEILKERRKPRPNIVGPKDTPIDLVLDKTYDAKSGIGMPDL >Ma05_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5567953:5568957:-1 gene:Ma05_g07670 transcript:Ma05_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLLHSKEKVVFVLGVTGSGKSKLAIALAKCFNGEVVNSDKMQVYDGIPVITNKVTEEEKEDVPHHLIGGVNPEGNFTAADFRREATRLVEAILARGRLPIIAGGSNSYVKKLVEGDGCGFRSRYDCCFVWVDVDLTVLRDFVYARVDKMVELGLVEEARSMFKPDGDYSTGIRLSIGVREMHLYFQSEEGADEETKARLLEASLDKVKANTFDLTCGQLQKIQGFRVMGWDLHRVDSTEFFRQRGQGAEEELWKEVVWAPSAEIVRSFLATENKNETDVVAEVAPVKVEDETVCAEKTVEKESQVAAKGTESEERRVSSYTGLSSAMTCA >Ma02_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18252850:18254807:1 gene:Ma02_g07650 transcript:Ma02_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTLMARTPFSGVLFPARATRAQEKCRDPRLLRFRIRAETMATEKLGIKVERNPPESRLAELGVRQWPKWGCSPSKFPWKYVAKETCYLLQGKVKVFPEGHGDEFVEIQAGDLVEFPEGMSCTWDVSVTVDKHYYFD >Ma08_p30760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41906966:41910605:1 gene:Ma08_g30760 transcript:Ma08_t30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Ma06_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:116110:126513:-1 gene:Ma06_g00160 transcript:Ma06_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTARGEKDVELFFDDDSTDADIDEEVAEYKSDGENEEGNEEEGEERERSSSFYSQQWPQSFRETIDSYTISASPAFGYVGPIPSLRYSSLDIHSQSAQDSDMKLPLLSGSIYEKQESDKNFRRSLTSTPDVSVSFKVQSSGEGYIHHGCSVTQTVFNGVNVLAGVGLLSTPFSLKEAGWASMALLVLFAAICCYTGILMKHCFESKDGIFSYPDIGEAAFGRFGRLFISVILYTELYAYCVEFIILEGDNLNKIFPGAAIEWAGIHIDSVHLFGMLTALIVLPTVWLRDLRIISYLSAGGVVATILVFVSVFFVGTMDGIGFHHTGKAVNWGGLPFAIGVYGFCFSGHSVFPNIYQSMSDRSKFNRALLICFALCTAIYGSFATLGYRMFGDGTLSQITLNLPKHTFASKVAIWTTVINPFTKYALLLNPLARSLEELLPPRTADTIWCSILLRTTLVVSTVCIAFILPFFGLVMALIGSLLSILVAIVMPALCFLKIFKNKAKPLQIVLGTTIAALGIISAALGTYSSISRIASSY >Ma06_p01400.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1124991:1139314:-1 gene:Ma06_g01400 transcript:Ma06_t01400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRRLRGFAFHKDEQKQKREKGLVAHQDELLKASQDIHDIRNCYDSLLSAAAAIENSAYEFSEALKELGTCLLEKTALDDNEESGKLLLMLGKAQLELQKLVNTYRVHVVQTITTPSESLLKDLQTVEEMKRQCDDKRCDLSVAFIFLLMEKKVLLFRDVYMLAAQSGKGRLKNAKGDTFSLQQLQAAKEDYEEEATLFLCRLKSLKQGQSRSILTLAARHNASQLNFFHKGVKSLEVVEPHIKIVAEQQHIDYQLNGLEDNQLEGYEVDHSVENGDDGELSFDYQQHDQHRDVSYFSRNSMEDDVDRCESDSSPFNKDVRAVSLSAPLFSDRNPKSSETTSQMQPLSTERFYTYALPTPVNVKSSTSTGPTNSISASGVENKGVCPTQLWHSSPLQPNFLGNNYRDVELPSPTSLPIKQSVFKESKTVGSPIRMPSACTEGGMSQLITSNTSDSKKIGRQVFSGPLTSKPLPSKPASFTNNSMSSVEHLAGVPAKPTSITTPKLSVPPPVTSPRINELHELPRPPIGCRKHLGSFNLIGHSAPLVPRGQGVNATSKQVPISSCIATPLPEPPGVMVRSFSIPSNGRKSNSTDVKSSDHPNSQRTTADASATHTSMNIG >Ma06_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1124991:1135221:-1 gene:Ma06_g01400 transcript:Ma06_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKGACPNQINCSGTLAGFQALPNLLGKLLLMLGKAQLELQKLVNTYRVHVVQTITTPSESLLKDLQTVEEMKRQCDDKRCDLSVAFIFLLMEKKVLLFRDVYMLAAQSGKGRLKNAKGDTFSLQQLQAAKEDYEEEATLFLCRLKSLKQGQSRSILTLAARHNASQLNFFHKGVKSLEVVEPHIKIVAEQQHIDYQLNGLEDNQLEGYEVDHSVENGDDGELSFDYQQHDQHRDVSYFSRNSMEDDVDRCESDSSPFNKDVRAVSLSAPLFSDRNPKSSETTSQMQPLSTERFYTYALPTPVNVKSSTSTGPTNSISASGVENKGVCPTQLWHSSPLQPNFLGNNYRDVELPSPTSLPIKQSVFKESKTVGSPIRMPSACTEGGMSQLITSNTSDSKKIGRQVFSGPLTSKPLPSKPASFTNNSMSSVEHLAGVPAKPTSITTPKLSVPPPVTSPRINELHELPRPPIGCRKHLGSFNLIGHSAPLVPRGQGVNATSKQVPISSCIATPLPEPPGVMVRSFSIPSNGRKSNSTDVKSSDHPNSQRTTADASATHTSMNIG >Ma06_p01400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1124991:1139314:-1 gene:Ma06_g01400 transcript:Ma06_t01400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRRLRGFAFHKDEQKQKREKGLVAHQDELLKASQDIHDIRNCYDSLLSAAAAIENSAYEFSEALKELGTCLLEKTALDDNEESGKLLLMLGKAQLELQKLVNTYRVHVVQTITTPSESLLKDLQTVEEMKRQCDDKRCDLSVAFIFLLMEKKVLLFRDVYMLAAQSGKGRLKNAKGDTFSLQQLQAAKEDYEEEATLFLCRLKSLKQGQSRSILTLAARHNASQLNFFHKGVKSLEVVEPHIKIVAEQQHIDYQLNGLEDNQLEGYEVDHSVENGDDGELSFDYQQHDQHRDVSYFSRNSMEDDVDRCESDSSPFNKDVRAVSLSAPLFSDRNPKSSETTSQMQPLSTERFYTYALPTPVNVKSSTSTGPTNSISASGVENKGVCPTQLWHSSPLQPNFLGNNYRDVELPSPTSLPIKQSVFKESKTVGSPIRMPSACTEGGMSQLITSNTSDSKKIGRQVFSGPLTSKPLPSKPASFTNNSMSSVEHLAGVPAKPTSITTPKLSVPPPVTSPRINELHELPRPPIGCRKHLGSFNLIGHSAPLVPRGQGVNATSKQVPISSCIATPLPEPPGVMVRSFSIPSNGRKSNSTDVKSSDHPNSQRTTADASATHTSMNIG >Ma06_p01400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1124991:1139314:-1 gene:Ma06_g01400 transcript:Ma06_t01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRRLRGFAFHKDEQKQKREKGLVAHQDELLKASQDIHDIRNCYDSLLSAAAAIENSAYEFSEALKELGTCLLEKTALDDNEESGKLLLMLGKAQLELQKLVNTYRVHVVQTITTPSESLLKDLQTVEEMKRQCDDKRDVYMLAAQSGKGRLKNAKGDTFSLQQLQAAKEDYEEEATLFLCRLKSLKQGQSRSILTLAARHNASQLNFFHKGVKSLEVVEPHIKIVAEQQHIDYQLNGLEDNQLEGYEVDHSVENGDDGELSFDYQQHDQHRDVSYFSRNSMEDDVDRCESDSSPFNKDVRAVSLSAPLFSDRNPKSSETTSQMQPLSTERFYTYALPTPVNVKSSTSTGPTNSISASGVENKGVCPTQLWHSSPLQPNFLGNNYRDVELPSPTSLPIKQSVFKESKTVGSPIRMPSACTEGGMSQLITSNTSDSKKIGRQVFSGPLTSKPLPSKPASFTNNSMSSVEHLAGVPAKPTSITTPKLSVPPPVTSPRINELHELPRPPIGCRKHLGSFNLIGHSAPLVPRGQGVNATSKQVPISSCIATPLPEPPGVMVRSFSIPSNGRKSNSTDVKSSDHPNSQRTTADASATHTSMNIG >Ma06_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16109649:16115101:1 gene:Ma06_g21740 transcript:Ma06_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQTIRGSIIQEIFRVANDVHSPATRKNKEFQEKLPFVVLKAEEIMYSKANSEAEYVDSKTLQDRVNDAIDTIIRKEDALESGDLLQPCIEAALNLGCVPRRVSKSQHRSNPGCYLSPIAHDVRAASVKISDSNRPNLDYMLGITPSLSNPQNSASQFPSWYTALFKPTHMTCSSFPEIKFNNSDVPRGHANSSIFNRSGCPIATKPPASYQERVSGPLANCTTPNLAGVYPLYYSDNQAAVVSCPFQITRGPRHHLATGTAHCAESLGEAEIHFAASPIGNRNTIGASRGDSSHMETSKIGWDLSLRLGLPSPPSFEESTWTNDHEDAGSSNLSGASKYYGLPVARESAINLRHLMFP >Ma06_p21740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16109682:16115094:1 gene:Ma06_g21740 transcript:Ma06_t21740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQTIRGSIIQEIFRVANDVHSPATRKNKEFQEKLPFVVLKAEEIMYSKANSEAEYVDSKTLQDRVNDAIDTIIRKEDALESGDLLQPCIEAALNLGCVPRRVSKSQHRSNPGCYLSPIAHDVRAASVKISDSNRPNLDYMLGITPSLSNPQNSASQFPSWYTALFKPTHMTCSSFPEIKFNNSDVPRGHANSSIFNRSGCPIATKPPASYQERVSGPLANCTTPNLAGVYPLYYSDNQAAVVSCPFQITRGPRHHLATGTAHCAESLGEAEIHFAASPIGNRNTIGASRGDSSHMETSKIGWDLSLRLGLPSPPSFEESTWTNDHEDAGSSNLSGASKYYGLPVARESAINLRHLMFP >Ma06_p21740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16109682:16115094:1 gene:Ma06_g21740 transcript:Ma06_t21740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQTIRGSIIQEIFRVANDVHSPATRKNKEFQEKLPFVVLKAEEIMYSKANSEAEYVDSKTLQDRVNDAIDTIIRKEDALESGDLLQPCIEAALNLGCVPRRVSKSQHRSNPGCYLSPIAHDVRAASVKISDSNRPNLDYMLGITPSLSNPQNSASQFPSWYTALFKPTHMTCSSFPEIKFNNSDVPRGHANSSIFNRSGCPIATKPPASYQERVSGPLANCTTPNLAGVYPLYYSDNQAAVVSCPFQITRGPRHHLATGTAHCAESLGEAEIHFAASPIGNRNTIGASRGDSSHMETSKIGWDLSLRLGLPSPPSFEESTWTNDHEDAGSSNLSGASKYYGLPVARESAINLRHLMFP >Ma08_p27240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39543203:39546445:-1 gene:Ma08_g27240 transcript:Ma08_t27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMSGSNNNSNNWLGFSLFTHMNMEVPAEPHHHQDHASHHRQTQPAAAAASSAVPCSIFLSPQVNNSRICYGMEGPNGGLYSQLSVMPLKSDGSLCIMEALSRSQQQALVPSPSPKLEDFLGGGPHMGIHHQFGNNGRAAMALSLDNTHYSKESETEGNRNHSLDHLRRQQIQAQQQQQFYFQPLQEGMCSGLTSHEMYEATMGEGSTAYEGIPSLKSWVDRHYNGCGNALCEEGGLGTGPVGAMGYGELQSLSLSMSPGSQSSCITAPLHIATAAPTECMDLDAPKKRGNGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNTCKQEGQTRKGKQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLESYQEELEEMKNMTRQEYVAHLRRNSTGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVDKIMASSTLLSGELARRTKVNDAGKEPTPEQSGCKDLVEENSSSGSGWKMDFRSAQQAPPSSESHDPKSLGGGGDYRSPMEFSPVLHGLVVESLSPAQGLRDLEKIGNCHAANPASTMATVGCSPEEGHHDGTGFSLMYGKASPKFTGSTTISSWVPPAQLRPTISMSQMPVFAAWGDA >Ma06_p17450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11857244:11859028:1 gene:Ma06_g17450 transcript:Ma06_t17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNGSPNRGLAGAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQLQAAGLAADPGAAMHARQVGGAALYEPTSTGMSSNNSSGGGRLFACSSSASSSSSSSSSSSSLVGDDGGADDLFFSRQMGFMESCQNPFMCYPDVGPMNYQPEVPRGPIDLRAMFGQNVMLVHSSGELLPINEYGILLQSLQMGESYFLVSTST >Ma06_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11857244:11859028:1 gene:Ma06_g17450 transcript:Ma06_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNGSPNRGLAGAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQLQAAGLAADPGAAMHARQVGGAALYEPTSTGMSSNNSSGGGRLFACSSSASSSSSSSSSSSSLVGDDGGADDLFFSRQMGFMESCQNPFMCYPDVGPMNYQPGTITVFINGIPSEVPRGPIDLRAMFGQNVMLVHSSGELLPINEYGILLQSLQMGESYFLVSTST >Ma02_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20004413:20005394:-1 gene:Ma02_g10430 transcript:Ma02_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIALCSIRLPILSSSQIPHRNHKPSSVSSPAPPLVLRFRTSRRQNLRYLKSLGITATSPESIAWTLAVVEFLKSKGFSDRHFPRLSSASPTIFSSADVNRTLAPVFAFLVAELSADPDQARDLILRCPDLLMASVDYRLRPTLLFLQELGLRSLSSPTNLNAHLLNTPVEKLASKIRFLEGLGLSHQEASKVCARCPAIFGYSVENNLRPKVEYLVREMGRSMEEVNKFPQYFAFSMEKRIRPRHLHLKQRGVRIPLQRMLLWSDHRFYRKWK >Ma10_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31063009:31065917:-1 gene:Ma10_g21100 transcript:Ma10_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFSVENFCASSFNLRRPHQFTHASVPLCSSSLPPPDHHLPSVAFVGSCVRTKGKQNKSKSVLPCFPMRRGGLNPDLRLSLPSHEASISQFLTQSGTFKDGDLLVNKDGLRILSHSEEDGQSLIKPIVNQLSLDDVDTVKVIGKGSGGIVQLVRHKWTGQFFALKVIELNIQDSVRKQIAQELRISLSTQCPCVVACYQCFYYNGVISIVLEYMDGGSLADFLNSVKTIPEPYLAAICKQVLQGLIYLHHEKRIIHRDLKPSNILINHRGEVKISDFGVSAIIASSSGQRDTFIGTYNYMSPERIASQTHGYISDIWSFGLVVLECATGQFPYPRCDSFYELLDMIVEQPPPCAPPEHFSEEFCSFISECLQKKPKDRKTARLLLKHPFLSMYDDLQVDLASYFTMSGLPLSQFLKE >Ma00_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33908615:33909556:1 gene:Ma00_g04050 transcript:Ma00_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPIDIPSYAALLDATSASRDLATLRRAHGRLLVGGLAHHRFLRSKLCAAYARCSRLPEARHLILLCPRPSAFLLNSLLRADAPRSALLLFHRMLSSRSPPPDSRSFASALHHAAALSSLRVGRLLHAAAFASGLLLDPDPLVPNSLVAMYSKCGDLASARCVFDRMSRRSVASWTAMIAACGAHGQAPDAVALLGGMVADVGEAGLDGAAMTAVLAACARGDAAEAEVGRRVFEMMREGRFGGVRPGVEHYTCMVDMLGRAGQVEEAEALIGEMDGEPDDALWAALLGACRAHGRLDVAERVADLVYGHTV >Ma03_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4127665:4129066:1 gene:Ma03_g05970 transcript:Ma03_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTHYKDLGSYSDLLCDEDASELADDSPDRSRDAEFPDDSDESIAGFIEGEADYTPGFDYPARFRSNSLDSTSRREAVAWILKVSAYYRFQDLTSYLAVNYMDRFLASHRLPQNVWALQLLSVASLSLAAKMEETLVPTLLDLQIEGAEFVFEPRTIRRMELLVLGALDWRLRSVTPFTYMDFFACKLDPSGKSATYLISCASSIILATLHDIEFLSHCPSSLAAAAIIRAAEDVADLALIDAGIAVSWCIGLTEDGIGNCYRLMRRVAEGAMLKNPLVNMGSRFSPASLPPAKRRRKMSIGGRIS >Ma10_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4248127:4253338:1 gene:Ma10_g01220 transcript:Ma10_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDCNLQEMEETVKEMLKLIEPDADSFAKRAELYFKRRPELISYVEDAFKAYRALADRYDHISGELHKANHTIATAFPERVQYAMLEDDDNFPKAITPVDPSKINRRTVEGLMNKRRESELGIDKSQKKSSASPVDKEKAQEDINKLQKEILVLQTEKEFIKSSYESGIAKYWEIEKKIMEMHEEVCCLQDEFDTSTVIEDDEARALMTATVLKSCEDTIVKLQEQRKESLEQANIESERTKIAKEKLKDLKGEYCQSEMENTEISNENSGMSFTAETMEEEIHSLNKVRTELQSIREKLKEHFEMNTENSVIEIAEKIEELANKVITLELTVSSQGEQIDQLTLENNELEKNLQLLEEEKVSSMNDSEALSKRLKETEEELSKVQAIERIVQDEEINFRQNFAEAWHGLNDISEKLQSHKTQENVCTEDASQEEEASSFNIEPHSERKDREVNKIHDIEEDVTKEIHATEELSYCPEDPSQTEGSQHKSSPENIEDPKKENALGKKDSSNADLCIHLTSNEEIILDGKEDTLNLQQLILTGVEGTENILLAEYTSILQNYKETKIRLSEVEKKNEEYLQETMALIDKLKNDIAMKDEEIRLLRQPMAPVKNPSFTLHSPSVKDSWHGQQKPEITSNSSRITDISNLQDSEMPEDINIESTAKGDSSVEFTELRSPPEEGTNVQCIDEPKIITPIERKFRRDIDTLLDERLEFWLKFSTSFHHIQELKAKYEDLQTDIGKLKDNKTPEVTNIDTGDQSGKPDSSQITTSLRELKSELQVWSEQGALLRGELQSRFSSLCDMQDEISSIVKVNSESREALFTPYQAASFQGEVTNMKQENNKVASELQVGLDQVRGLQAEIEEQLSKLYENFEPFVSKRCPNDDLEHSLSRNTVPLRDFLFGVKPKKPSILARIQHSKLRAGRRRVGDRSK >Ma03_p21020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26091988:26093903:1 gene:Ma03_g21020 transcript:Ma03_t21020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPSLTSLPVYPDPTLRVHPPNGRHAYGSRDDTGEGSLGVLEGLHPIGDLPVDSHEPGWDPYRSPDQAPASSDSSLDSRISGSRAASRLLTTLERAQVLKEVEEEEPTVESNKGWNGQANSRKRSPCLPRIGGSSGAVASGAALLGEMISTQKKTRGGRDDGHGDGRPEEKITEQGRKAKARARAKTGPAPANNNCTSPNDGDKERRDGGVAVARAGNGSSIKGKRRRSPAVLVEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSVSSVRGQLGTSQPKWSVDGKNGVLSSTSPREDEEEEEERKTTGMVKARSISSLLDDTNHSLPSSLPSPPPPEVEPMPSPSPPHVNEAGGESQSNGQCRRKKENRTSVCS >Ma03_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26091985:26093903:1 gene:Ma03_g21020 transcript:Ma03_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPSLTSLPVYPDPTLRVHPPNGRHAYGSRDDTGEGSLGVLEGLHPIGDLPVDSHEPGWDPYRSPDQAPASSDSSLDSRISGSRAASRLLTTLERAQVPAYLDLRLLLYVSACWVQCGDLLQVLKEVEEEEPTVESNKGWNGQANSRKRIGGSSGAVASGAALLGEMISTQKKTRGGRDDGHGDGRPEEKITEQGRKAKARARAKTGPAPANNNCTSPNDGDKERRDGGVAVARAGNGSSIKGKRRRSPAVLVEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSVSSVRGQLGTSQPKWSVDGKNGVLSSTSPREDEEEEEERKTTGMVKARSISSLLDDTNHSLPSSLPSPPPPEVEPMPSPSPPHVNEAGGESQSNGQCRRKKENRTSVCS >Ma03_p21020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26091987:26093903:1 gene:Ma03_g21020 transcript:Ma03_t21020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPSLTSLPVYPDPTLRVHPPNGRHAYGSRDDTGEGSLGVLEGLHPIGDLPVDSHEPGWDPYRSPDQAPASSDSSLDSRISGSRAASRLLTTLERAQEVEEEEPTVESNKGWNGQANSRKRSPCLPRIGGSSGAVASGAALLGEMISTQKKTRGGRDDGHGDGRPEEKITEQGRKAKARARAKTGPAPANNNCTSPNDGDKERRDGGVAVARAGNGSSIKGKRRRSPAVLVEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSVSSVRGQLGTSQPKWSVDGKNGVLSSTSPREDEEEEEERKTTGMVKARSISSLLDDTNHSLPSSLPSPPPPEVEPMPSPSPPHVNEAGGESQSNGQCRRKKENRTSVCS >Ma03_p21020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26091986:26093903:1 gene:Ma03_g21020 transcript:Ma03_t21020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPSLTSLPVYPDPTLRVHPPNGRHAYGSRDDTGEGSLGVLEGLHPIGDLPVDSHEPGWDPYRSPDQAPASSDSSLDSRISGSRAASRLLTTLERAQVPAYLDLRLLLYVSACWVQCGDLLQVLKEVEEEEPTVESNKGWNGQANSRKRSPCLPRIGGSSGAVASGAALLGEMISTQKKTRGGRDDGHGDGRPEEKITEQGRKAKARARAKTGPAPANNNCTSPNDGDKERRDGGVAVARAGNGSSIKGKRRRSPAVLVEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSVSSVRGQLGTSQPKWSVDGKNGVLSSTSPREDEEEEEERKTTGMVKARSISSLLDDTNHSLPSSLPSPPPPEVEPMPSPSPPHVNEAGGESQSNGQCRRKKENRTSVCS >Ma01_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6117725:6123621:-1 gene:Ma01_g08520 transcript:Ma01_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYSLRPANSFIGGSLHDLNTADSNPGEIEGISDVDRDPVNEDSLDNDDDESNSVDCVHDSYRNSIPLHGVGVDDDRSALEHSGRSPSPSYNILTHEDVSPIETARARFLQIIIDHFIDEHVIEAAETSDVRCYQGNEKVNKRKQREIQYEGDHRFALPLMYVANLYETLVNDVNVRLASLDGIREKNIGVALETAGGLYRRLAKKFPPKGSCSFRRRELATSLATRTRFPELVVQEEKRVRFVVVNGLSIIEKPNNIGIDDADWFKRLTGRHEVAISARDYKFYSPRHKYKRSASHSMSNIPGLNAISVTESSSQVANAIGFRPVNESQSHHEPSPKHHVQQSPQPTYHPLHQVHHQNIQQSQHSTHFSHLHQCPHPSHVPDLSHQHQTQTIPPHLTSLQSLSGSNLGGCLHILPTSPAKFCDECGTPYFRETSKFCSECGTKRGTNVSKVETD >Ma01_p08520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6117721:6123621:-1 gene:Ma01_g08520 transcript:Ma01_t08520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYSLRPANSFIGGSLHDLNTADSNPGEIEGISDVDRDPVNEDSLDNDDDESNSVVTPLLPLLADCVHDSYRNSIPLHGVGVDDDRSALEHSGRSPSPSYNILTHEDVSPIETARARFLQIIIDHFIDEHVIEAAETSDVRCYQGNEKVNKRKQREIQYEGDHRFALPLMYVANLYETLVNDVNVRLASLDGIREKNIGVALETAGGLYRRLAKKFPPKGSCSFRRRELATSLATRTRFPELVVQEEKRVRFVVVNGLSIIEKPNNIGIDDADWFKRLTGRHEVAISARDYKFYSPRHKYKRSASHSMSNIPGLNAISVTESSSQVANAIGFRPVNESQSHHEPSPKHHVQQSPQPTYHPLHQVHHQNIQQSQHSTHFSHLHQCPHPSHVPDLSHQHQTQTIPPHLTSLQSLSGSNLGGCLHILPTSPAKFCDECGTPYFRETSKFCSECGTKRGTNVSKVETD >Ma06_p27010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29050095:29051129:-1 gene:Ma06_g27010 transcript:Ma06_t27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNRFKLSDMLPYAWFYKLKFMSLRGRRDHSMSQSTKRSQPAPTPLPPPQTRILPSRASCYVSSRSQEEKSIEPPRKSKRRSRRETVADPSDNIKLVTSSVSAGCSCRVWKTESISVFPARAAAPESPPHLRDPYVDRDHGYPCNTNAIDRLSFDRVASWSRFDCRFTSSASDITIDVGRRASDELSELELPPIAIKPSKDESDPTKFQDKTVVGKVVSHRNASAKRSSPGLHRLRTRGNSPRLACKKLRPRCNRRSGTSTAPAAMQKGKGLSGSFAVVKSSSDPQRDFRDSMVEMIVENNIRASKDLEELLACYLSLNSDEYHGVIVAVFQQIWFELTSLNL >Ma11_p22050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25959688:25962619:1 gene:Ma11_g22050 transcript:Ma11_t22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLRLPPPLRLPPDRTKPLYCIRAYSFSSSSSSAAAAADDDADLRRSLSLLLSPAPFDAALCRETLSRICPRRLERLLLDLRSSLHPEPALRFFSFATDHCGFIFTPRAYALILHSLFRSNLASAARVLLLRILDARAAVPLFLDDPDHWFSEIIHALADTVPSSDSPAIDLLVHLCCTQLRGRGLALIAFRILVDRGLCPSLKTCNFLLVSLVKSKNLEDARMVFDQMQQFVAPDVYSYTILIDALCKRRNVEEAMALFSDMERSGISASVVTYNALIDGLCKRNMLNEAFALKEKMIRISINPSIVTFGILINGLVKLDRFGDVELVLTEMEEIGIPPSVVIYNTLIYGHCKMGRPTEALKLRDEMMAKGIEPNCVTYNIIVQGLCDAGDMKQAEYILDEILSNGMEANAGLFGSIIFWLVTKEQRLDCAVRLLGEMLLRNLRPNDSLLTALIVELCKQGKHRNAIEIWSKMLEKGFGVNITTSNALIHGLCESGNIKEAIGFLKSILERGIAPDRVTYNILISGCCKEGKICEGFKLWDDMIKRGFKPDIVTWNTLIHGLCRLGRMEEAIGLLNQLKDEDLVPDLFTCSMIIDGYCKVKEIDKAKSFLKEMGTWGLEANVVVYNSLVSGFCKNGNITGASNLVDEMKSNGILPNFVTYSTLMHGFCCTGYLEEAKRIFELMKENGLGLNVVTYTTLIAGYCRSGQMDEAIKVYKAMCVAGVTPNKFTYTVLIQGYAKMGNLEAASKLLDEMVNNGIVPDSVTFNALMSEFCKEGRVEEALKISDQISQRGLALDDTDYTTLVLE >Ma11_p22050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25959688:25962619:1 gene:Ma11_g22050 transcript:Ma11_t22050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLRLPPPLRLPPDRTKPLYCIRAYSFSSSSSSAAAAADDDADLRRSLSLLLSPAPFDAALCRETLSRICPRRLERLLLDLRSSLHPEPALRFFSFATDHCGFIFTPRAYALILHSLFRSNLASAARVLLLRILDARAAVPLFLDDPDHWFSEIIHALADTVPSSDSPAIDLLVHLCCTQLRGRGLALIAFRILVDRGLCPSLKTCNFLLVSLVKSKNLEDARMVFDQMQQFVAPDVYSYTILIDALCKRRNVEEAMALFSDMERSGISASVVTYNALIDGLCKRNMLNEAFALKEKMIRISINPSIVTFGILINGLVKLDRFGDVELVLTEMEEIGIPPSVVIYNTLIYGHCKMGRPTEALKLRDEMMAKGIEPNCVTYNIIVQGLCDAGDMKQAEYILDEILSNGMEANAGLFGSIIFWLVTKEQRLDCAVRLLGEMLLRNLRPNDSLLTALIVELCKQGKHRNAIEIWSKMLEKGFGVNITTSNALIHGLCESGNIKEAIGFLKSILERGIAPDRVTYNILISGCCKEGKICEGFKLWDDMIKRGFKPDIVTWNTLIHGLCRLGRMEEAIGLLNQLKDEDLVPDLFTCSMIIDGYCKVKEIDKAKSFLKEMGTWGLEANVVVYNSLVSGFCKNGNITGASNLVDEMKSNGILPNFVTYSTLMHGFCCTGYLEEAKRIFELMKENGLGLNVVTYTTLIAGYCRSGQMDEAIKVYKAMCVAGVTPNKFTYTVLIQGYAKMGNLEAASKLLDEMVNNGIVPDSVTFNALMSEFCKEGRVEEALKISDQISQRGLALDDTDYTTLVLE >Ma11_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25959688:25962619:1 gene:Ma11_g22050 transcript:Ma11_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLRLPPPLRLPPDRTKPLYCIRAYSFSSSSSSAAAAADDDADLRRSLSLLLSPAPFDAALCRETLSRICPRRLERLLLDLRSSLHPEPALRFFSFATDHCGFIFTPRAYALILHSLFRSNLASAARVLLLRILDARAAVPLFLDDPDHWFSEIIHALADTVPSSDSPAIDLLVHLCCTQLRGRGLALIAFRILVDRGLCPSLKTCNFLLVSLVKSKNLEDARMVFDQMQQFVAPDVYSYTILIDALCKRRNVEEAMALFSDMERSGISASVVTYNALIDGLCKRNMLNEAFALKEKMIRISINPSIVTFGILINGLVKLDRFGDVELVLTEMEEIGIPPSVVIYNTLIYGHCKMGRPTEALKLRDEMMAKGIEPNCVTYNIIVQGLCDAGDMKQAEYILDEILSNGMEANAGLFGSIIFWLVTKEQRLDCAVRLLGEMLLRNLRPNDSLLTALIVELCKQGKHRNAIEIWSKMLEKGFGVNITTSNALIHGLCESGNIKEAIGFLKSILERGIAPDRVTYNILISGCCKEGKICEGFKLWDDMIKRGFKPDIVTWNTLIHGLCRLGRMEEAIGLLNQLKDEDLVPDLFTCSMIIDGYCKVKEIDKAKSFLKEMGTWGLEANVVVYNSLVSGFCKNGNITGASNLVDEMKSNGILPNFVTYSTLMHGFCCTGYLEEAKRIFELMKENGLGLNVVTYTTLIAGYCRSGQMDEAIKVYKAMCVAGVTPNKFTYTVLIQGYAKMGNLEAASKLLDEMVNNGIVPDSVTFNALMSEFCKEGRVEEALKISDQISQRGLALDDTDYTTLVLE >Ma03_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:918930:919746:-1 gene:Ma03_g01210 transcript:Ma03_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSPSISRKRRRDEGEEESPQMSSPEAKRLLLDILDDDDDDADADAGDQDVTSVMKSLEEEMALPSPPPHVPPQDAAVSDPPDLGYLFEASDDELGLPPPAPSSSGDGSEAYDEEEGIGFGQIWGFDDDDLSGYVGFELGIGAEAEDVVVFDGELFDYGDATACAAPEYADLSWRSETLPAV >Ma05_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:186514:186843:-1 gene:Ma05_g00260 transcript:Ma05_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRGKEEVVVYKRLASGRDCTEDRVPRGHVPVIVGRDDAEAERFVVHVRVFKDPCIVMLLDMAANEFGNHQPGVLRIPCDVDRFRRIIEVIYKPR >Ma06_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10420811:10423917:-1 gene:Ma06_g15350 transcript:Ma06_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRSPLNSGSRPGGSSQRYCFGRGLSSRRVSGPLFSLSGGMFGNDVFVSAFSGEREGATMHTQQPRKAAPVEKRLPCSLEDLYKGTAKKMKISREVLDASRKTTTVDEMSIDVRPGWKFCPHIIPADIVFIIDEKPHNVFTREGNDLIATHKISLVEALTGYTVQLTPPDP >Ma09_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6032179:6034950:-1 gene:Ma09_g09090 transcript:Ma09_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVHIFGDEGCKQLPALFSNGVFYQDNPVGHVFPLFLLQIVLIVFTSHAIHLVLRPLKQPRVVSYMIGGILLGPTFPYLLTRAFTLSHYIFALGGAAPNYEDVKAGYLDVVFRDEGVSLLRTVAYLGLDLHFFMICVKTNPRQMLQFGKKAFVIAVSAVVVPLFILSQMKSLFKVQRGIVIEGIGSRLALDYISMAVSMTTFPVVADILSELRLLNTELGRLTIAASMINDIGTSACLIIRALVRKCLRMHLSFLEAAQKMTGYIFLIVLLVFVFDPWARLIVSRTPKGGRVWEGHILMIMLAACAMGAFSDAFLLSHWEGPVYMGLLMPDGPPLGTALLDRANFVPTELLLPLIFLNAGRWIDFTMINHPTVFVGLVLYMFAGYAAKVLAVMVPAIYWNISVRNAAMLGLMLNFNGLGQIASYMTYADQYSEKALLLPQAYVAAILSCMTMTVISSTLVAIFYDPLNARHVMGCRTVQHLEPQAEFRLVASLLDEEPVALLLDLVEASRGDEQSPMCVYVLHLTEIMGRASSSLIAHKNKKGSIDARQMDRLHSVFINYEQLKEGMVVVQPFTAISPYKTMHHDICSLVIEKNVHLVIVPFPRKETGANVEVHRAARSIIPNVLSQAPCSVGILVHHPITGFGQTVPRQYRYHIKILFWGGADDREALSFGARMARHVAVTTVVLRFLPAVDREMDEDFLQDEDLFNEFKTEHAGNKRVAVEEVAVSDVEEAVAVIKYFEKDYDLVIVGRRQAWNSLLSEGMDDWSESPELGVVGDILASSEFANTSFSVLVVQQYVHK >Ma04_p39240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36434093:36436810:1 gene:Ma04_g39240 transcript:Ma04_t39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVDRSMEVRPANSTRLGPAACEKDAEKLGFIEEMTVNADKVQEKVLAEILGQNAETEYLQRHKLGGAADRKTFKSKIPMVTYEDLRPEIQRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIQEELDRRQLLYSLLMPVMNLYVAGLDKGKGLYFLFVKSESKTPGGLPARPVLTSYYKSHHFRARPFDPYNVYTSPTAAILCADAFQSMYSQMLCGLLDRLAVLRVGAVFASGLLRAIRFLQLHWQEFAHDIATGTLSSMVTDAAVRDAVAEVLKPDPGLAEFIVSECAAGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMTCTMYASSECYFGINLRPMCKPREVAYTIMPNMAYFEFLPLDSAASGPPPQLVDLADVEVGKEYELVITTYAGLYRYRVGDILHVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERATELLKPWGATVVEYTSQADTRAIPGHYVIYWELLVKEGEGGRWPGKEALEACCLEMEEAMNTVYRQSRAADGSIGPLEIRVVRGGTFEELMDYAIARGASINQYKVPRCVTFPPIIELLDSRVVEAHFSPATPKWTPRRNY >Ma04_p36690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34940692:34944014:1 gene:Ma04_g36690 transcript:Ma04_t36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMEARPPSAVQKTTFTVLGICCSSEIKLIDRILNHLEGIENVSVNVLAKTATVVHDPAKAPASRIVSALNGAHLNAGIKESGRVQIESRPWPSKSVVASGALLLVALCSYVFPPLIWIALLSVTVGVLDMLRRAVAALRRCVLDINVLMVTAVFGAIGLGDYLEAASIVFLFTLAEWLEAKSTNKARVSLESLLNLAPQTAVIAETGETVHVKDIMINTIVSVKAGELVPVDGAVVAGASTVDESSLTGEFMPVDKEIGSNVWSGTTVLTGFIKVVTMAVAEDSAVARMVKLVEDAQNRRSNMEEFIEQFAKYYTPGVFLVAAGTAIIPWILGVHPLRQWIYLALVLLVIACPCALVISTPVAKACGLSAAAKMGLIFKGGNYLEALAKVKAMAFDKTGTLTEGAFEVVEVQCWEPNANIRQFLHWISSLENMSSHPMARALVEYARVRGVKPSSEVRNFNLIPGGIAGFVDDCYVKIGNAEVALSNGWLRENELRDNEAGITISYVGMVDRCIGYFCLGDQLREEAARAVQKLKKQQIHVIVLTGDSRAAAEHVQKQIGENVQVEAGLSPEGKMKKIAELKETWGLTAMVGDGINDAPALTESDVGVAMGISGSALATETANVALMSNDLRRIPEAVELARSSLRKIYQNVAMSLAVKLLFFGLAFGGLPSLWAAVVADMGTCLLVIFNSMHLLHKYGGRESSTCSSQVPQEQSINVDEFSEPLLSSERRDQDEEAPCLCCKGRSSQRER >Ma07_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7058476:7060702:1 gene:Ma07_g09430 transcript:Ma07_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAWLASSRTGTLIRRYAGSASLLPPPLKSVPSPWQALVESAATPLSMAKQKYRLLDLALLITSISTVREPTIVLPATAAGTSKDSSAAAFQAAKPQFPEQEQNLRCPRCDSTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGALRNIPVGGGTRKNSKRSNSYSSSAASNSKRPNPPKPPSSLPDLPKPDPVSLTYPPLDPDRHLLDMTGSFSSLLASDGHFESFLGSFHPVNGGATALQNSSNSGNAITPMPAIENFERLEGDSGCWDAGWTDLAI >Ma01_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4756261:4759545:-1 gene:Ma01_g06640 transcript:Ma01_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLEEGQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSAKHIDFSLTSPFGGGRPGRVKRRNQKAAAKKAAGGDGDEEDEE >Ma08_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32819102:32824191:1 gene:Ma08_g19030 transcript:Ma08_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTTESIRSVSSEVSTDLQIQVQNSFYRLHKFPLLSKCLRLQRHCSELKDAAEHAIIQLPDLPGGAEAFETCAKFCYGITITLSALNIVPVWCAAEYLQMNEDAERGNLARKLDSFFESCILRRWKDTLVTLQSTRKYPPLCEELGITARCVDAVATAIVANHPNSKAAPRNWWAESISELGSDHYWRIMVTIKSAGVVSDELIVDALQIYAWRWLPDTSRDGYECNLATEDSSSESRRQRLLMDKIVSLLPTEKGSASCSFLLKLLKVANILNASSSLRMELRRRIGRQLEEASVDDLLIPPASTSNDALYDVDTVMAVLEEFLLQGHSPPTSPPRERLRCCSKSRVAKLIDGYLQKITEDKNLPVEKLIAIAEAVPDSARPDHDDLYRAVDIYLRAHPELDKNARKQLCRILDCKKLSMEACAHAARNDVLPLRVVVQVLFFEQARAALAGGRVIELPGNVKALLSTSPAAPPEDGWSISRLKCPSTKLVTLKMRLEEEDEDDDMDDDLVPRDALMRSASSRLRALCSLPRTPKRIIGKLLAVNRSVSYRH >Ma08_p19030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32818442:32824191:1 gene:Ma08_g19030 transcript:Ma08_t19030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTTESIRSVSSEVSTDLQIQVQNSFYRLHKFPLLSKCLRLQRHCSELKDAAEHAIIQLPDLPGGAEAFETCAKFCYGITITLSALNIVPVWCAAEYLQMNEDAERGNLARKLDSFFESCILRRWKDTLVTLQSTRKYPPLCEELGITARCVDAVATAIVANHPNSKAAPRNWWAESISELGSDHYWRIMVTIKSAGVVSDELIVDALQIYAWRWLPDTSRDGYECNLATEDSSSESRRQRLLMDKIVSLLPTEKGSASCSFLLKLLKVANILNASSSLRMELRRRIGRQLEEASVDDLLIPPASTSNDALYDVDTVMAVLEEFLLQGHSPPTSPPRERLRCCSKSRVAKLIDGYLQKITEDKNLPVEKLIAIAEAVPDSARPDHDDLYRAVDIYLRAHPELDKNARKQLCRILDCKKLSMEACAHAARNDVLPLRVVVQVLFFEQARAALAGGRVIELPGNVKALLSTSPAAPPEDGWSISRLKCPSTKLVTLKMRLEEEDEDDDMDDDLVPRDALMRSASSRLRALCSLPRTPKRIIGKLLAVNRSVSYRH >Ma08_p19030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32818368:32824191:1 gene:Ma08_g19030 transcript:Ma08_t19030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFTTESIRSVSSEVSTDLQIQVQNSFYRLHKFPLLSKCLRLQRHCSELKDAAEHAIIQLPDLPGGAEAFETCAKFCYGITITLSALNIVPVWCAAEYLQMNEDAERGNLARKLDSFFESCILRRWKDTLVTLQSTRKYPPLCEELGITARCVDAVATAIVANHPNSKAAPRNWWAESISELGSDHYWRIMVTIKSAGVVSDELIVDALQIYAWRWLPDTSRDGYECNLATEDSSSESRRQRLLMDKIVSLLPTEKGSASCSFLLKLLKVANILNASSSLRMELRRRIGRQLEEASVDDLLIPPASTSNDALYDVDTVMAVLEEFLLQGHSPPTSPPRERLRCCSKSRVAKLIDGYLQKITEDKNLPVEKLIAIAEAVPDSARPDHDDLYRAVDIYLRAHPELDKNARKQLCRILDCKKLSMEACAHAARNDVLPLRVVVQVLFFEQARAALAGGRVIELPGNVKALLSTSPAAPPEDGWSISRLKCPSTKLVTLKMRLEEEDEDDDMDDDLVPRDALMRSASSRLRALCSLPRTPKRIIGKLLAVNRSVSYRH >Ma05_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27406877:27407685:1 gene:Ma05_g19280 transcript:Ma05_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVMFSDARVLRVGSTFTVYINKFARIYFGKVDGLTVEWTETTGTLVLIALKTKPSQRSDLSEKCHVIEDVVLMMSHYQIVTPEDQNECISTTTSCCNREGNVTATAPLCFPLVIRVLSTIPVAVHDVGGSKGHVHIMARLYMH >Ma05_p32010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41690576:41697695:-1 gene:Ma05_g32010 transcript:Ma05_t32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSLIPPPSLNPILPRRNAPDAPSSMCADDLDPRSAAPQFRGPHHRRAHSELAFRISEDLHLGPVSEDDFFRTFMDVEKIGSGVEDGAAPALEASGHSVPRDRMAVDCSSGNEETRAQGNAAGGDARPMHRHGSSVDVSSSRVEGVFGDVMEAKKAMPPEKLAELAVIDPRRAKRILANRQSAARSKQRKANYILELERKVQTLQTEATTLSAQLMLLQKDISELATENAGLKLWLQSMEQQAQLHDGVSDALKKEVERLHIVTGGKVNKSEPYNLGLLNLPYSSFMMPSEEQPKIHPQGLECHAQFCQSQLYHNDVPSDMMQQGQLKVLPGLNIRKGSIKCSQSRELYRCK >Ma09_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1260579:1266435:-1 gene:Ma09_g01710 transcript:Ma09_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKRGEEFHGSLEGANLPGDTCHLFSSDPKPRLRWTPELHDRFVDAVTQLGGPDKATPKTIMKTMAVKGLTLYHLKSHLQKYRLGKQSNKEPSDNSKDAANFVESQGTGPLSSSPLSSSKLLAKDLIDGCDEAMRVQMELERQLHEHLEVQRHLQIRIEAHGKYLHSMFERACNIIDPNLASNGLALVRKTPSQFPTSEAADDRLSFLCKALKLPSLSEIAMESVQRKPSNGVSATFAECSVDSCLTSTASPGTGRSKMLGPREAAVDIRFSYVGN >Ma09_p29730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40099407:40101630:1 gene:Ma09_g29730 transcript:Ma09_t29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIFFLLLLLCHHAALALPGGYYINCGASQEETTGGIQWIPDEGFINVGNVSKIGTPDIVPILATVRYFPDESARKYCYSIPVGRGAKYLIRSTYYYGGFDGGEKPPVFDQIIDGTKWSTVNTTENYASGLTAYYEIVVAAQGRTLNFCLARNNQTTSSPFISALELVSLEDSMYNGTDFTKYALSTVARHRFGYSGEIVSYPDDPFNRYWEAFTDSNPYVECHSNVTPSEFWNLPPATVFQRALTTSRGKKLAVQWPPVTLPSAAYYVALYFQDNRTPSPFSWRVFDVAVDGREFYKGLNVSAEGVTVYGTQWSLSGKVEIVMTPSSDSPVGPVINAGEILQIVPFGEKTITRDVITMEDLARNLKNPPPDWRGDPCLPRQHSWTGVSCSQSGSIRIVGLNLTNFGLSGSLPSSINNLTALANIWLSGNKLSGPIPEMSSLRNLVSLQLQDNQLSGSIPSSLGGLENLKEIYLQNNKLTGNVPESLKKKIGVDMK >Ma08_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3893914:3895306:1 gene:Ma08_g05730 transcript:Ma08_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEETEWFARWEEHLPSPEELTPLSQTLITPALAIAFDIPIPADGNPSPILSPHFLHRPLPPPASPHLPPPPDFETSDLNSASAASGSGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSTSGGGGGGPISASDAATDQLFASAPVPHHFLSRRPVAGLGGPDPFLPYMPVTALQHHQQITAAMQQQQHYHQRHLGHFGSPTGGGGFDHGFLNRPAVSQSGMHQMLGAAPGMGLMQLTPSSAASFVDDLEPARGGSGERKVLTLFPTGED >Ma10_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27948803:27950872:1 gene:Ma10_g16100 transcript:Ma10_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVIDFSKLDGEQRAEALAQIANGCEEWGFFQLANHGIAEELLERVKKVCSECYRLREKSFRESNPVRSFNELVDGETEGGVGKRLSDVDWEDVFVLQDGMPWPSNPPEFKETMKEFRDELKKLAEKVMEVMDENLGLENGCIRKAFSANGKHQPFFGTKVSHYPPCPRPDLVDGLRAHTDAGGVILLFQDDEVGGLQILKDGQWIDVQPVKNSIVINTGDQIEVLSNGRYKSVWHRVLATPDGNRRSIASFYNPSLKATIAPATKLLSAESDRVPASYPKFVFGDYMDVYVKQKFLPKQPRFEAVAAAM >Ma01_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17655019:17656146:1 gene:Ma01_g20350 transcript:Ma01_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSPASLLLFAFLMLALTGRLQARRSSCIGVYWGQNTDEGSLADACATGNYEYVNIATLFNEIQSCQERGVKVMLSIGGGGSYGLSSTEDAKDVASYLWHSFLGGSAARYSRPLGNAVLDGIDFNIAGGSTEHYDELAGTKKCPFPDYWLGNALRTDLFDFVWVQFFNNPSCHFS >Ma06_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7280921:7282049:-1 gene:Ma06_g10500 transcript:Ma06_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGASGYDMGSGGGMDMGRARASDYDMGTGGGMGMGRSGASGYDLGGGGVGHAGAAGLAAGTGAYGSRTSQEGMMGSMGGGGGQMQGHREEHHGISGMLHRSGSSSSSEDDGQGGRRKKKGLKDKIMEKLPGGHSSEEAESQAAGRYGGGYEGAQYEPEKKGIMEKIKEKLPGHHN >Ma02_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20939736:20940428:1 gene:Ma02_g12090 transcript:Ma02_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTRHPLENGVGVCAPCLRERLLALDAATAPSPDDRKASTQTPSPPPLLFPRSVSPYVPRSAAGGSRRASFLSALFGRRRHGNLERKPQRSSSWLSALLHGRRRKMTSCMSVADDAAEPGRDWGISPERSRGGYDAEPPWRPHRSSMTWATAEHHHHRGSGLAGFAMCLSPLAAASSSRRRSRVAEFGFSGELPRTALGPHRHRRHASAGGPVMWPDTSRKPTELGRLR >Ma04_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22466719:22468459:1 gene:Ma04_g19810 transcript:Ma04_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSLKIPNPSSPAASATSRDRRHLLVTIPSTVASPTSPRRRSASLAVAFAAASASAASINGGGVPKINGHPRINGFEPAAGLRVAFQGAPGAYSEFAAKTALLGCDTLPCRAFADAISAVERGLADRAILPVESTMEGAALRNYDLLLRHDLRVVQEISLFVNYCLLAMPGVSPAEVRRVISHPVALAHCGRALAQLGLHRCEPVEDTAGAVEMLRSNRLLDTAAIASPRAALLYGLDVLAHGVQDESWNVTRFLLLAAPTSLAAAGAAVHPKQTPLKTSMVVAHRGGSMVVLLKVLSAFSRRNINLTKLEVINSSSKEDKAPVFILDVKAKGTLRAFPHVLYVDFEGSTEDPKAMEAIDEISQTAELVRILGCYAADPNVYDLH >Ma06_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13570232:13571584:1 gene:Ma06_g19630 transcript:Ma06_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSKLLAALSSLVDHAIAPRAAMADRSILTEIEAAIARCTDKHDVPVNEECVHEILFRVSNAPGSITFLSRRISSRLDATRDSTVALKTLVLLHRLLRGGDRYFEQDLQNMWSCGELRVDLSWCSADKGHLHSFLLSYSLFLEERLGWIINQAGLLEPIRPPQSAFRSHKEEAAEWLLYRLSKSQILLDRIMDCLPSNPSFSSQVMQSAFNIILRESFRVYDGFSDGMEIVVSSYPELDKSPKSLALDVLNKALTQTPSLHDFYENCKRSVCWKSLDYPHVRIITAAQVSSIEQKLPAGSHCPPSTSSGDQEATETSKQHQQDAAVKEAEFGLHDARNILFSQKFETTISTVWVEFDEEDSQNSSFSLGGVDDCLTGASEDVLTEVDGSWQGREATR >Ma03_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1924919:1927675:-1 gene:Ma03_g02840 transcript:Ma03_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVKSESWLGRMGLVRDGGRKKGGAGGGEKAAATVGVLAFEVARFMSKAVQLWHALADDRVARLRDEVLRLEGVRKLVSDDDGFLLALALAETTDALGSLARAVAGLGRRCSDPALQRFDAAFADLVKTGADPHGFEYAGRKMERKVKKMERFVAAGADLYHELEVLAELEQGLLRLLANPDAGGRHQASVADFKHKVMWQRQQVKYLREASLWVRTYDYAVRLLSRSLFSIVGRIRQVFGFPTKTEATGWSGGRRKPAARLSRSHSIAGLMPSPGHSSDGNMVRLFASGPHAAPQHETRSGPIATSSGALAGQCPPPRIGLPPPARKRHNSRTKWPVGGRTFGGCMVGGNEPAVLQSCIPMDTALRKPNVTPLTPPGADAASPETSVNMFDMTEPRFQLLNAPATTLGAAALALHYANVIIVIEKLAASPHLIGPDARDDLYSMLTTSIKAALRARLKSYAKNLASSIYDPVLAAEWSAAVTRILDWLAPLAHNTIRWQSERSFEQQSLVSNSNVLLLQTLYFADQRKAEDAITELLVGLNYLWRYGRELTAKAMLECVSSRNFDDCLQIQACPAIQDTA >Ma05_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1133320:1133970:-1 gene:Ma05_g01830 transcript:Ma05_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSLLLVLVLVLATADDLEAELPKGKGHGEDDDGAGIPWFGADPGRFFGHGSRFHVPAGIGGGWGGGFGGPSGGYARGGVVRPSVVCSEKGPCHKKRVTCPDSCFTSFSRSGKGYGGGGGGGRCTIDCKKRCIAYC >Ma03_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24152067:24152902:1 gene:Ma03_g18580 transcript:Ma03_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAETHADDPRVYLTLSSNVLRVLVTIVTYIATKKNDAAADMETSQGKEEPQQLHNEKEEDRPQNHDSSTNRPMPLMALNHVSRLCRSVEASVEFYTAVLGFVPTGRPPTLDFNGAWLWFNYDVGIHLVQEEDGGDLPDASLDRLDPMDNHISFQCEDMGAMEQRLKDLGVKYLRRTINEEEGSPIDQLFFNDPDGFMIEICNCENLELVPAGFLGRSTTPRSK >Ma03_p26400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30147783:30147947:-1 gene:Ma03_g26400 transcript:Ma03_t26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNSPPSSGSATRSPTPETTCSPATVSLTTSGNPPMVRLTSIIPPVATPMAGS >Ma04_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25409753:25415370:-1 gene:Ma04_g23240 transcript:Ma04_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLWRKAKSALGFNLTVDGPTSSAEDDDDGDCDGGSRTGEAAAGGGRASGAAFSEASSPGGSVRMLKTPMPTPTPSSSSRWLFRSGSRSSKKTCTICLGAMKAGPGHALFTAECSHTFHFHCITSNVKYGNYICPICRAKWKEIPFRAPVSSEHSHGSERANLVNQSQEDVHMLPRADLTNQQHRRFSCLHASEPHTFNDDEPLNVQSETAETAQQGCTRTVEIKLYPEFSSIPQSASQENFTVLIHLEAPRACRNQNVGSATSQTSRAPVDLITVIDVSGSMAGTKLALLKRAMSFVIQNLGPLDRLSVIAFSSTARRLFHLQRMTDTGRQQALQAVNSLISCGGTNIAEGLWKGAKVIKERTEQNPVCSMILLSDGQDTYTLTSSLSRSFQSHPDYRSLVPSSILGDIAQQIPVHAFGFGADHDSTVMHSISEISGGTFSFIESEVEIQDAFAQCIGGLLSVVVKEMLVVAESGHPGVQLAAVKSGNYTNKLADDARSASITVGDLYADEDRNFLVSVNVPPSSGSHDMVLLKVCCAYRDVICNESITLEDVVRIQRPEVTTAYTSSVDVDRERNRVQAAEAMLKARAAAERGVFSEAVSILEDQRRKLFESLAGQSGDQLCLALDAELREMQERMATQQRYEVSGRAYLLSGLSSHSWQRATARGDSTDLTSLVHAYQTPSMVEMLHRSQTFSPSPRTPTPPAQPARSFSFLGPQPR >Ma10_p31260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37459189:37460740:1 gene:Ma10_g31260 transcript:Ma10_t31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSVIQRKVGPLLWLLVISTILALFIMHSSSTFSISIKAVLTQSPLVTTSDVSNQSPSLPANTSGVTPVHNSSSSPQLSADGNEEQCDTSKGKWVKEAGASIYTNLTCPTYPDINNCGKYGKDQSYLYWRWQPDSCDIPRFEPETFLNIVRGKKMAFIGDSLARNQIDSLLCLLSQAETPREVSRDSIGKYVTWYFPPHDFTLMVMWTEYFVEARPRIINGTASNSFEIHLDKVSTNWAEKLPGVDYAVLSGGNWFFRGIHLYEEGKMIGCINCRGQNLTEFDVTVTIRRALRTALQYISSCKDCEGLVTFLRTFTSSHFENGSWLTGGYCNRTRPLNETRILLDDIAWEIRKIQLEEIERVRRQESGGKMRFGVLDVTKAMMLRADAHPGDHWTKKSKASVNDCLHWCLPGPIDMWSDLLLATLEKNFLPS >Ma06_p19250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13190534:13221510:1 gene:Ma06_g19250 transcript:Ma06_t19250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQRASNKAASKAKLQSADAKPAPKLQISAENERRLRRLLLNTERPAAAEGPSLAAADAASRTQKAKRLRGVYDKLSLEGFSADQIEQALSALGEGATFEGALDWLCFNLPGNQLPMKFSSGASTSNLEGTERSVKIISAAREDWVPQQRQPESIPKSLLEIKVKRDELSLDIGKSSHKEWIQQYLEREEEEDEPNSQAASIEYHQAGLGDVEAKQKGDEKSFHDEILLSGLQEESTTKMTEEIACNSAFTISLELKASDDQQNPGQSKVVNLEADEADHFKAVDSSVSLLEGHVNETDKKTEEVEELELDNLFSEDCSSSITLPAEISTQKNKKSLSQFAFRYNLGSIDDIWMKGDTGKIPKAVLQKLCQKLGWEPPKYSKLSGKEDKFLYAVSILRSASGRGKSRNAGGLISIQLPNGGESFKSVEDAQNKVASYALCQLFPELPLCQMLMEPYSSFVSMWHNDELPANLVENEDARRAGFVDSLLNADSSLPMSSVDAKRISVGEKLVKAENLEVTIDCPIETAKVIPSGASYPEQRESIFLKKELENKMKQPEYMKILEARDSLPISKLKSNILQLLVENDVIVVCGETGCGKTTQVPQFILDDMIQSGLGGYCNIVCTQPRRLAAISVAERVSDERCEPSPGCDGSLVGYQVRLDVARNEKTKLLFCTTGILLRKLAVNKDLAGITHVIVDEVHERSLLGDFLLIVLKNLIEKQSDTARQKLKVVLMSATVDSSLFSRYFGNCPVISAEGRTHPVSTYFLEDVYERLDYCLALDAAASGTSMTGYRGKLKGSIVDNHRGKKNIVLSSWGDESLLSEDYVNPHYIPDQYASYSDRTRQNLKRLNEDVIDFDLLEDLICFIDENYPPGAILVFLPGVAEIDLLVDKLTASYQFGGILLDWILPLHSSLSAFEQKKVFLTPPQNIRKVIVATDIAETSITIDDVIYVVDAGKHKEKRYNAQKKMSSMVEDWISKANAKQRRGRAGRVKPGICFCLYTCHRYEVLMRPFQVPEMVRMPLTELCLQIKSLSLGDTKSFLLQAIEPPREDVISSAIDLLYKVGALDGNEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSLAAFLSYKFPFVYPKDEKQNVERAKSALLGNSLNNESAYEESYKQSDHLLMVVAYNKWARILHQDGTRSAQQFCRSFFLNSSVMYTIRDMRVQFGGLLADIGLVDLPKHLLVIFCRVMKEGKTNLTVGFLTCLNHST >Ma06_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13190534:13230565:1 gene:Ma06_g19250 transcript:Ma06_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQRASNKAASKAKLQSADAKPAPKLQISAENERRLRRLLLNTERPAAAEGPSLAAADAASRTQKAKRLRGVYDKLSLEGFSADQIEQALSALGEGATFEGALDWLCFNLPGNQLPMKFSSGASTSNLEGTERSVKIISAAREDWVPQQRQPESIPKSLLEIKVKRDELSLDIGKSSHKEWIQQYLEREEEEDEPNSQAASIEYHQAGLGDVEAKQKGDEKSFHDEILLSGLQEESTTKMTEEIACNSAFTISLELKASDDQQNPGQSKVVNLEADEADHFKAVDSSVSLLEGHVNETDKKTEEVEELELDNLFSEDCSSSITLPAEISTQKNKKSLSQFAFRYNLGSIDDIWMKGDTGKIPKAVLQKLCQKLGWEPPKYSKLSGKEDKFLYAVSILRSASGRGKSRNAGGLISIQLPNGGESFKSVEDAQNKVASYALCQLFPELPLCQMLMEPYSSFVSMWHNDELPANLVENEDARRAGFVDSLLNADSSLPMSSVDAKRISVGEKLVKAENLEVTIDCPIETAKVIPSGASYPEQRESIFLKKELENKMKQPEYMKILEARDSLPISKLKSNILQLLVENDVIVVCGETGCGKTTQVPQFILDDMIQSGLGGYCNIVCTQPRRLAAISVAERVSDERCEPSPGCDGSLVGYQVRLDVARNEKTKLLFCTTGILLRKLAVNKDLAGITHVIVDEVHERSLLGDFLLIVLKNLIEKQSDTARQKLKVVLMSATVDSSLFSRYFGNCPVISAEGRTHPVSTYFLEDVYERLDYCLALDAAASGTSMTGYRGKLKGSIVDNHRGKKNIVLSSWGDESLLSEDYVNPHYIPDQYASYSDRTRQNLKRLNEDVIDFDLLEDLICFIDENYPPGAILVFLPGVAEIDLLVDKLTASYQFGGILLDWILPLHSSLSAFEQKKVFLTPPQNIRKVIVATDIAETSITIDDVIYVVDAGKHKEKRYNAQKKMSSMVEDWISKANAKQRRGRAGRVKPGICFCLYTCHRYEVLMRPFQVPEMVRMPLTELCLQIKSLSLGDTKSFLLQAIEPPREDVISSAIDLLYKVGALDGNEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSLAAFLSYKFPFVYPKDEKQNVERAKSALLGNSLNNESAYEESYKQSDHLLMVVAYNKWARILHQSYERRKDKLDSWFSDMSQPFNLNANHPSIIKSIICAGLYPNVAATTEGIVNSALAGTTLLASGLPLKDQTVLYDGKREVHIHPSSVNHNVKHFRYPFLVFLEKVETSKVFLRDSSIISPYSLFLFGGSMSIQHQAGLITIDGWLKLTAPAQTAVLFKELRLTLHAVLKELIRKPETATFSKNEVVKSIVQLLLEEDKDQTS >Ma06_p19250.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13190534:13230565:1 gene:Ma06_g19250 transcript:Ma06_t19250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQRASNKAASKAKLQSADAKPAPKLQISAENERRLRRLLLNTERPAAAEGPSLAAADAASRTQKAKRLRGVYDKLSLEGFSADQIEQALSALGEGATFEGALDWLCFNLPGNQLPMKFSSGASTSNLEERSVKIISAAREDWVPQQRQPESIPKSLLEIKVKRDELSLDIGKSSHKEWIQQYLEREEEEDEPNSQAASIEYHQAGLGDVEAKQKGDEKSFHDEILLSGLQEESTTKMTEEIACNSAFTISLELKASDDQQNPGQSKVVNLEADEADHFKAVDSSVSLLEGHVNETDKKTEEVEELELDNLFSEDCSSSITLPAEISTQKNKKSLSQFAFRYNLGSIDDIWMKGDTGKIPKAVLQKLCQKLGWEPPKYSKLSGKEDKFLYAVSILRSASGRGKSRNAGGLISIQLPNGGESFKSVEDAQNKVASYALCQLFPELPLCQMLMEPYSSFVSMWHNDELPANLVENEDARRAGFVDSLLNADSSLPMSSVDAKRISVGEKLVKAENLEVTIDCPIETAKVIPSGASYPEQRESIFLKKELENKMKQPEYMKILEARDSLPISKLKSNILQLLVENDVIVVCGETGCGKTTQVPQFILDDMIQSGLGGYCNIVCTQPRRLAAISVAERVSDERCEPSPGCDGSLVGYQVRLDVARNEKTKLLFCTTGILLRKLAVNKDLAGITHVIVDEVHERSLLGDFLLIVLKNLIEKQSDTARQKLKVVLMSATVDSSLFSRYFGNCPVISAEGRTHPVSTYFLEDVYERLDYCLALDAAASGTSMTGYRGKLKGSIVDNHRGKKNIVLSSWGDESLLSEDYVNPHYIPDQYASYSDRTRQNLKRLNEDVIDFDLLEDLICFIDENYPPGAILVFLPGVAEIDLLVDKLTASYQFGGILLDWILPLHSSLSAFEQKKVFLTPPQNIRKVIVATDIAETSITIDDVIYVVDAGKHKEKRYNAQKKMSSMVEDWISKANAKQRRGRAGRVKPGICFCLYTCHRYEVLMRPFQVPEMVRMPLTELCLQIKSLSLGDTKSFLLQAIEPPREDVISSAIDLLYKVGALDGNEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSLAAFLSYKFPFVYPKDEKQNVERAKSALLGNSLNNESAYEESYKQSDHLLMVVAYNKWARILHQDGTRSAQQFCRSFFLNSSVMYTIRDMRVQFGGLLADIGLVDLPKHLLSYERRKDKLDSWFSDMSQPFNLNANHPSIIKSIICAGLYPNVAATTEGIVNSALAGTTLLASGLPLKDQTVLYDGKREVHIHPSSVNHNVKHFRYPFLVFLEKVETSKVFLRDSSIISPYSLFLFGGSMSIQHQAGLITIDGWLKLTAPAQTAVLFKELRLTLHAVLKELIRKPETATFSKNEVVKSIVQLLLEEDKDQTS >Ma06_p19250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13190534:13230565:1 gene:Ma06_g19250 transcript:Ma06_t19250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQRASNKAASKAKLQSADAKPAPKLQISAENERRLRRLLLNTERPAAAEGPSLAAADAASRTQKAKRLRGVYDKLSLEGFSADQIEQALSALGEGATFEGALDWLCFNLPGNQLPMKFSSGASTSNLEGTERSVKIISAAREDWVPQQRQPESIPKSLLEIKVKRDELSLDIGKSSHKEWIQQYLEREEEEDEPNSQAASIEYHQAGLGDVEAKQKGDEKSFHDEILLSGLQEESTTKMTEEIACNSAFTISLELKASDDQQNPGQSKVVNLEADEADHFKAVDSSVSLLEGHVNETDKKTEEVEELELDNLFSEDCSSSITLPAEISTQKNKKSLSQFAFRYNLGSIDDIWMKGDTGKIPKAVLQKLCQKLGWEPPKYSKLSGKEDKFLYAVSILRSASGRGKSRNAGGLISIQLPNGGESFKSVEDAQNKVASYALCQLFPELPLCQMLMEPYSSFVSMWHNDELPANLVENEDARRAGFVDSLLNADSSLPMSSVDAKRISVGEKLVKAENLEVTIDCPIETAKVIPSGASYPEQRESIFLKKELENKMKQPEYMKILEARDSLPISKLKSNILQLLVENDVIVVCGETGCGKTTQVPQFILDDMIQSGLGGYCNIVCTQPRRLAAISVAERVSDERCEPSPGCDGSLVGYQVRLDVARNEKTKLLFCTTGILLRKLAVNKDLAGITHVIVDEVHERSLLGDFLLIVLKNLIEKQSDTARQKLKVVLMSATVDSSLFSRYFGNCPVISAEGRTHPVSTYFLEDVYERLDYCLALDAAASGTSMTGYRGKLKGSIVDNHRGKKNIVLSSWGDESLLSEDYVNPHYIPDQYASYSDRTRQNLKRLNEDVIDFDLLEDLICFIDENYPPGAILVFLPGVAEIDLLVDKLTASYQFGGILLDWILPLHSSLSAFEQKKVFLTPPQNIRKVIVATDIAETSITIDDVIYVVDAGKHKEKRYNAQKKMSSMVEDWISKANAKQRRGRAGRVKPGICFCLYTCHRYEVLMRPFQVPEMVRMPLTELCLQIKSLSLGDTKSFLLQAIEPPREDVISSAIDLLYKVGALDGNEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSLAAFLSYKFPFVYPKDEKQNVERAKSALLGNSLNNESAYEESYKQSDHLLMVVAYNKWARILHQDGTRSAQQFCRSFFLNSSVMYTIRDMRVQFGGLLADIGLVDLPKHLLSYERRKDKLDSWFSDMSQPFNLNANHPSIIKSIICAGLYPNVAATTEGIVNSALAGTTLLASGLPLKDQTVLYDGKREVHIHPSSVNHNVKHFRYPFLVFLEKVETSKVFLRDSSIISPYSLFLFGGSMSIQHQAGLITIDGWLKLTAPAQTAVLFKELRLTLHAVLKELIRKPETATFSKNEVVKSIVQLLLEEDKDQTS >Ma06_p19250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13190534:13220571:1 gene:Ma06_g19250 transcript:Ma06_t19250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQRASNKAASKAKLQSADAKPAPKLQISAENERRLRRLLLNTERPAAAEGPSLAAADAASRTQKAKRLRGVYDKLSLEGFSADQIEQALSALGEGATFEGALDWLCFNLPGNQLPMKFSSGASTSNLEGTERSVKIISAAREDWVPQQRQPESIPKSLLEIKVKRDELSLDIGKSSHKEWIQQYLEREEEEDEPNSQAASIEYHQAGLGDVEAKQKGDEKSFHDEILLSGLQEESTTKMTEEIACNSAFTISLELKASDDQQNPGQSKVVNLEADEADHFKAVDSSVSLLEGHVNETDKKTEEVEELELDNLFSEDCSSSITLPAEISTQKNKKSLSQFAFRYNLGSIDDIWMKGDTGKIPKAVLQKLCQKLGWEPPKYSKLSGKEDKFLYAVSILRSASGRGKSRNAGGLISIQLPNGGESFKSVEDAQNKVASYALCQLFPELPLCQMLMEPYSSFVSMWHNDELPANLVENEDARRAGFVDSLLNADSSLPMSSVDAKRISVGEKLVKAENLEVTIDCPIETAKVIPSGASYPEQRESIFLKKELENKMKQPEYMKILEARDSLPISKLKSNILQLLVENDVIVVCGETGCGKTTQVPQFILDDMIQSGLGGYCNIVCTQPRRLAAISVAERVSDERCEPSPGCDGSLVGYQVRLDVARNEKTKLLFCTTGILLRKLAVNKDLAGITHVIVDEVHERSLLGDFLLIVLKNLIEKQSDTARQKLKVVLMSATVDSSLFSRYFGNCPVISAEGRTHPVSTYFLEDVYERLDYCLALDAAASGTSMTGYRGKLKGSIVDNHRGKKNIVLSSWGDESLLSEDYVNPHYIPDQYASYSDRTRQNLKRLNEDVIDFDLLEDLICFIDENYPPGAILVFLPGVAEIDLLVDKLTASYQFGGILLDWILPLHSSLSAFEQKKVFLTPPQNIRKVIVATDIAETSITIDDVIYVVDAGKHKEKRYNAQKKMSSMVEDWISKANAKQRRGRAGRVKPGICFCLYTCHRYEVLMRPFQVPEMVRMPLTELCLQIKSLSLGDTKSFLLQAIEPPREDVISSAIDLLYKVGALDGNEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSLAAFLSYKFPFVYPKDEKQNVERAKSALLGNSLNNESAYEESYKQSDHLLMVVAYNKWARILHQDGTRSAQQFCRSFFLNSSVMYTIRDMRVQFGGLLADIGLVDLPKHLL >Ma06_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6400991:6401913:-1 gene:Ma06_g09060 transcript:Ma06_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSRSHLFGDSTHKTLSGFLLFLSLAPSFAETQISDELTAYQMLEKYDFPKGILPQGAQSYVLNRDGSFEVYLSGDCEFEVTGGYLLQYKRRITGTVGMGSLTNLRGVRVKVLLLWFGINEVVRSGNELKFYVGPLSASFGLSNFEECPSCRCGFDCADAMVSDS >Ma08_p31420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42341750:42342016:1 gene:Ma08_g31420 transcript:Ma08_t31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQQRLSFVLSQALRQQRPLASCSKLKQRPLPMFRGWLSTVRYRTVLYNFLFYCSIVVRFVRYQVIYVPVCRRIGMYRPYRVVTFGTA >Ma04_p26730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28099280:28099432:1 gene:Ma04_g26730 transcript:Ma04_t26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMRRMEIAADSLYKSKLIRGFCYLYDGQEAVAVGLEAVITKKDVVITA >Ma03_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3368293:3368442:1 gene:Ma03_g05170 transcript:Ma03_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLAEQLGLIVINIEFSCKVMMLWLTSFHNFECALFCFRGNICYQFCF >Ma10_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29511057:29516712:-1 gene:Ma10_g18670 transcript:Ma10_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFATERAKRLLVGSFRSSPPMFSVPLCSSASAAAAAMDSAASPVALDTINQKVLKCEYAVRGEIVTHAQRLQQELQSKPGSHPFEEILYCNIGNPQSLGQQPITFFREVLALCDHPPLLDKSETHALFSADAIARAWQILDAIPGGATGAYSHSQGIKGLRDTIADGIAARDGFPANPDDIFLTDGASPGVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGSLVPYYLNESTGWGLEISELQKQLEDARSKGITVRSLVVINPGNPTGQVLAEENQKEIVEFCKNERLVLLADEVYQENIYVDDKKFNSFKKIARSMGYGDEDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSAEVREQIYKVASVNLCSNISGQILASLVMNPPKVGDESYESFDAEKTGILSSLARRAKALEDAFNGLEEVTCNKAEGAMYLFPRLHLPKKAIEAAKAVKAAPDAFYARRLLDATGIVVVPGSGFGQVPGTWHVRCTILPHEDKIPAIISRLKAFHEAFMEEFRD >Ma08_p12120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9125418:9139930:1 gene:Ma08_g12120 transcript:Ma08_t12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MGGEPTLPGPAGAAAALANGGGDPASYWFDACEDDGLCGIDFADFDPSALPSLDHVDEDGFLGEIDRILDSINGDHNAAPLPPPVEVIMESTITAGAAEIVAKPAAENGFGGDEAKERRETVDEKVAERDGSVANGGWRYNSRKDRVCERADDRAYRRDRYRESPGRRRSRHWDEVDRRRRDGEWGRKRERDAGGEWRESRDREWRERESRGYWERDKSGKVVFRVGSWEAETNREAKKAKLDITDQVRSPEKRPQEKREKSTEEQARQYQLDVLEQAKKKNTIAFLETGAGKTLIAVMLIQSVCTEMLKHNKKMLAVFLVPKVPLVYQQAEVIRERTGYKVGHYCGETGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIKMESIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGVSSQEDCAIKIRNLESKLDSIVCTVKDRRELEKHVPMPLEIVVQYDKAAVLWSLHEQIKQMEVEVEQAAHSSSRKSKWQFMGARDAGSKDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERANYQLDVKFQESYLKKVVALLQCQLSEGAVNDGGKNGADVHSFDAQSVEEIEEGELPNSHAVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQHTEDFHAIIFVERVVAALVLPKVFSELPSLSFIKCASLIGHNNNQEMRTSQMQDTIAQFRDGRITLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHETFLRNARNSEETLRKEAIERTDLSHLKDIQRLSTTDTLPGSVYQVQSTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQRHERPGGSTEYSCKLQLPCNAPFQQLEGPLCCSMRIAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEGEKVEQNDEGDPLPGTARHREFYPEGVASILRGEWILSGSEGCNSSEFHELHMYAVNCVNVGTSKDPFLTQVSCFAILFGNELDAEVLSMTMDLFVARTMITKASLVFRGTIMITETQLVSLKSFHVRLMSIVLDIDVDPLTTPWDPAKAYLFIPVVAEKCHDPLKQIDWCLVEKIVETDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGMAFGHKAHPTYGIRGAIAQFDVVKASGLLPSRESIEPIDFIYHCEGKLFLADSWIDVKDLVGRIVTAAHSGKRFYVDSVRYDMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVDLMYKRQPLIRGRGVSYCKNLLSPRFEHSEEVENEENLDKTYYVYLPPELCLIHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMIRYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSIFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFAPSRWAAPGVLPVFDEDSKEAEHSIFDEECPADETEPLKDFYADDCIENMREDGEVESDSSCYRVLSSKTLADVVEALIGVYYVEGGEVAANHLMKWIGIQVDIDPRDIPCPKPYNIPESIMRSVDFDALEGALNVKFRDRGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALEAQIRDFVKDVQDELSRTGFNYFGLGDCKAPKVLGDIVESIAGAIFLDNEHDASIVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKATRTGNIATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKENAIAKDMDKNGERKNGIQIFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAATLLLELLSRCYPDNK >Ma08_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9126537:9139930:1 gene:Ma08_g12120 transcript:Ma08_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MQEGGSVNLSLSRHSIIKMESIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPAVFGMTASPVNLKGVSSQEDCAIKIRNLESKLDSIVCTVKDRRELEKHVPMPLEIVVQYDKAAVLWSLHEQIKQMEVEVEQAAHSSSRKSKWQFMGARDAGSKDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERANYQLDVKFQESYLKKVVALLQCQLSEGAVNDGGKNGADVHSFDAQSVEEIEEGELPNSHAVSGGEHVDVIIGAAVADGKVTPKVQALIKILLKYQHTEDFHAIIFVERVVAALVLPKVFSELPSLSFIKCASLIGHNNNQEMRTSQMQDTIAQFRDGRITLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHETFLRNARNSEETLRKEAIERTDLSHLKDIQRLSTTDTLPGSVYQVQSTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQRHERPGGSTEYSCKLQLPCNAPFQQLEGPLCCSMRIAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEGEKVEQNDEGDPLPGTARHREFYPEGVASILRGEWILSGSEGCNSSEFHELHMYAVNCVNVGTSKDPFLTQVSCFAILFGNELDAEVLSMTMDLFVARTMITKASLVFRGTIMITETQLVSLKSFHVRLMSIVLDIDVDPLTTPWDPAKAYLFIPVVAEKCHDPLKQIDWCLVEKIVETDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGMAFGHKAHPTYGIRGAIAQFDVVKASGLLPSRESIEPIDFIYHCEGKLFLADSWIDVKDLVGRIVTAAHSGKRFYVDSVRYDMNAENSFPRKEGYLGPLEYSSYADYYRQKYGVDLMYKRQPLIRGRGVSYCKNLLSPRFEHSEEVENEENLDKTYYVYLPPELCLIHPLPGALVRGAQRLPSIMRRVESMLLAVQLKDMIRYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSIFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFAPSRWAAPGVLPVFDEDSKEAEHSIFDEECPADETEPLKDFYADDCIENMREDGEVESDSSCYRVLSSKTLADVVEALIGVYYVEGGEVAANHLMKWIGIQVDIDPRDIPCPKPYNIPESIMRSVDFDALEGALNVKFRDRGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALEAQIRDFVKDVQDELSRTGFNYFGLGDCKAPKVLGDIVESIAGAIFLDNEHDASIVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKATRTGNIATVEVFIDGVQIGIAQNPQKKMAQKLAARNALVVLKEKEKENAIAKDMDKNGERKNGIQIFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAATLLLELLSRCYPDNK >Ma05_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37021617:37021859:-1 gene:Ma05_g24890 transcript:Ma05_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNNIRYYILPDSLNLETLLVEETPWVKPKKPTAGRPLGRGRGHGRGRGRGC >Ma07_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11860964:11865144:1 gene:Ma07_g15700 transcript:Ma07_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFAYGSLVWNPGFDFDERIVGFIKDYERVFNLACIDHRGTPANPARTCTLEAKKGAVCWGSAYCVKGDREKEKAAIQYLERRECEYDMKASVDFYKEDDPIKPAVTGVLVFVSTPDKEANRYYLGPAPLEEMARQIATASGPCGNNRDYLFLLEKALYDIGHEDGRVIELANEVRKVLARLKEKKIAASHASIKSHRRRMQLSPLPETTVVNSR >Ma04_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2609298:2619543:-1 gene:Ma04_g03390 transcript:Ma04_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASPDDSLRPRVGLIYDERMCRHTTPDGEAHPECPERIRAIWKKLESEGIPRRCVLLNARKVEDKYLASVHTQNHIELIKNISSKEFDSRRQKIASELDSIYLNVGSSEAAYLAAGSVIEASEKVAKGDLNSVIAIVRPPGHHAESNEAMGFCLFNNVAIAANYLLNEKPELGIKKILIVDWDVHHGNGTQKMFYKDPRVLFFSVHRFDFGDFYPSGDDGAYCMIGEGPGAGYNINVPWEHGQCSDADYIAVWDHVLIPIAKEYNPDIILVSAGFDAAIDDPLGGCCVTPHGYSLLLQKLMQFAQGKIVMVLEGGYNLKSIANSVLACAKVLLQEESVGSIQTATFKSTWRVIEAVRHELKGYWPVLDVELPQNLLITNSRPCPAEVTYSSSESDVENDEGTARTINFSDFVEDDVLLPLSKLKIDEELVTSNEIVDGYITWRSLLSKVEVWYASFGSNMWMPRFLCYIEGGKVEGMSAPCCGSLDKSSPKDVIWKIVPHRLLFGRSHTRTWGAGGVAFLDPERSTSDKAYLCMYRITLEQFNDVLLQENSLHQENGIIKQMASPLLDLHILEYVAKNKSLPLKTLKDGWYSTVLYLGKEDDLPILTMTCSASDVERFKSGELPASVPAKDYMNTLVNGLVEGKQLTREEAVAYVNGAATWKL >Ma04_p03390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2609298:2619543:-1 gene:Ma04_g03390 transcript:Ma04_t03390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAASPDDSLRPRVGLIYDERMCRHTTPDGEAHPECPERIRAIWKKLESEGIPRRCVLLNARKVEDKYLASVHTQNHIELIKNISSKEFDSRRQKIASELDSIYLNVGSSEAAYLAAGSVIEASEKVAKGDLNSVIAIVRPPGHHAESNEAMGFCLFNNVAIAANYLLNEKPELGIKKILIVDWDVHHGNGTQKMFYKDPRVLFFSVHRFDFGDFYPSGDDGAYCMIGEGPGAGYNINVPWEHGQCSDADYIAVWDHVLIPIAKEYNPDIILVSAGFDAAIDDPLGGCCVTPHGYSLLLQKLMQFAQGKIVMVLEGGYNLKSIANSVLACAKVLLQEESVGSIQTATFKSTWRVIEAVRHELKGYWPVLDVELPQNLLITNSRPCPAEVTYSSSESDVENDEGTARTINFSDFVEDDVLLPLSKLKIDEGNHGKVIASDQVQEEESLSASEASHDAELVTSNEIVDGYITWRSLLSKVEVWYASFGSNMWMPRFLCYIEGGKVEGMSAPCCGSLDKSSPKDVIWKIVPHRLLFGRSHTRTWGAGGVAFLDPERSTSDKAYLCMYRITLEQFNDVLLQENSLHQENGIIKQMASPLLDLHILEYVAKNKSLPLKTLKDGWYSTVLYLGKEDDLPILTMTCSASDVERFKSGELPASVPAKDYMNTLVNGLVEGKQLTREEAVAYVNGAATWKL >Ma02_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27899167:27901464:1 gene:Ma02_g22610 transcript:Ma02_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQISSFASVLSVSGHKMGETGNAKHYQQPAVVPMNVSIELGHAHRLEKAFECYDDDGRLKRTGTVWTASAHIVTAVIGSGVLSLAWAIAQLGWVAGPVVMLLFSFVTYYTSTLLADCYRSGDPISGKRNYKYTDAVHSYLGGLKVKLCGFIQYANLFGVAVGYTIAASISMMAIRRSNCFHERGHDNPCHTSSNPYMIMFGVAEIFLSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVQVIGNKGFKGSLTGISIGVVSPTQKVWRSLQAFGDIAFAYSFSLILIEIQDTIKAPPPSEAKVMKKASLTSIAVTTIFYMLCGCMGYAAFGDEAPGNLLTGFGFYNPYWLLDVANAAIVVHLVGAYQVFCQPLFAFIEKWALTTWPDSAFITKEVAVPLPSTKRYRLSLFRLVWRSAFVVLTTVISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIAQKRIPRWSTRWVCLQILSLACLVITVAAAIGSVAGVVTDLEAYRPFKSSY >Ma02_p22610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27899167:27901464:1 gene:Ma02_g22610 transcript:Ma02_t22610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMGETGNAKHYQQPAVVPMNVSIELGHAHRLEKAFECYDDDGRLKRTGTVWTASAHIVTAVIGSGVLSLAWAIAQLGWVAGPVVMLLFSFVTYYTSTLLADCYRSGDPISGKRNYKYTDAVHSYLGGLKVKLCGFIQYANLFGVAVGYTIAASISMMAIRRSNCFHERGHDNPCHTSSNPYMIMFGVAEIFLSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVQVIGNKGFKGSLTGISIGVVSPTQKVWRSLQAFGDIAFAYSFSLILIEIQDTIKAPPPSEAKVMKKASLTSIAVTTIFYMLCGCMGYAAFGDEAPGNLLTGFGFYNPYWLLDVANAAIVVHLVGAYQVFCQPLFAFIEKWALTTWPDSAFITKEVAVPLPSTKRYRLSLFRLVWRSAFVVLTTVISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIAQKRIPRWSTRWVCLQILSLACLVITVAAAIGSVAGVVTDLEAYRPFKSSY >Ma07_p25960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32927751:32948748:1 gene:Ma07_g25960 transcript:Ma07_t25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNIPRWVCQSCRHALHVINVDSKNDKFFSNPSCSGMEASTVQGSVMGSSQVDHSFVVLPRSTTPQPDSSHSAKVVTESFVVLPPAAASMYRSESTPEGRGSQEQVQGESPSSGLQVNDPRFNSSKILKRVFNIATSQTQVEQPICLECIRLLSDKLLEEVEDVNQDIEAYESCLQRFEMESDDVLSEADFLQEKTKIEEEERRLQVEIEEIEKQRLEANAELNEIQMKCKEFEELEERYWHEFNSFQFQLISHQEERDALLAKIEVSQAHLELLKRINVLTDAFSISHEGEFGTINNFRLGRLPKMRVEWDEINAAWGQACLLLHTMAQHFRPKFLYRIKILPMGSYPLILDNNNNAYQLFGPVNLFWSSRYDKATTLFLTCLKEFAEFANLKDQENNTPPEKCFRLPYKIENDNVGNYTITHSFNKQENWTKALKYTLCNLKWVQLWFVANSSFQPLSAHADVPVMRSSHAKQSADPRS >Ma06_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6966534:6970359:1 gene:Ma06_g10040 transcript:Ma06_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGSRYYKLPNALWRRPDSKMREDQRKRSPHDIDEREFRRLLGLLPVVLISKPPRKCLRSQLDYWQPSERYYC >Ma08_p21930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35673265:35720625:-1 gene:Ma08_g21930 transcript:Ma08_t21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVRDLTVLGEFKPFGLVSEELEGKPLETAPEEHQYFLFHADVARERDGPAAATLSSAAVSADLDFSSPSPPSDDGDHEIFIRGSRITWSTGSRVHKRYNSPKTVIMACWCRMETIPDALLCVLQIDTLSIYGASGEVVCIPLPFAIASIFPLPFGLLLQKAVDGNRRISISGSPLNARDLSRSGKDSGWNRHVFHQLNSFEPVIKENEAITSSHLILRHPLEEPQATYIEEWGKFILMKDFEERTIWSSDVVPLMASYHKSKMQHSIWLLETVSHCEAETAMVDAVSTELSNQQLSFRRIWQGKCSQSAASKVFLATDMDGVPIICFLLVDQKVLLAVRLQIDEGNDEALVDIKPHMSWSIPALDAASVIVTRPRVEVGRLPFSDIVVLGAENHLLLYSGKQCLCRYLLPLRPGKNLLRSKHSAGTTDMCSELTITGIKDAVEGRINVIVNNGQIFRCSLRRNPTTSLANDCITVMAVGLQFSFYSHFATLLWGDFGSAYFFHSRPHTDSEWDAFAGAVMRICDRYGTRMQRQSPPVSGAAWEFLVNSKLHLRHSIGRGIFSMNMPNCSGADYNDSQIQDEQNEGRPFYSQLLAETLDSLHCLYESLKLDKLRKQDVGQLVTLLFTIAASLGEENYVDYYIRDFPFLLAEGCSFHSCASPRTPPSLFRWIENCLHKGYHVSNMKNLPPLLCRENIYVVSWARKIVAFYSVLVGAERKGRILSTGVYCEIANGSARTIEELTVLAMVGERFGRQQLDLLPLGVSLPLRHALDKCRESPPTDWPAAAYVLVGREDLAMACLGSLSKEHGSQGSLNLVAISVPYMLHLQPVSVPSSLTEITGSDSMKLEDSEALHRSLEDGMEHIYNSSTQLRFGRDLRLNEVRRLLCSARPVAIETPVNPSASDQDLQQHQLWNLAQRTTALPFGRGAFTLASTYAVLTEALHVPKLVLAGRLPAQQNATVNLDPNLRNILELRSWPEFHNGVAAGLRLAPFEGKMSRTWIQYNKPEEPSFTHAGILLALGLHGHLCSLAMTDVYRYLTQEHDITTVGVLLGVAASYRGTMHPEISRILYLHVPTRHQLSFPELELPTNLQSAALVAIGLLYEGSAHPFTMKILLGEIGRRSGGDNVLEREGYAVAAGYALGLVALGRGKGAFGFVDSFVDRLFHYIGEKGVQNGKSSVVSQTTDDHIRILGQMVDGAHINVDVTAPGATIALALIFMKTESEEMVSRLHLPVTHFDLQYVRPDFIMLRVITRNLIMWSNMQPSRNWIESQIPDIIKLGVLRLDGVVDDDEFDAEAVVQAYVNIVAGACISLGIKYAGTKSEEAQELLYNYAIYFLNEIKHVPATTNITLPKGMLQYVDRGTSEICLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSTEGHINYGIQMTVSLAIGFLFLGGGMQTFSTGNSAVAALLMTLYPRLPTGPSDNRCHLQAFRHLYVIAAESRRVQTVDVDTGLPVYCPLEVSIKETEHYSETSFCEVTPCILPERSVLKTVRVCGPRYWPQVIQLIPEDKPWWRFRDKTGPFNGGILYIKRKVGSCSYVDDPIGCQSLLSRAMHKVCDTSDMNCSNTRNNNSAPGSYKIDQLVSTFSADPSLIGFAQLCCDSWNSRADANFQEFCSQLLFECVSKDRPALLQIYLSLYTTIEAMWEQVKSCRLVFEDSLFLSSLKLALAYNEALINGKLSCGSVSIIQCTFIQSLRRHMEEILICSQSLNENVFKYLDSGIWPDCQSDGGKMDAMLLSWYLLWYGIPSFRVIKSAVEKIKAKAPLSLTMIPLLRLLLPTTQAKGIFEIDKFHF >Ma08_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35673265:35720625:-1 gene:Ma08_g21930 transcript:Ma08_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVRDLTVLGEFKPFGLVSEELEGKPLETAPEEHQYFLFHADVARERDGPAAATLSSAAVSADLDFSSPSPPSDDGDHEIFIRGSRITWSTGSRVHKRYNSPKTVIMACWCRMETIPDALLCVLQIDTLSIYGASGEVVCIPLPFAIASIFPLPFGLLLQKAVDGNRRISISGSPLNARDLSRSGKDSGWNRHVFHQLNSFEPVIKENEAITSSHLILRHPLEEPQATYIEEWGKFILMKDFEERTIWSSDVVPLMASYHKSKMQHSIWLLETVSHCEAETAMVDAVSTELSNQQLSFRRIWQGKCSQSAASKVFLATDMDGVPIICFLLVDQKVLLAVRLQIDEGNDEALVDIKPHMSWSIPALDAASVIVTRPRVEVGRLPFSDIVVLGAENHLLLYSGKQCLCRYLLPLRPGKNLLRSKHSAGTTDMCSELTITGIKDAVEGRINVIVNNGQIFRCSLRRNPTTSLANDCITVMAVGLQFSFYSHFATLLWGDFGSAYFFHSRPHTDSEWDAFAGAVMRICDRYGTRMQRQSPPVSGAAWEFLVNSKLHLRHSIGRGIFSMNMPNCSGADYNDSQIQDEQNEGRPFYSQLLAETLDSLHCLYESLKLDKLRKQDVGQLVTLLFTIAASLGEENYVDYYIRDFPFLLAEGCSFHSCASPRTPPSLFRWIENCLHKGYHVSNMKNLPPLLCRENIYVVSWARKIVAFYSVLVGAERKGRILSTGVYCEIANGSARTIEELTVLAMVGERFGRQQLDLLPLGVSLPLRHALDKCRESPPTDWPAAAYVLVGREDLAMACLGSLSKEHGSQGSLNLVAISVPYMLHLQPVSVPSSLTEITGSDSMKLEDSEALHRSLEDGMEHIYNSSTQLRFGRDLRLNEVRRLLCSARPVAIETPVNPSASDQDLQQHQLWNLAQRTTALPFGRGAFTLASTYAVLTEALHVPKLVLAGRLPAQQNATGKMSRTWIQYNKPEEPSFTHAGILLALGLHGHLCSLAMTDVYRYLTQEHDITTVGVLLGVAASYRGTMHPEISRILYLHVPTRHQLSFPELELPTNLQSAALVAIGLLYEGSAHPFTMKILLGEIGRRSGGDNVLEREGYAVAAGYALGLVALGRGKGAFGFVDSFVDRLFHYIGEKGVQNGKSSVVSQTTDDHIRILGQMVDGAHINVDVTAPGATIALALIFMKTESEEMVSRLHLPVTHFDLQYVRPDFIMLRVITRNLIMWSNMQPSRNWIESQIPDIIKLGVLRLDGVVDDDEFDAEAVVQAYVNIVAGACISLGIKYAGTKSEEAQELLYNYAIYFLNEIKHVPATTNITLPKGMLQYVDRGTSEICLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSTEGHINYGIQMTVSLAIGFLFLGGGMQTFSTGNSAVAALLMTLYPRLPTGPSDNRCHLQAFRHLYVIAAESRRVQTVDVDTGLPVYCPLEVSIKETEHYSETSFCEVTPCILPERSVLKTVRVCGPRYWPQVIQLIPEDKPWWRFRDKTGPFNGGILYIKRKVGSCSYVDDPIGCQSLLSRAMHKVCDTSDMNCSNTRNNNSAPGSYKIDQLVSTFSADPSLIGFAQLCCDSWNSRADANFQEFCSQLLFECVSKDRPALLQIYLSLYTTIEAMWEQVKSCRLVFEDSLFLSSLKLALAYNEALINGKLSCGSVSIIQCTFIQSLRRHMEEILICSQSLNENVFKYLDSGIWPDCQSDGGKMDAMLLSWYLLWYGIPSFRVIKSAVEKIKAKAPLSLTMIPLLRLLLPTTQAKGIFEIDKFHF >Ma02_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18553192:18557714:1 gene:Ma02_g08110 transcript:Ma02_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILSAPTPSSPSPSPPPPCSAPSPPSLSSPRSPSYRNHRLRLSHSCPAPLNPATGSPFYQENWRNLTPAPGVGPFVGGRSLVPMGLPATARTMAFSQTLDVSSLMNKCKTTDQNKALCPSWNLCNYVADVALQTDHIKLVYFSLEFLARWIACGENARPPFLLSAEEGLVVSAVGTAGRTCNSTHLDAARSILQRSLQQKRAPSPETYLAKIYAHASLGQLQCAFATLNESETMYENSEVDQELFSPFTSVYPLVVACCKNGFSTLDSVYIQLENLSHAHPLYKSVAALNCVILGCASIWDLDLAYETFEAISEKIGMTPDVHSYNALMCVFGKLRKTTEACKVFEHLVRLGVKPNVTTYSLLVDAHLVIRDSKTALSVIDDMVEAGFTPKETRKKVQRCCSQELDFDSDERVQSLAQRFKYKMGGELRREMLYNLEYSSMRFLAGQVSWLVEEKSSILLHCFQLDGRLFCLSKGAQLVLVDHNELLSFFSIAVQLGEPNSDYNWVYTSPKRKGILTYHKLNLGFYFLCIAILNLVNGYFNSI >Ma09_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4976697:4976867:-1 gene:Ma09_g07560 transcript:Ma09_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVTSFGIIQWDHYQIENVECDDKFDQESPMAKRRRFLSSLFCESEIMGNYGPLN >Ma10_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23494993:23497205:-1 gene:Ma10_g09290 transcript:Ma10_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSVAGIGLDLNLCAMTTVGGFLEEAVTAVESVDSKVAKLEESVRSLEEERRKIEAFKRELPLCMLLITDVIEGLKKELERCRGERFARACEAHNPINSKCGEEGGVKLESESKNKTSWMSSAQLWTTVNNNKDDYSITEERNAKAARREEESLFSESKSRRPVGAFVPFKGMSPFVMNSKEEVKPRVMLPDLPLQSPAVRNAFCPISAAAEDHSLSLSGSQGVGGAAASALAMAGTCLSLQVQQQQPPRKARRCWSTELHRRFVLALEQLGGAQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHARKMPNSSPIVDQPVVVLRGLWVPPENYTTSPQQSVSLSGSPQSPLQLAGSNLAVSATAGDSCEEDGRSECCNWTWGEVSAEHI >Ma11_p14000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19157931:19164317:1 gene:Ma11_g14000 transcript:Ma11_t14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRDDISGNMVLNLDLNFPPLECWLAEGTSGSRHPWISITQIGDAIESQQGSTAPPSDRLNNSIIDLESIEDDVVTLASSRGFPQGRDSFRRSQPVIVVPDEDLGINYRRAEQRVARSSSNTRNKRPRSSTSRTIINCDLYPDLEQDLIAKRKKSKPEPVVLTRKEPTFTCPVCLHALVDASSTICGHIFCLQCIKSSIQAQKKCPTCRRKLTMSSFHRVYLPATH >Ma11_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19158024:19164317:1 gene:Ma11_g14000 transcript:Ma11_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNTLRPSTRGATMSVRDDISGNMVLNLDLNFPPLECWLAEGTSGSRHPWISITQIGDAIESQQGSTAPPSDRLNNSIIDLESIEDDVVTLASSRGFPQGRDSFRRSQPVIVVPDEDLGINYRRAEQRVARSSSNTRNKRPRSSTSRTIINCDLYPDLEQDLIAKRKKSKPEPVVLTRKEPTFTCPVCLHALVDASSTICGHIFCLQCIKSSIQAQKKCPTCRRKLTMSSFHRVYLPATH >Ma11_p14000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19157937:19164317:1 gene:Ma11_g14000 transcript:Ma11_t14000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNTLRPSTRGATMSVRDDISGNMVLNLDLNFPPLECWLAEGTSGSRHPWISITQIGDAIESQQGSTAPPSDRLNNSIIDLESIEDDVVTLASSRGFPQGRDSFRRSQPVIVVPDEDLGINYRRAEQRVARSSSNTRNKRPRSSTSRTIINCDLYPDLEQDLIAKRKKSKPEPVVLTRKEPTFTCPVCLHALVDASSTICGHIFCLQCIKSSIQAQKKCPTCRRKLTMSSFHRVYLPATH >Ma11_p14000.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19157937:19164317:1 gene:Ma11_g14000 transcript:Ma11_t14000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRDDISGNMVLNLDLNFPPLECWLAEGTSGSRHPWISITQIGDAIESQQGSTAPPSDRLNNSIIDLESIEDDVVTLASSRGFPQGRDSFRRSQPVIVVPDEDLGINYRRAEQRVARSSSNTRNKRPRSSTSRTIINCDLYPDLEQDLIAKRKKSKPEPVVLTRKEPTFTCPVCLHALVDASSTICGHIFCLQCIKSSIQAQKKCPTCRRKLTMSSFHRVYLPATH >Ma11_p14000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19157912:19164317:1 gene:Ma11_g14000 transcript:Ma11_t14000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNTLRPSTRGATMSVRDDISGNMVLNLDLNFPPLECWLAEGTSGSRHPWISITQIGDAIESQQGSTAPPSDRLNNSIIDLESIEDDVVTLASSRGFPQGRDSFRRSQPVIVVPDEDLGINYRRAEQRVARSSSNTRNKRPRSSTSRTIINCDLYPDLEQDLIAKRKKSKPEPVVLTRKEPTFTCPVCLHALVDASSTICGHIFCLQCIKSSIQAQKKCPTCRRKLTMSSFHRVYLPATH >Ma03_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5940325:5950064:-1 gene:Ma03_g08260 transcript:Ma03_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial import inner membrane translocase subunit TIM21 [Source:Projected from Arabidopsis thaliana (AT4G00026) UniProtKB/Swiss-Prot;Acc:Q1G3L1] MASRVLSRIARSGSSRRLISPSFRQESLGNLSGGLPPAKEWASSTNSSAKDVRKAEGSFSKLFRWHMINRNHAVQPTIHDRKHCTISCLVRTTASNYSTQASEQRTKEEKRKDISTVEDPFDAPTYNIPEKPVTFAEGASYSLIILAGLGVAGLAAYAVFKELIFEPKEYKIFGKALERVQNDSQVTVRIGSPVTGYGQESRNRAARQRIPNRVWTDEDGIEHVEVNFHIRGPHGFGKVYTEMFKNQADKKWTFTYLIVEIKSPSPAQLMLESYVPA >Ma11_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27863102:27863856:1 gene:Ma11_g25110 transcript:Ma11_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANPTNGTLLFFCFLFSSIVMCALCRPDPGGVFSHSSFPPLKRGSQSPSITNEEGSWRWRDASGRRLLIGSTAPICTYNECRGCRFKCSAEQVPVDAGDPMNSAYHYRCVCHR >Ma06_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5807763:5816743:-1 gene:Ma06_g08220 transcript:Ma06_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFSRTGASEGARRRGKWKKADPQAVATVAEDRMDASEEEAIVEAFRKVLIDRNLLPPCHDDYHTMLRFLKARGLNIEKATRMWSEMLQWRKDFGADTILQDFVYDELEKVLQYYPHGFHGVDKDGRPIYIERLGKVDPNKLLSVTTVERFVRYHVQGIEKVLTEKYPACSVAAKRHVDTMTTVLDAQGVNWMSVGKLARDVVLRIQKVDSDNYPEILHKLFIVNAGSGCRLLWNTIKGIIDPRTSAKIVVLGDTYQNTLLESIEMSQLPAFLGGSCTCSNEGGCLTSNKGPWSDPQIISSVQSERASSKVGGNLLGEKKLNRQVAMFKKGTIQVKSDTKDAVSPIQPIPQPDMPTTPAYENSTQITTDENMPSNSPTSQTKLCDQLGGGLVDDSTRGNSLSKLLMLVIGAVGKFITKVLAVLYLFFGLKNDAIDLVKVKSSDHHGLDPTNANSMNHLARHDVTESPVLPCLERLQMLEELVTELNEKPERVPQEKDNMILDSLNHIQPIEHDLQKTNNVVQVTSQKQVEMKDSLQNLRGAA >Ma10_p28350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35256632:35261674:-1 gene:Ma10_g28350 transcript:Ma10_t28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVISTRGLLSLPPKPQVRPYLPLHTLRIRFPSAAAPALCARAPASALHGLSCRERTPSIARPTASRDPERSLLYPGISGKQRKVPIFRASAAIPADGAGSAELGEKKPKFLGVEITTLKKIVPLGIMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLSNVLSKEALFYTVILPFIAFFGAFAFVMYPLRDAIHPTALSDKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVLLGFVICAIYWGVNTFVVNDPSLPRSDRKKKEKPKLGMNESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRLILRKFGWGVAAMITPTVLLLTGVGFFSLLLFGEPLAPLLGSLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEEMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAARSLDSQFSPLAKKELEKEKMRKEKAKEPLIAAVREDTDGLVAKGVKPRENDSLHESIASEDSTNGSPLKEKLASESESSSETSTGRSQ >Ma08_p32560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43248388:43251602:-1 gene:Ma08_g32560 transcript:Ma08_t32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MKISELSPDLRPSPGDPQPTLRLLLDDIESSVKEIESLDPDDRSFSPSSLAGRLRRSISRLSLPASAPLPEPAKLHLWKLSYRLWNACIDLSNAAELLPADVPHRKAELAELRHVAADILFVAGVPMGIPSAGFKSASFFHKTGLLWHELGRFDLAAGCFERATELASTAQVDGTPQIGGEEERRLLLDLNLARARTAWEVADRNLAIALLNRSKNLIFGSPLGFRDLAEQYLQFGKLDLSKKSSEGGSDASKLLTEALDLCEKGIASARSRGGGGGDNLGLEGLKGRCLRFLAAERLQAEDYEGVLKCVKALRAGVAVTPGTEHPSVGYVAMKAWLGAGRLREAEMELMGMMANKEVPEAACVSAAEAYLSAAGPDAARAVLLGLAGRCHSSAAATLRVVRRVADGGGGGRARVVAELTADERVVELFQGSAAAKERSAMHALLWNCGAEHFRSKDYELSSEMFEKSMLYVPRDEEHRSRRSNCFRVLSLCHLALAQLDRAQEFIEQAEKLEPNIKCAFLKFKIHLQNKDEKEAINQMRAMLDCIDFNPEFLTLCTHEAISCQILPVAIASLSVLLNLYSPGKKLPMPEVAVLRNLISLLHRIPNSEPEILKYTKYARARMVDLGVECFFGKGAVGRRELNWFAGISWNMGQKSGKEKNYESCAKFFELASEFYSALGDEDGGNQAISCKSLIISVGAMLNAEEHKKAPMPDSDVKKAMEMLKRAGKILPLISSASAQESRDHQAENSDLFFLHTYSTYQLTDRLDDARPQQLELVKSFAASKACTAHHLLQLGLAACRGEQHNPEVAEFALNASLSVLLASPSPDYNLVSIVIRRLACLAASRGNDGGNNDAAYDVYRQAYQIVLGLKEGEYPVEEGKWLAMTAWNKSGLAVRLRQVATARKWMKMGLDLARHLKGMDKYVGGMEECVANLEKLCNRSGEEGVGNSRS >Ma09_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24888206:24892651:-1 gene:Ma09_g19560 transcript:Ma09_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAKERTKLKGSTQMSVKTCEETPDVENTDTSVTTVGGSSSSPTSKEKKKKGLLDIKELTWEQSDIYEILTSILCSSNKVDLYLKRGSPMYNIPSIQGL >Ma06_p37960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36876752:36881504:-1 gene:Ma06_g37960 transcript:Ma06_t37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDPSIAAPGRLLRLLIYCGIFLLPFFFSSSVDASVHSYVGDKFAPKGNAFVLHGGSEGLYASLPDPNATAGPGDAFIRFEKITFRRPEQATENSKDTDNVMVQAIVFEVEDRETIGGSAYGGQRAVCCTADLAKLGACTQGTVIYRPSTQNPNWPQVLVASFSGKDLVATLPSHSIPITRTGMYNLYFIYCDPALSGLAIEGKTVWKNPTGYLPGRMAPLMNFYGLMSLAFVILGIFWFSQYVRFWKEVLPLQNCITLVIALGMFEMALWYFEYAEFNETGLRPMGITFWAVTFGTVKRTVSRVIILVVSMGYGVVRPTLGGLTSKVIMFGATFFLASEILELVENVGSVSDLAGKARLFLVLPVALLDAFFILWIFTSLSKTLDKLQARRLMAKLDIYRKFTNALGVALVLSVCWICYELYFKSTDIYNERWQNAWIIPAFWQVLSFSLLCVIADLWAPSQNSKRYAYSDDGTEDFDREDSFSLIKPGPIPSKDTRGSAASIDARAAVISNTTTSNNGDIEEDKRE >Ma03_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25073643:25074748:1 gene:Ma03_g19810 transcript:Ma03_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTATSTGSGDMKACPRGHWKPSEDAKLQQLVEQFGPHNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTAEEEEMLLAAQQVHGNKWALIAQLFPGRTDNSVKNHWHVIMARRHRERLKLMRKRTDQDFTSQLPFEVGDGTNGTTTPASAFFGSRIHLVPQRFGSERRNYSSFRCSCSTLETSQLHDQSIRHRHQTYRSLRACEDGHNKRAAAPNSKFSSEYDSCVGHAIRRGDDNEQNERGKNVPFIDFLGVGITP >Ma03_p19810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25073643:25074748:1 gene:Ma03_g19810 transcript:Ma03_t19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTATSTGSGDMKACPRGHWKPSEDAKLQQLVEQFGPHNWNSIAEKLQGKSCRLRWFNQLDPRINRSPFTAEEEEMLLAAQQVHGNKWALIAQLFPGRTDNSVKNHWHVIMARRHRERLKLMRKRTDQDFTSQLPFEVGDGTNGTTTPASAFFGSRIHLVPQRFGSERRNYSSFRCSCSTLETSQLHDQSIRHRHQTYRSLRACEDGHNKRAAAPNSKFSSEYDSCVGHAIRRGDDNEQNERGKNVPFIDFLGVGITP >Ma03_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8144328:8144569:1 gene:Ma03_g10780 transcript:Ma03_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKIACAVLVAAASATSALAAEAPAPGPASASFAVNPVVGSVIGASVLSFFALYLQ >Ma09_p27780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38696963:38697842:-1 gene:Ma09_g27780 transcript:Ma09_t27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKFCCMTMRINIDCNGCYRKINRILLQTQGLESHWIEQKQCMVSVCGVFVPQDMAIRLRKKTNRRVEILEIKEVDISNDGSITQKPP >Ma10_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25040039:25041307:1 gene:Ma10_g11560 transcript:Ma10_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPQLIGDRRRNFHPREEDIGPVIEEIEGLIKVYRDGHVERLPAVVEVPCTWAPEPDVVSKDVAVGHSAGLWARFYVPELRKQKLPLLVYFHGGGFCVGSASWRCYHEFLARLASQASCVVLSVNYRLAPEHRLPAAYEDGLAVMRWVTQQASHRGPDELGWWRARCDFARVFLGGDSAGAAIAYNVAVQLGSLGVPACLRGVILIQPFFGGVARTSSEKNTMQSSRSALSLTTSDCYWRMALPPGTDRDHPWCNPLAKSSPKLEDLRLPPLLVCVSELDILRDRNLELCEAMSSAGKSVEQAIFAGVGHAFQVLHNHHTSDARTSEMLTHIRAFINNR >Ma05_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37162070:37162174:1 gene:Ma05_g25140 transcript:Ma05_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLVGAESNSITSANRVGVECKVLWIENVMFL >Ma02_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23283663:23284980:-1 gene:Ma02_g15660 transcript:Ma02_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHATRTNKNGIKALIAAEYSGVEIEMAKNFQMGVSNTTPEFLKMNPIGKVPLLETPDGPIFESNAIARYVARLKADNPLYGSSLFEYALIEQWIDFASTEIDPNIAQWFYPRHGLKPYFAAAEEAAVRALKRALRALNTHLASKTYLVGHSVTLADIIMACNLYLGFLCIMTKSFTAEFPHVERYYWTMVNLPNFRKVLGEVKQADAVPPVPSQKKPAEAEEPTREPVRSKVEEEVAEKVEELEQGNKLYQWTKVDISDEGNRESVNAMIGEEDAFEGEALPDAKCFE >Ma09_p01930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1426715:1438418:1 gene:Ma09_g01930 transcript:Ma09_t01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVRLRVEFDDHRVLTKTQRLEGLCRCWLLLPPELSTVSDVATHLVRAFALHLSCPHGVLLSMDEFVLPPFESSSIFRDKDIVRVKKKVAKTKQLLEICGDAYHIQDSKIVEKQPITSGNELLNIKDFHEDLELCKSKKGEDACEVEGNTTKRQILSSEETILKRKRKQSDKPQNSKKKIKLTSPTSEETIVTADQEENVHSDLQKSPTLDKTHILSNRNEKHEKLVSSQNAVQKRNCHVTTKERHDQVENCHRIPSQQQLTDSMNKSPSRSARRKKAKRQWLREMANQQLSENKQGEKQANDVQGTLSKYKIVDQTIEIEEEIVPVIVRPGHIRFEPIDGDGEHDNTQSNGPVETLQWNGTTSKKKGQKWGREKTLSKKSDDDYCNGAFNENMITEEGEHVDDHINFESLFPLTRLPEEGDILVYRLVELSSSWCPELSSFRVGKVSSYDSISMKIVLLPIPEYPISSQEKKDAEESAQEPDSTLYKEDGSLEIEYTSLVDVRLLKGHDSDNLHNEKSKEKAQSNNWDLALVDANPRHSAAPLVESRPTMGWDQIDQALSERKAQLQQTDTWAIKGSTTASWSYRALRGSALGPTLALLRGTNGSSNANSPGKHASGKYPSSK >Ma09_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1426715:1438085:1 gene:Ma09_g01930 transcript:Ma09_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVRLRVEFDDHRVLTKTQRLEGLCRCWLLLPPELSTVSDVATHLVRAFALHLSCPHGVLLSMDEFVLPPFESSSIFRDKDIVRVKKKVAKTKQLLEICGDAYHIQDSKIVEKQPITSGNELLNIKDFHEDLELCKSKKGEDACEVEGNTTKRQILSSEETILKRKRKQSDKPQNSKKKIKLTSPTSEETIVTADQEENVHSDLQKSPTLDKTHILSNRNEKHEKLVSSQNAVQKRNCHVTTKERHDQVENCHRIPSQQQLTDSMNKSPSRSARRKKAKRQWLREMANQQLSENKQGEKQANDVQGTLSKYKIVDQTIEIEEEIVPVIVRPGHIRFEPIDGDGEHDNTQSNGPVETLQWNGTTSKKKGQKWGREKTLSKKSDDDYCNGAFNENMITEEGEHVDDHINFESLFPLTRLPEEGDILVYRLVELSSSWCPELSSFRVGKVSSYDSISMKIVLLPIPEYPISSQEKKDAEESAQEPDSTLYKEDGSLEIEYTSLVDVRLLKGHDSDNLHNEKSKEKAQSNNWDLALVDANPRHSAAPLNRDQPWGGTKLIRL >Ma09_p01930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1426715:1438418:1 gene:Ma09_g01930 transcript:Ma09_t01930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVRLRVEFDDHRVLTKTQRLEGLCRCWLLLPPELSTVSDVATHLVRAFALHLSCPHGVLLSMDEFVLPPFESSSIFRDKDIVRVKKKVAKTKQLLEICGDAYHIQDSKIVEKQPITSGNELLNIKDFHEDLELCKSKKGEDACEVEGNTTKRQILSSEETILKRKRKQSDKPQNSKHDQVENCHRIPSQQQLTDSMNKSPSRSARRKKAKRQWLREMANQQLSENKQGEKQANDVQGTLSKYKIVDQTIEIEEEIVPVIVRPGHIRFEPIDGDGEHDNTQSNGPVETLQWNGTTSKKKGQKWGREKTLSKKSDDDYCNGAFNENMITEEGEHVDDHINFESLFPLTRLPEEGDILVYRLVELSSSWCPELSSFRVGKVSSYDSISMKIVLLPIPEYPISSQEKKDAEESAQEPDSTLYKEDGSLEIEYTSLVDVRLLKGHDSDNLHNEKSKEKAQSNNWDLALVDANPRHSAAPLVESRPTMGWDQIDQALSERKAQLQQTDTWAIKGSTTASWSYRALRGSALGPTLALLRGTNGSSNANSPGKHASGKYPSSK >Ma07_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8746829:8752642:1 gene:Ma07_g11770 transcript:Ma07_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVMMNKTRDLLEDLVRESSFNWALTRRTSFHGDDEFDDLSRSPSGRQATSIAALSPIANLVVARCSLILGVSVDDIQHNFDAKASEAIRRPSRYARNLVEYCCFTTLALSTRVAGYLSDKSFHRLTFDMMLAWDSPASSQPPSPQAKEGGERPVGVEAFSRIAPAIPSVADVVNCSNIFNVLTASTGGRLSFAIYDKYLRNLDRAIKKMKTQSESSLLSDLRFHRGEKILDMDGTLTAQPVLEHVGNSAWPGRLTLTDHALYFEALKVVAYNKPKMYDLADDLKQCIKPELTGPWGSRLFDKAVMYKSISLSEPVFMEFPELTGHSRRDYWLAIMREVLYAHRFLRKFQIEGVEKEEMLLKAVLGILRLQALVELVPSQIIRYETLLTFSLCDQLPGGDLILETLAGMIASRRLERTNESDSGSLMHYSTSALGILSNLGVVSQVSNDESLLVGEMIVGEMTTLERAVTESMTNYKKVEQAQATVDVVKVDGLDTNLALMKELLHPGIKLGNFLVTLASWDDPVKSFVFCCACFYIILRGLLGYLLVMVFLLVAIFILLTRFINQGRPIDQVKVMAPPSMNTMEQLLAVQNAISQVEELVQNGNITLLKLRGLLLSVSSQAANTAILTLVLMALTVAILPAKLILFVIFLEIFTRQSPPRRASTERWARRWREWWFSIPAAPVVLERDKVEKM >Ma05_p29950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40482262:40482896:1 gene:Ma05_g29950 transcript:Ma05_t29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSVVPRKTPGQAKAKKKPIKVVYISNPMKVTTSAAKFRGLVQKLTGRDSNVAYMAATDSSMAESLPGSAAAYEAPRDPSLESTGAVDDPYKAAAASPFEVFDDAFTPQTLDGLPLYYESLVGGSWMASEA >Ma07_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2229474:2230413:1 gene:Ma07_g02830 transcript:Ma07_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLRATRVTTLLDDDKAKARLREADDSHRVSGYDSSGSEHDSPSLSGLVHAFLEHAHGDSLSADVGAVAHPHHSSDDDHADDPDCTAAAVVRELMDPAAEGDRFRVRLAADVYSVVEGVSGLRTCCGAAGLRRAVMARLRASGYNAGICKARSDSSGGLKAGSYEYIDVVSAAAEGAGMRYIVDLEFAAEFEVARATEAYKTVLAALPRAAVAGEETMRQVVRVVADAVQRSLRAQDLHIPPWRKSQYMIAKWLGPYRRNTNTVPASPGSSVSSSGAEISCRSVGFTTAAWRRIPPPEHVRNHVAYITN >Ma03_p31190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33493550:33495523:1 gene:Ma03_g31190 transcript:Ma03_t31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPKALTDSPQQHQQPPTHHHFSLTSKPLLDSSPTVDSNNVSVAALDDEPTSVLDHHLTSSPATAPTAAPTAAASAAAADLPFLSWAGGGDAHHHHPLLSSDDWDTASLLFAERCDLPPFTDDSDPQFLDAPFDLPFDPFAAAADHAIPSPASSFDRAQLDLLISAARCLEANDFATAHVILSRLNHHLPSAGASPLLRAVFLFKEALLALLRPSTAEPLLSAAELVRHIAAHKAFADLSPVPHFATFTATQTVIEALDCGARSIHLIDFDLGLGGQWSSFAQELAGRSRVSRSSPPAVRITAVVAEESGETVLAADNLRDFARGLNISLAVNFVRVGGLGTLALSGFRLAGSGEPTAVVLTPAIFRLLGCGAASPDTAAALLRFVRRVCPRVVVFVDTEGGSGGHPPPSLRRTVAAGVEHYATVLESVEAAAAETGAREDTVRWVERAVMRPRVAAAVGEWAAGLGPWRELFAAAGWSPLPFSEFAESQAEWLVRRTPVGGYHVARREGGALVLSWRGREMASTSAWRC >Ma08_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:996617:999225:-1 gene:Ma08_g01090 transcript:Ma08_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLSLPEAHGSSYNNNKTAQEKSIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWAPGIVVLVVSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLVVDVGVDIVYMVTGGKSLKKFHELVCPDCTPIKQTYFIIIFASVHLVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASADKGKQADVEYGYRASSTAGTVFNFLSALGNVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYIVVALCYFPVALVGYWAFGNAVKDNILITLNKPRWLIAMANMFVVVHVIGSYQIYAMPVFDMMETVLVKKLHFPPGLTLRLIARTVYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFVPCTIWLAIYKPRRFSFSWITNWICIILGIMLMILSPIGGLRQIILEAQDYSFYS >Ma02_p11980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20880243:20884957:1 gene:Ma02_g11980 transcript:Ma02_t11980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTWKEMETRSALPMGKLNMEQLSDARSSGVMSSTLPVRPNNSGEKFPKIPDSQLVLMDREIRSNPLPSDYTPFVSDGGNVGPLFSSPSGLSSDLHFSSSLPHERHTNGTPFANQLLNAGVSLSSTYSSNTGIFQVPNNNLPKDPTAVTWCPETVQGIGNSKINDSLIVVSNDLSKQNDWWSDIMNVDWKDLLNDTTIAESQSKVVCPAAQSSPDISMHQLQSHRSVPCHAGEVCSITSPMSATTSTATKPRMRWTPELHELFIDAVNQLGGGEKATPKGVLNIMKVEGLTICHVKSHLQKYRTARYIPDSSEGMSEKRITQSEELPSLNLKTGIDFTEALRLQIEVQKRLHEQLEIQRSMQLRIEEQAKRLQVMIEKQRKSTMEKQHASSTPLEPTPHSTAEIELPEGGNSSSSIQRTEDSRQVGNKWKMPELETSNKKGTDAITGCPSTSKHIRVSNEDA >Ma02_p11980.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20880243:20884957:1 gene:Ma02_g11980 transcript:Ma02_t11980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTWKEMETRSALPMGKLNMEQLSDARSSGVMSSTLPVRPNNSGEKFPKIPDSQLVLMDREIRSNPLPSDYTPFVSDGGNVGPLFSSPSGLSSDLHFSSSLPHERHTNVTWCPETVQGIGNSKINDSLIVVSNDLSKQNDWWSDIMNVDWKDLLNDTTIAESQSKVVCPAAQSSPDISMHQLQSHRSVPCHAGEVCSITSPMSATTSTATKPRMRWTPELHELFIDAVNQLGGGEKATPKGVLNIMKVEGLTICHVKSHLQKYRTARYIPDSSEGMSEKRITQSEELPSLNLKTGIDFTEALRLQIEVQKRLHEQLEIQRSMQLRIEEQAKRLQVMIEKQRKSTMEKQHASSTPLEPTPHSTAEIELPEGGNSSSSIQRTEDSRQVGNKWKMPELETSNKKGTDAITGCPSTSKHIRVSNEDA >Ma02_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20879957:20884957:1 gene:Ma02_g11980 transcript:Ma02_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTWKEMETRSALPMGKLNMEQLSDARSSGVMSSTLPVRPNNSGEKFPKIPDSQLVLMDREIRSNPLPSDYTPFVSDGGNVGPLFSSPSGLSSDLHFSSSLPHERHTNGTPFANQLLNAGVSLSSTYSSNTGIFQVPNNNLPKDPTAVTWCPETVQGIGNSKINDSLIVVSNDLSKQNDWWSDIMNVDWKDLLNDTTIAESQSKVVCPAAQSSPDISMHQLQSHRSVPCHAGEVCSITSPMSATTSTATKPRMRWTPELHELFIDAVNQLGGGEKATPKGVLNIMKVEGLTICHVKSHLQKYRTARYIPDSSEGMSEKRITQSEELPSLNLKTGIDFTEALRLQIEVQKRLHEQLEIQRSMQLRIEEQAKRLQVMIEKQRKSTMEKQHASSTPLEPTPHSTAEIELPEGGNSSSSIQRTEDSRQVGNKWKMPELETSNKKGTDAITGCPSTSKHIRVSNEDA >Ma02_p11980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20880426:20884957:1 gene:Ma02_g11980 transcript:Ma02_t11980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTWKEMETRSALPMGKLNMEQLSDARSSGVMSSTLPVRPNNSGEKFPKIPDSQLVLMDREIRSNPLPSDYTPFVSDGGNVGPLFSSPSGLSSDLHFSSSLPHERHTNGTPFANQLLNAGVSLSSTYSSNTGIFQVPNNNLPKDPTAVTWCPETVQGIGNSKINDSLIVVSNDLSKQNDWWSDIMNVDWKDLLNDTTIAESQSKVVCPAAQSSPDISMHQLQSHRSVPCHAGEVCSITSPMSATTSTATKPRMRWTPELHELFIDAVNQLGGGEKATPKGVLNIMKVEGLTICHVKSHLQKYRTARYIPDSSEGMSEKRITQSEELPSLNLKTGIDFTEALRLQIEVQKRLHEQLEIQRSMQLRIEEQAKRLQVMIEKQRKSTMEKQHASSTPLEPTPHSTAEIELPEGGNSSSSIQRTEDSRQVGNKWKMPELETSNKKGTDAITGCPSTSKHIRVSNEDA >Ma07_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3344378:3348139:1 gene:Ma07_g04540 transcript:Ma07_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSHEHGRSSGWSSKGSARENAEEDEDEAYVAVVKDVKEGKANFLWLLHNTPEDKKVVVVHVHRPAQKIPTALGWIPASHLQEEEVAAYRSIERANMHGCLDEYITMCSRVKVKRAGKLVIEKDDVRKGLVELVAQHGITQLVMGAAADKYYSRVFRNQFEFRYQINHRRMKGPRSKTALAVQQQADPSCKIWFLTNPRQDLPIPPHTHSWPWQLGLVKDRRDHRPQGAERAALMIRGIDDGSLVLRTLPAPGDEDLGVDGAMYERLEAALKEADNTKREAYEELHKRQIAEKDLSEAARKVDVAETAYNKEVRQRKEIEEAVAKDEMQLSALRKQRDEVNEELQQARQKMAALELQISDSDQILKDIKAKLPEAYSHLDSIRGEHELSQQERVHQKNEEATTSTRGAEHFSEFSLSELEQATENFHEASKIGEGGYGCVYKGSLRHTTVAIKRLNPQGKQRTAEFRREIDILSRVRHPNLVTLIGACPEARALVYEYLPGGSLEDHLTRKLTWQVRIRIAAEICSALVFLHSRKPLSVVHGDLKPVNILLDSNFVSKISDLGMNRLLVRSIGSSTLYHCMHLRKGTFAYMDPELLSSGEITAKSDVYSFGVILLQLLTGRAAFGVSKVVKEALDMKCLERVLDASAGDWPYVQAEKLAKLGLKCCDMNRRNRPDAKEAWKMLQPLMKSISFARLSPSSIMLAPEYSSCIPSYFICPIFKELMRDPQIAADGFTYEGEAIKGWLSSGNETSPMTNLRLSDCELLPNHALRSAIQGWLQHQN >Ma09_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:497706:506047:-1 gene:Ma09_g00740 transcript:Ma09_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETSRSGPSADSYIGSLISLTSKSEIRYEGILYSINTEESNIGLSNVRSFGTEGRKKDGPQIPAGEKIYEYILFRGSDIKDLQVKSSLPAQSTPINNDPAIIRSHYAGPTSTSTSVPSAGSGSAADISSHAAQLGLPRSTLHGNLPLYQPGGGVGTWGPSPMPPTANGSGLVMPPMYWQGYYAPSSGLPHLQQPSLLQPPGLPIPHAMQHPFQYPGINPSLPSGSPTLSELPPMYPHTSANPTLTSSPLPSMLDPASTNMLSVEASSTLFPDKSPAPSSVSLSVPLVPPLTFNLEKTVATPQSTPVVGSKPSTVPGSTLAYGSVSEPVPAVVSSSSSQVEKPVAIETQNHSLQTGHPLLPSSQPMQTSHSDADAKALEDMSKAFPEPSSNVTAEANEPILPLPKSTLRKPNGTASHHSYNRGRGRGRGNEYSRRVTKFTEDFDFMAMNEKFNKDEVWGHLGKNKAHLGDNDGELQENEIDNDVEEDEAPKIEIKPGYVKDDFFDSLSCTTLDWGTRGGRTRFSEQLKIDTETFGDFARHRPGRGGGHGLRGGGRARGSYRGRGYGYTGRGRGYGQPHHAS >Ma02_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23986120:23987188:1 gene:Ma02_g16780 transcript:Ma02_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPYQTVLFEITYFVLKYLVPVLVAPTLYENPFYISPNQAICALEKKKKTAGKYTKKVKAKMRRKMHELLNPLEPNEFADLWND >Ma04_p30480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31152640:31153409:1 gene:Ma04_g30480 transcript:Ma04_t30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIQQMDTLQLESEEDLSESSASVEESKLHASGMDFDGEDSSKPGDGFVALNVEELIVNAADRWEPSSASPRSLVTEVKKNLSRNGSEAGGGGGRQSVALSSVGGPTGRDEHPRTVHVAIAAAGGRCRRAGGRLRPSRWCNPRRVLFVFASL >Ma02_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18269317:18273940:-1 gene:Ma02_g07680 transcript:Ma02_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTPPLGLFMRPPAPAIYLPASSLALGPRASGDASRIPTFLGSFVGYSLDSTWVDGKRLHFALGNPRSGTAWHCGYTRRDSNGSIQDWDGELTSGSSDENGVQVPTQAQSVVEGSSTVLVSEYKPIPDIDYLQELLAIQQQGPRSIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKMQPPESQELLSKVQNVIEKPQYDHLPLIEASRLCNMDILSKVQQVICFAFHDSKLLMETCQEAKNMRKIVTLFYLD >Ma05_p31140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41193452:41195248:-1 gene:Ma05_g31140 transcript:Ma05_t31140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFSLDSKSTIGVEFATKTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDMTKKQTFDNVQRWLRELRDHADSNIVIMMVGNKSDLQHLRAVSEDDGQVLAEKEGLSFLETSALESLNVDKAFHSILTEIYRIINKKALAAKVAAKTAAPPTQGTTIDVSDSAGGSKGACCSTA >Ma05_p31140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41193452:41195248:-1 gene:Ma05_g31140 transcript:Ma05_t31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFSLDSKSTIGVEFATKTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDMTKKQTFDNVQRWLRELRDHADSNIVIMMVGNKSDLQHLRAVSEDDGQVLAEKEGLSFLETSALESLNVDKAFHSILTEIYRIINKKALAAKVAAKTAAPPTQGTTIDVSDSAGGSKGACCSTA >Ma11_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19495842:19499505:1 gene:Ma11_g14210 transcript:Ma11_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT5G04980) UniProtKB/Swiss-Prot;Acc:A8MR21] MTLRCDTWKRFSLPKIVQTKDKSAANASPSNASEEFHGTPSFSTSSPVLRKSFSETDSVSRFGSLIFSDYSCRSPSAVNTEAFRIFVATWNVGGNTPHMGLNLNDFLPADDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLSLIDEALNGSTDSETDTDSHTPSPYTTSISSQASGMKTPISRDSKMHSGTLFFQKPLLKSISKTYRTEQGRRLRACNCPSKVTRKYYRESCFRCQHAHISDDDSTDEEEDDEPSSSSVAGLPTTPTSNQQRYSLIASKQMVGIFVTVWVRRELVQHLGHLRLSCVGRGIMGYLGNKGCISVSMSLHQTSFCFVCSHLASGEKEGDELRRNSDVSEILKHTQFKKVCRRSGRRIPEKILEHDRIIWLGDLNYRIALSYTETKKLLEENDWDSLFEKDQLKIEREAGRVFKGWKEGKIYFAPTYKYSNNSDTYAGEIVTSKKKRRTPAWCDRILWHGDGIVQLSYIRGESKFSDHRPVCAAFIVEVGVSDDRLKKQGLSTPNMKVGAEERLSSRASYF >Ma11_p14210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19496096:19499505:1 gene:Ma11_g14210 transcript:Ma11_t14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT5G04980) UniProtKB/Swiss-Prot;Acc:A8MR21] MTLRCDTWKRFSLPKIVQTKDKSAANASPSNASEEFHGTPSFSTSSPVLRKSFSETDSVSRFGSLIFSDYSCRSPSAVNTEAFRIFVATWNVGGNTPHMGLNLNDFLPADDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLSLIDEALNGSTDSETDTDSHTPSPYTTSISSQASGMKTPISRDSKMHSGTLFFQKPLLKSISKTYRTEQGRRLRACNCPSKVTRKYYRESCFRCQHAHISDDDSTDEEEDDEPSSSSVAGLPTTPTSNQQRYSLIASKQMVGIFVTVWVRRELVQHLGHLRLSCVGRGIMGYLGNKGCISVSMSLHQTSFCFVCSHLASGEKEGDELRRNSDVSEILKHTQFKKVCRRSGRRIPEKILEHDRIIWLGDLNYRIALSYTETKKLLEENDWDSLFEKDQLKIEREAGRVFKGWKEGKIYFAPTYKYSNNSDTYAGEIVTSKKKRRTPAWCDRILWHGDGIVQLSYIRGESKFSDHRPVCAAFIVEVGVSDDRLKKQGLSTPNMKVGAEERLSSRASYF >Ma11_p14210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19495842:19499505:1 gene:Ma11_g14210 transcript:Ma11_t14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT5G04980) UniProtKB/Swiss-Prot;Acc:A8MR21] MTLRCDTWKRFSLPKIVQTKDKSAANASPSNASEEFHGTPSFSTSSPVLRKSFSDSVSRFGSLIFSDYSCRSPSAVNTEAFRIFVATWNVGGNTPHMGLNLNDFLPADDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLSLIDEALNGSTDSETDTDSHTPSPYTTSISSQASGMKTPISRDSKMHSGTLFFQKPLLKSISKTYRTEQGRRLRACNCPSKVTRKYYRESCFRCQHAHISDDDSTDEEEDDEPSSSSVAGLPTTPTSNQQRYSLIASKQMVGIFVTVWVRRELVQHLGHLRLSCVGRGIMGYLGNKGCISVSMSLHQTSFCFVCSHLASGEKEGDELRRNSDVSEILKHTQFKKVCRRSGRRIPEKILEHDRIIWLGDLNYRIALSYTETKKLLEENDWDSLFEKDQLKIEREAGRVFKGWKEGKIYFAPTYKYSNNSDTYAGEIVTSKKKRRTPAWCDRILWHGDGIVQLSYIRGESKFSDHRPVCAAFIVEVGVSDDRLKKQGLSTPNMKVGAEERLSSRASYF >Ma11_p14210.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19494320:19499505:1 gene:Ma11_g14210 transcript:Ma11_t14210.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT5G04980) UniProtKB/Swiss-Prot;Acc:A8MR21] MTLRCDTWKRFSLPKIVQTKDKSAANASPSNASEEFHGTPSFSTSSPVLRKSFSETDSVSRFGSLIFSDYSCRSPSAVNTEAFRIFVATWNVGGNTPHMGLNLNDFLPADDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLSLIDEALNGSTDSETDTDSHTPSPYTTSISSQASGMKTPISRDSKMHSGTLFFQKPLLKSISKTYRTEQGRRLRACNCPSKVTRKYYRESCFRCQHAHISDDDSTDEEEDDEPSSSSVAGLPTTPTSNQQRYSLIASKQMVGIFVTVWVRRELVQHLGHLRLSCVGRGIMGYLGNKGCISVSMSLHQTSFCFVCSHLASGEKEGDELRRNSDVSEILKHTQFKKVCRRSGRRIPEKILEHDRIIWLGDLNYRIALSYTETKKLLEENDWDSLFEKDQLKIEREAGRVFKGWKEGKIYFAPTYKYSNNSDTYAGEIVTSKKKRRTPAWCDRILWHGDGIVQLSYIRGESKFSDHRPVCAAFIVEVGVSDDRLKKQGLSTPNMKVGAEERLSSRASYF >Ma11_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:403757:404465:1 gene:Ma11_g00570 transcript:Ma11_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGTVNCIDILVAILLPPLGVFLKFGCQVEFWICLLLTLFGYLPGIIYAVYAITK >Ma08_p28880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40690436:40692157:1 gene:Ma08_g28880 transcript:Ma08_t28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWTSCNAGLLLAILLDDFLSFCTFLTSHPLHLAYLLFFFPYLAQLLSFLSPLLLSTVVLLLVLLTISPYLDEAPPAAPPEFVGKTCCIVLSILKDNLHGNGRIDQLDQFASIILSSIDDASPSSQESAAQALFGEFFEDACSDPEAEEKCLASAVGGGGSSLEETPTDVALGISATDGEHQVANSGTGILCGATEAQAKGMPNAREVLGSHREFAEPKRDEDRAEHLTESMTIERLQSYGSIRKEKEWKRTLACKLYEERMTYKLCKERKVAEGGEEMDLLWEAYEANASETDTKKKEKKARRVELEEEEEEEEAEEDEEEEATTGQLCCLQALKLSAGKMNLGMGKRNLMKISKVLKGMRMFHVGSRKSTKA >Ma06_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22364536:22373321:-1 gene:Ma06_g24040 transcript:Ma06_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETLEHDTTQDNVKGTEGDTKQGQGPEEPVSFGLVDNSNGANGDVKEGDHLTDANLPKDAVDEWPAAKKIHTFYFIRYRTIEDPKLKAKIDHADKEITKSTQSRLQITEALKAKRSERSNVISQLKPLTAEDKQYRMIMDEKRKSMEPLQDALGKLRSANIAVREKGVGLCSSEEELNDLIYSLHYRMQHETLTLVEEKQLIKEIKLLEGTREKVIANATEKAKIQDSYGHRDAIQGQVKLINDDIGGIKKEKQAVRMKIKDLEEELKAIDDEISSLQEELDVINKKRNKAYETLIELRKSRDEVNACYFQNRSLLNRAKDLAAKKDITSLEDLMHSEVEQFMSEWSSNKAFREDYEKRILSSLDSRQLSRDGRMRNPDEKPIISEAPATRDVENLPAKVNAKQASSAKEAKEDKLVPPQQDVISNKKVQDTNIKKSPEVESRGKVGDPVGTGDSYTPEKSQKEPPKPTENDVAKLKEIKREEEIAKAKLALERKKKQAEKAAAKAVVRAQKEAEKKLKEKEKRAKKKAGASAPADSTDEADAEAKVSEPEEATVDAPVAEKTKEQKETVRYRNRPRGRDQVPKVILRRKKQQSYWIWAAPAAAVAALLLVSLGYYFIVARKQA >Ma08_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1060902:1063537:1 gene:Ma08_g01140 transcript:Ma08_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGQYHDELIANATYIGTPGKGILAADESTGTIGKRLASINVENVEENRRSLRELLFCAPGALQYLSGVILFEETLYQKTASGKPFVEVLKEGGVLPGIKVDKGTIELAGTNGETTTQGHDDLGKRCKKYYEAGARFAKWRAVLKIGPNEPSQLAIDVNADGLARYAIICQENGLVPIVEPEILVDGPHDIAKCADVTERVLAACYKALNDHHVLLEGSLLKPNMVTPGSESAKVTPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKGKKPWSLSFSFGRALQQSTLKAWAGKEENVQKARAALLTRCKANSEATLGTYKGDAAGGEGVSESLHVKDYKY >Ma08_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6860491:6861217:-1 gene:Ma08_g09480 transcript:Ma08_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDTTTTGFHHVSSSPETSPFSATPSLPATPTTVVIHSPCAACKILRRRCADNCVLAPYFPPTEPLKFTTAHRVFGASNIIKLLQDLPENQRADTVSSMVYEAKARIRDPVYGCTGAVCQLQKQVDELQAQLARAKAELTNLQAQHGNLLALICMEMARTQQDCTPQSVDDALAASPCMFQSDADFLDEISQGLVWDEPHWL >Ma01_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3343261:3356404:1 gene:Ma01_g04870 transcript:Ma01_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVAESAGALVELDVGDSMQSLARLVDSQRELFHSQIDQLQQLVVAQCKLTGVNPLAQEMAAGALSIRIGKRPRDLLNPKAVKYLQSVFSIKDTIGKKETRELSALCGVTVSQVREFFAGQRSRVRKLVRLSREKATRLEASKISNEEHSSSSDQLKPISKEPSGNAADALVIREIKPFPDNTGTLGTVKIDQQDIPNSTGLVKVEEGCRSLSQEKTVPGVDSDDKEFLDNIFNLMRKEDTFSGQVKLLEWVLCIQNTAVLIWFSNNGGISILATWLSQAATEEQTTMLLVIFKVLYHLPLHKALPVHMSAIVPAVNRLRFYRTSDISNRARILLSRWSKVFKKSQALKRPLVSSSNTAEIEALHKKRMGGFLGDELCQSKVDIPEFILSLTGGTEITRTTDPKQTLKLLPASSDSSKKHDRSVSLTKFKERRKVLLVEQPDHKAGGRSAQVVRMVSSNHSRPMSADDIQKAKLRAMFMQHKYGKADPSSSGSKSQKNEDLKASSASQTNNIMSECKSPQDPPLIKEENSITVSTTDNLLNESETLINSNPDSTPKQVSSGMLNCKLIQWKIPPVMQMNVEWSVGAGENSKEVDAQTQRTRREKETLSSRLQDIPHDPKEPWDLEMDFDDSLTPEIPTEQPPDADVEEGPSSSPVKQVDEAPATVSAPVTTTASVPSTSDGAPEPDLELLAVLLKNPDLVFALTSTQGKNLSSEEMVALLDMLKRNGVALTGMLNEVAQPEGKSHPETTPQVQEPPASLPSPTPPSEAARGRWRSEFPVFSRTPVLQPHLPGNRPAAAPPVAAPGLAPSILSMPQVTAAIDSARQIFPSSSLLPTVSSSAPALPPQTPYAMYPMQQSPVPDFGLPNTAVISSMPRHGSFDHGPSSISGIPSLPTLPHTLQAAHPLPKFEASRAPAWPPAPGAAAAVVRRDTTPDRCFPIQNSYGSHPSGPAPSSHLLPGRRRGEDRNGIELEARSPTGGPATTFPELQGGWSYNDGSRRDSGWDKRPEWSRQQAPVSRDRHWSGGGDKRWRDHDHGRRR >Ma07_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27112255:27113136:1 gene:Ma07_g19090 transcript:Ma07_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRLFPSTIIVNIFYLCFPRSSSFGLSEQASYRRGKASDRLGFRIAPSCTLVCLQGGRCSHRPNGRYPERWMFYDLFQCI >Ma10_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4996261:4998914:-1 gene:Ma10_g01650 transcript:Ma10_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAKSRMDDLLSAEVGKHDYDWLLTPPGTPVSSYSDKQTSKVTPRSRSNARSVSTGKASKLSVSQSEKDNSAKPARSSSAMRPSMPNAHSSFTHFSNSRQTALLNTSTASVTSKPSTPGHRSNTKMTPFTPSRSSPSRSSQNSRPSSPTVRPQIGSIAPSTSSTAAAATRTNSRPSTPTRRASAAAAVASANIRSTSPAPRGRAQTQTKPPERAISTGRQRRPGTASTQSSSPLVSRGRLQENTSNARSNHKLITASETAARRATKPALKNTTDIKQSTGGIRGTSPFPQSTRSAAQKGRPGRSSELGGSTCRSVAHHVDAAVSDDDSRNGDISNGYNGSVLGNQDIAVPLKSA >Ma03_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26015745:26031855:-1 gene:Ma03_g20940 transcript:Ma03_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASAGALVPSVSKAEEMGASVAPLAVSSSETTDVAGAPPPAEGATVPDGTRAAAAVKAEAEAEAERDKDLLCPICMGVIKDAFLTACGHSFCYMCIVTHLNNKSDCPCCGNYLTKNHLYPNFLLNKLLKKQCARQIAKTASPVEHLRLALQQGCEMSVKELDGLLSLLSDKKRKMEQQEAEMNMQILLDFLHCLRKKKLEELNEVQTDLQFIKEDINAVEKHRAELYRARERYSVKLRMLLDDPIATKFWSSTADQHNSILVSNARSSLVGTCSRNLQVKSNDVKAQLSHQDYQRKDALSGSETTNSLIQSGRVIARKRRIQAQFKELQECYLQKRRLGASQSHRQEEKDANAKVREGYHAGLDDFQSVLTTFTRYSRLRDIAELRHGDLFHSANIVSSIEFDRDDEFFATAGVSKRIKVFEFSTVVNEPSDVNCPVVELATRSKLSCLSWNKYSKNIIASSDYEGIVTVWDVTTRQSMMEYEEHEKRAWSVDFSRTEPTMLVSGSDDCKVKVWCTKQEASVINIDMKANICCVKYNPGSSVHVAVGSADHHIHYFDLRNTSTPLRIFKGHWKAVSYVKFLSTNELASASTDSTLRLWDVKDTCPVRTFKGHTNEKNFVGLTVNNEYIACGSETNEVFVYHKAISKPAAWHKFGSSDLDDAEDDAGSYFISAVCWKSDSPTMLAANSQGTIKVLVLAA >Ma05_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23889944:23895118:-1 gene:Ma05_g18450 transcript:Ma05_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWWGGQKKNKEPCKKEACDIQACLSKNNFNPERCMKVIGLLQLCCEKCNYDSTHCAPLAGLLKTNTK >Ma05_p18450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23889568:23895118:-1 gene:Ma05_g18450 transcript:Ma05_t18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWWGGQKKNKEPCKKEACDIQACLSKNNFNPERCMKVIGLLQLCCEKCNYDSTHCAPLAGLLKTNTK >Ma06_p30850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32070785:32071547:-1 gene:Ma06_g30850 transcript:Ma06_t30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDKQFSYSDDLLHDRPSINAGKLERKEADVRNP >Ma06_p26270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27978280:27980293:-1 gene:Ma06_g26270 transcript:Ma06_t26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTSPSSPLSFSHSNKSHLFATNKLMEDPPLDPLQDLQRWPTPSQVVEEMRAIGKISIPTALTGLIIYSRAMVSMLFLGHLGELELAAGSLSIGFANITGYSVLSGLAMGMEPICGQAFGAKQRKILGLTLQRTILLLLSTSVPVSFLWLNMRRILLWCGQDEQISSTAQTFIAFAIPDLLFLSFLHPIRIYLRSQNITLPVTYCSFVSVVLHVPLNYLLVVRLKMGIAGVAVAMVWTNLNLFVCLLLFILFSGVYKGSWVSPSTDCLRGWSQLLKLAIPTCVSVCLEWWWYELMIMMCGVLVNPRATVASMGILIQTTSLVYVFPSALSLGVSTRVGNELGANRPARARRATIVSLTVAVVLGLAAMAFTTSMRHQWGRLFTDDAEILELTAVALPIAGLCELGNCPQTTGCGVLRGSARPTTGANINLGSFYLVGTPVAVVMGFVVGMGFPGLWLGLLAAQASCASLMAYAICKTDWMVEVERARVLTTASNSSSSALSSSSYFISTRVDSNATTIDAPAATEDSKQTAAILEEIVCIGTDAGRQAASETDPFISQVE >Ma08_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3639518:3644421:-1 gene:Ma08_g05300 transcript:Ma08_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPLLNLAKVPLPIDPDSKSEFPVVPIHIVTHASQLPPEFIDPPVDRQVVIGFDCEGIDLCRHGALCVMQLALPNAVYLVDAIEGGELLIQACKPALESMNIMKVIHDCKRDSEALHFQYGIKLNNVFDTQIAYSLIEEEEGRKPSLNDHISFVGLLADPRYCGISYLEKEEVRVLLRQDPEFWTYRPMSEMMIRAAADDVRFLLSIYHSMMERLNKKSLWHLALRSSLYCRCFCINDNDYAEWPSLPPLPDDIVAEGYVPEEEILSILNVPPGKMGRVIGRKGASIQSVKESCHAEIITGGAKGPPDKVFIIGPVKQVRKAEAIIRGRMLDL >Ma02_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29087933:29089137:1 gene:Ma02_g24500 transcript:Ma02_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAYGEDEDGPAASSPPPQPPPSHLSRSRLHNFTFPTSSWGSHRTLRCSNLPFGGDPDNAGSVAPAVDQNSRASRRRPLSPPDKSFLLQKQSARFRDSKEGEVERREEAEAERCFSLPAAAEAETAVIAKPWNLRTRRAACNAPSENGEYLYPVLASGSSSLFEAEKSCPAAEMMKRKSSGSENGERQKFSVSLSRDEIEQDIWAIKGRKLLRRPKKRLRIVQRQLDLLFPGLWLSEVTPETYRIDE >Ma07_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31710010:31710995:1 gene:Ma07_g24300 transcript:Ma07_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRRMDRFVILPFSGGCVSQSSVAVCENQPKRAAQTEAAPATSGKPRSSSGVLPFPRPCISTGFQKLVRSLKSLSQHLVFYKEEDEEVEMEIGFPTDVQHVAHIGWDGFNGMSSKTSWDKPPAQFLTLPPFSLKQFELAMTAQAGAPPPPPPPPSHGPGGSWPQ >Ma02_p20540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26474235:26476050:-1 gene:Ma02_g20540 transcript:Ma02_t20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLLIFLFACVASLSASASDGYTDFVYAGCSQPKCTPGSPYQFNVESLLASLANAAAYSSYANFTSTAAASSSPAYGLFQCRGDLPFSDCHSCVRSALSQLSSLCPSAAGAAIQFRGCFLRYGNDSFLGKADTSVLYKKCGPPVGGGYNSDMLGMRDAALADLTSDSARGSYRIGAAGYVQAVAQCVGDQSAKHCEDCLAAAVAELRAACGFAVAGDAYLGKCFARYWSNGVYTSKTDHDDEAGKTLAIIIGLIAGVALIIVLLSFLRKAGNYGKY >Ma02_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26474235:26476050:-1 gene:Ma02_g20540 transcript:Ma02_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLLIFLFACVASLSASASDGYTDFVYAGCSQPKCTPGSPYQFNVESLLASLANAAAYSSYANFTSTAAASSSPAYGLFQCRGDLPFSDCHSCVRSALSQLSSLCPSAAGAAIQFRGCFLRYGNDSFLGKADTSVLYKKCGPPVGGGYNSDMLGMRDAALADLTSDSARGSYRIGAAGYVQAVAQCVGDQSAKHCEDCLAAAVAELRAACGFAVAGDAYLDDEAGKTLAIIIGLIAGVALIIVLLSFLRKAGNYGKY >Ma05_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8198734:8199808:-1 gene:Ma05_g11360 transcript:Ma05_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDMEEMKRRMAGIGFRRSISFSAVPHPFSQPCHRSARGAAELSFRARSVSLPARLHPLADHLRPELCALRSWCPSPSPASLSDGLVRVQLLLAALPDLLGLPQAQDPLRRRRRYSRLADRLLDDLLRLADAHASFRSAALDLKHRLSATRAALRRRNDGLRLSSCLRSQRRVEKELFKLASLIRVVGRSPPLFTGSADEEEAELAKVMKEVITVTAATSAAVFNGVAALSASTTMATPALPPTCTWVAAVMRWRERARLKLLKKGGGTEKSKEVEVDEEDEEEEMGALERLVELEDCMAEMEKGSELVFRTLVNTRVSLLNILTPGF >Ma06_p26880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28862074:28864590:1 gene:Ma06_g26880 transcript:Ma06_t26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLKNAGATYQRTVNKMFAHQIGRNMEVYVDDMIVKSQEAETHLADLAEAFATLRKFGMRLNPTKCAFGVTSGKFLGFIVHERGIDTNPEKVQAIINMQSPRTIKDLQQLNGRLVALSRFLARSGDRCLPFFKALKNPKNFQWTSECEEAFKQMKRHLASLPRLTSASPGEKLGLYLAASPRAVSSVLIKESSGQQLLIYYISHVLNGPEERYPPIEKLALALVLSARNLRPYFQAHPVEVITGQPLRQVLTKFDVAGQLLKWAVELDEHDIRYVPRTTIKAQAMADFIAEFTQMEDGDPKQTPEAWTLHVDGSANSRGAGVGLVLVAPDGRSFERSLHFGFKATNNEAKYEALLAGLGLALEMQAAAIHVFTDSQLVAEQLSGGYEARDPTMTRYLARVRELTAKFQYFTLSNILRKENERADALAKLASKPTSEARPEVEELPARAIEVATMASGGTPTTWVQELLRFKRDGTLPLDEAAARRLRRTHTWYTEESGRLYKRSFTYPLLRCLEPDEAQTVLAETHEGVCGELVGGRTFAHKILRQGYYWPNMCRDAKAYWGLDLLGPFPPASGQRRYIIVGVDYFTKWVEAEPLATITEYQVEKFVWKNLVTRFGLPKAIVTDNGPQFADRRFREFCAGHGIQLKYSSVAHPHTNGLVEVTNRSILDGLKRRVSAARSVWTDELPSVLWSLRTTPKTATGESPYSLTFGTEAVLPPEMAVATLRTRSYDQEVSNEGLRAGLDMLEERRADAHLKALSYQRAVARVYNKKVRPRPIKLGDLVLRRVEVTDPPLARGKDLIGSPR >Ma06_p33660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34207924:34215888:1 gene:Ma06_g33660 transcript:Ma06_t33660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNEATTPSRANKGSSPVKEPLANPPYPEWAAMQAYFGAGMMPPPYFSTAVAPSHAPHPYMWGPQPLVPPFGSPYAAIYPHGGVYTHPSVPLGSHTHCQGISPSPATSEAVVLATPLSVEMPAKSSKHKDKSLVKKLKGLDGLALSGGNGIIEDRDQADGNSGYNSTEGSSDGSNGDNAEGGTKDQRRQRSEDGPSSDKAKVSERVKPGHTEETGTSSKAAAGVTGTPVITAKPVGTVLSSVPAPGMDIRVSSANKVKGIGTPVPLATGAVVPSRNRATPELWMKDERELKRERRKQSNRESARRSRLRKQAETEELALKVESLSADNTNLRSEISRLKENSDKLRLENSALTDKLKNVQSSHFGKMKSVRIPPLVAENFLSMIENQNSARTAPQEGDTSDQSGGKLHQLLNTSPRRDAVAAS >Ma06_p33660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34207924:34215888:1 gene:Ma06_g33660 transcript:Ma06_t33660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNEATTPSRANKGSSPVKLQEPLANPPYPEWAAMQAYFGAGMMPPPYFSTAVAPSHAPHPYMWGPQPLVPPFGSPYAAIYPHGGVYTHPSVPLGSHTHCQGISPSPATSEAVVLATPLSVEMPAKSSKHKDKSLVKKLKGLDGLALSGGNGIIEDRDQADGNSGYNSTEGSSDGSNGDNAEGGTKDQRRQRSEDGPSSDKAKVSERVKPGHTEETGTSSKAAAGVTGTPVITAKPVGTVLSSVPAPGMDIRVSSANKVKGIGTPVPLATGAVVPSRNRATPELWMKDERELKRERRKQSNRESARRSRLRKQAETEELALKVESLSADNTNLRSEISRLKENSDKLRLENSALTDKLKNVQSSHFGKMKSVRIPPLVAENFLSMIENQNSARTAPQEGDTSDQSGGKLHQLLNTSPRRDAVAAS >Ma06_p33660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34207924:34215888:1 gene:Ma06_g33660 transcript:Ma06_t33660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNEATTPSRANKGSSPVKLQEPLANPPYPEWAAMQAYFGAGMMPPPYFSTAVAPSHAPHPYMWGPQPLVPPFGSPYAAIYPHGGVYTHPSVPLGSHTHCQGISPSPATSEAVVLATPLSVEMPAKSSKHKDKSLVKKLKGLDGLALSGGNGIIEDRDQADGNSGYNSTEGSSDGSNGDNAEGGTKDQRRQRSEDGPSSDKAKVSERVKPGHTEETGTSSKAAAGVTGTPVITAKPVGTVLSSVPAPGMDIRVSSANKVKGIGTPVPLATGAVVPSRNRATPELWMKDERELKRERRKQSNRESARRSRLRKQAETEELALKVESLSADNTNLRSEISRLKENSDKLRLENSALTDKLKNVQSSHFGKMKSVRIPPLVAENFLSMIENQNSARTAPQEGDTSDQSGGKLHQLLNTSPRRDAVAAS >Ma06_p33660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34207924:34216661:1 gene:Ma06_g33660 transcript:Ma06_t33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNEATTPSRANKGSSPVKLQEPLANPPYPEWAAMQAYFGAGMMPPPYFSTAVAPSHAPHPYMWGPQPLVPPFGSPYAAIYPHGGVYTHPSVPLGSHTHCQGISPSPATSEAVVLATPLSVEMPAKSSKHKDKSLVKKLKGLDGLALSGGNGIIEDRDQADGNSGYNSTEGSSDGSNGDNAEGGTKDQRRQRSEDGPSSDKAKVSERVKPGHTEETGTSSKAAAGVTGTPVITAKPVGTVLSSVPAPGMDIRVSSANKVKGIGTPVPLATGAVVPSRNRATPELWMKDERELKRERRKQSNRESARRSRLRKQAETEELALKVESLSADNTNLRSEISRLKENSDKLRLENSALT >Ma05_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34811855:34819087:1 gene:Ma05_g22990 transcript:Ma05_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTSYRRQSQSRRDNVGCMWGLIAQKFLSDKKHGNIRNAGSGYSKIKLDSLRNFQNRHKGSLVDEIREDQVDLGKMSVKVHMEEEMFQRPQKKITNEEAQRVTSKLQNEFHPEKNSKRSEKLKVISDAQMNNLADSPGFVGHQSDSMDLTEKSLLNFDLASFLIELYSYTCQEIHAESKKKFYFLPASGSIGQKIDSHLDELDDHLDQKISFFQKTLADVAQAIISQKSMVENQLDGRCIIHSKEFINALYTLNSDKELFLKLLEDPESLLLKHIQCLHITQVGKVSKLESDKCLENVQSSGEQDHSKGKCKESNRNQLFHKQKKYSFSWKKVKSMGTSNESPSSNGLNRIVDLKPYAVRNQNCSVISTSTSTQSHDVPMHDKDRFHFSLQEIKRRLRRIIGESKKSRHIISMDGILHKIPVATCKMMNSETTISGSASSSSFDITKLSGCYSIDKRKDEKNNSEQCKVKINSCVSSSRSQSLIYEEAKKHLAEMLDTKVDSLPKVQVLESLERVLSLSRFNELCPISNPQRDNELAMPPEETGDSSLQHLKQENLIDTNMQDVSYIGEDLNNKGSREILGAAATKSIANIKQLDVPLETNEPIVVSKICEEEEESYTLQEMGSSEERSLTLPSSFVGENSSDSESTTEKPDQPNSVSILDTFLSEDITSPESSKLEHYVMQKQNRRVSYEDSDNYLRIITLPDVKDRDRLHVNQAMYDYIRVVLGASGLMNELLERWDVTDHLLEPSLFDGVEIFSFFPQDNSKLLFDCINEVLVEIQEKFSSYTPRLSFIKRNFLPAPLGESLIQEVYKGVDRHLHLQFQNTLDQIINKDLEHRSWMNLQSETKNMTCEICDSILDDLIEETVYDMWF >Ma06_p38040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36926591:36934142:1 gene:Ma06_g38040 transcript:Ma06_t38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 17, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G23050) UniProtKB/Swiss-Prot;Acc:F4KBF3] MAYRALEDITIEEIGAAGVPPDEAERLHACLRLILLESGSSGPEAWDAISKRLLSPDLPFPLHQMMYYGCYVGFDSEPPPAWIPNEECAASTNTGRLLQRRGKELLGSRYKDPISSFTDFQEFSVENPEAYWKMIFEEMGISFSVPPSCILRDHDSYPGGQWMPGAHLNAAGLCLSLNDKRGLDDVVILWRDEGDDSLPVHTMTLKELRTQVWLVANALDTLSLPKGSAIAIDMQMTVTAVIIYLAIILAGYVVVSIADSFAPNEIATRLKIANAKAIFTQDSIIRGEKELPLYSKVVEALAPLAIIIPTQGSTIIKGLRANDISWHDFLGRVEYTKDIPYAAVEQPIEAFTNILFSSGTTGEPKAIPWRHATPLKAAADAWAHMDIRKGDVVAWPTNLGWMMGPWLVYASLINGASMALYNGIPLGSGFAKFVQDARVTMLGVVPSIVRAWKNTNCTMGLNWSAIRCFSSTGEASSVDDYLWLMGRAYYKPVIEYCGGTEIGGGFVTGSLLQPQALAAFSTPAMGCKLFILDSDGNPLPETAAGIGELALNPTMFGASVTLLNADHYDVYFKGMPVLNGKLLRRHGDEFERTASGYYKAHGRTDDTMNLGGIKVSSVEIERICNSVSSSILETAAIGVPPSTGGPEQLVMAVIFKDQSFSEENLEKLRLAFNSALQKRLNPLFKVSSVVPVPSLPRTASNKVMRRVLRQQFSKRSKF >Ma05_p20310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31950778:31954637:1 gene:Ma05_g20310 transcript:Ma05_t20310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 5 [Source:Projected from Arabidopsis thaliana (AT4G17770) UniProtKB/Swiss-Prot;Acc:O23617] MVSRSYSNLLDLASGEFPAFGRTGKKLPRVMTVAGIISDLDEENTNSMTSDGPSSVSQDRMIIVGNQLPIRAHRRPDGKGWNFSWDEDSLLLQLKDGLGEDVEVVYIGCLREEIDPAEQDDVSQTLLETFRCVPTFLAPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRVLWQAYVSVNKIFADKIMEVINPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNADLIGFHTFDYARHFLSCCGRMLGLAYESKRGYIGLEYYGRTVSIKILPVGIHTGQLQSVLRLPETEARVSELRDQLKDRIVLLGVDDMDIFKGISLKLLATEQLLVQHPEWREKVVLVQIANPARGRGKDVQDVQSEMHTTAERINERFGRPGYKPVILIDHPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYVICRQGTERLDEILQLGPSVPKRSMLVVSEFIGCSPSLSGAIRVNPWNIDSVAEAMDTALVIQESEKQLRHEKHYKYVITHDVGYWANSFLQDLQRACRDHTMRRCWGIGFGLGFRVIALDYTFRKLSVDHIVSAYRRTKSRAILLDYDGTIMPQTSINKTPTPEAISTLKSLCDDPRNVVFLVSGRDKITLSEWFSSCDKLVIAAEHGYFLREKSDAEWETCVSVADFDWKQMAEPIMQLYTEATDGSSIETRESALVWHYQYADPDFGSCQAKELLDHLESVLTNEPVSVKSGQHIVEVKPQGVSKGVVAERLLSTASQKGVLPDFVLCIGDDRSDEDMFEVIMSATAGPNLSPVAEVFACTVGQKPSKAKYFLEDTTEIVRMLQGLATASDQMARAAASQTPPQ >Ma05_p20310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31951203:31954637:1 gene:Ma05_g20310 transcript:Ma05_t20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 5 [Source:Projected from Arabidopsis thaliana (AT4G17770) UniProtKB/Swiss-Prot;Acc:O23617] MVSRSYSNLLDLASGEFPAFGRTGKKLPRVMTVAGIISDLDEENTNSMTSDGPSSVSQDRMIIVGNQLPIRAHRRPDGKGWNFSWDEDSLLLQLKDGLGEDVEVVYIGCLREEIDPAEQDDVSQTLLETFRCVPTFLAPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRVLWQAYVSVNKIFADKIMEVINPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNADLIGFHTFDYARHFLSCCGRMLGLAYESKRGYIGLEYYGRTVSIKILPVGIHTGQLQSVLRLPETEARVSELRDQLKDRIVLLGVDDMDIFKGISLKLLATEQLLVQHPEWREKVVLVQIANPARGRGKDVQDVQSEMHTTAERINERFGRPGYKPVILIDHPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYVICRQGTERLDEILQLGPSVPKRSMLVVSEFIGCSPSLSGAIRVNPWNIDSVAEAMDTALVIQESEKQLRHEKHYKYVITHDVGYWANSFLQDLQRACRDHTMRRCWGIGFGLGFRVIALDYTFRKLSVDHIVSAYRRTKSRAILLDYDGTIMPQTSINKTPTPEAISTLKSLCDDPRNVVFLVSGRDKITLSEWFSSCDKLVIAAEHGYFLREKSDAEWETCVSVADFDWKQMAEPIMQLYTEATDGSSIETRESALVWHYQYADPDFGSCQAKELLDHLESVLTNEPVSVKSGQHIVEVKPQGVSKGVVAERLLSTASQKGVLPDFVLCIGDDRSDEDMFEVIMSATAGPNLSPVAEVFACTVGQKPSKAKYFLEDTTEIVRMLQGLATASDQMARAAASQTPPQ >Ma05_p20310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31951052:31954637:1 gene:Ma05_g20310 transcript:Ma05_t20310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 5 [Source:Projected from Arabidopsis thaliana (AT4G17770) UniProtKB/Swiss-Prot;Acc:O23617] MVSRSYSNLLDLASGEFPAFGRTGKKLPRVMTVAGIISDLDEENTNSMTSDGPSSVSQDRMIIVGNQLPIRAHRRPDGKGWNFSWDEDSLLLQLKDGLGEDVEVVYIGCLREEIDPAEQDDVSQTLLETFRCVPTFLAPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRVLWQAYVSVNKIFADKIMEVINPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNADLIGFHTFDYARHFLSCCGRMLGLAYESKRGYIGLEYYGRTVSIKILPVGIHTGQLQSVLRLPETEARVSELRDQLKDRIVLLGVDDMDIFKGISLKLLATEQLLVQHPEWREKVVLVQIANPARGRGKDVQDVQSEMHTTAERINERFGRPGYKPVILIDHPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYVICRQGTERLDEILQLGPSVPKRSMLVVSEFIGCSPSLSGAIRVNPWNIDSVAEAMDTALVIQESEKQLRHEKHYKYVITHDVGYWANSFLQDLQRACRDHTMRRCWGIGFGLGFRVIALDYTFRKLSVDHIVSAYRRTKSRAILLDYDGTIMPQTSINKTPTPEAISTLKSLCDDPRNVVFLVSGRDKITLSEWFSSCDKLVIAAEHGYFLREKSDAEWETCVSVADFDWKQMAEPIMQLYTEATDGSSIETRESALVWHYQYADPDFGSCQAKELLDHLESVLTNEPVSVKSGQHIVEVKPQGVSKGVVAERLLSTASQKGVLPDFVLCIGDDRSDEDMFEVIMSATAGPNLSPVAEVFACTVGQKPSKAKYFLEDTTEIVRMLQGLATASDQMARAAASQTPPQ >Ma05_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31950785:31954637:1 gene:Ma05_g20310 transcript:Ma05_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 5 [Source:Projected from Arabidopsis thaliana (AT4G17770) UniProtKB/Swiss-Prot;Acc:O23617] MVSRSYSNLLDLASGEFPAFGRTGKKLPRVMTVAGIISDLDEENTNSMTSDGPSSVSQDRMIIVGNQLPIRAHRRPDGKGWNFSWDEDSLLLQLKDGLGEDVEVVYIGCLREEIDPAEQDDVSQTLLETFRCVPTFLAPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRVLWQAYVSVNKIFADKIMEVINPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNADLIGFHTFDYARHFLSCCGRMLGLAYESKRGYIGLEYYGRTVSIKILPVGIHTGQLQSVLRLPETEARVSELRDQLKDRIVLLGVDDMDIFKGISLKLLATEQLLVQHPEWREKVVLVQIANPARGRGKDVQDVQSEMHTTAERINERFGRPGYKPVILIDHPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYVICRQGTERLDEILQLGPSVPKRSMLVVSEFIGCSPSLSGAIRVNPWNIDSVAEAMDTALVIQESEKQLRHEKHYKYVITHDVGYWANSFLQDLQRACRDHTMRRCWGIGFGLGFRVIALDYTFRKLSVDHIVSAYRRTKSRAILLDYDGTIMPQTSINKTPTPEAISTLKSLCDDPRNVVFLVSGRDKITLSEWFSSCDKLVIAAEHGYFLREKSDAEWETCVSVADFDWKQMAEPIMQLYTEATDGSSIETRESALVWHYQYADPDFGSCQAKELLDHLESVLTNEPVSVKSGQHIVEVKPQGVSKGVVAERLLSTASQKGVLPDFVLCIGDDRSDEDMFEVIMSATAGPNLSPVAEVFACTVGQKPSKAKYFLEDTTEIVRMLQGLATASDQMARAAASQTPPQ >Ma11_p08150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6500239:6500733:-1 gene:Ma11_g08150 transcript:Ma11_t08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLKKFYRSFTTILR >Ma02_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14477864:14478279:1 gene:Ma02_g03120 transcript:Ma02_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKASLVVAASLAAVEALKDQAGLCRWNYMLRSLHQRAKNSGGGTGQFPQAKLTIPSVEPWRRGGVGAVERAKRTEQSMGKVMYLECWGPK >Ma04_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4859967:4861338:1 gene:Ma04_g06660 transcript:Ma04_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATATATATAVVNEPEAAVVNEPEAAEVVAVGRSKRNRIQVSTNKKPLFFYVNLAKRYMQQHNEIELSALGMAIGTVVTVAEILKNNGLATEKKIQTSTVGTKDEAKGRLVRKAKIEILLGKTENFNHLVSTNKVVRQATEAEE >Ma10_p27860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34932806:34933988:-1 gene:Ma10_g27860 transcript:Ma10_t27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKQKKIPQRGLGVAQLEKLRLEEQQKKGASSSSDPSTQGFGFPPPPPPPPPPQPQQQQQQPYFPLAADEGVMRPRVALVVPVNSYPVISMPPPRPVAQDTSMPVLWNAGDPNACDGEAFAKSFGFHVPPGSDLSNTSCRSRTPMTLDERPYYSFIPIGPSRSETATSERRGEAADGIDLNLKL >Ma06_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5106451:5116665:1 gene:Ma06_g07170 transcript:Ma06_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT2G42810) UniProtKB/Swiss-Prot;Acc:Q84XU2] MATIVESANSNIDRAEEIKLSANEAFKANKFSQAIDLYSQAIELNGSNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVQKLRFEEAIAVHESEKRSIADSIDFHTIEVESQYIGARIEGEVVTLEFVKKMMDEFKNQRRLHKRYAYQIILQAREMLQAMPSLVDISVPNGHHFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMSPTAMYLSRGNHESKSMNKIYGFEGEVRSKLGETFVELFAEVFCCLPLAHVINDKIFVVHGGLFSVDGVKLSDIQAIDRFCEPPEEGLMCELLWSDPQPQRGRGPSKRGVGLSFGEDVTKRFLQENNLDLVVRSHEVKDEGYEIEHNGKLITVFSAPNYCDQMGNKGAFIRFTAPDLKPDIVSFSAVPHPDVKPMAYASNFLQMFS >Ma08_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8689501:8689841:1 gene:Ma08_g11690 transcript:Ma08_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSNQRLLAPKEGDSSSYWSLWIHKLVHLLLSAAS >Ma10_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22534587:22537612:1 gene:Ma10_g08240 transcript:Ma10_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDTFVSRYVNDVAAFVEGEICKVLGVKKEIKALQETLETIRCFLQDAEQKSRSGDPVMELWVRKLKEVMYDADDVIDLCVMEGGKPLEVRASASASGVVSLPFSFVSSCFRCTKYRHEIAGQIEAINDRLKRIAADNSILGNLQPASQQLHPKKPPPPRETSPLEVEEDIVGEQIEEAADDLINRMLENTEQKCRVFGIVGMGGIGKTTLASKIYNDGRIKANFPIQMWLYISKDYTEIKLLRELIRCAGDETKAESFEGESRAELEPKLASLLTKNLFLVLDDVWSPNVWTDFLRKPLSKGAGSSTILVTTRIETVLSGMKASYMHQAEKMDDNSGWLLLGKTVFEAGEEDDMRRLEEVGRKIVRKCDGLPLAIKAIAGVLISKDRSTAEWEQVLENDAWSRNRQIDEEVPRALHLSYEDLPSHLKQCFLYCSFFTWKVFHYNDIIRFWVAEGLIVEAEGRLMEDVAEEYYWELVSRNLLQVDPSYINRIMFCMHDHLRALATYLMKDEGFSITVGQRLDIKANAKIRRLLIFNMGIKLVLSDHIIEKKCLRTLVIRDSHSTITIEDNVLEGLPNLRVLDLCNTSVERIPNCIGDLLHLRYLDLDITNIHEIPESIGCLVNLQTLNISGCKYLYKLPMTITRLYNLRSLVVEDTPLTHVPKGIGKLININILQGFVIGHDNPTNEVDETGCGLEELQPLSKLRYLSIYRLERAVTAASALAEKRSLRELILSWMPPEDGEDGDATDSGEDRRATTWRKEEQIQMGAEKICNELSPPSSLRTLIIVRFPGRQFPNWMMSSSLGESLPNLQYLYLSVFPSCAELPPLGMLPLLKYLKIIGAKAVMAIGPEFLGHSFPGTCAFPKLEYLEINDMPNWEEWSLCGVEEGGHRTHLKLFPNLKECCLVDCPKLRALPEGLSHATNLKELHIWGAHNLREVTKLRLS >Ma07_p26610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33339677:33341082:-1 gene:Ma07_g26610 transcript:Ma07_t26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSHQSPHAKLKTPPRPLFSCGIFRNCTQSALSPTTPPPSAATFLASASPPPPSATPPPPSASPPPPPPPQPSAAPDPPRPTEPERPPPSTSSSSSSSSSTSQSFTQWRFPLQHHHQQQPTPEPERGPQALDPSHAASFNLAEAFRAAELQFASGASLPALRLLERSLAPDSSPTPIPCPPPVMAGVVAALREPASARPAAKVLLALLLAEHNRRAAVEAGAASAALEAVAASGPAGATAERALAALELLCTVADGAAGVRAHAAAAAALAGAVEGMAGRGRECAIGVMAAIYGGAAAGEAPPEVGRAVVAAMQGECSARGRRKGAQLLRALQESGRLDLPTDTAIGRP >Ma04_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11595266:11595873:1 gene:Ma04_g15270 transcript:Ma04_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIILQGRFAGRKAVIVRAFDDGTRERPYGHCLVAGIAKYPKKVIRKDSAKKTAKKSRVKSFLKLVNYSHIMPTRYTLDVDLKDVVTIDSLQSRDKKVSACKETKSRLEERFKTGKNRWFFTKLRF >Ma09_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2581328:2584740:1 gene:Ma09_g03920 transcript:Ma09_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLTRGAIAAISEGRVKDGVKPVVQVWEVKLVNTQQSTTERYRMLLSDGAHMQQAMLATQMNDLVKLGALQKHSIVRLNEFICNVIQNRRIIIIINLEVLVSNSNVIGEPKLYDNGSASQNRVPSPVQSTSADQPVIAAANPQNYGGIHSSTPNIGQNVTKGPSLNPATEAVTTNSSFGGSYGNGVMSTRNTNSVPSEHPKSEQGVGRISESHPNSGYQNQRLSSSASVGAFRPPASSYGHPVQPAYQQSPPMYTNRGPIAKNEAPARIIPIAALNPYQGRWTIKARVTTKGELRRYSNPRGEGKVFSFDLLDSDGGEIRVTCFNMVADQFYDQIEVGKVYLISKGSLKPARKSFNHLNNEYEIFLESQSVIQPCSEEDNTIPRQQFNFRPVNEVEGLENNSMVDVIGIVVSINPSASIMRKNGMETNKQTLQLKDMSGRSVEVTFWGNFCSIEGQQLQRLCDSGVYPVLAIKAGRVSDFSGKSIGTISSSQLFINPDFPEAHRLKDWYNREGKNMSALSISRDTTTMSRTDVVRKTVSQIKDEGLGRSEKPDWITVKATITFIKVDNFCYTACPLMVGDRLCNKKVNNNGDGTWHCDRCDQSFPECEYRYLLQFQIQDHTGMTWVTAFQEGGEEILGVTAKELYSLKYQEQDDLKFAEIIRRVLFHQHLFKLKVKEETFSDEQRVKSTVIKAEKVNPSSESIYLLGLIEKLLTEDPNVVSGMHGTFASTSGMSSVAYGTVESNNSVHSVTNNFGSNNSQLGKHENEYGSNGRPFFSKNEVQAFCNSCGSTSHTFHNCPRGVNRQGQSIGGGFSNSGSNVCFKCQQPGHWASECPGLGVATSAYGIGGASGRFNRQHSGF >Ma01_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1629598:1630695:-1 gene:Ma01_g02420 transcript:Ma01_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIVACVCGNRRGLSKYYQGKSKTFTSLSSVRCLEDLAKPERPQRKKMKPCKSYGWGLDSQRSLSPKESSRTITKKASRGPLSSLGGRKHSFVSGGRPPVAPQRSNNFSSQTLLFA >Ma03_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15110554:15111021:-1 gene:Ma03_g15320 transcript:Ma03_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESASAANQPCHTRSISLPSRSHSAALRVEEELHKLRSSMASPSSTPEKMCDGLRRLEGVYESVNQQILIHPLQRRWAEEETDGCIRLLDVCSAVKDRLTADKAAKVKQVVGCLQGVDQEEGSLDCICCKDVDGERARAGAKSTAEVGSQHRGV >Ma06_p29910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31276090:31277779:-1 gene:Ma06_g29910 transcript:Ma06_t29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFLPSLLLLLLLLPALISAIYPYDGSHALRRMTLRHPHRLPSRLASQSAHRSAHRRSPFPKRYVLAETKTTPALAPSNSSTNRSSSEHHHSHSHKQSARNWIVGFITGSLAGIVSGAVLSVLFRLVVNCIRGRYRNPGGPSIFSPKQIKRAEDLAFLEKDDGLAGLEVIGRGGCGEVYKAQLPPDPSKPDRPAMVVAIKKIMKRTPDSAEPTSDEESRLLDKWMRQIRSEIQTVGHIRHRNLLPLLAHVTRPDCHLLIYEFMKNGSLDAVLNSARDGERDLDWLARYRIALGIASGLEYLHIHHNPQIIHRDLKPANILLDDGMEARIADFGLAKEMPDAHTHITASKVAGTLGYISPEYGQTLRFTAKCDIYSFGVILAVLVVGKMPSDPFFHDTDEISLVRWLRKVMSSANPTAAIDPKLAGNEYEEQMLLVLRIAIFCTVDDPKERPSSKEVRSMLAQIKH >Ma03_p31710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33818679:33825268:1 gene:Ma03_g31710 transcript:Ma03_t31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGRDVPPGPANAEASPAAQPPAPETLAEAPRRRASGAKRKAHTLSSFAGTSFSAPPKRQAKERNLLHHVFPVHNGPCTRARQSPHKVAAAATHKPTDHVAVPAWATETKAKDASADGGQIKAEEEEVSEEPLVDVEFEAIRSRGASVHAVPTPAGWFSWNIIHPVEKHMLPSFFDGKSENWTSEVYMEIRNSIMKKFHSNPQKQVELKDFSELSVGDANARKEVLEFLDHWGLINFHPFPPSIPEASKSDADDTVKTSSLVDKLYQFETIQSFLRIKEEPLVPAAPPCLLPESALTDDLVRPVGPSVEYHCNSCSADCSRKRYHCQKQADFDLCIDCYNEGKFGSGMLPADFILMESAEVPGLSGGSWTDQETLLLLEALELFGENWNEIAEHVATKTKAQCILHFLQMPIEDSFLEGDDDDNDNNLDSKNQTSSNKESTATNTSELMESDKKEAKEDEERSPADALEAETKKFESSENIDERITSKTDPLVNKSTDDKHIFQENGASFAIDALKAAFQAVGYFPEQGLGSFAEAGNPVMALAAFLSGVVESDSLITSCRSSLKAISEDSPGIQLATRHCFVLEDPPTDSKDPSLCVSPDIETSNAGIHKDESKMSILDTTDKSEEQNKIAASTENDGNSSSLLQDSSPKETDVEEVNDATPKKAVLATVQESVDQSLSGDQCMASNAKGVTGASLPVEPMPNVMKETEDLAFQGEVTKSKKAKEVSCPNSVDQKSNSMRSSDDLASTDRVQQHADSTKAVDKIRTSVISEEQVRVPTGGSIDEIKDKAVEGERKESCNNDEKIFNPTAVDDDLKIDRLKRAAVTALSAAAVKAKLLAKLEEDEIRKLVSLIIEKQLHKLEVKLAFLTDIESVVFRMREQTEKARHRLMLERSQIIAARLGAAPASLHRANPSSLPINRLAMGYSATGLKPLNIASRNPPPVRRP >Ma03_p09090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6706171:6709921:-1 gene:Ma03_g09090 transcript:Ma03_t09090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGSLDYWRKFFRSANSDIFEVIEHAVLVAASDYPEEFRSRRDRMVEKLFTVLLPRCFGCDRVAEGEEGNASVKRDGEKESKVDSNNVGPEDLNRIVSNYSFDEAEALTEEMEEEGQIVGEVLRIKEIFANHHDESESTLFESLRRLQLMELSVEVLKATEIGKAVNGLRKHNSKQIRHLVRTLIDGWKVLVEEWVRATAAIAVTDNSPDNENPPIEDEEGLPSPPLDEGALFATQTTSIQLSEFFDGMDDDGNFRTNGEFEKQWKNGRMLKVTDEPERKQQPVIPKEKGEARRQELVIKPAKPQEHLVREAKHEIIVNKQSKPVMSGPGRQLKLASDQKAHSEMKTTQHQDNAGVQRKPSVVSLDKTRNSEEALIQAKLEVAKRKLHEGYQLAENTKKQRTIQVMELHDLPKQAHNRHPILKSRNQSRSWASGRH >Ma03_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6706171:6709802:-1 gene:Ma03_g09090 transcript:Ma03_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGSLDYWRKFFRSANSDIFEVIEHAVLVAASDYPEEFRSRRDRMVEKLFTVLLPRCFGCDRVAEGEEGNASVKRDGEKESKVDSNNVGPEDLNRIVSNYSFDEAEALTEEMEEEGQIVGEVLRIKEIFANHHDESESTLFESLRRLQLMELSVEVLKATEIGKAVNGLRKHNSKQIRHLVRTLIDGWKVLVEEWVRATAAIAVTDNSPDNENPPIEDEEGLPSPPLDEGALFATQTTSIQLSEFFDGMDDDGNFRTNGEFEKQWKNGRMLKVTDEPERKQQPVIPKEKGEARRQELVIKPAKPQEHLVREAKHEIIVNKQSKPVMSGPGRQLKLASDQKAHSEMKTTQHQDNAGVQRKPSVVSLDKTRNSEEALIQAKLEVAKRKLHEGYQLAENTKKQRTIQVMELHDLPKQAHNRHPILKSRNQSRSWASGRH >Ma03_p09090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6706171:6709924:-1 gene:Ma03_g09090 transcript:Ma03_t09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGSLDYWRKFFRSANSDIFEVIEHAVLVAASDYPEEFRSRRDRMVEKLFTVLLPRCFGCDRVAEGEEGNASVKRDGEKESKVDSNNVGPEDLNRIVSNYSFDEAEALTEEMEEEGQIVGEVLRIKEIFANHHDESESTLFESLRRLQLMELSVEVLKATEIGKAVNGLRKHNSKQIRHLVRTLIDGWKVLVEEWVRATAAIAVTDNSPDNENPPIEDEEGLPSPPLDEGALFATQTTSIQLSEFFDGMDDDGNFRTNGEFEKQWKNGRMLKVTDEPERKQQPVIPKEKGEARRQELVIKPAKPQEHLVREAKHEIIVNKQSKPVMSGPGRQLKLASDQKAHSEMKTTQHQDNAGVQRKPSVVSLDKTRNSEEALIQAKLEVAKRKLHEGYQLAENTKKQRTIQVMELHDLPKQAHNRHPILKSRNQSRSWASGRH >Ma05_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37017702:37021222:1 gene:Ma05_g24880 transcript:Ma05_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDFNTTASFSSSASVATANFTLSPFTLTPLPHLADHLTDVPALPTPIDRSPFYHPSPGFYIAPSDIILRHILFDLSSSDSTPSSGLLAYHRAGPRQTIRFDPAAVRAAIVTCGGLCPGLNTVIRELVVGLWEIYGVRRIFGVPSGYRGFYSAEPVPLDPKMVDGWHKRGGTVLTTSRGGFNLEKIVDGIEKYGFNQVYCIGGDGTMRGAVKIFEEIQRRKLYVSLTGIPKTVDNDIGIIDRSFGFQTAVEMAQQAINAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPENDFYLEGKGGLFEFLDQRLKQNGHAVVVVAEGAGQDIIPRTDAQKEEKDESGNPVFLDVGAWLKSELKNWWEHEHPGELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGYTGFVSGPINGNYGYIPIQEIAVSKNLVDTKDHKWAWVRSVTTQPDFLKI >Ma03_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9673221:9679542:-1 gene:Ma03_g12550 transcript:Ma03_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQTSRGARSTLLSDKTVRRAEAGVPKMVEEVAPFRSTGFTDPGWEHGVAQDEKRKKVKCNYCGKIVSGGIYRLKQHLARISGEVTYCKKAPEEVFMKMKENLEGYRSNRKRHLEDEEQSFDLHSNHDDEEEEELDYKQKGREARIARNLVTSITPLRSLGYIDPGWEHGVAQDEKKKKVKCNYCEKIVSGGINRFKQHLARIPGEVASCKMAPEEVYLKMKENMKWHRTGRRRRPETKEVAALYMHPENEDENEHANDMIKSIRTVDDHDVSTSKTIRKRSRGRSPGNGARGAEMQLKQIALDSVLSNTQKIRFPLSYKLLKQKRRSIRRSRKEVLSAICRFFYYAAIPFNAADSPYFHKMLDLVSQYGHGFKSPTSRLISGRSLQDEVQTTKEYFVEIKASWATTGCSILADSWRDVQGKTIINFLVSCPRGTYFISSVDASDVVKDATCLFKLIDKVVEEVGERNVVQVITENTACYKAAGKLLEEKRRSLFWTPCAAYCIDQILEDFTEIKWVKECLEKGQKITRFIYNHIWLLNLMKKEFTAGKEILKPAITRFLTSFLTLRCLQDHRSALKRMFHSSRWMSSQLAKSDEGKEVEKIIFNSTFWKKTHYVNKSVDPVVQMLTVVGSNCTLSMPSIYNGIYSAKLAMKAVHADSEQKYGPFWSVLDNHWNSVFHHPLYVAAYFLNPSYRYRPDFMAIPEVIRGLNECITRLEPDTGRRISAAAQISDFVYAKADFGTELALSTRIDLDPAAWWQQHGINCLELQRVAVRILSQSCTSFGCKPNWSTFDHTHATRHSRLAQKRLNDFAYVHYNLRLRERERQLKRITDESISFDNVFLERLLDNWIVSIDQPALLDDEEALYHNTEQAESYGIEINENEEFNRGSKKTSTDIALPELLETSGAHPAGVGAATDEDDTDLDFLDDDLDD >Ma01_p06670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4768935:4775304:-1 gene:Ma01_g06670 transcript:Ma01_t06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFGKAYYRNQSDLVLHLAAKLEALRHEYMRFSVEKCSSVLREYRSAVETITDVLLEKGEIKAKEIWDIYRKAPRIPQPPVHPVDEYGALIYAGRWGNHGVSLPGRVTFAPGYVGFSTFGAPRPLETQIISDQAWKLIDDIWDNKIKDIKNEVSRQIEEDTERPQLLLADHFL >Ma01_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4767635:4775304:-1 gene:Ma01_g06670 transcript:Ma01_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFGKAYYRNQSDLVLHLAAKLEALRHEYMRFSVEKCSSVLREYRSAVETITDVLLEKGEIKAKEIWDIYRKAPRIPQPPVHPVDEYGALIYAGRWGNHGVSLPGRVTFAPGYVGFSTFGAPRPLETQIISDQAWKLIDDIWDNKIKDIKNEVSRQIEEDTERPQLLLADHFL >Ma10_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3904111:3909982:-1 gene:Ma10_g01020 transcript:Ma10_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSGKLRAQSAKSMKLKDGYMISSSQPIHEYRGFAVRHLLLRQDSHNQAGIVYNGGLVPLLKLLDSKNGCLQHNAAFALYGIAENEDNVSDFIKVGGVQKLQDSEFINQATKDCVAKTIKRLEEKINGRVLNHLLYLMRVGEKAVQRRIALALAHLCSPEDQRTIFIDDDGIVSVQQP >Ma03_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4063562:4066053:-1 gene:Ma03_g05910 transcript:Ma03_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVVHTDDEGVDNGPVVDYNGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSTSSSANVVTNFMGALNLLALLGGFLADAKLGRYMTVAIFATITAAGVSLLTMATSLSSMRPSACDSVRVAHHECVAASGDQLAMLFVSLYTIALGAGGIKANVSGFGSDQFDNRDPREEKAMIFFFNRFYFCISLGSLFAVTVLVYIQDNVGRGWGYGISAATMVVAVVVMLVGTPRYRFRRPQGSPLTVIGRVFLSAWQKRKLPHPADPSELNEYHAAKVAHTEWFRCLDKAAIQACNTSSSKEGGNGGACDVGEAATVTEVEEVKMVLKLLPIWSTCILFWTVYSQMTTFSVEQATYMNRHIGSFVYPSGSLSFFLFISILLFTSLNEKLLVPLARSFTRNVQGITSLQRAGVGLALSILAMAVSAAVEKKRRDSSVHDDTKISVFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTLSMGFFFSSMLVSLVDKATDGGWIKNNLDKGRLDYFYAMLAVLGAINFGVFLVFASRHEYKVQSYDVSKQGKELESWKDDGVDEQIKGMDV >Ma11_p16990.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22391655:22398160:-1 gene:Ma11_g16990 transcript:Ma11_t16990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSSNIPNQSEEEETRCLNSELWHTCAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEINSQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDPYLPNDLGTSNKQPTNFFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPVQELVARDLHDNEWKFRHVFRGQPKRHLLTTGWSTFVSAKRLVAGDSVLFIWNENNQLLLGIRHANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRALKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSSFPVRLKRPWPTGLPFFHGGNDDIDLNLPLMRLRDGGNPAIQSLNFQGVGVTPWMQPRLDALMLGLQPDMCQAMTTGALREMRTIDPTKQVSPAMLQFQQPQNSANISTPTLPSPILQHVQTFLQATQDNQVQSQNQSQFLHHHLQQGHSFAQQGQQQVPQQQQQNLHLLTPHCQQIQQQKILCGYQEVPYVASNLLQLSSSSQSQSTTLHMISPSCQLKDFPDSNGNSVSASNASPLHGIFQQNSSEETSQLSLPKYGQPVTSSPWSSKRAAVESGLPLGAQSVLSHVEQLGATQPNISLHSVMLPPFPGKECSASRDGNMDMQNQHLFGVNVDLSILAQNGIPSLNTGVSEICSTNLSYATCNLLSSSGNDFPINRALSGCNGLDESRFLRSHENVDQANPQSGLFVKVYKSGSFGRTLDITKFSSYHDLRSELGCLFGLEGQLEDPLRSGWQLVFVDWENDVLLVGDDPWQEFVNSVSYIKILSPEEVQQMGKQGIDFVNSAPVKRLQRNGCDDHVSQQDSTNLSARITSVGSFKY >Ma11_p16990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22391655:22398173:-1 gene:Ma11_g16990 transcript:Ma11_t16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSSNIPNQSEEEETRCLNSELWHTCAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEINSQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDPYLPNDLGTSNKQPTNFFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPVQELVARDLHDNEWKFRHVFRGQPKRHLLTTGWSTFVSAKRLVAGDSVLFIWNENNQLLLGIRHANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRALKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSSFPVRLKRPWPTGLPFFHGGNDDIDLNLPLMRLRDGGNPAIQSLNFQGVGVTPWMQPRLDALMLGLQPDMCQAMTTGALREMRTIDPTKQVSPAMLQFQQPQNSANISTPTLPSPILQHVQTFLQATQDNQVQSQNQSQFLHHHLQQGHSFAQQGQQQVPQQQQQNLHLLTPHCQQIQQQKILCGYQEVPYVASNLLQLSSSSQSQSTTLHMISPSCQLKDFPDSNGNSVSASNASPLHGIFQQNSSEETSQLSLPKYGQPVTSSPWSSKRAAVESGLPLGAQSVLSHVEQLGATQPNISLHSVMLPPFPGKECSASRDGNMDMQNQHLFGVNVDLSILAQNGIPSLNTGVSEICSTNLSYATCNLLSSSGNDFPINRALSGCNGLDESRFLRSHENVDQANPQSGLFVKVYKSGSFGRTLDITKFSSYHDLRSELGCLFGLEGQLEDPLRSGWQLVFVDWENDVLLVGDDPWQEFVNSVSYIKILSPEEVQQMGKQGIDFVNSAPVKRLQRNGCDDHVSQQDSTNLSARITSVGSFKY >Ma11_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22391655:22396226:-1 gene:Ma11_g16990 transcript:Ma11_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQPLSPQEQKDPYLPNDLGTSNKQPTNFFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPVQELVARDLHDNEWKFRHVFRGQPKRHLLTTGWSTFVSAKRLVAGDSVLFIWNENNQLLLGIRHANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRALKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSSFPVRLKRPWPTGLPFFHGGNDDIDLNLPLMRLRDGGNPAIQSLNFQGVGVTPWMQPRLDALMLGLQPDMCQAMTTGALREMRTIDPTKQVSPAMLQFQQPQNSANISTPTLPSPILQHVQTFLQATQDNQVQSQNQSQFLHHHLQQGHSFAQQGQQQVPQQQQQNLHLLTPHCQQIQQQKILCGYQEVPYVASNLLQLSSSSQSQSTTLHMISPSCQLKDFPDSNGNSVSASNASPLHGIFQQNSSEETSQLSLPKYGQPVTSSPWSSKRAAVESGLPLGAQSVLSHVEQLGATQPNISLHSVMLPPFPGKECSASRDGNMDMQNQHLFGVNVDLSILAQNGIPSLNTGVSEICSTNLSYATCNLLSSSGNDFPINRALSGCNGLDESRFLRSHENVDQANPQSGLFVKVYKSGSFGRTLDITKFSSYHDLRSELGCLFGLEGQLEDPLRSGWQLVFVDWENDVLLVGDDPWQEFVNSVSYIKILSPEEVQQMGKQGIDFVNSAPVKRLQRNGCDDHVSQQDSTNLSARITSVGSFKY >Ma11_p16990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22391655:22398160:-1 gene:Ma11_g16990 transcript:Ma11_t16990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSSNIPNQSEEEETRCLNSELWHTCAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEINSQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDPYLPNDLGTSNKQPTNFFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPVQELVARDLHDNEWKFRHVFRGQPKRHLLTTGWSTFVSAKRLVAGDSVLFIWNENNQLLLGIRHANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRALKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSSFPVRLKRPWPTGLPFFHGGNDDIDLNLPLMRLRDGGNPAIQSLNFQGVGVTPWMQPRLDALMLGLQPDMCQAMTTGALREMRTIDPTKQVSPAMLQFQQPQNSANISTPTLPSPILQHVQTFLQATQDNQVQSQNQSQFLHHHLQQGHSFAQQGQQQVPQQQQQNLHLLTPHCQQIQQQKILCGYQEVPYVASNLLQLSSSSQSQSTTLHMISPSCQLKDFPDSNGNSVSASNASPLHGIFQQNSSEETSQLSLPKYGQPVTSSPWSSKRAAVESGLPLGAQSVLSHVEQLGATQPNISLHSVMLPPFPGKECSASRDGNMDMQNQHLFGVNVDLSILAQNGIPSLNTGVSEICSTNLSYATCNLLSSSGNDFPINRALSGCNGLDESRFLRSHENVDQANPQSGLFVKVYKSGSFGRTLDITKFSSYHDLRSELGCLFGLEGQLEDPLRSGWQLVFVDWENDVLLVGDDPWQEFVNSVSYIKILSPEEVQQMGKQGIDFVNSAPVKRLQRNGCDDHVSQQDSTNLSARITSVGSFKY >Ma11_p16990.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22391655:22398160:-1 gene:Ma11_g16990 transcript:Ma11_t16990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSSNIPNQSEEEETRCLNSELWHTCAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEINSQIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDPYLPNDLGTSNKQPTNFFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPVQELVARDLHDNEWKFRHVFRGQPKRHLLTTGWSTFVSAKRLVAGDSVLFIWNENNQLLLGIRHANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRALKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSSFPVRLKRPWPTGLPFFHGGNDDIDLNLPLMRLRDGGNPAIQSLNFQGVGVTPWMQPRLDALMLGLQPDMCQAMTTGALREMRTIDPTKQVSPAMLQFQQPQNSANISTPTLPSPILQHVQTFLQATQDNQVQSQNQSQFLHHHLQQGHSFAQQGQQQVPQQQQQNLHLLTPHCQQIQQQKILCGYQEVPYVASNLLQLSSSSQSQSTTLHMISPSCQLKDFPDSNGNSVSASNASPLHGIFQQNSSEETSQLSLPKYGQPVTSSPWSSKRAAVESGLPLGAQSVLSHVEQLGATQPNISLHSVMLPPFPGKECSASRDGNMDMQNQHLFGVNVDLSILAQNGIPSLNTGVSEICSTNLSYATCNLLSSSGNDFPINRALSGCNGLDESRFLRSHENVDQANPQSGLFVKVYKSGSFGRTLDITKFSSYHDLRSELGCLFGLEGQLEDPLRSGWQLVFVDWENDVLLVGDDPWQEFVNSVSYIKILSPEEVQQMGKQGIDFVNSAPVKRLQRNGCDDHVSQQDSTNLSARITSVGSFKY >Ma09_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36196083:36200849:-1 gene:Ma09_g24540 transcript:Ma09_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIMLKSSDGEVFEVDEAVAMESQTIKHMIEDDCAENGIPLPNVTSAILAKVIEYCRKHVEASAAAASKSSDDGSKPTDDVIKSWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Ma02_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29022556:29028166:-1 gene:Ma02_g24370 transcript:Ma02_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAHSTFGDDDGGGSCMGARDGRPLPPPARELVNAGSSGEKVAWSSTSLIFSAAGVVRRGRGRWRRQRKRGEVENKSWLLAEARAEAEAAAAADPGSVHSSFRFSFGSQTEAEETMENAATPTATAVLLLVSLEDEGDRLGNGDAHSNKRRQWQGLEVLERSISSAAADLVRFSYSEIRSATRGFSKGRELGMGGLSRVYKGRIGARRKAVAIKRVEGRDRDSAKAFCRELMIATSLRSPFVVPLLGFCIDQEGIFLVYKYVSGGSLDHHLHRQEKKKGRGKVLSWEVRYKVAMGIAHAVEYLHFGTDRCVIHRDIKPSNILLTSNNAPKLCDFGLATWTHGPSVPFLCKSVKGTFGYLAPEYFQHGKLSDKTDVYAFGVVLLELITGQKAIDRNRPQGEENLVLWANPLLRQGTMAAGELIDPRLKPGSYRPSEMSRMIHAATACLNSEETGRPNILEVIGMLQGEDACSDWSMLMANGCLTGYGARSHDASAKSDMSSHLALAMLGVSDEEEDLYDR >Ma01_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2629321:2629557:1 gene:Ma01_g04040 transcript:Ma01_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSDFFRCCHLSLPAANGLAHQY >Ma03_p19890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25142361:25168001:1 gene:Ma03_g19890 transcript:Ma03_t19890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLPLTEHGRSLLASRRRTLAAVSAVLVAGGTVAYIQSHRRRKIPKSEESSNHTISRENGESLSRNGVSDHPVRVARPGRKGLKSLHVLAAILLSRMGANGIWNLMALVTTAVLRTALSHRLAKVQGFLFRAAFLRRVPNFLRLIVENLTLCFLQSTLYSTSKYLTGSLGLRFRKKLTDLIHADYFENMVYYKISHVDDRINNPEQQIASDIPKFCSELSDLIQEDLAAVADGIIYTWRLCSYASPKYVLWILAYVIGVGAAIRNFSPAFGKHMSKEQQLEGDYRQLHSRLRTNAESVAFYGGENREASHIREKFKALIKHLNNVHHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGSLRPDASTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELMIVAKELSAVYDKSSVQRSSSRNYISEANYIEFAGVKVVTPTGNVLVDDLSLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGYIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLTHEGMVELLKNVDLEYLLDRYPLEKEINWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTNMEERFCEKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWRVQYKRDGLSLPSEASPGSVNSSEIKRRDDTLAVQKAFFTSGKGKTSSKSEVHSYLAQVIASSPDIDKDVSVPIVPQLQKAPRTLPHRVASMFKVLIPSLFDRQGAKLFAVALLVVSRTWISDRIASLNGTSVKFVLEQDKAAFIRLTGISILQSAANSFVAPTLRHLTARLALGWRIRLTQHLLKNYLKRNALYKVFNMSGKHIDADQRITHDVEKLTSDLSGLVTGMVKPSVDILWFTWRMKLLSGRRGVGILYAYMLLGLGFLRSVAPEFGDLASREQQLEGTFRYMHSRLRTHAESIAFFGGGSREKTMVDSRFRQLLEHCEVHLRNKWLYGILDEFITKQLPHNVTWVLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGGINRIFELEELLDAAQNEASLADPSVCSDTNGVPAQNIISFCKVDIITPSQKLLARQLTCDIVPGKSLLVTGPNGSGKSSIFRVLQGLWPIASGRLVKPSDAVFYVPQRPYTSLGTLRDQVIYPLSREEAELRMITMVKTGDNSDATHLLDARLKTILEGVRLVYLLEREGWDATANWEDVLSLGEQQRLGMARLFFHHPKFGVLDECTNATSVDVEEHLYRLANDMGITVITSSQRPALIPFHATELKLIDGEGKWELCAINQ >Ma03_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25142361:25168001:1 gene:Ma03_g19890 transcript:Ma03_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLPLTEHGRSLLASRRRTLAAVSAVLVAGGTVAYIQSHRRRKIPKSEESSNHTISRENGESLSRNGVSDHPVRVARPGRKGLKSLHVLAAILLSRMGANGIWNLMALVTTAVLRTALSHRLAKVQGFLFRAAFLRRVPNFLRLIVENLTLCFLQSTLYSTSKYLTGSLGLRFRKKLTDLIHADYFENMVYYKISHVDDRINNPEQQIASDIPKFCSELSDLIQEDLAAVADGIIYTWRLCSYASPKYVLWILAYVIGVGAAIRNFSPAFGKHMSKEQQLEGDYRQLHSRLRTNAESVAFYGGENREASHIREKFKALIKHLNNVHHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGSLRPDASTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELMIVAKELSAVYDKSSVQRSSSRNYISEANYIEFAGVKVVTPTGNVLVDDLSLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGYIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLTHEGMVELLKNVDLEYLLDRYPLEKEINWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTNMEERFCEKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWRVQYKRDGLSLPSEASPGSVNSSEIKRRDDTLAVQKAFFTSGKGKTSSKSEVHSYLAQVIASSPDIDKDVSVPIVPQLQKAPRTLPHRVASMFKVLIPSLFDRQGAKLFAVALLVVSRTWISDRIASLNGTSVKFVLEQDKAAFIRLTGISILQSAANSFVAPTLRHLTARLALGWRIRLTQHLLKNYLKRNALYKVFNMSGKHIDADQRITHDVEKLTSDLSGLVTGMVKPSVDILWFTWRMKLLSGRRGVGILYAYMLLGLGFLRSVAPEFGDLASREQQLEGTFRYMHSRLRTHAESIAFFGGGSREKTMVDSRFRQLLEHCEVHLRNKWLYGILDEFITKQLPHNVTWVLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGGINRIFELEELLDAAQNEASLADPSVCSDTNGVPAQNIISFCKVDIITPSQKLLARQLTCDIVPGKSLLVTGPNGSGKSSIFRVLQGLWPIASGRLVKPSDAVFYVPQRPYTSLGTLRDQVIYPLSREEAELRMITMVKTGDNSDATHLLDARLKTILEGVRLVYLLEREGWDATANWEDVLSLGEQQRLGMARLFFHHPKFGVLDECTNATSVDVEEHLYRLANDMGITVITSSQRPALIPFHATELKLIDGEGKWELCAINQ >Ma04_p27330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28521095:28526016:-1 gene:Ma04_g27330 transcript:Ma04_t27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSQDEQSNAAGLPQQADQQKDPCQPNVVSLLPLPKDVEDLRLTVGYGNVYIFTYSELSAATKNFRADQVLGEGGFGIVYKGIIDENVRPGFESTQVAVKKLNPEGVQGDKEWLAEVNYLGQLSHPNLVKLIGYCCEDDHRLLVYEYMACGSLEKHLFRRVCLTMQWSTRMKIALGAANGLAFLHGAERPIIYRDFKTSNILLDSAYNPKLSDFGLAKEGPIGDQTHVSTRVVGTQGYAAPEYIMTGHLTARSDVYGFGVVLLEILTGKKAVDKSRPAREQNLVDWARPLLPHSRKLPKIMDPRIEGQYSSTVAAEVAGLALRCLSQNPKGRPTMNQVVDTLESVQDPHGSREEVLLLFEAPKASSSDSSSAKKGEESRRRSKQGKGRSKSEPPAGFNVSSCSPDADGQSHQRMESAGPPVD >Ma07_p27020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33568122:33568416:-1 gene:Ma07_g27020 transcript:Ma07_t27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFILICCAHVGDGKLLLTSFIRIMWLLADPKLGQGDHI >Ma02_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19265193:19268207:-1 gene:Ma02_g09120 transcript:Ma02_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLTSCFLFTTATILSFFSLYIPTLSMSQINYSYFYEVCKPLFCGNVTVSFPFFSTNSFCGLPGFMITCDPSSPPTITFSDRPYQVNKISLDESLLLVSDHQLAQHLRSNSCDAAGFLPAASFASFQIPYWIIYLNFSWCRRDEGQLPLNFTSETVEYGGCQGNSSLYFLSGTLNNDTMLPASCDHALLPILLASIVEANLTLAKLKNTSVVKWDYLFPIVDKGFALQWDYNNTDCLVCQESGGRCGYNQTTGKPLCFCKDKCSRDGNVGATKFGAWKIVLAAVVPTAFLAGLFAFVKFKEKGASLFHRLIRKRSTRPSGDSEIKEFISNYKSMLTTEYSYADVRKITNGFKEKLGEGGYGNVYKGKLPNGLLVAAKVLEKTKGNGQDFVNEVATIGRIHHVNVIRLLGFCCDGTRRALFYELMPRGSLADLISKEEMRQKLGAQRLLDIALGIARGVEYLHNGCEKRILHLDIKPQNVLLDSRLQPKISDFGLARSYSRKDSSVSLTGARGTVGYIAPEVFLRSLGGVSHKSDVYSFGMLLLEMAMGKKDAAAETERSSENKYFPDWIYNQLQEWLRVDMEDVVAVVEEDVAILKKMVMVGLWCIQTDPVSRPSMLGVVEMLRGAAELIDMPPKPRLFSPPRQQPKESTSYGDDGTRSFYYTFESESC >Ma02_p22760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27987455:27991609:-1 gene:Ma02_g22760 transcript:Ma02_t22760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGSRSKKPRRQPFSLNSQDVMGDVGLEGLDGMEDDELDDGNAGAEVDDRVPEVGMVFKNHQEVSRFYKRYARRVGFGIAIRRSAFTEDGHCLYLELMCCKGGRNRPEAKYRKRNSAKTNCPARIKVKLWGDGMLHLVVANIDHNHPVSPSMARFLSCYRQLSGAAKKRAERNKDGETVQPRLPPRMPIERLSALEELLFSESEHRSFVERGRLKLGEGDAEALRLFFTRMQAKNTNFFNVIDLDEEGCVRNVFWADARSRAAYQYYNDVVVLDTTYVINKYDLPLATFVGVNHHGQLVLLGCCLLSDETMETYVWLFKAWIACMYGEFPKALITDQSKSIQSAVAQVLPEVRHRICLSQIMKKVPEKLGGLAEYRAINKAMQKAVYDSLTAEEFEDDWRRMVEMYGLHGNEWFRSLYECRHSWVPVYLKDTFWAGMSTTQRNETMVAFFDGHVEAKTSLKQFLSKYEMALLDKYEKEAQADFETFHKRRPSVSKFHMEEQLSRVYTLNMFKKFQDEIEAIMYCHASLVNVDGPVSTFDVKECIFLDDGKRTMNKNHGVLYNTEEKEIQCICGSFQFRGILCRHALSVLKWQQVHEIPSQYVLDRWKKDFKRLHVLARSSDDVIANNRVDRYDYLSMRCLQLVEVGVLSDKYQLALKLIKEVEKFLLSDKTHDDTQPRIKLRVPKANRLNRNHNQNAGKSVASENGNAVQLLGGQPQMNEAHVEPPHGITKAADGSYEFQGLPTPYLATQIRLQPNTRPTEGGNTAVLPPRGHFGIPLNGNQTTMRPGIVYMFPGGFDPQTFGNGPMMPWIYQPMLQATQNPKDSPAPTVVPPKRRKMYRGHKSLQATQEPKETSGATG >Ma02_p22760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27987455:27991609:-1 gene:Ma02_g22760 transcript:Ma02_t22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGSRSKKPRRQPFSLNSQDVMGDVGLEGLDGMEDDELDDGNAGAEVDDRVPEVGMVFKNHQEVSRFYKRYARRVGFGIAIRRSAFTEDGHCLYLELMCCKGGRNRPEAKYRKRNSAKTNCPARIKVKLWGDGMLHLVVANIDHNHPVSPSMARFLSCYRQLSGAAKKRAERNKDGETVQPRLPPRMPIERLSALEELLFSESEHRSFVERGRLKLGEGDAEALRLFFTRMQAKNTNFFNVIDLDEEGCVRNVFWADARSRAAYQYYNDVVVLDTTYVINKYDLPLATFVGVNHHGQLVLLGCCLLSDETMETYVWLFKAWIACMYGEFPKALITDQSKSIQSAVAQVLPEVRHRICLSQIMKKVPEKLGGLAEYRAINKAMQKAVYDSLTAEEFEDDWRRMVEMYGLHGNEWFRSLYECRHSWVPVYLKDTFWAGMSTTQRNETMVAFFDGHVEAKTSLKQFLSKYEMALLDKYEKEAQADFETFHKRRPSVSKFHMEEQLSRVYTLNMFKKFQDEIEAIMYCHASLVNVDGPVSTFDVKECIFLDDGKRTMNKNHGVLYNTEEKEIQCICGSFQFRGILCRHALSVLKWQQVHEIPSQYVLDRWKKDFKRLHVLARSSDDVIANNRVDRYDYLSMRCLQLVEVGVLSDKYQLALKLIKEVEKFLLSDKTHDDTQPRIKLRVPKANRLNRNHNQNAGKSVASENGNAVQLLGGQPQMNEAHVEPPHGITKAADGSYEFQGLPTPYLATQIRLQPNTRPTEGGNTAVLPPRGHFGIPLNGNQTTMRPGIVYMFPGGFDPQTFGNGPMMPWIYQPMLQATQNPKDSPAPTVVPPKRRKMYRGHKSLQATQEPKETSGATG >Ma02_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27987455:27991609:-1 gene:Ma02_g22760 transcript:Ma02_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGSRSKKPRRQPFSLNSQDVMGDVGLEGLDGMEDDELDDGNAGAEVDDRVPEVGMVFKNHQEVSRFYKRYARRVGFGIAIRRSAFTEDGHCLYLELMCCKGGRNRPEAKYRKRNSAKTNCPARIKVKLWGDGMLHLVVANIDHNHPVSPSMARFLSCYRQLSGAAKKRAERNKDGETVQPRLPPRMPIERLSALEELLFSESEHRSFVERGRLKLGEGDAEALRLFFTRMQAKNTNFFNVIDLDEEGCVRNVFWADARSRAAYQYYNDVVVLDTTYVINKYDLPLATFVGVNHHGQLVLLGCCLLSDETMETYVWLFKAWIACMYGEFPKALITDQSKSIQSAVAQVLPEVRHRICLSQIMKKVPEKLGGLAEYRAINKAMQKAVYDSLTAEEFEDDWRRMVEMYGLHGNEWFRSLYECRHSWVPVYLKDTFWAGMSTTQRNETMVAFFDGHVEAKTSLKQFLSKYEMALLDKYEKEAQADFETFHKRRPSVSKFHMEEQLSRVYTLNMFKKFQDEIEAIMYCHASLVNVDGPVSTFDVKECIFLDDGKRTMNKNHGVLYNTEEKEIQCICGSFQFRGILCRHALSVLKWQQVHEIPSQYVLDRWKKDFKRLHVLARSSDDVIANNRVDRYDYLSMRCLQLVEVGVLSDKYQLALKLIKEVEKFLLSDKTHDDTQPRIKLRVPKANRLNRNHNQNAGKSVASENGNAVQLLGGQPQMNEAHVEPPHGITKAADGSYEFQGLPTPYLATQIRLQPNTRPTEGGNTAVLPPRGHFGIPLNGNQTTMRPGIVYMFPGGFDPQTFGNGPMMPWIYQPMLQATQNPKDSPAPTVVPPKRRKMYRGHKSLQATQEPKETSGATG >Ma08_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4843875:4845873:1 gene:Ma08_g07080 transcript:Ma08_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLHKFRPPTKLFGLHLHRTHLSSCTTGNRRTPHWTSSNHILQNHPRLLSLEGCPSLPGLRATLAFAVVSGLFHNPFVSSRLLLHATSFDFAFSSLIFRCMESPNLFSWNTVIRAAAASDDRRPSVAFSLYAEMLQRATLPDKYTFPFLLKACRSPCDLGYGRLFHCHALIFGLGNDAFMQTALMSMYLSCGHLVDARHVFDEITQRDVVVWTATISGLVDRCCHEDAFGVFKEMRMFDQDVTPNVATMVSAMSAVVGLGSLALVKSLHANMEKVGLEGDVFVRNSLIDAYAKCGSIACALQVFDSMSVKDLHSWTAMITALASHGLGREAIEAYSRMCETGVLPDSTTFIAVLSACSHAGLVNEGIEIFNSMERAYKVVPEQKHYGCMVDLLSRAGLLARAYDFIIRMPMKPNLAILGALLSACRAQNDLELGELVAKKIESLCQYKGGADVLLSNMYADQQRWHGVVSIREAARKDAKKPPAQSWI >Ma00_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46031447:46032121:-1 gene:Ma00_g05330 transcript:Ma00_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLVRVSRRVGWGAHWPMPRSRVYPAGHADGARHVLDRIDGIPSNERSVRASAVDAARIDPHPEPSGGPANRRSAIRPRCIAGPHPLPSRQFQALFDSLFKVLFIFPSRYLFAIGLSPIFSLGRNLPPDWGCIPKQPDSSTAPRGATGSEPDGALTLPGAPFQGTWARSVAEDASPDYNSDDVAARFSSWADPGSLAVTKGILVSFFSSAYLYA >Ma02_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21793553:21796848:1 gene:Ma02_g13350 transcript:Ma02_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MKAAGRKRRKVCPTMEEKAQKKTATEASRAAEEEKVKKRTAVKASARQCHREGMESGSTLGDIEDFSADDAQRIRAALLRWYDVHRRVLPWRTANSGGIRGNGEEGKEVDQERAYAVWVSEMMLQQTRVQTVIAYYNRWMDKWPTVHHLASASQEEVNEVWAGLGYYRRARFLLEGAKSIVQEGEFPRTASELRKVRGIGDYTAGAIASIAFNEAVPAVDGNVVRVISRLKAISANPKKSTTVKGIWKLASQLVDPLRPGDSNQAMMELGATLCSTTTPGCSACPISEACLALSLSRSSGSTDVTDYPSKVAKTKQRHDFAAVCVVQLTEGSDEESLRGRNNNDVLLLVKRPEEGLLAGLWEFPTVLLDEEVIDVGTRRKIVDKYLKELFHINLKEICNVILREDVGKYVHIFSHIRLHMHVELLILKLEGDLRQFSENIQCTSAWKCVDGKSMKNMGLTSGVRKVYNMIQDYKKQQLLQCPMLSLRKKCKSSI >Ma04_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8480653:8487639:-1 gene:Ma04_g11890 transcript:Ma04_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEDPIVQASKTRRERLSALRAAKEYHSMPDDAATEDEAKADGPADDHVVVKEMDAEEDPIEQAASARRERIKALKMAKELLDTPDEEAAKHDSKTEDSEDEMPSMKFRNYLPHDKQLQEGKVAPATLPKFEDPVAAAPLPPEKIEDPFLNIAPKKPNWDLRRDVQKKLDKLERRTQKALYKLMQEQEKETEAMDIENGVAED >Ma06_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16058528:16062559:1 gene:Ma06_g21710 transcript:Ma06_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAHQQHRHQPFDSILAALSVDLLIQILERVDDPGDRKAWRLVCRDFLRAEALHRRGLRVLRLEALPGLLRRYAACLDLLDLSACPGLGDHALATALFAGAGSWLLKSVSLSRASGVGWRGLAALAAACPRLESVDLSHCVGVGDREAAALAAAEGLRMLRLDKCLRVTDVGLAKLAVGCPALENLSIKWCLEISDIGIELLAKKCRDLRVLDISYLKITNSSVKSISSLGKLEVLSMVGCSNIDDEGLQFLDNGSNSLRSIDVSRCDNVTASGLTSVIEGHMCLQKLNVGDCFPELAPLFLSKLNGLKDSLTVLILDGFQVSASSLKIIGVNCKNLSEIGLSKCKWVTDEGISELAAGCVNLMSIDLTCCRMLTDKALMAIGEHNKKLVCLRLESCSLITDKGLEYIGTGCPKLEEIDLTDCPITDTAMKYLSRCWELMILKLGLCDKISSEGLVHIASNCQNLCELDLYRCIEVTDDGLAAIATGCSKLQKLNLCYCIQITDRGMKHLSCLEDLRDLELRGLHHVTSLGVTSIAIGCRRLTELDLKRCNLVDNVGLCALAQYTGNLRQINISYCPVSSMGLCKLLGTLKCLQDVKLVHLTHVPVERLELALRAYGGQLKKLKLFIGLRDLLSPWLIQMLHARRCRIRWVDKPLVFSP >Ma06_p29460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30836036:30842042:1 gene:Ma06_g29460 transcript:Ma06_t29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSAAAAKPIWMKQAEEAKIKSEAEKAAAAKAAFEATFKAMEKAKAKEEEEESSDSDGDEPEDLASKPIGPVDPSKCIAAGAGIAGGTACAPCTFTVVTKDSDSRKIPTGGAQIKVKISPGVGVGGSDQEGMVKDQGDGAYAVTYAVPKRGNYMVHVDCNGKPIMGSPFPVFFSAGTAIGATSLPAVSSYPNMVNQTMPNMPNYAGSVSGAFPGLLGMTPGVASGSAGGVVLQGIGASLGEICREYLNGRCSKTDCKLNHPPHNLLMSALAATSTMGTLSQAPMAPSAAAMAAAQAIVAARALQAHAAQMQAQSAGGSPGSSDEASKSDALKKTIQVSNLSPLLTVDHLKQLFGYCGTVVDCTITESKHFAYIEYTKAEEATAALALNNMDVGGRPLNVEMAKSLPSKSAVGNSSLPLVMQQAVAMQQMQFQQALMMQQAMASQQAAARAATMKSATEMASARAAEISKMLKAEGLVSDDKEVKRKSRSPSIPRRDSKSRSRSPIKYRRSRYSRSLSPAVRHSRDQRSRSPIRSRHSRHDDRSYRVDRDLYSRRRERDRSRDHYSVSRRNRSRSSSPQRKSSRAGSRSPKHHRESASSRTKRSSRADSRSPRHHKSSRLSPVNSHRSSSHRGRHSRSRSRSIERKHHSNEKEDSRKTEKSKQDYKRLERSNESSKDPKRSRDIKEDKSAAHSTGGPKRRSLSPKDERSANKHKRSKLDDSSSEKADTVNKDQNIDGECSESIGDKKSVQSSTLKQHRNLEDGDDVKHAEKRYSSRHESSRSSHRKHEKSDSATTERERPTVESTHLRDDKRSSHHSSSRSHRTSRRLEEGSLKAAIDQNKVEKLKEDNIKTSGLEAVITKESQISDDSHGKSDNCSKLEPHMSSPCLTGDESMRNSKASTGDTNHIEGTFTGLTKDCNVENVTCKVSLMVGTDDHTENKEAEFQTTELENHSSIKIKENVGQDPADLDDDCWQKTADLAAKYPPYVDQGSVYTKSGMSPSGTDITARKSKYTELKGPQSTIPDKIGVEGAPNVDSTNITSITKEWYIDRDYKPDELGTSHMNQQSSNLVAHVGSLFEGQINGLSNNEKIKEKSETEEYGCVQTIQSVPLDHGNPSDSKEIRNVESSSALMVRDHGYFSQDLSNMNDISFTNENLTDQNPEVNFGGHRMKYEGRCGEPQSAGVVEISSLNNHMSADKVAESNEDWTTAHSSVLDAPASSSSP >Ma01_p18940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14316197:14322544:-1 gene:Ma01_g18940 transcript:Ma01_t18940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRYERQGTLPREKRGLEARDRDGLQPEPKRSKVPALASVIVEALKVDSLQKICSSLEPILRRVVSEEVERALAKLGPARIGGRCSPKQIEGPDGRNLQLHFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANSGHVVSSGPEASAKLDVLVLEGDFNDEDDENWTEEDFESHVVKEREGKRPLLTGDVQVSLKDGVGSLGELNFTDNSSWIRSRKFRLGLKIASGYCEGIRIREAKTEAFVVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSNGINTVEDFLRLVVRDPQRLRNILGTGMSNKMWDILVEHAKTCVLSGKYYVYYSDETRDVGAIFNNISEFCGLIAGGQFCSAGGLNDSQKVFADTLVKKAYDNWMHAIAYDSHTLLDNTKSKKATASLLQESPLVSSLNHPSLHDQHISQFHPQSSVPLQHQPVAIADTAEGYNGSRATRYSNLPQDADLNAQMPIQSTSFAFQNQSSSSSHQSHFRRDDRAGLALAPPLHQPSMEFQPYEDWSCQQDDFLSEEEIRLRSHEMLENEDMQHLLRNLSVVGASMSLHEDGYGFPPYVPLLCSNFNDDDDERSRPSGRAVVGWLKIKAAMRWGIFVRKKAAERRAAQLVELED >Ma01_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14316197:14322456:-1 gene:Ma01_g18940 transcript:Ma01_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRYERQGTLPREKRGLEARDRDGLQPEPKRSKVPALASVIVEALKVDSLQKICSSLEPILRRVVSEEVERALAKLGPARIGGRCSPKQIEGPDGRNLQLHFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANSGHVVSSGPEASAKLDVLVLEGDFNDEDDENWTEEDFESHVVKEREGKRPLLTGDVQVSLKDGVGSLGELNFTDNSSWIRSRKFRLGLKIASGYCEGIRIREAKTEAFVVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSNGINTVEDFLRLVVRDPQRLRNILGTGMSNKMWDILVEHAKTCVLSGKYYVYYSDETRDVGAIFNNISEFCGLIAGGQFCSAGGLNDSQKVFADTLVKKAYDNWMHAIAYDSHTLLDNTKSKKATASLLQESPLVSSLNHPSLHDQHISQFHPQSSVPLQHQPVAIADTAEGYNGSRATRYSNLPQDADLNAQMPIQSTSFAFQNQSSSSSHQSHFRRDDRAGLALAPPLHQPSMEFQPYEDWSCQQDDFLSEEEIRLRSHEMLENEDMQHLLRNLSVVGASMSLHEDGYGFPPYVPLLCSNFNDDDDERSRPSGRAVVGWLKIKAAMRWGIFVRKKAAERRAAQLVELED >Ma09_p16640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12042099:12049832:1 gene:Ma09_g16640 transcript:Ma09_t16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPEDEQKSVGGGGGGTGEMAVQWVLVVHVVVTMLVVVSLLCGHWPIFSGTFVEKIHYFLTFGAYDYFLRFVVAVFGSSGRNCFVTVEHYCCERPNPILQILYLVILGVTYYIIGKSSFRYIPGYYVSELHWYTSMIAVGVGVLLFLLTSFSDPGIVTAENVSKYISAFPYDEIIYVEKECSTCKILKPARSKHCGICNRCVARFDHHCGWMNNCIGEKNTRYFMAFLLWHFLICLYGAVLLGLILAGQLKEHNIIYILTVYYGVEHSFSRLSPHVVQWQDYISWKRKLNEAKASAAALKASIQTITGEAKPPESKWRAFFRKSPLQTEEVIVKNNLYDRGIISNVCEIIFPPSARKSFSHRKSE >Ma09_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12042099:12049830:1 gene:Ma09_g16640 transcript:Ma09_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRPEDEQKSVGGGGGGTGEMAVQWVLVVHVVVTMLVVVSLLCGHWPIFSGTFVEKIHYFLTFGAYDYFLRFVVAVFGSSGRNCFVTVEHYCCERPNPILQILYLVILGVTYYIIGKSSFRYIPGYYVSELHWYTSMIAVGVGVLLFLLTSFSDPGIVTAENVSKYISAFPYDEIIYVEKECSTCKILKPARSKHCGICNRCVARFDHHCGWMNNCIGEKNTRYFMAFLLWHFLICLYGAVLLGLILAGQLKEHNIIYILTVYYGVEHSFSRLSPHVVQWLLGSYNTQILLIMFLAIISLLLAGFFGYHAHLCLTNTTTNETFKWQDYISWKRKLNEAKASAAALKASIQTITGEAKPPESKWRAFFRKSPLQTEEVIVKNNLYDRGIISNVCEIIFPPSARKSFSHRKSE >Ma04_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21559043:21559312:1 gene:Ma04_g19010 transcript:Ma04_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAISHHHFQTRFCRRSYLLLSSLSLLCSLSSNSGKKKSLVTGLKRDKKNVFFFFFINFVALGNVEHGKFYRLENLRHPCLGARQILR >Ma07_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26383235:26383884:1 gene:Ma07_g18620 transcript:Ma07_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMISQPHVPGDEVVIRKSASYHPTVWGDYFILQAESSPSTKECDARMQERAAELMEQVRSMFKDTTDILQTMALVDSIQLLGLSYHFQKEISEALKRVHDADLNCHGLYETALRFRLLRQQGYHVTPGNMLLRFLGVDVFVCFLYNFVFALYLIQA >Ma01_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2130642:2132535:1 gene:Ma01_g03280 transcript:Ma01_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTGYGAAKQVVVEEKGAEWEVTPGGMVVQKRDPESGPPAAVVPTIRVKVKHGSVYHEIYIGSQATFGELKKMLSARIGMHPLDQKLIYKDKERDSAAFLDTAGVKDRSKVVLVEDPTAKAKRLLETRKTDKMEKAAKSISAISMEVDKLASKVSALEAIVNKGGRVVDQDVVRLIELLMNELLKLDGVVADGDVKQQRRLQVRRVQKYVETLDLIKIKNATPRANGHVHHPQQQQQQQSQQHQQRQQPPQSVVVTTANWDTFDSLFMPSTSTPATTATSTASSTPTTRFDWELF >Ma07_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3753322:3759405:-1 gene:Ma07_g05160 transcript:Ma07_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHSDSDAQKMDMDAVEQARESEFLTLSLPPLAEDDPFFAEKKRLLNARELKFKFLVPLHSSAEEVLQIADQMVQTARISCMNEKELYFAGDDDIGPFSPRNEIESLNLMLAIVSSSISNVKDKAVEVLQLLRDSTIAMVKSVGDSNIHKMTATKFGTDSEELLLKWGKDHGVRTKLKIAYFEEAGRGAVASEDMSIGDIALEIPVSLIISEDLVYNSDMFDVLKNLDAITSDTMLLLWSMRERFNPNSKFKIYFDTLPENFNTGLSFGVDSLTLLEGTLLLEELLQSKEHVRKQFDALFPALCDNYPVIFQQELYSWDKFLWACELWYSNSMKVVFADGKLRTCLVPVAGFLNHSLCPHILHYGRVDPASKSLKFPVSRPCEAGDQCYLSYGSFPASHLIIFYGFLPKGDNPYDVIPLDFDAPDAEDGGNQLPTGENVSATHLVRGTWLSKVDEAHAYGLAPKLLAHLRALLKADCAGLPPRTPEDGVDKDVERNVLEMILSIFEPMLEGICDSDNFERNNSSWDVKLSLDYKDLQRRIISSIITSCNCGLQMSEDM >Ma10_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14878084:14880547:1 gene:Ma10_g04190 transcript:Ma10_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKIDYYLWTVDQIFRDFLGRRAGLIKALTTDFNKFYTKCDPEKPKMCLYGRPNETWKVKERPLLFSELPEPHSGINCIRDGMLEKDWLAHVAIHSDAWIISLAFYIAARAGCDHDVRQQLFNMINSNPTLYEIVSGTVKMPAKEETSNASRKDKSRSKKRSRVRVLGWPLPSIEEEEDVEDEAEQKAAAADHSSITCGACGRWFSDDTEYWILCDVCGIWYHGNCVRVTPERYKQLKRYSRVDGSSALEMGALHPPLDFARLFPSSNSCASSVLVVDVI >Ma11_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24281886:24283576:1 gene:Ma11_g19410 transcript:Ma11_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHRCSICFRRFSSGRALGGHMRRHVTAAAATLPTKLLGHHCSAVTAGLPPCAGAVEAAVEEEEEEEEEITKEAAYGLRENPRKSFRLVDPEFSSSFAAIEPAGSSSVVVQDGESETESLCAAATDDRRLSKRPRHRSHAPTSEPESSDVTTEEDVALCLMMLSRDSWTAGKGSLLFDGNDDEGYGRRIAGRSRPPRRGRSKHQCGTCKKVFRSYQALGGHLASHRRPTACIPAVRSRTDGDDDSQASVDAKVHECPFCFRVFPSGQALGGHKRSHLTSSTATTTVTSPAPVPPLSCSTPTTSTAGGKSGDAIGLIDLNLPAPLDDDAALSAVSDFEFSPNRTVN >Ma07_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7219332:7225324:1 gene:Ma07_g09630 transcript:Ma07_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNESCIVAVARQRGIDVVLNDESKRETPAVVCFGEKQRFIGTAGAASSVMNPKNTVSQIKRLVGRKFSDPELQRDIQSLPFKVTEGRDGFPLIHANYLGELRAFTPTQILAMVLSNLKTIAETNLHAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLLHETTATALAYGIYKTDLPESDQLNVAFVDVGHASMQVCIAGFKKGKLKILAHSYDRSLGGRDFDEVLFKHFAAKFKDEYKIDVYQNARACLRLRAACEKLKKVLSANPEAPLNIECLMEEKDVRGFIKREEFEHISAPILERVKGPLEKALAEAGLSVENIHSVEVVGSGSRVPAIIRILTEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVHESFPFSIALSWKGSAPDSQTVGSENQQSSVVFPKGNPIPSVKALAFYRSRTFTVDVVYADVGDLQVPAEISTYTIGPFQTSKGERAKLKVKVRLNLHGIVSIESATMLEEEEVEVAVSDTAEVTKESMDMDEATKFSSKTENDENGSSKTENDVDMQEAEGTTDNSSAGFENGAPKAGEKPVQMDTDSKVEVSKKKVKKTNVPVTELVYGGMLAEELQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLYDKYQDFVTPTEKEALIMKLQEVEDWLYEDGEDETKGVYVAKLEELKKTGDPVEERYKEWTERGPAIDQLAYCINSFREVALSNDPKFDHIDLAEKQKVINECGEAESWLREKKQQQDALPKYANPVLLSPDLKRKAETLDRFCKPIMTKPRPPPPKPQPSPTEAPPAQPQTAEQQQATDGSRPTPPATASEPMDTDKSGGEPAA >Ma06_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5424287:5425410:-1 gene:Ma06_g07640 transcript:Ma06_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSDLTKKRKSVDLAAALLLLPLCLDIVGVASDASDHRYKNGDPVPLYANGVGPFGNPSFSTTSHKLTTEHITEKKTTLGEVLNGDRLVEAPYKLDFRGDIDYK >Ma03_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13841201:13848498:1 gene:Ma03_g14550 transcript:Ma03_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFDAASHPRQPFDHHHHLKRKVDDHDDQDHSFPLLKMARPSSPPFSFPSPCLTPALAAFDVEESKTRLSSSHPPPPFASPSASLPSPSSLPSPPSDELHFFVRLMSRGSLVVHARPTDTVNSVIERIESVTGIPSREQRLIYCGRQLRGESTLRHSAVKKDATLQLTGRLRSTGQSRAWRVVDDLVSSICFLNAAAASDICTVDRQRTVAQLVKDFLNTTLADSDGDKDKALAYLGVFSLAGAPSELVKLYLSPLADNRAIAEGAIRIFLDSNPDFLPVYVHSQCAPIVFTFCRMLAATVGRNDQLYVGCRRTLSKLLKASIEPLNSVHLKSTHLLLELHLFITELSGLVDAALSSDSMLDSEVVLTDLSNFLTAMRWAIQEWKGVDRPISEHLYILGSPRYENGIGLLYELYIKLLNKVGQCLKKVEDILDERGQARYEAQLPKWSQLLVVLTVIKVFSKIFEGAEQLLHSLLFERRRTLNALLRCAKRNRKLRWFLKYKDITDFEARRNLLLMMLPEGKEEEELHEMLIDRFQLLSESFQYIGQVDATALRGAIFMEFKNEEATGPGVLREWFCLLCQAIFNPQNPLFLSCPHDHRRFFPNPASSVDPLHLKYFSFSGRVIALALMHKVQVGVVFDRMFFLQLAGRSVSLEDVRDADPVLYMSCKQILEMDAALLDSDALGLTFAREIDMMGSKRMVELCPGGKDIIVHSRNREEYVSLLIKHCFVTSISEQVAHFTQGFGDILSNSKHQRFFFDSMDLEDFDRMLGGSNNVINVKDWKEHTEYNGYKSKDRQIYWFWKVVESMSEEQRRVLLFFWTSIKYLPVDGFGGLASRLYIYKSSSDSQECLPTSHTCFYRLCLPAYGTKSMMHDRLQLISQEHISCSFGTS >Ma06_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12409530:12421181:-1 gene:Ma06_g18280 transcript:Ma06_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSSQPRADSQDVEEFLWVEEAEMGMPVEKFSEVFDLVRRGNRAFRDKRFEEAVSFYSKAQLLKPRDCVILSNRSAAFCRISQLLRERSARESEYQPLNGLDPTTHAELALKDAEKVISLRSNSPKAYFLKANALVLLERYEEARETIIAGLQIDPLSNLLQTYLQEMDRLAAGSVKKVKRSKPQRTDDFECTLCLKLLYEPVTTPCGHSFCRSCLHQTMDHGNKCPMCRTVLFISPRTYPISVTLNNIIQKNFPEEFAERKSEQENLTHLGVDIMPLFVMDVVLPCQKLSLNIFEPRYRLMVRRVMEGNHRMGMVGVDPSTGSIADFACEVEILECEPLPDGRFYLEVEGRRRFHILRSWDQDGYRVAEVEWIQDTLPPEDSQEREDIRQMASGAAELTKSWIKRAREVTRIGRRSRQLELLETEGIPKPQDPERFSFWLINLLNLRPSERLELLRLRDTRERISRGLIFLRAEEQGCRVQ >Ma09_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7636221:7637669:1 gene:Ma09_g11300 transcript:Ma09_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKRVHAEEEEEEEGHYRGGGFAKLPEECVAQVLSFTTPRDSCRSALVSKACLSAAGSDALWDGFLPSDCAEILSRAVHPVEYSSKKDLYFRLCHPILVDGEQMSFQLDRATGKKTYMISPVKMTLVHGYNHIYWTWISLPESRFAKVPELLSVCWFDIYGSIDSRLLSRQTAYVAYIVFKLVPDAYGLDSPYQKGSVIQGSYESANYVSLQPEEEEESDEETEEGQEGRMRSREDGWLEVALGEFYNDEGDGGEVEMRLIETDELQWKHGLILQGFEVRPKK >Ma05_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10699607:10707589:-1 gene:Ma05_g14630 transcript:Ma05_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRALSAASHIHNDRSLHNHTLPSCISTSRHHPHSCCSFFAVRRLFEGVFLRKPFRGKPLPPQQHQHHHNSWKRYLSRLLLFFLLGFVFGLYPFAELDDFVLRPHHFSFDSSPSISRNGSLPRRDLAAVLRPGDAEIEIVRSDDGHRYSNDEPLPNVLPDPSPPRNKLLIVVTPTYNRASQSYYLSRLGQTLRLVPPPLLWIVVEMNAASMETAEILMGTGVVYRHLVCKKNSTNIKDRGVHQRNTALEHIERHRLDGIVYFADDDNIYSLELFEELREIRRFGVWPVAMLSQSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDYKRWHRPNSDAIRQLDTVKEGFQETTFIEQIVEDESQMEGLPNDCSRIMNWHLHLEGGDLVYPEGWQLSGNLDAIIRLTENMHLLQGKHFT >Ma05_p28740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39641418:39642947:-1 gene:Ma05_g28740 transcript:Ma05_t28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPVEFEDFLPLMADKLGEDGLMEELCNGFRLLMDPRRRLITFDSLKRNAGLLGLDGLRDDELRAMLREGDTDGDGALNQWEFCVLMVRLSPQLMEAPRRWMDQTSGEAFGPGFMS >Ma04_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17849530:17851068:-1 gene:Ma04_g17500 transcript:Ma04_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRNQKTWLPYEPPKDCSMGLCSIYCHHWCYTFFSPPPPILLSDESSRSTTFSPLVIAVVGVLATAFLFVSFYAIVSKYCCTSESLRRQQHRSDADDHELADDLGQSSRGDPWHVSPTHGLDQALINKITLCKYKRGDVLVEGTDCSICLGEFREDDSLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRADIVVTDAEQPPAPPAPVDIPQVEDIQHRDEMGPLREDSAVVGGEEENQLENKIEISPQTHGNLEGMESNSIVEIRDCDFQTLGRSASMGSLHRGGEVSMMDEFVAAKENGVWIGTTSSTGRGGGDLKDNSRNSRPQLVVSTAQMKRSFSSGRFGMSRNGRGRNAILPM >Ma02_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21063769:21067859:-1 gene:Ma02_g12270 transcript:Ma02_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVDGKGGLLVAVRRLSQWPARGSGCHSSHAEVVTGSTAWLGRGLACVCAQRREIDGRLLLNLTPSQEECLRRLQSRIIVTYDSSNKEHQEALWALWYYAYPGVELHGLISNQWKEMGWQGKDPSTDFRGGGFISLENLLFFAKNYPKSFHALLRKQEGVRAMWEYPFSVAGVNITFMLIQMFDLQAGRPRSMVGAIFLKLLLENERAFDMLYCITFKMMDQQWLAMHASYMDFNLVMKSTRRQLERELLLEDTQRIEDMPSYRLLKR >Ma04_p36480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34839893:34843499:-1 gene:Ma04_g36480 transcript:Ma04_t36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGMMDAAYFVGRNEILAWINSTLQLNLSKVEEAASGAVQCQLMDAVHSGIVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKHIEVNKLVKGRPLDNLEFMQWMKRYCDSVNGGVLNNYNALERRDSCKGGKEANKRAAPSQTSVRSSSAVLKTQASHTTKKNDGHAGNASHKATKPDTSVSQAYDEKITELKLFVDSLEKERDFYFGKLRDIEILCQNPEIEHLPIVGAIQKILYATDDSSSVVAEAQAMIAQQQSGSPPLSPILETSEEKPKQETQKRKDICTLEFDMAANSTLSPRQRLSDISDVHCCGSPLTNF >Ma03_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10043221:10043820:-1 gene:Ma03_g13020 transcript:Ma03_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Ma10_p28760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35533721:35534047:1 gene:Ma10_g28760 transcript:Ma10_t28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFRSLKLVRRYGVANLRNLIGSHVNMAERFEGLVARDERFEVVVVPRNFAMVCLRLLPPLGGLSAGDSGLEIANAVNKKLLDASSFTRFLTETDEPILYSVQVGL >Ma08_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35773591:35776708:-1 gene:Ma08_g22070 transcript:Ma08_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENRGTVLMQKYEVGRLLGKGTFAKVYYARNIKTSQSVAIKVIDKEKVLKVGLIDQIKREISIMRMVRHPNIVELYEVMATRSKIYFVLEYVKGGELFNKVAKGKLKEDVARRYFQQLINAVEFCHSRGVYHRDLKPENLLLDENGDLKISDFGLSALAESKRQDGLLHTTCGTPAYVAPEVISRKGYDGAKADIWSCGVILFVLMAGYLPFHDSNLMEMYRKIAKAEFKCPCWFPSDVRRLLSRILDPNPTTRISIAKIMENPWFGKGLDKGVIRNGEGTQEIDQPDMNEVFSSPDTDMTEERQMRKLTNLNAFDIISLSAGFDLSGLFEETDQKREARFISGKSAATIISKLEDVARFLKLKVKKNDHGVLIMEGTKLGRKGVLAIDAEIFEVTPSFHLVEIKKTNGDTLEYQKLWNQGIRPALKDIVWAWQGEQQQQQS >Ma10_p30750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37029870:37032279:1 gene:Ma10_g30750 transcript:Ma10_t30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFLVNHLQDLSETGMHLQAKSPDPPIRQGLAAAGLTPDDTKPKKKICCACPDTKKLRDECIVEHGEAACKKWIQAHLQCLRAEGFKV >Ma05_p16670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17879177:17880796:-1 gene:Ma05_g16670 transcript:Ma05_t16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVFFLLSFLLFSFLGALAATFMLTNNCEYTVWPGVLSGAGTAPLSSTGFALHKGESRSLKALAGWSGRFWGRTLCTTDSSGKFSCATGDCGSGRVECSGGGGDPPATLAEFTLDGSGGMDFYDVSLVDGFNLPMLVVPQGGSVGDCTSTGCLADLNGLCPSDLKVVLSTSDAGGEYVACKSAGGEYVACKSACEAFGSPQYCCSGAYGNPNTCKPSSYSQFFKNACPRAYSYAFDDATSTFTCASADYVITFCPSTTSQKSSDPTPGASNTPPLVLRTAAMLLRISLAGLALCLCL >Ma05_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17879854:17880796:-1 gene:Ma05_g16670 transcript:Ma05_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVFFLLSFLLFSFLGALAATFMLTNNCEYTVWPGVLSGAGTAPLSSTGFALHKGESRSLKALAGWSGRFWGRTLCTTDSSGKFSCATGDCGSGRVECSGGGGDPPATLAEFTLDGSGGMDFYDVSLVDGFNLPMLVVPQGGSVGDCTSTGCLADLNGLCPSDLKVVLSTSDAGGEYVACKSAGGEYVACKSACEAFGSPQYCCSGAYGNPNTCKPSSYSQFFKNACPRAYSYAFDDATSTFTCASADYVITFCPSTTR >Ma08_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16790718:16793120:-1 gene:Ma08_g16040 transcript:Ma08_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLHLLIFHLFLYSASRAQMPGFLSLDCGGTDNYTDEIGLEWTADNQITFGQTASISVPGEKRRQYMKVRYFPADSKKYCYMLSMKVRTRYLIRATFLYGNFDRSNVYPKFDISLGATYWSTIVISDANTIEVQEVVMLASSPTVSVCLSNATTGQPFISTLELRQFNGSLYYTTYENQFFLSLAARINFGAGSSDPV >Ma06_p28680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30298159:30298474:-1 gene:Ma06_g28680 transcript:Ma06_t28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCLGTEIFHSPNPLLALWSYSLIGCSFLSHVISFSYFADIGTVDYILAAGELLRRDRGVNTARSSLGCIRLPTWMLG >Ma09_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29696894:29702872:1 gene:Ma09_g20610 transcript:Ma09_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESCGSSWTREQEKAFENALATHPEDCSDRWEKIAADVPGKTIEEVKDHYELLVEDINGIESGRIPLPCYPSSSEGGDHANDGGSGKKGGNPHGDPGHTGKASRSDQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVANDDVSAPQGPITGQNIGSVASTGKSIKQSPQSSSGAPGVGMYGTTIGQPVGGPLTAVGTPVNLPVPGAPHMAYGMRAPVSGTVVPGAPVNTPPIAHPMPPASSNR >Ma03_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27810744:27814660:1 gene:Ma03_g23180 transcript:Ma03_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLCRGNPVLCNAGWFEPRAARALFLSSLPALRLPLRPSAAILCSGQYARLKYAPVYHSADDGSYLFRRDRVPASAHSLRDWWARGFRAVEALRNREKGGDLEGSVEEDSEEEEEDGVAGAERNSEVQQRASLAGGKSVERRARSGGSSAPPAQGLELLAIPGVGPRNLRKLVDKGFEGVAQLKQLYVDKFVGKSSDKMIEYLQSSVGIIHKSHAESITSFIKEQVDEELEGDTMESTMKLSQKNRLTFCVEGNISVGKTTFLQRIAHETIELRDLVEIVPEPIDKWQDIGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDKMVFVRAVYEAKWMNEMEISIYDSWFDPVISCLPGLIPDGFIYLRASPDTCHKRMMSRNRAEEGGVSLQYLRDLHEKHESWLFPSQHGNNGVLSVSQLPLHMDSSLHPDIRERVFFLGGDHMHPTIQKVPALVLDCEPNIDFSKDIEAKRQYARQVAEFFDFVKKKKETTAAENVNDRKGKDPKVLLPHESGLWIPKGSGFPESTPSLDYRRAMSFLPG >Ma04_p37200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35229618:35233367:-1 gene:Ma04_g37200 transcript:Ma04_t37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVNRRGNDDICPGGPLFSNLVDSSLLLSLTRNVDVYCPPRKRPRVTAPLIFRAGEKIADEKLQRSIDTLPEECLFEILRRLPGDKERSNSACVSKRWLMLLSSIRSSELAQKKPSVESVKKPVPDLNKDCALDEHESENNGYLTRRLDAEEATDIRLASIALGTYSRGGLGKLFIRGSNSTRLTDVGLSAIAHACPSLHVLSMWKVPLITDAGLSEIADGCPLLERLDLCRCPLISDKGLMAVAQKCPNLMSLTIDSCSSIGNEGLQVIGRCCPKLKSVSIKDCLHVGDRGIASLVSSASSSLERLKLHTLNISDISLAVIGNYGKNVIELSLTGLQNVGEKGFWVMGNTLGLQKLRSITITCCGKLTDRGLEAIAKGSPFLKHLSVHKCYYLSDDGLKAFAETARALESLHLEDCNRITLMGVTALLACNSELKSLALVRCLGLKDLAFSPTRLPSCVSLTSLTIRDCPGVTGASLQVVGRICSQLQKIDLSGQAGVTDASLIQLIGSSEVGFVEVNLCGCVDLTDDLVTLLVKAHGSTLKMLNLNGCKKITDRSLLAVADSCSMLDDLDLSCCSVSDYGVAVLASARQLNLHVLSLSSCSKVTQKIVPFLGNLGKSMVGLNLQNCSLISTHGIVLLEEKLWWCDIIS >Ma09_p26840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38006084:38012121:1 gene:Ma09_g26840 transcript:Ma09_t26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIPAGSKSGDGNHPWSGRDHGSLTYGLALFGLIGVAAATVAVGQLRRTMRLFSTQLDESQSHTSWKRAGSSSFGNHKQKTRNKCERRMQEEYEEEMERVERIKRVQSVFNRERSKNRKRYESWTDNTTDAYQSFQRDDWYWKTDSSYKDRYTNFRYATKDSGDYLMSHHYSVLGLDRSRSEPYSDTEIKNAFRAKAMEYHPDQNQDNKDVAEAKFKEVMMSYEAIKSERSQVC >Ma11_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25262565:25268724:-1 gene:Ma11_g20890 transcript:Ma11_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRELLLSFSSAALLLLLLFLAQQPLVSSLNVITHLPGFEGPLPFHLETGYVDVDEANGVQLFYYFIMSERKPADDPLMVWITGGPGCSAFSGLMFEIGPLQFDVAGYTDGLLPSLIYNPISWTKVSSIIFLDSPVGTGFSYSDTEQGLRITDTKSAIHVCTFLKKWYVDHPSFIKNPLYIGGDSYSGLLVPVIAQYISDGNEAGDGLHFNLKGYLVGNPSTDGEYDGNAIIPYVHGMGLISDELYEATKRSCGEQYQSPRNAECASCLQAVNQGLFGINNVHILEPLCFFASPKRNILTADRRKLLEEHLEQPLSKSDLPLQCRSSGYVLSYFWANNDTVREALGVREGTKQMWVRCNYGINYTNDVSSSLKYHLSLTSRGYRALAYSGDHDMSVPFVGTQAWIRSLNFSIVDDWRSWFVDGQVAGFTRTYSNNLTFVTIKGGGHTAPEYKPKECLAMVDRWFAGSPL >Ma11_p20890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25262565:25268665:-1 gene:Ma11_g20890 transcript:Ma11_t20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRELLLSFSSAALLLLLLFLAQQPLVSSLNVITHLPGFEGPLPFHLETGYVDVDEANGVQLFYYFIMSERKPADDPLMVWITGGPGCSAFSGLMFEIGPLQFDVAGYTDGLLPSLIYNPISWTKVSSIIFLDSPVGTGFSYSDTEQGLRITDTKSAIHVCTFLKKWYVDHPSFIKNPLYIGGDSYSGLLVPVIAQYISDGNEAGDGLHFNLKGYLVGNPSTDGEYDGNAIIPYVHGMGLISDELYEATKRSCGEQYQSPRNAECASCLQAVNQGLFGINNVHILEPLCFFASPKRNILTADRRKLLEEHLEQPLSKSDLPLQCRSSGYVLSYFWANNDTVREALGVREGTKQMWVRCNYGINYTNDVSSSLKYHLSLTSRGYRALAYSGDHDMSVPFVGTQAWIRSLNFSIVDDWRSWFVDGQVAGFTRTYSNNLTFVTIKGGGHTAPEYKPKECLAMVDRWFAGSPL >Ma04_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7479081:7482457:-1 gene:Ma04_g10510 transcript:Ma04_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSGSREESQTFESGSLDDDKMLFRSQGSSAPCQPPEQAPKSRFSKDAGVKEQPSDLELMKERFAKLLLGEDMSGGGIGVSSALALSNAITNLAASVFGEQWRLEPMSAERKARWRREINWLLSVTDHIVQFVPSQQISKDGTSMEIMVTQQRKDLLMNIPALRKLDAMLIEYLDNFKDQNEFWYVSRDADESEKGNARRTDDKWWLPTVRVPPDGLSDVSRKWLQYQKELVNQVLKAAMAINANVLTEMEIPESYIESLPKNGRASLGDSLYRNITDDDFDPEEFLSSVDLSTEHKILDLKNRIEASVIIWKRKMHNKDGKSAWGSAVSMEKREQFEERAETILLILKHRFPGIPQSDLDINKIQYNKDVGHSILESYSRVIESLAFTVMSRIEDVLYADSVSQDATGGSSRRRPSLTDSEPIKPLDPKEEIEKLNKIEANNLMTLQDFMGWQMDQNTDTKKEKGTSEDEGKWMRKLPNLVTNKKFLEKIENLGGLRSPTARH >Ma04_p35620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34303399:34307557:1 gene:Ma04_g35620 transcript:Ma04_t35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRGGAQYGVPLPEIPGREAEAASPISGRPPAGAPLANFDKVGPAAAGNSPDDEVLATGEEAERGGGGVTGNRWPLQETLTLLKIRSEMDAAFRDATLKAPLWEEVSRKLAELGYKRTAKKCKEKFENVNKYYKRTKEGRAGRQDGKGYRFFSQLEALRSGSSAAVTPAAPVPSTLVATSSAFSASLVGPPPSRAQPISVLAPPTLAMPTRVVVPELTPPGGAQRISSSAAVAVSAAAAGIGFSSNSSSSEWFESDDEETDGESQEGRKRKSNGGGSGPSRKMMPFFHRLMKQVMERQEAMQQRFLDAMEKREQDRMIRDEAWRRQEMTRLNREQELLEQEWAMASSRDTAIISYLQKLTGRTIPMPTMSAIPLSISPFPQQQSHTPPPQPAAPQLPSPQQQQRPPALVQSPSQQHVVQHHHHRTEMARYQPSSAMELVPSSEPMSSSSRWPKAEVHALIKLRSELESKYQETGPKGPLWEEISAGMQRLGYKRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCSYFHQLDALYRNKLLGTGNTMGSPASNQRQSDTPRNMSQQQAPPPPPPPPQPAAEPESKNATRTQTTNGSLHMIFSDQGLEKVSTVINDYDKLDQTDSDNMNQDDDDDEGKLHYKIQFQRKSMSAGGGGNPSTAASATASSFLAIAR >Ma03_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22907294:22908897:1 gene:Ma03_g17550 transcript:Ma03_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPPNTRKQQQSFLAASFSSSSSPHQTPNKAEGEEDMPKLCTYGTPSLLPAYLLEDFISLCTFFTSHPLHLAYLLLFLPYLLHLLSYLSPLLLSTSILLLVLLTVSPYPDEAPPAAAPPDFLGRTCCVVLDVLKDKLQDNGELDLVEQFTSMVLSPIDNTRPFGELVEGAYSGHEAEERHPGQVGSGESRTPATDGENLVPINGVAEHPKGREAVEHKPGAGEHLTRSMSERRTHSISGTTESLQRYGSVRKEREWKRTLACKLYEERMTHKLYKQGKVGEGGQEMDLLWEAYEANAGEAGARKKKAKKKAKRADVGEEEEEQEEAAVGQLCCLRALRLSTGKMNLGMRKHNLMKISKVLKEMKMFHSGSKSQNLANK >Ma10_p31080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37312131:37315973:1 gene:Ma10_g31080 transcript:Ma10_t31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISNIIPAGPRSRRRTAGYQRPDPKNEFGVKEWEKPKEKRWTIRGPRRALEKKDDDFEADFMQFEEDEEADLFHFKPFAFDSRDKPVPLRPSVIDKPSSKSATKNRKNRYRGIRRRPWGKWAAEIRDPCKGVRVWLGTFNTAEEAARAYDAEARKIRGKKAKVNFPDAAFPRVRTLLTKLTAPETPRTEMPRTDKCFNYLNDPFQDFCSSFDFTEVEPIIQSEELSPFPVIKPAPPTVIAGMHLYSDQGSNLFGFPENESLNEAKTPDISSVLAATIFEVENAAYLENGGTKKQLNNIVGQSVLNNENASGKTFKELSDFELYMKFFQQPYSDGSTDDLINNLLSGDFTQDMSNVDLWSFDGMAHMGGSVF >Ma04_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11217587:11225724:1 gene:Ma04_g14810 transcript:Ma04_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTAFPLLLLLLANLFSGLAAPVTGATTHRAALLSVRDRLDPFNVLSSWGGDDDYCQWRGVSCDGPGRVTVLDLSELGLSGSISPAIGNLTNLQFLNLSHNHLQGKLPPEFSNLVYLESLLLGSNRLTGEIPAKMGALHKLVILSLHDNNLAGRIPPSLGDLSSLTHLDLGENRLTGTLPSSLASLPALQHLSVTRNNLTGAIPTSIFHLSTLTHLYLGHNQFSGSFPSDMGDTLGHLQVLQANNNNFEGHLPASLPHAGMLREIVLAHNRFSGPVPGDIGNLVHLQSLSVRDNSLEAKTKEDWEFFASLANCKHLHTLDLSHNKLEGELPIPITDLSPQLAFIGLGGNNITNEPTSADLASLRPTLQVDKTAATAVCPDCRDGSIDD >Ma03_p29560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32430110:32432043:1 gene:Ma03_g29560 transcript:Ma03_t29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASTPSPTSFSSSSSRRVKPLSSCSSAVPASAAKELAVMTNGDAASGSGAVCPSRDHTLDLPDECLALVFQSLGSGDRKRCSLVCRRWLVVEGQSRHRLALDARAALLEAAQAIFSRFDAVSKLALKCDRRADSIGDEAIALIAARCPNLTRLKLRACRTVTDAGMAAVADHCPSLRKLSVGSCTFGSKGIEAVVRGCALLEELSIKRLRGLPDATVIGNVVVKAASLRSVCLKELYNGQCFSRLIAGSSNLKTLKLIRCSGDWDRLLEDVANKVPGIIEIHLEKLQVSDRGLSALSSCANLEILHLVKTPECTDAGLSSVAERCHLLRKVHIDGWKTNRIGDEGLIVIAKQCPNLQELVLIGVNPTARSLGLIASNCRNLERLALCGSETFGDAEITCIASKCMALKKLCIKGCPVSDQGMEALVGGCPKLVKVKVKKCRGVTPGCADWLMTCRDGMLAVNLDITGPNEPQEASVGESRIIESNEQLVDQIGAVELLPSSSTSRPSPWKTRMGYYAGRNFVASALRRWSHGSSNSNHT >Ma04_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4786181:4786543:1 gene:Ma04_g06510 transcript:Ma04_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKAMLFTVVVAASTAASCAATVKHDLAATATPASDTQVKCACYPCECPQNPPPPPPKWPVPYCPPPPPPLYLDIIGAPARMYPFDPSYYPSSARRSFPGTMLVFLLSGLVALSKLWWP >Ma11_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3759593:3769868:-1 gene:Ma11_g04820 transcript:Ma11_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLVVLGVAFAVCRFLFMLIPHSVPSIDVDASDVLEDGSQTKENSYIYIPRKGKMAQADKVQCYEPATMKYLGFCEALTPEEVKEHVAQAREAQKIWASSSFRQRRQFLRILLKYIIEHQELICEISSRDTGKTMVDASLGEIMTTCEKITWLLSEGEKWLKPEYRSSGRSMLHKRAKVEFHPLGVIGAIVSWNYPFHNVFNPMLAAVFSGNAAVIKVSEHASWSGCFYFRIIQAALAAVGAPDNLVHIITGYAETGQALVSSVDKIIFVGSPGVGKMIMQKASETLIPVTLELGGKDAFIVCEDVDLATVVQVAMRGALQSSGQNCAGAERFYVHKDIYTAFVAQIVKLVKSISVGPPLAGRYDMGAICMQEHSEKLQNLVNDAQDKGAEIAGRGSFGHLEDAVDQFFPPTVIVNVDHTMKLMQEEAFGPIIPIMKFSSDEEVIKLANDSKYGLGCAVFSGNQKRAIAIASQLHCGVAAINDFASTYMCQSLPFGGVKHSGFGRFAGVEGLRACCLVKSVVVDRWWPFIKTVIPKPIQYPVAENAFVFQESLVEALYGLNVWDRLRSLINVVKIISEQNSKSSNVKKSH >Ma06_p18750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12811477:12832389:-1 gene:Ma06_g18750 transcript:Ma06_t18750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDDPSNHAIRFCWGKKRGIGGAKRNIQFYESFMIDDVNYSLFDCVYLHETDALEPYIGKIVKIWEQPDRKKKLKILWFFRPNELQNYLGDHTPLEKEIFLATGEGVGLFNINPLEAIAGKCSVICTSKDERNRQPSNRELEMADYIFYRTFDVQTYTISEKINDKIAGVEAKYLLNRKDIQPSSVFMFEEKGGSGKAENISISSLMSRLDDKIESAATSTKRDDVIAGELLEGQCPLGEDKEDVLVSANHVEDNLNYGKSKSNVGLNDNKPSEKMRLIDTTIEAPNGTSLRKENFESNDSGSQKKSTNAFIEASSDSSPVKRKFELDRVGSSKEIVHVGKESSEKNDALDGIRFSKNMKPTDAPEFLNDASSRKQNVESNGPSYFRETIHLTNSAHEKRSLIEKKTKPLDESSQETSSISIGNKEKTNYGVLEVTKRPDADRSKWFKGLPWEERMQKADEQGTLVFIENLDPLFTSSEVEDIIYHTLKQSCTARVIQQTLFQNPNYGQAYVIFKTRDAADSAVSKINKGCIQLPNGSPLICSKGMLKVPKSSMFGHLSVDKNKLQMREMRRAVSTSHCSQPNTIEYEMAMDWLVIQERSVRCLNALHKGQADELKAIKKRLRTK >Ma06_p18750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12811477:12833054:-1 gene:Ma06_g18750 transcript:Ma06_t18750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDDPSNHAIRFCWGKKRGIGGAKRNIQFYESFMIDDVNYSLFDCVYLHETDALEPYIGKIVKIWEQPDRKKKLKILWFFRPNELQNYLGDHTPLEKEIFLATGEGVGLFNINPLEAIAGKCSVICTSKDERNRQPSNRELEMADYIFYRTFDVQTYTISEKINDKIAGVEAKYLLNRKDIQPSSVFMFEEKGGSGKAENISISSLMSRLDDKIESAATSTKRDDVIAGELLEGQCPLGEDKEDVLVSANHVEDNLNYGKSKSNVGLNDNKPSEKMRLIDTTIEAPNGTSLRKENFESNDSGSQKKSTNAFIEASSDSSPVKRKFELDRVGSSKEIVHVGKESSEKNDALDGIRFSKNMKPTDAPEFLNDASSRKQNVESNGPSYFRETIHLTNSAHEKRSLIEKKTKPLDESSQETSSISIGNKEKTNYGVLEVTKRPDADRSKWFKGLPWEERMQKADEQGTLVFIENLDPLFTSSEVEDIIYHTLKQSCTARVIQQTLFQNPNYGQAYVIFKTRDAADSAVSKINKGCIQLPNGSPLICSKGMLKVPKSSMFGHLSVDKNKLQMREMRRAVSTSHCSQPNTIEYEMAMDWLVIQERSVRCLNALHKGQADELKAIKKRLRTK >Ma06_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12811477:12833054:-1 gene:Ma06_g18750 transcript:Ma06_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDDPSNHAIRFCWGKKRGIGGAKRNIQFYESFMIDDVNYSLFDCVYLHETDALEPYIGKIVKIWEQPDRKKKLKILWFFRPNELQNYLGDHTPLEKEIFLATGEGVGLFNINPLEAIAGKCSVICTSKDERNRQPSNRELEMADYIFYRTFDVQTYTISEKINDKIAGVEAKYLLNRKDIQPSSVFMFEEKGGSGKAENISISSLMSRLDDKIESAATSTKRDDVIAGELLEGQCPLGEDKEDVLVSANHVEDNLNYGKSKSNVGLNDNKPSEKMRLIDTTIEAPNGTSLRKENFESNDSGSQKKSTNAFIEASSDSSPVKRKFELDRVGSSKEIVHVGKESSEKNDALDGIRFSKNMKPTDAPEFLNDASSRKQNVESNGPSYFRETIHLTNSAHEKRSLIEKKTKPLDESSQETSSISIGNKEKTNYGVLEVTKRPDADRSKWFKGLPWEERMQKADEQGTLVFIENLDPLFTSSEVEDIIYHTLKQSCTARVIQQTLFQNPNYGQAYVIFKTRDAADSAVSKINKGCIQLPNGSPLICSKGMLKVPKSSMFGHLSVDKNKLQMREMRRAVSTSHCSQPNTIEYEMAMDWLVIQERSVRCLNALHKGQADELKAIKKRLRTK >Ma04_p29140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30089448:30091641:-1 gene:Ma04_g29140 transcript:Ma04_t29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAVAAILLAMIQVLSPSLTPEAAAADVPSPVLGGMCDDVDCGRGLCQTSANSTFGFECKCDHGWTQLQFLDHVPFLPCVIPKCAFDYACYNDSMVHAPTTFPEATDLSLLDPCRWSFCGSGTCIRTSSFRHHCECREGFSNLLNLTNLPCFRDCVVMADCPTLGFTLSNGSSPSSSPNFPETSNRASPTQRKFLWLYIITICVVMVQTTQKYEV >Ma02_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22385756:22388797:1 gene:Ma02_g14270 transcript:Ma02_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAPGEEGCGGGSQWTAVANWIVAGGCLRDVISFDTYAKDAPAIRAISTPILLLRSGLLPCEITICFNEKCKIRKIYVRSTARAYEIYLCNVHCRVAAKEVAPVIATNGASADCESGNHATSEKKDKMSLADSNCNSEDDWVEGKVPDSPLHDQKTSVLSRQAAGNSKKDFQIYYEATADISDASLTMSVTLRFLSLQAKTYVHVSEIYIHADPVVTTEAGTPVHTGKKFGESSHSAMFMPNPLPLFKSAENMQLGSSQNITEKEASPSTTVREAHARLKSGQSTYNGQSDLDQVSKSYARDKFVAANHIERVLDELVLRVTTIEAFCLRLEESLLKPFNSIEMRLQQLEELCHTYAERAQSHRQGACSRISAPEFISDDSDSENKDSFNF >Ma06_p26190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27838243:27839236:1 gene:Ma06_g26190 transcript:Ma06_t26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLPNLSSPGRERRLSELLQEQQEPFLLDVYLLEKGYSDKLLASPATSLCWPIDDACKRLQRIASHGFRRSCWRRRRCQLLRCMLAKFLSGRVVRQAFLRRDKKVLHLSEADEVLSLSSRCSDGGEIPWKSHEVDDPKQLSPVSVLELHSYEGSPVHPTNTEEDTSTLHLFKELLELSCVTPPALNQFGTSKKQLDLPEKLHLDREQEDKSTKDSHESSGHEIFETVIRDDETSSWQRQRGVHTSISQLTFSDISNSKREWHEFQPQVREIAVQIEEAIFEGIREDVISEMLGSRCTL >Ma11_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12722962:12726701:-1 gene:Ma11_g11290 transcript:Ma11_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISEVEEEAQEQQNPSSSSPPPAPQADPDEESLASVLAKKGPMPFLEAAIDLVRRQSDFFTDEAAVTKVVRAVTAAKEKVDAEERLKKRAADEAAKAEKRLKEAAQKAAEKTEAKDQKTEAAAAAKDEEKEGVRKPNSGNGLDLDNYSWTQTLQEVTVSIPVPQGTKSRFVTCEIKKTHLKVGLRGQPLIIDGDLYQPVKAEDCFWSIEDGKFISVLLTKQNQMEWWKCVVKGDPEIDTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKSMGLPTSDEMQKQEILKKFMAQHPEMDFSRAKLG >Ma03_p29350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32279094:32282978:-1 gene:Ma03_g29350 transcript:Ma03_t29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSIVAVRTPIITDDRYLGKTRTCLRTQLAKPNLSLPCIKCQPFQRRQSKREAGRLLSRSLICAASLNARCAAEQTQTVTRQSSTITIAPIQGKEKSPELDDGGTGFPPRDDDGGGGGGGGGWHHWSGGFFFFGLLAFLGLLKDQESEGPYRDRRRR >Ma11_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21771144:21772883:1 gene:Ma11_g16210 transcript:Ma11_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRHRDDSADNGGDANGQREKRPRPAPRAISAAEIAEEFAHHDSSVARINNGSFGSCPASVLAAQRSWQLQFLRQPDEFYFNRLQPGLFHSRALVKEIINAADVDEVALVDNATTAAAIVLQHASWAFAEGAFRKGDAVVMLHYAYGAVKKSIHAYVARAGGHVIEVPLPFPVSSNEEIVREFRKTLELGKANGRRVRLAVIDHVTSMPSVVIPVKELTRICREEGVDQVFVDAAHAIGSVEVDVQDIGADFYTSNLHKWFFCPPSVAFLYTNKSSASSCLHHPVVSHEYGNGLPLESGWIGTRDYSSQLVVPSVMEFIGRFEGGIEGIRKRNHEKVVEMGKMLAEAWGTFLGSPPEMCCSMIMVGLPGCLRVSSEKDAMKLRSFLREKFKVEVPIYYQPPKDGEVLEKDGNNCVTGYVRISHTVYNAEGDYHRLRDAVHNLVADGYNCDMLSSK >Ma01_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15485704:15486813:1 gene:Ma01_g19740 transcript:Ma01_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKEVTAEGEHPPAKDYHDPPPAPLLGLGELKLWSFYRALIAEFVATLLFLYVTVATVIGYKAQSQYDQCGGVGILGIAWAFGGMIFVLVYCTADISGGHINPAVTLGLFVARKVSLLRAVLYMVAQCLGAICGVRIVKAIMKQQFNAFGGGVNVVAPGHSKGTALGTEIVGTFVLVYTVFSATDPKRSARDSHVPVLAPLSIGFAVFMVHLATIPITGTGINPARSLGAAVIFNQHKPWHDHWIFWVGPFGGALAAAVYYQYVLRASTIKDLVSFRSSRSN >Ma11_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27025584:27028030:1 gene:Ma11_g23830 transcript:Ma11_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNKLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFDTIHVQDATGHEFATRMGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAAGATATA >Ma03_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9282646:9283336:-1 gene:Ma03_g11980 transcript:Ma03_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTTAPTRSFPLSLPHYRRPRDAVLAFAAKLPERRAVRSQVGVGASEAAAASARLAALRSGHVPEDRDPPPAPNIADEGTYGGASGALRGSDVLQALQKAVAKREARKLGDKKKRRKRAATDGRGEAFGFDHGQQVRPVEIRSDWGQRIDELERRVQELRSQYH >Ma03_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25076064:25080514:-1 gene:Ma03_g19820 transcript:Ma03_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLMRLPTLAWRKMASLAAFGRSLCPTSPLFPAPACGNHGSLLRPVRHLGSGEAAGERVLQVDNRCSDQVLDFPGGKVMFVPEMRFLPGSANERINCYRVLDDNGQTISGSRFQEVSKEVAIKMYSDMVTLQVMDTIFYEAQRQGRISFYLTSNGEEAINVASAAALTMDDIVFPQYREPGVLLWRGFTLQEFANQCFGNMLDYGKGRQMPIHYGSSRLNYFTVSSPIATQIPHAVGAAYSLKTDGKNACTITYFGDGGTSEGDFHAALNFAAVMESPVIFFCRNNGWAISTPTEEQFRSDGAVVRGQAYGIHSIRVDGNDALAVYSAVHAAREMAVHDCRPVLVEALTYRVGHHSTSDDSTKYRSIDEIEHWKTARDPVSRFRKWVEGNGWWSEAAEVEHRSSTRKELLRAIQVAERAEKPPLSELFTDVYDQVPANLREQEKSLRETIKKHRNDYPSDVPV >Ma05_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:255262:256619:-1 gene:Ma05_g00410 transcript:Ma05_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKAIDEADEGDKRGYRQRWAKLRQWTKPLRATDVRFRFCFWYLLLGQAREGGVRVITLVGSIEPTYSSNRPQARNWPNLARALTRGAQHLGLSKHSSGASLKRLALRYCETLGKINRPRQKKIQLHRDANKEIATRRYKTPGEAPQAREYMIYPETPYGRRVNVLGRGLKDPKREGTEGNGQQTQREPKENRKCFL >Ma09_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10966944:10978408:-1 gene:Ma09_g15660 transcript:Ma09_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDKMVRKPPPAPRQYGLTKPISTAGPTAADLKRTIELEKFLLDAGLYESKEEAIKREEVLGEINEIVKSWVKQLTRQRGYSDQMVEEANAVIFTFGSYRLGVHGPGSDIDTLCVGPSYVNREEDFFIVLHDILAEMEEVSELQPVPDAHVPVMRFKFHGISIDLLYASISQLVVPEDLDISHGSVLYDVDEATVRSLNGCRVADQILLLVPKIENFRTTLRCLKFWAKKRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCATEEDELGLPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQIGNMTCEDIELNKAGWSALFESYLFFETYRNYLQIDIVAADSEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPNEYVDHSRQWSHCAFFMGLQRKQGVKIQEGQQFDIRGTVDEFRHEVNMYMFWKPRMEIYVSHVRRKQLPSYVFPVGHKRPRPSRPIGQQLIDKTSGEDSCDECQGGPSVLPRKRRMNADYLDDRANKHEKQASINSSWEKFPNSDQHNHVDVDENWSKRKKDEPHEVVFKQVSYGSVCLSWQLQAFASNIDNISERIPNDIVCFKAHSLENLAVVGSSGVDDGTEGPFGDDQDGNGAKLVNGISHFGSGKASAGKLFCNSETFEAVVMQQLAGSDSTSLDDGKDLLHYGRQGANVEMDNLNGSARDGVGDTLKPNFAFGVALQKAHGVTANAAQKPSLSLSLISKA >Ma09_p15660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10966944:10978394:-1 gene:Ma09_g15660 transcript:Ma09_t15660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDKMVRKPPPAPRQYGLTKPISTAGPTAADLKRTIELEKFLLDAGLYESKEEAIKREEVLGEINEIVKSWVKQLTRQRGYSDQMVEEANAVIFTFGSYRLGVHGPGSDIDTLCVGPSYVNREEDFFIVLHDILAEMEEVSELQPVPDAHVPVMRFKFHGISIDLLYASISQLVVPEDLDISHGSVLYDVDEATVRSLNGCRVADQILLLVPKIENFRTTLRCLKFWAKKRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCATEEDELGLPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQIGNMTCEDIELNKAGWSALFESYLFFETYRNYLQIDIVAADSEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPNEYVDHSRQWSHCAFFMGLQRKQGVKIQEGQQFDIRGTVDEFRHEVNMYMFWKPRMEIYVSHVRRKQLPSYVFPVGHKRPRPSRPIGQQLIDKTSGEDSCDECQGGPSVLPRKRRMNADYLDDRANKHEKQASINSSWEKFPNSDQHNHVDVDENWSKRKKDEPHEVVFKQVSYGSVCLSWQLQAFASNIDNISERIPNDIVCFKAHSLENLAVVGSSGVDDGTEGPFGDDQDGNGAKLVNGISHFGSGKASAGKLFCNSETFEAVVMQQLAGSDSTSLDDGKDLLHYGRQGANVEMDNLNGSARDGVGDTLKPNFAFGVALQKAHGVTANAAQKPSLSLSLISKA >Ma09_p15660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10966944:10978394:-1 gene:Ma09_g15660 transcript:Ma09_t15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDKMVRKPPPAPRQYGLTKPISTAGPTAADLKRTIELEKFLLDAGLYESKEEAIKREEVLGEINEIVKSWVKQLTRQRGYSDQMVEEANAVIFTFGSYRLGVHGPGSDIDTLCVGPSYVNREEDFFIVLHDILAEMEEVSELQPVPDAHVPVMRFKFHGISIDLLYASISQLVVPEDLDISHGSVLYDVDEATVRSLNGCRVADQILLLVPKIENFRTTLRCLKFWAKKRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCATEEDELGLPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQIGNMTCEDIELNKAGWSALFESYLFFETYRNYLQIDIVAADSEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPNEYVDHSRQWSHCAFFMGLQRKQGVKIQEGQQFDIRGTVDEFRHEVNMYMFWKPRMEIYVSHVRRKQLPSYVFPVGHKRPRPSRPIGQQLIDKTSGEDSCDECQGGPSVLPRKRRMNADYLDDRANKHEKQASINSSWEKFPNSDQHNHVDVDENWSKRKKDEPHEVVFKQVSYGSVCLSWQLQAFASNIDNISAHSLENLAVVGSSGVDDGTEGPFGDDQDGNGAKLVNGISHFGSGKASAGKLFCNSETFEAVVMQQLAGSDSTSLDDGKDLLHYGRQGANVEMDNLNGSARDGVGDTLKPNFAFGVALQKAHGVTANAAQKPSLSLSLISKA >Ma09_p15660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10966944:10978394:-1 gene:Ma09_g15660 transcript:Ma09_t15660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDKMVRKPPPAPRQYGLTKPISTAGPTAADLKRTIELEKFLLDAGLYESKEEAIKREEVLGEINEIVKSWVKQLTRQRGYSDQMVEEANAVIFTFGSYRLGVHGPGSDIDTLCVGPSYVNREEDFFIVLHDILAEMEEVSELQPVPDAHVPVMRFKFHGISIDLLYASISQLVVPEDLDISHGSVLYDVDEATVRSLNGCRVADQILLLVPKIENFRTTLRCLKFWAKKRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCATEEDELGLPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQIGNMTCEDIELNKAGWSALFESYLFFETYRNYLQIDIVAADSEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPNEYVDHSRQWSHCAFFMGLQRKQGVKIQEGQQFDIRGTVDEFRHEVNMYMFWKPRMEIYVSHVRRKQLPSYVFPVGHKRPRPSRPIGQQLIDKTSGEDSCDECQGGPSVLPRKRRMNADYLDDRANKHEKQASINSSWEKFPNSDQHNHVDVDENWSKRKKDEPHEVVFKQVSYGSVCLSWQLQAFASNIDNISVGSSGVDDGTEGPFGDDQDGNGAKLVNGISHFGSGKASAGKLFCNSETFEAVVMQQLAGSDSTSLDDGKDLLHYGRQGANVEMDNLNGSARDGVGDTLKPNFAFGVALQKAHGVTANAAQKPSLSLSLISKA >Ma04_p34230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33531799:33537926:1 gene:Ma04_g34230 transcript:Ma04_t34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDCCFLHKDSLVIKPQKKYPLGLWMAVLGLIMISGIYICSLCLKQRVLLVTPNQMKVKSTRQTCRDPSIPKSELRYMHYPEPISYSREECACTPVRFFAILSMQRSGSGWFETLLNSHVNVSSNGEIFSVKERRSNISTITKTLDKIYNLDWYSSASKNECTAAVGLKWMLNQGLMQHHEEIIEYFKKRGVSVILLFRRNLLRRLVSVLANTHDRDAKQLNGTHKAHVHSKDEADVLAQYKPTINTTLLIPELKHTDKWASEALGYFKSSCHILLYYEDLVNNTTKLMDVLEFLKLPRQKLFSRHVKIHNKPLSDQIENWDAVHAALKGTEYESFVNTDYGV >Ma09_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4369064:4375025:-1 gene:Ma09_g06850 transcript:Ma09_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRLLLSPVSYNSPVAARSAILSDELGPMGARSVSTQAVRNRMKSVKNIQKITKAMKMVAASKLRAVQVRTENSRGIWQPFTALLGDNPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALYKLTSGPEKETKYVVLGEKGKVQLVRDSKNSIEMNITELQKNPLNYTQVSVLADDILKNMEYDALRIIYNKFQSVVSFLPTVSTILSPETVEKESEAGGKLGSLDFYEIEGGDTKAEVLQNLAEFQFSCVLFNAVLENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALTG >Ma09_p06850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4369064:4375007:-1 gene:Ma09_g06850 transcript:Ma09_t06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRLLLSPVSYNSPVAARSAILSDSELGPMGARSVSTQAVRNRMKSVKNIQKITKAMKMVAASKLRAVQVRTENSRGIWQPFTALLGDNPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALYKLTSGPEKETKYVVLGEKGKVQLVRDSKNSIEMNITELQKNPLNYTQVSVLADDILKNMEYDALRIIYNKFQSVVSFLPTVSTILSPETVEKESEAGGKLGSLDFYEIEGGDTKAEVLQNLAEFQFSCVLFNAVLENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALTG >Ma10_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30306538:30307574:-1 gene:Ma10_g19960 transcript:Ma10_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSGAVVSPPEELIRAGHRTPTAKKTADKLLDAFLKNNPSSVAINIGSAARISYNHQNQSLLQPRSFAVSDEIFCLFKGTLENLPSLKHQYGLGKNASEVVLVMEAYRALRDRAPYPTNLMLAHLVGNFAFIVFDNVTSTVFAASDGDGKVPLFMGITADGYLAFSDDAEELRSACGKSLASFPPGCFLSTSTGLRSYEHPKNKVTALPAMEEEIWGTTFKVERPYLRARERSDT >Ma03_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26433160:26434164:1 gene:Ma03_g21450 transcript:Ma03_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEVVKSLNDSDGPQWKHSLFGNPSEPETFRRRCLIAEALAEKHFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGGQLTELLKNIKGTIDEDDWDQVKNKDFLIFHILSWMILTSG >Ma06_p30460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31729794:31730657:1 gene:Ma06_g30460 transcript:Ma06_t30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-II [Source:Projected from Arabidopsis thaliana (AT2G47840) UniProtKB/TrEMBL;Acc:A0A178VYR0] MATLALLRFPPPTRFDRFRASPPPAIHLRLRSMPAVAIPSLPARRSVTVSMARTAVPATDRLISALAYFLPFLDSLHYGRFLFARVPAAAAAVAPIIPLAAAYRSVPYAAFVAFFALYLGVVRNPNLSHFVRFNAMQAVVLDVLLALPALLQRVFGTPSRGVGFRVMEMGYHAIFAFSVACFLYALLSCVLGRTPHLPLVATAADRQL >Ma07_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:723169:733160:-1 gene:Ma07_g00920 transcript:Ma07_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRQHEEEVQVDEAAAAAAAATTGQRRYSKLEEALEIKSLRRIISAYLNYPDAAEEDIVRYERSFRKLPPSHKALLSHLPLKYKTLRRCVSVNTYFIMSMLQAFDPPLDMSQDIDIDDHEDLQNVSESHQAEGSKCSVEKNVFSEQPLPIGGSDAYVSEPINSGEENNMTDESLEATTKEEEIHMEVSHASHVEKFVSSEMQKRSCNGNINDVKVVTDPAACCSNPDASIRATHLAHTWMDPSVQLNVPLVDVDKVRCIIRNIVRDWAAEGQKERDECYKPILEELNCLFPHRSQKSPPYCLVPGAGLGRLALEISCLGFVSQGNEFSYYMMICSSFILNYTQVAGEWTIYPWIHSNCNSLSDSDQLRSVSFPDIHPSSAGITEGFSMCAGDFVEVYNDESQEGYWDAVVTCFFLDTAHNIVEYIETISKLLKTGGVWINLGPLLYHFADSYGPEDEMSIELSLEDVKKIAFHFGFVMEKEKVIETTYTANPRSMMQNRYCTAFWTMRKSQ >Ma10_p07250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21598402:21602064:1 gene:Ma10_g07250 transcript:Ma10_t07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVASLPAPSTVEEVFRDYLGRHRGLVRALTAERENLCLYGHPDGSWEVNVPPEEVPPEMPEPTLGINFARNGPKRWDWLSRVAMHSDSWLLSVAFFFAARFSGDERKRLFNLINDLPTIFEAFCSHQLTKKNTRVDRGSKPMRSSKRLKTVNNGSDEDANEISATSCGSCGTKHRSNFWIQCDVCERSFHGKCVKMTRAKAEKTKRYRCPSCSSK >Ma10_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21598402:21602064:1 gene:Ma10_g07250 transcript:Ma10_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVASLPAPSTVEEVFRDYLGRHRGLVRALTAEVDDLYALCHPERENLCLYGHPDGSWEVNVPPEEVPPEMPEPTLGINFARNGPKRWDWLSRVAMHSDSWLLSVAFFFAARFSGDERKRLFNLINDLPTIFEAFCSHQLTKKNTRVDRGSKPMRSSKRLKTVNNGSDEDANEISATSCGSCGTKHRSNFWIQCDVCERSFHGKCVKMTRAKAEKTKRYRCPSCSSK >Ma04_p36380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34787425:34789516:-1 gene:Ma04_g36380 transcript:Ma04_t36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPCFHGASDLCLIFLDDQAKRRYKKEPSHQRYRGAQKGSRSKTLAFPRSPQRRRACAEMAGGGLAPSNGDVKHYNGRVTSFVIVTCVIAATGGLIFGYDIGVSGGVTSMDEFLEKFFPSVYQQEKTASGSKNQWCQFDSQMLTSFTSSLYVAGLISTYFASMVTQAFGRKSSMLFGGAAFLLGSAINGVAMNVAMLIIGRILLGVGVGFANQAVPLYLSEMAPPQLRGALNMGFQMATTIGIFVAGLVNYGTGKLHAGYGWRISVALAAVPALIMTLGAIALPDTPNSLVERGLRDQAKATLQKIRGTDDVEAELQDMIEATEEAKKVSDPWSSILRPEHRPHLVMAIAIPMFQQLTGINVIMFYAPVLFKTIGFGDSASLMSAVISGLVNVFATTVSIATVDKFGRRILFLEGGIQMVVSQVAVGAILGTFFGDAGTGKLSPGLANLVLALICVYVAAFAWSWGPLGWLVPSEIFPLNIRSAGQSIVVGVNFFFTFVIAQLFLMALCHLKSGLFHLFAAFVVVMTLFVVFLVPETKGVPIEEMTLVWKKHWFWKKYMLDDRITPSEHRRED >Ma04_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22016966:22020530:-1 gene:Ma04_g19420 transcript:Ma04_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFSKKNVAEEDLSPVARVVKDNELKPDFQAQKPSKKETQDVGNGNIAARTFTFRELASATNNFLPECLLGEGGFGRVYKGSLENTGQIVAVKQLDRNGFQGNKEFVVEVLMLSLLHHQNLVKLIGYCADGDQRLLVYEYMTMGSLEDHLVDVSANQKPLSWYTRMKIAYGAAQGLEYLHEKANPPVIFRDLKSSNILLDVDFNAKLSDFGLAKLGPIGDKVHVSSRVMGTYGYCAPEYARTGHLSLKSDVYSFGVVMLELITGRRAIDTSKPTNEQNLVAWALPMFRDQKRFHELVDPLLQGDYPAKGLSQAVAVAAMCLQEEASVRPLMADVVMTLSFLTEEVVPAPSFGFPPPEDSTK >Ma09_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8227478:8233596:-1 gene:Ma09_g12210 transcript:Ma09_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRILCKFFLHGACLKGEYCEFSHDWQDQPNNICTFYQKGVCSYGNRCRYDHVKVSRQQTSRLSSLNTASHVTSDFHQVTLPAVVSLTREYNPEPSTSVDVSAMSLAHTLPCKPAWTKAFEVDTSLNDESGPCPTYVSPADQPICGPTYVSPADQPICSPTYVSPADQPICSFAASGKCPRGDRCPHIHGDLCSICGKHCLHPFRPDEREEHIKMCHKNNKHLEALKCSQEIECSVCLEHVLSKPTAAERKFGLLSECDHPFCISCIRNWRSNSPASGMDVNSALRACPICRKLSYFVIPSVIWYSTKEEKQEIVDSYKIKLKSIDCKYFDFGNGTCPFGTSCFYKHAYRDGRLEEVVLRHLDTEDGSTVIAKDIRLSDFFNGLHL >Ma09_p12210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8227492:8233596:-1 gene:Ma09_g12210 transcript:Ma09_t12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRILCKFFLHGACLKGEYCEFSHDWQDQPNNICTFYQKGVCSYGNRCRYDHVKVSRQQTSRLSSLNTASHVTSDFHQVTLPAVVSLTREYNPEPSTSVDVSAMSLAHTLPCKPAWTKAFEVDTSLNDESGPCPTYVSPADQPICGPTYVSPADQPICSPTYVSPADQPICSFAASGKCPRGDRCPHIHGDLCSICGKHCLHPFRPDEREEHIKMCHKNNKHLEALKCSQEIECSVCLEHVLSKPTAAERKFGLLSECDHPFCISCIRNWRSNSPASGMDVNSALRACPICRKLSYFVIPSVIWYSTKEEKQEIVDSYKIKLKSIDCKYFDFGNGTCPFGTSCFYKHAYRDGRLEEVVLRHLDTEDGSTVIAKDIRLSDFFNGLHL >Ma06_p31390.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32524864:32533599:1 gene:Ma06_g31390 transcript:Ma06_t31390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKWEKIIFLLIFIFSSASGAFIGINIGTQMSSLPSAAEIVSILKTQRIKHVRLFDADHQMLNALANTGIEVLVGVPNDQLLRIGESQSEAAAWINKNVAAFVPATNITYIAVGNEVLTTIPNAALVLIPAMQFLHSALLAANLNFQVKVSSPQSMDMIPKHFPPSTASFNSSWNSIMNQYLQFLKNTGSSFMLNAQPYYVYTKGQGIFPLEYALFQPLKPNNQIVDPNTNFHYTSMFDAMIDAAYYSMRSLNFSGIPVIVTESGWPSLGGANESDASIDNALTYNSNLIHHVLNGSGTPSQPTISVSTYVLELFNEDLRPGPVSEKNWGIYVPNGTALYSLTFGRIAESNADSTGLVGVFCVANSSVDSNALKKGLDWACGPGSANCTAIQPGQACYEADNLVALTSYAFNDYYHRTQATGGTCNFGNTAMITTTDPSHGSCIFSGSSGSSTSTTGSTTIGSAFGPVGAVSEASNLQVISFAFIVASMLLLLF >Ma06_p31390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32524837:32533599:1 gene:Ma06_g31390 transcript:Ma06_t31390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKWEKIIFLLIFIFSSASGAFIGINIGTQMSSLPSAAEIVSILKTQRIKHVRLFDADHQMLNALANTGIEVLVGVPNDQLLRIGESQSEAAAWINKNVAAFVPATNITYIAVGNEVLTTIPNAALVLIPAMQFLHSALLAANLNFQVKVSSPQSMDMIPKHFPPSTASFNSSWNSIMNQYLQFLKNTGSSFMLNAQPYYVYTKGQGIFPLEYALFQPLKPNNQIVDPNTNFHYTSMFDAMIDAAYYSMRSLNFSGIPVIVTESGWPSLGGANESDASIDNALTYNSNLIHHVLNGSGTPSQPTISVSTYVLELFNEDLRPGPVSEKNWGIYVPNGTALYSLTFGRIAESNADSTGLVGVFCVANSSVDSNALKKGLDWACGPGSANCTAIQPGQACYEADNLVALTSYAFNDYYHRTQATGGTCNFGNTAMITTTDPSHGSCIFSGSSGSSTSTTGSTTIGSAFGPVGAVSEASNLQVISFAFIVASMLLLLF >Ma06_p31390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32524713:32533599:1 gene:Ma06_g31390 transcript:Ma06_t31390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKWEKIIFLLIFIFSSASGAFIGINIGTQMSSLPSAAEIVSILKTQRIKHVRLFDADHQMLNALANTGIEVLVGVPNDQLLRIGESQSEAAAWINKNVAAFVPATNITYIAVGNEVLTTIPNAALVLIPAMQFLHSALLAANLNFQVKVSSPQSMDMIPKHFPPSTASFNSSWNSIMNQYLQFLKNTGSSFMLNAQPYYVYTKGQGIFPLEYALFQPLKPNNQIVDPNTNFHYTSMFDAMIDAAYYSMRSLNFSGIPVIVTESGWPSLGGANESDASIDNALTYNSNLIHHVLNGSGTPSQPTISVSTYVLELFNEDLRPGPVSEKNWGIYVPNGTALYSLTFGRIAESNADSTGLVGVFCVANSSVDSNALKKGLDWACGPGSANCTAIQPGQACYEADNLVALTSYAFNDYYHRTQATGGTCNFGNTAMITTTDPSHGSCIFSGSSGSSTSTTGSTTIGSAFGPVGAVSEASNLQVISFAFIVASMLLLLF >Ma06_p31390.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32524713:32533599:1 gene:Ma06_g31390 transcript:Ma06_t31390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKWEKIIFLLIFIFSSASGAFIGINIGTQMSSLPSAAEIVSILKTQRIKHVRLFDADHQMLNALANTGIEVLVGVPNDQLLRIGESQSEAAAWINKNVAAFVPATNITYIAVGNEVLTTIPNAALVLIPAMQFLHSALLAANLNFQVKVSSPQSMDMIPKHFPPSTASFNSSWNSIMNQYLQFLKNTGSSFMLNAQPYYVYTKGQGIFPLEYALFQPLKPNNQIVDPNTNFHYTSMFDAMIDAAYYSMRSLNFSGIPVIVTESGWPSLGGANESDASIDNALTYNSNLIHHVLNGSGTPSQPTISVSTYVLELFNEDLRPGPVSEKNWGIYVPNGTALYSLTFGRIAESNADSTGLVGVFCVANSSVDSNALKKGLDWACGPGSANCTAIQPGQACYEADNLVALTSYAFNDYYHRTQATGGTCNFGNTAMITTTDPSHGSCIFSGSSGSSTSTTGSTTIGSAFGPVGAVSEASNLQVISFAFIVASMLLLLF >Ma06_p31390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32524713:32533599:1 gene:Ma06_g31390 transcript:Ma06_t31390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLSVGELGVEVASIFEVFTVKLLSQMLPRKWEKIIFLLIFIFSSASGAFIGINIGTQMSSLPSAAEIVSILKTQRIKHVRLFDADHQMLNALANTGIEVLVGVPNDQLLRIGESQSEAAAWINKNVAAFVPATNITYIAVGNEVLTTIPNAALVLIPAMQFLHSALLAANLNFQVKVSSPQSMDMIPKHFPPSTASFNSSWNSIMNQYLQFLKNTGSSFMLNAQPYYVYTKGQGIFPLEYALFQPLKPNNQIVDPNTNFHYTSMFDAMIDAAYYSMRSLNFSGIPVIVTESGWPSLGGANESDASIDNALTYNSNLIHHVLNGSGTPSQPTISVSTYVLELFNEDLRPGPVSEKNWGIYVPNGTALYSLTFGRIAESNADSTGLVGVFCVANSSVDSNALKKGLDWACGPGSANCTAIQPGQACYEADNLVALTSYAFNDYYHRTQATGGTCNFGNTAMITTTDPSHGSCIFSGSSGSSTSTTGSTTIGSAFGPVGAVSEASNLQVISFAFIVASMLLLLF >Ma06_p31390.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32524713:32533455:1 gene:Ma06_g31390 transcript:Ma06_t31390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLSVGELGVEVASIFEVFTVKLLSQMLPRKWEKIIFLLIFIFSSASGAFIGINIGTQMSSLPSAAEIVSILKTQRIKHVRLFDADHQMLNALANTGIEVLVGVPNDQLLRIGESQSEAAAWINKNVAAFVPATNITYIAVGNEVLTTIPNAALVLIPAMQFLHSALLAANLNFQVKVSSPQSMDMIPKHFPPSTASFNSSWNSIMNQYLQFLKNTGSSFMLNAQPYYVYTKGQGIFPLEYALFQPLKPNNQIVDPNTNFHYTSMFDAMIDAAYYSMRSLNFSGIPVIVTESGWPSLGGANESDASIDNALTYNSNLIHHVLNGSGTPSQPTISVSTYVLELFNEDLRPGPVSEKNWGIYVPNGTALYSLTFGRIAESNADSTGLVGVFCVANSSVDSNALKKGLDWACGPGSANCTAIQPGQACYEADNLVALTSYAFNDYYHRTQATGGTCNFGNTAMITTTDPSHGSCIFSGRVEHQHNRQHHNRFSLWTSRRCQ >Ma06_p31390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32524864:32533599:1 gene:Ma06_g31390 transcript:Ma06_t31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITLSVGELGVEVASIFEVFTVKLLSQMLPRKWEKIIFLLIFIFSSASGAFIGINIGTQMSSLPSAAEIVSILKTQRIKHVRLFDADHQMLNALANTGIEVLVGVPNDQLLRIGESQSEAAAWINKNVAAFVPATNITYIAVGNEVLTTIPNAALVLIPAMQFLHSALLAANLNFQVKVSSPQSMDMIPKHFPPSTASFNSSWNSIMNQYLQFLKNTGSSFMLNAQPYYVYTKGQGIFPLEYALFQPLKPNNQIVDPNTNFHYTSMFDAMIDAAYYSMRSLNFSGIPVIVTESGWPSLGGANESDASIDNALTYNSNLIHHVLNGSGTPSQPTISVSTYVLELFNEDLRPGPVSEKNWGIYVPNGTALYSLTFGRIAESNADSTGLVGVFCVANSSVDSNALKKGLDWACGPGSANCTAIQPGQACYEADNLVALTSYAFNDYYHRTQATGGTCNFGNTAMITTTDPSHGSCIFSGSSGSSTSTTGSTTIGSAFGPVGAVSEASNLQVISFAFIVASMLLLLF >Ma02_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25512665:25514212:1 gene:Ma02_g19290 transcript:Ma02_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRPAPNPNPNSAKRHSEVLLGRYELGRLLGRGTFAKVYLARSLSDGGAVAVKVLDKPELVDSGLSRSFLTEVAAMRRLSHPNILKLYEVMATRSKIYLIVEHAPGGDLLARVARRGRLPESVARRYFQQLVSALHYCHARGVAHRDVKPQNLLLDRDGNLKVSDFGLAALPEQLRDGRLHTACGTPAYTAPEVIRRKGYDGAKADAWSCGVILFVLLAGSLPFDDANLVLMYRKIHKREYELPPWVSPSARRLLLRLLDPNPETRITIGALMEHPWLKRSLSLDSQLSSMAHLPPTTRNDLTPILNAFELISLSSGLNLSGLFDDGDKKKKKEKRFTSTQSVEKIMERIDATGEKLGYMVETRKGSAVARWGSILSVEVLEVASPLLLVELKLEDGGDSGSSEGEDFCWEELKAELGDIVFAWYDGGGDS >Ma08_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36176924:36177562:-1 gene:Ma08_g22650 transcript:Ma08_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-4 [Source:Projected from Arabidopsis thaliana (AT1G09030) UniProtKB/Swiss-Prot;Acc:O04027] MDDVSERQMQRRGHGYEFHASEAANTLSGDAYTSGQDHLLPIANVGRIMKKALPPNAKISKQAKETMQECASEFIGFITGEAADSCQKNNRKTINGDDICAAMKTLGLDDYADAMRRYLHRYKEHEEKATSRDHNKIACIDVVDELSVSKTSSSRRHLFPTNPSVG >Ma04_p35800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34392757:34400069:1 gene:Ma04_g35800 transcript:Ma04_t35800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVLDGIIQRLLEVKGGRPGKQVQLLEAEIRQLCLVSKDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPQANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLNNLDQIRNLARPTDVPDNGLLCDLLWSDPSKEIQGWGMNDRGVSYTFGPDRVTDFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAASEKKRFGFGSTAASRTGTPAW >Ma04_p35800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34392757:34400069:1 gene:Ma04_g35800 transcript:Ma04_t35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVLDGIIQRLLEVKGGRPGKQVQLLEAEIRQLCLVSKDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPQANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLNNLDQIRNLARPTDVPDNGLLCDLLWSDPSKEIQGWGMNDRGVSYTFGPDRVTDFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAASEKKRFGFGSTAASRTGTPAW >Ma03_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26944724:26950045:-1 gene:Ma03_g22110 transcript:Ma03_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MISCGCFGGTTFHGLALAPSICRSPSMFSPLRTLTLTLAATNPHHRLLRRVLFPTIVRAFSSTALPTAPITKQEGKAAAKQQWKAAIDFKWIRDNKDVVAANIKNRNSNANLDLVLELYEKFLKLQKEVERLRAERNVVANKMKGKLEPSVRQALVEEGKNLKEGLVSLEEDLVQLTDQLRQEAQCLPNITHPDVPIGGEESSIIRKMVRSPWEFNFNIKDHLELGKDLDLFDFDAAAEVSGSKFYYLKNEAVLLEMGLINWAIAEVSKRGYIPLITPEIVRSSVVEKCGFQPRGQNTQVYSIENNDQCLIGTAEIPVGGIHMDSILPESALPLKYVAYSHCFRTEAGAAGTATRGLYRVHQFSKVEMFIFCRPEESNLYHEELIAIEEDLFSSLGLHFKTLDMATGDLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPSSDTPATSKKGKGSNLAPTQFVHTLNATACAVPRMIICLLENFQQEDGAVVIPEPLRPYMGGLNVISPKAKTSQ >Ma10_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4424355:4426379:-1 gene:Ma10_g01310 transcript:Ma10_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFCLLSCNKSTIHLSSYQLCSLFSFSTAEDHSSNHRSKFMLVDPLQSCELSSKEAAKMAKDRICEKKLPSSSPSIEFFKQSGWSDAQVMKLTRRRPKLIFASAETVLKPRMRSLQDMGFSDTEIFQLVSSCPSLLSFRDIQPRINFWRSLLGSNERFLKACKRNMFMLTSSLARNIEPSISLLREHGISDERISHMVVTMQGYFGRIDKLKEAIKYIEELGVPRDSRVYTYALNVVINVSRSKFDAKSVTLMSFGWSQPDINALFRKCPSIWSFSKKNISDKMTFLMKEAGCELTCISSHPILLKFSLEKRLRPRYEVLNFLDQNKLLDREHNLPSVMMATEEKFRKKFLFLLRKEKFIAQYDSYVVAVHGKHHVAEN >Ma01_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8258904:8260362:-1 gene:Ma01_g11380 transcript:Ma01_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPPSLRSAKLPLPFHKPPPSPSPPSPSTPLSQSPSPPERSVFFRSPLKKPKKPSKTPRGTLNPSPPPPQQHVFRSPLLSDAISTFDALAASSPSSLDPTSLLQSFCNAGASPADALSFHRHISPSLAPGRAAFHVLLGHSCLHHSAPDPASVLDLMSDNGSPPDAASADLAVRALCSADRLDDACVLLRDRLASAADRFTYNFLVRRFARFRPISTVYALIKELRGVGLQPDVVTYTNLIDAVCRGRNLREATRLLGMLADAGFKPDCYVYNVIMKGYCMVDQYGEVMEVYNRMKDEGIEPDLVTYNTLVYGLSKAGMLTQAKKFLNVMADMGHFPDVVTYTSLMGGMCRKGDALGALKLLEEMEEKGCNPNECTYNTLLMGLCKAKCLDKGMQLYQEMIAKAMKLENATYATFVRALCRANKIAEAYEVFDYAIDSKSLTDVTAYMALENSLKWLNKAKV >Ma01_p11380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8258922:8260362:-1 gene:Ma01_g11380 transcript:Ma01_t11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPPSLRSAKLPLPFHKPPPSPSPPSPSTPLSQSPSPPERSVFFRSPLKKPKKPSKTPRGTLNPSPPPPQQHVFRSPLLSDAISTFDALAASSPSSLDPTSLLQSFCNAGASPADALSFHRHISPSLAPGRAAFHVLLGHSCLHHSAPDPASVLDLMSDNGSPPDAASADLAVRALCSADRLDDACVLLRDRLASAADRFTYNFLVRRFARFRPISTVYALIKELRGVGLQPDVVTYTNLIDAVCRGRNLREATRLLGMLADAGFKPDCYVYNVIMKGYCMVDQYGEVMEVYNRMKDEGIEPDLVTYNTLVYGLSKAGMLTQAKKFLNVMADMGHFPDVVTYTSLMGGMCRKGDALGALKLLEEMEEKGCNPNECTYNTLLMGLCKAKCLDKGMQLYQEMIAKAMKLENATYATFVRALCRANKIAEAYEVFDYAIDSKSLTDVTAYMALENSLKWLNKAKV >Ma08_p02050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1667358:1669655:-1 gene:Ma08_g02050 transcript:Ma08_t02050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLDSKSTIGVEFATKTLQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFDSIQRWLRELRDHADSNIVIILAGNKSDLKHLRAISQDEGRALAEKEGLSFLETSALESLNVDKAFQTIISEIYHIINKKALAAQEAARTAGPPVQGTTIDVSDSAGGSRSACCSR >Ma08_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1667358:1669655:-1 gene:Ma08_g02050 transcript:Ma08_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLDSKSTIGVEFATKTLQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFDSIQRWLRELRDHADSNIVIILAGNKSDLKHLRAISQDEGRALAEKEGLSFLETSALESLNVDKAFQTIISEIYHIINKKALAAQEAARTAGPPVQGTTIDVSDSAGGSRSACCSR >Ma09_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2609134:2613877:-1 gene:Ma09_g03970 transcript:Ma09_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLSLVQLWKRFRSNDKPPELLGSSREYNVDMIPKFMMANGTLVRVLIRTGVTKYLNFKAVDGSFVYNNGKIYKVPATDVEALKSTLMGLFEKRRARKFFIYVQDYEDDEPKSHEGLDLNKVTTRDVISKYGLEDNTVDFIGHALALHRDDSYLDEPAIYTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDTDGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMSHPIPNTNDSHSVQIILPQKQLGRRSDMYVFCCSYAHNVAPKGKYIAFVSTEAETDHPETELKPGIDLLGPVDETFFDIYDRYEPANHDEQDNCFISTSYDATTHFESTVQDVISMYKKITGKELDLSVDLSAASAAGEDA >Ma04_p29560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30467152:30469261:-1 gene:Ma04_g29560 transcript:Ma04_t29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFPTTISFLFVLLSNSASAANDTGPATPSECDAASLGECYNKPEALRLKLIAIGTILVASMIGVCLPLFSRAVPALRPDRNLFVIVKAFASGVILATGYMHVLPDSFENLSSPCLPKNPWSKFPFTAFVAMLSAIFTLMVDSLMLTFYNRRKVSKATVVDHESPIHEMVPVPHGHGHGHCGVPQLDAEGKDGQEAVLLRNRIIAQVLEMGIIVHSVVIGLSMGASQNPCTIRPLVAALCFHQLFEGMGLGGCILQAEYGIKMKAILAFFFATTTPFGVALGIGLSNVYRDNSPTALIVVGLLNASSAGLLNYMALVDLLATDFMGPKLQGSVKLQLWAYLAVLLGSGGMSLMAKWA >Ma07_p28460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34487267:34487485:1 gene:Ma07_g28460 transcript:Ma07_t28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFLTNRMPKNKIHDDALKKKSPFATSDSLVDPCASLGSPDLPSSKVSRIRRIQRAERFSTNSLLGTMQRR >Ma08_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12795221:12797108:-1 gene:Ma08_g14470 transcript:Ma08_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT4G22790) UniProtKB/TrEMBL;Acc:A0A178UU74] MKPPPLAIEVEAPAAAVVLPSSSPRWAAGIIKRTVLSELRSQRGIALPLVAMNLTWFAKTAITTAFLGRLGELELAGGALGFTFANVTGFSVLTGLCAAMEPICGQAYGAKNYKLLRKTLLMATILLLLASLPISFLWLNVDRILLRFGQQRDIAGLARRYVTYLLPDLAVTSFLCPLKAYLSSQGVTLPTLFSSAIALAFHVPLNVALSKAKGLQGVSTAIWLTDLTVVLMLASYVVVTERGRRREDSAGGCAEEGGRRWWEQSLAEWATLLKLSAPCCLTTCLEWWCYEILVLLTGRLPEARRMVSVIAVVLNFDYLLYSVMLSLATCASTRVSNELGAGRPRAARDSAYVSLVLSVLAGFTGGAAMASARGQWGRLFSHEPGVVDGVRKMMRLMALVEVVNFPLAACGGIVRGTARPWLGMYASVGGFYLVALPLAVVMGFTAKLGLGGLLLGFLVGTLASAVLLVVFVACIDWDEEAGNARSLAGKA >Ma04_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9831714:9832061:1 gene:Ma04_g12990 transcript:Ma04_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding INKEGRAAGVLENYAEGEKYAEHSLRRFVRNRNPEIMPAINSFFTNPSK >Ma02_p18500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24962211:24974018:1 gene:Ma02_g18500 transcript:Ma02_t18500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHIGGGGGGGGVDHQHHQRLGDPRRNPSPPPAASASSSSRGSGQEAASAGPDFRLFEEEYQVQLALAISASDPDGLEDPDSVQMKAAKRMSLGCSHGVGTAAADSVGVGGADESSMEFLSLRYRSYNVVNYDEKLKDGFYDVYGIISNSNLREKMPSLLDLQATSISDDIEYEVILVNQTRDHALQQLEKKAISIASKSKAEERGILTSGLVQKIADLVVHSMGGPVDDAIDMQRKWALKSCELRTTLNTIVLPLGSLEIGLSRHRALLFKVLADLINLPCKLVKGSYYTGTDEGAVNFIKVDYDSEYIVDLMGAPGTLIPTENPCIHLENSGNFLLGPETIKQTVKDLCLALDKVSSQFERKTDVLEGSYDNSLLSGHLGLQLEETSNLGSRPEDVDAKFDNDQIERCENELGKFCPSLSRRQDSLKPEEVISPSQRMKVNDVSKYVVTAAKNPEFAQKLHAVLLESGASPPPDLFYDLSPSLDLIGQDHRKGNYKEREGRSGTDLPVTGWTLNFQPLVSHASVAEHSNDTDNGKRNQHVGGESTYNIDNGIFGMSMSTSLVKANEWLVENDDQVDGSSPHDFWSKFTGPVLDAADVSTATCMKQVNVSSVPYEAESNVLGSSDAQYSQENAGRHSNPYKHADIPSEDCQECAKNSTVKLLQDDPHGLSASDNIKRSIILDAVAEWEIPWEDLQIGERIGIGSYGEVYHADWHGTEVAVKKFLDQGLSGDALEQFRYEVKIMSRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLYRLLHRPNVQLDENRRLKMALDVAKGMNHLHTSHPTIVHRDLKSPNLLVDKNWVVKVSDFGLSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQNRRLDIPEEVNPMVAQIITDCWQSLCHVLSSCRSWSS >Ma02_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24962211:24974018:1 gene:Ma02_g18500 transcript:Ma02_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHIGGGGGGGGVDHQHHQRLGDPRRNPSPPPAASASSSSRGSGQEAASAGPDFRLFEEEYQVQLALAISASDPDGLEDPDSVQMKAAKRMSLGCSHGVGTAAADSVGVGGADESSMEFLSLRYRSYNVVNYDEKLKDGFYDVYGIISNSNLREKMPSLLDLQATSISDDIEYEVILVNQTRDHALQQLEKKAISIASKSKAEERGILTSGLVQKIADLVVHSMGGPVDDAIDMQRKWALKSCELRTTLNTIVLPLGSLEIGLSRHRALLFKVLADLINLPCKLVKGSYYTGTDEGAVNFIKVDYDSEYIVDLMGAPGTLIPTENPCIHLENSGNFLLGPETIKQTVKDLCLALDKVSSQFERKTDVLEGSYDNSLLSGHLGLQLEETSNLGSRPEDVDAKFDNDQIERCENELGKFCPSLSRRQDSLKPEEVISPSQRMKVNDVSKYVVTAAKNPEFAQKLHAVLLESGASPPPDLFYDLSPSLDLIGQDHRKGNYKEREGRSGTDLPVTGWTLNFQPLVSHASVAEHSNDTDNGKRNQHVGGESTYNIDNGIFGMSMSTSLVKANEWLVENDDQVDGSSPHDFWSKFTGPVLDAADVSTATCMKQVNVSSVPYEAESNVLGSSDAQYSQENAGRHSNPYKHADIPSEDCQECAKNSTVKLLQDDPHGLSASDNIKRSIILDAVAEWEIPWEDLQIGERIGIGSYGEVYHADWHGTEVAVKKFLDQGLSGDALEQFRYEVKIMSRLRHPNVVLFMGAVTRPPNLSILTEFLPRGSLYRLLHRPNVQLDENRRLKMALDVAKGMNHLHTSHPTIVHRDLKSPNLLVDKNWVVKVSDFGLSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQNRRLDIPEEVNPMVAQIITDCWQSEPNERPSFAQLMSCLKQLQKLVVVN >Ma11_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24672929:24674013:-1 gene:Ma11_g20070 transcript:Ma11_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMLDPLTVGRVIGDVLDSFNPSVKLEVVYGPNKRVYNGHEFMPSAVCAKPRVEIGGDDMRSFYTLILTDPDAPSPSDPHLREHLHWIVTDIPGTTNVSFGREIVGYEAPKPFIGIHRYVFVLFKQKGRQTVYAPASRDHFSTRDFSEENGLGLPVAAVYFNAQRETAARRR >Ma11_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7070413:7072764:-1 gene:Ma11_g08950 transcript:Ma11_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGRLHAAASASKPFIIPRFSPSGASPLVSSFTRAFPSVIWITSRRRKVNLQRTSVSIRCEQGTKENNGLDVWLGRFAMVGFATAITVEISTGKGLLENFRFIVPQPTLAFVVTTLVDALSVFFFFQSASRD >Ma06_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17240283:17250666:-1 gene:Ma06_g22170 transcript:Ma06_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVEASGAETERTAFRRAEKRYKLYKSQPTRSRKQPVVNRTDLSDVIDFLAVLESFERDGGTPEGIYRFECPGFALPIFCLKDRPGFYFIPCALTIEEQCYWIRQSLISFPQPPNRTNHTAIYGPISYLLTAVQNKKILVEAENSNVSLVDNNMLAPRYIFSETSLDAHVEGHDSCKSVSASVLLRKLRWSTLGLQFDWSKRNYDVSLPHNKIPNDLCLLAKKMAVPAMSSGEEFQPEAAIVNYFGPSDMLGGHLDDMEADWSKPIVSISLGCKAIFLLGGKSREDMPVSMFLRSGDIILMAGQARECFHGVPRIFIDDEHAENSDLLSQFSGEDDRCFMDYIKSSRININIRQVN >Ma03_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29128400:29132034:-1 gene:Ma03_g24940 transcript:Ma03_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLREQLAVLAVLLAVAIRLAAGRFVVEIESISVVSPTSLRGHHDAAIANFGVPNYGGTLTGVVVYPDKGRTGCHAFGGDPFRSKSGRPVILLVDRGDCYFALKAYNGQQNGAAAVIVADTIDEPLLTMDAPEEDNDNEYVEKINIPSALVTQTFGNSLKEALAKGAEEVVVKLDWTESMPHPDERVEYELWTNSNDECGDRCDEQTEFVANFKGHAQLLEKGGFTRFTPHYITWYCPDAFKPSRECKAQCINNGRYCAPDPEQDFREGYDGKDIVIENLRQLCVHRVANDSGRPRVWWDFVTDYHVRCSMKEKKYSKECAEHVVRSLGLPSDKISKCMGDPEADVDNDVLRTEQLLQIGHGTRGDVTILPTLVINNVQYRGKLERTAVLKAICAGFKESTEPSVCLNPDIETNECLDSNGGCWQDSELNITACKDTFRGRVCQCPVVNNVQFQGDGYNSCIPVGPGRCAINNGGCWSDTKNGQNFSACPDSDLTGCRCPYGFHGDGYKCEDVDECKEKLACNCPECSCKNTWGGYDCKCKGNLLYIKSEDTCIAKSASKFGWLMTLFILACVVGAGTAAYVFYKYRLRSYMDSEIMAIMSQYMPLDNHKNETRPLREDTAA >Ma07_p08020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5981674:5988270:1 gene:Ma07_g08020 transcript:Ma07_t08020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSHPGDSGGRGTSGGALLYLPSSATTACTTSAPPPTRTTVYEQGYYYTTTSQPYLQTPPLKTEAGSSRAQKRAIQTQEQGEISQNDMEEIKAKIMSHPQYSTLVGAFMDCQKVGAPPEVVTRLSAIVHDVSPGPGCHNDGSPDPDLDQFMESYCDMLVKYRQELTKPLQEATNFLKKMESQFNALTDSSTRGLFPSGVGSSEEDQDAGGGEADLPEVDPHAEDKELKHHLLKKYGGYLSTLRKELSKKKKKEKLPKDARQKLLNWWELHYKWPYPSETEKMALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDGFHPRNASSASALFMDGQFMVDGMFRFGP >Ma07_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5981526:5988272:1 gene:Ma07_g08020 transcript:Ma07_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSHPGDSGGRGTSGGALLYLPSSATTACTTSAPPPTRTTVYEQGYYYTTTSQPYLQTPPLKTEAGSSRAQKRAIQTQEQGEISQNDMEEIKAKIMSHPQYSTLVGAFMDCQKVGAPPEVVTRLSAIVHDVSPGPGCHNDGSPDPDLDQFMESYCDMLVKYRQELTKPLQEATNFLKKMESQFNALTDSSTRGLFPSDEICEGVGSSEEDQDAGGGEADLPEVDPHAEDKELKHHLLKKYGGYLSTLRKELSKKKKKEKLPKDARQKLLNWWELHYKWPYPSETEKMALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDGFHPRNASSASALFMDGQFMVDGMFRFGP >Ma07_p08020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5981673:5988272:1 gene:Ma07_g08020 transcript:Ma07_t08020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSHPGDSGGRGTSGGALLYLPSSATTACTTSAPPPTRTTVYEQGYYYTTTSQPYLQTPPLKTEAGSSRAQKRAIQTQEQGEISQNDMEEIKAKIMSHPQYSTLVGAFMDCQKVGAPPEVVTRLSAIVHDVSPGPGCHNDGSPDPDLDQFMESYCDMLVKYRQELTKPLQEATNFLKKMESQFNALTDSSTRGLFPSEDQDAGGGEADLPEVDPHAEDKELKHHLLKKYGGYLSTLRKELSKKKKKEKLPKDARQKLLNWWELHYKWPYPSETEKMALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDGFHPRNASSASALFMDGQFMVDGMFRFGP >Ma07_p08020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5981673:5988272:1 gene:Ma07_g08020 transcript:Ma07_t08020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSHPGDSGGRGTSGGALLYLPSSATTACTTSAPPPTRTTVYEQGYYYTTTSQPYLQTPPLKTEAGSSRAQKRAIQTQEQGEISQNDMEEIKAKIMSHPQYSTLVGAFMDCQKVGAPPEVVTRLSAIVHDVSPGPGCHNDGSPDPDLDQFMESYCDMLVKYRQELTKPLQEATNFLKKMESQFNALTDSSTRGLFPSDEICEGVGSSEEDQDAGGGEADLPEVDPHAEDKELKHHLLKKYGGYLSTLRKELSKKKKKEKLPKDARQKLLNWWELHYKWPYPSETEKMALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDGFHPRNASSASALFMDGQFMVDGMFRFGP >Ma06_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13006294:13007766:1 gene:Ma06_g18990 transcript:Ma06_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPRYSTLENQNKLTEISPKVSPPGVDEPPSDFFLKTLLQGQDRMSRGLFRYDVTACETWVIAGEYGFMAQLNEGRHLKKRPTEFRVDLLLRPLDPTKLNFTKVGQEEILFRFEAGEGDKARSLSMCFCCHFEAGHVLDCLPQRIDPDSFLLALHMAAEAARPLLQARLRDLPWRGFQFAEASSVPEQSEAKRKSLSEARFEEVKASIF >Ma05_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2867605:2869083:-1 gene:Ma05_g03850 transcript:Ma05_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G22880 protein [Source:Projected from Arabidopsis thaliana (AT4G22880) UniProtKB/TrEMBL;Acc:Q0WWD6] MATKVVSVAPRVEILAKSGINEIPTEYVRPESERLDLGDAFEEVKKAAEGPQIPVVDLQGFDSPDEEVRRACVEEVRKAATEWGVMHIVNHGIPLELVEQLRKVGKEFFDLPIEQKEQYANDQSSGKIQGYGSKLANNASGQLEWEDYFFHLIFPEEKINISIWPKQPTDYIEVTKKFGRQLRAVVTKMLEVLSLGLGLEEGKLDRELGGMEDLLMQLKINYYPICPQPDLALGVEAHTDISALSFILHNMVPGLQIYYGGRWVTAKCVPDSIIMHVGDCLEILSNGQYKSILHRGLVNKEKVRISWAVFCEPPKDKIVLKPLEELVADGTPAKFPPRTFEQHIQHKLFKKTRGDFKTPN >Ma08_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36900941:36901159:1 gene:Ma08_g23550 transcript:Ma08_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRHPRYVPQRGHVLWCIIKRLLPCFFPSDPATPREKETQKGKQRRSSCSLHSMVSNGGGKQIPESSPG >Ma07_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1583284:1585065:-1 gene:Ma07_g02050 transcript:Ma07_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVLDLDRLRAVRVLGRGAMGTVFLVRAADRGPDPDPDPDPDPAPSLLPALFALKVVDKLCASAKPDALRRARWELSLLSAFSQSDSRNHHPFLPSLLGSVDTPDLLAWALPYCSGGDLHALRRSLSPDDDAFSIAAIRFYLSEIIAALAHLHSLRIAYRDLKPENVLLQSSGHITLTDFDLSRYLPSRSSTNLSPLRPPPPLPSDHNRRHRRSLARIFVFGTAEDHLKKNARSARVSPLSRRRTSSTSTSGGGDERSFSFVGTEEYVAPEVVRGDGHGFAVDWWALGILAYEMAYGRTPFRGRNRKETFRNVLTRPPQFSGQRRTDLTDLIERLLAKDPTQRLGHARGADEVKAHPFFEGVMWELLPEVSRPPFLAPVVDGEEGVGFDVRDYLRVLHQQQPAAASPSASSESFAES >Ma09_p28830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39482872:39483324:1 gene:Ma09_g28830 transcript:Ma09_t28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPPPVPISPGQWQHPVYAYPDPLPQFATAETRKFEGEFRKTLAKSKEIVGDDVDAVFNFVHLATRALVGRSPCYQGTIARNNFSSVDWLVKSTDPTWGIESENDSKVGLAILSIKKRFV >Ma05_p21930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33682018:33685151:1 gene:Ma05_g21930 transcript:Ma05_t21930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELCSARTLSTSREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDNNIDCDNSFCSSSAVGYKDIAYSSLEFHKPTKPRVRHTRPWTSLAKSNGRSNHRGTTHSNGHKMRVNLAKLGTPTLWRYGRHFNLVNINPNPTKEQLIHVVQSHFRSQVLVLSIILLYVQRICYTNCINNYFSYLLYFLVSPHFSD >Ma05_p21930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33682018:33685503:1 gene:Ma05_g21930 transcript:Ma05_t21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELCSARTLSTSREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDNNIDCDNSFCSSSAVGYKDIAYSSLEFHKPTKPRVRHTRPWTSLAKSNGRSNHRGTTHSNGHKMRVNLAKLGTPTLWRYGRHFNLVNINPNPTKEQLIHVVQSHFRSQQLDEMQVIVGFIQAAKRLKTLYS >Ma05_p21930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33682003:33685151:1 gene:Ma05_g21930 transcript:Ma05_t21930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELCSARTLSTSREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDNNIDCDNSFCSSSAVGYKDIAYSSLEFHKPTKPRVRHTRPWTSLAKSNGRSNHRGTTHSNGHKMRVNLAKLGTPTLWRYGRHFNLVNINPNPTKEQLIHVVQSHFRSQVLVLSIILLYVQRICYTNCINNYFSYLLYFLVSPHFSD >Ma05_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33682018:33685151:1 gene:Ma05_g21930 transcript:Ma05_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELCSARTLSTSREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDNNIDCDNSFCSSSAVGYKDIAYSSLEFHKPTKPRVRHTRPWTSLAKSNGRSNHRGTTHSNGHKMRVNLAKLGTPTLWRYGRHFNLVNINPNPTKEQLIHVVQSHFRSQVLVLSIILLYVQRICYTNCINNYFSYLLYFLVSPHFSD >Ma09_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32408893:32409189:1 gene:Ma09_g21420 transcript:Ma09_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLAGVVRMKHILQQSFRNQQRATASADVPKGHFAVYVGEMEKRFVVPICYLHHPSFQTLLHKAEEEYGFEHPRGMLRVPCDEDDFATLTSQMTGS >Ma02_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28379154:28380660:-1 gene:Ma02_g23310 transcript:Ma02_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYQEQHPFLLDSPYFPRSPAEMLLVPQQGGEMANNASSSSCFPYPYPYPSEASPVAPATDAGAYGTSAPSVDSHTVCSPVASETHDVENKRKSRDEASLMITGELKNTKEGEIKKQRRLRGGQKKTEEKKPKPNDSKGSKACLDTTGGYVHVRARRGQATDSHSLAERARREKISERMKMLQGLVPGCEKVTGKALMLDEIINYVQSLQNQVEFLSMKIASLSPILYGFNVDFGDCIDQPQFQKLMRSIPEAMASAEQTNQLQAKAFGNGATGYRVMDDSTPLLLQVKGPASFSHQDGGSALQVGEQRQGSLDQVGFINMCSFQ >Ma04_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2625238:2627860:1 gene:Ma04_g03400 transcript:Ma04_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVTRIAAWNSDQLPIYEPGLEDVVKQCRGRNLFFSTEVEKHVCEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAVQALKEVYANWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPIHLQPMSPTAVKEVTVTWDAYEATKGAHGVCILTEWDEFKTLDYSKIYQNMQKPAFVFDGRNVVDSDKLREIGFIVYSIGKPLDPWLKDMPAVA >Ma08_p31160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42161925:42165263:1 gene:Ma08_g31160 transcript:Ma08_t31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLILVAEKIAVAMAGEAIQAAMAFNLGAEESLKTEVKETIRRIRSEFEHMQIFLSSVDMQKYNTTIEPWLKRAREIADSMEDVIDEYLHITVERSQGGLRSFFNQAVRSHKKSSAWNLIANRLKVIETGLSHLEAMKDRYDIKKNESEVDDDDAEGENANGLVGRVFNSSRSNPVREEDDNIYREQRKILFQLLTDETSTRTVISVWGMGGVGKTTMVDKVYGNQEIENRFDCKIWVTVSKSCRIEHSMRRILKELLDADQSDHDGYGSSDLNRVQEDVCSILQEKRYLLILDDVWSGELSSYVQRALPDNNRGSRIVITTRLNEVASTSEETHRLKLRKIEDEGQAFDLFCREVFWHADDRRCPKHLETVGRNIVRKCQGLPLAIVAVARLMSLKGTTEAEWQRVYKKLSWEFANNPSLDNLKHVLNLSYDDLPSYLKNCFLYCSVFPDYKIKRKKLIRLWIAESFVQDRETQTVEEVAEEFLEELVHRSMLHGVQRNSFGRVRRCGMHGLMRELTLPTARKENFSMVWKESESSGGLGCEARRLSVHDYAANSALFHMDFSRIRSLLVFKHDSSLTTLLKTVSRNARYLRVVDLESADMDKVPDVFMDLINLHYLGLRKTKVKRLPDSIGRLRNLQTLDLRYTKIEKLPKGISQLRKLRYLLALRLNDRSRRSFEFVSHVKAPGGLGLQNLTSLQHLDIAADEESSMRQLGYLTQLKVLIVSKVKGVHCPLLSASVSHMTSLYKLSITAYDEKEVLQLQDLGHCFQNLQKLHVQGTVVGPVVVQEQRQRLRELCLGWSGLKEDPLPALCHLHNLSVLFLRQAYHGLQLRFKEGWFPNLQELHLLHLPYVNRMVIEKGSMKSLRLLQMEGLSELMLLPKGIEHLTSLHKLYLSECNNFFLYAIQEDQRKRVDHIPNIWHAYLADGKKIIEILSRPTTRSPRPQVHIHIYVHIHIYVHTCDSDSYDDLQEEEEEDRKSVPPYPFPTPSETGTDENVYSYLPPFETRSEMNERGTSNSYPSPRKEKKTNRPTISEHPSLSGEQPEFVPVVDDSSQASDFEEEEQNNKVGFTRRRRF >Ma08_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6710082:6711835:-1 gene:Ma08_g09280 transcript:Ma08_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRWGSRGADSRYRDGEARGRNSRKPPHPPGPGRILVPSWVKKFYNDVCRIKLKTLCENQRYTGMYKNVLEWDDSAALEAFQNAKARFFAQYHDLPCDIPLPDPDMYIVQVDHDSPIDPELVADLEKQPSVPTDVHGVAGSGLNSAPGLSEYWDMCRVQDIQPTGWDDEVEPEPRNQDRKDGVSNTWNYSGGHWDEAAAQNDPWSNGDNNCVDNGRNISYGTWENRNSKWVERNRRKRDSGGHQGLTFTRPSYQTGSYQAKDSSRNCRRRNQTNYHYEKGVYAGQFLTS >Ma06_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6938248:6940292:1 gene:Ma06_g09990 transcript:Ma06_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAMMVERCCCVRTHRFLALFLLPLGFALLASSRGVVGILDPVDFLALQAIRKGLEDMPGSDFFSDWDFTADPCAFPGVFCAGDRVMALALGDPRAGYPGLQGSLDPALGRLSALAELSLVPGRVTGPVPDELAGCPDLRFLALSKNLLSGPIPAGLGALPRLRTLDLSYNQLSGPLPPSLTAAPTLSNLILCHNELSGTLPPFPDAASLLRLDLKHNQLSGPVPSLPPSLQYLALSHNTLTGPVDTVLPRLTRLIFLDLSSNLLEGPIPGSVFEFPLAALQLQRNAFSGKVEPPQEDVVIPVVDLSYNRLWGAVPPQLAAVGRLYLNNNRFSGEVPSRLVQGLSNGMQLLYLQHNFLTGIEIGPAAAAIPVGASLCLQYNCMVPPFDTPCPLKAGTQKMRPVYQCPDWRG >Ma06_p15520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10531581:10535007:1 gene:Ma06_g15520 transcript:Ma06_t15520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDSLKSAIRRSLTKPLQLPSADGHEAVQSRAIGKPKTGSAMEFTSGRSHSGQDPTSSKGREEEQAVAYRNSNDFQLLQVSREAHRLAQVIDSWSNSAKIDSRSQFFADDLLRGALGLQESLDMLKKCQDASRKMPRINSKQKQVEEICERELGSQRFAVSNYQNRLHESRSSADDFSRDCVEELKQVIKESLHKTNILSSSSDDEKNSSSRSMRYGTINHFEDKHLAKSTVPVTSICSTDNPRKARSSNLIAKLMGLEQARPEIVQPSKKEEKKNCVNSRRPGFDVEMPKAREMPYLAQDKCQKQHELDELIETMHFERRLKQSQVEENGLEMPFFGATDPKQCRRNLHNVEQLPPIVIMKSLHLRYQESDVEKGLDRDKTSMQDRIQAVKLVRENSSYHKHVLAKTLEAKEVKHMEMDVTEPLPYYETPSSLFHKQQKKAVTVQKKNTGEEKPSRLNVKKQEEKRVKATRIPISHPKISAVQEKPNKELPVARTKASAHVTATQNQDLKSPLRPISKAPIDCSKNRNRSGAKPIRKSAIAESVDDGKKRKENGKSIRSNKTNDVPEVTGTSHGDDEFKQAGQDTKPFDPDNVNKDHNIFCEATSKNNQDGKDYRLTEAVQLPDCNKVDAAAAGTDDELKNVFLSSRSFVGCAKQFFNVDATEPVHHRSESADEVGKCDSKLLLDISEELMTRKYHQQKHHLGHPLMQAKSWSRLTYLSIDKLVEEIIDRIRKLSSYSKLDSVAASEDSLYIRLERELTCKDPAINSVWDIGWDNRICPEEADQVAEELGKQILSSLIQESALELVQGQCR >Ma06_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10531581:10535007:1 gene:Ma06_g15520 transcript:Ma06_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDSLKSAIRRSLTKPLQLPSADGHEAVQSRAIGKPKTGSAMEFTSGRSHSGQDPTSSKGREEEQAVAYRNSNDFQLLQVSREAHRLAQVIDSWSNSAKIDSRSQFFADDLLRGALGLQESLDMLKKCQDASRKMPRINSKQKQVEEICERELGSQRFAVSNYQNRLHESRSSADDFSRDCVEELKQVIKESLHKTNILSSSSDDEKNSSSRSMRYGTINHFEDKHLAKSTVPVTSICSTDNPRKARSSNLIAKLMGLEQARPEIVQPSKKEEKKNCVNSRRPGFDVEMPKAREMPYLAQDKCQKQHELDELIETMHFERRLKQSQVEENGLEMPFFGATDPKQCRRNLHNVEQLPPIVIMKSLHLRYQESDVEKGLDRDKTSMQDRIQAVKLVRENSSYHKHVLAKTLEAKEVKHMEMDVTEPLPYYETPSSLFHKQQKKAVTVQKKNTGEEKPSRLNVKKQEEKRVKATRIPISHPKISAVQEKPNKELPVARTKASAHVTATQNQDLKSPLRPISKAPIDCSKNRNRSGAKPIRKSAIAESVDDGKKRKENGKSIRSNKTNDVPEVTGTSHGDDEFKQAGQDTKPFDPDNVNKDHNIFCEATSKNNQDGKDYRLTEAVQLPDCNKVDAAAAGTDDELKNVFLSSRSFVGCAKQFFNVDATEPVHHRSESADEVGKCDSKLLLDISEELMTRKYHQQKHHLGHPLMQAKSWSRLTYLSIDKLVEEIIDRIRKLSSYSKLDSVAASEDSLYIRLERELTCKDPAINSVWDIGWDNRICPEEADQVAEELGKQILSSLIQESALELVQGQCR >Ma06_p15520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10531581:10535007:1 gene:Ma06_g15520 transcript:Ma06_t15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDSLKSAIRRSLTKPLQLPSADGHEAVQSRAIGKPKTGSAMEFTSGRSHSGQDPTSSKGREEEQAVAYRNSNDFQLLQVSREAHRLAQVIDSWSNSAKIDSRSQFFADDLLRGALGLQESLDMLKKCQDASRKMPRINSKQKQVEEICERELGSQRFAVSNYQNRLHESRSSADDFSRDCVEELKQVIKESLHKTNILSSSSDDEKNSSSRSMRYGTINHFEDKHLAKSTVPVTSICSTDNPRKARSSNLIAKLMGLEQARPEIVQPSKKEEKKNCVNSRRPGFDVEMPKAREMPYLAQDKCQKQHELDELIETMHFERRLKQSQVEENGLEMPFFGATDPKQCRRNLHNVEQLPPIVIMKSLHLRYQESDVEKGLDRDKTSMQDRIQAVKLVRENSSYHKHVLAKTLEAKEVKHMEMDVTEPLPYYETPSSLFHKQQKKAVTVQKKNTGEEKPSRLNVKKQEEKRVKATRIPISHPKISAVQEKPNKELPVARTKASAHVTATQNQDLKSPLRPISKAPIDCSKNRNRSGAKPIRKSAIAESVDDGKKRKENGKSIRSNKTNDVPEVTGTSHGDDEFKQAGQDTKPFDPDNVNKDHNIFCEATSKNNQDGKDYRLTEAVQLPDCNKVDAAAAGTDDELKNVFLSSRSFVGCAKQFFNVDATEPVHHRSESADEVGKCDSKLLLDISEELMTRKYHQQKHHLGHPLMQAKSWSRLTYLSIDKLVEEIIDRIRKLSSYSKLDSVAASEDSLYIRLERELTCKDPAINSVWDIGWDNRICPEEADQVAEELGKQILSSLIQESALELVQGQCR >Ma06_p19420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13302136:13311716:1 gene:Ma06_g19420 transcript:Ma06_t19420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEYKSMTRRELQALSKQHGLPANLTNSRMAENLASLLQKRNSEEMKPKGCLKGSNGSSVEGAGRGGVNKKVSFYMQEDDGERDGSQRDLEARTSPERRRPSRRRSFVVYASKAVSEPVLEANGAFQVKTRSKRLRSTAAAVVWSPVIEEKRSRNRNNGPDLVAKVPHEEENNRREVDCAPTTRSPSRVVTITEMKTRSTRPRSTAAAVVRSHVIEEEAQNRNNGPDLAAKVPHQEENNRKKVDKEDDHCAPTTRSLRNRVVTIGGYEAMQGDTKPRRMQTRGNAKRKEEDVVAPVFDVSSEAQVLEKDENFGSKARINSTVGLDQHEIMVPHIEVPPPRRSKRNLAKSHNLFQESEESGRTSVVSIPNVQKYEKPAGEETKEAAKLRGALNRPRRHTSRIKDEGIGASLPGSLESRDEHPNKVLQKKERKAIRNPDLTAPLPDAILTETAEDHARKEKLSEVEPRRLCTRSSSVLTVLEHETSLAHDVELVRKSKTRRHERNMKTSKGTTEITVPSCETRAALQELIVQVDPKRVAQTKDTLRRSMRNVPKLASTEFKTSAPLVESSITDEHNKETKLPKHNAPGLQITGNTLVDVVEKARRSQRLKKGQVLAEAPTEDSTTDCTVGGENEMLEGHRHVEEPGRESSYNASRRELVMPTDEAVKNTRQKKRKRAVVSDKEISIEEVEIAGRLDPVRVQQNAGSNEHKERLCEDCCEKVLESDDAIRGNCSAELAVILTDEMANFDAYHTAVKKIASSKRHKNETHKECSEHQIKEDKNCPSMEVEPVAFGLIDTHRTTDDTDGSQETAPVSTSESSVAAKHVVSETAGSNHPKVKSGNKVQHSPLIDDLTNDKCHADQLIKSGNLSRVKTLQGFDNLTLMVKENREISSYNPVEVSRRLQDGFSYVDPSVKADSEVPGTECGGFADMPSTNSTMDSANYTEERDGQPKGTNVVDSPLPCSHLEEPSPAVVTEASTVSDPRTALMLYDVHAVASHSPVCPSGLKDEVVLPLIVVPDNDFVGLLNQLNIVKNESGSIGATSVALLDTESACQEFNGLIELKGTNTYSNIPKMCETAEEEGKYESSSSHLLTNTSTIGTKSIGDKCEEESFDGNTSPSEIAQGAEIVVLDEGNQNSSFSATDCSSGKSATKYDSLEQLAGHRELSPHKLMELSSDIVETLLEVTDVSRLSSGDNLCQNYEKVITSHDDNSEICCSDDANLVCAISPSLSEIPGDTIADASVQMEGVEVSSQGKSCAYDKSTTLLLVGSEFENQLEVQEDKFQVEFSASSIRSGKNGSAAKAPRCSDGNENNDRTEIVGTTYATGGTLQFKEESESAKLEDTHDARASSDESNDHSPVNPIKSEGTCSMDSLSMYKKSNKGKSFSPSSRKMRNTHIEFHINVLAGNKEDHIANGSPPASGNRSEGEASARQLSNLEVSESEAETWADSANMKSPYSVPQEFKVVDKLKERQCLVLSGGRNSCTDEDEFQALTKANSIEPADDDDVSNRRLSPLSILRESNVMNDSDIIMPSESENSAVIERPILELQTPVLAEGKDDDVQHDGVKNGGLDASCGFSCSGYEHAKSLCMESVALTNKFDGEPIDNIGEPLDLCGKFQASSDIGETTWGTPDGQGRWEITVPFGRTSSSVKYESTELEEKILSLEHHQSSTTSCINEELAPHSDNVEKNNMRDISKVSADDEEHEAKDTEEKMEMEEVEEYLVTLDDKEIDEHAMSSGSITSRDNLNDEKHNVPLLMDSFESTASCHDVHSCEITRMADQHEADACCVTPCFENDSASNCDNNTRREILASRTLVIAETKLAKPEYNLDSCNSTISVVTQEASAPEIAQEAVDTVGPEVGDVSLTPQHSECAEETTKDDMNFGFKKLDCEDDEFDDTKGCDTDSNGVLETKNDEILQQQLDSKDPKDERSEFSDALQVKLDLECSEEADMENCETDSGSNLSHTVHKHDEGKDNIFASETSDDCLCRLGSLKKDANVNQRIKSEEVWVAPGATLMCLEDLFDMEQKRSFARNDIVQAVANEHISHEENCQHEHDNLVGISGALRKAQKMERVSFMSDYNVTNEYEEQETLCGDPKVKFDATIEYDNTIQLELSYGRSDSKLMDVAKEHEEQAVITAWSDGFRMNIDETIESENAVQPKLSTDCSDLEPMDGMVCPASMQSFEERLYKETGAAVEDEDGSSVECLQTVSEKHPASQPNDEDTEISDTVGCQNSSDGYIDESEYNVAAEAWVEDLTSKLVSNPEASVSENGNTICQMETTDTLRANDRSQLTVETAGNIVDTRTASKKMEWRSVPGTTGYTLASPNKQEDININNAGEQEQFNSGRKETLVEGETLDDPQRCHVETIRMNGLLQQNSRVKTQQTLGVSADHSNSKCGREPRELSRTTGHDWMEEISRKLVDFRISSARKASKVDGSAKRPKHDDNLNNDIVMGKENTPAVRKEYSHKPHADGSVRRPLQNVNHN >Ma06_p19420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13302136:13311716:1 gene:Ma06_g19420 transcript:Ma06_t19420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEYKSMTRRELQALSKQHGLPANLTNSRMAENLASLLQKRNSEEMKPKGCLKGSNGSSVEGAGRGGVNKKVSFYMQEDDGERDGSQRDLEARTSPERRRPSRRRSFVVYASKAVSEPVLEANGAFQVKTRSKRLRSTAAAVVWSPVIEEKRSRNRNNGPDLVAKVPHEEENNRREVDCAPTTRSPSRVVTITEMKTRSTRPRSTAAAVVRSHVIEEEAQNRNNGPDLAAKVPHQEENNRKKVDKEDDHCAPTTRSLRNRVVTIGGYEAMQGDTKPRRMQTRGNAKRKEEDVVAPVFDVSSEAQVLEKDENFGSKARINSTVGLDQHEIMVPHIEVPPPRRSKRNLAKSHNLFQESEESGRTSVVSIPNVQKYEKPAGEETKEAAKLRGALNRPRRHTSRIKDEGIGASLPGSLESRDEHPNKVLQKKERKAIRNPDLTAPLPDAILTETAEDHARKEKLSEVEPRRLCTRSSSVLTVLEHETSLAHDVELVRKSKTRRHERNMKTSKGTTEITVPSCETRAALQELIVQVDPKRVAQTKDTLRRSMRNVPKLASTEFKTSAPLVESSITDEHNKETKLPKHNAPGLQITGNTLVDVVEKARRSQRLKKGQVLAEAPTEDSTTDCTVGGENEMLEGHRHVEEPGRESSYNASRRELVMPTDEAVKNTRQKKRKRAVVSDKEISIEEVEIAGRLDPVRVQQNAGSNEHKERLCEDCCEKVLESDDAIRGNCSAELAVILTDEMANFDAYHTAVKKIASSKRHKNETHKECSEHQIKEDKNCPSMEVEPVAFGLIDTHRTTDDTDGSQETAPVSTSESSVAAKHVVSETAGSNHPKVKSGNKVQHSPLIDDLTNDKCHADQLIKSGNLSRVKTLQGFDNLTLMVKENREISSYNPVEVSRRLQDGFSYVDPSVKADSEVPGTECGGFADMPSTNSTMDSANYTEERDGQPKGTNVVDSPLPCSHLEEPSPAVVTEASTVSDPRTALMLYDVHAVASHSPVCPSGLKDEVVLPLIVVPDNDFVGLLNQLNIVKNESGSIGATSVALLDTESACQEFNGLIELKGTNTYSNIPKMCETAEEEGKYESSSSHLLTNTSTIGTKSIGDKCEEESFDGNTSPSEIAQGAEIVVLDEGNQNSSFSATDCSSGKSATKYDSLEQLAGHRELSPHKLMELSSDIVETLLEVTDVSRLSSGDNLCQNYEKVITSHDDNSEICCSDDANLVCAISPSLSEIPGDTIADASVQMEGVEVSSQGKSCAYDKSTTLLLVGSEFENQLEVQEDKFQVEFSASSIRSGKNGSAAKAPRCSDGNENNDRTEIVGTTYATGGTLQFKEESESAKLEDTHDARASSDESNDHSPVNPIKSEGTCSMDSLSMYKKSNKGKSFSPSSRKMRNTHIEFHINVLAGNKEDHIANGSPPASGNRSEGEASARQLSNLEVSESEAETWADSANMKSPYSVPQEFKVVDKLKERQCLVLSGGRNSCTDEDEFQALTKANSIEPADDDDVSNRRLSPLSILRESNVMNDSDIIMPSESENSAVIERPILELQTPVLAEGKDDDVQHDGVKNGGLDASCGFSCSGYEHAKSLCMESVALTNKFDGEPIDNIGEPLDLCGKFQASSDIGETTWGTPDGQGSVGRWEITVPFGRTSSSVKYESTELEEKILSLEHHQSSTTSCINEELAPHSDNVEKNNMRDISKVSADDEEHEAKDTEEKMEMEEVEEYLVTLDDKEIDEHAMSSGSITSRDNLNDEKHNVPLLMDSFESTASCHDVHSCEITRMADQHEADACCVTPCFENDSASNCDNNTRREILASRTLVIAETKLAKPEYNLDSCNSTISVVTQEASAPEIAQEAVDTVGPEVGDVSLTPQHSECAEETTKDDMNFGFKKLDCEDDEFDDTKGCDTDSNGVLETKNDEILQQQLDSKDPKDERSEFSDALQVKLDLECSEEADMENCETDSGSNLSHTVHKHDEGKDNIFASETSDDCLCRLGSLKKDANVNQRIKSEEVWVAPGATLMCLEDLFDMEQKRSFARNDIVQAVANEHISHEENCQHEHDNLVGISGALRKAQKMERVSFMSDYNVTNEYEEQETLCGDPKVKFDATIEYDNTIQLELSYGRSDSKLMDVAKEHEEQAVITAWSDGFRMNIDETIESENAVQPKLSTDCSDLEPMDGMVCPASMQSFEERLYKETGAAVEDEDGSSVECLQTVSEKHPASQPNDEDTEISDTVGCQNSSDGYIDESEYNVAAEAWVEDLTSKLVSNPEASVSENGNTICQMETTDTLRANDRSQLTVETAGNIVDTRTASKKMEWRSVPGTTGYTLASPNKQEDININNAGEQEQFNSGRKETLVEGETLDDPQRCHVETIRMNGLLQQNSRVKTQQTLGVSADHSNSKCGREPRELSRTTGHDWMEEISRKLVDFRISSARKASKVDGSAKRPKHDDNLNNDIVMGKENTPAVRKEYSHKPHADGSVRRPLQNVNHN >Ma06_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13302136:13311716:1 gene:Ma06_g19420 transcript:Ma06_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEYKSMTRRELQALSKQHGLPANLTNSRMAENLASLLQKRNSEEMKPKGCLKGSNGSSVEGAGRGGVNKKVSFYMQEDDGERDGSQRDLEARTSPERRRPSRRRSFVVYASKAVSEPVLEANGAFQVKTRSKRLRSTAAAVVWSPVIEEKRSRNRNNGPDLVAKVPHEEENNRREVDCAPTTRSPSRVVTITEMKTRSTRPRSTAAAVVRSHVIEEEAQNRNNGPDLAAKVPHQEENNRKKVDKEDDHCAPTTRSLRNRVVTIGGYEAMQGDTKPRRMQTRGNAKRKEEDVVAPVFDVSSEAQVLEKDENFGSKARINSTVGLDQHEIMVPHIEVPPPRRSKRNLAKSHNLFQESEESGRTSVVSIPNVQKYEKPAGEETKEAAKLRGALNRPRRHTSRIKDEGIGASLPGSLESRDEHPNKVLQKKERKAIRNPDLTAPLPDAILTETAEDHARKEKLSEVEPRRLCTRSSSVLTVLEHETSLAHDVELVRKSKTRRHERNMKTSKGTTEITVPSCETRAALQELIVQVDPKRVAQTKDTLRRSMRNVPKLASTEFKTSAPLVESSITDEHNKETKLPKHNAPGLQITGNTLVDVVEKARRSQRLKKGQVLAEAPTEDSTTDCTVGGENEMLEGHRHVEEPGRESSYNASRRELVMPTDEAVKNTRQKKRKRAVVSDKEISIEEVEIAGRLDPVRVQQNAGSNEHKERLCEDCCEKVLESDDAIRGNCSAELAVILTDEMANFDAYHTAVKKIASSKRHKNETHKECSEHQIKEDKNCPSMEVEPVAFGLIDTHRTTDDTDGSQETAPVSTSESSVAAKHVVSETAGSNHPKVKSGNKVQHSPLIDDLTNDKCHADQLIKSGNLSRVKTLQGFDNLTLMVKENREISSYNPVEVSRRLQDGFSYVDPSVKADSEVPGTECGGFADMPSTNSTMDSANYTEERDGQPKGTNVVDSPLPCSHLEEPSPAVVTEASTVSDPRTALMLYDVHAVASHSPVCPSGLKDEVVLPLIVVPDNDFVGLLNQLNIVKNESGSIGATSVALLDTESACQEFNGLIELKGTNTYSNIPKMCETAEEEGKYESSSSHLLTNTSTIGTKSIGDKCEEESFDGNTSPSEIAQGAEIVVLDEGNQNSSFSATDCSSGKSATKYDSLEQLAGHRELSPHKLMELSSDIVETLLEVTDVSRLSSGDNLCQNYEKVITSHDDNSEICCSDDANLVCAISPSLSEIPGDTIADASVQMEGVEVSSQGKSCAYDKSTTLLLVGSEFENQLEVQEDKFQVEFSASSIRSGKNGSAAKAPRCSDGNENNDRTEIVGTTYATGGTLQFKEESESAKLEDTHDARASSDESNDHSPVNPIKSEGTCSMDSLSMYKKSNKGKSFSPSSRKMRNTHIEFHINVLAGNKEDHIANGSPPASGNRSEGEASARQLSNLEVSESEAETWADSANMKSPYSVPQEFKVVDKLKERQCLVLSGGRNSCTDEDEFQALTKANSIEPADDDDVSNRRLSPLSILRESNVMNDSDIIMPSESENSAVIERPILELQTPVLAEGKDDDVQHDGVKNGGLDASCGFSCSGYEHAKSLCMESVALTNKFDGEPIDNIGSVGRWEITVPFGRTSSSVKYESTELEEKILSLEHHQSSTTSCINEELAPHSDNVEKNNMRDISKVSADDEEHEAKDTEEKMEMEEVEEYLVTLDDKEIDEHAMSSGSITSRDNLNDEKHNVPLLMDSFESTASCHDVHSCEITRMADQHEADACCVTPCFENDSASNCDNNTRREILASRTLVIAETKLAKPEYNLDSCNSTISVVTQEASAPEIAQEAVDTVGPEVGDVSLTPQHSECAEETTKDDMNFGFKKLDCEDDEFDDTKGCDTDSNGVLETKNDEILQQQLDSKDPKDERSEFSDALQVKLDLECSEEADMENCETDSGSNLSHTVHKHDEGKDNIFASETSDDCLCRLGSLKKDANVNQRIKSEEVWVAPGATLMCLEDLFDMEQKRSFARNDIVQAVANEHISHEENCQHEHDNLVGISGALRKAQKMERVSFMSDYNVTNEYEEQETLCGDPKVKFDATIEYDNTIQLELSYGRSDSKLMDVAKEHEEQAVITAWSDGFRMNIDETIESENAVQPKLSTDCSDLEPMDGMVCPASMQSFEERLYKETGAAVEDEDGSSVECLQTVSEKHPASQPNDEDTEISDTVGCQNSSDGYIDESEYNVAAEAWVEDLTSKLVSNPEASVSENGNTICQMETTDTLRANDRSQLTVETAGNIVDTRTASKKMEWRSVPGTTGYTLASPNKQEDININNAGEQEQFNSGRKETLVEGETLDDPQRCHVETIRMNGLLQQNSRVKTQQTLGVSADHSNSKCGREPRELSRTTGHDWMEEISRKLVDFRISSARKASKVDGSAKRPKHDDNLNNDIVMGKENTPAVRKEYSHKPHADGSVRRPLQNVNHN >Ma04_p38310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35897618:35901105:-1 gene:Ma04_g38310 transcript:Ma04_t38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVNGAGFSLLRWRRGGALRTRAVASESVATTREEVEKVKLGGSDLKVTKLGIGAWSWGDTTYWNDFQWDDRKLKAAKAAFDTSIDGGITFFDTAEVYGAGVMGAVNSETLLGRFIKERQQKEAVEVAVATKFAALPWRLGRGSVLSALKDSLSRLGVSSVELYQLHWPGIWGNKGYIDGLGDAVEQGLVKAVGVSNYSERRLRDAYNQLKKRGIPLASNQVNYSLIYRIPEENGVKATCDELGITLIAYSPIAQGALTGKYTPENPPTGHRGRIYTPEFLKKLQPLVTRIEEVGQNYRKTPTQVVLNWLIAQDNVVPIPGAKNAEQATQFAGALGWRLTEQEIEELRALASEINPVIGFPVEKL >Ma10_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30452803:30453491:1 gene:Ma10_g20190 transcript:Ma10_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMFTLCPVPSSPNTILSLPFLLKRQVPGPTITHTQANMARHAPLSNFSPASNAAAALFFLVALVAAVASIVNSLCTTRKHSKMAKENTDSIPSTPPKEDGELLCSEAVEEALWRKTIIMGERCRPLVFSGQILYDSQGNQLPNLAPVVPPRGGQRLG >Ma08_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24999275:25012856:1 gene:Ma08_g17370 transcript:Ma08_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEARGGFTNLFMQEENSFTHFLQSGLGADGAVVCTQPRKIAVNSLAQRVGEEANGCYSNNFVHSYPTYSSFQEFGLGLIFMTDHCLLQHFMKGTGLPHISCIIIDEAHERSLNTDLLLALIKRKLLENIDLRLIIMSATVDASRLSGYFYSCSTLYVKGRNFPVEIKYIPDISAVVKDFSGKCASYASDVLKMVNMIHKTEGDGGILAFLTSQMEVEWACENFTDPTAIVLPMHGKLSFVEQRHVFQNYPGKRKIIFCTNIAETSLTIRGVKYVVDSGMVKESRFEPSSGMNVLKVSRISQSSANQRAGRAGRTESGKCFRLYSESDFQAMKMHQEPEIRKVHLGIAVLRILALGIKNVQDFEFVDAPCPKATEVAIQNLIHLGAVTHKTDAYELTETGWYLVKLGIEPRLGKIILGCFDHGLRKEGIILATIMPNASSIFCRVGSEEDKYRADCLRVPFCHHDGDLFTLLSVYKKWEGEPVNRNWCWRNSINAKSLRRCQEAVSELESCLQHELNIIVPSYWLWNPDEPSCYDKLLKKVILSSLVENVAMFSGRNQLGYEVALTGQRIQLHPSSSLLVYGKRPDWVVFGEILSSLTDYLVCVTAVDFDDLCMIQPPLFDLYQLESRKMLMDVISGVGNNLLKRFCGKSNQNLQRLILHTQNVCSDNRISIDIDFSKSEVHVYASEKDIEQVASIVKDALEYEKKCLRNECIEKRLFPGRPGISSSLALFGSGAEIKHLELEKRYLTVEILHPNSSSLNDKELLVMVEKYACGIANFQKYGGTGQEGSYVNKWGRITFLSPEIAENAVTKLNEVEFCGSMIRALPIKAVEPKVTPFSAVRVKVSWPRRPCRGIALVTCADGEAEYIVRDCFALTIGGRYINIQVSQKRQNCVFLTGVPRDISEEELRDALLGLTKRRILGIHLARGMAVADPPIATCAEALIKEISPFMTHKHFSDNNFRVEVFKPEPKDFTMKAMITFDGSLHLEAEKALNHIQGKVLPGFETWQKIQCQQVFNSSLSFPSRVYCAIRKQLDSLLESFRCQRGVSYNLEQNDNGSYRVKISANSPKNIVDLRRPLEQLTQGKTITHSSLTPAVLQLLFSRDGVACLKTVERETGTYVLYDRQNLNIRVFGPPKEVSAAEKNLVHSLLTLHENKLLEIPLQGRSLPPNLMKEVVQRFGSDLQGLKENVPGAEVTLSTRRHTLYVRGDKELKQRVEDLISEVALSINQNRVIERPPESCCPICLCELEDPYKLEACGHTFCRACLENQLESTIRSRDGFPLCCTKVGCQKLILLIDLRSLLSFEKLEELFRASLSAFVASSDGTYRFCPTPDCPNLYRVAPLEEEVGPFICGACLAETCRKCHLEYHPFVSCERYMEYKEDPDLSLAEWCRGKENVNNCPSCGLTIEKTEGCNHVECRCGRHICWVCISSFRSSDECYSHLRSVHQSY >Ma08_p17370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24998591:25012856:1 gene:Ma08_g17370 transcript:Ma08_t17370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVFPDHRVEGPPPWRERRPLPRCQRPAFAVLLLCSTPAVRRAVAFADVDDLVASCPFPPAQFAINSGRVAGRLFYDLHADAVDASLFFWGRRLDGAHPLTPVIEPAPDAPPYNDAEEKGRLKTLFCGHIQGLLEYQGVRLCERRIDEVSDEIKNVSVLLSRHNRLVKFTELRDKRTRLEAERKQLKGRLSEFHAAMECLLARLGERQEKAFAEEEEGGVDADVNAKIFKLGDKLDWNQICQLMQRECRRLEEGLPIYSCRRKILSRIFSNQVMVLIGETGSGKSTQLVQFLADSGLGADGAVVCTQPRKIAVNSLAQRVGEEANGCYSNNFVHSYPTYSSFQEFGLGLIFMTDHCLLQHFMKGTGLPHISCIIIDEAHERSLNTDLLLALIKRKLLENIDLRLIIMSATVDASRLSGYFYSCSTLYVKGRNFPVEIKYIPDISAVVKDFSGKCASYASDVLKMVNMIHKTEGDGGILAFLTSQMEVEWACENFTDPTAIVLPMHGKLSFVEQRHVFQNYPGKRKIIFCTNIAETSLTIRGVKYVVDSGMVKESRFEPSSGMNVLKVSRISQSSANQRAGRAGRTESGKCFRLYSESDFQAMKMHQEPEIRKVHLGIAVLRILALGIKNVQDFEFVDAPCPKATEVAIQNLIHLGAVTHKTDAYELTETGWYLVKLGIEPRLGKIILGCFDHGLRKEGIILATIMPNASSIFCRVGSEEDKYRADCLRVPFCHHDGDLFTLLSVYKKWEGEPVNRNWCWRNSINAKSLRRCQEAVSELESCLQHELNIIVPSYWLWNPDEPSCYDKLLKKVILSSLVENVAMFSGRNQLGYEVALTGQRIQLHPSSSLLVYGKRPDWVVFGEILSSLTDYLVCVTAVDFDDLCMIQPPLFDLYQLESRKMLMDVISGVGNNLLKRFCGKSNQNLQRLILHTQNVCSDNRISIDIDFSKSEVHVYASEKDIEQVASIVKDALEYEKKCLRNECIEKRLFPGRPGISSSLALFGSGAEIKHLELEKRYLTVEILHPNSSSLNDKELLVMVEKYACGIANFQKYGGTGQEGSYVNKWGRITFLSPEIAENAVTKLNEVEFCGSMIRALPIKAVEPKVTPFSAVRVKVSWPRRPCRGIALVTCADGEAEYIVRDCFALTIGGRYINIQVSQKRQNCVFLTGVPRDISEEELRDALLGLTKRRILGIHLARGMAVADPPIATCAEALIKEISPFMTHKHFSDNNFRVEVFKPEPKDFTMKAMITFDGSLHLEAEKALNHIQGKVLPGFETWQKIQCQQVFNSSLSFPSRVYCAIRKQLDSLLESFRCQRGVSYNLEQNDNGSYRVKISANSPKNIVDLRRPLEQLTQGKTITHSSLTPAVLQLLFSRDGVACLKTVERETGTYVLYDRQNLNIRVFGPPKEVSAAEKNLVHSLLTLHENKLLEIPLQGRSLPPNLMKEVVQRFGSDLQGLKENVPGAEVTLSTRRHTLYVRGDKELKQRVEDLISEVALSINQNRVIERPPESCCPICLCELEDPYKLEACGHTFCRACLENQLESTIRSRDGFPLCCTKVGCQKLILLIDLRSLLSFEKLEELFRASLSAFVASSDGTYRFCPTPDCPNLYRVAPLEEEVGPFICGACLAETCRKCHLEYHPFVSCERYMEYKEDPDLSLAEWCRGKENVNNCPSCGLTIEKTEGCNHVECRCGRHICWVCISSFRSSDECYSHLRSVHQSY >Ma08_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3755772:3758966:1 gene:Ma08_g05530 transcript:Ma08_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGAADDGGRPPASTAVAIDKDKNSQQAVKWAIDHLLLGSYAVILIHVKTKPPLNHETTSDAIREQAENEMSQLFLPFRGFCARKGVHMTEVVLEDNDVSKAIIDYINTNYIQNIVVGASSRNALTKKFRNPDVPTNIGKLAPEFCAVYVISKGKPVTVRTAKSPPPLAGVLPPQPSVLASPQMADPDDSIKTPFTRAGYRGTMAPGTPDRRSFERNMDHIRTPSRERPLLGGRTPPGNVLLDGIDSSHRPPRPSASRDMIFDDLDFQGGTGFQSMDFGSMEISSTSSDSPKGSLSPTSGRDLEAEMRRLKLELKQTMEMYSTACKEAISAKQKAKELHQWKLEEARRVEEAKHAEEMALALAEMEKAKCKAAVEMAEAAQRIAEQEARKRMNAEMKAKREAEEKVKALDALAMTDLRYRKYSIEEIEIATDQFSANLKIGEGGYGPVYRACLDHTPVAIKVLRPDAAQGRKQFQQEVEVLSSIRHPNMVLLLGACPEYGCLVYEYMDNGSLEDRLFRRGNTPPIPWSVRFKIAAEIATGLLFLHQAKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVANQVTQYRMTSTAGTFCYIDPEYQQTGMLGTKSDIYSFGIMLLQIITAKPPMGLTHHVERAIERGSFTEMLDATVKDWPVEEALGFAELALKCAELRRKDRPDLGTVVLPELNRLRNLGHAYEDSFSGGGGSGIPSTASSNSSSSFGTGPPTLNGNLRATSLANQVLHSSPLQELSSDQILMAIALVLTRRRL >Ma04_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24519660:24521187:1 gene:Ma04_g22150 transcript:Ma04_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSKGITVPASLLILTAAAAFLLFLLLPSLSPSSSNAPSLSCPTTAAAVAATTRPPDPISPTPDDIAWLKSQLARNSIQEPPSSPAAWHSLRKGINPRTRAQQLEDLRRFKGVSHYEGDDAGNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAAAAWLAPADHVLEIGCGTLRVGLHFIRYLDAARFHCLERDELSLMAALRYELPSQGLLHKRPLILRGEDMEFDRFGSDVVYDLIYASAVFLHMPDALVWEGLERLSGRLKPEKGRIFVSHNIKFCSRLGGDVCTERLSKLGLEYVRKHTHDSLLFSHYEIWFEFRKMA >Ma05_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7762788:7777777:-1 gene:Ma05_g10650 transcript:Ma05_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease Dicer homolog 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) UniProtKB/Swiss-Prot;Acc:Q9LXW7] MRKNTIAVPETGAGKTLIAVMLMKEFGKRLIVDGQKMMIIFLASTVNLVNQDYTELDVVEYCGAQRIGEWSTDCWEKNVKTRDVVVMIPQILLDALRRAFQTLDTVQLIVFDECHCARGNHPYARIMKEFYHDTGCKPTIFGMTASPVGGKDYNELIKTEVGYAMGTKNGFISSKLDKLVEISQSLRLEEEVYCLILMERVITSKVIERFMRKINITPHFPVSYLTGGGSSKDSLTPKLQRTVIDSFRAGKVNFLLTTDIAEEGVDIPNCSCVIHFDLPKTVCSYVQSRGRARQVNSSFILMLERGNDVQKDKIFDIIGSENYLGKPSSRDNKTFLSNGHGEEIDVYCVKTTGATVTAESSINLIYKFCEKLPKDRYFIPKPFFELYEKDGSYECSLTLPPNAAFQKIIGPMSCSSNSAKQLVSLKAFKKLHQLGALSNHLLPFHEDPQGSTFSGAGTTKRKELHGMTSVHALCGSWAHKPDNVTLNAYKVHFVCDQEGENYSDFVLLVGSSLDDDVASAEIVLSLIPNKVITSYVSPCGKVHLSAEQVEKSKLFQEFFFNGIFGRMFTGSRSSGSQREFLFREGHMISWSSMNMYLLLPLESSSNDNGFSIYWNGIHACVAIVEYLRKIYSTDDEYHSGNSTTSCTSPCETNGENAEIVQLANKSLHIKYLKNSVVFSIHNGRIYSVLDVINDVTPEDPFDDSCGMKPSQFVSFIDYYHQKYNIVLHYPQQPLLLLKQSHNPHNLLLKSRSEDASTGDKAIMEKEQIHARLPPELLVHIDLSTDILKSFYLLPSVMHRLETLMLASQLRKEIGYNDLLIPSSLILEAMTTLRCCENFSLERLELLGDSVLKYAVSCHLFLKYPKKHEGQLSDCRSQAVCNSTLHKLGTGRSIQGYIRDSAFDPRRWLAPGQISIRPFPCICGIDTCNVPLEGKYMTEEISVVVGKPCDKGHRWMCSKTIADCVEALVGAYYAGGGLPAALQAMRWLGVDIKMDKVLVEEAKKSAFHWYHLSKVSEIEFLESKLNYMFTVKGLLLEAITHPSLQELGLDYCYQRLEFLGDSVLDLLITWHHFLSHKNIDPGVLTDLRSASVNNENFAQVAVRNNFDNYLRHSSGILSEQIKDYVTRISSYHCFNDMLLPVFLPKAPKVLGDIVESIAGAILIDTYLNLDAVWDIFKSLFSPIVTPDNLELPPIRELSELCSYFGYFIHTKSMKNGEEVLSELTVQLKDDLLVGCGRDKNMKTAKAQAALCLLKQLKTRGISHGQSISKRKQDRYIFSDNSFLSTTYVRNTTSKDNGYLENNSKLTKAKLNNPVHPITLPMRMDKGGPRTALFKLCRILQWPMPEFESREENFRTPITLNGVKTPNFNLFTTKISLHIPNSKVLTLTGEQRTDKKSAQDSAALVLLLELKKQEVCILEEP >Ma06_p25860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26445727:26447382:1 gene:Ma06_g25860 transcript:Ma06_t25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKKSKQNVGCSERTDNCSPSVLESIVDPSSELEGLLGPPPDNMFDTRLSDGVETDAHEVERLRIRIWLDIIRLKHLNEHHKCKIIQQSSASLLDYSQEQSRRKKLAQNQEKIMNEMRELAEEGLAQGFVYGIVPYKGKPVSGASNNLLKWWKEIVRFRQNGPAAIREYQTDSSTHFVGKENPSEFSVPGSLQELQDTTLGSLLSALMPYCDPPQRRFPLEKGIPPPWWPNMSEEWWREMGMPKDPSPPPYKKPHDLKKAWKVSVLIAIIKHLMPDIEKIQRLIEKSKGLQDKITAKEVDIMNAILKHELNKYFGLQHNAPPPPSMERKSYGETMGDASSISGIVEQPISEAMQEESMNVTQYLAMDVNMFTRRNLPNYELGPQDQPRGSIHQDNLGHIRHSVASASHGNQPLANPYGSYLPMVADNPDLPSGGIEMSRQGTSSSGMNLFQTRLNQDQTLIPEAMEVEIDPLFPSSAQEDAMGTSITRELANLVQSQIFPSDETVLFESIFENDLDIGSASCFSIMDRSSRLPDSFHELDEYDWSKDFGN >Ma08_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8789061:8791358:-1 gene:Ma08_g11780 transcript:Ma08_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLSLMLMVVQFLMAQVHPYAYTSMKRRTYIVHMDATEIAALDDSLGGATRWPQAVLDSLSLSRGEGEAEPAPQLLYVYDTALSGFAATLSAKQAESLRQLDGVLTAYPDELIHLHTTHSPDFLGLNPGKGLWSSRNLASDIVVGVIDTGIWPEHASFSDTGYSGVNLSRWRGACEAGRGFSASNCNRKLVGARAFWKGYEAVGSRINETSEYRSARDSQGHGTHTASTAAGNIVAGASLLGNAKGSAKGMRYTARVAAYKGCWNSGCASSDILAAVDRAVADGVDVLSLSLGGGSREYYSDSVAIAAFGAVRKGVFISCSAGNSGPYESTVSNTAPWIMTVAASYLDRRYPTSVKLGNGRTFEGASLYAGKPTELVPIVYGDTAGGRGARFCIARSLSSKRVMGKMVLCDRGLISRTEKGEQVKLAGGVAMLLLNSEEQGEELFADLHVLPASSLGAAATTAIKSYIASSKTPTAMITFEGTVYGKTAPMMAAFSSRGPSLVGPDIIKPDVTAPGVAILAAWPPSVSPSLVDSDQRRVNFNIISGTSMSCPHVSGLAALLKSLHPDWSPAAIRSALMTTAFSVDSQNASIIDVSTGLPATPFVLGSGHVDPEKASKPGLIYDIAPDDYLNYLCSLKYTPQQLATFAGKKYNCPKNKIIRARDLNYPSFSVLFDSGRKKATLTHTRTVTNVGQAPCGYTVNVREPQGVRITVKPKVLTFNKVGQKMRYMVTFSTVGGHGSAFGELAWVGDDATIRSPVTVTWQ >Ma02_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21635201:21636222:-1 gene:Ma02_g13070 transcript:Ma02_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLSGYRAVSRRQRTLPFPSPSRSPIAWSTGTQEMGSVTVYGPPISPAVSRVVACLLEKDVVFNLVNIDMSKAQHKSPDFLKIQPFGQVPAFQDEHTTLFESRAICRYICDKYADRGNRSLLGRRGGGLVERAHVEQWLEAEGQSFSPPSSTLVFQLAFAPQMGLPQDAAAILLNEGKLAKVLDVYERRLEESRFLAGDMFSLADLSHLPNGHYVRAGGKVELFTSRKNVARWWEEISMRPSWKKVVEMQRAPPSF >Ma05_p31460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41369565:41372547:1 gene:Ma05_g31460 transcript:Ma05_t31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLARLAYCCPGDGAPTGRRRRRHGAVAVTWDPCDEGLGHSFCYVRRDTECGVSGRPYAAAAAASTATASSKVHHSEETTTFRTISGAAVSANASTPLSTSLLDVAGPIAASAFESSTSFSSVALQPVPRFSGPLSGPLAAADRGFMSGPIERGFMSGPLDHRASLFSAPLDKPLCFSDQLRRSLSHGLPRPRRMAVRSLIRGLTKAVARTVSTASYGSGSIVAPVKGPKDSGLADGGLTNLSGSSGRHSVEAAQWSDSLDSLNGNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDATDYLLSNLYPAVHRELKGLLWEDKNDTFCREPVDDPTTPAAPDEHNPTVATNWAADDRSSKEGRENKRKPVGAARKWEENHRRWRCEFERERLELDRRLKEQSKRSSQDRSVNHSLVLEALSEALRKTEESFLDIADKMVSENPELALMGSCVLVMLMKGDDVYLMNVGDSRAIVARKAEPDSWSSVGKPRKDLERINEETLFELDANGGGGPSGEPTNLVALQLNSDHSTSVEEEVGRIRDEHPDDSSAIWNDRVKGSLKVTRAFGAGFLKQPKWNDGLLEMFRIEYVGSTPYITCNPSLHHHKLGPKDAFLILSSDGLYQYFTNQEAVAQVQMFVAATPEGDPAQHLVEQVLFRAAKKAGMDFHELLEIPQGDRRRYHDDICVIIISLEGRIWRSCM >Ma04_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3774725:3776657:1 gene:Ma04_g04970 transcript:Ma04_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQTQAKSTAVAKRRVSSSSSSSSSPSSRFKRVCVFCGSSTGKKKGYQLAAIQLGKELVERNIDLVYGGGSVGLMGLVSQAVFDGGRHVLGIIPRTLMPKEITGETIGEVQPVAGMHQRKAEMARQADAFVALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVEGYYNTLLSFIDKAVDEGFISPDARHIIISAQTAHELLSKLEEYEPRHERVASKLRWEMEKLGHPPKSYIAR >Ma09_p08610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5705521:5710184:1 gene:Ma09_g08610 transcript:Ma09_t08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISTASGPKTVVTGYPAATAGSSYPYPAPPPASYYPNGHPPPPPPQPLYYPAAYPAAAPPPRYNTAFLRRLLSIAVALFLLFGLVTLIVWLVLRPRLPEFTVSSATVSGFNLSTSQQLLSADFDLDLTVHNPNSKMGIYYDHVAAAVLYGSDPLSETSLAPFYQAKGATTALRARLVAVAMYVDSNVVKGINSDRGRGDGAVRFQARVSAWVRFKPGAWKTRWHTMRVYCDDVPIGLRNGTTAATTGYLVGSTPKKCVVNL >Ma09_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5705521:5710178:1 gene:Ma09_g08610 transcript:Ma09_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTASGPKTVVTGYPAATAGSSYPYPAPPPASYYPNGHPPPPPPQPLYYPAAYPAAAPPPRYNTAFLRRLLSIAVALFLLFGLVTLIVWLVLRPRLPEFTVSSATVSGFNLSTSQQLLSADFDLDLTVHNPNSKMGIYYDHVAAAVLYGSDPLSETSLAPFYQAKGATTALRARLVAVAMYVDSNVVKGINSDRGRGDGAVRFQARVSAWVRFKPGAWKTRWHTMRVYCDDVPIGLRNGTTAATTGYLVGSTPKKCVVNL >Ma09_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12986569:12987153:1 gene:Ma09_g17410 transcript:Ma09_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLPPPKPSTQPKRVTGGGSAEQRCTAHALPEAVAKYHEHAVGRKQCCSAVVQEVAAPVAVVWSVVRRFDKPQAYKRFVKSCRVVVGDGGVGTLREVRVVSGLPATTSTERLEILDDEHHVLSFRVVGGEHRLANYRSVTTIHPAPEPVGHAMVVESYVVDVPPGNTRDDTRVFVDTIVRCNLQSLARTAEAL >Ma11_p18140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23279017:23281236:-1 gene:Ma11_g18140 transcript:Ma11_t18140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 25 [Source:Projected from Arabidopsis thaliana (AT2G30250) UniProtKB/Swiss-Prot;Acc:O22921] MINRSQSLRPATRHEQPLLDLPSDSSSLPLSSCMASSAASSWHADAFAFSTGSFTELLSGAAADAREFSDGVAGDGTDLPKFKFIAPPSLPISPSSSYFAIPAGFSPAALLDSPVLLSSGMFRSPQAFGYQQGIRDGDECLGEFSFQNGTKQASCFHPSSASIPSDEHQRSWMHQQHYSTTTPTTKSESTAPRDSNSRHPSGSAHHAQAVQTLQRRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPSCPTKKKIERSLDGQITEIVYKGTHNHPKPRSTTRNLACAQATRSLMLESVATPDNSSVSFGDDDLDLGSQVSKSGVDEFEEEEPDAKRWKGKQEGEGAGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTVGCSVRKHVERACHDLRAVITTYEGKHNHDVPAARGSGGQGSSSFAMAIRPTATTSSHQNQMLITNSIFSGKSDGSANPSPFNPQNPRNYEFSSGYDSSISTSYTDPQQRGQIQSVFCKAAVKGEGDEIFV >Ma11_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23279017:23281240:-1 gene:Ma11_g18140 transcript:Ma11_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 25 [Source:Projected from Arabidopsis thaliana (AT2G30250) UniProtKB/Swiss-Prot;Acc:O22921] MINRSQSLRPATRHEQPLLDLPSDSSSLPLSSCMASSAASSWHADAFAFSTGSFTELLSGAAADAREFSDGVAGDGTDLPKFKFIAPPSLPISPSSSYFAIPAGFSPAALLDSPVLLSSGMFRSPQAFGYQQGIRDGDECLGEFSFQNGTKQASCFHPSSASIPSVPIAPSCSSSFPIGCSSEDLRVLMPFLPDDESFNVVIQDEHQRSWMHQQHYSTTTPTTKSESTAPRDSNSRHPSGSAHHAQAVQTLQRRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPSCPTKKKIERSLDGQITEIVYKGTHNHPKPRSTTRNLACAQATRSLMLESVATPDNSSVSFGDDDLDLGSQVSKSGVDEFEEEEPDAKRWKGKQEGEGAGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTVGCSVRKHVERACHDLRAVITTYEGKHNHDVPAARGSGGQGSSSFAMAIRPTATTSSHQNQMLITNSIFSGKSDGSANPSPFNPQNPRNYEFSSGYDSSISTSYTDPQQRGQIQSVFCKAAVKGEGDEIFV >Ma02_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29336838:29337481:-1 gene:Ma02_g24950 transcript:Ma02_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFPPTIYEIMSATQVTVPESEKAVGGHLREVGLTFHFFNQLPMIIADNIGNSLADAFKPLGITDWNEVFWVAHPGNWAIMDDAIESKLGLLPEKLSTARHVFAEYGNMQSATVYIVMDEVRKRSVVEGRATTGDGLQWGGALWVWTGAQHRDCRAPQLTTLVAVVILLVRYGCAAMLVLPLPCE >Ma02_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:1039052:1060291:-1 gene:Ma02_g00090 transcript:Ma02_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPPPSTQPSQQPPSPPLARLQSQPPDNDRSSGELRALDCNLASLCDHIQMEGFNSGAFSDVVVQAMGSTYRLHRLILSRSSYFRNMLHGPWKEAGEPTVVLNIDDANVDPDAIAVGLAYLYGHYPKLDDSNAFRVLAVASFLDLQDLCAICTDFIISELSTSNFLAYQVFAESHDYGMHGERVSNACWGYLCQSATKELIEVLPKLSLQTLHALLTSDELWIPNEEKRFELALYTLLARGAISETEHSTQRSSSIETGSTDSAGHRAKNLIDSSCSKQPTESELQQLNIQGNLEGHKAAHNILVELADYVVDFHTVLPSSKQVQVRQNSGSNPVQDSRYSKLRQPASPTSLADMNSTRPSCSYMDMGNSVETARKEIAMEGPSGESPCYNLNNNLWLARDQSRESLMSLTGNETARNDWGRSNVPIWGGRVVGRRQVTNAEVSSLRDEEFDAFMKIFEGGSLLYCNMSFEALLSVRRQLEDLGFPCKAVNDGLWLQMLLCHRVQAIVADACKNCCLTSSACACRQAYGYSHGGGPVGYYRQEHDRNNSSSTNGNIYLANAQGDGSGLFGPVRVPVRGTIDGLAGIGRGTTYEHGAAWPPTRYVFSRVPTVLGNRNPQQTLGNDESEARIDLNGDVPSDGLTALVGLVQGNNLVHIHAEQRGRMCEAEIQNRFAAAGSHPGACGISSQMLESREQTLGLEWESCEGSSISLDLKTPLRHFPPFRFGVEFEDVDRLGDGQVKHSSEVFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAELTDSLRKVHMYVDSREKVTARYQLICPSKREVMVFGSFKQAGTLLPKAPKGWGWRTALLFDELADLLHAGALRVTAIVQLV >Ma08_p33130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43582497:43591980:-1 gene:Ma08_g33130 transcript:Ma08_t33130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEARSLRKAVVPASLFRDPSPGNIQSTRLALNVNDGGSSCSVYIASGCRVYKIEISMEDSVITKGKESLIIPVNAEVIHSSAVDRCPHRSEIQSLVLTEGGDNCLIMGTVDCYGHLIVSQLDPVGSVIDRLSYSVLPRDCGIGEGSWAGICFSPAHWSTVAVARSFCKSIDVYDQDIHIKSLQTLWYPASLSFFDNPHYEGHSSVLAVAEGSQLTIWDLRTNQNGGCVQRVCGSIGDLIYSLCSSPSGTIAVGGSDRTVTIYDPRRWSAISRWVNCSKYEITGLYFSTIDSDYIYIQGVDYEVICGTWKEGRKAFSFRGDSNWLGFSKCANADVLAGWCDSGSIFVADVVEEHS >Ma08_p33130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43582497:43591995:-1 gene:Ma08_g33130 transcript:Ma08_t33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLYPSWIRLVQVAVARSFCKSIDVYDQDIHIKSLQTLWYPASLSFFDNPHYEGHSSVLAVAEGSQLTIWDLRTNQNGGCVQRVCGSIGDLIYSLCSSPSGTIAVGGSDRTVTIYDPRRWSAISRWVNCSKYEITGLYFSTIDSDYIYIQGVDYEVICGTWKEGRKAFSFRGDSNWLGFSKCANADVLAGWCDSGSIFVADVVEEHS >Ma02_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18495706:18496215:-1 gene:Ma02_g08010 transcript:Ma02_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSRHHIPAYGDWDNWDDLRMAVRAAYHQHHQHHQRKVKRGGEKQRKQWRVCDVAAQTTARGGRAPKAVDEDLYKIPPELLHKKPERKMLLRSLVSGCMGLNCIA >Ma06_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4233431:4237918:1 gene:Ma06_g05690 transcript:Ma06_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHLTVEVGLQNEEGDGGLQKLTMPVTYINHPYFAGLLDRAKKVYGYRSGAPVPLKLPCTVEEFIHVKSLVERESSGRGGTKMKGHLTVEVGLQNEEGDGGLQKLTMPVTYINHPYFAGLLDRAKKVYGYRSGAPVPLKLPCTVEEFIHFKSLVERESSGSQ >Ma08_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5191128:5193020:1 gene:Ma08_g07580 transcript:Ma08_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSLPLPFILFLPIAVFSFFRFRAAFLGYPSAGPLPGGAPSVAPPPFPNVTLLSLAAEEVGEAELRKDVENLLHGSFVSVSDGGGGRRFRSYSSWRQDNHLEGRTRMRLPAQFHAPKYYHFFPEFRRSLRDWFRSRRFQPEVMLELVRLVKIPIDHHYGRPGSQQLFGSCAVVGNSGILMNNEHGELIDGHDLVIRLNNARIQGYHRNVGSKTGLSFINSNILHACARRESCFCHPYGESIPMVMYICQALHFLDYAVCNSSHKAPILVTDVRFDMLCARIVKYYSLKRFTEETGKPPDEWKNVHDEKLFHYSSGMQAVMLAVGICDKLSIFGFGKSSEAKHHYHTNQKKELDLHDYEAEYALYHDLVEWPQVIPFLKDSGLEVPPVVFYH >Ma01_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21680389:21681528:-1 gene:Ma01_g21720 transcript:Ma01_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTRIMIRQPFSRSYWSKLYMMTIEIMYYKNSVTRDFTGRELISIEMDVYSPNKTVEYGIIDGVMDRDSIIPLVPYSSIICWNPHMRASNQCG >Ma09_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11119735:11125265:-1 gene:Ma09_g15790 transcript:Ma09_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MELSLSGNALKTFTRSVTCLARVGTELVLVASPSKLELHTLNSSRSAYQTVSFHPGSFDIYILSSTSSSVRCSVLLKSLCSVFRTPAAALDRFAVALPSPDAAKLQCTLHCLSGAKKTYWITCNVDPDVQQLSLDRRRFPSSLIVRPRDLARLLANFQSSLQEITIIATETSAAPSDSRDEIGRKAVELRSYIDPTKDNSDATLHTQLWIDPAEEFFQYTHTGDPVDVTFGVKELKAFLAFCEGCEVNIHIFFEKAGEPILMAPRFGFDDASDSDFDATLVLATMLVSQLNAGSSTEQPSVPPVPQLERSRAANASPVSDNPSNHTKIWSELSGSAAKSSDTIRGKQVQDEGNPSSSIPNDQQTPNVINVPRVPAAQEDILDTQQPMETDDRDKTQDRADMHGNPYSQHHPSNWVGAVDDEDDDDDEELCVQSTPHYYD >Ma06_p30480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31750675:31752606:1 gene:Ma06_g30480 transcript:Ma06_t30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMTEVVDHKRRGHDGFVLGNLSGEKRLKAAVSPKVKIGVQEKKDKIGERVSKLQQLVSPFGKSDTASVLSEATAYIKFLHDQLQVLSAPYLQTTVTGEMEDGEHHSLRSRGLCLVPVASTRRIAQSNGADLWAPVNSNRSP >Ma09_p27710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38640471:38645729:-1 gene:Ma09_g27710 transcript:Ma09_t27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQRQTWNRESSEASADLKDDFSSPSLPSCAIQQPHFAPRSPDGGVESGNKNFLGIGQNNSDCPQMEEAMDTKKNSYLANSFSSPDGSSAPDSKEDNGNQQHGALDKNGKIPFLTNDLNTSTLDQRGFVVSENKPVIKLEGVKVDLPNVHRILAPYSSNESNELGTPQNGVSENLKKYDASRVLVDTTAPFESVKEAATKFGGIADWKAQKTLITERRKQVQFELKKAQEEIPTCKEQHEAAEAVKGQVLKELDYTKRLVEELKLSLEKAETQEAQAKQDSELADLRLKEIEKGITNNSSVVAKTRLEVAKERHASAVAELISVKQELESMQRRYVLLVHEKDIAIRTAKESVSASKKLEKTVEDLTLELITTKELLESAHSAHLEAEEQRIGAALALEQDKLNWEKEMKQAESELQQLNEQLLATNDLKSKLDRASSLLVSLKVELALYMESKLNLEVNSAGELNPIVQTGDINETETNVQASLATTTKELEEVRFNIENANDEVNCLRVAVSSLKSELEREKASLTTVRQREGVASASVSSLEAELTRINTELELILIREKEAREKLVELPKALQQAAEEADQAKLIADLAREDLRKAKEEAEYAKAGASTLETRLTAALKEIEAAKASEKLAISAVKALEESEQASMECEDSSNGVTLPIEEYYRLSKKANEAEEIANKKVISAIEQMKAAKESESRSLIQLEEANKRIKEKKKAQRAAVDKAKKAREGKLGVEQELRTWRADHEQQRKLGGIRRSFSDSSNLVALGDTESIASEAGSQTRSPRVHMARSNTTNAMPGSERRPRSFFPRIVTFLARKKVQSLK >Ma08_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37298811:37300467:-1 gene:Ma08_g23950 transcript:Ma08_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVGGPLLCIGDLLRDVGEDGDAINGDGRETDLLSSAPAELPPPHLLTPSRDLVRVFQENYDQLIKSLEGTDHSWTALTLKLCAALKTADKVVSSADSNIGSLLEKVGLLESIIKRGESAVAMIESIQNVQTSKAGGSSTINLDAKM >Ma04_p34050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33392225:33393493:-1 gene:Ma04_g34050 transcript:Ma04_t34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGRALASGFGKRFVNQIWAARDPAERVAAVASLPSLSYSERRVHVSSSYDKNVEELEEARVPDHVIDAKSDKYWGPHPTTGVFGPADESGASVNGGGKAATAPVSGPSALDQTVWFRPLEDVDKPPNA >Ma02_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16626841:16633268:-1 gene:Ma02_g05290 transcript:Ma02_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCHGKNISIGGGRGRHRRRNPRHPDDGGDVGDGNTATNTPQPGDSGHVTPIYSSSCWPSPYTQGSASPFPAGVSPSPASSTPRRFFRRPFPPPSPAKHIKAALAKRLDPGRLKEGTTAEDGTGNAEKPTLNKNFGYGKNFGSKYELGKEVGRGHFGNTCLAKAKKGDIKGQTVAVKIVSKAKMTTPISIEDVSREVKILKALSGHKNLVKFYEACEDAHNVYIIMEFCEGGELLDRILARGGRYTEEDAKAIIVQMLGVVAFCHLQGVVHRDLKPENFLFTSKDENAVMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHKSYSTEADMWSIGVIVYILLCGSRPFWARTESGIFRSVLRADPNLDDTPWPAVSPEAKDFVTRLLNKDYRKRLTAAQALTHPWLRSEQTQIPLDMLIYKLIKSYLRATPLKQAALKALSKALTEDGLFYLRSQFELLEPNKDGFISFQNFQKALMEKTTEAMKLSGVTDILNVLEALSSRSMDFDEFCAAAISPYQLEALGQWEQIATAAFSYFEEEGNQVLSVEELAQELNITTTSHSFLQDWIRQEDGKLSFLGYTKYLHGVTIRSANVRHN >Ma11_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24242958:24252043:-1 gene:Ma11_g19350 transcript:Ma11_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNQIVEKEEGKDFSIGEMEEGNRLVHDSLSTVALAIDGTKKSKYVIQWALNKFRDEGRVMFKLLHVRPRIKMIPTPMGNLPVNQVREDVASAYRKEQGWRTQTMLRPYKDMCLQNQIETKVVVLEADDVAEAIAKEVTENSISKLVIGASSRNALMRKFKGSNLPTRIAECKPSFCTVYVVSKGKLSSICASVSEMDETASTSITDDSAKEENDSSGLSSSFSSNSRNPISGSGAEVKSSFRLTCLPQLQQEAFISKDYGRSNSVDTLLTGNMSLLEDSRISSSYVSESQYSNSIASLRSYQTVKSWNFTTSSTSHSSKEYSPSGNEGDIDLELEKLRTQLRHPMGLFKFAPNELHSASQQLHDIHEHDSEEIFKLHETRSRLEMTSKIAQLENERHEDSEKQTPERELACSSEPYMKYNWEEIVDATLSFSDALKIGVGANGTVYKGIFHHTVAAVKVLHSSEGSNTRQFNQELEVLSRVCHPHVLLLLGACPDKGCIVYEYMENGSLEDRLQCKNDTLPLPWFHRFRIAWEVASALIFLHNSRPEPIIHRDLKPANILLDTNFVSKIGDAGLSTLIPTSNVPLSTMYKDTAPVGTFFYMDPEYQRTGLVSAKSDTYALGMVILELLTAKSPMGLAFAIETALETDCLMDILDSKAGRWPEAGAKELANLGLRCLEMRRKDRPELKDQVLPVLERLKDTMAQAHVSLRDPSVPPKHFICPIQKTVMDDPCIAADGYTYDRYAIGAWLSWNENSPMTNLPLPNKELIPDNSLLSAIIDWKARTQ >Ma09_p27620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38577470:38579900:1 gene:Ma09_g27620 transcript:Ma09_t27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWRGRDEAVDDFDEYDPTPYGGGYDLSLTFGRPLPPSEEICYPLSSGASGVDYERPHYSSGSVPSAYEADYGDPYGRSRPKPQPAYGFRPQQEVEEGGVGDFGAYGGGRRPQPRPGYGDYGSEYGGRPKRDEEPSYGYEGYGSYQTDEYGCGAQPSYERPVYGGEEETGGYDPDQGEGYRRPNYQGDDSDEEKNHNYGKHHHHHHHHRNYADDE >Ma09_p27620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38577470:38579897:1 gene:Ma09_g27620 transcript:Ma09_t27620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWRGRDEAVDDFDEYDPTPYGGGYDLSLTFGRPLPPSEEICYPLSSGASGVDYERPHYSSGSVPSAYEADYGDPYGRSRPKPQPAYGFRPQQEVEEGGVGDFGAYGGGRRPQPRPGYGDYGSEYGGRPKRDEEPSYGYEGYGSYQTDEYGCGAQPSYERPVYGGEEETGGYDPDQGEGYRRPNYGDDSDEEKNHNYGKHHHHHHHHRNYADDE >Ma02_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16985105:16986377:-1 gene:Ma02_g05780 transcript:Ma02_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSRAQAVGVPVQSIRYAAEMCGKSAVVTELKRLCLQPTSYESSQYKQKADAPVDWMRKFSKKADGYLKGIKDHVSLGPKISETVKGKLSLGAKILRAGGVERVFRKNFSVERGEKLLQAFQCYLSTTAGPIAGLLFISTHKIAFRSDRSIRVTSPRGNLVRVPYKVLIPVGRVRRASLCENSQKPNQKYIQIVTVDEFEFWFMGFLSYQRSLNYLRRVISKSQVGVLQ >Ma05_p26010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37799591:37804617:-1 gene:Ma05_g26010 transcript:Ma05_t26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa2 [Source:Projected from Arabidopsis thaliana (AT2G18230) UniProtKB/TrEMBL;Acc:A0A178VY12] MAAEADGRGTTTFQHIALNERILSSMMRKSVAAHPWHDLEIGPGAPAVFNCVVEIARGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYKDINDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPAEDAIKAIEYSMDLYASYIIESLRQ >Ma05_p26010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37799589:37803174:-1 gene:Ma05_g26010 transcript:Ma05_t26010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPa2 [Source:Projected from Arabidopsis thaliana (AT2G18230) UniProtKB/TrEMBL;Acc:A0A178VY12] MSDMAAEADGRGTTTFQHIALNERILSSMMRKSVAAHPWHDLEIGPGAPAVFNCVVEIARGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYKDINDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPAEDAIKAIEYSMDLYASYIIESLRQ >Ma08_p32270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42958268:42973253:-1 gene:Ma08_g32270 transcript:Ma08_t32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDGLPEAEDGFRAPAQVAVPMSAPPESAVGVSRDSLRVLELLKDLGSEVSEELLVLMPNLLSALRHQDPFVVKQSIANGTALFGAVLEEIALQLHGSSKIEHWVEEMWSWMVQFKGAVCGVLMEPGSIGIKVLAVKFIETCILYFSPDEKDGGVLFTEGKGRRFNVLHLIRGNSILNPAMLEIEADRTLDLLLDLLHLANNLRGSLVIAVINSLASIAKNRPLRYKVILSALLAFDPNFEIQNGGHASSIRYCLRTAFVGFLRCNHPSFTESREKIIRALRAISPGESIDQVLRQVERMSRTMDRVSHDVRVSKGDPLSIQESVSGDVTRNKTVLPPSDVLSEELPAKRIRFDMPFETAHSTQMAYDLADDNDDDGNGNYSSSISLVGSDLSPAEKMIAMIGALLAEGERGAESLELLISNIHADLMADIVIETMKHLPKNLVVASVRHNNGSSNEETSLPSISSQVLSTTATDLSAPTSSLPSELATSSVGANGFSVTPDVSSFSSLSTESKRDPRRDPRRLDPRRSVAFGNSHSVSLNLENSHDMQPVPSQLSSKPVAISEAIKIEHSSSLLASKLDVEPSDEPVGQNQMIEKLQTTETSEVQDNVMIVDQSLDDTPPNLEISPVESIEEQLAASTPSDATANEGVVHNLSECDEFSSPDVKSLTPEDNSHDLTTHPPLVELMDEQKSELHRLAVTRIVEDYKHLQVTGCGQACLPLLARLVAQTGADGDVVKFLQQHIILGYHHHKGHELAMHVLYHLHAIMISELDDCSSSAASSYEKFLLGVVRTLLDSLPATDKSFSKLLSEAPFLPDSSLKLLEDLCHSNGYEHNLGENREGDRVTQGLVAVWSLILGRPPYRQTCLDIALKVYISYHSN >Ma11_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26607331:26608982:1 gene:Ma11_g23110 transcript:Ma11_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVDASESFVSLSSILKPEDPSNGGPAADSDEEAEEIMAAKKRDAVDSSLKGAKEVDDGVGFIGRLVSNLTVSVPGGPVEGTEDNHKKEEEEEEEEEEGGGLINRLFPYLPVTMPDEQSPSADEASLLISIIED >Ma04_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21994226:21994828:1 gene:Ma04_g19390 transcript:Ma04_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQILHDFARKILSIVSSQDWVYELIKN >Ma07_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21821945:21860859:1 gene:Ma07_g17780 transcript:Ma07_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSDLSSQGGDYKNFRHVTRDRLLCEMLQSTRAKDSKSTWKVLIMDKITVKVISHSCKMADITEEGVSLVEDIYKRRQPLPSMDAVYFIQPTKENVVMFLSDMSGKSPLYKKAYVFFSSPVNKELVAHIKKDTSVLPRIGGLSEMNLEYFAIDSQGFITDHERAMEELLGENAEGSHMYNDCLNTMATRISTAFASLREFPYVRYRAAKSSLDASTVTTLHDLVPTKLAAGVWNCLSRYKAKIHDFPQRETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLNMDGNKYTHEVPNKTGSTDKKEVLLEDHDPIWLELWHAHIADASERLHEKMTNFISKNKAAQIHHGSRDGGELSTRDLQKMVEALPQYTEQIDKLSLHVEIAGKINGIIREQGLREVGQLEQDLVFGDAGTKELINFLRTKQDISCENKLRLMMIYASIYPEKFEGDKASKLMQLAQLSSDDMIAVNNMRYLGGYGTKKTSRSGFTLKFDAYKKKHAVRKERKSEEVTWQLSKFYPLIEELIEKLSKGELPKDEYPCMNDPSSSFHETSQDASASTTHHAPAQSMRSRRATWARPRSSDDGYSSDSVLRHSSSDFKKMDQRIFIFIIGGATRSELRVVHKLTTKLKREIILGSSSIDDPSQFITKLKMLSPQEISLDDLEI >Ma04_p29310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30265373:30272673:-1 gene:Ma04_g29310 transcript:Ma04_t29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGLCRIHHAASLASAASIALPCFPPTTFPPPAFRCFRPGTPRAGGGSMDSTTMSPPGVAVRDPKSLVEKKTAIRCAGCSKLQVIADFDGTLTRYWIDGRRGQTSHGLLQQGNAEYDARRQALYEYYHPLEISPTIPIEEKTKLMEEWWEKTHGLLIEGGVTYDAIKKSVSEATIAFRDGVVELFEFLEELDVPVLVFSAGLADIIEEVFRQKLHRSFKNVKVVSNRMVFDEKGCLVAFKGKTIHVLNKNEHALDMAAPIHDKFGYPNGYNPDSVLMKQRTNVLLLGDHVGDLGMSDGLSYENRIAVGFLNNNTEMSFKSYLDAFDVVYLNDASMRGVVELVSQLCAENQ >Ma00_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44823612:44826007:1 gene:Ma00_g05150 transcript:Ma00_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVSSCRQLLSSPSLPTFLRRPPSPPLPRRFFSCSGRKKRQQWQPRRSSAGFRARCGLLPVDPWAPTVDSQSVASQLFAASLFPYLGFLYYITRSNTAPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFVVLGLREALRKIKEAKKSTPPVVSEVKEKSSV >Ma11_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11181152:11182311:1 gene:Ma11_g10990 transcript:Ma11_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVPKMQKTSPPTYAPNPASSPSAWPQAMESPAPPIRSAAAAPSSSPSPAARTADLVSRFKEQGKALIAAQRPWPQLLNTTALSRPANAGEAVARLRRNLAYFRSNYALFAIAALSASLLWHPASLVAFVALVAAWFLLYFSRDQPLVLFGRLIDDGTVLGALSVATVVALLFSDVGSTVFGAIMVGAALVCLHAVFRATDDLFLDEAEVANGGLAVPAFGIPVQPQAYVRIV >Ma10_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3934150:3935047:-1 gene:Ma10_g01050 transcript:Ma10_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSHNQAGIVYNGGLVPLRKLLDSKNGCLQHNAAFALYGIAENEDNVSDFIKVGGVQKLQDGEFIIQATKDCVAKTMKRLEEKINGRVLNHLLYLMRVGEKAVQRRIALALAFEGCPKAHVLVCSNLLRSVICSYHLF >Ma10_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25456792:25458886:1 gene:Ma10_g12270 transcript:Ma10_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEHKLFAAGLLLAATLVLAKLVASVLAPRSGKRLPPTVSAPPVVGGLLRFMRGPIPMIREEYAKLGGVFTLNILNRKISFFIGPEVSGHFFKAPEAQLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVTKLRSYVDQMVVEAEGYFSKWGDSGTVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMRPISVIFPYLPIPAHRRRDRARARIAEIFSTIIRSRKSSGKSEDDMLQCFIDSKYKDGRSTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRHKQYFSAAIEEQKEIMARHGNKLDHDILSEMDVLYRCIKEALRLHPPLIMLLRYSHDDFTVTTKEGKEYDIPKGHIVATSPAFANRLPHIYKDPDTYDPDRFAAGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPENNWKAMVVGVKGEVMVRYKRRKLSVDN >Ma09_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4317160:4318113:1 gene:Ma09_g06760 transcript:Ma09_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLTSISGTPRAGRHLLHVEPACVVLVSLLLEAGGRMGRSKSEAPRRLRFASPDEAGDDVECSGASCRSCAAVMVADCVALGCCPCAVVDVLALALVKVPWAVSRRCLGMLKRREGASRRRRLRDEGGVERMRREVVVEGREAKSSEKMKRWADVGATEGENGHGGQRSDADKVWMELYQIGHWGFGCPSREFRGGAIREDT >Ma03_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26762209:26762809:-1 gene:Ma03_g21870 transcript:Ma03_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIVGMDQPGNVTLPEGLRDTKRINFYKSYITELKRAMDDGATVIGYFAWSLLDNFEWRLGYTSRFGLVYVDFKTNMRYPKESAYWFKNMLKREKNN >Ma03_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10110595:10121794:-1 gene:Ma03_g13100 transcript:Ma03_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVGGHMSNVLRSAAWKPSACPSQSHRLNANCAPCLSWPSSRGEHKMRSLRVYGLFGGKKDKSENADDAPSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELEAAEFDGYCEGELIKATLSGNQQPIRIEITEAAMELGSEKLSLLVTEAYKDAHQKSVQAMKERMSNLAQSLGMPPGLSEGLKQ >Ma02_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26898825:26904285:1 gene:Ma02_g21200 transcript:Ma02_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALLLSHARRHHHRLRPLQVPLSSPSETSPVPSFFFSRSLSSSSISPVSYPVKPKDPDEEESPDASNGAPSPPSPPPRLPRAESQVPDGGSRPWTRADARFVKDGPTISPVSYQARVAPLPEYRAPEPEETKGDDGQLHREARRIQSGARARSFFDLQEEPKIPFPTLIVPERKSQKVPMDLMEAIRQVKANAKRKFVETMEAHVNLGVDPRRGDQMVRGALTLPHGTGKTVRVAVFADKAAADEARAAGADIVGGEELIEEIKNGGGKLKFDKCIATPTFMPRLSKIARILGPRGLMPNPKLGSVTSDVSGAVKEAKSGRVDFKIDKTAIVHVGLGKVNFKEEFLLENIGAFVHALLIAKPVGLKKTSKFVGYVKNFTLCSTMGPGYPVSIQSLSAAADHYNKLQVK >Ma05_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27289329:27291707:1 gene:Ma05_g19220 transcript:Ma05_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYAKLPMMVITVESLPGCEKERLINGDVSIERELVATRIEESKEGSVCPKAQVIRLLGRAEEIGPQISAVLFEQAIRAADFSPESLACLLEAPWKIPTEEYETKKDLRNTCTFTIDPTSATDLDDALSIEKVSEKVFRIGVHIANVSRFVLPDTTLDREARIQSTSVYIPQHKLPMLPPELSEEACSLVSGEDMLAFTITWDIDDTGNITVRSIGRSVIHSCCKLSYDDFDLKDVVDSLKSLHGITKKMREIRLRNGAFWIETPKLVFLLDESGNPNDSFLGPMSMKLKEFQEFCRKRGLELDALDLRGRDDEWAHYGLSIPLYTHFTSPLRRYADIIVHNIGCTLLSATVRYGVPASEVVSEVAAYCNERKRASKHAEQSVENIYLSALLKNKEVMFSDARVLRVGSTFMTFYINKFAIERRIYFGEVEGLTIEWTETTGTLVLNALKTKPS >Ma07_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28641361:28645512:-1 gene:Ma07_g20690 transcript:Ma07_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSCFKPSGEHVAVLIPFSVVDDQLHDFNTGSSLATISANLSSVPVLNGTNFKDWKENILIVLGCMDLDLALREDQPASLTKNSTHDERRLYEKWDRSNRMSLMIIKHGIPEAFRGAVSEGITKAKDFLTEIEKRFLKNDKAKTSTLLQSLFTMRYNSKGNIREYIMEMSNLASKLKALKLSLSEDLLVHLVLISLPVQFNQFKE >Ma04_p26300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27799375:27800767:-1 gene:Ma04_g26300 transcript:Ma04_t26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWLCPFFPNNKTHLFSRSTAHSGTKRHSRSMETFSGGEDGAASASGSRETWSYPTVSQAPPKRPAGRTKFRETRHPVYRGVRQRGAAGRWVCEVREPNKKSRIWVGTFPTADMAARAHDVAAMMLRGRSACLNFADSAWRLRVPPSFSGPRDIARAAAEAAEAFRPPSDSNGDAKEGKTSAAASSAAATTSTMSPEEDTGGGDAIDAMAYDDMDLGFGYYSGMAEGLLLVEPPPNGGCNWDDVDAGADVPLWSHSI >Ma07_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7004089:7012438:1 gene:Ma07_g09350 transcript:Ma07_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFYFWCISAAAIFVQGQPGFISIDCGLAANTSYNNLTGIEYASDARYVDTGEIHTISSAYQTSSLAPQNVHLRSFPDGSRNCYTLKPVQRGNNYLIRAGFLYGNYDGRNHIPRFDIYIGVNHWDSFKFSNPAFVYGTETMIVASADFISVCLVNTGDGTPFISSLELRPLTGLYSALNESNYFLNLQRYDLGSTSNRSTRYPYDVYDRMWTADKNLPPNSFVPMNTSLNISTSSDDDFKVSSTIMRTYVTPSDGSDINYYWELPMETPTVQQHIVLHFAEIELLLSNESRIFDIYLNGKLWRKAFSPRYLQTDHVFTTEPLDSVSNNINILKAANSTHPPILNALEVFKVRSLLELSTDNGDVDAIMGVKAAYQIKRNWIGDPCSPRIYSWEGLRCNSSGSMSQRLTYLNLADGGLLGEIAASFAKLGALEHLNLSGNSLSGAIPDALGELPFLRLLDLSNNQLQGSIPTLLQKRSENHSLTLRYEGNPDLCYGSNSCKQRKMSIAIIIVIVAIAAVFLLMVAACIWKVKRAKQAGCLKPQKEDGFSGHRKDKNKQFQLKNQEFTHEDIVYITKNFQHTIGKGGFGTVYLGELQDGTQVAVKVNSQSSSQGINEFQAEAELLTKIHHKNLMSLVGYCEDENFLALVYEYMAQGSLEQHLRGKTSFSRILQWIERLQIAIEAAQGLEYLHSGCKPPIIHRDVKPTNILLNHKGEAKIADFGVSRIFQNDQTHVSTAVVGTMGYLDPEYFFSCKLTEKSDVYSFGVVLLELITGLPAILRNPDRGILVHWVSPILARGDIDTVTDDRMQRENGTRSVWKAAEIALRCVLPTSIERPTMSEVVIQLKECMALEVSSRSSGRTQIQYASEFDIDNCDAIGLSSAGTTVNHYEDRSSVEFSSSGMSTDHHQNEFVVSPSAALLGYISSDTSQSHGTEKEVEPKRCTFSVA >Ma10_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25086499:25093682:-1 gene:Ma10_g11640 transcript:Ma10_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIPPATAAASRRDPSNRKNPSPPRHRNDPQNPRRPPLVPSEKDNAVSVTDATAGGPAPRRPRSREISSRYLSSSSSSSSTSTSYSTATTLSSSGSRRFPSPLVTPRPSTPPTLSQSAAQKRSHSVDRVRPLTPRPDPCPASAEPSAAARALCTMTRSLSVSFQGESFFYQTSHAKTASPSPARKPSPERRRVSAVAATPARAGDHSENKRPSDNHRLWPGARHRASNPLMRSLDCSLGQNDSILATVRLMQQSLVFDDGTRRASFDGADLSASSDTDSMSSGSNSAVPELRMAPRSKVAPRGISVPARFWQENNSRLRQLPDSGSLPSSSGSSPMVHRQQGLVKKLPVDCPSPSPRLAPSPLRGPIRPSSPDKHMASPSRGMVSPLRARSGRTPTSSPVSQPANAPSIISFAAEVRRAKKGENRIEEAHLLRLFDNQHLQWRFVNARASAASLWHKVTAEKHIYNVWAATSKLRDSVTFKRTKLRLLTQNLKLKSVLHEQMTYLEEWSLMHSNHSSSLSGAIDALNATTLRLPVVGGVKADIQEMKYAVGSAVEVMQAMGSSIFFLLSKVEGTSSVISEITKVAAEERLLLEKSRDLLSTVAAMHVTQCSLQSHVIQLKQKASPT >Ma09_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29485139:29538631:1 gene:Ma09_g20530 transcript:Ma09_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGVLGHALGSAASSSSSATVVPQAPVFYPTEEEFADPLAFIFKIRPLAEPFGICRIVPPRSWNPPFALDRAAFTFPTKSQAIHRLQARPPSCDPATFRLEYGRFLESHLGKRSLPRRVVFEGDDLDLCRLFNAVKRYGGYDMVCAEKRWADVARFVRPAIKISECAKHVLCQLYREHLYDYEEYNSRLDRGTKKGKSTRRCPERNTSTQIEIPNRKRRRKGLGCERAKEVVEEVLDQICEQCKSGLHGEVMLLCDRCDKGCHLYCLSPPLEKIPSGNWYCLECVNSDTDCFGFEPGKLYSVNAFKRMDDRMRRKWFGQTNASRVQIEKRFWEIVEGRSGEVEVMYGSDLDTSLYGSGFPRANDPIPSSIDPNVWRQYASSPWNLNNLPKLPGSMLRAVRENIAGVMVPWLYVGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGSEANAFEQVMRTTLPDLFEAQPDLLFQLVTMLNPSILLEKGVPVYSVLQEPGNFVITFPRSFHGGFNFGLNCAEAVNFAPADWLPHGGVGADLYRLYRKAAVLSHEELLCVAVKSDCDSKALPYLKEEMQMVFVREKKYREQLWVNGIVRSSPMCPKKHPNYVGCEEDPACVICQQYLYLSAITCSCRPSTFVCLEVKGGDISYSQLAEDWLSHSCHILEIPFENSAYLSALKEAQQFLWADHDMDPVRDMKIKLIEAQRWALDINSCVSKVESFMHCPQKYNERVSLDELEKLLNFRPLPCYEAGSSKLKTLAEDAQNLVIEVQSALSSYLSIDKLEMLYNRTTEFPVSLQITERLSCEIASAKNWLNNAHLCLMEKKPGSIDIDFFNELKSEMQELHVSLPEVDSFSNMYKDVESWKIRCEDILKGPLRLKELEDFLIVADNLIVSIPEIDLLRKYRSDACSWACHLQDVLQNLNERNDYGNIVIELSHILKAGELLRVQVDELPLVKAELKKSICRENALKALATPMPLGFIQQVLNEASQLEIENEQLFIDISEVLRRAVSWEERAKSALEHVAHISDFQNIIRDSEGILVGLPSLANVQDAMSVALLWISRSQPYLEQTMNRNPSDHLLKLDELKELVSQSELLKVTVDASEKLQSILKEVERWVQYAYSLLEHAKSLFNIHHADLIVGHNFLTKIVELLSKVDSAIEDGQSLCFHFKELPELRNASSSLQWCSTALSFCYKVPLLKEVERLLEDADCLPIIFADSYLAEVLIVGVNCLRKALSILPEPHNFKRCKLKDVETILDEIQKYIVPYPLIVSQIQSAIQKHKSWLKQVNACFQLPSEQLWPSLLELKEHGEAVAFECSEFYRVASEVGKIENWMSECHVLLDPVVGDLDSLSAGLVQIKGSLDKALCVYRGSKGRRAREFSVCCPNYAGNEEVYTCLVCDDRFHYSCVGPPLANAGMTSEYSCPFCLCVESGSLPRNGNQTLISRGIRPEIKSFCELLSAAKDFHARFKELNLVEEIVKQALECKFNLTEIVHHTTSYHGNDLSSISESFLNALKAIAVAGIYDHEDCCNLELALSKNSWKVRVKKLLRGSKKPVLQQIQRLIKEGIAMGIASEDHFMREIAEVRQISLRWADVAKKVISDSGDLALSEVYKLISEGENLPLNLEKELKSLRARSLLYCICRKPYDQRAMIACDQCDEWYHFDCIDLHEPPQKTFYCPACRPSLEEFISLPQAMRNEERSSNVGGPDTPPVCQRESKRRGSISFGSNLHQKLQDAVDLLEVLRFSDIDQLWRENKRPLHRTAKRRIKL >Ma09_p20530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29485137:29538631:1 gene:Ma09_g20530 transcript:Ma09_t20530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGVLGHALGSAASSSSSATVVPQAPVFYPTEEEFADPLAFIFKIRPLAEPFGICRIVPPRSWNPPFALDRAAFTFPTKSQAIHRLQARPPSCDPATFRLEYGRFLESHLGKRSLPRRVVFEGDDLDLCRLFNAVKRYGGYDMVCAEKRWADVARFVRPAIKISECAKHVLCQLYREHLYDYEEYNSRLDRGTKKGKSTRRCPERNTSTQIEIPNRKRRRKGLGCERAKEVVEEVLDQICEQCKSGLHGEVMLLCDRCDKGCHLYCLSPPLEKIPSGNWYCLECVNSDTDCFGFEPGKLYSVNAFKRMDDRMRRKWFGQTNASRVQIEKRFWEIVEGRSGEVEVMYGSDLDTSLYGSGFPRANDPIPSSIDPNVWRQYASSPWNLNNLPKLPGSMLRAVRENIAGVMVPWLYVGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGSEANAFEQVMRTTLPDLFEAQPDLLFQLVTMLNPSILLEKGVPVYSVLQEPGNFVITFPRSFHGGFNFGLNCAEAVNFAPADWLPHGGVGADLYRLYRKAAVLSHEELLCVAVKSDCDSKALPYLKEEMQMVFVREKKYREQLWVNGIVRSSPMCPKKHPNYVGCEEDPACVICQQYLYLSAITCSCRPSTFVCLEHWRHLCECKPEKHHLLYRHTLAELGDLLHMVSSVSEMTNMVETLQNRLSQGPGCNLYPNRSSAITKKVKGGDISYSQLAEDWLSHSCHILEIPFENSAYLSALKEAQQFLWADHDMDPVRDMKIKLIEAQRWALDINSCVSKVESFMHCPQKYNERVSLDELEKLLNFRPLPCYEAGSSKLKTLAEDAQNLVIEVQSALSSYLSIDKLEMLYNRTTEFPVSLQITERLSCEIASAKNWLNNAHLCLMEKKPGSIDIDFFNELKSEMQELHVSLPEVDSFSNMYKDVESWKIRCEDILKGPLRLKELEDFLIVADNLIVSIPEIDLLRKYRSDACSWACHLQDVLQNLNERNDYGNIVIELSHILKAGELLRVQVDELPLVKAELKKSICRENALKALATPMPLGFIQQVLNEASQLEIENEQLFIDISEVLRRAVSWEERAKSALEHVAHISDFQNIIRDSEGILVGLPSLANVQDAMSVALLWISRSQPYLEQTMNRNPSDHLLKLDELKELVSQSELLKVTVDASEKLQSILKEVERWVQYAYSLLEHAKSLFNIHHADLIVGHNFLTKIVELLSKVDSAIEDGQSLCFHFKELPELRNASSSLQWCSTALSFCYKVPLLKEVERLLEDADCLPIIFADSYLAEVLIVGVNCLRKALSILPEPHNFKRCKLKDVETILDEIQKYIVPYPLIVSQIQSAIQKHKSWLKQVNACFQLPSEQLWPSLLELKEHGEAVAFECSEFYRVASEVGKIENWMSECHVLLDPVVGDLDSLSAGLVQIKGSLDKALCVYRGSKGRRAREFSVCCPNYAGNEEVYTCLVCDDRFHYSCVGPPLANAGMTSEYSCPFCLCVESGSLPRNGNQTLISRGIRPEIKSFCELLSAAKDFHARFKELNLVEEIVKQALECKFNLTEIVHHTTSYHGNDLSSISESFLNALKAIAVAGIYDHEDCCNLELALSKNSWKVRVKKLLRGSKKPVLQQIQRLIKEGIAMGIASEDHFMREIAEVRQISLRWADVAKKVISDSGDLALSEVYKLISEGENLPLNLEKELKSLRARSLLYCICRKPYDQRAMIACDQCDEWYHFDCIDLHEPPQKTFYCPACRPSLEEFISLPQAMRNEERSSNVGGPDTPPVCQRESKRRGSISFGSNLHQKLQDAVDLLEVLRFSDIDQLWRENKRPLHRTAKRRIKL >Ma10_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24388878:24392779:-1 gene:Ma10_g10450 transcript:Ma10_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWKGVEEEEQGREWEPIRILKTGLKEGVKREMKSGVERTTETRKREREREMLLGSFVKERQESKEVPPLDLPKLLAYFVKQSGPFFNQLGIRQDICDKIVEALCSRRKDQLMYHSLSAKDTSLPGNENSDELDLRIASVLESMTLVNKMVKLRVIGAFKMCPIEIPTFSSYESLVIRVEIWTSWTSY >Ma05_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3931059:3931679:-1 gene:Ma05_g05150 transcript:Ma05_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPHAVLIPYPTAGHLNPMLELAKLLHSKGFFITFVNTEFSHQQLLKTVGSDVMSAMNNLRFETIADGVSQSDSESPDHVFRVWFLIQKNSPAPLRDLILKLHSSSDLPPLTCIVTNFLMNFTRGVAEQLGVPELVFWTTSACGLMVSLQLGELIRRGFVPLKGTHLLCEMKKRIKFLDRNKSIESILSNW >Ma05_p26900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38413004:38419009:1 gene:Ma05_g26900 transcript:Ma05_t26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPIITRSYLTAAVVTTVGCSLEIISPYNLYLNPKLIVQQYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFFMLLFGATVLTGIVLIGGMIPYLSESFANILFLSNSLTFMMVYVWSKHNPYIHMSFLGLFTFTAAYLPWVLLGFSILVGSTTWVDLLGMIAGHAYYFLEDVYPQMTGRRPLKTPLFIKALFADDDVLVAQPGNERFAPPAQDLHQD >Ma11_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1217871:1220425:-1 gene:Ma11_g01720 transcript:Ma11_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerases II, IV and V subunit 12 [Source:Projected from Arabidopsis thaliana (AT5G41010) UniProtKB/Swiss-Prot;Acc:Q9FLM8] MDPLQPEPVSYICGDCGAENTLKPGDVIQCRECGYRILYKKRTRRVVQYEAR >Ma05_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7202650:7213832:1 gene:Ma05_g10030 transcript:Ma05_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHANPPADGGAGVGTGLDGAGAGGSFSVQHQVRALGMSLTGPVSSPAGTLSDEAAKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGNGAHVPPPRPKRKAAHPYPQKASKNGIAAPPLARPIPLQTSFLLERGYALGTDSSSKLRNSTTEGIKSGGAIVVDNCCSSSTGSPRGSLPNCEKNCQGNQVPSLRDKPWLLLVLLFLFVYEVMPDFIQVYNFLGSVFDPSTSCHLQKLREMDPLDVETVLLLMRNLSINLTSPDFEDHIKLLSTHGSDLVEPKPASVDNLLIAN >Ma10_p29730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36215923:36219383:-1 gene:Ma10_g29730 transcript:Ma10_t29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKRIQGIPHLRPAQYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >Ma10_p29730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36216238:36219383:-1 gene:Ma10_g29730 transcript:Ma10_t29730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKRIQGIPHLRPAQYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >Ma07_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28906330:28911688:-1 gene:Ma07_g20900 transcript:Ma07_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMEKAKCSLPFLLALLLLASTLEVGGASAFERQEKDRVLRLPGQSFNVSFAHYSGYVTVDDDPGRALFYWFFEAVEDPASKPLVLWLNGGPGCSSIAYGLAEELGPFHINADGKSLYLNPYTWNQVANILFLDSPVGVGFSYSNNSQDVLTNGDKRTAKDSLTFLKKWFKRFPQYKGREFYITGESYAGHYVPQLAQAIVRSQKLTGEKSINLKGYMVGNALTDDFHDHYGVFHFMWTAGLISDQTYKLLNVFCDFQSFVHTSSECERILDIADKELGNIDPYSIFTPSCPGSVTFSKNKLLKRRHSVGSIGEKYDPCTEQHSTVYFNLPEVQKALHINPAFAPSKWETCSDVVNENWKDSARSVLHIYHELIGYGLRIWMFSGDTDAVIPVTSTRYNIDSLKLPTVTPWHPWYDDGQVGGWTQVYKGLTFVTVRGAGHEVPLHQPKLALVLFKSFLSGSSMPTFTGLDDS >Ma08_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8964217:8967905:1 gene:Ma08_g11960 transcript:Ma08_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWRGGFFNSELSKKTSIFGLRLWVVIGICVGAAFALVLFLLSLCLACRRRRTLSSSPSKKPQKSLKIHLQDPSPPVSKDIPEIRHHHSATAAADDVRIHIGSADHHKHNSHPRYPLAPPSSSTTGTASGETSGTPSSNVGGGGPPEVSHLGWGHWYTLRELEEATGGLAEENVIGEGGYGIVYRGVLADNTTVAVKNLLNNRGQAEREFKVEVEAIGRARHKNLVRLLGYCAEGAYRMLVYEYVDNGNLEQWLHGNVGEVSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKASNILLDQHWNPKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDIYSFGVLIMEIISGRAPVDYTRRPGEVNLVDWLKTMVGDRKSEEVVDPRMPKKPSPKAFKRALLVAIRCVDPDAHKRPKMGHVIHMLEMDDLLVREECKLDRDSSASQSSGRYNPMEEGSLSRRDHRTRWY >Ma10_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30119704:30135687:-1 gene:Ma10_g19620 transcript:Ma10_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRAMSWRRVGKAAQGLAAHALLFCFTLFVALKIDGRMSYSWWIIFVPLWLFHAVVARGRFSLPAPSLPHDRHWAPCHAVVATPLLIAFELLLCIYLYSINVSAERAINLKVVFLPLLILEIIILVDNFRMCRALMPGDEESISDDAIWEMLPHFWVAISMVFLIAATVFTLLKLCGDVDAFGWWELFINFGIAEFFAFLICTKWSNQMIHRHPYHGEACSSSTTIRYRDWNSGLLLSSMEEHDQDRLCSLQDVGGHIMKVPLVVFQVLLCMRLEGTPPGARHIPSFALFLPLFLLQGAGVLYAVSRLVEKLVLLLHSGTIDSRYLRISSRVHDFFAFLHHGSRLLGWWPIDEGNKEDQARLFHAETTGYDTFCGYPPEVVKKMAKKDLAEEVWRLQAALGEQAEITKYSQQEYERLQNEKVLCRICFEAEICMVLLPCRHRILCKRCSEKCKKCPICRIPIVELMPVYDV >Ma11_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6777822:6779440:1 gene:Ma11_g08550 transcript:Ma11_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEEYLRKPSQSQSPMRLKLKILLLLLSTNILSIYIFYGSADHHRWLPSSVRHWDFAGLLRELNATQSKLSASQAQVVLLNQRLASTKSLLERLLADVGQAHRDEAPEQGLERWAHQLGGELKLAVGPHKLPLGYTPNLGSHEMYPTLGTACRRFQEELVQFMSYDVGGECPSDEVFAQRLMLKGCEPLPRRRCHPKSPAGYVEPTPFPESLWSIPPDTSIAWDAYTCKNYTCLVNRSKQKGSYDCKDCFNLGGREKNRWVYSDGELNYGIDEVLSVKRGAIRIGLDIGGGTGSFAARMRERNVTIVTSTMNFNGPFNNFIASRGLVPLHISVAHRLPFYDSTLDIVHSMHVLSNWIPDVMLEFALFDIYRVLRPGGLFWLDHFFCHGKQLNSTYVPMFQRIGFRRLRWHAGRKLDRGFEKDEWYVSALLGKPLT >Ma06_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5490907:5495219:1 gene:Ma06_g07750 transcript:Ma06_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDVEGGGRRKEGLPCDHCGEAATAVLYCRADAARLCLACDADVHAANALSQRHTRAPICDSCAAAPAVALCAADGLALCAACDDDTHAAFGPSSHPRTAIESFSGSLPAIELAAAWGFDLASKIHQSCLPAEFTSVDDWFALDATPAVDPSFQELYVPCAKRHKSSSATGGKGRQALLRQLMELVARESEGSCKWRQTTPIKKNDHREDEEEEEEKGRGTEQMGYTSLLMMEPSARAALKESDRIMEEENLVWDHDHIDHAAQVWDFDSGRTRNRSEYSSLEVGFGTNSNGFMIKSYSELLTESSLGATNVLEDIYDTSCLSSNERKKVDVTSRWHKNTRNAASQGSSTFHGKRLDMARPVDPSHDSETAGETKEIFGEPPINLKNDTDSNFLSQNRGNAVLRYKEKRKNRRYDKHIRYESRKLRADSRKRVKGRFVKSTEA >Ma09_p30910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40806709:40813238:-1 gene:Ma09_g30910 transcript:Ma09_t30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRPGGVVCATNGNFVGGKTQEIVVARGKTLDLLRPDESGKLQTLLSVEVFGAIRSLAQFRLTGSQKDYVVVGSDSGRLVILEYSRERNVFHKVHQETFGKSGCRRIVPGQFLAVDPKGRAAMVAACEKQKLVYVLNRDAAARLTISSPLEAHKSHTITYSVTGVDCGFDNPVFAAIELDYSEADIDPTGQAAADAQKHLTFYELDLGLNHVSRKWSEPVDNGANLLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLVVSAATHRQKSMFFFLLQTEYGDIFRVTLEHEGDRVTELKIKYFDTIPVTSSMSVLKTGFLFAASEFGNHALYQFQAIGEAEDVEASSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPIMDMRVMNLFEEETPQVFTLCGRGPRSSLRILRPGLAINEMAVSQLPGTPSAVWTVKKNVNDEFDAYIVVSFVNATLVLSIGETIEEVSDSGFLDTTPSLSVSLLGDDSLMQVHPNGIRHIREDGRINEWKTPGKKTIVKVGLNRLQVVIALSGGELIYFEMDMTGQLMEVEKHEMPGDVACLDIAPVPEGRHRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLLLEVQASTGGEDGADHPASVFLNAGLQNGVLFRTVVDMVTGQLSDTRSRFLGLRAPKLFSATVRGRQAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGEALRIFTIERLGETFNETVVPLRYTPRKFVLQPRCKHLVIIESDQGAFTAEEREAARKECLEAAKMGENGNANNRQQMENGGGAGDDDEDDALSDEQYGYPKAESDKWVSCIRVLDPRTGNTTCLLELQENEAAFSLCTVNFHDKEYGTLLAVGTAKGLQFWPKRSLAAGFIHIYRFVEEGKSLELVHKTQVEGVPLTLCQYQGRLLAGIGSVLRLYDLGKRRLLRKCENKLFPNTIVSMHTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDSVPRWLTASHHIDFDTMAGADKFGNIYFVRLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKMEEIVQFHVGDVVTCLQKASLIPGGGECVIYGTVMGSLGALLPFTSREDVDFFSHLEMHMRQEHPPLCGRDHMAFRSAYFPVKDVIDGDLCEQFPTLPPDLQRKIADELDRTPGEILKKLEDVRNKII >Ma02_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15083290:15087386:1 gene:Ma02_g03620 transcript:Ma02_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g59380 [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/TrEMBL;Acc:B3LF91] MPSSDDEVDRIPLSARPEWLDVRPVPQDDGPNPVAPIAYRDDFRETMDYFRAVYLADERSRRALDLTTEAIGMNPGNYTVWHFRRVVLESLNADLHEERDFLDRMTMRNAKNYQIWHHRRWLAEKLGPEVANKELEFSKHILDLDAKNYHAWSHRQWVLQSLGGWESELDYCCMLLEDDIFNNSAWNQRYFVITRSPLLGGLQAMRDSEVGYTTEAILANPLNESPWRYLRGLYKGDTSQLISDNRVSELCLKVLKTSNSCQFALSLLLDLLCYGFQPSEELGAVVEALRNSEQDTVSSKLATTVCLILEITDPMRSNYWAWRRNNLPSQVC >Ma04_p31240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31590712:31591868:-1 gene:Ma04_g31240 transcript:Ma04_t31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNNEVDKKNNSHHHHHHGHLWTSLSFQLHMPHLGHDKEKAGMPPKGCMVMWVGQEGEEQQRFVVPVVYLNHPLFAKLLEEAEKEYGFDQKGAIVIPCGVDHFRHVQDLIDGEGGAAGAAPAAGHSRRHNDQHQNQHRHRPVINDHENERACAAAAAAAGGGHRHGDHHHHRQHSHHHLPHFAACFGA >Ma04_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5522974:5523946:-1 gene:Ma04_g07630 transcript:Ma04_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRSIQAILVAAMAAVLLAGASAQSSSCSSAIMSLSPCLDYITGNASTPSSSCCSRLSSVVQSEPQCICTLISSGASSASSLGITVNQTQAFALPSACKVQIPLSQCNVSGPSASPATPSTSPSGVGSKSNPATSTDSSDGASAGVPLALLLSLVAIGAYPFTSLVIV >Ma07_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8854407:8864279:-1 gene:Ma07_g11950 transcript:Ma07_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMEKKMKKKRMAARTTSLKIGKRQLCHGKCSRYSLPDDLLVQILSRLPVKSTLRFRCVSKEWLALLSDRGPYSIRYLCPTMCGFFYRRSHLAGSWQYAAIHPFKDHRFDLDKLISHLPDHRNLSLLDSCHGLLLLGCREGRSYKSMIVCNPFRNDEINWVTIHMNTAVKQLPLQRKFISAKLVSHRASRSFKCLLFFEDYRLNELDAESNFWCTVLYSNRGQSHHIYQIPPHVPPPFDLYDVAFDNNYLKGCIIQEDKKDHLISSALNERRNGRVRSLVGVSRGLAHFAFCDEHELHIWILEREDGKRVWKPKHNCSSQPLIKQHKESHRHHKHEGNERVYSILPLGFHPDLDIIFLQIEWRIYSLHLGSGSMDEVAGERGANPESEMFLFHPFTMDPSTGLGERREYHMGLIPILSRLPVKSTLRFRCVSKEWLALLSDPGPYSVRYLCPTICGFFYRRPHLAGSWQYAPIHPYKDHHLDLNNLTSHLPDHCNLNLLDSCNGLLLLGCRENRSYKSMIICNPFRNDETNWVTIHINGALKRLSPLRHFVSAKLMTHRVSPHFKCALLFGDYHFNEWGIETIIWYTMLSCDRGQSHNINHLSQDVSPMFDIYDIAFGDDYPKLCIVREDEIDNVMCFALNKSWTGRVRTLLGVSRGLAHFAFCDDHELHIYILVKEDGERVWKLKHTCSSQPLIKQHKELHRYREDEDSERVYSILPLGFHPDLDVIFLQIEWRIYSFHLSSGSLDEVAGERGANPAGEKFLFHSFTMDPSASLGERREYHMGLPEMDP >Ma07_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7078568:7081760:-1 gene:Ma07_g09470 transcript:Ma07_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLMLWVASLAFLVTATIARTWRTKKTNNQRAQLPAGSRGWPLVGETLHFVSSAYSPRPERFMDKRRLMYGKVFRSHLFGSPTIVSTDAEVSRRILQSDASSFVPWYPKSLTELMGKSSILLINGNLQRRVHGLIGAFFKSPDLKAQITGDMQRYVRESMAKWKDHQLIHIQDETKNVVFQILVKALIGLEKEKEMTFLKQQFKEFIAGLMSLPVKLPGSRLYRSLQAKKRMGMLIESIIEEKRKNNSGCSPRDVVDVLINDASDQLTDDLISDNMIDLMIPAEDSVPVLVTLAVKYLSECPLALQHLQEENTQLKKRRSLVGEDLRWTDYMSLSFTQDVITETLRMGNIISGIMRKAVKDVEIRGHLIPKGWCVLTYFRSVHLDENHYGEAYRFDPWRWKDRDMSSSCSFTPFGGGQRLCPGLDLARLEAAIFLHHLVTNFTWAAEEDQIVNFPTVRLKRGLPIRVRRKS >Ma07_p09470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7078568:7091634:-1 gene:Ma07_g09470 transcript:Ma07_t09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLMLWVASLAFLVTATIARTWRTKKTNNQRAQLPAGSRGWPLVGETLHFVSSAYSPRPERFMDKRRLMYGKVFRSHLFGSPTIVSTDAEVSRRILQSDASSFVPWYPKSLTELMGKSSILLINGNLQRRVHGLIGAFFKSPDLKAQITGDMQRYVRESMAKWKDHQLIHIQDETKNVVFQILVKALIGLEKEKEMTFLKQQFKEFIAGLMSLPVKLPGSRLYRSLQAKKRMGMLIESIIEEKRKNNSGCSPRDVVDVLINDASDQLTDDLISDNMIDLMIPAEDSVPVLVTLAVKYLSECPLALQHLQEENTQLKKRRSLVGEDLRWTDYMSLSFTQDVITETLRMGNIISGIMRKAVKDVEIRGHLIPKGWCVLTYFRSVHLDENHYGEAYRFDPWRWKDRDMSSSCSFTPFGGGQRLCPGLDLARLEAAIFLHHLVTNFTWAAEEDQIVNFPTVRLKRGLPIRVRRKS >Ma04_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6376855:6378353:-1 gene:Ma04_g08930 transcript:Ma04_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVPLAFLCFMLFASPLIAAKTFDFYYLVLMWPGAYCVQSACCRPTTGLPKNDFFVRGLWPFDSTTGKPVTKCNSDPFDIDQLSSLNDDLNSYWANLKCPSNNGVVYWKKSWKTYGVCSPMNETEYFQAALDLRAKVDVLSLLDKKGIKPSLLYIHGASDIEKAIAEGIGATPVIRCSKGLLGLFQLYEIYICVAKDGKTIIECPEKPRFTCSEEILFTPFDTNKLHNVPPAELPVSDE >Ma04_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5245927:5248311:-1 gene:Ma04_g07200 transcript:Ma04_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETVVPAKANPAKLGPAANEKDAEKLRFIEEMTTNADAVQEKVLAEILKRNAETEYLRRYGLGGVTDRATFKAKVPVVTYEDLQPEIQRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSEHFKSRPYDPYNVYTSPTAAILCADAFQSMYAQMLCGLLQRHDVLRVGAVFASGLLRAIRFLQLHWQELSQDIAVGTLTVKITDPSVRAAVSELLKPDPELARLIAVECSKGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLKPMCDPAEVSYTIMPNMAYFEFLPHGDCGDGQSHRPGRDKAQLVDLADVEVGKEYELVITTYAGLNRYRVGDILRVNGFYNAAPQFRFVRRKNVLLSIESDKTDESELQKAVESASALLRPYSASVVEYTSHADTKAIPGHYVIYWELLAKDKSASAAEAVGALARDGVMERCCLAMEEALNSVYRQSRVADGSIGPLEIRVVRGGTFEELMDYAISRGASINQYKVPRCVSFPPILELLDSRVVSAHFSPALPRWSPHRSGN >Ma08_p34400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44415707:44415874:-1 gene:Ma08_g34400 transcript:Ma08_t34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINFMDFFRLKNEDLTNMLVYRSPTKVSIGVKKQQEIGLLILHSLCLQLMKLRY >Ma11_p15180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20898009:20905090:-1 gene:Ma11_g15180 transcript:Ma11_t15180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDSSGFGHRVDKDSVAARKVQKADREKLRRDRLNEQFLELGNALDPDRPKNDKATILGDTIQMLKDLTAQVNRLKSEYNSLSEESRELTQEKNELRDEKAKLKSEIDDLNVQYQQRLRVFYPWATMDPSLVIGRPPYPFPMPVPIPSAAIPIHPVHSYPFFHGPIPGTISNPCSTYMPNSPSNPQVEQSSNQHINQNMHIKDSRSQTSSHQDSKSKPSDQHQRNSGQRSDDFSDVATELELKTPGSAVHSQSTSAHDEDLSSEMRKGKQLPQHKGSGASTCSSSSRCSSSCSVPDDNSNDVRDGSGPEKQ >Ma11_p15180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20898009:20905090:-1 gene:Ma11_g15180 transcript:Ma11_t15180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDSSGFGHRVDKDSVAARKVQKADREKLRRDRLNEQFLELGNALDPDRPKNDKATILGDTIQMLKDLTAQVNRLKSEYNSLSEESRELTQEKNELRDEKAKLKSEIDDLNVQYQQRLRVFYPWATMDPSLVIGRPPYPFPMPVPIPSAAIPIHPVHSYPFFHGPIPGTISNPCSTYMPNSPSNPQVEQSSNQHINQNMHIKDSRSQTSSHQDSKSKPSDQHQRNSGQRSDDFSDVATELELKTPGSAVHSQSTSAHDEDLSSEMRKGKQLPQHKGSGASTCSSSSRCSSSCSVPDDNSNDVRDGSGPEKQ >Ma11_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20898009:20905090:-1 gene:Ma11_g15180 transcript:Ma11_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH121 [Source:Projected from Arabidopsis thaliana (AT3G19860) UniProtKB/Swiss-Prot;Acc:Q9LT23] MDSSGFGHRVDKDSVAARKVQKADREKLRRDRLNEQFLELGNALDPDRPKNDKATILGDTIQMLKDLTAQVNRLKSEYNSLSEESRELTQEKNELRDEKAKLKSEIDDLNVQYQQRLRVFYPWATMDPSLVIGRPPYPFPMPVPIPSAAIPIHPVHSYPFFHGPIPGTISNPCSTYMPNSPSNPQVEQSSNQHINQNMHIKDSRSQTSSHQDSKSKPSDQHQRNSGQRSDDFSDVATELELKTPGSAVHSQSTSAHDEDLSSEMRKGKQLPQHKGSGASTCSSSSRCSSSCSVPDDNSNDVRDGSGPEKQ >Ma05_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1131826:1133185:-1 gene:Ma05_g01820 transcript:Ma05_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSGTSNRLGRCMKAPLRALCHARDFYVRSMNSCAGRMEYGLQMGDLPRSYSLHQSTRSSASDEDLRQLIRAASLRRALSQSTVHGTPPPPSAVPRSQSVAIGRIDEDKPCEFGDAKVGADFLLPRSRSYAPGRERNARLFV >Ma05_p01820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1131826:1133185:-1 gene:Ma05_g01820 transcript:Ma05_t01820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPLRALCHARDFYVRSMNSCAGRMEYGLQMGDLPRSYSLHQSTRSSASDEDLRQLIRAASLRRALSQSTVHGTPPPPSAVPRSQSVAIGRIDEDKPCEFGDAKVGADFLLPRSRSYAPGRERNARLFV >Ma04_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14504849:14506831:1 gene:Ma04_g15900 transcript:Ma04_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNPTSILLRTPLDLNKERDAGAGGVVFNSSVLGNQASIPKAFIWPQCHRPATIDDLDAPVVDLGGFLRGDEASTARAVEYVRAACSTHGFFQVANHGVDASLAREAMDCVDGFFKLPLCHKLRARRKPGSVWGYTGAHADRFSSELPWKETLSFGYHEAGGGDRVVVDYFASILGPDFDRTGLVLQRYCEAMKKLSLVIMELLAISLGVERSHYRDFFEDSCSIMRCNYYPPCQEPELTLGTGPHCDPTSLTILQQDQVEGLEVFSANKWRSVRPIRDALVINIGDTFMALSNGRYKSCLHRAVVNRHRERKSLAFFVCPREDRTIRPPPGDLGGSRLYPDFTWAEFMQFTQRHYRSDTRTLHSFTNWLSSSNSLRQST >Ma04_p37970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35651481:35654120:-1 gene:Ma04_g37970 transcript:Ma04_t37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVDPRLVLSVASLLLVLLLPLPAADVECCKKGADYPVKVGGVDISPDSIARGKSATFTISANTAMKYQKGSW >Ma06_p28770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30364392:30365335:1 gene:Ma06_g28770 transcript:Ma06_t28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDVRHAVVAKEEECCQTQLPLNENDSQDMVLFEVLSEASAMASSSSSSAASSAVEQPSLSFPAAAGDAGFICNCARPTGHFRKYRGVRRRPWGKFAAEIRDSSRNGARLWLGTFDTAEAAAVAYDRAAFRMRGAKALLNFPPGFSFVGGAAIGDVGAALRGAGAGTGVLRASESFEGAESVTNRQFTSHGS >Ma06_p00380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:296631:303146:-1 gene:Ma06_g00380 transcript:Ma06_t00380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEDNSSTIEYWPPPNSGSRTLSNSSNDEFGSKSLSIFLAENGNVGPSWKSETQSMGPSTKAEEGEADVNDSNEFSLQSKLFGAPISSSSGGLAERMAARKGFNVPKLDTAGIPPASMASHSDIHSPYLTIPPGLSPTMLLESPVFLADPMAQLSPTTGKFQFGENVASNPILVSDSSDPTKSEDDLFEDIPEAFAFKPPPESHLNLSSSETKQQELPSMEVSTGPEKPTQSRSIEAGSVNFQNQHDIHLQAGFSFPPDRKDINNNILLNQRMSDSLVASHHSPAVDDQEDGDADLRGELSTTVGTPAEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIVYKSAHNHPKPHVNRRSGVPSSHPFNDPQINESEQPGSQTSFDGKPVKGSSQSGNGGQEWLGDGLEATSSAPVAAECCDTSNALQRIQDGTRLSPDVVGVSSTLSNDEEEDDRATHGSVSLGCDGEGDETESKRRKLDACAIEMSAASRAVREPRVVVQTTSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCMVRKHIERASHDLKSVITTYEGKHNHDVPAARSNSHINSAPSNTAPISAPQPHILQQRPEPTQDCFVRFDGHTALNAFNFPGREQLGSTTSFPFALGQPGLTNLAMAGLGPMAAMKMSVMPPVHPYLAHLQPTEAGYMIPKLEPKEETVPDSVLSMSNAASVYHQMMSRLQLRPQL >Ma06_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:296631:303146:-1 gene:Ma06_g00380 transcript:Ma06_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEDNSSTIEYWPPPNSGSRTLSNSSNDEFGSKSLSIFLAENGNVGPSWKSETQSMGPSTKAEEGEADVNDSNEFSLQSKLFGAPISSSSGGLAERMAARKGFNVPKLDTAGIPPASMASHSDIHSPYLTIPPGLSPTMLLESPVFLADPMAQLSPTTGKFQFGENVASNPILVSDSSDPTKSEDDLFEDIPEAFAFKPPPESHLNLSSSETKQELPSMEVSTGPEKPTQSRSIEAGSVNFQNQHDIHLQAGFSFPPDRKDINNNILLNQRMSDSLVASHHSPAVDDQEDGDADLRGELSTTVGTPAEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIVYKSAHNHPKPHVNRRSGVPSSHPFNDPQINESEQPGSQTSFDGKPVKGSSQSGNGGQEWLGDGLEATSSAPVAAECCDTSNALQRIQDGTRLSPDVVGVSSTLSNDEEEDDRATHGSVSLGCDGEGDETESKRRKLDACAIEMSAASRAVREPRVVVQTTSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCMVRKHIERASHDLKSVITTYEGKHNHDVPAARSNSHINSAPSNTAPISAPQPHILQQRPEPTQDCFVRFDGHTALNAFNFPGREQLGSTTSFPFALGQPGLTNLAMAGLGPMAAMKMSVMPPVHPYLAHLQPTEAGYMIPKLEPKEETVPDSVLSMSNAASVYHQMMSRLQLRPQL >Ma06_p00380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:296631:303146:-1 gene:Ma06_g00380 transcript:Ma06_t00380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEDNSSTIEYWPPPNSGSRTLSNSSNDEFGSKSLSIFLAENGNVGPSWKSETQSMGPSTKAEEGEADVNDSNEFSLQSKLFGAPISSSSGGLAERMAARKGFNVPKLDTAGIPPASMASHSDIHSPYLTIPPGLSPTMLLESPVFLADPMAQLSPTTGKFQFGENVASNPILVSDSSDPTKSEDDLFEDIPEAFAFKPPPESHLNLSSSETKELPSMEVSTGPEKPTQSRSIEAGSVNFQNQHDIHLQAGFSFPPDRKDINNNILLNQRMSDSLVASHHSPAVDDQEDGDADLRGELSTTVGTPAEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIVYKSAHNHPKPHVNRRSGVPSSHPFNDPQINESEQPGSQTSFDGKPVKGSSQSGNGGQEWLGDGLEATSSAPVAAECCDTSNALQRIQDGTRLSPDVVGVSSTLSNDEEEDDRATHGSVSLGCDGEGDETESKRRKLDACAIEMSAASRAVREPRVVVQTTSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCMVRKHIERASHDLKSVITTYEGKHNHDVPAARSNSHINSAPSNTAPISAPQPHILQQRPEPTQDCFVRFDGHTALNAFNFPGREQLGSTTSFPFALGQPGLTNLAMAGLGPMAAMKMSVMPPVHPYLAHLQPTEAGYMIPKLEPKEETVPDSVLSMSNAASVYHQMMSRLQLRPQL >Ma01_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14548103:14553115:1 gene:Ma01_g19110 transcript:Ma01_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAQPLPKFGEWDVNNPASAEGYTVIFNKARDEKKTGGNSGTPTATPKRDVGGLQADDTYQYPRKSRKWLCCG >Ma08_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14823459:14825011:-1 gene:Ma08_g14890 transcript:Ma08_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDTEVAGRGEYGGKDYTDPPPAPLIDAEELTKWSLYRAAIAEFVATMLFLYVTVATVIGYKHQSDPNVNPADAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTLGLFLARKVSLVRALLYMVAQCLGAICGVGLVKGFQEAYFVRYGGGANELSAGYSKGTGLAAEIIGTFVLVYTVFAATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQDKAWDDQWIFWVGPFVGAAIAAAYHQYVLRASGAKAMGSFGSNA >Ma06_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10037925:10041715:1 gene:Ma06_g14660 transcript:Ma06_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAGSPSSSTTITTTSTAVDVTENPVSNRNEGGGGAPAASATQSWWESISRARSRILSLASVLSSPDLASLADSDRPARSLLDSPLAYAALSAAFSAPSSGSGDDPLCHWLYDTFQSSDSDLRLVALSFLPLLAGHYLSRVVSSSSSSSAANPPSLAGFEAVLLALYAAEVKARAGKPVLVSVPDLSLPSLYHTPRPSAPSRQPPSAATAPPPRPSVGILSPPLEPQIAVKSTKRACIVAVALDSYYKNISFMPSRSKIDLCEFVAAWAGQDCPCRFEFDDDDLNRSSLCSSSPSSSSSSPQVRIFFEDNGGIGGAAEEMRKLAIQEGPNGNHCDGEDEGGGASRRGSRVPLPWELLQPVLRILGHCLLAPLNPQEVRDSASMAVRCVYARASHDLLPQAILASRSLIQLDKSARKAAKPEIAPSNSSKPNTPSKPKKPEVLLVSK >Ma07_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22545651:22552762:1 gene:Ma07_g17910 transcript:Ma07_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCRFTVPPPRPRPLVSRLNLVRRCSSLLHRQWMLPSPAAPIHSISLSSSSYSSDLAPVLGGPASTVEVRDFDAPSFYLIRDDLLHPLVNGNKARKLDALVPLLRRHSATDLVTCGGCQSAHAAAVAVCCAERGLRAHLLLRGEQPEVPTGYNLVSLMYGSVSYVERSTYARREEMLLKHAESVAGGEGNVLWVDDILKNSNGLNLDESDSVPMDGRGDPLSECSSETSLRRVVIVNEGACSIVGLLGIIRLVKYLSQAHVFGRDQQIVLVLDSGTGTTAIGLALGIIYFGLPWRITAVTLADSRDWYKEREKCLISNFKSIYGLETVEQNEGIIHWVDRLHPRRFGKVLNGEIDLCRRIAQQTGVLLDPIYTLAAWEHAVLLADAEAESHAKVVMLHTGGTLGLFGLAQRYVSDFRAGVPTVHTL >Ma02_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25221775:25222934:-1 gene:Ma02_g18830 transcript:Ma02_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSGGALPLASLNHISIVCRSVERSLDFYHNVLGFLPVRRPVSFDFDGAWLFNYGIGIHLLQSEDPENMPTKREINPKDNHISFQCESLALVEKKLREMGIPYIQSRVEEGGIYVDQMFFHDPDGFMIEICNCENLPVISLSGELIMACKRVSPIHQQQQQQQQQQQQMAQYLPQAIHVKEESCA >Ma06_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3771532:3773799:-1 gene:Ma06_g05050 transcript:Ma06_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKAQRSKRPIQAVAEAVHVLLLLLLFLSSSAAALTTDGLALLALKSAVTDDPTGALAAWLDSDASPCAWTGVTCRRGRVADLALPNRALSGYIPSELSFLSALQSLALPGNRLSGPVPAALSAVGGLAELDLSRNNLSGPIPPELGQLSSLARLDLSSNLLYGPLPPAIASLPRLSGVLNLSCNLLSGPVPPAYGGIPAAVSLDLRQNNLSGEIPQVGSLLSQGPTAFAGNPGLCGFPLKNPCPAPKQDHRIPQPNPILNLNSSDATPRPIAAEGRKKPVGTVPIIAGVVLVVVASILVLQWHFRRRRVAMEGKAPKNEKGSSPGGFGPAGLAGEERRDGHGSEVYAAVDEGFVLELEELLRASAYVVGKSRSGIVYKVVVGRGGSAVAVRRLSEAEDGDAFGGSGGDEWRRQRAFESEAIAIGRAKHPNVVRLLAYYYAPDERLLVYDYIPNGTLHDALHGGPRNPTPPAVPWTARLAILQGAARGLAYLHEFSPRKHAHGSITSSKILLDDDLQPHISGFGLSCLVSSGAEQRLANPASKKQAAGPGTDGYLAPEVPGGEATQRGDVYAFGVVALEVVTGRVAEADLEAWVRGAFRKERPLSEVVDPALLHEVHAKREVLAVFHVALGCTEADPELRPRMRAVAESLDRVVGAATR >Ma04_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:702068:704027:-1 gene:Ma04_g00720 transcript:Ma04_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRGGGSATAADREVPLSQKAVKLVGNVCFSLFVLSVLIFTIVSITYQPPDPWFDSPKAIISKSLAATLPNATFRTDDSVLQTGEDLVPLTAADNSTTAIADDDNASSAAIVLPSLAPDCDPDAPLNCSDPRVLAAIQRFNTRIFHRSIIFLSYETPVSGSAPWECDAAWRFRNHREKSWRRYKDYRRFRLAPADNCTYEVVSAGKFRSGVNAAPKPPLRRQSSSRAPPSQIADAEINDTIPTLGSESNFRKGKYLYYTRGGDYCKGMNQYLWSFLCALGEAQFLNRTFVMDLNVCLAATYNPSGRDEEGKDFRYYFDFEHLKESASVVEESEFLRDWQQWDRATSRKAGGKISVLKVPTYKVTPMQLKKDPSTIIWRQFDGPEPENYWYRVCEGRSAKYIQRPWEAIWKSKRLMNIVSEIAGQMDWEYDGIHVVRGEKARNKELWPNLDADTSPEALVQKLTKVIHQWRNLYIATNEPFYNYFDKLRSHYKVHLLDDYKEMWGNTSEWYNETMALNNGHPVEFDGYMRVAVDTEVLYRAKNRVETFNNLTRDCKDGINTC >Ma04_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26228452:26240675:-1 gene:Ma04_g24180 transcript:Ma04_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MGLNGCSSSSGGRSFFGGFWSAALRRKAIGNPTESVAGHGGGLVRRLGLFELILIGIGASIGAGIFVVTGTVARDAGPGVAISFALAGAACVLNALCYAELSSRFPAVVGGAYLYTYAAFNELMAFLVFIQLMLDYHIGAASIARSLASYFVSLLELLPFLRGHFPSWIGHGGIEFFGGVVSINILAPILLILLTLILCYGVKESSAVNSFMTATKVVIVIVIIFAGAFEVDVSNWSPFAPNGFKAVITGATVVFFSYVGFDAVANSAEESKRPQRDLPIGILASLFVCAVLYIGVCLVITGMVPYKFLGEDAPLAEAFTAKGLKVVSVLISIGAVAGLATTLLVGLYVQSRLYLGLSRDGLLPSVFSKVHPLRHTPIHSQVWVGLVAGIMAGLFNVHQLSHILSVGTLTGYSVVSACVITLRWKDKVSSQVSGKCISARCEGIICLVLIAFGGFLAGLCYRFSGSFIIIIFAVAMAIFGAVAVQFRQGYADPPGFSCPGVPIVPVLSIFINIFLFAQLHEEAWYRFVIVSLISVGIYALYGQYHANPGDNGSNPM >Ma04_p24180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26228934:26240690:-1 gene:Ma04_g24180 transcript:Ma04_t24180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MGLNGCSSSSGGRSFFGGFWSAALRRKAIGNPTESVAGHGGGLVRRLGLFELILIGIGASIGAGIFVVTGTVARDAGPGVAISFALAGAACVLNALCYAELSSRFPAVVGGAYLYTYAAFNELMAFLVFIQLMLDYHIGAASIARSLASYFVSLLELLPFLRGHFPSWIGHGGIEFFGGVVSINILAPILLILLTLILCYGVKESSAVNSFMTATKVVIVIVIIFAGAFEVDVSNWSPFAPNGFKAVITGATVVFFSYVGFDAVANSAEESKRPQRDLPIGILASLFVCAVLYIGVCLVITGMVPYKFLGEDAPLAEAFTAKGLKVVSVLISIGAVAGLATTLLVGLYVQSRLYLGLSRDGLLPSVFSKVHPLRHTPIHSQVWVGLVAGIMAGLFNVHQLSHILSVGTLTGYSVVSACVITLRWKDKVSSQVSGKCISARCEGIICLVLIAFGGFLAGLCYRFSGSFIIIIFAVAMAIFGAVAVQFRQGYADPPGFSCPGVPIVPVLSIFINIFLFAQLHEEAWYRFVIVSLISVGIYALYGQYHANPVSSEHSVVYHGVPSEAA >Ma02_p14000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22155631:22165342:-1 gene:Ma02_g14000 transcript:Ma02_t14000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g13270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13270) UniProtKB/Swiss-Prot;Acc:Q9LYU9] MASASVQPTTLPVVGACRSQWQLQRSGKARRPCNKMCHLQPYFPSLRGFMGLQITDNLKFLSRSVCGLHCVVSGYVYNQLGKARQGVTAAMLDLFTEKAIKVIILAQEEARRLGHKYVGTEQILDALIGEGTDVTVNDLKSVRNNPKDAHVQKVIRGGRKFVADMPFSPQAKHVLELSLKEARQLGHNYIGLEHLLIGLLRGVEGIATYVLENYGVDPSNINTQVCELWSQDTKLKAQITSLVDKEKERNQVKIKAVSSDLVVTEADMKQTASSWTELSVENVMHKESDHFISHNIQTHKIPSSFTKIPSWVSLRSSLSPSPSFQAQQENGLLESLHILSLTNQGRFHEAREFLGFMDSSGICIKPHVYQSLFTACGTWKSLDDGRFFHRHMLKRIRNRNLDASLADCLLKMYFDCSGLDDACKVFKEMSSRMLSSWSIMICGFARSGYLQEAIQLFSKMKIEGLDPDMTVFANLLRTCSNDLEFELGKQIHSYMIRIGFVSDVFSDTELVNMYAKCGCLDTSALLLDRMVERNAVSWTSLMVGYTQVERQYEALVLFKRMMWEGTELDQFVFSIILKACSEMENWEAGRQVHGCIIKLGMDSDVSAGTPIVNFYVKCGNIFEAQNAFDRICQPNEVSWSAIIAGYSQVGRYEECFRMFRYLRSRKMTRNSFIYSSLFQASSALTDSSSGSQLHADAIKRGLVSNLVGDSALVTMYARCGNLDYARRAFELIAEPDTVAWTAIIAGCSYHGQALEALDLFNKMLSCQVKPNSITFTGILNACSHTGLVSLAREYLDSMYRVYGVEATSDHFNCMIDVYCRAGYLERAYELIRSGSFQPDALSWKMLLSGCTTYQNVDLGKVAGENLLSMDPNDAAAYILIFNMYAAAGRWVEAASVRRMMNGRRIKKEVSCSWITVKGSVHRFIVGDKHHPLTKQIYCKLDELDRQIMVSESNVTTDRNSNVLHKERMEQLLDHSERLAIAFGLISVPTCCPILVFKNLRVCNECHSFTKMVSKVTGREIIVRDSSRFHHFKNGRCSCNDFW >Ma02_p14000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22155631:22165342:-1 gene:Ma02_g14000 transcript:Ma02_t14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g13270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13270) UniProtKB/Swiss-Prot;Acc:Q9LYU9] MASASVQPTTLPVVGACRSQWQLQRSGKARRPCNKMCHLQPYFPSLRGFMGLQITDNLKFLSRSVCGLHCVVSGYVYNQLGKARQGVTAAMLDLFTEKAIKVIILAQEEARRLGHKYVGTEQILDALIGEGTDVTVNDLKSVRNNPKDAHVQKVIRGGRKFVADMPFSPQAKHVLELSLKEARQLGHNYIGLEHLLIGLLRGVEGIATYVLENYGVDPSNINTQVCELWSQDTKLKAQITSLVDKEKERNQVKIKAVSSDLVVTEADMKQTASSWTELSVENVMHKESDHFISHNIQTHKIPSSFTKIPSWVSLRSSLSPSPSFQAQQENGLLESLHILSLTNQGRFHEAREFLGFMDSSGICIKPHVYQSLFTACGTWKSLDDGRFFHRHMLKRIRNRNLDASLADCLLKMYFDCSGLDDACKVFKEMSSRMLSSWSIMICGFARSGYLQEAIQLFSKMKIEGLDPDMTVFANLLRTCSNDLEFELGKQIHSYMIRIGFVSDVFSDTELVNMYAKCGCLDTSALLLDRMVERNAVSWTSLMVGYTQVERQYEALVLFKRMMWEGTELDQFVFSIILKACSEMENWEAGRQVHGCIIKLGMDSDVSAGTPIVNFYVKCGNIFEAQNAFDRICQPNEVSWSAIIAGYSQVGRYEECFRMFRYLRSRKMTRNSFIYSSLFQASSALTDSSSGSQLHADAIKRGLVSNLVGDSALVTMYARCGNLDYARRAFELIAEPDTVAWTAIIAGCSYHGQALEALDLFNKMLSCQVKPNSITFTGILNACSHTGLVSLAREYLDSMYRVYGVEATSDHFNCMIDVYCRAGYLERAYELIRSGSFQPDALSWKMLLSGCTTYQNVDLGKVAGENLLSMDPNDAAAYILIFNMYAAAGRWVEAASVRRMMNGRRIKKEVSCSWITVKGSVHRFIVGDKHHPLTKQIYCKLDELDRQIMVSESNVTTDRNSNVLHKERMEQLLDHSERLAIAFGLISVPTCCPILVFKNLRVCNECHSFTKMVSKVTGREIIVRDSSRFHHFKNGRCSCNDFW >Ma02_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22155631:22165342:-1 gene:Ma02_g14000 transcript:Ma02_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g13270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13270) UniProtKB/Swiss-Prot;Acc:Q9LYU9] MASASVQPTTLPVVGACRSQWQLQRSGKARRPCNKMCHLQPYFPSLRGFMGLQITDNLKFLSRSVCGLHCVVSGYVYNQLGKARQGVTAAMLDLFTEKAIKVIILAQEEARRLGHKYVGTEQILDALIGEGTDVTVNDLKSVRNNPKDAHVQKVIRGGRKFVADMPFSPQAKHVLELSLKEARQLGHNYIGLEHLLIGLLRGVEGIATYVLENYGVDPSNINTQVCELWSQDTKLKAQITSLVDKEKERNQVKIKAVSSDLVVTEADMKQTASSWTELSVENVMHKESDHFISHNIQTHKIPSSFTKIPSWVSLRSSLSPSPSFQAQQENGLLESLHILSLTNQGRFHEAREFLGFMDSSGICIKPHVYQSLFTACGTWKSLDDGRFFHRHMLKRIRNRNLDASLADCLLKMYFDCSGLDDACKVFKEMSSRMLSSWSIMICGFARSGYLQEAIQLFSKMKIEGLDPDMTVFANLLRTCSNDLEFELGKQIHSYMIRIGFVSDVFSDTELVNMYAKCGCLDTSALLLDRMVERNAVSWTSLMVGYTQVERQYEALVLFKRMMWEGTELDQFVFSIILKACSEMENWEAGRQVHGCIIKLGMDSDVSAGTPIVNFYVKCGNIFEAQNAFDRICQPNEVSWSAIIAGYSQVGRYEECFRMFRYLRSRKMTRNSFIYSSLFQASSALTDSSSGSQLHADAIKRGLVSNLVGDSALVTMYARCGNLDYARRAFELIAEPDTVAWTAIIAGCSYHGQALEALDLFNKMLSCQVKPNSITFTGILNACSHTGLVSLAREYLDSMYRVYGVEATSDHFNCMIDVYCRAGYLERAYELIRSGSFQPDALSWKMLLSGCTTYQNVDLGKVAGENLLSMDPNDAAAYILIFNMYAAAGRWVEAASVRRMMNGRRIKKEVSCSWITVKGSVHRFIVGDKHHPLTKQIYCKLDELDRQIMVSESNVTTDRNSNVLHKERMEQLLDHSERLAIAFGLISVPTCCPILVFKNLRVCNECHSFTKMVSKVTGREIIVRDSSRFHHFKNGRCSCNDFW >Ma06_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8033102:8035749:1 gene:Ma06_g11510 transcript:Ma06_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRDDAVILRAIAVLLCSAWILAAGPPTAAALWLSLPPSATKCVSEEIHAGVVALADYAVVHDDDPQSMPTISAKVTAPNGDTLHHKENVTMGEFAFTTNEPGTYLACFWLDGANKGIGASVSINWKIGIAAKDWESVAKKEKIEGLELELRKLEEAVEVIHENLLYLRSREAGMRDVSERTNGRVALFSVMSLALCIVASVLQLRHLKGYFHKKKLI >Ma05_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9933397:9934316:1 gene:Ma05_g13680 transcript:Ma05_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINFRFSNCDCFSYHQRYYLFLPRTANHCCIIRVSIIQTNGTSGFEIFGCVEKVGHKSWKCLLSSSHYFSGHETGI >Ma03_p29500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32387190:32393524:-1 gene:Ma03_g29500 transcript:Ma03_t29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRTTHQLSSGMIVSGPPEPPKERAPAVGLRAAPYTGGDVRKSGELGKMFDIPPADPGAPSRPVSKSHSGPLARSAPSSGSGPVPRKTSGPLAQIPPTGLITSGPARSSGGQPELSPRPARKNKGEAYGGAVTAPEEEAFAFGVSRVWRWVLVGVFVAGLAAGAFVWVAVGRPEILIGVAALMAAVGVLAVWNWSMGRKEVERFWRCYPNTSIDSRNLPIGKFVKITGHVTCGSIPLESSYRNISRCIYTSTELYEYKHFTWGLKYAERYVADFYISDPDTGTRFLVRAGNGARVTCFVKPATVMEINKDNKELSPDFLSWLTEHNITSGSHIMRLKEGYIKEGNTVSVMGILRKHENLIMIDPPEDIVSTGCQWRRFFFPMSVEGLILIGDERPDEVVYQV >Ma07_p15460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11643146:11644955:1 gene:Ma07_g15460 transcript:Ma07_t15460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 3 [Source:Projected from Arabidopsis thaliana (AT5G01360) UniProtKB/Swiss-Prot;Acc:Q8LED3] MKIPKGRLPLSLMAVVISAVAFTGLIFTEDLRALTGTSILKLTACSRKDDAVAKSSKVSSEERDNMSVLDDDIVDEDKIGFSARECSVTEGRWVFNRSQEPLYSPRSCPYLDRQVQCMRNGRPDDSYLYWVWEMDDCTLPRFNAAVVLEKLRGKRLMFVGDSLQRAQWQSFVCLVQAQIPPEHKSMNRSRSLSVFRAKEYNATIEFYWAPFLVESNTDGHIIADTSKRIIRVDSITKHARHWIGVDILVFNTYVWWMSGQRIKSLWGSFANGEEGFEELDAVVAYRIGLKTWANWIDSTLNPNATRVFFTTMSPTHMRSADWHNRNGIKCYNETEPVRERGYWGSGSDRRIMQVVAGVVGRMRVPVTFLNVTQLSEHRIDGHTSVYTEFQGKILSEEQKANPLRYADCIHWCLPGVPDTWNQLLYAYL >Ma07_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11643146:11644955:1 gene:Ma07_g15460 transcript:Ma07_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 3 [Source:Projected from Arabidopsis thaliana (AT5G01360) UniProtKB/Swiss-Prot;Acc:Q8LED3] MKIPKGRLPLSLMAVVISAVAFTGLIFTEDLRALTGTSILKLTACSRKDDAVAKSSKVSSEERDNMSVLDDDIVDEDKIGFSARECSVTEGRWVFNRSQEPLYSPRSCPYLDRQVQCMRNGRPDDSYLYWVWEMDDCTLPRFNAAVVLEKLRGKRLMFVGDSLQRAQWQSFVCLVQAQIPPEHKSMNRSRSLSVFRAKEYNATIEFYWAPFLVESNTDGHIIADTSKRIIRVDSITKHARHWIGVDILVFNTYVWWMSGQRIKSFTLIRWGSFANGEEGFEELDAVVAYRIGLKTWANWIDSTLNPNATRVFFTTMSPTHMRSADWHNRNGIKCYNETEPVRERGYWGSGSDRRIMQVVAGVVGRMRVPVTFLNVTQLSEHRIDGHTSVYTEFQGKILSEEQKANPLRYADCIHWCLPGVPDTWNQLLYAYL >Ma06_p30150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31484004:31486278:-1 gene:Ma06_g30150 transcript:Ma06_t30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIWWRSLLVLHVVAAAAAAAAAAAEDDVRCLRGVKATLDRDGRLSWNLSNGTVGFVCSFVGVSCWNPQENRVLGLNLRDMSLSGSIASDLQYCAAANVLDLSSNAISGAIPPDLCSWLPYLVTLDLSNNQLTGAIPPGLSGCRFLNTLVLAGNRLEGAIPPSLAQLDRLTRLDLSDNRLSGQIPAPIGDKFASSSFDHNDGLCGHPVSRCGRSLTRTIVIVVAAGVFGAATSLALAWMIWRCWSPSGKRASPERGREDGRWWAERLRMAHNRLVPVSLFQKPIVKVKLADLMTATADFHPSNLIVAGSPRTGTSYKAVLPDGSALTVKRLHSCPLPEKHFRAEMGRIGQLRHPNLVPLLGFCVVEDERLLVYKHMPNGALSSALESLDWPARVRIGIGAARGLAWLHHGFQIPFLHQNLSLKAILLDEDYEARITDFGLTGLMRTSTGDGADTSPFLNGDFGEFGYTAPESASNADPTTKEDVYAFGIILLELVTGQKATEITSDAGGEGFKGSLVDWVNRLSITGRIHEAFDLSLQGKGNDDEIMQVLKIASGCVVASPKERPSMYKVFQTLKKIGDGYDLSEHLDEFPLVYGKEE >Ma04_p33530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33097016:33107991:1 gene:Ma04_g33530 transcript:Ma04_t33530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPERKRRHFSSISPTSAGATTKKQPLAPCKEDKKLDFAVLRYKNQKLSEQLEAQKFEYLALDNKFHQLKEKQKIHDDTLLLVNNYWEWLVNDLESLSASASGSTSSGHHLKHSHVLEDGPSCPTEGDFLRRLLEAGATESSHYVSPTPDRDNMQGAELTTKHILQNIICSLNDMWHVNEVFVAAADQVAQAEDESSRQLQKIAKDLEVEIRNFFAGMNDLHFKHRLLADSVQHYRDVDMKNKAECKRLAEESASTVAELEESNCKLTILKSQRDTAQSTCFAFPTFGNKQVGGDKEKDVQKDMQDMESSLKELTDLVSSRLEEIRRLHVERIEIPKKVADLRNSFMDIKNISSSKSFQLLNEYVEKSKKETDECRALLEKLQVEKDSFIWREKEVKLKVELADISRRVAAFSESRIVELEQILQKLADERVLLEIKLEEAAREPSRKQIIKEFKALVSSLPKDLRIMQSEIDKNKEAAIELHSLRAEVQSLSSVLHRKEDEIKSLSGKSIRQLSEIKKLQSLVQDLRENNQELKLFLEMYSRESTDSRDVIESKDNEYKAWALVHSLKSSLDEHNLESNVKAAIEAEAISQKRLTSAEAEIDELSLKLEKCDREISSLSDILKSKHEEGEAYLSEIESIGQAYEDMQTQNQHLLQQIIERDDYNTKLVMEGVQARQLQEALRWEIQTMDKKLHQANLLKDSYDLKVAQMDEQLKVWSDQVGKLAEDGWQSTTAFDNTKKRFLEVQTESYKLRQSLDGALTKVEKSRLDIADLLIELRKERFNRKRIEESMEVMTRKAAFLREQTKGSTVLQKLRQEVKEYRGILKCSICHDRQKEVVITKCFHLFCHQCVQKTIDSRQRKCRTCGMSFGPNDVKSIYI >Ma04_p33530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33097037:33107991:1 gene:Ma04_g33530 transcript:Ma04_t33530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPERKRRHFSSISPTSAGATTKKQPLAPCKEDKKLDFAVLRYKNQKLSEQLEAQKFEYLALDNKFHQLKEKQKIHDDTLLLVNNYWEWLVNDLESLSASASGSTSSGHHLKHSHVLEEGDFLRRLLEAGATESSHYVSPTPDRDNMQGAELTTKHILQNIICSLNDMWHVNEVFVAAADQVAQAEDESSRQLQKIAKDLEVEIRNFFAGMNDLHFKHRLLADSVQHYRDVDMKNKAECKRLAEESASTVAELEESNCKLTILKSQRDTAQSTCFAFPTFGNKQVGGDKEKDVQKDMQDMESSLKELTDLVSSRLEEIRRLHVERIEIPKKVADLRNSFMDIKNISSSKSFQLLNEYVEKSKKETDECRALLEKLQVEKDSFIWREKEVKLKVELADISRRVAAFSESRIVELEQILQKLADERVLLEIKLEEAAREPSRKQIIKEFKALVSSLPKDLRIMQSEIDKNKEAAIELHSLRAEVQSLSSVLHRKEDEIKSLSGKSIRQLSEIKKLQSLVQDLRENNQELKLFLEMYSRESTDSRDVIESKDNEYKAWALVHSLKSSLDEHNLESNVKAAIEAEAISQKRLTSAEAEIDELSLKLEKCDREISSLSDILKSKHEEGEAYLSEIESIGQAYEDMQTQNQHLLQQIIERDDYNTKLVMEGVQARQLQEALRWEIQTMDKKLHQANLLKDSYDLKVAQMDEQLKVWSDQVGKLAEDGWQSTTAFDNTKKRFLEVQTESYKLRQSLDGALTKVEKSRLDIADLLIELRKESRFNRKRIEESMEVMTRKAAFLREQTKGSTVLQKLRQEVKEYRGILKCSICHDRQKEVVITKCFHLFCHQCVQKTIDSRQRKCRTCGMSFGPNDVKSIYI >Ma04_p33530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33097037:33107991:1 gene:Ma04_g33530 transcript:Ma04_t33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPERKRRHFSSISPTSAGATTKKQPLAPCKEDKKLDFAVLRYKNQKLSEQLEAQKFEYLALDNKFHQLKEKQKIHDDTLLLVNNYWEWLVNDLESLSASASGSTSSGHHLKHSHVLEDGPSCPTEGDFLRRLLEAGATESSHYVSPTPDRDNMQGAELTTKHILQNIICSLNDMWHVNEVFVAAADQVAQAEDESSRQLQKIAKDLEVEIRNFFAGMNDLHFKHRLLADSVQHYRDVDMKNKAECKRLAEESASTVAELEESNCKLTILKSQRDTAQSTCFAFPTFGNKQVGGDKEKDVQKDMQDMESSLKELTDLVSSRLEEIRRLHVERIEIPKKVADLRNSFMDIKNISSSKSFQLLNEYVEKSKKETDECRALLEKLQVEKDSFIWREKEVKLKVELADISRRVAAFSESRIVELEQILQKLADERVLLEIKLEEAAREPSRKQIIKEFKALVSSLPKDLRIMQSEIDKNKEAAIELHSLRAEVQSLSSVLHRKEDEIKSLSGKSIRQLSEIKKLQSLVQDLRENNQELKLFLEMYSRESTDSRDVIESKDNEYKAWALVHSLKSSLDEHNLESNVKAAIEAEAISQKRLTSAEAEIDELSLKLEKCDREISSLSDILKSKHEEGEAYLSEIESIGQAYEDMQTQNQHLLQQIIERDDYNTKLVMEGVQARQLQEALRWEIQTMDKKLHQANLLKDSYDLKVAQMDEQLKVWSDQVGKLAEDGWQSTTAFDNTKKRFLEVQTESYKLRQSLDGALTKVEKSRLDIADLLIELRKESRFNRKRIEESMEVMTRKAAFLREQTKGSTVLQKLRQEVKEYRGILKCSICHDRQKEVVITKCFHLFCHQCVQKTIDSRQRKCRTCGMSFGPNDVKSIYI >Ma11_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20217361:20280064:-1 gene:Ma11_g14540 transcript:Ma11_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKYKSFGDETSVSMAPLNFSERVLEKVNPVNQKNTSTFPVEADVDIDIREVYFLIMHFLSSGPCKRTYGQLWNELLEHQLLPRRYHAWYSRCGARSGDEDDDGMSLPLCYIKLAERYPHVEKDHLIKLLKQLILNSTHLPGVIGGVSNAADVPTLLGSGSFSLLESDKDREDKGASKLPGYLRWPHMQADQVHGLGLREIGGGFTKHHRAPSIRAACYVIAKPSTLVQKMEILKKLRGHQNAVYCATFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNAVVASSANDFIIRVWRLPDGHPISVLKGHAGAVTAIAFSPRPSAVYQLLSSSDDGTCRIWDARQSLAKPRIYIPKPPDSLTGKGTDPSSAGQQTRQILCCAFNANGTVFVTGSSDTYARVWNACKSNTDDSEQPNHEMDLLSGHENDVNYVQFSGCAVGSRSSIADALKEDSLPKFKNSWFTHDNIVTCSRDGSAIIWIPRSRRSHGKVGRWTRAYHLKVPPPPMPPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHQESTFVLDVHPFNPRIAMSAGYDGKMIIWDIWKGKPVRIYETGHYKLVDGKFSPDGTSVILSDEVGQIFIVATGQGDSQRDAQYDQFFLGDYRPLMQDTNGNALDQETQLTPHWRNIQDLLCDSSMIPYPEPYQSMYQRRRLGILGMEWRPTSLKLAVGPTYNVNTGDFQPLPITDLEQWVEPLPQVVDAVDWEIENDMQSDDTDSEYNVTEEYSTEGEHESLSNSSCEDVEISAEDNSVDHDGKEGLRRSKRKKHKSRAEFTTSSGRRVKRRNLDEHDGATVSRAHRLGRSRNGHLTRKKSIKSEPLRPQRIAKTNALTFFSKITGGSTDGEDEDEPDSSSSESESAFPDSNIQSIESERSMLNNQLNHSKDNRDLRDGCEGAVKPSQTIETQTNLISKRRLVLKLPPREPRTVVSGYARPECHKQDGALVSLPVTNPDVTNLNRTNGSETAHLIRQTETSSHNNSSADRQHSTIKWGEVKQRSSKRPKLGDMATDVWPVANRCPDGSGLVRNDINVHAKSEDECGPSNSRTQANENGCGRIVYECKQVDDVHGITGTRVDVFSPEKNSGSLVHQQLSTYISEQDQVAPPMTCNDNRNDPVVPVGKDPMSSDLRDLNVAFKGPEMFSIKNENLFGTTNNVDENQQVEKNVKTIYPKLRIKSRELVHDATISSSKLKSIALDGCSPEGDVLSNSAASTGRNLISVAEMEDEETSGRYTDHGKWNSRSESSEGWTSVNARLSISHDSKKMDLESHHKMYNAVYKRTKSSRERKSSGADIHIKEETTSDSNDHGGDVKVELGGTFDCARRTRSMGTRAPRNELTYNTSNFKVDKSYGSLGESTSAGRSKSCAHDQLNEWVSTSKMTVGLRSIRNRRENYKFTELRPLDKRGYHQPIRKLSWLMLLEHEEGYRYIPQKGDEVAYLRQGHKEYIESSGTPEAGPWESVKGLKAVEFCKVRDVDYATLPGSGDSCCKLTVKFLDPFSSGYGKAFKFTLPELTGFPDFLVERTRYDASIERNWTHRDKCHVWWRNANGDGGGWWAGRILAVKPKSSEFPESPWERYVIQYKDDSSGHHFHSPWELNDTDSQWKHPCIDDDTMDNLLKSIAKIEQASMRNEDYHGIRKLNQVAQKSDFINRYPVPLSLEVIKRRLENCYYRTVDAVKHDAYVMISNAESYFSRSADMMSKMHRLSEWIMRTFPSS >Ma03_p28190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31325078:31337183:1 gene:Ma03_g28190 transcript:Ma03_t28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTELPGSRENIETRESIGSSQPAVPTHRLETNPTSQQQRAGAGHGWVPSNPLLPQQAGRGSAGYQGHGGWRPHGVPAPQQPGAPAHEYQVHCGPLPSGAMPPQRQHGVWQVSGSVPGRSGVSPSAAGPSRPPAPELHQAMQAPYQVAQASLSQGRSPWHHETSSAQTATSFEQLSVQDTATSSQDIQPVVSPPSSSKSIRFPLRPGKGSFGDKCVVKANHFLAELPDRDLHQYDVSITPEVASRGVNRAVMEQLVRLYRESYLGGRLPAYDGRKSLYTAGPLPFASREFHITLTDGEDGTDIKRHQRTFRVVIKFAARADLHHLEMFLTGRQPDAPQEALQVLDIVLRELPTARYLPVGRSFYSPDLGRRQSLTEGLESWRGFYQSIRPTQMGLSLNIDMCSTAFIEPLHVIDYVTQLLNRDVRSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTLQATRELTFPVDERGTMKYVVQYFQDTYGFTIQHTNLPCLQVGNTQRPNYLPMETVHQNAYHEDPYAKEFGIKISQKLASVEARILPAPWLKYHDTGREKDCLPRIGQWNMMNKKMVNGGRVNNWTCINFSRNVYENVAHGFCHELAKMCQISGMEFALEPVLPPLSARPDQVERALKSCYHDAMNILQPLGKELDLLIVILPDNNGPLYGDLKRICETDLGLVSQCCLTKHVFKKNKQYLANVALKINVKVGGRNTVLMDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLFKVWQDPHRGTITGGMIKDLLISFKRSTGIKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNYNDQRSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSRASGPAGRGSLASGPRSSTRVHGSGSVRPLPALKDNVKKVMFYC >Ma03_p28190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31325078:31337183:1 gene:Ma03_g28190 transcript:Ma03_t28190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTELPGSRENIETRESIGSSQPAVPTHRLETNPTSQQQRAGAGHGWVPSNPLLPQQAGRGSAGYQGHGGWRPHGVPAPQQPGAPAHEYQVHCGPLPSGAMPPQRQHGVWQVSGSVPGRSGVSPSAAGPSRPPAPELHQAMQAPYQVAQASLSQGRSPWHHETSSAQTATSFEQLSVQDTATSSQDIQPVVSPPSSSKSIRFPLRPGKGSFGDKCVVKANHFLAELPDRDLHQYDVSITPEVASRGVNRAVMEQLVRLYRESYLGGRLPAYDGRKSLYTAGPLPFASREFHITLTDGEDGTDIKRHQRTFRVVIKFAARADLHHLEMFLTGRQPDAPQEALQVLDIVLRELPTARYLPVGRSFYSPDLGRRQSLTEGLESWRGFYQSIRPTQMGLSLNIDMCSTAFIEPLHVIDYVTQLLNRDVRSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTLQATRELTFPVDERGTMKYVVQYFQDTYGFTIQHTNLPCLQVGNTQRPNYLPMEVCKIVDGQRYSKRLNEKQITALLRVTCQRPHERERDIIQTVHQNAYHEDPYAKEFGIKISQKLASVEARILPAPWLKYHDTGREKDCLPRIGQWNMMNKKMVNGGRVNNWTCINFSRNVYENVAHGFCHELAKMCQISGMEFALEPVLPPLSARPDQVERALKSCYHDAMNILQPLGKELDLLIVILPDNNGPLYGDLKRICETDLGLVSQCCLTKHVFKKNKQYLANVALKINVKVGGRNTVLMDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLFKVWQDPHRGTITGGMIKDLLISFKRSTGIKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNYNDQRSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSRASGPAGRGSLASGPRSSTRVHGSGSVRPLPALKDNVKKVMFYC >Ma11_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9519749:9524882:-1 gene:Ma11_g10210 transcript:Ma11_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADREHQIAGDWYSVPDLSLRDHRFTVPLDYAAPSGPTITVFAREVVAVGKEEQQLPYILFLQGGPGFESPRPTEASGWLKRACEEYRVVFLDQRGTGLSTPLTVSSLLQIPSSAKLVEYLQYFRADNIVKDAEFIRTHLVPNSGTWMVLGQSFGGFCAVTYLSFAPEGLKSVLLTGGLPPIGKGCTADVVYKACFEQVVHQNEKYYKRFPQDVKVICDLVTYLAKAEGGGVPLPSGGILTPKGLQTLGLAGLGSSGGFERLHYMFEMVWDPELVPGTGKRISYYFLKAFESWLDFDTNPLYALLHESIFCQGAPSQWSAQKIRGKYESIFDPVGAAKEGRPVFFTGEMIFPWMFEEIHALEHFKEAAHLLAEKKDWPPLYDISRLNNNKVPVAAAVYYEDMYVNFKLAMETASEIAGIRLWVTNEYMHSGLRDSGCQVFEQLMGMLQGKKPWF >Ma04_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22046241:22047841:-1 gene:Ma04_g19440 transcript:Ma04_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNAGHQSRGSHGLEEAVACPRSQKQQDKKAKPGPGQALKCPRCASTNTKFCYYNNYSPSQPRYFCKECRRYWTQGGSLRNVPVGGGCRKNKRSSASSSSSSKKAKDQDLITTPLLPTLNSTPPPSHDPSDCFLASSTLQMQPSIMQVGLDETDPVTSAIALTNGFLDIVRNDFVDTTSPTGLDYLPYYGFGADGWSFERVLSDATASPTATQASCKAMDGGGDNKVLMGLRWQDGEMDSARDCWNGFGFVDFKKNEEKIVDKIF >Ma01_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21219040:21226005:-1 gene:Ma01_g21480 transcript:Ma01_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRQRPTRTGPGAVYGPGPTAPPRNMVGPPGAGGPGEDVYNIIPIHNLLADHPCLRFPEVRAAMDALRDMVGIPTPLFVPWHSGLDLLDWLGISFGFQRDNVRNQREHLVLLLANAQMRLQPPPDNADVLVPSVVRHVRKKLLHNYTTWCAFLGRKPHVSLPDSGLRRASPDPRRELLYVSLYLLVWGEAANLRFLPECLSYIFHNMAMDLNRVLEGYIDDATGQRALPVTSGENGFLTRVVTPIYLTIQGEVEASRNGTAPNSAWRNYDDINEYFWSNHCFERLRWPLDRSKDFFLTPPNKNRIGKTGFVEQRSFWNLFRSFDRLWVMLILFLQAAIIVAWRGETYPWQNLQTRDDQVRVLTIFITWAGLRLLQSILDAGTQYSLVSSETKLLGVRMVLKSLVAITWTVAFSVLYSQIWEQRNRDRRWSQAANQQLVNFLEAAAVFILPELLAIILFILPWLRNFLEKTNWRIFYMLTWWFQSRIFIGRGLREGLLDNLKYAIFWIALLSAKFSFSYFLQIKPMVAPTKAMLELRNIEYEWHEFFSRTNRFGVVILWLPVILIYLMDIQIWYSIFSSFVGALVGLFSHLGEIRDVQQLRLRFQFFASAMKFNLMPEEQPTEEHDSLRSKFRDAVNRLKLRYGLGRPYKKIESNEVGPSRFALIWNEIIQTFREEDILSDREVELLELPPYTWKIRVIRWPCILLCNELLLALSLVNEYKANDRKHWRMICKNEYRRCAIIEVYDSIKSLLLDIINKGTEEHSIVARVYEEFDNWIRVEKFSVEYNMFILQSIYDKLVILLGTLVKPNKDRNKVVHTLQTLYDIVTRDFPNNKKSIKQLKEAGLAPRGSSDLLFENAIELPNADNENFYRQVRRLHTILTSKDSMNSVPKNLEARRRIAFFSNSLFMNMPRAPKVEKMRAFSVLTPYYNEEVLYSKEQLHSENEDGISIIFYLQKIYEDDWANFLERMHREGMVDEEELWNKRSRDLRLWASYRGQTLSRTVRGMMYYYRALKMLTFLDNASEIDISDGSRELASVGLSRRRINDIDGLEDGGKSLSRDHNRASSGISLLFKGHEHGTAMMKYTYVLACQIYGNQKAKNDARASDILYLMKNNEALRVAYVDEKKSGRDEVEYYSVLVRYDQQLEKEVEIYRVRLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYSYNYGARKPTILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVVLTVYAYIWGHVYLALSGLESSIKNIADSTDNAALGTVINQQFIIQLGLFTALPMVIENSIEHGFLPAIWDFLTMQLQLASMFYTFSLGTKAHYYGRTILHGGAKYRPTGRGFVVEHKKFAENYRLFSRSHFIKAIEIGVILTVYASYSPLAKNTFVYIVMTISSWFLVVSWILAPFAFNPSGFDWLKTVYDFDDFMTWIWYPSYISATSDLSWEKWWNEETDHLRTTGLWGKLLEIILDLRYFLFQYGIVYQLKIADGSHSVAVYLLSWICIVAAVGIFVYVNYARDKYAAKEHITYRAIQSFIIIFVIFVTVLLLEVTSFEIVDIFTSLLAFIPTGWGLISIAQVIKPFIESTVLWETVVAVARLYDIMFGLVVMAPVAFLSWMPGFQEMQTRILFNEAFSRGLQISRILTGKKSETI >Ma04_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11810421:11818126:1 gene:Ma04_g15560 transcript:Ma04_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLARSAKEAFVDDDFELAVDLNTRALELDPANADLYADRAQANIKLNNFTEAVADANKAIELAPTMSKAYLRKGTACIKLEEYQTAKAALEAGFFLAPTDSRFTRLIKECDEHIAEEINRLPKQGAHAASPIAVISSHDGSIGSPKESVPIHDASCHQSVKVSSKPKYRHDHYNTPTEVVLTIFAKDIPEKYVNIDFGEQIISVTIDIPGEDTYLFQHRLFAKIVPEKCRYEIFSSKIEIRLFKAEAITWTSLEFSKDKKVVQKVNVSGFADVKSERPSYPSSKTKVDWDKLESEVKKEEKEEKLDGDAALNKLFRDIYQGGDEDMKRAMMKSFVESNGSVLSTNWKDVGSRKVEGTPPDGMEMKK >Ma09_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:976510:985422:-1 gene:Ma09_g01340 transcript:Ma09_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPETNIISREIQTSQQEYLKLKARVEALQRSQRNLLGEDLGPLSIKELEQLERQLDASLRQIRSTRTQCMLDQLADLQRREQMLCEANKALKIRMDEGNQANQQQLWDPNAQAVAYCRHQPQPQGDGFFQPIECEPTLQIGYHPDQMAIAAAAPGPSVSSYVPGWLA >Ma06_p26760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28648447:28648536:-1 gene:Ma06_g26760 transcript:Ma06_t26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSYNVHDLAYKSYIILLMSVQSNKFT >Ma03_p15220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15035212:15037124:-1 gene:Ma03_g15220 transcript:Ma03_t15220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDVNTHLPPRKRLLAELKRENSDFDFLPPVPFVSGDLGARLRDVVNSPSSTPEEIIEVSKSVALAAADAAAAARNIAIEKEAAAAKAKAAAKSALLFLDSITTSRRSRKGCLTKAKGRKKQIPTELLDKTSHPSGSQETDEELARKLHRAMNSSPRISNNKQKNIHNFGKEVLYDGGAVCNLKSPTLQEENTTMGNKCFKDASGEKIVVCSEADISEREDEESNYCMEKQQKVSKFRVTAGGRKVKIKQKKLLLNQYDVRDQAELNKLPSYANCHSFMRKSKLDSSESYMSSDDAKPSNYGAVSMKITSAWKPKKINVSQSSSDSKILQALC >Ma03_p15220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15035212:15037124:-1 gene:Ma03_g15220 transcript:Ma03_t15220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDVNTHLPPRKRLLAELKRENSDFDFLPPVPFVSGDLGARLRDVVNSPSSTPEEIIEVSKSVALAAADAAAAARNIAIEKEAAAAKAKAAAKSALLFLDSITTSRRSRKGCLTKAKGRKKQIPTELLDKTSHPSGSQETDEELARKLHRAMNSSPRISNNKQKNIHNFGKEVLYDGGAVCNLKSPTLQEENTTMGNKCFKDASGEKIVVCSEADISEREDEESNYCMEKQQKVSKFRVTAGGRKVKIKQKKLLLNQYDVRDQAELNKLPSYANCHSFMRKSKLDSSESYMSSDDAKPSNYGAVSMKITSAWKPKKINVSQSSSDSKILQALC >Ma03_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15035212:15037124:-1 gene:Ma03_g15220 transcript:Ma03_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDVNTHLPPRKRLLAELKRENSDFDFLPPVPFVSGDLGARLRDVVNSPSSTPEEIIEVSKSVALAAADAAAAARNIAIEKEAAAAKAKAAAKSALLFLDSITTSRRSRKGCLTKAKGRKKQIPTELLDKTSHPSGSQETDEELARKLHRAMNSSPRISNNKQKNIHNFGKEVLYDGGAVCNLKSPTLQEENTTMGNKCFKDASGEKIVVCSEADISEREDEESNYCMEKQQKVSKFRVTAGGRKVKIKQKKLLLNQYDVRDQAELNKLPSYANCHSFMRKSKLDSSESYMSSDDAKPSNYGAVSMKITSAWKPKKINVSQSSSDSKILQALC >Ma06_p33770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34282023:34285960:1 gene:Ma06_g33770 transcript:Ma06_t33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRSAPPPVCLSSRHCSHWARIYLKYCLCSVKDGMALFLGTISIISWGIAEVPQIITNYRQKSTEGLSIAFLLTWVVGDLFNFIGCLLEPATLPTQYYVALLYTATTLILTGQTIYYGHIYHRFKANCGVPGRIEIIKKIGIGTYGSNSYISNEIYHFGYVLEDASERESLLGDAKKTRVVGDRGHDAKEGNLPSSPIPVAGQVFHDSCGKDFYYMSARSLKKSPVPTFGFWSVHSHDDGRAPPIDGNQQSPQSAPSNLDTKNMFSIVPSVAFFFGICVIRSYISNMHTASSPGGMVILVGRKLLQDKVQDDGSSGVGTLLGWAMAAIYMGGRLPQICLNIRRGNVKGLNPLMFIFALTGNATYVGSILVNSLEWSKLRPNLPWLVDAGGCVILDAFILIQFAYFHIRESNKRESEDNPV >Ma09_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10921369:10930932:-1 gene:Ma09_g15590 transcript:Ma09_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVDRATSDMLMRPDGAMNVQICDILNRDPGQTKDVVKDLKKRIGHKNPKVQLLALTLLETMIKKCGDIIHMYVAEKDVLHEMVKIVKKKHSDSHVKKKILILIDTWQEVFGGQRARYPQYYAAYQELLRAGVVFPQKSERSSPVCARPQARPLRSHPPPVRSPEFQNKARGSSITPAVPVLRLNEIENARGIMDVLAEMLNALDPVNREGLKQEVFVDLVGQCRTYRQRVVHLVNTTSDEELLSQGLSLNDDLQNVLAKHDAIAAGTAVHDEKQKSALDHVDDSSASKESDQRLSTASSSSSNQPPPLQQSLLHGSPASCDSAISSAKIEPSMDLISGDDYNKPATENLLALVPASEPLTNVASEQNVLRLAEMFPPIISNSSSTNPANRFDSNSGIPAQQTYPAGTNIQLQVHLIQQPALFTSGGIQSSGAPQFEQASRDQGVQLTHMSIVWDGQLSPAYNPEEQALSYDDQAGGALPPPPWEVQPAQNDLPGLQPQPFQIDQLGDMHSLPIQTGQLEGAQPQPSLAGQLGKMHPQHVLGTLLEGLQPQLAQSSQVVGVYPPMQNSRMTAMHQQQMFGGRVADMGQQSMQGVRLTGYGFGQQPDAQYYDPMSSTHPYSNPTELSHGMYGLSVQDNSTYEGNNSSYQMPTMSSSNLQQPNKPMKPEDKLFGDLVSMAKTRQTKPTVSRVQGL >Ma07_p28030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34186637:34187430:1 gene:Ma07_g28030 transcript:Ma07_t28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDHGDNSGKHSSQSHKAHPKPTQLLSSAKVVADAAKSALHHETDKIDKAKVAGAAGDILGAASHYAKLEEGKLGKYVGQAENYLHQYHSSHSAHSSTTSAAAHSSSTHSTGEAHHGGGGGGLEDYMKMAQGFLKKR >Ma07_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30761093:30765286:1 gene:Ma07_g22990 transcript:Ma07_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARRISVGLQPQPVSETPPPPPLSLSSPSPSPAAAAVSSVAVFLKRPSAFPLLLSVFVLLTWVFLRLEHPTSAGLRSSLSSGLSSSSSRSGTGPVDGADSDANLVRFSAVEFPSKIARDWRGWLMDPVTAAREARLRGRASKCASVHVGQIQPGGLRGNHRHHTCNETFIIWGADTKFRLENPNVKDKGYAEVTIRYDEVALATSPSGTAHAIINVDRVRSTFLLGCQDSLIKTNASTTDYNVWKDL >Ma01_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11960269:11962400:1 gene:Ma01_g16490 transcript:Ma01_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSEEFKLLKIQTVILKVHIHCDGCKQQVKKLLQRIEGVYTVSIDAEHQKVTVSGDVDSNTLIKKLARSGKHAEVWPQKTNNQSSKPNQQQQQGGKDGNKNNKGQGQGGQANQALIQGLREFKNQHNRMEPLSSDDEEFDDDFDEEEDDELGFLGEKMKQLNISKQANNAVTAATAAGAKKNGGNNNIGGGKKVGGNPNQAIGLKGPNGSEPKGSYTASDNKMVNGAPLCGGNQSAVAGPPPPGLGGSHGLGLHQAQQQPGATFPAGFPANGNGGFGVSHQQSPLMMNLQGQGYQNQTPSTMMNLRGINHSSSNNMLMNESRYMQPQVMYNRSPQIPPYTAYYYPCPYYQSPYVSHHHSDTGLSDENTSSCVVM >Ma01_p12310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8919411:8927524:1 gene:Ma01_g12310 transcript:Ma01_t12310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 2 [Source:Projected from Arabidopsis thaliana (AT1G67170) UniProtKB/Swiss-Prot;Acc:Q84TD8] MGSKGRIPPPHLRRPHPGPGLLHPDVFGPGIHPPPGAFPFDMLPPPEIMEQKLDAQHVEMQRLAMENQRFMATHSSLRHELAAAQQELQRLQTHMGVMQAEQEQQLRMLMDKITKMEADLKTSEPVKAELQQAHAEAQSLVAARQELISKVQQLTHDLQRSHGDVQQIPALMSELEALRQDYQHCRATYDYERKLRIDHYESLQVMEKNYVSMVREVEKLRAELTNATNLDRSGGQFGTNSATHKENDASGHQPIGQNAYEDGYGAPQGHGPGSTVPYGGVVGSASAHTAQRGPEYDPSRGPSYDASRAAAYDTARGDSYEGHRGTGYEAFTASRYDASKGAGVVPGAVVAGNPPYGSAHAPPSYGVPPAYGSTQQVTYGSGQTPTAYGSSQGSRQTPAAYSSTQQATYGSGQTPTRAGGGYEAPRGGNTLRR >Ma01_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8919464:8927524:1 gene:Ma01_g12310 transcript:Ma01_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 2 [Source:Projected from Arabidopsis thaliana (AT1G67170) UniProtKB/Swiss-Prot;Acc:Q84TD8] MGSKGRIPPPHLRRPHPGPGLLHPDVFGPGIHPPPGAFPFDMLPPPEIMEQKLDAQHVEMQRLAMENQRFMATHSSLRHELAAAQQELQRLQTHMGVMQAEQEQQLRMLMDKITKMEADLKTSEPVKAELQQAHAEAQSLVAARQELISKVQQLTHDLQRSHGDVQQIPALMSELEALRQDYQHCRATYDYERKLRIDHYESLQVMEKNYVSMVREVEKLRAELTNATNLDRSGGQFGTNSATHKENDASGHQPIGQNAYEDGYGAPQGHGPGSTVPYGGVVGSASAHTAQRGPEYDPSRGPSYDASRAAAYDTARGDSYEGHRGTGYEAFTASRYDASKGAGVVPGAVVAGNPPYGSAHAPPSYGVPPAYGSTQQVTYGSGQTPTAYGSSQGSRQTPAAYSSTQQATYGSGQTPTRAGGGYEAPRGGNTLRR >Ma10_p27000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34425866:34429583:-1 gene:Ma10_g27000 transcript:Ma10_t27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20070) UniProtKB/Swiss-Prot;Acc:Q94A82] MIVASRLLKRIHLALYLRPRSPSSRTLIPPHEGFDRPPSRRYGAAMSINLRSHAFAGNPLRSSHPKSEGSSSPGSALDALKSLLSGSADGSSEVARVLPFKKGRPLARSVDSTSGSAPRWNLGWVSAAKFGDLAAESFVYLGSGPVEEAGTVYWAVDVSDAGNVELGGGGNGLCFVELRTLMVATDWADADAMGELAIAGHARSLLEWHDVSRFCGHCGARTVSTDAGRRKQCTNGSCKKRIYPRVDPVVIMLVIDKENDRALLSHQSRFVPRMWSCLAGFIEPGESLEEAVRRETREETGIEVGEVVYHSSQPWPVGPSSMPSQLMVGFFAYAKSFDIHVDKVELEDAQWHKREDVKKALTFAEYEKAQKTAALKVNQMCGGVERGQNFSSDFNVESGELAPMFVPGPYAIAHHLISSWVHEGANCNHGLAKQLNSLSNL >Ma08_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6720639:6727371:1 gene:Ma08_g09290 transcript:Ma08_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDEGVLRSSIFDSRKGETAKSRGQLIERKIDIIESLAGKVSNRRSRRWLNDCLLIELVPRLNVEEIRGLFAPPPWGDNKPLSAFCMTNVKEWDVFRNVDMDVEASITKALRATSAERKVYMNTDKAVALNAWRRVDRRTREAMRRNFLPQLIEGYEERIRVFINDGVEDVLVLHVQDSFHRLLLHGVCEFYNLISITESIVRDAKLWKMTRIKKKMGCNEIPPNITLAQFLRLSKDGAL >Ma08_p09290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6720636:6727371:1 gene:Ma08_g09290 transcript:Ma08_t09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDEGVLRSSIFDSRKGETAKSRGQLIERKIDIIESLAGKVSNRRSRRWLNDCLLIELVPRLNVEEIRGLFAPPPWGDNKPLSAFCMTNVKEWDVFRNVDMDVEASITKALRATSAERKVYMNTDKAVALNAWRRVDRRTREAMRRNFLPQLIEGYEERIRVFINDGVEDVLVLHVQDSFHRLLLHGVCEGTVIMQLQLAYQRYLRQLPISSLKSFNFSFLIQFYNLISITESIVRDAKLWKMTRIKKKMGCNEIPPNITLAQFLRLSKDGAL >Ma02_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15520143:15522936:1 gene:Ma02_g04050 transcript:Ma02_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAFDKERFAESKKELDALLSDDALANVPFLILGNKIDIPYAASEDELRYHLGLSNFTTGKGKVNLVDSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >Ma06_p31160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32331506:32337275:-1 gene:Ma06_g31160 transcript:Ma06_t31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSPNMEDGTPFKSELDVNSHGNNDGEGTENFQNGNKEPEGMQVCVNQERPESPVLPVDAVCEGLQSPVLNSTASPSPINKVQDENLPIESEYDDDVENRSVVHSIEELRSNVKYHEYQEEKQRASYNIQSGDLLDMCTDQTAEDKNKLGDSDPYLHRVTLSVDNNSNSQLEKPDFDNDGMEDGNFSRADNNLNSQPENPDSGNDGLEDGNFSQVDNNLNSRPEKPDFGNDGIEDENFSQVDNNLNCQSEKPDFGNDGVDENCTHNIEMKDKDDHMMGVAHSDNVEMIKECSVGHSPRPTRKGTPSSPERELSVPMARSPDNKPSAADDQLPTEISDRKTTRSPKHTPSPEKRAVGRKRTRDSFSPPARRKSPPGRMAHRDAHRRDSSPRKNLPASPRKRESPRRRDRSRSRSPVRRKDPSGHRRDHRGRSRSRSPYSRDHHRRSPRRRHSPGRRSPPASYHSRHRSPRRPWSPPTNRNTGVGRPGRNLFVAGFSYVTTERDLEKKFSRFGRVTDVRIVRDRRRFSWVWIFILRKG >Ma06_p31160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32331498:32337313:-1 gene:Ma06_g31160 transcript:Ma06_t31160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSPNMEDGTPFKSELDVNSHGNNDGEGTENFQNGNKEPEGMQVCVNQERPESPVLPVDAVCEGLQSPVLNSTASPSPINKVQDENLPIESEYDDDVENRSVVHSIEELRSNVKYHEYQEEKQRASYNIQSGDLLDMCTDQTAEDKNKLGDSDPYLHRVTLSVDNNSNSQLEKPDFDNDGMEDGNFSRADNNLNSQPENPDSGNDGLEDGNFSQVDNNLNSRPEKPDFGNDGIEDENFSQVDNNLNCQSEKPDFGNDGVDENCTHNIEMKDKDDHMMGVAHSDNVEMIKECSVGHSPRPTRKGTPSSPERELSVPMARSPDNKPSAADDQLPTEISDRKTTRSPKHTPSPEKRAVGRKRTRDSFSPPARRKSPPGRMAHRDAHRRDSSPRKNLPASPRKRESPRRRDRSRSRSPVRRKDPSGHRRDHRGRSRSRSPYSRDHHRRSPRRRHSPGRRSPPASYHSRHRSPRRPWSPPTNRNTGVGRPGRNLFVAGFSYVTTERDLEKKFSRFGRVTDVRIVRDRRSGDSRGFGFLSLERDEDADAAIRALDQTEWNGRIVLVEKSKTSAR >Ma03_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4526337:4527527:-1 gene:Ma03_g06560 transcript:Ma03_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLKNTCSVDLVGLKKLENRSCPLSDDVLVEIISHLPAKAFFKLLPVCKTFRQLTLDSHFLLSQSYYNNTISGFIVHSDNILNSIILIDPYAGVPSSSLKFITDTESIFLGSAGGLVFVLNQKYERFDATTTGICVYNPARGTRCWLPSPPGECTPGGIAVRFMNDEDGVMKDYKLVYVTQTSGLSISHHCRVYDSVARAWTVDKELDLGHQQLDLEDPVVCGDAVFWLSSDLKSYSRIGPYVVTFDVREECTQIIPLPSETVIDFFALFGIAKWEGKSLCLIHYSSFSGVIGLWPLKKTSDGTLEWVKMHEISLAQMGFTGEPCFVNHVKLIEVATTTLLVFITYDSMYSYNVKDGGALKCQDCWGFPYSHNFIPYSNTLRPCGDREERLEAI >Ma11_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27157222:27165782:1 gene:Ma11_g24010 transcript:Ma11_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase IMPL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31190) UniProtKB/Swiss-Prot;Acc:Q94F00] MGRAALSSSLVSLRPRIPAVPKPFLPNSTPNNALRFPSKISRGSRTRAAAVSESPTGRLFPRVAAESTGPIPAAELLKVVETAARTGAEVVMEAVNKPRNINYKGITDLVTDTDKLSESVILEVVKKNFKDHLILGEEGGLIGDSLSEYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAASVVEFVGGPMCWNTRTFCASSGGGAFCNGQKIHVSQTDQVERSLLVTGFGYEHDDAWATNINLFKEFTDISRGVRRLGAAAVDMSHVALGIVEAYWEYRLKPWDMAAGVLMVEEAGGVVTRMDGGKFTVFDRSVLVSNGIVHEKLLERIGPATEELKKKGIDFSLWFKPENYSTDS >Ma03_p29420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32338932:32344096:-1 gene:Ma03_g29420 transcript:Ma03_t29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSVERVSSSMGTKKGRAVLHWVVFFWTLGFASALLSPKGVNFEVQALMDIKASLKDPHGVLGNWDRDSVDPCSWSMVSCSPENLVIGLETPSQNMSGTLSPSIGNLTNLEFVLLQNNYISGSIPPEIGKLSKLHKLDLSSNHFHGEIPASLGHLKGLQYLRLNNNSLSGAFPLALASITELTFLDLSFNNFSGPIPRFSARTFSIVGNPLICPTGSEQECFNTVPVPVPVSFNKNNTHGVPTARRPKSHKVIAFVSCLGCICAVSLAFGFFLWWRERHNQQILFDVNDQHNEGLCLGNLKRFHFRELQIATNNFSSKNILGKGGFGNVYKGKLQDGTLVAVKRLKDGNAAGGEIQFQTEVEMISLAVHRNLLKLWGYCMTATERLLVYPYMSNGSVASRLKAKPTLDWSTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLLELITGLRALEFGKAANQKSAMLDWVREVHREKLDMIVDKDLKNSYDQGELEEMVQVALLCTQYLPGDRPKMSEVVRMLEADGFAERREVSHGVAARKIEVSEFSSEHYSDLTDDSSLLIQATELSGPR >Ma05_p30770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41023638:41026336:1 gene:Ma05_g30770 transcript:Ma05_t30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNRQCRTRRGMKEQMEDERLWTVACLRGRLLAERVASKAAKEEAEKLAKRLEELERKLDEEIKCRDRAEKKLKCAIRKLESLKVSDGRDQAGIPLSSVSSSSSQCFLGQQRLDRDMSGSVTADLVHGGEDVKIIPSSSGDDASGRWPEESDHQLVYLKETWISLGTAKSQYKGCSPKDWATGALSDDKQETSADDEAESEDNVLALVAVSRQLDLEAGRLEIKDNVQGVLLALRNVKELLLQSLVRRANTFN >Ma05_p30770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41023638:41026356:1 gene:Ma05_g30770 transcript:Ma05_t30770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNRQCRTRRGMKEQMEDERLWTVACLRGRLLAERVASKAAKEEAEKLAKRLEELERKLDEEIKCRDRAEKKLKCAIRKLESLKVSDGRDQAGIPLSSVSSSSSQCFLGQQRLDRDMSGSVTADLVHGGEDVKIIPSSSGDDASGRWPEESDHQLVYLKETWISLGTAKSQYKGCSPKDWATGALRACSCSDDKQETSADDEAESEDNVLALVAVSRQLDLEAGRLEIKDNVQGVLLALRNVKELLLQSLVRRANTFN >Ma08_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36632048:36634916:1 gene:Ma08_g23250 transcript:Ma08_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLGLMTYFLGIEVIQDNGGIFISQENYAKEVLKKFSMEDCHPTDTPVEYGTKLTKEGEVTSTVGTLNMLGLAKRVGARFLLTSTSEVYGDPSQHPQAETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAQVEVRIARIFNTYGPRMSIDDGRVVSNFVAQALRKEPSTVYGDGKQTRSFQYVSDLVEGLIRLMEREHVGLFNLDNPREFTMLELANVVQETIDPNAKIEFRPNIKDGPHKRKPDITRAKELLGWELKISLRQGLPLMVSDFRKRIFGNHSDSTPSTTSTITEST >Ma05_p31970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41643762:41645476:1 gene:Ma05_g31970 transcript:Ma05_t31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHSADYLAGAPSRTLHPPPPSSSSSSSIRKSPSAAPSSPLPCQSGGKKVIVGPYEVGRLLGCGAFAKVYHARHTGTGQSVALKVLSKHKLLRSGLAGNVRREICAMRRLCHPNILRLLDVLASRSRIYLVLELAKGGELFSRLTGRGRLTEDLARPIFHQLLSAVAYAHVHGVFHRDLKPENLLLLDDSAHPRLKVSDFGLAAIADQLLVLPHRHLPDRSPPLFRTICGTPAYVAPEVLSCRPYDAAKADLWSCGVVLFVLVAGYLPFNDPNLMALYRKICRAEFRCPRWVSPDLRRLLGRLLDPDPAGRISAAEIVHEPWFRKGLDADRFASVTRPHRHDLDGRIASKIGDSGSEEDRDGDLNAFDLIALSPSLDLSGFFAGLELATPLPWRERFISGDSAEEILARVEAAAAGEEGMTVRRLGKEGRAGAALEGPAGELVVLVVLRRLNRELVMVEMETGVGDGNGDFLRERLRPALGGSVGVTDIRGSGSTGSEPDLVLFDEPDESSSTSSS >Ma05_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31988851:31991446:1 gene:Ma05_g20340 transcript:Ma05_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWADDNASMMEAFTDLQGFPWAATPTPSTPPLPTALDPGRALIGPPTPAPPPTAYFNQETLQQRLQTLIDGAGENWTYGIFWQSSVDAASGASFLGWGDGYYKGCEEDKRKQRAASAASAAEQEHRKRVLRELNSLISGGGSSAQDETVEEEVTDTEWFFLVSMTQSFVNGDGLPGQALYAGAPSWVAGCDRLAAAPCERARQAQLFGIQTMVCYPVGSGVLELGSTDVILHSPEIMGKISVLFNFGSPDSPSTAGASLIAPQSAAATPAADQGETDPSVLWLTEPSMVEIKDSVSPAPAAADISVTKLPILLESNPSSTVHIENPASSMEIQKALYGHQQQIHHPQHQSSGSKPQSQPFFSKELNFSGFASNGSVALHSVKPESGDILNFAGGKRNSSPVPVTGSVFSHHQAAAVADDKKNSKSTGTTSMVSNNDEGMLSFSSAPTRPPSNSQLKSSCGGGVLDGADSDQSDLEASVREVESIRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAVSYINELRSKLQASEADKEELQSQMEIIKKERESAPARPAPPPRYDVKMMKGCHGVEIDVKLLGSEAMIRLQSQKRNHPAARLMAALQDLDLEVHYASVSVVKDLMIQQATVQMSSRVYTQEQLNAALYSRLVAETPPFSR >Ma10_p27170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34567127:34569901:1 gene:Ma10_g27170 transcript:Ma10_t27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNRTISVTRLSQKLVVPAEPTPTGTLRLSWLDRYPTQRALIESLHVFDRSDGDPAAAIRGALAKALVHYYPLAGRLTVSEQGELQVACNEAGVWFIEASADCRLRELDYLEHPLMISKDELLPRPQPQLEQAEEESLVLMVQLTNFTCGGFVVGFRFSHAISDGPGAAQFFNAIAEMARGLAKPSVEPVWCRDAIPNPPKFISGPCALPTDVHLQYLIMDIPLDYIGHLKNQFFDQTGDRCSSFEVLIAKVWQCRTRVIGLDPDVDVHLCFAMNTRRLLHQVLPANGSYYGNCYYIMKVTTASRTIAGSSITDIVKLIKDAKKKLPAEYAKWAKGELKEDPYQLTSAYESLLVSDWTRLGFAEIDYGWGPPVHVVPLTNADYIATCILVKPSVPRPGARLMTQCVTKDQVAAFREAMMSLT >Ma10_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17253910:17276540:-1 gene:Ma10_g05880 transcript:Ma10_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRSFIKDVKRIIIKVGTAVVTRADGRLALGRLGALCEQVKELNYSGFEVILVTSGAVGVGRQRLRFRKLVNSSFADLQKPQVELDGKACAAVGQSGLMALYDALFSQLDVTSSQLLVTDSDFKDPNFRMQLCQTVKSLLALKVIPVFNENDAVSTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPGEPHSKLIHTYVKERHHGEITFGDKSRVGRGGMTAKVKAAVYAAYAGTPVVITSGFTTDSIIKVVQGERVGTLFHQDASKWALPKEVSARCMAVSARECSRRLQSLSSEERKKILLDIANALEANEKLIRIENEADVSAAQQAGYEKSLISRLTLKPGKISCLANSIRVLADMEDPICRVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAVRSGNGLLLKGGKEAMRSNAILHKVITGAIPDVGEKLIGLVTSRDEIPDLLKLDDVIDLVIPRGSNKLVTQIKESTKIPVLGHADGICHVYIDKSADIEMAKRIVLDAKTDYPAACNAMETLLVHKDLLKTEGLNNLLTELKNEGVALYGGSIVSLEYGISEAPSFHHEYNSMACTIEVVDDIHGAIDHIHQYGSAHTDCIVAEDLAAAEIFLHQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGNGQVVDGDKGVVYTHRNLPL >Ma01_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11688430:11705544:1 gene:Ma01_g16130 transcript:Ma01_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGESGVSARGAKTYAFACAIIASIISILMGYDTGVMSGAMLFMKEDLNIQDEQVEVLAGIMNICALVGSLTAGRLSDWIGRRYTIVVASIIFFVGAVLMGLGLNYGMLFSGRCIAGVGVGYALMVAPVYSAEISSPMTLYAEGSPTTIKVAITILDLGSSPMMTGKVVLSRGKIESSVNLVRDEVSFGQVELLEGIEIQDIG >Ma03_p31360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33603297:33605993:1 gene:Ma03_g31360 transcript:Ma03_t31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGSSSGTREVVEDDFFGAESGWVEARTACDHLPSLCSDLSQIPLPDSPCSRCHHPAENWLCLSCKDVFCSRFINKHMLKHYEESGHCLALSFSDLSVWCFECDAYLDVQMIWQLRPVYEVAHLLKFEERPSFRAIESLQLSSDQGEGSS >Ma06_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10322836:10325131:-1 gene:Ma06_g15160 transcript:Ma06_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGGTSGPLSLASSLGSRGGVLKRQQPMDSGDHHSISTAIEFPISLNPLIDAPMVVEAKDEVSNDRVVATEMDFFSGERKDTTSLVEPDLDLKVPSLTRIKKEDLTIQTGLHLHTGNTGSDQSTVDDGLSRNEDDEEGKNELAAMQAELARMNEENQKLRGILSQVTTNFNALQMHLATLMQQRSHQSHETPQEHEAMDVKTDAKNDGHGGVLVPKQFMDLGPAVNDVEPSNSSTVSPDRSASPPANVEVGSMGYDLHKNDGRSTSDQTWNPNKALKLNPTNQAQEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPKAYYRCTMASACPVRKQVQRCADDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMWSTDGLMNSNFPARTTLPCSSSVATVSASAPFPTVTLDLTKNPNPLQYQRPPTGPFSVPFPGVSPAFGAPPQPPSLPQLFGQTLLQTSPEMAATQFPHQKTHLVMPSPSTAETVKAATAAITTDPNFTAALAAAIKSIIGGNHQCFNNNNGSSNNVNISNITPHKSCS >Ma03_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5375095:5376505:1 gene:Ma03_g07650 transcript:Ma03_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGLALGMGLDVGRREEEEEEAASGDEEEGGKEDGEVRRSLQPALPLKLLPLLPVPRQPSPPLLRFPSSTATRNLDASTRGFDVPSTEEAEEAAAVSSSSPNSTISFRMGFSAQRSSAERGGVVERASSIVSDEEENGLAKKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLQPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCQTLTEENRRLQKEVAELRALKTTHPFHMHLPATTLSMCPSCERVASTAADHRPSSFAALFSKPTPAAPRQPP >Ma04_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7225091:7234360:1 gene:Ma04_g10090 transcript:Ma04_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQNIFSKCVRWTSYFSLAFAPFSTLTSSSKVSSVEEPLGFDTMPRLSVVGRLQHCASSSSRSRIDEATMGECLSEGRECSLSERCNEEHGSRRQPRDMFSRDPLIWKSIGRNRMICSVSDPWHLQNHRYKSSCNDMEIGGLAGKFFRSMPRFVKIVEVGPRDGLQNEKLTIPTTVKVELIHKLVSSGLSVIEATSFVSPKWVPQLADAKDVMQAIPLLDGVKFPVLTPNLKGFEAAIAAGAKEVAVFASASESFSKSNINCSIEESLNRYREVVSAAKELAIPVRGYVSCVVGCPVEGAVPPEQVAYVAKELYEMGCYEISLGDTIGVGTPGTVIPMLEAAMSLIPIDKLAVHFHDTYGQSLSNILVALQMGISVIDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGTKTNVDLGRLMAAGDYICKHLGRQSGSKTAIALSRATAYASKL >Ma04_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19119734:19134880:-1 gene:Ma04_g17880 transcript:Ma04_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELRYIPGACLAGLLGVMADVPMISLIAICKSPYMLFKGWRRLFHDLIGREGPFLETACVPFAGLAIILWPSAVAGAVMASIIPSFSLGAYAAVIAYQETSVKMGLAYIISSMSMFDEYSNDVLDMPEGSCFPRYQYRKKVPQRATSFSRPVSFRRENQDAKKAPSRATSFKNSILELNPLKLLDHLFSECKRHGEVLVSEGVITQEDIQESRSSKGGSRIIRLQGITKSISRYPTARRRFDDLVKSLSEDLEKKFGSNRSANGSQESQRVRSGIFRIFSQKSFGSDTSTKGHHQEIQEVNNGF >Ma06_p28640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30283923:30284209:1 gene:Ma06_g28640 transcript:Ma06_t28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWRLGVAALLLLLLLLLFFVTNTAEARVLPLEASSYGDGLVSSKTRKQVALATGSVHGEMAEVVEGSERLSPGGPDPQHHSMNP >Ma05_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8224333:8227755:-1 gene:Ma05_g11410 transcript:Ma05_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPALTATAVRFAVDKLVTLLEEQYKAVSGVQGKLKILESLHEQIDNVLEDAESRPLMDHAVKPLLLKLGDMACNIEDVLDLFDAEAKRRTSGARLCMTVRDFFSPDNQVRFRFKMSRSIEAVTTRLNSILVEKTLLLSLAQGTSKRQAGGEDRPVTRSQNAFRDVGRGRETEEIVNLLIDHESKETISVIAIVGMAGLGKTTLAQLVFNDDRVKFHFSLTMWKDVGHDFNPTKLMESILGLAAGNPINISETDLVQRELRRALAGKRFLLVLDNVWNDDQLKWEELRVLLQEYGAKGSKIVVTTRSLKVSSIMGSSTPHRLQPLSDDACWSLFRIFAFEDREERHSLVEIGKEIVKKCGGVPLAAITLGSLLRFKRDEDDWFSVLNTEIWQLEEDEDRIMAALRLSYDDLDRRSKQCFAFCSLFPKNSQMETENLVQLWVANGIIRPGRGSDVESIGNDVFRDLLLRSFFQEWKKDVDGHVTSCKMHDLMHDLARSVAGDECCNLGHDQVNHIQSRTRHLFMDQLASSSVSEALCKPESLRTLLSQKDHLTDADGLRCIFSKLKLLRVLDLAASDIKKVPESVGKLIHLRYLNLSKTSIAELPCSITLLQNLQYLILSRSKLRELPKNLSSMQSLRHLDISGCPFLTHMPRRFSRLTSLQRLSNYIVGKRDGCSIRELKDLDLHGDINIEFYVNVSNDSCAGQKILNNKQHLKSLRLHWDDASSDHNVENLLDDLCPHARLKRLSISKYGGVKLPTWLADSQIPNLVEVKLINCRNCERIPQFGNLKFLTELQVNGMESVSRIHADFYGHGEVQGFPSLKQFSLYNMPNLEEWSGTEGLELFPRLHTLTIGECPKLMAIPRLQRIERLEMQKCNGSLLSSLGALTSLSSLLVDRILGVTFPVGLFQNLASLRRLNITDCTELESLPVDEMQHLTALQHLTISGCKNLRSFPLNVERLRALQSLNLRYCINLGSLPEGLHSLTSLRSLRVVSCRSVTTQPEVIIRSLNSVRELFEAEICCSKVNLSGRLQDLGTLRMLRIFGGHSMRPVSATVLAATTLSICCCEELSSLMARTPSGVLEDVAIEDCSSLTALPDWLTELRSLRYLSIHNCPELESLPRVLLDLRPRQGLWIEGCPRLQI >Ma09_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2253428:2258276:1 gene:Ma09_g03330 transcript:Ma09_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSEESDISDSDVPEYEEKIYLRLKAGQFIVRNTDKTCRCPFCSGKKKQDYNYKDLLQHATGIGASNRKGKVKATHRALAKYLNNDITDTTCSSEQLVVFQPKPSKSTSEDQFVWPWMGVVVNVPTEFKNGQYVGESGNRLKEQLSRFHPLKVHPLWNHRGHTGIAIMDFTKDWTGFKDAMAFENNFEAEHYGKRNWLEKKQRGSDIYGWVARADDYNSAGPVGDYLRKNGDLKSVADLATEESRKTDRLVANLASQIEVKNKHLQELECKYNETTISLDKMMEERDSLLQAYNEEIRKMQHLARDHSRKILTENEKLRSELDSKRQELEMRRNQLDKLVAQNDVDKRKLDDERQKNAMKNNSLQLATMEQKKADENVLKLLEDHKREKEAALKKILKLEKQLDQKQKLELEIQQLKGQLQIMKHMEGDEDATVKKKIDEMSEQLKEKIEEMDDLEALNQTLVVKERKSNDELQEARKALIQGLGDLLGSRSLIAIKRMGELDDGPFLPACKQRFSKDEAEIKAAEYCSHWQHELKKPEWHPFKIVDTDGKPQEFIKEDDEKLRALKEELGDAVYKAVTTALLEMNEYNPSGRYPIPELWNSKAERKATLTEVINYILKQWKTYKRKR >Ma09_p03330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2250669:2258276:1 gene:Ma09_g03330 transcript:Ma09_t03330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSEESDISDSDVPEYEEKIYLRLKAGQFIVRNTDKTCRCPFCSGKKKQDYNYKDLLQHATGIGASNRKGKVKATHRALAKYLNNDITDTTCSSEQLVVFQPKPSKSTSEDQFVWPWMGVVVNVPTEFKNGQYVGESGNRLKEQLSRFHPLKVHPLWNHRGHTGIAIMDFTKDWTGFKDAMAFENNFEAEHYGKRNWLEKKQRGSDIYGWVARADDYNSAGPVGDYLRKNGDLKSVADLATEESRKTDRLVANLASQIEVKNKHLQELECKYNETTISLDKMMEERDSLLQAYNEEIRKMQHLARDHSRKILTENEKLRSELDSKRQELEMRRNQLDKLVAQNDVDKRKLDDERQKNAMKNNSLQLATMEQKKADENVLKLLEDHKREKEAALKKILKLEKQLDQKQKLELEIQQLKGQLQIMKHMEGDEDATVKKKIDEMSEQLKEKIEEMDDLEALNQTLVVKERKSNDELQEARKALIQGLGDLLGSRSLIAIKRMGELDDGPFLPACKQRFSKDEAEIKAAEYCSHWQHELKKPEWHPFKIVDTDGKPQEFIKEDDEKLRALKEELGDAVYKAVTTALLEMNEYNPSGRYPIPELWNSKAERKATLTEVINYILKQWKTYKRKR >Ma09_p03330.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2251001:2258276:1 gene:Ma09_g03330 transcript:Ma09_t03330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSEESDISDSDVPEYEEKIYLRLKAGQFIVRNTDKTCRCPFCSGKKKQDYNYKDLLQHATGIGASNRKGKVKATHRALAKYLNNDITDTTCSSEQLVVFQPKPSKSTSEDQFVWPWMGVVVNVPTEFKNGQYVGESGNRLKEQLSRFHPLKVHPLWNHRGHTGIAIMDFTKDWTGFKDAMAFENNFEAEHYGKRNWLEKKQRGSDIYGWVARADDYNSAGPVGDYLRKNGDLKSVADLATEESRKTDRLVANLASQIEVKNKHLQELECKYNETTISLDKMMEERDSLLQAYNEEIRKMQHLARDHSRKILTENEKLRSELDSKRQELEMRRNQLDKLVAQNDVDKRKLDDERQKNAMKNNSLQLATMEQKKADENVLKLLEDHKREKEAALKKILKLEKQLDQKQKLELEIQQLKGQLQIMKHMEGDEDATVKKKIDEMSEQLKEKIEEMDDLEALNQTLVVKERKSNDELQEARKALIQGLGDLLGSRSLIAIKRMGELDDGPFLPACKQRFSKDEAEIKAAEYCSHWQHELKKPEWHPFKIVDTDGKPQEFIKEDDEKLRALKEELGDAVYKAVTTALLEMNEYNPSGRYPIPELWNSKAERKATLTEVINYILKQWKTYKRKR >Ma09_p03330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2250884:2258276:1 gene:Ma09_g03330 transcript:Ma09_t03330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSEESDISDSDVPEYEEKIYLRLKAGQFIVRNTDKTCRCPFCSGKKKQDYNYKDLLQHATGIGASNRKGKVKATHRALAKYLNNDITDTTCSSEQLVVFQPKPSKSTSEDQFVWPWMGVVVNVPTEFKNGQYVGESGNRLKEQLSRFHPLKVHPLWNHRGHTGIAIMDFTKDWTGFKDAMAFENNFEAEHYGKRNWLEKKQRGSDIYGWVARADDYNSAGPVGDYLRKNGDLKSVADLATEESRKTDRLVANLASQIEVKNKHLQELECKYNETTISLDKMMEERDSLLQAYNEEIRKMQHLARDHSRKILTENEKLRSELDSKRQELEMRRNQLDKLVAQNDVDKRKLDDERQKNAMKNNSLQLATMEQKKADENVLKLLEDHKREKEAALKKILKLEKQLDQKQKLELEIQQLKGQLQIMKHMEGDEDATVKKKIDEMSEQLKEKIEEMDDLEALNQTLVVKERKSNDELQEARKALIQGLGDLLGSRSLIAIKRMGELDDGPFLPACKQRFSKDEAEIKAAEYCSHWQHELKKPEWHPFKIVDTDGKPQEFIKEDDEKLRALKEELGDAVYKAVTTALLEMNEYNPSGRYPIPELWNSKAERKATLTEVINYILKQWKTYKRKR >Ma09_p03330.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2250662:2258276:1 gene:Ma09_g03330 transcript:Ma09_t03330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSEESDISDSDVPEYEEKIYLRLKAGQFIVRNTDKTCRCPFCSGKKKQDYNYKDLLQHATGIGASNRKGKVKATHRALAKYLNNDITDTTCSSEQLVVFQPKPSKSTSEDQFVWPWMGVVVNVPTEFKNGQYVGESGNRLKEQLSRFHPLKVHPLWNHRGHTGIAIMDFTKDWTGFKDAMAFENNFEAEHYGKRNWLEKKQRGSDIYGWVARADDYNSAGPVGDYLRKNGDLKSVADLATEESRKTDRLVANLASQIEVKNKHLQELECKYNETTISLDKMMEERDSLLQAYNEEIRKMQHLARDHSRKILTENEKLRSELDSKRQELEMRRNQLDKLVAQNDVDKRKLDDERQKNAMKNNSLQLATMEQKKADENVLKLLEDHKREKEAALKKILKLEKQLDQKQKLELEIQQLKGQLQIMKHMEGDEDATVKKKIDEMSEQLKEKIEEMDDLEALNQTLVVKERKSNDELQEARKALIQGLGDLLGSRSLIAIKRMGELDDGPFLPACKQRFSKDEAEIKAAEYCSHWQHELKKPEWHPFKIVDTDGKPQEFIKEDDEKLRALKEELGDAVYKAVTTALLEMNEYNPSGRYPIPELWNSKAERKATLTEVINYILKQWKTYKRKR >Ma07_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29579341:29580891:1 gene:Ma07_g21480 transcript:Ma07_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPALSPSIPKLHYSLEWNVIQKKVGPLLWLLVISTIFILFIMHSSYTLSISAKGGLSQSPLMSADNSNVIQLVQSPPDSELLSPNLSAPQPATAATISRVTPVVEGLHPNTSGIEELCDMTKGKWVTEPRASIYTNVTCPTLPDMKNCGKYGMDQSYLYWRWQPDSCDIPRFDPVTFLNLVEKQNKVRTVHANLLQAETSRQVFRDSGDMYVTWYFPSHEFTLMAMWTEYFVEARPRIINGTASSSFELHLDRVTMNWTEKLPGVDYAILSGGNWFFRGLHLYREGEIVGCVNCWGQNLTDFGVAAAIRSVIRTALQFIATCKECEGLVTFLRTFTPSHFENGSWFSGGQCNRTQPLDESQISLSDITWEIRKVQLEEIERARRQEGEVNIKFYVLDVTKAMMLRADAHPGKQWTTKTTGAVNDCLHWCLPGPVDLWSDPLLATLKKNSPSH >Ma08_p33870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44050534:44051428:-1 gene:Ma08_g33870 transcript:Ma08_t33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETVAVFVLCVYLASSILPSLACPYCPTPTPPPPPPPKKTPPPPPPATTPCPPPPPKKTPTPPPPPKKSPSPPPPPPTYPTPSSPPPPTYPTPSSPPPPPTYPTPSIPPPTSVPCPPPPKAPTCPIDTLKLDACVDLLGGLVHVIIGGDVTYQCCPVLEGLADLDAALCLCTTIKAKALGISILLPIALEVLVDCGKHVPSDYQCPDP >Ma06_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8504669:8507462:1 gene:Ma06_g12220 transcript:Ma06_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRHHLPRLSSVAGEMLDLEKHFAFYGAYHSNPTNVLIHTLFVWPIFYTSLLLFHFTPPLLDLPSWLGGGVLAVDLGLAFAVAFGLFYVLMDRRAGSLAAILCVLCWAGSGCLASRLGFSLAWKVVLVAQLFCWTGQFLGHGLFEKRAPALLDNLVQALLTAPFFVLLEVMKNLCGYEPYPGFHAGVNAKIEGARKEWQTSKEKKTS >Ma06_p12220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8504669:8507643:1 gene:Ma06_g12220 transcript:Ma06_t12220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRHHLPRLSSVAGEMLDLEKHFAFYGAYHSNPTNVLIHTLFVWPIFYTSLLLFHFTPPLLDLPSWLGGGVLAVDLGLAFAVAFGLFYVLMDRRAGSLAAILCVLCWAGSGCLASRLGFSLAWKVVLVAQLFCWTGQFLGHGLFEKRAPALLDNLVQALLTAPFFVLLEKCR >Ma10_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29122496:29125029:-1 gene:Ma10_g17950 transcript:Ma10_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDWQIRCLAAGACIAIRCLQTGSKGKHLELILLLQSMGYGLWEGRT >Ma03_p27890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31127146:31127882:-1 gene:Ma03_g27890 transcript:Ma03_t27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMPFSLAFLLAVICYSTCAVTSAGSPTDFVRSSCSATRYPDLCFRCLASYAPAVRHSPRQLARAAMAVSADRARSASTYVSRIIAGAKSVRPRDAGAVRDCLENMADSVDRLRRSAEELDLLGGAGSPEFAWHMSNVRTWCSAALTDENTCLDSLEEHCSGGIRSAIRPKVVEVAQMTSNALALVNRVWSK >Ma03_p16400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18916414:18923392:1 gene:Ma03_g16400 transcript:Ma03_t16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKGSLETIRRGFRTALFMVTMVASLLVLSAPLLVALGDVSVSLALASTFACVRCHGFRGHLDGYSFRSSLMDIPLVSVVRSLIITCVYSLCDSPAISSGPFLGTALLCSLASVIILSVKACVFSPISEIETDASPSSVRERLHLKISCGMPILFLSSLVFALGHTVVAYRTSCRARRKLLIHRADPEAVLACNVFSGYKVPRSPTPCSGKYSKFDGEIKRKAIVHHECELPINFLADVDSLFITCQGITVHYKISLFESPISWSLALSPSHESSPNASPRGISSGRLKLEKPLILPSKTSHHLSRSFSNQFQNSSLYAPLLADATNSSNFSSDSIPSFSLDDGYTDVCSTKFMSLKHGIDERGKVAIVLVHGFGGGVFSWRYVMSALARQVGLPVVAFDRPGWGLTTRPRRKDWEDEQLPNPYKLESQVDLLIAFCLEMGFSSVIFVGHDDGGLLVLKAAEKIRASNGSANAPLFVEGWDEALHEIGRLSFATVLSPQNAAALLKSVEDFPILVVAGAEDALVPLKSSQAMASKFVNSRLVAISSCGHLPHEECPKALLAALSPFITRLLSSPFALHGL >Ma03_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18916414:18923392:1 gene:Ma03_g16400 transcript:Ma03_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKGSLETIRRGFRTALFMVTMVASLLVLSAPLLVALGDVSVSLALASTFACVRCHGFRGHLDGYSFRSSLMDIPLVSVVRSLIITCVYSLCDSPAISSGPFLGTALLCSLASVIILSVKACVFSPISEIETDASPSSVRERLHLKISCGMPILFLSSLVFALGHTVVAYRTSCRARRKLLIHRADPEAVLACNVFSGYKVPRSPTPCSGKYSKFDGEIKRKAIVHHECELPINFLADVDSLFITCQGITVHYKISLFESPISWSLALSPSHESSPNASPRGISSGRLKLEKPLILPSKTSHHLSRSFSNQFQNSSLYAPLLADATNSSNFSSDSIPSFSLDDGYTDVCSTKFMSLKHGIDERGKVAIVLVHGFGGGVFSWRYVMSALARQVGLPVVAFDRPGWGLTTRPRRKDWEDEQLPNPYKLESQVDLLIAFCLEMGFSSVIFVGHDDGGLLVLKAAEKIRASNGSANVEVKGVVLVSVSLSREVVPAFARILLHTSLGKKHLVRPLLRTEITQVINRHAWYDATKLTPEVTNLYKAPLFVEGWDEALHEIGRLSFATVLSPQNAAALLKSVEDFPILVVAGAEDALVPLKSSQAMASKFVNSRLVAISSCGHLPHEECPKALLAALSPFITRLLSSPFALHGL >Ma03_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9289510:9294509:1 gene:Ma03_g12010 transcript:Ma03_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEAATRNDLMQRLKPSAASTSSCSAAAPPKAPNSASFFRHFAPNSFGPEASRRPGIPPIPPPPSPSSAAAAVRPPSPISSASAAFHSRSLSQPPAFFSLDSLPPPLSPPAFAGATSDASLADRARPVSAGLPPRKAHRRSQSDVPIGFLQSFSSSSSSSSPVAAPAMTAGTASAMLSQQQVKQEAEWEKETESSAEGTGDRKEDAGDAADDLFNAYMNLDSLDALNSSGMEERHEDFDSSRLSGARMSATETSENEAESSVNESSGGGVKKEGNKRSAAGDLTPISMRHCRSLSMDSFMGKLNFGDELPKLLPSPGIQMPHLLRSGSMDRTANTLSLEFGNGEFSSAEIKKIMANEKLAEMAITDPKRVKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSAGLTCQNNELRIRLQAMEQQAQLRDAINEALTAEVQRLKLATGEITEAQLSKTINQQLATSSQMFHLHQLQSQQQNQQSTHVPLYQFQQPQQQQQQQQQNNTASEVESKN >Ma10_p01910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5464345:5466860:-1 gene:Ma10_g01910 transcript:Ma10_t01910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRVSRQAFEQARHGHPAAPGRPFVEGPFLRPPHPAALEEELELQMMDIRRLLADNRVLAEDRSAFHRELIAAKEELHRINLVIADIHAGKEARSRELIEKGLKLEADLRATEPLRDEVIRLHAESKKLTTMRQELTDQVRTLTQDLTRARADNQQIPAMKAEIDELRQELLHLRMSIDYEKKGNFELMEQRQSMEKNLVSMAREIEKLRGNLANPEGRPWDLGGGYGMNHGSPEGPIPSTYGYEYGVQPPVADKASLYNAGSGSWGALDKSRFARH >Ma10_p01910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5462720:5466860:-1 gene:Ma10_g01910 transcript:Ma10_t01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRVSRQAFEQARHGHPAAPGRPFVEGPFLRPPHPAALEEELELQMMDIRRLLADNRVLAEDRSAFHRELIAAKEELHRINLVIADIHAGKEARSRELIEKGLKLEADLRATEPLRDEVIRLHAESKKLTTMRQELTDQVRTLTQDLTRARADNQQIPAMKAEIDELRQELLHLRMSIDYEKKGNFELMEQRQSMEKNLVSMAREIEKLRGNLANPEGRPWDLGGGYGMNHGSPEGPIPSTYGYEYGVQPC >Ma10_p01910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5455311:5466906:-1 gene:Ma10_g01910 transcript:Ma10_t01910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRVSRQAFEQARHGHPAAPGRPFVEGPFLRPPHPAALEEELELQMMDIRRLLADNRVLAEDRSAFHRELIAAKEELHRINLVIADIHAGKEARSRELIEKGLKLEADLRATEPLRDEVIRLHAESKKLTTMRQELTDQVRTLTQDLTRARADNQQIPAMKAEIDELRQELLHLRMSIDYEKKGNFELMEQRQSMEKNLVSMAREIEKLRGNLANPEGRPWDLGGGYGMNHGSPEGPIPSTYGYEYGVQPGARTKAGRVFVKQKLVYQKSDMLSFSTLVFHVRGSNISSEADRS >Ma10_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5455311:5466860:-1 gene:Ma10_g01910 transcript:Ma10_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRVSRQAFEQARHGHPAAPGRPFVEGPFLRPPHPAALEEELELQMMDIRRLLADNRVLAEDRSAFHRELIAAKEELHRINLVIADIHAGKEARSRELIEKGLKLEADLRATEPLRDEVIRLHAESKKLTTMRQELTDQVRTLTQDLTRARADNQQIPAMKAEIDELRQELLHLRMSIDYEKKGNFELMEQRQSMEKNLVSMAREIEKLRGNLANPEGRPWDLGGGYGMNHGSPEGPIPSTYGYEYGVQPGARTKAGRVFVKQKLVYQKSDMLSFSTLVFHVRGSNISSEADRS >Ma11_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21119521:21122336:-1 gene:Ma11_g15400 transcript:Ma11_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIVYYLKRKINGRMIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATQAGYWKATGKDRKVNSQRRAVGMKKTLVFYGGRAPHGSRTDWVMHEYRLDEKECENAASGLQDAYALCRVFKKTAPGPKIIEQYGAPYQAHSQWIDGAFPNDACSSVMMQGASLDATTPVVGNRMPHASEQTLGGGSFSYTPTKVDMVVQCARVQHRLSLPPSEVEEFPHFMHPGNFLETSNQVDTFPLVNSTDMWVGTNPHFDELSSLLELDRGDDERGTRFFQRTDCVSSGNFGEPTKLIEISDLEEEFKEETNEVENLRAFATLHRCGSTEMDESRALLDGIDAKPIFSQSQPDEFAVGFVDIDHETDMFAATTPEFDACNKVEFNHGLFVSHAGKTKTFFHHVEPSKKVSFHLNPTGRQDAVAETSELATKAGGRFSFFSRFKAFVRDKLMGKSSLLRNGRRVNEMLEIVAVLRTSCAYLGDASEQTISRRQAMKEERETAYSIMNMKKKKKKKAKETWKCRGSVQESNIWFPDVSMRGISRMFLRGKLPFLAFASGVNH >Ma09_p30320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40494484:40495495:-1 gene:Ma09_g30320 transcript:Ma09_t30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNHGKNPKVDLKLNLSAKQMGARGGPSTRAVIEEEEEDNDSWSSPSTSPTSSCVSSEGEQKSNSPEATSMVLAGCPHCLMYVMLSEKDPKCPRCKSTVLLDFPPGNTTASRNRKS >Ma07_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11816056:11816271:1 gene:Ma07_g15670 transcript:Ma07_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAIDGWSIIAVSLGHASVLVTTLILVNEFVNLTDKVIYHYICSHFPMILSCSIIFSPFYHSRSVLIKSQ >Ma11_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19406004:19407457:-1 gene:Ma11_g14140 transcript:Ma11_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMVCDTGANADFGSLSSSGWEFRWHFEAVEARFLRASFSAFVDLIILTTPIIEEYSKTTFL >Ma01_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5164466:5165379:-1 gene:Ma01_g07170 transcript:Ma01_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTFDALEPRALEAIAAGRCVTDGRATPPIYSIGPLIKSDGREKANRAECFEWLDVQPRHSVVFLCFGSLGLFTAAQLKEIAAGLERSGQRFLWVVRSPPSNDPAKRYERPPEPDLDALLPEGFLERTRERGLVVKSWAPQVEVLSHDSVGGFVTHCGWNSVLEAIVAEVPMVGWPLYAEQKMNKVFLTEEMRLAVAMDGYEGELVSAEEVEAKVRWLMESEGGRQLRERTAAMKERAAEALREGGSSHSALAKLVGQLKGEVCGS >Ma01_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8264705:8266062:1 gene:Ma01_g11390 transcript:Ma01_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGTKLQFQIITTIHLYRHDPWEIITTFHLTAITACMGEREWHFYVPRDRKQGGGRPSRTTERGLWKVTGCDRPVRSATDPKRLIGLKKTLVYCQGRAPRGTMADRVMNEYRLARELIPTEGVIVLCKICRKATSMKELEQRAAAMEEKTSASQVSGSVAVSSPGWSDQESFQMTCTYVMDVLCDHTQEEEEVGVPAADKRRNAIMHQLLILRLGI >Ma07_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4393831:4395446:1 gene:Ma07_g06080 transcript:Ma07_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNGRPRVPPGFRFHPTEEELLCYYLRKKVTSEEIELDVIRDVDLNKLEPWDIQEKCGVNGAAQQSEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKVIYSNARRIGMRKTLVFYKGRAPRGQKLDWIMHEYRLDDHSHLIPRSDMCAAVSMGEATNQEDGWVVCRVFKQKNYHHHKAIDDSKSSVKTQLLRPGGGDDALDQILQYMSRSYTRESQGTSDNAADRASNLRPIETVFGCGLQEMFMKLPPLDSPTTLGSPPRNLPPDRSSDDHASHRSPAAIHAGVGDWAAFDQLLASQLGGPRHPSPSSATPHADDYRHGGDGDLWSLTAAASPLFDRISPVSL >Ma11_p21390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25560359:25567174:1 gene:Ma11_g21390 transcript:Ma11_t21390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGGSFVTVRRITKGPDRGGGYQSTHEVVAGSTAWIGKGFSCVCAQGIDSDARLSFDLTPCQEECLRKLQCRVDVAYESSKKEHQETLRTLWYAAYPGVKLHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARRYPKSFQDLLRKQEGNRALCEYPFAVAGINVTFMLIQMLDLQVAKPRSIVGAIFLNLLSENDWAFDILYCIAFKLMDQQWLAMHASYMDFNTVMKSTRRQLEQELLLENVSRIEDLPSFKLLLNRSQHLS >Ma11_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25560359:25567174:1 gene:Ma11_g21390 transcript:Ma11_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGGSFVTVRRITKGPDRGGGYQSTHEVVAGSTAWIGKGFSCVCAQGIDSDARLSFDLTPCQEECLRKLQCRVDVAYESSKKEHQETLRTLWYAAYPGVKLHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARRYPKSFQDLLRKQEGNRALCEYPFAVAGINVTFMLIQMLDLQVVAAKPRSIVGAIFLNLLSENDWAFDILYCIAFKLMDQQWLAMHASYMDFNTVMKSTRRQLEQELLLENVSRIEDLPSFKLLLNRSQHLS >Ma06_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17924608:17925602:1 gene:Ma06_g22390 transcript:Ma06_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRAKRVTDPLGEDARARLRGYDRRRLTGYASSGSDHEALSSLVHAFFECDDCDSPSAADAAAAGEPGDRMSDSDGGDQSEKAAAAVGELVGGWRKSDDPFRLRLLSDASKAADAMATLRSSAFGYRRAVMALLREMGYDAGICKARWESSGNLVHGSYEYIDVVVPAAAPAGKEGKEDRRYIVDLGFAAEFKVARATEAYENVVAALPEMMVAQPEEVKQVVRMVGDAGRRSLKSQGLHVPPWRKGRYIMAKWLGPYRRTVNAVPASAAPSGAGGAEAKCRAVGFTAAKGTIIC >Ma11_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24180492:24185866:1 gene:Ma11_g19250 transcript:Ma11_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHITGFKKFHGVSENPTEIIVRNLKGFMQKKGLPEGLVLGSCNILETAGEGALTQLYETLQLAVAGQRNETSNRGQIIWLHLGVNSGATRFAVENQAVNEATFRCPDEMGWKPQRVPVVYSDGSIARVRETALPVKEIVKALSKMGYNITPSDDAGRFVCNFVYYHSLLFAEQNGIKSLFVHVPMFLTIDEETQMEFVASLLKVLVSLH >Ma08_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6586098:6595562:-1 gene:Ma08_g09120 transcript:Ma08_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYGSAGAGGGSVVAQPASKRRWKGQAAAVLALVVFSLLVPLAFLLGLHNRFPSGYLVDDRSQQETTFENYHNVGGGQDQTPSEDDQRRVLNLMNRFAPPFQKDANSVSPDEYMRINNTGSSPSTLQPKDHTSQPITKNEASISKGPLTENNVALKEPSSLSNRIGGEDGKKNRDKASNVYETESSCELEFGSYCLWSREHREVMKDSVVKRLKDQLFVARAYYPSIAKLQGQENLTRELKLKIQDHERMLSEAVSDPDLPPFVGKNIKRLNEAIAKAKSCSVDCNNVDRKLRQILDLTEDETHFHMKQSAFLYQLGVQTMSKSFHCLSMRLTVEYFKSPLSDMEHPFAHKIDSPNLQHYVIFSRNILALSVTINSTVMNSEESDTIVFHVITDKENFYSMKHWFARNSYRKATIHIQNFDELKANLFGNLDLAELSVSEEFRLSSQAISQPTPLQMRTKYLSIFGHSHFLLPDIFKNLKKVILLDDDVVVQKDISFLWNLDLGGKVNGATQFCGVKLGQLKPYLGTSGYESNSCAWMSGLNIVDLEKWREHDITGIYRRFLHQLQHENEAGWRAATLPAGLLSFHGQIYALDDALVQQGLGHDYGVPEDTIENVAALHYNGNMKPWLDLGIPKYKKYWKKYLTQDERFMDECNVNP >Ma08_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7463042:7464571:1 gene:Ma08_g10240 transcript:Ma08_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MAEPSRKPDVSLPPPPAVAPPRGGDRDFLVHLEAYLARRDGVDKLLKISRYAAKIALSAPSSPIPPALAPRLKAFESSVGLSRKAFRLGKFVQDLNALRAATGGALNPTDRLLAAVAYGGEGVYYFVEQFVWLSKAGLIPAELSRRFQKISAWAELIGYFGSVALKARELRKISSLIQSRIESGKSADCDEEIRKLRTKLLLKQLSVIQDLADGLMALGDVRDGEGFLSSSLLMASAGLLSALISTHKNWTSC >Ma08_p10240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7463042:7468990:1 gene:Ma08_g10240 transcript:Ma08_t10240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MAEPSRKPDVSLPPPPAVAPPRGGDRDFLVHLEAYLARRDGVDKLLKISRYAAKIALSAPSSPIPPALAPRLKAFESSVGLSRKAFRLGKFVQDLNALRAATGGALNPTDRLLAAVAYGGEGVYYFVEQFVWLSKAGLIPAELSRRFQKISAWAELIGYFGSVALKARELRKISSLIQSRIESGKSADCDEEIRKLRTKLLLKQLSVIQDLADGLMALGDVRDGEGFLSSSLLMASAGLLSALISTHKNWTSC >Ma08_p10240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7463042:7464571:1 gene:Ma08_g10240 transcript:Ma08_t10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MAEPSRKPDVSLPPPPAVAPPRGGDRDFLVHLEAYLARRDGVDKLLKISRYAAKIALSAPSSPIPPALAPRLKAFESSVGLSRKAFRLGKFVQDLNALRAATGGALNPTDRLLAAVAYGGEGVYYFVEQFVWLSKAGLIPAELSRRFQKISAWAELIGYFGSVALKARELRKISSLIQSRIESGKSADCDEEIRKLRTKLLLKQLSVIQDLADGLMALGDVRDGEGFLSSSLLMASAGLLSALISTHKNWTSC >Ma02_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15951184:15951918:-1 gene:Ma02_g04580 transcript:Ma02_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYAQQQAPSQDSAYPPPGQAYPPAYVAPPPAGYPTGDGGAVNSQQVPVETKSRGDGFWKGCCAALCCCCVLDMCF >Ma11_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:452406:452961:-1 gene:Ma11_g00630 transcript:Ma11_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVGGSSVPAMALSYFGFRNNKQEEGGVQQETESMKKRREEEEEEEGKARKSSEASGRAARFAVELDGLHCFETLIAH >Ma11_p22200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26050217:26056092:-1 gene:Ma11_g22200 transcript:Ma11_t22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGATFLVVNGVLFTGDVPPVATFLQSSPGAYTSTRTHGGASLVLFWDRHLRRLAHSARILARSHPSLFLLPLGSAAAAGGDSTALIDSIENLVHESLLFGLRLALRERDRAGSDDELAITALVRGRRETDCLDVLLHLGFFVPPPFGAVGAHLAVTGRGREPAEAKYSDWVRVRQGMEKVRPPSATELLLTNDGDRILEGSVTNFFVVCRKVVNGITDLPPSDSESSCTFVVQTAPISDGVLPGVIRQLVIEICSSLGILVEESAPSWSDHELWQEAFITSSLRLVQHVETIQAPTSFKEFHLKTWKELSWVVKRFQGIGHITRQIQSELSKRMGADGYKIGQHS >Ma11_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26050217:26056092:-1 gene:Ma11_g22200 transcript:Ma11_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGATFLVVNGVLFTGDVPPVATFLQSSPGAYTSTRTHGGASLVLFWDRHLRRLAHSARILARSHPSLFLLPLGSAAAAGGDSTALIDSIENLVHESLLFGLRLALRERDRAGSDDELAITALVRGRRETDCLDVLLHLGFFVPPPFGAVGAHLAVTGRGREPAEAKYSDWVRVRQGMEKVRPPSATELLLTNDGDRILEGSVTNFFVVCRKVVNGITDLPPSDSESSCTFVVQTAPISDGVLPGVIRQLVIEICSSLGILVEESAPSWSDHELWQEAFITSSLRLVQHVETIQAPTSFKEFHLKTWKELSWVVKRFQGIGHITRQIQLLAHRVN >Ma10_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29108936:29110040:-1 gene:Ma10_g17920 transcript:Ma10_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 3 [Source:Projected from Arabidopsis thaliana (AT1G14730) UniProtKB/Swiss-Prot;Acc:Q67ZF6] MPNTVKPAFLVAAHVTKVAHVLALTVFVLVLAWVLHFRGGAARLHSDDPNLIFNVHPLVMCMGFILVIGEGIMAYKTIPARKETQKSVHLMLHLVALVLGILGIYAAFKYHSANTMPDMLSLHSWLGMCTICLFGLQWLFGFVNFWFLKASEPTRILLLPLHVSAGLAIFLLTVCTAETGFVQIDAAPGAESRLINFTGLFILLFAVAVSISVALPRVSI >Ma10_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7264247:7266166:-1 gene:Ma10_g02090 transcript:Ma10_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKGLRNLLQCKPFGRRHHRDSQSAAATASSSSSSSSSSLEEKVARAEVVVIKWDPESSAYAKITSLFYEDRSEARKFLAEASDLQRAMLVFVADAEPASLSHPCLVRAQTLMQAAMRRLEKEFFQILAANRDLLDPESVSVRSAYSSVSEGPDYDPWENSPEEEAHLAGKSIGEVERAAGVVMADLRAIADTMVFAGYRKECVRTYKSLRKSIVDEGLYRLGFERLAPAQIQKLDWAVLELKVRSWLVASSVAVRTLFHGERVLLDHVFAGSDAVREVVFADIAGEAALQFLGFPESVAKSKRSPEKLFRLLDLYDAVTELRPDIELIFSFDSTAAIRAQALASLSKLAEAASATLADFESAIMKESSRSTVPGGGVHPVTRNAMNYISLLADYQSALVEILADFPIQTPTAIPAFLFDTWQAPTEQQTAVSGSSSTPASSSSSEGSRRSAIAVRFAWLILSLLCKLDEKAAAYRDVGLSYLFLANNLQYIVNKVRSCRLRELLGEEWATRQAAKARQHAAGYERAAWGRVAATIPTGNVSAGEARGGMRAFNAALEEVRAAGSGWVVADAAMREEVRANVRRMILPAYRGFYTLWETAMEDAAAVLLSPDDVGNRLGELFSGSGSYRPKASSSRTV >Ma05_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33816172:33851068:1 gene:Ma05_g22090 transcript:Ma05_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MASSAHSRGSVRTERQVPPARGNGGGNPPARSRSSSAKRSLRVSSDDDKNSGRVRVAVRVRPKTTEENDLDFTDCVELQPELRRLKLKKNNWSSESYRFDEVFTESASQRRVYEAVAKPVVESVLDGYNGTVMAYGQTGTGKTYTVGRLGKDDPSERGIMVRALEDIFANISPGSDIMAISYLQLYLETIQDLLAPEKTNIPVVEDPKTGEVSLPGSAIVQIKDLNQFLELLQVGEANRHAANTKLNTESSRSHAVLVVHINRSLEGKKENDASSLANVTNSELSSHHLPHLLKSKLLIVDLAGSERIDKSGIEGHMIEETKFINLSLTSLGKCINALAENSPYIPTRESKLTRLLRDSFGGTARTSLIVTVGPSARYYSETASTIMFGQRAMKVVNAVKLKEEVDYESLCRKLEYHVDYLTSETDRQQKLRENEKEQLEKKLKEKEAFLVETEKKISVKCEHLEKERNHLVLETQSLLKALNIQKSEKDKLSQEVGHLEISLKQAKQQELENLNYQKVLAETTQMYEKKIAQLIKQQEDESSRCADLEEQLSGMKNYPSGNKKSVQMQEKEIDELKGEILKLNEDAASTIQSLRARNNELSLEKELLNGELKTLKDKLLYEERKRRCLEDEIIILKNALNDDNAEYESKRPYKGDITTKSTLSLGGPANIPKSNRSRETISGQKDTISKIFEEVGLSNILALLKSEDLDVQIHAVKVVANLAAEDVNQERIVAEGGLDTLLLLLESSEDANIHRVTAGAIANLAMNSSNQGLIMGKGGARVLANIASKTEDPQTLRMIAGAIANLCGNEKLHVTLKKDGGLKALLGMVQCGHSDVIAQVARGFANFAKCESRDIILGHRKGRSLLIEDGVLNWMATSSATFSASTRRHIELAFCHLAQNEDNTLEIIRSGGIKELIRISRESSREDTRNLAMKALDSNPAFSTEIHAT >Ma06_p28370.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30065516:30074509:-1 gene:Ma06_g28370 transcript:Ma06_t28370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGKLEVLPEHFRPTEASEHSPQTTNSRADYKLRSLGHSWAVRRVMGAASLLNLFTLSRLPWGSRDHNDKIELTRVEVESLRSEIADAEERETYLKAQLEHLDGILRSAWLCGYLYVRTRWTQLPGEPPIIDDDDIDDWLPRFVVLHGSCIYYYLKSTDLSPQDSTLLSDVVEVGPLPSFKHDDEEIRHAFYLLTCQGLRFECSSISELQVESWLTTLRSDCKLKSGSTLQDSIKS >Ma06_p28370.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30065516:30074509:-1 gene:Ma06_g28370 transcript:Ma06_t28370.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGKLEVLPEHFRPTEASEHSPQTTNSRADYKLRSLGHSWAVRRVMGAASLLNLFTLSRLPWGSRDHNDKIELTRVEVESLRSEIADAEERETYLKAQLEHLDGILRSAWLCGYLYVRTRWTQLPGEPPIIDDDDIDDWLPRFVVLHGSCIYYYLKSTDLSPQDSTLLSDVVEVGPLPSFKHDDEEIRHAFYLLTCQGLRFECSSISELQVESWLTTLRSDCKLKSGSTLQDSIKS >Ma06_p28370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30065516:30074510:-1 gene:Ma06_g28370 transcript:Ma06_t28370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGKLEVLPEHFRPTEASEHSPQTTNSRADYKLRSLGHSWAVRRVMGAASLLNLFTLSRLPWGSRDHNDKIELTRVEVESLRSEIADAEERETYLKAQLEHLDGILRSAWLCGYLYVRTRWTQLPGEPPIIDDDDIDDWLPRFVVLHGSCIYYYLKSTDLSPQDSTLLSDVVEVGPLPSFKHDDEEIRHAFYLLTCQGLRFECSSISELQVESWLTTLRSDCKLKSGSTLQDSIKS >Ma06_p28370.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30065516:30074510:-1 gene:Ma06_g28370 transcript:Ma06_t28370.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGKLEVLPEHFRPTEASEHSPQTTNSRADYKLRSLGHSWAVRRVMGAASLLNLFTLSRLPWGSRDHNDKIELTRVEVESLRSEIADAEERETYLKAQLEHLDGILRSAWLCGYLYVRTRWTQLPGEPPIIDDDDIDDWLPRFVVLHGSCIYYYLKSTDLSPQDSTLLSDVVEVGPLPSFKHDDEEIRHAFYLLTCQGLRFECSSISELQVESWLTTLRSDCKLKSGSTLQDSIKS >Ma06_p28370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30065516:30074544:-1 gene:Ma06_g28370 transcript:Ma06_t28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGKLEVLPEHFRPTEASEHSPQTTNSRADYKLRSLGHSWAVRRVMGAASLLNLFTLSRLPWGSRDHNDKIELTRVEVESLRSEIADAEERETYLKAQLEHLDGILRSAWLCGYLYVRTRWTQLPGEPPIIDDDDIDDWLPRFVVLHGSCIYYYLKSTDLSPQDSTLLSDVVEVGPLPSFKHDDEEIRHAFYLLTCQGLRFECSSISELQVESWLTTLRSDCKLKSGSTLQDSIKS >Ma06_p28370.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30065516:30074509:-1 gene:Ma06_g28370 transcript:Ma06_t28370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGKLEVLPEHFRPTEASEHSPQTTNSRADYKLRSLGHSWAVRRVMGAASLLNLFTLSRLPWGSRDHNDKIELTRVEVESLRSEIADAEERETYLKAQLEHLDGILRSAWLCGYLYVRTRWTQLPGEPPIIDDDDIDDWLPRFVVLHGSCIYYYLKSTDLSPQDSTLLSDVVEVGPLPSFKHDDEEIRHAFYLLTCQGLRFECSSISELQVESWLTTLRSDCKLKSGSTLQDSIKS >Ma06_p28370.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30065516:30074509:-1 gene:Ma06_g28370 transcript:Ma06_t28370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGKLEVLPEHFRPTEASEHSPQTTNSRADYKLRSLGHSWAVRRVMGAASLLNLFTLSRLPWGSRDHNDKIELTRVEVESLRSEIADAEERETYLKAQLEHLDGILRSAWLCGYLYVRTRWTQLPGEPPIIDDDDIDDWLPRFVVLHGSCIYYYLKSTDLSPQDSTLLSDVVEVGPLPSFKHDDEEIRHAFYLLTCQGLRFECSSISELQVESWLTTLRSDCKLKSGSTLQDSIKS >Ma06_p28370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30065516:30074510:-1 gene:Ma06_g28370 transcript:Ma06_t28370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGKLEVLPEHFRPTEASEHSPQTTNSRADYKLRSLGHSWAVRRVMGAASLLNLFTLSRLPWGSRDHNDKIELTRVEVESLRSEIADAEERETYLKAQLEHLDGILRSAWLCGYLYVRTRWTQLPGEPPIIDDDDIDDWLPRFVVLHGSCIYYYLKSTDLSPQDSTLLSDVVEVGPLPSFKHDDEEIRHAFYLLTCQGLRFECSSISELQVESWLTTLRSDCKLKSGSTLQDSIKS >Ma05_p30240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40714459:40718162:1 gene:Ma05_g30240 transcript:Ma05_t30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSGKKGAGSDLEEEIDFRGGNVHVITSKESWDQKILEANKDGKIVVANFSASWCGPCRMIAPLYKELSQKYPSLMFLTIDVDELMEFSSSWDIRATPTFLFLKDGQQLDKLIGANRPELEKKIIMIVDSLVQCSKGSN >Ma08_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26964038:26975892:1 gene:Ma08_g17740 transcript:Ma08_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHLVPLFLLLLSSAAGDASSAPHSDPFTAGVGLNIDCGGTSNFTSEFGRPWVADRYYSGGAVGLVAEPHHFRLPQERTLRFFPPVSAGKKNCYSVPLPPGRYYLRTFTVYDNYDSKLRTPSFDVSFEGTLVFTWRSPWPEATARSGVYADFIAAVPDGDADLCFYSIATDPPVIASVEVAAIHPLAYGAASSGVDLILVNYGRLTAGSSLFGPGFTNDSDAFSRVWQPDAYFRRRDVPVKALSTGGHQILGANQAPNYFPVKVYETAVTTVNSADALMYLLPVDTRLDYMVWFHFAEIDSGVTMAGQRVFDVLIGGENATRIDIYKEVGGFTAFKWHYIVENLTSTPLVVKLVPVVGKPIICGLENYAIVPLDLATVPSQVMAMQALKESLRIPDRMGWHGDPCAPSTWDSWEGVTCHHSDNGQNLVVTQLDLGSQGLKGSISDRISLLTNLVSLNLSSNSLEGSLPSGLGQASLVSLDLSSNQLTGSIPDCLGSSNLKVVLLNNNQLDGQVPEKLYSIGVHGGIIDLSGNKGLCGVPTLPACPLFWDKQGLSAAGKIAIGLSCAFILIMLLLLYLFCIRRQSDDYDFEFPQDLVSIAAKRNRYQRQKVMLVEMEAPNSNGFPSTSATH >Ma07_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21789497:21813128:1 gene:Ma07_g17750 transcript:Ma07_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLLGPLVPFFDAALSNKFRFLKPWESSLPLFDFLAAHAVSVRVLLCSGPSPVDDDVLARLPALELVVASSAGVDHIDLAACRRLGISVTNAGSAFTDDAADYAVGLLIDILHHISASDRYVRRGLWPLKGDYPLASKMGGKRVGIVGLGSIGSAIAILINSILLLYLINGRIPSYALRIRGRGFSDIAPRASRPSAFGIIGNG >Ma08_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7928690:7934822:-1 gene:Ma08_g10810 transcript:Ma08_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSTGMISGDMLRAVGRAVGAGAGRVRGPLSAARAGSSAPVLRVSSSGATSPHSSSAPTFDSAARKGAVSDSRDALFDGEEWERVGGEEHAWDEGVVVDDGVGTLERYVFGTAPSREEADDAISTLQQVLLPAVISQVTDDGSQVSDNGSSPYLAEDVIDDITTPGAMHRGYSSESSVECQSDWIEPAIQLYESNFLKSRGEERVFNALQLYQRNATVQRMVVSLSSDAAVWDAVMNNEVVQELRRSFHEDGSASSEIGNSDEHADTKTSFLGRILGTAKSKIMEFIDQISKLAQQIFHTEKELNKKNTAFDDAVKSSFMISIIVFMIVIVTRINKP >Ma06_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8326584:8328271:-1 gene:Ma06_g11940 transcript:Ma06_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRGGRGRRLSSREASPERARLGCSHQQRFIRSYKNGYVWNDLAENDIIIPADGVEYVLKGSEIIPGAYGLFLASSFPESLLLFYWMFPLDCKRFFGCIQLEICVSARFQHVTASTRQPKRLPAPHRLLLEPEDDDEEEAAEEEVTEEEEELRGGKGTGGDKHPNGAGYSRLSRGVSTDEIERVAAPANHHGLTELPTDDSSPPSSTTSDKPPTHAPGLGASRRFEEVDQTPEPGLTRNSVLLQLIACGSAALKGRSSPSGGMIKAAATSASPVEERRRNGRHRGVVSRLASRAGEEDELRRDSDNPRFCHPLIEDKEYFSGSIVEGSRGPSEPSLKKSSSYNEERSSKLGIGEGKLEMGEDRGGDVKGKCIPGRRKSSVKQQQQVQESKKG >Ma05_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17814677:17818753:-1 gene:Ma05_g16630 transcript:Ma05_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKARVPIEWSPRLYITLVRGLPGTRHLHRRTLDAMRLRRCHRTVAHRNTPSLLGMLNQVKRLVVVETEAMFNSRKQQQEEHRALRPPIVVSHSPPPTTSSAADSAK >Ma06_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12384138:12385922:1 gene:Ma06_g18220 transcript:Ma06_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAPRPRREHGEEGETDRPRFFDAKARDLCWKKAEVVPGRHPERWRKDAAGNVVCKRFWHCQGCLCYEYDHIIPFSKGGESTAENCQILQTRVNRLKSDKQWVDKSELEGFSCDIKFTDKELDIIEMAVYGDVIRPGSQCRCRTVAEMLGKATSKNPLAPCKLPYKEEQ >Ma06_p18220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12384138:12385922:1 gene:Ma06_g18220 transcript:Ma06_t18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAPRPRREHGEEGETDRPRFFDAKARDLCWKKAEVVPGRHPERWRKDAAGNVVCKRFWHCQGCLCYEYDHIIPFSKENCQILQTRVNRLKSDKQWVDKSELEGFSCDIKFTDKELDIIEMAVYGDVIRPGSQCRCRTVAEMLGKATSKNPLAPCKLPYKEEQ >Ma05_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19516412:19519553:-1 gene:Ma05_g17200 transcript:Ma05_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDGMHGSKANGSSTGGGNEDPESSESVKDARVRKPPRHLSVIRHSVSTARLGLDLGTLALISPQERQTGFLPVFRSGSCSEIGPKSHMEDEHICIDNLVEHLRASPSFPSPGAFYGVFDGHGGVDAAYFVRNNILKYIIEDGHFPSSVEKAIKSAFIKADYALADSHSLDRTSGTTALTALILGRSLLIANAGDCRAVLGKRGRAIELSRDHKPNCNAERLRIEKLGGSVYDGYLNGQLSVARALGDWHMKGSKGSACPLSAEPELQEAILTEEDEFLVIGCDGLWDVMSSQCAVTITRKELMLHNDPEKCSRELVREALKRNTCDNLTVVVICFTPDPPPPIEIPRTRVRRSISLEGLHVLKGALDGNI >Ma05_p17200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19516412:19519550:-1 gene:Ma05_g17200 transcript:Ma05_t17200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDGMHGSKANGSSTGGGNEDPESSESVKDARVRKPPRHLSVIRHSVSTARLGLDLGTLALISPQERQTGFLPVFRSGSCSEIGPKSHMEDEHICIDNLVEHLRASPSFPSPGAFYGVFDGHGGVDAAYFVRNNILKYIIEDGHFPSSVEKAIKSAFIKADYALADSHSLDRTSGTTALTALILGRSLLIANAGDCRAVLGKRGRAIELSRDHKPNCNAERLRIEKLGGSVYDGYLNGQLSVARALGDWHMKGSKGSACPLSAEPELQEAILTEEDEFLVIGCDGLWDVMSSQCAVTITRKELMLHNDPEKCSRELVREALKRNTCDNLTVVVICFTPDPPPPIEIPRTRVRRSISLEGLHVLKGALDGNI >Ma05_p17200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19516412:19519550:-1 gene:Ma05_g17200 transcript:Ma05_t17200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDGMHGSKANGSSTGGGNEDPESSESVKDARVRKPPRHLSVIRHSVSTARLGLDLGTLALISPQERQTGFLPVFRSGSCSEIGPKSHMEDEHICIDNLVEHLRASPSFPSPGAFYGVFDGHGGVDAAYFVRNNILKYIIEDGHFPSSVEKAIKSAFIKADYALADSHSLDRTSGTTALTALILGRSLLIANAGDCRAVLGKRGRAIELSRDHKPNCNAERLRIEKLGGSVYDGYLNGQLSVARALGDWHMKGSKGSACPLSAEPELQEAILTEEDEFLVIGCDGLWDVMSSQCAVTITRKELMLHNDPEKCSRELVREALKRNTCDNLTVVVICFTPDPPPPIEIPRTRVRRSISLEGLHVLKGALDGNI >Ma05_p17200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19516412:19519109:-1 gene:Ma05_g17200 transcript:Ma05_t17200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDGMHGSKANGSSTGGGNEDPESSESVKDARVRKPPRHLSVIRHSVSTARLGLDLGTLALISPQERQTGFLPVFRSGSCSEIGPKSHMEDEHICIDNLVEHLRASPSFPSPGAFYGVFDGHGGVDAAYFVRNNILKYIIEDGHFPSSVEKAIKSAFIKADYALADSHSLDRTSGTTALTALILGRSLLIANAGDCRAVLGKRGRAIELSRDHKPNCNAERLRIEKLGGSVYDGYLNGQLSVARALGDWHMKGSKGSACPLSAEPELQEAILTEEDEFLVIGCDGLWDVMSSQCAVTITRKELMLHNDPEKCSRELVREALKRNTCDNLTVVVICFTPDPPPPIEIPRTRVRRSISLEGLHVLKGALDGNI >Ma06_p25280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25544649:25545751:-1 gene:Ma06_g25280 transcript:Ma06_t25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMKIVRRSLHAFSRSYQAFAAVAALLVFPASAATLLAQSLPSLSSPILRSISSRLAFLFEAAGFPPSQFFSLLNSKLSQSIFTFVSTLPFALTFSLLAKASVLRTTYGIRRRGAASLLRLYPSLALTHLFNSWLVLSANAAVLSLLFLAFNVLDALHLSSSGSVLVLSASGVILYSFVLANAVATCNLSVVISAIDGRGGYHSILKTLVLLRGRTATAITLTLPASLGMAAIEALFQYRVVRPYRLTARFHPSAIWEAFSIGYVHSLLVVLDTIMACVFLRSCRSTVWSRWSNYDHDVDVASEEKIALRV >Ma02_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18808971:18812744:-1 gene:Ma02_g08460 transcript:Ma02_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCTTPNTTELVMIMDVESILHMKEGLGETSYSQNSSLQKKSTEAMKHFIIDSALAAYAFKTPEIFTIADLGCSSGINSLYLAEEIIKAIHERSRQLERLAPEFLVFLNDLPTNDFNAMFLSFPEFNMKFKAGIELQGGSAPSVYLAGIPGSFYSRLFPGNSLDFIYSCYSLHWLSQVPLGLLDSDCKPINKGNMYISNTSPPAVSLAYFKQFQKDFSLFLKSRSVELHFEGRIVILMLGRRTEDHSDKCTTVLWELLDQSLEIMVSQEIIDEEKVDAYNVPFYAPSAKEIKDEVHREGSFVIDCIQAYELSTSTGDPKEDARIISMAIRAIQESMISHHFGEAIIDTLFQIYSGLLSEFMVKEEIKSSHLLVILRKSC >Ma01_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11328047:11331193:1 gene:Ma01_g15660 transcript:Ma01_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFTLNDMQFLGISPNLVTFTCLIDGYCKNDRLDMALDLYDRMTSLSVLPNVFTFNALVDALCKKGMLERAVETFEKMHEIGVPANVVVYTSLIDGDAKKGLDLHNEMLIKGFELDLSTTSAGFASMGFCRRQKEGDMVEALRLERLMVQKGIYPDLLNFSSLICGSATKGFMIEAKDGQCPEYSADEQAICAVGLAKSKSGIFVEAIHYLLVLATPVESILEGDDAGAEGAMGINKTEEVVEEVVDLGKLTMKGPGRGEDEGDRQWQR >Ma04_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4497097:4505614:1 gene:Ma04_g06090 transcript:Ma04_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGPSIDAAKASLLLRERGRFSPTRYFVEEVITGFDETDLYKTWVRAAAMRSPQERNTRLENMCWRIWNLARKKKQIEGEEAQGISKRRLERERARRDATADMSEDLSEGEKGDIINDLSAHGDSTKGRMLRISSLDAIEAWASQYKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQILAPEVDWSYGEPTEMLTPRSSENFIHETGESSGAYIIRIPFGPKDKYIPKEHLWPHIQEFVDGALSHVMQMSRVLGEQIGGGQPVWPVAIHGHYADAGDSAALLSGVLNVPMLFTGHSLGRDKLEQLLKQGRQTREEINATYKITRRIEAEELALDASEIVITSTRQEIEEQWRLYDGFDVILERKLRARIKRGVSCYGRYMPRMVVIPPGMEFKHIAAHDVDPDGDPEGNDDNLAFPDPPIWSEIMRFFTNPRKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSSTNSSVLTSILKLIDKYDLYGQVAYPKHHRQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEASANGLPIVATKNGGPVDIHKVLDNGILVDPHDQQAIADALYKLVSDKQLWARCRQNGLKNIHQFSWPEHCKTYLSKITSCRPRHPQWRRSGDGPEDSEPDSPNDSLRDIQDISLNLKLSLDGEKGKDDTVDNALGSGDVAANGNSNHYVNAVVKLSKDVEQHKIRSSERIDHNSSKMPMLRRRKYILVIAVDSVSDADLIAIIKSTFEASSGYRMSGLIGFILSTRLTISEIHSLLTNGGIVPTDFDAFICNSGSDLYYPSSNSDELLYPSELPFALDIDYHSQIEYRWGGEGLRKTLVRWASSVTDKKGEIEEQVVVEDLEHSSTYCHAFQVKNSSLVPPVKELRKQMRIQALRCHVLYSHDGSKLHVIPVLASRSQALRYLFVRWGIEVSNMVVFVGQSGDTDYEELLGGVHKTVILNGGFNTAQSELHSTRSYLLKDVVAFDSPNILQIDSCGANEIQFALEQLGILKK >Ma07_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6812963:6814544:1 gene:Ma07_g09070 transcript:Ma07_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSASLKNQASSERKECEEEIQVCVERGDDGLSPDEKRRIASLYSHRGNKGLNQDAAILCKGYGTEEGLFCGVFDGHGTSGHTVSRVVRDYLHALLLGERNALLLSQEDDGFTDSTDTSSTDGEEVLDEWKEACINAFKTMDEELKVRPNLDCSFSGTTAVSVIKQGEDLIIANLGDSRAVMGTVSEEGHLEAIQLTTDLKPSVPQETERIRKSNGRVFALEREPHIQRVWLPDEDFPGLAMARALGDFQLKNYGIISVPQVAHRRVTSRDMLIVLATDGVWDALSNEEVVSIVWSTETNEDASKTLVEAARNAWKSKFPSAKVDDCTAACLFFQEERQELLLPTVQDK >Ma08_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2961268:2963209:1 gene:Ma08_g04240 transcript:Ma08_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSVPLLSEDAVPLQEFRDLIASLPVDHRVVPVRQYQGFWWPESTLPGVIAVQRHFKSRPDDVFLATYPKSGTTWLKSLAFAVTTRSQYPLSDHPLLQLNPHQCVQSLEGMFNRGRSSEIDALPSPRILATHMLHSVLPDSIAASDCRIVYLWRDPKDVIVSAWHFGEKVLATRKYQAEIPVDKAAMAHCEGISTHATIWDHILGYWRNGHGSRA >Ma09_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10113818:10115106:-1 gene:Ma09_g14790 transcript:Ma09_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRLASHSKSKASSSSSSPSSSPAAADTRPPATEHSPSTDGGPGRRSPPQTSPSSKVLPEPPRAEAAGASGGRAATSRRSERKRKPREFAGRNSSSLRQKKVWSEPDEIALLKGALAFRSRTGALPKQPTMFPFFASIKSAIGPHLTAEQVGYKLKRLKSKFVHSANAGPPVSATAHDRRIYELSTEIWSEEVKQADGDAEEDGNGDVAAAADGDEEEEEDDDEEDDAVVEDDKYPFIREAALEYWKVNGRCLSGVLLEKGLKLIDPSKGVVLEEKLRKQCAAEMELWTKRLDLLKEISELLIEAHKCS >Ma07_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26760204:26760269:1 gene:Ma07_g18800 transcript:Ma07_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLELEDFTTCQHIIYLDAI >Ma02_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21211867:21212597:-1 gene:Ma02_g12510 transcript:Ma02_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSLLLMTILLLVALMNLYLASSHRQLASCHPSGHLPGKPGHCDPGYSSDCCKAGESYPQYRCSPPITGHTHAKLTVNCFEENCDGGGPSECDNSYHSDKEMVVALSTGWYAGGSRCHQMIRIHANGRSVLAKVVDECDSVNGCDDEHDFQPPCPNNIVDASPAVWKALGISTDVGLYPVTWSDA >Ma03_p17890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23499437:23501977:-1 gene:Ma03_g17890 transcript:Ma03_t17890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVESKGSCSHESVQDHHSCNAYGFEFHSGAGPTKALHHHKALGSRAKPTPSKWDDAQKWLVGLSARADHKHSVSKPRNSNADDRRLLTSLSQRGRDSCSSADGVLEDDMVLAVAAQDAAETKKVDCNEPLWRTNKPSEDSSVVVRSVCLRDASTEMTPIASKEPSRTGTPLRATTPVLKSPLSSRSSTPGRTRQGAEQHDDHQPGMRNPERRTEAVPFGRSTGNGWPCRGEAAHIDGSKYPEVNASEQDQNMDSLESQATAWDEAERAKYTARYKREEVKIQAWENHEKRKAETEMRRMEVKAERMRSRAQEKHASRLAAARRMAEEKRANAEGRLNEHAARTSERADYIRRTGHLPSSFFSFKLSSLCG >Ma03_p17890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23499437:23501977:-1 gene:Ma03_g17890 transcript:Ma03_t17890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVESKGSCSHESVQDHHSCNAYGFEFHSGAGPTKALHHHKALGSRAKPTPSKWDDAQKWLVGLSARADHKHSVSKPRNSNADDRRLLTSLSQRGRDSCSSADGVLEDDMVLAVAAQDAAETKKVDCNEPLWRTNKPSEDSSVVVRSVCLRDASTEMTPIASKEPSRTGTPLRATTPVLKSPLSSRSSTPGRTRQGAEQHDDHQPGMRNPERRTEAVPFGRSTGNGWPCRGEAAHIDGSKYPEVNASEQDQNMDSLESQATAWDEAERAKYTARYKREEVKIQAWENHEKRKAETEMRRMEVKAERMRSRAQEKHASRLAAARRMAEEKRANAEGRLNEHAARTSERADYIRRTGHLPSSFFSFKLSSLCG >Ma03_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23499437:23501977:-1 gene:Ma03_g17890 transcript:Ma03_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVESKGSCSHESVQDHHSCNAYGFEFHSGAGPTKALHHHKALGSRAKPTPSKWDDAQKWLVGLSARADHKHSVSKPRNSNADDRRLLTSLSQRGRDSCSSADGVLEDDMVLAVAAQDAAETKKVDCNEPLWRTNKPSEDSSVVVRSVCLRDASTEMTPIASKEPSRTGTPLRATTPVLKSPLSSRSSTPGRTRQGAEQHDDHQPGMRNPERRTEAVPFGRSTGNGWPCRGEAAHIDGSKYPEVNASEQDQNMDSLESQATAWDEAERAKYTARYKREEVKIQAWENHEKRKAETEMRRMEVKAERMRSRAQEKHASRLAAARRMAEEKRANAEGRLNEHAARTSERADYIRRTGHLPSSFFSFKLSSLCG >Ma10_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33418305:33420599:-1 gene:Ma10_g25110 transcript:Ma10_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERVQVIASVCAADGVMPPEFIRSEHEQPGITTYRGPAPEIPVIDLAGADRDRLTIAVAEASREWGIFQLLNHGIPREVIRELQRVGKEFFELPQEEKEMYAMEFKPGSSEGYGTKLQRELEGKKAWVDFFFHYVSPPARVNHAIWPKNPSDYRKANEEYAKHLVGLVDKMLTTLSRGLGLEEHVLKGALGGDGLELRLKMNYYPPCPRPDLALGVVAHTDMCAITFLVPNLVPGLQVFKDEHWIDVNFIPNAVIVHIGDQIEILSNGTYKSVLHRTTVNKEKVRMSWPVFCAPPGEMVIGPLQQLVGDESPAKYKPKKYKDYAYCKLNKLPQ >Ma06_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1934282:1934477:-1 gene:Ma06_g02480 transcript:Ma06_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLTGLITHCMDQLQLEVLSRMEYQSKGLLLKFS >Ma03_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7257074:7257938:-1 gene:Ma03_g09790 transcript:Ma03_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPPILPVSSAGGGGAATASAPAISVPALRLFLSRLSDSARRSLAHRRPWSELLDRTAFARPDSLSDAASRLRKNLAYFRVNYLALLAAALALSLLSHPFSLLLLLALLAAWAFLYLFRPADASPLVLFRRPFSDREVLAGLVLITLLVVFLTSVGSLIVSALTVGAAIVCVHGAFRLPEDLFLDEQDPGGPAGGLLSFLGGAPASSGPAVLV >Ma05_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32995337:32998967:-1 gene:Ma05_g21350 transcript:Ma05_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVEMEVQAPSSSVPSATVPSTLQHLKEIASLIETGAQGKEVRRIVRAVRLTMMLRRKLRASVLSAFLGHVLPSGSEAFAKLSSFLPKDDEHDMDVDTAASAVQGPAKHCIPELEIFCYLIVLIYLIDQKRYNEAKACSSASIARLRNINRRTVDVIASRLYFYYSFSYELTNSLAEIRGTLLALHRMATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVVARGFQIQCNKWAVIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRIGDLELFKIVADKFSGTFSSDRTHNLIVRLRHNVIRTGLRNISIAYSRISLADVARKLRLDSPNPVADAESIVAKAIRDGAIDATIDHSNGWVVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSNKEKESEETRRERQQQEQELAKHIAEEDDDDF >Ma06_p35010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35045146:35051757:-1 gene:Ma06_g35010 transcript:Ma06_t35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRYSGGEEMDGSRKKGRYVAVSPTRSPGSTDKYGRGLLVDGNGNSSSNNSSSKLNKDKGVNIQVILRCRPLSDDEKRMNTPVVIFCNEHRREVTAAHSTSNKQIDRTFTFDKVFGPTSMQKDLFDQAIVPIVNEVLEGFNCTIFAYGQTGTGKTYSMEGGGRKLKNGELPSDAGVIPRAVQRMFHTLEAQSAEYSMKVTFLELYNEEITDLLVPDESKFSDDKTKKSIALMEDGKGGIFMRGLEEEAVYTAGEIYKILDKGSAKRHTAETLLNKQSSRSHSIFSITVHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVDHSGHIPYRDSKLTRLLRDSLGGRTKTCIIATISPSALCLDETLSTLDYAHRAKNIKNKPEVNQKMMKSALIKELYAEIDRLKQEVFAAREKNGIYIPLNRFLIEEAEKKAMTEKIEKMELYLDLKDKQLAVLQDLYNCQKLLSADLSDKLERTQKKLVDAEHALLDLEERYRKANSTIKFKEFLISALLKSEKALIEHAYELRSELENVAAYVSSLFSKIEHKGKIEEENRKLVQKFRSQLTQELDHLHRTVSASLMRQETQLKEMEEDMESFIPTKAKATEEIRMHVERLKAKCGSGIRDLDALAGELDKNSEYTFGRLNSQVLKHSTALENCFKGIASEADQHLNELQVGLSKQEDKLAAFAQQQHEGHLRAIESMRSISKITSSLFETLDIHASKLTKILEESLTIQYQQLHDVEKKFEECVVNEERQLLEEVAAMLASSSARKKKLVQTTVDSLRASAVDRTSNLQKEMSTASDFTCRIKGQWKACMEETENHYIEDTAAVESSRNDIEEGLKSCMAKARMGTQQWRNAQNSLLILGKGNEASAGSIVRNGLDANQHLRAKLSSAVSSTLEDVNTSNKDILSCIDSSLKLDQDACANLDSMLVPCHGELRELKNGHHHKIVEIIDIAEKCLEEEYTVDGPSCSTPKRRSISLPSIASIEELRTPDFEELLKSYRDSMSASKQANGDAKHLSGSYESQFQSLRDSRIPLTAIN >Ma03_p18100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23739406:23741088:-1 gene:Ma03_g18100 transcript:Ma03_t18100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSETSARQWDGTLSPNDFNSSVAAFCERWKEVNDSLPQWLWEPFPVVGDSSCNAAGYLTMENVYHQNASEEVSHEESILGDEDLSDDATLVQSCGKDDIHVYDYHIVYSFNFRVPVLYFRGYRLDGCPLKLEDIEKDLPAYSLKGLRESKWTFMTQEYVMIIDLHMLKWAEFSRLAGSSLPTSTMVYFTSLWN >Ma03_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23739093:23741088:-1 gene:Ma03_g18100 transcript:Ma03_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSETSARQWDGTLSPNDFNSSVAAFCERWKEVNDSLPQWLWEPFPVVGDSSCNAAGYLTMENVYHQNASEEVSHEESILGDEDLSDDATLVQSCGKDDIHVYDYHIVYSFNFRVPVLYFRGYRLDGCPLKLEDIEKDLPAYSLKGLRESKWTFMTQEDHPYLRRPWYTLHPCGTSDWLKVLIGSNAANNQPRPLHYLSAWLSVVGQAVGLRIPLGLYKSQ >Ma02_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16369718:16382000:-1 gene:Ma02_g05040 transcript:Ma02_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFDDDDLPLPRSQSVSPVSKPKLWRLKKAGQSGPRDIRTADPVLSPSRVHPVEAPAMAATPASEESLPGSHVMETETGPEPVLDPLFPDLARCEPNYMETEREKQGFDWGEESGSAGVLGAKGGYEDVKSAKKRLNSEEEVETTRKYKKNKSGEKPKESAREKRRLEKEREAELVRIHAESQRLLRETSNVSFTASAIVWIPIPPLLEKIRCRKRELLEKYGYFNHPESTNDSTSNKVDICHDFDLARPENGRGDDEHLKENEFPHDRSLDVGEIGSIPTDCENDLHNQATNPNSEDANQTLNTDKEVISNDSDQSGEHNQLVYNTNDMLKDTLPSLPTSTPKLVSTDVGHPSSSSSSEDDDDENIDLQPHKVVNMDSCPEHGPVKAFVDDEAEEEDDSDHDLMRFQENEEDDESDDDDVVNDLIATDFKEAPIDHESRNLLHQKWVEQQDTAATDNFLQRLRFGQKQKEPNFFHEEEENEEFSEKSEEEESYDLPQTNATRKNAKLAKQMVAQMFTDDQDAYVSSDDEEIERALTRQRLLRQNEESTFISLEDDGDSREFFGLIKKVNNASEPKKREKTITSDFDRLLVRGSNYSSSKASFLGRAKGSSMPYSHKQGSTTARAFIFGRDDSNSRSCLAASENHQNDVMEKQHRKPSSAKFNGSQVKSTCTSKTEVDTSSGPSLFAILQQSSISSGKQRMNSKMPSSDKLSETRIANHFSAFRLRRSAK >Ma02_p05040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16369718:16382000:-1 gene:Ma02_g05040 transcript:Ma02_t05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFDDDDLPLPRSQSVSPVSKPKLWRLKKAGQSGPRDIRTADPVLSPSRVHPVEAPAMAATPASEESLPGSHVMETETGPEPVLDPLFPDLARCEPNYMETEREKQGFDWGEESGSAGVLGAKGGYEDVKSAKKRLNSEEEVETTRKYKKNKSGEKPKESAREKRRLEKEREAELVRIHAESQRLLRETSNVSFTASAIVWIPIPPLLEKIRCRKRELLEKYGYFNHPESTNDSTSNKVDICHDFDLARPENGRGDDEHLKENEFPHDRSLDVGEIGSIPTDCENDLHNQATNPNSEDANQTLNTDKEVISNDSDQSGEHNQLVYNTNDMLKDTLPSLPTSTPKLVSTDVGHPSSSSSSEDDDDENIDLQPHKVVNMDSCPEHGPVKAFVDDEAEEEDDSDHDLMRFQENEEDDESDDDDVVNDLIATDFKEAPIDHESRNLLHQKWVEQQDTAATDNFLQRLRFGQKQKEPNFFHEEEENEEFSEKSEEEESYDLPQTNATRKNAKLAKQMVAQMFTDDQDAYVSSDDEEIERALTRQRLLRQNEESTFISLEDDGDSREFFGLIKKVNNASEPKKREKTITSDFDRLLVRGSNYSSSKASFLGRAKGSSMPYSHKQGSTTARAFIFGRDDSNSRSCLAASENHQNVDVMEKQHRKPSSAKFNGSQVKSTCTSKTEVDTSSGPSLFAILQQSSISSGKQRMNSKMPSSDKLSETRIANHFSAFRLRRSAK >Ma07_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:298398:298772:-1 gene:Ma07_g00330 transcript:Ma07_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGSARTWYKGLKTGTIASFDQLVKDFELNFLAYTRPKPSVALLIRLNQREYEPLSHFVDRFATQIRGLPDAHPSLLMQVFMIGLRPSRISWSLAERLPTTMSEML >Ma08_p06370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4311140:4316563:-1 gene:Ma08_g06370 transcript:Ma08_t06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGMQALSLPQTTTHASTIEKKLESLPAMVAGVYSDDSTLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLKREDYPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLSSGALFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPAFEQVKPALPALERLIHLNDEEVLTDACWALSYLSDGTNDKIQAVLEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYVINHQALPCLLNLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIAAGIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYIVGQGCIKPLCDLLVCPDPRIVTVCLEGLENILKIGEAEKNLGATGAVNSYAQLIDEAEGLEKIENLQSHDNTEIYEKAVKILETYWMEEEDDAMPTGDAAQTGFQFGNSGQNTVPSGGFNFS >Ma08_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4311140:4316563:-1 gene:Ma08_g06370 transcript:Ma08_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGMQALSLPQTTTHASTIEKKLESLPAMVAGVYSDDSTLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLKREDYPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLSSGALFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPAFEQVKPALPALERLIHLNDEEVLTDACWALSYLSDGTNDKIQAVLEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYVINHQALPCLLNLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIAAGIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYIVGQGCIKPLCDLLVCPDPRIVTVCLEGLENILKIGEAEKNLGATGAVNSYAQLIDEAEGLEKIENLQSHDNTEIYEKAVKILETYWMEEEDDAMPTGDAAQTGFQFGNSGQNTVPSGGFNFS >Ma08_p06370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4311140:4316563:-1 gene:Ma08_g06370 transcript:Ma08_t06370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGMQALSLPQTTTHASTIEKKLESLPAMVAGVYSDDSTLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLKREDYPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLSSGALFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPAFEQVKPALPALERLIHLNDEEVLTDACWALSYLSDGTNDKIQAVLEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYVINHQALPCLLNLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIAAGIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYIVGQGCIKPLCDLLVCPDPRIVTVCLEGLENILKIGEAEKNLGATGAVNSYAQLIDEAEGLEKIENLQSHDNTEIYEKAVKILETYWMEEEDDAMPTGDAAQTGFQFGNSGQNTVPSGGFNFS >Ma05_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6981587:6983907:1 gene:Ma05_g09670 transcript:Ma05_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGSKPAPLLCWKWKWPWDPQTHSPDPNPCGNLDAPWLFKSLQTLASLAQNLILLHPPAADSSSLGASASPTRREDPAGSLSRDEQGEAEHRALALALASGKEATVLEFYSPKCRLCNSLLDLVLRLEARNSDWVSFVLADAENEMWLPELLHYDIKYVPCFVLLDKHGRALAKTGVPTSRLHVIAGLSHLLKLKQPQKNDEKKFP >Ma10_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23356861:23358239:1 gene:Ma10_g09160 transcript:Ma10_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FEZ [Source:Projected from Arabidopsis thaliana (AT1G26870) UniProtKB/Swiss-Prot;Acc:Q9ZVH0] MDERSDMEKGDDIMLPGFRFHPTDEELVGFYLNRKIQQKPPSIELIRQLDIYKYDPWDLPELATTTGEKEWYFYCPRDRKYKNSARPNRVTGAGFWKATGTDRPIYSSEGNKCIGLKKSLVFYRGRAAKGIKTEWMMHEFRLPSLAHKNISINVRTTDSWTICRIFKKPNTVAHRAAPPPSWVSPVPDPNGAADLFSILRTAQSTQLSSEMLRHPLESSCYKPELPNAQVAPTSFMFSPEHMQIPSKSAMDVASMLLNASPSIVGDVEEPLTSIDFGQQPMRSTASLANGEEGKICSLENSQWGFSLLPTISGELNLAWESPPYLCEISPN >Ma08_p28180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40210237:40214063:-1 gene:Ma08_g28180 transcript:Ma08_t28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIWGAVVAMGLRTPEVTLNGPHVGCMSGAVAQAEVMRLNAMVQDLVTVAQLRFPLYSERDEVSNADKTVATEKQLEQEKNHQQIRARTINRVCLLRQKKRRIRSSSVTAGSSTEDR >Ma03_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22751850:22760499:1 gene:Ma03_g17440 transcript:Ma03_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLPFASGPPRPRPGFAPSANANVAGAPPQFASRPPTAAFPQGLVASNGPQGLAAPRIPSPPHAQQTPPFGAPASVRAPSPSAAPSQAHAVRLFLGNPPAAAPVAAAASRTFTSPPASLQFGRPPMSHPLSGWPTSQPPFSGSQPALAGPPTTQLPFNRPPTSHQPSTQQTFTRPPVSQPYMGSSTSQPYAGRPSSIFRHYSSSLAGNCVVGGPTKAGCDPAKGNCEIGDSDKGCEIGSLPNCCEASGLVNDCVIAEATGATQAGSRETN >Ma09_p28640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39308454:39309907:-1 gene:Ma09_g28640 transcript:Ma09_t28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIGLKFVSGTVELLNFVSQLMGFLWMLFYLITLESGGATEQGIGMIPISKSMRVRCVEVIDHAISSVLLATAKIAIFHGCLTWLLFRFLVHFLYLSTILAFINALVPIFPPWLSSIPAVIQLLIEGRYIWAVGLAAIHLMLMDYGTSVVQEEIPGHSAYLTGLSIIGGMTLFPNALERNIMGPLIMMVVIALKILYAEFVLAESEENSN >Ma08_p29120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40847323:40849500:-1 gene:Ma08_g29120 transcript:Ma08_t29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMVLILTWVQILNSIRSLLKRLHYYQDGNCIVHDMFGHEVVERIKELYCDAFLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKNQVQKALDRDIDDHLQFVLGTESGMLTSIVAAVRGLLGSRESRCKTISSLIGFSIKDINEWVSSLYSWRLYFLSIYEGNKKVMQSIISSIDEYQQVLTRLCNPSTIHCLVQVCSICLTRLCQFDSNYLYTWVICCWVMMVHLFHTLFIAYIGYCSFKNEIMALIIRQS >Ma06_p30470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31734991:31746903:-1 gene:Ma06_g30470 transcript:Ma06_t30470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVQSPTLEILAREPEVFSIWKGPPFSNGQPLVKLEKVPCTVARFSENGSRLMVTKSNTVISVQDCCSSTEIRSFDIPSLLAATLSPCGTYLQTFHKATTPQDKNVVLWEVQTGAAVYQHFQKNMSKTTWPSIIFTSDESVACRMATNEIQFFDSKDFSKGIIHRLRLPGIAAIELSKAPGHYIAAFVPESKGIPASVQIFSCSKDAQTQPIARRSFFRCSTVALHWNKISSGVLVVAQSDVDKSNQSYYGESKLNYLTTDGSHEGLVPLRKEGPVHDVQWSYSGLEFAVVYGFMPARATIFDRKCNPLLELGQGSYNTIRFNPKGRLICLAGFGNLPGDMAFWDYTEKKLLGTTKAEWSVTSEWSPDGQYFMTVTTAPRLQIDNGIKIFRYDGSLYFKKMFSKLYQADWKPEAPERFDDINELVKSVETLKIDNNEKKVQVPKSSSETRSNIQASSVQKPAAYRPPHAKNAASVKAELFGGTAPSEEMSKNALRNKKRREKQKEKKAAEASAAASGSS >Ma06_p30470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31734991:31746915:-1 gene:Ma06_g30470 transcript:Ma06_t30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVQSPTLEILAREPEVFSIWKGPPFSNGQPLVKLEKVPCTVARFSENGSRLMVTKSNTVISVQDCCSSTEIRSFDIPSLLAATLSPCGTYLQTFHKATTPQDKNVVLWEVQTGAAVYQHFQKNMSKTTWPSIIFTSDESVACRMATNEIQFFDSKDFSKGIIHRLRLPGIAAIELSKAPGHYIAAFVPESKGIPASVQIFSCSKDAQTQPIARRSFFRCSTVALHWNKISSGVLVVAQSDVDKSNQSYYGESKLNYLTTDGSHEGLVPLRKEGPVHDVQWSYSGLEFAVVYGFMPARATIFDRKCNPLLELGQGSYNTIRFNPKGRLICLAGFGNLPGDMAFWDYTEKKLLGTTKAEWSVTSEWSPDGQYFMTVTTAPRLQIDNGIKIFRYDGSLYFKKMFSKLYQADWKPEAPERFDDINELVKSVETLKIDNNEKKVQVPKSSSETRSNIQASSVQKPAAYRPPHAKNAASVKAELFGGTAPSEEMSKNALRNKKRREKQKEKKAAEASAAASGSS >Ma06_p30470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31734991:31746915:-1 gene:Ma06_g30470 transcript:Ma06_t30470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVQSPTLEILAREPEVFSIWKGPPFSNGQPLVKLEKVPCTVARFSENGSRLMVTKSNTVISVQDCCSSTEIRSFDIPSLLAATLSPCGTYLQTFHKATTPQDKNVVLWEVQTGAAVYQHFQKNMSKTTWPSIIFTSDESVACRMATNEIQFFDSKDFSKGIIHRLRLPGIAAIELSKAPGHYIAAFVPESKGIPASVQIFSCSKDAQTQPIARRSFFRCSTVALHWNKISSGVLVVAQSDVDKSNQSYYGESKLNYLTTDGSHEGLVPLRKEGPVHDVQWSYSGLEFAVVYGFMPARATIFDRKCNPLLELGQGSYNTIRFNPKGRLICLAGFGNLPGDMAFWDYTEKKLLGTTKAEWSVTSEWSPDGQYFMTVTTAPRLQIDNGIKIFRYDGSLYFKKMFSKLYQADWKPEAPERFDDINELVKSVETLKIDNNEKKVQVPKSSSETRSNIQASSVQKPAAYRPPHAKNAASVKAELFGGTAPSEEMSKNALRNKKRREKQKEKKAAEASAAASGSS >Ma08_p31990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42739036:42740335:-1 gene:Ma08_g31990 transcript:Ma08_t31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYGLQLRFPPAQKTSKPAPPRPPPPAFAFGGGDDEDDVEREISRQASKNKSLQKIEEQHKKAMEEDPSVFDYDGVYDEMKGKIARPKVQDRTERKSKYIETLMEKAKQREREHEIVYERKLLKERSKDDHLFADKEKFVTSAYKKKLAEQAKWLDEERLRQIREERDDVSGSYLSFCCFALFFLLHM >Ma09_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29563152:29576860:1 gene:Ma09_g20550 transcript:Ma09_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPVVNTYPLSNYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEMEGLKRKLCSKLAANSPSFQPNWQIGECVATWWRPNFETAMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVTS >Ma06_p38300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37108148:37111805:-1 gene:Ma06_g38300 transcript:Ma06_t38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGGGAAATISAAAATGIGSVEPRLGPQDTLSRWGGCFGGLSCFGSRKRGKRIVPASRTPDGNASTTRASGPQSVGISNENTTLNLSILAPPSSPASFTNSALQSTAQSPNCFLSISANSPGGPSSVMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLSSSLDIKSSAKKNGVPCLPSGYGVGGDLRLNYSLYPGSPSSSLISPASGTPRTGLSSPFPEQDISTLWDASPSARDSPCSTNRSSKLFGLDSATTRNFIMCPDSSFFYSATSAQFHLDQAQQSFPHAGGRLSFSREADVYSSGGNRHNKTCKQDVEEIEAYRASFGFSADEIIMTQNYVELSDPLDESFSMSPFANSKIGMEECPITEFDVKGKMLSNLLDPMSPKRMTAQVAVKVDCKSDRNYMYAGNLNYIEVGNIMRLKLIYMLTKN >Ma02_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28096310:28106264:1 gene:Ma02_g22870 transcript:Ma02_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLLEYTKEKQMKRSSHDLSFATKGRKQKLSQGYRSGSINDYQHNSEKINESEGFGIAIRADSSDNSSAPKRKKCVNANVARCNDFGVPVQVISLSKMSGSQREEVKMRLRSELGQIQLFQKQLFSRSVTSLGISTSSSFNVSEKKHDQRSGSQMKRGISGRFESSKTDAPPPPVTDSNLVLMKECEVLLSRLMTHHYAWVFNEPVDVEKLNIPDYYTVIKHPMDFGTISTKLSSDAYPSPQGFAADVRLTFTNAMTYNPPSNSVHIMANKLSKFFETRWKTIEKKLAAADAVIKKEFQVVKPEFSSSKRKMPPTDDNNPVPKRTKPKMTDEEKQSLTRLLESLLADLPDHIIDFLRRQSGNVNQSSDEIEIDIESFADDTLFELQNLLDSHMQEREMRHQAEQVNENGVSTSQLHPCKGNDLADEEVDICGDDPPMSSYPTLEIQMDTKATNVNCSSSSNSSSDSDSDSSSESESEDEVTIPKNAKKNSGNKAGSDQEKSDVMNPFDVNREFLILETVGLKGKKIRVFLVALNSPITSNYWQLAGPSNGLNFSEKDADPKPLLVGSDECQEGDHTPSERKVSPEKLYRAALLRSRFADTIVKAREKTLGQCDKGDPEKLQREREEIERQQREEKARLQAEAKAAEDARKRAEAAAAAEAKRKIELEREAARQALLKIEKTVDINEDCRILKDLEMLGTVPAENEKHTGYSLDGIGGFRLGGSNPLERLGLFMKVDDEEEEEVEHKSAPVNDVEEGEID >Ma02_p22870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28096310:28106264:1 gene:Ma02_g22870 transcript:Ma02_t22870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLLEYTKEKQMKRSSHDLSFATKGRKQKLSQGYRSGSINDYQHNSEKINESEGFGIAIRADSSDNSSAPKRKKCVNANVARCNDFGVPVQVISLSKMSGSQREEVKMRLRSELGQIQLFQKQLFSRSVTSLGISTSSSFNVSEKKHDQRSGSQMKRGISGRFESSKTDAPPPPVTDSNLVLMKECEVLLSRLMTHHYAWVFNEPVDVEKLNIPDYYTVIKHPMDFGTISTKLSSDAYPSPQGFAADVRLTFTNAMTYNPPSNSVHIMANKLSKFFETRWKTIEKKLAAADAVIKKEFQVVKPEFSSSKRKMPPTDDNNPVPKRTKPKMTDEEKQSLTRLLESLLADLPDHIIDFLRRQSGNVNQSSDEIEIDIESFADDTLFELQNLLDSHMQEREMRHQAEQVNENGVSTSQLHPCKGNDLADEEVDICGDDPPMSSYPTLEIQMDTKATNVNCSSSSNSSSDSGSSSDSDSSSESESEDEVTIPKNAKKNSGNKAGSDQEKSDVMNPFDVNRPSNGLNFSEKDADPKPLLVGSDECQEGDHTPSERKVSPEKLYRAALLRSRFADTIVKAREKTLGQCDKGDPEKLQREREEIERQQREEKARLQAEAKAAEDARKRAEAAAAAEAKRKIELEREAARQALLKIEKTVDINEDCRILKDLEMLGTVPAENEKHTGYSLDGIGGFRLGGSNPLERLGLFMKVDDEEEEEVEHKSAPVNDVEEGEID >Ma02_p22870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28096310:28106264:1 gene:Ma02_g22870 transcript:Ma02_t22870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLLEYTKEKQMKRSSHDLSFATKGRKQKLSQGYRSGSINDYQHNSEKINESEGFGIAIRADSSDNSSAPKRKKCVNANVARCNDFGVPVQVISLSKMSGSQREEVKMRLRSELGQIQLFQKQLFSRSVTSLGISTSSSFNVSEKKHDQRSGSQMKRGISGRFESSKTDAPPPPVTDSNLVLMKECEVLLSRLMTHHYAWVFNEPVDVEKLNIPDYYTVIKHPMDFGTISTKLSSDAYPSPQGFAADVRLTFTNAMTYNPPSNSVHIMANKLSKFFETRWKTIEKKLAAADAVIKKEFQVVKPEFSSSKRKMPPTDDNNPVPKRTKPKMTDEEKQSLTRLLESLLADLPDHIIDFLRRQSGNVNQSSDEIEIDIESFADDTLFELQNLLDSHMQEREMRHQAEQVNENGVSTSQLHPCKGNDLADEEVDICGDDPPMSSYPTLEIQMDTKATNVNCSSSSNSSSDSGSSSDSDSSSESESEDEVTIPKNAKKNSGNKAGSDQEKSDVMNPFDVNREFLILETVGLKGKKIRVFLVALNSPITSNYWQLAGPSNGLNFSEKDADPKPLLVGSDECQEGDHTPSERKVSPEKLYRAALLRSRFADTIVKAREKTLGQCDKGDPEKLQREREEIERQQREEKARLQAEAKAAEDARKRAEAAAAAEAKRKIELEREAARQALLKIEKTVDINEDCRILKDLEMLGTVPAENEKHTGYSLDGIGGFRLGGSNPLERLGLFMKVDDEEEEEVEHKSAPVNDVEEGEID >Ma09_p26800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37977428:37981850:1 gene:Ma09_g26800 transcript:Ma09_t26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN5 [Source:Projected from Arabidopsis thaliana (AT2G18390) UniProtKB/TrEMBL;Acc:A0A178VQD7] MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKVNGEDTSVISPTLGFNIKTIKYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRMDDCRSELENLLKEERLSGASLLIFANKQDIQGALKPADIVKVLNLDAMDKTRHWQIVGCSAYTGEGLLRGFDWLVQDIASRIYMLD >Ma01_p20560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19041520:19051990:1 gene:Ma01_g20560 transcript:Ma01_t20560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEGRDEEEERGKSAESSQPPFLEVLCRSSGLHIEAVKEGEEPVVFGPNSILVNYGKGWNLQTVTDEGHDKSIGMLQNSKKSPNFQSFKKPMTMNQPNSTISFQYIGKILLAFAFMFLLGGIFTFFLENLPAMNLSAVSSL >Ma01_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19041516:19051990:1 gene:Ma01_g20560 transcript:Ma01_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEGRDEEEERGKSAESSQPPFLEVLCRSSGKVRRFAAGTTAGYALYVINCKLDIGVAPGLHIEAVKEGEEPVVFGPNSILVNYGKGWNLQTVTDEGHDKSIGMLQNSKKSPNFQSFKKPMTMNQPNSTISFQYIGKILLAFAFMFLLGGIFTFFLENLPAMNLSAVSSL >Ma10_p28470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35331636:35332283:1 gene:Ma10_g28470 transcript:Ma10_t28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRSVTTFRRSGSSGLVWDERLFSGDLTQMKKKEKEEGEEEEGGAAEFRELRHSKSVGSIGMLGRSHSTGGGRGFRAGAVLPAVDPPSPEVPRCVCCGFLSKHGSAKPSKPRRR >Ma05_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25560684:25562695:1 gene:Ma05_g18940 transcript:Ma05_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPPEDAVVAMCGHVFCYQCISERLTGDENLCPAPGCRDILGTESIFSRSTLKSCISDNFDDEASTSCSFDDGSIVHSGYISSKIRAALDILKSISCPSSEVHNLMICGSKSDANASDHISTLLNSNADMLAKAIVFSQWTSMLDLLELSLNECLMQSRRLDGTMSLMLRDKAVKDFNTDPEVTVMLMSLKAGSLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRITIKDTVEDRILALQEEKRKMVSTAFGEDQTSSHATRLTVEDLRRLFNCFDD >Ma03_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23873010:23874009:1 gene:Ma03_g18310 transcript:Ma03_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAATPRALGNGPMGRFPAARLANGGRCLRIPARSLPIADEFAALGLTPFASRCDVKRAYKRLALKYHPDVVRGDNGGGEKDETFREIKSAYESLMAKFEEESNSDVVDGYGDEWDEWDEWMGFEGGFPVVCNPS >Ma02_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17782532:17786926:1 gene:Ma02_g06930 transcript:Ma02_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGMHPYHQQWVPPPAPAVAPPVPVDAPNRPPADEIRTVFITGLPGDVKERELHNLLRWLPGFEASQINFKAEQPMGFALFSTAQHAIAAKDALQDLEFDVEMKSALHTEMAKKNLFVKRGVASESSSIDQSKRLRTGGDYTHAGYAAPPFHPQPTPVWGNPGGYIAPHPPPPYDPYGGYQVPQVAMTTPPPLPASSRYAPVQNNKDNPPCNTLFIGNLGENVNEEEVRGLFSVQPGFKQMKILRQERNTVCFIEFEDLNSATTVHQNLQGAVLTSSGRGGMRIQFSKNPFGRRKDFINGAASEPNGAPTYQQ >Ma02_p06930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17782532:17786926:1 gene:Ma02_g06930 transcript:Ma02_t06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGMHPYHQQWVPPPAPAVAPPVPVDAPNRPPADEIRTVFITGLPGDVKERELHNLLRWLPGFEASQINFKAEQPMGFALFSTAQHAIAAKDALQDLEFDVEMKSALHTEMAKKNLFVKRGVASESSSIDQSKRLRTGGDYTHAGYAAPPFHPQPTPVWGNPGYIAPHPPPPYDPYGGYQVPQVAMTTPPPLPASSRYAPVQNNKDNPPCNTLFIGNLGENVNEEEVRGLFSVQPGFKQMKILRQERNTVCFIEFEDLNSATTVHQNLQGAVLTSSGRGGMRIQFSKNPFGRRKDFINGAASEPNGAPTYQQ >Ma08_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7404987:7406648:-1 gene:Ma08_g10170 transcript:Ma08_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVSLCFFLHLLVLPAVRSSSYPAIFGFGNSLTDTGNLDFFSGGTVAASRLPYGETYFGHPSGRFSDGRLVIDFIAQALRLPMAPPYLAGNSSEDFKHGANFAVGGACALGNAFFEDEGLNVTWQDYSLSTQFNWFEQLLQRSTPSLHSSQDTISKSLFLMGEMGVNDYSHLLYEKRAKDLIRSYVPTVVQAIGSTVNSLIRKGVETVIVAGVIPLGCSAVYLTFFQTQSAEEYDPRTGCLKWANELSHYHNLRLHKELNRIRKQHPHSKIFYVDYYTALMPVYQSPEQFGIKEPLAACCGGEGPYNFNVSVGCGHPMSNLCSDPSSYVSWDGLHLTDAAHGIVARHILKELQFQCMERTVRNSW >Ma08_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7553275:7554418:1 gene:Ma08_g10320 transcript:Ma08_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTNDERKRKRMLSNRESARRSRTRKQQRLDDLMNLAAQLKYQNSQINAQINLATQQYITVESENAILRAQLHELAERLHSVNSVLRMVEEVSGMAMDIPEIPIPLLKPWQLPSTSQPIMANADMFQF >Ma08_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18366565:18370720:-1 gene:Ma08_g16460 transcript:Ma08_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25060, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25060) UniProtKB/Swiss-Prot;Acc:Q9LJR6] MLSALSRPSLNHLLRSCSDAQALVQIHALMLVTGSLYYPHSSGHLISAYARIGDVAAAHSVFRTASNPNISTWNALIIAHSRRRSLDQVLRLYRLLVSRGRPRPDSSTFTVTLKACAQSFDLRSGQEVMAHASDLGYSHDVFVCSSVLNMYAKCGKMDDAMRVFDEMPKKDIVSWTTMITGFVNAGNPVEAIEVYRKMQAEGVEGDGIVMVGLLQACAAFGDMGIGQSVHGHMLRHHIETDVVVETSLVDMYAKNGFLETAHIAFEKMSSKNVVSWSALISSYAQNGFASDALWMLIKMQEFGLCPDSVALVSAILACSHIGFLKLGKSIHGFIMRRFDFDHILGTAVIDMYSKCGSLLSARELFDRVCSRDLISWNTMIASYGVHGHGKEALSTFLEMSKTELKPDHATFASLLSAFSHSGLVEEGRHWFNLMRQEYRIDPSDKHYVCMVDLLARAGHVEEACELIQSMPDEPGVAVWVALLSGCHNHKKLELGQYAAGKVLELCPDDSGIYSLVSNVFAMAKNWDKVVEVRRRMKNMGTRKVPGYSLVEVGGKLHAFRMEDRSHPQYERIMDLLKRLDFEMRKLGYKPKTEFVFHDLDEDVKERMLGNHSEKLAIAFGLLTTSPGTRIVIIKNLRICGDCHDAIKCISEIVNREIVVRDVKRFHHFRNGLCSCGDHW >Ma05_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31981635:31985568:-1 gene:Ma05_g20330 transcript:Ma05_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSKSKSGLSEASVSKGTPATPRAGKSGRGGSTKADPFSPSPQQPSRASIDRSPKSVESRPTIEHRSPKITTSDKQPRSVKGLELQTQLSAAQEDLKKAKEQLASVEKEKSRILEELKLSKKSADEANDKLQDALVAQRIAEEASEIDKFRADELEQAGIDAAQKKEDEWEEEFEIIRNQHAFDVAALLSTTQELQRVKQELAMTTEAKNSALGHADDAMKIAEINADKVELLSREVSRLKVLLDSAVEGKNTEASELAEKEMDLKAMLNLAQEDFKKSNERLVSAKAEKTHILEELKEAKGLADEASERLKENLSGQERAEEDLEAYKIRASNLEQDNIRSAKKREEEWRTKLEIVENQHALNVAKLLSTTEELEKVQHELRMAIDAKNTALIQASEAMKSAEINAEKLELLSGETSQLKALLDSKLELDLEVFTLKNELEKAKAAEERLVEMEATIEGLRIEVIDSKKAESDTSCLIEEWKKKTGLLEVQLEEANELRKTSLETLASVMKQLEDNNAVLQDKEREVAALGAQVESLRLEVAKRTTELDESSQHLGVAEQEAAEMGKMIAVLKSELQIAEEAKIHALNNEKAAILNMQQLTEERNKLENELDAARCKLEKDRKAMDGLASALHEVSTEVRETRERLLTKQSEVEDCHAQIEELKVSIKTNQKSYEIIQEKAKHEIVSVQDTAERLEKEIENSRSELDSKVLHFVNSIERSEEEITTMRADMEKITDSLKEAELRVRAAREEELRMMDMLKHLESEAGSASIDAEEAKAESLRLKEMLLDKENELQSISQENNDLRVRETAALEKVKELSLLVAEAASKKPEQNGEISRNSKHSDLTVSVDSSEENTGDVGGAQKANSEAALEKLEEHSSDKVIMEDKKTNNGALEEEEEEETDLEGTTWENCKTMDKDLSTEREHETESTYDELDLKMDVVSLDIAKGLTPGNIEIGATSPNKQRQQQQQKKKKAFMQRVGSLLKKKSNK >Ma03_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22640895:22643712:-1 gene:Ma03_g17400 transcript:Ma03_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPRELADKTRYCHFHRQNRHDTEECRELKRQIEELIRRGHLSHYLWQNKELSLRPEGPVERQIDVITGGPAYGGKIMTGQKAYTHTPTAEDPGHGPAPEVTFPAERAEPPKHDDALVVAAIIANAQVKRVMVDTGSSADILYLDAFQKLGLSHDALVTMSSALTGFTYKSILPLGTTVLPLTLGEAPRTKTVMITFLVVDLPTAYNAILGRPTLNKLRAVISTYHRTVKFPTRAGVGEAKGNPRESRRCYLTTISLHKRRRAELPLKDPREIKRSSRHPEPTGPTVDVPLQDGRPDQTVKVGSELLEHERARLINLLQENVDVFAWSPTDMAGVDLEVSQHHLCISPDARPVKQRPRRLAPERQQVVRGEVERLLAVGFIEEAKYPHWLSNVVLVKKPNGSWRICVDYTDLNRACPKDCYPLPRIDQLVDAMAGHARLSFMDAFSGYNQIRMALEDQQHTAFLTDQGVYFYKVMPFGLKNAGATYQRTVNKMFAHQIGRNMEVYIDDMIVKSRTTATHLPDLAETFDTLRRYNIRLNPAKCAFGINSGKFLGFIIHERGINVNPDKVWAIIDMQAPRAIKELQRLNGRLAALSRFLSRSGDRCLPFFRALKNPQEFAWTAECGEAFAHIKEHLASLPRLASVSPQEKLGIYLAASQNAVSSVLIKEAPGGQQPVYYTSHVLNGPEERYPPIEKLALALVLTARKLRPYFQAHPIEYVPRTAIKAHAVADFISELTRPKGEELERVKREWLLRVDGSSGRKGAGVGLVLEVPDGRSFERSLRYGFKATNNEAEYEALLAGLRLVVEMQVDDKHILTDSQLVAEQLDGRYEARDPTMSKYLVEVRALAAHFSRFVLSRVPKRQNERADTLAKLASRLNPLGKPDVEELPTRAITVATVTTADPPTT >Ma11_p01400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:983013:985464:-1 gene:Ma11_g01400 transcript:Ma11_t01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAMAQEGPEVSVASSSTAAHSWWEVNANPFSWSTVTRYFPPPDRRPDQASTYDEADMSISNATSFTNASSHSALSIDSSSADLSGEPVENHHIWNQVLLNVENAGEAAHSGQDDGGSFVEVLSSRRELLEPACDDSKRSYANWESHPSSFNWLEKHLNRYNGSIMEQEGATSNLSDLVSNWSIAPPNPHAGPCDAYICPPMANYVASDVVKHEIPISPSYPSHGIVGESSTSYAPSCDQETGATTPFFPRSHSPGSTRYQMTFCSGMAEVPLSCHSNSRASKPHAKGLDLCDGNKEGYVCSSMRGNGRGSGTTEGKNKRSEDTSETVLKKSKYDSSMVSSDKVPKVKVAEKISALQQLVSPFGKTDQASVLMETVKCIRSLQDQVQLLSDPYLKSSASKDHNSWGELQRKDKAEAKYDLRSRGLCLVPVSSIPQVHRDNIRPDYWMPTYRSCLYR >Ma11_p01400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:983013:985463:-1 gene:Ma11_g01400 transcript:Ma11_t01400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAMAQEGPEVSVASSSTAAHSWWEVNANPFSWSTVTRYFPPPDRRPDQASTYDEADMSISNATSFTNASSHSALSIDSSSADLSGEPVENHHIWNQVLLNVENAGEAAHSGQDDGGSFVEVLSSRRELLEPACDDSKRSYANWESHPSSFNWLEKHLNRYNGSIMEQEGATSNLSDLVSNWSIAPPNPHAGPCDAYICPPMANYVASDVVKHEIPISPSYPSHGIVGESSTSYAPSCDQETGATTPFFPRSHSPGSTRYQMTFCSGMAEVPLSCHSNSRASKPHAKGLDLCDGNKEGYMRGNGRGSGTTEGKNKRSEDTSETVLKKSKYDSSMVSSDKPQVPKVKVAEKISALQQLVSPFGKTDQASVLMETVKCIRSLQDQVQLLSDPYLKSSASKDHNSWGELQRKDKAEAKYDLRSRGLCLVPVSSIPQVHRDNIRPDYWMPTYRSCLYR >Ma11_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:983013:985464:-1 gene:Ma11_g01400 transcript:Ma11_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAMAQEGPEVSVASSSTAAHSWWEVNANPFSWSTVTRYFPPPDRRPDQASTYDEADMSISNATSFTNASSHSALSIDSSSADLSGEPVENHHIWNQVLLNVENAGEAAHSGQDDGGSFVEVLSSRRELLEPACDDSKRSYANWESHPSSFNWLEKHLNRYNGSIMEQEGATSNLSDLVSNWSIAPPNPHAGPCDAYICPPMANYVASDVVKHEIPISPSYPSHGIVGESSTSYAPSCDQETGATTPFFPRSHSPGSTRYQMTFCSGMAEVPLSCHSNSRASKPHAKGLDLCDGNKEGYVCSSMRGNGRGSGTTEGKNKRSEDTSETVLKKSKYDSSMVSSDKPQVPKVKVAEKISALQQLVSPFGKTDQASVLMETVKCIRSLQDQVQLLSDPYLKSSASKDHNSWGELQRKDKAEAKYDLRSRGLCLVPVSSIPQVHRDNIRPDYWMPTYRSCLYR >Ma04_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26609236:26624910:1 gene:Ma04_g24650 transcript:Ma04_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNNIQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYAEFMHLPKKKFTDFAQVRKEIEDETDRETGRSKQISSVPIHLSIFSPNVVNLTLVDLPGLTKVAVEGQPESIVTDIENMVRAYIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTNAVDILEGKSYKLQFPWIGVVNRSQADINKNVDMIAARNREREYFANTPEYKHLVNRMGSEHLAKMMSKHLEQVIKSRIPGIQSLISKTITELEMELSRLGKPIAADTGGKLYAIMEICRVFDQNYKEHLDGMRPGGEKIYNVFDSQLPAALKRLQFDKHLSMENVRKTVTEADGYQPHLIAPEQGYRRLIESSVVSIRGPAESAVDSVHAILKDLVHKAINETHELKQYPTLRVEVGNAAVESLERMREESKKATLKLVDMECSYLTVDFFRKLPQDVEKGGNPTHSLFDRYNDSYLRRVGTTVLAYVNMVCASLRNSIPKSIVYCQVREAKRSLLDHFFTDLGKREGKQLGKLLDEDPAIMERRTALAKRLELYRSAQAEIDSVAWANK >Ma10_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25407565:25411977:1 gene:Ma10_g12210 transcript:Ma10_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYLTALTTLFSYGLLFAFGQMRDFFRRLIDWSKSKSKDLKGYAPICLGLEDFYTRRLYLRIQDCFSRPIASAPDAWFDVVDRYSNDNNKTLHRTSNTTKCLNLGSYNYLGFAAADEYCTPHVIDSLKKYSPSTCSVRVDGGTTNLHTELEELVARFVGKPAALLFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGAAVRVFQHNSPSHLEEVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGKSGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAASKEIIQFLKYTCPAHLYATSISPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSREDLVKGLEVISKVGDLVGIKYFPAESPKLAEQKKNL >Ma05_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9068313:9069498:-1 gene:Ma05_g12520 transcript:Ma05_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLMPRIVLHMALILGYVRRFIFRAFDAVGLGDLLDADAPWPENSGHRNLDHQQLLQPPQSPSVSAMLIREALPVVRYEELGAAGQHVGDSCVVCLYEFEAAEEVRRLSNCRHVFHRGCLDRWLEHHQRTCPLCRTPLVPGEMPVAVDDQMWAAAGVPDSYYDDFFSFPFASASPPSPTLLLPHQLFSAS >Ma10_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24497117:24499618:1 gene:Ma10_g10660 transcript:Ma10_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELVKDIGSGNFGVARLMRDKVTGELVAMKYIPRGQKINENVAREIINQRSLCHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICHAGRFSEDEARYFFQQLISGVSYCHYMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTMARIVSVQYRIPEYVHISQDCRQLISRIFVADPSKRITVREIRNHPWFLKNLPRGLTEVAQAMYYKRDASGLAYSLQSEEEIMKIVEEARIPPAASTPSTAGSGWAKEDEQEEGKQEKRETEEEEEEEEEEDDDDEYEYDMRVKEVHASGEFPIICLDQ >Ma04_p34770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33816464:33817084:1 gene:Ma04_g34770 transcript:Ma04_t34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASRSPCAACRFLRRKCTPGCVFAPYFPPDQPTKFTSVHRVFGASNVAKLLGELSPWQREDAVNSLAYEAEARLHDPVYGCVGHICLLQHKLHQVQSDLYNARKELSAYLSVPIADPHHQQPQAASPSSHQQILLHELQQQMAAVQQIAANRERANQQEISRFSTVFQMAGGSTMAATTGLPALLPPRPFEGVFSPQQQHNERK >Ma07_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32158895:32168608:1 gene:Ma07_g25020 transcript:Ma07_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDASIDSPRRRQGLLRDQVQLVKRKDSGRYEIVPIQEPLSFEKGFFIVIRACQLLAQKNDGIIFVGIAGPSGAGKTVFTEKVLNFMPNIAIISMDNYNDSSRIIDGNFDDPRLTDYDTLLENIHGLKEGKSVQVPIYDFKLSSRIGYRTVDVPSSRIVILEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLRTAHIKISNKFNPFTGFQNPTYILKSSRTVSVDQIKAVLSKEHNEGTEETYDMYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDRPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSHVFSDDRVIVKMDWLEQLKRTYIQVQGKERLLVGQVAEQLGLEGAYIPRTYIEQIQLEKLVNEVMALPDDLRTKLSIDDDLVSSPREALSRASADRVAMRTKRLKSGMSHSYSTQRDKDIAKLTRLAVNSRRFDRQTPDSPAINQDAAVNQLSEQLSTLNERMDDLSSQIEDFNTIVSSQSNSMSQQNLALQTEACNGSGPTSLFVSNLGNGTLLPSSSSYTQLNKDSPLMEELMLITRGQRQIMHQLDNLSNLVHDRLAVLTLQGRTDNGNRLLDMKIGTPVLILAIGGIGIFLFKNLNRN >Ma06_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1633719:1634203:-1 gene:Ma06_g02030 transcript:Ma06_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRYIYA >Ma04_p36790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35020100:35022652:1 gene:Ma04_g36790 transcript:Ma04_t36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPSSASPGTLPPNVAAAVNGVAFCGTLTGQLFFGWLGDKLGRKKVYGMTLMLMVICSVASGLSFGHTAKGIVATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVAIVVSAAFKNHFDAPTYAVDRAGSTVPEADYIWRIILMLGAAPAALTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEILEEQEKVEQLTMKEANSFGLFSREFARRHGLHLVGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPKPATMNAIEEVFRIARAQTLIALCGTVPGYWFTVAFIDTMGRFAIQLMGFFFMTVFMLGLAIPYHHWTTSGNHIGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSRDPTKRDKGYPAGIGVRNALFVLAASNLVGLIFTFLVPESKGKSLEEMSGENEEEQDTSSPAPYGRTVPV >Ma04_p36790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35020112:35022652:1 gene:Ma04_g36790 transcript:Ma04_t36790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPSSASPGTLPPNVAAAVNGVAFCGTLTGQLFFGWLGDKLGRKKVYGMTLMLMVICSVASGLSFGHTAKGIVATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVAIVVSAAFKNHFDAPTYAVDRAGSTVPEADYIWRIILMLGAAPAALTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEILEEQEKVEQLTMKEANSFGLFSREFARRHGLHLVGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPKPATMNAIEEVFRIARAQTLIALCGTVPGYWFTVAFIDTMGRFAIQLMGFFFMTVFMLGLAIPYHHWTTSGNHIGFVVMYGFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSRDPTKRDKGYPAGIGVRNALFVLAASNLVGLIFTFLVPESKGKSLEEMSGENEEEQDTSSPAPYGRTVPV >Ma04_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20001315:20008263:1 gene:Ma04_g18250 transcript:Ma04_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MDFPRSLQLSTTLIALLFLRFSSLSFSYSDLFESWIREHGKRYASEEEKLARFHVFEDNLAFVDAHNAAANSSYELALNAFADLLPHEFRAARLGLSAGLAVPRANRTAFRGSYGAVPSSVDWSKEGAVTSVKDQGSCGDCWAFSATGAIEGINKIVTGSLVSLSEQELCDCDQSYNSGCNGGLMDYAFKWVIENHGLDTEDDYPYQAKQRTCLKNKLKRRVVTIDGYKDVPANNEKLLLQAVAQQPVSVGICGSERAFQLYSKGIFTGPCSTALDHAVLIVGYGSDDGVDYWIVKNSWGKNWGMNGYMHMLRNSGDSQGVCGINMLASFPTKTSPNPPPPPAPGPTKCSILTYCPAESTCCCSWRVLGLCLSWSCCDLENAVCCKDNQYCCPYDYPICDTSGKQCLKGNGNSTVTRGIARKEPFTKVGSWKDLLAAWDP >Ma10_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32041921:32048503:-1 gene:Ma10_g22850 transcript:Ma10_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRSVFFGAASRAKLGTPAQQQRFRRTYSHLRRPDSERPSFGIAFDIDGVILRGRTPIGGSPQALRRLYDADGSLKVPFLFLTNGGGVPETKRALELSELLKVQISALQVVQGHSPFRRLVSRFENELIVAIGKGEPAAVMLEYGFKKVLSIDDYASYFNDIDPLSQYKSWGLKHSYERNYNSKGLQPKYDVYSERVKGAFVVSDPVDWGRDIQVLCDILRCGGLPGKENGHQPPLFFAADDLEYQAVFPSERLGMGAFRIGLESIYNSIHSSPLEYTSFGKPNPFVFKNAESILTKLVTHVCQVKETMMGEKCAFNTIYMIGDNPKVDINGAKKVGHPWFSILTRTGVFRGKDNHEQYPADLVVDTVGDAIDFILKKECT >Ma10_p22850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32041921:32048504:-1 gene:Ma10_g22850 transcript:Ma10_t22850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRSVFFGAASRAKLGTPAQQQRFRRTYSHLRRPDSERPSFGIAFDIDGVILRGRTPIGGSPQALRRLYDADGSLKVPFLFLTNGGGVPETKRALELSELLKVQISALQVVQGHSPFRRLVSSRFENELIVAIGKGEPAAVMLEYGFKKVLSIDDYASYFNDIDPLSQYKSWGLKHSYERNYNSKGLQPKYDVYSERVKGAFVVSDPVDWGRDIQVLCDILRCGGLPGKENGHQPPLFFAADDLEYQAVFPSERLGMGAFRIGLESIYNSIHSSPLEYTSFGKPNPFVFKNAESILTKLVTHVCQVKETMMGEKCAFNTIYMIGDNPKVDINGAKKVGHPWFSILTRTGVFRGKDNHEQYPADLVVDTVGDAIDFILKKECT >Ma08_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4405638:4406470:-1 gene:Ma08_g06530 transcript:Ma08_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVESSLLVAAILLVAGAAAITPAAASGEGGELPLGWIPALAGCRGTIAECLAGEEFDLGSEVTRRILATSSYISYGALKRDTVPCSRRGASYYNCRPGAQANPYSRSCSAITQCRG >Ma08_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4074983:4075720:1 gene:Ma08_g06010 transcript:Ma08_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKVRLSWIVNDSTRRATLKKRRKGLLKKVEELSILCGVDACAVVYAPNEHQPQMWPPPPDTARIIARFRKLPEIERTRKMINQESFLHQRVSKLVEQLRRLHRENHEIGITKLMFEGLRGRDFDDLCLEDASALTWMVETKLRMIYEKREEVSKRLAMPPPQPQAAAALAATATETQQTPPAQPPAPPQPTGWSNPVNHGQQAVEAVQQPNWVTDVMVNWSQQDSDNLLVDLTTTWPATLFH >Ma04_p37990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35657166:35659896:-1 gene:Ma04_g37990 transcript:Ma04_t37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKNASQEDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGHNPFDIFESFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICQKCKGKGSKSGASMKCSGCQGSGMKVTIRQLGPGMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVPEKKVLEVIVEKGMQNGQKITFPGEADEAPETVTGDIVFVLQQKDHPKFKRKGDDLFYEHALSLTEALCGFRFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPDSMTPEQCKALESVLPPKPASQMTDMELDECEETTLHDVNIEEEMRRKQAQAQEAYEEDDDMHGGAQRVQCAQQ >Ma06_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11885466:11886415:1 gene:Ma06_g17510 transcript:Ma06_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDRGCGCGCEGSIPAPHAVNRTTADEVWRDIRQRRRKESREQQPALGEMILEDFLVNAEVGAEDSCIAFMPREHWLQHRHCDHHHQQLQASALASDNPATSDPQTPGRKRAAGGEVSEKTAERRHKRLIKNRESAARSRARKQAYTNELENKISLLQEENERLKKQKELESAIHEPQPETKYQLRRTRSVPF >Ma02_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22366781:22370389:-1 gene:Ma02_g14250 transcript:Ma02_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLFSPLKKLWIRLHSAHKKKRGIYILYEDVKSCPYEDVHVLWSILMESHAPQLQLKQ >Ma01_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16570827:16590092:1 gene:Ma01_g20120 transcript:Ma01_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWRFPRESMEDCELVKIDIHCHIQGDVVLECISLDEDLEREVMMFRVMFNTAFIRSNILMLDRDAIDILWDSKDRFLKDFRVEVILSEMDAANSLTLLEFTSGEEKEGLPVEAFAKVQEMFNNLDWLETKADAATKVLQQITSSKNLQEKLDAVSPQKLEADVMLTKSSTDVLHEKVQSGETNDRNKKPLPSSPKNLPFPLNRSSDSVTKNQNTELHGLQISSHQPSQIKIISQRIPASRSTPVFSSNSLSGSHVTVSRYHSAPSALGIMALLHDHAEPDSSEVTHSTKLASSLSTSSAFGSNISLETAHGLSSSGSQLTSSASYGKKTSPVPAGICPPPPPPPPPPPPPPLPPSPPPSLPPPPPPPPRLPPTPSLPPPPPPRPAATTDAETTLKPPAPLEPQSRTIGSSIPEVPSSPAWKFSTSASHTFIPSLPSHCGIPSQSNDKSISIPPPPPPPFKGTSLAPTSANLEKPASATDACQALSSSITSKSVPSPPPPPSMPSLSPHTNLLPLRKTDDTAVIPPPPPPPCPSPGTGPVSATRAPPPPPPPQISSKHSGDITTKSSSAPPVPPPPLPPSSMKNVTALNSSGGRGTASEVIPPPPPNGMSSLSGGRGRGLARMTNSRNMQLNQLSSKKANLKPLHWIKVTRAVQGSLWAESQNLDEFSKAPEFDMSELESLFSAVVPNSDHRGSGSKSSGRSMGPKSEKVHLIDLRRANNCEIMLTKVKMPLNDLMSSVLALDDSMLDVDQIDNLIKFCPTKEEMELLKGYNGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFRSQVADLKGNLNIVNSTAQEIRSSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKVIADKLPELLDFPKDLVSLDVAAKIQLKTLAEEMQAISKGLEKVEQELTASENDGPVSQTFCKTLKEFLVVSEAEVRALTSLYSNVGRNADALTLYFGEDPARCPFEQVVSTLLNFVKMFARAHEENCKQLELEKKKARKEAEQEKVKNSHTKKEPQSIPP >Ma04_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9415176:9420957:1 gene:Ma04_g12470 transcript:Ma04_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNANASLLWDWDNHAPFGGNFKLSGGGGASSGSELGTGSSSKSTISASVDSSSKAGKEPEWVTNLKNHGKNQLLPEAGSSLVAASVAGLEESQIGLKLGKRTYFEDVSAESSVKNPLSSLDSPAASTALVKKPRVSHQSAQSSCCQVEGCNIDLSGAKDYHRKHRVCETHSKCPKVTVAGQERRFCQQCSRFHDLSEFDQRKRSCRRRLSDHNARRRKPRPNIISFSSTTFPPLLYDNKHQMNYLWNNAPFGHMKPMASSKSEGSQTFKLTQIKAPWMKSTKEVSIDGQLHLPNTQLSNGFPALYHDVDKLLPLKGTATEVLNQGSEASAGASNLDRAPDLRRALSLLSTSSWASPDPRQTPPVTEFVDANSSNHWIYGQPLAQQAQLLPFIMRRYDNQPQEFQLQKIPYRDAIFDPSQIY >Ma07_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7975965:7979916:-1 gene:Ma07_g10690 transcript:Ma07_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETSQRSMRSRERDEDEETPPQEPSPAPSPRRTGAGPSSQDDEERRDTASEARISDSLRFRQHHPPPPPAPRRGGRQDRRRRGSPPPTRRRGGSTVFHSRHQRFHERPATILLTINHDIVRAGSASSPRRQRLDSQHDPDLYSSTRQRFGRGSQGGRADGRSREVYTACRGSVSEGGYIHGNDPNLTPQEGDWVYHAPYCGNLNFARRTHRNNCNKHRYGPQLPAPSHPSWEASFRGGSPPRTLDPTGCVPRHDSHRYGSLPHHGWGVEDPRMPPPACGAKFAGSKRREREDYHDELEYRRRHQLDRPAALEWDGSDGNRDNRRRPISRERSRPPLVDRQLRGGFLGHGRDVELNMAQTRGYRQVESRMKRRRAH >Ma02_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18818763:18820221:1 gene:Ma02_g08470 transcript:Ma02_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMEVWPRPRWWSLCLMTAVVVCFVASTVSAVEVDLPLFRFGAPPYSGRHHLPPPPRHHYNSPPQIRYYHYKSPPPRRYKHKSPPPPSPPHLPYHRKSPPPSSPPHHPYHHKPQPPPSPLRKPPFYHYKSPPPPTPSRHPHRRSPPTPSQHPRHKLPPPLSHKSPPSSQPPRHKPPPPPPKSLPLPPSVIYKSPPPPRKSSPPPLLIYKSPPPLSQAPPHKSPPHPSQAPPRMSPPPPPSLVYKSSPPPPLLIYKSPPPLSRPHYKSPPPPIPTIPPPSPPSPSSSSSPPHVSNSPPPPFLSP >Ma09_p25970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37318808:37319836:-1 gene:Ma09_g25970 transcript:Ma09_t25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSTAAFPCPNPTLFLRHRPSPPPSLLRLPHRSPRPGDLRLRARATVTPRSPSSLLSDSTRTLASLLALALSASKAVLSGVRRLAERAAAAAAPSPDELAELRSIQGNLAWAAGPLFFAARRMKRPSGYLNTPLTVVAAGMAKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGTLGSILNNSRGTY >Ma03_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2850076:2852440:-1 gene:Ma03_g04340 transcript:Ma03_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLLSSPSLEIPLFQPYHILLHPHPLLPTKRTASCSTSQRSSRHSLPLKSLRLLTEIPSFAADVEPIPDSFYSAARDPDDSSRGAAVVRRFDHGTLSSLLLSCSNLKAVKCLHAAAVRSSDASVTFVVNNLISAYVRFRELVAARKLFDGMPRRNVVSWTAMISGCLKMGLDDEVLRLFEALIESHVEANSLTYVCLLKSCGNLLEFELGRQIHSCVVKGNWSNKIVDSALVYFYAECGDLLGSSRVFARMSSKDVVTWTTMITAHVQRGHGYEALSMFQEMQHLGFSPNEFTVCSILKACGELKELRFGKQLHGAIVKKKFKEDVYVGSSLVNMYARCDEVLDARTVFDMMPKRNTITWTSLISGYSRCGLGEEAILLFRRMKRRRVFANNLTVVSILSACGSIGSLCLGKEVHAQIIKNSDIGNIHIGSTLIWFYCKYGEYAYAARVLEAMPAKDVISWTAIISGLTSLGHGSEALEFLNDMLLEGVEPNPFTYSSALKACSKLEAITSGKWLHACVSKTSSLSNVFVGSALIDMYMRCGCTSDALRVFDTMQERNLVSWKMMVIGYAKNGLCQEALKFMYRMQEEGLYIDDYVLATVFGACGDVKWQLESPPTSCIVSS >Ma05_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1155181:1155915:1 gene:Ma05_g01890 transcript:Ma05_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGVTSQGRRKIEIKKIQNEDARHVCFSKRKAGIFAKASDISTLCGADVALVVYSPAGNPYSFGSPAVDPVVDRFLSASLIHGGSAHDVGRSHLTQQLNQQYMEVSKQLEASKARKMILQERLAGVVQSQEIEWAKNNIDHLGLEQLERLKDAFERLKGRADARIQDILGTGQRMALHQPQPPPPPPPSMMGFGVPPVGAASSSTSYHPVWTFNTLQVPNQVEPDPYQAQWLGSSMGYMHEP >Ma11_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4094135:4107035:1 gene:Ma11_g05350 transcript:Ma11_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRPAWLLIPGVALLLFLSSLEEGSGQLTEPSFGRADFPSDFIFGAGTSSYQVEGAVSEDGRKPSIWDTFTHAGRTLDKSTGDVASDGYHKYKEDVKLMAETGLDSYRFSISWSRLIPNGRGAVNPKGLQFYNNLIDELVKYGIKPHVSLYHLDHPQALEDEYSGWLSPKMVDDFTAFADVCFKNFGDRVPRWTTVVEPNIMSLGAFDVAILPPNRCSYPFGINCTVGNSTTEPYIVVHNVLLAHASIVKLYKRKYQAEQNGWIGINVYTFWYYPLTNSSADIKATQRMLEFYVGWILNPLVFGDYPEVMKKIVGSRLPSFTKSQSKQIKGLFDFIGVNHYYSVYVKDNINASEMGLRDFVMDSLALMLTSRNETPGQYDPTAPSSFDPQGLQYMLEYLRDAYGNPPLFVEENGYGIGRRESGLNDTDRINYLNGFVGSMLDAIRNGVNVKGYFVWSFIDVFEFLTGYQSRCGLYHVDFDDENRKRKPKLSAGWYSKLLERKDGMKLNKTAMDTEYHARW >Ma01_p23430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27982711:28004412:-1 gene:Ma01_g23430 transcript:Ma01_t23430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQKGAHVRASLSLSSVSASSFAAAAPPKEELLPPAGGGQRVRFSVDLKPGETTIVSWKRLLKESSKGSGGGFPPNASAADPLLDSLAQAGGPPAANELEDAVPPTNRFSAVIEKIERLYVGKQSSDEELDDIPCDDQYDTEDSFIDDTELDEYFQVDKMSTKHNGYFVNKGKLEQIEPSTSLKEAPSKRRRNDSTKLHGDGNVLVPSGPVNVDNMHIKDAARNAPEVGRKPKPGKIYATYGVGEHYSEEGRHIKYKSKGTTTAYKSKSSDFTIKSEKQSTKVSDSLQSIMRVPYKDGFLRPLELKCLDKHKDVVLALKNTGHRSRASDSFGPLYQVSCNEGHMEFQSKKLLHSDAGEVYATIRSKERYGSSDFLAMNSPGSAHPMHEAQHLSTRAKGSSSVRPKGTTLERAICDLEKIVAECRPPSLDVQEVDLAFQGTKRRLPKEVKQKLAKVARLSASQGKISEDELVDRLMGILGHLVQRRTLKRNMRVMVELGLSAKQQKADRFHQVKGAATEMIRARVSQLKSKLPELQDGSADNFQKINNDEKRVLKGRYSMDSALEDKICDLYDLYVEGMDEDKGPQSRKLYVELAELWPSGYMDDVGIKDAIQRSKERKRAIYSHNKVHNEERIKRRKLASTVRVAETNPVAQLQARQEKPVPIVDSTAQFLTPLEKLGCNRTAATTGRSMDCIPPTNSGHHVSKKPN >Ma01_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27982711:28004412:-1 gene:Ma01_g23430 transcript:Ma01_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQKGAHVRASLSLSSVSASSFAAAAPPKEELLPPAGGGQRVRFSVDLKPGETTIVSWKRLLKESSKGSGGGFPPNASAADPLLDSLAQAGGPPAANELEDAVPPTNRFSAVIEKIERLYVGKQSSDEELDDIPCDDQYDTEDSFIDDTELDEYFQVDKMSTKHNGYFVNKGKLEQIEPSTSLKEAPSKRRRNDSTKLHGDGNVLVPSGPVNVDNMHIKDAARNAPEVGRKPKPGKIYATYGVGEHYSEEGRHIKYKSKGTTTAYKSKSSDFTIKSEKQSTKVSDSLQSIMRVPYKDGFLRPLELKCLDKHKDVVLALKNTGHRSRASDSFGPLYQVSCNEGHMEFQSKKLLHSDAGEVYATIRSKERYGSSDFLAMNSPGSAHPMHEAQHLSTRAKGSSSVRPKGTTLERAICDLEKIVAECRPPSLDVQEVDLAFQGTKRRLPKEVKQKLAKVARLSASQGKISEDELVDRLMGILGHLVQRRTLKEKKTLKVNLGEKNIGAYCSTYICTRQHALIRNMRVMVELGLSAKQQKADRFHQVKGAATEMIRARVSQLKSKGMDEDKGPQSRKLYVELAELWPSGYMDDVGIKDAIQRSKERKRAIYSHNKVHNEERIKRRKLASTVRVAETNPVAQLQARQEKPVPIVDSTAQFLTPLEKLGCNRTAATTGRSMDCIPPTNSGHHVSKKPN >Ma01_p23430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27982711:28004412:-1 gene:Ma01_g23430 transcript:Ma01_t23430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQKGAHVRASLSLSSVSASSFAAAAPPKEELLPPAGGGQRVRFSVDLKPGETTIVSWKRLLKESSKGSGGGFPPNASAADPLLDSLAQAGGPPAANELEDAVPPTNRFSAVIEKIERLYVGKQSSDEELDDIPCDDQYDTEDSFIDDTELDEYFQVDKMSTKHNGYFVNKGKLEQIEPSTSLKEAPSKRRRNDSTKLHGDGNVLVPSGPVNVDNMHIKDAARNAPEVGRKPKPGKIYATYGVGEHYSEEGRHIKYKSKGTTTAYKSKSSDFTIKSEKQSTKVSDSLQSIMRVPYKDGFLRPLELKCLDKHKDVVLALKNTGHRSRASDSFGPLYQVSCNEGHMEFQSKKLLHSDAGEVYATIRSKERYGSSDFLAMNSPGSAHPMHEAQHLSTRAKGSSSVRPKGTTLERAICDLEKIVAECRPPSLDVQEVDLAFQGTKRRLPKEVKQKLAKVARLSASQGKISEDELVDRLMGILGHLVQRRTLKEKKTLKVNLGEKNIGAYCSTYICTRQHALIRNMRVMVELGLSAKQQKADRFHQVKGAATEMIRARVSQLKSKLPELQDGSADNFQKINNDEKRVLKGRYSMDSALEDKICDLYDLYVEGMDEDKGPQSRKLYVELAELWPSGYMDDVGIKDAIQRSKERKRAIYSHNKVHNEERIKRRKLASTVRVAETNPVAQLQARQEKPVPIVDSTAQFLTPLEKLGCNRTAATTGRSMDCIPPTNSGHHVSKKPN >Ma11_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24164773:24166212:-1 gene:Ma11_g19220 transcript:Ma11_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHETTCGSAIPPSEEEMDLRRGPWTVEEDVVLVDYIAVHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDVMRYLWIPRLVERIRAASGNSTVSPATSHRPEDPATELARVKLSSETSSAAGSSSSDSFGMHYFSPPRVSDGFPTASMQGCEDNKGDVTGPTKLSSETSSAAGSSSSDSFGIHYFSPPRVSDGFPAASMQGCEDNIGDVTGRTDFTTACWSESLPSSNSGGYADLGLTNLDQDVWWDDLWSAENIWLQQQF >Ma05_p31690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41516394:41517913:-1 gene:Ma05_g31690 transcript:Ma05_t31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLQEWPEPVVHVQALSDSALTTIPDLYIKPPSERPSSDKGVATPHIPVIDLGGLAEGAAECRATICAVADACRSWGFFQVVNHGVSPDLVRKVREVWRGFFRLPMAEKQAYANNPRTYEGYGSRVGVEKGAILDWGDYFYLHLLPESIKNQDKWPALPSSCRQTVQEYGDEMVKLCGTLMKVLSISLGLDVDQLQAAFGGDDVGACLRVNYYPRCPQPELTLGLSPHSDPGGLTALLADDCVKGLQVRRGDDWVTVQPVAGAFIVNIGDQIQILSNAKYKSVEHRVTVNAAQERLSLAFFYNPRSDWPIAPVGQLVTPQRPPLYQATTFDEYRMHVRKNGPTGKTQVESLKAI >Ma03_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7241964:7243587:-1 gene:Ma03_g09760 transcript:Ma03_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNEIVLYRECAAKRDKELRRRLSDSEHKLGLSMPIEEVKERAAQLQSEVTSLERHMILASGIQGMEGFHQRWSLQGHLEDTERRLEALNQGIEKRKVNMIQGEPKRDPVRKKWVFGQISFALLLFRVSIYQSTF >Ma06_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10998871:11000822:1 gene:Ma06_g16240 transcript:Ma06_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGENANANGRRERSMEEGAAGDGREEGVNPDHGYASSADKGCGLSLSIPFLQKILAEIFGTYFLIFAGCASVTVNLSKGMITFPGICVVWGLAVMVMVYSVGHISGAHFNPAVTIAFATCGRFPWKQVPAYVFAQLLGATLASGTLRLMFGGKHEHFPGTIPAGSDVQSLVLEFIISFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPISGASMNPARTLGPAIVANRWEGFWVYIVGPICGTVVGAWAYNLIRFTNKPLREITKSGSFLKSFRNNST >Ma06_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11269052:11271142:-1 gene:Ma06_g16650 transcript:Ma06_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKCHMEGGDRIHAKASKVGVLDWGLLERWKYHQKCVARGGTKRSNSVNNRSPLLHTFGSSTLCTGSTWRALPKQEEFASVDYKTKEIVVSRHLTSFNDADTADMKSMFSDVCFHMDSGILHPPSSLINGQTSAPGAKATETQAHRPENAEKLQGYPRDLDFMGNWQQLCHDTSNLWDHLQASAQIRRNSFESYFDYAKSLSLCHLDNAKDIKGSPHIGTLNTFRPETEISTKKSIEKGKESSNHQSSVRPTWMIRSASSRDGSSAPVLVRPFDGSKEASSRRSPLRRMFDTLLKPKNLSEKGGNLTYKEPDKTKHALLQLAWENGQPMFMFSSTDGDVLAAMLTQQSETEKDDLECIYTIHCIQQREKKSGAWIGLGRKSGKHQLVSDATGRMNVFRSRNYDAKSHQVTREFVLLGAEPTPPSHGPVDFSLNSELAAIVVNVPEEMAEDNTVIVLPGGTHGSSTDAKPPSLIERWRSGGACDCGGWDEGCRLTVLSKSFLQGGGSRANGHVFRMVSLKEGLYRVEFGTSIAWLQAFAIGLAIIHGKNPTNNSGEPKWLLERTVRRRHAGNTEAIVQGASPTSYVPYCPPLSPVGRA >Ma08_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3196576:3203793:1 gene:Ma08_g04580 transcript:Ma08_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTSMISRTKSDQLEKVAASQSISRTASAETILTSNTNGEPSLSRKSSFGKKTVGTSPGRKVGHHSRKSRSGQLKLDLEDVSSGAALSRASSASLGFSFSFTGFTAPPEDIIADLRSFSDDDNGKDPEAGHMKKKIISEPTVPIYIKFTEVRYKVILKGVTTTTEKDILNGITGSASPGELLALMGPSGSGKTTLLSLLGGRIRGNLVEGTITYNDEPYTKSLKRRIGFVTQDDVLFAHLTVRETLTYAALLRLPNKMSRQQKEERAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVSIGNEIIINPSLLLLDEPTSGLDSTTALRIMQVLRDIAEAGRTVLTTIHQPSSRLFHRFDKLILLGKGSLLYFGKASEALVYFSSIGCSPLIAMNPAEFLLDLANGNTNDISIPSELEDKVQIENLGSDIRNDKPSPKDVHEAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYRLSAYFMARTTSDLPLDLFLPIIFLLIVYFMAALRQSVEAFFLTMLIVFLSIVAAQGLGLAIGASLMDVKKATTLASVTVMTFMLAGGFFVKRVPVFISWVRYMSFNYHTYRLLLKVQYDQAPLSLNVTHLNNGVIEVGAMIAMVFGYRLLAYISLRRMNLHHAA >Ma08_p04580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3196574:3203793:1 gene:Ma08_g04580 transcript:Ma08_t04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTSMISRTKSDQLEKVAASQSISRTASAETILTSNTNGEPSLSRKSSFGKKTVGTSPGRKVGHHSRKSRSGQLKLDLEDVSSGAALSRASSASLGFSFSFTGFTAPPEDIIADLRSFSDDDNGKDPEAGHMKKKIISEPTVPIYIKFTEVRYKVILKGVTTTTEKDILNGITGSASPGELLALMGPSGSGKTTLLSLLGGRIRGNLVEGTITYNDEPYTKSLKRRIGFVTQDDVLFAHLTVRETLTYAALLRLPNKMSRQQKEERAMDVIYELGLERCQDTMIGGSFVRGVSGGERKRVSIGNEIIINPSLLLLDEPTSGLDSTTALRIMQVLRDIAEAGRTVLTTIHQPSSRLFHRFDKLILLGKGSLLYFGKASEALVYFSSIGCSPLIAMNPAEFLLDLANGNTNDISIPSELEDKVQIENLGSDIRNDKPSPKDVHEYLVEAYETRVAVKEKKKLMAPLPISEDLKVTVSSPRRDWGASWLQQYSILFWRGLKERRHDYLSWMRITQVIATAVILGLLWWHSDSTTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYRLSAYFMARTTSDLPLDLFLPIIFLLIVYFMAALRQSVEAFFLTMLIVFLSIVAAQGLGLAIGASLMDVKKATTLASVTVMTFMLAGGFFVKRVPVFISWVRYMSFNYHTYRLLLKVQYDQAPLSLNVTHLNNGVIEVGAMIAMVFGYRLLAYISLRRMNLHHAA >Ma04_p27880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29037531:29038659:-1 gene:Ma04_g27880 transcript:Ma04_t27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLMMMGFVMALVTVLPGLASAQSGCTAVILGLAPCLSYITGNSSTPSSSCCTQLARVVKSRPACLCSVLNGGASSLGITINQTRALAMPGACKVQTPPVSACSAVSSGPAAAPEASRTTTPETPPTPSVPASPKTETPSPPSVPSSATAARPSTPSTPTAGSGSKATPSTTGATSDGSSYKPSSSLMTSVLYVAGCILLMANL >Ma11_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2282784:2286050:-1 gene:Ma11_g03100 transcript:Ma11_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCTRLLCRCIRSTDSRATPLDLELRSEVYQSEHTISGLEPVLVAAIPTMKYKCEAFSSGEDAQCSICLGEYKEKEVLRVMPSCHHNFHLVCIDVWLQKQTTCPICRLPLNESLEANHAASSLHEDQTEGNHEVSGDQYNRWSLPSGQRSERIENYDEIHQSESMAIEVP >Ma07_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27767628:27770233:1 gene:Ma07_g19840 transcript:Ma07_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITSGGIISVSLEFSSRLCSFYFAQLFSFFFFFFCPISTGVVTRDNLFLYLPTVWLDLCFALRLSDDLLINFSSPLPIETLWWICRGHSSRYF >Ma03_p26700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30335342:30337746:-1 gene:Ma03_g26700 transcript:Ma03_t26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVAVAHHRNQYCSRNKSRITDHFGSSPSKGFRVISCRTFQSGGAILQSPPSEVFSFNSHLERKSSGSYSEPPKRSRRSKPIPINPPPTPKGATFTVDLSYCELWAGPAYSNSPPPSSLPIPKFSLRQKRSVSLEIPVPKSDITLQPMSKSAPSSPTRESTSPVNDFLLNNATATENLRRILHLDIIDD >Ma04_p14670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11117040:11121233:1 gene:Ma04_g14670 transcript:Ma04_t14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEGADGELHQHRCLGGLVVGSYCHDVLFRDGVPVGETLGGAAAFVSNVLDGLTPSPPLYVAKVGADFAYAAPHLPLLAAPSAPTTLFHAHFPPASAVGRYHGDRVLRRLRTCDPIYASDLPDDARFDFGLAVGVAGEILPETLARMIDLCRVVLVDAQGMIRSFDPIDGTVGLLPLRSSGFFHLLPRIGFLKASAEEAPFVDVEEARKWCCVIVTHGKDGCRVYWKDGELRVSPFLAEEVDPTGAGDGFLGGFVAGLIWGLAVSDAALLGNFFGSLTVTQIGVPKFDQRMLQHVKEELKRRANECDGSCRSSISLDFQKSAMHEAFRESLTEVAKLTCTSNPTCLPTDHYK >Ma04_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11117040:11121233:1 gene:Ma04_g14670 transcript:Ma04_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEGADGELHQHRCLGGLVVGSYCHDVLFRDGVPVGETLGGAAAFVSNVLDGLTPSPPLYVAKVGADFAYAAPHLPLLAAPSAPTTLFHAHFPPASAVGRYHGDRVLRRLRTCDPIYASDLPDDARFDFGLAVGVAGEILPETLARMIDLCRVVLVDAQGMIRSFDPIDGTVGLLPLRSSGFFHLLPRIGFLKASAEEAPFVDVEEARKWCCVIVTHGKDGCRVYWKDGELRVSPFLAEEVDPTGAGDGFLGGFVAGLIWGLAVSDAALLGNFFGSLTVTQIGVPKFDQRMLQHVKEELKRRANECDGSCRSSISLDFQKSAMHEAFRESLTEVAKLTCTSNPTCLPTDHYK >Ma10_p16070.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27929168:27931323:1 gene:Ma10_g16070 transcript:Ma10_t16070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSPLGLSPGFRLAACLFPGRQPGSAGAGPVEPSDGKESGEAERGKEEKIRVWESLMRGESAFSILAPSKKAKVEPDLETRRAAVWSRVNQLLSAALSDIFAIMEKEMQFQVKGIELNTTLNFVCCIVLKTLVSHLIDEYSEAYPRYGYYGWDQCISQIEQLCSGRALMAFDLDLECWAVNVQVYLCTLANFEVYIGSLFPKDPIVELDFPSYGNVSHDYYMPNGKKILGASMFPQGLSYEVNLLIGCIDYDKLEKKDMGLRSQKMLVWKERLYSSREWEFDVCM >Ma10_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27929168:27931337:1 gene:Ma10_g16070 transcript:Ma10_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSPLGLSPGFRLAACLFPGRQPGSAGAGPVEPSDGKESGEAERGKEEKIRVWESLMRGESAFSILAPSKKAKVEPDLETRRAAVWSRVNQLLSAALSDIFAIMEKEMQFQVKGIELNTTLNFVCCIVLKTLVSHLIDEYSEAYPRYGYYGWDQCISQIEQLCSGRALMAFDLDLECWAVNVQVYLCTLANFEVYIGSLFPKDPIVELDFPSYGNVSHDYYMPNGKKILGASMFPQGLSYEVNLLIGCIDYDKLEKKDMGLRSQKMLVWKERLYSSREWEFDVCM >Ma10_p16070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27929168:27931337:1 gene:Ma10_g16070 transcript:Ma10_t16070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSPLGLSPGFRLAACLFPGRQPGSAGAGPVEPSDGKESGEAERGKEEKIRVWESLMRGESAFSILAPSKKAKVEPDLETRRAAVWSRVNQLLSAALSDIFAIMEKEMQFQVKGIELNTTLNFVCCIVLKTLVSHLIDEYSEAYPRYGYYGWDQCISQIEQLCSGRALMAFDLDLECWAVNVQVYLCTLANFEVYIGSLFPKDPIVELDFPSYGNKILGASMFPQGLSYEVNLLIGCIDYDKLEKKDMGLRSQKMLVWKERLYSSREWEFDVCM >Ma10_p16070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27929168:27931337:1 gene:Ma10_g16070 transcript:Ma10_t16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRSPLGLSPGFRLAACLFPGRQPGSAGAGPVEPSDGKESGEAERGKEEKIRVWESLMRGESAFSILAPSKKAKVEPDLETRRAAVWSRVNQLLSAALSDIFAIMEKEMQFQVYLCTLANFEVYIGSLFPKDPIVELDFPSYGNVSHDYYMPNGKKILGASMFPQGLSYEVNLLIGCIDYDKLEKKDMGLRSQKMLVWKERLYSSREWEFDVCM >Ma09_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34762414:34763710:1 gene:Ma09_g22890 transcript:Ma09_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPILPATKRSSQHNACMLFTSCALHRMSGMAVLHAPSAEHNGHSSHVSSPRPPLATLTPSSASLMTRLPLPALTADHFSVPPATMMMTLLSLKQQLKPATLAFTLPSFLSSVDVLPQYNTLPNGRKRAYLSVKLSQQQATDLILVASPNGPHLRLLKQSMTLVVFSLRPMDRLAIVTYSTTATRAFPLRRMSSQGKRAALQVIDRIFYLGEAVPAEGLRKGLKILEDRTHHNPLACILHLSDSPTQSYVCRDLQFPIPIHRFHIGFGFGMSSGFVMHEFEEFLARLLGGMIRETQVRIGAEGGRVLLGELRGGEERRIPVNSIDDCGYLAVSYSYVEGGAEQRLSTGEVVLGTEMKNDQNDPQGEIEAIDLTVRGRSNHVERWGYLDPFMARRWAKHLHGHKA >Ma11_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17733674:17738051:1 gene:Ma11_g13390 transcript:Ma11_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLLLRTAGDYSSSSGPSDPVVLANASDVSHFGYFQRMAAKEFILFVARTVAKRTPPGQRQSVQHEEYKVHSYNRNGLCALAFMDDHYPVRSAFSLLNKVLDEYQKTFGDSWRVQQTDSNQPWPFLTEAFTKFQDPAEADKLLKIQRDLDETKILLHKTIDNVLARGEKLDSLVEKSSDLSAASQMFYKQARKTNQCCTLL >Ma04_p38860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36214486:36215309:-1 gene:Ma04_g38860 transcript:Ma04_t38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCNSCYAAAVVVDGTAKVVLPDGGLREYTRPVTAAHALGKDAACFFVCDADAMEFDAFVSAVGAEEELRPGQLYFVLPRTMLKYPLRAEDVAALALKASDALMGAVGRGAPGPLVFPLEQEDATGGERREGGFGVIVLVVGVAVLCSAVHKRAPHIGFVGTMWTVRRRAGRDGRSRFSWCRSTLRRQRCFLPENKTTTFHGLLHMEEG >Ma06_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5026241:5030146:1 gene:Ma06_g07050 transcript:Ma06_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSKSLPSVSALAALRQNPDRNPSPNRAALFDLSSVFDPSSPSAVASVVPLPTLSLSAAIAPSRLARFLESQLQPSFTPAELLRLLRRRIRHHPAYAPYDLHVFLWAAGVDSFRHDHSTYEWMTRTLAVTDRLGPLRLLLGHMVAHPCPCADGIFACPRLEPTFRFAIHAFCRAGHLNDAISAFEDARKSVDGRPSTALYNALINGFARQREHQKAVDLYKTMLKDRVKPDSFTFNILISSCLRCSDLDSALDWFREMRARGCEPNVVSFNTLIKGFFTQKRFKEGIGVAREMLDLGCGFSVATCEILLNGLCREGKTEEASQLLMELLKKGAVPQGFDCFHLVEALCNKRSSERALEVVYSLWKEGKFSSNVTCTTLIEGLRSSGKIDEAYGLMERMLQEGMVPDTITCNTLLETLCDVGRTLDANRLRVLASKKGLKPDSVMLSILAHGFSREGRKREGECVVNEMLDAGFIPNIATYNKLMERLQTRRKPHSS >Ma06_p07050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5026241:5029261:1 gene:Ma06_g07050 transcript:Ma06_t07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSKSLPSVSALAALRQNPDRNPSPNRAALFDLSSVFDPSSPSAVASVVPLPTLSLSAAIAPSRLARFLESQLQPSFTPAELLRLLRRRIRHHPAYAPYDLHVFLWAAGVDSFRHDHSTYEWMTRTLAVTDRLGPLRLLLGHMVAHPCPCADGIFACPRLEPTFRFAIHAFCRAGHLNDAISAFEDARKSVDGRPSTALYNALINGFARQREHQKAVDLYKTMLKDRVKPDSFTFNILISSCLRCSDLDSALDWFREMRARGCEPNVVSFNTLIKGFFTQKRFKEGIGVAREMLDLGCGFSVATCEILLNGLCREGKTEEASQLLMELLKKGAVPQGFDCFHLVEALCNKRSSERALEVVYSLWKEGKFSSNVTCTTLIEGLRSSGKIDEAYGLMERMLQEGMVPDTITCNTLLETLCDVGRTLDANRLRVLASKKGLKPDSVMLSILAHGFSREGRKREGECVVNEMLDAGFIPNIATYNKLMERLQTRRKPHSS >Ma04_p27510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28691494:28693475:-1 gene:Ma04_g27510 transcript:Ma04_t27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RbcX2 [Source:Projected from Arabidopsis thaliana (AT5G19855) UniProtKB/TrEMBL;Acc:A0A178UCQ2] MAVPMAFGAVAVDPLPSPCLCVDALNYGRGTACRRPPLPSRPSASSLELSSSFLDARRARRGRIAFVLAKRQQRSRRRGGLVVVVDELGGQYEEGFDDVHVQLINYFTYKASRTVLHQLYEMNPPSYRWFYNFIANNKPTDGKRFLRSLAKEKQDLAERVMITRLHLYGKWIKKCDHAKMYEKISDENLQLMRERLMETVIWPSDDTNMEKID >Ma10_p28400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35287272:35290511:1 gene:Ma10_g28400 transcript:Ma10_t28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAMRASGGSFGYCLLMVCLLHADFWVGRCASDGECSAEELRALLQFKSGLIDPDNLLSTWRGRHCCQWRGLSCDNLTGSVTSIDLHNPYPDVVDPPRDEVWNLSGRLDASLLQLEFLSRLDLSYNNFDGLRIPDFLGSLKKLRYLNLSNAGFAGAVPSSLGNLSELQHLDLSSPFQPLFAHSLQWATALTSLRHLAMPGVDLSRVGSEWVEVLSGLPFLTHLDLRSCGLSGIAHSLPSVDFASLSFVDLSLNTFNSKVPNWFRNLSSLVHLDVSSARLHGLIPIELSEIPSLRYLDLSMNGNLTVDCSKLLAGSWRRIEVLDLASNQVYGRLPDSVGNISSLVELNLFSNNMEGGIPSSIGKLCNLQVLFLAGNNLTLGLPEHLEKSDGCISPHPLPKLRYLNLAVNLLSGVLPEWLGQLRNPESLDLSSNLIRGPIPSSLGKLPLLTDLSLAGNRLNGSLPPSIGQLTKLANLDISSNLLTGTVTRMHLSKLRDLKLLAMGFNSLVINMSSSWNPPFQLRKLVLGSCQLGPQFPSWLKNQRELMYLDLSNSGISGRIPNWFWDLSSNLSLLNISFNQIEGRLPSPLNIDAYADVDMRSNLLSGPLPVLANFVELLDLSQNRFFGPISHDFAQLQPSLIYLSLSGNNLSGEIPASIGRIQGLLVVDLSRNNLTGDIPTSLANCSYLKALVLEHNSLTGTIPAALGSLQQLQSLPLNDNMLHGVIPSSMKTMPSLQTLDLGNNRLQGAIPAWIGESFPALRILRLRGNKLSGRIPDQFSNLSSLQVLDLAGNELKGSIPRSIGGLRAMARPKASLYLFYGFYRGSYYEESLSMVMNNRPIMFTKTLTLVTSIDLSDNNLSDEVPQELMTLSGLLVLNLSRNQFTGKVLERMADLHDLLSLDLSNNHFYGSIFSSLASMSFLSSLNLSNNNFSGSIPQGGQLGTFGASAYWGNPYLCGRPLSPCDVRTGVHDDDDDEVEEGALVDGWFYLSVGLGLAVGLLGLFAVISIRRPWSIAYFNFVDRVIDSITKAN >Ma05_p31850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41586705:41587779:-1 gene:Ma05_g31850 transcript:Ma05_t31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSSKPSPPLHRIGGKPGSTMTATVGASVRCIGHGVPDVTSRHHEHAVGAHQCCSALVQHVAAPVATVWSVVRRFDQPQAYKHFVKSCHVIDGDGDVGTLREVRVVSGLPAATSRERLEILDDERHVLSFRVVGGEHRLANYRSVTSLHPEGDDGTVVVESYVVDVPAGNTREDTRVFVDTIVRCNLHSLAGTVENLARCPRETK >Ma02_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26401712:26411522:-1 gene:Ma02_g20410 transcript:Ma02_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRQKEAKKRFNDANPQLFSDPKPEHSSTSTSKKEKEKKKKGLKKKKGEAAFKKGKKTGGAATRKHPLRVPGMRPGESCFICKATDHIAKLCPEKAMWEKKKICLLCRQHGHSLKNCPEKSDDTNKKKLCYNCGEVGHSLSRCSLPLKDGGTKFASCFICKELGHLSKNCPNNTHGVYPKGGSCRICGEVTHLAKHCPNKGNKSLDTSTGQQKFCKSCCSANAVSGMAVHDECKLKFLDLKAKRNYRFIVFKIDEKIQQVTVEKLGQPDETYDDFTASLPADECRYAVFDFDFVTDEHCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSIDIVKGRAL >Ma06_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3600221:3615844:-1 gene:Ma06_g04850 transcript:Ma06_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPPPEVEVIAVAPGLGEAAQAQASELAQISDHELQGKIQRVLNLLSSDIASRLADMGAKLRASLCQMQTELDRRKLVYVPKDAGECNSITQSKNTEPQGMVENYNANYTTKKSPSHAFSSQLMEKLEGQVNNFSSKGVFILFHQADAGCNEDSKDISQDKEEVSGKDKLKKPVRGHQIVISSQPTRFSSRKTPFRCVSSFFKKDQERSSNGDCKDFESFGPSPNEGKTNSAGKRRRASDLEGRNSVALKFKKVREVVLVDEEEFHSRQSTGVASDKWKEMMVYYPSRDDPESVELSYEDIKCLDPESYLSSPIINFYIQYLRRLLSPVERPKGDYHFFNTYFYNKLEDAVSYRADKACFEKLRRWWKGVNIFQKSYIFIPVHGDMHWSLVIICIPAKEDECGPIVLHLDSLGIHDSSSIFDVIERFLKVEWNYINQISPRPDLPISERIWRHLSRRIEKKKIKVPQQKNEYDCGLFVLYFMERFIEEAPERLRKKDLAMFDRKWFRPEDASGLRKRIRDLLLEVFRSGKIEDEQTESTSSSSFSEDD >Ma04_p34340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33588191:33591771:1 gene:Ma04_g34340 transcript:Ma04_t34340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLRPPSIVQKIYGQSILFGGQRPYLQARNTSIHNFLGTYDFRGAPSKSYHSFHGPIGLSSLAPITPVFACAPKEKGSTGFLIDFLMGGVSAAISKTAAAPIERVKLLIQNQDEMIRAGRLSEPYKGIRDCFSRTIKDEGFLALWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTIQSDGVAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVMLVGSLQDNFFASFLLGWGITIGASLASYPLDTVRRRMMMTSGEAVKYRNSMDAFSQIVKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGGG >Ma04_p34340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33588816:33591771:1 gene:Ma04_g34340 transcript:Ma04_t34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLRPPSIVQKIYGQSILFGGQRPYLQARNTSIHNFLGTYDFRGAPSKSYHSFHGPIGLSSLAPITPVFACAPKEKGSTGFLIDFLMGGVSAAISKTAAAPIERVKLLIQNQDEMIRAGRLSEPYKGIRDCFSRTIKDEGFLALWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTIQSDGVAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVMLVGSLQDNFFASFLLGWGITIGASLASYPLDTVRRRMMMTSGEAVKYRNSMDAFSQIVKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGGG >Ma10_p29940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36367811:36369624:-1 gene:Ma10_g29940 transcript:Ma10_t29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFAAASPPAAVLSGSRLPAPAPGSASHRAPVLLLPPPPRRSFSSLPISLSSSQPRADHCRFSSLRARATSSSEESSGSGDELLADLKEKWDSIENKSTVLLYGGGAIVAVWLSSVVVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKDSRKELVNDIEALKKKIAGTGE >Ma10_p29940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36367811:36369685:-1 gene:Ma10_g29940 transcript:Ma10_t29940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFAAASPPAAVLSGSRLPAPAPGSASHRAPVLLLPPPPRRSFSSLPISLSSSQPRDHCRFSSLRARATSSSEESSGSGDELLADLKEKWDSIENKSTVLLYGGGAIVAVWLSSVVVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKDSRKELVNDIEALKKKIAGTGE >Ma05_p30550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40904303:40908036:1 gene:Ma05_g30550 transcript:Ma05_t30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDESQAKRVRVLELSRRLKHRGPDWSGLHQYGDCYLTHQRLAIVDPASGDQPLYNEDKSIVVTVNGEIYNHEELRKRFPHHKFRTGSDCEVIAHLYEEHGEGFVDMLDGIFSFVLLDTRDNSFIAARDAIGVTPLYIGWGLDGSVWISSEMKGLNDDCEHFEVFPPGHLYSSKEGSYKRWYNPPWYSEAIPSVPYDPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTSRHLAGTKAAEQWGTQLHSFCVGLEGSPDLKAAKEVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKALGVKMVISGEGSDELFGGYLYFHKAPNKEEFHGETCRKVKALHQYDCLRANKATSAWGLESRVPFLDKAFIGVAMSIDPEWKMIKPDLGRIEKWVLRKAFDDEENPYLPKHILYRQKEQFSDGVGYSWIDGLKSHAAEHVSGKMMQNAKHIYPHNTPTTKEAYYYRMIFERFFPQNSARLTIPGGPSVACSTAKAIEWDAQWSKNLDPSGRAALGVHVSAYDPAAVPSSLTTGTSSAMLVNKKQRVMEAKAPELTISS >Ma07_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9878139:9887457:-1 gene:Ma07_g13150 transcript:Ma07_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYAYLLCSGRSRSLRVASMRTVLPGLHLRPKPSSSHLHNSAIPCSPKSLSGNPNLTRFVRLWSLNSRRCVAAMADSSEDFVKGSVFPNGVAVITLNRPKALNAMNLEMDFKYHTLLDEWGINPSIKCVLVESSSPRAFSAGGDVKQITTKYKMSEIIEVFIAEYTLICKISEYRKPYICFMDGVTMGFGIGLSGHGRYRIITERTLLAMPENGIGLFPDVGFAYIAANGPGRGAVGAYLGMTGKRISSPADALYMGLGTHYVPSGSLAMLKEALLNVNFSDDPDKDIQALLAGHKKEPESEAQLKMLLPQIISCFANKSISETIEELKRHQASSDSVVASWASDALSGLGTGAPFSLCVTQKHFSQVALAHGSVDNHLAKLSGVMKTEFRIALRSSIRNDFAEGVRAVLVDRDQNPKWRPSNLADVDIAEVESVFDPLPHENELNV >Ma04_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7617901:7621592:1 gene:Ma04_g10730 transcript:Ma04_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVVGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNCGMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma05_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5206981:5207803:-1 gene:Ma05_g07140 transcript:Ma05_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYVVGEEEMAVVKGGDVGEQPVKGSWNPEEDAVLMRLVEQHGPRNWTVISASIPGRSGKSCRLRWCNQLSPTVHRRPFTPAEDEAIVAAHALYGNKWATIARLLPGRTDNAVKNHWNSTLRRRLQAAADAVDASASERPVPSSPMQLDAAATAPVAADSDEPGESDSGSLSGKRPCLRDDDATDGSAGGSGPVTSLTLGRLGEGGGTDGGGGRLWEEPTAGMCLLAIIREMIVEEVTSYMNRLPSGGGSGGGSSIIIGTDSASNGQD >Ma06_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:824615:825462:-1 gene:Ma06_g01010 transcript:Ma06_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEAACGIELKKVGVAGGSGRRNRIVRVYFDDADATESSSGEEEPGARRRVKRYVHEFKIEMARAPRRRAAEVEGGERGKRGFRGVRQRPWGRWAAEIRDPHQRKRLWLGTFDTAEEAATVYDMAALRLKGAKAVTNFPTAKAVGEEEEEERASPTSVLRHGDDREAAFDYTFDGGMEALVLGADASPQFMAEFYWPRPRLLEVEFGDLDANDFS >Ma03_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:680959:683748:-1 gene:Ma03_g00850 transcript:Ma03_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNEKPMVSSFGGEGEFNTGDLWRLEIERSGKTCRLDQRVRLHHVDTGGYRHKHDEIYAKMDHTNLGFQVSYLKKKKE >Ma08_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37936242:37936358:1 gene:Ma08_g24870 transcript:Ma08_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNDAELMGLKLIQAPLVDVEIRGVPALRFMGDIVWK >Ma09_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35442457:35446492:1 gene:Ma09_g23620 transcript:Ma09_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEQQVKTRNYISSRRLFKHRLRERDDRGWTLLHIGARKGDLKEVKRLLDAGMDVNVTALGCKALGVTPLHLAAQGGHINVMDELLERGANIDARTKGACGWTPLHIAAKERNKQAIKFLIENGAFLPPDINDNRFNPPLHYCPGLEWAYEIKHKQEEWLSSSGTSYSSEN >Ma05_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4428563:4429174:-1 gene:Ma05_g05850 transcript:Ma05_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPKRPRLFLHAPQEEEEAASPMMIASTPLPAAFVPNMPPASFSTMPPPRPLPPTNAPNQVLFKTRLCQKFRATGSCPYGDSCNFAHGPEDLRQPPPNWQEITRTQKICRKFYAGEVCPYGERCLYAHVRRDGSVVAPAGRSHPSRSFGSAGGSYESNRSMPRSWNSKPFHNQENTSRPTNEERRISAHGSSGTLFEAFG >Ma06_p25390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25684170:25728167:-1 gene:Ma06_g25390 transcript:Ma06_t25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALAGIRFPTARTARPSSRSGLEGKRRTGASFSLLSRKKRFAGIILTGSSCDTDPTSTTVAASDGVLVPGAESDDLSSSTDMISDTEAASSNLQVSRETTGLMMEESEAGQTSISSEFIGEESMDEDVKDAVSSQAIKRIVDVQEKPRFVPPPGTGQRIYEIDPSLNGYRGHLDYRYDQYKKMRETIDQYEGGLDAFSRGYEKFGFQRSANGITYREWAPGAKWATLIGDFNNWNPNADVMTRKEYGVWEVFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEDKYVFQHAQPKAPKSLRIYESHVGMSSPEPKINTYASFRDDVLPRIQRLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPDELKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNQFDGTDSHYFHSGSRGHHWMWDSRLFNYGSWEVVRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLAVGFTGSYNEYFGYATDMDAMVYLMLVNDMIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIPDKWIEIMKLKDEDWKMGDIVHTLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMALDLPSTPRIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPNGKVISGNNYSYDKCRRRFDLGDAEYLRYRGMQEFDQAMQHLEEEYGFMTSDHQYISRKDERDKIIVFERGDMVFVFNFHWTNSYFDYRVGCLKPGKYKVVLDSDDKLFGGFNRIDHTAEYFSTDGLYDNRPFSFSVYAPSRTAVVYALSED >Ma02_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18338744:18341490:1 gene:Ma02_g07820 transcript:Ma02_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVIGDVLDPFVKSATLRVIYNNKELTNGSELKPSAVENEPRVEIRGRDMRNLYTLVMVDPDAPSPSNPTEREHLHWLVTNIPETKNTSFGNEIVCYESPRPTAGIHRFVFVLFRQSIRETIYAPGWRQNFNTREFAALYNLGDPVAAMFFNCQRENGCGGRRYQSVSGWT >Ma03_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3529633:3531971:-1 gene:Ma03_g05370 transcript:Ma03_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDEKIIGFIKDYRRVFDLACIDHRGTPENPARTCTLEAKKGAICWGAAYCVKGGAEKEREAMKYLERRECEYDTKASVDFFKVKSLGCPTVTGVLVFVSTPDKEANSYYLGPAPLQDMARQIATARGPCGNNRDYLFRLEKALFDIGHEDDMVIELADEVRKVLVLLQEKAAAPPVSPLPLVYLSPLKETAVVDSR >Ma03_p00300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:288566:291766:1 gene:Ma03_g00300 transcript:Ma03_t00300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSAHLDLSLQISPPNTTPSGWRKPDEKMELGFWRRTLDSTTTTNNNVTASAASSAAAFKLSSVNPGVTDSTTTSDDILHHPQHRHHLPLLPEGYHQDHISLKPIRGIPIYQHPPSFPLLSPHQLQPSQDLPRPRYLPTRFAARRTTRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDRPAASSGQSDGFANGSAEENSEENLVGVHNHHGSESSAAHHGANHGALWSSNSSRESLYNNRKLSDSTTACMRSWKETQPISSEMFTEINSSCPNDPNLEISLGRYH >Ma03_p00300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:288566:291766:1 gene:Ma03_g00300 transcript:Ma03_t00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSAHLDLSLQISPPNTTPSGWRKPDEKMELGFWRRTLDSTTTTNNNVTASAASSAAAFKLSSVNPGVTDSTTTSDDILHHPQHRHHLPLLPEGYHQDHISLKPIRGIPIYQHPPSFPLLSPHQLQPSQDLPRPRYLPTRFAARRTTRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDRPAASSGQSDGFANGSAEENSEENLVGVHNHHGSESSAAHHGANHGALWSSNSSRESLYNNRKLSDSTTACMRSWKQETQPISSEMFTEINSSCPNDPNLEISLGRYH >Ma03_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:288566:291766:1 gene:Ma03_g00300 transcript:Ma03_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSAHLDLSLQISPPNTTPSGWRKPDEKMELGFWRRTLDSTTTTNNNVTASAASSAAAFKLSSVNPGVTDSTTTSDDILHHPQHRHHLPLLPEGYHQDHISLKPIRGIPIYQHPPSFPLLSPHQLQPSQDLPRPRYLPTRFAARRTTRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDRPAASSDGFANGSAEENSEENLVGVHNHHGSESSAAHHGANHGALWSSNSSRESLYNNRKLSDSTTACMRSWKQETQPISSEMFTEINSSCPNDPNLEISLGRYH >Ma04_p29100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30043890:30056541:-1 gene:Ma04_g29100 transcript:Ma04_t29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSTVLLCFLAVIFTATASSSSSSSSSSKSNDFRRAFPIVKPDPNHLRLRLAREGLDAIQNITTPIAVVAVIGPYRSGKSFLLNQLLSLSCDEGFEVGHMRDAKTKGLWVWGTPVELVIDGSKVSVLYIDTEGFENVGNSNVYDDRVFALATLISSVLIYNIPETVREADISRLSFAVEIADEFYARMKGNEDAFEPAKLLWLIQRDFLQGCSVQEMVDEALRPVPNESGDENIDKVNNIRKSLAIMANDISAFGLPQPHLQRIRLCDIKDSELDPLYVQKREQFKQLVESIIRPKIVQGNPLNGKEFIAFLEQTLDALNEGEIPSTGSIAEVFNKEILERCLKLYSKEMSNLQLPVPEDKLLLAHEVSKAKANNLFDRQYFGWRSAKQSFLKFNNEIKKVYDTHITENKYLSIKLCEASWSECEDRMDGLLATGLPSMRKFNASFDQCNEHFERDCVGPSKEKYALKMVKMLKKCRSHFTKEYYRRLTFNLLLFSATIPVVGHVVKFAFLRYCGYLMVTFSLYLEMHTRLYGSVQLQRNSPSFQIVEIAWEMIVGNNLVLNRWTIAVAILQCVPILGWLFYKKNIDGCLLLPFKVVLGLLKAALVKSRLSNNPKTQ >Ma05_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1079180:1082753:-1 gene:Ma05_g01770 transcript:Ma05_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYYFDESDGDYGGSSYSLEEYHGDDLNDILVEEPIEKIWTTASVISAESLLAAQKEVLQNVMSLLLINEQQARSLLIYYRWNVQKVYDSFERKGKEPLFQEAGVVISDDRDLDILGSSTAVITCNVCFEDVAVLDATKMDCGHLFCNDCWTQNFIIRIKDGERTIRCMDTKCNAICDDVIIRNLVSRKDPCLAERFERFLLESYVEDNDNIKWCPSNPHCGNAIRVDGSNVFEVECICGFQFCFNCSLEAHSPCSCQMWKLWVQKCGDESGNTDWIMVNTKPCPKCNKLVEKNGGCNLVRCRCDQHFCWLCGGACGAEHTWSTITGHTCNSFTREKEMSYLAVKQRLQRYMHYYNRYMTHADSLKKENTLNENIQRKISESENKNSMIKDYSWVLEGSRQLLRSRRVLKYTYPLAFYVFGDELFKDKMTPEEKEIKQHLFEDLQQQLETNVERLSMYIEKDFYDFNDEQVIQLKYQVVNLNRVVNKFCGQMYKYIEDDLLEPMKHAVHRIAPYSSGGPNMLLQPSSSSSGNSGHS >Ma11_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:778047:779392:-1 gene:Ma11_g01070 transcript:Ma11_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFSRSPSSSFRSSSFSSSSPSSSARRGSQSRSNRGTASKIIERVICATLTCVFAAVGSLVGAITGALIGLATESGLLRGAGIGAISGAVFAIEAVESSLDLWNSRESGIWSLLYVIDILCSLLSGRIVREKVDPAMQSAVQSQMSAADLPSIDNFDLFATDSTGGLAMDTVENLPKTNITAENLEAAGESLCCSVCLQDLQVGETVRRLPHCHHMFHLPCIDAWLIRHGSCPLCRRDI >Ma06_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8734577:8738678:1 gene:Ma06_g12610 transcript:Ma06_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREDGPSVAVVGVTGAVGQEFLRVFSDRDFPYRNIRLLASRRSTGKRLTFEDRENPEAMAHIKLQGRSGKGALIANPNCSTIVCLMAATPLHRHAKVVRMVVSTYQAASGAGTAVMEEPMQQTHEVFFFNASKSHFVQYALNLFSHNAAVFSNGYNEEEMKLVKETRKFWNDMDVKVTATCIRVPVMHAHAENVNLQIEKPLGEDTARRILEGAAGVVVVDDRESNHFPTPLDVSNKDDVAVGRIHQDLSQDGNLGFTKIEYHVLIKLDIFVCGDQIRKGAARKAIQIAENLS >Ma07_p16730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15366811:15373721:-1 gene:Ma07_g16730 transcript:Ma07_t16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKNGGNSSTNPNLLSRQRLRWTNELHERFVGAVTQLGGSDRATPKGVLRIMGVPGLTIYHIKSHLQKYRLAKYIPDSSADGTKLEMKDVGDLISGLESTSGIQITEALKLQMEVKKRLHEQLEIQQQLQVRIEAQGRYLKKMIEEQQLLSGVLAETSGSGVAAATVPSDLCPDPSTPVPTSESPEEDLAASSGTGGGSLKGLLQDGSLSATREPPTPDSGGWGKSGTGSDFQF >Ma07_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15366811:15373721:-1 gene:Ma07_g16730 transcript:Ma07_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKNGGNSSTNPNLLSRQRLRWTNELHERFVGAVTQLGGSDRATPKGVLRIMGVPGLTIYHIKSHLQKYRLAKYIPDSSADGTKLEMKDVGDLISGLESTSGIQITEALKLQMEVKKRLHEQLEIQQQLQVRIEAQGRYLKKMIEEQQLLSGVLAETSGSGVAAATVPSDLCPDPSTPVPTSESPEEDLAASSGTGGGSLKGLLQDGSLSATREPPTPDSGGWGKSGTGSDFQF >Ma07_p16730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15366811:15373730:-1 gene:Ma07_g16730 transcript:Ma07_t16730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKNGGNSSTNPNLLSRQRLRWTNELHERFVGAVTQLGGSDRATPKGVLRIMGVPGLTIYHIKSHLQKYRLAKYIPDSSADGTKLEMKDVGDLISGLESTSGIQITEALKLQMEVKKRLHEQLEIQQQLQVRIEAQGRYLKKMIEEQQLLSGVLAETSGSGVAAATVPSDLCPDPSTPVPTSESPEEDLAASSGTGGGSLKGLLQDGSLSATREPPTPDSGGWGKSGTGSDFQF >Ma09_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1025350:1025928:-1 gene:Ma09_g01420 transcript:Ma09_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCSWMISLWFSVEEENRREVQLLPSPSTRLPSETLNSSMATDASDHPLLDLTLSMRVGTQRPSPGEESQSRAKSLQVLRQQTAEQIRLAAAENAYAERMREVTKMEMELAEKEYARARLVWERAREEVKKVERMKEMATGRINSACLEITCHSCHQRFRP >Ma08_p17670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26443235:26448154:1 gene:Ma08_g17670 transcript:Ma08_t17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKANLQAPPASDRPSAADANFTHSSSSSSCTFSPPEFLRQAHAVFKRHRRLGGMESKICRATRVLVPQTEPSDSMASMSTITTNETAKAVLPTGSIVGVRRLVKAPIDQRENRAIAVAGLLEDASINPPSVTETLTVAGDDKASSFGLQKSNFDLMADRKQSSLVVMSSQVPPPHALMDNDMKKEILTFQSTHLNTDGKMETISSFLHSLALTGVESYLGTQVGTNDQDLKLQNHGNLEVFGTSMKFGGTSLLENTKEPKHDQCYVEPMARCSVLDSSCVTSLSVNTGPTFQSTHAQPAGQTSFLTQVSSSAKEVSSSIKDHVPMEELGAIKNGCDWDTDHQHNLHLAESVKEKGLCGDANYLPSQAPLTNNPSSNIEVCPSQSSKVEKSRHKKKYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCTIYALKKIRLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKTLFQEVADESVNIKDKRVKDDECIYMVLEYGEIDLAHMLSQKWKEINTSGCKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMSDTTNIQRDAQVGTLNYMSPEAFMCNECDSNGNIIKCGRPSDIWSLGCILYQMVYGKTPFAEYKTFWAKFKVVTDRNHEIVYERVSNPWLIDLMQRCLAWDRNERWRIPQLLQHPFLVPRMTRELPPLDDNHPCKLLVERIVTYWSNPEVSRLCLELHEVIIKLEKVQKSPNVCVEPNGS >Ma08_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26443235:26448154:1 gene:Ma08_g17670 transcript:Ma08_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKANLQAPPASDRPSAADANFTHSSSSSSCTFSPPEFLRQAHAVFKRHRRLGGMESKICRATRVLVPQTEPSDSMASMSTITTNETAKAVLPTGSIVGVRRLVKAPIDQRENRAIAVAGLLEDASINPPSVTETLTVAGDDKASSFGLQKSNFDLMADRKQSSLVVMSSQVPPPHALMDNDMKKEILTFQRVESYLGTQVGTNDQDLKLQNHGNLEVFGTSMKFGGTSLLENTKEPKHDQCYVEPMARCSVLDSSCVTSLSVNTGPTFQSTHAQPAGQTSFLTQVSSSAKEVSSSIKDHVPMEELGAIKNGCDWDTDHQHNLHLAESVKEKGLCGDANYLPSQAPLTNNPSSNIEVCPSQSSKVEKSRHKKKYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCTIYALKKIRLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKTLFQEVADESVNIKDKRVKDDECIYMVLEYGEIDLAHMLSQKWKEINTSGCKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMSDTTNIQRDAQVGTLNYMSPEAFMCNECDSNGNIIKCGRPSDIWSLGCILYQMVYGKTPFAEYKTFWAKFKVVTDRNHEIVYERVSNPWLIDLMQRCLAWDRNERWRIPQLLQHPFLVPRMTRELPPLDDNHPCKLLVERIVTYWSNPEVSRLCLELHEVIIKLEKVQKSPNVCVEPNGS >Ma03_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27081575:27088976:1 gene:Ma03_g22310 transcript:Ma03_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPSSSSCCTICEGSNLSSICASCVNYRLNEYSVLLRSLTNIRESLYSRLNDELAEKRKATHQSNWRVTHNEKIRKLKEHLTCSKRQLVEVNAKVVEASNNLKLRFDSLDSAFATIKNHMGSLDKLNSDLIYSQCLAYMAITSERLHKQSMVIRQICRLFPMRRVNLDGAKDGSNGPYDQICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVPNLAAPVLHNSGFAGSCSLVWQRDSYWDARPSSQSKEYPLFISRQNFCPSIVEESSSNYDLSSMESERKPYLDTSRSGSFSYSCASPHSLETHMDLQKGISLLKKSVACITTCCYNSLCLDVPSEASTFEAFAKLLVALSSSRELQSTRNSLKMACSRPAKQAHQLNRSVWDENSRVPSSSFMGSMQTTESSNSDASFDYSAEVTASVNSESLVEGWDIVEHPRLPPPQSQVDASCNTIFR >Ma02_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23705380:23709122:1 gene:Ma02_g16260 transcript:Ma02_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIGGARRALACRGIPSSLISVAATSRAFPSSSPPTRAFSRLSRRKRPSGISRSAVELGCAQSLMPFHSVTATALLTSMLSARPGGWTWLSEGFATPL >Ma01_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6112499:6116558:1 gene:Ma01_g08510 transcript:Ma01_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPDTEEYIKESIESSLGLPVSVKSLSLKLLASEDARHRLQDQIFVLEERLTEADKRLEQCRAEANMNAQGVKRCVEEKEMIASKYADLVNHCRKLEEECSLYERDLERIMESCDELGKENEELRARLDDNSGLESLGAEVESLKKDKEHLRINLHRAEEEVKLLFQENKMLDEDNKRLLELLKRERSRQGSDSHKRSATASAKGKRKSSPNDCSSPPGRMIDFAAADSSRQPLSPLHQNSPESRMHRK >Ma06_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10655460:10657368:-1 gene:Ma06_g15740 transcript:Ma06_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNGAAAATRSRRPHVALLPTPGIGHLIPMAELTKLLVARHGFSVTIITLAISASTAQATLLSSLPHSVSSLALAPVPLHDLPPDANIETTMAVAIVRSLPGLRDALSRLRSSDNLVAFVADIFGTDAFDVSRELGVPPYLFFPTNLLTLSLLLHLPELDATTSCEYRDLPAPLRLPGCFPIPGPDLLQPIQDRSNDAYRWVLHQARRSWEAEGILANTFDAMEPEAANILQQTVPGRPPVYLVGPLTQSGKNEADEGAECLRWLDRQPKGSVLFVSFGSGGTLSMAQMAELALGLELSGQRFLWVVKSPSDGGDASEAYFTVQSKEDPFRFLPAGFVDRTREVGLLVPSWAPQAAVLNHAATGGFLSHCGWNSTLESVKAGVPMVAWPLFAEQRQNAAMLAEGSRIALRLPRAEQGIVSREDVARVVKELMEGEEGKAAHQRVAELREASARCLEEGGAAYTALDAVANKWKATN >Ma08_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1467447:1468186:-1 gene:Ma08_g01770 transcript:Ma08_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFSVPPVVFLSSAVQRRSPPRPANPGLPFMSFDLGPAPSSSSFSAPVPGSFEDEPPLLEELGIDTRQIWRKTSSILNPLRVDAHLHDDADLSGPFFFLMLFGLFQLLAGKLHLGVILGWVTIATLFLYSVFNLLAGRSGNLDLHRCLSLVGYCMLPMAIFSAASLFVPRGGVTILAMAAVFVLWSTRACTGLLVESASCGDELRGLVAYACCVVYAVFSLLVIF >Ma06_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4819535:4822452:-1 gene:Ma06_g06690 transcript:Ma06_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVVSRSGREVIKGGIELHDEATVSDLQEAIHARTKKYYPSRQRLTLPMQAGIQGKPIVLSPKKKLVDYCDGNVKNLTVVFKDLGVQVLYRTLFFWEYLGPLVIYPIFYYFPVYKYFGYEGERVVYPVQTYAMYYWCLHYSKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGAYIAYYVNHPMYTPVNDLQMKIGFGFGLICQVSNFYCHILLRNLRNPNGNGGYQIPHGFLFNIVTCANYTTEIYQWLGFNIATQTIAGYVFLVVAALIMTNWALAKHRRLKKLFDGKEGRPRYPRRWVILPPFI >Ma10_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3952347:3988587:1 gene:Ma10_g01080 transcript:Ma10_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERGSTSDDRRVNWKSYYKSLFLLAYQSFGVVYGDLSTSPLYVYKSAFSWRLYQYQDEQTVFGLFSLIFWTFTLIPLLKYIIIVLSADDNGEGGTFALYSLLCRHARFSLLPNQQAADEELSTYYSNGTRTVISSPLKTFLEKHKRLRTLLLLIVLFGASMVIGDGVLTPAISVLSSISGLQVRAKKLHDGEVVIVACIVLIGLFALQHRGTQRVAFLFAPIVIVWLLCIGIIGLYNIIHWNPKIYYALSPHYIVTFFKQTGKDGWISLGGVLLCITGTEAMFADLGHFTQASIRVAFVGVIYPCLVLQYMGQAAFISKNFSSLPTSFYESIPEPVFWPVFVVATLAAVVASQAVISATFSIVKQCHALGCFPRVKVVHTSRWIYGQIYIPEINWILMLLCLAVTLGFRDTTLIGNAYGIACMTVMFVTTWMMALIIVFVWQKSTIFACLFLLFFGFIEAVYLSSSIIKVPQGGWAPLVLSFIFMIIMYVWHYGTRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPPDERYLIGRIGPRAYRMYRCIVRYGYKDVQKDEDNFENLLVLSIAKFIHMEAEETSSGSYDASPDGRMAVIQTSDTCGTTLVMRDADQYAGDSAMIRSSKSETLQSLQSLYEQELPSTSRRRRVRFELPEVEYIDPQVKEELLALVEAKEAGVAYIMGHSYIKARRTSSFLKKFVIDVAYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Ma09_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10937528:10940792:1 gene:Ma09_g15610 transcript:Ma09_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09820) UniProtKB/Swiss-Prot;Acc:Q5M755] MAALILRPLPQAWSNFEAFPRNRLAKGTQNRRHSSRKRSASALVASASTTGATGMADGTNRNGRDGPSSTAEVGTIDDIKAALYQALTGIDRGIFGATSSKKSEIAGFVELLESRNPTPYPTDHLRDKVDGCWKLVYSTISILGSTRTKLGLRGFISLGDFFQIINVAKEEATNVIKFNLRGLQLLSGQLTIEASYRIASKTRVDIKLERSNITPDQLMKIFEKNLDLLLAIFNPQGWLEITYVDESMRIGRDDKGNIFVLERNDDIEV >Ma10_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28293505:28298101:-1 gene:Ma10_g16670 transcript:Ma10_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQDGTQQQHIALAHKLFLLTHPDVDDIEKVRLRDEVLDAVKTRDMASLYESLAVASVLEMDTAVLDRMRKRIEDELKTLDDKIADAEENLGESEVREAHLAKSLFFIRIGDKEKALEQLKTTEEKTVAAGQKMDLVFYTLQLGFFYMDFDLISKSIDKAKNLFEGGGDWERKNRLKVYEGLYCMSTRNFKKAASLFLDSISTFTTDEIFSYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILSVIGKIPHLSEFLNSLYGCHFKSFFSAFSGLTEQIKLDRYLQPHFRFYVREVSTVVYSQFLESYKSVTTEAMAAAFGVSIGFIDQELSRFIATGKLHCKIDKVAGILETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Ma01_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4914478:4916508:-1 gene:Ma01_g06820 transcript:Ma01_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGHEKEIPIPINSSYFGGHGQAPGHSSIHDTPPIHHSSNGTASPVSTDTREDHHNAKSFSTKKGVVVKYRECLKNHAASIGGNATDGCGEFMPSGEEGTLEALKCSACSCHRNFHRKEAEGEPSCDCFQPIRWSKMMGAFGYSPASRSFIPRATPHSMIMPLGAMQASESDEMEGVVGGVMARPPMVKKRFRTKFTPEQKEKMLSFAEKLGWRLQKQEESMVQQFCQEIGVKRRVLKVWMHNNKHHLAKKTSLQLD >Ma01_p06820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4914478:4916508:-1 gene:Ma01_g06820 transcript:Ma01_t06820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGHEKEIPIPINSSYFGGHGQAPGHSSIHDTPPIHHSSNGTASPVSTDTREDHHNAKSFSTKKGVVVKYRECLKNHAASIGGNATDGCGEFMPSGEEGTLEALKCSACSCHRNFHRKEAEGEPSCDCFQPIRWSKMMGAFGYSPASRSFIPRATPHSMIMPLGAMQASESDEMEGVVGGVMARPPMVKKRFRTKFTPEQKEKMLSFAEKLGWRLQKQEESMVQQFCQEIGVKRRVLKVWMHNNKHHLAKKTSLQLD >Ma01_p06820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4914478:4916508:-1 gene:Ma01_g06820 transcript:Ma01_t06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGHEKEIPIPINSSYFGGHGQAPGHSSIHDTPPIHHSSNGTASPVSTDTREDHHNAKSFSTKKGVVVKYRECLKNHAASIGGNATDGCGEFMPSGEEGTLEALKCSACSCHRNFHRKEAEGEPSCDCFQPIRWSKMMGAFGYSPASRSFIPRATPHSMIMPLGAMQASESDEMEGVVGGVMARPPMVKKRFRTKFTPEQKEKMLSFAEKLGWRLQKQEESMVQQFCQEIGVKRRVLKVWMHNNKHHLAKKTSLQLD >Ma08_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:31813611:31818441:-1 gene:Ma08_g18510 transcript:Ma08_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSFCCGRASWGERRRKDSTSWRIFSLKELSSATNNFNYDNKLGEGRFGSVYWGQLWDGSQIAVKRLKVWSHQAEQEFAVEIEVLGRVRHKNLLSLRGYCAEGKEHLIVYDYMPNLSLHSHLHGHYSAECILDWGRRMSTAIGSAEGIAYLHHQATPHIIHQDIKASNVLLDMDFQARLADFGFAKLIPDGATDATTDVKSNLGYLAPEYVSSGKASESSDVYSLGVLLLELVCGKRPVEKLSATMKLSITEWALPLARERKFNEIADPKLNGNYVEAELTRVVLVALFCAQNSPEKRPTVLEVVDLLKGASKEKLSKLENDDMFRPEPPEASQGASTPDKGSEDIAQETVP >Ma08_p17260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23952899:23957735:1 gene:Ma08_g17260 transcript:Ma08_t17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRAAKDRNNKRNNKKRKLGLKPQKKQQPKPQRQTQRQSQRQRQRQPQPQRSHDNLAQEYEDPVLFGGGAGGDDDDPAAALSSPDRIHRLLEPYTKDQLISFLLDAAASDASLLAHIRTMADRDVSHRKVFVHGLGWDATRETLIQAFEPYGPIEECNVVVDKATGRAKGYGFVLFCSRAGAVKALKQPQKKIKNRIAYCQLASVGPVPASSSTDTPGRKVYVSNVHADAAPNKLKAFFSQFGEIETGPFGFDMLTGKSRGFAIFIYKTQDGARRALEEPYKMFEGHQLHCQLATEHGQKGKAPVFAPNPMSNAALLAAPPQPVLAVMAAAQNLALYNQNPAAFGALLGQNPLFAAAALNPAAAAALNPTGLLASQGQTLGGGMGVGTGAPSLLGAYGSQALAGLQGLQSYQGSQLGQSSSMRPTGSYGGFP >Ma08_p17260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23952899:23957735:1 gene:Ma08_g17260 transcript:Ma08_t17260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRAAKDRNNKRNNKKRKLGLKPQKKQQPKPQRQTQRQSQRQRQRQPQPQRSHDNLAQEYEDPVLFGGGAGGDDDDPAAALSSPDRIHRLLEPYTKDQLISFLLDAAASDASLLAHIRTMADRDVSHRKVFVHGLGWDATRETLIQAFEPYGPIEECNVVVDKATGRAKGYGFVLFCSRAGAVKALKQPQKKIKNRIAYCQLASVGPVPASSSTDTPGRKVYVSNVHADAAPNKLKAFFSQFGEIETGPFGFDMLTGKSRGFAIFIYKTQDGARRALEEPYKMFEGHQLHCQLATEHGQKGKAPVFAPNPMSNAALLAAPPQPVLAVMAAAQNLALYNQNPAAFGALLGQNPLFAAAALNPAAAAALNPTGLLASQGQTLGGGMGVGTGAPSLLGAYGSQALAGLQGLQSYQGSQLGQSSSMRPTGSYGGFP >Ma08_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23952899:23957735:1 gene:Ma08_g17260 transcript:Ma08_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRAAKDRNNKRNNKKRKLGLKPQKKQQPKPQRQTQRQSQRQRQRQPQPQRSHDNLAQEYEDPVLFGGGAGGDDDDPAAALSSPDRIHRLLEPYTKDQLISFLLDAAASDASLLAHIRTMADRDVSHRKVFVHGLGWDATRETLIQAFEPYGPIEECNVVVDKATGRAKGYGFVLFCSRAGAVKALKQPQKKIKNRIAYCQLASVGPVPASSSTDTPGRKVYVSNVHADAAPNKLKAFFSQFGEIETGPFGFDMLTGKSRGFAIFIYKTQDGARRALEEPYKMFEGHQLHCQLATEHGQKGKAPVFAPNPMSNAALLAAPPQPVLAVMAAAQNLALYNQNPAAFGALLGQNPLFAAAALNPAAAAALNPTGLLASQGQTLGGGMGVGTGAPSLLGAYGSQALAGLQGLQSYQGSQLGQSSSMRPTGSYGGFP >Ma07_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30409561:30420016:-1 gene:Ma07_g22500 transcript:Ma07_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIYNYHMLIIVLSLEYSSEDKTKEGELTLKDLLFFLHVPRTGGRTYFHCFLRKLYTSGQECPRSYDKLHFDPRKPNCSLMVTHDDYSLLSKLPKDRTSVVTIFRDPVDRVFSTYEFSVEVAARFLGHPNLTSATKMSGQIHRKSRGVSTLDIWPWKYLVPWMRQDLFARRDTRELGRPRKIKEITNPYDVEEIVMPLHEFINDPMAHEIIHNGATFQVAGLTNNSCKVDSHDVRNCVQKHPELGHFVLEVAKRRLNHMLYVGLTEEHKKSATLFAKLVGAQVLSQSEALNSDFKQAISNKTGPTSFPDPKAKGSNQFEGSTNIRKDSEVPSPTHFELTRENMSVGTLMEIYESCISTLRKSQASRRTMSLKRVAPVNFSKQARVSVPMTVLQQIQHLNNLDVELYKHAQNIFMLQQKHLMQNAEDIFVQPDGDLMAEVEPQKAASGSFYRCLPWRLFLAIALLMIIVLIVLATTTSRRTEKLKI >Ma08_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6901267:6910910:1 gene:Ma08_g09540 transcript:Ma08_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAAANPVAAAEAAVAEGVKKIQIYSSSSSSSSARQVTPFWKEKYERDAKKYWDIFYKRHQDRFFKDRHYLDKEWGHYFLADKDGKNLVVLEVGCGAGNTIFPLVATYPYIIVHACDFSSRAIDLVKGHKDFSADRINAFVCDLTVQTLSEIIEPSSVDIVTMVFVLSAVSPEKMPLVLHNIRRVLKPNGRVLFRDYATGDLAQERLTCKEQQISENFYVRGDGTRAYYFSKKFLANLFMQNGFSVEEVSICSKQVENRSLEIVMNRRWIQAVFCNRSLVQMHENMSITEKFLNNYDDTEVDVSDSIADMFGMSPPADEERRCWNWVVALLVSAQ >Ma08_p09540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6901385:6911656:1 gene:Ma08_g09540 transcript:Ma08_t09540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVLSAVSPEKMPLVLHNIRRVLKPNGRVLFRDYATGDLAQERLTCKEQQISENFYVRGDGTRAYYFSKKFLANLFMQNGFSVEEVSICSKQVENRSLEIVMNRRWIQAVFCNRSLVQMHENMSITEKFLNNYDDTEVDVSDSIADMFGMSPPADEVIKIEVKDYSFKIKALAKEYQHTCKSTGLMIWESAHLMSNLLAENPSIVAGKKMLELGCGSAGICSMIAAQLAEVVVSTDGDTEALSLLRENIISNLETSLLNKIVVKRLLWGNTEDIKAIKDLCGHSGGFEIIIGTDVTYNYDAISPLFETARELISNRENGICKPALVLCHIQRRVDESSIISTALHFGFELVDRWVNGMHSSHGGIINSWFVEGAGYMSFFKNTPLAILYFHA >Ma08_p09540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6901267:6910564:1 gene:Ma08_g09540 transcript:Ma08_t09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAAANPVAAAEAAVAEGVKKIQIYSSSSSSSSARQVTPFWKEKYERDAKKYWDIFYKRHQDRFFKDRHYLDKEWGHYFLADKDGKNLVVLEVGCGAGNTIFPLVATYPYIIVHACDFSSRAIDLVKGHKDFSADRINAFVCDLTVQTLSEIIEPSSVDIVTMVFVLSAVSPEKMPLVLHNIRRVLKPNGRVLFRDYATGDLAQERLTCKEQQISENFYVRGDGTRAYYFSKKFLANLFMQNGFSVEEVSICSKQVENRSLEIVMNRRWIQAVFCNRSLVQMHENMSITEKFLNNYDDTEVDVSDSIADMFGMSPPADEK >Ma08_p09540.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6901267:6911654:1 gene:Ma08_g09540 transcript:Ma08_t09540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAAANPVAAAEAAVAEGVKKIQIYSSSSSSSSARQVTPFWKEKYERDAKKYWDIFYKRHQDRFFKDRHYLDKEWGHYFLADKDGKNLVVLEVGCGAGNTIFPLVATYPYIIVHACDFSSRAIDLVKGHKDFSADRINAFVCDLTVQTLSEIIEPSSVDIVTMVFVLSAVSPEKMPLVLHNIRRVLKPNGRVLFRDYATGDLAQERLTCKEQQISENFYVRGDGTRAYYFSKKFLANLFMQNGFSVEEVSICSKQVENRSLEIVMNRRWIQAVFCNRSLVQMHENMSITEKFLNNYDDTEVDVSDSIADMFGMSPPADEVIKIEVKDYSFKIKALAKEYQHTCKSTGLMIWESAHLMSNLLAENPSIVAGKKMLELGCGSAGICSMIAAQLAEVVVSTDGDTEALSLLRENIISNLETSLLNKIVVKRLLWGNTEDIKAIKDLCGHSGGFEIIIGTDVTYNYDAISPLFETARELISNRENGICKPALVLCHIQRRVDESSIISTALHFGFELVDRWVNGMHSSHGGIINSWFVEGAGYMSFFKNTPLAILYFHA >Ma10_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28967127:28971830:-1 gene:Ma10_g17660 transcript:Ma10_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRTVVYLHYSPSSLKSLFSSSIRPAATLSFSYSTSATASMAAAATIEHVVLFKVRDSTDPSKVDAMVSNLRSLASLDVVAHLAAGPVLPRPHRSATGFTHLLHSRYRSKADLAAYSTHPSHAAVVEENVLPVCEDIMAMDWVADLDSPTAAPPGSAMRLTLAKPKEGATAELTATLAQVKLSAPAAVTQVSYGENFSPARAKGYGVGLLAVFRDLEELEAMDAGEKDLVESVKEKVRPLLESFIVVDFEVPPPPAATL >Ma09_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7640131:7649281:-1 gene:Ma09_g11320 transcript:Ma09_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWGLGWKRPSEIFHLALDYGESDANADDDDHHLPPRPPPPPPSPVPLLPPSPPQPLSPHSLPSGELGFRIELDWTAGDDEEQIALRLRSQLMASLQPPQDTVVLDLHGDEELGCVGVEMKVIKRREPLRSVRLSKVAGSGQQNDGAGVLTRLIRSNFTSGPADGVQVLVDHWKSVTTLSLCGCGLWVFPVELTKLPLLEKLYLDNNKLSLLPPELGELRNLKVLRVDNNMLSSVPVELRQCVLLVELSLEHNKLVRPLLDFRAMAELRILRLFGNPLEFLPEILPLHNLRHLSLANIRIEATENLKSVNVHIEMENSSYFIASRHKLSAFFSLIFRFSSCHHPLLASALAKITQDHTNHVAISKEGNAIRQLISMINSDDRHVVEQACFALSSLAADVSLAMQLIKSDIMKPIELLLRSIDQEELTSVLQVLATLAFASDSVAQKMMTKDVLKPLKALCAHKSTEVQRLSLLALGNLGFCSENRRILSQSESLHELLLRLTVTPVPRVNKAAARALAILGENENLQRAVKRKPVGKQGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAVALGIKQMTLEQCEDIYKELGKLVFAEPTPKDNEAATWMEKLDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGNLLIESAVKNIPKVFVVSTLVSVTPAQPFVFRNYQYPVGTPELPLGMLETPAVTTTGTTASGAQIAGRRGAFIGSCKHRVWEAIRASSAAPYYLDDFSDGVNRWQDGAIVANNPTIFSIREAQLLWPDARIDCLVSIGCGSVPTKARKGGWRYLDTGQVLIESACSVDRVEDVLETLLPMIPEVQYFRFNPVDERFDMELDETDPAIWLKLEAATEEYIQKNCQLFKNVCERLVPRENEEKLIEGLNSQQFSKSKPSNTGLDESSPQLGWRRMVLLVESSYSPDIGNTNYHARSLEKFCASKGIRLSLTNQTSGFSKPATRSPTTFTSPLFTGSFPSNPLQRSPECGPQLINQIDHVPPLSLDGHPTGLTSMSPPASPLASLQPSLHAQSINEKLQNLPQVGIIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQSVQLSQTSLVRGRNRMEAYSLAKVSSVADLVTKWRCFHVGGILHRYIGRQTQVMEDNQEIGACMFRRTVPAVHLTSEDVRWMVGAWRGRIIICTGKYGLAPSLVKAFLDSGAKAVVSSSIEPPDMKSIQFRGTSDYNGFENGRFEIGDEEGEQEPVSPASDWEDGDGEKGGEHSISWNGDYEEDLSEFICHLYDSLFRKGSTVDVALQNALCSHPKLRYSCHLPNTL >Ma10_p29230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35877570:35878873:-1 gene:Ma10_g29230 transcript:Ma10_t29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLFCAKAGIKKGPWTPEEDIILVSYIQKHGPGKWRSIPANTGLMRCSKSCRLRWTNYLRPGIKHGNFTPQEEELIIHLQSLLGNKWAAIASYLPQRTDNDVKNHWNTHMKKKMKRYQAAISSHSASSRAGNVCHEFASRCYNTGSGNSNASTTHSTLPISNPSTLKYASSTENISRLLQGWSRPSQDQHIRCQSITRFANSSTNSSTPVCFMGNQIKVELPESYNPVSNEELKSLQFFEGSSRIDWEESSSGATFGGSQSVPGCSDGQKILDDQHSPLTMLESWLADEAV >Ma03_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1310708:1312746:-1 gene:Ma03_g01910 transcript:Ma03_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRDMAGEHTLLSTEIVNRGVEASGPDAGSPTFSVRVRRRLPDFLQSVNLKYVKLGYHYLISHAVYLVTVPVLVVVFSAEVGSLTREALWRKVWEETSYDLATVLAFFGVLAFTVAVYFMSKPRPIYLVDFACYRPSDDLKVSNEEFVELARKSGKFDEESLAFQSRILKNSGIGDESYVPRSIFSPGNCATMKEGRAEASMAMFGALDELFQKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGTILSYNLGGMGCSAGVVALDLARDMLQANPSSYAVVVSTEAVSFTWYAGSNRSMLIPNCFFRMGCSAVLLSNRRRDFRRAKYRLEHIVRTHKGADERSFRCVYQEEDQQRIKGLSISRDLMEVGGHALKVNITTLGPLVLPFSEQLLFFATLLYRYPFPKPTTTTTTTKPYIPDYKLAFEHFCIHAASKAVLDALQTNLELEDRHMEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQIAFGSGFKCNSAVWKAMRRVPRLSTNNPWLDCADRYPVGL >Ma10_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4450452:4451429:-1 gene:Ma10_g01320 transcript:Ma10_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDRICLKKLPSSSPSIEFFKQSGWSDAQVMKLTRRQPKLIFANVETVLKPRMRSLQDMGFSDTEIFQLVSSCPTLLCLRDIQPTINFWRSLLGSNERFLKACKRNLFILTSSLARNIEPSISLLREHGISDERIAHMVVTMPGYFGRIDKLKEVIKYIEELGVPRDSGVYTYALHVVANVSRSKFDATSVTLMSFGWSQPDINALFRKCPNIWTHSKKNIRDKMTFLTKEAGCELTCISRYPLLLKCSLEKRLRPRYEVLKFLNQNKLLDREHNLPSVMMPNEEKFRKKFLFLLRKEKFIAQYDSYVVAVQGKQHVVAENLDC >Ma11_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2136286:2141951:-1 gene:Ma11_g02900 transcript:Ma11_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQLHLGSSSPSQQHIPEEDEAVGDEEDEEEEECPISPPLMVPGSEGEEGEEREDHGQHQPFSIMAVVVAALRKSLVMCNVGAAGEGGVCRPASPASMEIGWPTDVRHVAHVTFDRFDGFLGLPVELEPEVPLRVPSASASVFGVSAESMQCTYDKRGNSVPTILLSMQRHLYLQGGLQVEGIFRINAENSQEVFVRDQLNRGIVPLGIDLHCLAGLIKAWFRELPRGVLDLLTPDQVMHCNTEEECSELVRMLPPTEAALLDWAINLMADVVEHEHCNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKKLREREEAIFAVRALDSCSESSSDKDEAKSSKPPERDTLGTNETTADIYALDKVTISKFLPDTEQSLGRDEEKKSEIGEENDFVSKKISPVCCGLDATEDECSSGYVGENVESEMYRLNFRKGVRKLCRHPVLQLSRSTKKAAELDAVNSEGREAWA >Ma01_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:208007:210572:1 gene:Ma01_g00260 transcript:Ma01_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKRSFINLSSVRPTLWHGTSFISLINLNFCTAQICHDCINLDLPSCAHYGFFYFDPSVLPLQIFLNVLMYSQPRFVVPYCTGVLTRTWYGTVPVYRGGTSGCTERYTLVYRAVHPAVPDGPCTDNLSDRYVPPDTGGTLRYGRHCSQLSSQMNFNIILSELDQHFPFFNFKLFFLSFKLLHCQVGAISVNLIAGEKPADVYSEIAARVLGIMRRDADKDPTVNRDALRARLLVDQVDRKLIKQTVMTSVYGVTYVGAREQINRRLKERGLIADDTELFGASCYAAKTTLTALGEMFQAARSIMNWLGDCAKVRFVQFDVYSIRYRLHKRSSETDKENLKGIMQCSNDGMPSSCTSWVESFNFGYIFD >Ma06_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25173507:25182596:-1 gene:Ma06_g24980 transcript:Ma06_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDEQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Ma02_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15335835:15336825:1 gene:Ma02_g03890 transcript:Ma02_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRDNPNLYPKRALRFGLVLPQGGTPQPTDRAAVRRWGLWGGRSTPSGTGSGGPARPSIASAAASRGTTSSNNNCQGIGHS >Ma01_p04410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2928010:2933269:1 gene:Ma01_g04410 transcript:Ma01_t04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWISRKIHLYNVTMGLYMLDWWERYLFNILILVLLWFIFHNGSRSAAEFYNGYLKSKVLSGQMLEVRGNITS >Ma01_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2928010:2933287:1 gene:Ma01_g04410 transcript:Ma01_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWISRKIHLYNVTMGLYMLDWWERYLFNILILVLLWFIFHNGSRSAAEFYNGNFNSLLLSSSYLKSKVLSGQMLEVRGNITS >Ma03_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8893413:8893565:-1 gene:Ma03_g11450 transcript:Ma03_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELEIWRVEMRDRYQFRNLCCNASNSRCISVSLRFPSITGLLSWVYVRI >Ma09_p30450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40574004:40577000:1 gene:Ma09_g30450 transcript:Ma09_t30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACDKGRALDVASCPSLLRRGTGFVPEKGSTCPNSCSKAVRGVASLEPYILHRVSASCPCARRLLLGPLSDPPFSSSSDLSSWRSGVWKSNRKKLSRTILERNKYVHLSQMLAIVELNKADKQKANRDVDEDDNDEDGGG >Ma01_p00340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:251666:259260:-1 gene:Ma01_g00340 transcript:Ma01_t00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDSRVSERELEDEFRAFGVLRSVWVARRPPGYGFVEFDDRRDALDAIRDIDGKHGWRVELSHNSKAGGGGRGGRGRGGGGGGGGGGDMNCYECGEPGHFARECKLRIGTGGLGSGRRRSPSPPRYRRSPSYGRGSRSPRGRRSPRRSYSPRSRSPAYRRDDSPYGNKSPGRDRRRSNSPRRDKSHSRSPPAYRRQDSPPYANGDGKRSRSRSRS >Ma01_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:251666:259260:-1 gene:Ma01_g00340 transcript:Ma01_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDSRVSERELEDEFRAFGVLRSVWVARRPPGYGFVEFDDRRDALDAIRDIDGKHGWRVELSHNSKAGGGGRGGRGRGGGGGGGGGGDMNCYECGEPGHFARECKLRIGTGGLGSGRRRSPSPPRYRRSPSYGRGSRSPRGRRSPRRSYSPRSRSPAYRRDDSPYGNNPGRDRRRSNSPRRDKSHSRSPPAYRRQDSPPYANGDGKRSRSRSRS >Ma02_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11894250:11898728:1 gene:Ma02_g01760 transcript:Ma02_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLIPSLNRVLVEKIIPPSKTSAGILLPEKTTKLNSGKVIAIGPGARDRDGKLIPVCVSEGDTVLLPEYGGTEVKLGEKEYLLYRDDDILGTLCD >Ma04_p30770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31331939:31332993:1 gene:Ma04_g30770 transcript:Ma04_t30770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSMASSLLPLSSSLSIASRGSSFSCPGSLSFPTPSPASKSQQRGALTIRCVRVGGVEIPNNKRVEFSLRYIHGIGRVRARQILCDLSLENKVTKDLSDEELISLRDEVSKYMIEGDLKRFNRLAIERLKEIRCYRGIRHEMGLPCRGQRTKNNCRTLKGKKVSVAGKKKASR >Ma04_p30770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31331957:31332993:1 gene:Ma04_g30770 transcript:Ma04_t30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSMASSLLPLSSSLSIASRGSSFSCPGSLSFPTPSPASKRGALTIRCVRVGGVEIPNNKRVEFSLRYIHGIGRVRARQILCDLSLENKVTKDLSDEELISLRDEVSKYMIEGDLKRFNRLAIERLKEIRCYRGIRHEMGLPCRGQRTKNNCRTLKGKKVSVAGKKKASR >Ma04_p30770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31331957:31333039:1 gene:Ma04_g30770 transcript:Ma04_t30770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSMASSLLPLSSSLSIASRGSSFSCPGSLSFPTPSPASKQRGALTIRCVRVGGVEIPNNKRVEFSLRYIHGIGRVRARQILCDLSLENKVTKDLSDEELISLRDEVSKYMIEGDLKRFNRLAIERLKEIRCYRGIRHEMGLPCRGQRTKNNCRTLKGKKVSVAGKKKASR >Ma04_p30090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30830221:30837624:-1 gene:Ma04_g30090 transcript:Ma04_t30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVPLPSATSPHLRRAGSKPIFTDQDSTEPGVANDLGLVFPTKVNEMKSPSVAASSVAMIPSPIFLWRFKVLLFLMWGISCCKIGWDSVMQMNTDKRHLFLYEAFLYFNPLLLVTLMVWLWGVNLWVFSQSSVNYAKVFDLDHTHLTYREIWKCATWMTIIVPTSMAAYLYLYSHGEVSLAASQPVILYAAILMVLIFPFEIFYLPSRYYLLRTVWRIILPLQAITFSDFFLADIMTSMSKVFSDLERSVCRMFNRQVATIAWFEADSVCGSHSVVIPVVLVFPYVCRFFQCLQQYKDTKERTCLFNALKYSTAVPVIFLSALKYHVLPLSWTNFYHPLWLISSVINSLYSFYWDVTRDWDLSAFSRIFKFKNPHICTNLFYGRIWVYYWVIGSNLILRCTWTYKLSAHLRHNHLTVFTITALEIMRRFQWIFFRVENEWNKMMMMMSKPSLELSINETPEEEDRLLGSANHNV >Ma06_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11934114:11937245:-1 gene:Ma06_g17580 transcript:Ma06_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYTGTSDLQLERVNVYYNEASCGRYVPRAVLMDLEPGTMDSVRTGTYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEEIPQEM >Ma06_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13334242:13335621:1 gene:Ma06_g19470 transcript:Ma06_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRVAVLHSLIPNPPGVAGPDRVPEILLLLRRRLWSMKKDALLEPALSRNRRWIVNNQIKNLLLRSPGRTATVRSLQKRFKTFDLQGRALNWLRKYPCCFDTLSGPAGPDGGGGEELRFTFSKRMAALVDEEESVKEASEPAMARRLAKLLMLSRDRRLNVVKLNELKRNFGFPDDYVLRLIPKHPEIFRVINRTGRQNAMEIELLRWDPNLAISAVEAAAIDRGTEPRYVCSLPETWFKTREKFDEFNDATPYVSPYSEDRTESEKRAVGVVHEILSLSLWKKASILKLEHFKREFGLPVRLNLLLLRHPCIFYVSNRYKIYTVVLREAYNGSELIDKDPLVVVKDKLGELMQEGLHEYNRRRHLANLGKKRKRGEIDLTPKKEEDDENEEEASRLDTVEKREERIRFYKVLFDEKP >Ma09_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:806765:810544:1 gene:Ma09_g01090 transcript:Ma09_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFDFLVSHRDRNAVSTVCKAWYQVERLSRRSVFVGNCYAVLPERVMTRFPGMKCLVVKGKPHFADFNLVPHDWGGFALPWIEAAAHGCPGLEELRLKRMVVSDESLELLARSFPDFKALVLISCEGFSTDGLAAIATHCRSLRELDLQENEVEDYGRQWLSCFPDSCTSLVSLNFSCLKGEVNAGALERLVARCPSLRGLKLNRAVSVESLTRILDRAPHLVELGTGSLTVDRHTEAYRRMINAFRKCKSLRNLSGFWDVDPLCLQSVYPICPNLTVLNLSYAPSIQGADQVKLIRHCFKLQKLWVLDCIGDKGLAVVASTCKQLQELRVFPSDIYGTGTAAVTEEGLVAISSGCSKMNSLLYFCCQMTNAALITVAKNCPRFIRFRLCILDPGKPDPITNQPLDEGFGAIVQSCKDLRRLSLSGLLTDKVFLYIGEYAARLEMLSIAFAGDSDKGMIYVLNGCKNLRKLEIRDSPFGDAALLENVGKYETMRSLWMSSCDVTLGGCKALAAKMPRLNVEVINEWDESVEMEENPSDTHKVEKMYVYRTLTGPRNEAPDFVWTL >Ma09_p01090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:806747:810544:1 gene:Ma09_g01090 transcript:Ma09_t01090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFDFLVSHRDRNAVSTVCKAWYQVERLSRRSVFVGNCYAVLPERVMTRFPGMKCLVVKGKPHFADFNLVPHDWGGFALPWIEAAAHGCPGLEELRLKRMVVSDESLELLARSFPDFKALVLISCEGFSTDGLAAIATHCRSLRELDLQENEVEDYGRQWLSCFPDSCTSLVSLNFSCLKGEVNAGALERLVARCPSLRGLKLNRAVSVESLTRILDRAPHLVELGTGSLTVDRHTEAYRRMINAFRKCKSLRNLSGFWDVDPLCLQSVYPICPNLTVLNLSYAPSIQGADQVKLIRHCFKLQKLWVLDCIGDKGLAVVASTCKQLQELRVFPSDIYGTGTAAVTEEGLVAISSGCSKMNSLLYFCCQMTNAALITVAKNCPRFIRFRLCILDPGKPDPITNQPLDEGFGAIVQSCKDLRRLSLSGLLTDKVFLYIGEYAARLEMLSIAFAGDSDKGMIYVLNGCKNLRKLEIRDSPFGDAALLENVGKYETMRSLWMSSCDVTLGGCKALAAKMPRLNVEVINEWDESVEMEENPSDTHKVEKMYVYRTLTGPRNEAPDFVWTL >Ma09_p01090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:806765:810544:1 gene:Ma09_g01090 transcript:Ma09_t01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFDFLVSHRDRNAVSTVCKAWYQVERLSRRSVFVGNCYAVLPERVMTRFPGMKCLVVKGKPHFADFNLVPHDWGGFALPWIEAAAHGCPGLEELRLKRMVVSDESLELLARSFPDFKALVLISCEGFSTDGLAAIATHCRSLRELDLQENEVEDYGRQWLSCFPDSCTSLVSLNFSCLKGEVNAGALERLVARCPSLRGLKLNRAVSVESLTRILDRAPHLVELGTGSLTVDRHTEAYRRMINAFRKCKSLRNLSGFWDVDPLCLQSVYPICPNLTVLNLSYAPSIQGADQVKLIRHCFKLQKLWVLDCIGDKGLAVVASTCKQLQELRVFPSDIYGTGTAAVTEEGLVAISSGCSKMNSLLYFCCQMTNAALITVAKNCPRFIRFRLCILDPGKPDPITNQPLDEGFGAIVQSCKDLRRLSLSGLLTDKVFLYIGEYAARLEMLSIAFAGDSDKGMIYVLNGCKNLRKLEIRDSPFGDAALLENVGKYETMRSLWMSSCDVTLGGCKALAAKMPRLNVEVINEWDESVEMEENPSDTHKVEKMYVYRTLTGPRNEAPDFVWTL >Ma06_p36000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35649281:35650609:1 gene:Ma06_g36000 transcript:Ma06_t36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMEDSGLCLLCDEEPFVLSPTPPPARASPSSSPPPSMVSSAAVEDRDVILHDLLRREHRYLPCHGYSHQLHRSSYLPAARTRAIQYIILVCNRLNLATGTAFNAVNYLDRFISVNCTVRWEDWMMELLSVACLSIASKMDEVSMPSLHDLQMEELGHSFDARTIQQMELTVLKTLDWRLACITAYTYVEVFTWGLSHVHSPYIPRMIDLLLCALVGTRTSHASRTIITVSAETVRLINLTMFWLVMGIPWPDSRFQRFHQSTVAASALQTLAGSEAAFSLLALPNPVQNMSEVNECQEMMDDLLRRTQFRKQTPTEENGVIP >Ma07_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2286629:2296782:-1 gene:Ma07_g02920 transcript:Ma07_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVTEVNRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKIHSLQLRKEYEEAKAKGDHNFDRELEDMIERLIVECERKIQRALKRLEDEDAKAAIAISVSKVTQTPEAIELSKQIKEKLKEADVFDFEGKTDSKIRVLEVVEELKAQRADKQSVLLLDAFNKDRASLPQPIQNPSPLATLPVLNPPDPHTQEMINEKLKKAEDLGEMGMIDEAQKALEEAEALKKLGARQEPVLESSKYSAADVRITDQKLRVCDICGAFLSVYDNDRRLADHFGGKLHLGYMQIREKLAELQEERNKKRKIDRSEDDRRSKERSRDHDRAGSRDRDIDREVRGDNRDRGREHDRRNRDHDRHYDRDHRDRDRDTDRSRNYDSRSRRRSRSRSRDRGRDYDRHRRHDRY >Ma10_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28622143:28625064:-1 gene:Ma10_g17110 transcript:Ma10_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIAEDVSVHIDDMVSKEEEQEREDGEGVEEAQQTVTKNLNRMDSLEMEAGKISVMRGTSKVLSTAALMQLAFQSIGVVYGDIGTSPLYVFASTFSDRVPSKHDIVGALSLIIYSLTLLPLIKYVFIVLRANDNGEGGTFAMYSLICRHAKVSAIPNQQAEDDELSACTAKFPSKNPKRAKKIKEALENSSWAKTILLSLTLFGTCMVVGDGILTPCISVLSAVDGIKKMDAALSKDVVALISVAVLVLLFSVQRFGTDKVGYTFAPAILFWCLFIGIIGISNLIRHDSTVVRAFNPIYISSYLKRNPKEAWISLGGVVLCITGTEAMFADLGHFSVRAIQVAFTGLVYPCLLCAYLGQAAYLSNFPDHVADAFYKSTPESVYWPMFVIAVLASIIASQAMISATFAIVKQSMALGCFPRVRVVHTSHKHGGQVYIPEINFLLMFACFMVTASFRETSKIGNAYGIAVVAVMIITSSLLILIMLTIWQTSVVLIAMFVLVVFSFELLYFSSVLYKFSEGGYLPLTLAALLFFVMYVWHYVQSKRHAFEVEQKVSAEYLSGLGSNLGVARVPGVGLLYTELTQGIPAIFRHFLTNLPAMHSVLVFVSVKYLPVSNVPAEERFLLRRVGPEDHGMYRCIVRYGYRDRRVGCEVFECLLMAQLKSFIRVEAMEGGCREKVEAEEEIRFLERSCAAGVVYLLGHSEVRASKNSSLVKKVVVDYVYDFLRRNFRQGLVDLQIPNKNLMQVGMNYTV >Ma09_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1021294:1022537:1 gene:Ma09_g01400 transcript:Ma09_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFPQKPLFAAAAVAALVAGALLVSSFLIGADRGLLCSISPVFSRISFGGGGGSDTTGQLAEALLYYATTSAVPQQSRAEIRLTLDVLRRRGPCNFLVFGLGRDSRMWAAMNAGGTTLFLEEDPEWYELVLKTSPMLRAHHVKYRTRLDEADKLLRGYRKEAACRPGAADGISGLQRNGGCPLALVGLPREVYEREWDVVMIDAPKGYFPSAPGRMAAIYSATVMARGRRGEGDTDVFLHDVDRKVEKAYALEFLCKKQRVGATGRLWHFRIPPVKANETTTAETFC >Ma08_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10860849:10867883:1 gene:Ma08_g13740 transcript:Ma08_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAHVFTPPKAPITSPAAVSSSKTPRLRYHRNYPPHRLLSFPSPSASDSAAGPIVTDSHPLGTAAATSTALSNWVEFARRVSGEWDGFGVEFTSEGAPVELPENVVPGAFREWGVQVFDWQTQCPTLADEHGGLLLTYKMIKLLPTVGCEADAATRYSVEERVVGGEDNQVSAFAYDSSGSFIAVCPMESPGGQKVLELEHCLVDPRDKEARIRVIQVVLVEEGAMWLEKIRVFSEHWDGPFRNGELLGGCAIRDSAFATTAVVDVSEVVGVWQGTSLAIARFQSEHKDIFHELVVDLPFKTIREVHGLVALPKQLWSLSGENKDGETRWEVGWLLDHGNAITSRCVFLNNGMLKEIAIGREAAVSKEI >Ma08_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9266454:9308862:-1 gene:Ma08_g12310 transcript:Ma08_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSIPITGDDIASEEKLWDLYVRWQSHHGVSRSVDEKRIRFDVFKENANYVFASNKKAKPYKLSLNKFGDTAREEFKRTYAGTRIRRRSTLRGSANLKGYFLYKNVTNVTPTVDWRQKGAVTAIKDQGKCGSCWAFSTVVSVEGINQINTNELISLSEQQLVDCDTNTNKGCDGGMMDDAFDFIERNGGITTEENYPYVARQEQCKVKRERSPAVVIDGYEDVPVNDEDALLRAVANQPVSVAIEASGQDFQFYSEAVFTGSCGTELDHGVANVGYGTSKDGMKYWIVKNSWGPEWGEEGYTRVQKGSRNNNIGTFLKTDFDLKTLRRSDNVFTLRVGIGEQIGGLRMVLERSAPMPRQVMPPFPKPDSLSLDSVDDSFRAQLFMVNCHLYEFQHEFHKSWGEAREIQDKPVPLNFRLPALETYDDSSDPMKHVVAFRAQMALYDTFDALMCKAFPTTFRRPTRMWFSRLQLTSILSFDQLAREFK >Ma02_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23869343:23870392:1 gene:Ma02_g16570 transcript:Ma02_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDGNDLKKGSWTSEEDQKLIQFIQNHGHGSWKTLPKLAGLNRCCKSCRLRWTNYLRPDIKRGKLSPEEENTVLHLHSIHGNRWSTIAAHLPGRTDNDIKNFWNSLKKKKKKPICTSTDPMTNRTSTELFASLLPHLALASHKEIMERSSWDDYGGHLQAEAIKLAKLQFLDYLLQSATPVVTSGSSNSIIFSEMEPMELANTPMPWPASLPSPCPSPLFGSPAVHQNLPGTSRSFEHPLINDRKGEDMMTSMVSSPTLPPLHDASWGNEAVCTTCSHGVAPSIWPDDPLYD >Ma08_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:837054:846001:1 gene:Ma08_g00900 transcript:Ma08_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/TrEMBL;Acc:F4JS69] MEKYRLIKEIGDGTCGNVYKALNRENSEIVAIKKMKRKFYFWEECMNLREAKSLRKLNHPNIVNLKEIIRENHELFFIFEHMDHNLYQVMRDRRTPFSEWEIRSLMSQVLQGLAYMHKNGYFHRDLKPENLLVTRDLIKIADFGLAREVMSEPPYTEYVSTRWYRAPEVLLKSSSYTPAIDMWAVGAILAELFMLSPLFPGESEIDQIYRICTILGTPDNSVWPEGMVLERSVYFNFFQFRPANLSDIIPNASLEAIDLILQLCSWDPQRRPTAEQSLQHPFFHVGTWVPCPLQDSSQLKKKQTGDKPKLELNLWDFGTESDDCFLGLTLAVKPSIPDREVGNHVLQQPSEDFLFYTRYQDHSSQSVFWPLLSSDHNINDVRNISSLPSPYMLSSQASLMTVGVPESSAFAFPAVQPNLLDNSAFGPMMSLSSPIQHGRLFE >Ma08_p00900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:837054:846001:1 gene:Ma08_g00900 transcript:Ma08_t00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/TrEMBL;Acc:F4JS69] MEKYRLIKEIGDGTCGNVYKALNRENSEIVAIKKMKRKFYFWEECMNLREAKSLRKLNHPNIVNLKEIIRENHELFFIFEHMDHNLYQVMRDRRTPFSEWEIRSLMSQVLQGLAYMHKNGYFHRDLKPENLLVTRDLIKIADFGLAREVMSEPPYTEYVSTRWYRAPEVLLKSSSYTPAIDMWAVGAILAELFMLSPLFPGESEIDQIYRICTILGTPDNSVWPEGMVLERSVYFNFFQFRPANLSDIIPNASLEAIDLILQLCSWDPQRRPTAEQSLQHPFFHVGTWVPCPLQDSSQLKKKQTGDKPKLELNLWDFGTESDDCFLGLTLAVKPSIPDREVGNHVLQQPSEDFLFYTRYQDHSSQSVFWPLLSSDHNINDVRNISSLPSPYMLSSQASLMTVGVPESSAFAFPAVQPNLLDNSAFGPMMSLSSPIQHGRLFE >Ma08_p00900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:837054:846001:1 gene:Ma08_g00900 transcript:Ma08_t00900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/TrEMBL;Acc:F4JS69] MEKYRLIKEIGDGTCGNVYKALNRENSEIVAIKKMKRKFYFWEECMNLREAKSLRKLNHPNIVNLKEIIRENHELFFIFEHMDHNLYQVMRDRRTPFSEWEIRSLMSQVLQGLAYMHKNGYFHRDLKPENLLVTRDLIKIADFGLAREVMSEPPYTEYVSTRWYRAPEVLLKSSSYTPAIDMWAVGAILAELFMLSPLFPGESEIDQIYRICTILGTPDNSVWPEGMVLERSVYFNFFQFRPANLSDIIPNASLEAIDLILQLCSWDPQRRPTAEQSLQHPFFHVGTWVPCPLQDSSQLKKKQTGDKPKLELNLWDFGTESDDCFLGLTLAVKPSIPDREVGNHVLQQPSEDFLFYTRYQDHSSQSDHNINDVRNISSLPSPYMLSSQASLMTVGVPESSAFAFPAVQPNLLDNSAFGPMMSLSSPIQHGRLFE >Ma01_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10443939:10447816:-1 gene:Ma01_g14300 transcript:Ma01_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRMSSSSSSSPSLLHLALCCVTTLFALHFSAAAKPPKVGFGYRLVSIEESTNGGGLIGYLQVKQSTSTYGPDIPHLRLFVKHETQDRLRVHITDAEEQRWEVPYDLLPRDQPPPPGAKASSSPFTASEYAGGDLIFSFTSDPFTFAVRRKSNGQTLFDSSYGTMVFKDQYLEISTHLPKTAALYGLGENTQPGGIRLRPNDPYTLYTTDISAINLNTDLYGSHPVYMDLRNEGGEATAHAVLLLNSNGMDVFYTGSSLTYKVIGGVLDFFFFAGPSPLAVVDQYTTLIGRPAPMPYWALGFHQCRWGYQNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYHRPKLLEFLDRIHSRGMKYIVLIDPGIAVNSSYGVFQRGMAKNVFIKYEGKPYLAQVWPGPVYFPDYLNPDGVSWWIDEIARFHEMVPVDGLWIDMNEASNFCTGKCELPTNHSCPIPGSTTPWVCCLDCKNLTDTRWDDPPYKINASGSRAPLGFKTIATSATHYNGILEYNAHSLYGFSQAIATHKALQGLQGKRPFILSRSTFVGSGAYAAHWTGDNKGTWDDLRYSISTMLNFGLFGMPMVGSDICGFYPAPTEELCNRWIELGAFYPFSRDHANFASPRQELYQWASVAESARNALGLRYKLLPYLYTLNYEAHTTGAPMARPVFFSFPNFTSSYGLSTQFLLGASVMVSPVLKKAATSVKAMFPPGTWYSLFDMTKAVVSQDDRFVTLDAPLNAVNAHVYQNTILPLQRGGTNSKEARTTPFTLVVAFPFGATQGDAKGSVYVDDDERPEMKLAEGEASFIEFYATVSGSTVKVWSEVEMGAFSLEKGLLIEKVCVLGLQGNGQGLVVEVDGEPWADAAGAHFTESHAVMHQAKLEGGGSKRTSMIVEVGGLSLPLGKTFSMTWKMGIKE >Ma09_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17936540:17940705:1 gene:Ma09_g18470 transcript:Ma09_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSAAPLLSRFLLRSRRFSSSTDGSIGASVSGSVSLKNAAAAVLFDHLPDAIPDTDPVVYRFQEFSESLNPSLPSADSTLSRWRHQYCRRHRDEISGKADSRNYLFGDIYLKTWSNNEFRLMSMRKLNSTSISQFISTCACRFMSTTKGRSMRSKVEKRMRRETGKTLREIRRAKKIRKKLMTEEERIIYNLRRAKKKVALLLQKLKKYELPELPTPRHDPEVLTPEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGIVVNIHNVKTIIMFRGRNYRQPKNLIPVNTLTKRKALFKARFEQALESQKLNIKKIEQQLRRKGVNPEDPISMASIQRVAATFFRAIDEKQGTPYVFRGNRPSPSEIDDPQDEPADVPSEDSDQEELDRFIQEIEDAADKEWAEEEAAEKEESSRIRYWSQDGMGMPSRASDWSSRSSEENRGHGRGSSGASGGLRTTETRKWNSDPEISGDSEGDEWEYDDEVDDAVADIQSDENSEDETLERLPNRRQRNNRVGQEEAFRTRGNERNKWIENEDTRPPNDMHEDSEDGIWESEDEDTHDLLETKGTAYDYLSSSNEDSDSNSGNGRRMGELKKKEIDESWDSD >mito10_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000021.1:64048:64476:1 gene:mito10_g00020 transcript:mito10_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPNPSIPSKQPTDPSTSVSDLLLPGWLLGGGDGNSLFVHVV >Ma10_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27144346:27147545:1 gene:Ma10_g14820 transcript:Ma10_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLARRLMSNVPESTVYGGPKPQSPGRRVTLTHLRQKHRRGEPITVVTAYDYPSAAHVDSSGVDVLLVGDSAAMVIHGHDTTLPISLDDMLAHCRAVARGASRPLLVGDLPFGSYESSASEAVNSAVRMLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVASAVKVVETALALQEAGCFSIVLECVPAPVAAAATAALQIPTIGIGAGPFCSGQVLVYHDLLGMFQHPHHAKVTPKFCKQYAHVGDVISKALSQYKEEVENRSFPSAVYTPYKISEADVDGFSNELQKMGLNEAASAAAAAAAGKNEETAG >Ma10_p14820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27144346:27146876:1 gene:Ma10_g14820 transcript:Ma10_t14820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLARRLMSNVPESTVYGGPKPQSPGRRVTLTHLRQKHRRGEPITVVTAYDYPSAAHVDSSGVDVLLVGDSAAMVIHGHDTTLPISLDDMLAHCRAVARGASRPLLVGDLPFGSYESSASEAVNSAVRMLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVASAVKVVETALALQEAGCFSIVLECVPAPVAAAATAALQIPTIGIGAGPFCSGQGYNEEENVLELKIICERDPSTRCS >Ma01_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21237990:21243877:-1 gene:Ma01_g21510 transcript:Ma01_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRVASSESGRSFGRTKSPLQTVLGAFLSTPSPPPFVFPGSSSSPLPSPPSFDRESAGMANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIARHWKTNEAEAVETAKEWTRLYATGA >Ma05_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:765586:768245:-1 gene:Ma05_g01310 transcript:Ma05_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPSATPSPRPVIGSAAMEGHEYYHDWEILVGPEIAGEDLKLLEASDDGSMDGGIKSDYFALDARTPHHEVEQQVDSDNPSWVDPESDSGFLDRPKGEVGFPGVEFPIKDLGEFWSDATSDEQRSHLGSEKEGLGDDGGLEFGERAEGDVVGEKEVGLEDTWEIEGRETGCEKPGEEGCGEQGEMTDDGNIGSENVLASGQEKRRVVWWKLPFELLKFCAFRAKPVWSISIVAAILGFLMLRKRFYRIRQKPTSIPLNLCLDEKKASQLKIHAARLNKAFTVVRRVPIIRASLPAAGMTRRSMVGLQ >Ma10_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28412792:28424014:-1 gene:Ma10_g16860 transcript:Ma10_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHSSSLFHHLLVFFLLLAAATAFPVTSEFELGIQKRIHFRVYFHETFIGPDNTTVTVVNMSLPYTFGNIEIYDTVLRVGPDESSTFLGRVQGAGFHVSMREEVMLVPLVLVFTAGKFVNSTLTVIGRLDASGKSERAIVGGTGVFQYAWGKLVTETVTASVAKLVVAYDVYVVYYDDLHLSAIA >Ma10_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22824635:22830599:1 gene:Ma10_g08470 transcript:Ma10_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRAETPINENEIRITTQGRIRNYITYATSLLQEKGSNEIVLKAMGRAINKAIVIVELIKRRIGGLHQIVSIGSTDITDTWEPLEEGLLPLETTRHVSVISITLSKKELDASAVGYQPPLPKDQVKPLAEFDYEGDSPTGQGRGRGGRGSGRARGKYDENGTTDYNDGSWDNNGRGYGRGGYGRGRGLGFRGRGRGGYGGRPDYQLENNGYDDEVPVPVRGRGRGRGRGRGRGQRGRGRESTAVGA >Ma10_p08470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22824635:22830599:1 gene:Ma10_g08470 transcript:Ma10_t08470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRAETPINENEIRITTQGRIRNYITYATSLLQEKGSNEIVLKAMGRAINKAIVIVELIKRRIGGLHQIVSIGSTDITDTWEPLEEGLLPLETTRHVSVISITLSKKELDASAVGYQPPLPKDQVKPLAEFDYEGEDSPTGQGRGRGGRGSGRARGKYDENGTTDYNDGSWDNNGRGYGRGGYGRGRGLGFRGRGRGGYGGRPDYQLENNGYDDEVPVPVRGRGRGRGRGRGRGQRGRGRESTAVGA >Ma02_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25876666:25880009:-1 gene:Ma02_g19670 transcript:Ma02_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAIAVAKEALKPSRSDEEDERASLFSSYIGLSFALFLGLLPKSSAAYVSSLQSRNRILAMKLFEAEDQLRQLRSRRKEDAKANARVTEIYAGHRTRWQQEEKRLLQRIDAADEEAASLRARIKETERAEAELRAAVERLEKEVAERDEMLDFMARKVEGDGFSEKGVMGADGGLEPMDHGELDREFSGVRVSGALEPVLEERFLARNGEFEGMADLFAHQNNYVKEFLMPPVDMKLWMDRSAGWQDMQYDSLESTHNMKHLVARRESPWKVDGESSGVSSKLKLLEQELVNLEKLGKEDPSKILSLMRKQSKRYQSLAGKIDDLCRKMRLNDPCDPTLSPEFRTQRQTEFLLEAFRLQHTASETRQKLSTLQAEATKSYLGDDLTVKDKLNTRRSLDSIRNNFKEIQRNLEIWLARIMGDLEGILARDGASRVRDYYSSPFPFGR >Ma04_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5929471:5931703:-1 gene:Ma04_g08240 transcript:Ma04_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVPSRCTEKHTLAHGDLILLASETSFTVTEIAALYELFKKLSCLIIKDRLIHREEFQLALFRNSNKQNVFADRIFDLFDANHNGVIDFGEFVRSLSIFHPNAPKEEKIAFAFRLYDLRSTGYIEREELREMVVALLDESDLSLSDDIIETIVDKTFAQADSKSDGKIDTDEWKDFVQLNPSLLRNMTLPYLKDLTMSFPSFVMNSETDDEGMTSNT >Ma04_p08240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5929471:5931688:-1 gene:Ma04_g08240 transcript:Ma04_t08240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILPFRHAVPAQPATRLLKTGAFSHPGFCWLALLMGGCVPSRCTEKHTLAHGDLILLASETSFTVTEIAALYELFKKLSCLIIKDRLIHREEFQLALFRNSNKQNVFADRIFDLFDANHNGVIDFGEFVRSLSIFHPNAPKEEKIAFAFRLYDLRSTGYIEREELREMVVALLDESDLSLSDDIIETIVDKTFAQADSKSDGKIDTDEWKDFVQLNPSLLRNMTLPYLKDLTMSFPSFVMNSETDDEGMTSNT >Ma04_p08240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5929471:5931703:-1 gene:Ma04_g08240 transcript:Ma04_t08240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILPFRHAVPAQPATRLLKTGAFSHPGFCWLALLMGGCVPSRCTEKHTLAHGDLILLASETSFTVTEIAALYELFKKLSCLIIKDRLIHREEFQLALFRNSNKQNVFADRIFDLFDANHNGVIDFGEFVRSLSIFHPNAPKEEKIAFAFRLYDLRSTGYIEREELREMVVALLDESDLSLSDDIIETIVDKTFAQADSKSDGKIDTDEWKDFVQLNPSLLRNMTLPYLKDLTMSFPSFVMNSETDDEGMTSNT >Ma04_p08240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5929471:5931725:-1 gene:Ma04_g08240 transcript:Ma04_t08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPFRHAVPAQPATRLLKTGAFSHPGFCWLALLMGGCVPSRCTEKHTLAHGDLILLASETSFTVTEIAALYELFKKLSCLIIKDRLIHREEFQLALFRNSNKQNVFADRIFDLFDANHNGVIDFGEFVRSLSIFHPNAPKEEKIAFAFRLYDLRSTGYIEREELREMVVALLDESDLSLSDDIIETIVDKTFAQADSKSDGKIDTDEWKDFVQLNPSLLRNMTLPYLKDLTMSFPSFVMNSETDDEGMTSNT >Ma00_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3863771:3915302:1 gene:Ma00_g01090 transcript:Ma00_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSAQQRPALDHPFLSSRSPSACRSPLRISMAAETVGAFPTARTVTISYSDIKEKSRDLSMNIEEGFGPNGLGIISVSDVPGFSLLRQNLLHLAPSVARLPDDVKKELEDPESRYNFGWSHGKEKLESGKVDFFKGSFYANPILDIPTTDFAAMQRYPSYCRPNKWPATSLPELEEAFKALGMLMMEVGLMLAYHCDQYVSREMKDHENGSLEQILKHSRCHKGRLLYYFPKELSHHGDNNNSISSWCGWHTDHGSLTGLTCGMFTRNGVKISSPDSAAGLYVKTRNDQIVKVVFGEDEIAYQIGETTEILGGGYLCATPHCVQAPNSEKASGVERSTFALFMQPDWDEKLMFSGAVPYHQELILPHGTLTFGEFSERLLNKYYHEVS >Ma10_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16938883:16939272:-1 gene:Ma10_g05610 transcript:Ma10_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVMKTLESLKIYFLDKREIIIRTDCQAIISFFNKSAQNKPSRVRWMAFVDYITGSGVEIKFEHIEGASNILVDSLSRLINILVSGWPNEQVILLLEATQEVQINPNPKATTCLNRLLKTLSNSTNRN >Ma03_p33130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34609725:34614009:1 gene:Ma03_g33130 transcript:Ma03_t33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRPENAAMTFDEVSLERSKGFVQALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKEYAVRALVNAVDHLGTVAYKLTDLFEQQSSDVSTIELKIYCLNQQILTCQTFTDKEGLRQQQLFGTRRRHRKHYILPNVVGKRVESSSKLQIANNLTRAQSKPLPHASGNLAPKTLSWHLSSESNSAPSSEPHTAPRVEESKSFKVTSDSFHLLAEEPTAPLPLKSHLQAAKGHPTTDPASCTFGVKQDPVGGTKTLSGLKSFENSGRREICPPPARNRSILSAFFPRNKTLKPKRVLVS >Ma03_p33130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34609725:34614015:1 gene:Ma03_g33130 transcript:Ma03_t33130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRPENAAMTFDEVSLERSKGFVQALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKEYAVRALVNAVDHLGTVAYKLTDLFEQQSSDVSTIELKIYCLNQQILTCQTFTDKEGLRQQQLFGTRRRHRKHYILPNVVGKRVESSSKLQIANNLTRAQSKPLPHASGNLAPKTLSWHLSSESNSAPSSEPHTAPRVEESKSFKVTSDSFHLLEAEEPTAPLPLKSHLQAAKGHPTTDPASCTFGVKDPVGGTKTLSGLKSFENSGRREICPPPARNRSILSAFFPRNKTLKPKRVLVS >Ma09_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31949588:31950571:1 gene:Ma09_g21160 transcript:Ma09_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQRELADQSKHCRFHEQSGHDTEDCRELKRQIEELVRGGHLSRYIRRNMEPSPRPEGPAECRIDVITGGPAVGGTSMSGRKAYARSTRTDVPQRSPDPKVAFLPEDVKRPDHDNALVIMARIANAQVRRIMIDTGSSADMLYLDAFLKLGLTKESLKPICSALTGFTGDSVSPLGTVTLPLTLGAPPRTKTMMSTFLVVDLPTTYNAILGRPTLNKIRAVVSNYHQTVKFPTHAGTGEVWGSPQESRRCYLTAVSLHKRAKTDQPLEDPREKKQSTPHPEPTSATCNVSLMEDRLDRTVQVRSELPGQEREQFVSFLQENVDVFA >Ma11_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1873586:1874107:-1 gene:Ma11_g02590 transcript:Ma11_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAEMLEEYTALVARVLEHLLRDAPFPRRMRFLMLRSLPFAAPPLPLPLPLPAARTR >Ma09_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9120342:9131117:1 gene:Ma09_g13520 transcript:Ma09_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGYKFDGKLSPREGHYFDYSRILLDPYAKAVISRGEYGVLGPGGECWPQMAGMIPVSNEEFDWEGDLPLQYPQKDLIIYEMHVRGFTKHDSSEIDSPGTYISAIKKLDHLKELGINCIELMPCHEFNELEYFSYNSVLGENKLNFWGYSTVSYFSPMIRYSSAGLANCGRDAINEFKTLIREAHKRGIEVLLDVVFNHTAEGNENGPMISFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVRQFIVDCLRYWVTEMHVDGFRFDLASIMTRSCSLWDPVNVYGNPIEGDMVTTGTPVGGPPVIEMISNDPILCKVKLIAEAWDAGGLYQVGSFPHSGIWSEWNGQYRDIVRQFIKGTDGFAGAFAQCLCGSPNLYQDGGRKPWHSINFICAHDGFTLADLVTYNNKSNLSNGEDNRDGENHNLSWNCGEEGEFASITVRRLRKRQMRNFFLCLMVSQGVPMIYMGDEYGHTKGGNNNTYCHDNYFNYFRWDRKEESSSDFYRFCRLMIKFRYECESLGLDEFPTAERLQWHGHQPKTPDWSETSRFVAFTMSDSLKGEIYVAFNTSHLPVTVTLPERSGYRWEPLVDTSKPVPYDFLSDDVPDRATAVKLYSHFLDANLYPMLSYSSVILILQPDA >Ma09_p13520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9119916:9131117:1 gene:Ma09_g13520 transcript:Ma09_t13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRTTSRFSFASNCGLFPVKCGNLRRYARGGGDLVGNSVTLRVSGGSRRVKSLVSNASARGGDEVETVVIERPGLAPFQVLAGSPAPLGATACDGGVNFAIYSSGATAAALCLFTLSDLTANRVTEEVVLDPLINRTGNVWHVFLQGELDDMVYGYKFDGKLSPREGHYFDYSRILLDPYAKAVISRGEYGVLGPGGECWPQMAGMIPVSNEEFDWEGDLPLQYPQKDLIIYEMHVRGFTKHDSSEIDSPGTYISAIKKLDHLKELGINCIELMPCHEFNELEYFSYNSVLGENKLNFWGYSTVSYFSPMIRYSSAGLANCGRDAINEFKTLIREAHKRGIEVLLDVVFNHTAEGNENGPMISFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVRQFIVDCLRYWVTEMHVDGFRFDLASIMTRSCSLWDPVNVYGNPIEGDMVTTGTPVGGPPVIEMISNDPILCKVKLIAEAWDAGGLYQVGSFPHSGIWSEWNGQYRDIVRQFIKGTDGFAGAFAQCLCGSPNLYQDGGRKPWHSINFICAHDGFTLADLVTYNNKSNLSNGEDNRDGENHNLSWNCGEEGEFASITVRRLRKRQMRNFFLCLMVSQGVPMIYMGDEYGHTKGGNNNTYCHDNYFNYFRWDRKEESSSDFYRFCRLMIKFRYECESLGLDEFPTAERLQWHGHQPKTPDWSETSRFVAFTMSDSLKGEIYVAFNTSHLPVTVTLPERSGYRWEPLVDTSKPVPYDFLSDDVPDRATAVKLYSHFLDANLYPMLSYSSVILILQPDA >Ma04_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4870353:4875391:-1 gene:Ma04_g06690 transcript:Ma04_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQESLNRFKQQQEKCQSTLSSIAARAAPSKAAQPYKATPASAPPKVIASVKFSNDTERLQHINAIRKSPVGAQLKRVIDLLFETRQAFTPEDINEACYVDVNSNKALFDSLKKNTKVKYDGKRFSYKSKYDIKGKDQLLSLVRKYPEGLQVVEVKDSYPSVLEDLQALKAAGQVWLLSNMDSQEDIVYPNDPKVMIKVDDDLKQLFRGIELPRDMVDIEKELQKNGMKPATNTAKRRAMAQVHGVTSKPKPKKKREITRRTKLTNAHLPELFQNLNVPDS >Ma04_p06690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4870353:4875391:-1 gene:Ma04_g06690 transcript:Ma04_t06690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQESLNRFKQQQEKCQSTLSSIAARAAPSKAAQPYKATPASAPPKVIASVKFSNDTERLQHINAIRKSPVGAQLKRVIDLLFETRQAFTPEDINEACYVDVNSNKALFDSLKKNTKVKYDGKRFSYKSKYDIKGKDQLLSLVRKYPEGLQVVEVKDSYPSVLEDLQALKAAGQVWLLSNMDSQEDIVYPNDPKVMIKVDDDLKQLFRGIELPRDMVDIEKELQKNGMKPATNTAKRRAMAQVHGVTSKPKPKKKREITRRTKLTNAHLPELFQNLNVPDS >Ma04_p06690.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4870353:4875391:-1 gene:Ma04_g06690 transcript:Ma04_t06690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQESLNRFKQQQEKCQSTLSSIAARAAPSKAAQPYKATPASAPPKVIASVKFSNDTERLQHINAIRKSPVGAQLKRVIDLLFETRQAFTPEDINEACYVDVNSNKALFDSLKKNTKVKYDGKRFSYKSKYDIKGKDQLLSLVRKYPEGLQVVEVKDSYPSVLEDLQALKAAGQVWLLSNMDSQEDIVYPNDPKVMIKVDDDLKQLFRGIELPRDMVDIEKELQKNGMKPATNTAKRRAMAQVHGVTSKPKPKKKREITRRTKLTNAHLPELFQNLNVPDS >Ma04_p06690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4870353:4875391:-1 gene:Ma04_g06690 transcript:Ma04_t06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQESLNRFKQQQEKCQSTLSSIAARAAPSKAAQPYKATPASAPPKVIASVKFSNDTERLQHINAIRKSPVGAQLKRVIDLLFETRQAFTPEDINEACYVDVNSNKALFDSLKKNTKVKYDGKRFSYKSKYDIKGKDQLLSLVRKYPEGLQVVEVKDSYPSVLEDLQALKAAGQVWLLSNMDSQEDIVYPNDPKVMIKVDDDLKQLFRGIELPRDMVDIEKELQKNGMKPATNTAKRRAMAQVHGVTSKPKPKKKREITRRTKLTNAHLPELFQNLNVPDS >Ma09_p09880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6756874:6757742:1 gene:Ma09_g09880 transcript:Ma09_t09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREREREREKEKSRTRQSKDRSWNRQNEHFRSI >Ma06_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:880087:889722:-1 gene:Ma06_g01080 transcript:Ma06_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSQVEAIVSSIVFVHPHEIPALIHSASCFFFILTAYFVILPLRDEGAISLGLDNLPGLFVGSLFLTLLAAPISTLVFSLPNLPKARALVWIHRFFSVSLLVFFILWHASSTGNLSPASKASASNSTLFEDEKLTPSQEGSVRPSGWSNHGWFYVIVRISLFLWVALLNLVTISSTWARVIDVMDTESGSRLFGFIGAGATFGQLFGSLFAASMAWLGPFLLLFSALMMELAAQTSKGINRDARSDSINLSTIRENVRDQHTISAEETSALVKTSPKSVTHMVKTQPCTMLEGLWLIMSSTYLLYVSLFLWLSAVVSSFFYFQKVTIIAMTAVSPIARRRLFALINSFIAVFILAGQLTLTGRILTVAGITIALCSAPFVATSNMVVLAVWPTWITVAITETIRKVTTYVVTRPGRELLFTVVSQDEKYKAKIFIDVIVQRLGDATAAGVYKLLFGSLNNRTSMVSLYALPLCFLWLATAFHLGRRQTDLAKLQAASIS >Ma06_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19488229:19498381:1 gene:Ma06_g22740 transcript:Ma06_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHPGPGSASGSASSSSSGFHFLNSPFGDTTLTKVFVGGLAWETKSETLRRHFEQFGEILEAVVITDKNTGRSKGYGFVTFRQSESAKRACVDPSPVIDGRRANCNLASLGRPRPALQFGVRPRSAGPNIGGVLVPRGPYVGSPAHQSSVPFGYQQGFPYPPYSYNPYGPEYIFPQNIYNPYMGHHYFQVYGFPGAVNTAVYPFGQFGQPMSGGPGYMAVQGYTMPSNQIVQLSGPNVNGMASAPRPVIQAPYPAGLATPVPAQPHFIVPAHSPQFVQGSGSDQTTV >Ma08_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6060421:6066348:-1 gene:Ma08_g08570 transcript:Ma08_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQDVKLFNRWSFDDVEVSDISLADYLAVTPPKHATYLPHTAGRYSAKRFRKSQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHTMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Ma06_p31640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32711237:32719090:1 gene:Ma06_g31640 transcript:Ma06_t31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPEVLWAQRSDKVYLTVSLPDARDVSVESEPQGAFRFSAVGAQGEHFDFSLELYDSIVPEASKTNIGMRNIICSIKKEKKGWWKRLQKSEEKPAPYIKVDWNKWCDEDDEESDIADSLASDDENNEINGMNDESSDDDGLLYLPDLEKARRN >Ma07_p27580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33908461:33909151:-1 gene:Ma07_g27580 transcript:Ma07_t27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGASCPGSIRLVASVLDLSPQSFWVSISS >Ma09_p26510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37764060:37764404:1 gene:Ma09_g26510 transcript:Ma09_t26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDYGTKLCKLKGEELAAAKEGFIGILKLLEGELGDKKYFGGDAFGFVDVTLVPFVSWFYTYETCAGFSMEELAPKLVAWGKRCMERESVAKTLSDPQMVYEFVDRLKKRIGVE >Ma06_p28900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30455754:30456500:-1 gene:Ma06_g28900 transcript:Ma06_t28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAESTFLSLPALYLALLLLSVPLARAQGQCCSQKTWCVAKPSSDEATLTANLNYACSQVDCSILQRGCACFYPDNLISHASIAMNLYYQSRGRNYWNCYFKNSALVVTTDPSFGNCVYEYI >Ma06_p28900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30455754:30456500:-1 gene:Ma06_g28900 transcript:Ma06_t28900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAESTFLSLPALYLALLLLSVPLARAQGQKTWCVAKPSSDEATLTANLNYACSQVDCSILQRGCACFYPDNLISHASIAMNLYYQSRGRNYWNCYFKNSALVVTTDPSFGNCVYEYI >Ma10_p29270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35923112:35925329:-1 gene:Ma10_g29270 transcript:Ma10_t29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKARVYADPSRDLDEFERLPDSIVLLIFNKVADVRSLGRCSAVSKRFNSLVFLVHDVYLKFDHVVTIDGDCDDPLSPSSPRHRRLFSNFVKLILSTLLKPFHNLHSTNGGNKPIVPQLSHHSPAQILKNFAHVRNLRIELLAGEVATEEGVILKWRAEFGSTIQNCVIFGGTRVDRKPVFSEHESPVEDSGSIPDSFYTNGGLKLRVVWTINSLIAASTRHYFLQPIIKDHPTLKSLVLTDADGQGTLNMGVGQLKEFREKPPVASAASNRTQVPASNMKLKYSPYLDLPGGMALQGATLVTIKPSSDGSSGTNTNTTESDAFIFGAFEGPFKAAAKSLMKRRTYLLEMNGF >Ma07_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3077040:3079459:1 gene:Ma07_g04090 transcript:Ma07_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSILAEQLKEYQIPFRSDWPTASSSSSCRVDVIFAVWEILMFACLVFAGVSLYFRYMRLAFLLICVTIIILVCMRITNKVRQNRKSTRRMLLPLSM >Ma00_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29347941:29349475:1 gene:Ma00_g03450 transcript:Ma00_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQPRSTSQRIAHIFTTHKNRGSRDPYQELEGAYVSQICLAWEVLNWNYTNFWQKIAKGSDSESSSCTAWIAQQFQQFQVLLQRFIENEPYERGRRPQVFAWKRISSPKLLLVPEFRGCYTPDTEEDEGKEEMISSTEFLAILEDAIRTFMNFLKADTANPCQTLKAFFKTKPSSVDPNLLRLLKRTNKKNKTRLKDLSKRRRCLTKKKRKGEEDMEILMGLIDMKIVSRVLRMPEISQEQLRWCEEKMSKVKVWDGKIQRDSSPLFFFPVR >Ma01_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13799911:13810841:1 gene:Ma01_g18520 transcript:Ma01_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENMDENSWQEMLRRILPPGTPIPEAPANLDYSIAIEYDGPPVSYELPRVDPVDLIPTAEPVLGSHRLVNDDLPPVVDPITLPVSRIARCADPPQRSPQVSGSSESVDSVLQNGEFSDDSRSGSPVSAHSMPNGQPSQPVNEGRRASVVTFEEKSESKEIYEDVKGSPQYVAVTWNDKKKRVCYRCGKRKWESKEACLVCDARYCSYCVLRAMGSMPEGRKCVSCIGQPIDESKRSKLGKSSRTLSRLLNPLEVRQILKAEKECPANQLRPEQLIVNGLPLKPEEMAELLSCQIPPLKLKPGSYWYDKESGFWGKEGEKPDRIISSNLNFTGKLHAEASNGNTEVYINGREITRVERRVLKFANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWESTLTRLACSLFSLPVPHSVPTGSRNEAPYVARPVPDYLEQRVQKLLLLGPQGSGTSTIFKQVKFLYGNEFSQEELENIKLMIQSNMYKYLSILLEERERFEEEALARLERTGSHDQSSTEGQVESETSRPNQCIYSINGKLKQFSDWLLDIVAMGDLDAFFPAATREYAPLVDEMWKDPAIQETYKRRNELHYLPDIAEYFLSKAIEVSSNEYEPSEKDILYAEGVTQGNGLAFIEFSLDDHSPMSELYNDNPDVHSQPLTKYQLIRVSAEGMNESCKWVEMFEDVRLVIFCVALSDYDQLAAAANDSSKPLQNKMIQSKELFEATVRQPCFQDTPFVLVLNKYDLFEEKINRAPLSSCEWLKEFRPVRTHQSNQSLAHQAYYYIAMKFKDLYVSLTNRKLFVWQARARDRPTVDEAFKYMREVLKWMDENEENYFMEDSFYSTTELSSSPFIRQA >Ma01_p18520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13799911:13810841:1 gene:Ma01_g18520 transcript:Ma01_t18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENMDENSWQEMLRRILPPGTPIPEAPANLDYSIAIEYDGPPVSYELPRVDPVDLIPTAEPVLGSHRLVNDDLPPVVDPITLPVSRIARCADPPQRSPQVSGSSESVDSVLQNGEFSDDSRSGSPVSAHSMPNGQPSQPVNEGRRASVVTFEEKSESKEIYEDVKGSPQYVAVTWNDKKKRVCYRCGKRKWESKEACLVCDARYCSYCVLRAMGSMPEGRKCVSCIGQPIDESKRSKLGKSSRTLSRLLNPLEVRQILKAEKECPANQLRPEQLIVNGLPLKPEEMAELLSCQIPPLKLKPGSYWYDKESGFWGKEGEKPDRIISSNLNFTGKLHAEASNGNTEVYINGREITRVERRVLKFANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWESTLTRLACSLFSLPVPHSVPTGSRNEAPYVARPVPDYLEQRVQKLLLLGPQGSGTSTIFKQVKFLYGNEFSQEELENIKLMIQSNMYKYLSILLEERERFEEEALARLERTGSHDQSSTEGQVESETSRPNQCIYSINGKLKQFSDWLLDIVAMGDLDAFFPAATREYAPLVDEMWKDPAIQETYKRRNELHYLPDIAEYFLSKAIEVSSNEYEPSEKDILYAEGVTQGNGLAFIEFSLDDHSPMSELYNDNPDVHSQPLTKYQLIRVSAEGMNESCKWVEMFEDVRLVIFCVALSDYDQLAAAANDSSKPLQNKMIQSKELFEATVRQPCFQDTPFVLVLNKYDLFEEKINRAPLSSCEWLKEFRPVRTHQSNQSLAHQAYYYIAMKFKDLYVSLTNRKLFVWQARARDRPTVDEAFKYMREVLKWMDENEENYFMEDSFYSTTELSSSPFIRQA >Ma04_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6831035:6852914:1 gene:Ma04_g09650 transcript:Ma04_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRYIPSLFDPQTALLPLNRLSPLRRNPRVREALLQTRRRRRRRSKLSVRSALDDLVRNLVSAFPSPASLDLLLTPAIGLAAGAALYLSSLQKGTTDVDTVVGEWVIFTSPTPFNRSVLLRCPSVSFEDGGELLGDGVNEKLLTEERHYMNLDRGRMTVARKKGEEGPEKKMQYQRVCVATDDGGVISLDWPVNLELGMEHGLDTTVLIIPGTTEGSMDRNVRAFVFDVLQHGCFPIVMNPRGCASSPVTTPRLFTAADSDDVSTAVRFVSMARPWTTVMSVGWGYGANMLTKYLSEVGESTVLTAAVCIDNPFDLTEVTRSFPHHVSLDQKIRSGLIDILRANKELFQGKAKGFDVGRALSAKSVREFDGAISMVSHGYHTIEDFYSKISTRKLIGNLKIPVLFVQSDDGTVPTFSIPRGSIAENPFTSLLLSYYLPSTGITTKNSAILWCQRLAIEWISAVEFALLKGRHPLLKDVDVAINPSKGLAFIDDRTPEKSISSGVKGSHNSSGMYISHKSADRQTYGKLSQPSPVNGFLNDSVDIALKQNYAAVQGEADDNLDARSKLQQIKSADNEGTKNSRSIMDFKNESAANAINEGDDDGNKVLQTAAVVMNMLDITMPSALDDEQKKKVLSAVGRGENLLRALQGAVPEDVRGKLTSAVTEILQTQGTKLNLEGLNRIDWIPNVTSKVKSRIQDSIQEISIVNRGDNESNSGVDHEEKVQSDTAEFNSARNRMPESIKTSEQRTSQSPGMLEAGYEPSQDINLERSGYMVDETAAEQQKISQNQGISDRHNEDDKDLNNPCQVHNGEGKKSSHTEEQLVSISVSNTEEESLSSGMSASDHQIMPQESNELQKNEDKSPQDLRQNLHNSTKLNENSPQHSSSETPSISVTQALDALTGFDDSTQLAVNSVFGVLENMIDQLEKNSNEGDDDEMKRSKDEESQTLSPGLPTVNKDNYGRVEHRNNKSSEGSDVSLSSKHLDSHINQKDTQSKEVVENKLGENLVADILKSSAEDSIGKSEVSTLGFNSLKNNSVGKFGPVQNCPSHIAIKPYYWGSPFEAYLQKYLYSKFPRLKPSDLDSTTDLFLDPEKGQWKMLDQAGTFHNNLGEGLENQNISGYNESQHNSVKHSDTGNSIETSYVILNSEFPEFEQQLTGICDMKGGYNAKEEAAFCLVRDSLLDALKVEVGRRLSTSNLKEMERVLVDDMKQVADAIIQAVVLDNHLNLRLLTEVNHLTLVKFGTIEGERTVKIISSAVEETSQLKKILPVGVIVGSLLASLRKHFKIAASRDGDQIKDIEQAGNVQETLSVKDVNTKNELHDDEKVHAHDDSVSGNQNLAKVSYNDDGVMIGAVTAALGATALLAHHQQRDTYSNGQAMEVPSRETIEKGSQNEEHDRPEVATHEKIPTNIMCNLAEKAMSIAGPVVPMKDDGEVDQERLVTVLAELGQKGGLLRLVGKIALLWGGIRGAMSLTDRLISFLRISERPLLQRVIWFGCMTLVLWSPVVVPLLPMLVQSWTTRTSNKFAEYACVLGLYASSMILVVLWGKRIRGYDNPLEQYGLDFTAPRALGFMKGLVGGMAIVMSVHSINGLLGYASLACPSFSPLFRADPVLLLKSFVNMLLKGVRGIITAAGIALAEELLFRSWLLEEVAVDLGYYRAIVISGIAFSLIHGSLPSVPGFLLLSLALFGIKQRSQDKIYVPIGIRSGIMFTNFTLQTGGLIRYKLGTPPWLISTHPLHPFDGVVGLGVCVLLTILFFPKQPQQKICSKDNQQQNG >Ma10_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17701163:17704466:-1 gene:Ma10_g06190 transcript:Ma10_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAENVRYEEEFIKNPRGQRIFTCKWLPQNEEPKALIFLCHGYAMECSISMKDTGTRLAKAGYAVHGMDYEGHGKSSGLQGYIPRFKNLIDDCFEHFVAICERHENKKKARFLLGESMGGAVAILLHRRNPYYWNGAVLVAPMCKIADDMKPHPAMNIILRKLSNIIPTWRIVPTKDIIDIAFKCPEKRQEIRSNQYCYNGKPRLKTGVELLMVSLDIEQKLHQVSLPFLIVHGGEDIVIDPSVSKLLYETASSEDKTFKLYPGMWHALTSGEPPENIDLVFSDIVAWLDRRRAAGEGSLRSEMEHKSRHDKQHQ >Ma06_p32950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33739351:33740235:-1 gene:Ma06_g32950 transcript:Ma06_t32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLASPAAAMTALPQFSGLKVQPSMVMLPQLKQRGRGALGARCDFIGSPTNIIMVTSTSLMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDPAGFTLADTLACGVVGHIIGVGVVLGLKNLGAL >Ma06_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16335214:16337520:1 gene:Ma06_g21840 transcript:Ma06_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFHVSAFLVLLHLVTFLLTKLFTFLLERVAASTEQRNSQLISEDEIKPITTYECYSDVEELAASIFGREDGLLFFYNESITADTPLLEEAKDLDERHAFKVDESFVAESPLEEHEGLGLEHGDVVAIVDLKPSNHPPSIISNGPLKDEDTGGDALHSNGDEKQQELSKMEKLFIVDRTHSVDSKRLQLEEDTFGGSLTGASTSKSSMEWRSSTIFRDSETECPLSSSSRRSSSNWETYTLFRKYEEEMIFFDRISAQKLTETELFRSMKFQPRSTSQRIAHIFTTHKNRGSRDPYQELEGAYVSQICLAWEALNWNYTNFWQKIAKGSDSESSSCTAWIAQQFQQFQVLLQRFIENEPYERGRRPQVFAWKRISSPKLLLVPEFRGCYTPDTGADEGTEEMISSTEFLAILEDAIRTFMNFLKADTANPCQMLKAFFKTKPSSVDPNLLRLLKRTNKKNKTRLKDLSKRRRCLTKKKRKGEEDMEILMGLIDMKIVSRVLRMPEISQEQLRWCEEKMSKVKVLDGKIQRDSSPLFFFPVR >Ma06_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10026235:10028697:1 gene:Ma06_g14630 transcript:Ma06_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDLRRWMRDAGVDLWALIDTAISVAAAEHRQELRARRDGIVQRLYAAGDAGRCRNCGSSGGGSVVPRREEAKGSSSSAEKRAAVGAASPPSPESMNRTAAAAEEEEQDDQRTYGRSIDEEQSKILGIKRFLDDPDQSEDSLVRLLQNLADMDITFRALKETDIGRHVNGLRKHPSSEVRRLVKQLVRKWKDLVDEWVTSNSACDTASPAIITDGDSPQQISGKNNQNGHHVPEFGYSPNPHAGYLSSERNSSESVEPKAKSAINPTKTNNTSTHAPSSASPAHKLKEHKDSLLDPERLASARKRLHENYQEAQNAKKQRTIQVMDIHQIPKPKIPRKGGSMWKH >Ma03_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23529791:23537827:1 gene:Ma03_g17930 transcript:Ma03_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRIVFTRPSSIPAKFATPRRSLPLQSFSPAVGRGLLARSSVLSSGFRSDGAAYISGVRTHVAAVEQAIIVEAQKVEAPVVIITGASRGIGKAIALTLGKAGCKVLVNYARSSKEAEEVSKEIEAFGGQAITFGGDVSKEADVETMIKTAVDAWGTVDILVNNAGITRDTLLMRMKKTQWQEVIDLNLTGVFLCTQAAAKIMLKKKKGRIINIASVVGLVGNAGQANYSAAKAGVIGFTKTVAKEYASRNINVNAVAPGFIASDMTAKLGEDIEKKILEIIPLGRYGQPEEVAGLVEFLALNPASSYITGQVFTIDGGMVM >Ma05_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32025556:32026397:-1 gene:Ma05_g20390 transcript:Ma05_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPSFHHHCRSLLPSPSSPPSPHCSFPSPAAGVSKALPPSRSIPILLPIVFLFFLLLFFLSIFLFRDILHFTYAFFYGGSPSAVRRPTTSNAAAADSCLRGLDPDILASFPTLPYSLVRGLQEGKCGAECAVCLAEFAGGDVIRLLTVCCHAFHPPCIDSWLAAHATCPLCRCDLKAPPDEAAVMAVREAVDGGCDSHCISIDDDGEGAEGRLEKSAPERTSNPTNAGERREDADEGAAR >mito3_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1074639:1074716:-1 gene:mito3_g00180 transcript:mito3_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTVRILYINAERTTTLTTSTIT >Ma02_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21522910:21524546:1 gene:Ma02_g12930 transcript:Ma02_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPAAAAQLGALAACIVLFVPLGMAGWHLSRNKVLFFSGALFISLAVAVHLAPHLPSLSLLLLSSLSPSAPIISTTSSSSSDPITTPTFSSCLPFLHDIDWHQESPSSPPTWRWAPPPHAAACGFQRLPRADASDLLNGSWILVAGDSQARLLALALLRLLLDPVALPPVEADLFRRHSDYHAALPTRGLTLDFVWAPFESNLTALLRGLRRRSPASRPDVVILGSGLWHMLHFTNSSLYGESLVSLKRAAVALLSTAPAQPPHMFWLGLPTLVNSMLNTEEKRVRMNATVWDEYDREVSESTILRSSGGPLLLLDIGLLSQGCGQGCTTDGMHYDSVVYEAAFHIMLNALLIESQQRI >Ma09_p08040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5273205:5280882:-1 gene:Ma09_g08040 transcript:Ma09_t08040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGGDPSLAMPSSDSPTQPKRILDSPSESPKPQKLPRSVEEGCGEGREGMSQNPRLQRYLVAVEYIGTRFSGSQRQPNCRTVVGVLEDAFHKFIGQPVSISFSSRTDAGVHALSNVCHVDVERISKRKPGEVLTPHEPGVVRRAVNHFLQKCEGDITVIDVRCVPADFHARFKALERTYHYRILSGPEPLSTFEKDRAWHVPEELDILAMKKACSILIGSHDFSSFRATGCQAKSPIKTLDELSVTEVLPSLYFPSIMERSEMESLNGSLSCSKTSDHELPANYLDTSGMSIQKNSTDCCQGFGHRSRHRCYVITARARSFLYHQAYGWWSKICRDWRDNSQ >Ma09_p08040.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5273041:5280882:-1 gene:Ma09_g08040 transcript:Ma09_t08040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGGDPSLAMPSSDSPTQPKRILDSPSESPKPQKLPRSVEEGCGEGREGMSQNPRLQRYLVAVEYIGTRFSGSQRQPNCRTVVGVLEDAFHKFIGQPVSISFSSRTDAGVHALSNVCHVDVERISKRKPGEVLTPHEPGVVRRAVNHFLQKCEGDITVIDVRCVPADFHARFKALERTYHYRILSGPEPLSTFEKDRAWHVPEELDILAMKKACSILIGSHDFSSFRATGCQAKSPIKTLDELSVTEVLPSLYFPSIMERSEMESLNGSLSCSKTSDHELPANYLDTSGMSIQKNSTDCCQGFGHRSRHRCYVITARARSFLYHQVRLMVGGLKSVGTGEITVSDVERILNAKSVTAASPMAPACGLYLGTVKYDIP >Ma09_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5273040:5280882:-1 gene:Ma09_g08040 transcript:Ma09_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGGDPSLAMPSSDSPTQPKRILDSPSESPKPQKLPRSVEEGCGEGREGMSQNPRLQRYLVAVEYIGTRFSGSQRQPNCRTVVGVLEDAFHKFIGQPVSISFSSRTDAGVHALSNVCHVDVERISKRKPGEVLTPHEPGVVRRAVNHFLQKCEGDITVIDVRCVPADFHARFKALERTYHYRILSGPEPLSTFEKDRAWHVPEELDILAMKAKSPIKTLDELSVTEVLPSLYFPSIMERSEMESLNGSLSCSKTSDHELPANYLDTSGMSIQKNSTDCCQGFGHRSRHRCYVITARARSFLYHQVRLMVGGLKSVGTGEITVSDVERILNAKSVTAASPMAPACGLYLGTVKYDIP >Ma09_p08040.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5272586:5280882:-1 gene:Ma09_g08040 transcript:Ma09_t08040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGGDPSLAMPSSDSPTQPKRILDSPSESPKPQKLPRSVEEGCGEGREGMSQNPRLQRYLVAVEYIGTRFSGSQRQPNCRTVVGVLEDAFHKFIGQPVSISFSSRTDAGVHALSNVCHVDVERISKRKPGEVLTPHEPGVVRRAVNHFLQKCEGDITVIDVRCVPADFHARFKALERTYHYRILSGPEPLSTFEKDRAWHVPEELDILAMKKACSILIGSHDFSSFRATGCQAKSPIKTLDELSVTEVLPSLYFPSIMERSEMESLNGSLSCSKTSDHELPANYLDTSGMSIQKNSTDCCQGFGHRSRHRCYVITARARSFLYHQVRLMVGGLKSVGTGEITVSDVERILNAKSVTAASPMAPACGLYLGTVKYDIP >Ma09_p08040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5272788:5280882:-1 gene:Ma09_g08040 transcript:Ma09_t08040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGGDPSLAMPSSDSPTQPKRILDSPSESPKPQKLPRSVEEGCGEGREGMSQNPRLQRYLVAVEYIGTRFSGSQRQPNCRTVVGVLEDAFHKFIGQPVSISFSSRTDAGVHALSNVCHVDVERISKRKPGEVLTPHEPGVVRRAVNHFLQKCEGDITVIDVRCVPADFHARFKALERTYHYRILSGPEPLSTFEKDRAWHVPEELDILAMKKACSILIGSHDFSSFRATGCQAKSPIKTLDELSVTEVLPSLYFPSIMERSEMESLNGSLSCSKTSDHELPANYLDTSGMSIQKNSTDCCQGFGHRSRHRCYVITARARSFLYHQVRLMVGGLKSVGTGEITVSDVERILNAKSVTAASPMAPACGLYLGTVKYDIP >Ma04_p18420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20326777:20334489:-1 gene:Ma04_g18420 transcript:Ma04_t18420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGPCHHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLTNYTPLHAREAFDLENLRTFKVESISFKPKEQQLQKKRRSSNPLESLHEMRYSDQNFCKIVEGNTSNRSSTGSAISVSESCAHVGTIDANDMTGPAKSNVWESLVPSKKRTSATHPKVSPVEKLTKDLYSIFYEQQSSNLSGSSEEDLIYESGTPLDYTEIGYGGLLIRHPNSEYIEDESEASSHPVDKSYIINERYAGLMSFPLDTKSKGKNFSDSGTHKLKNLTQHMSQETAMRDKTFGEKLKILQDKDSPLLSADLNDIINFDIFMKYLANEEKQLLMTYLPSIDTVRSPESLRTMFRSPQFCETLSDFQQLLQEGTFDLSFSEANVEDCRTLKRLILHDLKRFRWVEYYEKLKIKGRKGKAIGSKFPGIDNLVSIKRPHDSRNQNSSVIF >Ma04_p18420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20326079:20334489:-1 gene:Ma04_g18420 transcript:Ma04_t18420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGPCHHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLTNYTPLHAREAFDLENLRTFKVESISFKPKEQQLQKKRRSSNPLESLHEMRYSDQNFCKIVEGNTSNRSSTGSAISVSESCAHVGTIDANDMTGPAKSNVWESLVPSKKRTSATHPKVSPVEKLTKDLYSIFYEQQSSNLSGSSEEDLIYESGTPLDYTEIGYGGLLIRHPNSEYIEDESEASSHPVDKSYIINERYAGLMSFPLDTKSKGKNFSDSGTHKLKNLTQHMSQETAMRDKTFGEKLKILQDKDSPLLSADLNDIINFDIFMKYLANEEKQLLMTYLPSIDTVRSPESLRTMFRSPQFCETLSDFQQLLQEGTFDLSFSEANVEDCRTLKRLILHDLKRFRWVEYYEKLKIKGRKGKAIGSKFPGIDNLVSIKRPHDSRNQNSSAMHHSRRQNSCTAIHGKRNPVLWQRVWLLK >Ma04_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20326079:20334489:-1 gene:Ma04_g18420 transcript:Ma04_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGPCHHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLTNYTPLHAREAFDLENLRTFKVESISFKPKEQQLQKKRRSSNPLESLHEMRYSDQNFCKIVEGNTSNRSSTGSAISVSESCAHVGTIDANDMTGPAKSNVWESLVPSKKRTSATHPKVSPVEKLTKDLYSIFYEQQSSNLSGSSEEDLIYESGTPLDYTEIGYGGLLIRHPNSEYIEDESEASSHPVDKSYIINERYAGLMSFPLDTKSKGKNFSDSGTHKLKNLTQHMSQETAMRDKTFGEKLKILQDKDSPLLSADLNDIINFDIFMKYLANEEKQLLMTYLPSIDTVRSPESLRTMFRSPQFCETLSDFQQLLQEGTFDLSFSEANVEDCRTLKRLILHDLKRFRWVEYYEKLKIKGRKGKAIGSKFPGIDNLVSIKRPHDSRNQNSSDLKATTKSPKRACKHVVSSSPSNNLHHLESSDTRSKLTNNEDSFEKNECDHFRHRNFQASPLDICSMSVPSRYTADSSDHDLLLNVPSNASFPEAELLHCHPWKEKSSSLAESVVAEIEESSSRFQSSSLRNKPKIH >Ma02_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24044104:24046686:1 gene:Ma02_g16900 transcript:Ma02_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPERSYPMDASAFTQIDPLHWVLDMASFVGDAYRDVKEVCVFLLPAAAASFPPGKALAVYVQPPGHPFLFCGAVHPARPSALLALPWPDLAEDAVAAFGVLQVAAASAKIGVSVEDFASLPPVADAGTERRAERLALRVGENLFNFMQSFCGVDGSRLVVPMDILDRWFKKFQERAKKDPSYLKNFSL >Ma05_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5831744:5836633:1 gene:Ma05_g07890 transcript:Ma05_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKALSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRRFFCGTDPPSPGLLSSPRGGAASPGAAGGAVIPVNSVSYEVFLLMLQFLYSGQVSVVPQKHEPRPSCGERGCWHTHCTAAVDLALDTLTAARSFGVKQLEQITEKQLASMAEKASIEDVMKVLMASRQQDMQQLWTTCSHLVAKSGLPAEVLAKHLPIDVVARIEELRLKSSLARRSSFVAHHHQIDVSGSSADLEDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNSPAGPTGKTPLHIAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLSHIEPNKLRLCLELVQSAALVISREEANCGGGTGGAGSNPTTAIYPRMNPGTGACDASSSTSGMVNLSLDSRMVYLNLGMAAQFGGKMNDGGGDGSSSSRSQGGGGGIGPSSMYPAHGFP >Ma05_p07890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5831744:5836522:1 gene:Ma05_g07890 transcript:Ma05_t07890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKALSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRRFFCGTDPPSPGLLSSPRGGAASPGAAGGAVIPVNSVSYEVFLLMLQFLYSGQVSVVPQKHEPRPSCGERGCWHTHCTAAVDLALDTLTAARSFGVKQLEQITEKQLASMAEKASIEDVMKVLMASRQQDMQQLWTTCSHLVAKSGLPAEVLAKHLPIDVVARIEELRLKSSLARRSSFVAHHHQIDVSGSSADLEDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNSPAGPTGKTPLHIAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLSHIEPNKLRLCLELVQSAALVISREEANCGGGTGGAGSNPTTAIYPRMNPGTGACDASSSTSGMVNLSLDSRMVYLNLGMAAQFGGKMNDGGGDGSSSSRSQGGGGGIGPSSMYPAHGFP >Ma03_p25440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29478993:29483861:1 gene:Ma03_g25440 transcript:Ma03_t25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSWDRLSSASKRHESILRSRYDMYLGLEDTDGGEDDSRAEFPCPFCFEDFDLVGLCCHLDDEHPVEVKTEIVCPICAARVAMDIVGHITVQHGNFFKMQHERRLSKGSSRSHSTLSFLRKELQDANLRFSCGGSSDTLASSNAAPDPLLSSFIFSLPEVEPSKDVQAVISDEESTVDEISDDKVENSAEPTLSYQDEERARRSEFVRELVLSTIIDDNL >Ma07_p26080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33026973:33027100:-1 gene:Ma07_g26080 transcript:Ma07_t26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSSRLCKNEVALLLCH >Ma04_p39740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36766496:36767785:1 gene:Ma04_g39740 transcript:Ma04_t39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVGHLRVRAVVGVDLVQRSSSSSSGRSEKE >Ma02_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22730542:22732772:-1 gene:Ma02_g14780 transcript:Ma02_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAKPISKPLQCSTPLATQSVKLTRNKTSSLTTLRVHCSAPADSLNFPPNTRPVYGAGPYCKKATTSIAKEWSLLQRLAAAALDAVEDGLISNMLERPRPLPKTADPAVQIAGNFAPVDERAPCHDLPVQGLIPPFVDGVYVRNGANPLFEPVAGHHFFDGDGMVHAVHIHDGTAAYACRYTKTERLRQERAIGKPVFPKAIGELHGHSGIARLLLFYARCLFGLVDGGRGIGVANAGLIYFNDRLLAMSEDDVPYHVRVTRSGDLETVERYDFGGQLRSSMIAHPKLDPASRELFALSYDVIRKPYLKYFYFSPDGNKSPDVDIPVDKPTMIHDFAITENHVVVPDQQVVFELQEMFRGGSPVVYDRAKTTRFGVLPKYAADAAEMRWVVVPDCFCFHLWNAWEEPATGEVVVIGSCMTPPDSVFNECEENLASVLSEIRLDLRTGESKRRPILSPDNQPNLEAGMVNRNRLGRKTRYAYLAIAEPWPKVSGFAKVDLFTGEMSKFVFGDGRYGGEPYFVARDPNSPKEDDGCVLTFMHDEKTSESELLIVDAADMRLEAAVKLPWRVPYGFHGTFISSRELESQA >Ma01_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24152139:24157558:-1 gene:Ma01_g22260 transcript:Ma01_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRIVFLSIPLFVLLLCLQTSAAGNLTSDMQALLKFAASVPHGRKLNWSSRTPVCTSWVGITCTPDRTRVRSLHLPGIGLFGQIPADTLGELGALDTLSLRSNHLVGDLPADVPYIPSLRSLYLQHNNISGIIPSSLSSNLTFLDLSYNSFIGEIPLTIRNLTQLTALYLENNSLSGPIPDLQLPMLQHLNLSYNNFSGPIPVSLQKFPAESFLGNPLLCGTSLAQCFAIPPSPRLSPTALPIKPKKSFWKKLSTGVIITIAAGGSSLLFLLLIIILGCLSKRKSKDGSGAPKGKASVSGRSEKTEEYSSSAMEAEKNKLVFFEGCTHSFDLEDLLRASAEVLGKGSYGTTYRAVLEDGVTVVVKRLKEVGVGKREFEQQMEIIGRIGQHQNVVPLRSFYYSKDEKLLVYDYAPCGNLSALLHGSRDAAKAPLDWDSRVKISLGVARGIAHIHVQGGGKLIHGNIKSANVLLTQELSACVSEFGLALLMNCAATPSRIMGYRAPEVLEQQKSTEKSDVYSFGVFLLELLTGKSPVQTPGHDEVVDLPRWVQSVVREEWTAEVFDVELMKYPNIEEDMVQMLHVAMQCVARVPDRRPTMEDVVRMIEDVRHSNSESRPSSEEGKAKDDNVLTP >Ma01_p22260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24152139:24157157:-1 gene:Ma01_g22260 transcript:Ma01_t22260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRIVFLSIPLFVLLLCLQTSAAGNLTSDMQALLKFAASVPHGRKLNWSSRTPVCTSWVGITCTPDRTRVRSLHLPGIGLFGQIPADTLGELGALDTLSLRSNHLVGDLPADVPYIPSLRSLYLQHNNISGIIPSSLSSNLTFLDLSYNSFIGEIPLTIRNLTQLTALYLENNSLSGPIPDLQLPMLQHLNLSYNNFSGPIPVSLQKFPAESFLGNPLLCGTSLAQCFAIPPSPRLSPTALPIKPKKSFWKKLSTGVIITIAAGGSSLLFLLLIIILGCLSKRKSKDGSGAPKGKASVSGRSEKTEEYSSSAMEAEKNKLVFFEGCTHSFDLEDLLRASAEVLGKGSYGTTYRAVLEDGVTVVVKRLKEVGVGKREFEQQMEIIGRIGQHQNVVPLRSFYYSKDEKLLVYDYAPCGNLSALLHGSRDAAKAPLDWDSRVKISLGVARGIAHIHVQGGGKLIHGNIKSANVLLTQELSACVSEFGLALLMNCAATPSRIMGYRAPEVLEQQKSTEKSDVYSFGVFLLELLTGKSPVQTPGHDEVVDLPRWVQSVVREEWTAEVFDVELMKYPNIEEDMVQMLHVAMQCVARVPDRRPTMEDVVRMIEDVRHSNSESRPSSEEGKAKDDNVLTP >Ma01_p22260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24152139:24157853:-1 gene:Ma01_g22260 transcript:Ma01_t22260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRIVFLSIPLFVLLLCLQTSAAGNLTSDMQALLKFAASVPHGRKLNWSSRTPVCTSWVGITCTPDRTRVRSLHLPGIGLFGQIPADTLGELGALDTLSLRSNHLVGDLPADVPYIPSLRSLYLQHNNISGIIPSSLSSNLTFLDLSYNSFIGEIPLTIRNLTQLTALYLENNSLSGPIPDLQLPMLQHLNLSYNNFSGPIPVSLQKFPAESFLGNPLLCGTSLAQCFAIPPSPRLSPTALPIKPKKSFWKKLSTGVIITIAAGGSSLLFLLLIIILGCLSKRKSKDGSGAPKGKASVSGRSEKTEEYSSSAMEAEKNKLVFFEGCTHSFDLEDLLRASAEVLGKGSYGTTYRAVLEDGVTVVVKRLKEVGVGKREFEQQMEIIGRIGQHQNVVPLRSFYYSKDEKLLVYDYAPCGNLSALLHGSRDAAKAPLDWDSRVKISLGVARGIAHIHVQGGGKLIHGNIKSANVLLTQELSACVSEFGLALLMNCAATPSRIMGYRAPEVLEQQKSTEKSDVYSFGVFLLELLTGKSPVQTPGHDEVVDLPRWVQSVVREEWTAEVFDVELMKYPNIEEDMVQMLHVAMQCVARVPDRRPTMEDVVRMIEDVRHSNSESRPSSEEGKAKDDNVLTP >Ma03_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14445487:14447094:1 gene:Ma03_g14650 transcript:Ma03_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEVQLTPAAVEKVESSTEVALVEKSPSHQKSSRKPSDRDLELARVESEKNLSFIKAWEDSEKTKADNKAQKKKSSITSWENSKKASLQSQLQKIEQNLENKKAETKEKIKNKIAMVHKAAEEKRAMVEAKRGEELLKVEEAAAKYRATGKPPKKKGFGCFGA >Ma08_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9427658:9427936:-1 gene:Ma08_g12460 transcript:Ma08_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLHSCPSSIISSLFQTIVLFWPFAAWRSKLCRIYCRCSVESSLRSFGLERSRKIAFRSLRS >Ma10_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15207177:15208526:-1 gene:Ma10_g04550 transcript:Ma10_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVPPGFRFYPTEEELIGFYLQNKLENRREDMEQVIPVVDVYCLDPWQLPPLSGEHCRRDGEQWLFLCPRQEREAHGGRPTRTTPSGYWKATGSLSYVFSSTNRVMGMKRTMVFYQGRTPTGTKTRWKMNEYRALEEGATTVPLSATQKLRSEFSVCRMYTKSGCVRSFDRRPAATAAAASAATDAQRMPEVLPSGNEPSSSKRTLSHESSSSDGNGSQRSVRQRGEDDPGLIGENDDCDLSQDWF >Ma01_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12635238:12641173:1 gene:Ma01_g17270 transcript:Ma01_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTSSAVVSSHLPTPSLPLLLITGSIVTIQTPGSMASEASVTDETVTEIDTNVSDDISNAYEMSASEPEDPKVKDVEEKKIETNVSGDVSNADDMSLSETDDLKGKDEEEKKFETNVTDDASNANEANPAKPEDLEVKDGEEKIETKVSDDVSNADEPSLSKPEDLKGNDEEEKIVTSVSDDISNADEAISNPDDLKGKDEEEKAVQDSASVEAPTAETEENLEQKEEGTVIEVVKEAAIDESVSEPSVEAEEKDAMGKTDVPNTPESPKEPVEQPAEVSDASVTEDSIETPEEPSVSEIVTEEAKSIEESSEVFSVPDSLLVTHDKPVELPPVGLVQEPAPDSNVILNANETAEKSQEGESTSQELPQNEISSSSTEDPINQVHETVEMVSSESIAIVPSIYEHKEIEAENIVPELSTEKIDDDHNADEGNKESAEEKEVPATCVVKVSDTTPATEVPECAVEPEVTVKNEEQDSTVNVENEVAGNSEEVKASDGVGLIGEVKNENLESKNFNLYKNTRNIDLVEAEDSNKASSIDPLVTDDKPVEPPTVGLVQEPASDSNVTLNAKETAEKSQEGEPTSQELPRNETSLSGTEDPINQVNETVEMVSSEGIGIVPSIDENKEIEGENIVPKLSTEKADDDHNADEHNKESAEEKEVSVTCVVEASDTTPATEVPECAVEPEVTVKNVEQDNTVNVENEVAGNSEEVKASDGVGLIGEVRNESLEGVNLNLYKNTRNINSVEAEDSNKASSIDPLVTDDKPVEPPTVGLVQEPAPDANVTLSADETAEKTQEGDPTSQELLRNETSPPITEDPISKVHETVEVESSEGIAIVPCIGEPKEFEEENIVPELPTEKTDDDHNADEGNKESAEEKGVSDTSVVKVSDTTAATEVPECAVQREVDVKNGEKETTLNVENVVAGNTEEENTSEGVGFIGEVKNESLEGGNSNSNNNTREIDLFGVEDSNNASSIDPEHDPKELDTEQKQAARQTLLHKMLEESAEDKKKETKKVDNQSLAQNTKDNSDTKTDGEVPKTDVSGKKSQSPQNSILSKVKKSIAKVKKAITGKSPSLKTMITEGINDTKDK >Ma01_p17270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12635238:12641173:1 gene:Ma01_g17270 transcript:Ma01_t17270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTSSAVVSSHLPTPSLPLLLITGSIVTIQTPGSMASEASVTDETVTEKFETNVTDDASNANEANPAKPEDLEVKDGEEKIETKVSDDVSNADEPSLSKPEDLKGNDEEEKQIVTSVSDDISNADEAISNPDDLKGKDEEEKAVQDSASVEAPTAETEENLEQKEEGTVIEVVKEAAIDESVSEPSVEAEEKDAMGKTDVPNTPESPKEPVEQPAEVSDASVTEDSIETPEEPSVSEIVTEEAKSIEESSEVFSVPDSLLVTHDKPVELPPVGLVQEPAPDSNVILNANETAEKSQEGESTSQELPQNEISSSSTEDPINQVHETVEMVSSESIAIVPSIYEHKEIEAENIVPELSTEKIDDDHNADEGNKESAEEKEVPATCVVKVSDTTPATEVPECAVEPEVTVKNEEQDSTVNVENEVAGNSEEVKASDGVGLIGEVKNENLESKNFNLYKNTRNIDLVEAEDSNKASSIDPLVTDDKPVEPPTVGLVQEPASDSNVTLNAKETAEKSQEGEPTSQELPRNETSLSGTEDPINQVNETVEMVSSEGIGIVPSIDENKEIEGENIVPKLSTEKADDDHNADEHNKESAEEKEVSVTCVVEASDTTPATEVPECAVEPEVTVKNVEQDNTVNVENEVAGNSEEVKASDGVGLIGEVRNESLEGVNLNLYKNTRNINSVEAEDSNKASSIDPLVTDDKPVEPPTVGLVQEPAPDANVTLSADETAEKTQEGDPTSQELLRNETSPPITEDPISKVHETVEVESSEGIAIVPCIGEPKEFEEENIVPELPTEKTDDDHNADEGNKESAEEKGVSDTSVVKVSDTTAATEVPECAVQREVDVKNGEKETTLNVENVVAGNTEEENTSEGVGFIGEVKNESLEGGNSNSNNNTREIDLFGVEDSNNASSIDPEHDPKELDTEQKQAARQTLLHKMLEESAEDKKKETKKVDNQSLAQNTKDNSDTKTDGEVPKTDVSGKKSQSPQNSILSKVKKSIAKVKKAITGKSPSLKTMITEGINDTKDK >Ma01_p17270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12635238:12641173:1 gene:Ma01_g17270 transcript:Ma01_t17270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTSSAVVSSHLPTPSLPLLLITGSIVTIQTPGSMASEASVTDETVTEKIETNVSGDVSNADDMSLSETDDLKGKDEEEKKFETNVTDDASNANEANPAKPEDLEVKDGEEKIETKVSDDVSNADEPSLSKPEDLKGNDEEEKQIVTSVSDDISNADEAISNPDDLKGKDEEEKAVQDSASVEAPTAETEENLEQKEEGTVIEVVKEAAIDESVSEPSVEAEEKDAMGKTDVPNTPESPKEPVEQPAEVSDASVTEDSIETPEEPSVSEIVTEEAKSIEESSEVFSVPDSLLVTHDKPVELPPVGLVQEPAPDSNVILNANETAEKSQEGESTSQELPQNEISSSSTEDPINQVHETVEMVSSESIAIVPSIYEHKEIEAENIVPELSTEKIDDDHNADEGNKESAEEKEVPATCVVKVSDTTPATEVPECAVEPEVTVKNEEQDSTVNVENEVAGNSEEVKASDGVGLIGEVKNENLESKNFNLYKNTRNIDLVEAEDSNKASSIDPLVTDDKPVEPPTVGLVQEPASDSNVTLNAKETAEKSQEGEPTSQELPRNETSLSGTEDPINQVNETVEMVSSEGIGIVPSIDENKEIEGENIVPKLSTEKADDDHNADEHNKESAEEKEVSVTCVVEASDTTPATEVPECAVEPEVTVKNVEQDNTVNVENEVAGNSEEVKASDGVGLIGEVRNESLEGVNLNLYKNTRNINSVEAEDSNKASSIDPLVTDDKPVEPPTVGLVQEPAPDANVTLSADETAEKTQEGDPTSQELLRNETSPPITEDPISKVHETVEVESSEGIAIVPCIGEPKEFEEENIVPELPTEKTDDDHNADEGNKESAEEKGVSDTSVVKVSDTTAATEVPECAVQREVDVKNGEKETTLNVENVVAGNTEEENTSEGVGFIGEVKNESLEGGNSNSNNNTREIDLFGVEDSNNASSIDPEHDPKELDTEQKQAARQTLLHKMLEESAEDKKKETKKVDNQSLAQNTKDNSDTKTDGEVPKTDVSGKKSQSPQNSILSKVKKSIAKVKKAITGKSPSLKTMITEGINDTKDK >Ma01_p17270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12635238:12641173:1 gene:Ma01_g17270 transcript:Ma01_t17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTSSAVVSSHLPTPSLPLLLITGSIVTIQTPGSMASEASVTDETVTEIDTNVSDDISNAYEMSASEPEDPKVKDVEEKKIETNVSGDVSNADDMSLSETDDLKGKDEEEKKFETNVTDDASNANEANPAKPEDLEVKDGEEKIETKVSDDVSNADEPSLSKPEDLKGNDEEEKQIVTSVSDDISNADEAISNPDDLKGKDEEEKAVQDSASVEAPTAETEENLEQKEEGTVIEVVKEAAIDESVSEPSVEAEEKDAMGKTDVPNTPESPKEPVEQPAEVSDASVTEDSIETPEEPSVSEIVTEEAKSIEESSEVFSVPDSLLVTHDKPVELPPVGLVQEPAPDSNVILNANETAEKSQEGESTSQELPQNEISSSSTEDPINQVHETVEMVSSESIAIVPSIYEHKEIEAENIVPELSTEKIDDDHNADEGNKESAEEKEVPATCVVKVSDTTPATEVPECAVEPEVTVKNEEQDSTVNVENEVAGNSEEVKASDGVGLIGEVKNENLESKNFNLYKNTRNIDLVEAEDSNKASSIDPLVTDDKPVEPPTVGLVQEPASDSNVTLNAKETAEKSQEGEPTSQELPRNETSLSGTEDPINQVNETVEMVSSEGIGIVPSIDENKEIEGENIVPKLSTEKADDDHNADEHNKESAEEKEVSVTCVVEASDTTPATEVPECAVEPEVTVKNVEQDNTVNVENEVAGNSEEVKASDGVGLIGEVRNESLEGVNLNLYKNTRNINSVEAEDSNKASSIDPLVTDDKPVEPPTVGLVQEPAPDANVTLSADETAEKTQEGDPTSQELLRNETSPPITEDPISKVHETVEVESSEGIAIVPCIGEPKEFEEENIVPELPTEKTDDDHNADEGNKESAEEKGVSDTSVVKVSDTTAATEVPECAVQREVDVKNGEKETTLNVENVVAGNTEEENTSEGVGFIGEVKNESLEGGNSNSNNNTREIDLFGVEDSNNASSIDPEHDPKELDTEQKQAARQTLLHKMLEESAEDKKKETKKVDNQSLAQNTKDNSDTKTDGEVPKTDVSGKKSQSPQNSILSKVKKSIAKVKKAITGKSPSLKTMITEGINDTKDK >Ma07_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3951727:3964551:-1 gene:Ma07_g05460 transcript:Ma07_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVGLFLGVVIGVGLVMAFAHSENARSKRRGELAATVAAFSKMTVEDSRKLLPAEFYPSWVVFSQRQKLNWLNLELTKIWPYVNEAASELIRTSVEPVLEQYKSAVLSSLKFSKLTLGTVAPQFTGVSLIEDDNSGITMELELQWDGNPNIILDIRTRLGVSLPIQVKNIGFTGVFRLIFKPLVDEFPCFGAVSYSLREKKKLDFTLKVVGGDISSLPGISDAIEGTIRDAIEDSITWPVRKVVPIVPGDYSDLELKPVGILEVKLVQARDLTNKDIIGKSDPYAVLYIRPLRDRMKTSKTINNDLNPIWNEHFEFVVEDASTQNLTIKVYDDEGLQPSELIGCAHVSLKDLQPGKVKDVWLKLVKDLEIQRDKKDRGQVHVELLFCPFGTENDFSNPFAVQKFSMTSLEKALKGSTNGTDTANLDRTATQRKKEVIVRGVLSVTVISAEDLPAMDLMGKADPFVVVRMKKAETKNKTRVVNESLNPTWNQTFDFVVEDGLHDMLMLEVYDHDTFGKDYMGRCIMTLTRVIMEGEVRDSFPLEGAKSGKINLYLKWAAQPIFRDT >Ma08_p28940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40734081:40736411:-1 gene:Ma08_g28940 transcript:Ma08_t28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEQYLFKIVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMEIDGKEVKSQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRTTFDSVPRWLQELNTHSDTAVAKMLVGNKCDLEDIRDISIDEGKSLAEAEGLFFIETSALDCTNVKKAFEIVIREIYNNISRKVLNSDSYKAELSRDRVSITSNGNDEAKQASSKLSCC >Ma06_p37340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36422793:36424565:-1 gene:Ma06_g37340 transcript:Ma06_t37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKQLHALVFLLSYLVTRPAWFGNGASSAAQEIRKGFSVTHDSSYSEFQSLLADPTGVFSLGFLRVDSSQLDLAVIHLPSSSALWRANPARPLLWSASASLSFDGSLVLSDSRTGVLWSTATADGDRLVLLNSSNLQIQKMAGAAATVLWQSFDFPSDTLVQDQNFTSTAALFSKDQRFSMRLGASYFALYMDLDGGSTPVMYWKHSALDVKVLIVPDEGPIYARVDAHGFLGMYQKEAAPVDVFPFDSFNREISGLRRLTLESDGNLRAYYWNGSIWVRDLETIAHRCELPAVCGAYGLCNSADSRCSCLDNSTEEGCLPPGSGHFCGDGGSEFRILRRNGVDLANQELVAYRKVASLEECEGSCEQNCSCWGAIYHNASGFCYPLDYPIQTLVEGDMRREGYFKVRVRTSGGGGGAGRTARVALLVVGSVVFAGAALFGAYSLWSRRRQMRAGMDGSMVEGLAPGSYKDLNSASFRSLELSNSFSLRK >Ma11_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3853078:3854826:-1 gene:Ma11_g04980 transcript:Ma11_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPKPLSRLALHLLRSTLRFSSIPTSLQALAIPSPANRPASLPVSVPRIGPDSLRLVSTPDAESICSLLSDPPSDRPRVVDGLLVRFEHKLTSDLVLEVLKCHRRLGRSATLGFFSWAGFRLGFRFDDPVVEYMADFLGRRKLFDDLKWLLRTVARSNGRVSTRSVAICIRFLGRQGRVGEALSLFEVMELELNCPPDNLVINNVLYVLCKKDLSGGLIDVAVRIFHRIVQPDMYSYSNIIIGLCRFGRLENAFEVFREMTRATLVPTRTALNILVRELCEFGGKDETVGRVSIRKHSRPFDILVPNLMADGVLRPAVEVFHMIARLGMLPSTYIVDALVLRLCQAGKIEEAMGILGVVEGRKPNCVAESYTVIIKALCEACRMDEACRVLGRMMNLGLKPKLVVYNSIIRAFCMLGNVVEAQKYFDIMNKRRCEPDCATYTMLVHANCMIQNWQIAYKLLMEMIGLGMRPHFNTYNLVDGFLKKNGELDMSKKLKRKMEVQDLYAHCKAGRLEAAYDKLSSMLATGFHPPIYARDAFECAFQRSTKWEMAQELLKKMEVDHSPV >Ma09_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5037443:5040017:1 gene:Ma09_g07680 transcript:Ma09_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELDEMSYQELTATNRKLRGVSMLPQFPPARCTGNRGLLIKRVKKRFNKLLLKLKDGDALPEPLVKALSIIYLSYTHRSRHVDMLTSEFYPFPPETVDLQNDIMNALWLLPKVKHDELKALHALMDPKAEVPLKCFRNVLRKYLMEYLFECSETSITQEALRTIDFINRKMHSQTLVFSKETIQEEVEAALITSRWLKQMISSVFSERSDKQCFVGFGNDKNINSFNMVGTDYFMGLGDNEHEHMYSYCSNYEAEATGESGPGVSRSFTTGSNSFLLTGTGNQTSGNSSTKPEVEHDNEIDIEKPCIEELEKFTPERTSQNTGNMGVKSVEEICDETTLVAYKLIVSMLDKLLKTEGMHADMSTRIYLNGGSSASADLQGAKDMLKTSKEQMRSIIFVRTVEELIPSLTKSCIRRVKNLMES >Ma09_p07680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5035677:5040017:1 gene:Ma09_g07680 transcript:Ma09_t07680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFEDGKRLWSLMWNKEELITRKRRFLMGSASTSEGSPKKHKRPKLLTESYLLESYVRNDDLLSEQLTNIIGNPINYHDDKSLHYTPTSSLCLSSVSNMLEELDEMSYQELTATNRKLRGVSMLPQFPPARCTGNRGLLIKRVKKRFNKLLLKLKDGDALPEPLVKALSIIYLSYTHRSRHVDMLTSEFYPFPPETVDLQNDIMNALWLLPKVKHDELKALHALMDPKAEVPLKCFRNVLRKYLMEYLFECSETSITQEALRTIDFINRKMHSQTLVFSKETIQEEVEAALITSRWLKQMISSVFSERSDKQCFVGFGNDKNINSFNMVGTDYFMGLGDNEHEHMYSYCSNYEAEATGESGPGVSRSFTTGSNSFLLTGTGNQTSGNSSTKPEVEHDNEIDIEKPCIEELEKFTPERTSQNTGNMGVKSVEEICDETTLVAYKLIVSMLDKLLKTEGMHADMSTRIYLNGGSSASADLQGAKDMLKTSKEQMRSIIFVRTVEELIPSLTKSCIRRVKNLMES >Ma09_p07680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5035700:5040017:1 gene:Ma09_g07680 transcript:Ma09_t07680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFEDGKRLWSLMWNKEELITRKRRFLMGSASTSEGSPKKHKRPKLLTESYLLESYVRNDDLLSEQLTNIIGNPINYHDDKSLHYTPTSSLCLSSVSNMLEELDEMSYQELTATNRKLRGVSMLPQFPPARCTGNRGLLIKRVKKRFNKLLLKLKDGDALPEPLVKALSIIYLSYTHRSRHVDMLTSEFYPFPPETVDLQNDIMNALWLLPKVKHDELKALHALMDPKAEVPLKCFRNVLRKYLMEYLFECSETSITQEALRTIDFINRKMHSQTLVFSKETIQEEVEAALITSRWLKQMISSVFSERSDKQCFVGFGNDKNINSFNMVGTDYFMGLGDNEHEHMYSYCSNYEAEATGESGPGVSRSFTTGSNSFLLTGTGNQTSGNSSTKPEVEHDNEIDIEKPCIEELEKFTPERTSQNTGNMGVKSVEEICDETTLVAYKLIVSMLDKLLKTEGMHADMSTRIYLNGGSSASADLQGAKDMLKTSKEQMRSIIFVRTVEELIPSLTKSCIRRVKNLMES >Ma09_p07680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5035655:5040017:1 gene:Ma09_g07680 transcript:Ma09_t07680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFEDGKRLWSLMWNKEELITRKRRFLMGSASTSEGSPKKHKRPKLLTESYLLESYVRNDDLLSEQLTNIIGNPINYHDDKSLHYTPTSSLCLSSVSNMLEELDEMSYQELTATNRKLRGVSMLPQFPPARCTGNRGLLIKRVKKRFNKLLLKLKDGDALPEPLVKALSIIYLSYTHRSRHVDMLTSEFYPFPPETVDLQNDIMNALWLLPKVKHDELKALHALMDPKAEVPLKCFRNVLRKYLMEYLFECSETSITQEALRTIDFINRKMHSQTLVFSKETIQEEVEAALITSRWLKQMISSVFSERSDKQCFVGFGNDKNINSFNMVGTDYFMGLGDNEHEHMYSYCSNYEAEATGESGPGVSRSFTTGSNSFLLTGTGNQTSGNSSTKPEVEHDNEIDIEKPCIEELEKFTPERTSQNTGNMGVKSVEEICDETTLVAYKLIVSMLDKLLKTEGMHADMSTRIYLNGGSSASADLQGAKDMLKTSKEQMRSIIFVRTVEELIPSLTKSCIRRVKNLMES >Ma08_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1122273:1124820:-1 gene:Ma08_g01190 transcript:Ma08_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEARGTLLDPAAAEFHPAASSRFAVVAHPLQFYFPYRHPPPQPPPAVAVTLVAPPEAASRAVVLNMVPPHVGEAEVRAAMEPFGGVQAVDTVALTAQGIVTVHFYDLRSAQAAVMAFREHPATRQHFPATITGNLACPWGWLSDGVGGRGLTGWPAVSAQFAASGLDEPNQGSILVLNSDPTVSCAALRQIFEAFGAVKEVRVMTSKQHHLLVEFYDKRDAARALSELHGKEVHGRRLVLQFGATGSQTRSVYFMNSFPLPPRLLRGNPKASRWIRSGPKPLSSSSPGKEFREVGNPSALLKNSSACDAHDSAGIVERRNRRVSECSKNEYPSPPPSSNVHHHRTNSSWKTHQKKEGDSKFLFKEVQPEESRPTSRGDSRTTVMIRNIPNKYSRKLLLNMLDNHCKHCNEQIGEEDDDPISAYDFVYLPIDFNNKCNVGYGFVNLTSPEAAFRLYKAFHQQPWEVFNSRKICQITYARLQGLEALMEHFRHSRFACHDDEYMPVVFSPPRDGRQLTDPVPVAGGREATARGNNLTDGASVAPAELDRCAASSTTASTYAPPDHGGAGDSDEA >Ma08_p30310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41624408:41636117:1 gene:Ma08_g30310 transcript:Ma08_t30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLIEFCGSCSLRRLQNPVLAPVRFRNPARAPARALGFPRPGAGKARAPRRCTIIAESVEGEVFSVTSSSKSDVDYLGESTKGDLNVNEEHLDAFGFDGQADLDGLIGEIARIEAKEAECSLNALGIVDPFSLRNSPCGIFCSRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYGMANLRSMGFPVDGLEFDPNMVIRGLVMDKERGNLVKADRFGYVKRAMHGTKMLSTRAISEIYGRELVDLRKESRWEFLNTFFSVSEAVMFMQMVDRLDQGAMPAELGPLDYKGIQKAVAKALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTNKMMQHAFNRFLPNDMGWRDLFEMVLVSARKPEFFQMSQPLYEVVTSDGLMRPCFKAKSGGLYSGGSAQMVEKSLDVHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEYNALIHSRSHKEELIELIEQKETVGDLFNQLRLALQRRTKGRPAQTRAANNMDDQELTESMQKLLILMKRLDQKIAPMLEADGELFNKRWGYLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSLTFSSTGINGVAMNHAA >Ma08_p30310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41624644:41636117:1 gene:Ma08_g30310 transcript:Ma08_t30310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISTLLDPFSLRNSPCGIFCSRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYGMANLRSMGFPVDGLEFDPNMVIRGLVMDKERGNLVKADRFGYVKRAMHGTKMLSTRAISEIYGRELVDLRKESRWEFLNTFFSVSEAVMFMQMVDRLDQGAMPAELGPLDYKGIQKAVAKALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTNKMMQHAFNRFLPNDMGWRDLFEMVLVSARKPEFFQMSQPLYEVVTSDGLMRPCFKAKSGGLYSGGSAQMVEKSLDVHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEYNALIHSRSHKEELIELIEQKETVGDLFNQLRLALQRRTKGRPAQTRAANNMDDQELTESMQKLLILMKRLDQKIAPMLEADGELFNKRWGYLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSLTFSSTGINGVAMNHAA >Ma06_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8703155:8705602:1 gene:Ma06_g12560 transcript:Ma06_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g29230 [Source:Projected from Arabidopsis thaliana (AT3G29230) UniProtKB/Swiss-Prot;Acc:Q9LS72] MSVASIRQPQWTSHRRLLEQKVAELHRCSDHHHLRQIQAQILKADLHRDPFVAPKLISAYSLCRQILPAVAVFRQVPHPNTHLFNVLIRAFADNSQSSRAFTTFAQMQKCDAFPDKFTYSFLLRACSGPSAFARVQMIHSHVLKLGFLSDIFVPNALIDSYAKAGVATIADAKKVFDGMPQRDVVSWNSILAGLLRAGELKEARQLFDDMPERDIISWNTLLDGLAKAGEMDHVFNLFNRMPERNVVSWSTVVSGYRKAGDLDMARMLFDRMPVKNLVTWTIMISGYAEKGLSKEASVLIDQMEEARLEPDVAATVSILSACAESGLLGIGQRIHSYVKRSKLRFVTHVCNALIDMYSKCGCLDKAWSVFKGMVERDTVSWNSIIQGLAMHGHGEMALALFVRMKREGIMPDGVTFISVLCACTHMGLIEEARCHFYSMERDYGIVPEIEHYGCLIDILGRGGLLKEAFDLAKAMPLEPNAIIWGSLLGACRVHNNVDLAEEAVDQLTKLEISDAGNYAILSNIYAAARHWEGVAMARIQMKGTGQQKPAGSSWIELDDMVHEFTVGDRKHPKSTRIFEMLDKLSHHIKQVGYVPKVACLYNA >Ma11_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2385870:2388487:1 gene:Ma11_g03210 transcript:Ma11_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSCLLLWLSLLLSYYVPLSFARAYWPVGASTSFYHFKVQTLRVTKLCKTNDIVTVNGKFPGPVIYAQEDDRVIVRVTNQTPHNITIHWHGVRQRLSCWADGPSYITQCPIQAGRSYTYEFTLVQQKGTLLWHAHVSWLRATVHGAIVVYPKTGVPYPFPHPYEEHSLVFAEYWHKDVLQLEEDVMSSGGGAPPADAYLINGSPGPLYNCSATDVYEIAVVPGKTYLLRLVSASLNTEHFFAIADHRMTIVEADGEYTKPLTVESLMIAPGQTINVLVQADQPIDTYDMAMAPFMSAHNVPFQNTPAVAHFRYSGAGPEAPSRPAEFPAFNDSLTVDFALNNLRSLNDTGVPLVVDANLLFTIGLTVEQCHSSHPNASCQGPNGGVLAAVMNNIAFVEPMVSLLQAYYDNMNGYYTEDFPGVPLKNYDFVDGAPNDTQSLNGTRVKVLEFGSRVQLVLQNTGTVGTENHPVHLHGHSFHVVGHGTGNYNPMTAKLNLVDPPYMNTIGVPAGGWAAIRFVADNPGVWFVHCHLEVHASWGLAMAFVVKDGNGTMESLPHPPADLPRC >Ma11_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:637366:639833:-1 gene:Ma11_g00870 transcript:Ma11_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRHPMEQRIPREGNGPATSLPLIPYESIQGGGRMEELAASLDEYDKLVIRMNTPRVVVDNAVCPTATLVKVDSAREHGILLEAVRVLADLDLAVRKAYISSDGRWFMNVFHVTDRCGRKLADRSLTSHLERSLCASRPGDDTQPPALLTEPAGLEVHGLTTLELAGADRPGLLSEVFAVLRDVECDVVAAKVWTHNGRVASLITVREGRPDSPTDADADATLRARRVEARLGNVLRGDHAVRGARNATAVASSSASAAHSDRRMHQLMFADRDYEQTTPGVSTPARSVVSVQNWVNRGYSIVNVECRDQPKLLFDVICTLTDMEYVVFHGIIDTDGDRARQEFYVRHLDGTPISSEAERQRVIQCLQAAIDRRACEGAMLELCTADRRGLLADVTQTFREHGLSVTRAEVTTKAGIAMDVFYVADTAGHAVDQRTVDAITERVGVESLKLSEERRPWCHQKWPTTEEDRVANGGGGVGLFYLGSIVKRNLYNLGLIRSCS >Ma04_p36800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35024774:35031052:1 gene:Ma04_g36800 transcript:Ma04_t36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNCFQFLNGEKMVPQSRKSASTRSNSSRSTDRDRRVSESDFNSSSDMSDFSVGRSRFPSLSQRRNNFRIFTFEELKHATRNFSRSLMIGEGGFGCVYKGIIQSSNDPSTTLDVAVKQLSRGGLQGHKEWLTEVDVLGVVEHPNLVKLIGYCAENDERGIQRLLIYEFMPNRSVEDHLSIRSITTLSWPIRLKIALDAANGLTYLHEGGEFQIIFRDLKTSNILLDAHWNAKLSDFGLARQGPTDGLSHVSTAIVGTMGYAAPEYIQTGRLTAKSDIWSYGVVLYELITGRQPMDRNRPRKEQKLLEWVKPYTSDAKKFHLIVDPKIDDNYCLKQAMELSAVANKCLMRSPKLRPKMSDVLGMVQRIVCTENGTLRHPSSHFPEERSCREREGRGMDLKTRISDLKV >Ma04_p36800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35024774:35031052:1 gene:Ma04_g36800 transcript:Ma04_t36800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNCFQFLNGEKMVPQSRKSASTRSNSSRSTDRDRRVSESDFNSSSDMSDFSVGRSRFPSLSQRRNNFRIFTFEELKHATRNFSRSLMIGEGGFGCVYKGIIQSSNDPSTTLDVAVKQLSRGGLQGHKEWLTEVDVLGVVEHPNLVKLIGYCAENDERGIQRLLIYEFMPNRSVEDHLSIRSITTLSWPIRLKIALDAANGLTYLHEGGEFQIIFRDLKTSNILLDAHWNAKLSDFGLARQGPTDGLSHVSTAIVGTMGYAAPEYIQTGRLTAKSDIWSYGVVLYELITGRQPMDRNRPRKEQKLLEWVKPYTSDAKKFHLIVDPKIDDNYCLKQAMELSAVANKCLMRSPKLRPKMSDVLGMVQRIVCTENGTLRHPSSHFPEERSCREREGRGMDLKTRISDLKV >Ma10_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21794597:21796961:1 gene:Ma10_g07360 transcript:Ma10_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPQPPRINLGDLKLQIDKKLGPERSQRYFAYLTLLLSQRLSKPDFNRYCLPILGHENIHLHNQLIRSILKNAFQAKTPPPLGPEKATFKPIEAVGKKLSQDESMVNHLAAPTPMHSVWSNGSILSPSSHKVRSCIQDRRIKDRPIPLGQNGTADATYHESSVPLDKNVATENGTLGPRNRKRPMQHHQGVPSEQPARRPRAGDLTPHAQAFLESKDLVEVEAMKDAEELRQAVDLSSGSGPLEAPLGVPFCPASLGGAQRSLPLGTTSSSGSLTSNYYHGELCHSEILKKRMEKIAEAHGLEGVALDCSNLLNNGLDAYLKRLIRSCVELLGSKSGHDHMKHPFFQQQAQMTPINGVWPGNHMHAQNSPGSLNHMQWLKTHPVSVQDFRIAMELNPQQLGEDWPLLLEKICLHSYED >Ma02_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17024271:17029698:-1 gene:Ma02_g05880 transcript:Ma02_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELDVGEAKAERAPEVPPSFSSPVPPRKISGPTRRSTKGGWTNEEDAILSRAVKQFDGRNWKRIAEAFPGRTDIQCLHRWQKVLNPELVKGSWTKEEDECIIKLVAKHGCKRWSIIAKSLSGRIGKQCRERWYNHLNPAIKKDAWTPEEEVTLIYAHKKYGNKWAEIAKHLHGRAENSIKNHWNCSLKKKLASYLSSKSFDQPSGVIALNLEDCIQKVGCLEADSSEQGILGFGSHFTANAAVLDHQNPSKRALDASLRPLLGGVSRCFRVNNQSMTLEDSRFLDIEMKVISTRCRHMTEVNNSTRHLNFSIDESPGAIAQTAMSCSSCERSCHESDTWHRDGSARGNASDLQNCSTIQSEMNPSSSNHLCSDDHSYTDKSNIPGFGLSNNDGGRQSDLVPGTYLHVQVQDIMVSTSSEERAVSNGHIQQNHSSGECSTPPNHSQSLASIPVSPASFLRSAAKSFKNTPSILRRRKHESSKQLFVDC >Ma02_p05880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17024661:17029698:-1 gene:Ma02_g05880 transcript:Ma02_t05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELDVGEAKAERAPEVPPSFSSPVPPRKISGPTRRSTKGGWTNEEDAILSRAVKQFDGRNWKRIAEAFPGRTDIQCLHRWQKVLNPELVKGSWTKEEDECIIKLVAKHGCKRWSIIAKSLSGRIGKQCRERWYNHLNPAIKKDAWTPEEEVTLIYAHKKYGNKWAEIAKHLHGRAENSIKNHWNCSLKKKLASYLSSKSFDQPSGVIALNLEDCIQKVGCLEADSSEQGILGFGSHFTANAAVLDHQNPSKRALDASLRPLLGGVSRCFRVNNQSMTLEDSRFLDIEMKVISTRCRHMTEQL >Ma10_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14264733:14268709:1 gene:Ma10_g03670 transcript:Ma10_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSTQGLCAPATNGGCARVLEMADLFLCSFSSSYLPVLFPEGIGREKKDDLQPGDSSQALFEVGIHVSRGDRKKRICESCTRDRCRSQEQSASAPDIGSRSYVHIGATKNSFTFAALSNACVPENEAGQCEGLLNAVSKHVDAFCRFSRPHTVIGTVIGIVSVSLLPVESIADISLIFFDGLLKALLPAIFMNIYVVGLNQLFDIEIDKVNKPRLPLASGEFSLEIGIAIVALCCVLSFALGVKSQSPAILSALLISFFLGSAYSINLPFLRWKQHAFLAASCILCVRAIVVQLAFFIHMQRYVLGRPIALTKSVVFATAFMCFFSAVIALFKDIPDVDGDRDFGIHSFSVRLGQEKVFWLCIKLLLTAYTTALAVGASSSNTYRKLVTVIGHGFLAFILWFRAQSLDVENKVSVTSFYMFIWKLFYAEYLLIPFMQ >Ma10_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15095580:15096722:-1 gene:Ma10_g04420 transcript:Ma10_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDLRLISYINAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIINLHSLLGNKWSVIARRLPGRTDNEIKNYWNTHIRRKLLGRGLDPQTHRPIGGDSQSTASCEQHACSGVTMVEGRRWCPDLDLTMGLPYASPRSSTSSEVLTTPAEAEAEATTPASVLPHVRTICFCYHLGFRSSQVCSCQTSSTGPVLGGRAANHSSRASSSARDQSC >Ma07_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31834035:31836461:1 gene:Ma07_g24470 transcript:Ma07_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGSSKLHQEGLPQSDKREPITSSSAAAAAAESRDLLLSAAAKDEQRRQLAPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLHQAEPSIIAATGTGTIPASALAAAAAAASMSLPGATAPAGLHQKLDELGQEAGAAARPGWAVTGAANLGCSHPGLWPPLVGGFNSGFLHATATVPSSSNLSAGGGDSVSSFMQRIGLHGFELTGGNPGAVSFASMLSGHGQQLPGLELGLSQEGHIGALNPQALGQFYLQMGQGRGGVGAGGSGQFHLLHHHQHHHQQQQQQQQPAGSAENDSEGSEQ >Ma02_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20528381:20530149:1 gene:Ma02_g11300 transcript:Ma02_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAKAAPLVPLSMERRWMWPLLLCSIVVIVLAVTSSNMSIISSFQTMFWIFPTVPSANRTGPAFVESQLASAAPPPVGSRIPRLAYLISGSKGDLDRLWRTLRALYHPRNIYVVHLDLESPASERLELALRVANDTVLSTVGNVHVVEKANMVTYRGPTMVASTLHACAILLKESKEWDWFINLSASDYPLVTQDDILHVFSSLPRNISFVEHTSRLGWKEGQRAKPLMVDPGLYMTRKTDVFWVSQKREVPTAFKLFTGSAWVALTREFAEFCVWGWDNLPRILLMYYTNFVSSPEGYFQTVICNAPEFSVTVANHDLHYISWDVPPKQHPHTLSMDDLPKMIGSNAPFARKFKRDDPVLDQIDAELLGRAKGSFVPGGWCAGAPLCTEIGDPTRLQPGPGAERLAALMDVIVRSKKFTQNQCR >Ma06_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8419494:8421522:-1 gene:Ma06_g12120 transcript:Ma06_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNGMASSSSFPSNFMVPMQINCGEENQLGIPVGPLLLANLQDLRGVPPMMGKISMSFPGIETGDEMTADDDLSDDGLPAGEKKKRLNIEQVRTLERNFELGNRLEPERKMQLARVLGLRPRQVAIWFQNRRARWKTKQLEKEYDVLKRQLEATKTENEALQVQNKKLQAEILALRGGEASELINLNKETEGSCSNRSENSSDINLDISRTSVTESPLPPHQTLPYFQSVRPADTDLLLHSSCKPEFQCPKVENDEGSFINLLCSMGDQPAFWPWPDHHNFH >Ma03_p28020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31214591:31215974:1 gene:Ma03_g28020 transcript:Ma03_t28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLLVIFTLASSLGAFAEQCGRQAGGALCPGGLCCSQYGWCGNTDPYCGKDCQSQCGGSGGGSVDSIISSSLFEQMLKHRNDAACPGKGFYTYTAFIAAANFFSGFGTTGDDTARKREIAAFLAQTSHETTGGWATAPDGPYAWGYCFVQEQNPPSDYCVASSQWPCAAGKKYYGRGPIQISFNYNYGPAGRAIGSDLLNNPDLVATDATISFKTALWFWMTPQSPKPSCHDVITGRWTPSNADQAAGRRPGYGVTTNIINGGLECGKGYDARVADRIGFYKRYCDLLGVSYGDNLDCYNQRPFASTAATATF >Ma03_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4717379:4720668:1 gene:Ma03_g06880 transcript:Ma03_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKRMRRPQQPTTAPDPESEGALRASPTPPRLLRPWPAFLLLASLLVVGAVLSRAQRSLSIDSFRAAARAGSVGAASGGLENESFPSSRGPKDKLLHGLLAAGFDEQSCLSRYHSALYWKESPHLPSPYLLEKLRNYEALHKKCGPNTELYKKSVEQLKSGRGTQSTECNYVVWVSYSGLGNRMLSIASAFLYALLTNKVLLIDRGSDMDDLFCEPFPESSWLLPLDFPITKFGTFDIKTPESYGNMLKNKVISYGVDGTSPQSLPAYIYLHLSHDYGDRDKNFFCEDDQKFLQNVPWLLLRSNNYFVPSLFLIPAYEQELRQLFPEADTVFHHLGRYLFHPTNTVWGLITRYYQSYLAKADERVGIQIRVFETDTGPFQYVLDQILACSQKEKVLPDVSGQETVVSTPNVKSKAVLLTSLNNGYFEKIRNMYWEQPTATGEIISVHQPSHEGYQKTEKQMHNMKALAEMYLLSFTDVLVTSAWSTFGYVAQGLGGLQPWILYKPDNQTAPDPPCRRAMSIEPCFHAPPFYDCKAKKGIDTGALVPHVRHCEDMSWGLKLVNQA >Ma03_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25611775:25619604:-1 gene:Ma03_g20440 transcript:Ma03_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMESPVGRSPRTPEAEIGHRLEDLWDVQQPQLSPSQKLNSCFEDLPVSSFPAAPPSQVIDIPSDASLADAVETLSKHKILSAPVRNVNAPEDASWIDKYIGIVEFPGIAVWLLHQFEIANSNPEDAASMGGCFFETLTSSEFYKNTKVEDIAGSFRWAPFLALQNSDSFLTMLLLLSKYRMKSLPVVDLGEGKIENVITQSAVVHMLAECVGLHWFENWGSKKLYELGLPIMKPNRLLKVSEEEPVLKAFKLMRKKGIGGLPVVNESGERAIGNISIRDVQYLLTAPEIYKDYRSITVKNFLTAVRSYLEEHHEASPMLRDLVTCKRDDTLEDIILRLDHEKIHRIYVVDEEENLQGVITLRDIISKLVHEPHGYFGDFFYGVVPLPESSRV >Ma03_p20440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25611775:25619604:-1 gene:Ma03_g20440 transcript:Ma03_t20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMESPVGRSPRTPEAEIGHRLEDLWDVQQPQLSPSQKLNSCFEDLPVSSFPAAPPSQDASLADAVETLSKHKILSAPVRNVNAPEDASWIDKYIGIVEFPGIAVWLLHQFEIANSNPEDAASMGGCFFETLTSSEFYKNTKVEDIAGSFRWAPFLALQNSDSFLTMLLLLSKYRMKSLPVVDLGEGKIENVITQSAVVHMLAECVGLHWFENWGSKKLYELGLPIMKPNRLLKVSEEEPVLKAFKLMRKKGIGGLPVVNESGERAIGNISIRDVQYLLTAPEIYKDYRSITVKNFLTAVRSYLEEHHEASPMLRDLVTCKRDDTLEDIILRLDHEKIHRIYVVDEEENLQGVITLRDIISKLVHEPHGYFGDFFYGVVPLPESSRV >Ma04_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6244187:6256883:-1 gene:Ma04_g08770 transcript:Ma04_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLPKNPFPATQEKKPRPQKETQRKPDGVHREVYALTGGMAPLMPTIEVSHLKKRQNTEKEKISWQWLPFTSSARTDNLHLYHWVRVVNGVPPTGDYSFAKYNKSVDVVKYTDEEYKKHLTDPMWSREETDQLFDLCERFDLRFIIIADRFPYPRTVEELKSRYYAVTRALLLARAPSPGDVSGNPIVKEPYNMTHEVERKRALSVVLSQTKQQEQRDAEILAEAKQIMESRMASKNAEDVELPVNQIGNEGSEATAHAESISLLNTNHLSSSTAAPPTAECTSTPASLRMLRVYLRTHALEQMVQAANSSAGLRTIKRVDQTLQDLGVHLKPKVPTKSVCAEHLELRKEILTLLNLQKQLQNREAEASVNRDNSYAETPSTPKRSFRGDVERSLVPDSAGFGGDRVGKRDHKRKAPGRFTDAPPSPPQSKRPRKLKSSDG >Ma01_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1363253:1364451:1 gene:Ma01_g02030 transcript:Ma01_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDPKSHEQEMGVKESDTTGSGGGGSGAAEDEKDNDSEPREGAIVASTRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADIAESIAHFARRKQRGVCVLSGSGTVANVTLRQPASPGAVVALHGRFEILSLTGTFLPGPSPPGTTGLTVYLAGGQGQVVGGSVVGSLIAAGTVMVLASTFANATYERLPLEEAEESVGGGLPVQLHGGVASLMPGAGGLPDPSALPIYNLPPNLAHNGGGQMGHDAFEWAHARAPF >Ma09_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4397761:4400779:-1 gene:Ma09_g06920 transcript:Ma09_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQAVVVATAVVVVVVVGIIRGSEKEVTWCVARSEAGAMALEAAMDQVCGSGVVDCSPVQPNGLCYLPNTLPSHASYAFNSYYQRSNANPAACDFHGTATVTISNPSYGSCTYPSSISTAGGLISTPNAGGGDDVGDGGRLNPPVVGSTVPAIDMAVASHLCRPLLLVVCLCFVSLLF >Ma09_p03990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2622808:2627760:1 gene:Ma09_g03990 transcript:Ma09_t03990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGSIKEEKFRFCIDRGGTFTDIYAEVPGQSECCVMKLLSVDPLNYDDAPIEGVRRILEEFSGQKIPRSSKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGNQARPNIFDLTVSKPSNLYEEVVEVDERIELVIDKENATASIPGISGELLRVAKPIDVEGLRPSLKCLLDKGINCLAVVLMHSYTYPHHEILVENLALSMGFRHVSLSSALTPMVRAVPRGLTATVDAYLTPVIKEYLSGFMSRFEGGAERVNVLFMQSDGGLAPEQSFSGHKAVLSGPAGGVVGYSQTLFGLETSKPLIGFDMGGTSTDVSRYNGSYEQVLETQISGAIIQAPQLDINTVAAGGGSKLKFQFGSFHVGPESVGAHPGPVCYRKGGELAVTDANLILGTIIPDYFPSIFGPNEDQPLDVEAARKEFEKLSIEINSYRKSHDSSAKDMTIEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMSEVLIHRFCGILSAYGMGLADVVEEAQEPYSSIYTPGSLLEVSRRESVLLTQVRQKLGDQGFGDESINTETYLNLRYEGTDTAIMVKQPTGEDGIDYAGRFERMFQKEYGFKLQNRKILICDVRVRGIGVTNILKPRELEPSMGIPRAEGSYKIYLGKGWLETPLFKLEKLGYGHTLHGPAIIMNGNSTIIVEPNCKATITKYGNIRIEINSAPTAIDIAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCAVFGPDGGLVANAPHVPVHLGAMSSTVCWQLNYWGDNLYEGDVLVSNHPCAGGSHLPDITVVTPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVEGGIFQEEGIVNLLQTPGWDEKSNNKILGTRRLQDNLSDLRAQVAANQRGIALIKELIDQYGLETVQAYMSFVQKNAEAAVREMLKTVAARVEQEKGSVVVEEEDYMDDGSAIHLKLSMDSEKGEANFDFEGTSPEVYGNWNAPQAVTAAAVIYCLRCLVDVDIPLNQGCLAPVTIHIPEGSFLSPSDKAAVVGGNVLTSQRITDVILTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPTWDGTSGIQCHMTNTRMTDPEIFEQRYPVLLHKFGLRENSGGAGYHRGGDGLIREIEFRQPVVVSILSERRVHAPRGLKGGMDGARGANYLIKKDKRRVYLGGKNSLKVDAGEILQIYTPGGGGFGSPL >Ma09_p03990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2622808:2627760:1 gene:Ma09_g03990 transcript:Ma09_t03990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGSIKEEKFRFCIDRGGTFTDIYAEVPGQSECCVMKLLSVDPLNYDDAPIEGVRRILEEFSGQKIPRSSKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGNQARPNIFDLTVSKPSNLYEEVVEVDERIELVIDKENATASIPGISGELLRVAKPIDVEGLRPSLKCLLDKGINCLAVVLMHSYTYPHHEILVENLALSMGFRHVSLSSALTPMVRAVPRGLTATVDAYLTPVIKEYLSGFMSRFEGGAERVNVLFMQSDGGLAPEQSFSGHKAVLSGPAGGVVGYSQTLFGLETSKPLIGFDMGGTSTDVSRYNGSYEQVLETQISGAIIQAPQLDINTVAAGGGSKLKFQFGSFHVGPESVGAHPGPVCYRKGGELAVTDANLILGTIIPDYFPSIFGPNEDQPLDVEAARKEFEKLSIEINSYRKSHDSSAKDMTIEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMSEVLIHRFCGILSAYGMGLADVVEEAQEPYSSIYTPGSLLEVSRRESVLLTQVRQKLGDQGFGDESINTETYLNLRYEGTDTAIMVKQPTGEDGIDYAGRFERMFQKEYGFKLQNRKILICDVRVRGIGVTNILKPRELEPSMGIPRAEGSYKIYLGKGWLETPLFKLEKLGYGHTLHGPAIIMNGNSTIIVEPNCKATITKYGNIRIEINSAPTAIDIAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCAVFGPDGGLVANAPHVPVHLGAMSSTVCWQLNYWGDNLYEGDVLVSNHPCAGGSHLPDITVVTPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVEGGIFQEEGIVNLLQTPGWDEKSNNKILGTRRLQDNLSDLRAQVAANQRGIALIKELIDQYGLETVQAYMSFVQKNAEAAVREMLKTVAARVEQEKGSVVVEEEDYMDDGSAIHLKLSMDSEKGEANFDFEGTSPEVYGNWNAPQAVTAAAVIYCLRCLVDVDIPLNQGCLAPVTIHIPEGSFLSPSDKAAVVGGNVLTSQRITDVILTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPTWDGTSGIQCHMTNTRMTDPEIFEQRYPVLLHKFGLRENSGGAGYHRGGDGLIREIEFRQPVVVSILSERRVHAPRGLKGGMDGARGANYLIKKDKRRVYLGGKNSLKVDAGEILQIYTPGGGGFGSPL >Ma09_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2622808:2627760:1 gene:Ma09_g03990 transcript:Ma09_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGSIKEEKFRFCIDRGGTFTDIYAEVPGQSECCVMKLLSVDPLNYDDAPIEGVRRILEEFSGQKIPRSSKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGNQARPNIFDLTVSKPSNLYEEVVEVDERIELVIDKENATASIPGISGELLRVAKPIDVEGLRPSLKCLLDKGINCLAVVLMHSYTYPHHEILVENLALSMGFRHVSLSSALTPMVRAVPRGLTATVDAYLTPVIKEYLSGFMSRFEGGAERVNVLFMQSDGGLAPEQSFSGHKAVLSGPAGGVVGYSQTLFGLETSKPLIGFDMGGTSTDVSRYNGSYEQVLETQISGAIIQAPQLDINTVAAGGGSKLKFQFGSFHVGPESVGAHPGPVCYRKGGELAVTDANLILGTIIPDYFPSIFGPNEDQPLDVEAARKEFEKLSIEINSYRKSHDSSAKDMTIEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMSEVLIHRFCGILSAYGMGLADVVEEAQEPYSSIYTPGSLLEVSRRESVLLTQVRQKLGDQGFGDESINTETYLNLRYEGTDTAIMVKQPTGEDGIDYAGRFERMFQKEYGFKLQNRKILICDVRVRGIGVTNILKPRELEPSMGIPRAEGSYKIYLGKGWLETPLFKLEKLGYGHTLHGPAIIMNGNSTIIVEPNCKATITKYGNIRIEINSAPTAIDIAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCAVFGPDGGLVANAPHVPVHLGAMSSTVCWQLNYWGDNLYEGDVLVSNHPCAGGSHLPDITVVTPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVEGGIFQEEGIVNLLQTPGWDEKSNNKILGTRRLQDNLSDLRAQVAANQRGIALIKELIDQYGLETVQAYMSFVQKNAEAAVREMLKTVAARVEQEKGSVVVEEEDYMDDGSAIHLKLSMDSEKGEANFDFEGTSPEVYGNWNAPQAVTAAAVIYCLRCLVDVDIPLNQGCLAPVTIHIPEGSFLSPSDKAAVVGGNVLTSQRITDVILTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPTWDGTSGIQCHMTNTRMTDPEIFEQRYPVLLHKFGLRENSGGAGYHRGGDGLIREIEFRQPVVVSILSERRVHAPRGLKGGMDGARGANYLIKKDKRRVYLGGKNSLKVDAGEILQIYTPGGGGFGSPL >Ma09_p03990.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2622808:2627760:1 gene:Ma09_g03990 transcript:Ma09_t03990.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGSIKEEKFRFCIDRGGTFTDIYAEVPGQSECCVMKLLSVDPLNYDDAPIEGVRRILEEFSGQKIPRSSKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGNQARPNIFDLTVSKPSNLYEEVVEVDERIELVIDKENATASIPGISGELLRVAKPIDVEGLRPSLKCLLDKGINCLAVVLMHSYTYPHHEILVENLALSMGFRHVSLSSALTPMVRAVPRGLTATVDAYLTPVIKEYLSGFMSRFEGGAERVNVLFMQSDGGLAPEQSFSGHKAVLSGPAGGVVGYSQTLFGLETSKPLIGFDMGGTSTDVSRYNGSYEQVLETQISGAIIQAPQLDINTVAAGGGSKLKFQFGSFHVGPESVGAHPGPVCYRKGGELAVTDANLILGTIIPDYFPSIFGPNEDQPLDVEAARKEFEKLSIEINSYRKSHDSSAKDMTIEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMSEVLIHRFCGILSAYGMGLADVVEEAQEPYSSIYTPGSLLEVSRRESVLLTQVRQKLGDQGFGDESINTETYLNLRYEGTDTAIMVKQPTGEDGIDYAGRFERMFQKEYGFKLQNRKILICDVRVRGIGVTNILKPRELEPSMGIPRAEGSYKIYLGKGWLETPLFKLEKLGYGHTLHGPAIIMNGNSTIIVEPNCKATITKYGNIRIEINSAPTAIDIAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCAVFGPDGGLVANAPHVPVHLGAMSSTVCWQLNYWGDNLYEGDVLVSNHPCAGGSHLPDITVVTPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVEGGIFQEEGIVNLLQTPGWDEKSNNKILGTRRLQDNLSDLRAQVAANQRGIALIKELIDQYGLETVQAYMSFVQKNAEAAVREMLKTVAARVEQEKGSVVVEEEDYMDDGSAIHLKLSMDSEKGEANFDFEGTSPEVYGNWNAPQAVTAAAVIYCLRCLVDVDIPLNQGCLAPVTIHIPEGSFLSPSDKAAVVGGNVLTSQRITDVILTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPTWDGTSGIQCHMTNTRMTDPEIFEQRYPVLLHKFGLRENSGGAGYHRGGDGLIREIEFRQPVVVSILSERRVHAPRGLKGGMDGARGANYLIKKDKRRVYLGGKNSLKVDAGEILQIYTPGGGGFGSPL >Ma09_p03990.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2622808:2627760:1 gene:Ma09_g03990 transcript:Ma09_t03990.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGSIKEEKFRFCIDRGGTFTDIYAEVPGQSECCVMKLLSVDPLNYDDAPIEGVRRILEEFSGQKIPRSSKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGNQARPNIFDLTVSKPSNLYEEVVEVDERIELVIDKENATASIPGISGELLRVAKPIDVEGLRPSLKCLLDKGINCLAVVLMHSYTYPHHEILVENLALSMGFRHVSLSSALTPMVRAVPRGLTATVDAYLTPVIKEYLSGFMSRFEGGAERVNVLFMQSDGGLAPEQSFSGHKAVLSGPAGGVVGYSQTLFGLETSKPLIGFDMGGTSTDVSRYNGSYEQVLETQISGAIIQAPQLDINTVAAGGGSKLKFQFGSFHVGPESVGAHPGPVCYRKGGELAVTDANLILGTIIPDYFPSIFGPNEDQPLDVEAARKEFEKLSIEINSYRKSHDSSAKDMTIEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMSEVLIHRFCGILSAYGMGLADVVEEAQEPYSSIYTPGSLLEVSRRESVLLTQVRQKLGDQGFGDESINTETYLNLRYEGTDTAIMVKQPTGEDGIDYAGRFERMFQKEYGFKLQNRKILICDVRVRGIGVTNILKPRELEPSMGIPRAEGSYKIYLGKGWLETPLFKLEKLGYGHTLHGPAIIMNGNSTIIVEPNCKATITKYGNIRIEINSAPTAIDIAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCAVFGPDGGLVANAPHVPVHLGAMSSTVCWQLNYWGDNLYEGDVLVSNHPCAGGSHLPDITVVTPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVEGGIFQEEGIVNLLQTPGWDEKSNNKILGTRRLQDNLSDLRAQVAANQRGIALIKELIDQYGLETVQAYMSFVQKNAEAAVREMLKTVAARVEQEKGSVVVEEEDYMDDGSAIHLKLSMDSEKGEANFDFEGTSPEVYGNWNAPQAVTAAAVIYCLRCLVDVDIPLNQGCLAPVTIHIPEGSFLSPSDKAAVVGGNVLTSQRITDVILTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPTWDGTSGIQCHMTNTRMTDPEIFEQRYPVLLHKFGLRENSGGAGYHRGGDGLIREIEFRQPVVVSILSERRVHAPRGLKGGMDGARGANYLIKKDKRRVYLGGKNSLKVDAGEILQIYTPGGGGFGSPL >Ma02_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26142001:26144670:-1 gene:Ma02_g20100 transcript:Ma02_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pterin-4-alpha-carbinolamine dehydratase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51110) UniProtKB/Swiss-Prot;Acc:Q9LU63] MASASFSFVAPPLASANSLLHGRATLAFPSSSHSASWRSRRRHGHLRLLAQGADLLGDFGARDPFPEEIESNFCEKVLGNTDTMHRILIPNISALSLAQMSCEPISSSQPPISTEDAEKLLKKVVGWRLVDGDGGKRIHCLWKVRDYGCGVQLITRIYCVAEAAGHFPNLHLEQPNQVRAELWTNSIGGLSMNDFIVAARIDQIKTLDLLPKKRIWA >Ma05_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32425552:32427645:1 gene:Ma05_g20780 transcript:Ma05_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAAVVMHLPIPSNNCCRPSFLTSSSSTIILPEQVRPLQRRRYSSSERSSMTVRAELQTTNPTSAATVTSSSSSIATHRVTVHDRQRGIVHEFVVPEDQYILHTAESQNISLPFACRHGCCTSCAVRVRSGQIRQPEALGISAELKAKGYALLCVGFPSSDIEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >Ma05_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5979442:5980876:-1 gene:Ma05_g08060 transcript:Ma05_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPLYFVLLFLIPYILPSFFSSKVY >Ma06_p03770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2724278:2733713:1 gene:Ma06_g03770 transcript:Ma06_t03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADWNEREIEDIVFGKFGENDDQRVAHRHGRPVKESLASGDILVTGTSEDSIVSKTNGVWRKEGTNICPLEIGNSRIVAGLDSNTCSTSYSIGASRGTVEEIGICQLSFDNNTTADSKLTFLENDNDRETDLLYCDWSDISNFEDVDTMFRNCDPTFGQWSNTDGWSRISSSSNDIFYPEDTFISGFESSTLEFRDFNDASAYCANISSLPESNTPEVNNHRQSCLANQSFELLRSTEQPYDGGGGSETKSALTEFGNVNSLNECEFQQPHINIQTEQLSRHCPSEVEGKGFEPYQSQILPKHNCFMKSDPSSYMPALKLDAHIEDKLLYQDLLMPTMSSSINECKQNPSSSFEISAQAISNTSHGMENLPDLISKDPVKHLKEMVEKPSKGLLELDNSVKEQPDNLEQMIVSDTGNMGLELHATDMDFTVGKSSSIPSGFSEDAAVKAISFQQLQDVIGQLDLRTKLCIRDSLYRLARSAEQRHGFAAANNENVECRRGVHGTANLRNRSAAYVDMETETNPIDRSVAHLLFHRPPESANRFADDDAMSLSSLI >Ma06_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2724278:2733713:1 gene:Ma06_g03770 transcript:Ma06_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWNEREIEDIVFGKFGENDDQRVAHRHGRPVKESLASGDILVTGTSEDSIVSKTNGVWRKEGTNICPLEIGNSRIVAGLDSNTCSTSYSIGASRGTVEEIGICQLSFDNNTTADSKLTFLENDNDRETDLLYCDWSDISNFEDVDTMFRNCDPTFGQWSNTDGWSRISSSSNDIFYPEDTFISGFESSTLEFRDFNDASAYCANISSLPESNTPEVNNHRQSCLANQSFELLRSTEQPYDGGGGSETKSALTEFGNVNSLNECEQPHINIQTEQLSRHCPSEVEGKGFEPYQSQILPKHNCFMKSDPSSYMPALKLDAHIEDKLLYQDLLMPTMSSSINECKQNPSSSFEISAQAISNTSHGMENLPDLISKDPVKHLKEMVEKPSKGLLELDNSVKEQPDNLEQMIVSDTGNMGLELHATDMDFTVGKSSSIPSGFSEDAAVKAISFQQLQDVIGQLDLRTKLCIRDSLYRLARSAEQRHGFAAANNENVECRRGVHGTANLRNRSAAYVDMETETNPIDRSVAHLLFHRPPESANRFADDDAMSLSSLI >Ma06_p03770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2724348:2733713:1 gene:Ma06_g03770 transcript:Ma06_t03770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADWNEREIEDIVFGKFGENDDQRVAHRHGRPVKESLASGDILVTGTSEDSIVSKTNGVWRKEGTNICPLEIGNSRIVAGLDSNTCSTSYSIGASRGTVEEIGICQLSFDNNTTADSKLTFLENDNDRETDLLYCDWSDISNFEDVDTMFRNCDPTFGQWSNTDGWSRISSSSNDIFYPEDTFISGFESSTLEFRDFNDASAYCANISSLPESNTPEVNNHRQSCLANQSFELLRSTEQPYDGGGGSETKSALTEFGNVNSLNECEFQQPHINIQTEQLSRHCPSEVEGKGFEPYQSQILPKHNCFMKSDPSSYMPALKLDAHIEDKLLYQDLLMPTMSSSINECKQNPSSSFEISAQAISNTSHGMENLPDLISKDPVKHLKEMVEKPSKGLLELDNSVKEQPDNLEQMIVSDTGNMGLELHATDMDFTVGKSSSIPSGFSEDAAVKAISFQQLQDVIGQLDLRTKLCIRDSLYRLARSAEQRHGFAAANNENVECRRGVHGTANLRNRSAAYVDMETETNPIDRSVAHLLFHRPPESANRFADDDAMSLSSLI >Ma06_p03770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2724278:2733713:1 gene:Ma06_g03770 transcript:Ma06_t03770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADWNEREIEDIVFGKFGENDDQRVAHRHGRPVKESLASGDILVTGTSEDSIVSKTNGVWRKEGTNICPLEIGNSRIVAGLDSNTCSTSYSIGASRGTVEEIGICQLSFDNNTTADSKLTFLENDNDRETDLLYCDWSDISNFEDVDTMFRNCDPTFGQWSNTDGWSRISSSSNDIFYPEDTFISGFESSTLEFRDFNDASAYCANISSLPESNTPEVNNHRQSCLANQSFELLRSTEQPYDGGGGSETKSALTEFGNVNSLNECEFQQPHINIQTEQLSRHCPSEVEGKGFEPYQSQILPKHNCFMKSDPSSYMPALKLDAHIEDKLLYQDLLMPTMSSSINECKQNPSSSFEISAQAISNTSHGMENLPDLISKDPVKHLKEMVEKPSKGLLELDNSVKEQPDNLEQMIVSDTGNMGLELHATDMDFTVGKSSSIPSGFSEDAAVKAISFQQLQDVIGQLDLRTKLCIRDSLYRLARSAEQRHGFAAANNENVECRRGVHGTANLRKSAAYVDMETETNPIDRSVAHLLFHRPPESANRFADDDAMSLSSLI >Ma05_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35457513:35465118:-1 gene:Ma05_g23420 transcript:Ma05_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot-like protein [Source:Projected from Arabidopsis thaliana (AT3G17470) UniProtKB/TrEMBL;Acc:A0A1I9LR76] MAVAASANHHLLLLRLHPRRVPSPRTPLLRRNLIPALSTASRRDLAPGIRSSFAAADEASPNSPPLVEQPGGKMVVELVGAFNELTGRMGGVLSTTSSSRLLFKTLKLSIPLLQALPLAPDGRPPLSRALSVACLLADLQMDAEVISAGMLREALEAGAITLHEVKSEISVSTAHLLHESMRMMHVPSKVEILDDESASALRRYCLTYYDIRALSLELAVKLDMMRHLDYLPRYRQQIKSLEVLKIYAPLAHAVGTGSLSLELEDLSFQYLFPYSYLYIDTWLRSYETEIRPLLEVYKEQLLQVLKADSELEMMVDSISIRGRYKSRFSTMKKLLRDGRKPEEVNDLLGLRVILNPRPGDDMPERGERACYRTHEIIQTLWKEVPSRTKDYIARPKKNGYRSLHVAVDVSEEGRVRPLMEIQIRTKEMDSLASGGAASHSLYKGGLTDPSEAKRLKAIMMAAAELAALRLRDLPSANHRGGLDIDHRNRIFRLLDKNGDGRISIEELTEVMEELGAGSKDAQELMQLLDSNCDGSLSSEEFDLFQRQVQFMRNMEETDDHYRTMLGEKLHMSDSTGLIQVYRKELGDKLAVS >Ma10_p01160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4114813:4119618:-1 gene:Ma10_g01160 transcript:Ma10_t01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MRFPKRYAIILLTFICTSVCYIERIGFSIAYTAAADAIGVNQSSKGLILSTFYYGYVVSQVPGGWAAQYIGGRRVLLLSFVLWSLTCALVPLDANRMYIMVLSRLLVGVAQGFIFPSIHTILAQWVPPHERSRSVSLTTSGMYLGAAGGMLLLPSLVKYGGAQSVFLVEASLGVTWSVMWFRFASDPLRSEHPKAIAAGFGDSMLPVSTLKEKRIPSAGSIRSLGKIPWKRMIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQEMGSSKMMPYLNMFIFSNIGGVLADYLITRRIFSVTKTRKLLNTVGFIVSALALMALPSFRNSRGTVLCSSVSLGFLALGRAGFAVNHMDVAPRYAGIVMGISNTAGTLAGIVGVGLTGRILEAAKKDDVDLSSSESWRSVFFIPGYLCIISSLIFLIFATGEKIFE >Ma10_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4114813:4119583:-1 gene:Ma10_g01160 transcript:Ma10_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MRFPKRYAIILLTFICTSVCYIERIGFSIAYTAAADAIGVNQSSKGLILSTFYYGYVVSQVPGGWAAQYIGGRRVLLLSFVLWSLTCALVPLDANRMYIMVLSRLLVGVAQGFIFPSIHTILAQWVPPHERSRSVSLTTSGMYLGAAGGMLLLPSLVKYGGAQSVFLVEASLGVTWSVMWFRFASDPLRSEHPKAIAAGFGDSMLPVSTLKEKRIPSAGSIRSLGKIPWKRMIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQEMGSSKMMPYLNMFIFSNIGGVLADYLITRRIFSVTKTRKLLNTVGFIVSALALMALPSFRNSRGTVLCSSVSLGFLALGRAGFAVNHMDVAPRYAGIVMGISNTAGTLAGIVGVGLTGRILEAAKKDDVDLSSSESWRSVFFIPGYLCIISSLIFLIFATGEKIFE >Ma03_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19316191:19323741:-1 gene:Ma03_g16660 transcript:Ma03_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLSPPAAASSLSCRTPHGLRAAPSGFHHLLLLGRWTASPLSAHTLFSCSSNINSSKATRASGDDRGAVESNPRLLDEELLRRVSGAKDADEALGMIEEARGSGDPGVIETEDCHSIIESAFDRGDADLALSVFYAMRAGVDRGMAEKDSSAGRWTWARPDVRTYALMVQRLAASLRVSDALTMISYVSRMGVSSGEEVPFGMVVRCPSCMVAIAVAQPQHGIQVASCSQCRCQYELVSGDIIKIESEEISIDISAWEKALRFLQIKKDGIPAALHSIVVCTPSGTARTHKFATRTVELPAQEGERVTISLAAPSNVYREMGPFKLSARSPGFSPGEPMCLTNHTNGKVSQLLRAPAKNGDSFFLNPYILFPSLAMLASGDAMSAIIDPSLPRLISVAAVASVALETTVNRIVLPEMCKLPQRMVDLVALKQQLLSQHDVLQARIKELRQAAEKEVWMLARMCQLENKIVAVGEPSYRARRSRVKRVRKSLESSLLARIELIESYAKISSMIEIEVEMDTDVLAAEAVGNAESIAEQIQQIMEIENLEERWRVQAEANDEAERLLSSQTVTTELV >Ma11_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18898643:18899394:1 gene:Ma11_g13740 transcript:Ma11_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAALRRIPVHLARSDPFIYLRPLARPCSSSSSAAIAPSSSVSKKVADRIVKLHAVDPEGSKREVVGLSGQTLLRALINAGLIDPASHRLEEIDACSAECEVHIAQQWLDKLPSASYDERYVLTRASRDRILNKHARLGCQVVLQPELQGMVVAVPEPKPWDTP >Ma10_p27870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34937166:34942627:1 gene:Ma10_g27870 transcript:Ma10_t27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPPGGGKVYTRKSLNKAAKPAFHPRPPPPPHDDLDSPRRHPPPHPVASDDASSGPNRPSARPPLANGRGSLGRSVASRSRKEARELRRKLAAELDQVRALSKRLEAHELQLASAAGYTRSQLSVTDPNTPGSGRRAPEVAATAGPLRRQLSVSVAASENNPGEGAEKDKRTPKANQYYRNSDFVLGKEKSAPNDQHSHKKSKAIGGKKPSSDLPNAGSEGLVDKKLYAQAFKSCSTLLSRLMKHKHGWVFNVPVDVKALGLHDYYSIIKCPMDLGTVKSRLADDCYNSPHEFAEDVRLTFWNAMTYNLKGQDVHAMADQLLQIFEERWLAIEAEFAHLPRPPITKKPPPLDLRMLERSDSTVHPVAAEPITKQVNHSTHIGRVPAPKKPKAKDPNKRDMTFEEKQKLSNSLQNLPPEKLESIVQIIKKRNSSLSQHDDEIEVDIDSVDVETLWELDRFITNYKKALSKNKRKAELATSVRQAAGHNAPEVVHTMTQEPVDADEPEKMVDEKYVASSSPVGGEKEGENAIRTSSSSSDSGSSSSDSDSESSSAYGSDAPLSHSPRT >Ma08_p30430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41700756:41702316:1 gene:Ma08_g30430 transcript:Ma08_t30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIMLLMIAITILLATRKTEGAQVPAMFVFGDSLVDPGNNNDLLTVAKANYYPNGIDFAIGTTGRYCNGGTVVDHLGNLLGLPLIPPFNSPTTTGSNILRGVNYGSAASGILWDTGLIYVRTNITGDVFTLDEQIQNLKITLQQLHLLLGNGTADFLARSLFFVGMGANDYINNYLHPLPRKSRKYTPVAFTQLLIQEYRRQLEDLHDLGARKILVAGVPPLGCTPNQIGGSNDSRGECIRSSNTLAAQFNSQVKLLVHQLNTTLPGSSFLFWDTYSLIHNIIDNYLLYGDPLLLYLSTSLLLLLRPVSFACWLSDDSWVIAGFKYPNKACCGVGRSKGQVMCLPLLPLECRNRSEYIFWDPYHATDALNAIAAKDAYQGTLHLTFPINVEQLVRS >Ma08_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:647032:649266:-1 gene:Ma08_g00690 transcript:Ma08_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRGRLHSLLNNRWLVFVAAMWMQSWAGIGYLFGSISPVIKSSLGYNQRQIAGLGVAKDLGDSVGFLAGTLCEVLPLWAALLIGALQNLIGYGLVWLVVTGRVPALPLWVMCILIFVGNNGETYYNTAALVSCVQNFPKNRGPVVGILKGFAGLSGAIITQIYAIVHTPDHAALIFMLAVGPAMVVIALMFIVRPVGGHKQVRSSDASSFTFIYSVCLLLAAYLMGVMLLEDMVDLSPAVTILLTLILLSVLTVPVAIPVLMTFYPDEPDLARECLLPEHQKGERDGSDRPSEVILSEVEDEKPMEVDSLPESERQKRIVQLQARLFQAAAEGAVRVRRRRGPHRGEDFTLLQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDTHIFVSMISIWNFLGRIGGGYVSEVIVRDRAYPRPAALAVAQVLMAVGHLCFALAWPGAMHVGTLLIGLGYGAHWSIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGVIVSGMYDYEASRQARMHHNSASPLTGASLGEEKALECDGTACFFLSSLLMSGFCVVAVIFSSILVYRTKVVYAHLYGNRESTL >Ma07_p23640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31218948:31222620:-1 gene:Ma07_g23640 transcript:Ma07_t23640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGKGRFATRSVASAVEKTTSEEEEEEAREEEKGFFACYLLVSLSPRHKSRTYIGFTVNPRRRIRQHNGEIRCGAWRTKHGRPWGMVLCIYGFPSNVSALQFEWAWQHPKESLAVRKAASSLKSLSGIANKIKIAYTMLSLPAWENSNLTVNFFSTKYMKHTAGCPKLPKQMKTIFGTMDELPCYVKGLILDDNEENDEEDNLEEDSLSTSSAVSINHVETDVIHEERTTRHRFGTWKHDDFRQSMELTDSPCMIDSPKASEESIDDGAGVVNLMGKALDNEDSLSTSLAILVDDVEVDATHVQRTARHGLGALKHDNFRQSMELTDSPCSIGSPKATEESVDKVGVANLLGKDFSDFGGIRKPMETTKSYCLIESPGPGQECSRTSPDRTQLLCSEDPFDFLKQNNLESFRQQSTPSSSNKKASLDNLPFSPESNVIDLLSPPSCLISCCSNKHKKTSVHMDIIDLTDSPISL >Ma07_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31218948:31222311:-1 gene:Ma07_g23640 transcript:Ma07_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCIYGFPSNVSALQFEWAWQHPKESLAVRKAASSLKSLSGIANKIKIAYTMLSLPAWENSNLTVNFFSTKYMKHTAGCPKLPKQMKTIFGTMDELPCYVKGLILDDNEENDEEDNLEEDSLSTSSAVSINHVETDVIHEERTTRHRFGTWKHDDFRQSMELTDSPCMIDSPKASEESIDDGAGVVNLMGKALDNEDSLSTSLAILVDDVEVDATHVQRTARHGLGALKHDNFRQSMELTDSPCSIGSPKATEESVDKVGVANLLGKDFSDFGGIRKPMETTKSYCLIESPGPGQECSRTSPDRTQLLCSEDPFDFLKQNNLESFRQQSTPSSSNKKASLDNLPFSPESNVIDLLSPPSCLISCCSNKHKKTSVHMDIIDLTDSPISL >Ma04_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23218072:23219012:1 gene:Ma04_g20480 transcript:Ma04_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEDSEETMGSNTSGSICNSGFIPAVVIVKGKRTKRRRIHVPPVSSAVAIDSSSDASSVEVSGCVTEEDEDMANCLILLARGRASDAGLKAEGLGGEDGGAGRNLYECRTCSKSFPSFQALGGHRASHKKPKLAMATATMAENKKAMGDEDSLQISMNSFPSIKPKIHECSICGLEFNSGQALGGHMRRHRPLTTSTIQGAANKERAFLSLDLNLPAPADDEQDELRRPTSPAFTFVLAAPSALVDCHY >Ma03_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25252454:25257298:1 gene:Ma03_g20040 transcript:Ma03_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAPLPPRCPFQNRGVTRRALESKPQTTQTDDKLCAKHHRFPSHGSLLDEQPLWLDDLLTDPEISPRGISLQRSSSDPVALLEVATSFHGPISPITEEDALSDGILHESRESEEASEIGYGFEVGNCVYGPNSPRKKTKMDDLESSVVTALLKDVPSNPLQYLTVDYPSTSVINELNEKEDSTSSGNLDSESISRRRSGQRSRVRKLQYIAELERSVDILQTLGADLAARVASLFQYRLALSVENKKLRQQLASLQQEKIIKHGQHQSLKNEAERLRMIYGRHRRSKSAASCFGTSPSVVVVDPSPIDWQTLDLGKLSLGGNQVSMKHGLGH >Ma03_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2593563:2596379:1 gene:Ma03_g03970 transcript:Ma03_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSNPERSSSLCFLTFSIVLLLCGSGNAFYLPGSYMHTYSEGENIWVKVNSLTSIETELPFGYYTLPYCQPQSGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTKPLNEHEVKLLKQRTHDLYQVNMILDNLPVRRFTEPNGVVIQWTGFPVGYIPAGSSEAYIINHLKFKVLVHEYEGRGMQIMTTGEEGMGMIAETDAKNSGYEIVGFEVIPCSVKRDPEAMLKLNIYDKVDSVNCPLELEKSQTIREQEKISFTYEVVFVKSDIRWPSRWDAYLTMGGARVHWFSIMNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFREPTCSKLLCVMVGDGIQITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIVAGYVGVILWRTIKGGSDGWRSVCWSTACFFPGIVFIVLTILNFILWGSKSTGAIPISLFFTLLSLWFCISVPLTLIGGFIGTRAEEIRFPVRTNQIPREIPARKYPSWFLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVFLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAILYLGYSLIMATAIMLSTGTIGFLMSFYFVHYLFSSVKID >Ma03_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7640463:7654491:-1 gene:Ma03_g10220 transcript:Ma03_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVDRDQKWLLDCLSATLDTSRDIRSFAEASLQQASSQPGFGAALSKIMVNKEISFGLRQLAAVLLKQFIKQHWQEDEETFVHPVVSPEEKAAIRQLLPPCLDDSHGKIRTAVSMAVASIAQYDWPEDWPELLPFLLKLISGENNINGVHGSLRCLALLSDDLDDTLVPKLVPSLFPYLHTIISSSHLYEKSLRAKALSVVHSCVSVLGTMSGVYKTETITMMMPMLSSLMEQFSIILQDPMQSEDPDDWSLRMEVLKCLLQFVQSISNLSETQFSVILAPLWQTFISSLKVYQLSAIEGKQDSHSGRYDSDGGEKSLDSFIIQLFEFLLTIVGKSRLVKVIGRDVKELIYYTIAFLQITEEQEHSWSLDANQYVADEDDVTYSCRVSGSFLLEELTNAYGGEAIKSIMEACQSHFSESCQAKVAGSADWWRLQEASLFALVSLSEQLIEAEASKLTKDNLRNLLEQMITEDSGAGIHECPFLHARIFSTISKFSSLINRRICEQSLYAAIQAIASDVPAPVKVGACRALSQLLPVYSENVQPYIMGLLSSLTNLLRQASDETLHLVLETLQAAIKAGQEQSMTIEPVISPIILDVWSQHVSDPFISIDAVEVLEVIKNAPGCLQPLVSRILPPIRSVLEKPQSQPVGLVAGSLDLLIMILKSAPLDVVKAIFDACFNLVIQIVLQSDDHAEMQNATECLASFLSGGRQELLVWAGDPALTMKRLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMSLHIHELVASVVWRMQSCEISGLKSSLIVILARLVHLSTPDVDRFINLLLAIPAKGYENALGYVMPEWTKIQGEIQGAYQIKVTTTALALLLSTRHVELAKINVQGNLIKSSAGITTRSKAKLAPDRWTTIPLPAKIFALLSDALIEIQEQALDDDDDEDSDWEEASNNGSGVPQDILYSSTVPSNVNPSVEHLDAMAKVFDEGDDDGDYDDDLTKVDPLNEIKLPEFLTSFVLNLYETDQALFNYLSQNLTDVQKSVVRKVIRR >Ma03_p10220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7640463:7654491:-1 gene:Ma03_g10220 transcript:Ma03_t10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVDRDQKWLLDCLSATLDTSRDIRSFAEASLQQASSQPGFGAALSKIMVNKEISFGLRQLAAVLLKQFIKQHWQEDEETFVHPVVSPEEKAAIRQLLPPCLDDSHGKIRTAVSMAVASIAQYDWPEDWPELLPFLLKLISGENNINGVHGSLRCLALLSDDLDDTLVPKLVPSLFPYLHTIISSSHLYEKSLRAKALSVVHSCVSVLGTMSGVYKTETITMMMPMLSSLMEQFSIILQDPMQSEDPDDWSLRMEVLKCLLQFVQSISNLSETQFSVILAPLWQTFISSLKVYQLSAIEGKQDSHSGRYDSDGGEKSLDSFIIQLFEFLLTIVGKSRLVKVHTNTSITLLVTSHFLDCFYSCQSGCLKVIGRDVKELIYYTIAFLQITEEQEHSWSLDANQYVADEDDVTYSCRVSGSFLLEELTNAYGGEAIKSIMEACQSHFSESCQAKVAGSADWWRLQEASLFALVSLSEQLIEAEASKLTKDNLRNLLEQMITEDSGAGIHECPFLHARIFSTISKFSSLINRRICEQSLYAAIQAIASDVPAPVKVGACRALSQLLPVYSENVQPYIMGLLSSLTNLLRQASDETLHLVLETLQAAIKAGQEQSMTIEPVISPIILDVWSQHVSDPFISIDAVEVLEVIKNAPGCLQPLVSRILPPIRSVLEKPQSQPVGLVAGSLDLLIMILKSAPLDVVKAIFDACFNLVIQIVLQSDDHAEMQNATECLASFLSGGRQELLVWAGDPALTMKRLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMSLHIHELVASVVWRMQSCEISGLKSSLIVILARLVHLSTPDVDRFINLLLAIPAKGYENALGYVMPEWTKIQGEIQGAYQIKVTTTALALLLSTRHVELAKINVQGNLIKSSAGITTRSKAKLAPDRWTTIPLPAKIFALLSDALIEIQEQALDDDDDEDSDWEEASNNGSGVPQDILYSSTVPSNVNPSVEHLDAMAKVFDEGDDDGDYDDDLTKVDPLNEIKLPEFLTSFVLNLYETDQALFNYLSQNLTDVQKSVVRKVIRR >Ma05_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:736321:740888:1 gene:Ma05_g01250 transcript:Ma05_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L3-2 [Source:Projected from Arabidopsis thaliana (AT1G61580) UniProtKB/Swiss-Prot;Acc:P22738] MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPKDDPSKLPGLTAFVAYKSGMTHIVREVDKPGSKLHKKETCEAVTIIEAPPMVVVGVVAYVKTPRGLRSLNTVWAQHLSEEVKRRFYKNWSKSKKKAFVKYSKKYETEEGKKEIQAQLEKMKKYASVIRVLAHTQIRKLKGLKQKKAHLMEIQVNGGDIAAKVDYAYKFFEKQIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYKLGKAGEESHLATTEFDRTEKGITPMGGFPHYGVVKDDYLLIKGCCVGPKKRVVTLRQSLLKQTSRVAMEEIKLKFVDTSSKFGHGRFQTTEEKEKFYGKLKA >Ma03_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:645313:648973:1 gene:Ma03_g00790 transcript:Ma03_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPPAKKKSSGGKLNDATLKHCKSCDYSSKVFDEDTTIFMDMARDMKEEGNKLFQKREYDRALLKYEKAIKLLPKNHIDVAYLHSNMAACYMQMSPEEYHQAISECNLALEVSPKYSKALLKRAKCFEALNRLELACKDIDLVLSLEPNNLTATEISKRVKEEMERKGITLDDKTLFPLPEPLTVKEKPKKKKCHKSEEKVVVVEEKHAKVKEEPMKSIKLVFGEDIRCAQIPANCTMLQLREIVVNKFPSLKAVLIKYKDKEGDLVTITTSDELRWAEESSEPQGSVRLHIIEVDPEHDPLLVEAKKESSRKLDRSISRNRSIKCDDIKVSSVCVDDWIVQFAQLFKNHVGFNSDAYLNLHELGMKLYSGAMEETVTSEEAQEIFELAEEKFQEMAALALFNWGNVHMSRARKRLFLSENASKESMLAQVKASYDWAQGEYIKAGQRYDEALKIKPDFCEALLALGLQHFEQAKLSWCYAIGSKADLEKWPSSEVLELFNHAEDNIEKGTEMWEEIEEQRLKELNKANEERILLQKMGLEDYFIELSNNEAAEQAYNMRAQINLLWGTMLYERSVVEFKLGIPMWEECLMAAVEKFNLAGASPTDVAVMIKSHCANETAQEGFGFKIDEIVQAWDEMHDARRWINGVSSFRLEPLLRRRAPKLHHMLEHA >Ma11_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10341444:10341746:-1 gene:Ma11_g10750 transcript:Ma11_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMRAEVVMGPTRFVRDISSLSPICSFADRHRRQVLLLLFSFPRHSIHSRIPALPNLRSTVHDLYGRIQSRRRRSGISSSSSSPGGSGDCRWRQGRKSL >Ma03_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25645512:25656932:1 gene:Ma03_g20490 transcript:Ma03_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRVTASLSWRPSCASSTFLSRTPIPGAASSDDDSRSHVVPSAGFHFESVLLRSIRGLATQSLTQNQDLGLVDLPATVAAAKNPTSKIVYDEYNHERFPPGDPSKRAFAYFVLTGGRFVYASLLRLLILKFVLSMSASKDVLALASLEVDLSNIEPGNTVTVKWRGKPVFIRRRTESDIKMANSVDVSSLRDPQEDSARVKNPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPFNLEVPTYTFLDENKLLVG >Ma01_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5326452:5326884:1 gene:Ma01_g07370 transcript:Ma01_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLSTSLLLILLVFSIGNSQCRIMSGEAPSRVADYARGRSKLMRYRMGFATGRSIHGDAAEVLDDTKRAIPGGPDPQHHSVNP >Ma06_p32630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33527763:33531006:-1 gene:Ma06_g32630 transcript:Ma06_t32630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQGLLRALGGARCLARGKLAHSKLIVSAFLPDIIVSNRVLIMYTKLGRIGDARTVFDHMPHKNVVSWTIMISAYSRLGLADDAIDSVRLMVSTGLDPNHYTYVGLLLACASAGAVRIGKEIHGRIYRVELGAMSVFVDNSLINFYAKCRMMILAQRVFDGATHRSLVSWGSILSGYVYCGENEKALRVFSWAMKEGVEVNEFMITSTLSACADLGDPMIGKKLHCLAIKTGNGSDQYVEAGIVDMYANCSKMDLAHQTFSELEEPGLATWAALIGGFTKHGQGEKALVLFKELLLSGLRPNEHIFPTALVACSSTGAVQVGRQIHSLIVKQGFKMSAHIGNAVMEFYAKCGLIKESSKLFEYMEERDIVSWNSMVDCYVKQRDFEGARNCLKKMLVEGVNPDPYTYSSILNLCANLPGLGWGSQTHGKVIKFSLDSHVVVGSALIDMYAKCGRLKYADKIFNILAFKNLVSWNSILVGYAQHGFGREALDIFRRMQEENVKPNDITFIGVLSACSHVGLVEEGQSHFQNMKDYDITPKIDHFACMVDLFARAGLIKMAYDFIRSMPMEPNKVIWRSLLSGCKTYGDLEIGMYAAKCTLELDPQDIAARVMLSGVCADIGLWDEKAGLREVVNQGSKKVPGSSWV >Ma06_p32630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33527763:33531006:-1 gene:Ma06_g32630 transcript:Ma06_t32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQGLLRALGGARCLARGKLAHSKLIVSAFLPDIIVSNRVLIMYTKLGRIGDARTVFDHMPHKNVVSWTIMISAYSRLGLADDAIDSVRLMVSTGLDPNHYTYVGLLLACASAGAVRIGKEIHGRIYRVELGAMSVFVDNSLINFYAKCRMMILAQRVFDGATHRSLVSWGSILSGYVYCGENEKALRVFSWAMKEGVEVNEFMITSTLSACADLGDPMIGKKLHCLAIKTGNGSDQYVEAGIVDMYANCSKMDLAHQTFSELEEPGLATWAALIGGFTKHGQGEKALVLFKELLLSGLRPNEHIFPTALVACSSTGAVQVGRQIHSLIVKQGFKMSAHIGNAVMEFYAKCGLIKESSKLFEYMEERDIVSWNSMVDCYVKQRDFEGARNCLKKMLVEGVNPDPYTYSSILNLCANLPGLGWGSQTHGKVIKFSLDSHVVVGSALIDMYAKCGRLKYADKIFNILAFKNLVSWNSILVGYAQHGFGREALDIFRRMQEENVKPNDITFIGVLSACSHVGLVEEGQSHFQNMKDYDITPKIDHFACMVDLFARAGLIKMAYDFIRSMPMEPNKVIWRSLLSGCKTYGDLEIGMYAAKCTLELDPQDIAARVMLSGVCADIGLWDEKAGLREVVNQGSKKVPGSSWV >Ma04_p36510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34851164:34858763:-1 gene:Ma04_g36510 transcript:Ma04_t36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAYFMRREIADQDAGAQLGSQVAAPPDNRLNLAGPSSGIRSSEFQVEPLSGVLSSHGGGVSRGELVKRRRGRPRKYGPDAAGAVALAFSPTSSTGPALMSGGPDVATPKRRRGRPPGTGRKQKLASHGEWFSGSAGSDLIPHVITIAIGEDIATKIMSFSQQGPRAICILSASGVVSTATLCHYATSGDAITYKGHYEILCLSGSYMVSDTGGSQGRSGWLNISLFGLDGRVIGGSVAGPLLASNPVQVVVGSFVHPGSNAKNKGIARHESGAESEHWNEDGQQRMPSSLPTQNLSPSQVGGWSSSRQLDTGAHLNIDLTHG >Ma04_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3749594:3749872:1 gene:Ma04_g04930 transcript:Ma04_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCYFRKNFRRNKMIHRSYHHHPKPIRKLHVSESEALNKVFSAYVTSIKLGGVWHFDSQDMLVHNNILLLLLMSARDHKDHYLFWCLDMAT >Ma00_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40933251:40933844:-1 gene:Ma00_g04770 transcript:Ma00_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPMRHSIVVNLGDQLEVITNGKYKSVLHRVVAQTDGNRMSIASFYNPGSDAVVFPAPSLVQKEAEKDDVAAVYPRFVFEDYMKLYVIQKFQAKEPRFEAMKATALPIPTS >Ma05_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9516853:9519074:-1 gene:Ma05_g13130 transcript:Ma05_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRTLSHLSSGALRVGGVGSGGGNLCFALFVVAVLIFTIIAATYQPDDPLLHSSAADSRLASFLTSTSNATFLSDPSVLRTGEDFLNSSSNSTSAAVGTEAAAFIELSDINSTAASAAVVPVCDPAAPVDCADPELFHLLMRAAIEALPDIHFYRFGKPVAVPGGPGSCDMAWRFRPKDATRPMFYRDYRRFHLTQSANCVLSVSKVDDFHSGVNARKRRGSKPDYAGEDSAFGAKKADETTAAVPVVGEPVNDTLPVIDSESAFASGRYLIYMSGGDRCKSMNHYLWSFLCALGEAQYLNRTLVMDMKICLSSMYTLTGHNEEDKDFRLYFDFEHLKHSTPVIDQGQFWTDWGKWHEKDGLSLYYVDDFKVTPMKLADVKDALIMRKFDDVKPDNYWYRVCEGETESVIQRPWQLLWKSRRLMEIVSAIASRMNWDFDSVHIVRGEKAKNAELWPHLAVDTSPESLLVTLKDKIEEGRHLYIATNEPDTSFFEPLKEAYTTYFLDDFKDLWGENSEWYLETKELNNGVPVEFDGYMRVEVDTEVFLRGKKQLETFNDLTNDCKDGVHTCPTSS >Ma09_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10981118:11005364:1 gene:Ma09_g15670 transcript:Ma09_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MRVPCCSVCHSRYDEEERAPLLLHCGHGFCKACLSKMFAASADTSICCPRCRHPTVVGNSVQALRKNFPILSLLASSPSSPSFDYDFTDDDEDDGGGGGDGEEDNEEDYFGSGGRCRRTGFSSHPSVSGCCSASGSRAASTSAIDLGSHHDLKLLRRLGEGRRVGYEVWSALLSMGSSFSSGQNGRRCRHQVAVKRVAITEDMDVVWLQSRLESLRQASMWCRNVCAFHGVKRMDGHLCLVMDKFNSSIQSEMQQNKGRLTLEQILRYGADIARGVAELHAAGIVCMNLKPSNLLLDASCRAVVSDYGLPAILKRPTCRKARSVPEDCPSSLHSCMDCTMLSPHYTAPEAWEPLKKSLNIFWDDAIGISPESDAWSFGCTLVEMCTGSVPWAGLSAEEIYRAVVKARKLPPQYASIVGVGIPRELWKMIGDCLQFKPSKRPTFHAMLAIFLRHLQEVPRSPPASPDNDFAKATSTNTMEPSPTSVLEVFQGNPNDLHQLISEGNFSGVSDLLAKAASEKNNSVGSLLEAQNADGHTALHLACKRGSVELVKTILAFKEADVDILDRDGDPPIVFALAAGSPECVRALISRSANVSCRLKEGLGPSLTHVCAFHGQPECMRELLLAGADPNAVDDEGESVLHRAIAKRFTDCAIVILENGGCRSMSILNAQRKTPLHMCIETWNVEVVKKWVEVASQEDIDEAIDISSPNGTALCMAAALKKTRENEGRELVRILLTAGADPTAQDEMHFRTALHTAAMINDAELVKIILEAGIDVNIRNAQNTIPLHVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLNWIVVMLQYPSPDVDVRNHRGWTLRDFLEGLPREWISEALVEALLDKGVHLSPTIYQVGDWVKFKRSVENPTYGWQGANHKSIGFVQTLLNSDSLVVSFCTGEAHVLTNEVIKVIPLDRGQHVKLKPDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEMERVEEFKVGDWVRVRPSLTASIHGMEAVTPGSIGIVYSIRPDSSLLLGLCYLATPWHCEPEEVEPVEPFRIGDQVCVKRSVAEPRYAWGGETHHSVGKIIEIETDGLLIIDIPNRSTHWQADPSDMERVENFKVGDWVRVKASVPSPKYGWEDVTRNSIGIIHSLEDDGDMGVALCFRSKPFSCSVADMEKVQPFEVGEKIHLMPSISQPRLGWSNETAATVGAITRIDMDGTLNIKVAGRSSLWKVAPGDAERLTGFAVGDWVRLKPSLGSRPTYDWNSIGKESVAVVHSIQDSGYLELAGCFRKGKWITHCMDVEKVPCLKIGNYVRFRAVIVEPRWGWRDARPDSRGIITGVHADGEVRVSFFGIPGLWKGDPADLEKEEVYEVGDWVRLKDVSGCWKSLKPGSIGVVHGIGYEQDMWDGTVHVAFCGEQERWVGPAAHLEAVDRLDVGQRVKIKKCVKQPRFGWSTHSHASIGTISSVDADGKLRIYTPAGSKAWMIDPAEVDILEEEKVQVGDWVKVREAILTPTYQWGDVTHASIGVVHRAEDGELRIAFCFRERLWVCKEWEVEKVRAFRVGDRIRIKPGLVMPRWGWGMETSASKGEIMGIDANGKLRIRFKWRDGRLWIGDPADVVLDDIST >Ma10_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27025545:27029004:-1 gene:Ma10_g14690 transcript:Ma10_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKPTSKPALQRPPGYRDPAAALPGVPRPPPRRQPLPPSFRRPGKPLPRHRRPRRSCCCRICCWASAVALVAAALFAVATALAYLWFQPHLPSFRLAYLNATQLRVAARPDGTFLDVATHVGILATNPNGRLVLEYGDGEARIAVADDDGDVAVGTAAIAGFEQERRNRTLVRFAAAVKGVAVDEVAGARIRAGFRSKEVRFLVEVRTRLGVRVGGKNTGKVPIRVGCDPVSLKQGVSGGTLPKCRFYFLRWINLR >Ma05_p15910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14768650:14817686:-1 gene:Ma05_g15910 transcript:Ma05_t15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCSRPWIFAGGAAKASDPSGMLPRFPAVTKRSLSLDRGAVAWRDLALGCRSDRHSGNGSADEASGGAEENERFVGWFRQAWPYIRGHRGSTFVVVISGEVVDSSHLDSILQDISLLHGLGIKFVLVPGTHCQIDKLLTERGSKGRYVGRYRITDTKSLEAAMEAAGRIRLIIEAKLSPGPPILSLRRHGDNDRWHELGVSVASGNFLAAKRRGVVDGIDFGSTGEVKKIDVPRIREWLDKECIVIVSNLGYSSAGGVLNCNTYEVATACALAIKADKLICITDGQILNEQGRPIRFLTLQDADMLIRKLAKQSEIAANYVKVVGEEAIPSKCYIDSHEDGNLPWDEKGYKGRCNAMFQNGVGFDNGNGLWSGKQGFAIGGEERLSRLNGYLSELAAAAYVCRVGVQRVHIIDGTLGGALLLELFTRDGVGTMVASDVYEGTRSARAADLPGIRRVIQPLEESGALIRRTNEELLKQLDSFMVVERDGSIIACAALIPFFDNNCGEVASIAVSPECRGQGQGDKLLDFIEKKASLLGLEKLFLLTTRAADWFVRRGFTECSIESIPAERRKRINLSRGSKYYMKKLQMEEGGISINKIASGST >Ma05_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14768650:14775532:-1 gene:Ma05_g15910 transcript:Ma05_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTCTHVEELSHYFSTYEVATACALAIKADKLICITDGQILNEQGRPIRFLTLQDADMLIRKLAKQSEIAANYVKVVGEEAIPSKCYIDSHEDGNLPWDEKGYKGRCNAMFQNGVGFDNGNGLWSGKQGFAIGGEERLSRLNGYLSELAAAAYVCRVGVQRVHIIDGTLGGALLLELFTRDGVGTMVASDVYEGTRSARAADLPGIRRVIQPLEESGALIRRTNEELLKQLDSFMVVERDGSIIACAALIPFFDNNCGEVASIAVSPECRGQGQGDKLLDFIEKKASLLGLEKLFLLTTRAADWFVRRGFTECSIESIPAERRKRINLSRGSKYYMKKLQMEEGGISINKIASGST >Ma05_p15910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14768650:14779648:-1 gene:Ma05_g15910 transcript:Ma05_t15910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTYEVATACALAIKADKLICITDGQILNEQGRPIRFLTLQDADMLIRKLAKQSEIAANYVKVVGEEAIPSKCYIDSHEDGNLPWDEKGYKGRCNAMFQNGVGFDNGNGLWSGKQGFAIGGEERLSRLNGYLSELAAAAYVCRVGVQRVHIIDGTLGGALLLELFTRDGVGTMVASDVYEGTRSARAADLPGIRRVIQPLEESGALIRRTNEELLKQLDSFMVVERDGSIIACAALIPFFDNNCGEVASIAVSPECRGQGQGDKLLDFIEKKASLLGLEKLFLLTTRAADWFVRRGFTECSIESIPAERRKRINLSRGSKYYMKKLQMEEGGISINKIASGST >Ma05_p15910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14768650:14815788:-1 gene:Ma05_g15910 transcript:Ma05_t15910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMIVGMNLALVWQVVTSLQLSTYEVATACALAIKADKLICITDGQILNEQGRPIRFLTLQDADMLIRKLAKQSEIAANYVKVVGEEAIPSKCYIDSHEDGNLPWDEKGYKGRCNAMFQNGVGFDNGNGLWSGKQGFAIGGEERLSRLNGYLSELAAAAYVCRVGVQRVHIIDGTLGGALLLELFTRDGVGTMVASDVYEGTRSARAADLPGIRRVIQPLEESGALIRRTNEELLKQLDSFMVVERDGSIIACAALIPFFDNNCGEVASIAVSPECRGQGQGDKLLDFIEKKASLLGLEKLFLLTTRAADWFVRRGFTECSIESIPAERRKRINLSRGSKYYMKKLQMEEGGISINKIASGST >Ma05_p27790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38933225:38936708:1 gene:Ma05_g27790 transcript:Ma05_t27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGQFHDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVEENRRDLRELLFCTPGALQYLSGVILFEETLYQKTVDGKLFVEVLKEGGVLPGIKVDKGTVELAGTNGETTTQGHDDLGKRCKKYYEAGARFAKWRAVLKISPNEPSQLSIDANANGLARYAIICQENGLVPIVEPEILVDGPHDIAKCAEVTERVLAACYKALNDHHVLLEGSLLKPNMVTPGSESKKVAPEVVAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQGKKPWSLSFSFGRALQQSTLKAWAGKVENVEKARTAFLTRCKANSEATLGTYKGDAAGGEGVSESLHVKDYKY >Ma08_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35393312:35394256:1 gene:Ma08_g21530 transcript:Ma08_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKREEHKRVRPEPARGQPSATPRRRVDRSVSPALRTMLPSLGASRTEIFLQIREKGLLRPPVPMKNPRELVDKSKYYRFHWQSWHDTEKCRELKRQIEELVHRGHLSRYVRQNREPLPHPEGPVERHIDVITGGPASGGIGMSVRKAYTRSARADAPRRGPDPEVAFPPEDVERPEHDDALMIMALEPICSALIRFNDDSISPLGAVTLPLTLGAPPRTKTVLSTFLVVDLPTAYNAILGRPTLNKIRAVVSTYHKTVKFPTYAGIGEVWGSPRESR >Ma05_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2351485:2353595:-1 gene:Ma05_g03310 transcript:Ma05_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVHRDPKTVCVMDASCRLGASLVEALLRRGYTVHATAYASSHGKLNDLRRLQSGENTQQLRCFRADPFDYQSLVDAMKGCWGLFYVFEPPHDQPYDECMVEVEVRAAHNVLEACAQTETMERVVFTSSVTAVIWKEERRSTATVDERDWSEPNFCRGFKLWHALGKTLAEKTAWALAMDRGVEMISVNAALPTGPQLSVTNPYLKGAAEMYEDGVLVTVDVQLLVDAHVAVYESPSAYGRYICFGDAVCRPQDAVKLARMLSPNSSCAPPPSDELRVIEQRIQNKKLSKLMVSFYAGRHVEENDPSM >Ma11_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27211960:27213786:-1 gene:Ma11_g24090 transcript:Ma11_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAWVEPSLDMDKLSYEIFSILESKFLFGYDDPKLLLPSMPATPAAPRIRSATSGAVRVLSIDAAGVDFAGAALARLEASLRKQVGDPDACVADFFDLAAGSGAGGVLAALLFTRGHDGRPLFSVAEALRLLAKHGRRLASSAAQRKGILAGLLCRSGGLLRRVFGDATLRDALKPVLIPCYDLATGAPFVFSRADAVEADGYDFRMEEVCAATCADPAAGAAAVEMRSVDGRTRIRAVGGGLAMWNPTAAAITHVLNNRREFPTAAGVKDLLVVSLGGAEAPASLGKAPSLLSVADIAMITGRAQADVVDQAVGMAFGERRASNYVRIQGCGATERGVESVLFQGRKLSEQTNGEKLDLVAAELIKEHERRKRSAVPTVVLKPSTTPARSSSCC >Ma03_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19052655:19054975:-1 gene:Ma03_g16480 transcript:Ma03_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-11 [Source:Projected from Arabidopsis thaliana (AT5G03260) UniProtKB/Swiss-Prot;Acc:Q8VZA1] MGSFHASIVIVLLGFLMLVSVPTEAATKKYQFDVVVSNVSRLCHAKPVVTVNGRLPGPTIYAREGDRLIVNVTNYAQYNMTIHWHGVKQLRNGWADGPAYVTQCPIRSGGSYTYHFNVTGQRGTLWWHAHILWLRATVHGAIVIMPEQGVPYPFPQPYQETELILGEWWNADVEKVEQQGNLLGLPPNTSDAHTINGKPGPLFPCPEKHTYALEVESGKTYLLRIINAALNDDLFFAIAGHSMTVVEIDATYCKPFNTSALVIAPGQTTNVLVRADQAPGRYFMATRSFMDIPIPVDNKTATAILQYRGVPTTVVPVMPQLPAPNDTSFVASFSDQLRSLNSPRYPASVPLDVDRRLFYTIGLGVNPCPTCLNGTRLTASLNNITFVLPQVALLQAHYYDMKGVFRLDFPDKPPTSFNYTGTPLTANLGTSLGTRLSRIAFNSTVELVLQDTNLLMVESHPFHLHGYNFFVVGTGIGNFDPAKDPETFNLIDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLEVHTSWGLKMAFVVENGDGPEESILPPPMDLPPC >Ma09_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5713667:5714448:1 gene:Ma09_g08620 transcript:Ma09_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKDCGQHGECCERRKRNQRIFGCILATIIVILLIILIVWLALRPTKPRFYLQDAVVLQFNYTGPPSNLLSTVIQVTLSSRNPNDRVGIYYDRLDVYAAYKYQQISVASALPPMYQGHNDIDVWSPYLYGPDVPVAPYLCDPLTQDESSGFLLLHVKIDGRIRWKVGSWISGHYHLFVSCPALLTFQNGRSGSGGTVKFQQMSSCSVEV >Ma09_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8312227:8314054:-1 gene:Ma09_g12330 transcript:Ma09_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPKLSMMALILVAFAGLATASSFISDDVLVPRGSGGRSLLQTPTNCPLSFEFLNYTIITSQCKGPLYPVDRCCGAFKELACPYAAELNDLTNNCATNMFSYINLYGKYPPGLFSSECRDDKIGLLCPASAPQSQEDASSGYMNRQSLMSVIFLACGVVLKCLLS >Ma03_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1722364:1725470:-1 gene:Ma03_g02540 transcript:Ma03_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] MNTTPHHRLCLSAWLQCPVMAARRPPQSREAPPVIRPIQVTAHRRPFNRSVVSYRPTSASRVHLTVTQQFFLCKAKTYESEVVPRNFAAIPSSLLTAEREEAKAVLTMFLKKQGLSNTVAARVINKSECFVDHLILKLHLMHKSRYLVGRELTTLEIRGAFIPFLESLLEEHGDALVDFVENFPDPPGIERAASTSPVNTTSSSSSSKKERAIARVSQLSPDGLLPELVLYLVDLGMKLEQIKDIVRKFPAFAYYSLDRKIKPLVEFLLELGVPRSDIPTILIKRPQLCGISFSENLKPMMAYLENLGVDKSKWAKVIYRFPALLTYSRQKVKATTDYLCELGVSEKNIGKILTRCPHIISYSIEDKLRPTADYFQSIGIDVASLMHKCPQTFGLSIEANLKPVTEFFLERGYSTAEVSTMVHRYGALYTFSLVDNLIPKWNYFLTMDYPKSELVKFPHYFGYSLEERIKPRYSRVRECGVKLVLNQVLSVTNSQFEKILEKKREKILDAQFPQGQNK >Ma06_p27090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29106047:29106211:1 gene:Ma06_g27090 transcript:Ma06_t27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKPFLVDVPPKNVRWFLNLYFYPLAANICLRISLRLSTRIGSKILAYEKNA >Ma02_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23962638:23963501:1 gene:Ma02_g16730 transcript:Ma02_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFVSLAEPLEVLLLHTVLHWFAMMLERLSLGFMSFLHSYFQFCTCLSMAKDEQLMHSSSRQPREDQCLSRKEVEMVMDKMGICCPFEGEQLCEHMSSDEISGLFEENEPSLEEVKAAFCFFDANNDGFIDAVELQTALLKLGITEGLELDACRTMIAIYDGNYDGKIGFDEFVKLMETSLC >Ma08_p27710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39870157:39873140:1 gene:Ma08_g27710 transcript:Ma08_t27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGFRFTLPSPSLTSSIPHAKLKCRTPAIALLNSGISGRTTGGFDPLRLLGPPPPVVAIPPMRRQQTERRGGVVCYCAPLSPQTLQWVSAVSVAVLMFAKGTAIQKSFLVPLFALQAPTSVISWIKGDYGTWTAFLALLVRLFYFVPGGLELPFLAMLLVIVAPYQTMNLRGTQAGAIVSLAIAGYLAFQHFSRVGGLRKACDQGSIVATSAVICSLIIPWFFLF >Ma04_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5982431:5988463:-1 gene:Ma04_g08340 transcript:Ma04_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKKESNGWISAAMTAAVADLPSPLGQLGVALSDAELRESAYEIFVAACRATGSKPLTYTPQSERTIERSTSLPPSLQRSLTSAAASKMKKALGIRSPSNKGIPGNASSKKPVSAGELMRVQMGISEQLDARIRRGLLRIAAANLGKRMESMVLPLELLQQFKSSDFPDQQEYIRWQTRNLKVLDAGLLRHPYLPLGKSDAASQKLRQILHEASKTPIETGKNSEAMQVLRNAAMSLAYRSFNGFGSDTCHWADGFPLNLYLYQMLLEACFNNSSEEASIIDEIDEVLELIKKTWVFLGINEMFHNLCFAWILFHRFVTTGQVETDLLIAADKQLTEVAKDAKATQDPTYSKYLKSILSSIMSWTEKRLLAYHDMFSSSNIESMQIIVSLGVTGAKIPVEDISNGYRRRRKEETDVACSRIDAYIRSSLRTAFAQKMEHIASSRRPSRKQSTPVLCILAQEIGNLASKEQEMFSPILKKWHPLAAGVAVATLHSCYGNELKQFISSVTELTPDVVQVLRAADKLEKQLVNIAVEDSVDSDDGGKSLIREMPPYEAESAIANLVRAWIRTRADRLKEWVDRNLQQEVWIPRATKESYAPSSVEVLRIIDETLDAYFRLPIPMHPALLPDLLIGLDRNLQHYASKAKAGCGSRNNFMPTLPALTRCEVGSKLWKKKDKSQNLTKRRSQVGSTNGDGSLSLSQLCVRINSLYHIRKELENLEKKIKTCLRNTESAQADVLNGMRTSFELSLAACQEGILQVCETIAYKVVFHDLSHILWDALFVGETAASRIHPFLKELDPTLEMVSSTVHNRVRYRVITALMKASFDGFLLVLLAGGPSRGFSRQDSHIIEDDFKSLKDLYLADGDGLPEELVEKAAREVNNVLPLFRTDTETLIERFKQMVVETNDSAAKSKYPLPPNPGHWSPTEPNTVLHVLCHRNDDAATKFLKKTYNLPKKL >Ma08_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4939968:4943561:-1 gene:Ma08_g07240 transcript:Ma08_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPPYVVIFLVTSLSLLLLGGSDDRLTPGEFISLNETLVSDAGEFVFGFFSPTNSTGDFYAGVWYNNIPQRTVIWVANREKPINDSSATLRISDDSNLVIVDSEGGIFWSSNLSGFGTPGNDTAAVLYNSGNLVLRANSHNILWQSFDHPTDTFVPGMKILYNYRNHSTRYLTSWKDANDPSPGNFSLGIGSSTPAQFLIWSGTKLYRRSQVWIGKMFIGLRAINTTAVVYITMTEDDDEIGITLGVSDASLMLSSGGEDLYLRLMDISLGTSGSKTKARRIVIIVSLSAIIVCLACIFILWKFSEVFGVFKDPKNGKLLCDLSSSTDFANNISGSNEFIEGKPHQGPELPLIGFENILLATNNFSESNKLGKGGFGIVYKGNLPGGKEIAVKRLLRGSRQGMEEFKNEVILIAKLQHRNLVKLLAFCIHGEEKLLVYEYMPNKSLDFLLFDPTQKTELDWGKRFNIIKGIARALLYLHQDSRLRIIHRDLKASNVLLDEEMNPKVSDFGLAKIFGGNQDEANTDRVVGTYGYMSPEYAMEGLFSVKSDVYSYGVLLLEIVSGFRNSSFPLAMDSPNLLAYAWQLWNEGNAEDYVDPSIACSCARAEVVRSIHVGLLCVQDSPSDRPAMSSVVFMLENEEATISAAPKQPIFTIQRNLNPDTGHPPDDTYQVYSYNNVTVTAAEGR >Ma06_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25430046:25431453:1 gene:Ma06_g25150 transcript:Ma06_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSLDRPWAFTFGILGNIISFMVYLAPLPTFYRVYKRKSTEGFQSVPYVVALFSAMLWISYAFLKTDACLLITINSVGCAIETVYIVYAPKATKIFTAKLVLLVIVAMFGLILLLTLLLSEGAKRVEILGWICVSFSVSVFVAPLSVIRLVIRTKSVEFMPFSLSFFLTLSAVVWFAYGLLIRDLYVSLPNVLGFIFGILQMALYVAYKDRKKAAVVEQEPPEHVLPITNRDTAQKAVEVHRTVETHGEDKVGDGDQKEIDENKEVMASVEV >Ma07_p25220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32306078:32319555:1 gene:Ma07_g25220 transcript:Ma07_t25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 3 [Source:Projected from Arabidopsis thaliana (AT2G43420) UniProtKB/Swiss-Prot;Acc:A9X4U2] MAIVDSGSPPIGPDPTACTVMFGKSTYLGRSLVSALIKSGGWAVRVADTLPPPSLGPIQSDLAAYFHVDVADRSSLSSAVAGSAVVFLVDPLPPFPSPLVGGDFPRLHALAVVGAKNVVAACREAGVLRLVYTGSADVVFDGKNDIRDADESLPYPHRYEDPLNELRMQVEVLVLSANGKDGLLTCALRSGNIFGPGDPHLVPFLVQEARSKRAKFVIGSGKNMCDLVYVENVVHANVSAEHALSVAPASVAGKPFFITNDKPVKLWEFISNILENLGYRRPSIHLPINLAMIVILLAKLAGDKLKVCRLSNSVFSPSTVYSLSCSRTFDCSKAKTLLGYSPIVSFQEGIELTVESFSQLAKGSGHALERDWTITSKADRMLGSGVVADILLWRDEKKTFALVLSSFVLFYWFFLSGRTFVTSGAKILMVICLSLFIQGILPTKMFGSNIEKIPPSCFEISESTMRDAFFALASLWNEGISTMKLLAQGDDWSIFFKAAGCLYLVKLLLHIPISVLVGLGLASLFTVFIVYEQCEEEVDDLKKAAVVGLEKLKEPLIARLPKFLINNS >Ma01_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21114915:21116496:-1 gene:Ma01_g21450 transcript:Ma01_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGCKSALHHLHRDKARNRVDDLQSAIKDCRAADVAVLEEQVYQRLRECWAELNQPSPTTSLQVIESEADLSFCLFTLRLLQLSEEEDDATSKLAELAFGNTPKPELVELQQADVGAAQGGRAANFQEVNTNLIFFSLCSKLQTGPDNVTCHEGNGRNVFPYMSYVLPAICPPPSAFLRPKCALWDCPRPAQGSEWCRDYCSSFHTTLAINEDMPGMTLVLRPGGIDLKDGPLFTALSAKIQGKIVGIPVCEGARSPWNASGMAIDLCVLEDESLREWLFF >Ma04_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6696526:6697035:1 gene:Ma04_g09420 transcript:Ma04_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLLNYKKIKNYDFIPSPLCLLVRISVSCTRKQRRRCAVSGRRRREKEEKRRKEEEEREEGEEREVLQARVDGEEREVSAARAAAPLFPARRNIGEDMWGGEWKKKKKEKKRRERRGCSCSNSSRKRRRGRKECEEEERKRSCGCG >Ma11_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25285745:25287081:1 gene:Ma11_g20930 transcript:Ma11_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFASTGDAEVAMGRDLTAVETAWFRYSTGMSDFWLYAHNVVFLLLVYTLAPLPFVMAELKRPKAIQKYKLQPNVHFPVATFVKCYKNVVKTFIVAVGPLQLLSYPTIKWVGIRTGLPLPSVWEVVAQLAVYFLVEDYFSYWLHRALHCRWGYQHIHRIHHEFSAPMAFAAPYAHWAEVLILGFPAFLGPALVPCHILTLWLWFVLRHVEAIETHCGYDFPQTPTKYIPFYVGAEYHDYHHYVGEKSHSNFASVFTYCDYIYGTDKGFRYQKTQLAKVFYIFFYPHIYTSLHITAKRSTENTVY >Ma06_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13841004:13844078:-1 gene:Ma06_g19760 transcript:Ma06_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSDSSAMGFLGRHYEEVKEHWKNNFAFLDYYKKTFGRRKPLPKWTDADVEEFIASDPVYGPQLKDIRESRKYAIFGALLSAAHLGGVSLRYSKSPHGFVLATGFGALCGGVLGLEVAEHWKQLYKIDKQATNLRFLYWWEDKTLGKVITGTTREGQS >Ma06_p19760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13840588:13844078:-1 gene:Ma06_g19760 transcript:Ma06_t19760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSDSSAMGFLGRHYEEVKEHWKNNFAFLDYYKKTFGRRKPLPKWTDADVEEFIASDPVYGPQLKDIRESRKYAIFGALLSAAHLGGVSLRYSKSPHGFVLATGFGALCGGVLGLEVAEHWKQLYKIDKQATNLRFLYWWEDKTLGNQRN >Ma11_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:678148:720198:1 gene:Ma11_g00940 transcript:Ma11_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAPAATPVDESLWWDSFVALFDELDKVPHSEKLPDHLAEKLRRNHAWFLNSVTLFKPPDQTSRLALDSREIAVGSHRILVKPELKNAALRFSELMCLNEVQSYILVHRAYRISKLVADVEDKEFLHSVLLNYFLERQCLLQCLRRIFANALHTSNGLLSTEAFKGIALQLINDGFERKLLTIFQDLLLSVFSDQTEVDLKILWVDEILIEENLLMDILFLAYYDNFCSCKIEQWKTMCSLFKDVLCGSLNIGKVAVSTEARNSFAHVRAKMVLILIETLDLENLLHMVHDQIPFREGGSVFSVIDIKEMDAQVSSFYDLGAVEAGPLLLAWAVFLSLLLSLHETHNSSTLMEIDHVSYVRQAFEVAAFDYILEILGNDTFRDSDGPVSGFLSVMRTFLSAFIASYELSHQKEDNTLIKILDILYHIYHGEESLALQFWDKECFVDGPIRSILFMLEKEYPIHITEFVRLLSAVCEGSWPAECVYNYLDKMSGITTLFEISGGYGDKTVSDIIETHHPIEVPGVEGLLIPSGTLGRILKFLAPNIAIVCWECAHSGILLLVLRLTQDFHSDNVDDVSLTLNLLHRIISFNKALGFALMGLDKSLPIQTSKNSMQLAMGMSVDMVKIICTLIFKSVQDVSKTQILSVSLDILTEMLKCVPSHVIEAVVKSNIFDVNTSGTSSGTWLLSGGLVRMLMEDSGEKDDSYALAASVLDFTVQLVEKGAEDNLVSAFIIFSLQYVFVNHMHWKYKSKHSCWKVTLKVFEVIKSCIRASKDSQKLSGIIWDILLYDSSVHNILCRIMCISAEALRSYISHHHEFKEIEYLQLAICSAFDVLCSIMPYISQETASNVSALVQMVLSPSIKPFPVVQATVSLISFSENSAIQVAATRALSSLCFIASRLQSYTVENVSPVAEAVQIKNLQMAVLCILDKEVKIDEDLIIATFDLLSAVAYYQPALLSSLIFSEEKEEVSSDVTSDSVKQLAAVPVAENLGSYSATSPIEAIRNYVESSEILFDSAPHLLLSILNFLKALWEGGIQFSNILGKIRVSKKFWERLSSFLSPTHVMNGLLKKSFNNSKTQCLSLRYRCLGNVLGIMAHELFFLEKIMQCEKPEKVTCTSTVNATSRRANVLYAQEILSTWFVDSSFLENLIKSFSDIEYDKEVVFRAKVAVCVCIIHLIAKLTTGNAGSLSVSLVKKIHEIYNMLIAHSAFSTLLSLYSLRGYSEEKELTSLIISDLYYHLQGRLEGREIPSGPFQELSSFLLSLGTFECNDEKYERIFFLHLENISMFDIKKVQEEIGVELWDLSDWTTSKEVAESMFMHMHSANSSLTIASSKHFALEALVSVIAVYKGNMNNTKESFLHGRDISESVVESGIRHLCSCLQHVADMLVHEQNMPEGFLRVFITQQELLLILSVILFKHNSHRTNKIRFLPLSILVTKSTGSIIKVCADVRPITPLLRKAVKLVLTLLLTSHEFSNNMSHAENKSDFEVKLLADASFISIGLLPVLCKYTQDAEYSNLSVATMDLIMKALNPDTWLPIIRKHLPLQHILQNIQEREALASAPVIFNFLLTLGHTKGGAEMLSSCKFLSSTMVLLSKLHDGRPFSNNLDQSEITTIYDEKHVHIWVTSLAIIISLIQSLGDDISYMDIMVSALRYFFSEKPYMLSFYFSALNRLANDHSMKRAQTHKFQISLTALKLMENSLMLLCVLARYQASWIKGMKEMDSELRETIIHVLAFTSRGAQRVGDSSGRSLTLYCQPTTKEEVELNRRPSFIRSRHGWFTLSTAGFLTKTTSSDSLSTTLSVVIRDEENDNADLDYRSHFSDTIAIHIYRIVFLLLQFLCMQAKAAVKRADEVEFVDLAYFPELPSPEILHGLQDQAIAIVTELCKSSKPNSIEPETESVCCLLLQILERSLYLELCVSQTCGIRPVLGRVEDFTKDIKGLIHVVEQHANFNQALKSLRQILALLYPGLMKTSNFV >Ma03_p32870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34476200:34484236:1 gene:Ma03_g32870 transcript:Ma03_t32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSPAVAPASHAMARIAARAFPITTRSSLPLTFSPRVAAVLWWRLPGDPASSSAPAASFLPPGISGVPIGRSFHVSCGHLRSTVPFGGFFANLRGFRKARRKQAASKKEAPKDKQLQLDVKIGLEEEMPKDPEVLNIVEMLKLNVPMAMKIAFDGLKDSEYKTRDTSIDDVGKFDKVELSILLCNDDFIRRLNKDWRGEDKATDVLSISQHIAALDLPVLMLGDIVISVETAARQAEERGHTLLDEIRILMVHGLLHLLGFDHEISDEAETEMEKEEELVLKSLGWKGKGLIKSALDTTENGSLQKEDLDGIEIKDSKDAGSLRFYKPKFSYIFCDMDGTLLNSKSQISVTNADAIKAAVSRGVNIIIATGKTRPAVISALKMVDLAGKNGVISEFSPGIFLQGLLVYGRQGREICRSNLDQDVCREALLYSLEHEVPLIAFSQDQCFTLFDHPLVDSLHTVYHEPKAEIMSSVEHLLAAAEVQKLLFFDTAEGVSTTLRPYWAEAIMGRAEVVQAQPDMLEIVPAGTSKGNGVKMLLNHLGITEKEIMAIGDGENDIEMLQLASLGIALANGSEKTKAVADVIGACNDEDGVAKAIYEYVF >Ma06_p38130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37027408:37028204:1 gene:Ma06_g38130 transcript:Ma06_t38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGEIASIRYLSPSDLPSFQTDYHMAHGDVPSFYFGNIFGCYSSNQVQSMPLQELSLSNSGLNSHMVIVEAEEYQLNLAEERRKRRMISNRESARRSRMRKQNQLSELWSLVVHLRSTNQKLLDDLNRVIREHDQLLHENAQLRDEETKLQNKLKNIQAEDKLCSAKSRRNLLR >Ma07_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4480587:4485880:1 gene:Ma07_g06210 transcript:Ma07_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTAQAWFSGGPSERDAPPTTSLLADWNSYAASKAVEEGGSSSLAGFDLEAAVRTANDKVAGTFSVVSKGVRELPGSFQTATSSVPSGKSLMYFGLLLASGVFLVFIAFTMFLPVMVLMPQKFAICFTLGCALIVGSFFALKGPRNQLAHMSSRERLPFTLGFVGSMVGTIYVSMVLHSYVLSVLFSVIQVLALAYYAISYFPGGSAGLKFLSSTLTSSVFRCFGR >Ma06_p27280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29334746:29336693:1 gene:Ma06_g27280 transcript:Ma06_t27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVDGAHEAVVWKVEPHSSVAEADDFDRSSRQLDRPRFKIERRLSFEERSLSDLSITGNLRQVDSYGSMHSFGAVPTPTSPDWSPFELPPMVDEAWDALRKSVVFFRGQPVGTVAAVDHASGEVLNYDQVFVRDFVPSALAFLMTGEHEIVKNFLLKTIHLQSLEKKIDQFKLGEGVMPASFKVNNNPTRKTETLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLSETPECQNGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALAMLKSDAKGKEFMERIMKRLHALGYHMRRYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWIFDFMPCRGGYFVGNVSPARMDFRWFALGNCIAILSSLATPEQSDAIMDLIEERWEELVGEMPLKISYPALEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAENRLSKDGWPEYYDGKLGRYVGKQARKFQTWSIAAYLVAKMLLEDPSHLGMISLEEDKVKAPPMKRPASWTA >Ma07_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9243368:9243787:-1 gene:Ma07_g12350 transcript:Ma07_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEAISALNDKNGCNKSAISKYTETKYGNLLPSHWSLLTAHLAGMKDSGSLLFIKNNYFKPGSDAPRKPKLLPRGTILPPPRPRGRPPKPKDPLAAAVAKFAAGFSRPHLGARPRPLIPLILLLLVVGLGLSRRSLSW >Ma03_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28961942:28963654:1 gene:Ma03_g24710 transcript:Ma03_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHQIHQFDEIQWVSRIRRVLEEELESDDDDRPASISDVPKALLCSKPDAYIPQILALGPYHRHREELHDMERYKLAAARRMQSRLPGVKFLDVVALFIKLELPIRAHYHRYLKFNGETLAWMMALDMSFLLEFLQIIATSKGKMVGRAPSRMSHLVGLDRRTSAYNMLLCDALMLENQIPLFLLQKALEMQCSSSQIAAQISSSMLIGFLIEVSPFKTLDISPWIDAGQHAHLLELLYHTVAPNPEELFETVEGDEETEQEPQVCVRIKFFLRAIAAFIFNRGRALVSAVVKFLVTIPWRTIKSIPAVSIIAHPVEQLLSSQKDQNSEPARGISTHDRSTTPLLEEIAIPSVTELTKAGVKFSAMNGDISAIEFDAKTATLHLPTISLDVNAEVVLRNLVAYEASIGSRPLIFSRYVELMNGIIDTAEDAKLLREAGVVLNHLKSDEEVAELWNSMTRSVRLTRVPALDRVIEEVNSYHSSRWRVRTRRFMKNYAAASWECLVLLAVLLLFFIVSVQAFCVLYGCGVQDSSRENTAMIRHSQRVP >Ma04_p28710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29714591:29715470:-1 gene:Ma04_g28710 transcript:Ma04_t28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCLERDLDDWEFLPDDKSLLDFSHGSQNDLVLKELTLDANYFICPSQSFLEQQQNSEPTMENNSDDDAEAKGFKDIGVVTPDVESQVREEGEEKDHAGSEIKGFGFPPPGNWRSTGIGAFCAMGASAAAAAAATICIFILGCRQHQKPKIQFKIYTHDKRMKEVVQQAARLNQALSAARGAPMTRAHISFGGYIASA >Ma05_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19014584:19014994:-1 gene:Ma05_g16840 transcript:Ma05_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYGIDQSYRQGDQQQVVVKAVIAAVAGMSLLVLSGLTLAWTVIALAVATPLLMIFSPVLVPAAIVVLLLAAGFLASGGLGVAALAVLWWMYKDLTGKQPPGVELLEQVRQRLVSKARDIRESLQQQQQLHEATQ >Ma01_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6795903:6796628:1 gene:Ma01_g09400 transcript:Ma01_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVQEPPIDKELEVARILCDLDEFHLKDEFRRSNLSLVAGMPSWGTKKPPTLRHKPPGPLPPPPPTLPSPPSVPSPPPTLPSPPSVPPPPPPPPLPLPSPPYNVPPPPPPIAEKEGGEGCGRPRSPSPVTSLSFRGSRVGGDNDDAGPSAVATSDQGRHTVEDDTGSNAALPSLPGNRPEHNDAVVTSGQGWHSVDDDVGPSAAATSVPGNRSEHDDALATSGQGTHNVDGDAGPSAAPP >Ma04_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11422761:11426271:-1 gene:Ma04_g15080 transcript:Ma04_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFNLRFCLCFVLVLSSLSSSKCRVLDRTPLPTASLGSLNARRWGGGETPERLNREGVLLLRGLVTRFYLQKLRGKVVFHALSFSAPIRELGNKLAMRMRSKGGCELVAFLVSAPSTTISFERRGSSSRSSSPEVQHGLPRPQARRALPVERRRSHQARSQPLPFFPPSAIDNSVCEHNDVFMASHDRNMGHLVRCQRAYCHELS >Ma04_p40100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37009979:37011622:-1 gene:Ma04_g40100 transcript:Ma04_t40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEFPCGRRAIRPDKEAPAAKECEGKRRRRASAWRPSLVVISEDAALMGDKAAPKGGGGPRKAKAQATRRFVPRPFKDDHGQYAVAAVVPAFAPAAFIF >Ma04_p30810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31363230:31364798:1 gene:Ma04_g30810 transcript:Ma04_t30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAENTHSRLFLLFLFSLLTLSTSPAMSLHPEVQSTGYPTTATLDVSTSLAQAHLALSYRPPSSTELPSHLLPYDPSSAISVALHSRDFLPSFVGSSAHGHPDYKALTLARLFRDVARVRSIAVRATLAFGAVADSVLNPNTDVKALQIAAVNSIMGSVISGASMGSGEYFSRVGVGSPPKPLYMILDTGSDVSWVQCLPCADCYEQSDPVFDPSDSSSYTLLSCDSSLCHSLALSACRNSTYSSSGPAAAADERCLYQVMYGDGSYTVGDFATETLTFGGSASVSEVAMGCGHDNEGVFDSAAGLLGLGGGPLSFPTQISARSITYCLVDRDSNASSILNISTAASTPSSTLTAPLLRNPLLDTFYYVELTGISVGGKMLPIPPSAFAIDESTGAGGVIVDSGTSVTRLQEAAYATLRDDFQVQMEALPPAERMIPFDTCYNLSSRASVTVPSVAFHFPEGKALLLPAKNYLIPVNDEGTYCLAFAPSPVAFSIIGNVQQQGTRVIFDLVNDRVGFTPDVC >Ma03_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27940485:27942471:-1 gene:Ma03_g23340 transcript:Ma03_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPIPLGVLDEASYWKLFKKCAFGSEYDGECPQLEDIAKKIVSRLKGLPLAARMVGGLLKEGMNEKNWRIIAESEIWQLPQNEEGVLPVLQLSYQFLPPHLRRCFVFCSLFPKDHRFGGEHLVRLWMAEGYVAQDNMKDNMTLEAVGSDYFRELVNRSFFQEAPWGSTYVMHDLIHDLAQFISKGEFCRIDDDDSKEIPNTTRHLSATLTDGTKLMELSCYDKLRTLQINSKSIWFDFPGESPLFIQFEKLKNIRVLKLQNCGLRELPEKIDGLIHLRYLDISYNRYIRRLPESLCGLYNLRVLNLLECKLQNLPHGMSKLINLMHLNVKDEIISEINNVGKLTSLQGLSSFKVLKDQGHEVAQLGSLKQLHGRLQITNLENVESKQEASKANLNNKQYLEKLVLEWTSDDGNELIMPKEVLEGLQPHQDLKRLTIRGYTGVRSPSWLQAQLLANLITLGLKNCKAWEDISCIGQLPNLKKLYVKGMPAVKQISHGLSTESKFLPNLEELVLENMVALEELPSLGQLPYLKVLRIERMPVVKKVGDGFFGSRDQGKCFPSLEELAFIDMPEWEEWSWTDGRQLFPCLRKLEIERCPKLKRLPPLPPPPKSLSLCEVGLTEVPRLWEEIDGSSSSMIVSELKIYSLEEIKLVDIPECEELP >Ma08_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10949041:10953200:1 gene:Ma08_g13790 transcript:Ma08_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWMGRFVAACWAPVRRYARMSKDEEEEDDDALLWSRDIGQHAAGDFSFAVVQANEVLEDHSQVETGRDATFVGVYDGHGGADASRFISNHLFLHLMRLAQESGTISEDVVRSAFSATEEGFLAHVRRTHQIKPLISAIGSCCLVGVIWRGTLYLANLGDSRAVIGYLGRSNNIIAEQLTRDHNACMEEVRQELRSLHPDDSNIVVLKHGVWRIKGIIQVSRTIGDAYLKKPEFAIDPSVTRFRLTEPLRRPVLTAEPSIHMRVLRPQDKFLVFASDGLWEHLSNQQAVEIVYNHPREGIARRLVRTALQEAARKREMRYADLKKVEKGIRRFFHDDITVIVIYIDHEFLREPNASVAELSIRGFVDAVGPSNFSMLDGQN >Ma10_p31430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37573569:37573856:1 gene:Ma10_g31430 transcript:Ma10_t31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAGEIAPETLGKLGMRPAETALPWFKTGAMPPAGTCVYWADPYTLFVLEMALMGFAEHRRFQDWAKPGTMGKQYFLGLEKGLGGSGDPAYPG >Ma08_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:197149:198684:-1 gene:Ma08_g00220 transcript:Ma08_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKEDIKFLKIQTCILKVSIHCNGCKKKVKNLLQKVDGVYTTSIDAEQGRVTVVSGDVDPATLIKKLSKAGKHAELLQQDNGKQPKGGNGGGGGGGGGSATKHGRKNNGANNGNTNVNSNPSKGHGKKETGGGGHHPMLSRGMMGNIPAAAAVVQGLPAGVPQSGYYHQTMAPPQPPEVVATANPYQQQYMAAMMQKPQQQRMMMMMMMNGQDSVLQPAVGYARPPLPMYNVPPPPPSLLPHQSDPYTTLFSDENTSSSCSIM >Ma01_p20090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16554219:16557192:-1 gene:Ma01_g20090 transcript:Ma01_t20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNHLSRELTPLRYAEQQQQQQQLRGDNPALLRTTMDQLAVPPPSGASTDAGRVKDGKDLRRLVADAAPAVKPTMAGGGGPTWLNSAILRQQGHHYADRSFLHLQTTSGSSASPVAAGGGGGGAGAGNWFPRPSILQRSGREDEVPVSNDPIMAGATSGEHGGRRGHAGGELGESEAVAQGSGGETAAGEVTWMNARYKAEILAHPLCEQLLAAHVACLRIATPVDQLPRIDAQLGQSQQIVSKYSVLGNNGQMPGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTDKLNLVTHHAAAATIGNERPAVCTTLPAKITLHFDKANLCLMSRACYYFCFTSRYHHICMHFFFPATSV >Ma01_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16552438:16557192:-1 gene:Ma01_g20090 transcript:Ma01_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNHLSRELTPLRYAEQQQQQQQLRGDNPALLRTTMDQLAVPPPSGASTDAGRVKDGKDLRRLVADAAPAVKPTMAGGGGPTWLNSAILRQQGHHYADRSFLHLQTTSGSSASPVAAGGGGGGAGAGNWFPRPSILQRSGREDEVPVSNDPIMAGATSGEHGGRRGHAGGELGESEAVAQGSGGETAAGEVTWMNARYKAEILAHPLCEQLLAAHVACLRIATPVDQLPRIDAQLGQSQQIVSKYSVLGNNGQMPGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDDGDDDDDQVDSETNLFDGPDSMGFGPLIPTETERSLMERVRQELKHELKQGYKNKIVDIREEILRKRRAGKLPGNSTCTLKAWWQSHSKWPYPTEDDKARLVEETGLQLKQINNWFINQRKRNWHSNPSSSSSSSLKSKRKR >Ma00_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17454320:17462424:-1 gene:Ma00_g02390 transcript:Ma00_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRCLLGFLSLLLLVISLPATTGRVLVASGAAAVESRRYAVIFDAGSTGSRVHVYCFDDGLELVHIGSDIELFVKVKPGLSAYADDPQAAANSLLPLLEKAVGVIPEELRNKTPIRVGATAGLRSLGTDKSNQILQAVRDLLREKSSLELVDDWVTVLSGYQEGSYLWVAINYLLGHLGNKYSESIGVVDLGGGSVQMAYAISEKAAANAPNVPSGEETYVKELFLQGAKYYLYVHSYLNYGLLAARAQILKVATKSYSYCILGGYNGVYDYDGELYNASSSPSGSSFTKCRNEVIKALKIDEPCKYSSCTFGGVWNGGGGAGQKTLYGASYFFDRPSDVGFVDPAATSALAKPSDFMEAAKHACKVTMNTVETKYPNVRKNDLPYVCMDLIYQYTLLVNGFGLKPRQNITLVRQVRYGDSDFFGEAAWPLGSAIEAVTSEKINLKQF >Ma00_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:18023671:18027038:1 gene:Ma00_g02490 transcript:Ma00_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTREDDRGEEWEAEEIDYVFKIVVIGDSAVGKTQLLGRFTKDEFFLDSKSTIGVEFQTRTLVLNRKRIKAQIWDTAGQERYRAITSAYYRGALGAMLVYDITKRQTFDHVVRWIDELRANADNSIVVMLIGNKSDLAKSRVVSTEDAMEFAEEQGLFFSEASALSGDNVETAFLRLLEEIYGVISRKALECDEATRNATNDVLTLKGTTLSVLSEVSMMETSAMRKGTQCACL >Ma03_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:225188:225684:-1 gene:Ma03_g00190 transcript:Ma03_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLKIHIAILLRRPKLIKPRFIGSDGVSAPESDLKSPGNNNTSLSLSTFLQRDVSTSEFVDHVDTAVGALASCRSDKYPPTPPLLVFFDLLRLAERRWRTRASPPPPRPIQRGRRRTSAPRFSSGRRPPTV >Ma04_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10453882:10454334:1 gene:Ma04_g13790 transcript:Ma04_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTSFLRLTWWIESITTLNATVLLDLLYPVTTSTGFGPDTRRSRLVFIWRVTTKTLHEIARRPPLFARSGEAMGSGEKEASDLSDSSVPFDFFAKQNASNSLPFPMPELLPRLLPVADDSFSSPSRIVRVLMSIYFPCFYSFSMRFFI >Ma08_p27800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39939601:39941798:1 gene:Ma08_g27800 transcript:Ma08_t27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPCNAHHHQWQHDINSCHQKTPYASGFEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRRIRAQLQEYGQVGDANVFYWFQNRKSRSKNKQRHLQATRSQTQPSSAAPSPPPVATKPTSTATSSSSSSSEQSTGSDKTQLPVASMGGALTSMVHSAAPLPVTSVNPMYLHGSLELGGESFLLQGPQGYCFPAAELTGIIGVPEHGTGVHPGPWGDLMGFKSGEDDAKVTVQLHHLYGTGSSSAPSVATTVTSANASGGGGATAGVAATAAIFGSSIDEIQGSGGAAAARSTVFINEVAFEVAAGPVNVREAFGHEAILIDHSGRPVLTDEWGVTIHPLRHGASYYLVLSLSLSLYFMLLWISSSSSSNAVVMQV >Ma11_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24052676:24053849:1 gene:Ma11_g19080 transcript:Ma11_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPIRRASSSEGDSQPVIDERKRKRMLSNRESARRSRMKKQQHLNDLMNQMAHIKIQNSQIAEQTDLVTQQYTKVESDNAVLRAQLSELMERLQSVNSVLRFVEEASGMALDIPSIPSSLLKPCKLPTMATADRIQF >Ma11_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24002000:24002749:-1 gene:Ma11_g19050 transcript:Ma11_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGASCVPRIRRAEAGTAKVVGPDGVLRRIEAPAGAAEIMMEYPGHVVARAEEVARTRRVAGMRADEELLAGGAYLLLPMDRVGCRLSDGQIEVLLDVVRGRRQRKGRKEGSCGGGGRVFPEVAGGEEGKTAVLGGEVTGFSGKRVGGCRQWRPALDTIHESKSN >Ma00_p04420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:37731061:37739904:1 gene:Ma00_g04420 transcript:Ma00_t04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLKWKLHAGRFQENGQGEHDQDASKPILSGRNRVSQRPAQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGNLHVASIYAGEESVPLKGAEIVAELKYLLNLLNLCWHFSKKPFPLFLEATGYSQDDVLLQKPKAGILKPAFTILTDKSTKSILLLIRGTHSIRDTLTAATGAVVPFHHTLLYEGGISDLILGYAHCGMVAAARSIAKLATPCLLEALHEYPDYKLKIVGHSLGGGTAALLTYILREQQEFATAICVTFAPAACMTWELAESGRHFITSIINGADLVPSFSAASVDDLRSEVTASAWLNDLRNQIEQTRILSTVYRSASALGSRLPSIASARARVAGAGAILRPVSNGTQVVMTRAKSVAQAALSRPPLRISSWSCIGPRYRNKASFPITRREENIEESSMITKENGETIATLTETTTVETTEITTQGVGWTSDLDCSHSSAISHADGPVNTGDISDDEDATGQCRNEDSMTEYDLWQQLENELNKARQNEEVDIDNEIREEENAAAAAHEEVEGTSEGILTETKEVRRFYPPGKIMHIVIFPPEETTNEEDSGIHHDGENPEPEYKTGIFLTPRSLYGKLRLSQSMINDHFMPIYRRNIERLISILEKDNFEDGHGDEAML >Ma00_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:37730920:37739904:1 gene:Ma00_g04420 transcript:Ma00_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLKWKLHAGRFQENGQGEHDQDASKPILSGRNRVSQRPAQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGNLHVASIYAGEESVPLKGAEIVAELKYLLNLLNLCWHFSKKPFPLFLEATGYSQDDVLLQKPKAGILKPAFTILTDKSTKSILLLIRGTHSIRDTLTAATGAVVPFHHTLLYEGGISDLILGYAHCGMVAAARSIAKLATPCLLEALHEYPDYKLKIVGHSLGGGTAALLTYILREQQEFATAICVTFAPAACMTWELAESGRHFITSIINGADLVPSFSAASVDDLRSEVTASAWLNDLRNQIEQTRILSTVYRSASALGSRLPSIASARARVAGAGAILRPVSNGTQVVMTRAKSVAQAALSRPPLRISSWSCIGPRYRNKASFPITRREENIEESSMITKENGETIATLTETTTVETTEITTQGVGWTSDLDCSHSSAISHADGPVNTGDISDDEDATGQCRNEDSMTEYDLWQQLENELNKARQNEEVDIDNEIREEENAAAAAHEEVEGTSEGILTETKEVRRFYPPGKIMHIVIFPPEETTNEEDSGIHHDGENPEPEYKTGIFLTPRSLYGKLRLSQSMINDHFMPIYRRNIERLISILEKDNFEDGHGDEAML >Ma00_p04420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:37730920:37739904:1 gene:Ma00_g04420 transcript:Ma00_t04420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLKWKLHAGRFQENGQGEHDQDASKPILSGRNRVSQRPAQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGNLHVASIYAGEESVPLKGAEIVAELKYLLNLLNLCWHFSKKPFPLFLEATGYSQDDVLLQKPKAGILKPAFTILTDKSTKSILLLIRGTHSIRDTLTAATGAVVPFHHTLLYEGGISDLILGYAHCGMVAAARSIAKLATPCLLEALHEYPDYKLKIVGHSLGGGTAALLTYILREQQEFATAICVTFAPAACMTWELAESGRHFITSIINGADLVPSFSAASVDDLRSEVTASAWLNDLRNQIEQTRILSTVYRSASALGSRLPSIASARARVAGAGAILRPVSNGTQVVMTRAKSVAQAALSRPPLRISSWSCIGPRYRNKASFPITRREENIEESSMITKENGETIATLTETTTVETTEITTQGVGWTSDLDCSHSSAISHADGPVNTGDISDDEDATGQCRNEDSMTEYDLWQQLENELNKARQNEEVDIDNEIREEENAAAAAHEEVEGTSEGILTETKEVRRFYPPGKIMHIVIFPPEETTNEEDSGIHHDGENPEPEYKTGIFLTPRSLYGKLRLSQSMINDHFMPIYRRNIERLISILEKDNFEDGHGDEAML >Ma05_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26536814:26538020:1 gene:Ma05_g19140 transcript:Ma05_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLVYGLFQLLLLLTEAASAASAESRRDGCPTTCGNVDIPYPFGIGPNCFMEGFELYCNKTEAGIEKPFVFNVEVINISVQLGQARLLSPVSSQCYNTSTNSSDYYVWRMNFDDTPYRFSDVHNKFTIIGCNTLAYIGSPRDNNSYESGCVSVCHNEDSLVDDSCSGIGCCQTTIPENLTYYNIQFDANFDSSSIWEFSPCSYAILLEASWFKFHETYITTNQLQNKTDGWAPVVVDWAIRNETCEVAKLNHNSYACISANSVCLSSTNSPGYLCNCSSGYQGNPYIHNGCQDIDECDYNDKYPCHGICTNTPGGYYCSCPQGTHGKASIAECTPDQKLPLAVKVVIGSLFHLHSSLSFSNICVIISGV >Ma04_p29330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30284535:30287394:-1 gene:Ma04_g29330 transcript:Ma04_t29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVSKNASQEDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGSGGGGHNPFDIFESFFGGSTFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICQKCKGKGSKSGASMKCSGCQGSGMKVTIRQLGPGMIQQMQHPCNECKGTGEMINDKDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKISFPGEADEAPDTATGDIVFVLQQKDHPKYKRKGDDIFYEHTLSLTEALCGFQFVLTHLDNRQLLIKLNPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPDSLTPDQCKALEAVLPPKPASQMTDMELDECEETTVHDVNMEEEMRRKQAQAQEAYEEDDDDVHGGAQRVQCAQQ >Ma02_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18266281:18269228:1 gene:Ma02_g07670 transcript:Ma02_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMNHWGGSFEIHDPTTDDEHSRNMDLDRAALSRQQLDETQQSWLLGPQEAKKKDKYVDLGCMVVKRKVLKWTFYAVLIAFVVIGVPITIAKSIPKHKKAPPPPDRYTEALHKALMFFNAQKSGRLPKNNGIPWRGDSGLKDGSEGTDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSNKYKDIGEYDHVRELIKWGTDYLLKTFNSSATTITQIYSQVGGATNDSASPDDHYCWMRPEDMDYTRPVQTANSAPDLGGEVAAALAAASIVFRDDGAYSQKLLKGAAVVYKFARDPGHRTPYSRGNRYIQPFYNSTGYWDEYMWSAAWMFYASGNKSYIQFATDPRLPKNAMAFLQIPDLGVFSWDNKLPGAQLLLTRLRLFLNPGYPYEESLSGYHNTTGINMCMKLQRFNVFNFTPGGLIELNHGRPQPLQYAVTAAFLASLYADYLDAANIPGYYCGPYYFSADSLRSFATSQVNYILGDNPMKMSYVVGYGRNYPKHVHHRGASIPHNGVKYSCTGGWKWRDAKTANPNTITGAMVGGPDRFDRFSDSRPNYNYTEPTLAGNAGLVAALVSLTSTSSSSGVDTNTIFSAVPPLYPGSPPPPAPWKP >Ma09_p28870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39510844:39513097:1 gene:Ma09_g28870 transcript:Ma09_t28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFTRFAFWIWGGKDQESPNPSLISSPELPWGFKEQDYLRFPSANGAGMRTSSRRVKKKRQSREERRIDKEYDIVLVPSDGGCMSGSESDDSDWSIGWLEPHDPEFQSDSDSENCSFAVLVPCYGRGRSEQAESSKSRVLGAVDRMDDRHSDGKNYIEEWLSSLQSN >Ma06_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3779625:3783867:-1 gene:Ma06_g05070 transcript:Ma06_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYADPFKAFRREYPFSCNPFSEFCHGGTNTCLPPQTLTPPVREALPLLSLSPTRHQEVENSSSCSAVNEGGKVKAAVDTDGEADAVTVSLHIGLPSPGAVDLTPRFSSTPEDTSGGKQEQGGDDEDDVVYPIDLIGRINKGQYWIPTPTQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCPNNIDHPRSKPLKDFRTLQTHYKRRHGIKPFVCRKCSKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKSFGSGHAAYGIDCFQEAAEEEEEEEEETSSEIEQDGFQSYKGRKHGFLGRSMIPSSPSFGITLEVETLENSHGLTENSEMLNKMLERDDVKDVSLGSLRVIWCIQTIYSASFTQIAWMMRQSTVEGNEFHIHSVKPKLTAVQVLLLCQYHALHRVYSESLVYFQGVHSIFQLEFLVVMMISSQSFFAQSSCLILE >Ma01_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25366050:25366984:1 gene:Ma01_g22550 transcript:Ma01_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKQQVLMAANASLGFQDAMDRIWFHRNILFLAPTGLDLPAMKKMTTSSETQNSEPVPRCNSHKPKYKNKRPTTLVLGLREPPTGVLRSCPSFPTTSSDLKRESRSDRRRSRRRRAMSRSRSSRSVTELENYEVKGFMDLGFVFRKEELSMEIMNIIPGLQRLGKEGRDDEASREEKEEDEGVERPYLSEAWMRHDLSVVSPSLQPRSPYGADMKRQLRFWAREVASVIHHKYVENL >Ma02_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19364756:19367213:-1 gene:Ma02_g09280 transcript:Ma02_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHPRKSNFSIEPFKNPVILDPGYADKTWKMLEHGIRNILNNNYDGLSFEVLHRNAYNMALHRFGEKLYSGLVTTLTRHLQEVSASIEAARDDQFLEELNRRWLEHDKALTVIRDLLAPMDTTYVSESHKSPVLRLGLDLWRDNVIHSKEIQARLPDALLRIIQRERMGEVIIRDSVKNTKMLMTYLEPSLYEKDFEKPFLDDSAIFYAGESQVLIECCDCREYLKMVERRLREEKERASHYLADRTEPKVLSIVEEEMIAKHMRRLVHMDSGLVSMLLRDEYEDLGRMYSLLRRCTGGVSTIRDLMTSHLRETGKQLVTDAASSRNPVDLVQRLLDKKDKYDKIITGVFGDDKTFQTAVSTSFEYFINLNSRSPEFISLYVDDTLRKASEGDGGKDVEIVLHKAMTLFRYLQEKDVFEKYHKQNLANRLLSGRAVSDDAERSLIVKLRVECGHNYTSKLEEMLTDVKFSQDIMRGFYASQGVDEEAGEGPTLAAQVLTGAIWPSRPSTACKLPDEIHDVCEKFRAYYLGSHACRKLTWQTDLGTADVVATFGNGQKHELNVSTSQMCVLVLFNSADRLSCKDIQQATAMPLPDLKRCLWSLACVPDMNVLCKNPTNNVIAEDDVFCVNDNFTSNLFQVKIDTAAAEEESESEQQEIRQKVEEARKDQIDAAIIRVMKAQRVLNLNSLVTEVAKQLQPRVLPDPAVIKKRVESLIEREYLEDNKNQYQYIA >Ma03_p03780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2506663:2509780:-1 gene:Ma03_g03780 transcript:Ma03_t03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPILSLPAPPADGNLGPIPLAQVSNDSSANKSQEQKEQSPPAPAPAPVATHTRTIGIIHPPPDIRVIIDKTAAFVAKNGPEFEKRILANNAGNNKFNFLTASDPYHAYYQHKVSEHRALVQAAPQQPSEDSSLPSEPAPAPSDGSAAPAAATAPPEEATAKVDLAAQFRVPPKKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTNLTSREMSNPQFHFLKPTHSMFTFFTTLTDAYSKVLMPPTGLTEKLRKSTSDLTTVLERCLHRLDWERSQEQARQKAEDEIEQERLQMAMIDWHDFVVVETIEFADDEDEELPLPMTLDEVIRRSKISSLDEEEPMQTVEPGKEMEMEMDEDEMLLVVEGMKATGLDKNGADEKKREARASGDEPEPPMRIVKNWKRPEERIAAERDSTKFVISPITNELIPINEMAEHMRISLIDPKYKEQKERMMAKIRETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSQGFSGEEQTDANNNDLRTLPGPAPAPRPGVPFVRPLPPPPGLALNIPRFPPNQVQYSVPAAGGGLVAPPRPGIIPMIPSMRPGPSPMPMTSSSQHVMMGQQPLSQSIMVNPPNIPVPPPPGSQFTPLVVPRPFVPLPMPPPNMPMVPPPPPPQGMPPPPPPEEAPPLPDEPEPKRQRVDDVSLMAEDQFLAQHPGSSRISVSVPNVDEGNLKGQLLEIAVQSLSETVGSLKEKIAAEVQLPANKQKLSGRAGFLKDNLTLAYYNIGPGETLTLALRERGGRKR >Ma03_p03780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2506556:2509780:-1 gene:Ma03_g03780 transcript:Ma03_t03780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPILSLPAPPADGNLGPIPLAQVSNDSSANKSQEQKEQSPPAPAPAPVATHTRTIGIIHPPPDIRVIIDKTAAFVAKNGPEFEKRILANNAGNNKFNFLTASDPYHAYYQHKVSEHRALVQAAPQQPSEDSSLPSEPAPAPSDGSAAPAAATAPPEEATAKVDLAAQFRVPPKKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTNLTSREMSNPQFHFLKPTHSMFTFFTTLTDAYSKVLMPPTGLTEKLRKSTSDLTTVLERCLHRLDWERSQEQARQKAEDEIEQERLQMAMIDWHDFVVVETIEFADDEDEELPLPMTLDEVIRRSKISSLDEEEPMQTVEPGKEMEMEMDEDEMLLVVEGMKATGLDKNGADEKKREARASGDEPEPPMRIVKNWKRPEERIAAERDSTKFVISPITNELIPINEMAEHMRISLIDPKYKEQKERMMAKIRETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSQGFSGEEQTDANNNDLRTLPGPAPAPRPGVPFVRPLPPPPGLALNIPRFPPNQVQYSVPAAGGGLVAPPRPGIIPMIPSMRPGPSPMPMTSSSQHVMMGQQPLSQSIMVNPPNIPVPPPPGSQFTPLVVPRPFVPLPMPPPNMPMVPPPPPPQGMPPPPPPEEAPPLPDEPEPKRQRVDDVSLMAEDQFLAQHPGSSRISVSVPNVDEGNLKGQLLEIAVQSLSETVGSLKEKIAAEVQLPANKQKLSGRAGFLKDNLTLAYYNIGPGETLTLALRERGGRKR >Ma03_p03780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2506663:2509636:-1 gene:Ma03_g03780 transcript:Ma03_t03780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPILSLPAPPADGNLGPIPLAQVSNDSSANKSQEQKEQSPPAPAPAPVATHTRTIGIIHPPPDIRVIIDKTAAFVAKNGPEFEKRILANNAGNNKFNFLTASDPYHAYYQHKVSEHRALVQAAPQQPSEDSSLPSEPAPAPSDGSAAPAAATAPPEEATAKVDLAAQFRVPPKKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTNLTSREMSNPQFHFLKPTHSMFTFFTTLTDAYSKVLMPPTGLTEKLRKSTSDLTTVLERCLHRLDWERSQEQARQKAEDEIEQERLQMAMIDWHDFVVVETIEFADDEDEELPLPMTLDEVIRRSKISSLDEEEPMQTVEPGKEMEMEMDEDEMLLVVEGMKATGLDKNGADEKKREARASGDEPEPPMRIVKNWKRPEERIAAERDSTKFVISPITNELIPINEMAEHMRISLIDPKYKEQKERMMAKIRETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSQGFSGEEQTDANNNDLRTLPGPAPAPRPGVPFVRPLPPPPGLALNIPRFPPNQVQYSVPAAGGGLVAPPRPGIIPMIPSMRPGPSPMPMTSSSQHVMMGQQPLSQSIMVNPPNIPVPPPPGSQFTPLVVPRPFVPLPMPPPNMPMVPPPPPPQGMPPPPPPEEAPPLPDEPEPKRQRVDDVSLMAEDQFLAQHPGSSRISVSVPNVDEGNLKGQLLEIAVQSLSETVGSLKEKIAAEVQLPANKQKLSGRAGFLKDNLTLAYYNIGPGETLTLALRERGGRKR >Ma03_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2506663:2509631:-1 gene:Ma03_g03780 transcript:Ma03_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPILSLPAPPADGNLGPIPLAQVSNDSSANKSQEQKEQSPPAPAPAPVATHTRTIGIIHPPPDIRVIIDKTAAFVAKNGPEFEKRILANNAGNNKFNFLTASDPYHAYYQHKVSEHRALVQAAPQQPSEDSSLPSEPAPAPSDGSAAPAAATAPPEEATAKVDLAAQFRVPPKKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTNLTSREMSNPQFHFLKPTHSMFTFFTTLTDAYSKVLMPPTGLTEKLRKSTSDLTTVLERCLHRLDWERSQEQARQKAEDEIEQERLQMAMIDWHDFVVVETIEFADDEDEELPLPMTLDEVIRRSKISSLDEEEPMQTVEPGKEMEMEMDEDEMLLVVEGMKATGLDKNGADEKKREARASGDEPEPPMRIVKNWKRPEERIAAERDSTKFVISPITNELIPINEMAEHMRISLIDPKYKEQKERMMAKIRETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSQGFSGEEQTDANNNDLRTLPGPAPAPRPGVPFVRPLPPPPGLALNIPRFPPNQVQYSVPAAGGGLVAPPRPGIIPMIPSMRPGPSPMPMTSSSQHVMMGQQPLSQSIMVNPPNIPVPPPPGSQFTPLVVPRPFVPLPMPPPNMPMVPPPPPPQGMPPPPPPEEAPPLPDEPEPKRQRVDDVSLMAEDQFLAQHPGSSRISVSVPNVDEGNLKGQLLEIAVQSLSETVGSLKEKIAAEVQLPANKQKLSGRAGFLKDNLTLAYYNIGPGETLTLALRERGGRKR >Ma09_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9751495:9764827:1 gene:Ma09_g14270 transcript:Ma09_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G09420) UniProtKB/Swiss-Prot;Acc:F4KCL7] MELCKNWRRGRSSPPNGVKVWIVLGITVAGVLILAEATRRRRRMSRGVPRRVEFFGAFVERFELAPSPQPPPPAARHPLADLKFAVSDNFEIKGYVAGYGNPDWKRTHEPASQTVVVVSALLERGATCVGRTVMDEFAFGVTGDNLHYGTPINPELPSLVPGGSSSGSAVAVAAELVDFALGTDTIGGMRIPASFCGIFGFKPSHGTISNIGVLTNSQSLDTIGWFARDPSILHCVGHILLQASAMGPKRTRRFILADDCFQILRVPKHKTVQVLGKAVENLSGYQPLKHMNISQYIATNVLSLKEFVKPSTKMQHGNSTLRAISSAMVLLQRYEFKTNHEDWFNTTKPRIGIDISSQVLAAVNSTQDNIKSLYKMRLELRSALNKLLKDDGILVIPTVTEFPFKRDSRKKMTPDIEDHLHALLSIAGMSGCCQITVPLGKHESYPISISYIAAHGADKFLLDTVLDMYSSLQEQITIASNLVPTPDTNGDMDASELWKEKGNAAYKGTQWNKAVSFYSEAIKLNNANAIYYCNRAAAYLKLACFQQAEADCSQALLLDKKNVKAYLRRGTAREMLLCYKEALEDFKHALVLEPQNKDAVDAEKRLRKLMA >Ma04_p39100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36320269:36322974:1 gene:Ma04_g39100 transcript:Ma04_t39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIASKFFYCRRTSASSRSSEQELDRVNADRDRRLRRDRRSSHEERRPDGPSRARQLLHPRSDRTPHESIGLNKSTSELEEGISRNHRYASGRVVRSSRVLGDEFHDHLPDSVLEARTRLQERLKGVHLTGSRQESRAADISDRPPAEVSNVSKCNHWKTATASDQLKSGDPAAEIIFQAEQVSSSGDINKKPCVLGHRLKDELFIDTDGDGIPKGLLECSICLEKFSEGEGVIRLPCGHMYHHACLEPWMQAHQLCPYCRASVVG >Ma07_p19470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27474531:27475522:1 gene:Ma07_g19470 transcript:Ma07_t19470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAMEATQPRKGPWTEQEDLQLVWFVRLFGERRWDILAKVSGLNRTGKSCRLRWVNYLHPGLKRGRLTPQEERLVLDLHAKWGNRWSRIARRLPGRTDNEIKNYWRTHMRRKAQERNRSACPSPSSSSVDGPQLGIEKPGGGSVAGGNSLISGLGVNDEVAKGYTMDQIWNEIAASASASGLSFEEEQDCPPMPCSMWGVDDEDTRMLNPMPLLAFPCHEF >Ma07_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27474531:27475523:1 gene:Ma07_g19470 transcript:Ma07_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAMEATQPRKGPWTEQEDLQLVWFVRLFGERRWDILAKVSGLNRTGKSCRLRWVNYLHPGLKRGRLTPQEERLVLDLHAKWSRIARRLPGRTDNEIKNYWRTHMRRKAQERNRSACPSPSSSSVDGPQLGIEKPGGGSVAGGNSLISGLGVNDEVAKGYTMDQIWNEIAASASASGLSFEEEQDCPPMPCSMWGVDDEDTRMLNPMPLLAFPCHEF >Ma06_p36030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35658709:35658885:-1 gene:Ma06_g36030 transcript:Ma06_t36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYMDEKWKLSKKGDRGRRSMTQSFSRRCASLVKEQRARFYIMRRCVVLLICWRDYS >Ma08_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38003371:38018034:1 gene:Ma08_g24970 transcript:Ma08_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNHLGPDVPLRKLILLASRNDSELQFMSEVSRKRKDMDSLPDNKISNIVFHPGNLSRHIEHLPPTDSTLPLPGLTPSLGASQSRTQGFPYDNEFISSRPTNAQSHFGDHPVCSMTSTLGSIYMKGATFMESANNSSDSFALQQNEQFVMANVTAPQVSVSTDARSSTDGIGRQAELSNLQSYVGMNLGHINQNISCTEAYLTAQGSYTGMNLGYENRDFTSKPLSCSMPSPCLQSVEPSKMKLFNKCSDLDGESLVKDFKESEITRTNGCCQYTDIADDSSTTKDTPNSAESYSVNKGKEDRGSHQNPRTYITQNEQIKYVAEKNSSVHTEKLWDGSLQLNTSTTVSTVAFFKSGEKAQDIRWCDLLEVKGKVRLQAFEKFIQELPRSRTRALMVISLCWKAGSSISGLTGMKEVAKVYQESERVGFAQICPGIDLYVCPRSETIITILAKFGFFKGMAAVEEDQNSLIGCVVWRRGCQSLDSASKASDNKINSLVEKALSSSEEILETNSPVLKKQDEHSKQTLLGTRQHDGMQCQHLPETRSNNADNASHMRTEIKSRESSSVDCSSKSVSVLHIASSLPYNSPIAPVQISPPQKQELRSKECLKNPLLCAVEGRQTVLGSEPARSLLLQSSQMLPDSHQRNITCSESSTYPSSYPDTLMQSAPSMPSGSIPVRLQTTQKPFSSSQEDPTSSVSKDENYIMHFKKSEAPATSSEPSQTTLPGPPPLPPEVLKRIIQARAAAVAKETNKGVVMVEIPVGHVSEPKKFSMVIGPSPVLPGPPLSLPQSLSVCPSPVDVDDLPEFDFSSTSKFIESPGNKYPWSSYPISEVHPFNKQLPSDMGHNLTPHGSTTMQPIEASQRHQFSYFPGEATNYYQENPKRTKFQKPIVDNKVVVRTSLSCDQPSLDADQGSIDPRYSSSSLRKYCWDDDDDDDMPEWCPPDLEHVDRTQATTAVNLSSPVHNWDLEFARRRSFISPLSTSSCPPGIHSLPRGYLHGRQGSSPLNHNPTAPVHTRSAAGFDHSIQRTPLVQHVTNLSGYQTSMSNKIPSDIGNRFRRP >Ma04_p37920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35622153:35625211:1 gene:Ma04_g37920 transcript:Ma04_t37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHRAFAGGGAALPWRSLARRSLFAGASSAVDSIILRSLKDHYLEVSKMTPPPKVNPPSSYTIVKGALDQDGPVLRRSYKEEEINISVMRLSNIMPSGADDDDGDDSINQLFLHVEVSKPGRDDSLQFLCGLYPDAVGIHSVCLRPKVTESSRLTDMTKYQGRVFQELEQKMRDAFHIFIETRGINEKLFPFLQAWLYVKDHRNLMRWFKSVGTFINEQKPA >Ma06_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2748487:2753135:-1 gene:Ma06_g03800 transcript:Ma06_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-1 [Source:Projected from Arabidopsis thaliana (AT4G08920) UniProtKB/Swiss-Prot;Acc:Q43125] MPGGRGGSSSNNSSSNQTSVVWFRRDLRVEDNPALAAGVRAGAVVALYIWAPEEEGSFYPGRVSRWWLSQSLHHLDSSLRSLGTPLITKRSLDTASTLLEVVRSTAATSLFFNHLYDPLSLVRDHGLKELLTAQGIRVCSFNADLLYEPWEVNDENGQPFTTFAPFWNRCLSMPYDPAAPLLPPKRVVPGDVSGCPSDILVFEDESEKGSNALLARAWSPGWRNADKSLTSFINGPLIEYSVNRRKADSASTSLLSPHLHFGELSVRKVFHLVRMKQLVWTNEGNKAAEESCDLFLKSIGLREYSRYLSFNHPYSHEKPLLVHLRFFPWVIDEDYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTQWIHHPWDAPESVLQAAGVELGSNYPLPIVEIAAAVARLQEALAEMWQLEAASRAALENGTEEGLGDSSELPVIDFPREVYMEVEQEQLRINNSPASGRRHQDQMVPSMTSSLVRVEEEEVSTSSGNADADSRAEVPSHTTYGLLPQREPTDQAGMHTVRDNVTQHFNPTRLEMPEHSIGPSSGSWRERDSGVVPVWSPPTTSSCSGLLATEDGGHPHSRQLMNWGQLSQTRTRSWEVENTLQPNAIG >Ma10_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23333305:23336354:1 gene:Ma10_g09130 transcript:Ma10_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSDLKFVLLEILPTPELMAQGRELRIQNHLEMVHDCICALNAVILDAQMRALKEPEMEEWVNDVGIAVADVEDLLHSILGWQPRGAAASDLLPCSFPNVDGVASRHVILLEMEEKARRLNYLDYISGHADLLHVLAAEGIPRKEKTKPTTSTKLMKSASERLNFGTMIPQFVIPPLRNFFLKETTKSTIKMISNALERCYFRMRVGRDSTIPQQCLHLHLLVDSRTSAFPTSLSAKVNNKLRTLSLHREEEMVLKQQPCQITDIPATMFASLIHLRILHLAATRIQQLPHTVGKLLNLRYLNLSKSEIQVLPVSLCNLRNLRVLNLAWCEKLWRLPRRIHNLRSLQIMKLAFCARLQRLPKSITGLANLQELDLEGCHDLIELPENFRNLRKLTYLNIIKCRSLTRMPDELEQMHNLQMLFGYPISTISSIKDVISELQSLRGLEKLDLCNLQIVSKLKDASTPPMLLQDVVPKLKHLALHWKWYNMNDVEIASDVISLQRCERLPLLGEHVNLKIVEISGMDLITVATFHTHMGVVPEGEKVEHLVEQRDAMALSIRGLAETHQPY >Ma01_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2773151:2775510:-1 gene:Ma01_g04230 transcript:Ma01_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELQQPDRGEKNDAAPQSIFAKAHRPIDLKFEDVVYKIKTKEKRGENKGKQRERVILNGISGTVYPGEMMAMLGPSGSGKTTLLTVLAGRVARTQRLTGSVTYNGKPFSSSLRRRMGFVMQDDVLYPHLTVTETLVFTALLRLPGTLSRREKAEKAEAVIEELGLTACRDSIIGGTFVRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTIAGRIVSTLADLAKGGRTVTMTIHQPSSRLFYMFHKILLLSEGNAIYYGRGSEAMGYFASIGYSPAMPMNPADFLLDLANGISFNETVEGTASTKEALVDAYNHHLRGKVAEELSGLSQQLDQQQHEAEKMTNQWCTTWWQQFTVLLQRGLKERRHETFAGRKVAQVIISALIGGILWYGSAGHIQDQAGLLFFIAGFWAYYASFQAIFTFPQERTMLSKERSSGMYRLSSYFIARTLGDLPMELSLPIAFVTITYWLGGLKPVASSFLICLSALLLSVVVAQSLGLAIGAFVTNLKSGSALLTVLMVIFQLASGFYVQNVPPFISWVKYVSFNYYTFKLQIASQYSPTDTYQCTRTTTCPVSEFPSFKLLGFDKRALSVIALFLMMFIFRFIAYLGLLRVGIPK >Ma03_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4267018:4269656:-1 gene:Ma03_g06160 transcript:Ma03_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHAMSCLCHRQNHLKTIPNLLSLCVIILSFLALLHFSYRHVLFQLSFYACSNPSSSSLASANSSSSAIATAAATAIGPPGVGGANSTSGANATAECDIFRGEWVPDPEGPYYTNVTCTMIQDHQNCMRFGRPDREFLQWRWKPEGCELPRFDPHRFLELARGKSMAFVGDSLARNHMQSLLCLLSGVAYPDDISNTRDENRRLFYSDYNFTVSIFWSPFLIKGGAAGGVDNLWNLYLDEVDDNWAARVQAVDILIISSGTWFTRPSIYFKKRQPFGCNYCAQSPNLTRVSVYSVNRAAFRSAFKAIGKLRGFRGVTVLRTVSPSHFENGKWDQGGSCNRTRPARREETRLEAVELEMYRAQVKEFERARREWERRGLQLKMMDATAAMLMRPDGHPDVYGHRPEQRVQLHNDCVHWCLPGPIDLWNDLLLQMLSN >Ma06_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8646036:8646281:-1 gene:Ma06_g12460 transcript:Ma06_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNIETIAASLRSCSLGGGGRAEQSLPRPHLVGASGESNEGITVELNSDAALPYHLDMLVRSPLPSLNALLPGVANRRS >Ma03_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2172826:2176894:1 gene:Ma03_g03220 transcript:Ma03_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLLPVPMNAYLESELARRYKVFRLWESPPERRQDFIRANAAAIRAVVGNSKAGADADTIDALPRLEIVACFSVGLDKVDLAKCRERGIRVTNTPDVLTEDVADLAIGLAIAVLRRLCQADRYVRSGTWLSKGDYKLTTRFSGKTIGIIGLGRIGLAIAKRAEAFGCPISYYSRSEKPNTNYKYYSNLVDLAANCHVLVVACSLTEETYHIVNREVLDALGPKGVLVNIGRGPHVDEPELVTALREGRLGGAGLDVFEHEPDVPEELFGMENVVLVPHVGSGTNETRNAMADLVLGNLEAHVMNKPLLTPVV >Ma01_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1909189:1910455:-1 gene:Ma01_g02930 transcript:Ma01_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFLFLCISSLILCPPSQADDANAVHTPLGTIQRETKQQILATVPPNPSGNTEPFLTSPLGKYVGYLLRRETAPGAGGMGNDFCYIQIQEAASGASVWESECEPVSSANACSLVFCDAGLALFDGSNPVWDTGASGHNNFPATLELVDHGDMRVIDKDGELVWKASDDARVNQHCGLPGSPGLPSGAPPFVGPIGGDDNPPFGQQPQQTTPYPTNGALPLAPASAPLVAPASGDENSNGDLPLAPASAPLVAPASGDENSNGDLPLAPASAPLVAPASGDENSNGDLPLAPAHPPVVAPTGGADDLAAGVLPSTNPINGAFGQQQQPHGLHGVTEQPLVDNTPYDSGSSGKEGSVVLFFSAVVGHLMLYGF >Ma09_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5007573:5011122:1 gene:Ma09_g07640 transcript:Ma09_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 8 [Source:Projected from Arabidopsis thaliana (AT1G63460) UniProtKB/Swiss-Prot;Acc:Q8LBU2] MHRDCRFEVLAFPCNQFASQEPGTIEEIKETACTVFKAEFPIFDKIEVNGNNAATLYKFLKSKKGGFPGSRIKWNFTKFLVDKDGNVIDRYSPTTSPLKIEKDIQKLLATS >Ma04_p03340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2584607:2586604:1 gene:Ma04_g03340 transcript:Ma04_t03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNRTELRCVLSITVVLLLSSHAVAYDPLDPTGNITIKWDVMSWTADGYVAVVTMNNFQMYRQIMSPGWTMGWTWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKRTPAVVDLLPGVPYNQQIANCCKGGVVASYGQDPAAAVSAFQVSVGLSGTSNKTVKLPNNFTLLGPGLGYTCGPAKVVPSTTFLSADKRRKTQALMTWNVTCTYSQFLASKHPSCCVSFSSFYNDTIIPCPSCACGCENKNCVKYVHTPPHPVFKSPIPNLSSILPPTRPWHRSDSKILSTPGINTPKKDNTPLLQCTHHMCPVRVHWHVKLNYKDYWRAKVAITNFNYRLNYTQWTLVVQHPNLDNVTEVFSFEYKPLVAYGSINDTGMFYGMKYYNDVLMEAGAYGNVQSEVLLRKDADAFTFKQGWAFPRKVYFNGDECMLPPPDVYPYLPNSSPVSARVILHNLAAPLLALLLLQMAAPW >Ma04_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2584609:2586604:1 gene:Ma04_g03340 transcript:Ma04_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNRTELRCVLSITVVLLLSSHAVAYDPLDPTGNITIKWDVMSWTADGYVAVVTMNNFQMYRQIMSPGWTMGWTWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKRTPAVVDLLPGVPYNQQIANCCKGGVVASYGQDPAAAVSAFQVSVGLSGTSNKTVKLPNNFTLLGPGLGYTCGPAKVVPSTTFLSADKRRKTQALMTWNVTCTYSQFLASKHPSCCVSFSSFYNDTIIPCPSCACGCENKNCVKSDSKILSTPGINTPKKDNTPLLQCTHHMCPVRVHWHVKLNYKDYWRAKVAITNFNYRLNYTQWTLVVQHPNLDNVTEVFSFEYKPLVAYGSINDTGMFYGMKYYNDVLMEAGAYGNVQSEVLLRKDADAFTFKQGWAFPRKVYFNGDECMLPPPDVYPYLPNSSPVSARVILHNLAAPLLALLLLQMAAPW >Ma01_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12604117:12605121:-1 gene:Ma01_g17230 transcript:Ma01_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSLLLLAFFSLLVVPPCLAADPDMLQDICVADPGSGVKLNGFACKPAENVTEADFFFKGLATPGATNNTMGSLVTGANVEKIPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEIVFVLDGTLDVGFITTANKLIAKTITKGEVFVFPRGLVHFQKNNGAVPAAVIAGFNSQLPGTQSIAATLFAATPTVPDDVLAKAFQIGTKEVEDIKSRLAPKK >Ma11_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7163143:7166564:1 gene:Ma11_g09000 transcript:Ma11_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKVITREEWERKLKDVKISKKDMNKLVMNFLVTEGYVDAAEKFRIESGTEPDIDLATITDRMAVKKALQSGNVEDAIEKVNDLNPTILDTNPQLYFHLQQQRLIELIRTGNVEEALEFAQEELAPRGEENQNFLEELERTVALLAFDDVKNCPYGELLDVSQRLKTASELNAAILTSQSHEKDPKLPTLLKMLILAQNQLDEKAVYPRINDITAAVLEDDPTV >Ma02_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18311736:18314487:1 gene:Ma02_g07780 transcript:Ma02_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTEMVDHKRRSHDGFVVPSKVSGPPRSQRDSSGEKRLKAGGGAGVGANISTKEKKDKIGERVAKLQQLVSPFGKSDTASVLSEATAYIKFLHDQVQVLSAPYLQTTVIETVEERECYSLRSRGLCLVPVASTLKIAQSNGADLWAPANSNRRP >Ma04_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10681585:10681788:-1 gene:Ma04_g14110 transcript:Ma04_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAAIAPAEVLYHSRRDDVHHRVYTHRSEEAMLVTNNQEDRAFIQEQSFDQLIRSGMRYIHLGIL >Ma09_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:813475:814733:-1 gene:Ma09_g01110 transcript:Ma09_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNIEMIAALLRSCNLGRGGDRVSPPSAAARWVEASDESAGEVTVELNSETALPYHWEQCLDMRTGEVYYINRETGTRTSKDPRTAVAAATYSSSYHSEEDVSSDDDSCSGVGGSDDHEDSVDTANSCLTSLSSTSTSDTSAEPSGGHILVSAGCRSCFMYFMVPKSIDACPKCGGRLLKLGRDGCV >Ma03_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28273103:28274696:-1 gene:Ma03_g23830 transcript:Ma03_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGHRDPDRGHRQLIRPEERDQTADPADRELDLGFALMAQTSIYSLTCDEIQNAVCEPRKTFGSMDDFLTGICNVEDIQAAAANANNDSSNSQAQASNLADSTAGGQVVEAAAALHRQGSLTLPAPLSRKTVDEVWAEIHRNAARRSHRVEQVHQDGVGNGCREPTFGEMTLEDFLVKAGVVREGHGGQGSPSPHPQSMAPPPSAAQQYEMTGFGHMVGMAGYVDEQVLGAAAAAVVGSPASPLSSDGMARGQVDNSVPGYGADVPRSVGGVGRKRPGDGETVDKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNVLKEENARLTEEQRTAMASRTQLLLESMAEQSRINVQKAVRKLRPCNSCTW >Ma11_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22344249:22344386:1 gene:Ma11_g16930 transcript:Ma11_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGKMSTKEVDEQMINVQNKNSSHFVEWIPSNVNRVYVTFHPRG >Ma02_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29435322:29438154:1 gene:Ma02_g25060 transcript:Ma02_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNFLGRVISYVVNEVVVEGLANNRAFQRFAVRTSKAIEDVSTKAAQARERLVAQLKDATKDDDSFRRP >Ma02_p25060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29435443:29438154:1 gene:Ma02_g25060 transcript:Ma02_t25060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNFLGRVISYVVNEVVVEGLANNRAFQRFAVRTSKAIEDVSTKAAQARERLVAQLKDATKDDDSFRRP >Ma02_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16694135:16699670:1 gene:Ma02_g05350 transcript:Ma02_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRRPIYAVAAWVRRQPSKVKAFLGVVAGMAALVFLRFIVHDHDNLFVAAEAVHAIGISVLIYKLTKERTCAGLSLKSQDLTALFLAVRMYCSFVMEYDIHTVLDTATLATTLWVIYMIRFKLKSSYMEDKDNFAIYYVVVPCALLALAVHPSTSHNIFNRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTQGRLLTALGYGLWPSMVLLAEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >Ma09_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9898038:9900694:-1 gene:Ma09_g14500 transcript:Ma09_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDCAASILLCAEDNSSVLGFDDDVDGEEDGRGFGWVSGVKSPHFYGDFLVDFPVLSDERLGSLVERETEYMPREDYAERLRSGALDLAIRRDAIDWILKVHAHYSFGPLSAYLAVNYLDRFLSGYELPQGKAWMTQLLSVACLSLAAKMEETEVPLSLDLQVGDAKYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYVDFFLHKFNGGSPPSKILVYRSVELILSMARGTDLLVFRPSEVAAAIALSALRETQVVEVEKALSCCIHVVQEGVLRCFEVIQDLMAVRSRPRKIAISSVSSLPQSPIGVLDAACLSYNSDDTTAGSHATCGGDSPASKRRKLSSSSIS >Ma08_p30260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41591884:41592788:1 gene:Ma08_g30260 transcript:Ma08_t30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVLKVSIMCGKCKSCIMTIISKFHGVVSITMDAEKSTATVVGDVDVVLIVKALRKKNRPAQVVSVGEPDKEKKDEKKDEKEDDKKEPSKPLPSCCTACKAVVVWYDEPNPCSIL >Ma10_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28134977:28140238:1 gene:Ma10_g16420 transcript:Ma10_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable uridine nucleosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) UniProtKB/Swiss-Prot;Acc:Q8LAC4] MERKKIIIDTDPGIDDAMAIFVALNSPEIEVIGLTTIYGNVYTTLATRNALHLLEVAERSDIPVAEGSHVTITKGTKLRIADFVHGSDGLGNQNFPPPKGKAIDESAATFLVEKASQFPGEVTVVALGPLTNIALAIENDPEFPKKIGQIVILGGAFFVNGNVNPAAEANIFGDPDAADIVFTCGADISAVGINVTHQVVLTDADCEKLAKSESKLAQYLCKILGIYFSYHREAYATNGVYLHDPTALLAAVDPSLLTYTEGVVRVQTCGITRGMTIFDNTKKRYGENTEWSNKPTVRVAVTVDAPTVVKIMMERLMNS >Ma05_p17290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19829985:19833777:-1 gene:Ma05_g17290 transcript:Ma05_t17290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERMKEGERVLSFAGTTMLSSSSSLRGLAISLFLLFFFFFSADEIMCVPDSNYTNNRRVETLKLARIERRLDKINKPAVRTIESPDGDIIDCVPRHKQPALDHPLLKNHKIQAAPQRPRFKGDRTPINYNASDATRRAWQAWHHVGHCPKGTVPIRRSSVDDVLRAKSLFHFGKKHLGGVPLARKVDAPDVASGNGHEHAIAYTMNTEEVYGAKATINVWDPSIQVENEFSLSQIWILSGSFDGTDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYQATGCYNLLCSGFIQTNNRIAIGAAISPVSSSGSSQYDITILIWKDPIAGNWWMSLGDSSMLVGYWPAALFTHLSDRATMVEWGGEVVNTRTHGEHTSTQMGSGRFAEEGFGRASYFRNLEVVDSDNSLTTARAIATMAENSKCYDIKSFSNADWGTYFYYGGPGNNQHCP >Ma05_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19829985:19833777:-1 gene:Ma05_g17290 transcript:Ma05_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERMKEGERVLSFAGTTMLSSSSSLRGLAISLFLLFFFFFSADEIMCVPDSNYTNNRRVETLKLARIERRLDKINKPAVRTIESPDGDIIDCVPRHKQPALDHPLLKNHKIQKAAPQRPRFKGDRTPINYNASDATRRAWQAWHHVGHCPKGTVPIRRSSVDDVLRAKSLFHFGKKHLGGVPLARKVDAPDVASGNGHEHAIAYTMNTEEVYGAKATINVWDPSIQVENEFSLSQIWILSGSFDGTDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYQATGCYNLLCSGFIQTNNRIAIGAAISPVSSSGSSQYDITILIWKDPIAGNWWMSLGDSSMLVGYWPAALFTHLSDRATMVEWGGEVVNTRTHGEHTSTQMGSGRFAEEGFGRASYFRNLEVVDSDNSLTTARAIATMAENSKCYDIKSFSNADWGTYFYYGGPGNNQHCP >Ma09_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6429134:6431927:1 gene:Ma09_g09760 transcript:Ma09_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMVEAKLPPGFRFHPRDDELVCDYLAAKAAGGSTESSSIMMVDVDLNKCEPWDLPAAACVGGNEWYLFSLRDLKYATGQRTNRATMSGYWKATGKDKPVTRKGLLVGMRKTLVFYQGRAPKGKKTKWVMHEFRMEGSDGAPKLPFKPDWALCRVFCNNRRISTMPSMEASHESSGSQSLSALMDNCITFGQTPFFSEGFEQVPCFSNLSPNYVSQCQDFVLTKCLAQTGGLPELNSGLNQLTGDRRDSRTVLNHLTKFEGDPKGKVVPQGGFEDYLTQSRLPSTWNP >Ma01_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9782193:9782987:-1 gene:Ma01_g13370 transcript:Ma01_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYRADLIRRDTYCVQPHPFAKFYGSRIFSLLYLLIIVLALSHGCYPPHSHALFVVVEDLI >Ma09_p29350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39820288:39824713:1 gene:Ma09_g29350 transcript:Ma09_t29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog 5 [Source:Projected from Arabidopsis thaliana (AT5G39930) UniProtKB/Swiss-Prot;Acc:Q9FLE2] MASARQFELAKESELRVEVGPDAPLRLRLLSGAAEIFGTELPPGNWISAPPHSKIAVFTWNGATIELDGISEVEYVADETPMVSYVNVHAILDARRARAKSSQASGMDSSQGPRVIVVGPTDSGKSSLCKMLLSWACKLGWKPTYVDLDIGQGSITIPGCIAATPVEMPIDVMEGIPLEMPIVYFYGHTTPSANGDLYKVLVKELAATLERQFSGNAEARAAGMVINTMGWVEGLGYELLLHAIDTFKCDVVLVLGQEKLCSMLKDVLKSKPNVDVVKLHKSGGVVLRNQRVRQKTRSLRIREYFYGLANDLSAHSNIVNFTDISVYRIGGGPQAPRSALPIGAEPVADPTRVVAVNINRDLLHLVLAVSYAKESDQIISSNVAGFIYVTDIDIQRKKITYLAPCPGELPSKILIVGTLTWLEG >Ma01_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10739002:10746454:1 gene:Ma01_g14700 transcript:Ma01_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLRVLARRSWRQLQRRHHQAAMPFCSAVLPDGIDRSSDAFACNSAAVQDVISQLQSNIRQVLGGGGPRAVERNRSRNKLLPRERIDRMIDPGSSFLELSQLAGFDLYEESLPSGGIITGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIAAECKLPCIYLVDSGGANLPRQADVFPDRDNFGRIFYNQAKMSAEGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEVSAEDLGGASMHCKISGVSDHFATDEIHGLTIGRNIVKNLYMAGRGENSPPSNSSSYEDPLYDEKELTSIARVDQKQPFDIRSIIARIVDGSEFDEFKKYYGTTLVTGFARIYGQSVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFMWPTAKISVMGGNQAAGVLAQIERSNKKRQGIEWSKEEEDKFKSKVVEGYDREASPYFSTARLWDDGIIHPSDTRKVIGLCLSASIKPHPEDTKYGVFRM >Ma03_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24126871:24131920:-1 gene:Ma03_g18530 transcript:Ma03_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLSSQLPLPFQKSHKGSQRWPIPHPIPSTNLAGCGCSILPSGVTSLSRRSGGRGVVHAVKEEETLPSALAEADVSKTPSSSSKVVLVIGGTGGVGQLIVASLLSRNIKSRLLLRDPSKAISLFGIQDENIIEVHKGDTRNPSDLDSTIFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWEGIRNLVLALPPTIERLVLVSSVGVTKYNELPWSIMNLFGVLKFKKMGEDFVRSSGLPFTIIRAGRLTDGPYTSYDLNTLLKATAGKRRAVVISQGDELVGEVSRLVVAEACIQALDIECTKGQIYEINSIEGDGPGSDPEKWKELFKAAEAN >Ma05_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9322866:9325113:-1 gene:Ma05_g12900 transcript:Ma05_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNITGLISLHLSNNQLVGQVPLEFGMLSNLRLLDLSSNNLAGRIPDQLGNCTNLGSLKLNNNNFSGTIPLAIGYLVHLQDTFDVSHNSLTGEVPSQLSKLVMLQSLNLSHNSLSGHLPSSLTYMTSLSTVDVSYNELDGPIPDSPAFRRAPAEWFSHNINLCGVVRGLPQCVTLGTPTKDDRSKRHKVVVIAIIASVVFFLLLFIFIGAALRFHKRKKQPVPVDDNHIIEGAFSILNFDGRDVYKDIIEATEDFDAKYCIGSGAYGSVYRAELASGELLAVKKIHLPDTERTFDEQPFQNEIETRTQIRHRNIVKLYGFCSSPRHKFLDVARALFYMHHDCTPPIVHRDITSNNILLDSEFNACVSDFGIARLLKPDSSNWTMLAGTRGYLAPELAYTMRVTTKCDVYSFGVVTLELLVGAYGDELISILSSPSGNNVFVKDALDQRLSLPVARVADEVIAVLTAALSCANNSPESRPTMKQVYENIGSVKTPQGCGSLDVLRLSDLINADI >Ma10_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26119410:26123495:1 gene:Ma10_g13240 transcript:Ma10_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWINKRADKEISSVGDDINSLSSSIEGGAKWLVNKLKGKMQKSLPELLREYDMPIGLFPQDATHYEFNEETGKLTVYIPSICEVGYRDSSVLRFLTIVTGYLEKGKLVEIEGIKTKVLIWAKVNSITTEGSKIHFTTGVKKTRSRDVYEVLRGGITVDKF >Ma07_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28434825:28435572:-1 gene:Ma07_g20570 transcript:Ma07_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCSETSHLRCEIRVGRLSNFDLDLPGNPFIRYYVFAGHGRRIRIDTKEVVPTGSPCWDELATIECQGASDPVRELLEPHTVVFELRRRSRRIPLLGRFTGSKLLGMAEVAWKEVLASPDMSLKRCVRFASLSSEFYGLKPPCLSVEMKVEAVKSLSNKQRSGRRPAMEGCACRSSERFGSEEDILLAAATLNVW >Ma08_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17633918:17635973:-1 gene:Ma08_g16260 transcript:Ma08_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALKAAAGKVGTAARKQALTLTDAAAARIRQLLNLRQQAYLRLGVKARGCSGLSYTLNYADEKGKFDELVEDKGVKILVDPKALMHVIGTKMDFVDDALKSEFIFINPNSKGQCGCGESFMTSSSSQDNKPSGL >Ma08_p16260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17633918:17635973:-1 gene:Ma08_g16260 transcript:Ma08_t16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALKAAAGKVGTAARKQALTLTDAAAARIRQLLNLRQQAYLRLGVKARGCSGLSYTLNYADEKGKFDELVEDKGVKILVDPKALMHVIGTKMDFVDDALKSEFIFINPNSKGQCGCGESFMTSSSSQDNKPSGL >Ma05_p31520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41394503:41403354:1 gene:Ma05_g31520 transcript:Ma05_t31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSSKSQSLNTLSSENMPRKALVASFPTNISPKAFKNLVSYKFSSQQRGPTGQIALFLLKVAALETVRRFSRARCPFVWKTIQALQVLCYPPFKWIRRWTPFGMMVEGIQKISRPLLVLSIATAFSDYSANCKSQVHCVDDPQPNSDLPRRSSASDVSSSSDFVKEDSRNWMLELIAELEKKGITIPDRINEDELQRFYDAANGDLSCLLSSLKKTIRWRETYSILSLQELEKWSHLVFWHGFDVMLRPCLVIRLGLACSRLVPHERPRFTQAVVSQLEHGILHLINEEDPRVTVLMDCEGISPFKFPMQMMRSCSSLVQDHYPNRLGCLFVLRLPPVVRVLAQTIIQVLKPITRQKLRIEGESYQKVLSEFLQNVPAFLRGNCTCSNCENLVADWPSSRMAETRIQPCGNVSEDESAGDDYPTHELAFSGNCDHVLRAAIIAFLMLCVLVAFLAGMYDPESLASPP >Ma05_p31520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41394503:41403354:1 gene:Ma05_g31520 transcript:Ma05_t31520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKALVASFPTNISPKAFKNLVSYKFSSQQRGPTGQIALFLLKVAALETVRRFSRARCPFVWKTIQALQVLCYPPFKWIRRWTPFGMMVEGIQKISRPLLVLSIATAFSDYSANCKSQVHCVDDPQPNSDLPRRSSASDVSSSSDFVKEDSRNWMLELIAELEKKGITIPDRINEDELQRFYDAANGDLSCLLSSLKKTIRWRETYSILSLQELEKWSHLVFWHGFDVMLRPCLVIRLGLACSRLVPHERPRFTQAVVSQLEHGILHLINEEDPRVTVLMDCEGISPFKFPMQMMRSCSSLVQDHYPNRLGCLFVLRLPPVVRVLAQTIIQVLKPITRQKLRIEGESYQKVLSEFLQNVPAFLRGNCTCSNCENLVADWPSSRMAETRIQPCGNVSEDESAGDDYPTHELAFSGNCDHVLRAAIIAFLMLCVLVAFLAGMYDPESLASPP >Ma10_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9482356:9537005:1 gene:Ma10_g02770 transcript:Ma10_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive DNA (cytosine-5)-methyltransferase DRM3 [Source:Projected from Arabidopsis thaliana (AT3G17310) UniProtKB/Swiss-Prot;Acc:Q8H1E8] MVKVEDCTDSGSSSDVEILERQRLQVTWSDLKPGSAPDLEDENAGPEASSSLDGFSSPEREEADSLFGSELDDNVDSEYHNVPSVQDGEKRLYLLAMNFAEEEVDLALKQLGESAPLSELADFIVTAQTAGSSGVNSMKGSESNLGKDEEQNAEGLFGMMDKTNYLFQMGFTEEEVSTAIDNFGPEVSIQELADSIFASRLSCRIKKDQTVDGSNIKTELDYTDHASEELKCYHSVTDAWEAHASSSNKEFYDYEEKVRVKKAKGTVVDGESSFNHSAKQQARWETAWQSKPNIFSKFEMSDPVFIKKEVPEQMTSNLNGNIHGILSRAPYFFYGNVVDVSRETWRRLSEFLYGAEPEFASCQFFSAFMRKEGYLHNLPSGRRFYILPKPPVTLGEALPHTKRWWPSWDTRKQLGCINAETKVVRQVCEQLGKMMMDSQGMLSKEQQANVLHQCKTLNLMWVGQYKLSPIEPDQVEGILGYPRHHTRIWGLEPDERLRALKYSFQTDTLGYYLSVLKSMFPDGMRVLSIYSGIGGAEVALHRLGIHLKCVISVEPSNINRRIIRRWWQYTGQSGELILVRGVEKLTTQMLENLVHKFGGFDLIIGGNPGPCIPGSCNASILMGMGPNPFFEFVRVFQRVRSIMGRSSS >Ma04_p16120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14834037:14851395:-1 gene:Ma04_g16120 transcript:Ma04_t16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFPNIRDLLASFSPSLDFFAISSGDGRIKIWDTIKGQLQTEFSDIAPSDASSLLSQRKSGHLSLDYTCMKWVQLEKKRKKKPGNSILVLGTGNGDVLALDVSAGQLRWKVSDCHPGGVTAVSYSMLRRYVYTTGVDGMVCQIDTSTGSTLGRFRAFTKPISSVSVSADGKILATAAGQLKTFNCSDNKKIQKFPGHPVAVRCMIFSEDGQYIVTSGVGERHVAIWKVGGGKKQSAICILSMDHPAIFLDCKKLDNDGKDGEGLSVLAISEMGVCYFWYGSSIEELGNCQPTRISVFLESKKKFDVAIYSAKLLDVPKPASGQVFVAYGSLVKPSFQKLLVQYGVDIKLGDFKGGVLIPIDSFSISQKGQTMDMKAETVTALDRANAEDAILPVPKLYAQDKKRKHNVTKLITDIKNVEVDPIINKNKARSAHRKVVLQRMEDDGTICIEDRLKALGIVTEKVDLRTKDHASSPTNTVLDIYSGGKISVDVNMPPKKIRAHILSMSSIDACKSLEVLISALKKSSVSSKHIFPWIYYILVNHGQFIISQDSSVQMLDGLHKMIKLKCAAIEPLLKLSGRFQLIMTQIDKAAGMKASMDERHGEGDKMLDEDEDNVDAEEDDDEDEEIDEMVYGEDESGSDEDDQHQT >Ma04_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14834037:14851421:-1 gene:Ma04_g16120 transcript:Ma04_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFPNIRDLLASFSPSLDFFAISSGDGRIKIWDTIKGQLQTEFSDIAPSDASSLLSQRKSGHLSLDYTCMKWVQLEKKRKKKPGNSILVLGTGNGDVLALDVSAGQLRWKVSDCHPGGVTAVSYSMLRRYVYTTGVDGMVCQIDTSTGSTLGRFRAFTKPISSVSVSADGKILATAAGQLKTFNCSDNKKIQKFPGHPVAVRCMIFSEDGQYIVTSGVGERHVAIWKVGGGKKQSAICILSMDHPAIFLDCKKLDNDGKDGEGLSVLAISEMGVCYFWYGSSIEELGNCQPTRISVFLESKKKFDVAIYSAKLLDVPKPASGQVFVAYGSLVKPSFQKLLVQYGVDIKLGDFKGGVLIPIDSFSISQKGQTMDMKETVTALDRANAEDAILPVPKLYAQDKKRKHNVTKLITDIKNVEVDPIINKNKARSAHRKVVLQRMEDDGTICIEDRLKALGIVTEKVDLRTKDHASSPTNTVLDIYSGGKISVDVNMPPKKIRAHILSMSSIDACKSLEVLISALKKSSVSSKHIFPWIYYILVNHGQFIISQDSSVQMLDGLHKMIKLKCAAIEPLLKLSGRFQLIMTQIDKAAGMKASMDERHGEGDKMLDEDEDNVDAEEDDDEDEEIDEMVYGEDESGSDEDDQHQT >Ma06_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7021124:7023401:-1 gene:Ma06_g10120 transcript:Ma06_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRRLYQVWKGNNRFWCGGRLIFGPDGASLSLSMLLIAGPSIAFCSQVLAKILNHEMTDDDNQNRILGSPVLIVTALLTVADLAFLLLTSSRDPGIVPRNARPPDSDEAFDVTTPSMEWVSAATPHLQLPRTKDVNVDGFTVRVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVDQCIGVRNYRFFFLFISSSTFLCIYVFTFSWLNILIEGKRYHNSVWKSMRSEVLSLVLIVYTFIAIWFVGGLTAFHVYLISTNQTTYENFRYCYDKKENPYNKGLLKNFKDVFFSKIPPSLNDFRSWVLEDSPEASSISWNSGINIVGSKEKIDLEMGNMTELSSNMQITNTMQDLDCTAIDDNVQEKGRHEDVASYPFAFPVVQEPTEQASTCNNQTCIRADGMIMDEMSDEESCLNDISTHVDDDGHLLET >Ma02_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16718495:16720377:1 gene:Ma02_g05400 transcript:Ma02_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFLSLVLFFSLLFSALLLFFKPRCSRHSSNPGKFTPLGSYPVVGNIPHLVKNSRRMLDWSTELILASPTPTVTVAPFVFTANPANVEHVAKTRFANYPKSEAIISTIRDCLGGGILNTNGEEWRLQRKAASYEFNTRSLRAFVLDKVRHELLGRLLPCLAEASRGGLVLDLQDVLERFAFDNICSLVFGEDPRCLGGGSEEGERFFHAFDEATRLSVDRAMQPFTLVWRIKKWLDIGTERQLRESMEIVHGFVDRCVRSSRDPQSGGHDFLSRFALGSANSDEYLRDILISFVLAGRDTTPSALTWFFWALSSRPDVVDKIRDEVKQIRSRQREGNIGEESFTLEELRDMNYLHAAISESLRLYPPVPLVVRECREADELPDGVRVGRGWLVMYNAYAMGRREAIWGPDGGQFRPERWLDEGVFQPKSPAFYPVFHTGPRTCLGKDMAYIQMKALAACILERFDVELAAERGRHQLAMTMRMEGGLPVRVKTELRPSV >Ma02_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15830230:15835098:-1 gene:Ma02_g04370 transcript:Ma02_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGLERVTVPDPSAATVATIRSLIESQLGVPAAAQTLSLDPNLLLPSKAQTPALDPSVRLSSLPLAHGSILYLSYPAGLRRAAAAVPTPPPFTPAGSFGRRKMTIDDLMARQVRVARQESPHCAAASFDRDAANAFQLYVADTLAFAVKRAGFLYGHVDEAAHSVVVDFVYEPPQQGSEDAATLFRDPDEEALVEAIAAGLGMRRVGFIFSQAVGRKAGTAEYTMSGREVLQAAEMQAEGGIPEWVTAIVKLKVGEDGAADVHFEAFQMSDTCIRLFKEGWLLTEFGEDDDPRVSKMKKDVVVGVKDTREVDNDFFLVPVKISDHQGPLSSSFPIENRITAVTLRTLKNHLDRTKHLPLVKRISDFHLLLLLSRLLDVNADIPALTECVQKQSSVPEGYQLLIESLATS >Ma06_p28610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30247585:30252102:-1 gene:Ma06_g28610 transcript:Ma06_t28610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSCTNYFQHFCSDGFQLGNGAYSPHSDLLPSLGATINNTIKLRKHIVSPYDPRYRLWEMFLILLVLYSAWICPFEFAFLRYLPSTIFLVDNIVNSFFAIDIVLTFFVAFIDHKSYLLVDDPKRIAIRYLSTWFMFDVCSTFPFQTISFFFNRHGNSLGFKLLSMLRLWRLHRVSSLFARLEKDIRLNYFWTRCTKLFSVSFLFSVLPQTTPQVLSTITFCTTVIPNFREDSLWSRYVTSIYWSITTLTTTGYGDLHAENTREMLFDIFYMFFNLGLTAYLIGNMTNLVVHGTSRTKSFRDTIHAASEFASRNKLPKHIGEQMLSHICLRFKTEELKQQETLDGLPKAIRSSIAECLFFPIVRRVYLFQEVSYNLIFQLVTEMQAEYFPPKEDVILQNEAPTDLYIVVSGTVEMRTHVDGVDKVRLIERVPISTLGCPMLTISSVTTYQFQVHERVTAGEVFGEIGVLCHIPQPFTIRTIELAQILRLSRSVFFSTIRESKQDATIVMRNLFQKLRLHARLYPGVQQNDPGALLKEWFDIGPTNMNGNHAQDGVHYQVQNLHALEQMDGGNLLSEAENNKDISIVDELPIGQANPKLDHADQQTGFPTWGGHNKTNNIPLKQAEAVDKADSIRWRQKDKHEKYDNEGAFELLSSIGHRKSFLGEHELESVDMIASENGINFKSHGSRERNSWYHYPNINQMLITSYLQSGSHVSENNIMKLSSKRVTIQMYSQKENPARQPTAKMIKLPGTMEELLRIGAAGEKFVGHHPTKVINQEKAEIDDISVVRDGDHLYFLEI >Ma06_p28610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30247585:30252099:-1 gene:Ma06_g28610 transcript:Ma06_t28610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSCTNYFQHFCSDGFQLGNGAYSPHSDLLPSLGATINNTIKLRKHIVSPYDPRYRLWEMFLILLVLYSAWICPFEFAFLRYLPSTIFLVDNIVNSFFAIDIVLTFFVAFIDHKSYLLVDDPKRIAIRYLSTWFMFDVCSTFPFQTISFFFNRHGNSLGFKLLSMLRLWRLHRVSSLFARLEKDIRLNYFWTRCTKLFSVTLFTVHCSGCFNYMIADRYPDPGRTWIGAVIPNFREDSLWSRYVTSIYWSITTLTTTGYGDLHAENTREMLFDIFYMFFNLGLTAYLIGNMTNLVVHGTSRTKSFRDTIHAASEFASRNKLPKHIGEQMLSHICLRFKTEELKQQETLDGLPKAIRSSIAECLFFPIVRRVYLFQEVSYNLIFQLVTEMQAEYFPPKEDVILQNEAPTDLYIVVSGTVEMRTHVDGVDKVHERVTAGEVFGEIGVLCHIPQPFTIRTIELAQILRLSRSVFFSTIRESKQDATIVMRNLFQKLRLHARLYPGVQQNDPGALLKEWFDIGPTNMNGNHAQDGVHYQVQNLHALEQMDGGNLLSEAENNKDISIVDELPIGQANPKLDHADQQTGFPTWGGHNKTNNIPLKQAEAVDKADSIRWRQKDKHEKYDNEGAFELLSSIGHRKSFLGEHELESVDMIASENGINFKSHGSRERNSWYHYPNINQMLITSYLQSGSHVSENNIMKLSSKRVTIQMYSQKENPARQPTAKMIKLPGTMEELLRIGAAGEKFVGHHPTKVINQEKAEIDDISVVRDGDHLYFLEI >Ma06_p28610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30247585:30251016:-1 gene:Ma06_g28610 transcript:Ma06_t28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVCSTFPFQTISFFFNRHGNSLGFKLLSMLRLWRLHRVSSLFARLEKDIRLNYFWTRCTKLFSVTLFTVHCSGCFNYMIADRYPDPGRTWIGAVIPNFREDSLWSRYVTSIYWSITTLTTTGYGDLHAENTREMLFDIFYMFFNLGLTAYLIGNMTNLVVHGTSRTKSFRDTIHAASEFASRNKLPKHIGEQMLSHICLRFKTEELKQQETLDGLPKAIRSSIAECLFFPIVRRVYLFQEVSYNLIFQLVTEMQAEYFPPKEDVILQNEAPTDLYIVVSGTVEMRTHVDGVDKVRLIERVPISTLGCPMLTISSVTTYQFQVHERVTAGEVFGEIGVLCHIPQPFTIRTIELAQILRLSRSVFFSTIRESKQDATIVMRNLFQKLRLHARLYPGVQQNDPGALLKEWFDIGPTNMNGNHAQDGVHYQVQNLHALEQMDGGNLLSEAENNKDISIVDELPIGQANPKLDHADQQTGFPTWGGHNKTNNIPLKQAEAVDKADSIRWRQKDKHEKYDNEGAFELLSSIGHRKSFLGEHELESVDMIASENGINFKSHGSRERNSWYHYPNINQMLITSYLQSGSHVSENNIMKLSSKRVTIQMYSQKENPARQPTAKMIKLPGTMEELLRIGAAGEKFVGHHPTKVINQEKAEIDDISVVRDGDHLYFLEI >Ma06_p28610.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30247585:30252101:-1 gene:Ma06_g28610 transcript:Ma06_t28610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSCTNYFQHFCSDGFQLGNGAYSPHSDLLPSLGATINNTIKLRKHIVSPYDPRYRLWEMFLILLVLYSAWICPFEFAFLRYLPSTIFLVDNIVNSFFAIDIVLTFFVAFIDHKSYLLVDDPKRIAIRYLSTWFMFDVCSTFPFQTISFFFNRHGNSLGFKLLSMLRLWRLHRVSSLFARLEKDIRLNYFWTRCTKLFSVTLFTVHCSGCFNYMIADRYPDPGRTWIGAVIPNFREDSLWSRYVTSIYWSITTLTTTGYGDLHAENTREMLFDIFYMFFNLGLTAYLIGNMTNLVVHGTSRTKSFRDTIHAASEFASRNKLPKHIGEQMLSHICLRFKTEELKQQETLDGLPKAIRSSIAECLFFPIVRRVYLFQEVSYNLIFQLVTEMQAEYFPPKEDVILQNEAPTDLYIVVSGTVEMRTHVDGVDKVRLIERVPISTLGCPMLTISSVTTYQFQVHERVTAGEVFGEIGVLCHIPQPFTIRTIELAQILRLSRSVFFSTIRESKQDATIVMRNLFQKLRLHARLYPGVQQNDPGALLKEWFDIGPTNMNGNHAQDGVHYQVQNLHALEQMDGGNLLSEAENNKDISIVDELPIGQANPKLDHADQQTGFPTWGGHNKTNNIPLKQAEAVDKADSIRWRQKDKHEKYDNEGAFELLSSIGHRKSFLGEHELESVDMIASENGINFKSHGSRERNSWYHYPNINQMLITSYLQSGSHVSENNIMKLSSKRVTIQMYSQKENPARQPTAKMIKLPGTMEELLRIGAAGEKFVGHHPTKVINQEKAEIDDISVVRDGDHLYFLEI >Ma06_p28610.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30247585:30252098:-1 gene:Ma06_g28610 transcript:Ma06_t28610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSCTNYFQHFCSDGFQLGNGAYSPHSDLLPSLGATINNTIKLRKHIVSPYDPRYRLWEMFLILLVLYSAWICPFEFAFLRYLPSTIFLVDNIVNSFFAIDIVLTFFVAFIDHKSYLLVDDPKRIAIRYLSTWFMFDVCSTFPFQTISFFFNRHGNSLGFKLLSMLRLWRLHRVSSLFARLEKDIRLNYFWTRCTKLFSVTLFTVHCSGCFNYMIADRYPDPGRTWIGAVIPNFREDSLWSRYVTSIYWSITTLTTTGYGDLHAENTREMLFDIFYMFFNLGLTAYLIGNMTNLVVHGTSRTKSFRDTIHAASEFASRNKLPKHIGEQMLSHICLRFKTEELKQQETLDGLPKAIRSSIAECLFFPIVRRVYLFQEVSYNLIFQLVTEMQAEYFPPKEDVILQNEAPTDLYIVVSGTVEMRTHVDGVDKVHERVTAGEVFGEIGVLCHIPQPFTIRTIELAQILRLSRSVFFSTIRESKQDATIVMRNLFQKLRLHARLYPGVQQNDPGALLKEWFDIGPTNMNGNHAQDGVHYQVQNLHALEQMDGGNLLSEAENNKDISIVDELPIGQANPKLDHADQQTGFPTWGGHNKTNNIPLKQAEAVDKADSIRWRQKDKHEKYDNEGAFELLSSIGHRKSFLGEHELESVDMIASENGINFKSHGSRERNSWYHYPNINQMLITSYLQSGSHVSENNIMKLSSKRVTIQMYSQKENPARQPTAKMIKLPGTMEELLRIGGEKFVGHHPTKVINQEKAEIDDISVVRDGDHLYFLEI >Ma05_p30300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40764975:40765136:1 gene:Ma05_g30300 transcript:Ma05_t30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSYPPRCASKCGKCTPCRPVHVPVPPGTPVTSEYYPEAWRCKCGNRLYVP >Ma02_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25999613:26001139:1 gene:Ma02_g19860 transcript:Ma02_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVPEENCRWPPWLRPLLSTRFFVQCAVHADSHKSECNMYCLDCTNGALCSLCLAHHHRDHRTIQIRRSSYHDVIRVSEVQKMLDITGVQTYIINSARVVFLNERPQPRPGKGVTNNCEVCERSLLDSFRFCSLGCKIAGTANDGSNKKSGEKKTMATAASSDSDESCTSRGSENSNMTNSFAPSTPQYHRSAKRRKGIPHRAPFGSLILEL >Ma10_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7571817:7575256:-1 gene:Ma10_g02270 transcript:Ma10_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSGLHELFGMMSGLSYDDSHSEQNIFRALGLGEPQPHLGHSNYAVLPQMSSTTNTSSNPTSTVSTSTEGEGLEDGDIFYSDMALRYISRMLMEEDIDEKVSTYKEELALRAAEKPFYDILGQKYPPSLYQPQLDAHQSLESPARSSNNQNGKFCRGGSSSSSRFVDSNSVCDSSDYQRSHARPTSADYSSRTPSSSSNSISSTEEPLANIVVSPSLFIGGMPAWHFKRGVEEARKFLPSNDKLVINLESNDLSSSREPRSDGRLVSIKAEVVEKESSLNVSRGRKNPNSEDLDLAEGRSNKQSAVFGEGELRSEMFDMVLLCQGDKCTQKIFDLREAMQNIASKNDQNGPAKVASSGKARGKKQTKKEVVDLRTLLILCSQAVAADDRRTANELLKQIRQHSSRDGDGSQRLASFFADGLEARLAGTGSLIYHALVAKRTTATDILKAYHLYLAACPFKRVSHFFSNQTILNLSEKASTVHIIDFGIYFGFQWPCLIQRLSTREGGPPKLRITGIDVPQPGFRPTERIEETGQRLADYAKSFNVPFEYQTIASKWETIRVEDLHIDKDEVVVVNCLYRFRNLVDETVIVDSPRNRVLNTIRKMNPDVFIHGVVNGSYSAPFFVTRFREALFHFSALFDMLETNVPRDDEQRLLIERDLFGREALNVIACEGSERVERPETYKQWQVRNLRAGFVQLPLNSGIMKKAKDKVRSCYHKDFVIDEDSRWLIQGWKGRIIYAVSAWKPSGA >Ma04_p34950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33899924:33905661:-1 gene:Ma04_g34950 transcript:Ma04_t34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTIFKNHGVGQLLVSQTPQAPLVPYWVGTQPLHGEPLGQLKSVTGDHINAEQQLPVVSRRVNHFVVAGHGPGLGFEIPEKGGNSTANCSNFPDHKDLGKEQKTQQYFAPFSLQSSLPENPGHFKQGLGHSVVCPSHSYVDQFYGLYATYGAAQAMHGRVLLPMDATTDGPIYVNAKQFYAILRRRKARAKAEKQNKLIRVRKPYLHESRHLHAMRRVRGRGGRFLNTEKVKDAMPPPAMSPSSEILQHDNVNLKSASGGSEVTSLYEQENIDHFSIIERLHASVLHPLSNMVNGGGQGSGVIHNNWGAAADGCCDLLKV >Ma04_p34950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33899924:33905490:-1 gene:Ma04_g34950 transcript:Ma04_t34950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTIFKNHGVGQLLVSQTPQAPLVPYWVGTQPLHGEPLGQLKSVTGDHINAEQQLPVVSRRVNHFVVAGHGPGLGFEIPEKGGNSTANCSNFPDHKDLGKEQKTQQYFAPFSLQSSLPENPGHFKQGLGHSVVCPSHSYVDQFYGLYATYGAAQAMHGRVLLPMDATTDGPIYVNAKQFYAILRRRKARAKAEKQNKLIRVRKPYLHESRHLHAMRRVRGRGGRFLNTEKVKDAMPPPAMSPSSEILQHDNVNLKSASGGSEVTSLYEQENIDHFSIIERLHASVLHPLSNMVNGGGQGSGVIHNNWGAAADGCCDLLKV >Ma04_p34950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33899924:33905661:-1 gene:Ma04_g34950 transcript:Ma04_t34950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTIFKNHGVGQLLVSQTPQAPLVPYWVGTQPLHGEPLGQLKSVTGDHINAEQQLPVVSRRVNHFVVAGHGPGLGFEIPEKGGNSTANCSNFPDHKDLGKEQKTQQYFAPFSLQSSLPENPGHFKQGLGHSVVCPSHSYVDQFYGLYATYGAAQAMHGRVLLPMDATTDGPIYVNAKQFYAILRRRKARAKAEKQNKLIRVRKPYLHESRHLHAMRRVRGRGGRFLNTEKVKDAMPPPAMSPSSEILQHDNVNLKSASGGSEVTSLYEQENIDHFSIIERLHASVLHPLSNMVNGGGQGSGVIHNNWGAAADGCCDLLKV >Ma01_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12866680:12867888:-1 gene:Ma01_g17570 transcript:Ma01_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAVGDVFTMNCQTKRTKGVDWSKLPAHLLRQIAELLVVDVSDYVHFRAVCTTWRSAAVDPRRRPPQLPWLLLQHDRTTNRLSFFSLSDAKTHSIRLPDRAGDCHVCLSCDGWLVLKKASSRICLLNPLSRTLLELPPVFNLLRAPQLRRSYPDITSDIMKRYNVRAIAMSSNPRARQQHCTILASFRFMPDLPLLASLRLSDRYSWTLVDHSIMYDDVICIKETFYTVNEAGQVAVFNSRLEKMAVVGSPTRTSIFFRSWRLVESSGELLVLRNVVYSNPTVDRKNPNRLPVYSTDRVEIFRLKSIAGELCLVTAKSLDDQILFVGFGRSFARPAISYPPARRNSIFLAKEYDFMRNDNLLCRIESGEYNFGDQIMEPLPSDRVEDESMGYTSIWHSPSF >Ma03_p30950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33368742:33369965:-1 gene:Ma03_g30950 transcript:Ma03_t30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGQGNEVGSGSGSKARFRSICVFCGSRSGNRPSFSEAALDLGKQLVERNINLVYGGGSVGLMGLISKTVYDGGCHVLGIIPTDLLPNEISGETIGDVIKVADMHDRKSEMAKHADAFIALPGGYGTMEELLEMIAYSQLGIHHKPVGLLNVDGYYDSLLHLFDTGVHQGFIEDSARHIVVSAETAAELLRKMEHC >Ma06_p21500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15729010:15732684:-1 gene:Ma06_g21500 transcript:Ma06_t21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPDFVNKDKLILRGLQFHGFHGVKPEEKKLGQKFVVDVDAWLDLSNAGKSDDISDTVSYTDIYRLVKEVVEGPSRNLLESVAHVIANTTFLKFPQISAVRVMVGKPHVAVHGTIDYLGIEILRHRDQNHDKCEPE >Ma06_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15729017:15732718:-1 gene:Ma06_g21500 transcript:Ma06_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPDFVNKDKLILRGLQFHGFHGVKPEEKKLGQKFVVDVDAWLDLSNAGKSDDISDTVSYTDIYRLVKEVVEGPSRNLLESVAHVIANTTFLKFPQISAVRVMVGKPHVAVHGTIDYLGIEILRHRDQNHDKCEPE >Ma01_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14820295:14820557:1 gene:Ma01_g19320 transcript:Ma01_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAMHSSRMLLSKRPKMHWRIFQARISSHLRAKERNNRRSKSKSCCSVWG >Ma07_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2552459:2552782:-1 gene:Ma07_g03300 transcript:Ma07_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILSDILLYFCFIGITKSSKLGVGETNFPTFSVTCHVELLSYSRFIFHNNKTCKIRDSSEEGIQSACLFIKLDRNGSVLSINIVYFKINYRVIIKEGVFLWISFFL >Ma07_p25280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32360722:32364655:-1 gene:Ma07_g25280 transcript:Ma07_t25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSEPSGRQMIPHSAALRCLLVLSLAGAILGLGERLGAKECEDLGFTGLALCSDCNTLGEYVKDKELVSDCRKCCSEDSDDSLSKVTFSGAILEVCMRKLMFYPEVAAFIEEEKDEFSYVKFKYAYASPPKLIMLDSEGNEKETIRIDNWKREHIQQFLKEKVKPGVSVS >Ma07_p23980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31454796:31458129:1 gene:Ma07_g23980 transcript:Ma07_t23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEIEIYRFVDIKKLITINKRSPNHSCIRSPTVLQVTVTPPRRPGPDRPRDPLRLAAGVSSGCSSKIRRPAVIYNSTQKHFSLFDSGKERKQECPPKLVETLEDGESDPKHCLSPV >Ma06_p36310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35776494:35778277:-1 gene:Ma06_g36310 transcript:Ma06_t36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHADDNVEYEEEFIENQRGARLFTCRFVQRNVEPKALIFLCHGYGSECSISMRDIATRLAKAGYAVRGVDYEGHGKSSGLRGYISSFDDLVSDCSDYFMSVCERRENKKKPRYLFGLSMGGAVALLLHLKAPTYWSGAVLVSPMCKIDGKMKPHPLVISILKKLCSIIPTWKMIPAKDMIDIAIKDPEKRQEVRSNPYNYRGSLRLGTGHELLRVSLAIERNLHQVTLPFLVMHGGDDKIFDPSSSELLYKSASSTDKTFKVYDGMWHAFTFGEAPERVELVFSDMVAWLEQRTMKTVVAQEASC >Ma10_p28590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35431405:35434524:-1 gene:Ma10_g28590 transcript:Ma10_t28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTVSRMLSSCSDDAKTFFTALTVLLLYGSFLAEPRSIPSKSMFPTFDVGDRILAERFSYLFREPEVRDIVIFRAPPILQENGYSSRDVFIKRVVAKAGDCVEIRDGKLLVNGIIQDEEFILEPLRYEMKPMFVPEGCVFVLGDNRNNSFDSHNWGPLPVKNIIGRSVFRYWPRSRISDTIYVRSMVQNVMGVDAYSSANKDYI >Ma10_p28590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35431405:35434524:-1 gene:Ma10_g28590 transcript:Ma10_t28590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTVSRMLSSCSDDAKTFFTALTVLLLYGSFLAEPRSIPSKSMFPTFDVGDRILAERFSYLFREPEVRDIVIFRAPPILQENGYSSRDVFIKRVVAKAGDCVEIRDGKLLVNGIIQDEEFILEPLRYEMKPMFVPEGCVFVLGDNRNNSFDSHNWGPLPVKNIIGRSVFRYWPRSRISDTIYVRSMVQNVMGVDAYSSANKDYI >Ma06_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9684583:9686113:1 gene:Ma06_g14120 transcript:Ma06_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGVSEILGTRLSTAAPPRSPPAPPPSGSPRIVALFSKKSSPKSKPAAVSPVNDELAKWYGPERRIFLPEGLLDRSEIPEYLTGDVPGDYGYDPFGLSKKPENFAKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVVAVVAEIVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLANDPDQAALLKVKEIKNGRLAMFAMLGFFLQAYVTGEGPVENLAKHLSDPFGNNLLTVISGAAERAPTL >Ma06_p14120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9684582:9686113:1 gene:Ma06_g14120 transcript:Ma06_t14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGVSEILGTRLSTAAPPRSPPAPPPSGSPRIVALFSKKSSPKSKPAAVSPVNDELAKWYGPERRIFLPEGLLDRSEIPEYLTGDVPGDYGYDPFGLSKKPENFAKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKVLQPCLFSTRVCVCVCLLILSITKIHACLQTGALLLDGNTLNYFGKNIPINLVVAVVAEIVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLANDPDQAALLKVKEIKNGRLAMFAMLGFFLQAYVTGEGPVENLAKHLSDPFGNNLLTVISGAAERAPTL >Ma00_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28694660:28720738:1 gene:Ma00_g03390 transcript:Ma00_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPPTPPLAASLSPPPAASDQDFDPNSCSLEKFRLYETRARFYLIGSDRDKRFFRVLKIDRSEPSELNISEDPVVYSPKEVKSLLQRIDEGNRATGGLSFVGKVYGIAGCIKFLESYYLILVTKRRQIGCICGHAIYCIDESQLITVPHSSIQSDVAHSKTELRYKKLLSSVDLTKDFFYSYTYPLMQSLQKNVLATDREKMPYENMFVWNAYLTQTIRSRCNNTRWTIALIHGHFKQVRLSIFGREFSVILISRRSRHFAGTRYLKRGVNDCGRVANDVETEQIVLDEEAGSCSGKMSSVVQMRGSIPLFWSQEASRFSPKPDIVLQRYDPTYQSTKLHFEDLAKRYGNPIIVLNLIKTFEKRPREMMLRREFANAVGYLNQILPEESQLKFIHWDFHKFAKSKSANVLAVLGAVAGEALDLTGFYYSGKPTILKKKSTQLSRTSTGRDTSLGDLRTSSGDLARMASSLETLNTMVTQDKQKELNHKQQVNGPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDVPKIDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGRWNATTQSREFLKSIRRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELETDYYLHVARIGDEAVPDSDKSLSLDIMPPDGLQSTLSPIPACKRDFSRIKLTSFDKLIERTCSSIKNVRLCSECDMKASVAAGNPGMAPDAAEIQLKTPNWLFGQRKYEESIPATKVTASEKANERSEDDLSAAGYGSLNWLSHADDGCEEDIFKKYLAMASIGDDNNWYGGTLIYDEDESSEAYKHYAELCQGPVMDPFEHDPEKEKHYADALCMELDSTDDALVEAEMVAALKEYDQIGADLGIVSSCKGVAEDPSQLTRWIIGEEKVQGWRK >Ma11_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20824717:20829135:1 gene:Ma11_g15130 transcript:Ma11_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRDWVYENNGGTCVAIAGSDYCVIAADTRLSVGYSIYTRDYSKICKLADKCVMASSGFQGDLKALQKNLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYTFNILGGLDSDGKGCVFTYDAVGSYERVGYSAQGTGAGLIIPVLDNQLKSPSPLLLPAKDAVTPLLESEAVDLVKDVFASATERDIYTGDKVEIVVINASGIRQELMELRKD >Ma02_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21416275:21417895:-1 gene:Ma02_g12800 transcript:Ma02_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHRFLIIFTVAEITRIIVKALDLIGFHHLQPFLRSPESKLFTSRPCMFDNHLKQSSELTLPWGCLLSSWRTLFTSAASGTKGHNKAVSVATHLSAMATMTATTASYHASCRSSTCPPWLQAALAGELLRRIKMRKHLFFSSLSSFKLQIAVMSLSV >Ma09_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35781395:35781829:1 gene:Ma09_g24120 transcript:Ma09_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METILLEKNKSVKKSEKKIKIVIFSLFSIKYGSFTKINGILIINIRHNL >Ma05_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1101211:1125642:-1 gene:Ma05_g01800 transcript:Ma05_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDGEYRLTCVLRAHEDDVRRICVCGDSGIATSSRDKTVRFWVPDPEKKHGYVLSKTLAGHTSFVGPLAWIPPGGQFPEGGIVSGGMDTLVLLWDLARGEITERMTGHQFQVTGLAVDDNGDIISSSIDCTIRRWRKGHAVEFWEAHKVAVQAVLKLSTGEFVTGSSDSTLKLWRGRTCLHTFVGHADTVRGLALMPGYGILSASHDGTIKLWALTGQILMEMIGHTSLVYSVDAHSSGIVASGSEDCFLKIWRDGTCIQSIEHPGCVWDVKFLKNGDIVTACSDGTVRIWTLRSDSICDPLELEAFGLEISQYKTSRKKVGGYNLMDLPGLEALQVPGTKDGQTKVIREGDNGVAYSWNSKEYKWDKIGEIVDGPGEGASNQVLDGTHYDYVFDVDIGDGEPIRKLPYNRTDNPYTVADKWLLQESLPLSYRQQIVDFILQNSGQRDFSFDPSFRDPYTGSNAYVPGEPSISQGVGTAPSFKHIPKVGMLFFDSAQLDGILKKITEFNSALATDVEKSLSLSELELSRLAAIVKVLKDTSHYHCSSFADVDILLLLKLLKSWPIPMLFPVIDILRMIVLHPDGASLLHKHMESGNDVLMEILVKATTAPPQTANLLTVVRAITNLFKHSCFSNWLQSHYSEVLDTLSSCRSFFNKNAHLCYATLILNYAVLLIEAKDKEGQAQVLSAALEIAEDGNQDVDGRFRALVAVGTLMLKGVVKSIAIDFDVVNIAKDAKGSKESKVAEVGADIELIVKFGTVGCI >Ma05_p01800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1101211:1125620:-1 gene:Ma05_g01800 transcript:Ma05_t01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALPAFSGLMKTTTIRRWRKGHAVEFWEAHKVAVQAVLKLSTGEFVTGSSDSTLKLWRGRTCLHTFVGHADTVRGLALMPGYGILSASHDGTIKLWALTGQILMEMIGHTSLVYSVDAHSSGIVASGSEDCFLKIWRDGTCIQSIEHPGCVWDVKFLKNGDIVTACSDGTVRIWTLRSDSICDPLELEAFGLEISQYKTSRKKVGGYNLMDLPGLEALQVPGTKDGQTKVIREGDNGVAYSWNSKEYKWDKIGEIVDGPGEGASNQVLDGTHYDYVFDVDIGDGEPIRKLPYNRTDNPYTVADKWLLQESLPLSYRQQIVDFILQNSGQRDFSFDPSFRDPYTGSNAYVPGEPSISQGVGTAPSFKHIPKVGMLFFDSAQLDGILKKITEFNSALATDVEKSLSLSELELSRLAAIVKVLKDTSHYHCSSFADVDILLLLKLLKSWPIPMLFPVIDILRMIVLHPDGASLLHKHMESGNDVLMEILVKATTAPPQTANLLTVVRAITNLFKHSCFSNWLQSHYSEVLDTLSSCRSFFNKNAHLCYATLILNYAVLLIEAKDKEGQAQVLSAALEIAEDGNQDVDGRFRALVAVGTLMLKGVVKSIAIDFDVVNIAKDAKGSKESKVAEVGADIELIVKFGTVGCI >Ma01_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1139181:1141135:1 gene:Ma01_g01650 transcript:Ma01_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWIEWRPVLVMLAVDVVFAVMNTMIKKAIDEGLNRLVLITLRQLVATLFMAPIAFFHERKTRPKLTMEICVYLFFSALLGAPLTQYLFYLGMQYTSATFACAFLNMAPVFTFLISLALRMESLNLKTKPGIAKTLGTLLCLIGVIALTLYKGVALNSDASPRSTAPEPQQSSPDATDYTSRKWLMGSVALLAGSFCWSAWFPLQSKVGKKYPALYSCTALVFFLSFLQAAAMSVAAERSLSVWLLQKKMEIATVLFAGSMGSGLGFLAMSWCVEQRGPVFTAAFTPLVQIIVAGIDCAVLHEQIYLGSVLGSGLVIAGLYSLLWGKNKEPGIREAKPAEGNGENQVQLQTA >Ma06_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15591391:15599753:1 gene:Ma06_g21400 transcript:Ma06_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLISVHPPTNDALPPAGYPSRDGAVNSQQAPVETKSRGADLSRALLGVAASRAGVAAAVWTCAAEEKQGRGCEDHGWATSSVGTSWAVAATVMATGVRSNKGTRDCGAAGNNGSKVSCLCSVSVTKDAEQGGAVVGIRAAVVAWWPAVVMGRLGGDDGGGEEECWRWRGCDQ >Ma04_p37600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35434578:35435289:-1 gene:Ma04_g37600 transcript:Ma04_t37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHGSTPMANRTACCDLDTILELVGISFSVFILLYLVIVCARHLFVRRLRPGAAAHDRRKPAGLDPSSIAALPYFAYGKAADAVECAVCLSILEEGEMARVLPNCDHMFHAACVDLWLRSNSTCPVCRTDAELGKAAAEAKPGGGSQTRPPPPPVLHGGAAVLLMGM >Ma10_p29220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35868016:35869361:-1 gene:Ma10_g29220 transcript:Ma10_t29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRDAEAELNLPPGFRFHPSDEELVVHYLCRKITCQRLPVPIIAEIDLYKYNPWELPDKALFGQKEWYFFTPRDRKYPNGSRPNRSAGKGYWKATGADKPISTKGSKTTLAIKKALVFYSGKAPRGVKTDWIMHEYRVADTGRRANKGSLRLDDWVLCRLYNKKNTWEKKQQQRATSFGEPIDSSDEAWSAADSFRTPDSEIENDFVLPHFNDLGSPSQASAGVQAWSARQTIGLQMVEKGPKEDNEWFMDLNLDELQSTYMSLGSTPAMDATNQDYFFQSLVPPMTRPNQTSMLPF >Ma08_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4685704:4689000:1 gene:Ma08_g06860 transcript:Ma08_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20770 [Source:Projected from Arabidopsis thaliana (AT4G20770) UniProtKB/Swiss-Prot;Acc:Q9SVH0] MNHARFAHLADLLHCCIANRSHLHGRAAHARILSAGLSADTFLSNRLIELYARCHSIGYAVNVFRSIPCPNVFSWNAIVAACSKSGDLELAHQLFVQMPDKNVVSWNTMIGALARGGSEEAALDLYSVMTREGFVPTNFTFASVLSACGSLMALQDGRRCHGIAVKIGLDGNLFVENALLGMYAKCGSSGDAVKVFDRISHPNEVSITAMMGCMMQSGSIEEAVRLFERMHRSGILIDPVAVSSVLCACARAEGDELGIPRHHGLVLGQLIQALAVKYGFEYDLHVGNSLIDMYAKCGNTDEAELLFNTLPNINVVSWNVLIAGYGHKGDSIKAIAMLKLMQQHGFEPDEVTYISLLAACVKTGDIAAAHEMFDKIVEPNVRSWNAILSGYCQEESHDRAVELFRKMQFQNVLPDQTTLAIILSSCSALGLLDFGKQAHSASIRAMLHVDVFVASGLVDMYSKCGHIKVARLVFDRMTERDVVSWNAMITGFAHHSQNREAFAVFKQMRQDGMFPTESSYASVICSCARLSSLPQGRQIHAQTAKDGYEFRVYVGSALIDMYAKCGNVDEARRFFDTMPTKNVVSWNEMIHGYAQNGYGKRAVELFEHMLRTDEKPNSVTFIAVLAACSHAGMVDKGIKILDTMEKDHGIQPLAVHYTCVIDSLGRAGRLVEAEALVDKMPCIDDPVLWEVLLSACAVHGNATLAKRAAEKLFLLDPLSSAPYVLLSNIYASLGRWDDASAVRALMNGRGVAKDRGYSWIDNKNGVRAFMVDDDLWMVNAEEQASVHGAN >Ma03_p33710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34999564:35020399:-1 gene:Ma03_g33710 transcript:Ma03_t33710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64570) UniProtKB/TrEMBL;Acc:F4I6S6] MTNKVNMEVEDDEDDDDVDFNPTWRGESLSEASSGLSSDNEATGDDIGEVFSSSLKHQGDGVSPRKSVITCRNLDSGTEDEEIVMQNRLTAEDVTGKDVVLEKPEVEGLNRFNWQEEESAHPEGSYKKAASGDESEAAEELTKEELPGQATSSSYSICLREQAVEIDDEDAICKRTRARHSLANYTLEELEAFLQESDDDDDLQNVDDEEEYRKFLAAVLLGGDGNGQAGQDDEILDEDEENDADFAIEIEEALESDIDESFDDDKRRSDKNEEDVHRPETRQKKRLRESAEKKKCFLGLDKMPLRPILPYVSNAQIAPVPALGLRFHSPESFSHCPFAFSGADLTHGFTYQQLGQLYCLIHEHVQLLIQVFSVSVLDSSRQQVAMEVQELIMEMVARHEEGLARRKAPYDMSCFQAPNIHASLQIDSSESSEFSHWTPSIDGPIFSILDVVPLQLAKSYMADVSATVLRYRQSHLDDPVDKSHLKREPLFPFPMLTSQMGTDQILYGEPNGIPSKTALPPPPGQLPPKKSLAATLVENTKKQTVALVPMEIAKLAKRFYPLFNLALFPHKPPVPAVANRVLFTDAEDELLAMGLMKYNNDWGAIQKHFLPCKTKHQIFVRQKNRSSSKAPANPIKAVRRMKTSPLTADEKARIYEGLKLFKQDWLSVWKYFVRHRDPSLLPRQWRIATGTQKSYRKSEAIKEKRRLYEAKRRRLKASMVDGHPLSEKEVDNEEDNSGEDMDNENEAYVHEAFLADTETGSSNNLSYEISLSGIGRSNVQFTNMIIYHGTNTTEKFASSSECFQVQKDRAVHEINNSLKPMKSMHPLSHCSDPRYTSSYTSQLNHLSSISNFGRPGSHLGSLPCPTRKCKGARVVKLAPGLPPINLPPSVRVISQSALQNHPSGSAHAYTSKNGVRNPSKSSGVAKGESTVTNPGENLIMPSDNGPEASHRQVGGATSDQHVAEENASQSDLQMHPLLFHASEDQLSSYYSMNTHPAASGTCHLGAQLQKDSIFSKSQHCFTMKDRISGSRNSIDAPLDLFSVDFHPLLRRTNNATADLGMVSSVDPGVFAASSHHNKLSCDSNPVSRENLVGNSQIPAGGAPLCHHEKENELDLDIHLYSVKENEKTRQAGDSSMHQFNKSGSPRFQPTMDKGIDADMSFQHNANCSESAASRTRGCCEKDVNSLQVVQMPNDCLSQCTKDYDESDLDIIMEQEELSDSDDESANVEFECEEIDDSEDDESEYGQSTEALIKEVPTAAIVRKSNQDSCNFNHSRRSTPIRQGSVEEEINQSSLGQSCQNPCHTLRLKPKHEDAKRDISSKSSQEVVHSLPGQFGKARNPRSLKVQPLGATPHAISPDNECTKIVAPRKPRKRCVNSSL >Ma03_p33710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34999564:35019413:-1 gene:Ma03_g33710 transcript:Ma03_t33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64570) UniProtKB/TrEMBL;Acc:F4I6S6] MTNKVNMEVEDDEDDDDVDFNPTWRGESLSEASSGLSSDNEATGDDIGEVFSSSLKHQGDGVSPRKSVITCRNLDSGTEDEEIVMQNRLTAEDVTGKDVVLEKPEVEGLNRFNWQEEESAHPEGSYKKAASGDESEAAEELTKEELPGQATSSSYSICLREQAVEIDDEDAICKRTRARHSLANYTLEELEAFLQESDDDDDLQNVDDEEEYRKFLAAVLLGGDGNGQAGQDDEILDEDEENDADFAIEIEEALESDIDESFDDDKRRSDKNEEDVHRPETRQKKRLRESAEKKKCFLGLDKMPLRPILPYVSNAQIAPVPALGLRFHSPESFSHCPFAFSGADLTHGFTYQQLGQLYCLIHEHVQLLIQVFSVSVLDSSRQQVAMEVQELIMEMVARHEEGLARRKAPYDMSCFQAPNIHASLQIDSSESSEFSHWTPSIDGPIFSILDVVPLQLAKSYMADVSATVLRYRQSHLDDPVDKSHLKREPLFPFPMLTSQMGTDQILYGEPNGIPSKTALPPPPGQLPPKKSLAATLVENTKKQTVALVPMEIAKLAKRFYPLFNLALFPHKPPVPAVANRVLFTDAEDELLAMGLMKYNNDWGAIQKHFLPCKTKHQIFVRQKNRSSSKAPANPIKAVRRMKTSPLTADEKARIYEGLKLFKQDWLSVWKYFVRHRDPSLLPRQWRIATGTQKSYRKSEAIKEKRRLYEAKRRRLKASMVDGHPLSEKEVDNEEDNSGEDMDNENEAYVHEAFLADTETGSSNNLSYEISLSGIGRSNVQFTNMIIYHGTNTTEKFASSSECFQVQKDRAVHEINNSLKPMKSMHPLSHCSDPRYTSSYTSQLNHLSSISNFGRPGSHLGSLPCPTRKCKGARVVKLAPGLPPINLPPSVRVISQSALQNHPSGSAHAYTSKNGVRNPSKSSGVAKGESTVTNPGENLIMPSDNGPEASHRQVGGATSDQHVAEENASQSDLQMHPLLFHASEDQLSSYYSMNTHPAASGTCHLGAQLQKDSIFSKSQHCFTMKDRISGSRNSIDAPLDLFSVDFHPLLRRTNNATADLGMVSSVDPGVFAASSHHNKLSCDSNPVSRENLVGNSQIPAGGAPLCHHEKENELDLDIHLYSVKENEKTRQAGDSSMHQFNKSGSPRFQPTMDKGIDADMSFQHNANCSESAASRTRGCCEKDVNSLQVVQMPNDCLSQCTKDYDESDLDIIMEQEELSDSDDESANVEFECEEIDDSEDDESEYGQSTEALIKEVPTAAIVRKSNQDSCNFNHSRRSTPIRQGSVEEEINQSSLGQSCQNPCHTLRLKPKHEDAKRDISSKSSQEVVHSLPGQFGKARNPRSLKVQPLGATPHAISPDNECTKIVAPRKPRKRCVNSSL >Ma04_p25190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26994748:27010849:-1 gene:Ma04_g25190 transcript:Ma04_t25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHTAATNLISSPLLHRCLLLRSASFLSSTAPKPFSFSTTRRNSSASSAMQAPDSVSAKEMPPNGIDPTTAEEYASQSKLLQEFVNLPIIDKAWIFKSDTANTSWAMFLVRQVDLLANKKRTMTLSSRISKASKDSVDFQWSTFPVEMSGAATVVPSPSGSRLLVVRNKENDSPTVLEIWGSAMLEKEIHIPQSAHGSIYTDGWFEGISWNHDESMIAYVAEEPPRAKPVFDYLGFKKEDSAEKDCNSWKGQGDWEEDWGETYSKKRKPSLFVVSINSGEVRAVKGIPCSLSVGQVVWAPPSKGSDSLLVFVGWSAENGLQNSPRKLGIKYCYNRPCALYAIVDPFHQPSTNKVSHEGEMDDHATAVNLTHGFSSAFFPRFSPDGKYLVFLSAKSAVESGAHAATESLHRIEWSADRKLDQSVNIVHVVPIVMCPEDGCFPGLYQSNILSNPWLSDGCTMIVSSVWCSTQVILSVNIFSCKVSRISPNESNYSWDVLALDGDDILAVCSSPVDPPQIKYGYHTQQVDQLITWNWLDVSSPTLKYSDKVRSLLSFHKFSLLKIPVSDLSEELPKGAHKPFEAIFIQHSNSTSEETCKKSKVDGVCTPLVLILHGGPHSVSLTSYSKSLAFLSTLGYNLLLVNYRGSLGFGEEALQSLPGRIGCQDVGDVLAALDYVIDEGLASASKVAVLGGSHGGFLTTHLVGQAPDRFVVAAARNPVCNLSLMVGTTDIPDWCYTEACGKEGKNFFSEAPSAEQLSLFYNKSPISHILKVKVPILFLLGAQDLRVPMSNGLQYARALREKGVDVKVLVFPEDVHGIDRPQSDFESFLNIGVWFKKHLN >Ma02_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19399280:19399528:-1 gene:Ma02_g09360 transcript:Ma02_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPEAADPSPDVGTVSRCFALGASACCGPVDGGRAVRAGEERGGGMHCRRGN >Ma04_p10570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7518663:7525578:1 gene:Ma04_g10570 transcript:Ma04_t10570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTIEEEDEEPEHPAHAAPPPAAAAVEDAFQGTPVCLELWHACAGPRIWLPKKGSLVVYLPQGHMEHLGDGGGGGADGGGRGGICRRDVPPHCLCRVIDVKLHADAATDDVYAQLSLLAESEDFERRMKMGEVEGNEEGDDVECINRSSVPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKLQRPSQELIAKDLHGMEWRFRHIYRGQPRRHLLTTGWSAFVNRKKLISGDAVLFLRGTDGELRLGIRRAVQFKSSNPVSAHPSGNSTLATLADIANAVSTRKVFHVYYNPRANSSDFIVPYWKFVKSFNSSISVGIRFKMIYESDDASERRFDIRSFLGFKLRCVECCSFTFFYRSTGLVTGISDMDPVRWPGSKWRCLLVNWDVDADTNQQNRISPWEIEPTGSVSGSGSLSTVGSKRAKIGLPSVNMDFPIPNGNGCPDLRESASIHKVLQGQEFMRLGAPNCIGVTASHVFGIGNPQYSEKGCSPDANGSIIGESVPGGRVRISHGKSDSSFNRTGFSESIRFQKVLQGQEVFSRNPPFLGAPCDAHVRNGVYGQFDDVLTSRAESRLPIAPHGYVTLLQQSLPSIQAFSPSSVLMFQDASCVSLSAHSMPGMNYQDRGDEGCRFAMLNGSEPLHREESNFPSWPPTLACHFANQQCKMVKVHDPVLDGKLDFENERSVSRKGCRLFGFPLTERIPVANLVDKPPPVTPATSMPQMPAKPVGCSSALYALRAAPI >Ma04_p10570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7518663:7525578:1 gene:Ma04_g10570 transcript:Ma04_t10570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTIEEEDEEPEHPAHAAPPPAAAAVEDAFQGTPVCLELWHACAGPRIWLPKKGSLVVYLPQGHMEHLGDGGGGGADGGGRGGICRRDVPPHCLCRVIDVKLHADAATDDVYAQLSLLAESEDFERRMKMGEVEGNEEGDDVECINRSSVPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKLQRPSQELIAKDLHGMEWRFRHIYRGQPRRHLLTTGWSAFVNRKKLISGDAVLFLRGTDGELRLGIRRAVQFKSSNPVSAHPSGNSTLATLADIANAVSTRKVFHVYYNPRANSSDFIVPYWKFVKSFNSSISVGIRFKMIYESDDASERRSTGLVTGISDMDPVRWPGSKWRCLLVNWDVDADTNQQNRISPWEIEPTGSVSGSGSLSTVGSKRAKIGLPSVNMDFPIPNGNGCPDLRESASIHKVLQGQEFMRLGAPNCIGVTASHVFGIGNPQYSEKGCSPDANGSIIGESVPGGRVRISHGKSDSSFNRTGFSESIRFQKVLQGQEVFSRNPPFLGAPCDAHVRNGVYGQFDDVLTSRAESRLPIAPHGYVTLLQQSLPSIQAFSPSSVLMFQDASCVSLSAHSMPGMNYQDRGDEGCRFAMLNGSEPLHREESNFPSWPPTLACHFANQQCKMVKVHDPVLDGKLDFENERSVSRKGCRLFGFPLTERIPVANLVDKPPPVTPATSMPQMPAKPVGCSSALYALRAAPI >Ma04_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7518663:7525572:1 gene:Ma04_g10570 transcript:Ma04_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTIEEEDEEPEHPAHAAPPPAAAAVEDAFQGTPVCLELWHACAGPRIWLPKKGSLVVYLPQGHMEHLGDGGGGGADGGGRGGICRRDVPPHCLCRVIDVKLHADAATDDVYAQLSLLAESEDFERRMKMGEVEGNEEGDDVECINRSSVPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKLQRPSQELIAKDLHGMEWRFRHIYRGQPRRHLLTTGWSAFVNRKKLISGDAVLFLRGTDGELRLGIRRAVQFKSSNPVSAHPSGNSTLATLADIANAVSTRKVFHVYYNPRANSSDFIVPYWKFVKSFNSSISVGIRFKMIYESDDASERRSTGLVTGISDMDPVRWPGSKWRCLLVNWDVDADTNQQNRISPWEIEPTGSVSGSGSLSTVGSKRAKIGLPSVNMDFPIPNGNGCPDLRESASIHKVLQGQEFMRLGAPNCIGVTASHVFGIGNPQYSEKGCSPDANGSIIGESVPGGRVRISHGKSDSSFNRTGFSESIRFQKVLQGQEVFSRNPPFLGAPCDAHVRNGVYGQFDDVLTSRAESRLPIAPHGYVTLLQQSLPSIQAFSPSSVLMFQDASCVSLSAHSMPGMNYQDRGDEGCRFAMLNGSEPLHREESNFPSWPPTLACHFANQQCKMVKVHDPVLDGKLDFENERSVSRKGCRLFGFPLTERIPVANLVDKPPPVTPATSMPQMPAKPVGCSSALYALRAAPI >Ma04_p10570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7518663:7525572:1 gene:Ma04_g10570 transcript:Ma04_t10570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTIEEEDEEPEHPAHAAPPPAAAAVEDAFQGTPVCLELWHACAGPRIWLPKKGSLVVYLPQGHMEHLGDGGGGGADGGGRGGICRRDVPPHCLCRVIDVKLHADAATDDVYAQLSLLAESEDFERRMKMGEVEGNEEGDDVECINRSSVPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKLQRPSQELIAKDLHGMEWRFRHIYRGQPRRHLLTTGWSAFVNRKKLISGDAVLFLRGTDGELRLGIRRAVQFKSSNPVSAHPSGNSTLATLADIANAVSTRKVFHVYYNPRANSSDFIVPYWKFVKSFNSSISVGIRSTGLVTGISDMDPVRWPGSKWRCLLVNWDVDADTNQQNRISPWEIEPTGSVSGSGSLSTVGSKRAKIGLPSVNMDFPIPNGNGCPDLRESASIHKVLQGQEFMRLGAPNCIGVTASHVFGIGNPQYSEKGCSPDANGSIIGESVPGGRVRISHGKSDSSFNRTGFSESIRFQKVLQGQEVFSRNPPFLGAPCDAHVRNGVYGQFDDVLTSRAESRLPIAPHGYVTLLQQSLPSIQAFSPSSVLMFQDASCVSLSAHSMPGMNYQDRGDEGCRFAMLNGSEPLHREESNFPSWPPTLACHFANQQCKMVKVHDPVLDGKLDFENERSVSRKGCRLFGFPLTERIPVANLVDKPPPVTPATSMPQMPAKPVGCSSALYALRAAPI >Ma08_p28220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40234086:40240455:1 gene:Ma08_g28220 transcript:Ma08_t28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGVTTAVVCAAAAACAVTALVVRNRMRSSGKWAPVSAVVKELEERCATPVGKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGDETGLFYALDLGGTNFRVLRVQLGGKEGRVAKQEFEEVSIPPHLMVGGSDELFDFIASALAKFVASEGEDFHLPVGRQRELGFTFSFPVRQISIASGTLIKWTKGFNIDGTVGEDVVAELTRAMERQGLDMRVSALVNDTIGTLAGGRYYDNDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPTTDYDQALDNESLNPGEQIFEKLISGMYLGEILRRVLLRLSKEASFFGDSVPPKLEVPFILRTPVMSAMHHDTSADLKVVGKKLNEVLGIPDTSLKTRKVVIQICDIIAKRGARLAAAGIVGILKKIGRDNAVKDGGRTVIAMDGGLYEHYTIFSECLQCTLKEMLGEEASSSVVVKLASDGSGIGAALLAASHSQYLQPVLS >Ma05_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10832674:10852064:1 gene:Ma05_g14820 transcript:Ma05_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADSVANAESSAFATGASASTTNNNAADATAAAVVSAPRPPRAAYVPPHVRNRATSSELPASPSADSSSAAKFAPGPGASSGGSRWGGGTSRDMGRTGSGGGGRSVGGGWGSRSGGWDGRVREVNPFVNEEETTEVAFDGQENTGINFDAYEDIPVETSGDNVPPPVSTFAEIDLGDAVNENIRRCKYVKPTPVQRHAIPILLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPSQRQRGSRTVCPPALILSPTRELSIQIHEEARKFSYQTGVRAVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQNIRYLALDEADRMLDMGFEPQIRRIVEQMDMPPRGERQTMLFSATFPREIQRLASDFLFNYIFLAVGRVGSSTELIVQRVEFVLEPDKRSYLMDLLHAQKVNGAYGKQALTLVFVETKKGADSLEHWLSMHGFPATTIHGDRTQQERERALRSFKSGVTPILVATDVAARGLDIPHVSHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSLARPLAELMQESSQEVPDWLSRYATTRSSYGGRNRRSGGARFGGRDFRRDSSTRSGGGEYYGGGNGYGGGSYAASGYGGGYGNPGLTSAWD >Ma06_p27480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29468301:29473084:-1 gene:Ma06_g27480 transcript:Ma06_t27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARDPFYIVKEEIQGSIDKLQASFRQWEQTSLDIGERVRLTKELLASCESLDWQVDELDKAIAVAAKDPARYSLDETELGKRRKWTSIARKQVGTIRRTLEESGVKGSSVTPSLNGVRQELMRLPNDYASKTGRSGYNTSQDDDDFISSESDRQLLLIKQQDEELDELSASVERIGGIGLTIHDELVGQERILGDLSLEMDTTSNRLDFVQKKVAVVMKKAGAKGQIMMIGFLIVLFIILFVLVFFT >Ma04_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4485751:4486120:-1 gene:Ma04_g06030 transcript:Ma04_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVRGSQCYQQTWNLWYDSWDACNKKNAWNAWIRWRFSGEFHGANLSPGVKLNVSIVLQWLSQFPSTQSSYLKAQETLHLKTQGP >Ma05_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6972978:6975964:1 gene:Ma05_g09660 transcript:Ma05_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGPLEISNADSATDDDRSRNLQEYDRAALSRQLDETQQSWLLAAPGDPSGKKKKRYVDLGCIVVSRKLFLWTLGSVLGVGLLIGLIMLIVKTVPHRHRPPPPPDEYTLALHKALMFFNAQRSGPLPKHNNVSWRGNSGMKDGLSDDAIRRSLVGGYYDAGDAIKFNFPMSFAMTMLSWSVIEYSDKYEAAGELDHVKGIIKWGTDYLLKTFNSSADIIDRIVAQVGQGDTSKGPNPNDHYCWVRPEDIDYPRPVYECHSCSDLAGEMAAALAAASIVFKDSKTYSEKLVHGARTLFKYGREQRGRYSPGGSDPSLFYNSTSYWDEFVWGGAWLYFATGNSSYLQLATAPGLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTGNIMCSYLPYFTSFNRTKGGLIQLNHGRPQPLQYAVNAAFLSSLFSDYLEAADTPGWYCGPNFYSTDTLRGFAKTQIDYILGNNPRKMSYIVGYGKRYPKHVHHRGASIPKNGARYGCKGGYKWRDSTRPNPNTIVGAMVAGPDRHDGFRDVRVNYNYTEPTLAGNAGLVAALISLSGVKTGVDKNTIFSAVPPMFPTPPPPPASWKP >Ma10_p26060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33936326:33942639:-1 gene:Ma10_g26060 transcript:Ma10_t26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLQSSLLPPSFHLPPPRARFLLASPSALAPRTLVPRPLRRHRVAALASTDEELLRAITAPSGPDARLPAIRSYDADLASLTLIGAVGSEQAVTAAAADGGAAAEEHLSSGASTMVLETVFPGGADERSTVSTRLFLPAKRVEEKAKKLRSSLTADFLSGNSNVTKNILAMTFRQVILQKVWSFQLSLFCPGTERKMEDLASPRETPADFTVSSSDVKFLSALAEAVSSCALEGTERRHFGESDGSRLSYMFGWLQKPQGNCSVDSSICVYKIAECEIVRNAMSQVDKFNLSDGRASYGQRKMQHPWWMAPNYIRLEKAGGPGLSSWTNEFVPAYRLQINTNTFKDAKLEGGHKMAGNRWEVLLTHYQMVELANVLDMYYEDKYTLPDKQLFCSLITQSSTISMNKSSPLKMLFVTLAGACIFVLISVLAQVCWPHIKVNTSTERNNLISSREIDGFHFQSHGTAEIEGLCISVVERIKDALGWPGEVPFDADMGAWIGAVPSYLRNKDPSLRAVHVDEEQVKNLYNGDIQSQTEQSNSMVSPISNHVEHPNAEESTSTESLSGMNRADFHTTAQDIASFQVVLSGDGKIIGFQPTNRVAVNQWASNSLAKLLYGGRRLSPGLLEPSLKIPLPREVVLLELLMSVNPESSFALARPIR >Ma11_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27672473:27674878:1 gene:Ma11_g24870 transcript:Ma11_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEDDKDGHEHDLVMPGFRFHPTEEELIEFYLRRKVEGKRFSVELITYLDLYRYDPWELPALATIGDKEWFFYVPRDRKYRNGDRPNRVATSGYWKATGADRTIRTENHPSIGLKKTLVFYSGKAPKGIRTSWTMNEYRLPHGETDPYMRTEISLCRVYKRVAVEDRRRLPGTLASEPSRSRESRMEKEHFFCSSTPVTEMTPQAPGGAPSNNLSSPVLSTLYSTTDSIASLSSTTSTEEHKSRLHQYSKNSVSVLVPANSLLTSTLGIEELNKLASYGRSLMNQPSQHLPVISAENIWEDWWNPLPEVGKDYSGFE >Ma11_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23634403:23637079:1 gene:Ma11_g18620 transcript:Ma11_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPSPTLQPLLCCISAPNPRSTHVSTGRSTSLATRTNIFFSSAAKSKPIDHVLKLFHLLPARDTVTWNTAISACLRHCRVDAALRLFVDMLLASSPAPDAITIRLVLRAFSEANDSRLLPQIHAYVLKLQEQLPPSELTVLHTCLLNSYRKFGYVDLAHKVFCGMPDQDVVTFTSMLTGYVQDGRHVEALRIFQGMVESGRFRLNEHVYSCALRACAGNSTLSDAQQIHAHVLKSGMASDVFTGTSLVDLYVKCDEMECARRAFLGISEPSVVSWNALMAGKLDGNEEIMLFGHMRSLGVVPDHMTFANVLRACGDGVGTEEVRQLHGIVMKMMEVKLDTFVSIALFEAYIDHGCFNEAQNVFSEMVQKDDVAYNLAIQGYNRNGHATEAVSLFLECLKMGKELREVTLSSLLKEVGLHSGRQLHAMISKFGCSGSGQYNFLLGPLIRMYLDHHFLDDALCVFEKLHQRDLALWTSLISGFSRIGESDAALKLYVRMVTEESVEPPNHYMFSAVLSSCAQIAALEEGKQIHAQVIKSDHRVKCDTFVVSSLLHMYAKSGHIEEAIRLFEKMPKRDMASWNAMISGLVQHGFAKRAIDTFQELVKLK >Ma07_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28621925:28629791:1 gene:Ma07_g20680 transcript:Ma07_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSAWLGSAPDVQGDTHEDSGSVVGSARAEQVIVRFLVIGPCTQVEAMALHTGHSSDHPPPALDGGGITGRSFVLDDVNMGSLVGVVPDDPSPIVERRMRWWGLVGRAAINAVMTTPSKNLNFARDRLVECYFWILGVFFELYYSREPVITTKMIALISILDNIYDVYGTLEESQQLIKAIQSFARDRLVECYFWILAIYFEPYYSRARVITTKVIAHISILDDIYDVYSTLEESQRLTEAIQRWDAKVVHQLPEYMKDYYLKLIHTFEEFEDLLASGEKYRITYLKEAMKDLSEAYFEESKWRDQHYVPTLEEHLHVSLISSGYPMLKCASFVGMGEIATKEAFEWITSFPKIVQASAIIARIMNDITSHELEQTREHVASTVQCYMKEYGTNVHVACKKLQVQVDVAWKDINEECLNQTAFPVALLERITNYARMAENIYKHIDGNTNSSTKTKEYISLLLVHPIPL >Ma01_p02440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1644648:1648591:1 gene:Ma01_g02440 transcript:Ma01_t02440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASSSTHFFGIREDGQHSHQQPQQPPQPPPPQELPATASSANTPHSAAPPKKRRNLPGNPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNEVRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPGGINTIGSHLYGGRGMNLGLPQLSSLQDQAQPSADLLQLRGSSGTGQFDHLNAASFRQPHQLAHSSPFFLGGGPNQGFGEHPQLLKPFHGMMQLPDLQTNANASSSSSAAAAANLFNLGFFSNTGSSSTMNNGSDAGGQSGHLLGPDQFSNAGGGTEAMSLFAGDLMSNHTDTTMSSLYNPSVHAESVVPQMSATALLQKAAQMGATSSGGSGSSMLRGLTASFSGGGGGEISRTGVGNENHFQDIMNSLANGNGGVFGGFNQGLDGMGENKLHRNLSMGGFGGSDRLTRDFLGVGGMMRSMVGGIPQREQHHLGIDTTSSMDHSEMKSGSSTRSFGGGRLQ >Ma01_p02440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1644648:1648591:1 gene:Ma01_g02440 transcript:Ma01_t02440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASSSTHFFGIREDGQHSHQQPQQPPQPPPPQELPATASSANTPHSAAPPKKRRNLPGNPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNEVRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPGGINTIGSHLYGGRGMNLGLPQLSSLQDQAQPSADLLQLRGSSGTGQFDHLNAASFRQPHQLAHSSPFFLGGGPNQGFGEHPQLLKPFHGMMQLPDLQTNANASSSSSAAAAANLFNLGFFSNTGSSSTMNNGSDAGGQSGHLLGPDQFSNAGGGTEAMSLFAGDLMSNHTDTTMSSLYNPSVHAESVVPQMSATALLQKAAQMGATSSGGSGSSMLRGLTASFSGGGGGEISRTGVGNENHFQDIMNSLANGNGGVFGGFNQGLDGMGENKLHRNLSMGGFGGSDRLTRDFLGVGGMMRSMVGGIPQREQHHLGIDTTSSMDHSEMKSGSSTRSFGGGRLQ >Ma01_p02440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1644648:1648591:1 gene:Ma01_g02440 transcript:Ma01_t02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASSSTHFFGIREDGQHSHQQPQQPPQPPPPQELPATASSANTPHSAAPPKKRRNLPGNPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNEVRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPGGINTIGSHLYGGRGMNLGLPQLSSLQDQAQPSADLLQLRGSSGTGQFDHLNAASFRQPHQLAHSSPFFLGGGPNQGFGEHPQLLKPFHGMMQLPDLQTNANASSSSSAAAAANLFNLGFFSNTGSSSTMNNGSDAGGQSGHLLGPDQFSNAGGGTEAMSLFAGDLMSNHTDTTMSSLYNPSVHAESVVPQMSATALLQKAAQMGATSSGGSGSSMLRGLTASFSGGGGGEISRTGVGNENHFQDIMNSLANGNGGVFGGFNQGLDGMGENKLHRNLSMGGFGGSDRLTRDFLGVGGMMRSMVGGIPQREQHHLGIDTTSSMDHSEMKSGSSTRSFGGGRLQ >Ma01_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1644648:1648591:1 gene:Ma01_g02440 transcript:Ma01_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASSSTHFFGIREDGQHSHQQPQQPPQPPPPQELPATASSANTPHSAAPPKKRRNLPGNPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNEVRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPGGINTIGSHLYGGRGMNLGLPQLSSLQDQAQPSADLLQLRGSSGTGQFDHLNAASFRQPHQLAHSSPFFLGGGPNQGFGEHPQLLKPFHGMMQLPDLQTNANASSSSSAAAAANLFNLGFFSNTGSSSTMNNGSDAGGQSGHLLGPDQFSNAGGGTEAMSLFAGDLMSNHTDTTMSSLYNPSVHAESVVPQMSATALLQKAAQMGATSSGGSGSSMLRGLTASFSGGGGGEISRTGVGNENHFQDIMNSLANGNGGVFGGFNQGLDGMGENKLHRNLSMGGFGGSDRLTRDFLGVGGMMRSMVGGIPQREQHHLGIDTTSSMDHSEMKSGSSTRSFGGGRLQ >Ma09_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28959234:28963740:-1 gene:Ma09_g20270 transcript:Ma09_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDASKKKAAAKKAAAAAKRGGKSSATSSKATAGVPNGAAVEKLTDGAGSLRISDRTCTGVLASHPVSRDIHIESLSLTFHGHDLIVDSEMELNYGRRYGLLGLNGCGKSTLLSAIGCRELPIPEHMDIYHLTREIEASDMSALEAVINCDEERLRLEKEAEMLAAEDGGGGEALDRIYERLEAIDASTAEKRAAEILYGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKLDRILVVVSHSQDFLNGVCTNIIHMQNKKLKFYTGNYDQYVQTRAELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLSKMERGGLTEKVVKDKVLVFRFTDVGKLPPPVLQFVGVTFGYTPDNLIYQNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLIPLDGMVRRHNHLRIAQFHQHLAEKLDLEMSALQYMMKEYPGNEEERMRAAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPHMLLLDEPTNHLDIETIDSLAEALNDWDGGLVLVSHDFRLINQVAEEIWVCQNQAVTGWEGDIMDFKEHLRSNAGLSD >Ma08_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2373719:2374525:1 gene:Ma08_g03180 transcript:Ma08_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETSVSVDASSKGSGVERAVIIGAYTIFFAVYAIVACNCSRRRSQRRSLQQPNAAVDQSLPVTVYRASHFEEGIECAVCLSKLADGEEARLLPQCGHGYHRRCIDPWLRINDTCPLCRSRVRARPSANPSAGAGSTQNPPAETVPESPVSERNSASAAVDDGAGSPGAEIVIEMMMRVVDGLPSPVSPVPSDRSAMEETAPPSPARFWWTWILEIRGTAGASSEGPREGDIELGLGGGGGGGGEGNALPPNSPNGLSLGEHPDAGNR >Ma02_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29106656:29115000:1 gene:Ma02_g24540 transcript:Ma02_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSKTRDLIEGLVREKSFKWALSRRASFEDEYEEMGRSPSGRRKWISDLSSVANVIVGRCSQILDVTMDELQRNFDSEASDAIKHSSSYARNFLEYCCFRTLALSTQVASHLSDKAFRRLTFDMMFAWEAPAAADQPIHKMVDKERTAGVEAFSRIAPAIPTIADVITCFNLFDKLTNSTGGRLTFAIYEKYLAALDRAIKKMKTQSESSLLSGLRLHRGERILEVDGTLTTQPVLEHIGLSTWPGRLILTDHALYFEALRLVTSDKPKVYELADDLKQIIKPELTGPWGSRLFDKAVLYKSISLSEPVIMEFPELTGHSRRDYWLAIMREILYAHRFIQKFEIEGVEKEETLSKAVLGILRLQAIQELVSSVPVKYETLLMFNLCDQLPGGDVILETLANMIASRKLDRTNQFSSGGGMYSISALGILSNLGLVSQVSADDKLLVGEIVVGEMTSLERAVDESRNSYKQVEQAQATVDGVKVDGLDTNLVVMKELLQPMIQLGNFFVAMASWNEPIKSLVFSSLSCFIIFRGWLGYVLVLVLLFVAIFMLLTRFTNQGRPINQIKVKVPPVMNTMEQILAVQSAISKVEELVQDGNIVLLKIRALLLAVSSQATDRIILVLVLMALAIAFLPSKLLLLMLFLEIFTRNSPPRRVNTEKCTRRLREWWFSIPAAPVVLERDKEDKKKR >Ma06_p33190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33914323:33915299:1 gene:Ma06_g33190 transcript:Ma06_t33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCDKVGLNKGTWTSEEDTKLVAFIHKHGRGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTEEEVETIIRLQRQLGNRWSKIASCLPGRTDNEIKNLWNTHLKRRLGGEQTGSSRAHSSCGKVGDEQDHKQESINESSSSSSCISSSESHVAPRVTCSGPHDETKNRFIQDYSIELQVWGGVMDEFSFFVPPYVGPMAEGVHRSTATTSEEKATREIEDDGWLAYLEEELQL >Ma01_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22078195:22082060:-1 gene:Ma01_g21870 transcript:Ma01_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEFQIHGHQSPRGEGAVGGASQSKESLENMDSSIDLGEAVLPRNTAAKNPNKLTLLPLIFLIYFEVAGGPYGAEPAVQAAGALYALLGFLIFPFVWSIPESLVTAELATAIPGNGGFVLWADRAFGPFCGSLMGTWKFLSGVINSAAFPVLCADYLARVEPSVASGTPRYVTVAGMNLALSFLNYTGLTIVGYTAVALGLASLAPFVLMTAMAVPRLRPARWLTRGRGNDWRLFFNTLFWNLNFWDNASTMAGEVERPERTFPTALLSAGLMTSLGYLLPLMAGTGAVDAPQDAWGNGFFADIAGSIAGRWLKYWIEVGAVLSAIGLYEAQLSSSAFQLLGMANLRLLPRIFASRAKWFLTPWAGILTSSLITLAISFMSFNDIINSANFLYGLGMLMEFSAFLWLRKKEPNLKRPYRVPMRLPGLVLLCLVPSAFLIFVMAIASWKVFAICAALTALGIAVYYTMAVCKSRGCLAFMDEEETNGEIGTGDA >Ma04_p02760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2283843:2285017:1 gene:Ma04_g02760 transcript:Ma04_t02760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRESIDLVLVPAGLAMMFGYHLFLLYRILRFPHTTVIGYENHNKRAWVERMLQTTPEESGIALQVIASSIAASSNLASLSIALSSLIGTWIGSSSKVLMTEVVYGDTSPTTSSVKYVSLLICFLAAFTCFIQSARYLVHASFMMSTLDSDIPVSYVQTAVIRGSNFWSMGLRAMYFATTLLLWIFGPIPMFACSVFMVTMLHFLDSNSTPLHQFRYYTNKGVEKKMMNRRPTSTASSIHDNPMYTPVTCIS >Ma04_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2283843:2285017:1 gene:Ma04_g02760 transcript:Ma04_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRESIDLVLVPAGLAMMFGYHLFLLYRILRFPHTTVIGYENHNKRAWVERMLQTTPEESGIALQVIASSIAASSNLASLSIALSSLIGTWIGSSSKVLMTEVVYGDTSPTTSSVKYVSLLICFLAAFTCFIQSARYLVHASFMMSTLDSDIPVSYVQTAVIRGSNFWSMGLRAMYFATTLLLWIFGPIPMFACSVFMVTMLHFLDSNSTPLHQFRYYTNKGVEKKMMNRRPTSTASSIHDNPMYTPVTCIS >Ma04_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26549711:26552622:1 gene:Ma04_g24550 transcript:Ma04_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRDEFSLESKSTIGVEFATRSIRVEDKVIKAQIWDTAGQERYRAITSAYYRGAVGALVVYDITRHVTFENIERWLKELRDHTDSNIVIMLVGNKADLRHLRAINTEDAKEFSEKENAFFMETSALESMNVENAFTEVLTQIYRVVSRKSLEAGDDPADLPKGQTINVGTKDDVSAVKKAGCCSS >Ma05_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:323843:324235:-1 gene:Ma05_g00540 transcript:Ma05_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHADKLRNFPLLSFKLEKTSAAADADADNYQMIRYLQSNVQLLHGGPLDRSRKSAAAVDSDDDYAVKLAASIGAEEEEEEVREFQRESTGFGWS >Ma06_p28850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30417149:30424324:-1 gene:Ma06_g28850 transcript:Ma06_t28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTCPSVDLCQSGCLGRRRLRPLQMSGSRRRGGVRCMVSTTRHDVPSAGEVRSVTAESRSERVQVSSLLEVVSGDMKMLNENLKSIIGAENPVLISAAEQIFGAGGKRLRPALVFLVSRATAQIDGIRELTMQHRRLAEIIEMIHTASLIHDDVLDDSGMRRGKETIHQTYGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDLTLEDYLLKSYYKTASLIASSAKSAAIFSSVSTSICEQMFEYGKNLGLSFQVVDDILDFTQSTEQLGKPAGSDLAKGNLTAPVIFALEKEPKLREIIDSEFSEAGSLDTAIELILECGGLSRAQELAKQKADLAIQKLQCLPESEYRNSLEGIVKYNLERID >Ma08_p34730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44726081:44728109:1 gene:Ma08_g34730 transcript:Ma08_t34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMGRRGAGPAIGIDLGTTSSCVAVWQHGRVEIIADDQGNRTTPSSVAFTGTQRFIGNEAQNQVVMNPTNTVFDVKRLIGRRFSDPSVQNDMRSWPFKVIDGQGDRPLIVVQYKGDEQQFTAEEITSMILVKMREIAESYLGCATDDVVITVPAYFSDHQREATKNAGAIAGLNIVGLINEPTAAAIAYGLHKRSNSETNVLIFDLGGGTFDVSVLTISQGVFEVKATAGDAHLGGEDFDNRMVSHFVDEFKRQHRKDISGNPRKLRKLKIACEKAKRTLSSTNRATIEIDSLFEDSDFSSTITRNLFEELNDDLFTKCADTVKKCLRDAKMDKSSIHDVVLIGGSTRIPRVQQLLQELFDGKELCKSINPDEAVAYGAAAKAAMLRGDDHENLHDMLLLDVAPLSLGLEAGGGNMVVVIPRNTVVPTKKERVLSTPVHKPRACIKVYEGERCTTRDNNLLGRFELCGIPSARDSSLEINVCFDIDADGILDLSIYDKTMGKEKNRITVTRDMTRLSKEEIEEMVWRAKKYKEEDEEHKRKIEAKNELEKYALKMKSSVKKVEGVIEQTISWLEATNQEAEAKEFEAKMKELKGICYSLVVEMQ >Ma04_p26860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28168564:28172939:1 gene:Ma04_g26860 transcript:Ma04_t26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQDIDRPSAPDAPPSAASSPPPPGPIRPSVHRALGGGVVADVLLWRRRNLAVLTAAGATTVWFLFDRAGYSFLSVIANALLLLVVILFFWAKSALLLNRPLPPLPNLEIPDEVVGKAADGARVWINRALAVGHDITIRRDRKVFLQVILVLWLISYIGSFFNFLTLVYIGVLLSITLPALYDKYQDHVHEKLGVAHNVVLKQYGNILSRVQAQSTKEKKTE >Ma00_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22703018:22705453:1 gene:Ma00_g02970 transcript:Ma00_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVTHRNGLIRRWHEAGNECVPPTDVQIVTSDGKSIPAHSSVLASASPVLERMLDRSRKGGNSERIIHVLGVPHDAVLVFLQFLYSSGTGMWSREAEEEMERHGMALLALSHAYRVRWLKRRCEAGVAAWLSAVKVMDVLKLARLCDAPRLYQRCMRLVAKDLEAVQQSEGWRFVQKHDPGLETEVLQLLQETSQRGKRWRRERGDQDKYRQLSEAMDCLQHICTEGCTDVGPHNSRPPAYPCTSFSTCEGLQLLIRHFAACGRKLSPKGCTHCKRMWQLLRLHSSLCDQPDSCRVPLCEQFKKKVQEEKVDRTWRLLVKKVATARVMSSLANRKVPEIVQKSRVRYRGTR >Ma00_p02970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22703013:22705053:1 gene:Ma00_g02970 transcript:Ma00_t02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVTHRNGLIRRWHEAGNECVPPTDVQIVTSDGKSIPAHSSVLASASPVLERMLDRSRKGGNSERIIHVLGVPHDAVLVFLQFLYSSGTGMWSREAEEEMERHGMALLALSHAYRVRWLKRRCEAGVAAWLSAVKVMDVLKLARLCDAPRLYQRCMRLVAKDLEAVQQSEGWRFVQKHDPGLETEVLQLLQETSQWKTPSCNSEWRSHCNQGDAVMSFQSSLMTIDHSFRLRSMACAAREAMAERKGRSRQVQATERSHGLPAAHMHRRLHRRRAAQQPSPGLPMHQF >Ma03_p29770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32613488:32614916:1 gene:Ma03_g29770 transcript:Ma03_t29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLRQKLRKGLWSPEEDEKLYNHIIAFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDVIIGLHGILGNRWSKIASQLPGRTDNEIKNFWNSCLKKKLRLRGIDPTTHRPLNEVKTQEETIRMYYSNSGANFEQLPEHPFPLIEIQTCLDSIESNANFYYQFHQPFEPLSQNECLVKPELCDYGGVMDVPENFGYGESSSNSGNWNCNVVPEIKHVFGSEALNWVSVSKAETLVEPHEHKHSSWRECQHVMSSEDFSTEPVGSLPRDLSDICFNVPRDASVGEFNVEFI >Ma06_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22060858:22064753:-1 gene:Ma06_g23890 transcript:Ma06_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALTIGGWFAQGFIQALLDKASDSAVQQLAKRGGLQDDLRKLQTILYTTSVMVDTADMRYEKNPNLRKLMKQLKDAAYDAEDLLDELECQALKQKIQNGGEQASDLFSLAFNTSGYDAGTKLREIQGNLSEITANMKYTMELLNLHDPGRRSNMKLPCRETSSFLTETRVYGRDRELEKVVELLSTSVEKSEPDINNLCVLPLLGIGGIGKTTLAQFVYNDATVRKHFQLKIWVCVSDSFDVKRLTKEIIESVTNEKQSDLMNLDTLQVILKVKIASKRFLLVLDDVWSVDTHGLDEWQKLCAPLRFGAQGSMVMVTTRDLRIASIVGTMKEILLDGLEDDDYWELFKKCAFGSLNPEEHPELEAIGRKIAGKLKGSPLAAKTIGSLLRSNANKGYWRTTMESEVWELPQDENGVLSVLRLSYRYLPGHLKQCFTFCSLFPKAHEFYQDQLIQIWMAEGYITPEENKTVEDVGRSYVCELVNRSFFQASADGDYYVMHDLVHDLAQYISVEECYRISDGKSKRIRSMIRHLSAELTDGTKLMEFSGYEKLRTLMINCNRSRFLCWPRVESCLLPRDMLKRLRSIHVLVLQNCGLPELPETIGDLIHLRYLDVSYNAGIQRLPDALFGLYNLQALLLWDCQLQRLPKGMSNLINLRHLSASYEIVSEIYEVGKLTSLQELSAFRVLKDHGHRLAELKGLTQLHGTLRITNLENVESREEAAKAKLSNKEYIDALELEWASDDDGSSSDDNETPVEEGEILEGLQPHHALKCLRITGYHSARSPGWLKAQVLSNLESVILENCRTWEDLSCIGQLPNLKVLHIMGMPSVKKIGHELFGPQGRCFLRLEEVVLRDMPALEEWSWIEGRMLFPSLRKLEVRKCPELLILPLLPPPLTELELRQVGLAQLSGSREGINGSGRTSVNPSDAEEELLSYHLPHINSIKISECGELLWLPVKRLKDLTSLEDLSILGCPKLMSTRRDEDIIDPLLPPSIKQLELTDCGNLGKSLPGCLHNLTSLTQLLIRDCPCVVSLPVEALLRLEQLEILTVMHCIELISIEGLGVLKSLQGLRISGCPKLLVNEAGDEQGEGLSLVDLEIDDTALLKLSPLRNTLPSIRNLTISSSPQAVMFDGEEQELLRSFTDLKLLEFFSCKNLRSLPTELHALPSLQDLHVYYCPQIQSLPEMGLPTSLKNLQFDCCHPTLTEQLEKHLVKMKISGRFNGDY >Ma08_p34050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44151613:44155863:-1 gene:Ma08_g34050 transcript:Ma08_t34050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRARAPGKIILSGEHAVVHGSTAVAAAIDLYTHVSFRLDSPLPPENGDGSVELELKDMALVFSWSSSRLKEVLGDGFADSSTPVSCSPGRIKSIAALVEEQNIPEAKFWLSSGVSCFLYLYTSIHGYKRGKVVVTSDLPLGSGLGSSASFCVSVAGALLALSDAISVDTSQTEWFKFSKNELELVNRWAFEGEKIIHGKPSGIDNTVSTFGGMIQFRSGELTHIKSSAPLRMLITNTKVGRNTKALVAGVSERASRHPDAMASVFTAVDFISKELSAIIQSPAQDDISITAREEKIEELMEMNQGLLQCMGVSHAAIEMVLRTMLKYKLASKLTGAGGGGCVLTLLPTLLSCTIIDRAIAELDSCGFQCLKAEVGGRGLEICFS >Ma08_p34050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44151613:44155792:-1 gene:Ma08_g34050 transcript:Ma08_t34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRARAPGKIILSGEHAVVHGSTAVAAAIDLYTHVSFRLDSPLPPENGDGSVELELKDMALVFSWSSSRLKEVLGDGFADSSTPVSCSPGRIKSIAALVEEQNIPEAKFWLSSGVSCFLYLYTSIHGYKRGKVVVTSDLPLGSGLGSSASFCVSVAGALLALSDAISVDTSQTEWFKFSKNELELVNRWAFEGEKIIHGKPSGIDNTVSTFGGMIQFRSGELTHIKSSAPLRMLITNTKVGRNTKALVAGVSERASRHPDAMASVFTAVDFISKELSAIIQSPAQDDISITAREEKIEELMEMNQGLLQCMGVSHAAIEMVLRTMLKYKLASKLTGAGGGGCVLTLLPTWLLLSSIHAASSA >Ma04_p34650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33729202:33731161:1 gene:Ma04_g34650 transcript:Ma04_t34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein ELI1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37380) UniProtKB/Swiss-Prot;Acc:Q9SZT8] MSSATFTSALSAGVGGSISHTREPPSVVHPILPADHAVALIDRCRSARHLLELHGAVLRAGLHHHPIVDFKLQRTYSALGRPDRSLTLLRLTPNPNVFFWTAAVHAHALHGLHHEALFLFSDMLSASVEPNAFTFSSALKACPLGPGRALHAHSLKLVLNSDPYVATALLDMYARGGEVVSARRLFDAMPEKRLVSSTAMITCYAKMGDLDNARQLFDEMDERDCVCWNIMIDGYTQHGRPNEALLLFRQMLSSSTKPNEVTVISVLSAIAQQGSVGSGRWVHSYIENNPIEFNARVGTALIDMYCKCGSLEDACKVFDSIENKDVVVWNSMIGGYAMHGHSHKALELFSGLRAEGLQPTDITFIGVLNVCSHSGLIEEGREYFRSMEKDYGIEPKIEHYGCIVDLLGRAGLVDEAYELVQSMKIPPDPVIWGSLLAACRLHRNMALGEKIANFLVRNGLANSGTYILLSNIYAAVGNWEAVAQVRALMKENKVQKEPGCTSIEVANKVYEFVVGDMSHPKSEEIYNMLEELNGLLRAHGYVPRTELVLHDLEEAEKERALGVHSEKLAIAFGLISTQPGTTIKIAKNLRVCVDCHTATKLIAKITGRKIVVRDRNRFHHFVDGSCSCGDYW >Ma10_p28720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35511053:35512880:-1 gene:Ma10_g28720 transcript:Ma10_t28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGEWMVIYGVLIVECYMVAAPGARLQQKAESTTPIPTFSPPEGNTTFIDGTTWCVARPGVSQLDLQNALDWACGLGGADCSLVQPGAACYHPDTLLSHASYVFNSYYQQNGNSDIACYFGGTAAVVRRDPSYGSCKFLSSEPASASPLFQRSFLLKIAGILILHCLNTRLGSA >Ma06_p31210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32394710:32402720:1 gene:Ma06_g31210 transcript:Ma06_t31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKRQASKWRDQVAKQQQVVIKQFRALGYQSSDAMVVDEMESHQHRQIETLCKSTRAGRDLQKDIIRAAEAFATIGHKHVEIGTTLSEDCCKYGGENQASETILAKAAALYDGALKDVEKEHEDFNTFLSSQIMDPLRTMVTDDTLENAGNLAQQYNRVRHEAETLAAEVSKRQSRVRGTSILENTAKVQSSEAKMYELKANMTLLGKEAATALVAVESQQQRQTTQRLASLVEAEKLFHLRLAAILDDVEAEMITEKQRKVSALPSAPFHKRSEKTLYFLAEAIYQFSATSEKELSLEVGDYVVVRQVSPSGWSEGECRGKAGWFPSAYVEKRDDIPPNRSLSLIASCVFLEELMQCLVDNEVEDAGKT >Ma03_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:916043:917688:-1 gene:Ma03_g01200 transcript:Ma03_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDKMGKRRRGLKQLQRSDSTPRIESKQLMRRIRIVFDDPDATDSSDCEGTNSPRGKRAIHEFPLPPPFPLPLAQASSQESTGRNSKALRKPKDRCLGSVTSSSTASSLTKFKGVRRRPWGKWAAEIRDPIRGARRWLGTYDTAEAAAAAYAAAALVFQAEKKGLSSVASSNSSTTTITAAACASVRSDAVEVAAPASPYSVLDVPISGVVDPPAKTTTAVEVEEQSIAELFEGQGPPLPCPMEAEFGFGFDPFLMCNFGSDLYANELVPLADLPIDDEIDDGDFPSLDVIERWMDFDF >Ma01_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:725150:725946:-1 gene:Ma01_g01020 transcript:Ma01_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTETPSSRNKCSAAKPRTPVNKDRAPSFLLQRPPTASNSKERATKSEPSSSKGNMSLKPSSVKASETPAVGKAGLPLGKAIGHPKTTSERRETLAATSMITAVNPQGGGEKVIGNEAQDRTKVSMDEESRGARRRTKSPKPIMLMIRIREAKLKLQPESESRGEGDEAVAEEKPKFKEREERAVTERKAKAEQKNVASERKATLSGRKDSPSAYNDVNEEAAHKLASRRNKVTALAGDFETIVSLQKPEAKSSQPEQ >Ma03_p26710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30338474:30344462:1 gene:Ma03_g26710 transcript:Ma03_t26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLVELEEVLRSKSEREKITPSEELVLQTCKSKSIRDFTVGFLVSSAAVWTATRRLTRALRFNLSLGSGTFLGMWGFDRSLSSCIDRMMQLEGSRIQRELANIILAKHANEASSVKLVSKHFFPEQVFSDLNPDESIFRWRLRNLYIDTTASQGTQRIEDGGDHDIQPNQSTFQGSHVGDMTAYPLDCVFGYPDGNSEMMQSDDSGVPPRRRLRAHRKANRRHRTRCSEESSESNTSYGR >Ma03_p29160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32163345:32164272:-1 gene:Ma03_g29160 transcript:Ma03_t29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINDEMQHSGLRAWKWEKGVERERERERERERELRFVYPARSRLRKETRINGPNHVGSWPMRQLYAGPTKESSSSWSIGWDPHDNKVNACGPRR >Ma06_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:768303:769079:-1 gene:Ma06_g00940 transcript:Ma06_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKVHPSAAACCATGEDVGRNGFGVKVLTVWRKSLLFSCRGFTVFDAEGSLVFRVDTYGSGSTGEVVLMDAAGKPLLTLRRKKLSLGEKWLVYDGEDAVNPLCSVKKHVSLLHSRALAHVTPCRRGGGGAYGYEVEGSYARRSCAVYDRRRRRVAEIREKEPVGGVAFGGDVFRLVVQSDLDTRLAMAIVIVLDYMFR >Ma11_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5018003:5024780:1 gene:Ma11_g06210 transcript:Ma11_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQLKLEPYAVHTTFQYSGSDGKRHRLREAMLFYDQPAYYDTPGGFLSFKPGIPKSLLLDGPHTVQSHFSLVNYQLRQIRTALAVASLLNRTLVMPRLWCRFDRLWYLHHGILIGTLTKQPFVCPMDHLFEIPTMLRGLSEEVFGPQIHFREYSFLQNPSVPKHVKESLLNVHLCDAHSEECNISNETSSHGFIQFPRNSTEQMYMQVFSQYKDIKVLHFSSMTNAFQGFSDQVSIIIPFFIGG >Ma07_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11215149:11217038:1 gene:Ma07_g14940 transcript:Ma07_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKVLADLRARVGSIDPERPVVGILAFEVAAVMSRFVSLHRSLAEDEVRRLRTDMRSQGVAYLTSKDQAFLLRLACAELVAELDKAAAAVSRIAAKCQDPLLRGFDRIYDGLKAGVACPVLRGGRVAELERFGLGSTAKGVEKRVKRMERYVAATSLLYAEMEALNALEASERRMEQQWRRHSGPIPVQKLGVSPAPSPIQLELRSQRHKVRRLKKISLWNKTFDEAVDFMVRAVITVFARISAVFGPCVLGLPPLPDRSRPTLMLRGSPDFLGKHSSGPLEQPSKMDVPVLRNSAPMFMTNESLAKPFESLSSLLQAGPSTVGGSGLVSRYANVIVAAEKILITRSVEGHAAQNDEVVEEYAAAREELYHMMPSAMRASVRAKLRECWRREGGTVDGSLAEGWKEAVGRILSWLGPVAHDTLRWQEERNIERHHRFHTRPRALLLQTLHFSDREKTEAAIVEVLVGLSCMCWYDDRGRELLRF >Ma08_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1101575:1118927:-1 gene:Ma08_g01180 transcript:Ma08_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKIGFAGNVEPSFVIPSVVAVNESFLNQSEGRNMGNYIAQYNSGIMADLDFFIGEEAVSKSRASGIYNLSYPIQHSKVTNWDTMEKFWQQCIYNYLSCDPEDHYFLLTESPITSPEDREYMGEIMFETFNVPGMYIALQPVLALSAGCSTPKPQMTGVVVDIGDGATHVVPVVDGYIIGSSIKSFPISGSDITQFVLQLLRERGELIPPEDSFEIAQKVKEMYCYNSSSIIKEYSKYDKKPEKYIKQWTGVKPMTGVPFSFDIGYERFLGPEVFFHPEICVDDFRTPLPDVIDMCVQSAPIDTRRGLYKNVVLSGGSTMFKGLQKRLQMDLKKIVDDRIAESNARLGGDVISHPVEVNVVSHPVQKFAVWFGGSVVASLPEFHESCHTKEDYDEYGASICRTSPIFKGMY >Ma11_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23628340:23633383:1 gene:Ma11_g18610 transcript:Ma11_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHLQRRGTALLRDGGLLQVLRSEINYELSSSSESSCGDGVGSEVEGFMLEWTELRTQDVVLRRQPDHVFCEEIAVSALLAPLQFRDKDPLPRDVLMKVCIKKAGYDSVLHYDCRAFRCDKDQLLPWTTMDTNDGGIGSDFTIRKAYCSSSVDYLGKCKSVGPIFSSLDPQLQKALKDYLVVRGITPKLTNFLLEHLHRKEHSQYVNWLRTMEGIVVK >Ma03_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5764118:5767873:1 gene:Ma03_g08010 transcript:Ma03_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRSANTRHAIDSCTLQLHAWKPFQLQTLAGSDPSKSYPFRSKKPCLSDRSTAPSAVVAAAAAGLDLSRLSLLDEPPPPPPPPRREEGLQWFARKRRRRGSRSVSGRSSDRSGTRRRGGVSAAYATCSDFPFAAGGTDSSGELFVIGDGSWGSDVSEAARMTRREGREVGGGGGLEREGSALGALQGIGSVVVLESQGNESGYGSEPGYRGDGELGYDDEFEDDDDDGKQLFWGEEIGDTDQMEIGNETKFAEQKVHHRGRRKKHDWRIMASLR >Ma10_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14750625:14752654:-1 gene:Ma10_g04100 transcript:Ma10_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTHHIGGVFHIYLSVLFITKTSVEQKILGYETIIGIETHVQLSTLTKAFCSCPYPYGSQPNTTVCPVCMGHPGTLPVLNSKVIEFAVKLGLALNYGLSMTSKFDRKQYFYQDLPKGYQISQFDIPIAIKGFIDLDLPVEFGGGHRRFGITRVHMEDDAGKLIHSETGSYSQVSFSLDLNRTGVPLLEIVSKPDMRSGLEAAEYAAEIERLVRYLGISIGNMQEGSLRCDVNIFVRPVGQSNFGTKRLMVSTLLLVAFTG >Ma08_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32663785:32667493:1 gene:Ma08_g18890 transcript:Ma08_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCPVRVNTIGQLYTDCVSDRKSRFWQIDNQPNLRSDAICPQPRRAVKVPYLIHTFNGVISKPKGILPMHRVDCAPEILDLILSQNDPYNEPDSSNQVGFICGSPPVRTSNPVVHDVQFAKQAQSSASSPLGNSPRMKHAGIVETGSPTCGSSRGGSPKARIEGFACGSSEKQHVAPALA >Ma08_p18890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32663782:32667493:1 gene:Ma08_g18890 transcript:Ma08_t18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCPVRVNTIGQLYTDCVSDRKSRFWQIDNQPNLSILPMHRVDCAPEILDLILSQNDPYNEPDSSNQVGFICGSPPVRTSNPVVHDVQFAKQAQSSASSPLGNSPRMKHAGIVETGSPTCGSSRGGSPKARIEGFACGSSEKQHVAPALA >Ma06_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6294438:6318882:-1 gene:Ma06_g08970 transcript:Ma06_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRWEFSRSSVVKRVCKFLLKKKLGEVILGDIDLDQLDVQLSTGTIHLTDLALNVDFLNQKVSGMPIVIKEGSLKSLSIRIPWKLRNCEIEINEIELVLEPFRGNNISLADAKCKLAHDGQQYMKTNSDKIELGPAQYYHGSIPLDVHEGVKTIAKIVKWFLTSFHVKLNGIIVAFDPCLDLDERRNRSHELLVFRVKETEFGTCVSEDSMAKLTNFVNFCEANIEFLLIDDIDKGIELHSVTGKSFNERFLRHGTTPILTGASGGFSGTLNLSIPWKNGYLDIHKVDADVSIDPMELRVQPSSIEWLIATWHSLKNIRESQWTYNHKRPDSFKFSCKSGNHSSMSCTVYLDADAETPVKANEVRSVYSAITPEVVPNMLLMRTNVIHNWIPEYVYHEDQSDVEPDLGASIDQFFECFDELRGSQVYPASGHIWNWTCSVFNAISVASNLASGMGDIPKEQHVETSLRAAIAGISVILFLRDDDQQSSYGSNKLLSELSFGSYMSCHSPTNVDVSSTTEVDSVNEKMHHLEAKCQNVVLDLEQTYSQNTKFSASVEHIKVDAYYDTQNCATGISSHEWKNESKEQMFLSPYLQEKVQDALPPFPFHIRNRVSESAVRDKVLNGLNQVRLLETFGECSCKLNVNSKDSHGVALTSFVIELPPFVLWFHYALVYMLLDLFRRIKCPSKKYNINKDVQSDMLSERERISFHEVAENCIHHDVTSVSPRAFLQGNIVLPQARIIIWFPSEYYGDFSNSTFLDKIITLEHSATLNSEEASDAFTVPEASSGSDQSHTPSSSIHLSIRNFYIYLVESSKENALDVTISTLNSQLFTAVKILSVEGTKKYSCSGVTMLWQKGPVTGRWMADRAWSLSASHDQNRNKIIGKESEFSSVTNVEDLEETGSNVRQELILSSAFLLQIQLSRVCIDLDNHDFKLLNCLLNNLIDGYSEATIGVDVCVDSSIKNKFHSSSNASQTTILVKCDVLDASIRLNEVIEVNHLVQKELQGSWNYFKLKVDKFELLSVSNTGGVGDAKFLWLNHGEGELWGSIISNVEKSRAVAEEFLLLTCRNSAMRRGNGEGANALMFGPAGTAVTNMWNPLSQQSYTSIMVRCGTVIAPGGRLDWISDIFSYFSSSPREKGNDGTAGKLSFFLDLVDVALSYEPHNKQSAVSTEDRDCEHDSDVEPDKETEKVCTACLLAAASLSLSHHTKSDTTFDYNIHLKDVGFLICESTGSLHDIGGYCVGYLQKAGYCKVAQVSFLLAILRIRGMFWEIECTDSHIDLESCHDTTFGLFRLVSQLQQLYAPDVEDALIHLQSRWNAIQLVDKDRNTSYIAEVISSNSAGSGFELSTSSEEHQAAGLLDEILENAFECHTTSDHCGTRSHISLKQCQLGDMLNVNASRADDAFAANILDSGSSCSAELGQTLNQPINRTYTSQVIESYYASDMLPSSPVPVSNCSFSKDSKCVDTSSHKDTEHRQGGWYLDDGLMILEDHISTNLNQPEGKSLQQEGEFGADNFYPVDCCLLNGRVVLKNMNARWCMYAGHDWTKPKGLPVCSVTQNGRDRSTFLELSLVGLYLQYDIYPEGVTYVSKFSLSIQDVQIYDRSSDAPWKMVLGNYQPKDYLRESHAKAFKLILEAVRPNPLTPLEEYRLHIELLPLRLHLDQTHLNFLISFFGKDLFNDTSPVPPNNLDESDMSKTSSRRFGSQTMVEVALLPFFQKCDVRPVVVRVDYIPRHCDAAALRRGNYAELLNLVPWKGIDLQLKHVCATGIYGWSNICETVVGEWLEDIAHNQIRNLLKGLPPIKSLSAVSSGTKKLVSLPVKSYKKEHKFLTGMQRGAMSFIKSISIEAVGLGLHLAAGAHEILLQTEDFLTSIPMSVPLSEIKRKKANVRSNQPENAQEGIQQAYESLSDGFGRTTSALLVTPLKAYQRGAGTGSVLATAIRGTPAAAIAPLSASARAVHWTLLGLRNSMDPEHKKESVEKYLGSSQS >Ma10_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13320739:13324215:-1 gene:Ma10_g03340 transcript:Ma10_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSISTSTSNTKSTSNWLERLHSSRGFSVPAHLHLDHFLSPDSASNPSPNSPPPPPPPPPPEEVLSDPPPPEPLANPRRRKKHLQPPPPPGASTDGKQRLFDLVGGVLAELFVMGGPPVVRALKAKKSSRKQPNPKVCVPSASASIDGCRSLPATSPPSSADNSVAEAKKSRSKLRRKRGTAGSPVDLDLSAYSRTDVTVIDTSCPGWKSEKVIFRKGIMWKVRDKKVWTLSRKKRKMGLVGRLINEKDKEQPLAEPKVQADEGILASFVEGGDPVDKRDASGKIGDQVPISIRRQACLDQY >Ma10_p03340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13320389:13324215:-1 gene:Ma10_g03340 transcript:Ma10_t03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSISTSTSNTKSTSNWLERLHSSRGFSVPAHLHLDHFLSPDSASNPSPNSPPPPPPPPPPEEVLSDPPPPEPLANPRRRKKHLQPPPPPGASTDGKQRLFDLVGGVLAELFVMGGPPVVRALKAKKSSRKQPNPKVCVPSASASIDGCRSLPATSPPSSADNSVAEAKKSRSKLRRKRGTAGSPVDLDLSAYSRTDVTVIDTSCPGWKSEKVIFRKGIMWKVRDKKVWTLSRKKRKMGLVGRLINEKDKEQPLAEPKVQADEGILASFVEGGDPVDKRDASGKIGDQVPISIRRQKFSRSPRTRTAEDSAFQPNATSSRKNGVSCPRSSPKER >Ma11_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24833570:24836709:-1 gene:Ma11_g20300 transcript:Ma11_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFNFAVGRQFPDVKTFRKAIKEAAIAQHFELKIIKSDLIRYFAKCAKEGCPWRIRAVKLPNVPTFTIRSIEGTHTCGKDAQLSRNQASVDWIVNLIEERLRSNVNYRPKDILQDIQKQYGISIPYKQAWRAKERGLTAIYGSSEEGYSLLPAYCEQIKLRNPGSVAQVFTTGSDHRFQKIFVSFNASIHGFLNGCLPIISLGGIELRSKYLGTLLSVTSFDANGGMFPVAFGIVDMETDESWMWFLSEFHKLLDTSTETRPQLIVLSNGQTVVTEAVRRKFATACQGICMRHLTESMNKEFKNSRLVHLLWKAAYSVTTSGFRDRMAEIEEVCAGAAKRIQQIPANHWAVVYLEGPRYGHLSSNIEEFNRWILEARELPVIQVIESIHGKLMKEFSERHEKGLKWTSRLAPAAERHISEAIEWAVGYQVLRSDEVEFEVLSADCSSIVNIGMRSCSCREWQLHGIPCSHAVAALLSCKKDIYEYTEKYFTVTKYRETYSKPVYPIPDRSEWNKATEGQAHNENQVVRPPKFRRPPGRPEKKRLCMEVSNREKHTVHCSRCSQTGHYKRTCKADVIQKT >Ma03_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19166895:19171139:1 gene:Ma03_g16590 transcript:Ma03_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLENRGGACPQLLDLIPNESDWMMAPEASGGGRGVGGLDDSEDKKLELKLGLPGVGGGEEEAAAVLCLDFFSKASKTTTTTTCTGARRRLFESAESKSEGPQQEQQAGFLQLQSRAESGNEWPHKAVWAGGKADLQQSHAAPGGAAGPNTSSQTRAAPAPVVGWPPIRSFRKNLSSSSVKPSVGLENDNAEMKQKFDNNKKGLLVKINMDGIPIGRKVDLKAYDNYEKLSLAVKELFLGLLAAQRDTPENENEAAKQAFVGLLDGSGEYTLVYEDNEGDKMFVGDVPWDMFVSTVKRLRVLKSSDLAALRLGAVSRKRTATES >Ma03_p16590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19166693:19171139:1 gene:Ma03_g16590 transcript:Ma03_t16590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLENRGGACPQLLDLIPNESDWMMAPEASGGGRGVGGLDDSEDKKLELKLGLPGVGGGEEEAAAVLCLDFFSKASKTTTTTTCTGARRRLFESAESKSEGPQQEQQAGFLQLQSRAESGNEWPHKAVWAGGKADLQQSHAAPGGAAGPNTSSQTRAAPAPVVGWPPIRSFRKNLSSSSVKPSVGLENDNAEMKQKFDNNKKGLLVKINMDGIPIGRKVDLKAYDNYEKLSLAVKELFLGLLAAQRDTPENENEAAKQAFVGLLDGSGEYTLVYEDNEGDKMFVGDVPWDMFVSTVKRLRVLKSSDLAALRLGAVSRKRTATES >Ma02_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2284718:2287884:1 gene:Ma02_g00210 transcript:Ma02_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGVAITFAGAGKAEAFLRRSSSSRSPCSIGSFGGPAYGGSRRVAPRRSPRCEVRLDLEEKSKSASASASAGALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVPEAQWPRAIGELCNLNHISEAAVLSTCNRMEIYVVALSWNPGIREVMDWMAKTSGIPVAELRQHLFVLLDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRVGQGSRGLGKNIDRMFKDAITAGKRVRSETNIASGAVSVSSAAVELALMKLPKSHSASARMLVIGAGKMGKLVIKHLAAKGCKRVVVVNRSVERVDAIREEMKDIEIIYRPFSEMLTSSAVADVIFTSTASETPLFLKEHVEALPPASEAVGSARLFVDISVPRNVGSCVSNVEHARVYNVDDLKEVVEANKEDRLRKAMEAQSIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGDDALTKKLRKAVDELSSGIVNKLLHGPLQHLRCDGTDGRTLDETLENMHALNRMFSLDTEKAILEQKIKAKVEKSKS >Ma03_p18060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23703281:23704225:-1 gene:Ma03_g18060 transcript:Ma03_t18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSRSHNDSSGGKRPKTSDGGRGAATSTKEKDRMGERVAKLQQLVSPFGKSDTASVLSEATAYIKFLHEQLQVSPPSLNLLTIYSPIGILSSLSHVIKPCASQVLCAPYLRKAVTGKMEGDDHYSLRSRGLCLVPLSSTFQIARSNGADLWAPVNTNRKP >Ma03_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23703281:23704225:-1 gene:Ma03_g18060 transcript:Ma03_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSRSHNDSSGGKRPKTSDGGRGAATSTKEKDRMGERVAKLQQLVSPFGKSDTASVLSEATAYIKFLHEQLQVLCAPYLRKAVTGKMEGDDHYSLRSRGLCLVPLSSTFQIARSNGADLWAPVNTNRKP >Ma08_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37474069:37475148:1 gene:Ma08_g24200 transcript:Ma08_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGEVASIHYLSPSNPLSSPAHYGMPQNCVTSFGCGSLFGPRLAQLQAVPMMHDLGLPSSCFGSGATSDEAGDHQASLAEERKKRRMKSNRESARRSRMRKQKQLSELRSQVMYLQSVHRRLLDQLNRVMRQRDEINSEIEKLRDENTELRRKLENLAAN >Ma06_p28190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29929377:29929771:-1 gene:Ma06_g28190 transcript:Ma06_t28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEHVGPTDDPCFRRSPPSISMESSIPFGGGRGDSVRDEGALHQLHDEGFSLVLLPPSGLCHRRRCSSLSPRTLLRS >Ma04_p37050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35134723:35139039:1 gene:Ma04_g37050 transcript:Ma04_t37050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MEERGRVAGELERLIDGGTPHKSAVASQVPMPPPRNPRSAIHAKSPITKELGEEDVGMEEVSVVIDGRQPSWLNGVELLEDAKWNKHVLPTGCKGIDILLGGGLREGQLTEIVGPSSSGKTQVCLHSALGVADVHLGVVLFLDTCNSFSPHRIAHMVNSQSDTLVKEGKEGRLKRIMSSILCHSLFDIFELLDVLHQLECKLKHQVKSSGNRIRLLIVDSISSLITPILGGKNSQGNKSYGRRRGRKFEACFGGELEEHSTCAAIAFS >Ma04_p37050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35134723:35139039:1 gene:Ma04_g37050 transcript:Ma04_t37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD51 homolog 4 [Source:Projected from Arabidopsis thaliana (AT1G07745) UniProtKB/Swiss-Prot;Acc:Q9LQQ2] MEERGRVAGELERLIDGGTPHKSAVASQVPMPPPRNPRSAIHAKSPITKELGEEDVGMEEVSVVIDGRQPSWLNGVELLEDAKWNKHVLPTGCKGIDILLGGGLREGQLTEIVGPSSSGKTQVCLHSALGVADVHLGVVLFLDTCNSFSPHRIAHMVNSQSDTLVKEGKEGRLKRIMSSILCHSLFDIFELLDVLHQLECKLKHQVKSSGNRIRLLIVDSISSLITPILGGKNSQGRLMMITTGILLKKIANEYNLSVLVTNHMVGGEGGSLKPALGESWKNIPHVRLSLSRDQGSNVCNVSILKHTIIASGRTAKFVIDN >Ma10_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31370604:31372135:1 gene:Ma10_g21690 transcript:Ma10_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSNRQLMVPPGFRFHPTDEELLYYYLRKKLAYEAIDLDIIGDVDLNKLEPWDLKDKCRIESGPQNEWYFFCRKDKKYPTGTRTNRATAAGFWKATGRDKAIHLGNSKRIGMRKTLVFYTGRAPHGRKTDWIMHEYRLDRETSEVQEDAWVVCKVFQKKSHPTHILPETVVEEDQFDSSARSPRTMERKQNFHPPSDFSFNVSMHLPQLLSSEPFVSPPVPPVSMRSTLGGCVTLPQERLNWDWSILDKLLASHQNLDQILQSKSNPPTQFR >Ma09_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:931419:935065:1 gene:Ma09_g01280 transcript:Ma09_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVAKAFVDHYYRTFDGNRAALAGLYHDASMLTFEGDKIQGAAAIVAKLTSLPFQQCAHAISTVDFQPSGPPGGMLVFVSGSLQLAGESHTLKFSQMFHLMPTPQGSFYVLNDIFRLNYA >Ma08_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1147904:1157774:1 gene:Ma08_g01230 transcript:Ma08_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MPRIVPFYNACPELEANPKAPPSASLEDERRPTSDEEAKMNPFDLRYADPNSYRERRSDFMRPPSEMNGPPIPIGGGVGSDPLHSQSGPGFAARGVAGGDTGSYMGSDSSFAAPSTFPHFATGGGGGRPSDVDRAGGRRFDSGRGGGRSGRGGTGRVGDRGFQSGRGGGTGDGGTAWRGQGFGGRGRGGGFDGGRCGRGRFEGGRGGGRGGYGSRDSVKSTDDLDKIALPKQNFHDLIPFEKNFYIESLSVQAMSEQDVMLYRKRREITVEGRDIPKPIRFFHEANFPANILQVIEKRGFIEPTAIQAQGWPMALKGRDMVGIAETGSGKTLAYLLPALVHVNAQPRLAYGEGPIVLVLAPTRELAVQIQEESLKFGLHSIVRSTCIYGGAPKGPQIRDLKKGVEIVIATPGRLIDMLEACHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVEALARQFLQNPYKIIIGSTDLKANQSIMQIVEVISELEKYPRLIKLLGEVMDGSRILIFLETKKGCDQVTRQLRMDGWPALSIHGDKAQSERDWVLAEFKSGRSPIMTATDVAARGLDVKDIKCVVNFDFPTSLEDYVHRIGRTGRAGAKGTAFTFFTQANIRFARELVKILQDAGQNVSPALASMARSGGGSGGNFRSRGRGNGNRSISGSNTIPLGGRRPW >Ma02_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24951993:24960200:1 gene:Ma02_g18490 transcript:Ma02_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKKLVDMEEGSLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTIRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEDEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAH >Ma03_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9083987:9088737:-1 gene:Ma03_g11700 transcript:Ma03_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSGGNNSDNHNLASRQRLRWTNELHDRFVLAVTQLGGPERATPKGVLRIMGVAGLTIYHVKSHLQKYRLAKCVPDSSADDAKSEKKDPDGVSSGLESSSGTQITEALKLQMEVQKRLHEQSEVQRQLQRRIEAQGKYLKTIIKEQQQLSGELAETPGGDISACSYVDNSSGSAKTGPHRSVNGDHGGTGKLLKSLSHDNSLREPEA >Ma11_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26873344:26877932:-1 gene:Ma11_g23600 transcript:Ma11_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLQIRSVQVTESTDFNELVNKEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQAAQFVKERLGVEVEMGGCKAPITTFIIEPFVPHDQEYYLSIVSERLGCTVSFSECGGIEIEENWDKVKTVYLPTEKPMTGEACAPLIATLPLEVRGKIGDFIKGVFTVFQDLDFSFIEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGGIDFPLPFGRVLSPTEKFVHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALKEKESKLKAARMHIYVRRGGPNYQTGLAKMRKVGEELGVPLEVYGPEATMTGICKEAIDCVMSAA >Ma05_p29990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40510001:40512765:1 gene:Ma05_g29990 transcript:Ma05_t29990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSNCAPHSWSESVVLDVKPLRSLAPMYPAPLGQKNTFSPPNAPPFVSVTTPFGSFTTESAFPPGFCPLFPSFAASHDVNQKPVDVNFVNNANGFARASEGPDINGSLHNASPSPSFQTPPAAIVSFNKEEPLASGASPPYGRTIKRSPCSNSIHIGNSETEGSNKKRIKTRRPKATGGDLSLLPSSSHDPREPVEVVLMTFDALRRRLMQLDESKRLRPDLKAGTIMMSSDLRANMVKRIGQVPGVAVGDIFYFRIEMCLVGLHSQSVAGIDYMTARFGNEEDPVALGVVSAGVYDNEEDNVDVLIYSGQGSSSKDDQKLERGNLALEKSLHRANEIRVIRSAKDPFVLNGKIYVYDGLYKIHESWVEKGKSGFNTFKYKFLREPGQPDGIAVWKMIEKWKQNPSSRANVILPDISSGIENMPVCLVNDVDDEKGPRYFAYSTTVSYSKPITSSRPLHSCMCNSVCMPGDSNCSCLHQNGGFLPYSSNGILISCKPLIYECSVSCQCPTNCRNRVTQRGVQVHFEVFRTRDRGWGLRCWDAIRAGTFICEYVGEVTESIQGVEYDEENDHIFQPRHADQGFKWNYVPELLGEPSSVDLSEISKPLSFIINSKNMGNISRFMNHSCSPNVFWQPVVHDHGDDGFPHIMFFAAKHIPPMTELTYDYGPSSAEMGDYVQSRRTKECFCGSVKCRGFFG >Ma05_p29990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40510001:40512765:1 gene:Ma05_g29990 transcript:Ma05_t29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSNCAPHSWSESVVLDVKPLRSLAPMYPAPLGQKNTFSPPNAPPFVSVTTPFGSFTTESAFPPGFCPLFPSFAASHDVNQKPVDVNFVNNANGFARASEGPDINGSLHNASPSPSFQTPPAAIVSFNKEEPLASGASPPYGRTIKRSPCSNSIHIGNSETEGSNKKRIKTRRPKATGGDLSLLPSSSHDPREPVEVVLMTFDALRRRLMQLDESKRLRPDLKAGTIMMSSDLRANMVKRIGQVPGVAVGDIFYFRIEMCLVGLHSQSVAGIDYMTARFGNEEDPVALGVVSAGVYDNEEDNVDVLIYSGQGSSSKDDQKLERGNLALEKSLHRANEIRVIRSAKDPFVLNGKIYVYDGLYKIHESWVEKGKSGFNTFKYKFLREPGQPDGIAVWKMIEKWKQNPSSRANVILPDISSGIENMPVCLVNDVDDEKGPRYFAYSTTVSYSKPITSSRPLHSCMCNSVCMPGDSNCSCLHQNGGFLPYSSNGILISCKPLIYECSVSCQCPTNCRNRVTQRGVQVHFEVFRTRDRGWGLRCWDAIRAGTFICEYVGEVTESIQGVEYDEENDHIFQPRHADQGFKWNYVPELLGEPSSVDLSEISKPLSFIINSKNMGNISRFMNHSCSPNVFWQPVVHDHGDDGFPHIMFFAAKHIPPMTELTYDYGPSSAEMGDYVQSRRTKECFCGSVKCRGFFG >Ma03_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5490254:5491708:-1 gene:Ma03_g07760 transcript:Ma03_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPDRSDAEDDILRYLNFSDPEPLPLPPPPPPLPNDHHPARLDQTPEPAYDDSPVWGLNYESILQGVQDFDSGEGSSRNTEVVDPVFVQFQPGQLDCTNCCVLRELVHSNGFQNVKVVVHGGPGFFTHAIFEIEHQGEMGQHGTVDYYYINLSTQTGAWVEGFLKMYIMLLDADGSVIMQDTATPYYNALCGGVKTELPDYSIMESWLQPSEQAPGTVPTENASLSIEAQAPMVASARAKIKTGLAAQRERAHNMSLKELADYFHLPIADAAKRLKLCSTAIKHACRRNGVARWPSRKIKSIDRQIAILEKQLLGSSHEAIMEKINEIEKLKAKKERLYAGLES >Ma07_p12040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8936099:8946533:1 gene:Ma07_g12040 transcript:Ma07_t12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLPLPNPSLVLLSSSSSFCASRHSCLRRSPPLLSFLLRYPALSPPRRAHAPLSPSPFHANDPFVRFSRPSRRSRRRATREEDVSGMMDAGADLEAQIHEFMHKSGNPNDFPTRVELIAAGRYDLVEAITIRGDWLTFGWDSKDKNTVDSDTNALGSTELESDGRAILEDSRVSQERFFDNSCGNVVVTNDVLDSEDDPLMAFTSGRLMERESVGTGGGIEGILSRLERERNLFLSIGKKETGWASPRSNKHFLRETAGDIIASYDKIIVDPSFLNSDLNDPKMHKKAQDLAEAGNGTNALDFDINQIWLRLQHLESDLASALHLLTSRADAVTAGKGQQISTDELHSLSDAWEFQETEIVSAQDKLRSTRARMAALEGKMALEIIEAKKLMEEKKKRVDAAQNALSLLQTVCIVWPNSASEVLLAGSFDGWNSQRRMESSGWGIFSLYLKLYPGRYEIKFIVDGVWKIDPLRPLVHNNGHENNLLIIT >Ma07_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8936099:8946533:1 gene:Ma07_g12040 transcript:Ma07_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLPLPNPSLVLLSSSSSFCASRHSCLRRSPPLLSFLLRYPALSPPRRAHAPLSPSPFHANDPFVRFSRPSRRSRRRATREEDVSGMMDAGADLEAQIHEFMHKSGNPNDFPTRVELIAAGRYDLVEAITIRGDWLTFGWDSKDKNTVDSDTNALGSTELESDGRAILEDSRVSQERFFDNSCGNVVVTNDVLDSEDDPLMAFTSGRLMERESVGTGGGIEGILSRLERERNLFLSIGKKETGWASPRSNKHFLRETEAGNGTNALDFDINQIWLRLQHLESDLASALHLLTSRADAVTAGKGQQISTDELHSLSDAWEFQETEIVSAQDKLRSTRARMAALEGKMALEIIEAKKLMEEKKKRVDAAQNALSLLQTVCIVWPNSASEVLLAGSFDGWNSQRRMESSGWGIFSLYLKLYPGRYEIKFIVDGVWKIDPLRPLVHNNGHENNLLIIT >Ma09_p14340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9792763:9797441:1 gene:Ma09_g14340 transcript:Ma09_t14340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSQSLVAFVRSSTGEGVGISCCVAPGSELETPAGPGANPERCLIRCGPRSPRLLWSYAVRSEASDGCGLVPLEPASAYPRWKHGDEEREKGEERKGRKEGGRPSPWTRSPPRASFSRRLSCSPNRIMEGKPRRAALVFLVAFVAVAAGSPACPRPSVVDTILGRPDSCWDSDSTLPLGAYQIGVVEGDEATLQKASSMVQKNREDYVALLFYASWCPFSKICHPNFQILSNLFPTIRHFAFEESVIRPSILSRYGVHGFPTLFLLNSTMRVQYHGSRTRNSLVAFYNHVTGVGPSPKLVFLDNVMDPPDDTELKEDMQENCPFSWARSPEKLLQQDGYLALASGFLLMRLLYFLLPRLNACFQRAWRRQMRYASVRNLWNYFQAYTEQAKREGSRLHPCERHHLQEGAMSARAWASQSLASVTLGEPSSGRAYSMGREKLGQDAAGWL >Ma09_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9792793:9797441:1 gene:Ma09_g14340 transcript:Ma09_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSQSLVAFVRSSTGEGVGISCCVAPGSELETPAGPGANPERCLIRCGPRSPRLLWSYAVRSEASDGCGLVPLEPASAYPRWKHGDEEREKGEERKGRKEGGRPSPWTRSPPRASFSRRLSCSPNRIMEGKPRRAALVFLVAFVAVAAGSPACPRPSVVDTILGRPDSCWDSDSTLPLGAYQIGVVEGDEATLQKASSMVQKNREDYVALLFYASWCPFSKICHPNFQILSNLFPTIRHFAFEESVIRPSILSRYGVHGFPTLFLLNSTMRVQYHGSRTRNSLVAFYNHVTGVGPSPKLVFLDNVMDPPDDTELKEDMQENCPFSWARSPEKLLQQDGYLALASGFLLMRLLYFLLPRLNACFQRAWRRQMRYASVRNLWNYFQAYTEQAKREGSRLHPCERHHLQEGAMSARAWASQSLASVTLGEPSSGRAYSMGREKLGQDAAGWL >Ma01_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1539729:1541210:1 gene:Ma01_g02260 transcript:Ma01_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHAQSIAFGFRSDTLVLNSLIHGYAACGSVGSAHDVFDELPDRDQIAWTELINGYVRSGRAKEAVDLFSRMMEANVRPDGISIVAACTACSQLGDLSLGRILEGLACKRGVKDNTNVVNSLIDMYNKCGSIDDAWKLFDEMPHKDVVSWNSMVAGSARTGDMEAAQSLFDQTPNKNEVSWSSLINGYVQNDRFEQALSTYKEMIDAGVATNEAAITGTVTACAHLGALHLGRQIHLSLDESKLCHDTVLSTVLVDMYAKCGCLDTARSLFARMVHKSQVSWNVMLVGLAIHGKAAECLELFSEMSKDGTRPSSTTFVAILSACAYAGWIEEGRAFFDKMTESYGITPRTEHLSCMVHLLGRAGHVPEACDLVRAASHIEPDVATWGALLSSCKSHGYAELADVVAGKMLELDPSHTGAYVQLSSMYASENKWREVVEIRRVMKGRGVKNRPGWSWFELDGTVHEFLVGVNWHPSMREIYGALHAIDLHINA >Ma05_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12267809:12268537:-1 gene:Ma05_g15810 transcript:Ma05_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREMISNGLRQLANMHDGIEDLLRLPSIQQGLFYSDQRKWLEEDVEGLMRLLDLCGTMKDATTTLKEYVHDLRFALGRRGDNSTGQRVQDYVRSRKEIQKIIKKSYKDLKQMDGRCQPASLISQDPNLSVVLNVLNEAREITISLLCSILSLMFLPKAKTSRWSFASKTMRVACKEDLCEMWDVDVSLRADLKDADAEKIMMVQNQLKTIEISFGDVENGLGCLFRRLIQNRVSLLNILSP >Ma06_p27440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29451614:29453743:1 gene:Ma06_g27440 transcript:Ma06_t27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRIAAYMFLRVIKPQGVCLLLQLLLLLQLSVLTSPAAAGKLGHMCMLNRNCDAGLHCEACIASGDGLFRCTRIQPSDPKSKETGLPFNRYSWLTTHNSFAKLCPESRTGIPVITLENQQDSITDQLNNGVRGLMLDMYDFLDDIWLCHSFGGKCHDITAFQPAIDVLKEIQVFLEANPSEVVTIIIEDYVTSPMGLTKVFNASGLFKYWFPVSRMPKNGGDWPLLSDMISRNQRLLVFTSKSAKEASEGIAYEWKYIVENQYGDGGMEAGACPNRGESSPMNTTSRSLVLMNYFPTIPNPVTACKHNSAPLLSMLNTCHNLSANRWPNFIAVDFYKRSNGGGAPEATDVANGHLVCGCDNIAYCKVNASFGVCEVPPPPAPTADSSGSSMALLLAHQLRYLWLAVIISISVSIR >Ma08_p31950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42717706:42718131:1 gene:Ma08_g31950 transcript:Ma08_t31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMTKRKQPAQDGDDEGGAAGGRSGDEEHREVTDEEVDEFFSVLRRLHAASKSFAGGGDRRDNKRKKKVRRGEEEEAKAAARWRPRFTLEDFEAIGDGGVVVSDGAASGCRTAAEDPIRLDLDLNAEPVVEDHDWQRWLR >Ma11_p23980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27143048:27150641:-1 gene:Ma11_g23980 transcript:Ma11_t23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSDVGRRGTPSPPPPPPQALLERLKDYGQEDAFAFWDELSPEERNLLVKDIESLDLPRIDRIIRCSLGSQGLPLPAVEPVPEASVSTVEARSLEERERWWKRGLKAISEGKLAVVLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCIQKLASQSNDTGFMPIHWYIMTSPFTDEATRKFFESHKYFGLESDQVTFFQQGTLPCVSGDGRFIMETPYKVSRAPDGNGGLYSALKSSKLLEDMAMRGVKYVDCYGVDNVLVRVADPAFLGYFIDKGVAAAAKVVRKAYPQEKVGIFVQRGRGGPFAVVEYSEMDASMTTEINQTTGRLRYCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGLTIGFKLEQFIFDAFAYAPSMALFEVPREEEFAPVKNANGASYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYLTGVEISPLCSYAGENLEAICRGRTFHAPSEITI >Ma11_p23250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26656915:26663217:-1 gene:Ma11_g23250 transcript:Ma11_t23250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEIGSIPIFQKKDSWKTMLFLAYQSLGVVYGDLSISPLYVFKSTFAEDITHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEDLSTYKYEHPPETTNRSRIKIWLEKHKSLHVALLIVVMLGTCMVIGDGVLTPAISIFSAVSGLELSMSKHHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIIRWNQHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQVAFTFVVYPSLILAYMGQAAYLSKHHRIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSEKIHGQIYIPEVNWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALVFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIIMFVWHYATIKKYEFDLHNKVSLDWLLALGEKLGIVRVPGIALVYTDLIAGVPANFSHFVTNLPAFHRILVFVCVKSVPVPFVPPAERYLVGRVGPPNHRSYRCIVRYGYRDVQEDINAFESELIASLSDFVWLEASLGGHQSSESVDGYEYGLTVAGSNLLTRRHLDYNTELPYEENAIELAPETTVKKVRFFLEENNNSALSEAVREELEDLLAAREFGCTFILGHSHVQAKPGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma11_p23250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26656915:26663473:-1 gene:Ma11_g23250 transcript:Ma11_t23250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEIGSIPIFQKKDSWKTMLFLAYQSLGVVYGDLSISPLYVFKSTFAEDITHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEDLSTYKYEHPPETTNRSRIKIWLEKHKSLHVALLIVVMLGTCMVIGDGVLTPAISIFSAVSGLELSMSKHHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIIRWNQHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQVAFTFVVYPSLILAYMGQAAYLSKHHRIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSEKIHGQIYIPEVNWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALVFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIIMFVWHYATIKKYEFDLHNKVSLDWLLALGEKLGIVRVPGIALVYTDLIAGVPANFSHFVTNLPAFHRILVFVCVKSVPVPFVPPAERYLVGRVGPPNHRSYRCIVRYGYRDVQEDINAFESELIASLSDFVWLEASLGGHQSSESVDGYEYGLTVAGSNLLTRRHLDYNTELPYEENAIELAPETTVKKVRFFLEENNNSALSEAVREELEDLLAAREFGCTFILGHSHVQAKPGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma11_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26656915:26664185:-1 gene:Ma11_g23250 transcript:Ma11_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEIGSIPIFQKKDSWKTMLFLAYQSLGVVYGDLSISPLYVFKSTFAEDITHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEDLSTYKYEHPPETTNRSRIKIWLEKHKSLHVALLIVVMLGTCMVIGDGVLTPAISIFSAVSGLELSMSKHHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIIRWNQHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQVAFTFVVYPSLILAYMGQAAYLSKHHRIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSEKIHGQIYIPEVNWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALVFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIIMFVWHYATIKKYEFDLHNKVSLDWLLALGEKLGIVRVPGIALVYTDLIAGVPANFSHFVTNLPAFHRILVFVCVKSVPVPFVPPAERYLVGRVGPPNHRSYRCIVRYGYRDVQEDINAFESELIASLSDFVWLEASLGGHQSSESVDGYEYGLTVAGSNLLTRRHLDYNTELPYEENAIELAPETTVKKVRFFLEENNNSALSEAVREELEDLLAAREFGCTFILGHSHVQAKPGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma11_p23250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26656915:26663319:-1 gene:Ma11_g23250 transcript:Ma11_t23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEIGSIPIFQKKDSWKTMLFLAYQSLGVVYGDLSISPLYVFKSTFAEDITHSETNEEIFGALSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEDLSTYKYEHPPETTNRSRIKIWLEKHKSLHVALLIVVMLGTCMVIGDGVLTPAISIFSAVSGLELSMSKHHHEYAVVPITCFILVCLFALQHYGTHRVGILFAPVVLTWLLCISGLGIYNIIRWNQHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQVAFTFVVYPSLILAYMGQAAYLSKHHRIYTSYQIGFYASVPECLRWPVLGIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSEKIHGQIYIPEVNWMLMILCIAVAVGFRDIKHMGNASGLAVITVMLVTTCLTSLVMILCWHKSPFLALVFLLFFGSIEVLYFSASLIKFLEGAWLPILLALFLMIIMFVWHYATIKKYEFDLHNKVSLDWLLALGEKLGIVRVPGIALVYTDLIAGVPANFSHFVTNLPAFHRILVFVCVKSVPVPFVPPAERYLVGRVGPPNHRSYRCIVRYGYRDVQEDINAFESELIASLSDFVWLEASLGGHQSSESVDGYEYGLTVAGSNLLTRRHLDYNTELPYEENAIELAPETTVKKVRFFLEENNNSALSEAVREELEDLLAAREFGCTFILGHSHVQAKPGSSIMKKLAIDVAYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >Ma06_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4168683:4168872:1 gene:Ma06_g05590 transcript:Ma06_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLFALLALLLLSLVEGSCHPASANVVEIT >Ma07_p29180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:35026252:35028020:-1 gene:Ma07_g29180 transcript:Ma07_t29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYLIVSNIITVGTADALAFFLPGVVSRFAKALYVSKNMISGAAGSSVAIEQAICGLTEFLIIVLDDKANLHSLDMPVNDIGSLAPMENNSTQSVLEALRSLPLNGHVQSANMIGDSFNQAINDDHKRKIVDHSNGERTLFVHRSKEWIDETSSNVDKLMSAAFPHLCIHPAEKVRKALVDGIQGLLLNCRCTLKRSKLMLLECLCVLVCDDADVVSMVAQESLESLFVLGEKFITKNEIADIFTSLIKALPRVVLGSDKTIALSHAQKLLSLVYYAGPDLLVNYLQSPVSTDVHNFLFPENVLFIFCSVLGPSYLCF >Ma03_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14815443:14817248:-1 gene:Ma03_g15040 transcript:Ma03_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGTSDALMCRAFPTTFRGPARAWYSGLKPGTIASFDQLAKDFELNFLAYARPKPSMALLLGLNQKEDEPLSHFVNRFTTQIRGLSDAHPSLLMQAFMNGLRPSRFFWSLVERPPVAVPEMLQRANQFIAAETWMVGRREEHRKVKSEPPRQQQPTASRRKLDRPNPRPPLPALNSSRTEIFLHERGKGLLRDPHPMKNPRELADRSKYCRFHRQHGHDTEQCYELKRQIDELILRGHHGQYLRPSKEQSPRPEGPVERHIDVIARGPTSGGSSMSGRKAYARAAPDEASGRGPEPEITFPTGATERPHHHDALVISARVANAQIRRIMVDTGSSADILYFGAFQKLGLARESLSPMCSAITSFTGDSISPLGAITLPLTLGTPPKSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYYRTVKFPIREGVGEVTGSPQESRRCYLTSVSLSKRDRGGAPLEDPREAKKPAPHPEPRGSTVDVPLREARPDQTVKVGSELPEREREQLVGLLRENADIFAWAPSDMEGVDPKVAEHHLNIPPNARPVKQKPRRHAPDRQRAIQEEVDRLLAAGFIEEAKYPQWLSNVVLVKKHNGS >Ma04_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6484861:6486152:-1 gene:Ma04_g09100 transcript:Ma04_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGWENPAAIRSEVFLSPSRCSNHYGDFTGSSEGYTAFNDKFALDEVLLSSGLELQGILDACQASSHLGGHSETVGISTPALGSMNNSLDLDLLQYQEAILMAAADSGLMRSVLDTTSGDASCCQAYASSSLTDRTDVSHPVTENFGASEEERVISNVLDAQDGISTIFSSCWNVHGLSCSGNISSGDSERHGYLYHHRSRHEDVASQGSSKSDARQVESLQGRRSTKRKFVESTRAESNNICSLLLEPNSSTKEGGLQISFTRAQKSKKLRSERHSESSTIEFVREGNYEPDDEAIAQVKEMIYRAAALRPVSLVAEGAVEKAKRKNVRISSDPQTVAARHRRERISERLRVLQRLVPGGSKMDTATMLDEAANYLKFLKSQVKALETLGNRFHPVNSSSTTASFPLPLNRAFPMRNSLLHPKP >Ma10_p27970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35012864:35015677:-1 gene:Ma10_g27970 transcript:Ma10_t27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFVSLLWFTWIELMRSKIFDLAEEVENVRSLSSMEKDVKRIPGSNSCKQNESETSTKSSSSLKESLSFKNWCQDQTKLETHVSPKNQHREDVKREPYSADQIGNDADLKLNPENCLLESLKLIKDLDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKAFDFASLKHSSISFFGGTKPETAASRWARALTRAAKVGKGLSKNNKAQKLALQHWLEAIDPRHRYGHNLHLYYDEWFISESKQPFFYWLDIGDGKEVNLAKCPRNKLHQECVNYLGPKERQAYEVNLESGKFVYKQSGTFVDTTEGSKWIFVLSTISTLYVGQKMKGSFHHSSFLAGGATIAAGRLIVKEGILKVVWPYSGHYLPTEENFKEFISFLQDNNVDLTDVQKCPAGDDDDYSSLKMDRSNSMTDMDKGTEVAEESTSHEVGNQSENEVEEGHLCSSIPEDSNVETTSGSVDHHHQNCTTGAGHRIRCVRKYPTDLQFKALEQVNLSPSSTAPPDEPIVSPRPNSDMRLSPTLAGISLPAPTITLTLPKHRRR >Ma06_p32920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33724839:33725895:1 gene:Ma06_g32920 transcript:Ma06_t32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAHLLHRGPLPSRPRRLPLLFVSCSSSLAVAAAIEDHEDVAAASVKRTRRASRTSETLATSTASPTPRPSPSSTSPLGARLSESRRSRIERRSAPSASSSGRSLDPSALMGRSTRRPRFTSASPTSLSTSGGAVRRTPTSSSATLSAPAATTLASRPTSEASAVVTLKRRSDHGPAPLMKEIFGGALLSQVKYLPCKAALARFFQPQVQQVSEPFFSFRKKLSVARKQMFIHEHPMCLSYSSRDLKVRMVQKLTGESNLMEFLGYQSSCVI >Ma04_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19307915:19309275:1 gene:Ma04_g17970 transcript:Ma04_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLSTVNPLFPLPILFLLLASPTSSQPDCKSSCGSIPIHYPFGTGPGCGSPVFHPHVACDGDQLTFTTRTGSYPIQSVDYANQVLYITDPSMSTCSSTCRSDGFSLDWNAPFTFVDGDVFALLDCSTSPSSSSPLFAPNSTDGATPLCDTTNAPICALLYSCPAISQLNVPISTCCIYTPVTLGPTFEMDLKKLQCSSYSGIYSFNGDETSPGGWNFGVALKYRFSVDDGYPSACADCEKSNGVCGYTGKYNSFTCNCVNGMNSPINCYFASVSWSGGDKLDPLWMGFGLIYAWWLVVWILL >Ma03_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19406311:19410896:1 gene:Ma03_g16730 transcript:Ma03_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MHANHSTNIPSGATDRTMIPKCEYVPNLINSSMQEDEIYEDPDSPAGKKKKAPRIKGWGLRRFSTIVCDKVKEKGRTTYNEVADEIIYELSSLEKKDLQFVFDEKNIRRRVYDAFNVLMAINVIAKDKKEIKWVGFPSTETEELAQIKEEKMKLLSKIEQKVNYLKELEEKFGDLHNLICRNRVAHKSASVSSEGIALPFLLVQTTPKATVEIEISEDMRSVNFEFNGTPFTLHDADSILRAMRRPTSVERGHDNNNSTESPELVADQNCQKLHPASSS >Ma01_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25964743:25965963:1 gene:Ma01_g22810 transcript:Ma01_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGFGNSTTLRNQVSISPTLWSDQYENFLVSNEDYRNACEKLKLEEALLISSSFDLQGILACHPSSNFDAVNETINTLASTSDPSSLQRQELIMLTADSARKISGLPAITEHALSPQLHASVVPGSGGPKNMIDPFCCPSENFGSFDQLQVNIDNNDEDHFDNFSSTKSTCRLSCSATISSAEFETHGLFDYHIPNLDRQNSFIPDVPGVESSREKYIAKRKLEDYEKEGENCCKKGGFEISFRNAPRQKKLRSEKNSGPSSINFSQQSYYELDTEAIAQVKEMIYRAAALRPVHLGLEEASEKPKRKNVRISSDPQTVAARHRRERISERLRMLQKLVPGGSKMDTATMLDEAANYLKFLKSQVRALETLGNEHDPMDRYTVPFGSTFHSGFSHAKPFLHREP >Ma04_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4894448:4897651:-1 gene:Ma04_g06730 transcript:Ma04_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPPPPSLLLLFLFCNVSLLFAVGGLNSDGTALLSFKEAIRGDPKGSLGNWNSSDENPCSWNGITCREGSVVALSLPKKKLVGYLPSALGSLQSLRHVNLRSNRLFGSLPAGLFAARGLERLVLFGNFLSGSIPPAIGELLYLQSLDLSSNLFSGSIPRSLVQCKRLKALVLSHNNFTSSLPLGFGSSLVGLEKLDLSYNGFSGPIPGDIGNLPNLQGTLDLSHNRFSGFIPPSLGNLPENVFIDLTYNNLSGPIPQNGALKSRGPMAYVGNPDLCGSPLQNPCPSDVLSPKEDDAHSGRSSSEGLSNAAVIAIAVSDVLGIGLIALLFYYFYRKAIASLETKEEGERSDKGLKGRKECMCFTKESGALSENIEQYELVPLDKHVTFDLDELLKGSAFVLGKSEIGIVYKVVLNNGLTLAVRRLGEGGLQRFKEFQTEVEVIGKVRHPNIVTLRAYFWSVDEKLLIYDYIHNGNLSTALHGKAGTGDSAPLSWEVRLKIMKGVAKALAFLHEFSPKKYVHGDLKPNNVLLGLNMEPYISDFGVGRLANLAEGSPFLQSDRVFAETTASQQSDVAFGRIITKGSCYQAPEVFRTMKPSQKWDVYSYGAILLELISGKSPLVLLETMEMDLVHWVQFCIEEKRSLLDVLDPFLAQEIDREDEIVSVLKIALACVQANPEMRPSMWHVADTLERLIN >Ma05_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7960638:7961592:1 gene:Ma05_g10940 transcript:Ma05_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVYRCAGCGADLNLSAAHLYPADAHFEAGNKGTFSFSWVDDARLQFAKEDRIKPFFETPNYWGIQRKRTRLLCDTCGHLLGYVYDDGPPAMRGHGQFGFGHGHTVSRAPRYRFKIKALNISYC >Ma07_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27821728:27823789:1 gene:Ma07_g19930 transcript:Ma07_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRPSSSTCFSVPSLAPLRVSQDPSLQDHPPNSSAGGPVGERLHPVADLPLDPHELGENPNRGRSVVDPFHSDPYPDAKAPSSSGSVQVPKEVEEEEEVMVDSSERWFAQANGSNRTSVSTGAVASEAAQLGETVSPQKNTRGGDENGNGDGGFEGKLREKEKKVKAKARASSGPASANNNCTSVKDSDKEGCDGGAAVVRAGHGTNVNGKRRRSPAVLMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMSSVRGQLGTSKPKWSIDIRNSSVVPLTSPQKLEEEERKPRKQPAIAFDTGVDNIKEEEEEEEKSRTKRKKTGMVKARSISSLLDNTNHPVLSSSPPQAPEVASLPPCHDSEARSVI >Ma08_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11078893:11099200:1 gene:Ma08_g13970 transcript:Ma08_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRRRRQERRVVPAVPAAAAISGGALLIIAVLAFHSLLAPSPLLNGSAPDMAGPARHRRAKPSSILLKKPVDLQRNAAGAVDAFRVPSGRGSPVTDLWGSKLSKDYHGCSNASSGFATAEANTLPDRYLIIATSGGLNQQRTGIIDGVVAARILNATLVVPILDQDSFWNDASDFSDIFDVDWFITSLTKDVKIIKELPEKDGKVIWTPYTMRVPRKCTPRCYQSRVLPVLTKKHVVRLTKFDYRLSNKLDIDLQKLRCRVNYQGLKFTDQIQVMGDTLIQRMKARSKHFIALHLRFEPDMLAFSGCYYGGGEKERTELGAIRKRWKNLHASNPEKGHRHGKCPLIPEEVGLMLRALGFGNDVHLYVASGEIYGGEETLAPLKALFPNFHSKETLATKEELAPFSSYSSRMAALDFIVCDGSDVFVTNNNGNMARMLAGQRRYFGHKRTIRPNAKKLYSLFLNRTSMTWETFSSRICIFQRGFMGEPNEVRPGRGGFHEHPSACICGSSEVAAHSNHDIQTNLGNRNLKSNVSRSAGETIDNHSSYEDFDRIDLDDGENTPLHRSTSSGMESEYNVFIRQEDQELEDII >Ma06_p31340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32485588:32487352:-1 gene:Ma06_g31340 transcript:Ma06_t31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTVPHGVHAVRLGNDASVSQDITVEPGTLYSLTFSATRTCAQDEVLRVSVPPLAGDLPIQTLYSSTGGDTYAWGFIPTKTTVQVIFHNVGIEEDPACGPLFDAVFVTQLNQRDCLINLIFYAVEEISLLSLWPSSLRCLRDTTWLRMAASKKGLMSSKTPQPASSFLPSRKTAHLPSLAGSSNLKAVRFIDAEHHTVPFGQFAVELVAGRESVIAQVIRTISNRVYELSFVVGDAKNGCHGSMMVEAFAGNGTVKARFHSQGKGGHQAASLRFMALANRTRITFYAAYYHISVSNPGSLCGPVLDQVRVYPIKA >Ma04_p26050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27662065:27662731:-1 gene:Ma04_g26050 transcript:Ma04_t26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSGPPYTRQPFCVCLTMQGWSNHVVSAWLRGKEEFMGLSAPRALPFFLGTPKPQRLCSSPIGIELIAEKG >Ma02_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23688870:23691943:1 gene:Ma02_g16230 transcript:Ma02_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLEATEPMSGESPTQPQSSGSPQPPLAPSERRLLESTYLADAAADGASVAANLAPVTPSGTEAKDDVDAYFGNGGLGLGSVTGEALEGIPIAVGDLVWGKTKNHPWWPALVSDPSRAPIDAKKAHLSDVSLLLVYCFGSGAFAWCEPAQLKPFVEDFHRMTRQSSSKSFVAAVEGALDEIRRRLQLELTCGCVPPEAGGKTAECPAGRLPISNFQPLEFLEHLQDVACDVTMADVLQVAALRSWVIAFTLDSPRSGEVKSPRKAAEASRALNSDISSLLRCDSESVEKEEDTSNPGFGIESTSVNDILAELLCTARNPLHLKWNRSAKMIKSFFIKYRSSMYSSGSDFLTYQKHHNECCQVSIESPNKLIVNNSSELGKSEGGWKDKKDAADLQVETGLAPDSRSCSEQCKVGRKRKMRKNEDINVTLADLEPQVINIPVERKNIKSKVVATGESVVLCPAPVNCMQPAEVGNKMNKKGKGVTNGQKAVDPVHNLSECSQDGNSKRKRKKSKRANADVPLVDSIRGITNTLEKVKSEHSRKDDETCYSYPGALLLTFASGVPLPSQSELISTFRKYGVVIESETELLKETSSARVVFAKSTDAEKAFNSSDKTGVFGPPFANYHLHYLPPIACSPHPIPPLPYIRESLERMISTLTTTTSVKETGPSDGMKPAARENLVGDMEGLLKKVNTMLDGAAAGT >Ma09_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6059388:6061494:1 gene:Ma09_g09120 transcript:Ma09_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MAGDEGTPQTPARRVTRSSSATPLKPSLVTPNLLPPPTHPPPLESLCTEPMSTDDLLALLPGRKSQILEFLRVIGPPNSAMFPALLCGGPSTGKTITILHVFRLLRRRFAYASCRSCYSPRILFETVLNQLLCHRRSRENGYSSAKRCERASDFVNLLRDALTRAITAPRDERKKSCSKEGHGDGIGEMIYLVFDNVELIRSWDKGSEILPLLFRMADVLKTPAVGLIYISRAMPDAYYSMTGSVEPISIYFPDYTVDDLHTIFMRNQVNQKLYSSFLSIVLKPFSRVTKRVDELATAFDPLFKKYCEPLTDVSLVPDEAMKRKLFDHLQPHLTASLNEIFTVTPWSLVEVAKERTSKRGHIRKLCGKEVFSELDIHMSTSTKYLLISAFLASRNPATLDAALFDSTGGSNNRKRKRKSTHTSLDQQEDMAEEVLMKGPGTFPLERLLAIFQCITSVMDCSVEEDQFEDGVLTEGSNVWLMSDALLQLSTLCNANFICKSGNFQLEGSTRYRSMIDEDMALKVARSINFPLSKYLYRR >Ma10_p31340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37519408:37525731:-1 gene:Ma10_g31340 transcript:Ma10_t31340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMTSTMAARFAFFPPDPPSYRVVVEDEASGRLSMTTVTPREGVEVRRLWTRRGSGIVAMYVTNPNAKLTLLYSHGNAADLGQMYELFVGLSNHLRVNLMGYDYSGYGQSSGKASEQNTYADIEAAYKCLKETYGAHEEDIILYGQSVGTGPTLELAARLPRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKMPLVKCPVLVIHGTEDNVVDCSHGKRLWELCKEKYEPLWIKGGNHNNLELFPDYIRHLKKFISAIENLLVRKDESVERSDVTEAPRTSSDCLESSRMSTDQTDMSRSSTVSGDKSKRRTESGGKSRPSTDRREKSRKSVDSSKDMKDDMDQPEKPRKSSDRFGDMMRSMGLCNMDCLKDTASKA >Ma10_p31340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37519408:37525731:-1 gene:Ma10_g31340 transcript:Ma10_t31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMTSTMAARFAFFPPDPPSYRVVVEDEASGRLSMTTVTPREGVEVRRLWTRRGSGIVAMYVTNPNAKLTLLYSHGNAADLGQMYELFVGLSNHLRVNLMGYDYSGYGQSSGKASEQNTYADIEAAYKCLKETYGAHEEDIILYGQSVGTGPTLELAARLPRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKMPLVKCPVLVIHGTEDNVVDCSHGKRLWELCKEKYEPLWIKGGNHNNLELFPDYIRHLKKFISAIENLLVRKDESVERSDVTEAPRTSSDCLESSRMSTDQTDMSRSSTVSGDKSKRRTESGGKSRPSTDRREKSRKSVDSSKDMKDDMDQPEKPRKSSDRFGDMMRSMGLCNMDCLKDTASKA >Ma10_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30152995:30153975:1 gene:Ma10_g19670 transcript:Ma10_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCVEEASSDWVNRLHVSKVLQRIGSGVSVVLDPSAEGGGIEAESRKLSSSWYKGVVPQPNGRYGSQIYERHQRVWLGNFGDEAEAARAYDVAAQWFRGCDAVTNFKPLTESNDEEAAELSFLVAHSEAEIVDMLRKHTYHDELQQSKQTLRSAREILFDKVVTPSDVGKLNRLVIPKQHAEKHFPLKSGSSVARNGVLLNFEDAAGKMWRFRYSYWNSSRSYVVTKGWSRFVKEKSLKAGDLVSFWRSTGPEKQLCIDWKTSPVACNRAVPPSIRPLPVVKLFGVDISGVPASGDDGKRGREMELFPPPQFFKKQFVEAL >Ma02_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22427042:22441120:-1 gene:Ma02_g14320 transcript:Ma02_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLAAMVTHPDEVYPMVKLKLASDRIRRQIPAEPHWSFSYSMLQKVSRSFALVIQQLEPQLRDAVCVFYLVLRALDTVEDDTSIPSDIKVPILQNFYLHIYDRKWHFSCGAKDYKILMDKFHLVSTAFLELDKSYQEAIEDITRRMGAGMAKFICKEVESIDDYDEYCHYVAGLVGLGLSKLFHASGSEELASDNLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYADKLEGFKNAGNSTKAVECLNDLVTNALIHAEDCLQYMSALKDLAIFRFCAIPQIMAIGTLALCYNNVEVFRGVVKMRRGLTAKIIDQTNSMSDVYGAFYEFSSLLKSKIDDNDPNAKLTRKHVEAIQKACGSSGLLDTRGLRMHESNRHTSLLIMVVFLLLALLLSFLSHK >Ma10_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22049894:22052856:-1 gene:Ma10_g07720 transcript:Ma10_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVCGFDGGVASPESVSSVSKAAVAATEGGEEEGLVVDDVHGGAVGEREEEREVEVSNGEELELGLTLGAARKAKAAPARRGACCRILTAKDFPSLAVRASRRSHSGSLASSSSGTNRAGGTAGTKRAAESVSPDVGGSPHPPSQMVVGWPPIRAFRMNNLFTHPKDTTALKKADVDSGVDSTSKTASGSRDQEDKGCATRSSFFVKVKMDGDPIGRKVDLSAHHSYETLAVALELMFHKPTMAFALATAAHGAKVSKLLDASSEFALTYEDKDGDWMLVGDVPWGMFLETVKRLRIMRTSDANGLSQPVHFGKGFYYPSTLPVDQ >Ma10_p07720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22049894:22052856:-1 gene:Ma10_g07720 transcript:Ma10_t07720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVCGFDGGVASPESVSSVSKAAVAATEGGEEEGLVVDDVHGGAVGEREEEREVEVSNGEELELGLTLGAARKAKAAPARRGACCRILTAKDFPSLAVRASRRSHSGSLASSSSGTNRAGGTAGTKRAAESVSPDVGGSPHPPSQMVVGWPPIRAFRMNNLFTHPKDTTALKKADVDSGVDSTSKTASGSRDQEDKGCATRSSFFVKVKMDGDPIGRKVDLSAHHSYETLAVALELMFHKPTMAFALATAAHGAKVSKLLDASSEFALTYEDKDGDWMLVGDVPWGMFLETVKRLRIMRTSDANGLSQPVHFGKLELYATPGILLSFNTASGSIGSSPA >Ma09_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10826068:10838506:-1 gene:Ma09_g15520 transcript:Ma09_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIPIPHRQLFIDGEWSEPLRGKRLPIINPATEESIGDIPAATVEDVELAVAAARRALTRNKGTDWARAPGAVRAKYLRAIAAKIIEKKSELALLESLDCGKPLDEAAWDMDDVAGCFEFYADLAEALDAKQRAPLSLPMQTFKCYILREPIGVVGLITPWNYPLLMAAWKVAPALAAGCTAVLKPSELSSVTCLELARVCKEVALPPGVLNVVTGLGPEAGAPLASHPHVDKVAFTGSTETGKKIMTSAAQMVKPVSLELGGKSPIIVFEDVEVEKAVEWTLFGCFWTNGQICSATSRLLLHETIAEEFLGKLVTWAKNIKVSDPLEEGCRLGPVVSEGQYEKINKFISTAKSEGATILCGGGRPQHLEKGYFVEPTIITNVETSMQIWREEIFGPVLCVKTFRTEEEAIELANDTHYGLAGAVISKDTERCQRISEMIQAGVIWVNCSQPCFCQAPWGGTKRSGFGRELGEWGLDNYLSVKQVTEYISDDPWGWYPSPSKL >Ma03_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25420992:25425465:1 gene:Ma03_g20260 transcript:Ma03_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMNGLESSSSLSPSLSSPYFPVLHNYPLISAILAFAIAQSIKVFTTWYKERRWDAKQLIGSGGMPSSHSATVTALAVAIGIQDGLGSSAFATATMFASVVMYDAFGVRLHAGKQAEVLNQIVYQLPEEHPLADTRPLHELLGHTPLQV >Ma03_p20260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25420992:25426128:1 gene:Ma03_g20260 transcript:Ma03_t20260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMNGLESSSSLSPSLSSPYFPVLHNYPLISAILAFAIAQSIKVFTTWYKERRWDAKQLIGSGGMPSSHSATVTALAVAIGIQDGLGSSAFATATMFASVVMYDAFGVRLHAGKQAEVLNQIVYQLPEEHPLADTRPLHELLGHTPLQVTAGAILGFFVALLSRLINKFAGGA >Ma08_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1816236:1833333:-1 gene:Ma08_g02290 transcript:Ma08_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRDFIKGVKRLVIKVGTAVVTRTEGRLALGRLGALCEQIKELNSSGFEVIMVTSGAVGVGRQRLRYRKLVNSSFADLQKPQVELDGKACASVGQSGLMALYDTLFSQLDVTSSQLLVTDSDFKDPDFRMQLSQTVNTLLALRVIPVFNENDAISTRKAPYEDSFGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPGEPHSKLIHTYVKERHQSEITFGDKSRVGRGGMTAKVKAAVYAAYAGIPVVITSGFTTDSITKVLKGERVGTLFHQDASKWMLLGEDGAHNMAVSARECSRRLQTVSSEARKKILLDIADALEANEELIRTENEADVAAAQQAEYEKSLISRLTLKPGKISSLANSIRILADMEDPIYRVLKRTELAEGIILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNAILHKVITGAIPDFIGQKLIGLVTSRDEIPDLLKLDDVIDLVIPRGSNKLVDQIKESTKIPVLGHADGICHVYVDKSADMEMAKHIVLDAKIDYPAACNAMETLLIHKDLLKTEGLNDLIVELKSEGVALFGGPRASLEFDIPEAPLLHHEYNSMACTVEVVDDIHAAIDHIHHHGSAHTDCIIAKDLEAAEIFLHQVDSAAVFYNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILKGNGQIVDGDKGVVYTHRNLPV >Ma08_p02290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1815059:1833333:-1 gene:Ma08_g02290 transcript:Ma08_t02290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRDFIKGVKRLVIKVGTAVVTRTEGRLALGRLGALCEQIKELNSSGFEVIMVTSGAVGVGRQRLRYRKLVNSSFADLQKPQVELDGKACASVGQSGLMALYDTLFSQLDVTSSQLLVTDSDFKDPDFRMQLSQTVNTLLALRVIPVFNENDAISTRKAPYEDSFGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPGEPHSKLIHTYVKERHQSEITFGDKSRVGRGGMTAKVKAAVYAAYAGIPVVITSGFTTDSITKVLKGERVGTLFHQDASKWMLLGEDGAHNMAVSARECSRRLQTVSSEARKKILLDIADALEANEELIRTENEADVAAAQQAEYEKSLISRLTLKPGKISSLANSIRILADMEDPIYRVLKRTELAEGIILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNAILHKVITGAIPDFIGQKLIGLVTSRDEIPDLLKLDDVIDLVIPRGSNKLVDQIKESTKIPVLGHADGICHVYVDKSADMEMAKHIVLDAKIDYPAACNAMETLLIHKDLLKTEGLNDLIVELKSEGVALFGGPRASLEFDIPEAPLLHHEYNSMACTVEVVDDIHAAIDHIHHHGSAHTDCIIAKDLEAAEIFLHQVDSAAVFYNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILKGNGQIVDGDKGVVYTHRNLPV >Ma08_p02290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1815059:1833168:-1 gene:Ma08_g02290 transcript:Ma08_t02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSGAVGVGRQRLRYRKLVNSSFADLQKPQVELDGKACASVGQSGLMALYDTLFSQLDVTSSQLLVTDSDFKDPDFRMQLSQTVNTLLALRVIPVFNENDAISTRKAPYEDSFGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPGEPHSKLIHTYVKERHQSEITFGDKSRVGRGGMTAKVKAAVYAAYAGIPVVITSGFTTDSITKVLKGERVGTLFHQDASKWMLLGEDGAHNMAVSARECSRRLQTVSSEARKKILLDIADALEANEELIRTENEADVAAAQQAEYEKSLISRLTLKPGKISSLANSIRILADMEDPIYRVLKRTELAEGIILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNAILHKVITGAIPDFIGQKLIGLVTSRDEIPDLLKLDDVIDLVIPRGSNKLVDQIKESTKIPVLGHADGICHVYVDKSADMEMAKHIVLDAKIDYPAACNAMETLLIHKDLLKTEGLNDLIVELKSEGVALFGGPRASLEFDIPEAPLLHHEYNSMACTVEVVDDIHAAIDHIHHHGSAHTDCIIAKDLEAAEIFLHQVDSAAVFYNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILKGNGQIVDGDKGVVYTHRNLPV >Ma09_p05850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3777985:3780446:-1 gene:Ma09_g05850 transcript:Ma09_t05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIARLFTVRSATITYLPTPLLSSPTHALFPSMKLLRSSSAMGRAARWLRSFLGGKKDTKGQKDDASTSGHDTRDRKRWSFAGPQQAASMGHSPVTAAWLSTFHDDSEEETKHAIAVAVATAAAANAAVTAAQAAMVRLKSLGTERTTPYGIYERWAAVKIQTAFRCYLAKKALRALKALVKLQALVRGYLVRKQAAITLRRLQALVRAQSVARPREARALPQQGRRLDAQVCHRRSFERFNVRDARCKPTGSEESHGLDRSPKIVEMDTFQLKSKSFRRTGSSSFNALEEPTVPLSSPLAHKIPSRLSIPSCRSSRDYHYYRNPERSPCSKTAQNTPRLNPQTPPRYMNITADVVVWHTPSPANSPNYMANTSSSAAKLRPQSTPKQRPEKANRRKKLYANETVPGTSLSDTWSPLQEHEAYCNLKYGMVGRINRTAKMVKEAARDYYLDSMW >Ma09_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3777985:3780471:-1 gene:Ma09_g05850 transcript:Ma09_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARWLRSFLGGKKDTKGQKDDASTSGHDTRDRKRWSFAGPQQAASMGHSPVTAAWLSTFHDDSEEETKHAIAVAVATAAAANAAVTAAQAAMVRLKSLGTERTTPYGIYERWAAVKIQTAFRCYLAKKALRALKALVKLQALVRGYLVRKQAAITLRRLQALVRAQSVARPREARALPQQGRRLDAQVCHRRSFERFNVRDARCKPTGSEESHGLDRSPKIVEMDTFQLKSKSFRRTGSSSFNALEEPTVPLSSPLAHKIPSRLSIPSCRSSRDYHYYRNPERSPCSKTAQNTPRLNPQTPPRYMNITADVVVWHTPSPANSPNYMANTSSSAAKLRPQSTPKQRPEKANRRKKLYANETVPGTSLSDTWSPLQEHEAYCNLKYGMVGRINRTAKMVKEAARDYYLDSMW >Ma09_p05850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3777985:3781464:-1 gene:Ma09_g05850 transcript:Ma09_t05850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARWLRSFLGGKKDTKGQKDDASTSGHDTRDRKRWSFAGPQQAASMGHSPVTAAWLSTFHDDSEEETKHAIAVAVATAAAANAAVTAAQAAMVRLKSLGTERTTPYGIYERWAAVKIQTAFRCYLAKKALRALKALVKLQALVRGYLVRKQAAITLRRLQALVRAQSVARPREARALPQQGRRLDAQVCHRRSFERFNVRDARCKPTGSEESHGLDRSPKIVEMDTFQLKSKSFRRTGSSSFNALEEPTVPLSSPLAHKIPSRLSIPSCRSSRDYHYYRNPERSPCSKTAQNTPRLNPQTPPRYMNITADVVVWHTPSPANSPNYMANTSSSAAKLRPQSTPKQRPEKANRRKKLYANETVPGTSLSDTWSPLQEHEAYCNLKYGMVGRINRTAKMVKEAARDYYLDSMW >Ma06_p29650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31023371:31031249:-1 gene:Ma06_g29650 transcript:Ma06_t29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMKERKVNVSGKPKHSLDVNRSNGGAVDKGARTAATVRRLKMYGSRPKRDRKGKILKHELQSKELPSTRIEPDRRWFGNTRVVNQKELEFFREELQNRLSNNYNVILKERKLPMSLLNDHQKQAKAHLLDTESFEDAFGPKRKRKRPKLLAFDYESLVKKADSSQDVFEQKSANFLSKEVDKEDGLRDLVRHTMFEKGQSKRIWGELYKVVDSSDVVVQVLDARDPQGTRCFHLEKHLKEHCKHKHMVLLLNKCDLVPAWATKGWLRLLSKEFPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNKDSETDIVLKGVVRVTNLEDASEHIGEVLKRVKKEHLQRAYKIEDWADENDFLVQLCKSTGKLLKGGEPDLMTAAKMILHDWQRGKIPFFVPPPQQEGNSSENSDAPETTTGSDAPEGSADPTISADRTTAAMKAIAGIISSQQQMLVPSRKGLFGDELENEKLEETLEDDSDQKC >Ma07_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10723298:10725484:-1 gene:Ma07_g14320 transcript:Ma07_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSFVVRSRVSARTILMALRPSKKQITESEASPSMRQVASLRRRSSCTPRLPVSSHCLVSMLPLHSAIASARLRSVLAAESQRWGLVPQGISMPL >Ma03_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2464794:2464937:-1 gene:Ma03_g03710 transcript:Ma03_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDCVSLGTTRAKLLSEDEQLQLVITSKHTSTSDCTENISTRTLEQ >Ma03_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10697779:10700429:1 gene:Ma03_g13600 transcript:Ma03_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIKSIRWEGGLRSKQGGGDESRMTRGKQKIEAQRRNAERNQKPKGSQLEARAVGLKVICPICKVQLANHNQLVDHYGSKHPKEKPPSESE >Ma07_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10298464:10303435:-1 gene:Ma07_g13720 transcript:Ma07_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEYRRDQSISLLIIVVVAVLSLVSLTLAFSYYCYISNKVAKHLESLSKSPKEEREKAAPLSGSRSGEEAPVVVSERGVQVFTYKQLHSATAGFGKGNVVSHGSSGAVYRGVLADGREVAMKIMGRPGMPGEEEFKLEVELLTHLRSPYLLMLIGHCSDGGHRILVYEFMANGGLQEHLYPRGGYCGGTSKLDWQTRLRIALEAAKGLEYLHEHVTPPVIHRDFKTRNILLDQKFHAKVSYFGLAKLGSEIAGGHVSKRILGTQGYVAPEYALTGNLTTKSDVYSYGVVLLELLTGRVPVDMTRPPGEGVLVSWALPLLSDRENIVQIMDPALEGQYSVKDAVQVAAIAAMCVQAEADYRPLMADVVHSLLPLVRKRSSAKHF >Ma03_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26699065:26701507:1 gene:Ma03_g21770 transcript:Ma03_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIWFSSFFSCIFLLLSLLSTSNPRFAVGANQLNRDAFPEGFVFGTAASAYQVEGMALEGGRGPCIWDAFVRVPGIIPNNATADVSVDEYHHYKEDVDIMKKFNFDAYRFSISWSRIFPNGTGEINWQGVDYYDRLIDYLILQGITPYANLYHYDLPLALHKEYLGWVSPKIVDAFANYADFCFERYGDRVKNWFTFNEPRVVSALGYDNGLHAPGRCTDCKVGGNSTTEPYIVTHNIILSHAAAVKRYREKYQVDQKGKIGIILDFVWYEPHTHSANDEAAAQRARDFHLGWFLHPLTYGYYPKSIQEIVKDRLPKFTDDQVKMVKGSYDYVGVNQYTAYYMKDNGVTNPKPVSYQDDWHVEFKYDQDGVPIGPRANSYWLYIVPWGMYKAVTYVKENYGDPIIILAENGMDQPGNVTLPEGLRDAERINYFKSYITELKRAIDDGATVIGYFAWSLLDNFEWRIGYTSRFGLVYVDFKTNIRYPKQSAYWFKNMLKR >Ma04_p35330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34150921:34158039:-1 gene:Ma04_g35330 transcript:Ma04_t35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDWVAGEAPSDEVIDSKILPYCSIDKKDKKTLGELEQEFLQALQAFYYEKKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQKFLEASMAYVSGNPILTDAEFDELKLRLKKEGSSIVQEGPRCSLRSRKVYSDLNVDYFKMFLLNVPAAVIALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWLAQVITNAILKDFLILKGPCPNCGTENVSFFGTILSVPSGGSTNTVKCSNCGTTLVYDSRSRLITLPEPREA >Ma07_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26453926:26455070:1 gene:Ma07_g18670 transcript:Ma07_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHEEYDLKEFNPIDCKLGQAVGREGCSSITRVHEDYYLNLIHTFEEFEDLLTYSEKYRITYLKEAMMNLSEAYFEESKWSAQHCVPTLEEHLPISLVSSTYPILECASFVGMGEMCFFRWNVSPGKLKPVLSMENKNLCLTVVQSNLQVAGMCYKIILAICNFHSFQSCQLEKGS >Ma05_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3790608:3795003:1 gene:Ma05_g04960 transcript:Ma05_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRRLTESTHFAALRSSSQKEASTSPSNQISRRGYHIDLGAREKALLEEDPALKRFKSYKNNLKRVSKIGDVLTILVVAACTYELYAVATVRKDQRQQH >Ma05_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1268552:1274050:1 gene:Ma05_g02020 transcript:Ma05_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase [Source:Projected from Arabidopsis thaliana (AT1G75200) UniProtKB/Swiss-Prot;Acc:Q8RXN5] MAKTPLDPPLSSSRLALVALLSATSVFFLWKSRRRLRVLLSPYSSMNTPKPSPRGKIIYASATGTSRSLAGRLSDCLRSHGLAFDLVDPRHYEPEDLPKEALVLIVASTWEEGRPPADAQFLARWLAESAADFRVGSLLLAKCRFAVFGVGSKSYGDSFNAAAKDFSKWMRALGASEMAPVWEGDVDSGDVDEVFEVWSRRIVALLKGEDLKKESGHSGSMVESVGFDESDEEVEEEVLEPVVVDMEDIAGKAPSRRPSVALTNGWENGVKDMVTPVIRVNLEKQGYKIIGSHSGVKLCRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWRWKMDNPLEIVDVAIDEHTKMIKQMKGVPGVKPERLSEGLSPRHCALSLVGEPIMYPEINTLVDELHRRHISTFLVTNAQFPDRIKMLKPITQLYVSVDAATKDSLKAIDRPLFGDFWERFLDSLKALQDKEQRTVYRLTLVKGWNAEDVEAYANLLGIGKPDLVEIKGVTYCGTSATSKLTMDNVPWHSDVKAFSEALAMKSSGEYEVACEHAHSCCVLLAKVDKFKIKGEWYTWIDYERFHELVASGKSFKTEDYMARTPSWAVYGAEEGGFDPDQSRYRKERRHGAASVQT >Ma09_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36652455:36655450:1 gene:Ma09_g25070 transcript:Ma09_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKQTTPESDSQAPPPLARVAAAAAAQDGIQPSSPNFFFSSAAAANPSFGSHRRIAIAVDLSDESAYAVKWAVQNYLRPGDAVILLHVRPTSVLYGADWGSVDLSVSEEAAEEGAGSDVSQQKLEEDFDAFTATKAQDLARPLVEAQIPFKIHIVKDHDMKERLCLEVERLSLSAVIMGSRGFGASRRTGKGRLGSVSDYCVHHCVCPVVVVRDEGAAAGADAGVVAKGACPSMAKGSAEGGELHPVPEEDEYHDAYMEHKDT >Ma03_p29930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32760747:32761281:-1 gene:Ma03_g29930 transcript:Ma03_t29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVGTPSGSSLLQKSASEEDLQAVMNLKIQKRKISNRESARRSRMRKQKHLDGLTAQIGQLRKENSQILTTFTLTTQRFFAVQAENSVLRTQMVELSNRLQSLNDILHCLKAKYSISSGPMITDDYINPWNLMRMNQPIMASAENMFQY >Ma09_p27150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38210849:38212946:1 gene:Ma09_g27150 transcript:Ma09_t27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVADVVLDLNLCAVRAVGGSLKEAAALESGDGRVAKLEEYVRSLEEERRKIEAFKRELPLCMILLTDLIEGLKQELKQCRDGRPTHVFEEVIPVKRKREDEGGLKPEADCKDKMSWMSSAQLWSVNSREDKSDGDRNVTEERSGSRDQREEKEKEDNLFLESTSRNGRGAFVPFKGISGPKIKSKEETKTTVMLPDLSLLSPAGNSASSPVSATVEDHPVGGSGSKGVGRAPVSAPTISGAHLSLQVQQQQQQQQLPRKARRCWSSELHRRFVLALEQLGGPQVATPKQIRDLMKVDGLTNDEVKSHLQKYRLHTRKMPNATSAISQPVMVVRGLCVPDENYTTLPRSASHSGSPESPLQLANSNHEISANAGDSCEEVDEKSENYNLR >Ma05_p25610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37490928:37495000:1 gene:Ma05_g25610 transcript:Ma05_t25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASLISEEHDRIYGKWFSFVDSDRDGRITETDAICFFAMSNLPRRVLKQIWATADSKRKGYLGFKEFATAMKLVALAQAGNEITQDSLAHADLQKLNPPMMGGLDTLLNINKHSTKVIDGLKRLYSQKLELLEITYQFNEFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKCDYPGACIGLEPTTDKFIVVTSGPNERTIFGNTIALQTDMPFTGLTKFGTEFLSKFECSQMPHSLLERITFVDTPGVLSGEKQRIQRSYDFTGVTSWFAAKCDLIILLFDPHKIDISDEFNNVIRSLHGHEDKIRVVLNKADQVDTEQLIRVNRALIWSLAKVLPNRPEVMRIYNGSFNDKPSNNVVVDPILKKVFERDQNDLLSDVRDIPNNVCDLKIRAFVRRAKAAKVHAYIISHLKNKMPLILGKATAQRRLIDNLENEFVEVQRKYNLPLGDFPDVQRFKEALSCHNIDEFKKLKPKLIRAVDVMLTCDIPQLLRTFGNSYES >Ma10_p30130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36488937:36498461:-1 gene:Ma10_g30130 transcript:Ma10_t30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDLDRHVHTTNETSRAPRHQLGTRYSLSHSNSNRSRSIALSPSGSSASPPSLVPSPSLRPSSLAAPVHSPACSLPASTTYHRYITLPASPDRLLSTLQSFEGLTALPNLAAAIASFPVHLRLRQTPPSFPPFRSKVRPCPWQRPPPFPQPPLAKGQAASVEAAIALLKVRWKILRNLNVGLDHAAQTVVACVVLHNMCQFTKGPEDEGGYMWRDSLESPQLASLVDSERSLNYLGESLREALAEDLYEEACLRSKVNNCAGTSFIGPIASCNLIGDSVATP >Ma05_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:883482:893996:1 gene:Ma05_g01460 transcript:Ma05_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MTNGKDKTSAGSSNSTAISMTKTRKKRRKGESALNNEFLLIKKRVRYLLTRINYEQSLIDAYSNEGWKGQSLEKIRPEKELERAKSEILRCKLRIRESFRHLDSLLSEGKLEENLFDDDGQIDSEAIFCAKCGSKDLSADNDIILCDGNCDRGFHQKCLNPPLATDEIPPGDQGWLCPACDCKVDCLDLLNEFQGSDLSIEDTWEKIFPEAAVVANGNKQFDDSNDSSDDSEDHDYNPDTPEVGIEDQEEGSSSEESDSISLSEEAPGSPRHNNFNDLGLPSDDSEDDDYDPERPDPDKDVQKEGSDSSESDFTSDSDEFCVELSKSTNINEESSFSLSEPKLLDGSCEGRDETHESPINAKPPPVMEGEPGQVNTFPVSKKREREHLDYEKLYDEAYGKESPVSSKDEDWSEESAAKKAKKDDDKREDAKLPGAKAQSANNRRSLGIKGKVEDDNEIDLPNLDQPQVSKATSESTPDKGHENLVEQCDGDQLLGLDGITVTYSARKYFGQETSQKLQEIFKENQYPSRETKENLAEELGVTAKRISKWFENARHNLRVSAKGSDLPGTSGAVSDKGTDHARTSMYKGNPFMEPSRKISESSKGNAESSAGSTEKISCKANNHKDQVGSGAADKLKRTVDSKRQKAIARELRKIRNGR >Ma05_p01460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:883445:893996:1 gene:Ma05_g01460 transcript:Ma05_t01460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MEKDPKSDVEVNHSRECKVAALGHADDGRKTNANHKKNDRCTPSAKHNSKKPVRKRKEVSQKVNSKRYSLRSSLDDVRILRSMTNGKDKTSAGSSNSTAISMTKTRKKRRKGESALNNEFLLIKKRVRYLLTRINYEQSLIDAYSNEGWKGQSLEKIRPEKELERAKSEILRCKLRIRESFRHLDSLLSEGKLEENLFDDDGQIDSEAIFCAKCGSKDLSADNDIILCDGNCDRGFHQKCLNPPLATDEIPPGDQGWLCPACDCKVDCLDLLNEFQGSDLSIEDTWEKIFPEAAVVANGNKQFDDSNDSSDDSEDHDYNPDTPEVGIEDQEEGSSSEESDSISLSEEAPGSPRHNNFNDLGLPSDDSEDDDYDPERPDPDKDVQKEGSDSSESDFTSDSDEFCVELSKSTNINEESSFSLSEPKLLDGSCEGRDETHESPINAKPPPVMEGEPGQVNTFPVSKKREREHLDYEKLYDEAYGKESPVSSKDEDWSEESAAKKAKKDDDKREDAKLPGAKAQSANNRRSLGIKGKVEDDNEIDLPNLDQPQVSKATSESTPDKGHENLVEQCDGDQLLGLDGITVTYSARKYFGQETSQKLQEIFKENQYPSRETKENLAEELGVTAKRISKWFENARHNLRVSAKGSDLPGTSGAVSDKGTDHARTSMYKGNPFMEPSRKISESSKGNAESSAGSTEKISCKANNHKDQVGSGAADKLKRTVDSKRQKAIARELRKIRNGR >Ma04_p38060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35745813:35746639:1 gene:Ma04_g38060 transcript:Ma04_t38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVDLRLRLGSSSGGGDDDEPSTASCNSRVARLFESSREYHHQQQQPQQITLLYDGRICACDVTEMQARAIIAMAKREMDGHMKKTTQAHRQPIESSLPPSSPRPVERLLINPELSMKRSLQRFLHKRKSRLHALSPYGHGPQNLYSIKS >Ma05_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2971610:2980533:-1 gene:Ma05_g03970 transcript:Ma05_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECCEGSFRYDERKERRSDVENSEDERRRTKIGSLRKKALHASTKFTHSLKKRGKRKVDYRGASFSIEDVRDAEEERAVHSFRQELIANAILPKKHDDYHTLLRFLKARKFDFEKAMQMWAEMLQWREEFGTDSILEDFIFEELEEVLHYYPQGYHGVDKEGRPVYIERLGKVEPNKLMQITTIDRYLRYHVQEFERALHEKFPACSIAAKRHIGSSTTILDVHGVGLKNFSKTARDLLLHMHKIDGDYYPETLHQMFIVNAGHGFKLLWNTVKGFLDPKTTSKMHVLGTRYQSKLLEAIDSSQLPEFLGGSCTCYNEGGCLRSNKGPWNDPVIMKIVNSVGAAFTWEIGQVPDGEQTNASYPRRHPLKRRNSDTSTADSGSDADDLGSPVISRTAEYTHLAPVHEEVRAADSTAYYNCNDHFVSVPTPVESGGEGERYAVMSSNEVKDYCCAFATIKLHSPGKFSTDGHNAVKDALEEGKLQYFARAVIAFLIKVLSFFHIFRSRPDRRLENVHPSDALSLIPDNNSTTEAAKEDKVTPLIERLEKLESMLNELSRKPAEIPQEKEHAIRESMNRIKSVEFDLHKTNKVLQATLMKQLEIEATLETLNGKSIGRRKFC >Ma05_p03970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2971610:2980533:-1 gene:Ma05_g03970 transcript:Ma05_t03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAECCEGSFRYDERKERRSDVENSEDERRRTKIGSLRKKALHASTKFTHSLKKRGKRKVDYRGASFSIEDVRDAEEERAVHSFRQELIANAILPKKHDDYHTLLRFLKARKFDFEKAMQMWAEMLQWREEFGTDSILEDFIFEELEEVLHYYPQGYHGVDKEGRPVYIERLGKVEPNKLMQITTIDRYLRYHVQEFERALHEKFPACSIAAKRHIGSSTTILDVHGVGLKNFSKTARDLLLHMHKIDGDYYPETLHQMFIVNAGHGFKLLWNTVKGFLDPKTTSKMHVLGTRYQSKLLEAIDSSQLPEFLGGSCTCYNEGGCLRSNKGPWNDPVIMKIVNSVGAAFTWEIGQVPDGEQTNASYPRRHPLKRRNSDTSTADSGSDADDLGSPVISRTAEYTHLAPVHEEVRAADSTAYYNCNDHFVSVPTPVESGGEGERYAVMSSNEVKDYCCAFATIKLHSPGKFSTDGHNAVKDALEEGKLQYFARAVIAFLIKVLSFFHIFRSRPDRRLENVHPSDALSLIPDNNSTTEAAKEDKVTPLIERLEKLESMLNELSRKPAEIPQEKEHAIRESMNRIKSVEFDLHKTNKVLQATLMKQLEIEATLETLNGKSIGRRKFC >Ma10_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23957328:23957696:1 gene:Ma10_g09790 transcript:Ma10_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKVVKEGPWGANAGNAFDTGRVDRFTKVKIYHGDVIYGLELTFVVGGKPQPPMLIGTKKRASQE >Ma02_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21833568:21835756:-1 gene:Ma02_g13450 transcript:Ma02_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLVEDMVYPGGPNYVQNFTSSDDRKDHYGGFYQHQMGECMMGVGDLVDLPPEKIAEAADEESDEDIDIEELERRMWRDRMRLKRLKEQHQNKNKEQGDASKQYQSLEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPVAIAKYQADNVIPGCSSDFSSGTASPHSLHELQDTTLGSLLSALMQHCDPPQRRFPLEKGIPPPWWPTGREEWWPQLAIPSEQGLPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKESATWLAVVKQEEDMFMKLHPDACLPPSSGGGVMGDFSFNSNSSEYNVEGVDESKSEDVDYKLDADSNAFNFGACYGNGKFIRSPMKEETDMEIIQKRTAAESELVINQRVYTCDNVVCPHNDIRQGFPDRNARNSHQYFCKYQKTHPSGIGMTNNSFHVTKNKPSIMPLPLNSQPNPVNISDLGIPSDGQKSIDVLMNFYDNNINGGKNMDLGGVTMLEEVGDLFEEVTSFVEQAQYRQESIVPFEQEFNNQPLEVSGDFSIGSGFSMPVMNSSDSMHGRIEHSLQNQDGFNWFY >Ma07_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29231783:29239003:-1 gene:Ma07_g21150 transcript:Ma07_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein BIN4 [Source:Projected from Arabidopsis thaliana (AT5G24630) UniProtKB/Swiss-Prot;Acc:Q9FLU1] MSESREGSPDWLREFQPPSRTIVTLSSGSDSSSEKSPTKSTCLDLEEPGRHQRQQDTFQIDSGEDSVFIETKKPTNLETENDQILSQDALDVNEEGTAEQDIIRRKPAGPPVSSRLPLMLPDKVQRSKALIECDGDSIDLSGDVGSVGRIVISNGPTGNHEMMLDLKGTIYKTTIVPSRTFCVVSIGQSEAKIEAIMNDFIQLEPKSNVFESETMVEGTLDGFSFDSEEDIDRVAKTSAHINDQKDENGDNTETKTKETMHKKAKTSARSAKKGTRKPQVSKRTKKSKK >Ma05_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37086489:37086602:1 gene:Ma05_g25010 transcript:Ma05_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGGRGSLVKELQARIYIARRCVTMLLCWYIHEDD >Ma06_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12139023:12140914:1 gene:Ma06_g17890 transcript:Ma06_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral meristem identity control protein LEAFY (LFY) [Source:Projected from Arabidopsis thaliana (AT5G61850) TAIR;Acc:AT5G61850] MDPGDAFSANVYKWEPREAPPHPCRLLQPLPPPPPPPPQPPMAAAQVEEQRPRELEEVFSGYGVRYATVARIGELGFTASTLVGMTEAEVDDMMATLAHLFRWDLLLGERYGIKAALRAERRRLVDSRLLRHHRHDDNGDGDPRRRLLLPSDHLHGNNNALDALSQEGLSEEPVQHDKEAAGSGGEAAAGVAKKDSNGRQQRSSKKHKSNGHNKKSNKRRSFQEGDEEDEDDDDDDNDDWGSGSETSEKSRRAERQREHPFIVTEPGEVARAKKNGLDYLFHLYDQCRHFLLQVQALAREHGHKCPTKVTNQVFRYAKKVGASYINKPKMRHYVHCYALHCLDEEASNALRRAFKERGENVGAWRQACYKPLVAISARHGWDIDAVFNAHPRLSIWYVPTKLRQLCHLARSNANASSSSVLAATSDGLPAPPPMF >Ma10_p28030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35050921:35053207:-1 gene:Ma10_g28030 transcript:Ma10_t28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEINDELAIRFRHNVVFYHLHLFSNPSAVGAQTASDISRTKERVWSGLATRRPWRELADVRAIRLPPILGVAYLRIRSNAAYFDMNYVIVVHPVVFLSPLWHPTSLMVFLYFVRNEPLVALVRTCVNDRTILIALSMVTLVLLLRTKATSDVLISRLVGLRMVLIHAALLRTEDLVLKEEAAGSETWYAAVPQSQPISAAFTDAANPNRPAIAE >Ma08_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6637297:6639154:1 gene:Ma08_g09160 transcript:Ma08_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNSSFTGASSACLLQRERSRRRRLHVPGVTCRQGSNGDRSDAARQQQSPLLLDRRDMLLGLGGLYGVTAGPKVLAAPIMPPDLSKCYPATAPALDNKCCPPYVPGETISEYSFPATPLRVRRPAHIVKDDQEYMDKYKEAVRRMKNLPADHPWNYYQQANIHCQYCNYAYHQQNADDVPIQVHFSWIFLPWHRYYLHFYERILGKLIDDDTFTIPFWNWDTKDGMTFPAIFQDAASPLYDPKRDQRHVKDGKILDLKYAITENESTASDSEIIRENLCFIQKTFKHSLSLAELFMGDPVRAGEKEIQEANGQLEVIHNAVHSWVGEPSGNYEDMGDFSTAARDSVFFCHHCNVDRMWDIYRNLRGNRVEFEDNDWLDSTFLFHDENEQLVKVKMRDCLNPTKLRYTFEQVPLPWLGKINCQKTAETKSKATTELSLNRVNEFGTTAQALDASNPLRVIVARPKKNRKKKEKQEKVEVIQIKDIKVTTNETARFDVYVAVPYGDL >Ma03_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28074652:28077417:-1 gene:Ma03_g23540 transcript:Ma03_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRHEICKYLFQEGVLYAKKDYNLAKHPDIDVPNLQVIKLMQSFKSREYVRETFAWQHYYWYLTNDGIEYLRTFLNLPSEIVPATLKKSARPPPTRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRAGPPGDFGDKGGAPPEFQPSFRGTGGRPGFGRGGGGYGSGAPSASLE >Ma10_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27345040:27358326:1 gene:Ma10_g15120 transcript:Ma10_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVQSCRVPYYLQFGNLPYGFRANTWLVPPVAAQSPATFPSLPAEDETWGGNGGGWFQDSRSDMMPWANEFLFLKSMPCKTAEERQIRDRRAFLLHSLFVDVAILRAVAAVKQVMDERKNAATVGVDEILQFETVGDFSITVTKDASDASCKVDTKIDGSKTTGIDAKHLTERNLLKGITSDENTAAHDIAMLGVLNVRYCGYITVVKVKHHEKSELDLPLQGVEIKDHPEGGANALNVSSLRMLLHKNHTPREKRLYNHLQSSRHEELSAAKKIVEKLLKDSLVKLEEEEAECNVSVRWELGACWIQHLQDQNNGEKDKKQASEKDKKQITTKTKSEIRVEGLGKPLKILKNPKKKPDSDEEKTLTIDRKSSDEMHEKQNTKLPIKEPKAESKETENSYKLKDLLPEPVYTRLLESNTGLHLKSPQELTEMAMRYYDEVALPKLVSDFGSLELSPVDGRTLTDFMHTRGLRMRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHVVRAVIAAVSDTGDLSILMAATLNMLLGLPDSGVSHSAIHVHFLVWRWLEVFLRKRYNWELTISNYNDIRKYAILRGLCHKVGIELAPRDFDMDSNFPFDKSDIISLVPVHKQVACSSADARQLLESSKMALDKGKLEDAVNYGTKALAKLIAVCGPYHRMTAGAYSLLAVVLYHTGDLNQATTYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNIHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAIEQQEAARNGTRKPDASIASKGHLSVSDLLDFIDSNQDGRGRDAESLKRKNLGLKVKVQSSQNLNVADSLSKDSVATISDEVKQVTDGSDTQDDENMSSPGVESKHEDAVAKRQLFVSQQSKGTAEQKVASFSEDVREINTEAEDGWQPVQRPRSIGGSSQRIKHQRTSTWKTYNYQMNDVPSETVQSKPQFSYLNNGYYFLKKKIVIPGSFNGNLNMQVQSPDTRSGQKAYKAVTYRVKSVPSSTNPEISHNSWSAVERTTAPLDAHAPYYRHDSQVLENQKNLIGGVSEPRNNLVLSFSNSPSYKDVALAPPGTIAKIHSRKFQENMPLEQELSIGGNASEIKESFLAEEHTENAAELPEISNITQEKDTVQDVFLDSDKKVEVDHEEERKEDCETEQLLEPSSSDLEVASCSSMLTKNIIDNCVSSNEVQGVEQNENHDQNLSTNTSDRKKSECPITAESKEDNHDEASCTNVGISSYSSVHQFNFKKVLIPEKTGGDYPTMELPPSNYDGREVSSKKLSASAAPFSPFPATALGPVPVTVGLPPNGTISAVTPWPLSASLHASPTAVMPMVPPICTSPHHPYPSSPRPSHILRPLPFIYPPYTQPQVIPNTTFAMNSNMFHGNHYPWQCNIGANVPDFAQGSVWSGSHPVDFSSLPPIISPTSESVLEPIITSHLRTDVSLDLPSDNNTEEGTKTEENNEISQIIDICKPLDGNWLEKQESEESHRNNTKITDLESETVFRQDAQHSGGRHVFRSSKKYEGEGSFSIYIKGRNRRKQTLKLPISLLNRPYGSQSFKVIYSRVVRGSDVISATNISSSENATSD >Ma11_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25259001:25260865:1 gene:Ma11_g20880 transcript:Ma11_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGKCPANYVPLSPITFLERAAAVYSDRTSVVYERTRFTWKQTYERCRRLASSLRKLSISKNDVVSVLAPNIPAMYEMHFAVPMAGAVLNTINTRLDCKNIATILKHSEAKVFFVDYQYVPLAVSALKLLLGDADTSQLPLVVVIDDIDAPTGTRLGELEYEQLVAGGHPFHELPRLDDEWDPIALNYTSGTTSAPKGVVYSHRGAYLSAISLLLQWGVGSEPVYLWSLPMFHCNGWTFTWGVAARGGINVCIRNTSAAEMYRAIADHRVTHMCCAPIVFTILLETGQSERPPITSPVQVLTGGAPPPAPLLEKIERMGFKVTHAYGLTEATGPALVCEWRAEWDRRNPEERAALKARQGISVLTLADVDVKDSKTMASVPRDGRSAGEIVLRGSSIMKGYYKNNKDTAEAFKDGWFFTGDVAVVHPDGYLEIKDRSKDVIISGGENISSVEVETVLYKHPMVMEAAVVAMPHPRWGETPCAFVTLKKGCGGGAEQSIKEEDIIAYCRGSMSHFMVPKKVVFVDELPKTSTGKIQKFQLREMAKRHKVAERPPKTKAGCTEAQLPYKAKHQVEPQREQAVAMSRL >Ma01_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12592544:12593808:-1 gene:Ma01_g17220 transcript:Ma01_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP111 [Source:Projected from Arabidopsis thaliana (AT1G08560) UniProtKB/TrEMBL;Acc:A0A178WAC8] MNDLMTKSFYSYADLKKEALKDLESGAADDVAIEMAAGDADGHLRHFFEEAGLAKEEMAAIRDLLARLQAANEESKSLHQPEALRGLRDRINADIVRVLKTARGIRGRLEAMDRSNAANRRLSGCREGTPVDRTRTAVTNGLRIKLKELMMEFQALRQRMMAEYRETVERRYFTVTGEAPEEEVIERIIANGESEGMVKKAMLEHGRGKVLATVHEIQDRHDAAKEVERSLLELHQVFLDMAVMVEAQGEQMDDIEHHVASAAHYVKDGTRELKCAKEYQRSSRKWLCIGIILLLLIILVIVIPIATSFSKS >Ma05_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36622651:36628361:1 gene:Ma05_g24360 transcript:Ma05_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHCQSPPWVVLRVVRWLSRIAPPSPATAEPVIRVSNNVARLGKPKEGPKPRQLLSLPPFPSTGTDPLPGRKKDPAASGQPRRVTAISWVKHYFADIPPEVIQSHFNKGLVHVESSNHDFQTEENYLMHCLKKIKHNHIMEPGMKIHLPVSAAETKIIKRYDTIPTATLHPNADEIEYIRRLVIHKDSAIIVLNKPPKVPMKGNLPVHNSMDILAAAALSYGSDQGPKLVHRLDRESSGLLLLGRTKESLTRLHWLFTNVNLAKSSSEIWNNACEATTQRYWALVIGSPKEREGIISAPLTKVLLNNGKAERIILAHPSGIDGSQDAITEYRVMGPTINGCSWVELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPRVDYETLSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCREMVIPNISKFLGSSGEWLVECNPGSTSKPDLLRIVAPMPSHMKISWNVMSSFLV >Ma01_p15260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11056447:11058904:-1 gene:Ma01_g15260 transcript:Ma01_t15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMGRKQGRSGEKSNFAVVCSLLSQYIKEKGSVVADLGLGVPPPPLDAPKGKSEAFRPPPTTMMLLPGADVSGGEGEGERTGEEELRVDTMELFPQRAGFGPSSVTALAADGKPGDASDVREPKRAQLTIFYGDKVLVFDSFPSDKVKNLMQLASKVTSTMQNSCYVEPSSSALAAAVVDHHPTNLSNQETKFTTSSVSNSVAAHSDLERTAQSDLPIARKSSLQRFLEKRKDRISAKSPYQVTGSSESPAPVKPEDGKPWLGLGQ >Ma11_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26784443:26785629:-1 gene:Ma11_g23460 transcript:Ma11_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSVHQKSPEQAKAKATKKKKPIKVVYISNPMRVTTSAAQFRGLVQKLTGRDSNVADMDIACSPAGGPSADREGMTPRDLSSGSGSLGPCKGAVATPFEMLDDDGFSSQMLEDLSGLPPWPPLCCESSVGGFWRLGQV >Ma11_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22079370:22079522:1 gene:Ma11_g16590 transcript:Ma11_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTILNVAGNAVKFAKEGHVSITASVAKPDFSKDPQTASDEHFYLFVQVS >Ma11_p10630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10136469:10151976:-1 gene:Ma11_g10630 transcript:Ma11_t10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETHSHTTRGLLCHAAAGASAGAIAATFVCPLDVIKTRLQVHGLPKIDSNGAKGSIIIRSLEQIVKREGVLGMYRGLSPTVLALLPNWAVYFTVYEQSKSLLSSNDGNHQLSIGANMFAASGAGVATTIVTNPLWVVKTRFQAQELRVGMVPYQGTLNSLRRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKCCLAERDNTTVDSLTARDVAVASSISKIVASTLTYPHEVVRSKLQEQGFHAEMQYKGVIDCIKKVFRKDGISGFYHGCATNLLRTTPAAVITFTSFEMIHRFLTNLFPPESNPHVL >Ma11_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10136469:10151976:-1 gene:Ma11_g10630 transcript:Ma11_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETHSHTTRGLLCHAAAGASAGAIAATFVCPLDVIKTRLQVHGLPKIDSNGAKGSIIIRSLEQIVKREGVLGMYRGLSPTVLALLPNWAVYFTVYEQSKSLLSSNDGNHQLSIGANMFAASGAGVATTIVTNPLWVVKTRFQAQELRVGMVPYQGTLNSLRRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKCCLAERAVASSISKIVASTLTYPHEVVRSKLQEQGFHAEMQYKGVIDCIKKVFRKDGISGFYHGCATNLLRTTPAAVITFTSFEMIHRFLTNLFPPESNPHVL >Ma06_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11955821:11957116:-1 gene:Ma06_g17620 transcript:Ma06_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIFLLSFFFLAVLLDSARSGDTTGQLLRGINDYRVSLNLSSLTENENADCLAAQLASAFKGQDCSNTTGSDTVPGTEEQFPDFPDYLTACHLNATVTRDGFIMPACVPDLAPDLVLANYTKSQYNQKLNDSSYAGIGIAEEGNWVVVVLTTNTATGNYASAADASAGSFISVADHNYVTLLLLGFAIYLVG >Ma06_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:355377:359002:-1 gene:Ma06_g00450 transcript:Ma06_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAERARGLRQPWRRLDDKVVMVTGASSGIGRDLCLDLARAGCRVVAAARRTDLLRSLCDEINGVGACSVVRSVAVELDVSAQEAVIAAAVQTAWDAFGRIDALVNNAGIRGAVNSPLDWSEEEWSNNIRTNLTGTWLVSKHVCKRMCDAKQKGCVINISSIGGIDRGHLPGGIAYSASKTGANAITKVMALELGAFNIRVNSIAPGLFRSEITAGLMEREWLNRVAERTVPLRTYGKSDPALTSLIRYLIHDSSAYVSGNIFIVDAGVTLPGIPLFSSL >Ma08_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7397273:7398935:-1 gene:Ma08_g10160 transcript:Ma08_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTPRPRMEETRDGLNHVHAPHLSPAQATPSIHSSEMASFVPLCFFLHLLVLPAARSSSYPAIFGFGNSLTDTGNLVFFSGGTEAASGLPYGETYFGHPSGRFSDGRLVIDFIAQALRLPLVPPYLAGNSSEDFKHGANFAVAGACALGNAFFEAEGLNVTWQDYSLSTQFKWFEQLLQRSTSSLHSSQDTISKSLFLMGEMGVNDYNHLLHEKAVKDRIRSYVPTVVQAIGSTVNSLIRKGVETVMVAGIIPLGCSAVYLTVFQTQSAAEYDPRTGCLKWANELSHYHSLRLLKELNRIRKQHPHSKIFYVDYYTALMPVYQSPEQFGMKEPLAACCGGEGPYNFNVSVGCGHPMSNLCSDPSSYVSWDGLHLTDAAHGIVARHILKELQFQCMERTARAST >Ma10_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21625607:21627581:1 gene:Ma10_g07290 transcript:Ma10_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGGPRFHLREGLRARGRRPARVKGEEEEEEEEEEEEEMSQALCDAPSAQGDLRYIKLVSGHSIPSVGLGTWKSASSEASHSVYTAITEAGYRHVDTAPLYAIQEEVGRGLQAALQAGIPRNDLFITSKLWCTDLSPDRVRNALKQTLKELQLEYLDLYLIHWPFHLKEGATRPPRASDILDFDMEGVWREMEKLVKDGLVRDIGVSNFTSKKLNKLLQCAQIMPSVCQMEMHPGWRNDKILEACKNNGIHVTAYSPLGSSRSDRDLIHDPTVVTVSKKLNKTPGQVLLKWGLQRGTSVIPKSTNADRIKGNIQLFGWAIPQEDLEALNSIRDQRRTVSGEETFVNKSEGPYKSVAELWDDEV >Ma09_p11110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7515920:7523037:1 gene:Ma09_g11110 transcript:Ma09_t11110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQKRSLPRPQRPSVAQSVAAGDPPSPAAQPQEQHDEDEEGLEEMAEGEEKTPTNPPQQQDGAGGDGASLSPSFLPLFPFDLMPWRRRLFHCTGRDGESDGSQSEDDLTDEEQFVPVRLPDIRKEVQCAICLGIIRKTRTVMECLHRFCRACIDKSMRLGNNECPACRTHCASRRSLRDDSNYDTIIATLYPDIDKYEEEELAFHEEEMSCNKKLQASMAEIFQRQTESLGRRRSTAKATAAAFVRRSQGNYRNHVSGRGGNGGRGTMAVFDDDDEEEANVNDVGKSYSSADEPSPDRRQKRRKRENNGALPLRVGNREAFAWGKNGARSQTRHGNTSGTNGRKVKCRMTKLVDYLRNLDHTVDEFDVHLTLVPLDKERVPSLQQPYLCCQPSLSIRHLCEYIALQTSVPAEEVQIYAKMLQGGASAIKSLSSTDMATEDPLVGLQELEGQESVTTLNSSFTGNQGELVLVYHLRTQA >Ma09_p11110.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7515920:7523037:1 gene:Ma09_g11110 transcript:Ma09_t11110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQKRSLPRPQRPSVAQSVAAGDPPSPAAQPQEQHDEDEEGLEEMAEGEEKTPTNPPQQQDGAGGDGASLSPSFLPLFPFDLMPWRRRLFHCTGRDGESDGSQSEDDLTDEEQFVPVRLPDIRKEVQCAICLGIIRKTRTVMECLHRFCRACIDKSMRLGNNECPACRTHCASRRSLRDDSNYDTIIATLYPDIDKYEEEELAFHEEEMSCNKKLQASMAEIFQRQTESLGRRRSTAKATAAAFVRRSQGNYRNHVSGRGGNGGRGTMAVFDDDDEEEANVNDVGKSYSSADEPSPDRRQKRRKRWGALQFSPARTAVNVDAGVGDNDDFEFHRENNGALPLRVGNREAFAWGKNGARSQTRHGNTSGTNGRKVKCRMTKLVDYLRNLDHTVDEFDVHLTLVPLDKERVPSLQQPYLCCQPSLSIRHLCEYIALQTSVPAEEVQIYAKMLQGGASAIKSLSSTDMATEDPLVGLQELEGQESVTTLNSSFTGNQGELVLVYHLRTQA >Ma09_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7515898:7523037:1 gene:Ma09_g11110 transcript:Ma09_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQKRSLPRPQRPSVAQSVAAGDPPSPAAQPQEQHDEDEEGLEEMAEGEEKTPTNPPQQQDGRDGESDGSQSEDDLTDEEQFVPVRLPDIRKEVQCAICLGIIRKTRTVMECLHRFCRACIDKSMRLGNNECPACRTHCASRRSLRDDSNYDTIIATLYPDIDKYEEEELAFHEEEMSCNKKLQASMAEIFQRQTESLGRRRSTAKATAAAFVRRSQGNYRNHVSGRGGNGGRGTMAVFDDDDEEEANVNDVGKSYSSADEPSPDRRQKRRKRENNGALPLRVGNREAFAWGKNGARSQTRHGNTSGTNGRKVKCRMTKLVDYLRNLDHTVDEFDVHLTLVPLDKERVPSLQQPYLCCQPSLSIRHLCEYIALQTSVPAEEVQIYAKMLQGGASAIKSLSSTDMATEDPLVGLQELEGQESVTTLNSSFTGNQGELVLVYHLRTQA >Ma09_p11110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7515898:7523037:1 gene:Ma09_g11110 transcript:Ma09_t11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQKRSLPRPQRPSVAQSVAAGDPPSPAAQPQEQHDEDEEGLEEMAEGEEKTPTNPPQQQDGRDGESDGSQSEDDLTDEEQFVPVRLPDIRKEVQCAICLGIIRKTRTVMECLHRFCRACIDKSMRLGNNECPACRTHCASRRSLRDDSNYDTIIATLYPDIDKYEEEELAFHEEEMSCNKKLQASMAEIFQRQTESLGRRRSTAKATAAAFVRRSQGNYRNHVSGRGGNGGRGTMAVFDDDDEEEANVNDVGKSYSSADEPSPDRRQKRRKRWGALQFSPARTAVNVDAGVGDNDDFEFHRENNGALPLRVGNREAFAWGKNGARSQTRHGNTSGTNGRKVKCRMTKLVDYLRNLDHTVDEFDVHLTLVPLDKERVPSLQQPYLCCQPSLSIRHLCEYIALQTSVPAEEVQIYAKMLQGGASAIKSLSSTDMATEDPLVGLQELEGQESVTTLNSSFTGNQGELVLVYHLRTQA >Ma03_p26800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30401454:30404689:1 gene:Ma03_g26800 transcript:Ma03_t26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESEERPNPAAADDGIEEDDDPPLLSSQALEALREFLSEQSSLGGAGGGRVDGGDDDQDEVRLVAEDWRLSQFWYDRETAETVAEEIRTLYRSTSSPIACIACPTLYAYLKKLDPSVPVKLLEYDKRFEQYGDDFIYYDYNQPEELLPSLKHNYQVIIADPPYLSKECLEKVAHTISLLAHPQDSYLLLLTGEVQKERAAELLNVHPCGFRPQHTNKLGNEFRLFTNYDPAGRLGGWEREV >Ma09_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1840096:1842406:-1 gene:Ma09_g02630 transcript:Ma09_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHELLVAARTGDMSVLGGNDSLLQVTAERNTVLHIAAKLGHTDFATGVLNRQPFLLMIQNGQGDTPMHCAARAGHTPMVDVFIPHPPRRGDPERRLPYMVNNVGNTALHEAALNGHDSFVEELMAKAPGVSAVTNNVNGVSPLYMAVESGSASIVRRLLAATEASCDGPNGRTALHSAVLRSHPVEITRMLLQQRASLTRKADAAGLVPLHFAAARGDLEMVRLLLQNDPSTAYVRDNGGASAIHVAASFGHVNVIKHLIETCSGCTEVRDGEGSNFFHVAISKRREQVVRFVATSPRLTDLLNEPDSDGNTPLHRAIISRDMAIIQMLSSSPRVKLSATNNRGQTALDVALSNTRNRLVIKMFKVVIDLTNKGARFSDPQLLQDMVHPVDQKGTVEEKNQKEIADSKHTVHTVDQKSKVEEKNYKEIADSLPVVAALITTVTFTAAFTLSGSFERDRSDDESIYRRIRGIAFVVFLISDALAMISSICVPFLVIYVRVGTPVTQVYSLTLSEILLQVAFIGFKAAFASGVCVLIADHYMWLTILICLVILLSAMALKRKILPFYPYLCWLTRGSEFQIIYISLMIARHKMIRIANYELAIDFIKFPGVWGFSITKF >Ma04_p33330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33013867:33015907:1 gene:Ma04_g33330 transcript:Ma04_t33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQLQVLGALDLAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYFDPNSTSPGTLPPNVSAAVTGVAFCGTLSGQLFFGWLGDKLGRKKVYGMTLMLMVICSIASGLSFGHTAKGVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIVAIIISAAFKGRFDSPAYKDDPAGSTVPEADYIWRTILMLGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDIAEEQEKVEQMTMKEANNFGLFSREFARRHGIHLVGTTTTWFLLDIAFYSQNLFQKDIFTSIGWLPDAKTMNAIEEVFRIARAQTLIALCGTVPGYWFTVALIDTMGRFAIQLMGFFFMTVFMLGLAIPYHHWTTKGNHIGFVIMYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPAKRDKGYPAGIGVRNALFVLAASNLLGLIFTFLVPESKGKSLEEISGENEDEDQIDSAAVYNRTVPV >Ma04_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1776740:1781334:1 gene:Ma04_g02020 transcript:Ma04_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDGDDEASIERQLEQQVAEQKESLAAVDEALAADPSNLELLSVHEELILAIKDAEEGLLNLKRSRLLREADMIFSGQEAESISQDVKVEPLDLRQDKSELSESETNSVGSKCRFRYTDGRWYNGQIVELGSPTSARISFLTPTSEGMTMCKFFLQQRCRFGNNCRLSHGLIVPISSLKNYIPTVWKQSLVGSSIWAAAGNYSGIWRKAELESWDDELMVGQVVFQDDGSSVKLSSDALSISEYAEMSDGEENDDDFSSDGSESSEEEEDTDSGIGHQGLGFLEATILQKGVQTETTVFAKWEHHTRGIASKMMASMGYREGMGLGASGQGILDPVVVKVLPPKQSLDHAVANENDENSVGRGKRRSRGGKRKREKRYADAARASKAEEEKAPDVFNFINNQLAGQDVAYVSSNRSKKGTGNGEASARREDRRSLVAYDDEVKELRNKIEKLAEMVNRNRKDKAIYEAATRKLNETRKALADVEAAHAAASNAVVNKEKEKKWLKF >Ma06_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10391926:10393213:-1 gene:Ma06_g15290 transcript:Ma06_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEWTKDSLLMIGFSLTRQLQRPAHATVFPLPHSPSKAPPCALPLCPSLSSIAAARRRHDPMASSPIFVGLPTFLLLLLLTTSGLFGQATGAVAPGPKPAPLNLTGILEKGGQYGTFLRLLKETQVGEQIESQLNNSFNGLTIFAPTDNAFNNLKPGTLNSLTTQEQVSLVLYHVLPRYYTLSTFETTSNPVNTQASGSNGVYTINITSSSNQVNVSTGVNETPVNNNLHLDFPLAVYSVDKVLLAPELFGAKPPASSPPPAVAPEKPNKGSPAADGPSASAEAAPSAASPEGRITERVLLLWVGLACMGSLL >Ma05_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8213516:8214609:1 gene:Ma05_g11380 transcript:Ma05_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAATSDQPFHARSISLTSRTHPIALRVEEELHKLKTAATSMWSSAEKVCSGLRGVGDLYSSVGDLLRLPSLERAVFSSAQKKRLEEELEISVVLLDLVDNMKDVLVAMKDHVQDLSLTLRRRGNKITQGKERRHALTGKELQKSLNRCCKASKQMDERCGSFGTLDRDSDLSMVIRVLIESRDITLSVLRSVLHLLSTPWQKQKGRRWSIISKAMQKRKVACENTHELSFDSSLRCILNKPVDDERILQAQEQLMTAEETIEAVETELQSLLRRLIQCRVSFLNILSLN >Ma07_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27603406:27603907:1 gene:Ma07_g19630 transcript:Ma07_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGASTPSRSFTFSFQNMAVSTTLTKAIIFLSLLLLLLCRHQCSATRGLSVRLLEQSSLDHLKMTKTVATSNSSTKMLEASYGASPVSMLVRAPVPPSGPIGGINGDNN >Ma09_p28790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39446118:39448107:-1 gene:Ma09_g28790 transcript:Ma09_t28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEQRCKLTSHLSLSTSPSSSSSVTTAAVEPPVEFRCPISRSVMADPVVVAATGWTFERRCVEACADLGVAPPDLSLDRPFSSSSSSTSPLVLIPNIILKSAIHDWCDRHGLPRPLPVPADAACAFVRSLMPSSSRPSTSSASPPPSVGADGQDETLEAPERKDEALREKAFSCGGDDGKGRFLQASALSDGTNKEEDDIVRSKSSGLDCNSEETTSPGVRLSSVSQTEDKCFSFSLPSTSSPSLHHTSSSSSSEIEVLPPPNVVSPASEIETDAWEEEMLTKWMDSDVKEQEFAAASLRHATRESRDCRIKLCSPHLLASLRSILLTRCTAVQINAVAAMVNLSLEKENKVRIVRCGAVLPLVEVLKGGHPEAREHAAAALHSLALDGENRVAIGSLGAIPPLLNLFTSPSAAGPRARRDAGKALCHLSVARPNQPKIARTAGAVRALLAVAEAEAVVSDASSQYQGPVLARLAMMVISNLAACGEGQSALMDAGAVPAVAALMRGAAGATVEEHCVATLYGMSRDRLRFPRLARAAGADKVLMRVVERDSGDIRREMAKKTLRAIKRGEEDEAPLLPGYPAEDDGDVVSDGLMSFRRRNKVVGNGTSPF >Ma04_p31750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31969627:31977762:1 gene:Ma04_g31750 transcript:Ma04_t31750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTVLDGIISRLLEVKGGRPGKQVQLLEAEIRQLCVVSKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPQANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLHNLDQIRNLARPTDVPDSGLLCDLLWSDPSKEIQGWGMNDRGVSYTFGPDRVGDFLQKQDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAASEKKKIGFGGTAASRTGTPAWQ >Ma04_p31750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31969627:31977762:1 gene:Ma04_g31750 transcript:Ma04_t31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTVLDGIISRLLEVKGGRPGKQVQLLEAEIRQLCVVSKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPQANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLHNLDQIRNLARPTDVPDSGLLCDLLWSDPSKEIQGWGMNDRGVSYTFGPDRVGDFLQKQDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAASEKKKIGFGGTAASRTGTPAWQ >Ma05_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7194647:7197219:-1 gene:Ma05_g10010 transcript:Ma05_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSHHLKPHTQQQLHPQSHQQPNRWSNTKTKRRDTVAFADPHVVSSDGPWCCTTSFSSVAASPPHPLRVPAVFPQNQAEPVPIASPSPCPMDAPPSTVSAVAFAASVASPYPPYPSSYSKFNSALNAGLLNPMSPPPPPLDKTRSSPTLFDMMANEQDYHPLPAAHAGPLQMHLPGRAADAPTAASAQDRQLLLQERVADIIGSCSPGNQLNDAESGDVRLTLSSNDGLTVSLNVHRHILVAHSRFFAAKLSDRWSKQQRSLPHIVEISDCDDVEIYVETLRLMYCKDLRRRLMREDVSNVLGILKVSAAISFDAGVLSCLEYLEAAPWAEDEEEKVALLLSQLQLESSGAEEVLKRVSLEVAPSAADEVDCGNGGEEILIRLLQVVLEGKDEKARREMKGLVSKMLRENNTATQCGGLGGGAGANGGGDLSKESLYSACDGCLCSLRHHFARAAASDLTEVAQIARQSDNLHWILDILIDRQIADDFLRTWACQAELSEMHSRVPAIHRYEVSRVTARLFVGVGKGHILVSKESRSLLLRTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFDRFLNAGDDCPNIQRGFEVWWRRAFWRRNGEADQLPPQLRITAAAACENSC >Ma03_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2537953:2538598:1 gene:Ma03_g03860 transcript:Ma03_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESLMLRDSSMAGHMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLTLLLFETALLTSGFCLNDPNTIGNRIHRMLKLGLSIDEDEKVEDTDMPTLEDAADAEESKMEEMD >Ma10_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7936257:7940983:1 gene:Ma10_g02460 transcript:Ma10_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAQGGRRARRRLLVVAVFLLYLVTSAHCLDAERAVSGRRKKKAKHEIKGPIKTLVVLVMENRSFDHMLGWLRTKGGRPDIDGLTGHEYNRLNASDPSSPEVFVSDGAAYVDSDPGHSFQAIREQIFGSEDTAAVPAPMSGFAQQAESMGEGMASTVMRGFAPDAVPVYSALAEEFAVFDRWFASVPASTQPNRFYVHSATSHGATSNVRRDLVHGFPQKTIFDSLDEDGLSFGVYYQNIPAVLFFKSLRKLKHLVKFHSYKLAFKLDAKLGRLPNYVVIEQHYFDVKLSPANDDHPSHDIARGQRLVKEVYETLRASPQWNETALLITYDEHGGFYDHVPTPVTGVPNPDGIIGPDPFYFKFDRLGVRVPTILISPWIEKRTVIHEPKGPTPHSQFEHSSIPATVRKLFNLNSNFLTKRDAWAGTFESHLSIRKTPRTDCPEKLPKVKSLRPFGPREDKVLSEFQVELIQLASQLNGDHVLNTYPYIGEGMTVGQANRYAEDAVARFLEAGRAALRAGANESAIVTMRPALTSRISGWSSDASAQSI >Ma04_p31890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32087200:32094721:1 gene:Ma04_g31890 transcript:Ma04_t31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGRLSRGGAVAAVIIERRKCLNRGVMLQMETKEKREVYSCEFKLRENPLKRKDKVYVGCGAGFGGDRPLAALKLLQTVKELDYLVLECLAERTLAERYQHMMAGGKGYDSRISDWMSMLLPLAVERGICLITNMGAVDPLGAQQEVLDIASKLGLSITTAAVYEVCQEKSGPNLSIKGYGLWEGGISTYLGASPIVQCLERHKPHVVITSRIADAALFLAPMVYELGWNWNDFSLLAQGTLAGHLLECGCQLTGGYFMHPGDKYRKLSLEQLLNLSLPYAEIGYKGEVCVAKAEGSGGLLDNSTCAQQLLYEVGDPSSYITPDVVIDLRNVYFCPLSNDKVLCYGAKPSSVQFPDKLLQLVPVDCGWKGWGEISYGGFGCIRRAEAAEYLVRSWVEEVYPGIGDCIKSYIVGHDSLKATATHEGSFSMEQMDIRLRMDGLFKLKEHAVCLLQEFTALYTNGPAAGGGICTGHKKEIVLQKQLVERENIFWGFEIKKSKLIDPSKKEATHDGSDPINTVREENKVLLISTSTSISGAISSALTAAAPSNKKIRLYQVAHSRAGDKGNDLNFSIIPHCLKDINRLKQVITKSWVKDVVSPLLDFSSFPSAEAIEQRNKKMEQVTVEIYEVPGIHSLNVVTRNILDGGVNCSRRIDRHGKTISDLILCQEVVLPPLTTDDV >Ma00_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:11799233:11799640:-1 gene:Ma00_g01710 transcript:Ma00_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKAYARSAPDEASGHEPEPEITFPTGAAERPDHDDALVISARVANAQMRRIMVDTGSSADILYFGAFQKLGLARENLSPICSALTGFTGDSISPLGSITLPLTVGTPPRSKIVMTTFLVVDLPTAYNAILGR >Ma07_p28830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34741846:34751949:1 gene:Ma07_g28830 transcript:Ma07_t28830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAPDSETSLKQKADDLHALVSGPLAAAVDKAFGRSRGIPSGKDFHFFYNFDEFKAPVKEIKDKSESSLRSIAASSSLWGSKKPPQFPDDLDDAYDWVVNLNDEFLDRLAVSMDEFKNLREKEEETGGKIGAMDLEGGFQLVYGKKKKGAMRDAEKDEGFSGSSSSTVVNVATKDKRTTAARSKVPFHIPTIPRPQDQYNILVNNNNQPFEHVWLERSRDGRFIHPLENLGVPNFIDRKHEEGEPVQPLPLESTPFKQVESVNELKMVAAKLRGVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVIDTLKLRIHVGPHMREVFKDPSKRKIMHGADRDIIWLQRDFGIYVCNLFDTGQASRVLQLERNSLEYLLHHFCEVSANKEYQNADWRLRPLPVEMLKYAREDTHYLFYIYDQMKSMLLAASSNENDLLLEVYKRSSEICMQLYEKEIFTDTSFLHIYGLSDADLNSKQLAVAAGLCQWRDNLARAEDESTGYILPNKTLLEIARQMPVTSGKLQRLVKSKHPFVERHINSVIGIIKSSIANSSAFEGITEQLKEGRLESNSEEGDCNTGSVPATDNPMGHVEHVGNHPMTATVENSENAGNHPMTVTVGTVKTFGHVRVAKDDCLKQAYRDNLSNISSAAIVEQENNFKVMPSSEIGHSFLHSGITKRVEKEMMDNRNTNYLQSREKKSSCAFGALFGNSSSRKKPTLDKVGLAGQNKNVNKVEQIKSTVALPFYHFPGGEKTSELHFKEVIVCPVAETLQQHPADLAKLEEVIPLDRGSHEQSPCDSPMTDDGTKESDNSHHPEIGSDLDLQPESLASDEPMSPSDLTSSFEKCFQSINERRNCQRNQKSFQKPEINFNLKPFNYAAARKNVKFDDDGDDETKTEDRIKTSPDSRQMHRASGQAQGDERSRGSQQARRRQAFPPSGNRSTTYH >Ma07_p28830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34741846:34751949:1 gene:Ma07_g28830 transcript:Ma07_t28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAPDSETSLKQKADDLHALVSGPLAAAVDKAFGRSRGIPSGKDFHFFYNFDEFKAPVKEIKDKSESSLRSIAASSSLWGSKKPPQFPDDLDDAYDWVVNLNDEFLDRLAVSMDEFKNLREKEEETGGKIGAMDLEGGFQLVYGKKKKGAMRDAEKDEGFSGSSSSTVVNVATKDKRTTAARSKVPFHIPTIPRPQDQYNILVNNNNQPFEHVWLERSRDGRFIHPLENLGVPNFIDRKHEEGEPVQPLPLESTPFKQVESVNELKMVAAKLRGVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVIDTLKLRIHVGPHMREVFKDPSKRKIMHGADRDIIWLQRDFGIYVCNLFDTGQASRVLQLERNSLEYLLHHFCEVSANKEYQNADWRLRPLPVEMLKYAREDTHYLFYIYDQMKSMLLAASSNENDLLLEVYKRSSEICMQLYEKEIFTDTSFLHIYGLSDADLNSKQLAVAAGLCQWRDNLARAEDESTGYILPNKTLLEIARQMPVTSGKLQRLVKSKHPFVERHINSVIGIIKSSIANSSAFEGITEQLKEGRLESNSEEGDCNTGSVPATDNPMGHVEHVGNHPMTATVENSENAGNHPMTVTVGTVKTFGHVRVAKDDCLKQAYRDNLSNISSAAIVEQENNFKVMPSSEIGHSFLHSGITKRVEKEMMDNRNTNYLQSREGGIASVQLQKKSSCAFGALFGNSSSRKKPTLDKVGLAGQNKNVNKVEQIKSTVALPFYHFPGGEKTSELHFKEVIVCPVAETLQQHPADLAKLEEVIPLDRGSHEQSPCDSPMTDDGTKESDNSHHPEIGSDLDLQPESLASDEPMSPSDLTSSFEKCFQSINERRNCQRNQKSFQKPEINFNLKPFNYAAARKNVKFDDDGDDETKTEDRIKTSPDSRQMHRASGQAQGDERSRGSQQARRRQAFPPSGNRSTTYH >Ma04_p27680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28859852:28864797:-1 gene:Ma04_g27680 transcript:Ma04_t27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQPPPPQQQPEGIVDDFFEQIFSMPSSYVAAGDTGLAGPDGGLAGGPLHRNSADAAGGGGPFSLGLSLEQGSSSGKRHREDPEGKTERDTFPSAGLFAPGFGHLQSYQIRPNPPPQAFHGQAKQGGVASMPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERIRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLIADIPLSVEGEAGSKQQVWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTHQPEAQPVKPEPNTPS >Ma04_p27680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28859852:28864797:-1 gene:Ma04_g27680 transcript:Ma04_t27680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQPPPPQQQPEGIVDDFFEQIFSMPSSYVAAGDTGLAGPDGGLAGGPLHRNSADAAGGGGPFSLGLSLEQGSSSGKRHREDPEGKTERDTFPSAGLFAPGFGHLQSYQIRPNPPPQAFHGQAKQGGVASMPQPPAPRPKVRARRGQATDPHSIAERTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLIADIPLSVEGEAGSKQQVWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTHQPEAQPVKPEPNTPS >Ma07_p24490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31849733:31856242:-1 gene:Ma07_g24490 transcript:Ma07_t24490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRCPENSFAYDSTLCACNPGYYRDRSGGCRLFEAGDGDWTVGTRAGPAGRPFLDTVLPLESLERMVRSEDALLRAVLVVTLVWLAFCVAVRLGRIDGGRAVWFRIRWSVAQLDLFATNHDRDDNKVVRKRKSELGGTLSVVSWILFIGLLSALLYQLIARRSIEVHRVRPSNATDLKSFISFEFNITTVSSMSCSHMRGLDKLVIGTPGFIDCKVFPLSNYANYSCYNTTWGPTVSLKCNNCQIPTGDHYISWQFVDLPDDPAAAVGFQFNLSAKAHNDNRHVSYVSGTLNSGSYLHNRAVTFRGPDLNIMKIHLFPQKFDYLKDNLWLIQPLVHDFLPGSFFYEIDKLRASLRSSKDGLVNTTLCISYLSDYIVEIDKENILGIVAFLSDVGGLCTITLAISLYFLHQCESRIKKLQMDDTEMRDIRRRRRAQQHWDKLRIYVRYTWGHSNLNMRSRTKPVRNSIIECFSRVYPDRKHKSRLAGSLRNFV >Ma07_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31852017:31856242:-1 gene:Ma07_g24490 transcript:Ma07_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRCPENSFAYDSTLCACNPGYYRDRSGGCRLFEAGDGDWTVGTRAGPAGRPFLDTVLPLESLERMVRSEDALLRAVLVVTLVWLAFCVAVRLGRIDGGRAVWFRIRWSVAQLDLFATNHDRDDNKVVRKRKSELGGTLSVVSWILFIGLLSALLYQLIARRSIEVHRVRPSNATDLKSFISFEFNITTVSSMSCSHMRGLDKLVIGTPGFIDCKVFPLSNYANYSCYNTTWGPTVSLKCNNCQIPTGDHYISWQFVDLPDDPAAAVGFQFNLSAKAHNDNRHVSYVSGTLNSGSYLHNRAVTFRGPDLNIMKIHLFPQKFDYLKDNLWLIQPLVHDFLPGSFFYEIDKLRASLRSSKDGLVNTTLCISYLSDYIVEIDKENILGIVAFLSDVGGLCTITLAISLYFLHQCESRIKKLQMDDTEMRDIRRRRRAQQHWDKVHMGT >Ma07_p24490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31849733:31856242:-1 gene:Ma07_g24490 transcript:Ma07_t24490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRCPENSFAYDSTLCACNPGYYRDRSGGCRLFEAGDGDWTVGTRAGPAGRPFLDTVLPLESLERMVRSEDALLRAVLVVTLVWLAFCVAVRLGRIDGGRAVWFRIRWSVAQLDLFATNHDRDDNKVVRKRKSELGGTLSVVSWILFIGLLSALLYQLIARRSIEVHRVRPSNATDLKSFISFEFNITTVSSMSCSHMRGLDKLVIGTPGFIDCKVFPLSNYANYSCYNTTWGPTVSLKCNNCQIPTGDHYISWQFVDLPDDPAAAVGFQFNLSAKAHNDNRHVSYVSGTLNSGSYLHNRAVTFRGPDLNIMKIHLFPQKFDYLKDNLWLIQPLVHDFLPGSFFYEIDKLRASLRSSKDGLVNTTLCISYLSDYIVEIDKENILGIVAFLSDVGGLCTITLAISLYFLHQCESRIKKLQMDDTEMRDIRRRRRAQQHWDKLRIYVRYTWGHSNLNMRSRTKPVRNSIIECFSRVYPDRKHKSRLAGSLRNFV >Ma07_p24490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31849733:31856242:-1 gene:Ma07_g24490 transcript:Ma07_t24490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRCPENSFAYDSTLCACNPGYYRDRSGGCRLFEAGDGDWTVGTRAGPAGRPFLDTVLPLESLERMVRSEDALLRAVLVVTLVWLAFCVAVRLGRIDGGRAVWFRIRWSVAQLDLFATNHDRDDNKVVRKRKSELGGTLSVVSWILFIGLLSALLYQLIARRSIEVHRVRPSNATDLKSFISFEFNITTVSSMSCSHMRGLDKLVIGTPGFIDCKVFPLSNYANYSCYNTTWGPTVSLKCNNCQIPTGDHYISWQFVDLPDDPAAAVGFQFNLSAKAHNDNRHVSYVSGTLNSGSYLHNRAVTFRGPDLNIMKIHLFPQKFDYLKDNLWLIQPLVHDFLPGSFFYEIDKLRASLRSSKDGLVNTTLCISYLSDYIVEIDKENILGIVAFLSDVGGLCTITLAISLYFLHQCESRIKKLQMDDTEMRDIRRRRRAQQHWDKLRIYVRYTWGHSNLNMRSRTKPVRNSIIECFSRVYPDRKHKSRLAGSLRNFV >Ma07_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27174915:27178541:1 gene:Ma07_g19120 transcript:Ma07_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLLSQLLGFFFWGFLWLAGPVAVYSGGPGTSGADAVAVVVDGTAAIAVTDGDFVCATLDWWPPEKCDYGTCSWGLASLLNLNLSNPILLNAVKAFSPLKLRLGGSLQDKIIYGTGDPKQSCTQFIKSTSEMFGFTQGCLPMSRWDELNEFFQKAGAVIIFGLNALNGRVPLSDGSLGGPWNYSNAAALIRYTVNKGYTIHGWELGNELSGSGVGARIGADQYAADVINLRSIINEIYQGLQDKPLVLAPGGFLDTNWFTEIISKTKPNSLDVITHHIYNLGPGVDQHLTEKIIDPSYLDGEAGTFRDLQRILSSAGTSTIAWVGEAGGAYNSGHHLVTDSFVFSFWYLDQLGMSSTYDTKTYCRQSLIGGNYGLLNTTTFHPNPDYYSALLWHRLMGRRVLSTNITGTNKIRAYAHCARESPGITLLLINLSGNNSTTPVFVTTQTVYSAALKQHRRHGAHRTRFNHIPRLGKTSEFTREEYHLTAKDGDIHSQTVLLNGNILAVDSSGNIPELEPVKVEATQPITVAPFSIVFAHIPYFYAPACR >Ma05_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10921077:10927710:1 gene:Ma05_g14890 transcript:Ma05_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGIDATTRSDRWGYPVRTASDACIAAIDAYYDQVLAYGRDRAVILRAARHDPSCVLANALSAHFLAGKDPAESSRLLGAASDSLDNATPYEGAVFGAISCLMAYDRDDDLAVDRHFELLKEFPKDLLSLKRAQTLCFYMGRPDLSLNLVQEVLFCVIGHCLVTLLLNAQQVLVYNKDQSYIYGMLSFPLLELGRMADAEGAARKGLGINSCDLWSQHNLCHVIQYECHFEEAVKFMETCSSTWNSCSSFMYTHNWWHVAVCYLEGDSPLDKVLEVYDHCIWKELERSDAEPAEVYVNALALLMRIYVRDHMHHIAERLMLLANVFKDESMWHVEWHLDILALWALASTKETSKAEGLLKSIKSRFSLMSRKKQQQMQSAIRLAEAIYEYGRGNFQSVFDLLGPDFDVTGFKMIGASDEQLDVFNEVWYIVLLNIGQFSKVIEEVKKQVCKRGAPFLWQLLEKAYSMEGRSDAPLAGERAKVLEAASFK >Ma05_p14890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10921077:10927815:1 gene:Ma05_g14890 transcript:Ma05_t14890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGIDATTRSDRWGYPVRTASDACIAAIDAYYDQVLAYGRDRAVILRAARHDPSCVLANALSAHFLAGKDPAESSRLLGAASDSLDNATPYEGAVFGAISCLMAYDRDDDLAVDRHFELLKEFPKDLLSLKRAQTLCFYMGRPDLSLNLVQEVLVYNKDQSYIYGMLSFPLLELGRMADAEGAARKGLGINSCDLWSQHNLCHVIQYECHFEEAVKFMETCSSTWNSCSSFMYTHNWWHVAVCYLEGDSPLDKVLEVYDHCIWKELERSDAEPAEVYVNALALLMRIYVRDHMHHIAERLMLLANVFKDESMWHVEWHLDILALWALASTKETSKAEGLLKSIKSRFSLMSRKKQQQMQSAIRLAEAIYEYGRGNFQSVFDLLGPDFDVTGFKMIGASDEQLDVFNEVWYIVLLNIGQFSKVIEEVKKQVCKRGAPFLWQLLEKAYSMEGRSDAPLAGERAKVLEAASFK >Ma02_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26250956:26271723:-1 gene:Ma02_g20210 transcript:Ma02_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDDWGLSAEELNFLEEDAIKKISERKASSSSASVSVAPPSSSSSSSPLPSKASSRVNPPSVSPEQPFSRNSSESRYQKVETFPALGLSSTDASRETGKDTTFAQSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVEVQKLDPLVRRALAAASAVPDLQEDLYDRMPSHVESKLLPFQREGIRFMLQHGGRALLADEMGLGKTLQAIAVAACIPDAWPVLVITPSSLRLQWASMIQQWLNISSADILVVLSQHGGSNKAGFKIVFSNLKSNIHLDGVFNIVSYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCKGGIFGLYQGASNHEELHCLMKATVMIRRLKKDVLSQLPVKRRQQVFLDLKEKDMKQIRILFRELEVVKMNIQACDSPEKMESLKFMQKNLINKIYNDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKRCHGAGDAQHIAKTPKF >Ma02_p20210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26250956:26271723:-1 gene:Ma02_g20210 transcript:Ma02_t20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDDWGLSAEELNFLEEDAIKKISERKASSSSASVSVAPPSSSSSSSPLPSKASSRVNPPSVSPEQPFSRNSSESRYQKVETFPALGLSSTDASRETGKDTTFAQSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVEVQKLDPLVRRALAAASAVPDLQDLYDRMPSHVESKLLPFQREGIRFMLQHGGRALLADEMGLGKTLQAIAVAACIPDAWPVLVITPSSLRLQWASMIQQWLNISSADILVVLSQHGGSNKAGFKIVFSNLKSNIHLDGVFNIVSYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCKGGIFGLYQGASNHEELHCLMKATVMIRRLKKDVLSQLPVKRRQQVFLDLKEKDMKQIRILFRELEVVKMNIQACDSPEKMESLKFMQKNLINKIYNDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKRCHGAGDAQHIAKTPKF >Ma04_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3182730:3183885:1 gene:Ma04_g04130 transcript:Ma04_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRVPAAAAAVETRFRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEDAARAYDAAACALRGPKAKTNFPLSTSSSPTPQHLPPFRPSATRFPLGHRGHQPPPPTRPTSSSHSSTVESCNGPRLPAAAAATPIHLRTAHLGRQANPPPPRVLAGENDCHSDCGSSCSVVDDDADIASAYRQPLPFDLNLHPPPEDDLHVTVLRL >Ma05_p31160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41198403:41202707:-1 gene:Ma05_g31160 transcript:Ma05_t31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKAEPAVESALPPHPSRHSTSSPSSPILVDDSAGGSASAAGEGSGADVVMLEGPASGGGGGGAAVEDRVKGPWSPEEDVILSRLVSKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPYVKRRPFTEEEDRIIIAAHAIHGNKWASIARLLEGRTDNAIKNHWNSTLRRRCTEGERHKTCDTPREVSIEKNKGPSDESPSFDNVNSLKSMELRDPSSRENVSDNSGEVVIVRNEPPKPEIKDPPYLFRPIARVSAFSPYSCISRQPSGLEVARRGQPDGPLYEALKPGGDICKFLNNISCEPQVPQRCGHDCCGIQDKGRSSGSLLGPEFVEFEEPPPISSHELASVVKDISSIAWLKSGLNGSSTIC >Ma06_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7004453:7006348:1 gene:Ma06_g10080 transcript:Ma06_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVETMRTSSSRREMGSENRPNTIGCMSGIFHLLSRHHNRSRKRLTSANRKEKPAVAPPSRPKLPPPRPIDDEEGKKTEPQRCSCETPRSPTIPQEIRRRPAAAVAAASPDSPRRPSALVARLMGLEDSPAPPVAAAADKRRELLRALEKCDEDLQALRRIIEAVRSAEIKAKVVPSAGGPAGRLEWDGGDPKNQCNGEQPSPVSVLDAISSPRNRSNGSPNEKQEITTAGLRMAKPPPRLGEERCNAAQICSFYGRISMEAMPRVVEPKGRAIVEDLGPAQLTESVRQQWRGRRRRRSASRAMGESVEEVWEDGVWEERWEAGRVGVWVEADITWDLVEELVVELFGWCLKLSPPPGTCRKRLCF >Ma01_p04240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2776948:2782132:1 gene:Ma01_g04240 transcript:Ma01_t04240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAMEGISERLASVDGLYFPGAMHREAPDHAQRKSALLDLLSRDAPIFLERYGSELTSDELREFEKLNQDYEVGWHLNRLRRGRNPTAEDDRTRSVTVKNRRRAYMERLVQDGEYFSEDAMREREPYLHHEYLGKFQDPAGRSLSRPGERWSETLMRRCEEAMLVEKIRGEQQRLGVDKREWIGGGGEEEEEEEEEEEEEEEEEEEEEEEDGDDDMALEEPKVGDSPEMLNPDAHDTCASAAAAVPLEQTPSVEEMQDLLEQFTHVMQQKFLAGEDTAYIDYSLIDKDERLDDHWLREANYDAEEKYFEED >Ma01_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2776948:2782136:1 gene:Ma01_g04240 transcript:Ma01_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAMEGISERLASVDGLYFPGAMHREAPDHAQRKSALLDLLSRDAPIFLERYGSELTSDELREFEKLNQDYEVGWHLNRLRRGRNPTAEDDRTRSVTVKNRRRAYMERLVQDGEYFSEDAMREREPYLHHEYLGKFQDPAGRSLSRPGERWSETLMRRCEEAMLVEKIRGEQQRLGVDKREWIGGGGEEEEEEEEEEEEEEEEEEEEEEEDGDDDMALEEPKVGDSPEMLNPDAHDTCASAAAAVPLEQTPSVEEMQDLLEQFTHVMQQKFLAGEDTAYIDYSLIDKDERLDDHWLREANYDAEEKYFEED >Ma07_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6121037:6125272:1 gene:Ma07_g08220 transcript:Ma07_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFFAGEIATELVKELLKVVRRTYLCRPAAEQLKRSVDALLPIVQEIRHSGVELPQHRQSQLSELADQLRLALDLARKAAASPRWNVYRSMQLAHRMERLDRWISRWVERHMPAHVLADVHHLRVDYSARLDRIERTLDMTAASAALAAARVPVAVGSVPFSGSPLTEMMDGGEGEKPVGVGIRVGKERVKEMLMAGGDRAAVVGISGIGGSGKTTLAKEICRDPQIRSYFNDKIYFETVSQSPNLESLKLKLWEQITGNMVLGAYNQIPQWQMELGPRDKGPVLVVLDDVWALAVLEELLFRIPGYKILVVSRFKFPSVVKNNYEIELLGEEDALSLFCHAAFEQQSIPFTADKKLVKQVVEECKGLPLALKVIGASLRDQPPKFWARAKNRLARGEAICDSHENKLLEHMASTIGFLSGKVRECFLDLGSFPEDKRIPLDVLINMWMELHDLDEEDAFAILVELSNKNLLTLFKDAQAGDIYSSYMEFFVTQHDVLRDLALHVNNCEPLTSRRRLIMPRRENELPREWERNKDEPFEAQIVSINSGEMKESDWFQMHFPKAEVLILNFSADQYSLPPFLSTMPKLKVLVLINHGTSCTLMQNLSVFTTLNNLRSLWLEKIAVPPLPKTTVPLQNLRKVSLVLCELNNSLRGSKVDLSMTLPRLSHLTIDHCIDLTKLPSSICNIGSLQCISISNCHDLSELPGEFGKLSSLEILRVYACPSIKRLPQSICRLKRLKYLDISQSFNLRELPEELGHLTSLEKIDMRECSQLRTIPRSSSSLKSLGHVICDEEVALLWKEAERCIPDLRVQVAEECFNLDWLVE >Ma01_p23230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27439401:27442840:-1 gene:Ma01_g23230 transcript:Ma01_t23230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGPLIWEIVKKNNAFLVKQFGNSTAMVQFSKEPNNLYNVNSYKHSGLANKKSMTIQPSGKDLSVVLATAKTKKQNKPGSLYHRSVMKKEFRKMAKVVMNQVTDNYYRPDLTKAALARLSVVHRSLKVAKSGAKKRNRQAVKARN >Ma01_p23230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27439401:27442805:-1 gene:Ma01_g23230 transcript:Ma01_t23230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGPLIWEIVKKNNAFLVKQFGNSTAMVQFSKEPNNLYNVNSYKHSGLANKKSMTIQPSGKDLSVVLATAKTKKQNKPGSLYHRSVMKKEFRKMAKVVMNQVTDNYYRPDLTKAALARLSVVHRSLKVAKSGAKKRNRQAVKARN >Ma01_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27439401:27442830:-1 gene:Ma01_g23230 transcript:Ma01_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGPLIWEIVKKNNAFLVKQFGNSTAMVQFSKEPNNLYNVNSYKHSGLANKKSMTIQPSGKDLSVVLATAKTKKQNKPGSLYHRSVMKKEFRKMAKVVMNQVTDNYYRPDLTKAALARLSVVHRSLKVAKSGAKKRNRQAVKARN >Ma01_p23230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27439401:27442832:-1 gene:Ma01_g23230 transcript:Ma01_t23230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGPLIWEIVKKNNAFLVKQFGNSTAMVQFSKEPNNLYNVNSYKHSGLANKKSMTIQPSGKDLSVVLATAKTKKQNKPGSLYHRSVMKKEFRKMAKVVMNQVTDNYYRPDLTKAALARLSVVHRSLKVAKSGAKKRNRQAVKARN >Ma07_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3875500:3877507:1 gene:Ma07_g05310 transcript:Ma07_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVPPPLPSSEGRSVLHGRYELGRVIGQGSFAKVHLARDLRTGKNVAMKVVGKEKIVQVGMIEQVKREISVMKMVRHPNVVELHEVMATRSKIYFAMELVRGGELFAKVACSGRLREGAARHYFRQLVSAVDFCHGRSVYHRDLKLENLLLDEQGNLKIADFGLSAFADHVRQDGLLHTACGTPAYVAPEVFGKKGYDGAKADLWSCGVILFVLLAGFLPFQDDNLLAMYKKIRRGDFRCPPWFSSDARRLMIRLLDPNSNSRITVEKLMETPWFNKSSLPKGVRATEAAAAAAAEETGDKECAGKEGEEPERLNAFHLISFSEGFDLSPLFVGGERREEEMRFATREPASGIVSRLEGVAARAAGKYRVTKSSATGVRLEGEGRGRKGKLAVAADIFSVASSVLVVAVRKDGGDTLEYQKFCSDELRPALKDIMWASSDGQTATA >Ma03_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4470675:4472722:-1 gene:Ma03_g06480 transcript:Ma03_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEDKIRLGSTPPSCHNRCDLCNPCTAVQVPTLPVQSTPVRSRVRKVRPSDDPFSYGNQYSNYKPLGWKCSCGNRLYNP >Ma09_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3557327:3558185:1 gene:Ma09_g05530 transcript:Ma09_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDESPKPAAVAGGEGPTPPGKPMTLGQKVIDKGAEMLQSLKPVKQFKQHICSFALYGHDLTRQIETHHYCGRLNQDFLQCAVYDTDDSSAHLIGVEYIVSDSIFNKLPPEEQKLWHSHAHEIKSGLLASPRMPEMLQKKELDDLSKTYGKFWCTWQVDRGDRLPLGAPALMMSPQGVNLGMVRPDLVKKRDDKYRISSEELKESRLGVEGPESTNPNADYWLKHRTGFAIDVVETVMKLRAPFP >Ma10_p03620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14232425:14235905:1 gene:Ma10_g03620 transcript:Ma10_t03620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKVRSLNVSDSEARPVLVPGGNKARSMATARKPASKPPSKTESTEIVAAEGKKKASSPSADLPHFRSSFSAPSVIRRHEMLLQSNLSLNASCSSDASTDSFCSRASIGKIGRTSLTNKRRQSTPRIGKTLDKAEKNVSDDSAVPPPEVVQGKKKCAWVTPNTEPCYASFHDEEWGIPVHDDRKLFELLVLSGALAELTWPVILSKRHIFREVFLDFDPVAVSKLNEKKILVPGSTSSSLLSEPKLRAIIENARQILKITDEFGSFDKYCWSFVNYKPIVSKFRYPRQVPVKTPKADVISKDLVKRGFRSVGPTVIYSFMQSSGLTNDHLISCFRFQECVAAASSSADEANDAKRNINTKVEEKTDTGQELTVGIDLELSRAMDE >Ma10_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14232462:14235905:1 gene:Ma10_g03620 transcript:Ma10_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKVRSLNVSDSEARPVLVPGGNKARSMATARKPASKPPSKTESTEIVAAEGKKKASSPSADLPHFRSSFSAPSVIRRHEMLLQSNLSLNASCSSDASTDSFCSRASIGKIGRTSLTNKRRQSTPRIGKTLDKAEKNVSDDSAVPPPEVVQGKKKCAWVTPNTEPCYASFHDEEWGIPVHDDRKLFELLVLSGALAELTWPVILSKRHIFREVFLDFDPVAVSKLNEKKILVPGSTSSSLLSEPKLRAIIENARQILKITDEFGSFDKYCWSFVNYKPIVSKFRYPRQVPVKTPKADVISKDLVKRGFRSVGPTVIYSFMQSSGLTNDHLISCFRFQECVAAASSSADEANDAKRNINTKVEEKTDTGQELTVGIDLELSRAMDE >Ma10_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27224690:27228427:-1 gene:Ma10_g14960 transcript:Ma10_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGDALRQAFMPKREYERLREEERAWGRLQRPLVTSAAAAVGFAVLVAVVVNLSIVFPREASQRPFCRDWKVLQALQLNVSQESELHRYRGAFYLTDQEAVDYYWMVVFVPSAVVFVVSVAYLVAGMAVAYAAPRRHPCLKVVENNFCASKRGGVRCLSILNVVFALIFGFMALFLGSSLLTMGNSCFIPLFWSYEIASWGLVILYGGTAFFLRRKAAVILDEGDYAGHNLGLEMLEPATEVSPEIERRLNEGFKAWMGSSLLSSDEEDGPDDYIEEGHPALADADQQRR >Ma01_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3939472:3954624:-1 gene:Ma01_g05580 transcript:Ma01_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MGLYRDQHSLIPVADLPQPFRSTFNFRYFNSLQSECFSGCFLSDINMVISAPTASGKTVLFELCILRLMSKFLSSEGKFNHKKGTLKTIYIAPSKALVQEKLRDWCMKFHSLAIKCLEMTGDNESYKAKNIQEADIILTTPEKFDAVTRHGARNGDLSFFSDIALVLIDEVHFLNDPRGATLEAIISRIKMLSRISEMRSSPLAYVRFLAVSATIPNIQDIAEWLSVPAQGIKRFGEEMRPVQLMTKVFGYAPAKNDFMFERRLQNFIFDILMQYSRGKSALIFCSTRKGALESAQCLSHIAMSLGYSNPFIKSIEQQQKLKEASLSFTDKQMQSCIIHGVGYHNGGLCMKDRNLVEGLFLKGDLQILCTTNTLAHGINLPAHTVVVKSTQYFNREKGLYMEYELSMVLQMCGRAGRPPFDDAGTVIIMTRRDTVHLYENLLNGCEMVESQLLTCAMEHLTAEIVQLIISDICLAIEWLKCSYLYVRIKKNPENYGIERGIPHECLEKHMQEICVKKIKELSEHGMIWTDDDGFLLKPLEPGILMTKFYLKFNTMKLIVNAPGSCSVEDVLRIISHSEELSWIQLRRNEKKLLNDVNSDKEGRLRFHVLSENGKRKKRIQTREEKIFVLANDCLTGDPLVHDLSLNQDMNSICMSGCRIAKCIKQCFIYRKNYKGTITSTLLAKCLHHRLWENSPYLLKQLPGIGMVTAKALHSAGINSFESLGAADPRKIEIITGRKYPFGNHVKESLLLLPPKVEIKMEEAECKKPGKLKLIVTLNRTCLSATSTKHHYADMLVGSDEDNMILFHEKIRAEEFPSPYVVTVLVSCPQNARVTLKADLIFEEYVGLDLHEKLVVTKGNNSIMTRGPLCEDSMPYALPEEIYLIEDDQKSSSKIMCDETLDLDNLRVNSSM >Ma02_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14251506:14260062:-1 gene:Ma02_g02840 transcript:Ma02_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLRTTGAGDSSSSGSSDPVVLANASDVSHFGFFQRTAAKEFILFVGRTVANRTSPGQRQSVQHEEYKVHSYNQNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGESWRVQQTDSTQPWPYLTEALTKFQDPAEADKLLKIQKELDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNQCCTML >Ma01_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6191317:6192651:-1 gene:Ma01_g08630 transcript:Ma01_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYNSSSPSTVPHKRDLPALLEAARPFLREELDKVDPDLPSLVTVLRSAGAGECYHKHGSFLAHLTDVYRILKLWCAPDAVARCGLYHSAYSNSYVNLAIFDPSTGRDRVRGIIGGPAERLVHLFCVVPRQTLIHDDLLFRYSDDELVEHLERSEASLRAAKERGAFDESEPWRRKLRSLVPAEGMIVKHIRTGEDTVVPRRVVATFLLMTIADFSDQYMDFQDKLFENENGRLELNGNAWTALWPGTGKPGLYMNSLSRMGALYTLIAREEEIYLEERKRMSRDEGLPMPGREEEMELMIPPVFDNCTKVLEAKDQTVGRDYYWEAICGDWEKEGEKGWEKVEGLLGESIRRNPFVGEPHLVLGQVYMNQGKYEEAEAAAGKGLRLLLEWGSSWDKRMSWEGWVAWGRVLSAKAKEKTWPHSSWGIANLGLVR >Ma08_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10621843:10624049:-1 gene:Ma08_g13480 transcript:Ma08_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKSSWNTWVHFYCDINETIIRESADALVSIGLAKLGYRYVNIDDCWAEHDRDSMGYMVPKRLTFLSGIKVLADYVHGKGLKLGIYSDAGHQTCSQTMPGSLGHEQKDAETFASWGIDYLKYDNCNNDDLKPMKRYPEMTRALMRIGRPIFVSLCEWGDMHPALWADKLGNSWRTNFRHKRFMGKVRSMVSRADQNEVYAEHARPGGWNDPDMLEVGNGGMSNDEYIVHFSLWAASKAPLIIGCDVRSMTKETLAILGNEEVIAVNQGRHMRRKVRKDGDHEVWSGPLSGYRTVVILLNRSPEFRTITSQWDDIGLPLNTVVEVRDLWKHATLEKRYMNELRADVHHHACKMFLLTPLTLSDVDEPKV >Ma11_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15939851:15940750:1 gene:Ma11_g12130 transcript:Ma11_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTAEKIAARRRYRRIRHVQTLLCCLEALSAFCLLSWSTARLPAAARLSAGLLRRVAPVLLGPRFVFLLGNAIVLALFAMSGRHPGSAAPSASGGEIHDQFLAYESRGIRSHAAGKEKAPRAWRRSRSERMERRRGHRVPELRRSKSEVSCQEVAVAATEKEEREADAEEFRRTIEAFISEQLRRFHREESTAAVVASAGTPDTTTTTTTISCFGTYYC >Ma02_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15010074:15026963:1 gene:Ma02_g03550 transcript:Ma02_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g15720 [Source:Projected from Arabidopsis thaliana (AT4G15720) UniProtKB/Swiss-Prot;Acc:Q8VYH0] MTVKGLVAWNSMMSGYLRCGQAEEALELLMLMVAYGMRPGDFTYAICVDACTALASIKQGLQLHASIIKSGFDSDLVIRNGLVDMYAKCGCIGSAKLVFEMMPSSDPVLWTTMISAFGKYGCVGEAIMMFEKMVELKIERDGITYLAVLSACSHGGLVGEGWRYFRLMFEEEGAAIAESEHYGCMVDLLCRSGCLEEALSFIEGMPLEPSIAVWSTLLNACRIYGNTKLGKLAACRLFKLDPEFQSNWVILSSIHAAESEWDKTRKLRESMKGENVKKEPGCSWIELGDGVHVFHTADRSLPQVLEILQTLEALNKDLIILHVERLMLLDSAIICRLRGSSDLLTVAASHAALLKSGARTVSVFNHLINAYVRREAVPDAHKLFDEMTVPDVVSWTSLMAGYVHVARPDDALSLFHYMLNCEAQPNPFTYATAINACSRLADLALGRTIHARMETCGVRSDVVVSSALLDMYGKSNEVDDARKIFDDMIERNVVSWGSMISAYAQNARGHEALALFGEFLQASSSMSLVPNHFMFSSVVNACASVGRLGLGRSSHASIVCRGYDSNEVVAGALIDMYSKCGSIDYARKVFDHIECPSLVPYTSMIIAAAKYGLGNQSLELFDEMIAQGVKPNSITLLGVLHACNHCGLVDIGLLHLNSMRDRHGIAPSMRHYTSVVDMLARAGRLDEAHELSKQVKAEGDDALMIWSSLLSACRTFQRLDIAAEAGKKLAEFNRDVAGAYVAMSNAYVAVGQLESATRIWAEMSRRRIKKEPACSWVELKDVAYVFYTGEVSSAGPRQVELMELLKELERRMRERGYVGGGNGWGLDGVEEGEEGKGVMVGVHSERLALGFGLISTPKGITIRVMKNLRMCKDCHEAFKLISDIVERELVVRDLNRFHQFKNGSCTCRDYW >Ma08_p04530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3151674:3156965:1 gene:Ma08_g04530 transcript:Ma08_t04530.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRSIRVANISDLAEEREIREFFSFSGDIEHIEIRGNVGSGRIAFVTFKDPKALEIALLLSGATIVDQIVSITPAEDYITRSEEQVMADNVTIEAPVSIYSPTVEGKSSPGDGQVHVSKAHDVVAAMIAKGSAFRQDAISKAKAFDEKHQLMAKASAKVKSVDQKLHVSNKTMAALTVAEQKLNDTGTAVKTNRYVTAGTAWFHGAFGKMAKAGHVARTKTREKFQLAVTNLTAKDPVVAA >Ma08_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3151676:3156965:1 gene:Ma08_g04530 transcript:Ma08_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSIRVANISDLAEEREIREFFSFSGDIEHIEIRGNVGSGRIAFVTFKDPKALEIALLLSGATIVDQIVSITPAEDYITRSEEQVMADNVTIEAPVSIYSPTVEGKSSPGDGQVHVSKAHDVVAAMIAKGSAFRQDAISKAKAFDEKHQLMAKASAKVSSFDKRVGFKEKITVGISVVNEKVKSVDQKLHVSNKTMAALTVAEQKLNDTGTAVKTNRYVTAGTAWFHGAFGKMAKAGHVARTKTREKFQLAVTNLTAKDPVVAA >Ma07_p28080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34250931:34252584:1 gene:Ma07_g28080 transcript:Ma07_t28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTLRSILTCCACAVCCRVYGHSVGFGGSCFQKDILNLVYIYECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVSEDQIQHDLAMNKFDWDHPIHLQPMSPTAVKEVTVTWDAYEATKGAHGVCILTEWDEFRKLDYAKIFQNMQKPAFIFDGRNVVDPEKLREIGFIVYSIGKPLDPWLKDMPAVV >Ma07_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27783571:27787278:-1 gene:Ma07_g19860 transcript:Ma07_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAAKNPKKTNLLDHHSIKHLLDESVTEVVKSRGYAEDSRLSNVRLLIGSIIIAIALLAQFYPKKFPENRDFLIVCIGLYVVFNGLLQFISYTKEKNAILFTYPPAGSFNSTGLVVSSKLPRFSDMYTLTIASADPKSISANKPVVLTKSVTKWFTKDGVLVEGLFWKDVEKLIDDYNGDRKSK >Ma09_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35948098:35950921:-1 gene:Ma09_g24260 transcript:Ma09_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELCDLKVLVDGQHTFLLHEKTICSFSGRMKKMVKQERKRSQGKGWAALKMMEFPGGPDGFELVSRFCYNGGSIAMSPANVCVLHCSAILLEMTEEVAACNLLRQTETFLEGLFYWTWSEILTALKSCETLFPTADSSGLVYKLISSLLEKISANSGTPLTSATLCPSSSSSSSSPETSGLMRSSSTKTSEASKPCFGREWWFDDLTNLSPTIIEKMMKALGAYGTDNRNLILTRFLLYYLKAAGQKPSFCGGGGGGNHGQGKEGYGGLADTAVYGVALMGRTAFSCRGLFWVLRVVSGLGLSRECRQKLEMLMGLMLDQATLDDLLVSGHNDGAYDVNLVVRLVRIFAGAEESNASSLQRMKKVGRLIDKYLGEISPDHSLKVSKFLELAESLPDSARDCFDGVYRALDIYLESHPTLSIEERTRLCRCLNYEKLTLEACKDLAKNRRIPPGIAVQALASQQSKLQIRTNVDDRHGTDQKLRRVKCAVPVEHKRASPKLLDEKEELKFNLQRMQNRVMELEKVCREMKGQMSKMVNNKSYHNARGLSRLC >Ma05_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36469656:36471716:1 gene:Ma05_g24180 transcript:Ma05_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVWTSLASIMGVFAFFQSILHAVFPPELRFAAAKLFHRLFRCFSTYCYFEITEMDGVNTNELYHAVQLYLSQSASMAASRMSLSRGLNSSAFTFGLANNDRIVNGFRGASATWEHTVTQRQSQSFSLRPLPEEKRSFTLQIKKKDKPLLIPAYLDHIMETATELRRRNQDRRLYTNSRGGSMESRGFPWESVPFKHPSTFDTLAMDPARKELIMADLNDFAQGKAFYENTGRAWKRGYLLYGPPGTGKSSMIAAMANHLSYDIYDLELTEVQTNSELRKLLMKTTSKSIIVIEDIDCSVNLINRSSKKPAPPCEPPSDLRPARGTEDGGAAARTITLSGLLSFTDGLWSCCGSERIFVFTTNHIEKLDPALVRSGRMDMHVFMSYCSFQALKILMKNYLGWEDSEQSDELMRELAEVVDEAEITPADVSGILIKNRRRERREAAAELLEALKARVERRKRSLSEQVVEAEEQEKRALESPNESTDQLMHSCNAKEDKGAEED >Ma10_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13587455:13588166:1 gene:Ma10_g03390 transcript:Ma10_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRVVHLSGQVELYSRPVSAREVLAAHPNHVISKPCSQGVVRKIFMLSPDSELKRGNIYFLLPESALPGKERKKIHRKRPQKTGGDVVVVKDLDHDNSVEQEVVSTKVCHRRRRSGRVGVWRPHLETISED >Ma04_p38810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36198377:36198991:1 gene:Ma04_g38810 transcript:Ma04_t38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGHVLVFLLLLAAASTSTAARDLEAELAKGKGKGQGGGGGGSGIPGFGADPGGYFGPGSGFNMPGFGGGWGAGYGGPTGGYSRGGVVRPSVVCSEKGPCYKKRLTCPAKCFSSFSHSGKGYGAGGGGGGCTIDCKKRCVAYC >Ma10_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26783169:26788551:1 gene:Ma10_g14270 transcript:Ma10_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSDPSALARGRLAVLSAHLAANRSFGGFSSVLERSPTLSQEDARPPGNLGGSLTVIDERTGKKYALPVSTEGTVKATDLKKITAGKNDKGLKIYDPGYLNTAPVRSSICFIDGDEGILRYRGYPIEELAENSTFLEVAYLLMYGNLPTESQLADWEFAISQHSAVPQGVLDIIQAMPHDAHPMGVLVSALSTLSVFHPDANPALRGQDLYHSKQVRDKQIVRVLGKVPTIAAAAYLRLAGRPPVLPSSNLSYSENFLYMLDSLGNRSYTPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVFTALAGAVGALYGPLHGGANEAVLKMLNEIGQVENIPEFIEGVKNRKRKLSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDDYFIKRKLYPNVDFYSGLIYRAVGFPTEFFPVLFAIPRMAGYLAHWRESLDDPDTKIMRPQQVYTGEWFRHYTPVRERMVSETTDKLGQVGVSNASRRRLAGSQV >Ma05_p25530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37445567:37448506:-1 gene:Ma05_g25530 transcript:Ma05_t25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKDEEEYVKPAVLVAPEVPVA >Ma05_p25530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37444462:37448506:-1 gene:Ma05_g25530 transcript:Ma05_t25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKDEEEYVKPAVLVAPEVPVA >Ma05_p25530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37445396:37448506:-1 gene:Ma05_g25530 transcript:Ma05_t25530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKDEEEYVKPAVLVAPEVPVA >Ma07_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14313570:14313908:1 gene:Ma07_g16570 transcript:Ma07_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAILEGLKRRVTGEHGAWVDELSSVLWVLRTTPKAASGESPFSLAFRTKVVLPPEMTFLTWQTNTFEENDSEEGLRANLNLLEERRAEAHLRTLAYKKAAARLYNRRVYP >Ma09_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7610427:7611303:1 gene:Ma09_g11240 transcript:Ma09_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.4 kDa class V heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G21870) UniProtKB/Swiss-Prot;Acc:O49710] MELYYPIQSSWPLFPAPHFFSSSDSATPQNYVHWTETPESHRFSADLPGVRKEEIRVEVEDSRYMVIRTERRDDDGLGEPAQRERRGFVRKFRLPETVDIDGITAAYEDGVLTVTVPRLVSRRRLQLDLEDLADASHAVARAA >Ma09_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5206663:5207836:1 gene:Ma09_g07920 transcript:Ma09_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPDEPGLAAVAPPLSITKRFIIAAASAINDAACRSDGTVNRRLVSLLDARSSASAKPVHGIRTVDVPVDTSRDVWFRLFIPCSDSAGLKIPVIVYFHGGGFAFLSPASYLYDHVCRRLCRTVNAIVVSVNYRLAPEHRHPAPYEDGVDVLRFLDRVGLLYADPLAADLADLSRCFLVGDSAGANICHHVARRWAAGAGSGWKRLRLAGMVLIQPYFGGEQRTEAEVRLAGAPLVTVERTDWLWRAFLPEGADRDHEASNVFGPRVTGELEEALPAALVVVGGFDPLQDWQRRYYEGLKARGKEARLVEYPEAFHAFFAFPDLKQSAVLMEDVRSFIEGHRPSKENTGGC >Ma05_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5392843:5425184:-1 gene:Ma05_g07420 transcript:Ma05_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASPRLIRLEEEIEGDHGATADFVFCRLGEPVSLKPADSEFDLQAPPARPLAISERFGAIFLAHSEGFLVAKTKDVIGLGKEIKEKGKTPCIQNTSVVDVKIGRVSLLALSNDSTVLAAAVGGEIHFFYVPSLINHKECKPSFSNALKNSGIVKDIRWQKNSQKSFVVLSSDGLLCHGRVKDSIKDVMENVDAVDWSMEGDYIAVARKSDIRIFSSDFKEQICITLSFQSWSNDTESEIFIKVDSIEWVRDDSIVVGCVRVNEDGNEEGYLIQVIKSREHKLTENLCKPVVFSFPDLFEGVLDDILPTGAGPYLLLSYLGRWGLLLASNKKNIDQHVLLLAYSMDDNQREVSLLEFQNDKYKPTICLKENGDDNLILGFGVDKISVFEKVKVQVGIESRELSPLCVLYCLTCEGKLIMYHVARVSDPSDLPQSSLPPTDVLLENELATSSNLKDDTDGLKSNNNLKQIIPESPSVPTGEELRSARNKDIHQVPSDKIHTGKRDESNDNSVVRPSIPQTAKMTQLSMESPTINVTASVGQRKSGMIETVSSSGEGVNVPHVIHNLSSDASVTMQANAKNIIKGGDKVEVSPGPTRIGGVPSDLQSIGGINAKVSPFASGSSAVSGSFGKSEAGVGSKASFSSQKSLSTNPLSGSTSLNLTGVGGGSSNLMFSSNRGTHSVAHTTSFNKSANTEAASPGSSLPQKSSIVGKSLFPKPQTLVEDLRTSKSSLMLDSEPELSKQFYNVKDMTKELDTLLSLIEQEGGFKDACTVLHQNSILTLEDGLKNLSQTFRMCRRKVEEELTEIQELQRKMSQVSARQVYMADIVKQASTEHYWDIWNRQKLNPEIEQKRQNIWKVYQDLTNQLIELERYFNTLEISKFGESDKSTGRRAFHGNMRQSRHLQSLHSVYSTLNSQLAAAEKLSDSLSRQMALLHINNPTKRVGVARELFESIGLADEGITLKSPDVRSPFQSPVSVKRITSSTDFSSKEYPRRAASSALSTIEPETTRRRRDSFGKNWASFEPPKTIVKRTAHQEHVRVSANNPFTTAKKQFDAQIESFAVIQQKATEKPASLTESLTGKVQPEVYRVSKDIQEKPSQQTSKSQSSTVFRWSGSPQPLVSKSHPTEEIRNHMTETSVAMAPASSLLSPGTLESKSNPMREIRKSTPLTSVAMSPTSSLFNSASSGAKENTGSDVVTHISNSSVKSVSFPKMTPNVQMEAPNTVATSIKFPSGTSTPKSISKEAKTQVEKQLSLKTLGEGFSGKLQGSMQQSAVSPESLSALSTNSNALSFSTMFGVRTDISQSITGKKQSSGTVSALTSDTKVSSSPTPFSTFTLSTPSSISNASTSAMPSLPNITLGGPSPVHAMTSASGSTSSTAQYFVPSSSASASLSQSWSSTSAPSPSESSALQSSPSVSNEANARSELTLQLTSSPQQGTPKFEPVTSQAVNVGLTGLSMRGEPSSLTTGGNIIPAASNSQPGLGSVVSPPMGTATVNNNELDVNSSQEEEMEEEASDPSNMLNLGALGGFGLGSESTSSTPKPNPFGGSFVTANTSISTSPVMLTASPGQLFRPPSLSLPTAQSVQPTQSVNSSAFSGGNTSGLGGFGQPSQIGAGQQALGSVLGAFGQSRQLGAGGFGGGFPTVATSGGFSTATGFTGTAAGGGFAALASRGGGFAAAAVSSSSGFTGFGAGGFSAAAVASGGGGSFGGGGTGGGFGASASSGGGFAGAGSQGGGFGAAGFGGGFTSVISCRGLVSRRGRRRETAREMEEPLVARFWCHVCTQTVNPVTEAEIKCPRCDGGFLEEMDPPRGHADTPLDPASHRAFSLWTPFFLGLLGGGSLRRGGPHGEGEGDEDDVEHSNRDLDSQTAAQRPQGSSAILQLLQALGRSDSEGESERVILINPFITQAIILQANQPQTQTQPQTPGGISDGGVGALFEDYFLGTRSSLDLLLQHLAENDPNRYGTPPARKEAVDAMPTVKVEENTSCPVCLEDMEVGAEAREMPCKHKFHGECILPWLELHSSCPLCRFQLPADDPKVPSAGGGGSNAAEAGGEGSGDGGSRESARWLWIPGLEYASVIVLEHSEETMNMKGMIAAQTSLLLDGHRSGDSMMTAISGAHAWRIISIPLNKSDMHDDQLVSVEMKMYHQHQQGGHNNILSSRTAFPAERHLLLQGGRIPEESGLVLSTDAKPRLKWTPELHERFIEAVDQLGGADKATPKSVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQASSGSAKIATGCKLVAGRTAEGNGLLLGSTNIIPQSNKNIPINEALQMQIEVQRRLQEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGKQNLGSPGLEAAKVQLSELVSKVSNECFSNAFPGLEEIRNPNTLQVNPSQLADCSAESCLTSSEGSQKDQDMTNFHRSLRAYGGGLPLCRQQMHQDTRLETTQSAWCYLNDQKTFPSSILGDSERTTFSVQDFATHPVSSKAQRGGGADSEAQQKERSEEHMFLEHPNNKRVAGQQDRGKQSNSFGMPGHTAQLDLNADEDNEGDRDSKFDLNGFGWS >Ma11_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5420661:5420936:1 gene:Ma11_g06760 transcript:Ma11_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGIVVEATTSSNTESLLPSKTSYAHSLSRADDELRSFQPLVSWSLFFLLGIFISIVSLFVLSYATTSRAYDMVV >Ma10_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32421527:32422273:-1 gene:Ma10_g23540 transcript:Ma10_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma03_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7781020:7782581:-1 gene:Ma03_g10340 transcript:Ma03_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQRRAHILVIPYPAQGHMLPLLDLASLLSDRFRLVVTVAVTQGNLPLLSPLLSRSPAVSTLVLPFPENPSLPRGLENTMFLSWPQFGLLHRALGGLHGPILRWARARPDPPDAVLSDIFVGWTARLAAELGVPRLVFSPSGAFALAVMNSLWRRMPQRSDVDDPNELVAFPSIPGSPVYRWCELSTLWRSYKRGDPVSEFIKEGMLANLGSWGLVVNTFSDLEGTYLDHLRNEYLGNPRVWAVGPLAPSHGTASAAERGGPVSVPAEKVAAWLNGCEEGSVVYVAFGSQAMLSPPAAAALAAGLERSGARFVWAARAGTAVPEVFEERAAGRGLVIRGWAPQVAILAHPAVGSFVTHCGWNSVIEAAAAGVALLAWPMGADQFTNAQLLVEAGVGVRVCDGGPTSVPDPDELARAVAESVGEPGRERRERAKAMGTRTMQATTEGGSSYKDLVKLVGELSKLATM >Ma11_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16187980:16194854:1 gene:Ma11_g12290 transcript:Ma11_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPGILVRGKVRRDHGGEGVRASDIGGYGARASVLGGDGGGVPAGARGLVSPASKSSLTISPETPLASCRSWRRRPFAFLNQELSRGTCSESTNRQGRTCRGRAAWRSRQWWTLVGMETQ >Ma11_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5833243:5834080:1 gene:Ma11_g07360 transcript:Ma11_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRMGTGCWLEILTYRMVLETVKRLRIMRKQMGCQSVHFGELDLNAAPGFQSLNKASEAIGSSPGITAGNRMRCS >Ma10_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17054232:17054659:1 gene:Ma10_g05710 transcript:Ma10_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARHRSHPHLVPCHHLPLLRPHQLRRRVAPSQLHCLHHSDAGALLTYRAPSAQQNAAEKPPFFLPSWTAMYAVNAFVVGVGAGGGRERPTSSSRWDNFGLFAKCYQCPKTRPPATLAPKQRQ >Ma03_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7706841:7707194:1 gene:Ma03_g10250 transcript:Ma03_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRQPELMKRAQDEVRGCVRSKGEAEESDLHQLHFFKCVVKETMRLHPPAPLLIPRETMQHFKLNGYDILPKTWMYVNAWAIGRDPNSWGRPHVFDPQRFMHDSMEANGHDSKM >Ma09_p28530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39251042:39258565:-1 gene:Ma09_g28530 transcript:Ma09_t28530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHRGDDMDEMAEDYDMGDVEDDMYEEFQGRGLGDSDSDDEEYGPLNGRASDISSAQARKGKDIQGIPWSTLSITRERYRQTRLEQYKNYENVTNSGEASEKDCKPTDKGGIYYEFQRNTRSVKSTILHFQLRNLVWATSKHDVYLMSNSSVLHWSALSGEKYEVMNVSGHIAPEERLPGSLLEGFSQIQVSTLAVKDKLLVAGGFQGELICKFLDRKGISFCCRTTYDDNAITNALDIYDSSSGAVHFMSSNNDCGIRDFDLEKCQLCKHFHFQWPVNHTSLSPDGKILVIVGDDPDGMLVDAQTGKTVHKLQGHVDFSFASAWNPDGQTFATGNQDKTCRVWDVRNLSKSVAVLRGNLGAIRSIRFTSDGRFLAMAEPADFVHIFDVGSGYNKQQELDFFGEISGMSFSPDTEALFVGVWDRTYGSLLQYSRLRNYLYLDSLF >Ma09_p28530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39251042:39258263:-1 gene:Ma09_g28530 transcript:Ma09_t28530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHRGDDMDEMAEDYDMGDVEDDMYEEFQGRGLGDSDSDDEEYGPLNGRASDISSAQARKGKDIQGIPWSTLSITRERYRQTRLEQYKNYENVTNSGEASEKDCKPTDKGGIYYEFQRNTRSVKSTILHFQLRNLVWATSKHDVYLMSNSSVLHWSALSGEKYEVMNVSGHIAPEERLPGSLLEGFSQIQVSTLAVKDKLLVAGGFQGELICKFLDRKGISFCCRTTYDDNAITNALDIYDSSSGAVHFMSSNNDCGIRDFDLEKCQLCKHFHFQWPVNHTSLSPDGKILVIVGDDPDGMLVDAQTGKTVHKLQGHVDFSFASAWNPDGQTFATGNQDKTCRVWDVRNLSKSVAVLRGNLGAIRSIRFTSDGRFLAMAEPADFVHIFDVGSGYNKQQELDFFGEISGMSFSPDTEALFVGVWDRTYGSLLQYSRLRNYLYLDSLF >Ma09_p28530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39251042:39258346:-1 gene:Ma09_g28530 transcript:Ma09_t28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHRGDDMDEMAEDYDMGDVEDDMYEEFQGRGLGDSDSDDEEYGPLNGRASDISSAQARKGKDIQGIPWSTLSITRERYRQTRLEQYKNYENVTNSGEASEKDCKPTDKGGIYYEFQRNTRSVKSTILHFQLRNLVWATSKHDVYLMSNSSVLHWSALSGEKYEVMNVSGHIAPEERLPGSLLEGFSQIQVSTLAVKDKLLVAGGFQGELICKFLDRKGISFCCRTTYDDNAITNALDIYDSSSGAVHFMSSNNDCGIRDFDLEKCQLCKHFHFQWPVNHTSLSPDGKILVIVGDDPDGMLVDAQTGKTVHKLQGHVDFSFASAWNPDGQTFATGNQDKTCRVWDVRNLSKSVAVLRGNLGAIRSIRFTSDGRFLAMAEPADFVHIFDVGSGYNKQQELDFFGEISGMSFSPDTEALFVGVWDRTYGSLLQYSRLRNYLYLDSLF >Ma09_p28530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39251042:39257435:-1 gene:Ma09_g28530 transcript:Ma09_t28530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTKHYKGEDCKPTDKGGIYYEFQRNTRSVKSTILHFQLRNLVWATSKHDVYLMSNSSVLHWSALSGEKYEVMNVSGHIAPEERLPGSLLEGFSQIQVSTLAVKDKLLVAGGFQGELICKFLDRKGISFCCRTTYDDNAITNALDIYDSSSGAVHFMSSNNDCGIRDFDLEKCQLCKHFHFQWPVNHTSLSPDGKILVIVGDDPDGMLVDAQTGKTVHKLQGHVDFSFASAWNPDGQTFATGNQDKTCRVWDVRNLSKSVAVLRGNLGAIRSIRFTSDGRFLAMAEPADFVHIFDVGSGYNKQQELDFFGEISGMSFSPDTEALFVGVWDRTYGSLLQYSRLRNYLYLDSLF >Ma08_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12434002:12471354:1 gene:Ma08_g14340 transcript:Ma08_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHQEQLLPSSMLLFPPRSKHLSLLLALLLFGFGFAVGIFSSFYLQTTPFSLSMAQLLLQPSAPSQSAVSASSPPRSVSAKPRIGLKEFVEPDVILHDMTDEELLWRASMIPKIQKRPFSRPPKVAFLFLTKGHLPLAPLWEKFFEGNEGFYSIYVHASPLNGSAPKGSVFHGRRVPSKAVQWGGMNMMEAERRLLANALLDVSNQRFVLLSEACIPLFNFPTIYSYLINSTKIYVQAYDLPGPTGRGRYMKKMKPLLKLEQWRKGSQWFEVDRSLAIEVISDDEYFPLFQRHCTSSCFADEHYLATHVSVRFPGRTANRSLTWVDWSKGGPHPARFLRRDVTVELLERMRNGSSCRYNGRSTRICFLFARKFLPNSLVRLMRFAPKLMDFKQRYEGRRG >Ma01_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10018713:10019197:-1 gene:Ma01_g13700 transcript:Ma01_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVIGAGISGLASAYTLAKAGVDVVLYEKEDYLGGHAKTVTFDGVDLDLGFMVFNRVSASQSTPRWSSFRILVCSEYSASHP >Ma08_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12540621:12557232:1 gene:Ma08_g14370 transcript:Ma08_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPNPPTYAVIADEQSGRLAIPGIAAAGRDDVHVVRLPTRRGNEIVAVYLRHPRATATLLYSHGNAADIGQMFDLFVELSVHLRVNLIGYDYSGYGQSTGKPTEYNTYADIEAVYNCLKEQYGVADEDLILYGQSVGSGPTLDLASRLQKLRAVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPILVIHGTSDEVVDCSHGKQLWELSKQKYEPLWLNGGGHCNLELYPEYIRHLKKFVSATQKDSAARSNLKETKPPTRDDESGQSEIKAADDEHRACSCSEIARKSLDSQLRKPPKADQPEKSRMSTDLGERKRRKGLVW >Ma09_p10940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7411640:7417896:1 gene:Ma09_g10940 transcript:Ma09_t10940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTWLWKKKSSEKNIEKEKALELERSLEDLNEQLSSVRTESSAKDDLLAGQAKVAEEAIAGWQKAEAEALSLKQQLDDALLQKKTAEERVVNRDIALKQCMQQLHAVKEEQQFIITNAALKISREQEKTRTSEQKLVETNKRLADLVLENGNLNRILDVKEQLLKELSESKSKSEANFTDVKSRVDSSEKLNDSLKYELCMLQKELEIRSEEREFNHRSSNAAHRQHLESVKKIAKLETECQRLRVMVSKRLPGPTALAKMKSEVEMLGNNSVETRKKKSTSTNEAFNIKDNIFEGSHNASNKSGASLVERLRSIEYENKILKESLTKKNSELQASHIMLARTTSKLSQVEKKFEELSKGHACIELARSSPATYDLPLSSISEHGGNEDDISCGEPWAYTLISELEHFKGGKPTTSSCKSAGISELSLMDDFIEMEKLAVVSADKYLESSLSTLESSLSTLGDCNSCVTTKESCTGPDLSEATGKELVPIKDLSHCSEENNENQVRYVSFESQPSWLQDILRVIIQKHHITQKSLNAILDDVRVALGVWNYSTEAKHKDSLYCSDNLLQQPKHISSYLIDGAINTGMLNAKSGSQLRQSNLEKSVCKLIELVEGIIQRNIKSKNGQHVLSGDNESTFTHTKSASANGYVARAFLWESSELNVVLQNFVTVCSHMLNGKFDLQQFTSQVTSTLDWIINHCFSLQDVSDMKETIRKQFDADESYRVNELKAVIYPAKKVGKRDAYEESNITAERKRPLLSASNGLNNLSRIDDIESKVKDENEHLKYENMSMELRRKDLEEKLKTFSDKNETLVAQLRKSEKNSANLQIELAALRESKGQIEDQIINQKLINEDLGTQLTVAKAELNEARQKFSTLEVELEEKSNCCEELEATCLELQLQLESASSKETPKYIMRQEEKKIQAECDIVAASEKLTACQETILNLGKQLKALASPRDAPLFDKVTLSPAVKSNHRLQLLDHLRAENHAKHEETRSPNTKEIICTEAPKPPAAASKNHSAGSLYEHKIHTNHGHRSSIRSIIQLSPEKSPDMLCGLEVSDKHKGGTDPRMLLVAPKRQKGGVRFLRKLFLGRKREQ >Ma09_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7411996:7417896:1 gene:Ma09_g10940 transcript:Ma09_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTWLWKKKSSEKNIEKEKALELERSLEDLNEQLSSVRTESSAKDDLLAGQAKVAEEAIAGWQKAEAEALSLKQQLDDALLQKKTAEERVVNRDIALKQCMQQLHAVKEEQQFIITNAALKISREQEKTRTSEQKLVETNKRLADLVLENGNLNRILDVKEQLLKELSESKSKSEANFTDVKSRVDSSEKLNDSLKYELCMLQKELEIRSEEREFNHRSSNAAHRQHLESVKKIAKLETECQRLRVMVSKRLPGPTALAKMKSEVEMLGNNSVETRKKKSTSTNEAFNIKDNIFEGSHNASNKSGASLVERLRSIEYENKILKESLTKKNSELQASHIMLARTTSKLSQVEKKFEELSKGHACIELARSSPATYDLPLSSISEHGGNEDDISCGEPWAYTLISELEHFKGGKPTTSSCKSAGISELSLMDDFIEMEKLAVVSADKYLESSLSTLESSLSTLGDCNSCVTTKESCTGPDLSEATGKELVPIKDLSHCSEENNENQVRYVSFESQPSWLQDILRVIIQKHHITQKSLNAILDDVRVALGVWNYSTEAKHKDSLYCSDNLLQQPKHISSYLIDGAINTGMLNAKSGSQLRQSNLEKSVCKLIELVEGIIQRNIKSKNGQHVLSGDNESTFTHTKSASANGYVARAFLWESSELNVVLQNFVTVCSHMLNGKFDLQQFTSQVTSTLDWIINHCFSLQDVSDMKETIRKQFDADESYRVNELKAVIYPAKKVGKRDAYEESNITAERKRPLLSASNGLNNLSRIDDIESKVKDENEHLKYENMSMELRRKDLEEKLKTFSDKNETLVAQLRKSEKNSANLQIELAALRESKGQIEDQIINQKLINEDLGTQLTVAKAELNEARQKFSTLEVELEEKSNCCEELEATCLELQLQLESASSKETPKYIMRQEEKKIQAECDIVAASEKLTACQETILNLGKQLKALASPRDAPLFDKVTLSPAVKSNHRLQLLDHLRAENHAKHEETRSPNTKEIICTEAPKPPAAASKNHSAGSLYEHKIHTNHGHRSSIRSIIQLSPEKSPDMLCGLEVSDKHKGGTDPRMLLVAPKRQKGGVRFLRKLFLGRKREQ >Ma04_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2149666:2154421:1 gene:Ma04_g02530 transcript:Ma04_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYTYSFSPARTASSQTGSIAYTDSQYLAELLAEHQKLGPFMQVLPICSRLLNQGHCTIGSDRSFFRPILNVVNLIYILMNYSLTEIIRVSGMVSNQGFSDCNQLQHRSRSAMATPNLISNAGGTTFAGWRGPPQEILGCPEGVTMDWRGAPTGPNSCIIKKILRLEIPVDACPNFNFVGRLLGPKGNSLKRVEASTGCRVYIRGKGSIKDPGQEEQLQGRPGYEHLDDPLHILIEAELPANVINTRLRQAHDIIEELLKPVDESHDYHKRQQLRELAVLKSGLRDDNNPHPSLKSSFIL >Ma04_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10341669:10341881:-1 gene:Ma04_g13680 transcript:Ma04_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNLVHFKFCIEEKKLFLNVLDPFVAQDLHREDNIIVLRIASACVQPNPETRPSLRHVADALERLNNQS >Ma11_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5177713:5195326:-1 gene:Ma11_g06430 transcript:Ma11_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFLEPSSFFQVRPSSVRAFSMPFRSYVVCCSNGNPSTVVLLGSSRDLESRERKVVRLGLPSKGRMADETLSLLKNCQLSVRQLNPRQYVADIPQLSNLEVWFQRPKDIVCKIQSGDLDLGIVGFDTVCECGQGNDDLIVVHEALGFGACCLSIAIPKYGIFENVNSLGELAKMPQWTKDRPLRIETGFSYLGNKFFQEKGFEHVRFQRSEGALEAYPAMGTTDAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRRSLNMRKGALEITHEILERLEAHLRAIGVVTVVANMRGNSAEEVAERVLSQPSLSGLQGPTVSPVFRSRDGKVVLDFFAIVICVPQKELYKSVQQLRSIGGSGVLVSDLTYIFEEETPRWRRLLSELGL >Ma04_p13150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9952346:9956799:1 gene:Ma04_g13150 transcript:Ma04_t13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE-like protein 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26500) UniProtKB/Swiss-Prot;Acc:Q84W65] MSSLSSSSLHILSPKFPSKPSCFLWKSLSFLPSRSLLLSSPISFQPLPRSSPLPQPLRAQPQQREEEQTAGVDLSLLPPKLRDIIVLFQSVSEPKAKYQQLLHYGARLPPLDPAFKNEEHRVRGCVSQVWVRAFIDPEDAAAVRFEADSDSVLTKGLAALLVLGLSGSPAPVIARVPPDFVHLLGLRQSLTPSRNNGFLNMLKLMQQKALQLHADAADFKDSEALGPDRLDTNTKDEFFEGKDPILGGKANEMSTGRDSVVNGGRNSPGYHASEVEERLEEDRDAVDMTPISPQAASGGRRERMIERLERGLCPVSLEVEDISHLHASHAAVRGSAGGETHFNVRVISREFEGKSLVKRHRLIYELLQEELQSGLHALSIDAKTPSEAQSS >Ma04_p13150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9952346:9955971:1 gene:Ma04_g13150 transcript:Ma04_t13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE-like protein 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26500) UniProtKB/Swiss-Prot;Acc:Q84W65] MSSLSSSSLHILSPKFPSKPSCFLWKSLSFLPSRSLLLSSPISFQPLPRSSPLPQPLRAQPQQREEEQTAGVDLSLLPPKLRDIIVLFQSVSEPKAKYQQLLHYGARLPPLDPAFKNEEHRVRGCVSQVWVRAFIDPEDAAAVRFEADSDSVLTKGLAALLVLGLSGSPAPVIARVPPDFVHLLGLRQSLTPSRNNGFLNMLKLMQQKALQLHADAADFKDSEALGPDRLDTNTKDEFFEGKDPILGGKANEMSTGRDSVVNGGRNSPGYHASEVEERLEEDRDAVDMTPISPQAASGGRRERMIERLERGLCPVSLEVEDISHLHASHAAVRGSAGGETHFNVRVISREFEGKSLVKRHRLIYELLQEELQSGLHALSIDAKTPSEAQSS >Ma04_p13150.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9952346:9957836:1 gene:Ma04_g13150 transcript:Ma04_t13150.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE-like protein 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26500) UniProtKB/Swiss-Prot;Acc:Q84W65] MSSLSSSSLHILSPKFPSKPSCFLWKSLSFLPSRSLLLSSPISFQPLPRSSPLPQPLRAQPQQREEEQTAGVDLSLLPPKLRDIIVLFQSVSEPKAKYQQLLHYGARLPPLDPAFKNEEHRVRGCVSQVWVRAFIDPEDAAAVRFEADSDSVLTKGLAALLVLGLSGSPAPVIARVPPDFVHLLGLRQSLTPSRNNGFLNMLKLMQQKALQLHADAADFKDSEALGPDRLDTNTKDEFFEGKDPILGGKANEMSTGRDSVVNGGRNSPGYHASEVEERLEEDRDAVDMTPISPQAASGGRRERMIERLERGLCPVSLEVEDISHLHASHAAVRGSAGGETHFNVRVISREFEGKSLVKRHRLIYELLQEELQSGLHALSIDAKTPSEAQSS >Ma04_p13150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9952346:9955077:1 gene:Ma04_g13150 transcript:Ma04_t13150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE-like protein 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26500) UniProtKB/Swiss-Prot;Acc:Q84W65] MSSLSSSSLHILSPKFPSKPSCFLWKSLSFLPSRSLLLSSPISFQPLPRSSPLPQPLRAQPQQREEEQTAGVDLSLLPPKLRDIIVLFQSVSEPKAKYQQLLHYGARLPPLDPAFKNEEHRVRGCVSQVWVRAFIDPEDAAAVRFEADSDSVLTKGLAALLVLGLSGSPAPVIARVPPDFVHLLGLRQSLTPSRNNGFLNMLKLMQQKALQLHADAADFKDSEALGPDRLDTNTKDEFFEGKDPILGGKANEMSTGRDSVVNGGRNSPGYHASEVEERLEEDRDAVDMTPISPQAASGGRRERMIERLERGLCPVSLEVEDISHLHASHAAVRGSAGGETHFNVRVISREFEGKSLVKRHRLIYELLQEELQSGLHALSIDAKTPSEAQSS >Ma08_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7134875:7139504:1 gene:Ma08_g09810 transcript:Ma08_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNEPGSAAKGPRPDPDAALEESMWRLGLGEGSSSYPERPGEPDCSHYVRTGSCSYGERCRYNHPRDHGALTGAGTGRTGAVEYLERADQPVCEYYMKTGTCKFGSTCKYRHPRQGSGSIPPVLLNDCGYPLRPGEKECSYYMKTGHCKFGSTCKFHHPQQAEALVPSPAPAFYSPVQPLSIPSPQQYPPTVTWQFTRPSVFPGSYMPGPYAPMLLSSGVVPVQGWNPYPVPMSSVVSHGGHQAVQAGQVYGLPNQPFPLMPAYSGPLTPVSSSVGPSNASRRGDKFPERPGQPECQFYMRTGDCKFGATCKYHHPPDWSISKGNCVLSPLGLPLRPGAQLCNYYAQHGMCKFGTTCKFDHPMGTLSCSPSASSLFDMPVSPYPIGLSVATFAPSSSSSELQPEFSSSKESFSSKMSFGSTSSGSIGTLFSNTGYLPHLFIRHQTPTSSSGGFIAPGGEISSSS >Ma02_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18081315:18082942:1 gene:Ma02_g07370 transcript:Ma02_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAFPLLAASLLLLAAAATLPVARGHNITKILAQHPEFSTFNHYLSVTHLANEINRRLTITVLAVDNSGMGDLLAKHLSILTLRNVLALHILTDYYGAKKLHQLTGGSTISSSVFQSSGHAPGTTGYINITDHRRGKVTFITEDAGGAAPAVFVKSVKEIPYNISILQISTILTSPEAEAPVAAPAPVNLTEAMSRKGCKAFADMLLARRDVLQTFQDNLDSGLTVFCPDDDAVTAFAPKYKNLTAEGKASLLRFHGVPAYYSPQLLKTNAAALSTLATSGHSKNFHYSVRTDGDSITLKTHIVTATITSTVVDQDPDAIYAIDKVLEPRELFKVPEVVKADAPAPAPAAPAPKKAKHAHGAKHASPPTPAGPDEAPADADEEASDNAASSVGAAGRWLTTAAAATVAAAVISVL >Ma02_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4870644:4870929:-1 gene:Ma02_g00390 transcript:Ma02_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKSVLRCIVSLSMYQFGGTNMPYMEVLEYHVIN >Ma01_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:409238:412395:-1 gene:Ma01_g00560 transcript:Ma01_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGKLMNQIMELKFTAKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAQNAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMGSIVKSLDAALGTGNLQKMSETMDQFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQPAAHVISAKDNEKVDEADLSRRLAELKARG >Ma09_p28300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39104642:39108301:1 gene:Ma09_g28300 transcript:Ma09_t28300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERCLETTGASTGSGDTLHGLKFGQKIYFEDGGGGGGSGSGSSSKPPLAPIKEGAAPSPPGKKGKGVAQGGLQQPPRCQVEGCNADLTGVKAYYCRHKVCGMHSKAPKVIVAGLEQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRKPPPGTFASCYGRFASSFHEPGRFKSFLVDFSHPKFSSSARDVWPTARAGDRVVTDEWHGVLDAPTHAALLRTCPCYQGPLAGNFCSPVELPPGEVLAGVSDSSCALSLLSTHSWSSNSARNLSVNPANSTFVVPPPSTRSVIPGNSTTTSWGLRSHGGRTIPHEIQHEMGLAEETEASDAHFSHQVGFAPHENGQCLDHGSGRACDHSVPGMHWSL >Ma09_p28300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39104642:39108301:1 gene:Ma09_g28300 transcript:Ma09_t28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERCLETTGASTGSGDTLHGLKFGQKIYFEDGGGGGGSGSGSSSKPPLAPIKEGAAPSPPGKKGKGVAQGGLQQPPRCQVEGCNADLTGVKAYYCRHKVCGMHSKAPKVIVAGLEQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRKPPPGTFASCYGRFASSFHEEPGRFKSFLVDFSHPKFSSSARDVWPTARAGDRVVTDEWHGVLDAPTHAALLRTCPCYQGPLAGNFCSPVELPPGEVLAGVSDSSCALSLLSTHSWSSNSARNLSVNPANSTFVVPPPSTRSVIPGNSTTTSWGLRSHGGRTIPHEIQHEMGLAEETEASDAHFSHQVGFAPHENGQCLDHGSGRACDHSVPGMHWSL >Ma05_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6960560:6961660:1 gene:Ma05_g09630 transcript:Ma05_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEHLSLDLLAHVFSFLPPDAVARAMAACKHWFDCARSRLPPSRQPPWLVALPARAASGRPSCYAHDPALNRWHVLPLDLFPCPLRLVAPVGSSLLCKLAASSDLRLVLLNPFTRQFRNLPDLTMPRRNPAVGIVVRGVDASSSFSVIVAGGASGSCYEPTVEMYDTGPGGWSLVGPMPVEFAVRLTVWTPNESVHALDGAMYWMTSARAYSVMGFDLGRRAWREVKAPMADRLEWAALVRRPSGKLGLVGGDGDGQGAVWELIEEDKWVAVGRVPAELGRRFWGRSKSAATRCVGGEQAVYLFRDLGSEMLVWRESSSSQIKKNKEGEETWEWRLVQGRCCMPNIPIKAALLHPTLSRSSFPASL >Ma03_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23300147:23301953:1 gene:Ma03_g17720 transcript:Ma03_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQRSVTARFPFLRLRGLSAAAAFPPTPVDPSLLRRLATVLYQQQHSPDPALHASLRKLPLPSSPPHLHELFLQVSNRFPSSWRPVHRFHLFLLHSLPCSFAHTPVSAAKMLSVFGRARNVDLLWRCFLDASAAGLLTPGALCVVAAALADAREIKKCVEIFHHVNAHDESLCSVDTLNRVVETLCGKKRLADVAKDVVWKLKPLVAPNEATYRCLIVGFCRAGDLVEASKVWNRMLDEGIEPGAESYEEVVVTMFKNNRFEDAMRLFKAMRERRYRDLGLPSYRAVVSWMCKEGRVTYALMLFGEMLKRGVGVDSPTLGALVYGLLVRRKVREGYKVLEGVAEPDISMYHGLIKGLLRLRRAGEATQVFRAMMERGCEPIMHTYIMLLQGHLGKRGRKGRDPVVNFESIFVGGLVKAGKTLQATKYVERMMWGGVEVPRFDYNKFLHYFSDEEGVVMFEEVGKRLKEVGLIDLGDVFLSYGERMATRERRRRAMSGLVDHR >Ma06_p16920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11470718:11471708:1 gene:Ma06_g16920 transcript:Ma06_t16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPTMMTRKGPWTEQEDLQLVWFVRLLGERRWDFLAKVSGLNRSGKSCRLRWVNYLHPGLKRGRMTPQEEDLVVELHAKWGNRFDRRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERRTCSSPSPSSSSSSNGPPSELRVEKHDGDSVAEGSAFTSGLELTDDEVKGYTMDQIWNEIAASESCNMECPPTSSSIWEDKSESLWRLDEDLRISNPPRV >Ma06_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11470726:11471708:1 gene:Ma06_g16920 transcript:Ma06_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPTMMTRKGPWTEQEDLQLVWFVRLLGERRWDFLAKVSGLNRSGKSCRLRWVNYLHPGLKRGRMTPQEEDLVVELHAKWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERRTCSSPSPSSSSSSNGPPSELRVEKHDGDSVAEGSAFTSGLELTDDEVKGYTMDQIWNEIAASESCNMECPPTSSSIWEDKSESLWRLDEDLRISNPPRV >Ma11_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17870382:17870722:-1 gene:Ma11_g13480 transcript:Ma11_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRGGEQQGGRWQSRDDIKHGAAQAKLSDDEMLRVGYKHGTPLESGKISDAEPVDLFVDARRISEATKNARPAEEEPNSGGLSCQGSHRTSN >Ma07_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5961865:5962783:1 gene:Ma07_g08000 transcript:Ma07_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENGKQAEAESRQSQPKSKLLLSLRLAAVLATATATVVMALNKQSTRMAVAVVGTSPILQTFTAAFQQTPAFVYFVIANAIASLYNLLVLLLRPFLKAKPHDILVHLLDEVIFALVATGAAAAASMAELGKNGNVHARWNPICDRFEAFCIRGGLALMASFAGALLLLLMNAFSTFTLHKSVLSQD >Ma08_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5275429:5291764:-1 gene:Ma08_g07710 transcript:Ma08_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLGSANADRDIDKALIALKKGAQLLKYGRKGKPKFCPFRLSNDESTLIWFSGGGERTLKLASVLRIVPGQRTPVFQRYLRPDRDYLSFSLIYNDGKRSLDLICKDKVEAEVWFSGLKALISTGQYGRSKIDGWNNGGLNTDDSRGSASNSISDQSIGSTLDSSESRLTSSSIPKNLSFEYSVTSDISDATNMHVKGVSSDGFRVSISSVPSTSSHGSAQDDFDAAGDVYVWGEVICDTSTRISADKNSSPSSARSDILLPKPIESNVVLDVHHVACGVRHAALVTRQGELFTWGEESGGRLGHGVGMDIIHPRLLESLSTFNVDYVACGEFHTCAVTATGELYTWGDGAHNAGLLGHGTNVSHWMPKRVSGPLEGLQVSYVSCGVWHTAIVTTAGQLFTFGDGTFGVLGHGNRETVLYPREVESLAGLKTIAVSCGVWHTAAVVEVMVTQSSASSGKLFTWGDGDKYRLGHGDKVARLKPTCVPSLIDYNFHRLACGHSLTIGLTTSGQVFTMGSNVYGQLGNPRSDGKLPCMVEDKLAGEPVGEVACGSYHIAVLTTRGEVYTWGKGANGRLGHGDFEDRKTPTLVEALKDRPVKQISCGSNFTAAICQHKWISGAEQSQCSACRQAFGFTRKKHNCYNCGLVHCHQCSSRKALRAALSPNPGKPYRVCDSCYVKLNSGLEPGGVNNKKNAKPWISTESKDKFDKVDTLPKASLSRNLELIKSLDIKTAKNGKNTNFMYMTQNPKGSSVSPLKSLAFPGGIDMLQAVSKPVQTTGANSANRSRAVSPFSRKSSPPRSTTPTPTTSGLSFTKNISDSLKKTNDLLNQELLKLRSEVDSLRQRCERQDFELQKAEKKAKEAMTVAAEESTKSKAAKEVIKSLTAQLKEMAERLPHGTYGTNTTIPMHLPNGVESHAIQHIGSSGEHLFINDAGNIANMAPSRTGYLGQINENSGDNTSVREIYRPNETSAPPTPKIVNAKMEQNLNPQNAGQGEMWASSTRLKDIDSRTIASPQSHDDAVSAVRSPSELSNDVEAEWIEQFEPGVYITLVTLRDGTRDLKRVRFSRRRFGEQQAEAWWSENRERVYEKYNFRVPDRTSSAMLT >Ma05_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9934423:9936088:1 gene:Ma05_g13690 transcript:Ma05_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGHLILDLCNQEETIAQFRVVFLLSALCPEISCTKITIFLVSYLLLRLTQIILNWAVMFFMSAMLCGGKSSEGIIIGISLFFFFCVPGIKILSGKQHHKFLHGQVINGALRQQEVGNLGNVGGKDLFRNLYLITPTTLFTWKKSRKQMGEGRRSLVWPFDSVPWHQRARRAPRSEIRRRLLHSSHQFETLTRISSPSSPEGEDGTDRGDGSRGGRRGRRLPSLKNVSAVVSRSQSTSFSLHAAAKNPRSFCLKRNTTDLQDFLERRIPFHGYNYPAAPPS >Ma11_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27198936:27202173:-1 gene:Ma11_g24070 transcript:Ma11_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARIVSCSVASFPCFFFLLVLFFSCSASQEQQVVGHGYDLRSVGVDPSGKTLTAELGLIQETSVYGADIPKLGLLASFETNDRLRVRITDSDHERWEIPQHIIPREPQASLRSMLEADRSQSPPENHVLSSSDSDVVFTLHGTSPFTFTVSRRSTGDILFDTLPTVVFKDSYLETSSSLPADRASIYGLGEHTKKSLKLVPDDTFTLWNSDIPASIPDLNLYGSHPFYIDVRSSSPDTTYPPGITHGVLLLNSNGMDVIYGGSYITYKVIGGVLDFYFFAGPSPLSVMDQYTELIGRPAPMPYWSLGFHQCKYGYKNVFELEEVVGGYAKASIPLEVMWTDIDYMDAFKDFTLDPINFPADRMNEFVDKLHENGQKYVVIIDPGISVNYTYDTFVRGMEQDVFLKRGGSNYLGNVWPGPVYFPDFLNPAAAKFWAQEIDIFRKTLPVDGLWVDMNEISNFITSPPLNSLDDPPYSINNAGVRRPINTKTVPASATHYGNVSEYNAHNLYGFLESRATHDGLIGSTGKRPFVLSRSTFVGSGKYAAHWTGDNVATWEDLGYSIPSILNSGLFGIPMVGADICGFGGDTTEELCRRWIQLGAFYPFSRDHSAIMTSPQELYVWDSVALSARKVLGLRYRLLPHIYTLMYEAHVKGAPIARPVFFSFPEDATTYNISTQFLIGAGVMVSPVLKPGAVEVDAYFPKGKWFNLFNYSQSVTSNSGQYVTLDAPQDAINVHVGGGSVLAMQGEALTTQSARQSPFELLVVLDEDGTAAGEVFLDDGETVEMAGEESEWSLVRFSAETEGKGPKLRSQVVNGAYASKHRLVLNKVVILGMELKETSKVSVKSLGAGNTSEATVAHQKNGRFSVVEIKSLSLLMGEEFELQIEVEA >Ma04_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1415993:1416376:-1 gene:Ma04_g01580 transcript:Ma04_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTDDGSSSMFHLTGILFMVCMVVVVIFNCGDSKKKPKPRNNVVYYSGGGQNYATAATTSHSSGTAAKVAVGAAVGTVAGIVVADALVSDINSGGGGCGGGGYDGGGGGCGGGGCGGGCGGGCGG >Ma08_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6093115:6096856:-1 gene:Ma08_g08620 transcript:Ma08_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNRLQDRETDVEVSRRKDYYRDGLRDGGRNGDHSRDVRDRISVRQKDIREMGAVNGSYSSPLNNLSGGSSHSQKINHLSGRDVDREAGELSSGSGSDDAEAPISKIRENGTQDKENGDSSMSIRKRKFSPIIWDRDDDKKSAVATFGNKCNKFEQVNLPPPPPLPEGFVPPHSIEVVLPCAVNMSPVDIDVDVPADSPQEQLVDPDQEARLVDDYEEELAPARSISFSRWADGNSVLNDDEDKPFEDDLVPKRRKATPLSDLGRQQMQKKTPTPELGEVIVRVNSGGSPCKLSDSEGKYGNADWELGNDRNDYMDVDGDEYDTDTSDQLSNTDSEGKDDEAKMLGPAQPPQRCINMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKSREIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVIGSSLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLFSGVKYLHDNWVLHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYTTSIDMWSLGCIMAELLAKEPLFSGKTEFDQLDKIFRTLGTPNEKIWPGFAKLPGVKVKFAKQPYNKLREKFPPTSFSGRPTLSEAGFDLLNKLLTYDPEKRITAEAALNHRWFHEVPLPKSKDFMPTFPAQHAQDRRQRRIMRSPDPLAEQMLKEVQQEELGLSSLFG >Ma08_p08620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6093115:6096856:-1 gene:Ma08_g08620 transcript:Ma08_t08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNRLQDRETDVEVSRRKDYYRDGLRDGGRNGDHSRDVRDRISVRQKDIREMGAVNGSYSSPLNNLSGGSSHSQKINHLSGRDVDREAGELSSGSGSDDAEAPISKIRENGTQDKENGDSSMSIRKRKFSPIIWDRDDDKKSAVATFGNKCNKFEQVNLPPPPPLPEGFVPPHSIEVVLPCAVNMSPVDIDVDVPADSPQEQLVDPDQEARLVDDYEEELAPARSISFSRWADGNSVLNDDEDKPFEDDLVPKRRKATPLSDLGRQQMQKKTPTPELGEVIVRVNSGGSPCKLSDSEGKYGNADWELGNDRNDYMDVDGDEYDTDTSDQLSNTDSEGKDDEAKMLGPAQPPQRCINMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKSREIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVIGSSLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLFSGVKYLHDNWVLHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYTTSIDMWSLGCIMAELLAKEPLFSGKTEFDQLDKIFRTLGTPNEKIWPGFAKLPGVKVKFAKQPYNKLREKFPPTSFSGRPTLSEAGFDLLNKLLTYDPEKRITAEAALNHRWFHEVPLPKSKDFMPTFPAQHAQDRRQRRIMRSPDPLAEQMLKEVQQEELGLSSLFG >Ma11_p25200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27922219:27922950:-1 gene:Ma11_g25200 transcript:Ma11_t25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRSDEDMPKPKRTKRKSKRQTNDIEKPTRKHKKAFVKPDSVVENSPKKKFPHATRRRRRDLHMNKVLLQTPEDEIDLRQISIRDLIMLAEAKERIARKETAAMSKLFSGQSYEKYLTGLQHRMFHFLGFRLFIYRDLMPQILDI >Ma04_p20680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23440673:23442353:-1 gene:Ma04_g20680 transcript:Ma04_t20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLRSSSTPILGSLLSSSSSLHFSESPNNFHPHNSPNPNLHTLSCHFSPASDGLHDQSPSLGIRRSRSDGNLHSFLSDQSDDPNHHNHLLPPSLKCSSARRAHPALESIPSFSSRNPNTLLEEEEEEEDDQENDEQGAAGGFGFASDDRKGGLKYPNPDAESPAPPPLFLARGLGIDRVGSGLLTAGCGDGGIGGGRSGKWDVLTGNGGEQSDVETYYKKMVEENPSNALFLRNYAQYLYQAKGDLKRAEEYYSRAILVDPGDGEILSQYAKLVWELHHDEERACSYFQQAVQAAPQDSHVLAAYAGFLWETEEEDGGGSQDFMVESARSGGLAPATA >Ma10_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31945957:31949246:1 gene:Ma10_g22650 transcript:Ma10_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSVLAGSISSPKIDVVIDTGNPFLNRTVDGFLKIGTVAASKVAVEETYHCMDKGSISKGKLEAALKKMCKEGVYWGTAAGVYAGMEYGVERIRGKRDWKNATLGGAISGLLISAASNNGRDKVIKDAITAGAVATAAEFINYFT >Ma10_p22650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31946032:31949246:1 gene:Ma10_g22650 transcript:Ma10_t22650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSVLAGSISSPKIDVVIDTGNPFLNRTVDGFLKIGTVAASKVAVEETYHCMDKGSISKGKLEAALKKMCKEGVYWGTAAGVYAGMEYGVERIRGKRDWKNATLGGAISGLLISAASNNGRDKVIKDAITAGAVATAAEFINYFT >Ma10_p22650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31945951:31949246:1 gene:Ma10_g22650 transcript:Ma10_t22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSVLAGSISSPKIDVVIDTGNPFLNRTVDGFLKIGTVAASKVAVEETYHCMDKGSISKGKLEAALKKMCKEGVYWGTAAGVYAGMEYGVERIRGKRDWKNATLGGAISGLLISAASNNGRDKVIKDAITAGAVATAAEFINYFT >Ma04_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20991555:20997104:-1 gene:Ma04_g18800 transcript:Ma04_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFSKSMKESSMKQSDKCLDSQLWHACAGGMVQMPAVNSKVYYFPQGHTEHAQGLVDFATSQRIPPLILCCVTAVKFMADLETDEVFAKISLVPLRPNEPDFGEDDGLGLSINGVDVQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTENGDLCVGIRRAKRGGIGGGPDIPSGWNPPGGNCASSYGGFSVFLREEENKLMRGNGNGGGAGGAMRISGRVRADSVIEAATLAANGQPFEVVYYPRASTPEFCVKAASVKAAMRIQWCPGMRFKMAFETEDSSRISWFMGTISSVQIADPVRWPNSPWRLLQVTWDEPDLLQNVNRVNPWLVELVSNMPAIHLAPFSPPRKKLRIPQHPDFPLEGQLSTPMFPGNPLGHCTSPLFCFPDSTPAGIQGARHSQFGISLSDLHHNKLQSGLFHAGFHRLNHTSSLSRISAGVAVDSPAIDKNISCLLTIGNTSQSIKNPCNAKPQQLMLFGKPILTEEQISLSNSGDMVSPGATGYSSPDGNLEKTTKFPEASGSATNKNVAVDNSSCNRFEWYGDHHSSDLGLETGHCKVFMESDDVGRTLDLSVFGSYEELYRRLADMFGIEKSEMMSHVIYKDAAGAVKLTGDEPFSDFMKTARRLTILTDSGSDNIGRSMLPGSFSTNLQCCS >Ma03_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4815183:4817781:1 gene:Ma03_g07020 transcript:Ma03_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGLDRRVSTMHTGPNLAEDTIWIIDYELWTLLIASALRKQANVYIMTWRSILSCILVVKLSLVNFQGQLKAPLPHLFLLLLSIYSPTLATKFLFNGFKEAANLSLDASALITSNGILQLTNGSKRQIGHAFLSSPVHMLHRRSVVTSFSTAFVFEIVTVNGGGGEGLAFVVATSKTLPGAQNGQFLGLLSTQNNGNFSNHLFAIEFDTVKATGPFNDIDKNHIGVDVNSLESNVAKPAAYYADGGNKVSIELLSAQPNQAWINYNGVTGILNVTIAPLRLPRPRRPLISHAIDLSPIFKEYMYVGFSAATGNLTSHHYILGWSFSTDGVGSSLDPSQLPLSRQKDASPASRFRRLKITLGVFFGLLSSIALIALLIKSIKDGNFLSFIMLVAQIKDRFSQYGD >Ma00_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31875722:31905823:-1 gene:Ma00_g03880 transcript:Ma00_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCFFSTTPHRVTSTAAASSFSRPVHCQTYPSFHHCGFGHHFRYHVPPIKFLSTPWMSRARLIGVLKESSEVETDDRQRAPASDQEELEEAADGVVANGVVAETSKEGSDLVRKVPVRSKRKIDEEEDGYDRYTLRNGREVFQEKAYLVGVECKGTEDNTFSIEESLQELAQLADTAGLSVVGSTYQKLANPNPRTYIGSGKVAEIKSAIHALDVETVIFDDELSAGQLRNLEKALGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQISALRKELESVRQHRKQYRNRRLSVPVPVVSLVGYTNAGKSTLLNRLTGADVLAEDQLFATLDPTTRRVQMKNGSEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSLLVHVVDISHPLANQQIDAVDKVLTELDVASIPKFVVWNKIDKADDPERLQSEAEQIGAICISAISGDGLEQFSSAVQAKLKDSLVPVEALIPYDKGDLLNSIHQVGMVERTEFMENGTMIRAHVPLPLARLLTPMRQLVAAAQ >Ma00_p03880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31875753:31905823:-1 gene:Ma00_g03880 transcript:Ma00_t03880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCFFSTTPHRVTSTAAASSFSRPVHCQTYPSFHHCGFGHHFRYHVPPIKFLSTPWMSRARLIGVLKESSEVETDDRQRAPASDQEELEEAADGVVANGVVAETSKEGSDLVRKVPVRSKRKIDEEEDGYDRYTLRNGREVFQEKAYLVGVECKGTEDNTFSIEESLQELAQLADTAGLSVVGSTYQKLANPNPRTYIGSGKVAEIKSAIHALDVETVIFDDELSAGQLRNLEKALGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQISALRKELESVRQHRKQYRNRRLSVPVPVVSLVGYTNAGKSTLLNRLTGADVLAEDQLFATLDPTTRRVQMKNGSEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSLLVHVVDISHPLANQQIDAVDKVLTELDVASIPKFVVWNKIDKADDPERLQSEAEQIGAICISAISGDGLEQFSSAVQAKLKDSLVPVEALIPYDKGDLLNSIHQVGMVERTEFMENGTMIRAHVPLPLARLLTPMRQLVAAAQ >Ma00_p03880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31875722:31905823:-1 gene:Ma00_g03880 transcript:Ma00_t03880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCFFSTTPHRVTSTAAASSFSRPVHCQTYPSFHHCGFGHHFRYHVPPIKFLSTPWMSRARLIGVLKESSEVETDDRQRAPASDQEELEEAADGVVANGVVAETSKEGSDLVRKVPVRSKRKIDEEEDGYDRYTLRNGREVFQEKAYLVGVECKGTEDNTFSIEESLQELAQLADTAGLSVVGSTYQKLANPNPRTYIGSGKVAEIKSAIHALDVETVIFDDELSAGQLRNLEKALGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQISALRKELESVRQHRKQYRNRRLSVPVPVVSLVGYTNAGKSTLLNRLTGADVLAEDQLFATLDPTTRRVQMKNGSEFLLTDTVGFIQKLPTMLIDKADDPERLQSEAEQIGAICISAISGDGLEQFSSAVQAKLKDSLVPVEALIPYDKGDLLNSIHQVGMVERTEFMENGTMIRAHVPLPLARLLTPMRQLVAAAQ >Ma00_p03880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31875722:31905823:-1 gene:Ma00_g03880 transcript:Ma00_t03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCFFSTTPHRVTSTAAASSFSRPVHCQTYPSFHHCGFGHHFRYHVPPIKFLSTPWMSRARLIGVLKESSEVETDDRQRAPASDQEELEEAADGVVANGVVAETSKEGSDLVRKVPVRSKRKIDEEEDGYDRYTLRNGREVFQEKAYLVGVECKGTEDNTFSIEESLQELAQLADTAGLSVVGSTYQKLANPNPRTYIGSGKVAEIKSAIHALDVETVIFDDELSAGQLRNLEKALGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQISALRKELESVRQHRKQYRNRRLSVPVPVVSLVGYTNAGKSTLLNRLTGADVLAEDQLFATLDPTTRRVQVAAFRATLEEISESSLLVHVVDISHPLANQQIDAVDKVLTELDVASIPKFVVWNKIDKADDPERLQSEAEQIGAICISAISGDGLEQFSSAVQAKLKDSLVPVEALIPYDKGDLLNSIHQVGMVERTEFMENGTMIRAHVPLPLARLLTPMRQLVAAAQ >Ma05_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36890164:36890424:1 gene:Ma05_g24690 transcript:Ma05_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTRVVVLLMSPSWKSLMECSRSSPPMATHSLVAKTNWLCKGYGKQPRKRKWNLHQPCKQR >Ma07_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9442425:9450546:1 gene:Ma07_g12550 transcript:Ma07_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGDKSKIVIDGLAFALKKLRAETNQESVSGWNEKENRFVDSINIPEEEITNTKTENDKLLESQRAATEENAKTIRIARKETAQLKEMFSDKENYLQRLKQDHEGLKVSEAAATGSVRVLKRFLAATSTLDSSKVENESISDSIVSEAGKVVTKFSLERWSGRRHSIEEPGKLKGTLTGGCCEKKKQKRTIRRFGDMLRGKSFHKQNSSSAGLQ >Ma01_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2877925:2879510:1 gene:Ma01_g04340 transcript:Ma01_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMGDLFDYIDDLLDDDDVMALVEHCDDAAAALLLPPLPLVPVAAPVTAADGGLDSLDCSGDHNAELDVVQLEWMPKFLYDSDAFSLDLPSCDAIANDADDNGAQTKARGDSFVSALGANATGGGDTPASSSSCSTSASYFGGGNSARAMLPPVGPPELPPVIPTRARSKRQRRSTLMPQPPSSDVVAAPHPLSVASDSDPESFGESCPPPPPPKKKKKKSKKKGPGATAAAEGDEPGSPPPGRKCTHCEIQKTPQWRAGPMGPKTLCNACGVRYRSGRLFPEYRPAGSPTFVPSLHSNSHKKVVEMRNKASHSTAAPGASAPSSDGCDLLGYMRRKE >Ma10_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23973347:23974291:-1 gene:Ma10_g09820 transcript:Ma10_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMVKVGPWGGNGGNAWDMGQADHITKLRIYYGDNIVGLEITYILNGNSHTYKRGTTTGASKEIILEEDEYFTSISGYFHALSNYQRHAIVMLLTLDTNKGASISVGNKTGSSFALTLEEGSRILGFFGRAGTAIDAIGIHCSLPN >Ma05_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12237825:12242465:-1 gene:Ma05_g15800 transcript:Ma05_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFRPSSNYNSPFWTTNSGAPVWNNNASITVGSRGPILLEDYHLVEKIAQFDRERIPERVVHARGASAKGFFEVTHDVSRLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDMVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDIGVPLNYRHMDGSGVHTFTLISREGKATYVKFHWRPTCGVKSLLEEEAVIVGGNNHSHATQDLYDSIAAGNYPEWKLFIQTMDPDHEDRFDFDPLDVTKTWPEDIFPLQPVGRMVLNKNIDNFFGENEQLAFCPALVVPGVYYSDDKLLQTRIFSYSDTQRHRLGPNYLMLPVNAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPVRHAERFPIPTRVLTGKREKCMISKENNFKQPGERYRSFSPDRQERFIRRWVEALSDPKVTHEIRSIWISYWSQCDKSLSQKLATRLSVKPSM >Ma09_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36193365:36196041:1 gene:Ma09_g24530 transcript:Ma09_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVPLLLLAVISAALSAVSAALPKVPAVYVFGDSTADVGNNNYLPGKDAKADFPHYGVDFPHQTPTGRFSNGYNTIDFLAIHMGFKRSPPPYVAVVNKPHPLILRGRRGVSFASGGSGILDSTGTTITMTKQIQDFAAHESNIASRVTSAVAKGLLSKSVFLISSGGNDVFAFFFATGGNATADQTEQFYNVMITNYTTHLKALYDLGARKFALINVPPVGCCPISRVRHPLGACLDGLNTLTKGFNDRVSVLVKNLSSEMEGMKYTIGNSYNVVMSIVSDPAGVGYKDVSSACCGLGKLGAEVMCSPNTTFCTNRNEFLFWDRIHPTHATSEKAGFALYGGASEYASPINLKQLVES >Ma03_p14470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12388173:12412436:1 gene:Ma03_g14470 transcript:Ma03_t14470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MATPIAPPLCLRNPGGIQTRSSMELWEACHLLPLGRRRGVDHYLRFGSPGRLLPPYVLSLSHMLSGYNWQWMLQQNEMKAIFCPGISSHLSGTLISPMDGDSAITCKGVQKVLWSVKADIEDGYLLFITGDPVSLGCWEPDMAIRLSRCRGHANLWTSEIKVPCGIHFKYNYFIKDKKQRSRDPVWRLGPEFSLSIPSRGGENEVIVVRDCWMRTRIQGPPVPSWGSWMLDVDFPDNHIMHESYRASSAGELEILGSLNGASLLDEHSSEDCMPKEDRKLLDMDTKKNSEGSEEKLSEQEQPVEEPWLLRSTLLSFSDSGELGDAISQEEQQPEKGLGKLHEIEKMSPKDDHKLVHIDEPASTVILINSSGCTMQRIAVLEDDKLVELLLEPVKNNVQCDSIYLGVLTKLVPHMGGAFVDIGISRPSFMDVKRNREPFVYPPFHNEIERESANNSNRLEPKVNTENHGHDQPYDEDDMSDELLDVDHLDEHEVADELDVSDANEMNMNDDIIEYNGVVDSEENSEEHGIHIEDEYMDDFLPLATTSSNSSGLPLLIRRSLRNSDVIGKDKNKWGHVREGTKVIVQVVKEGLGTKGPALTAYPNLRSRFWILITRCDRIGVSKKITGAERTRLKVIAKTLQPPGFGLTVRTVAAGHSLDELKKDLDGLISTWKGIIEHAKSAALAAEEGVEDAVPVMLHRAMGQTLSVVQDYFNEKVKSMAVDSPRTYHEVTSYLQEIAPDLCNRVELYDKRIPIFDEYNIEEEINSILSKRVPLSNGGYLVIEQTEALVSVDVNGGQCMLGEGTSQEKAVLDVNLAAVKQIARELRLRDIGGIIVVDFIDMVDESNRRLVYEEMKKAVERDRSTVRVSELSKLGLMEITRKRVRPSVTFMISEPCTCCHATGRVEALETSFSKIEHEICRLLAVSNQKPDPDNAKSWPRFVLRVDRYMCNYLTSGKRTKLAVLSSCLKVWILLKVARGFPRGTFEVKPFADDKASEAKQVAISRLRPAEAAAYISSTKLTLFPVKKWKSRKK >Ma03_p14470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12388173:12412436:1 gene:Ma03_g14470 transcript:Ma03_t14470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MATPIAPPLCLRNPGGIQTRSSMELWEACHLLPLGRRRGVDHYLRFGSPGRLLPPYNWQWMLQQNEMKAIFCPGISSHLSGTLISPMDGDSAITCKGVQKVLWSVKADIEDGYLLFITGDPVSLGCWEPDMAIRLSRCRGHANLWTSEIKVPCGIHFKYNYFIKDKKQRSRDPVWRLGPEFSLSIPSRGGENEVIVVRDCWMRTRIQGPPVPSWGSWMLDVDFPDNHIMHESYRASSAGELEILGSLNGASLLDEHSSEDCMPKEDRKLLDMDTKKNSEGSEEKLSEQEQPVEEPWLLRSTLLSFSDSGELGDAISQEEQQPEKGLGKLHEIEKMSPKDDHKLVHIDEPASTVILINSSGCTMQRIAVLEDDKLVELLLEPVKNNVQCDSIYLGVLTKLVPHMGGAFVDIGISRPSFMDVKRNREPFVYPPFHNEIERESANNSNRLEPKVNTENHGHDQPYDEDDMSDELLDVDHLDEHEVADELDVSDANEMNMNDDIIEYNGVVDSEENSEEHGIHIEDEYMDDFLPLATTSSNSSGLPLLIRRSLRNSDVIGKDKNKWGHVREGTKVIVQVVKEGLGTKGPALTAYPNLRSRFWILITRCDRIGVSKKITGAERTRLKVIAKTLQPPGFGLTVRTVAAGHSLDELKKDLDGLISTWKGIIEHAKSAALAAEEGVEDAVPVMLHRAMGQTLSVVQDYFNEKVKSMAVDSPRTYHEVTSYLQEIAPDLCNRVELYDKRIPIFDEYNIEEEINSILSKRVPLSNGGYLVIEQTEALVSVDVNGGQCMLGEGTSQEKAVLDVNLAAVKQIARELRLRDIGGIIVVDFIDMVDESNRRLVYEEMKKAVERDRSTVRVSELSKLGLMEITRKRVRPSVTFMISEPCTCCHATGRVEALETSFSKIEHEICRLLAVSNQKPDPDNAKSWPRFVLRVDRYMCNYLTSGKRTKLAVLSSCLKVWILLKVARGFPRGTFEVKPFADDKASEAKQVAISRLRPAEAAAYISSTKLTLFPVKKWKSRKK >Ma03_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12388173:12412436:1 gene:Ma03_g14470 transcript:Ma03_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MATPIAPPLCLRNPGGIQTRSSMELWEACHLLPLGRRRGVDHYLRFGSPGRYNWQWMLQQNEMKAIFCPGISSHLSGTLISPMDGDSAITCKGVQKVLWSVKADIEDGYLLFITGDPVSLGCWEPDMAIRLSRCRGHANLWTSEIKVPCGIHFKYNYFIKDKKQRSRDPVWRLGPEFSLSIPSRGGENEVIVVRDCWMRTRIQGPPVPSWGSWMLDVDFPDNHIMHESYRASSAGELEILGSLNGASLLDEHSSEDCMPKEDRKLLDMDTKKNSEGSEEKLSEQEQPVEEPWLLRSTLLSFSDSGELGDAISQEEQQPEKGLGKLHEIEKMSPKDDHKLVHIDEPASTVILINSSGCTMQRIAVLEDDKLVELLLEPVKNNVQCDSIYLGVLTKLVPHMGGAFVDIGISRPSFMDVKRNREPFVYPPFHNEIERESANNSNRLEPKVNTENHGHDQPYDEDDMSDELLDVDHLDEHEVADELDVSDANEMNMNDDIIEYNGVVDSEENSEEHGIHIEDEYMDDFLPLATTSSNSSGLPLLIRRSLRNSDVIGKDKNKWGHVREGTKVIVQVVKEGLGTKGPALTAYPNLRSRFWILITRCDRIGVSKKITGAERTRLKVIAKTLQPPGFGLTVRTVAAGHSLDELKKDLDGLISTWKGIIEHAKSAALAAEEGVEDAVPVMLHRAMGQTLSVVQDYFNEKVKSMAVDSPRTYHEVTSYLQEIAPDLCNRVELYDKRIPIFDEYNIEEEINSILSKRVPLSNGGYLVIEQTEALVSVDVNGGQCMLGEGTSQEKAVLDVNLAAVKQIARELRLRDIGGIIVVDFIDMVDESNRRLVYEEMKKAVERDRSTVRVSELSKLGLMEITRKRVRPSVTFMISEPCTCCHATGRVEALETSFSKIEHEICRLLAVSNQKPDPDNAKSWPRFVLRVDRYMCNYLTSGKRTKLAVLSSCLKVWILLKVARGFPRGTFEVKPFADDKASEAKQVAISRLRPAEAAAYISSTKLTLFPVKKWKSRKK >Ma06_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15161772:15163156:-1 gene:Ma06_g21070 transcript:Ma06_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRESITNSVVMIQSSLFSYSSNSPPAPASLDVASISADRILLLDAFFSVAVFHGMTIAQWLPESTRASGLLHSAITSTSG >Ma04_p31180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31568600:31570035:1 gene:Ma04_g31180 transcript:Ma04_t31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNKLHISCLFFFLTLLFPHSTKQSAKAASFIYSGCSPSKYDPNGPFQNNLTPLLTSIVSGAAQASYNSYKSGDDSSGAAAYGLYQCRNDLSSDDCSNCVQSAVSQLNLVCADSLAASLQLEGCFVRYSNEDFLGKSDTTMTYRKCSTSRSDDAEFFRRRDDVLADLQNGVSYRTSSSGTVQGYAQCLGDLGAADCSACLAQAVGQLKNACGSALAADVYLAQCYARYWASGHYFRSSADYSDDDIGRTVAIIVGILAGLALIVVFISFLRKTC >Ma04_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21711490:21714426:1 gene:Ma04_g19130 transcript:Ma04_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCSIPFSWGFYKHVFLTKHYRNRRLGDAEFVLDFEEIYVIDSKTKSITRAKVLVTVTGGRNRDRRNDLLIIRDGGNLFKIIDKSERDDPTTVIEREEWAKTRQEMEHHLRKLRDFSVSNWF >Ma11_p16700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22147975:22152435:-1 gene:Ma11_g16700 transcript:Ma11_t16700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTQPLQPVMGIVTGAAQIAYVAPAYQPASIVTGAPAAAGTLPTPPTSAFTTNQTQLASQHQVAYQQVQQFHHQQQQHQQQQLQAFWDNQTLEIEQTSDFRNHSLPLARIKKIMKADEDVRMISSEAPVVFAKACELFILELTLRAWIHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPRDELKDDGLGILRAALPTVGGSADSIPYYYVPAQNQMPGAGVIMGKPIDQTAASAAYAAQQPHSVAYVWQLPQAQQPQPHPQQHQPIPESD >Ma11_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22147974:22152349:-1 gene:Ma11_g16700 transcript:Ma11_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTQPLQPVMGIVTGAAQIAYVAPAYQPASIVTGAPAAAGTLPTPPTSAFTTNQTQLASQHQVAYQQVQQFHHQQQQHQQQQLQAFWDNQTLEIEQTSDFRNHSLPLARIKKIMKADEDVRMISSEAPVVFAKACELFILELTLRAWIHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPRDELKDDGLGILRAALPTVGGSADSIPYYYVPAQNQMPGAGVIMGKPIDQTAASAAYAAQQPHSVAYVWQLPQAQQPQPHPQQHQPIPESD >Ma11_p16700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22147974:22152136:-1 gene:Ma11_g16700 transcript:Ma11_t16700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTQPLQPVMGIVTGAAQIAYVAPAYQPASIVTGAPAAAGTLPTPPTSAFTTNQTQLASQHQVAYQQVQQFHHQQQQHQQQQLQAFWDNQTLEIEQTSDFRNHSLPLARIKKIMKADEDVRMISSEAPVVFAKACELFILELTLRAWIHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPRDELKDDGLGILRAALPTVGGSADSIPYYYVPAQNQMPGAGVIMGKPIDQTAASAAYAAQQPHSVAYVWQLPQAQQPQPHPQQHQPIPESD >Ma10_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26943713:26947069:-1 gene:Ma10_g14550 transcript:Ma10_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MSYCSSSVPIAPPPPTTLTPLRRRCRAAALTLPTTARAHRTAAARAALSDPFVLEIAEKFEDSLSSSDAAASPLPLQKLRDSASQSLLSKRWPSTKDEPFRFTNLSFLRSSLLLPAPSPTFPLDPALDSPSPHVLAIVDGHVAPSLSRLSALPSGVFAGSVSALPPGPTVDRVLTALAQGFGDGDLFWDLNGVGAPDMAVIFVPAGVRVVDEPVHVQICYSEGGEVGSERLPVSSPRVLVVVEKGAEVSFVEEHMGIGGSEERCYWANSVMEILIGEGGKVVHSYVQRQSVNAAHIKWTFARQETSSVYELIEVSNGGRLSRHNLHIQQLGPDTVTELSAFHVCQNNQTQDLHSTLILDHPRGYSRQLHKCIVSHSSGHAVFDGNIRVNRFAQQTDAGQLTRTLLLAPRATANVKPNLQIIADDVKCSHGAAISDLEEDQLFYFQARGIDLKTAREALVFSFGSEVISRIPFEPIRKSVTSQLKHLLATQ >Ma04_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7010939:7013614:1 gene:Ma04_g09860 transcript:Ma04_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIPMSMDRRFVFLLLFMALAALASAQQQTSAVSFTPSDNFLIDCGGASPITMPDGRLFKTDAQSSPFLSATDDVHVSVTAVPGVPSPLYLSARIFHDDTAYSFTLAHPGWHWIRLHFFPVNNTDFDLTGAVFSVSTDDLVLLHSFTVNDPSKWVLKEYLVNATSPHLTIHFSPLRKSVAFINGIEVVSAPDALIPDTASTVSPVGEAAGLSLYAYQVAYRVNVGGPAITSANDTLGRAWEQDASFLKSPPTAKNVSVSPGIIKYPEGTSPLIAPNSVYATAVKMADAGVEDANFNVTWSFDVDSTFGYLVRLHFCDIISKALNSLYFNVYINGMMAISGLDLSTVTSGLAMPYYKDFVLNASVATDRITVQIGPMKEDTGTIDALLNGVEILKMSSSVGSLDGEFGIDGSRADGGGSSKRVVAAVGFAMMFGAFAGLGAMVVKWSKRPHDWERRNSFSSWLLPVHTGNSTFMTSKGGSAYGSNRSGHTFSSTMGFGRYLSLSELQAATKNFDEKAVIGVGGFGNVYLGELEDGTKVAVKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMANGPFRDHIYGNDLPPLSWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDENFVAKVSDFGLSKDAPGMNQTHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARPALNPALPREQVNLAEWALQWKRKGLIEKIIDPNIAGTINKDSLSKFVEAAEKCLAEYGVDRLSMGDVLWNLEYALQLQEANPPDPPPKATESEGKSGSSAAAETSQKEHDGAHPVEEATTPVVNRSLDNSSTDMADELLAQFAGMKGR >Ma09_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33965003:33968051:-1 gene:Ma09_g21980 transcript:Ma09_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSSLPCPLFFLFLLCNTSLLLVVGGLNSEGTALISFKAGIRDDPAGSLRNWNSSDQDPCSWNGITCRGGSVAALSLPKKKLVGYLSSALGSLRSLRHVNLRNNRLFGSLPASLFAARQLQSLVLYGNFLSGSLPPEIGELLYLQSLDLSGNLFAGPIPSSLIHCKRLKALVLSHNNFTGSLPLGFGGSLAELEKLDLSYNGFSGPIPTDVGNLTNLQGTLGLSHNRFSGSIPPSLGNLPETVYIDLTYNNLSGPVPQNGALENRGPTAFIGNPGLCGPPLKNSCPPEVPSSNPFRPINYSPPALEGNSTHSGSSSSRLSNAAVIAFVASDVVGIGLIALVFFYFYCKAIASVGAKEDGETSEKRPKGRKECMCFRKEDSETSPDSIEHHELVSLDRNVPFDLDELLKASAFVLGKSGIGIVYKVVLDDGLTLAVRTLGEGGSQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSINEKLLIYDYIPNGNLSAMIHGKTGTRNSTPLSWEVRLKIMKGIAKGLAFLHEISPKKYVHGDLKPNNVLLGPDVEPYISDFGVGHLANIAGGSPFLQSDRIAVEKIQGQHSDVAFGPIMSKGSGYQAPEASMMLKPSQKWDVYSFGVILLELISSRSPLVLLDTVEMDLVSWFHLCIEEKKPLSDVLDPFLAQELDTEDEIIAVLKIALVCVQANPEKRPSMRHVTDTLERLINRN >Ma04_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21707625:21708757:1 gene:Ma04_g19120 transcript:Ma04_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTPLFLLTPPPPPSLPFFATSTQRLLSTVQLPLLATTRSSPWPNPKHAAITKTARGRRPLTATSAADDDDVEAGAGDDYDMEEDEVEELDNKKDYDVEYDRLLGSPISAPATASAAGADSSPDDIEMVTSESFVSTQGWGSDTVVDYRINEEEFHKIRLLHCDFFIRKPPDPDHNVYDFREMYVTPPDTDVYSIPKVLAPMPQKYIRCSKTNFGCYNVMEPPIDAPRDPLYKTEREILK >Ma08_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4222595:4223655:1 gene:Ma08_g06240 transcript:Ma08_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPALALLLPLSLLLLLLHAFPRPATALCVPRNSSNPINLAALPPPSVTTTSSPATPSPALKSPSSPSNPSQLPPSNTTPTPATPSPPLQSSSQPSQLTPSPPAFSQPAAAVSTQLSARHPLLNLLPFAGAVSALCGHTDYPDVCASSIQPLPHPPGLAGPAALLKLQLQACREQAEKAQAHIAALVSLPGTKARDASSLQDCDDNYDDVIDNLDEAAAALESRDKGTLKTMLSALVTDFSTCDDGFAEIAKVSPLAVIDEMLTKLASNCLAIAALV >Ma05_p02420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1559265:1565742:1 gene:Ma05_g02420 transcript:Ma05_t02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLDRAFKSRVLNQFHKRLDIGKVSPFDSEIAGCLASDAFCPKYRCKNVIFFSSVNHNPTLNLDPSPLLGTSFEERCDFDDSKALDAGKHVNAKDIADVNDEPKSGRHFGESDDPDEDVESDEEMEREDGNADVELGVLDLFDRSREDKENKKEPLEEARDESGHPMVRETCKLIELRHMWNPKLEGELRHLLRCLNSHQVSAVLRSQSDERIAVNFFYWADRQWRYRHAPEVYYTMLELLSKTKLCQASRRALRLMIRRRISRRPQDFAHLMVSYSRAGKLRSAMRVLNLMQKDGCAPDLCICNTTIHVLIMAKRFEKALRFFDRMQRAGVTPDVVTYNCLIKGFCDANRVGDAMKMIREMPLKGSLPDKISYYTVISFLCKEKRVGEVRDLLKEMEIKANLIPDPVTYSTVIHVLSKHGHADEALEFFRETEEKGFQVDKVGYSAIVHAFCLDGRMEEAKEIVNEMLSKGCLPDVVTYSAVVNGFCRIGKIDQARKILRHMYKNGYKPNTVTYTSLLNGLCRIGSSLEALEMLNKSEEEWWTPSVVTYSVVMHGLRREGKLKEACELVMQMLGNGFFPTTVEVNLLIHALCRDGKPGDAKKFMEDCQSKGCTINVVNFTTVIHGFCQEGDLPSAFSLLDDMYLSNRHPDVVTYTVIVDALGKKGEFEKATELVKKMLHRGFIPTPVTYRTVIHRYCEKGRVEDLLKLLEKMLVRREFGTAYNQVIEKLCVFGKLDEAYKVLGKVLRTASKSDAHTCHILMESYMKKGLSLQSYKVACRMFHRNLIPDMKLCQKLSSKLIAEGHSDEGGRLLIKFVERGLTLPQHQ >Ma05_p02420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1559265:1565742:1 gene:Ma05_g02420 transcript:Ma05_t02420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLDRAFKSRVLNQFHKRLDIGKVSPFDSEIAGCLASDAFCPKYRCKNVIFFSSVNHNPTLNLDPSPLLGTSFEERCDFDDSKALDAGKHVNAKDIADVNDEPKSGRHFGESDDPDEDVESDEEMEREDGNADVELGVLDLFDRSREDKENKKEPLEEARDESGHPMVRETCKLIELRHMWNPKLEGELRHLLRCLNSHQVSAVLRSQSDERIAVNFFYWADRQWRYRHAPEVYYTMLELLSKTKLCQASRRALRLMIRRRISRRPQDFAHLMVSYSRAGKLRSAMRVLNLMQKDGCAPDLCICNTTIHVLIMAKRFEKALRFFDRMQRAGVTPDVVTYNCLIKGFCDANRVGDAMKMIREMPLKGSLPDKISYYTVISFLCKEKRVGEVRDLLKEMEIKANLIPDPVTYSTVIHVLSKHGHADEALEFFRETEEKGFQVDKVGYSAIVHAFCLDGRMEEAKEIVNEMLSKGCLPDVVTYSAVVNGFCRIGKIDQARKILRHMYKNGYKPNTVTYTSLLNGLCRIGSSLEALEMLNKSEEEWWTPSVVTYSVVMHGLRREGKLKEACELVMQMLGNGFFPTTVEVNLLIHALCRDGKPGDAKKFMEDCQSKGCTINVVNFTTVIHGFCQEGDLPSAFSLLDDMYLSNRHPDVVTYTVIVDALGKKGEFEKATELVKKMLHRGFIPTPVTYRTVIHRYCEKGRVEDLLKLLEKMLVRREFGTAYNQVIEKLCVFGKLDEAYKVLGKVLRTASKSDAHTCHILMESYMKKGLSLQSYKVACRMFHRNLIPDMKLCQKLSSKLIAEGHSDEGGRLLIKFVERGLTLPQHQ >Ma05_p02420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1559265:1565742:1 gene:Ma05_g02420 transcript:Ma05_t02420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLDRAFKSRVLNQFHKRLDIGKVSPFDSEIAGCLASDAFCPKYRCKNVIFFSSVNHNPTLNLDPSPLLGTSFEERCDFDDSKALDAGKHVNAKDIADVNDEPKSGRHFGESDDPDEDVESDEEMEREDGNADVELGVLDLFDRSREDKENKKEPLEEARDESGHPMVRETCKLIELRHMWNPKLEGELRHLLRCLNSHQVSAVLRSQSDERIAVNFFYWADRQWRYRHAPEVYYTMLELLSKTKLCQASRRALRLMIRRRISRRPQDFAHLMVSYSRAGKLRSAMRVLNLMQKDGCAPDLCICNTTIHVLIMAKRFEKALRFFDRMQRAGVTPDVVTYNCLIKGFCDANRVGDAMKMIREMPLKGSLPDKISYYTVISFLCKEKRVGEVRDLLKEMEIKANLIPDPVTYSTVIHVLSKHGHADEALEFFRETEEKGFQVDKVGYSAIVHAFCLDGRMEEAKEIVNEMLSKGCLPDVVTYSAVVNGFCRIGKIDQARKILRHMYKNGYKPNTVTYTSLLNGLCRIGSSLEALEMLNKSEEEWWTPSVVTYSVVMHGLRREGKLKEACELVMQMLGNGFFPTTVEVNLLIHALCRDGKPGDAKKFMEDCQSKGCTINVVNFTTVIHGFCQEGDLPSAFSLLDDMYLSNRHPDVVTYTVIVDALGKKGEFEKATELVKKMLHRGFIPTPVTYRTVIHRYCEKGRVEDLLKLLEKMLVRREFGTAYNQVIEKLCVFGKLDEAYKVLGKVLRTASKSDAHTCHILMESYMKKGLSLQSYKVACRMFHRNLIPDMKLCQKLSSKLIAEGHSDEGGRLLIKFVERGLTLPQHQ >Ma05_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1559265:1565742:1 gene:Ma05_g02420 transcript:Ma05_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTLDRAFKSRVLNQFHKRLDIGKVSPFDSEIAGCLASDAFCPKYRCKNVIFFSSVNHNPTLNLDPSPLLGTSFEERCDFDDSKALDAGKHVNAKDIADVNDEPKSGRHFGESDDPDEDVESDEEMEREDGNADVELGVLDLFDRSREDKENKKEPLEEARDESGHPMVRETCKLIELRHMWNPKLEGELRHLLRCLNSHQVSAVLRSQSDERIAVNFFYWADRQWRYRHAPEVYYTMLELLSKTKLCQASRRALRLMIRRRISRRPQDFAHLMVSYSRAGKLRSAMRVLNLMQKDGCAPDLCICNTTIHVLIMAKRFEKALRFFDRMQRAGVTPDVVTYNCLIKGFCDANRVGDAMKMIREMPLKGSLPDKISYYTVISFLCKEKRVGEVRDLLKEMEIKANLIPDPVTYSTVIHVLSKHGHADEALEFFRETEEKGFQVDKVGYSAIVHAFCLDGRMEEAKEIVNEMLSKGCLPDVVTYSAVVNGFCRIGKIDQARKILRHMYKNGYKPNTVTYTSLLNGLCRIGSSLEALEMLNKSEEEWWTPSVVTYSVVMHGLRREGKLKEACELVMQMLGNGFFPTTVEVNLLIHALCRDGKPGDAKKFMEDCQSKGCTINVVNFTTVIHGFCQEGDLPSAFSLLDDMYLSNRHPDVVTYTVIVDALGKKGEFEKATELVKKMLHRGFIPTPVTYRTVIHRYCEKGRVEDLLKLLEKMLVRREFGTAYNQVIEKLCVFGKLDEAYKVLGKVLRTASKSDAHTCHILMESYMKKGLSLQSYKVACRMFHRNLIPDMKLCQKLSSKLIAEGHSDEGGRLLIKFVERGLTLPQHQ >Ma02_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15657738:15660973:-1 gene:Ma02_g04160 transcript:Ma02_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMEGPVLDDVIRRLLSGGGKQVQLFEAEIRQLCVEAKKVFLSQPSLLELHAPIKICGDIHGQYIDLLRLFYIGGFPPNSSYLFLGDYVDRGKYSLETICLLLAYKIKYPDKVFLLRGNHEDAKINRVYGFYDECKRRFNIRLWKTFCDCFNCLPMAAVIDKKIFCMHGGLSPELENIYQIRDISRPTEIPDYGLLCDLLWSDPDSDVQGWGESDRGVSVTFGADKLVEFLERNDLDLICRAHQVVEDGYEFFAHRRLVTIFSAPNYCEQFDNVGALLSIDENLLCSFEILKAATPGSSDALVKIPKKPSQGGQV >Ma03_p29270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32223554:32228458:1 gene:Ma03_g29270 transcript:Ma03_t29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALLAPLPSAAAPEAFLRPSATTPALYSFSSSSFRFARSRSPFLGLRLSYPTNHWTLRSAGRGLRIEAAAQPTVLVAEKLGEAGLALLRKFANVDCSYNLSLEELCAKISLCDALIVRSGTKVTPEVFEASKGRLKVVGRAGVGIDNVDLQAATESGCLVVNAPAANTVAAAEHGIALLVAMARNVAQADASMKAGNWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMNVIAHDPYAPADRARAIGVELVSFDEAISTADFISLHMPLTPTTAKLFNDETFAKVKKGVRIINVARGGVIDEDALVRALDNGTVAQAALDVFTVEPAPKDSKLVMHENVTVTPHLGASTVEAQEGVAVEIAEAVIGALKGELAATAVNAPMVPAEVLSELAPYVTLAEKLGRLAVQLVAGGSGIKGVKVVYTSARDPDDLDTRILRAMITKGIIEPISSVFINIVNADYTAKQRGLRISEERIYRDSSLEAPLDSIQIHLTNVESKFASALSDSGDIVVEGRVKDGIPRLTLVGSFSVDVSLEGNAILCRNVDQPGNIGRVGKILGEQNVNISFMSVGRTAPRKQAIMAIGVDEEPDKETLKKIGEIPAIKEFVFLKL >Ma05_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2742674:2743883:1 gene:Ma05_g03710 transcript:Ma05_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALTRDYEIGGEIGRGRFGVVRRCRSVATGEEFAVKSVDKRLLADSVDRECAAREAKVHGVAAAGNPYATQIHDAYEDDHWVHLVVELLEGPDLCDRIAARGGTPFPEPEAAAVVEALAEALAGCHRRGVAHRDVKPDNVLFDALGHLKLADFGSAQCFLDADGEWAPMRGLVGTPWYVAPEVVAGRAYGEKVDVWSVGVVMYMMLSGGAPPFYGDTAVETFEAVARANLRFPSRVFRSLSPAAKDLMRRMLCRDVARRLSAEQILGHPWILSGGMSPVEGTTRDLSLSMERPEGSLLGLCA >Ma11_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25887116:25889582:1 gene:Ma11_g21920 transcript:Ma11_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGRKNIRRASKEDGISLREGQGIMKVVSLRGSNVIEVMDAKGVKSLALFPAKFQKSFWIKTGSFVVVDESGREKALESGSKIACMVSQVLFHDQVRALEKSLDWPAIFRTATPDDSHQESKSQCKEETGSDSEDGLPPIEANLNRNRPIELYSDSDSDSCPAS >Ma11_p21920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25887132:25889582:1 gene:Ma11_g21920 transcript:Ma11_t21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGRKNIRRASKEDGISLREGQGIMKVVSLRGSNVIEVMDAKGVKSLALFPAKFQKSFWIKTGSFVVVDESGREKALESGSKIACMVSQVLFHDQVRALEKSLDWPAIFRTATPDDSHQESKSQCKEETGSDSEDGLPPIEANLNRNRPIELYSDSDSDSCPAS >Ma08_p15900.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16190398:16210206:-1 gene:Ma08_g15900 transcript:Ma08_t15900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDASNVVVDGSRDELPVSTSSWNPLGRAFRPYVSFSPSTVNAPESSSAIAPLRVIVKKPLVARLTKGIVETYQICNPSFKYSEALNPKRFLTNPSIGVLNDGYDNANSDLILHVNLELVNLERKRRYTVKDILGQGTFGQVAKCWDLETNCYVAVKIIKNQPAYYRQAVVEVSILHMLNQKFDPDAKHHIVRILDYFVFQRHLCISFEMLGSNLYELIKMNHFKGFSLSIVQMFSKQILHALIVMKDAGIIHCDMKPENILISTSVKPTEIKVIDFGSACMEGRTVYSYIQSRYYRSPEVVLGYPYTSSIDMWSLGCIVAELFLGLPLFPGASEYDLLKRMIQILGGQPPDNLLRDSKSTIKFFKHVGSIYRLENVETSKELTSAYRVLTEDEYEARESKRPSIGKNYFNHVKLEDIIANYPYRKNLPEEEISKESLTRLALVDFLRGLVEFDPGKRWSPLQASGHPFVTGEPFRCPYQPSPETPRIPVIHTVTVDHNPGGGHWLAAGLSPQVSTVNNYLSLSSPHFQKVPMSYGSSYGSLGSDGSYNDSTGFGSSYGSYSDINSMHAYNSPAGPCGFNIHVQVGGQFLGSSPDARYRSQLSHGTGFGASPFGGVGPMSLGASPSQFTPPSSQMHFSSASPGKYGPTSPVRGSGRGILLGKAAAIGQYNKSWGYPTMCMQPYGSASERGPGFCANGMSCIHSDAQFQGHGAHSVISSSSHSNWKQQMGVGNVLSLSLTSANQKSYPAQSVHNSCVVCAHSLEVPCDKPEGSSSLPDPADWDPNYSDESLLQEDNAEFNSLNSEFTSCVCLGEPSDASTLTTKIGRYGHGHNQANPSSKFLSSNQRTDGLHQAYSLTESSPSTSHDVRAGNARPTQFLQNFPSRFGQQSVHRYNHVNSNIMQGERYNQYGQPVHSNNNRTDSHSSTNAMFSNSMPWGRRVGHSMTTTLPMPRSRKDYGKI >Ma08_p15900.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16189979:16210206:-1 gene:Ma08_g15900 transcript:Ma08_t15900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDASNVVVDGSRDELPVSTSSWNPLGRAFRPYVSFSPSTVNAPESSSAIAPLRVIVKKPLVARLTKGIVETYQICNPSFKYSEALNPKRFLTNPSIGVLNDGYDNANSDLILHVNLELVNLERKRRYTVKDILGQGTFGQVAKCWDLETNCYVAVKIIKNQPAYYRQAVVEVSILHMLNQKFDPDAKHHIVRILDYFVFQRHLCISFEMLGSNLYELIKMNHFKGFSLSIVQMFSKQILHALIVMKDAGIIHCDMKPENILISTSVKPTEIKVIDFGSACMEGRTVYSYIQSRYYRSPEVVLGYPYTSSIDMWSLGCIVAELFLGLPLFPGASEYDLLKRMIQILGGQPPDNLLRDSKSTIKFFKHVGSIYRLENVETSKELTSAYRVLTEDEYEARESKRPSIGKNYFNHVKLEDIIANYPYRKNLPEEEISKESLTRLALVDFLRGLVEFDPGKRWSPLQASGHPFVTGEPFRCPYQPSPETPRIPVIHTVTVDHNPGGGHWLAAGLSPQVSTVNNYLSLSSPHFQKVPMSYGSSYGSLGSDGSYNDSTGFGSSYGSYSDINSMHAYNSPAGPCGFNIHVQVGGQFLGSSPDARYRSQLSHGTGFGASPFGGVGPMSLGASPSQFTPPSSQMHFSSASPGKYGPTSPVRGSGRGILLGKAAAIGQYNKSWGYPTMCMQPYGSASERGPGFCANGMSCIHSDAQFQGHGAHSVISSSSHSNWKQQMGVGNVLSLSLTSANQKSYPAQSVHNSCVVCAHSLEVPCDKPEGSSSLPDPADWDPNYSDESLLQEDNAEFNSLNSEFTSCVCLGEPSDASTLTTKIGRYGHGHNQANPSSKFLSSNQRTDGLHQAYSLTESSPSTSHDVRAGNARPTQFLQNFPSRFGQQSVHRYNHVNSNIMQGERYNQYGQPVHSNNNRTDSHSSTNAMFSNSMPWGRRVGHSMTTTLPMPRSRKDYGKI >Ma08_p15900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16190551:16210206:-1 gene:Ma08_g15900 transcript:Ma08_t15900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDASNVVVDGSRDELPVSTSSWNPLGRAFRPYVSFSPSTVNAPESSSAIAPLRVIVKKPLVARLTKGIVETYQICNPSFKYSEALNPKRFLTNPSIGVLNDGYDNANSDLILHVNLELVNLERKRRYTVKDILGQGTFGQVAKCWDLETNCYVAVKIIKNQPAYYRQAVVEVSILHMLNQKFDPDAKHHIVRILDYFVFQRHLCISFEMLGSNLYELIKMNHFKGFSLSIVQMFSKQILHALIVMKDAGIIHCDMKPENILISTSVKPTEIKVIDFGSACMEGRTVYSYIQSRYYRSPEVVLGYPYTSSIDMWSLGCIVAELFLGLPLFPGASEYDLLKRMIQILGGQPPDNLLRDSKSTIKFFKHVGSIYRLENVETSKELTSAYRVLTEDEYEARESKRPSIGKNYFNHVKLEDIIANYPYRKNLPEEEISKESLTRLALVDFLRGLVEFDPGKRWSPLQASGHPFVTGEPFRCPYQPSPETPRIPVIHTVTVDHNPGGGHWLAAGLSPQVSTVNNYLSLSSPHFQKVPMSYGSSYGSLGSDGSYNDSTGFGSSYGSYSDINSMHAYNSPAGPCGFNIHVQVGGQFLGSSPDARYRSQLSHGTGFGASPFGGVGPMSLGASPSQFTPPSSQMHFSSASPGKYGPTSPVRGSGRGILLGKAAAIGQYNKSWGYPTMCMQPYGSASERGPGFCANGMSCIHSDAQFQGHGAHSVISSSSHSNWKQQMGVGNVLSLSLTSANQKSYPAQSVHNSCVVCAHSLEVPCDKPEGSSSLPDPADWDPNYSDESLLQEDNAEFNSLNSEFTSCVCLGEPSDASTLTTKIGRYGHGHNQANPSSKFLSSNQRTDGLHQAYSLTESSPSTSHDVRAGNARPTQFLQNFPSRFGQQSVHRYNHVNSNIMQGERYNQYGQPVHSNNNRTDSHSSTNAMFSNSMPWGWDVGQLSL >Ma08_p15900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16190537:16210206:-1 gene:Ma08_g15900 transcript:Ma08_t15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDASNVVVDGSRDELPVSTSSWNPLGRAFRPYVSFSPSTVNAPESSSAIAPLRVIVKKPLVARLTKGIVETYQICNPSFKYSEALNPKRFLTNPSIGVLNDGYDNANSDLILHVNLELVNLERKRRYTVKDILGQGTFGQVAKCWDLETNCYVAVKIIKNQPAYYRQAVVEVSILHMLNQKFDPDAKHHIVRILDYFVFQRHLCISFEMLGSNLYELIKMNHFKGFSLSIVQMFSKQILHALIVMKDAGIIHCDMKPENILISTSVKPTEIKVIDFGSACMEGRTVYSYIQSRYYRSPEVVLGYPYTSSIDMWSLGCIVAELFLGLPLFPGASEYDLLKRMIQILGGQPPDNLLRDSKSTIKFFKHVGSIYRLENVETSKELTSAYRVLTEDEYEARESKRPSIGKNYFNHVKLEDIIANYPYRKNLPEEEISKESLTRLALVDFLRGLVEFDPGKRWSPLQASGHPFVTGEPFRCPYQPSPETPRIPVIHTVTVDHNPGGGHWLAAGLSPQVSTVNNYLSLSSPHFQKVPMSYGSSYGSLGSDGSYNDSTGFGSSYGSYSDINSMHAYNSPAGPCGFNIHVQVGGQFLGSSPDARYRSQLSHGTGFGASPFGGVGPMSLGASPSQFTPPSSQMHFSSASPGKYGPTSPVRGSGRGILLGKAAAIGQYNKSWGYPTMCMQPYGSASERGPGFCANGMSCIHSDAQFQGHGAHSVISSSSHSNWKQQMGVGNVLSLSLTSANQKSYPAQSVHNSCVVCAHSLEVPCDKPEGSSSLPDPADWDPNYSDESLLQEDNAEFNSLNSEFTSCVCLGEPSDASTLTTKIGRYGHGHNQANPSSKFLSSNQRHIRLLRVVHLLPMMYVLEMPVQPNSCKTSQAVLDNNLFIGTIM >Ma08_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16189993:16210206:-1 gene:Ma08_g15900 transcript:Ma08_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDASNVVVDGSRDELPVSTSSWNPLGRAFRPYVSFSPSTVNAPESSSAIAPLRVIVKKPLVARLTKGIVETYQICNPSFKYSEALNPKRFLTNPSIGVLNDGYDNANSDLILHVNLELVNLERKRRYTVKDILGQGTFGQVAKCWDLETNCYVAVKIIKNQPAYYRQAVVEVSILHMLNQKFDPDAKHHIVRILDYFVFQRHLCISFEMLGSNLYELIKMNHFKGFSLSIVQMFSKQILHALIVMKDAGIIHCDMKPENILISTSVKPTEIKVIDFGSACMEGRTVYSYIQSRYYRSPEVVLGYPYTSSIDMWSLGCIVAELFLGLPLFPGASEYDLLKRMIQILGGQPPDNLLRDSKSTIKFFKHVGSIYRLENVETSKELTSAYRVLTEDEYEARESKRPSIGKNYFNHVKLEDIIANYPYRKNLPEEEISKESLTRLALVDFLRGLVEFDPGKRWSPLQASGHPFVTGEPFRCPYQPSPETPRIPVIHTVTVDHNPGGGHWLAAGLSPQVSTVNNYLSLSSPHFQKVPMSYGSSYGSLGSDGSYNDSTGFGSSYGSYSDINSMHAYNSPAGPCGFNIHVQVGGQFLGSSPDARYRSQLSHGTGFGASPFGGVGPMSLGASPSQFTPPSSQMHFSSASPGKYGPTSPVRGSGRGILLGKAAAIGQYNKSWGYPTMCMQPYGSASERGPGFCANGMSCIHSDAQFQGHGAHSVISSSSHSNWKQQMGVGNVLSLSLTSANQKSYPAQSVHNSCVVCAHSLEVPCDKPEGSSSLPDPADWDPNYSDESLLQEDNAEFNSLNSEFTSCVCLGEPSDASTLTTKIGRYGHGHNQANPSSKFLSSNQRTDGLHQAYSLTESSPSTSHDVRAGNARPTQFLQNFPSRFGQQSVHRYNHVNSNIMQGERYNQYGQPVHSNNNRTDSHSSTNAMFSNSMPWGRRVGHSMTTTLPMPRSRKDYGKI >Ma04_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:907641:908255:1 gene:Ma04_g01030 transcript:Ma04_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRDLRNPFFFLLLALATSPVVLAGDPDITSDFVLPPNTNAVNGSFFTFTGMRCLLDSSPPTNFTVLKATMAEFPALGGQSVSYAVLSFPAGSVNPPHTHPRSAELLFLIDGYLEVGFVDTANKLYTQTLQPGDLFVFPKGLVHYQYCNRKTPAIAISAFGSASAGTVSVPKAVFTTGIDDGILAKSFKTDAATVDKIKAGLA >Ma03_p28640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31764472:31769595:-1 gene:Ma03_g28640 transcript:Ma03_t28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGGDADGASASASTVATTANACLNGGAPPPFLSKTYEMVDDSATDAIVSWGPANNSFVVWNTIEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKAISRRKSTHGHNQQPQQQQQQQPQTQNTIEVGKFGLEEEIERLKRDKNILMQELVRLRQQQQTTDQQLNTLGQRLQGMEQRQQQMMSFLAKAMQSPGFLAQLVQQNDNNRRIVGVSKKRRLPRQENELDGETTMQDGQIIKYQPLINEAAKAMLMQILKYDTSPRLESFGNSQNFLIENYSSPLEAFDSSSLKRTSGVTLSEVPPTNSGVPSLPASSGYSAMPPPVSSEIQSSSNVAHMVTTTKMPNMDLRSGTIAPSHTNNGISELSQMQAMMPDGFSHSYAGSNGGSIPMNPISDVMDELAGIETEKFTSDMDILSDDEQLPSINDPFWEQFLTASPLLGDADEVDSDLHETEEIGLEAGNYGDSTQSMDHLTMQMGHLAPHSNK >Ma08_p28600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40453329:40461566:1 gene:Ma08_g28600 transcript:Ma08_t28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSHRLHKHSRDLSDSEEDGSPRERRIREEEPTASSGARVSRDPEPEKRRSSHEHAGKELVVTSNGDASGEHGKKRKEKAEEVAVADRWNGGEECDHKRSKCEEFGPVELDKSSRSKLLTADSKVRSSRRHDGSNERDENSGGKNDSAKHKSERGADRRESSSQYKDGRARNRIEKDTIKDREVQDSRHDKYDDKHSRKNGPKSGGSTEELTSKKYTTNNERQEQYIFRSAETEEPEKHLGRRDDFEEREKWLDDSGHADDRRLYSMDDRSKNRSYKDERHEDAKYRGKYRDDDDRDQKHRDDKYHDECPDKDHTSNRSDKWNLRDENKPLESHYKRIKLQDTDHDATSYVDGHETKLKDNRGRNRYSDEKDHSDLEPRGAKEQREVFQKNASITSQTGSHSDKPRSEIQQPEKTNSSPRNHRLKSSISSSTCAAKDLNRNISKIAEFAHSESALEDRLYPNAASKGDSSISSGLRDKISVTRSGKQTLKDDIHSGELFAEAAASSKCNRTPRSDAHTSPNQLKGRSSSAIINRRFSERSPLKYERFARQCVDIEIGQRSSSSKAGDRGESALEKPIINDISPADVCVRESTLGSSSINRSDYVSDCSFNHLPSPLPVRSGVDYPAVLDPYQDDERARSTDRKSSNRYKRMDDLGFIRGHGNAWKGAPTWPFPVTNGFVPLQHGPPPVFHPAMSPFPAPPLFGVRPPIDLTHGGVSYHMHDEAVRYSGHCQPFGWHNPVDQLSHPHMQMWDGSSDMFKDESQTYGRPEWDENSQLKSSRGWEMGSDRWNKERELLRHSLTDELAQSKCLPTGSTGAKQSSDTLPVKSAVPSPQKDVIEKTHEPSNVPGDKISNCFVNYFSRIDISPALAGSELYKRCKSQLRTLHVNDACNWTTYRSIQTNKEGSIVKMKSASSILNSFFPSAKDIVFKRAMFLYQQQNGKAYRKHHVPAPVYSEEKESPQASANRKVLGGANCASLEKTDADSTDHIKAGDITVVQDSDCPGDAKDQKSDFPCGPTVHGNSTQGCEVIAEECRMNVSRIHNVPENTH >Ma02_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19964932:19967661:1 gene:Ma02_g10350 transcript:Ma02_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETSSKFKRICVFCGSNSGNRTVFREAALDLGHELVKRRIDLVYGGGSVGLMGLISQTVYDGGCHVLGVIPKALVPLEISGETVGEVKIVSDMHERKAEMARQSDAFIALPGGFGTMEEVLEMITWSQLGIHDKPVGLLNVDGYYNSLFALFDSGVEEGFIKPACRHIVLSASNANELLEKMELYTPLHKEVAPKQSWEIAHLGYSKAPSSP >Ma10_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27271935:27276158:1 gene:Ma10_g15030 transcript:Ma10_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLLYFLLGQAKDVVRALKKCIGHKSPKVQLLALSLLETMIKNYEEIVHMHVAEKDMLHKMVKIVKKKVLEKIFTLIDTWQEAFGGPQTRYPYAGERGI >Ma06_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3697708:3698563:-1 gene:Ma06_g04940 transcript:Ma06_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSLPSDEILAIVVPILVYWVYSGVYLMLGSLDNYRLHSRKDEDVKNLVSKLQVVKGVLLQQLVQAAVAFLVFKITGDDHSAAATSDSASFLSLVRQFVVAMFVLDTWQYFWHRYMHLNKFLYRHVHSWHHRLVVPYSFGSQYNHPVEGLLLDTFGGGLAFILSGMSPRTSIFFFSFATIKGIDDHCGLWLPGNIFHLFFWNNTAYHDVHHQLYGNKYNFSQPFFITWDMILGTHMPYKLERRAGGGLEARPLNRRS >Ma04_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26138965:26140392:-1 gene:Ma04_g24070 transcript:Ma04_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYMVYGFPSNYLRRIALFNKAAYNIGGQIITANCIEYSILCCRISRRGHWLETILTNAVRKKYREEKQLTGSNIGLSSCQPLVFFGLCTGASSKTMVNLSLALSSMEELQKAKRGIPSNPCTGEEIKQSFSTKGS >Ma04_p05910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4422979:4427040:-1 gene:Ma04_g05910 transcript:Ma04_t05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGPPGDRKRDGDKKDKKFEPAAPPSRVGRKQRRQKGPEAAARLPAVTPLSKCRLRVLKLERIKDYLLMEEEFVANQERLRPQEEKNEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVSILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDSQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >Ma04_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4421581:4427040:-1 gene:Ma04_g05910 transcript:Ma04_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGPPGDRKRDGDKKDKKFEPAAPPSRVGRKQRRQKGPEAAARLPAVTPLSKCRLRVLKLERIKDYLLMEEEFVANQERLRPQEEKNEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVSILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDSQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >Ma03_p30430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33056089:33062055:1 gene:Ma03_g30430 transcript:Ma03_t30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSARSVAFFAVLLLGLLVSDVLTPVVLDPSAESESWGTAAAAAASASPLPSPPTPLLSLPAPPTSEIFVEEKWTDRPLSGDLVPVEESPPFVPDPKSALLLNSAESKEGGFFLALPNGTIYFMNKSTKPQWKLLIGQPLSYSWRSPSNDDPDYIVFSDSNGELYEYSKDVGIRKHNRTVEEYVQRAPVVEGSVITTGTKTSTFYVVDADSGELIYHDNEPFSLPTVGVPMSKEQSVASKLESGNATYITIIRTDYFLNSYDINNHLWSVMISRISAHNVGPGLTNTMYDEMEISSVSGRNIPVYFPGETDQLPKHKAMLPPSFSEPNTGMWSGQDYEQSYECESAGNCSLGIVTTSNMDHDQIVERLNDEHISLGGSRGLPTSPNGCPLGNCISARPKFPTAYSSFVNSRQRPRGPINLLYHEQESSNTSQNSLDDSNNYSIDGHSHVQQGRGLSMQSIYGHSWLFILSVPIFAFCYFGLRKLFKHDKKYNDMKEKQSVIPKKRKSRKSGNLKNATISVSHDRHTLSMKENAETNGHNQIQVNGSYSFIPDGDSDGRWVGRLFVTNIKIGHGSNGTVVFEGFYGGRPVAVKRLLRAHHDVAFKEIQNLIASDRHPNIVRWYGVEQDLDFVYISLERCICSLSDLICICSDSSSHSVSVENQTSNSVIEDKVQLGLAKGIRKDVNLWRLNGLPSRQLLKIMRDVVSGLAHLHELGIIHRDLKPQNVLISNDRYLNAKLSDMGISKRLLEDMSSLSRNATGYGSSGWQAPEQLLHGRQTRAVDLFSLGCILFFCITKGKHPFGNHFERDANIINNRMDLFLVDHIPEAEHLLCQLLQPDPKMRLNAVEVLCHPLFWSSEARLSFLRDVSDRVELEDRENESELLKSLENTAPNAFGGKWDDKLDVAFITDMGRYRKYRFDSIRDLLRVIRNKFNHYRELPKELQETLGPVPQGFDMYFASRFPKLLIEVYKVVYRFCKEEDSLSKYFQCSLL >Ma07_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27537085:27542528:1 gene:Ma07_g19590 transcript:Ma07_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRAMLYPCVVRESLYREPADLVLPISKPDGQYGSGFWYRIDNETGVESTTVAIPRNTYRAVLEIFVSYHGEDESWYTNPLRNNYLHQPTAAKVSAPRANGAFRQVYATIDGKYVGGHVPFPVIYSSAINPVFWSPVAAIGAFDMPSYDLDLTPFLALMLDGRPHEIGLGVCSALPHWLVNANLHLWVDYWSDAVQAGPVEYFVPAIQMNRNAEWRNPDGQSEIGAEGLERFAGWVSSSRGNLTTEVRHKIKLKSQVQVQNRGAVTQIDFIVKERTMVTVMKGNQWLARAQTVMDAPLQVQTATVNAAGGPVLQKTRFFHQLMEAVSLSEGQAGATTTRELTDRQDAEGSALVGEGWGSGRSRSSYQYRDGSKCYARNVATAGGAVIQDRKASCFAVADDA >Ma06_p31880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32891384:32892557:-1 gene:Ma06_g31880 transcript:Ma06_t31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACKVDMCSTGLSLALNYYGKSTDSSVGNRPRPSSRLELEISSSAAAAIEPSLTLGLPGDGRKAWSVGTQSSPTHSVMSSFPGGHPSKINGENDEAEKIWPRISDEEEYGTARKKLRLTKGQSALLEDKFREHGTLNPKQKQDLAQQLNLRPRQVEVWFQNRRARSKLKKTEVELEFLRKCCERLTEENIRLQEELQELKSQKSLAPRYTQLHEAAPAFAMCPSCNKVTGASDGSGSGLLVAASKRHFSNPFAHCAAR >Ma08_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30329003:30330500:1 gene:Ma08_g18400 transcript:Ma08_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNSGSMQSSSSGDNDECDSRGESISSFLNLPPLHPRLQPAATATTLQHDHPSSSSIFDPLSPYLASFPLPPPEFDSTWPAGIPPSSMSSYSTCTTTDGIRSSASASPSSLQPPPVLQADRSAAAPRGSKKRSRASRRAPTTVLTTDTSNFRAMVQQFTGFPTPPFAASPFPRPRLDLLNLGAAVPSYLLRPSAQKFPSSSIPPMSSSSASTLLFDHAIASIARSTLANINVPINTSATSSSSSATPSSTSRRELPVFDDFISQQLLQSQTTPEYGSLSFASSVARAQGPSGYAMGGLGSLMATEGLKVSGGTNVSSWVDELGQENAEDDRSKGIMRNYGDQR >Ma02_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16954798:16957737:-1 gene:Ma02_g05720 transcript:Ma02_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MARPIMSFALAALLLGCSLSSAAEDGFSYREALTKSLLFFEAQRSGKLPADQRVKWRGDSALKDGFSQGVDLVGGYYDAGDHVKFGLPMAYAVTMLSWSVIEFEKEIVDAGQLEFALDAIRWGTDYFVKAHRQPDLLWVQVGDGDSDHICWERAEDMTTPRTAYKVDCEHPGSEVAAETAAAMAAASIVFRPYDSKYSDLLLLHARQLFSFADTYRGRYDDSVHSVRKYYPSSTGYSDELLWAAAWLFEATDDKSYLNYVAQNAVVLGGTGWAVTEFSWDNKYAGLQVLLSKVLVRGGGETCNATLNQYKAKAEFFLCACLQKNNGDNVEMTPGGLLYFHDWQNLQYVSSAAFLSAVYSNYLRMANANLVCPDGQISPEMLLKFAESQADYILGKNPKSMSYLVGYGWNYPTHVHHRGASVPSIFVLPSAVGCIDGFDYWYVNKDSNPNVIEGALVGGPDLKDEFYDDRCKYEQTEPSIAGNAPLVGLFAALDGLEGDKGHSPKYSTPDSSPGSKQKSAGPNPKPNEAAVEFVHTITNTWKYQGEDYYRHQVAVKNTCGERITYLKLKIENLTGTLWGLSQTQEKKMYELPPWLQVLEPGAGFVFVYIQGGPQAAVSVVAYR >Ma07_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7087120:7091662:-1 gene:Ma07_g09490 transcript:Ma07_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVFTGDETAAAAVAVAVCGGRGAGRSRRAVRWAAEHLVPHAHRVVLVHVIRAVTSIPSPSGERVPVDRLGKDVVEMYVQDLKSKAQQVLFPFRELCGTRNVETLVLEGENPAAALLEYVSISGTKNLVLGYSSFRFRRILKGPDVPTTVLKFSPDYCNIFAVSRRKLIMKFANQVSDDPSISTHIQTIKGKLFVQRRGTLHSRALSEPSSSISVRSFETLPSIGGHEEGELNNKNYGFLASIKYKTSFMFHSSRKDVSPAEVSNSRKESQNILAMYDQVCDDLVHAKKKFQSLSSEYSEVEKKLKNGLEREKVLEAIKEVEEAKQVSVKEAQHRHKAELVRISSELSKTIDGYFLNSKWCRRYSKNEIEVATDNFSEAKKIGEGGCGYVYKCNLDHTLVAVKVLRQDARDKEAEFLREVKILSQIHHPHLVLLLGVCLESGCLVYEYMENGSLDDHLFNRDGKRPLPWIIRFRILYEVACGLTFLHGNKPEPIVHRDLKPANILLNRNYVSKIGDVGLAKLLSDVVPDGLTEYRETILAGTFFYMDPEYQRTGTVRPKSDLYAWGVIALQLLTGKNPNGLIVSMENAIRGGTFSAVLDQSVKDWPLAEAERLAKLALQCSRLTCRERPDLDSEVLPELEDILNKGNAIINLKKHNIDAPKHYFCPILMEIMDDPYVAADGYTYEHKAIRAWLEKYDISPVNKIRLPHTSIIPNHSLRLAIQEWKSHVAFSTSWN >Ma07_p09490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7087120:7091662:-1 gene:Ma07_g09490 transcript:Ma07_t09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVFTGDETAAAAVAVAVCGGRGAGRSRRAVRWAAEHLVPHAHRVVLVHVIRAVTSIPSPSGERVPVDRLGKDVVEMYVQDLKSKAQQVLFPFRELCGTRNVETLVLEGENPAAALLEYVSISGTKNLVLGYSSFRFRRILKGPDVPTTVLKFSPDYCNIFAVSRRKLIMKFANQVSDDPSISTHIQTIKGKLFVQRRGTLHSRALSEPSSSISVRSFETLPSIGGHEEGELNNKNYGFLASIKYKTSFMFHSSRKNLQDVSPAEVSNSRKESQNILAMYDQVCDDLVHAKKKFQSLSSEYSEVEKKLKNGLEREKVLEAIKEVEEAKQVSVKEAQHRHKAELVRISSELSKTIDGYFLNSKWCRRYSKNEIEVATDNFSEAKKIGEGGCGYVYKCNLDHTLVAVKVLRQDARDKEAEFLREVKILSQIHHPHLVLLLGVCLESGCLVYEYMENGSLDDHLFNRDGKRPLPWIIRFRILYEVACGLTFLHGNKPEPIVHRDLKPANILLNRNYVSKIGDVGLAKLLSDVVPDGLTEYRETILAGTFFYMDPEYQRTGTVRPKSDLYAWGVIALQLLTGKNPNGLIVSMENAIRGGTFSAVLDQSVKDWPLAEAERLAKLALQCSRLTCRERPDLDSEVLPELEDILNKGNAIINLKKHNIDAPKHYFCPILMEIMDDPYVAADGYTYEHKAIRAWLEKYDISPVNKIRLPHTSIIPNHSLRLAIQEWKSHVAFSTSWN >Ma11_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18628249:18632457:-1 gene:Ma11_g13620 transcript:Ma11_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLACEKTWVWIPLPQKFQSPIILKLILGFCWYLLHLMVNLRHMGSSVNDLLFCYIISMGLLLKHQNLRLDKLNSLAVVVDSEEAKNTTKIKQLLCWLSSIGIKYVILYDMHGVLKQTIGTDLESLTNTSMTTCSVVDAKMATSLFHFEKMAIEIISLSDGKEGVAKAASFLYSEHMKDDSEICYRSEPDFTESDVANALKATGSAGAEPDLLLVYGPARCHLGFPAWRLRYTEIVHMGRLRSMKFAAIVKTMHDFSKKHQNYGK >Ma00_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:24618129:24626242:-1 gene:Ma00_g03040 transcript:Ma00_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLSSPSGDDGGSLVADPGSQAGSAAGSLQGGDGVLSAPPAVAGPGAFPPCSSGGGDDAEYGFQRPGFGKGPLVGTVQPYDRHLFLCYKSPEVWPSHVEGSESDRLPRFLAGEIKNWASSIDKKTRLTICEGVDGTDFSNGDVLIFPDMIRYRRLTHFDVEHFVDEVLKKNSEWLPCTPEPLSGSYIFVCAHGSRDRRCGVCGPILIKGFKEEITSRDLQGQVFVSPCSHIGGHKYAGNVIIFSPNANGQVAGHWYGYVTPDDVPILLEQHIGKGKIVDHLWRGQMGLSEDEQRAAQNLRLQLNGELDQNSYNDSIDTTTGSNGRASSVMSGTGGCCQGSGEASCCQVKSKKEKPESQCAREQEAAQDIVQKSSSKDGNASSRKEPSTRKLCPMPTWFECWEREDTYAALAVVAAIASVAVAYSYYRQLR >Ma00_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46431434:46432271:1 gene:Ma00_g05410 transcript:Ma00_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIFPEWDYQAVRSYLMLNWRRKLAGLLLEFRKVSQKTLPPVVQKPDGKKNWMVCDPNGLLVSHRILECSWMELSENKRTVTAEELAVIIYGSKEYLESYCSLLLLSRDDIYFSVVDSKGYCSLYERRPSIKGQYEDSLGKILLVNFQAAQPLPQWWRQRGG >Ma03_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4625350:4626630:-1 gene:Ma03_g06730 transcript:Ma03_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGGGRGREAAAWWMDIAHRRLRERDLVGSKRFAERAVEVDPLIGGADQIIAVADVLLASQRRVNNHVDWYAVLQLDPSSPAGRHPSAVRSQYRRLALLLSLVRHHLDIALSAAKLVDDAWAVLSDPSKKALFDAELDMATAALPRQRPVPTFWTACPSCCYLHKYSCDYEGKNLRCPSCRRAFHAAPLQAEPPVVPDTDMYYCSWGFFPLGFPDGAAAGAPGLDAQSKPFYPMSPCHQPHPSKQTAAVVDAITPPVGGTTALKVTPLRSMKRAVAKKKVGGGLRKRVLVSESQTRTSEGTKVSSVMDMNAEAGSSISLGSSF >Ma01_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:614605:618036:-1 gene:Ma01_g00890 transcript:Ma01_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MPLLPHALLSPAIPLPLPSLSLPKKPILSSPHQIPPLRISSRPSSSSPHLPRCSLVSVSTEAPPKAGEHTRAKPSPAEVSRTIMELSSTGTFSSLSTDGWPLAIGARFVVDAQGAPAVCLNQPERLFKIDGLSSFHVQFEQTRSRTPQCTLLGSLSKPDDAFLLKRLRAKWEKKYAEEVGEDLIYLISVEKVLQIEYFKEDGIWVTSSEYSNAEPDPLRNFAEKIVDEMNSKHVEDVRGLCNVYVEPGFQVADTKLIWVDRLGFDLFIYSEEAVFAARIPFPREVSDEKGAKSSFNSMSHLAWEIEKGYATPDFEKVKFLKRIR >Ma06_p33100.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33858221:33872663:1 gene:Ma06_g33100 transcript:Ma06_t33100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGSDGGDAFDADMEALRRACALTGADPADVGGAYLDSDSDSGSDDAGLLRRLQERFSSPSLAVDSFSLVKPLSVLAPMDLDDEDDFETLRAIQRRFTQYNSDPLREKPEKILEEPEMVVNDDVCGPETPNRSTELSKEHGYSHTEPHFPEHEAWSSNVKDLTSSKFPKSAHNFVNALKKNRSCQKFIRRKLLEIEAKIEKNKELKERIKCLMDFQVACKRKVANILYQKKDPRITLISLKRSTSEKSSKTTLKKVPASYIGPNENSHVSKYKMVLKRFPISLSKQPWSNIEKENLVKGIKQQYQEMLILNSMNMESDAEGITDSNLMSAITLSDPEFTAEKIRSFIPLVNWNRLASMYAMGRAGAECEARWLNCEDSMINHSPWTVMEDKKLLFIVQERGIYNWIDISITLGTHRTPFQCLVRYQRSLNPHILNKDWTEDEDAKLRVAVEYYGDNWQMVASCLEGRVGPQCSNRWNKTLNPERKKVGRWSVDEDKRLKVAVMLFGAKNWNKIAWFAPGRTQVQCRERWHNCLDPTLNLKPWTAEEDAKLLDARAMHGNCWSKIAACIPPRTDNQCRRRWKILLPGELISLQAAAQIKKTALISNFVDRESERPAIGPNDFTPLINSNTMFDKIGGAKVRKKRQSENQPNKSRKKPRRVSMEDQETNCCTDDLALPPSIESNPTSSLGISRSGNKRLRDDRPRNPRVKSRIHLKENSTKDCMTNTPSVVAPADMSLVVAINSKATESMNISKAMDKSKNEQNMWIKSRFPIEENSTADGLAKFSVDIASDDSPLALYMNNTSARNFRRQRNIARVNTLKGQGLDPEAHFMKIL >Ma06_p33100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33858221:33872663:1 gene:Ma06_g33100 transcript:Ma06_t33100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGSDGGDAFDADMEALRRACALTGADPADVGGAYLDSDSDSGSDDAGLLRRLQERFSSPSLAVDSFSLVKPLSVLAPMDLDDEDDFETLRAIQRRFTQYNSDPLREKPEKILEEPEMVVNDDVCGPETPNRSTELSKEHGYSHTEPHFPEHEAWSSNVKDLTSSKFPKSAHNFVNALKKNRSCQKFIRRKLLEIEAKIEKNKELKERIKCLMDFQVACKRKVANILYQKKDPRITLISLKRSTSEKSSKTTLKKVPASYIGPNENSHVSKYKMVLKRFPISLSKQPWSNIEKENLVKGIKQQYQEMLILNSMNMESDAEGITDSNLMSAITLSDPEFTAEKIRSFIPLVNWNRLASMYAMGRAGAECEARWLNCEDSMINHSPWTVMEDKKLLFIVQERGIYNWIDISITLGTHRTPFQCLVRYQRSLNPHILNKDWTEDEDAKLRVAVEYYGDNWQMVASCLEGRVGPQCSNRWNKTLNPERKKVGRWSVDEDKRLKVAVMLFGAKNWNKIAWFAPGRTQVQCRERWHNCLDPTLNLKPWTAEEDAKLLDARAMHGNCWSKIAACIPPRTDNQCRRRWKILLPGELISLQAAAQIKKTALISNFVDRESERPAIGPNDFTPLINSNTMFDKIGGAKVRKKRQSENQPNKSRKKPRRVSMEDQETNCCTDDLALPPSIESNPTSSLGISRSGNKRLRDDRPRNPRVKSRIHLKENSTKDCMTNTPSVVAPADMSLVVAINSKATESMNISKAMDKSKNEQNMWIKSRFPIEENSTADGLAKFSVDIASDDSPLALYMNNTSARNFRRQRNIARVNTLKGQGLDPEAHFMKIL >Ma06_p33100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33858221:33872663:1 gene:Ma06_g33100 transcript:Ma06_t33100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGSDGGDAFDADMEALRRACALTGADPADVGGAYLDSDSDSGSDDAGLLRRLQERFSSPSLAVDSFSLVKPLSVLAPMDLDDEDDFETLRAIQRRFTQYNSDPLREKPEKILEEPEMVVNDDVCGPETPNRSTELSKEHGYSHTEPHFPEHEAWSSNVKDLTSSKFPKSAHNFVNALKKNRSCQKFIRRKLLEIEAKIEKNKELKERIKCLMDFQVACKRKVANILYQKKDPRITLISLKRSTSEKSSKTTLKKVPASYIGPNENSHVSKYKMVLKRFPISLSKQPWSNIEKENLVKGIKQQYQEMLILNSMNMESDAEGITDSNLMSAITLSDPEFTAEKIRSFIPLVNWNRLASMYAMGRAGAECEARWLNCEDSMINHSPWTVMEDKKLLFIVQERGIYNWIDISITLGTHRTPFQCLVRYQRSLNPHILNKDWTEDEDAKLRVAVEYYGDNWQMVASCLEGRVGPQCSNRWNKTLNPERKKVGRWSVDEDKRLKVAVMLFGAKNWNKIAWFAPGRTQVQCRERWHNCLDPTLNLKPWTAEEDAKLLDARAMHGNCWSKIAACIPPRTDNQCRRRWKILLPGELISLQAAAQIKKTALISNFVDRESERPAIGPNDFTPLINSNTMFDKIGGAKVRKKRQSENQPNKSRKKPRRVSMEDQETNCCTDDLALPPSIESNPTSSLGISRSGNKRLRDDRPRNPRVKSRIHLKENSTKDCMTNTPSVVAPADMSLVVAINSKATESMNISKAMDKSKNEQNMWIKSRFPIEENSTADGLAKFSVDIASDDSPLALYMNNTSARNFRRQRNIARVNTLKGQGLDPEAHFMKIL >Ma06_p33100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33858221:33872663:1 gene:Ma06_g33100 transcript:Ma06_t33100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGSDGGDAFDADMEALRRACALTGADPADVGGAYLDSDSDSGSDDAGLLRRLQERFSSPSLAVDSFSLVKPLSVLAPMDLDDEDDFETLRAIQRRFTQYNSDPLREKPEKILEEPEMVVNDDVCGPETPNRSTELSKEHGYSHTEPHFPEHEAWSSNVKDLTSSKFPKSAHNFVNALKKNRSCQKFIRRKLLEIEAKIEKNKELKERIKCLMDFQVACKRKVANILYQKKDPRITLISLKRSTSEKSSKTTLKKVPASYIGPNENSHVSKYKMVLKRFPISLSKQPWSNIEKENLVKGIKQQYQEMLILNSMNMESDAEGITDSNLMSAITLSDPEFTAEKIRSFIPLVNWNRLASMYAMGRAGAECEARWLNCEDSMINHSPWTVMEDKKLLFIVQERGIYNWIDISITLGTHRTPFQCLVRYQRSLNPHILNKDWTEDEDAKLRVAVEYYGDNWQMVASCLEGRVGPQCSNRWNKTLNPERKKVGRWSVDEDKRLKVAVMLFGAKNWNKIAWFAPGRTQVQCRERWHNCLDPTLNLKPWTAEEDAKLLDARAMHGNCWSKIAACIPPRTDNQCRRRWKILLPGELISLQAAAQIKKTALISNFVDRESERPAIGPNDFTPLINSNTMFDKIGGAKVRKKRQSENQPNKSRKKPRRVSMEDQETNCCTDDLALPPSIESNPTSSLGISRSGNKRLRDDRPRNPRVKSRIHLKENSTKDCMTNTPSVVAPADMSLVVAINSKATESMNISKAMDKSKNEQNMWIKSRFPIEENSTADGLAKFSVDIASDDSPLALYMNNTSARNFRRQRNIARVNTLKGQGLDPEAHFMKIL >Ma06_p33100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33858221:33872663:1 gene:Ma06_g33100 transcript:Ma06_t33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGSDGGDAFDADMEALRRACALTGADPADVGGAYLDSDSDSGSDDAGLLRRLQERFSSPSLAVDSFSLVKPLSVLAPMDLDDEDDFETLRAIQRRFTQYNSDPLREKPEKILEEPEMVVNDDVCGPETPNRSTELSKEHGYSHTEPHFPEHEAWSSNVKDLTSSKFPKSAHNFVNALKKNRSCQKFIRRKLLEIEAKIEKNKELKERIKCLMDFQVACKRKVANILYQKKDPRITLISLKRSTSEKSSKTTLKKVPASYIGPNENSHVSKYKMVLKRFPISLSKQPWSNIEKENLVKGIKQQYQEMLILNSMNMESDAEGITDSNLMSAITLSDPEFTAEKIRSFIPLVNWNRLASMYAMGRAGAECEARWLNCEDSMINHSPWTVMEDKKLLFIVQERGIYNWIDISITLGTHRTPFQCLVRYQRSLNPHILNKDWTEDEDAKLRVAVEYYGDNWQMVASCLEGRVGPQCSNRWNKTLNPERKKVGRWSVDEDKRLKVAVMLFGAKNWNKIAWFAPGRTQVQCRERWHNCLDPTLNLKPWTAEEDAKLLDARAMHGNCWSKIAACIPPRTDNQCRRRWKILLPGELISLQAAAQIKKTALISNFVDRESERPAIGPNDFTPLINSNTMFDKIGGAKVRKKRQSENQPNKSRKKPRRVSMEDQETNCCTDDLALPPSIESNPTSSLGISRSGNKRLRDDRPRNPRVKSRIHLKENSTKDCMTNTPSVVAPADMSLVVAINSKATESMNISKAMDKSKNEQNMWIKSRFPIEENSTADGLAKFSVDIASDDSPLALYMNNTSARNFRRQRNIARVNTLKGQGLDPEAHFMKIL >Ma03_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6913374:6916776:1 gene:Ma03_g09360 transcript:Ma03_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRTEKPEVRDAARESALREIKERIKKTKDEKKAKKAEIVAKGQKSTQTKGSMARAPKGPKLGGGGGKR >Ma09_p27390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38387998:38391814:-1 gene:Ma09_g27390 transcript:Ma09_t27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCAWGLGEVRPLCDLCRLTDLRGLPSLTFIPASKIRVSRFVQCSKRDPSLKLMKASKREHHLWMKRDSTGSGQKALNLVRTVEKLPNEKEVIYGALDKWTAWETEFPVIAAAKALEILRKRRKWLRIIQVTKWMLSKGQVFTMGTYDTLLLAFDMDGRVDEAESFWNVILQTHTRSVSKKLFSRIIALYDHHRHPEKILEVFADMEELGVTPDEDTVSRIGRAFTILGQEDKPDLLLKKYKNKWKYLHFNGERVRVHATKHCAEQSKSCKEFKTNSTPR >Ma01_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15751374:15757728:-1 gene:Ma01_g19870 transcript:Ma01_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHVPRIAMWTGLRQHLVKLTSHFLRSASDYIRFRAVCKCWRSAVPPRPRHLPAQLPFLLYLSTPEPRKSSAFCLANAFNGSMRRLPHTTSMYCIGSSYGWLILISEVTSAVSLFNPVTAEDIPLPPLSTLPSFTNKSNNVVGSEINTRT >Ma04_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4466325:4471495:1 gene:Ma04_g05980 transcript:Ma04_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMSYGKLRPDSELPIKKLPAPVKVETEDQLEDEHGPPDKRFKMARPPPPPQQKWETGETMPPTDAIEHNLLNEPSPLGLRLRKSPSLLNLIQMRLSQANAAVGSGIMKNESLEDKNKKDTRSTCALANTEKIKASNFPASLLRIGAWEYASRYEGDLVAKCYFAKRKLVWEILEGGLKSKIEIQWSDITALRATCPENGPETLNIVLARQPLFFRETNPQPRKHTLWQATSDFTNGQASMHRRHFLQCPQGLLNKNFEKLIQCDPRLYALSQEPDNILKSPFFEPRGSVFDHQEEYKCHPFDKLKDECGSAIQEFQDSASTSVGTSTSFKSDVRDSVGTFSDVSKGEIHSPSSVRERRVVKEISTVETEVTKNQNCWNQWKFPGLKPSMSINDFVNKIGHCISEQISWGNPELSGMVIPDKEMLEELVQSLFSDSQLPASDEKSVMSKVNSFCSLLQKDVGTVHSQQSNAGDNDFHDGTSEKHTPPTEGEFNDISGAKTAASISRKDSFGELLMHLPRIASLPQFLFNIAEDEEDTSPSN >Ma03_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2616928:2617942:-1 gene:Ma03_g04010 transcript:Ma03_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGEARACESFRPFRLFAWRASNASSLAFPILLSYTHTRVYRYIYIYNYIYTYTPLPDAPSSSPILPCLDQLSGFQEDTAHAATDLRIRRTMDRDKKKRGREEPRERRAAAVTEAEQPKKKGKRSEEAEAVPESCRVDIVDVTDVFRPPGLFEFPWQKGEVLLLPEPPHDWDLGDAFFSSLVDGSSAAIGFPGDRLSPTAPGPFCLPEEPSDGELDGGVDCIWSSVLRQPLSTVYSKNCNA >mito5_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:437248:439216:1 gene:mito5_g00090 transcript:mito5_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKLVQEAVDTLLDNGIRGQPIRHGKGRATCLHYLFISIYDRAYFDGDQMAVHVPLSLEAKVTARLFTYVFSYESLVSSYWGSYFRTNSRYAYRTLFINDTIGNGRERTLLL >Ma07_p24660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31947437:31953679:-1 gene:Ma07_g24660 transcript:Ma07_t24660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPEEDFCSICHDSFTLPCQANCSHWFCGHCILRVWHHGSALQPCKCPICRRFITLLIPTDAAVQERQDPEASRVLENIEKYNRNFGGGSSSLIQRLRDLPFFIRRLLREMMDPQRSLPFVLRVRVILAMALTAIYVLSPVDIIPEGVFGFVGFLDDLIILLIVFLHLATVYRSVLLYRHGGS >Ma07_p24660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31948497:31953679:-1 gene:Ma07_g24660 transcript:Ma07_t24660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPEEDFCSICHDSFTLPCQANCSHWFCGHCILRVWHHGSALQPCKCPICRRFITLLIPTDAAVQERQDPEASRVLENIEKYNRNFGGGSSSLIQRLRDLPFFIRRLLREMMDPQRSLPFVLRVRVILAMALTAIYVLSPVDIIPEGVFGFVGFLDDLIILLIVFLHLATVYRSVLLYRHGGS >Ma07_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31947437:31953679:-1 gene:Ma07_g24660 transcript:Ma07_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPEEDFCSICHDSFTLPCQANCSHWFCGHCILRVWHHGSALQPCKCPICRRFITLLIPTDAAVQERQDPEASRVLENIEKYNRNFGGGSSSLIQRLRDLPFFIRRLLREMMDPQRSLPFVLRVRVILAMALTAIYVLSPVDIIPEGVFGFVGFLDDLIILLIVFLHLATVYRSVLLYRHGDG >Ma08_p31670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42505185:42508209:1 gene:Ma08_g31670 transcript:Ma08_t31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAIFLCLLLSFSLQSSSAQAQNCSSESFSGNRQYSSCNSLPYLGASLHWTYHASSGTVDVAYRAPQSSSGWVAWAINPSGTGMIGANAFLAFPDSAAGAVTVWTTQLSTYSPTVQDQNLSFSVYSKSAEYSDGSYTIYATLEVPSNNTKVNVVWQASTQIKNGVPNGHSTLGDNVNSQSTLDLLSGHAASAADNSKQHRMNIHGVLNAVSWGVMMPIGAIIARYLRVFQAADPAWYYLHIACQISAYIIGVSGWGLGLKLGSESVGVVHHKHRMVGIALFCLATLQVFALLLRPDKKNKHRIYWNMYHHSVGYLVIILSVVNIFEGFEILLPAKKWKHAYIATIVLLLVIALVLEVITWAVVLRRRSKSSEKSHHGSNGVNGHGVKQYQVA >Ma02_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23053513:23054610:1 gene:Ma02_g15290 transcript:Ma02_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSGCRVLRKGCSDTCVLRPCIQWIDGADAQAHATVFVAKFVGRSTLLSFLSSVPLSHRPAAFRSLLYEACGRTINPVNGATGLLWTGNWHLCQAAVDTVLGGGTIRPLLELGGDADVEELYRYQKGGASSSSSSPPRKRTKDPESKVTPKFDLDLCLMPRSPEAQGKGNWRASTPSATSESSVTTTNEGCAGDKTAEEKPTLLNLFA >Ma11_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25135650:25141053:-1 gene:Ma11_g20740 transcript:Ma11_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVPPKGSLSGQTLSDAAVDDLDLMDELLSGSVFDCSDLLQTGTSASTGPLSSACFSPVLGISSTSSNPTLAGIDYQVDAERSVFSVELASDEAQMQMLDGGQRPIILNHVGETKCRVRSDGMEAEDPSYEPGMSRQIQPKGETGSVEQRLRYVLKYIKESQREGDVLVQMWVPAMRGNQQVVTTCGQPFLLDFNCQRLVNYRSVSTRYQFLADESSHEAVGLPGRVFLGRLPEWTPDVRYFSSFEYPRVGDAQRYDVRGTIALPIFERNSPSCLGVVEVVMTTQKVNYSYDLENICNALQAVDLRSSEVLSVPRLKMTGDSYLAAIPEIQMVLRTACETHRLPLSQTWISCIQQGREGSRHSDESFSECVSTIDEACYIQDPSMLGFQQACSEHHLFRGQGLVGKAFMTNQPCFSSDVTEFSKIEYPLSHHAKLFHLRAAVAIRLRCVHSGNVDFVLEFFLPIHCIEGEEQKLMLNSLSVTIQQVCQTLRVVTTRELEDETMLENNEQIPSDMFSDNSVSAIGQRYIVDELLPSGTPAVGIPKNVLPASVPTERTKEFEGFSVSTHWVPSDVVLPTGNIFSEVKQHHGESNSDYIVSFSAETNVSNAEKATEKRRAKTERTVSLQELQKYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVGRSLRKLQVVIDSVHGPGEAFQFSSLYESFIKNTRSDSNLATSTTFSLLKQTDHPESSNAKQQLEGRFTSHTSGTNSLSSSSCSQNSNSSQGCSSEQRQCNQTHEFEFRQATLVEENRSDVLNKVQIHVELHCPLEVTPKSVVRLQSQRPQIEHHPSRCDFLKVKAIYGEEKVIFRLQPTWGFQDLKQEIRRRFVIYDTTLVDIKYLDEDSEWILITCDEDLKECIDVYRSTQAQTIKLCVHHVQPTARSSLGSTALS >Ma04_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24039112:24041602:-1 gene:Ma04_g21480 transcript:Ma04_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRRNLARLFTSLRRPFPAATPASVQAVVCRPSFFQPALPPDRTEMPVWGDRRLAERTRGLCPDRISLDEKRVSLEEIRKVVRASQVAAARERLGATGESSVAYREFVRICCEASSSEQGLEMARSLDESGVVIVIGNIVFLRPEEVVKSIENMIPFSSSNHHSSQREELRKMEEEKAEIDRRAASQVRKELWCGMVFVVAQTAALMRATFWELSWDVMEPICFYLTSIYFMAGYAFFIRTSKEPSFEGFFTSRFATKQKRLMKARNFDLTRFNELSQACLRSPPLSEFTSPSRAHHSVGRRSDS >Ma02_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28572197:28572931:1 gene:Ma02_g23640 transcript:Ma02_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDPQIAENLDASEDLLDFTAEEVNTLQKELAKLLSRKPKCSTSGSEIAEEEEEEEGRANLPLNRFLNCPSSLEVDRTTSLKLDNNGDLSPYTKIILSKVKDALQGNRNAIRKKSLSFLLKKICVCGSGFESPPSFRDPIPEPRIEKVCQILILKAILTKKSSAPTSMRSYLESKPNEKLQASKVEEDDRRKNQCRWVKTDSECKTINSCITMVIPCFDGH >Ma00_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45729533:45730636:-1 gene:Ma00_g05250 transcript:Ma00_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADKPVRARQRVLAGGITQERTCEQKASKRPGTVKRPRCWRFSIGSAPLTSITKIDAQVRGGETRQDYKDTRRFPLEAPSCALLFRPCRLPDTCPPFSLREAWRFLIAHAVGISVRCRSFAPSWAVCTNPPFSPTAAPYPVTIVLMERKLTLRDFGHEIIKKDLHLDPFKLKMKF >Ma05_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34181448:34182373:1 gene:Ma05_g22450 transcript:Ma05_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVNDCSGHRNPYGSCVTGFLRRFSFQPLRENRLLGPSSSTLQKLGALEWDKVVH >Ma08_p33170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43611138:43615074:1 gene:Ma08_g33170 transcript:Ma08_t33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQATHDTASDASATAATTSELEPGHSPPGAPIQLLPQDALHNVLGRLSLREALACRPVCRLFRDALSSFPFLSSLPPLRLLALRHPRSSANGGGRDSHGSCLQAFDPSLRRWIRLPLFFLPFPSSSPVTASPSLLYLWVDATAASTGDAKIPRTLAVYNPLSGSHRLLPPLGSAWSRHGTVLAGPGGAVLVLTQLAALAYSPGSDRWLKFSLNLPSKPRSPVLMAGAIFALCDVGTPWRSLWRLFSCRLLDLGEWAPLKRPEWGDVLNILKRPRLLAGAGGGRILMIGGLRSSLDADAPCSTVLILRLDLQTMEWDEAGRMPSEMYQCFGGGGLGHVAAAGGANNKVKVFGGDGRVWFSGRRVRGKLAMWEEDDKGGCGVWSWVDGVPGCNEGVYRGFVFDAGFSAMP >Ma08_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19542896:19545806:1 gene:Ma08_g16580 transcript:Ma08_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGVLMKLLNGMNTGSQKPVGEHRTALLQVTDIVPADLDEKDLWPKHGFYIKLSDSSNSIYASLPFDQDDLVLSNKLQLGQFIHVDRLEPGSPVPVLVGAKPLPGRHPLMGTPEPIVRCRGSGEKSSARHATASSVPRRGSWEQNPVIKPTALDFEDKTPGKGRTHGGVLSPPPSGTPGKEGSSGINTRSSVSGALLSKMSDAKEASSAAVRKSCSISRFSRSKTVAERDPKIPKSPFPSVVKDVTHTPMTKLRSAARDAEDSRWASSDEQSSSTTIDNTHYHLSEECDTAKQSSSEGMSLPGRLSVLGKEALEHREAAQKAALQALRDASATESVVRVVRTFSELSSSAKPEAPAACFDQFLSFHQEIVQAVADIEAIQAATLITPPPQEESTKNQIDKDDASILRERDHNSNNSTATSTKRRAVAASKSVGETNEFRASLRKHPRSNANHKKGQDDTGAEEVKAPTSSLGSSIKLAKQIRGEAGKWFMEFLETALESGLKKVKGSMGGGDGLMAVVSCPQSLILRVINWVELEQCESSSKKPLHPRAAQIARRLRIKAKNP >Ma08_p16580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19542896:19545806:1 gene:Ma08_g16580 transcript:Ma08_t16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGVLMKLLNGMNTGSQKPVGEHRTALLQVTDIVPADLDEKDLWPKHGFYIKLSDSSNSIYASLPFDQDDLVLSNKLQLGQFIHVDRLEPGSPVPVLVGAKPLPGRHPLMGTPEPIVRCRGSGEKSSARHATASSVPRRGSWEQNPVIKPTALDFEDKTPGKGRTHGGVLSPPPSGTPGKEGSSGINTRSSVSGALLSKMSDAKEASSAAVRKSCSISRFSRSKTVAERDPKIPKSPFPSVVKDVTHTPMTKLRSAARDAEDSRWASSDEQSSSTTIDNTHYHLSEECDTAKQSSSEGMSLPGRLSVLGKEALEHREAAQKAALQALRDASATESVVRVVRTFSELSSSAKPEAPAACFDQFLSFHQEIVQAVADIEAIQAATLITPPPQEESTKNQIDKDDASILRERDHNSNNSTATSTKRRAVAASKSVGETNEFRASLRKHPRSNANHKKGQDDTGAEEVKAPTSSLGSSIKLAKQIRGEAGKWFMEFLETALESGLKKVKGSMGGGDGLMAVVSCPQSLILRVINWVELEQCESSSKKPLHPRAAQIARRLRIKAKNP >Ma06_p24770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23983669:23996193:1 gene:Ma06_g24770 transcript:Ma06_t24770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPMQHQAPNGTVDNNIASAGGGHSSPTSLYVGDLHGSVTDAQVYDLFSQIGPLLSVRVCHDVNTHRSLGYAYVNFINPADAATALDVLNFTPLNNKPIRIMYSNRDPSIRRSGAANVFIKNLDKEIDNKALHEIFSSFGSILSCKVATDASGQSKGYGFVQFDQEEAAQNAINKLNGMLVNDKPVFVGPFVRKQERENSLDKTKFCNVFVKNLSESTTKEDLENIFGKYGKITSAVVMREEDGKSKCFGFINFENPDAAARAVQELNGHKFDDKEWYVGKALKKSERELELKEKFDRNAKETMDKYQGLNLYLKNLDDSIGDDELRELFSGFGMITSCKVMREPNGISKGSGFVAFSAPDEAARALTEMNGKMVCGKPLYVAPAQRKEDRRAKLQAQFSQVRPVAMVPTAGTRFPMYPPGAPGVGQHIFYGQAPPGLIPPQPGFGFQQQLIPGMRPTGAPMPNFLVPLVQQGQQMHRPGGRRSGVGPRQQMQQSMPLVQQQQMFPRGRVQRYPPGRNMPDIPIPGVVGGMLSPYDMGGLPMRDAPMSQPIPIGALASALANATPEQQRMMLGENLYPLVEQLEHDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRNVAQQHQANAPTDQLAALSLNDGLVS >Ma06_p24770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23983669:23996193:1 gene:Ma06_g24770 transcript:Ma06_t24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPMQHQAPNGTVDNNIASAGGGHSSPTSLYVGDLHGSVTDAQVYDLFSQIGPLLSVRVCHDVNTHRSLGYAYVNFINPADAATALDVLNFTPLNNKPIRIMYSNRDPSIRRSGAANVFIKVQCNLNLDKEIDNKALHEIFSSFGSILSCKVATDASGQSKGYGFVQFDQEEAAQNAINKLNGMLVNDKPVFVGPFVRKQERENSLDKTKFCNVFVKNLSESTTKEDLENIFGKYGKITSAVVMREEDGKSKCFGFINFENPDAAARAVQELNGHKFDDKEWYVGKALKKSERELELKEKFDRNAKETMDKYQGLNLYLKNLDDSIGDDELRELFSGFGMITSCKVMREPNGISKGSGFVAFSAPDEAARALTEMNGKMVCGKPLYVAPAQRKEDRRAKLQAQFSQVRPVAMVPTAGTRFPMYPPGAPGVGQHIFYGQAPPGLIPPQPGFGFQQQLIPGMRPTGAPMPNFLVPLVQQGQQMHRPGGRRSGVGPRQQMQQSMPLVQQQQMFPRGRVQRYPPGRNMPDIPIPGVVGGMLSPYDMGGLPMRDAPMSQPIPIGALASALANATPEQQRMMLGENLYPLVEQLEHDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRNVAQQHQANAPTDQLAALSLNDGLVS >Ma06_p24770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23983669:23996193:1 gene:Ma06_g24770 transcript:Ma06_t24770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPMQHQAPNGTVDNNIASAGGGHSSPTSLYVGDLHGSVTDAQVYDLFSQIGPLLSVRVCHDVNTHRSLGYAYVNFINPADAATALDVLNFTPLNNKPIRIMYSNRDPSIRRSGAANVFIKVQCNLNLDKEIDNKALHEIFSSFGSILSCKVATDASGQSKGYGFVQFDQEEAAQNAINKLNGMLVNDKPVFVGPFVRKQERENSLDKTKFCNVFVKNLSESTTKEDLENIFGKYGKITSAVVMREEDGKSKCFGFINFENPDAAARAVQELNGHKFDDKEWYVGKALKKSERELELKEKFDRNAKETMDKYQGLNLYLKNLDDSIGDDELRELFSGFGMITSCKVMREPNGISKGSGFVAFSAPDEAARALTEMNGKMVCGKPLYVAPAQRKEDRRAKLQAQFSQVRPVAMVPTAGTRFPMYPPGAPGVGQHIFYGQAPPGLIPPQPGFGFQQQLIPGMRPTGAPMPNFLVPLVQQGQQMHRPGGRRSGVGPRQQMQQSMPLVQQQMFPRGRVQRYPPGRNMPDIPIPGVVGGMLSPYDMGGLPMRDAPMSQPIPIGALASALANATPEQQRMMLGENLYPLVEQLEHDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRNVAQQHQANAPTDQLAALSLNDGLVS >Ma06_p24770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23983669:23996193:1 gene:Ma06_g24770 transcript:Ma06_t24770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPMQHQAPNGTVDNNIASAGGGHSSPTSLYVGDLHGSVTDAQVYDLFSQIGPLLSVRVCHDVNTHRSLGYAYVNFINPADAATALDVLNFTPLNNKPIRIMYSNRDPSIRRSGAANVFIKNLDKEIDNKALHEIFSSFGSILSCKVATDASGQSKGYGFVQFDQEEAAQNAINKLNGMLVNDKPVFVGPFVRKQERENSLDKTKFCNVFVKNLSESTTKEDLENIFGKYGKITSAVVMREEDGKSKCFGFINFENPDAAARAVQELNGHKFDDKEWYVGKALKKSERELELKEKFDRNAKETMDKYQGLNLYLKNLDDSIGDDELRELFSGFGMITSCKVMREPNGISKGSGFVAFSAPDEAARALTEMNGKMVCGKPLYVAPAQRKEDRRAKLQAQFSQVRPVAMVPTAGTRFPMYPPGAPGVGQHIFYGQAPPGLIPPQPGFGFQQQLIPGMRPTGAPMPNFLVPLVQQGQQMHRPGGRRSGVGPRQQMQQSMPLVQQQMFPRGRVQRYPPGRNMPDIPIPGVVGGMLSPYDMGGLPMRDAPMSQPIPIGALASALANATPEQQRMMLGENLYPLVEQLEHDHAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRNVAQQHQANAPTDQLAALSLNDGLVS >Ma02_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15843743:15847501:-1 gene:Ma02_g04400 transcript:Ma02_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVGSSSFLLPPIAKPLKPPSSVPNRTLSLRPVPAPLSARSRLLGRFPIRAAVHVDKETPENERPDTCLLSADGPESPAPIRVRFEKMIREVQDEVCAALEAADRVGKFREDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGVMPPEAYQAAKGKTGTGRGDSAVNAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPKQWWFGGGTDLTPAYIFEEDAKHFHRVQKQACDKFEPRFYPRFKKWCDDYFFIKHRGERRGLGGIFFDDINEYDQELLLGFSTECAKSVVPSYIPIIERRKNTPFTEQHKAWQQLRRGRYVEFNLIYDRGTMFGLQTGGRIESILVSLPLTARWEYGHQPEEGSEEWKLLDACINPKEWI >Ma10_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28687916:28691146:-1 gene:Ma10_g17210 transcript:Ma10_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLLSISTPFVPLGRRYLYPHNIAAPPLRYPSSLAFLKPFQSRNIWGTNSSNSLRLFGRAHVRGTPIGGAESETSISDESRVGEDSAAFELGEQKLSSWAYFTAILGAVLVALNILWINPSTGFGTAYIDAVSGLSPSPEVVLLLLIVIFAIVHSGLASLRDAGEELIGERAYRVLFAGISLPLAVSTIVYFINHRYDGTQLWQLQSVFGLHELVWFSSFISFFFLYPSTFNLLEVAAVDKPKLHLWETGIIRITRHPQMIGQVIWCLAHTLWIGNSVAVATSVGLIVHHIFGVWNGDRRLALRYGQTFEVLKSRTSIIPFAAILDGRQKLPKDYYKEFLRLPYLTITGLTLGAYFAHPLMQASSFRLHW >Ma11_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17563428:17563979:1 gene:Ma11_g13270 transcript:Ma11_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVHFPTAFGPFAEANVDDSGAGAKEYVRVCIQQKNVLVQWYCYLRSRTKPGYLTRRWLENKCFEFPSSGTVSLCSNILYLQLLYQPSHRDWFILFFHLTPCFSFFCCD >Ma10_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29141925:29143703:-1 gene:Ma10_g18000 transcript:Ma10_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLNGDAAVALQVKERSRGFQDSSDSISIFTVNPAVQWPYANETAALLQVQSPNAADECKLKRTTFDHFSFPGLHINPKCFPVVSSQTLSNLGRQGLKQHLTSSSATIASDCPLVSHYSTPLAGSGGATAEEDHSVRSTFLGDRSSWNTSKSFSSPSQLTIFYGGSVIVYDNVSSDMAREIMLLASSRNHAAAASTPVSLSPATTTSPRAIPLSRKASLARFVEKRKQRMINYKPYPFCDKRKDANSSAAFTRASHENSDSDSGPSSHRCQ >Ma09_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:596720:597993:1 gene:Ma09_g00890 transcript:Ma09_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEPAEERAADGQQRALPTPFLTKTYQLVDDPEMDDVISWNEDGSTFVVWRPAEFARDLLPKHFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDYFRRGEKRLLREIHRRKAVTVAPQPAPAAAASRMTALVSRARSPTNSGEEQVLSSDSSSGPFPTVAVGPCGVAELGEENERLRKENERLAREVAQMKSLCGQIASLISRHVSDRQEGGGGAEAAPFLELMPARPGAEEAFYNEVEEEEDVKPEDATSEEVPPSPPGASPRLFGVSIGVKRPRSEDGDGPPPMLKPGPTESAGLDPNEVYPERRPQHPRVIDCPEPTRRACNVPDWADRGGEERDDEART >Ma09_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11952733:11954965:-1 gene:Ma09_g16570 transcript:Ma09_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHHHRPISLSVLPLLSRLRPSSAIPATLFSRPPLSGLPCTPISARAIRDKRILGPVRGCATSDSAISPGPPPSSRIFIKGLSRSTSEGFLAKTFSSFGEVKKITIITSKSSKQSLGLAYIWFAREQDALMAVKEMNGKFLDGRFVAVTIAEAESPSKQRKVDRDTTSMVA >Ma10_p26700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34268956:34270293:1 gene:Ma10_g26700 transcript:Ma10_t26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFSCASPATHLRTLLRNPRRGKLALTRTKSRIQKSRKSLRKHTDNDDDAFPGEETAPLLSADRSSPEVTKKDEPAVFGLPSSTASKEQVVHLRVALHCKGCEGKVRKHISKMEGVTSFDIDFEAKKVTVVGAVTASGVLNSISKVKNAQLWPSSPPFLPSSSSSSCFHGT >Ma04_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:959816:960180:-1 gene:Ma04_g01100 transcript:Ma04_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRSGRGNGNPAGPPPPSQTHRCSTGLVGCSAGAGSSNASLRRRGERSRDLGCSCSTPRFGIRKQDHGEA >Ma07_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31044016:31046571:1 gene:Ma07_g23370 transcript:Ma07_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGALLKLLQSMNSDARVAGEHRSSVLQVTGIVPAISASAEEDLWPSHGFYLQLSDSANSTYVALSDADADVVLSSRPQLGQLVHVDRLQFAYPVPRAIGLRPVPGSRPYPFLGSPDPLVARSSPDHRGFVIQPASPTDAGHPFHFSSSLGSNPSRLEEEKRTVFAAKENVVSSGKNHGDAAQKPRRFPSPAAAKLAARKSGPGSGNGSGEQPRDPSPVLKMSSRPSSPASMGRAASRASSPIPSKCEVPSLVAAKEENRRVAREPAIIVPSRYRQPSPVRKAAASPMGRRGSMSPARRPSGGLKVSSPAAGEGGGKKKVGLVVAGISRASDALVSSVKSIRKSWDDPSASAVGSESKEKGGSKSKVDKESILRTQVAISRRLSDAGGLPNSNEEAASNDMPRTSRKMESFSESEKPNSMSPRVTVHDRKWTDGSVPFDSVSNNLARLGKEALHRRNSASTAAAEALEEALVTESVVRSLSMFSDLCSASKPGNPVPTIDRFLSVYGYVLRWCGTAESPSAGRKADGPKDAMLWVEAALATDLEVLHLISKETECLPKPPFPQVDPPREESPANTGTRGRGVSETVDLAKALRREMQIWFMKFVEEAMDVGFRLLGENADNNKVAAVLSQLKRINDWLDGVMGRTAEAEEALKEKIERLKRKIYGFVITHVGSAFDASLSFATA >Ma06_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16123011:16125222:1 gene:Ma06_g21760 transcript:Ma06_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-response A/B barrel domain-containing protein HS1 [Source:Projected from Arabidopsis thaliana (AT3G17210) UniProtKB/Swiss-Prot;Acc:Q9LUV2] MAVKHVLLAKFKEEVSPEAVDDLIKGYANLVSLITPMKAFHWGRDVSIENLHQGFTHVFESTFDGVEGIAEYIAHPAHVEFANKFLPALEKVIVIDYAPTAVN >Ma05_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32784408:32785416:1 gene:Ma05_g21070 transcript:Ma05_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERECGRRKPTDAAAQCMPRLWRKSTAATPGSLLERFRVVVFKLIMLSAMSKSVRTSRERTPPGGSACQRHHSDSYRSEAVEDCIEFFKRSAEGAKSSGVGDEELEIGFASLPVM >Ma08_p27580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39768204:39771047:-1 gene:Ma08_g27580 transcript:Ma08_t27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLSACARANLRSGLLPAAPWRGGRRPRCAATAAGPDGSSYLDMWKKAMERERRVLASRRIAAEGGEGGSVEPSLEAKTEHFNKLLQVPTEERDRIQRLQVIDRAAAAIAAARALLDKSPPPAASEPPDASPTQQGLQKEHSEFYQSGNAQNGSPGPDFWSWSPPSDSQREPIGDGTGLKSARKLNSQPHPSHSVIEKEKSTEFLAIPLESTLSEVKHNPPLPPLQSLVEVDKVETCTTEELSTAAEEKKVDELFSRNAAEAAEALGKSNEESDHGVNPDGSKWWRETGIEQRPDGVTCRWTLTRGVSADRTVEWEDKFWEAADEYEYKELGSEKSGRDAFGNVWREFWKESMWEDTRIGLIHMEKTADKWGKNVEGEEWQEKWWEHYDASGQAEKWAHKWCCIDPRTPLQAGHAHVWHERWGEKYDGRGGSMKYTDKWAERWEGDGWSKWGDKWDEHFHRNGHGVKQGETWWEGRHGGERWNRTWGEQHNGTGWVHKYGKSSSGEHWDTHVQQETWRETHPHYGFDHCFENSLQLRAVRRPLDDAP >Ma11_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21892486:21893612:-1 gene:Ma11_g16340 transcript:Ma11_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDLVGFSSTPTSSSSSSPKPLVERDFLSRVEERNYGMSGLDVSLSLSPPLMVIGKRCSACGETKTPLWRNGPDGPKSLCNACGIRYRKEAKKINTNLTLAPPDHVVQLSAKGNRAR >Ma04_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26863054:26863784:-1 gene:Ma04_g24980 transcript:Ma04_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGLVVAFLALVFLVSLSAAREDPDIFLPSQGIGEEVGGEKPWACCDSCSCTKSIPPQCRCTDQLIGGCDPNCKTCICTRSYPPKCRCYDIINDYCGERCNPEQ >Ma07_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7715931:7717294:-1 gene:Ma07_g10350 transcript:Ma07_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASFVSVALLALLAPVTARIPGLYAGGQWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGVQTAALSTALFNEGQSCGACFEIKCAGDPQWCHGGSPSIFITATNFCPPNYALPSDDGGWCNPPRPHFDLSMPMFLKIAEYRAGIVPVSYRRVPCRRSGGIRFTINGFKYFNLVLITNVAGAGDIVRASVKGSRTGWMPMSRNWGQNWQSNAVLVGQSLSFRLTGSDRRTSTSWNIVPSNWQFGQTFEGKNFRT >Ma06_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15248305:15256760:-1 gene:Ma06_g21130 transcript:Ma06_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQEAFKSGNLISDNDSLLSDCNIDAGNSVDNDTSLREELLLEEMESYWDDLNSRLTVSRMVSDSVIKGIVNAIELEASEKVDTKEAEISALKKRLQFYESVMADENRIDATSTKMLESQREKSGLFFHSLDQDVVSNCVQHLSQLKVAAQDYLKRPKKETSNLRGGHPLLKAKVCPVDKEFCSILAQKLNVIDGSADELEAVLMATQQEIYDTCFSSEYLVNEQLWEHRFQQEVISIMVQNLVREDQDDIEAKISKQKILVDTFTKNWQKNINDLSTMHQELHDISASLLTSESGLAFSRHSPEDAVKLTTADTSHLNHMTKAELITNYITQMANMKRQYDLALQEKTEELYILKREFLKEKGCNALQYRRDKEGELLRKKIIGFISMLDNILLENEKLSVVQSQQNMLHNLSQRIGVLLLENQQLKYLLVEKRMEVIHVAALVSNVINRSANCSEVEEDLLEHHKEFKSDAEDRITETMIRDKIEKILLRELVDELEIGIKYLDLECKMSQDISFTLCREYLEDVVSSINSFVEKHYREKDSFATLVVEKEMALSLLMEENEKLKQEKDLLLTLINEKEKLLFETEVKAMKQKEYHDNVCHELNMLREQLGNQSLHISDCKKDNDSMKEKLAEMLKQVPQYEAELRKINQKYKVALDSLEKLEKQKNFLHELVEEKQMKLELAVAREEEHSKFMTTVLSSIKELSETILQSECSLTNKLGTHESRLEFLGHQFIGLFQQANYLKREVCWYKQGFERRCADLQKAEHEVDLLGDEVDVLLHLLEKIHVALEHYSPVLQHYPGVVEILRLIKREIKSNTI >Ma10_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31513135:31515313:1 gene:Ma10_g21950 transcript:Ma10_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAMDSFGFLKYLRSGGNATSPAMDDAAAAVEPHDAGATIATSVLLPSDDTEDDDDDDHDQDEGPFFDLEFAAVTDDGGCEGEDEKNGSEVDLDGAEAEGEEEEREFNFAVSSDGSGGGDGGDGHGFDTFSPSHDDLFFKGKLVPFDASSLVIAAAAAASAASEADPKPQFPASFLRTATKFRVFLLGLRKPKPTPAEPNATAVVADAEAPASAPVPAEAPAPPATEAAASPKKQLPHQNKFFIKFKVVEAPIVSFFARDSSSRNGGGGSSAAKPLPPADESTVAGVAATEAEEKKLLKEVLQKYLNKIKPRLPPPPTEESAVACAAAAEAEEKKLVKEVVQKYLNKIKPLYVRVSRRYAERLRLAGQLPPAEAMAAEATTGEGEETDAEAEVAVPQPRPATAAVAAAGGGKSLVAGLRVACKRLGKSRSASSAVEAIPSPPPSRRDDSLLQQQDGIQSAIAHCKRSFTAAEQGSESPLVRSMSDPGDGRSETTTASP >Ma03_p33260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34672472:34688037:-1 gene:Ma03_g33260 transcript:Ma03_t33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGSAFNLRSLPVSLPSVVNHKPSVCRGGRAVRCSAFRRAQTMHLESHFLGAKLRACERVQLWRTDGPGRSPKLRVVSPSMSLSRVPEKPLGLYDTSFDKDSCGVGFIAELSGDYSRKTVADALEMLLRMSHRGACGCETNTGDGAGILVALPHEFFKEVTKDVGIQLPPPGQYAVGMFFLPTDDGRRKESKVVFAKVAESLGHVVLGWRSVPTDNRDLGVSALQTEPIIEQVFLAPSSRSSADFEQQMYILRRVSMVAIRDALNLQYGGAKDFYICSLSSRTVVYKGQLKPVQLKDYYYADLGDERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCRELDLSRNDMEKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNENNMDPDKKALYEYFSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYITHSGRVIMASEVGVVDISPADVSRKGRLNPGMMLLVDFENHTVVDDEKLKKQYSQARPYREWLKRQKISLEEIVNSYPKSDRIPPSIFGTAPAQNHDENMENMGICGLLAPLKAFGYTTEALDMLLLPMAKDATEALGSMGNDAPLAVMSNREKLSFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLEGPLLSIDEMQAIKKMNYRGWRSKVLDITYPKKHGRKGLEETLDRICLEACDAIHGGYTTLVLSDRGFSSDRVAVSSLLAVGAVHQHLVSTLERTRIGLLVESAEPREVHHFCTLVGFGADAICPYLAIETIWRLKIDGKISPRADGKFHSREDLVKRYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIQKCFKGTPSRVEGATFEMLAGDALRLHELAFPTRVLPLGSAEALALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARANSVAAYKEYSRCIQELNKTCNLRGMLKFKDVCAKIALDEVEPASEIVKRFCTGAMSYGSISLETHTTLAIAMNKIGGKSNTGEGGEQPSRMKPYSDGSMNLERSAIKQVASGRFGVTSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSIAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVIASGAVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHQNTCPVGIATQDPVLRKKFAGEPQHVINFFFMLAEEVREIMSQLGFRTINEMVGRVDMLEIDKELIKGNEKLGNINLSLLLRPAADIRPGAAQYCIQKQDHGLEMAIDQELISLSKVALEKGLPVYIEKPICNVNRAVGTMLSHEVTKHYQLNGLPSDTIHIKLVGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIIVYPPRESQFDPKENIVIGNVALYGATSGEAYFSGMAAERFCVRNSGARTVVEGVGDHGCEYMTGGIVVILGKTGRNFAAGMSGGIAYVSDVDGMFHTRCNLELVDLEKVEDEEDITTLRMMIQQHQRHTSSVLAREVLCNFGALLPKFVKVFPRDYKRVLQKFKIEQVAKEAKEQEEKEMMEKDAFEELKKLATVSLNGKKAEELAAPKRPTLVDNAVKHRGFIAYERQGISYRDPNDRIKDWKEVFMESKPGPLMKTQSARCMDCGTPFCHQETSGCPLGNKIPEFNELVHQNRWREAVDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPQQRTGKRVAIVGSGPAGLAAADQLNKMGHLVTVYERADRIGGLMMYGVPNMKADKVGIVQRRVDLMTAEGVKFVVNANVGIDPTYSLNRLRGENDAIVLACGATKPRDLPVPGRELSGIHFAMEFLHANTKSLLDSNLRDGKYLSAKGKKVIVIGGGDTGTDCVATSIRHGCNNMINLELLSKPPQERAPGNPWPQWPRIFRVDYGHQEASAKFRKDPRCYEVLTKRFVGDENGAVKGLETVRVHWERDSSGKFQFDEIKGSEEMIEADLVLLAMGFLGPESTIADQLGLERDNRSNFKADYGRFLTSVEGVFAVGDCRRGQSLVVWAINEGRQAASQIDKYLMLLTSRETPSKKLPA >Ma05_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31049921:31052638:-1 gene:Ma05_g20040 transcript:Ma05_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTLPPGFRFHPTDEELVAYYLKRKINGYRFELEIIPEVDLYKCEPWELPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATRAGYWKATGKDRKVSFQGRAVGTKKTLVYYKGRAPRGSRTHWVMHEYRLDEKESEMPSGLQESYALCRVFMKSTTAGAKIIEHYGSPRVEPLQWKPADSHTAELSSDRIKIGEEFGSRGRPSPSETHSSETIQGSTLDASASENRQWMQYLSEEAFATGPTFHDPACFPCTPRKIDIEIECARLQRRLTLPPLEMDDFSCNSLNDSKASLSGTSRGSASQVEILQEVLSVASATRDLINSSIYPNIFC >Ma06_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16053120:16057098:1 gene:Ma06_g21700 transcript:Ma06_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNNITRVASDDGSFATRSLHESSSRCDSGHGGATRLSVDLVTAARRHVSFLRSFATSPVLHHAPTVARAIRRYDQLWMPLIAELAQAAPPSAPPMLLPPPDVHWVWYCHCLDPAGSYREYCTLRFGALVDRPLIIDDENEEYAYNRCREVWAVRYPSEPFDLEVDSAEDGEASGKCEDHLSAVVARYRTLYSFFCDPFVSETVYLVAARRRYSSFLHLSRRSIEDGMLRMVVTSDIFLIWLTHQSYPRSYAKDIEDRGDPARVTVCFGDRATAEEAKETVRAWEEALDEPYERAGAVLDPAASPSRVYFNWETAEADVNRSYKGLQPRFLLEVRIFLKGKWEEREDKHLTKNFLRLRTIRCNREMKLNEPVHDLSSETWHKTWHLYCEFGTRGIVIEVRRRGSNCLINSKLITKLVFLWNDLLRATTLMLKKELEMQVRALASITPPVQAPYLLKCVPDRVTDDGGAMISDVVLRMNRYHPQQGRWLSRTVLDHAKRECFVIRIRVGRGIWRRGAESPVAVKWEDRIIEVREGPWLYVAGTVGVAPDKIAGTATPKKEDSQVKKMLWCLSTGDVLTIQWENGLDIQLENGSSGEQAKLLTGRKLQYQLKDVGSSNEEEEQYLTLVRFTSEHPDGKATALLNWKLLAVEFLPEEDAVLVLLVCVAVARTISEIRREDMSGLLARRRVREFAGGCRDWGSVLLPSSSTYSSVHLQPWYWNAHQVLASAETSDSGLPISKHLPADGKCSMYEQVILS >Ma06_p21700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16053189:16055662:1 gene:Ma06_g21700 transcript:Ma06_t21700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNNITRVASDDGSFATRSLHESSSRCDSGHGGATRLSVDLVTAARRHVSFLRSFATSPVLHHAPTVARAIRRYDQLWMPLIAELAQAAPPSAPPMLLPPPDVHWVWYCHCLDPAGSYREYCTLRFGALVDRPLIIDDENEEYAYNRCREVWAVRYPSEPFDLEVDSAEDGEASGKCEDHLSAVVARYRTLYSFFCDPFVSETVYLVAARRRYSSFLHLSRRSIEDGMLRMVVTSDIFLIWLTHQSYPRSYAKDIEDRGDPARVTVCFGDRATAEEAKETVRAWEEALDEPYERAGAVLDPAASPSRVYFNWETAEADVNRSYKGLQPRFLLEVRIFLKGKWEEREDKHLTKNFLRLRTIRCNREMKLNEPVHDLSSETWHKTWHLYCEFGTRGIVIEVRRRGSNCLINSKLITKLVFLWNDLLRATTLMLKKELEMQVRALASITPPVQAPYLLKCVPDRVTDDGGAMISDVVLRMNRYHPQQGRWLSRTVLDHAKRECFVIRIR >Ma08_p07130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4879728:4884248:-1 gene:Ma08_g07130 transcript:Ma08_t07130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGIMIPARQAPSMIGRNTGTGYGSSSVLSLGQPNLLEGQQIPLQYQHHNQFMEIAPTTTAESEMPRAREEDFESKSGSENIEVASGDDQDQSHRPRKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNHHERNENSQLRTENDKLRAENLRYKEALTNASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISGIAAKYVGKPVVSYPLPSPAISSRSPLDVGVGGEMFGVGVSGQTDIEKPVVVELAVAAMEELIRMAQLGEPLWIPGPDNATETLNEEEYVRALPRGIGPKPFGLNSEASRETAVVIMNQMNVVEMLMDVNQWSSVFSSIVSRAMTLQVLSTGVAGNYNGALQVLSAEFQVPSPLVPTRESLFVRYCKQHPDGTWAVVDVSLDSLRPSPVLRCRRRPSGCLIQELPNGYSKVTWVEHVEVDDRSVHNLYKPLVNSGLAFGAKRWVGTLNRQCERLASVMASNIPSGDIGVITTPEGRKSMLKLAERMVISFCGGVSASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSELMCFLLVHLQWDILSNGGVVQEMAHIANGQDHGNCVSLLRVNSTNSNQSNMLILQESCTDATGSYVIYAPVDVIAMNVVLNGGDPDYVALLPSGFSILPDGPTGGGPGGGRINGGEEGGGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKASVAGEVAR >Ma08_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4879728:4884248:-1 gene:Ma08_g07130 transcript:Ma08_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGIMIPARQAPSMIGRNTGTGYGSSSVLSLGQPNLLEGQQIPLQYQHHNQFMEIAPTTTAESEMPRAREEDFESKSGSENIEVASGDDQDQSHRPRKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNHHERNENSQLRTENDKLRAENLRYKEALTNASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISGIAAKYVGKPVVSYPLPSPAISSRSPLDVGVGGEMFGVGVSGQTDIEKPVVVELAVAAMEELIRMAQLGEPLWIPGPDNATETLNEEEYVRALPRGIGPKPFGLNSEASRETAVVIMNQMNVVEMLMDVNQWSSVFSSIVSRAMTLQVLSTGVAGNYNGALQVLSAEFQVPSPLVPTRESLFVRYCKQHPDGTWAVVDVSLDSLRPSPVLRCRRRPSGCLIQELPNGYSKVTWVEHVEVDDRSVHNLYKPLVNSGLAFGAKRWVGTLNRQCERLASVMASNIPSGDIGVITTPEGRKSMLKLAERMVISFCGGVSASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGVVQEMAHIANGQDHGNCVSLLRVNSTNSNQSNMLILQESCTDATGSYVIYAPVDVIAMNVVLNGGDPDYVALLPSGFSILPDGPTGGGPGGGRINGGEEGGGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKASVAGEVAR >Ma08_p33220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43629323:43630349:1 gene:Ma08_g33220 transcript:Ma08_t33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAKLLHFRCQLIPSRPFCSSTPRVTLAAALPLFGFSSTKLQRRAVEAAISPLRASSKNDGIVQADDDGVSLGTMKLPPDTDVARFETLLFQWANSLCQGANLPLPVPLKVDKVAGGARLGFIKVEDGKTEVCAYIDCLVVPATDGSGPVFRAIRNGPMKDQVPPGEPRIMRSLLQALQTSVEIARI >Ma02_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20802402:20804749:-1 gene:Ma02_g11800 transcript:Ma02_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLRYQISFFLLDCCC >Ma03_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1225634:1226591:1 gene:Ma03_g01780 transcript:Ma03_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKLASCALKYRSTRSHVKFLCRMKEHAPSRSTFIERTIKSLGSYFLIRPFQLDEIAGRPSTVFDQELKDARSSQCEDSRLLALIRITS >Ma05_p27730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38904778:38905719:-1 gene:Ma05_g27730 transcript:Ma05_t27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMLMDYLYVVIFSLLFAWILLEFVGSRKSSQKANPELERSLLSDEHDGVGGDGFTVAGHWSRLTFRWLNPVFEKGRAERLELSHLPGVPPSETAESSFSLLQESLRGQKPWSTALLGVVVRAVWRPLALNAVIAGTETFTCYALANLLFL >Ma07_p12450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9360192:9362767:-1 gene:Ma07_g12450 transcript:Ma07_t12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLFEAATSGDVRFVQEASEALHREVTADGNSVLHIAAKLGHMELAEALCLKQPSLMKSSNSKGDTPLHCAAAAGHVAIVDLFVVHRAFLFDDPVFSEVYGVPWMTNNAGNTALHEATWNGHRAVVELLMSAAPDLADVENATGVSALYMAAERGSVEIAKELLKSPTASDEGPRGQTALHAAVLRSYDITEMILDKKPNSVRPQDATKSTPLHFAAANGDITMVQLLLQSDSKAAYIRDEDGYSTIHVAANAGHLKIIEQVLEYCPDSMGLTNNTGRNFFHVAVEKKNLEVVKYVLRSPWLTELVNAQDDEGNTPLHLAVISRNEKMVQVLLSCASVNASVMNNSGRTPVDLASSKVRIEIGLRMYNIMMDLMSHGSRFSPQRIDHIRSNLERKQDEEINRYRALANNLAIIAVLIATVTFAAAFTLPGGYKNNPGPDAGTAILSGTAAFKAFLISDTLAMASSISVAIILIHSGSLDHDVRLRSLMTAMKLLWVAAGGMSVAFATGLYVAVAPACEWLAVLVSAVACSLPFVAFAVTYWPSADYLSRVKVGMIPLLDQMGDSRTRSKILGQLGRRQMSSGKRCNDSFVRLQIYHMKAARDRRPDNMSFVTPASSKESLCC >Ma07_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9360192:9362874:-1 gene:Ma07_g12450 transcript:Ma07_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLFEAATSGDVRFVQEASEALHREVTADGNSVLHIAAKLGHMELAEALCLKQPSLMKSSNSKGDTPLHCAAAAGHVAIVDLFVVHRAFLFDDPVFSEVYGVPWMTNNAGNTALHEATWNGHRAVVELLMSAAPDLADVENATGVSALYMAAERGSVEIAKELLKSPTASDEGPRGQTALHAAVLRSYDITEMILDKKPNSVRPQDATKSTPLHFAAANGDITMVQLLLQSDSKAAYIRDEDGYSTIHVAANAGHLKIIEQVLEYCPDSMGLTNNTGRNFFHVAVEKKNLEVVKYVLRSPWLTELVNAQDDEGNTPLHLAVISRNEKMVQVLLSCASVNASVMNNSGRTPVDLASSKVRIEIGLRMYNIMMDLMSHGSRFSPQRIDHIRSNLERKQDEEINRYRALANNLAIIAVLIATVTFAAAFTLPGGYKNNPGPDAGTAILSGTAAFKAFLISDTLAMASSISVAIILIHSGSLDHDVRLRSLMTAMKLLWVAAGGMSVAFATGLYVAVAPACEWLAVLVSAVACSLPFVAFAVTYWPSADYLSRVKVGMIPLLDQMGDSRTRSKILGQLGRRQMSSGKRCNDSFVRLQIYHMKAARDRRPDNMSFVTPASSKESLCC >Ma10_p25910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33825595:33827342:-1 gene:Ma10_g25910 transcript:Ma10_t25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSCKIMALALVLSLVSSSAELQKLEHSIKNDGSLSLLVIGDWGRKGEFNQTQVATQMGRIGEELDIDFVVSTGDNFYDSGLTGVDDKAFEESFTNVYTAKSLQKQWYSVLGNHDYRGDVLAQLSPVLRELDNRWLCLRSFILNAEIVDFFFVDTTPFVDHYWNNPESHHYDWREVAPRQTYISNLLKDLDSALKESRATWKFVVGHHTIRSVSIHRDTKELLSSLLPILKAHGVDLYVNGHDHCLEHISSNDSPIQYLTSGGGSKSWRGVFNSNTDKLRFFYDGQGFMSLQLTKTMAQVVFYDAFGSVLHKWSVTKELHSSM >Ma04_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26628310:26634528:1 gene:Ma04_g24660 transcript:Ma04_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQKPKQGKTDKGLDAPKNIVVAVKSSKEIPKTALVWALKHVVQPGDCITLIVVVSPHNSGRKLWGFPRFSGDCASGQGKPLSGGTLEQKCDITDSYSQMMLQLHDVYDSNKINIKIKIVSGSPCGAVAAESKRIQANWVVLDKQLKHEEKRCLEVLQCNIVSMKRSQAKVLRLNLVGSPKEEPQMPREMPSKLDVLTGKTASNSEDTQNPVKGPAVTPTSSPEVGTPFTATEAGMSSGSSSDPGTSPFIVSEKISCLKKEEQIDTKEMPNLDVTSSESDSETESPSRTDMQPWMDEILGVSQTSSRELEEVAHRLNKRTHISTVKTLLQRLPKHDKESEIGYLSDKSDMNLSGNVRDAILLSRNVPPGPPPLCSICQQKAPVFGKPPRWFSYSELELATDGFSQTNFLAEGGFGSVHRGVLPDGQAIAVKQHKTASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKVAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPNGDLGVETRVLGTFGYLAPEYAQSGQITEKADVYSFGVVLMELVTGRKAIDINRPRGQQCLIEWARPLLAEYAIDELIDPCLANRYREHEVRSMLHAASLCIRHDPHARPRMSQVLWMLEGDMAMESSYISSPGYGNGNRSGRMWLDRQWHRQHNVPFMQETSRVSGGKHSYEDLRSAWETGRAGIMRRF >Ma08_p25190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38129868:38137703:-1 gene:Ma08_g25190 transcript:Ma08_t25190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLEGSYSYEERKERRSDVENSEDERRKTRIGSLKKKALNASSRFTHSLKKRGRRRVDFRVPSVPIEDVRDAEEEQAVSSFRQQLIAKDLLPNKHDDYHTLLRFLKARKFDFEKATHMWAEMLQWRKEFGTDTILEDFEFEELEEVLRYYPQGYHGVDKEGRPVYIERLGKAEPNKLMHVTTVERYLKYHVQEFERALNEKFPACSIAAKKHIGSSTTILDVQGVGLKNFSKTARDLLLNMQKIDGDYYPETLHQMFVVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPEFLGGSCTCYYERGCLRSNRGPWNDPVILKFVQGIDTTSARENRHTSDGEKIGHSCVRLHSSKRRTSQTSAAESVSDADDLCSPVIPVTADNARLSPIYKEVREADITPYQSCDDHSATVDKVVDNGRRGSGFAVKASKELKDLDYTSATAAPHSFGNSIAGKHNNIKDDTEGKLDSFARTLVAFLIKMLSFFRILWSRQDRRLNVHLSNALDLACNKNSTTEALKEDCVTSCMERLQKLELMLNELSNKPAEIPREKEHMILDSMDRIKCVEFDIHKTNKVLQATLMKQMEIEATLEALKDSDIKETNHYKFGFMNLCRRNFVDS >Ma08_p25190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38131658:38137703:-1 gene:Ma08_g25190 transcript:Ma08_t25190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVYHAEGLEGSYSYEERKERRSDVENSEDERRKTRIGSLKKKALNASSRFTHSLKKRGRRRVDFRVPSVPIEDVRDAEEEQAVSSFRQQLIAKDLLPNKHDDYHTLLRFLKARKFDFEKATHMWAEMLQWRKEFGTDTILEDFEFEELEEVLRYYPQGYHGVDKEGRPVYIERLGKAEPNKLMHVTTVERYLKYHVQEFERALNEKFPACSIAAKKHIGSSTTILDVQGVGLKNFSKTARDLLLNMQKIDGDYYPETLHQMFVVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPEFLGGSCTCYYERGCLRSNRGPWNDPVILKFVQGIDTTSARENRHTSDGEKIGHSCVRLHSSKRRTSQTSAAESVSDADDLCSPVIPVTADNARLSPIYKEVREADITPYQSCDDHSATVDKVVDNGRRGSGFAVKASKELKDLDYTSATAAPHSFGNSIADRIGG >Ma08_p25190.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38129868:38137703:-1 gene:Ma08_g25190 transcript:Ma08_t25190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVYHAEGLEGSYSYEERKERRSDVENSEDERRKTRIGSLKKKALNASSRFTHSLKKRGRRRVDFRVPSVPIEDVRDAEEEQAVSSFRQQLIAKDLLPNKHDDYHTLLRFLKARKFDFEKATHMWAEMLQWRKEFGTDTILEDFEFEELEEVLRYYPQGYHGVDKEGRPVYIERLGKAEPNKLMHVTTVERYLKYHVQEFERALNEKFPACSIAAKKHIGSSTTILDVQGVGLKNFSKTARDLLLNMQKIDGDYYPETLHQMFVVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPEFLGGSCTCYYERGCLRSNRGPWNDPVILKFVQGIDTTSARENRHTSDGEKIGHSCVRLHSSKRRTSQTSAAESVSDADDLCSPVIPVTADNARLSPIYKEVREADITPYQSCDDHSATVDKVVDNGRRGSGFAVKASKELKDLDYTSATAAPHSFGNSIAGKHNNIKDDTEGKLDSFARTLVAFLIKMLSFFRILWSRQDRRLNVHLSNALDLACNKNSTTEALKEDCVTSCMERLQKLELMLNELSNKPAEIPREKEHMILDSMDRIKCVEFDIHKTNKVLQATLMKQMEIEATLEALKDSDIKETNHYKFGFMNLCRRNFVDS >Ma08_p25190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38129868:38137703:-1 gene:Ma08_g25190 transcript:Ma08_t25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYHAEGLEGSYSYEERKERRSDVENSEDERRKTRIGSLKKKALNASSRFTHSLKKRGRRRVDFRVPSVPIEDVRDAEEEQAVSSFRQQLIAKDLLPNKHDDYHTLLRFLKARKFDFEKATHMWAEMLQWRKEFGTDTILEDFEFEELEEVLRYYPQGYHGVDKEGRPVYIERLGKAEPNKLMHVTTVERYLKYHVQEFERALNEKFPACSIAAKKHIGSSTTILDVQGVGLKNFSKTARDLLLNMQKIDGDYYPETLHQMFVVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPEFLGGSCTCYYERGCLRSNRGPWNDPVILKFVQGIDTTSARENRHTSDGEKIGHSCVRLHSSKRRTSQTSAAESVSDADDLCSPVIPVTADNARLSPIYKEVREADITPYQSCDDHSATVDKVVDNGRRGSGFAVKASKELKDLDYTSATAAPHSFGNSIAGKHNNIKDDTEGKLDSFARTLVAFLIKMLSFFRILWSRQDRRLNVHLSNALDLACNKNSTTEALKEDCVTSCMERLQKLELMLNELSNKPAEIPREKEHMILDSMDRIKCVEFDIHKTNKVLQATLMKQMEIEATLEALKDSDIKETNHYKFGFMNLCRRNFVDS >Ma08_p25190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38129868:38137703:-1 gene:Ma08_g25190 transcript:Ma08_t25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEGSYSYEERKERRSDVENSEDERRKTRIGSLKKKALNASSRFTHSLKKRGRRRVDFRVPSVPIEDVRDAEEEQAVSSFRQQLIAKDLLPNKHDDYHTLLRFLKARKFDFEKATHMWAEMLQWRKEFGTDTILEDFEFEELEEVLRYYPQGYHGVDKEGRPVYIERLGKAEPNKLMHVTTVERYLKYHVQEFERALNEKFPACSIAAKKHIGSSTTILDVQGVGLKNFSKTARDLLLNMQKIDGDYYPETLHQMFVVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPEFLGGSCTCYYERGCLRSNRGPWNDPVILKFVQGIDTTSARENRHTSDGEKIGHSCVRLHSSKRRTSQTSAAESVSDADDLCSPVIPVTADNARLSPIYKEVREADITPYQSCDDHSATVDKVVDNGRRGSGFAVKASKELKDLDYTSATAAPHSFGNSIAGKHNNIKDDTEGKLDSFARTLVAFLIKMLSFFRILWSRQDRRLNVHLSNALDLACNKNSTTEALKEDCVTSCMERLQKLELMLNELSNKPAEIPREKEHMILDSMDRIKCVEFDIHKTNKVLQATLMKQMEIEATLEALKDSDIKETNHYKFGFMNLCRRNFVDS >Ma11_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25610127:25618234:1 gene:Ma11_g21490 transcript:Ma11_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEQCREEQYAKLEDLRISLDRGGAGEEEGGDGEGEGEGEHGGGFGFSLCVWIYLSGSARPSSIILKQKTSESEDEAPFLVLNEENKLILLPLILIHKEAPSSENPFPWTDMFHTAAEMDCPLEKWFHVGCQVAENYMRLHVDGKLVGEKSLFVLSSKHCQDDMKKIILVGNDGNLGGYIYHVQVLPISASVSEHFVKNPPAKLSLDNSCILDGVEEGGDGVWSIVGGKASCRRNFSLEVVLLDAFGRSVHREMEVVASLLYADSGTPVEKTRDDAEAPLLTSCDGLEYPSTDRPVMLLRGRATYKLKISQLSSKCDNRLFRVCFHPLHGQRYPFLEAYSCPIRCISRNRSNRTLGIGKRSLSTTVLLDEIHLLKASDGLQAIRDVYGNGQLKASNQSDLKCSPQSKHFKVEDNRSTTEVVANGSSEQRKNQEMRINNFEGTDSGPSDSESTDLKDLDSRWSQNSVVSDVAIFRYCLESTFERSMILKQLIASASNEDIANFAEQVCLYSGCSHHRNQILVSKHLVQEGDDTWNSISRKNQRALWMDAISEINNKFMMVANSASRPLSGQDLEILRGIAGCGDDLGREEFDRMWYWLYPVAFALSKDRINRIWKCLSPKWIEGFITKEEGESALKGPGGLQKAGTFVLRFPTSRSWPHPDAGSLIVAYVGTDCIIHHRLLSLDHRDRDSRLLQDLLLEEPELSQLGRVVRQNSSSCAS >Ma06_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7893692:7897267:1 gene:Ma06_g11250 transcript:Ma06_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVDFFARSFASVSAPQFPWVKMFEESPAVKIADITLCHISVSVYKTSVDWIALKSPEALANFVLWCPDNIVADLANQQSATKGSKKSVQQIPSSNQVMFKYIISIFSLLPDALLSLLSKLRDNPRYQGQENLPHSVWVIAQASLGDRVVGMYLWAHYLLPVSSLSYSERAGSHGTKTRKQASQQLLPTAILAIQEKYPELTKEATDLFIWCFDSNLSSVTGSGKSCT >Ma07_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3246876:3247651:1 gene:Ma07_g04340 transcript:Ma07_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPVVAGLLLFLFGVCLVDSRSVYDHHHHSVVANGRWLLQAAPAVTIDCGAKCSVRCSKSWKRKMCNKLCGVCCSKCNCVPPGTSAETRAMCPCYATMTNPRGKLKCP >Ma06_p33900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34356196:34360967:-1 gene:Ma06_g33900 transcript:Ma06_t33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVICCPDNSVKLFVKGADSAMFSILDRSFDLEIVRATEMHLHAYSSLGLRTLVIGMRDLSGREFENWKACYNIASTSLSGREDLLGDIASQVESDLRILGATGIEDKLQQGVPEAIESLRKAGMRVWVLTGDKRETAISIGYSCKLISNNMSQIIINSHSKESCKQIPVALIIDGPTLVHILETELEDGLYRVATTCDVVLCCRVAPLQKAGIVALIKKRTNDMTLSIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAIGQFRFLVPLLLVHGHWNYQRIGYMIIYNFYRNSVVVFLIFWYTFFSAFSLISPITDASGFLYSAVYTALPTVIVGIYDQDLSHGTLLSYPQLYGPGLRDERYNRKLFVLIMLDSVWQSLVTAFVPFLFYSGTLDDSSLGDIWIVSVVLLVNIHLAMDVFSWNWILLVTLWGVTAIAMGCIVAIDASPSMPGYWAINNLMATKLFWLCLLCILVLALLPRFVVKAFASYVKPDDVQIVREIEKVAKRKHDGALEALLRSSSDHQQPNS >Ma03_p30080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32868078:32872830:-1 gene:Ma03_g30080 transcript:Ma03_t30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVDDTKKSLGSLIFIDLFLTRHT >Ma08_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36974279:36984931:-1 gene:Ma08_g23640 transcript:Ma08_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MALAVEREFIGAGCNRIVNNVSWGLSGLVAFGAQNAVAIFCPQTAQILTTLPGHKAVVNCTQWLPSSKDAFKVQHAEVHYLLSGSSDGVLIVWEMDLKKREWRSILQVSDVHKKGVTCLSGLIISHTTAMFASASSDGLVVTWELVLPYATLRDCKISCLESLSVGSKPMVALSLADLPGESGHVILAMGGLDQKIHIYLGDHKGNFIRACELKGHTDWIRSLDFSLPLCLDGENESLLLASSSQDRSIRIWKMVMHLSSSNSQVPYKKDEGIGLTSYIEGPLFLAGSTGYQVSLESLLVGHEDWVYSVEWQSPFINGSKAHQPMSILSASMDKTMMIWRPEKNTGIWVNVVTVGELSHSALGFYGGHWAPDGGSILAHGYGGSFHLWRNTGMDFENWQPQKVPSGHFASVSDIAWARNGEYLLSVSHDQTTRVFAPWHSEGDRTPWHEIARPQVHGHDINCVAIIQGTGNHRFVSGADEKVARVFESPLSFLKTLMHAVQKSVCFEDINEDVQILGANMSALGLSQKPIYMHANTDTPSRLQSDASDSLETVPDAVPTVFTEPPVEEQLSWHTLWPESHKLYGHGNELFSLCCDHEGKLVASSCKAQSATVAEIWLWQVGSWKPVGRLQSHNLTVTQLEFSHDDAFLLSVSRDRHFSIFSIGKSRDTSHHLIAKQEAHKRIIWACAWNPFGHEFATGSRDKTVKIWAVDGSSSVKQLSILPQFHDSVTALAWVGRERSINSGILAVGMDDGLIELWSVSAGKTAAGHDSEPSAFSAVLSIRFDPVLCHVSTVLRLAWRERCAGDSRATELASCGADQSVRVFKVCDY >Ma06_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9803217:9804583:-1 gene:Ma06_g14300 transcript:Ma06_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSFFFFFLLLLTPSLASPPPPPSSTLIQTTCSLTSNYDFCVAALRSDPRSLRAKDVKSLSAIALRIAVAKAKTTAAYAASLAKNATEAAASRSAFGTCAEKYKNAGEALRWALGSLAQENYDYACVHIGAAQEYASTCGRLFFRRNPGMAFPAAMAKREDELQRLCGTAFDIISQLG >Ma11_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19165021:19171690:-1 gene:Ma11_g14010 transcript:Ma11_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAKIAPSMLSSDFANLASEAERMLRFGADWLHMDIMDGHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPLDYVEPLAKAGASGFTFHVEVAKDYWQDLVHQIKVKGMRPGVALKPGTPVEEVYPLVEGENPVEMVLVMTVEPGFGGQKFMPETMDKVRALRKKYPSLDIEVDGGLGPSTIDMAASAGANCIVAGSSVFGAKEPANVISILRKSVRDAQQSS >Ma06_p31980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32954629:32956636:1 gene:Ma06_g31980 transcript:Ma06_t31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWREHIAFLLLITLFFPSIFSLSNCAVAARRTLMGQPAVKAGYWPTWTFSYSPPSSVQFSYFTHVFYAFVQVDSATFGLAIAADDDRMLRNFTAAVHAHPPVKSLLSIGGGDSNSAFAALAADPASRSAFINSTITVAREYGLDGLDLDWEFPKDAKEMDDFSALLLEWQAAVVSEAAATGRPRLLLTAAVYFAPRFFLSDDQRSYPIEQMATALDWINAMCYDFHGSWDTTATGEHAALYDPNSNISTSYGLESWVAAGMPKKKVAMGMPLYGKTWKLKDPSQNGIGAPAVGVGPGENGVLVYSEVVAFNKNTSATVVHDEVTTAAYSYAGTSWVGYDDPWSVTRKINYAQRLGIGGYFFWAIGYDKDWSVSSTAWRAWKNK >Ma01_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1222355:1227074:1 gene:Ma01_g01820 transcript:Ma01_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSRGLPVRRCRYLPLRGPYRNLPFSAHSGESLGASDLAPIPRLIAKSRWDDPRLAAIFSSASAPNSVCRILWELQGDPRSAFHFFIWAGKQSGFRHTVETRCVAVHVLFRGRWYSEAQKVLKDIVLSTRVSEGSESIVDVLRTTARGYGTLGYGVFDALFGVLTDLGMLDEASDCFYRMRAFRILPKLRSCNNLLQRLVRTGRGELSKRFFNDIVSSNMAPTVFTFNIMIDFLCKEGDLTAARALFQKMKEMGCLPDVITYNSLIDGHGKCGELEEAEQILGEMKDSGCEPDVITYNALVDCFCKFGKLLKAFEFLSEMKRKDIVPNVVTFSTFIDAFCKEGMMQEALKFFVDMRVRGIRPNEFTYTSLIDGNFKAGNLKEAQSLVDEMVNEGVELNVVTYTALVDGLCKEGKVLEAEGIFRAMVRAGMVPNQLMYTSLVHGHFKNKNKEKAMDLLSEMRDKGIEPDISLYGTIIWGLCNDRKIDEAKTLLKEMDYLGLKPNHVIYTTIMNACFKAGKASEALDVLHKMHDSGVLPSIVTYCALVDGLCKEGSIHEARCHFERMKSLGLQPNILVYTALIDGLCKTGFLEEASEVFETMVGKGVAPDKFAYTSLMNGYLKRGNLQKAFALKSKMIENGLELDLHAYTSLIWGFCDTGQMEEARNTLAEMINNNIIPDEAVYNCLISKYHRLGNMDEVQSLQIDMRRRGIIPSTMDDTVSNKI >Ma03_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7972330:7972614:1 gene:Ma03_g10580 transcript:Ma03_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPFNQNDKHLTQSFIDWTRIFHINHHILYKVILVHCLSMTSLGGRHSIISKAGWKMLNHVHRSCSTEEG >Ma01_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1766642:1768275:-1 gene:Ma01_g02660 transcript:Ma01_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGHGESTTYKGFTIHHPKRWHVVTGKSLCAIMWFWVLYRAKQDGPVVLGWRHPWEGHGDHSHEHGHENEVL >Ma03_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25848009:25851475:-1 gene:Ma03_g20730 transcript:Ma03_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYICLEPRFVCLSTERRRRRGEMGGGALLAQLLGFCFWGSLWLAGPVAAYSGGGLGKAAVVVDGAAAIAVTDEDFVCATLDWWPPEKCDYGTCSWGLASMLNLDLSNPILLNAVKAFSPLKLRLGGSLQDKVIYDTGNPQRPCVPFLKNTSEMFGFSQGCLPMSRWDELNEFFDEAGALIIFGLNALNGRVPESDGSLGGPWNYTNAASLIRYTVDKGYTIHGWELGNELSGSGVGARIGADQYAADVITLKSIINDIYQGFPVKPLVLAPGGFFDAGWFTELVNKTKPNSLDVITHHIYNLGPGVDQHLVEKILDPTYLDGEAATFSSLQGILSSGGTSATAWVGEAGGAYNSGHHLVTDSFVFSFWYLDQLGMSSTYNTKTYCRQSLVGGNYGLLNTTTFHPNPDYYSALLWHRLMGKQVLRTNFTGSRMTRAYSHCAKESQGITLLLINLSGNTTTEVFVTTKTAYSALKTAESTREEYHLTAKDGDIHSQTMLLNGHILAVDSDGHIPELEPVKVAASEPITVDPFSIVFAHIPYFHAPACR >Ma07_p27910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34087431:34089680:-1 gene:Ma07_g27910 transcript:Ma07_t27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETVVPAASANAANEKDAEKLRFIEEMTANADAVQERVLAEILTRNADTEYLRRYGLGRATERATFKAKIPVVTYEDLQPEIQRIANGDRSAILSAHPISELLTSSGTSAGERKLMPTIKEELDRRQLLFSLLMPVMNLYVAGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSEHFQSRPYDPYNVFTSPTAAILCADAFQSMYAQMLCGLLQRIDVLRVGTVFASGLLRAIRFLQLHWQELCNDIAAGALTPKVTDPSIRGAVAELLKPDTELARFVAAECSKGDWAAIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECFFGLNLKPMCDPSEVSYTIMPNMAYFEFLPHGGDGVDKAQLVDLADVEVGKEYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQKAVQSASALLRPYNASVVEYTSHADTKAIPGHYVIYWELLAKDASPSAAEAVTRDGVMERCCLAMEEALNSVYRQSRVADGSIGPLEIRVVRGGTFEELMDYAISRGASINQYKVPRCVSLPPILELLDSRVVSAHFSPAPPKWTAHRPANQ >Ma03_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25058794:25071302:-1 gene:Ma03_g19800 transcript:Ma03_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNLSALKEEEDEDETSVGGGDQIRLAAPSAFSPDSIPPRPASQSSLQKYAPLEWSDYFDKEDDVKVPGSDNVFHVFMAGSEGPVVFCIHGGGYSGLSFALAASKIKEKARVLSMDLRGHGKSVTDNDLDLSIETLCNDILCVLKTLYGDSPPAVILVGHSMGGSVAVHVAARKVIRNLAGLVVIDVVEGTAMASLVHMQKILSSRMQYFPTIEKAIEWSVKGGPLRNIESSRVSVPSTLVYDDSKKCYTYRTPLEKTERYWKGWYEGLSEMFLSCPVPKLLLLAGTDRLDRSLTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASLIINFISRNRIGPNGVEIPGLIRRPQ >Ma01_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9603810:9607139:-1 gene:Ma01_g13140 transcript:Ma01_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGSGGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDKPPSVRFHSRINMTCVDPDSGVVDPKKFLVLGNWQRDYTMEYILTHLKKEMAASYNRKLVQPPEGTYF >Ma11_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16598803:16605258:-1 gene:Ma11_g12710 transcript:Ma11_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSWPSLSSRPTRRPSPASNHGGGHNNLVPSITICPSCKNSPSAATVDLLILVLVLCSCAFLIASSLAHLARAAALLLPVSPVPAPFRDAPYPYLAGLLLFFLAASLAAAQLSCRLPSLLPWSRRRRCGNPRCRGLKKALEFDVQLQTEECIRSPDSSQSAAWKEIDDLPWKGGQQGNNPDYECLRVELRRMAPPNGRAVLLFRARCGCPVARLEAWGPKRGRRHKRSLGSLAIEGGDR >Ma00_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17254571:17255312:-1 gene:Ma00_g02350 transcript:Ma00_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVVSCTDLIFFFFLLAGTMNPAASAGTPSAGSRNSTEFIRKSCAATLYPDLCYSSLAGYASVVQQNAVELAHVAAKLTLARLRSLTSHVSAAGRGTTGLEHAALLDCSDLLDDAAGWALRAGAEMKGLGKVVGPEVTWRVSNALTWMSTALTDEVTCRDGFVRVAAGTVRTDVSYRIRKVEKYTSNTLALIHILGFN >Ma04_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26386197:26386786:-1 gene:Ma04_g24390 transcript:Ma04_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKRPQTPLPPEEPASAASLTGSGSKTVVLKEPGRRRARFAEMAGETAAECAAVCCCCPCGLVNLLVVVVVKLPAGLVRKALRRIRNRSRKKAAVSRPKVGALNHDDDSIRRAALLATAGNKEAWPTKSPSEEILELEKVMLANFYGAGFWRSPSQRESSGKPLFQFPR >Ma06_p33170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33902865:33903637:1 gene:Ma06_g33170 transcript:Ma06_t33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSILSLSFFSLLVTLSHAANFEIVNRCSYTVWAAAVPGGGRQLNQGQSWTINVKAGTTGGRIWGRTGCSFDGSGHGRCQTGDCGGLLACTAYGSPPNTLAEFALNQYSNQDYVDISLVDGFNVPMDFSPTSGGCRGMRCTADINKKCPTALKAPGGCNNPCTVFKTDQYCCNSGSCQPTEYSKFFKSNCPDAYSYPKDDPTSLVACPGGTNYRVVFCP >Ma02_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29246668:29262744:1 gene:Ma02_g24790 transcript:Ma02_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MVTSKVGKRYAFTGFEALRELFLLRLLPDRKLKSLFQRPLDSLSETKDGFSLLLFWYWEECLKQRYERFVTALEEALKDMLPNLKDKAMKTVFFLLKSKPEQERRLLTAIVNKLGDPERKAASGAMYHLSCLLSAHPNMKAVVIDEVDAFIFRPHIGLRAKYQAVNFLSQIFLSKKGDGPKIAKRLVDVYFALFKILISEAQDGQSNKNDKKSGLNVKGKGKKGKTDSLKTLRKNKNEPSLESNIEMDSRLLSALLTGINRAFPFIASDDAESIIEIQTPVLFKLVHSKNFNVGVQALMLLYQISSKNQIVSDRFYRAVYAKLLTPSALTSSKPEMFLGLLVKAMKNDLNMKRVAAISKRLLQVALQRPPQYACGCLFILSEVLKAKPPLWAVILQNETADDDIEHFVDVPEDPEDLASGSIVSQHDKNSHEYEKDQVSEGETGLEDRLKINTIRNHSLGGDQALHTGSTLPAGYNPRHREPSYCNADRASWWELTVLASHVHPSVATMARTVLSGANIVYNGDPLNDLSLTSFIDKFMEKKPKPNRKAEGSWHGGSQIAPARKIDVSSHLIGEDILQLAEDEVAPEDVVFHRFYMNKTNTSKKPKAKRKKAAQDDEDADDLLLDASDDSEEEEIDNMMGSGPLPVEDAGEDYDYDDLDKVADEDDDDLLGNGSDAETGPSANLISREDGGIANDDDDGSIDTWNSDSEGGVDDLADVGTDMNDDGINDNDDKSEVPDDKVGKRRKHKLNGRSRTSPFASLEEYEHLMSDKGDSIDKLRSHKKKKKKVSN >Ma02_p24790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29245823:29262744:1 gene:Ma02_g24790 transcript:Ma02_t24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MGKEEEKIAISKTKKKPHRTAQDLESIKSDVVSFASSLGLVPPTLSSSYGFDDSDFRKSGPLKPLDAHESKLPSSVSAAGDKGGAKKEPGSKALPKPHPLQIDPFVKTSHEKKGRPEVPLMKASSLSGHWYLDAEELEAKVLGPDGSKKVTNLGIGEFKKLVEKKKEVAERLLAQYTEDYGSSRRKSGDMRLLEVTARSGTSSDKVSAFTCLVEDNPIANIRSLDALLSMVTSKVGKRYAFTGFEALRELFLLRLLPDRKLKSLFQRPLDSLSETKDGFSLLLFWYWEECLKQRYERFVTALEEALKDMLPNLKDKAMKTVFFLLKSKPEQERRLLTAIVNKLGDPERKAASGAMYHLSCLLSAHPNMKAVVIDEVDAFIFRPHIGLRAKYQAVNFLSQIFLSKKGDGPKIAKRLVDVYFALFKILISEAQDGQSNKNDKKSGLNVKGKGKKGKTDSLKTLRKNKNEPSLESNIEMDSRLLSALLTGINRAFPFIASDDAESIIEIQTPVLFKLVHSKNFNVGVQALMLLYQISSKNQIVSDRFYRAVYAKLLTPSALTSSKPEMFLGLLVKAMKNDLNMKRVAAISKRLLQVALQRPPQYACGCLFILSEVLKAKPPLWAVILQNETADDDIEHFVDVPEDPEDLASGSIVSQHDKNSHEYEKDQVSEGETGLEDRLKINTIRNHSLGGDQALHTGSTLPAGYNPRHREPSYCNADRASWWELTVLASHVHPSVATMARTVLSGANIVYNGDPLNDLSLTSFIDKFMEKKPKPNRKAEGSWHGGSQIAPARKIDVSSHLIGEDILQLAEDEVAPEDVVFHRFYMNKTNTSKKPKAKRKKAAQDDEDADDLLLDASDDSEEEEIDNMMGSGPLPVEDAGEDYDYDDLDKVADEDDDDLLGNGSDAETGPSANLISREDGGIANDDDDGSIDTWNSDSEGGVDDLADVGTDMNDDGINDNDDKSEVPDDKVGKRRKHKLNGRSRTSPFASLEEYEHLMSDKGDSIDKLRSHKKKKKKVSN >Ma09_p12160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8204214:8207064:1 gene:Ma09_g12160 transcript:Ma09_t12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEEDDLLLPLRRLEISDNAKGFVELLSQLSPATSPLSDADFLARFADLAALGDDHLIVVAEDQRSGRIVATGSVFLERKFLRGGSKVGHIEDVVVDAAARGQHLGQRVVRYLADHAKAAGCYKVILDCTPDLRSFYEKCGFTEKNIQMALYF >Ma09_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8204214:8207064:1 gene:Ma09_g12160 transcript:Ma09_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEEDDLLLPLRRLEISDNAKGFVELLSQLSPATSPLSDADFLARFADLAALGDDHLIVVAEDQRSGRIVATGSVFLERKFLRGGSKVGHIEDVVVDAAARGQHLGQRVVRYLADHAKAAGCYKVILDCTPDLRSFYEKCGFTEKNIQMALYF >Ma08_p33350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43687618:43689079:-1 gene:Ma08_g33350 transcript:Ma08_t33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLRLPFSFLILVAVFSVLSFRAAVQDSRRRVRRPEVAPPANATLLRLAAVESGEAELRKDVDDLLDGTFPSGHRGVAGWRLRTHLDWRQENHLEVLRRNEPRFPHRLRGPKDYRALPDFRRPLRDWFRRRRFHPGVMSELVELIKRPIDRHHGRPDTKTERYGSCAVVGNSGILLNNDHGGLIDGHDLVIRLNNARVSGYNHKVGSKTGLSFVNSNILHLCARRPGCFCHPYGETVPIVMYICQPVHFLDYAVCNSSHKAPLLITDVRFDMLCARIVKYYSLKTFVDTTGKPPGEWTKVHDEKMFHYSSGMQAVMLALGICDQVSVFGFGKSAEAKHHYHTNQKAELDLHDYEAEYALYRDLVEQPQVIPFLKDFGFKVPPVVFYH >Ma04_p38110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35772345:35776454:1 gene:Ma04_g38110 transcript:Ma04_t38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGRTRVGKYELGRTLGEGTFAKVKFAKNLETGENVAIKIFDKDKILRHKMVGQIKREISTMKLVRHPNVVRMYEVMASKTKIYMVLEFITGGELFDRIARNGKLKEDEARKYFQQLIDAVDYCHSRGVFHRDLKPENLLLDSNGVLKISDFGLSALPQQVREDGLLYTTCGTPNYVAPEVVKDKGYDGARSDIWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFTCPSWFSTSVKKLIKRILDPKPQTRITIPEIIENEWFKKGYQPPHFETEEVNLDDVDAIFSESGDATNLVIERRDEKPVPMNAFDLISTSQGLNLGTLFEKQMGLVKRETRFTSKLPANEILSKIEEAAKPLGFEARKQNYKLKLQGEKSGRKGHLAIASEVFEVAPSLHVVELRKSKGDTLEFHKFYKSLSTSLKDIMWKSQEETSGSDKS >Ma04_p38110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35772345:35776454:1 gene:Ma04_g38110 transcript:Ma04_t38110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGRTRVGKYELGRTLGEGTFAKVKFAKNLETGENVAIKIFDKDKILRHKMVGQIKREISTMKLVRHPNVVRMYEEDGLLYTTCGTPNYVAPEVVKDKGYDGARSDIWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFTCPSWFSTSVKKLIKRILDPKPQTRITIPEIIENEWFKKGYQPPHFETEEVNLDDVDAIFSESGDATNLVIERRDEKPVPMNAFDLISTSQGLNLGTLFEKQMGLVKRETRFTSKLPANEILSKIEEAAKPLGFEARKQNYKLKLQGEKSGRKGHLAIASEVFEVAPSLHVVELRKSKGDTLEFHKFYKSLSTSLKDIMWKSQEETSGSDKS >Ma02_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28187012:28187611:1 gene:Ma02_g22980 transcript:Ma02_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLDPDMQALRTTASSLAGDRDQKSVQRRAQRGRAVSQGIKCGKRGCGVAVSKEFARLFEWAMIPKPISQGTS >Ma01_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:761240:762450:1 gene:Ma01_g01110 transcript:Ma01_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRPPCELCGCEAVVFCDPDAAFLCWACDASVHGANFLVARHVRLVACPACDSLDAARRVSGAAPCRVRDFCASCDPDSVSPPSPSRSAGSSSSSSCLSTSESTAARRGVKTAAPRRTAARRQRGGADERVEGVLLGWSRRMGLRNQRRCVEAAARVVGACQRATSALPLRVALSAALWFAIKLCEDEAVPEKGGAAALRRLEACSGVPARLILAVESRIARLAERARVAEEGWAECP >Ma11_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4060097:4063742:-1 gene:Ma11_g05300 transcript:Ma11_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELIYRGGHDAHPPESGSGYTPKPEKHLLWLWRPLRYLLREQRLVFVLVGMALASLLFALAPSSSSTNSYSYSSAVASELALRSAMDRQQQQHHHRAAFEAAARGFVGGKVPLGIKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAQVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHIGPFNLGNPGEFTMLELAKVVQETIDPNAKIEFRPNTEDDPHKRKPDISRAKELLGWEPKIPLRQGLPLMVSDFHKRIFGDHSDAKPSTTSTATGTGSS >Ma08_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:643889:645378:-1 gene:Ma08_g00680 transcript:Ma08_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGIHKELSTPARITRVSEAQGRVESKKKKKKKKKKKKKKKMKQARRRESGIKAMRDCCSEVEEISAACSDFCSDAGEICKVGDSCSNAVLEFGGRKSNGVFSGRLRLAREQQPAVETKAVTVLPLGSDISSRKCCSRLQRMATAEGRRRRHERDGEVLALLSFSPRNSNSRGLMGVLQAGNVSVASAPLQFAFDPNFASGRARWPEIELARVSVSHLLGEPITE >Ma03_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26958615:26958957:1 gene:Ma03_g22140 transcript:Ma03_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAAAQTRFRALKHENGIAGSSTIIVRVIACFQPLQHCQAEYFRHLLKPVT >Ma01_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12919213:12919853:1 gene:Ma01_g17660 transcript:Ma01_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLRCGRHKVWLDPHEVNHIAVANSRESIRRLVKDGLAVRKPTKTHSRSRARRALEAKRKGRHSGYGKRRGTTEARLPAKVLWTRRTRVLRRLLRRYRESNKIDKYLYHHMYMKAKGNVFKNKRVLMESIHRMKDDKTKRREFA >Ma07_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13292225:13293207:-1 gene:Ma07_g16410 transcript:Ma07_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPQQRYRGVRQRHWGSWVSEIRHPLLKARIWLGTFETAEDAARAYDEAARLMCGPRARTNFPFNPTCGPSSKPNYLSAALTAKLHRYNLASHQAPQPVAKASPVQEPLPPFTADNKISIAIGDQQQNKLAVAVQQQQVESGWIMKDEVELSYLEEHHIEQMIEELLDSNLCMELCYTAL >Ma05_p27630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38834684:38834824:-1 gene:Ma05_g27630 transcript:Ma05_t27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRAPICTYNECRGCRFKCSAEQVPVDAGDPMNSAYRYRCVCHR >Ma04_p35300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34139560:34142525:1 gene:Ma04_g35300 transcript:Ma04_t35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit STN1 [Source:Projected from Arabidopsis thaliana (AT1G07130) UniProtKB/Swiss-Prot;Acc:Q9LMK5] MAVHVKLLAADLLSLAVHYTSRPPSFTRKGKPVSRAEAVGVVVSRERKDKYLSFLVDDGSGCIPCILWLNHQSDRERDLGVAAEMAREEAAAVQLGKLVRVRGRITAYRGVVQITVGDVVVERDPNSEVLHWLDCVRLAKFCYDPPP >Ma04_p35300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34139560:34143330:1 gene:Ma04_g35300 transcript:Ma04_t35300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit STN1 [Source:Projected from Arabidopsis thaliana (AT1G07130) UniProtKB/Swiss-Prot;Acc:Q9LMK5] MAVHVKLLAADLLSLAVHYTSRPPSFTRKGKPVSRAEAVGVVVSRERKDKYLSFLVDDGSGCIPCILWLNHQSDRERDLGVAAEMAREEAAAVQLGKLVRVRGRITAYRGVVQITVGDVVVERDPNSEVLHWLDCVRLAKFCYDPPP >Ma00_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:203316:204118:-1 gene:Ma00_g00190 transcript:Ma00_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEEAASFKLFGAVILKGERQTKEEEETGARTAPASGGAVEAAEAVARGAASLPCPRCKSRETKFCYFNNYNVNQPRHFCKACHRYWTAGGALRNVPVGAGRRRGRPAHRGGGGVVPAACVLDYPSPGYLGGAAAERWLLRPEAPAGADRGLDGGIR >Ma04_p38720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36119045:36126194:-1 gene:Ma04_g38720 transcript:Ma04_t38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKFMFSSPRNRGEPSKQDEEASEELTEEDLEHELADITFEDLQRARADGSHRSHATQLHQQLKPSRANKNRPMEMSSKMRVGRFREVIQAPKKVVRDPRFESLCGTLDTDGFKKRYSFLFEVELPAEKERLQKLIRKSKDPNAVEELKSHLSWIDKQIKSGPRKCAESEILSEHIKKEREAAKRGKQPYYLKKSEIRERKLIQKYNELKAAGKLDSYIEKRQKKNASKDHRYMPYRRSGNDAQE >Ma01_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2326871:2328917:1 gene:Ma01_g03520 transcript:Ma01_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MESLLRLRNHHHCHSRLRPPPLLPHHNRLRRCILLLPRPYHLRGSSLIARAELPPREAGTGQSAGEAPLPVSSPPPPSPTPTKPEGKGTGFGGSPASESKKRKKKGSVVRRSPIDRSSLVYSASKEDQSQQPAVNESAFLLTWLGLGVIILVEGLGLAAAGFLLEEWDSFFVKYIYPSFTPTVLLFLGGTVAYGVFKYFQAEKMKS >Ma10_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17983178:17985196:-1 gene:Ma10_g06250 transcript:Ma10_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPFPHRKRSFPSYLFTISFVIFVIFLYGEDFTCILSSPFLGSQHAEVHPRPRQQQLRQKQGLLREKDEAVAFAVGKTAEGCDVFEGEWVYDEVSRPQYAEEECPYIQPQLTCQAHGRPDAGYQHWRWQPHGCILPSFNATLTLEMLRGKRMLFVGDSLNRGQFVSMVCLLHRVIPENAKSMETFDSLTVFTAKDYNATIEFYWAPFLVESNSDNAVVHRITERIVRAGSIMKHARYWKGADIVVFNTYLWWMTGQKMKILRGSFDADPKNITEMVTEDAYRLALGRMMKWVEKHMDPHTARVFFATMSPSHESSKEWGGDPDGNCYNETTPIKDPTYWGSTSKSMMQVIGDVFGATKVPITLLNITQLSEYRKDAHTQIYKKQWSPLTPEQLANPRSYADCNHWCLPGLQDTWNELLYAKLFFP >Ma07_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26123301:26123486:-1 gene:Ma07_g18530 transcript:Ma07_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKDAFDKLSKGKGFFGGDDIRYLGMSLGCYSRWLKATEKMTGLKLLDKVKMDKLVEWVLG >Ma04_p39060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36301311:36304054:1 gene:Ma04_g39060 transcript:Ma04_t39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPIPVLLPRLILRQDVRSNALLGRFSSEDRCPVAASATTSRCSPPARIGRSSLANGWELKSGMHEESSNEIHLPSYLLVDRLRKFRIWSQLTWNQLCVRFK >Ma10_p28260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35198232:35206476:-1 gene:Ma10_g28260 transcript:Ma10_t28260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIEEAANSVSNLSVTDTPAAEAPSKNALKKELKKKKKEEENRLKEEEKKKKVAAMPQSQKPSSADDEDMDPTQYYENRLKVLASVKVAGGNPYPHKFQVSMTITEYIEKYRVLNEGDHLKDVEVNIAGRIMNKRTSSSKLFFYDLYGGGLKVQVMADARESDMDEIEFAKYHSGVKRGDIVGICGYPGKSKRGELSIFPKRFTVLSPCLHMMPRQKAGPGIENASVKKNEGKVASDVWVPGMTRNPETYILKDQETRYRQRYLDLMLNHEVRQIFKTRAKIINYIRDFLNKLDFLEVETPMMNMIAGGAAAKPFVTHHNELNMKLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMALTEEMLSGMVKSLTGGYKIKYHANGVDKDPIEIDFTPPFRRIDMIEGLETMANLSIPKDISSEAANKYLLDACVKFDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHEVCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGVDRLAMLFTDSQNIKEVLLFPAMKPQDEPLTKG >Ma10_p28260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35198232:35206476:-1 gene:Ma10_g28260 transcript:Ma10_t28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIEEAANSVSNLSVTDTPAAEAPSKNALKKELKKKKKEEENRLKEEEKKKKSQKPSSADDEDMDPTQYYENRLKVLASVKVAGGNPYPHKFQVSMTITEYIEKYRVLNEGDHLKDVEVNIAGRIMNKRTSSSKLFFYDLYGGGLKVQVMADARESDMDEIEFAKYHSGVKRGDIVGICGYPGKSKRGELSIFPKRFTVLSPCLHMMPRQKAGPGIENASVKKNEGKVASDVWVPGMTRNPETYILKDQETRYRQRYLDLMLNHEVRQIFKTRAKIINYIRDFLNKLDFLEVETPMMNMIAGGAAAKPFVTHHNELNMKLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMALTEEMLSGMVKSLTGGYKIKYHANGVDKDPIEIDFTPPFRRIDMIEGLETMANLSIPKDISSEAANKYLLDACVKFDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHEVCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGVDRLAMLFTDSQNIKEVLLFPAMKPQDEPLTKG >Ma10_p28260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35198232:35206476:-1 gene:Ma10_g28260 transcript:Ma10_t28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIEEAANSVSNLSVTDTPAAEAPSKNALKKELKKKKKEEENRLKEEEKKKKVAAMPQSQKPSSADDEDMDPTQYYENRLKVLASVKVAGGNPYPHKFQVSMTITEYIEKYRVLNEGDHLKDVEVNIAGRIMNKRTSSSKLFFYDLYGGGLKVQVMADARESDMDEIEFAKYHSGVKRGDIVGICGYPGKSKRGELSIFPKRFTVLSPCLHMMPRQKAGPGIENASVKKNEGKVASDVWVPGMTRNPETYILKDQETRYRQRYLDLMLNHEVRQIFKTRAKIINYIRDFLNKLDFLEVETPMMNMIAGGAAAKPFVTHHNELNMKLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMALTEEMLSGMVKSLTGGYKIKYHANGVDKDPIEIDFTPPFRRIDMIEGLETMANLSIPKDISSEAANKYLLDACVKFDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHEVCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGVDRLAMLFTDSQNIKEVLLFPAMKPQDEPLTKG >Ma09_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6433316:6435061:-1 gene:Ma09_g09770 transcript:Ma09_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSILLSFSLCLFLFCHISRAQLGLGQQGAGEPWMNVHRYSRVSQCKIEKLSTLELTRRVPSEAGYTEYFDQYNEQLQCVGVSACRHTIQPRGLLLPSFSSAPRLVYIVQGRGIIGTVFPGCPETFQSFQQTEQQWEQVAGGCQRFRDEHQRIHYFREGDIIALPAGVSYWGYNNGEVAVVAITTFDTISSANQLDRQHREFLLAGRERLVEQGSQIEVRLQQIKGNNLLSGFELDPLAEALGVDRELVRKIQNPDDRRGEIVLVTSGLQVLQASRQSEQLVREREVRQECQEGRGCQSNVLEAFCTMKVRQNIGDPLRADYFNPRAGRITTLNSQKLPILRFVQMSAVRALLRPNAIVSPHWNVNAHSIVYALRGCSRVQVVGHRGQTVFIGELRQGQLLVVPQYFAVTIQAQRESFEWVSIKTNDNAIVNHFVGKTSAFRGMPVEVLMNSYRISREEAMQLKFNRGNELALFAPKIEREAIRTTV >Ma03_p14530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13236477:13241174:-1 gene:Ma03_g14530 transcript:Ma03_t14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNENNKFELEKNHGNHLSNHSSGISDHWQFNTSTSSMAAGLMNLVTSSPYPSASEMEPFTPGLWNHHAANCVPIGEPVAVSSGGMLPSTVPGISSPRLPHFPAETALVERAARFPCFSGRNFSGMNLLFRPSESMVPYTNAMEDVTEIQLGKTEMNTTVQNGVLLPVDNASVNRGLITNQIDCESREANFTKGGQEGNTDSSDAARNSSPKKRRTTQEEVKRGPQSSSETTKETTEGKQKVDQNSSEHSGKNGKYSSEAAKGDCVHVRARRGQATNSHSLAERVRREKISERMKYLQELVPGCSKVTGKAVMLDEIINYVQSLQSQVEFLSMKLAAVNPQPEFSTEGLLAKNLLHSHGGCSSATGLPQEMIYPQVSLYPSQQGLMHSAISAIINPSEALRRDMNAQLSTTSVYKESSLQIHNPWNKEVVMQMTYGAIPPNAPEMDTKPDGFTI >Ma03_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13238595:13241174:-1 gene:Ma03_g14530 transcript:Ma03_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNENNKFELEKNHGNHLSNHSSGISDHWQFNTSTSSMAAGLMNLVTSSPYPSASEMEPFTPGLWNHHAANCVPIGEPVAVSSGGMLPSTVPGISSPRLPHFPAETALVERAARFPCFSGRNFSGMNLLFRPSESMVPYTNAMEDVTEIQLGKTEMNTTVQNGVLLPVDNASVNRGLITNQIDCESREANFTKGGQEGNTDSSDAARNSSPKKRRTTQEEVKRGPQSSSETTKETTEGKQKVDQNSSEHSGKNGKYSSEAAKGDCVHVRARRGQATNSHSLAERVRREKISERMKYLQELVPGCSKVTGKAVMLDEIINYVQSLQSQVEFLSMKLAAVNPQPEFSTEGLLAKNLLHSHGGCSSATGLPQEMIYPQERHECSIINNQCL >Ma00_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15887284:15887598:-1 gene:Ma00_g02170 transcript:Ma00_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKKFYLLSSTTTVGRSLALSSSGTPPAATSAVGTSWGRCSTVASSTSPTSAPTRPRGSTSPASPSPKRNYARLWLIKDVRREVEIMRHLSANPNIVSLHDTY >Ma04_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2090739:2093470:1 gene:Ma04_g02430 transcript:Ma04_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSGGNDVELLCKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVGGIVWFVDLFNYYVNTDECDAFSKELKLDTKVFYFDVGENRRGRFLKVSEASVSRNRSTIIVPAGSSGEEGWTAFRNILHEINEEASRLYIVSNQQHMEPSEHLPGLSDDVGAGFISGHTSQPVSGSDLNVDSLVELHPQDEIGSLRMSKVIRADQKRFFFDLGSNNRGHYLRISEVSGSDRSSIILPLSGLKHFHDTIGHFVEITKDRLGGIPAVNVRTLEPVQR >Ma04_p26850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28163820:28165496:-1 gene:Ma04_g26850 transcript:Ma04_t26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHHAPTASNGESKTRPHFVLVPFLAQGHMIPMADMAVLLAERGARVSFITTPVNVARTEAVVRRVRRAGIAVEFVELTFPCAEVGLPEGCERIDLLPSYELIKQFHDATGLLRHPLTQHLRAQRQPPTCMIADSCNPWTKGVAEELQMPYLLFHGPSCLNMLCARMILRHKIYEQIGDPFEPFDVPGLPHRLEISMAQMAWFITMLGWEKFREEVWEAETAADGFVINTFEALEATYVECYSREAKGKKVWTIGPLSLSNQDPDDKAARGNKASVDKQRILLWLDEKAPRSVVYVSFGSIVRHSPAQVLEIGRGLEASGRAFLWVIKEVDASSPEVEKWLSGGGFQERVGDKGLIIKGWAPQAAILSHPAIGGFVTHCGWNSVVEAVSEGVPMATWPHFSSDQYINERLIVDVQRTGVAVGVTVPDAHVGAEEIEKAISRLMD >Ma10_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7682270:7704664:-1 gene:Ma10_g02340 transcript:Ma10_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMGKLRWGELEDDAEDLDFLLPPRVVVGPDENGVKKVIEYRFDEEGNKVRVTTTTRVRKLTRARLSKRALERRSWPKFGDAAHEDAGARLTMVSTEEIILERPRAPGSKAEEPKVAGDPLAAMGKAGAVLMVCRTCGKKGDHWTSKCPYKDLAPPTDSFIDKPPGAETVASQSGTGKGAYVPPSMRAGAERSGTEMRRRNDENSVRVTNLSEDTREPDLMELFRTFGPVTRVYVAVDQKTGVSRGFGFVNFVNREDAERAINKLNGYGYDNLILRVEWATPRPN >Ma06_p26650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28484011:28494084:1 gene:Ma06_g26650 transcript:Ma06_t26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIDVIVALLLISNVIVGGFAVAGPKVIIVGAGMSGISAAKTLSDAGVKDILILEATDRIGGRMRKASFIGLNVEVGANWVQGVNGLEMNPIWEMANKLHMRKFISDFRNISSNTYKENGGLYPRSKVDKVIEEADKVKSFGEKLSKSLPPSGHEDISILASQRLKDQVPSTALEMLMDYFNCDYEFAEPPRVTSLQNTIPLPTFANFGKEAYFVADQRGFESLVYDLAGQFLRTTKNSSIVDPRLLLGKVVRDIRHSTSGVTVTIEDGSFYNADYVIVSVSIGVLQSKLIKFKPDLPQWKNLAICQFGMSVYTKIFLKFPHKFWPDGEGTEHFLYASERRGYYPVWQHFEKQYPGANVLLVTVTDEESRRIEQQADSRTKAEAMEVLRNMFGKHIPEATDILVPKWWSDRFFKGSFSNWPLGVNRYEYDQIRAPIGRVYFTGEHTSQHYNGYVHGAYLAGIDCANMMIKCVKYKTCEYKIKPKDSLQQNIFLYLLLTCMSLLFVTVVTYSPKVKNLGNKSHREKK >Ma05_p01570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:958231:961391:-1 gene:Ma05_g01570 transcript:Ma05_t01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKTPLSGNQQQHAKPGFSGSNPFDSVPDSDRFGDSKDKGSGTTSSQVLHSGFSTAKAKYKNDFRDSGGIENQSVQELQNYSAYKAEETTIKLNGCLKIAEEIREGASNTLVTLHQQGEQITRTHQAVADIEPDLSRGEKLLGSLGGLFSKKWKPKKTHKIKGPLSIKDDSSERSRHLEQRQRLGLAAPLPRSEPQHFSEPASALQKVEIEKAKQDDVLSDLSNLLGELKNMAIDMGSEFEKQNVALDRAQDDVDEMNTRVHGANLRGRRLLRK >Ma05_p01570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:958231:961391:-1 gene:Ma05_g01570 transcript:Ma05_t01570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKTPLSGNQQQHAKPGFSGSNPFDSVPDSDRFGDSKDKGSGTTSSQVLHSGFSTAKAKYKNDFRDSGGIENQSVQELQNYSAYKAEETTIKLNGCLKIAEEIREGASNTLVTLHQQGEQITRTHQAVADIEPDLSRGEKLLGSLGGLFSKKWKPKKTHKIKGPLSIKDDSSERSRHLEQRQRLGLAAPLPRSEPQHFSEPASALQKVEIEKAKQDDVLSDLSNLLGELKNMAIDMGSEFEKQNVALDRAQDDVDEMNTRVHGANLRGRRLLRK >Ma05_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:958231:961391:-1 gene:Ma05_g01570 transcript:Ma05_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKTPLSGNQQQHAKPGFSGSNPFDSVPDSDRFGDSKDKGSGTTSSQVLHSGFSTAKAKYKNDFRDSGGIENQSVQELQNYSAYKAEETTIKLNGCLKIAEEIREGASNTLVTLHQQGEQITRTHQAVADIEPDLSRGEKLLGSLGGLFSKKWKPKKTHKIKGPLSIKDDSSERSRHLEQRQRLGLAAPLPRSEPQHFSEPASALQKVEIEKAKQDDVLSDLSNLLGELKNMAIDMGSEFEKQNVALDRAQDDVDEMNTRVHGANLRGRRLLRK >Ma04_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1464968:1466521:-1 gene:Ma04_g01650 transcript:Ma04_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAEEKFEIITSEEWLSSLKKRVDETKWMDWRTDGPTIFKVPGIFQEANSNAYKPRMVSLGPYHHRNIHLKAMEDLKWHYLQKFLGRNPGKSLEDYIEQIKKRELEARMAYSEKVAMTSDEFVQMMLLDCCFVIEIIHSYVEKREEKEEAVDKQIRCTRSWFRTARPMHSVAAQPRPLMHSMAMRPTYSLLARPIPMLGNQLRTDEKATEAEHNPITSTWYTLPVVVQDMLMLENQLPFVLLQTLFHSAFPKSPDRLENWIFEFVSKFVKSKIENPTNISDVKIHHILHLLHCCLDPSKIRDGGKPPSSLWHKPNWNNLRLSREDNHPTPLLKWIPSATQLMEAGVHFRKKEATNFLDITFQNGKMEIPLLQVDDDTETFLRNLIAFEQCSKNVSLHVTAYAALMDCIINTAADVALLQQHGIILSGLGDGKQVADLFNKLCKEVTLDYEKSYVSGIYKDVNKHWTNKYNQWRARLNHDYFSNPWAVISVFAAILLFGLAITQTIYSALSYVRPPS >Ma06_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3540002:3541441:1 gene:Ma06_g04750 transcript:Ma06_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRGRILKKLRSIPQVPYLKHQERVLHVHASAPSLPTPPDPSRVLRDLPVGSRVEEASNSASSDDQLTPVSHLHDVVDVAELVRDLEEEEEEEAACDEEESDHSSSRSSIGDKENSRPPPSSPPKEDSSWRTNDEEDQHGQENETSYRRPDLDSVTLFDPELLAAFEKAVVEHMRSFEEAKSRARARIKEEEYDEHDGGGGGEPHGVEEPPSKVPRTDDDEDPLTEFEHRCPPGGRESVILYTTTLRGIRKTFEDCNGVRFLLDSLKVRFTERDVSMHLEFREELWRVLGYRAIPPSLFIRGRYVGGADEVLGLHEQGRLLALLRGAARDRSAGEEACRGCGGVRFVVCWECNGSRKIYHGEEEEEEDACPAQCRHCNENGLVVCPICC >Ma02_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21922757:21923638:-1 gene:Ma02_g13600 transcript:Ma02_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAAAAAHAAQVLPK >Ma01_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20887806:20888024:-1 gene:Ma01_g21250 transcript:Ma01_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRNLEELIDENHAIVSSSVGTEYYIRILSFVDKDQLELEFAILMPNKVSFAGLMIMVWSHDLGLVKIFTI >Ma10_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32854344:32856558:1 gene:Ma10_g24140 transcript:Ma10_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLAGSPPPRSEAAKFSKSLAHLRSTEKPDAVLNFMRSQGLGGAAIRKVISSEPNYLCYNVETNIAPKFQFLRDSGLSESDIVDVILKNVVILLLDVHRSLVPKLEMWESLLGSRELVLKHLKKTRWFFFSSVEKKLHPNLKFLRDECGIPEERVYVVLRSHPQLISQKPESLRALVARADELGMPRQSRMFVRTLDALHNVSRERFEAKVELMRSFGWSESEFSSAVRKAPTFLCISLDMLRRKVEFFINVVGYTPSFIASQPSILLYSLQKRVIPRFRVLEMLNTKCLWTRQGTCLSYVKLSNAKFREKIVLPYKEKVPELLDILRAGECEGK >Ma10_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11917551:11918465:-1 gene:Ma10_g03200 transcript:Ma10_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQVDVEAIVRGGGDARDACETQFAVAQPPAPDDPDFPPESVVVPVGDDGLDWADVGAAAYDRDDSTKGSTNPKAQAQQWQHAKPRSSSHRFSGGIQAKHPIIGLPGKVQQHSSYLGRSGHRPARVPIFPKKPPVPGAARGRKSTVPEQEPGSPTVSCIGKVLSETEQSRYPKRRRQSPEKEKMKRVEPTGFWASVTATFCCGGEESTATETMPGDTPGEAAGERRIAAKPATEPPALGAMRRFASGRRPLPLDRDAPARDWRRSVGSVEDAWRERDRDRDWDASAWFDGADGNMLKSRNGMW >Ma04_p32280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32325103:32325730:1 gene:Ma04_g32280 transcript:Ma04_t32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEGKKMITLKSMDGQEFQVEKEACAISEMIKFVSDDCDTEDVIPVANIRGNILAKVVEYMKKHLEFASKKRSSDAGKEIAEGNEELVDVDEEIKAWNNDFIKDVDTDTLYFLLIASDYLSIKGLLDLGVKKAADLIRGKSPEQIRTTFNIQNDFTPEEEAEFRKEYSWVFDEGI >Ma10_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26103614:26106614:-1 gene:Ma10_g13220 transcript:Ma10_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLADLREASVPLKEVVADCVRRWFQDALKEARAGDVSMQVLVAQMYHSGYGVAKNEQKANVWITKASRYRSAVRKVSDKHPGYNASDSYSDEEKN >Ma03_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25007398:25008245:1 gene:Ma03_g19740 transcript:Ma03_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSHQFPAFGCWDHCDELPITQYFESAVQAGLIGRHYFGEDGDLFKVSTQVRPAYHHHHHRKVKKGGHGGGEKHLGKEQQMKQERASDLTLQTTPRRPRRAPKAVDEDLYKIPPELLHQKPKRKRLLKTLWSGCMGLNSVA >Ma05_p27830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38966820:38971139:1 gene:Ma05_g27830 transcript:Ma05_t27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAFKPISMAEGLLPYLPAAFFSSKPSLAHLPLLRSSRPLPFLHLSCSRSSSSISLRRKLPLLPLVAQTSDWASQEEEEQEASEVEDRGFGLEGFDEGDEPGLSELEGEEVQAEDSGVVAQGEGEFVEGEEEEEEEEEEAYVEPPEEAKLFVGNLPYDFDSEKLAHLFEEAGVVEVAEVIYNRQTDQSRGFGFVTMSTVEEAEKAVEMFHRYEVSGRLLTVNKAAPRGARVERPREFEPSFRIYVGNLPWQVDDGRLEQVFSEHGKVLDARVVYDRETGRSRGFGFVKMASQAEMDDAIAALDGQSMDGRALRVNVAEERPRSAAIF >Ma07_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2466412:2467272:-1 gene:Ma07_g03210 transcript:Ma07_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRGEHKPCCYFHPMEAVVGICALCLKEKLLVLASEQGHLPLCRRYLRVPKRKPVITLPKVFVLGAFGHLLESHHHTNKDHSGREGPIASLEDSFISIKFEDEGQVLSKNKKDKSFEASTDTTTIGKETKEVKTTVEQSDHSGFPRWRKHIGRLLHQGIWKRSNEASTCHFGFGGKVEGVKRTRGWIRSLTRRTTTSG >Ma11_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21638872:21640333:-1 gene:Ma11_g16040 transcript:Ma11_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTGNREFLSLVFLSLLRSVFPMWIGKQTVQLQSISSLIEECD >Ma10_p31040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37267500:37273330:1 gene:Ma10_g31040 transcript:Ma10_t31040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAGKRARTDGGRRDDDWTCPSCGNVNFSFRTTCNMRNCTQSRPADHDGKSAAKSMQAPSPYTSVAGYLGSGAPSSMYLGSLPYGPSLFNGPALTPYDFSFPGGSAYHYEYGSRFSVGSPYGPMHMSGPPPYSSGSMIGAGGMYGMPPMVDRYGLGIPMGHGAMGARPGAYPNESSPKKTAGHENDWTCPNCGNNNFSFRTVCNMRKCNTPRPESQGPKSENSKGSKPKIPEGSWMCEKCNNINYPFRTKCNRQNCGAEKPSQTQSYGLTSSEDDQ >Ma10_p31040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37267500:37273330:1 gene:Ma10_g31040 transcript:Ma10_t31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAGKRARTDGGRRDDDWTCPSCGNVNFSFRTTCNMRNCTQSRPADHDGKSAAKSMQAPSPYTSVAGYLGSGAPSSMYLGSLPYGPSLFNGPALTPYDFSFPGGSAYHYEYGSRFSVGSPYGPMHMSGPPPYSSGSMIGAGGMYGMPPMVDRYGLGIPMGHGAMGARPGAYPNESSPKKTAGAGHENDWTCPNCGNNNFSFRTVCNMRKCNTPRPESQGPKSENSKGSKPKIPEGSWMCEKCNNINYPFRTKCNRQNCGAEKPSQTQSYGLTSSEDDQ >Ma00_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:18758368:18760239:-1 gene:Ma00_g02560 transcript:Ma00_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPDTSKSFKLERHGGYLLRRINSTKVIAASSHLLFRASILATVVLILLFTLHYPPLLLYHSGAAASSAAHSNHRSLLSSAVTYGGAAWEREVRRSATPRSPSGLTVLVTGAAGFVGTHCSLALKKRGDGVVGLDNFNSYYNPSLKRARQSLLSRHGVLVLDADINDTPLLTKLFDVVPFSHVLHLAAQAGVRYAMRNPQSYVASNVAGLVALFEIAAKHADPQPAVVWASSSSVYGLNTATPFSELHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTNDIISGKPITLFRMQDGAAVQRDFTYIDDVVKGCLGALDTAKPSTGSGSKKRGPAQLRVYNLGNTSPVPVAKMVGILEELLGKKAKKNVVTLPQNGDVPYTHANVSLAEKDFGYRPTTDLATGLKKFVKWYVEYYGVKTGNNVHSKMKKMEEKEAEEEASA >Ma10_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21328160:21334570:-1 gene:Ma10_g06960 transcript:Ma10_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKTSDHIDLLAEEKRKEKKHKKEKDKEKKEDKERKDTDRSKDKHKEKKDRKEKHKEKKRKNKNKDKSRILYERADKQTESPHGDMLGECSQKTEETKPSKFAEKLERKIEDEGKMAANREADNFSGPVQKSIGNLAAETAMVKERVAGDKIVPPTMGPLQRRIDGFERPTDKFTFSTQRKNEALGSANAVKKEKSTHDKLVPKLPSTGQKGNGHTKSPLEYPVGSVHKRFEGPYAAAAVEIDNQNSKKVVSSSNSDVPRTINGMAQPAQSFSACKNVGAIGLATKMEDRGRANKILQNHILAEQRRVVGMDEAVARDASNKIEEGKAKSKERDADDRKEERHRDRVRDEKKIKDKDKHKGKKKEKTKVKEKGEQKDKELKEPRDGRKKDQLDSLDVKTLAPQTDNAESYLTDDTMKKRKEIDTNGFPNENNLRPNKFPKTDPSSHLREENGRTLESSHVGAACSPLKLGAINNVLVEKPIDNKEHKINGKARAQTFSDGSRHPVATDSGATGKAYTIPHPDSVHLDKIYSIPKVDEWPEYDDQEWLFSSCHLLQKPKTQLGGDEVPQVWSEVLKIESEDVVALPYVIPF >Ma08_p19160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32959821:32962723:-1 gene:Ma08_g19160 transcript:Ma08_t19160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCDVCEGAVAAVLCCADEAALCWGCDEKVHAANKLAGKHQRVPLLPTNSNSRSSSSSQTPTCDICQEKVRYFFCLEDRALLCRQCDVAVHTATPYLSSHRRFLITGVRVPLQHNYLTKDGNNNNHPLESRDGRFNYQ >Ma08_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32959821:32962723:-1 gene:Ma08_g19160 transcript:Ma08_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCDVCEGAVAAVLCCADEAALCWGCDEKVHAANKLAGKHQRVPLLPTNSNSRSSSSSQTPTCDICQEKVRYFFCLEDRALLCRQCDVAVHTATPYLSSHRRFLITGVRVPLQHNYLTKDGNNNNHPLESRDGRFNYQ >Ma08_p33990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44119577:44122959:-1 gene:Ma08_g33990 transcript:Ma08_t33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGTTISIASRPWHSLQAAKEFSSLKSISFSSIRKSFPAIRLQPASSRLQICCAAKSDTLEKVLKIVRKQLAVPEGTAVIAESKFSELGADSLDTVEIVMGLEEAFGISVEEESAQKIITVQDAADLIQELVEAKSC >Ma02_p05660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16915120:16919436:-1 gene:Ma02_g05660 transcript:Ma02_t05660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGYVVLDIDNLTQPPEKCYAGSPKMTKALSRKGSNRMEKRTSDEQEADDETKRLVAKAAPSQLEQLKQSLLTNKSLTTAQSAANAPMLLDSGEGHKRLNRLSVIHPHRVLLVFATMSCLGTTILIYFTLAIRQRNGSQLSL >Ma02_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16915120:16919428:-1 gene:Ma02_g05660 transcript:Ma02_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGYVVLDIDNLTQPPEKCYAGSPKMTKALSRKGSNRMEKRTSDEQEADDETKRLVAKAAPSQLEQLKQSLLTNKSLTTAQSAANAPMLLDSGEGHKRLNRLSVIHPHRVLLVFATMSCLGTTILIYFTLAIRQRNGSQLSL >Ma06_p29570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30912562:30913468:-1 gene:Ma06_g29570 transcript:Ma06_t29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVALLGFKPQLVVPGMKAEAAVQFYKAAFGAEEIGRVTHPKRKAEQECPLILSAELKIGSSFILVSDCFDAAGEESAAAAGGGGIAFRLEAEDVDAAVKKAVAAGAEVMSEVLEEEGSLLGKVKDPFGVVWAIAAVGKKSPEPEA >Ma05_p28560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39521038:39529982:1 gene:Ma05_g28560 transcript:Ma05_t28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDGELELREIQKLEGHTDRVWSLAWNPAATGVAGMLASCSGDKTVRIWQKGPSGSWNCSSVLEDTHTRTVRSCAWSPSGKLLATASFDGTTAVWEHVGGEFECVATLEGHENEVKSVSWNASGSLLATCARDKTVWIWEAQPGNEFECVSVLQGHTQDVKMVQWHPFLDILVSVGYDNSVKVWTEDGDDDWHCVLTLGEANSGHTSTVWALSFNSAGDRMVTCSDDLTLKIWDTSQNPSETSGDGNGSWSHLCTLSGYHDRTIFSVHWSREGLIASGAADDAIRLFTESKDSLVDQPSYKMLVKKEKAHDMDVNSVQWNMKEPRLLASASDDGTIKIWELSVVSK >Ma03_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3611170:3611713:1 gene:Ma03_g05530 transcript:Ma03_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGRSLLVAAAAAVTLILLILLLSSPSSLNWNDCNGGVAQARKLIAVEVTPQSSPRHNVTINVDDVGMIPARQVGIDLRRIPPSSSNPTQNKSRPRYTAPGNSR >Ma05_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2360405:2375395:-1 gene:Ma05_g03330 transcript:Ma05_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALLRRLSRAVLASSSPSSSFTSSCYCRSYAVSAPLVEDEAQNQSLRGLGVSYGLNWALAARGVIIKDKSFHNLQKSELQKKGAITVEHLATVPLYVRGCTSGGGPEISNAQFGKILKQVTSHISSVSDVFVQDGVIGSSPKCDVKVRVISDNPSAMLSLSNILWETPSRAISHDSCPLTVYIASSISSSAMDFLRIGSQASNGFAAADVERSSLIICGKAFADATAVKNALAALAAPVIFAREGLPLSARLLVSGDSVILLFAPEDTIKKCAELCKSLVSVDTGVILSSHGTAPFFQTKDSAASNLLKKPSSVIFATADSTGVFPLISKLSPGQAAYHFLAGYQEGKFVPAYIKGPSPIDLLELAKALFLELIENEIPSFLINVSDSGEHITGKEYMELVESTFSGKLSDSKPNASDAKVANLKGRYKSFLSRKFHYLPEEFFF >Ma10_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3805682:3810351:1 gene:Ma10_g00880 transcript:Ma10_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDAESVKNEMFAECQELLQMFGLPYIIAPTEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFVKVSVELERELGLDREKLIRMALLLGSDYTEGVSGIGIVKVIQAFSEEDGRQKFRQWVESPDLAILAKLVSGSHSNRSLKENNNGADAIKRCSQEDASEESVSRGHDDEKPTGNEAIKDIFIHKHRNVCKNWHIPPFPSEMFISAYTSSQVD >Ma06_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16347268:16352614:-1 gene:Ma06_g21850 transcript:Ma06_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASPFSGFPPHKFLFSNPRKLLLSIRMASEAGGLGEFSSQSASSSPSPPKILDSHLHVWASPQEAVGSYPYFPGQEPSIPGDANFLVKLMSEAGVDGALIVQPINHMFDHSLVTSVLKRHPSKFIGCCLANPAEDGTGIRQLEDLVLKDRYRAVRFNPYLWPSGQKMTNEIGKAMFYRAGELGVPVGIMCMKGLSLHISEIEELCENYPSTIVLLDHMGFCNPPMTDEEHNTFSSLLKLARFPQVYVKFSALFRLSRKQYPYEDTRDLLSQVVSDYGANRVMWGSDFPFVVKECGYKEAREAVSLLANQIPLSSSDLEWIMGRTFGQLFQQPWQAL >Ma05_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9812293:9814074:-1 gene:Ma05_g13530 transcript:Ma05_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPPFLFFFFFFFLLLLSSFLSPSLSSHVSDDIEFWCSNTPHPEPCRYYLARNPYHGTPKDKDQFYKLSLRLALDLTLRAQSHLKRRGPACRRSPEKTAWLDCWKLYANTVLQLNRTLAPPPGAGCTAFDSQTWLSAALTNIQTCPKGFRETRTSSELIGPVVRYNVSDLVSNCLAINRPAAADAYRGRFSSWTMFGNRRLLQLSTAADLVVAKDGSGNFRTIKEALDAASKRMQGRRGSKFVIRITAGVYNEYLQVVSSLSNLVMVGDGIGKTIITGSRSVANGYTTLSCATFSVFGDGFVASGITFRNTFGPGSQAVALLSASDRSVFYRCSIEGYQDTLFVYTQRQFYRECDIYGTIDFIFGNAAAVLQRCNIYARRPRHGESNVITAQGRSDPNQNTGIVIQSSNIKPATELLPVRRTVRSYLGRPWMQYSRTLYLQNYIDSIIDHAGWLPFRGSFALSTLYYAEFENTGPGARMSRRVKWPGYHVIRRASIVRPFTVGRFIAGGSWIPSTGVPFNPSL >Ma08_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5186873:5187813:1 gene:Ma08_g07570 transcript:Ma08_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQRCQEGHRPCANNCSFFGSPATLNLCSKCYRDFRLKEEQAASAKLAVEISLSPPPSSAATVSSSTAPSSPSEKPSEAAAEPAPRPTRCKSCRKRVGLTGFRCRCGSTYCGQHRYPERHACAFDYKAAGREAIALANPVVKADKLRRI >Ma08_p07570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5186882:5187813:1 gene:Ma08_g07570 transcript:Ma08_t07570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQRCQEGHRPCANNCSFFGSPATLNLCSKCYRDFRLKEEQAASAKLAVEISLSPPPSSAATVSSSTAPSSPSEKPSEAAAEPAPRPTRCKSCRKRVGLTGFRCRCGSTYCGQHRYPERHACAFDYKAAGREAIALANPVVKADKLRRI >Ma07_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5531178:5553091:1 gene:Ma07_g07450 transcript:Ma07_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVDHLAHERIRAEFDVEAMKIAWAGSKHAVDVADRMARLVASDPVFKKDDRMMLGRKELFKNTLRKAAHAWRRINELRLTEEEASMLRIFVDEPGYVDLHWGMFVPAIKGQGTDEQQKKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFIIHSPTLTSSKWWPGGLGKISTHAVVYARLITDGKDHGINGFIVQLRSLDDHSPLPGIVVGDIGTKFGNGAYNTMDNGVLQFDHVRIPRNQMLMRVSQVTREGKFVQSDVPRQLLYGTMVYVRQTIVSDASKALSRAVCIAVRYSAVRRQFGSQDGCPETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYRDVTERLQANDFSTLPEAHACTAGLKSLTTSVTADGIEECRKLCGGHGYLCSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTTAYMGSVQHLMQSKCDVLTAEDWLKPSVILEAFEARAIRLAINCAKNISKFPSQEEGFSELSADLLEVAIAHCQLIIVSKFIDKVKEDIQGHGVKELLQILCNVYALSLVHKHLGDFISTGYITPKQGALANEQLRFLYAQVRPNAVALVDAFNYTDHYLGSILGRYDGNVYPKLYEEAWKDPLNDTVVPDGYHEYVRPLLKQKFTVSRM >Ma10_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25018061:25018661:1 gene:Ma10_g11510 transcript:Ma10_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAVLLMAIAIALALSPTATGDIGGGRRSRFLAVTAPKNKKCSIDPTVCYGAASPGRRCCGDQCVDTDSDPFNCGKCGKMCKFTRACCDGKCVRLAFDKKNCGSCFNRCKKTCMYGLCDYA >Ma07_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11321347:11325216:-1 gene:Ma07_g15070 transcript:Ma07_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDSSVQSDIKLWPFKVIPGPGDKPMIVVQYKGEDKQFAAEEISSMVLIKMKEIAEAYLGSVVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTINDDKIASKLAAADKKKIEDAIEQAIQWLDGNQLAEADEFDDKMKELESICNPIIAKMYQGAGADMAGGMDDDAPPAGGSGAGPKIEEVD >Ma04_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26766702:26767508:-1 gene:Ma04_g24880 transcript:Ma04_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLENIQLTSFPGEISAALSEPPSPAARYPVAASLGSAVYLRQTELLRRLSTRRYGRAASSPYHNSAAAENGKKKLYRGVRQRQWGKWVAEIRLPQNRMRIWLGTYASPESAAYAYDRAAYKLRGEYARLNFPAL >Ma04_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3006939:3007760:1 gene:Ma04_g03900 transcript:Ma04_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGTSSGSSLLLPTSGSEEDLHALMMDQKKRKRMISNRESARRSRMRKQKHLDDLTAQVNQLRKENSQILSSLTLTTQQCFAVEADNSVLRTQTMELTNRLQSLNEILLFLNGSNTIVRNGFLIGPWSSTCTNQPIMASAADNLLQH >Ma08_p33240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43634874:43639998:-1 gene:Ma08_g33240 transcript:Ma08_t33240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MSKQPLIYSFVAKGAVVLAEHTTFSGNFSTIAVQCLQKLPRNSNKFTYSCDGHTFNFLLDKEFVFLVVADEAVGRSVPFVFLERVKEDFMQRYGAIIDGGGPHPLADEDEDDDLFEDRFSIAYNLDREFGPRLKEHMQYCINHPEEMSKLSKLRAQITEVKGIMMDNIEKVLDRGEKIELLVDKTESLQFQADSFQRQGRQLRRKMWLQNIRFKLILAGTLIALILIIWLRACGGFKC >Ma08_p33240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43634874:43639988:-1 gene:Ma08_g33240 transcript:Ma08_t33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MSKQPLIYSFVAKGAVVLAEHTTFSGNFSTIAVQCLQKLPRNSNKFTYSCDGHTFNFLLDKEFVFLVVADEAVGRSVPFVFLERVKEDFMQRYGAIIDGGGPHPLADEDEDDDLFEDRFSIAYNLDREFGPRLKEHMQYCINHPEEMSKLSKLRAQITEVKGIMMDNIEKVLDRGEKIELLVDKTESLQFQADSFQRQGRQLRRKMWLQNIRFKLILAGTLIALILIIWLRACGGFKC >Ma07_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2943956:2945479:1 gene:Ma07_g03880 transcript:Ma07_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGATAGAAAAKGGNKKGSVFVIDCAKPVEDKIMDISSLEKFLQERISPVPSAIPSPSPATRVRSPSHPRGPSPSGNHKAFPYLTKKYLKKHNVRGWLQVIASNKDRSVYEMRYFNIAENEGG >Ma04_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24858655:24863520:1 gene:Ma04_g22570 transcript:Ma04_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILQFFDFGQGRSSHKLPLLSRRNDGLEAPRNSLEFPMEAYQSFQVAHEDIPYSHRYSNKDGVCQNGARMKELIDDEMSKRTKDRCNGPSVVARLMGMDALPSDIKPVIHVKEPNDMKKPRKELASISSNQQASLILKTMRQSNEFLPYQIEQDFDQHTKDHDMDKPQPREHPQEELLQKFKKEFEEWQASKAWERSVTLELGNDLRKEKHINTSPQEILNSFVDAKRNSFVKKTTEFKRHVPSARLDTHWEQEDLLSSEASVSKHSETGLTKDNFFGDNARSKSFAPKSDHEMEISTLPRRIVILRPDYEMNDNTEESCLCSPVMLQKGKNMHDFLEQVKERLIIEIEGKPSLETTTIWAQSEAFASERLSDCKQIVPKTVKGLSGSFSIGNKTTLMQSRSTRSYRNEVQFSGRSSPEFIPQDSKKLMSERLKNVQKDDIDIMDPLISSGRLITSISSKEAEKFNSMQYLSKKSSKKVASWEEKKFLNESKSFRHDQGQAFDVGDESPPNLFRSFSAPVSRTAFGKLLLEDRNATAAVHICRKHEASENDLMEARKKTKDGFNIKNRVSSLKQNFTLKGKLFGKRIQLMDESSEDEFLFMKDTETSPFVINFGFEQENSTEVPPSPASVCSSPPDEICRPYYPSPVSPLEALFHEDHPSLPASGEPNSNIPESNLLEEVDYDRSEEATDELKPAEDELPELEGNTKAYIRDILITCGLFERNHFNQCLWEWDAPRKPIPLLVFDEVEETYRRNDKLESRTTLICEGEDADFSHRILFSLLNEALLRVTQNSKPGSTVKKWFPGPGRVPQGDQLLDSLWHLIQVYINPPVDESHPLESIVAQEMNQTSWSGMLHEDVDIISVEIELLIVENLIDELVCSLS >Ma04_p22570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24858655:24863520:1 gene:Ma04_g22570 transcript:Ma04_t22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGILQFFDFGQGRSSHKLPLLSRRNDGLEAPRNSLEFPMEAYQSFQVAHEDIPYSHRYSNKDGVCQNGARMKELIDDEMSKRTKDRCNGPSVVARLMGMDALPSDIKPVIHVKEPNDMKKPRKELASISSNQQASLILKTMRQSNEFLPYQIEQDFDQHTKDHDMDKPQPREHPQEELLQKFKKEFEEWQASKAWERSVTLELGNDLRKEKHINTSPQEILNSFVDAKRNSFVKKTTEFKRHVPSARLDTHWEQEDLLSSEASVSKHSETGLTKDNFFGDNARSKSFAPKSDHEMEISTLPRRIVILRPDYEMNDNTEESCLCSPVMLQKGKNMHDFLEQVKERLIIEIEGKPSLETTTIWAQSEAFASERLSDCKQIVPKTVKGLSGSFSIGNKTTLMQSRSTRSYRNEVQFSGRSSPEFIPQDSKKLMSERLKNVQKDDIDIMDPLISSGRLITSISSKEAEKFNSMQYLSKKSSKKVASWEEKKFLNESKSFRHDQGQAFDVGDESPPNLFRSFSAPVSRTAFGKLLLEDRNATAAVHICRKHEASENDLMEARKKTKDGFNIKNRVSSLKQNFTLKGKLFGKRIQLMDESSEDEFLFMKDTETSPFVINFGFEQENSTEVPPSPASVCSSPPDEICRPYYPSPVSPLEALFHEDHPSLPASGEPNSNIPESNLLEEVDYDRSEEATDELKPAEDELPELEGNTKAYIRDILITCGLFERNHFNQCLWEWDAPRKPIPLLVFDEVEETYRRNDKLESRTTLICEGEDADFSHRILFSLLNEALLRVTQNSKPGSTVKKWFPGPGRVPQGDQLLDSLWHLIQVYINPPVDESHPLESIVAQEMNQTSWSGMLHEDVDIISVEIELLIVENLIDELVCSLS >Ma10_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29713296:29729901:-1 gene:Ma10_g18980 transcript:Ma10_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLQMNGLVNGTFQVRHAATMSEIDDFCKSLGGKTPIHSILVANNGMAAVKFMRSVRTWAYETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVELAEITRVSAVWPGWGHASENPELPDALNAKGIIFLGPPAAPMAALGDKIGSSLIAQAAGVPTLPWSGSHVRIPPESCLDSIPEEIYRNACVYTTEEAVASCHVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPPETVKQLEQAARRLAKCVGYAGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVSLPAAQVAVGMGIPLWQIPEIRRFYGMDHGGGYNAWKRTSVLATPFDFDKAESVWPKGHCIAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLNASEYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASTSSAAIVSEYVGYLGKGQIPPKHISLVNSDVTLNIEGSKYTIEMVRGGPGSYKLRMNGSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIAETPCKLLRFLVSDGAHVETDTPYAEVEVMKMCMPLLLPASGVIHFVMSEGQAMQAGDLIAKLDLDDPSAVRRAEPFHGSFPKLGPPTAVSGKVHQRCAASLSSARMILAGYEHNINEVVQDLLHCLDSPELPFLQWQESMSVLATRLPKDLRNELDTRYREHEAISTFQKNLDFPAKLLKGVLEGHLSSCTEKEKATQERLVEPLMSLVKSYEGGRESHARVIVRSLFEEYLTVEELFSDSIQADVIERLRLQHKKDLLKIVDIVLSHQGVRSKNKLILRLMEAMVYPNPAAYHDLLIRFSALNHTTYSELALKASQLLEQTKLSELRTSIARSLSELEMFTEEGERLSTPRRKSAINERMEDLVGTPLAVEDALIAFFDHSDPTLQRRVVETYIRRLYQPYLIKESVRMQWHRSGLIASWEFSQEHIEKKNKSQDPSNCLSSVEKHCEKRWGAMVIIKSLQLLPAAINTALKETTQCMNSDIDHEIIPNGLPGCSGKGNMLHVALVGINNQMSTLQDSGDEDQAQERINKLAKILKENTLSSDLQDADVRVISCIIQRDEGRVPMRHSFHWSAEKMYYEEEPLLRHLEPPLSTFLELEKLKGYRNLQYTSSRDRQWHLYTTLDSKAVIRRMFLRTLLRQPSTINGFASSQVLDTEISRAQPSLSFTSISILRSLMAALEELELHVHNTTTRSDHSHMYICILREQQLHDLLPPSRIMALDSCQDETTICLILKEMALKIHELVGVRMHRLAVCEWEVKLWLNSDGLAAWRIVVTNVTGHTCTVHIYREVENINSHEMVYHSITPANGPLHGVPLNAQYSPLGFIDQKRLVARKNNTTYCYDFPLAFETALRRSWASYASVDARMNDNKDLIKFTELVFAEKFGAWGTPLVPASRSSGLNDIGMIAWLMEMSTPEFPDGRKIIVVANDVTFKVGSFGPREDAFFHAVTNLACDKKLPLIYLAANSGARIGAAEEVKSCFKVGWSDESSPERGFHYIYLTPEDYQRIGSSVIAHERKLENGEIRWVIDTIVGKADDLGVENLSGSGAIAGAYSKAYNEIFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSTLNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGISAILRWLSYVPPYIGGPLPILRSLDPPERLVEYFPENSCDPRAAICGSNGNNDKWLGGIFDKDSFIETLEGWAKTVVTGRAKLGGIPVGVIAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMTGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGMIEIKFRTKDLIECMGRLDHEIISLKAKLQDIKAGIVSGDAEALRKSIMTREKKLLPVYTQIATQFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLHRRVSEGSLVRTVRNAAGEQLSNTSAIELLKKWFLASEQAGAVSAVWEDDDAFFSWRDDSRNYEKYLEELRFQKVFKQLMELGESPSDLQVLPQCLSAVLSKMDSSSRAHLVEDIKKVLD >Ma04_p34460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33637042:33652730:-1 gene:Ma04_g34460 transcript:Ma04_t34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDQEGNSKGFCFVRFTTKEAAFRAYKENDGVMVHGKSIRVALSSDQDSLFFGNLHKDWSLEEFDKLVRQAFKDVLSVNLAMYPSAEDFAAGKRRLNRGFAFVQFSSHAAAARAYRIGSKADFLLAGKWHPVIDWAEKEPEIDPEELSKIKAAFIGNLPNDADEEYLKKLFDPLGKVERVALSRKGHFPVGFVHFAKRADLDNAIKEMDGKIVQGPRRGPKFKIQVAVARPVEMGKRPRDEPKNKPLPRSRDQSDSSYDGHISDSLDHKSKAPRLADQVPDTIDPYEAAVITLPAVVKEHLLQVLRVGIATRYDHQAEKFGQRGSTSYVPQKTRKMFSLGARLCSEGIDLPKESELLSLGAQLCSEEIDHSASSRNRLSPALFPSSSSSSLYDRPLPSRSSVRKLEDITPSYRVPASSMRYGPGIGSSSHLSPKEHPVERRQMKFDPFTGEPYKYDPFTGEPIKPEPHGRRSESFF >Ma04_p34460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33637042:33652730:-1 gene:Ma04_g34460 transcript:Ma04_t34460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDQEGNSKGFCFVRFTTKEAAFRAYKENDGVMVHGKSIRVALSSDQDSLFFGNLHKDWSLEEFDKLVRQAFKDVLSVNLAMYPSAEDFAAGKRRLNRGFAFVQFSSHAAAARAYRIGSKADFLLAGKWHPVIDWAEKEPEIDPEELSKIKAAFIGNLPNDADEEYLKKLFDPLGKVERVALSRKGHFPVGFVHFAKRADLDNAIKEMDGKIVQGPRRGPKFKIQVAVARPVEMGKRPRDEPKNKPLPRSRDQSDSSYDGHISDSLDHKSKAPRLADQVPDTIDPYEAAVITLPAVVKEHLLQVLRVGIATRYDLNLRCITSLRELSESAAIAVLDQFMLSGADRQDKGAYFASLVSKHQAEKFGQRGSTSYVPQKTRKMFSLGARLCSEGIDLPKESELLSLGAQLCSEEIDHSASRNRLSPALFPSSSSSSLYDRPLPSRSSVRKLEDITPSYRVPASSMRYGPGIGSSSHLSPKEHPVERRQMKFDPFTGEPYKYDPFTGEPIKPEPHGRRSESFF >Ma04_p34460.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33637042:33652730:-1 gene:Ma04_g34460 transcript:Ma04_t34460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDQEGNSKGFCFVRFTTKEAAFRAYKENDGVMVHGKSIRVALSSDQDSLFFGNLHKDWSLEEFDKLVRQAFKDVLSVNLAMYPSAEDFAAGKRRLNRGFAFVQFSSHAAAARAYRIGSKADFLLAGKWHPVIDWAEKEPEIDPEELSKIKAAFIGNLPNDADEEYLKKLFDPLGKVERVALSRKGHFPVGFVHFAKRADLDNAIKEMDGKIVQGPRRGPKFKIQVAVARPVEMGKRPRDEPKNKPLPRSRDQSDSSYDGHISDSLDHKSKAPRLADQVPDTIDPYEAAVITLPAVVKEHLLQVLRVGIATRYDLNLRCITSLRELSESAAIAVLDQFMLSGADRQDKGAYFASLVSKAEKFGQRGSTSYVPQKTRKMFSLGARLCSEGIDLPKESELLSLGAQLCSEEIDHSASSRNRLSPALFPSSSSSSLYDRPLPSRSSVRKLEDITPSYRVPASSMRYGPGIGSSSHLSPKEHPVERRQMKFDPFTGEPYKYDPFTGEPIKPEPHGRRSESFF >Ma04_p34460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33637042:33652730:-1 gene:Ma04_g34460 transcript:Ma04_t34460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDQEGNSKGFCFVRFTTKEAAFRAYKENDGVMVHGKSIRVALSSDQDSLFFGNLHKDWSLEEFDKLVRQAFKDVLSVNLAMYPSAEDFAAGKRRLNRGFAFVQFSSHAIKAAFIGNLPNDADEEYLKKLFDPLGKVERVALSRKGHFPVGFVHFAKRADLDNAIKEMDGKIVQGPRRGPKFKIQVAVARPVEMGKRPRDEPKNKPLPRSRDQSDSSYDGHISDSLDHKSKAPRLADQVPDTIDPYEAAVITLPAVVKEHLLQVLRVGIATRYDLNLRCITSLRELSESAAIAVLDQFMLSGADRQDKGAYFASLVSKHQAEKFGQRGSTSYVPQKTRKMFSLGARLCSEGIDLPKESELLSLGAQLCSEEIDHSASSRNRLSPALFPSSSSSSLYDRPLPSRSSVRKLEDITPSYRVPASSMRYGPGIGSSSHLSPKEHPVERRQMKFDPFTGEPYKYDPFTGEPIKPEPHGRRSESFF >Ma04_p34460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33637042:33652730:-1 gene:Ma04_g34460 transcript:Ma04_t34460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDQEGNSKGFCFVRFTTKEAAFRAYKENDGVMVHGKSIRVALSSDQDSLFFGNLHKDWSLEEFDKLVRQAFKDVLSVNLAMYPSAEDFAAGKRRLNRGFAFVQFSSHAAAARAYRIGSKADFLLAGKWHPVIDWAEKEPEIDPEELSKIKAAFIGNLPNDADEEYLKKLFDPLGKVERVALSRKGHFPVGFVHFAKRADLDNAIKEMDGKIVQGPRRGPKFKIQVAVARPVEMGKRPRDEPKNKPLPRSRDQSDSSYDGHISDSLDHKSKAPRLADQVPDTIDPYEAAVITLPAVVKEHLLQVLRVGIATRYDLNLRCITSLRELSESAAIAVLDQFMLSGADRQDKGAYFASLVSKHQAEKFGQRGSTSYVPQKTRKMFSLGARLCSEGIDLPKESELLSLGAQLCSEEIDHSASSRNRLSPALFPSSSSSSLYDRPLPSRSSVRKLEDITPSYRVPASSMRYGPGIGSSSHLSPKEHPVERRQMKFDPFTGEPYKYDPFTGEPIKPEPHGRRSESFF >Ma06_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9034400:9035539:-1 gene:Ma06_g13180 transcript:Ma06_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQPRPTVPKLPDPIRLCDRMWLIGGAAERNPQSPRGWRNRDPDGVGLGIAVALEKAGGDSPRWLRVTAPIAIRSPRASGPQVAGPGCSGELHRKRPASCRLPPPAAEHFGVADFLSSCFLCRKNLHGKDIFMYREKAFCSSECRYRQITSDEYQEKRGAGAPKPSEEIATSPYSGDQFFFTCIVVS >Ma06_p25760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26258672:26269062:1 gene:Ma06_g25760 transcript:Ma06_t25760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSHVEPIHLYSWWGSHISPNNSKWIQENLKGMNDKVKAMIKLIEEDGDSFARRAEMYYKKRPELMNLVEEFYWGYRALAERYEHSTRALRHAHQTMAEAFPNQIPSSIPDESPYGLSGNEVKPHSPEMLLPVRSVIQSDQFDSDAKGSYRSHSEESDQFSSKRGLKQYNEMLATGKGEAHANSSERKVKGVKPLEEESKNFENKGHRSDQEANVKQDANKVIKNLQLDISQLSPDIHVLKDRIMEESKCANNAENEVQSLKGTLSKLNSEKDAALLQYQVSLERISSLELLLSNTQHELKKNSDGLVKEAKKLKHAEELNQSLQLGLDTLENKAKLQEHEINQKQEELEKLQTTLQDKYQQFLEAEMALVSSEKKYIKSQEEAKLLGQEFQKGIEKLRNMEQRNMGLEEQICKLKDEINSLNEQNLHSTLMINGLQDDILLLKEKKKELGDEIRLLLGENKVISQELYYLKEEKNDFEWRYEDLMEKMQAVIIFSESLKAAIKDLQNGNCELKEVCKKYEAERELLVENLKDMDKVSEKNIVLERFLSDANVELEALREKVVALEKSQESLKGEISIYVNERTSVASQFKILSENLQVLSAKNTFLENSLSDASREVEGLRSKVKKLEELCQFLDDQNSGLLAEKYALVSQVKSVTTNLENVEHRFEELMDEYLSFSRERDLMINQVKELGDILKIEKQQRDTITQSYKHLLGTSENQISLLQEENQHKEKELQAEQHNLIRELMENFILGKCLSDLKERNLVLSLEGQKYLKACRNAETLVSKLEQEKLIYMRNIMSLTRHSEKLSDRIHLLYKALNLHKEFISVEEIQDEVCLDIILSELERLLNSASEAESNYQQSQLERSVLVTLMRNTGLDVINLRLQTYSLERELEMKNEELFVLEHEKHELLERNEQVMRYMEASNQREEVLKTEIKVLHMQLADMQEDNRTARCELVKLLDEKMSLSQEFYNLRQQYNILGEEHNEVLVEAMQLDHLYSFFKSLHAERIMELKSLGCDLDSLHVIKNDLSSEISRLNEKRKVLEVEKMHFSDSITYLEEELRNHLLISEFDLNTVTILFEELDLQVETKKNELIEKEKLLSESNKKVKSTQEKNMELNRLLEALQLNNIETKLTQKEMQKKVSNLSQVVTANYEEIRFLGEENKIKQRDIDEMHRSVEVLVSREEQLTSELQKRKSEIVQCEGELTAKLNDIHFLTVYAALQDEKVHEQIVEGEISAMVRKEILAAELSLSKKLMEELKNKLHDLEGENRGLKANLDIYLFMLKSLWDGVASIEEQIMSISKLQLLIKHAKEDMSLMSHQYCDSNQPNEKPMGTKAAGVLLMEKLIDKVIVLQKVIIDITNLLEPERLDSGASSEAARKEVEILKTKALPVLIHDAQSIDKLDLHQRSLESKQEWNKRVLRRLDSDAQRLSDLKRNIGELNKRMSSQKEKLPASYGHDIIKEQLKEAEGSMLELIDDNSRLKMMAKDCSSHDDRTIGPEDKCDAERRQISEQVKLRSEKVGRLELKLQKIQHVLMRIEEEVHENRQGKTARRNRVALRDYLYGRRDNYMHRNVY >Ma06_p25760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26258672:26268842:1 gene:Ma06_g25760 transcript:Ma06_t25760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSHVEPIHLYSWWGSHISPNNSKWIQENLKGMNDKVKAMIKLIEEDGDSFARRAEMYYKKRPELMNLVEEFYWGYRALAERYEHSTRALRHAHQTMAEAFPNQIPSSIPDESPYGLSGNEVKPHSPEMLLPVRSVIQSDQFDSDAKGSYRSHSEESDQFSSKRGLKQYNEMLATGKGEAHANSSERKVKGVKPLEEESKNFENKGHRSDQEANVKQDANKVIKNLQLDISQLSPDIHVLKDRIMEESKCANNAENEVQSLKGTLSKLNSEKDAALLQYQVSLERISSLELLLSNTQHELKKNSDGLVKEAKKLKHAEELNQSLQLGLDTLENKAKLQEHEINQKQEELEKLQTTLQDKYQQFLEAEMALVSSEKKYIKSQEEAKLLGQEFQKGIEKLRNMEQRNMGLEEQICKLKDEINSLNEQNLHSTLMINGLQDDILLLKEKKKELGDEIRLLLGENKVISQELYYLKEEKNDFEWRYEDLMEKMQAVIIFSESLKAAIKDLQNGNCELKEVCKKYEAERELLVENLKDMDKVSEKNIVLERFLSDANVELEALREKVVALEKSQESLKGEISIYVNERTSVASQFKILSENLQVLSAKNTFLENSLSDASREVEGLRSKVKKLEELCQFLDDQNSGLLAEKYALVSQVKSVTTNLENVEHRFEELMDEYLSFSRERDLMINQVKELGDILKIEKQQRDTITQSYKHLLGTSENQISLLQEENQHKEKELQAEQHNLIRELMENFILGKCLSDLKERNLVLSLEGQKYLKACRNAETLVSKLEQEKLIYMRNIMSLTRHSEKLSDRIHLLYKALNLHKEFISVEEIQDEVCLDIILSELERLLNSASEAESNYQQSQLERSVLVTLMRNTGLDVINLRLQTYSLERELEMKNEELFVLEHEKHELLERNEQVMRYMEASNQREEVLKTEIKVLHMQLADMQEDNRTARCELVKLLDEKMSLSQEFYNLRQQYNILGEEHNEVLVEAMQLDHLYSFFKSLHAERIMELKSLGCDLDSLHVIKNDLSSEISRLNEKRKVLEVEKMHFSDSITYLEEELRNHLLISEFDLNTVTILFEELDLQVETKKNELIEKEKLLSESNKKVKSTQEKNMELNRLLEALQLNNIETKLTQKEMQKKVSNLSQVVTANYEEIRFLGEENKIKQRDIDEMHRSVEVLVSREEQLTSELQKRKSEIVQCEGELTAKLNDIHFLTVYAALQDEKVHEQIVEGEISAMVRKEILAAELSLSKKLMEELKNKLHDLEGENRGLKANLDIYLFMLKSLWDGVASIEEQIMSISKLQLLIKHAKEDMSLMSHQYCDSNQPNEKPMGTKAAGVLLMEKLIDKVIVLQKVIIDITNLLEPERLDSGASSEAARKEVEILKTKALPVLIHDAQSIDKLDLHQRSLESKQEWNKRVLRRLDSDAQRLSDLKRNIGELNKRMSSQKEKLPASYGHDIIKEQLKEAEGSMLELIDDNSRLKMMAKDCSSHDDRTIGPEDKCDAERRQISEQVKLRSEKVGRLELKLQKIQHVLMRIEEEVHENRQGKTARRNRVALRDYLYGRRDNYMHRNVSLLCGCIRISGS >Ma06_p25760.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26258672:26268842:1 gene:Ma06_g25760 transcript:Ma06_t25760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKVKAMIKLIEEDGDSFARRAEMYYKKRPELMNLVEEFYWGYRALAERYEHSTRALRHAHQTMAEAFPNQIPSSIPDESPYGLSGNEVKPHSPEMLLPVRSVIQSDQFDSDAKGSYRSHSEESDQFSSKRGLKQYNEMLATGKGEAHANSSERKVKGVKPLEEESKNFENKGHRSDQEANVKQDANKVIKNLQLDISQLSPDIHVLKDRIMEESKCANNAENEVQSLKGTLSKLNSEKDAALLQYQVSLERISSLELLLSNTQHELKKNSDGLVKEAKKLKHAEELNQSLQLGLDTLENKAKLQEHEINQKQEELEKLQTTLQDKYQQFLEAEMALVSSEKKYIKSQEEAKLLGQEFQKGIEKLRNMEQRNMGLEEQICKLKDEINSLNEQNLHSTLMINGLQDDILLLKEKKKELGDEIRLLLGENKVISQELYYLKEEKNDFEWRYEDLMEKMQAVIIFSESLKAAIKDLQNGNCELKEVCKKYEAERELLVENLKDMDKVSEKNIVLERFLSDANVELEALREKVVALEKSQESLKGEISIYVNERTSVASQFKILSENLQVLSAKNTFLENSLSDASREVEGLRSKVKKLEELCQFLDDQNSGLLAEKYALVSQVKSVTTNLENVEHRFEELMDEYLSFSRERDLMINQVKELGDILKIEKQQRDTITQSYKHLLGTSENQISLLQEENQHKEKELQAEQHNLIRELMENFILGKCLSDLKERNLVLSLEGQKYLKACRNAETLVSKLEQEKLIYMRNIMSLTRHSEKLSDRIHLLYKALNLHKEFISVEEIQDEVCLDIILSELERLLNSASEAESNYQQSQLERSVLVTLMRNTGLDVINLRLQTYSLERELEMKNEELFVLEHEKHELLERNEQVMRYMEASNQREEVLKTEIKVLHMQLADMQEDNRTARCELVKLLDEKMSLSQEFYNLRQQYNILGEEHNEVLVEAMQLDHLYSFFKSLHAERIMELKSLGCDLDSLHVIKNDLSSEISRLNEKRKVLEVEKMHFSDSITYLEEELRNHLLISEFDLNTVTILFEELDLQVETKKNELIEKEKLLSESNKKVKSTQEKNMELNRLLEALQLNNIETKLTQKEMQKKVSNLSQVVTANYEEIRFLGEENKIKQRDIDEMHRSVEVLVSREEQLTSELQKRKSEIVQCEGELTAKLNDIHFLTVYAALQDEKVHEQIVEGEISAMVRKEILAAELSLSKKLMEELKNKLHDLEGENRGLKANLDIYLFMLKSLWDGVASIEEQIMSISKLQLLIKHAKEDMSLMSHQYCDSNQPNEKPMGTKAAGVLLMEKLIDKVIVLQKVIIDITNLLEPERLDSGASSEAARKEVEILKTKALPVLIHDAQSIDKLDLHQRSLESKQEWNKRVLRRLDSDAQRLSDLKRNIGELNKRMSSQKEKLPASYGHDIIKEQLKEAEGSMLELIDDNSRLKMMAKDCSSHDDRTIGPEDKCDAERRQISEQVKLRSEKVGRLELKLQKIQHVLMRIEEEVHENRQGKTARRNRVALRDYLYGRRDNYMHRNVSLLCGCIRISGS >Ma06_p25760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26258672:26268842:1 gene:Ma06_g25760 transcript:Ma06_t25760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSHVEPIHLYSWWGSHISPNNSKWIQENLKGMNDKVKAMIKLIEEDGDSFARRAEMYYKKRPELMNLVEEFYWGYRALAERYEHSTRALRHAHQTMAEAFPNQIPSSIPDESPYGLSGNEVKPHSPEMLLPVRSVIQSDQFDSDAKGSYRSHSEESDQFSSKRGLKQYNEMLATGKGEAHANSSERKVKGVKPLEEESKNFENKGHRSDQEANVKQDANKVIKNLQLDISQLSPDIHVLKDRIMEESKCANNAENEVQSLKGTLSKLNSEKDAALLQYQVSLERISSLELLLSNTQHELKKNSDGLVKEAKKLKHAEELNQSLQLGLDTLENKAKLQEHEINQKQEELEKLQTTLQDKYQQFLEAEMALVSSEKKYIKSQEEAKLLGQEFQKGIEKLRNMEQRNMGLEEQICKLKDEINSLNEQNLHSTLMINGLQDDILLLKEKKKELGDEIRLLLGENKVISQELYYLKEEKNDFEWRYEDLMEKMQAVIIFSESLKAAIKDLQNGNCELKEVCKKYEAERELLVENLKDMDKVSEKNIVLERFLSDANVELEALREKVVALEKSQESLKGEISIYVNERTSVASQFKILSENLQVLSAKNTFLENSLSDASREVEGLRSKVKKLEELCQFLDDQNSGLLAEKYALVSQVKSVTTNLENVEHRFEELMDEYLSFSRERDLMINQVKELGDILKIEKQQRDTITQSYKHLLGTSENQISLLQEENQHKEKELQAEQHNLIRELMENFILGKCLSDLKERNLVLSLEGQKYLKACRNAETLVSKLEQEKLIYMRNIMSLTRHSEKLSDRIHLLYKALNLHKEFISVEEIQDEVCLDIILSELERLLNSASEAESNYQQSQLERSVLVTLMRNTGLDVINLRLQTYSLERELEMKNEELFVLEHEKHELLERNEQVMRYMEASNQREEVLKTEIKVLHMQLADMQEDNRTARCELVKLLDEKMSLSQEFYNLRQQYNILGEEHNEVLVEAMQLDHLYSFFKSLHAERIMELKSLGCDLDSLHVIKNDLSSEISRLNEKRKVLEVEKMHFSDSITYLEEELRNHLLISEFDLNTVTILFEELDLQVETKKNELIEKEKLLSESNKKVKSTQEKNMELNRLLEALQLNNIETKLTQKEMQKKVSNLSQVVTANYEEIRFLGEENKIKQRDIDEMHRSVEVLVSREEQLTSELQKRKSEIVQCEGELTAKLNDIHFLTVYAALQDEKVHEQIVEGEISAMVRKEILAAELSLSKKLMEELKNKLHDLEGENRGLKANLDIYLFMLKSLWDGVASIEEQIMSISKLQLLIKHAKEDMSLMSHQYCDSNQPNEKPMGTKAAGVLLMEKLIDKVIVLQKVIIDITNLLEPERLDSGASSEAARKEVEILKTKALPVLIHDAQSIDKLDLHQRSLESKQEWNKRVLRRLDSDAQRLSDLKRNIGELNKRMSSQKEKLPASYGHDIIKEQLKEAEGSMLELIDDNSRLKMMAKDCSSHDDRTIGPEDKCDAERRQISEQVKLRSEKVGRLELKLQKIQHVLMRIEEEVHENRQGKTARRNRVALRDYLYGRRDNYMHRNVSLLCGCIRISGS >Ma06_p25760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26258672:26268842:1 gene:Ma06_g25760 transcript:Ma06_t25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSHVEPIHLYSWWGSHISPNNSKWIQENLKGMNDKVKAMIKLIEEDGDSFARRAEMYYKKRPELMNLVEEFYWGYRALAERYEHSTRALRHAHQTMAEAFPNQIPSSIPDESPYGLSGNEVKPHSPEMLLPVRSVIQSDQFDSDAKGSYRSHSEESDQFSSKRGLKQYNEMLATGKGEAHANSSERKVKGVKPLEEESKNFENKGHRSDQEANVKQDANKVIKNLQLDISQLSPDIHVLKDRIMEESKCANNAENEVQSLKGTLSKLNSEKDAALLQYQVSLERISSLELLLSNTQHELKKNSDGLVKEAKKLKHAEELNQSLQLGLDTLENKAKLQEHEINQKQEELEKLQTTLQDKYQQFLEAEMALVSSEKKYIKSQEEAKLLGQEFQKGIEKLRNMEQRNMGLEEQICKLKDEINSLNEQNLHSTLMINGLQDDILLLKEKKKELGDEIRLLLGENKVISQELYYLKEEKNDFEWRYEDLMEKMQAVIIFSESLKAAIKDLQNGNCELKEVCKKYEAERELLVENLKDMDKVSEKNIVLERFLSDANVELEALREKVVALEKSQESLKGEISIYVNERTSVASQFKILSENLQVLSAKNTFLENSLSDASREVEGLRSKVKKLEELCQFLDDQNSGLLAEKYALVSQVKSVTTNLENVEHRFEELMDEYLSFSRERDLMINQVKELGDILKIEKQQRDTITQSYKHLLGTSENQISLLQEENQHKEKELQAEQHNLIRELMENFILGKCLSDLKERNLVLSLEGQKYLKACRNAETLVSKLEQEKLIYMRNIMSLTRHSEKLSDRIHLLYKALNLHKEFISVEEIQDEVCLDIILSELERLLNSASEAESNYQQSQLERSVLVTLMRNTGLDVINLRLQTYSLERELEMKNEELFVLEHEKHELLERNEQVMRYMEASNQREEVLKTEIKVLHMQLADMQEDNRTARCELVKLLDEKMSLSQEFYNLRQQYNILGEEHNEVLVEAMQLDHLYSFFKSLHAERIMELKSLGCDLDSLHVIKNDLSSEISRLNEKRKVLEVEKMHFSDSITYLEEELRNHLLISEFDLNTVTILFEELDLQVETKKNELIEKEKLLSESNKKVKSTQEKNMELNRLLEALQLNNIETKLTQKEMQKKVSNLSQVVTANYEEIRFLGEENKIKQRDIDEMHRSVEVLVSREEQLTSELQKRKSEIVQCEGELTAKLNDIHFLTVYAALQDEKVHEQIVEGEISAMVRKEILAAELSLSKKLMEELKNKLHDLEGENRGLKANLDIYLFMLKSLWDGVASIEEQIMSISKLQLLIKHAKEDMSLMSHQYCDSNQPNEKPMGTKAAGVLLMEKLIDKVIVLQKVIIDITNLLEPERLDSGASSEAARKEVEILKTKALPVLIHDAQSIDKLDLHQRSLESKQEWNKRVLRRLDSDAQRLSDLKRNIGELNKRMSSQKEKLPASYGHDIIKEQLKEAEGSMLELIDDNSRLKMMAKDCSSHDDRTIGPEDKCDAERRQISEQVKLRSEKVGRLELKLQKIQHVLMRIEEEVHENRQGKTARRNRVALRDYLYGRRDNYMHRNVSLLCGCIRISGS >Ma11_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6478834:6482263:-1 gene:Ma11_g08120 transcript:Ma11_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAEKKFLERDLNGAKKFALKAQNLFPALEGICQMIATLDVYLASEEIAVGEKDWYAILSLSVSANEDTVKKQYRKLALQLHPDKNRSVGAEGAFKLISEAWSVLSDKSRRILYDRKRSAKGFSQKTSQPNKNNNVPSNANGFYNFVNTAASKAQTVKKSTYVTPATVHPSSEPPVPETFWTCCNRCKMQYEYLRWYLNHTLLCPNCYEPYLAKETQSPANGCNSSVPCSASQQRHLNSDHNTTSKNAHGLGRSNSTFPGMGTSRFQNGANLGSCKNFQWGPFSRTAGVASVIASSAATAQAANLDHQTYDKVRREHEETKAAARRDDTIHRKNSAPKRNANACGILNAGPNVSLPTKRGRGIGDNSTEQLGASETNRMSGVLGDFKFRMGVRHDNLGREFSHMDIRTMLIEMSKLAIDKKIAEWKSAATVKIDAKENAKKKQKLGETDKEEVNDVVHGDATNQDRSVESVTNTKQFTIEKNSSDVQSADSDNENNEPVSIDVPDPDFHDFDHDRSERSFGSDQIWATYDDEDGMPRYYALVQQVISLKPFKVRMSFLTSRSNSEFGPLNWVASGFAKTCGDFRIGRYEVNDTVNIFSHKVKWEKGPRGVIKIIPRKGETWALYRNWSPKWNEHTPDDIIYKYDMVEVLEDYSEEEGVSVIALAKVSGFRTVFRRHMDPKESKRIPKEEMFRFSHQVPSYLLTGEEVENAPKGFFELDPAATPLELLQKICESKKEVALEAVEQAVN >Ma11_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20400018:20410810:1 gene:Ma11_g14700 transcript:Ma11_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRFGAEVAAGEEAATGYWMRWQTLVCALIVVAPAVAAVVVAARAPAPARPLRAVDLWAPCWAGMHPAWLLAYRGFVFLAMAWLLFQMILFRGFSAFYFYTQWTFALVIVYFAIATIISAHGCWLYSKRSIMPDQEVNRFLNGGFEQNSPMTLPLRTNKNMNVIRLQSYHEQEADEKKAGFWGHAMQLVYQTSAGAVVLTDIVFWVLLVPYLSSINFRLNAIMGCMHSLNAVFLLLDTFLNNLPFPLFRMAYFAFWSCVYVTFQWILHARGFTWWPYPFLDLATHWAPLWYFLMALAHIPCYGLYWLIVRAKNSFCPKFLPNAFIRIY >Ma09_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:656172:666219:1 gene:Ma09_g00960 transcript:Ma09_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVQPQPPNGMANNGGAAGVNQFPSSSLYVGDLDVNVTDSQLYDLFSQIGQVVSVRVCRDIGTRRSLGYAYVNYNSPVDAARALDALNFTPLNNKPIRIMYSNRDPSTRRSGAANIFIKNLDKTIDNKALYDTFSVFGNILSCKVATDPSCQSKGYGFVQFDQEEAAQNAINKLNGMLINDKPVYVGPFLRKQERENSADKAKFNNVFVKNLSESTTKEDLERIFGKYGKITSAVVMREEDGKSKCFGFVNFENTDAAAQAVQELNGQKFDEKEWYVGKAQKKSERERELKGRFDQSIKEAADKYQGLNLYLKNLDDDIGDDSLKELFSEYGTITSCKIMRDPSGASKGSGFVAFSTPEEAARALADMNGKMINGKPLYVALAQSKEDRRARLQAHFSQMRPVAMTPAIGPRVPMYPPATPGLGQQIFYGQPPHALIPPQPGFGFPQQHVPGMRPVGAPMPNFYLPLVQQGQQTRPGGRRASAGPVQQTHQPMPLIQQQMLPRRVFRYPPGRNIPEVPMPGAPGGMLSPYDMGSLPIREAAISQPIPTGTLASALANANPEQQRTMLGESLYPLVDQLEHDHSAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNASQQHQHVNAPADQLAALSLNDGLQVE >Ma02_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18281322:18283092:-1 gene:Ma02_g07710 transcript:Ma02_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNILEGSMCSFAGVECWHPDENKVLNLRSPIWDFKFDVSCNQLSGPIPSLHAPVKASNFLNNRHFYGAPSNYCTVNSKKGNTGVIIGSATGGIIMIIVVVGFVLYFCMRKMPYKKKETDVEENKMMVSLLYLCFYFSMLDLSTNDFSKENMIGTGRTRTMYKVTLADGTSLAIKRHQNFVPLLGYCVAKKERLLVYKYIPNGILYDQLHRSGAEDKNLEWPTRLKISIGAAKGLAWLHNIRNPHILHHNISSKCILLAKDYEPKISDFGLARLMNPVDTHLSTFVNGEFADLGYVAPEYPRTLVATPKGDVYSFGVVLELITGEKPTQVSNASESFKGSLVEWVTFLSNNSLLQDAIDKSLIGKDYDTELLRFMMFEVYRLLRAIGKKYHFTADDDLLLLPPSINDNLDELIVAK >Ma09_p29860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40208965:40212237:-1 gene:Ma09_g29860 transcript:Ma09_t29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQPPSMAEVARRLGRAFLSASKPSHSWSPAVEQVLRRGLPAASLTASLVAAVIDPHLLHHHDLAAGLFHWAAQQPNFSHTPETYHSLLKSLSLSRHPHLIQSLLKTAKNHRVSLLFSSYQLAISSHLLSGKSIEAAQLIDPATENGVEEFPSSLYNSLLAVLSSDGFLDVARKVFDRMLTRGIMLNDVGFGVFIGKVCDLEGLDGVLGVLDRIKKLDCQFNGSVIAALVVNRLCRAGRIEDAWCALEQLRNRCCKPDFIAYRLVSEGYKLAGRVEEAGRILKQKRKFGVAPRAKDYREFILSSISDKRIQEAKELGEAIVDGQFPIDDDVLDALIGSVSAVEPDSAILFFKYMIGKDRFPSLSMLSKLSRNLCRNEKSNVMWDVYRVLMDKGYFNGVEQYNVMVSFLCKAGRVREAYEVLREMKKKGFGPNIYSYNCLMEACCREDLLRPAKKLWDEMFANGCSADLHTYNILIRKFSEEGEAEEARQLYCHMLDKGVIPDCVTYTSLVKVLYREEKIEEAIQIFKKSMDQDIALGSSILSLLVLFLCKDGKYMVASGLMQSSPSEVASSDSHVILLKGLMDAGLVEMAVKHIDWIKTDSHVKFQTVLTELMASLSTAPNLEPVTRLLRAMHARGFVSDDGPWMSLL >Ma11_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18786374:18791403:-1 gene:Ma11_g13710 transcript:Ma11_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGAVGAWALDAERAEAEEQEQPAGEPPPASPLLASEPAQGFPTLKEAASSSKQKKKKKKPVPLAQFVAGGSRPSFESKGLTPDEMRGLPTGPRERSQEELGYGRLGGGFRSYGDGGGPRRSLPGRRVDEGDGSWGGAGGGRRSYGGFDEEPRKGPPDRYSDFDQPSRADEVDNWGAGKKPFAPPLADAGRRDSYGFSGSGSSSRADEVDSWSTAKKPLPSENPSFGSGSGDSRASSESYGWGRSREGSIPHDQGRPKLVLDPPKRNVGTPSEPLRSRPSPFGAARPREEVLAQKGLDWRQMDSDNEMKKTSRPTSSHSSRPSSAHSSRPVSPGLQSAAVAAEVAVKPRPKVNPFGNAKPREVLLQEKGIDWRKIDLEVEYRSVERSGTNEEKLLKEEINYLKALTRETEGDLNDKFTKLSAEELSKLHEEIARKEKDLELLVHQFDDKVRFGQRTTANIRPGSGSGRSDASSSTRPPSQSGMSEGSRSIDFVDRPQSGGGTGDAWGNRMDDRRGFQGRRDKSFFESRNADRSSSRERW >Ma11_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23977303:23979185:1 gene:Ma11_g19010 transcript:Ma11_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSLLGLSPRAIASGPRDSTTESVGRLRLLDCDRERGAFSSAFALAGIRGAIALLLVSALARLADLFDFKTRRRRRRKGCGGGGGRRDGGTMRWVVRLSGMCSAAVVMVLLSPSLQTFPPAEAIRSSQYLRFPGGGYNHQLAAAFGDADSFGFRRAPSFNNAAECEPPSANGTSSCDPSLVHVAITLDEGYLRGSMAVVHSVLAHAACPESVFFHFLVSEAGLESLVRSTFPGLRFKAYYFDPDRVRGLISASVRQALEQPLNYARNYLADILERCVSRVIYLDSDLVVVDDIAKLWRKGLGSRAVGAPEYCHANFTKYFTDRFWSDRRLASAFAGRRPCYFNTGVMVLDLVRWRGAGYTRRIERWMEVQKRGAAPGGPGRIYDLGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNINGSCRDLHPGPVSLLHWSGSGKPWVRLDSNHPCPLDNLWAPYDLYGPAVG >Ma03_p30640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33198285:33199480:-1 gene:Ma03_g30640 transcript:Ma03_t30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAISLPPAGGHPSNVACGVAISSCGNVTSIAYPSWLVHDDSTSLHSHCGYRGFELICRNNTPSIHLPSSNYSVTNIDYETRTISLVDVDIVSVREECPRVHHNLTFDPDSILRYAPSDVNITFFFDCIDGPRDLHIPSLSSAVGNRSYVFTTDTMRDSYRHLPRTCCWLILQMTYLPDTEGCCRVDSI >Ma08_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16649369:16673762:1 gene:Ma08_g15970 transcript:Ma08_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPASPGGGGCGHESGGDQSPRSSVREQDRFLPIANISRIMKKALPANAKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKLYLHKYRELEGDNKVSAKGGDSSVKQDTASSHGGTQPGPISQGMGHQGTFTQGMSYLQPQ >Ma07_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2735672:2738849:1 gene:Ma07_g03550 transcript:Ma07_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRSRRQARRCLVGRNNRAPYSYPYVIGESPQDFIGSRSRLERRKGVAPRAQSPYHFPPSLRSLGLPYRPISSIMSSEKGQPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTGANSGATATPPRNDAGGFQQDDTYQYRRKSRKWFCCC >Ma07_p27720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33984373:33984899:-1 gene:Ma07_g27720 transcript:Ma07_t27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHTIESHRHGAEVFTGDALCRKKSIQLLQELELPRGLFPLEDIQEFGYNRAAGFIWLIQKKKHDHTFKKIKRAVSYAPEVTAFVEKRKMHKMTGVKTKELLLWLSVVEMRVEDPSTGKITFKTGTGLSDSFPVSAFELEE >Ma07_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26766186:26768063:-1 gene:Ma07_g18830 transcript:Ma07_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVESGWVVSLSLAAKCGELSADPSRLLSLAFVVVVCWLATGLLHWVSPGGPAWGRYWWSRRRPWGLGGAIPGPSGLPVVGSMGLMSGLAHRKLAAAADAIPGARRLMALSLGETRVVVTCDPDVARDILNSSDFAERPAKESAYGLMFHRAIGFAPYGAYWRNLRRIAATHLFSPKQIFAFGRHRAEIAAQMVRALDGLASEPVQVRRIVKQASLNHVMWFVFGKQYDLERETDELKELRSLVEEGYELLGKLNWSDHLPVLAGLDLQRVRSGCSGLVRRVDRFVGRIIEEHRVERGRDTKAAPGDFVDVLLSLQGSDRLSDSDMVAVLWEMIFRGTDTVAVLIEWVLARLVIHREVQTRVQAELDALVGRDRAVTASEATGALPYLQAVIKETLRVHPPGPLLSWARMSTSDASVGGGTVVPAGTTVMVNMWAIARDPTAWPDPLRFDPVRFLAPGGRAAEFPVMGSDLRLAPFGSGRRSCPGKGLAMATVELWVAALAHEFEWRTPSDADVDLSEVLRLSCEMAAPLTVTLRRRRRRGREMA >Ma11_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27484912:27485619:1 gene:Ma11_g24560 transcript:Ma11_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEGEGEASDRALGWQGRVEDEWRRIKEHAETYPYVWGSYILVYGSLSAYLAYRWRKLRRTEDRVRTLRERLRTLVEAEGSAAAAGTAGSAAPSMPNKSRGPS >Ma07_p06350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4576036:4583065:1 gene:Ma07_g06350 transcript:Ma07_t06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIAVIAQSIGIANLSSDVALALAPDVEYRLREIMQEAIKCMRHSKRTVLTAEDVNSALSLRNVEPIYGFASGDPLRFKRAVGHKDLFYIDDRDVDFKEVIDAPLPKAPLDTAVVAHWLAIEGVQPAIPENAPVEAIVAPSENKKSENSKEDGLLVDLKLPVKHVLSRELQLYFDKITELTVTRPESILCKEALVSLAVDSGIHPLVPYFSYFIADEVARSLNDLPILFALMRVVRSLLQNPHIHIEPYLHQLMPSIITCVVAKRLGSKITDNHWELRDFSANLAASVCKRYGHVYHNLQSRLTKTLINAFLDPSKALTQHYGAIQGLAALGTSVVRLLVLPNLEPYLQLLHPEMQLEKQKNEMKRKEAWRVYGALLRAAGKCLYDRLKLFPGLLSPPRHPGWRINGRVATSHSNKRMSSTNLSSQQPPFKKVAADGPTGAMQPIAMTANLQGAPDGFPTRSSGKGGSVAPKGSAVLAQAWKEDLDAGRLLASLFQLFGEGVFSFIQPVEMSFFI >Ma07_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4576036:4583063:1 gene:Ma07_g06350 transcript:Ma07_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIAVIAQSIGIANLSSDVALALAPDVEYRLREIMQEAIKCMRHSKRTVLTAEDVNSALSLRNVEPIYGFASGDPLRFKRAVGHKDLFYIDDRDVDFKEVIDAPLPKAPLDTAVVAHWLAIEGVQPAIPENAPVEAIVAPSENKKSENSKEDGLLVDLKLPVKHVLSRELQLYFDKITELTVTRPESILCKEALVSLAVDSGIHPLVPYFSYFIADEVARSLNDLPILFALMRVVRSLLQNPHIHIEPYLHQLMPSIITCVVAKRLGSKITDNHWELRDFSANLAASVCKRYGHVYHNLQSRLTKTLINAFLDPSKALTQHYGAIQGLAALGTSVVRLLVLPNLEPYLQLLHPEMQLEKQKNEMKRKEAWRVYGALLRAAGKCLYDRLKLFPGLLSPPRHPGWRINGRVATSHSNKRMSSTNLSSQQPPFKKVAADGPTGAMQPIAMTANLQGAPDGFPTRSSGTGVGQPSTSGQVPMKTTGGRQEKGGSVAPKGSAVLAQAWKEDLDAGRLLASLFQLFGEGVFSFI >Ma07_p06350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4576036:4583060:1 gene:Ma07_g06350 transcript:Ma07_t06350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIAVIAQSIGIANLSSDVALALAPDVEYRLREIMQEAIKCMRHSKRTVLTAEDVNSALSLRNVEPIYGFASGDPLRFKRAVGHKDLFYIDDRDVDFKEVIDAPLPKAPLDTAVVAHWLAIEGVQPAIPENAPVEAIVAPSENKKSENSKEDGLLVDLKLPVKHVLSRELQLYFDKITELTVTRPESILCKEALVSLAVDSGIHPLVPYFSYFIADEVARSLNDLPILFALMRVVRSLLQNPHIHIEPYLHQLMPSIITCVVAKRLGSKITDNHWELRDFSANLAASVCKRYGHVYHNLQSRLTKTLINAFLDPSKALTQHYGAIQGLAALGTSVVRLLVLPNLEPYLQLLHPEMQLEKQKNEMKRKEAWRVYGALLRAAGKCLYDRLKLFPGLLSPPRHPGWRINGRVATSHSNKRMSSTNLSSQQPPFKKVAADGPTGAMQPIAMTANLQGAPDGFPTRSSGTGVGQPSTSGQVPMKTTGGRQEKGGSVAPKGSAVLAQAWKEDLDAGRLLASLFQLFGEGVFSFIQPVEMSFFI >Ma03_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28243784:28248589:-1 gene:Ma03_g23770 transcript:Ma03_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRSVPPSAFRLPSPPGGKSIRRRTGVSAEIRETVEIEGERSPSPKISPPPGFKPPEPKRFSVRPDKFLDILGASLALPCRLGTGVFVLGYSVSLVSEDKIPPGEYALKIAGLTFKETSNLGAQARPEKPIEIYEFEGCPFCRKVREIVSILDLDILYYPCPRNSPNFRPKVLQMGGKQQFPYMVDPNTGVAMYESDDIIKYLVQKYGDGTTPLMLSLGLLTTLTEGFAMIGRMGKGSSYSPSKTPPMPLEIWAYEGSPFCKIVREVLVELELPHIFRSTARGSPKRQELYKRVGRFQVPYLEDPNTGVKMFESAEIIDYLRTTYAL >Ma10_p24100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32825402:32827629:1 gene:Ma10_g24100 transcript:Ma10_t24100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRTVLRRNSLLPLFETCRLRDLFFFSSSVDLAAAVGVTTSPDPHFMVEYLVNSCGFSPSEAAKFSKPLAHLRSTEKPDAVLNFMRSQGFDGAGIRKLISLKPNYLCANVEKKLAPKFQFLRDLGLSESDIVDAILKNHGILLFNVQRSIVPKLEMWESLLGSRELVLNHLKKTGWFFSSSVEKTLHPNLKFLRDECGIPEERVSVVLRSHPQLISRKPESLRALVARADELGMPRLSRMFVRTLDALLMVSKERFEAKVELMRSFGWSESEFSSAVRKAPTFLCISLDMMRRKMEFFINVVGYTPSFIASQPTILLYSLQKRVIPRFHVTEMLKSKGLWTGQCKFVCILIMSDTKFMEKFVLPHKENVPELLDIMRVAGACKRNDTFHLASEDEKGLN >Ma10_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32825402:32827628:1 gene:Ma10_g24100 transcript:Ma10_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRTVLRRNSLLPLFETCRLRDLFFFSSSVDLAAAVGVTTSPDPHFMVEYLVNSCGFSPSEAAKFSKPLAHLRSTEKPDAVLNFMRSQGFDGAGIRKLISLKPNYLCANVEKKLAPKFQFLRDLGLSESDIVDAILKNHGILLFNVQRSIVPKLEMWESLLGSRELVLNHLKKTGWFFSSSVEKTLHPNLKFLRDECGIPEERVSVVLRSHPQLISRKPESLRALVARADELGMPRLSRMFVRTLDALLMVSKERFEAKVELMRSFGWSESEFSSAVRKAPTFLCISLDMMRRKMEFFINVVGYTPSFIASQPTILLYSLQKRVIPRFHVTEMLKSKGLWTGQCKFVCILIMSDTKFMEKFVLPHKENVPELLDIMRVAGACKRNDTFHLASEDEKGLN >Ma04_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25715047:25715996:1 gene:Ma04_g23580 transcript:Ma04_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLLGRRLSPFSTRVRIALNLKEVAYEFVTVEIFGQKSEILLESNPVYKKIPVLIHHGKPICESMIILQYIDDFWARNPSILPSHPYDRAIARFWVTYLDNNLLITIWGMLMAAGKEAAKEAAGRAAEILQTLEEAFKQCSQGKDFFGGDAIGYLDIALGSFLGPLKAGEKLSNVEILDEKKVPLLVGWAERFSKQDAVKEVLADAEEYIEMIRSMNDGVPTV >Ma06_p35310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35217116:35218324:1 gene:Ma06_g35310 transcript:Ma06_t35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGRNRLHFLDYCPGKHHLPASNLHPHKGVSSPRGHWSAPTTPTFREFYDHEDYHHHHAKKSVLVKVKEKARKWRQMLLKKRHGQGKHNPTPPWGVSLDAGLEEEDEHPRHHGASVSESETAPYAPAVDSAPANHSSPQVKSTVTLLSTTDEESETMQKDEVRSEQPASDDHLGAVKDRPIPDTTVVPAAIDKAPMKDESMDQKPAGNSNKTLSETVTEMLTPAYAAVSEATQAIASKFQGSSPRYEMVAKQVWDKGVSMKEYLMQKLEPGEEDRALCEVITGAVSPRNVSHGCAEAGAVKTREEAVSSLTGKEESEGSPIPVSTNPHAGM >Ma09_p25320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36834798:36835230:1 gene:Ma09_g25320 transcript:Ma09_t25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAKSKHTVGKLRRGVGRLGLIIEIVMELVDLRATKGSWSFLLSNVKHWQSKKASSMLDKGLRINHMLDRLLDIERIHHDKTPHGRSNI >Ma04_p31490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31783010:31784893:-1 gene:Ma04_g31490 transcript:Ma04_t31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPGDEILSRVATGDGHGENTSYFDGWKAYDNDPFHPIHNPNGVIQMGLAENQLCLDLMRDWIRKNPQASICTKEGVSEFEAIANFQDYHGLPDFRKAIAKFMEKARGGRARFDPERIVMSGGATGAQETIAFCLANPGDAFLIPTPYYPAFDRDFRWRTGVQLLPIRCHSHDNFKITEAELAAAYRKARDSKIRVKGILITNPSNPLGTTMDRETLRTLVRFANEERIHLVCDEIFSGTVFDGPEYVSVAEILQEDPSTCDGDLIHIVYSLSKDLGVPGFRVGIIYSFNDAVVSCARRMSSFGLVSTQTQRLLASMLGDDDFTTDLLAESRRRLMHRHRTFTAGLEGVGIRCLQSNAGLFCWMSLKPLLKDATAEGEVELWRVIVNEVKLNISPGSSFHCTEPGWFRACFANMDEETMETALRRIRTFVRRANDAATAAKTKKRWDTSLRLSLPRRFEEMTVLTPRLMSPRSPLVQAAT >Ma11_p03370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2498815:2501679:1 gene:Ma11_g03370 transcript:Ma11_t03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQEGGSQFGGPSSETAGHRVGAFLQPPPLLAEAASPISSRRPPPEDAAADFDEMGPAVAGGGFPDEDAERGGAPGNRWPRQETLALLKIRSEMDAAFRDATIKGPLWEEVSRKLAELGYERSAKKCKEKFENVHKYYKRKKDGRAGRQDGKTYRFYSELEALHSTGRGGATTPAAIAARPLAAATSSPFSFTTGMAGPSGTRIQVSPISGGAPPPVTMPTSVVPEHGAQGVSSSAAAAAAAGLGFSSNSSSSSSSESDDADTEEAGGVGKGRKRKHRGSARYRRQMMAFFEGLMKQVMERQEAMQQRFLDAIDKREQDRMKREEAWRLQEMSRLSREQELLVQERSMAASRDSAVVSYLQKISGQSIPLAAAASIAPRPPQPSHTLPPPTLPQQAQPPQQQRDAQQSSATQMAPISSEAQEGQGSGSFEPTSSSRWPKAEVHALIDLRSSLESSYQDSGPKGPLWEEISTGMQRLGYNRSAKRCKEKWENINKYFKKVKEGNRKRPEDSKTCPYFHQLDALYRKKLLGSSGGGTSSSSVGVKPQQEPISQEQHQQRPATKTPGDSGNDNGNSNGGNAEAGEGRDGAQVQTSEGGHRPTFFEEAMKKKTS >Ma11_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2498815:2502108:1 gene:Ma11_g03370 transcript:Ma11_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQEGGSQFGGPSSETAGHRVGAFLQPPPLLAEAASPISSRRPPPEDAAADFDEMGPAVAGGGFPDEDAERGGAPGNRWPRQETLALLKIRSEMDAAFRDATIKGPLWEEVSRKLAELGYERSAKKCKEKFENVHKYYKRKKDGRAGRQDGKTYRFYSELEALHSTGRGGATTPAAIAARPLAAATSSPFSFTTGMAGPSGTRIQVSPISGGAPPPVTMPTSVVPEHGAQGVSSSAAAAAAAGLGFSSNSSSSSSSESDDADTEEAGGVGKGRKRKHRGSARYRRQMMAFFEGLMKQVMERQEAMQQRFLDAIDKREQDRMKREEAWRLQEMSRLSREQELLVQERSMAASRDSAVVSYLQKISGQSIPLAAAASIAPRPPQPSHTLPPPTLPQQAQPPQQQRDAQQSSATQMAPISSEAQEGQGSGSFEPTSSSRWPKAEVHALIDLRSSLESSYQDSGPKGPLWEEISTGMQRLGYNRSAKRCKEKWENINKYFKKVKEGNRKRPEDSKTCPYFHQLDALYRKKLLGSSGGGTSSSSVGVKPQQEPISQEQHQQRPATKTPGDSGNDNGNSNGGNAEAGEGRDGAQVQTSEGGHRPTFFEEAMKKPEDIVKEPMERRQRQPVMDDPDKVDEPDSNNLHEDEEDDDDNEDDEDSKMQYEIQFQRQSVSGGGGGGNASTTASAAATTAAGSFLAVVQ >Ma07_p27360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33786053:33788356:1 gene:Ma07_g27360 transcript:Ma07_t27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFELAKIIFTRVQKLEPENVVKIMGCIFLKEPSGLEMLQLAFGPDTTLLSKVIDAKIMLGILSAKPIASYLTWGSHAFSSPTSLHVQLPYDHHPPPHNIDLVPRAYAESIADESSLYGQPPPADQLDATNHIGNYHYPDAPLGGGVALRSSRRSHSLSDLPIKACHYFNKGYCRHGMNCRYSHAQSAPDGYYPHVLTANMTDYPNDDVPFTPKSLENLEMEITELLRSRKGTPVSTASLPLLYFDKYGKNLQADGYLTESQRHGKAGLNLTKLLSHLKKSIRLIERPHGQHSVVLAEDAPRYMDCRNERSDSGSTVSSSHQIYLTFPAESTFSEDDVSNYFKQYGQVRDVRIPCQDKRMFGFVSFVHPETVNMILTKRNPHYICGARVLVKPYREKSRVIDRMYSEKMKPWTNYPSRYVGMDHDVHSVTREGDSSSLLRNNLIEKEMMMEHLSGLNLAPKRLTQQHYLTHGMEDMRVAEVPSDFFLDHFSYPFDAMNNGSTSDDKARQTSNPFSDQESSVELPESPFASPPVGSSISAVI >Ma07_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27631640:27633197:1 gene:Ma07_g19650 transcript:Ma07_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDCMGLPPGFRFHPTDEEIITHYLSPKVINKSFSARAMGEVDLNKCEPWDLPTKARMGEKEWYFFCQRDRKYPTGMRTNRATEAGYWKATGKDKEIYKERVELVGMKKTLVFYRGRAPKGQKTNWVMHEFRLDGKFPFPNIPKSAKAEWVVCKVFHKKMGTKSSIPPPESQGANSLAEGFFDSATTLPPLMDPPYPKTNAIPTFSAMMGMEDGQVMNQLVISNPPQNSASHPHLLPQSSYYLHQQEAMLRALAAVNDASSSCPSQDTDHSTGRTTEISSMASARFGDFLHPSSGPVMDLDSIWDLK >Ma04_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26763858:26764695:1 gene:Ma04_g24870 transcript:Ma04_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQLNQEKTEGFMLLPSLSPNLFDGPLICAEEVSNTERELTDMSEEEVDLIYRMHRLVGDRWELIAGRIPGRTPEEIKRFWKMKNDPCFAEKGLKRKRAVQGTVAQVQNHK >Ma08_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2057812:2060091:-1 gene:Ma08_g02770 transcript:Ma08_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVFQGKDLKTPQMNIAQSSMIRTRLSFLKVVHSGCQNHPLFQGACHGEPQCHALLLGRYPLIIFEPPGFSFQIVNTNLDEFSPRARRRSALLTWQHIASLPPNLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPNARVRKNISLIRTYHGFKGDKQGALEFLKLIFRALCLCWDRQTQDLHVDWILFRGRSLVPASCEVVNDNIDSFYPSSHYPIFVEFMLPRNVRFVETS >Ma06_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3576701:3587573:1 gene:Ma06_g04820 transcript:Ma06_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTALPPGFGFHPTDVELVSHYLKRKTQGHNFDEIIPEVDIYKHEPWDLPAKCHVPTRDNTWHFFTLRERKYRAGSRSNRATEEGYWKSTGNDRNVKFQNRVIGTKKTLVYHEGRAPRGKRTDWIMHEYDLDEKEFASPGLKGTFVLCRVTKKNDWPLENEKTEPVVCKESSSRSSDMEDLDAWVAGLFDPNFGTNSNRLEHEAVADVSSLVPKQEPVDSHLDQSADGNIGYLLEDDACNILKSDSNNSFQKSNTGARDDVSTHGRSSRDANVKKENPTFGHTASTNSYKSIDTGIQIRQRRAGPSAEVPSGRIRLQVSKVASRNSASVNHTIKVGNEGHHLDLNRSQNQTFSSGLALDQESAVVTSSVSYQFNAKSNPSSESDRSCPVVSMTLLGAISSNDVTLQKSSSAPLDDRSFIRGIDRGMGMLVPALQSACHSQCFILGACLAGTAALFIYFMLRGDAG >Ma04_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9752726:9753032:-1 gene:Ma04_g12880 transcript:Ma04_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLQKRKLSSTELQKLQFELQKTSQQNWQLARANSHMLGVDSLSIFFIVSVRKKDAFFICFVF >Ma07_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8444582:8445167:-1 gene:Ma07_g11370 transcript:Ma07_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEMSSRRSSSRISDEEISELISKLQSLLPESRRRSMSRASAAKLLKETCSYIKSLHREVDDLSDRLSDLMSTMDSGSPQAEIIRSILRS >Ma11_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:357563:362654:1 gene:Ma11_g00550 transcript:Ma11_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKAAVNFNGELYESPSFCTTLRQAEHSSAEVALNALSQRGPSHSLAARILDETGVYKNLLQEIAQRAGEPLPSYTTVRSGLGHLPVFACTVELAGITFTGEPAKNKKQAEKNAALAAWLSLKQLAKKAASSSIEHENNDEQEQITIARVLLHYRLKEKMAMVNNPHGTPFPKKFPIQLEKRPASSQPSPSVSKILPFIRQKSAPRVRPTSSGINDATRAPSYQQGENQSVWPQKFPTAGAAPYFPVRHFSRPCQGIAPPVTIRTAVPVFSAPPLPPAAAQVSQLPPVTGQTPIRVASPVRIRQSVPVFAAPRPVPPVNSVIPAQVKEPLSVVASSPIPKKPAPVSASAIPVQLNQSVPVAAASPLMKEPLPVVAPAVSVQIKEQPLPSVKTLEPSIKLMACPPMLPLIGLMDTVDGDDAKDLEESECTAMESLKRLEI >Ma04_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1238480:1243958:-1 gene:Ma04_g01360 transcript:Ma04_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSRSIFGGSVGKLAPLAISLLFFLVLAALIPSTEAYDSLDPNGNITIKWDIMQWTPDGYVAVVTMFNFQQYRHIQAPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSRFKGNTPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVINSWVQDPANAASSFQVSVGAAGTTNKTVRVPKNFTLRAPGPGYTCGIAKIVKPTKFVTQDGRRTTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCTCGCQNNATQPGSCVEGDSPYLASAVNGPGKNSFTPLVQCTSHMCPVRVHWHVKLNYKEYWRVKIAITNFNYRMNYTQWNLVIQHPNLDNLTQLFSFNYKSLTPYGGINDTAMLWGVKYYNDLLMEAGPYGNVQSELLFKKDPSTFTFEKGWAFPRRIYFNGDNCVMPPPDSYPWLPNAASQLMSTSTMTLLTALSWLLIYCV >Ma03_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4251990:4254415:-1 gene:Ma03_g06140 transcript:Ma03_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRLIFDSSSSRGRHGQRMLLLGGGGGGGSSPVFGGARSALAAAEGANKRRPFFTSPEELLEEEYYYDEQQPDKKRRLSPEQVRLLERSFEAENKLEPERKSELARKLGLQPRQVAVWFQNRRARWKTKQLEHDFDRLKSSYGSLLSDHDSLLKENDRLRSQVISLTEKLQSRTAASAGAAGIAGTKSDVQAAASVADPATLAVQTKAEDRLSAGSGGSAVVDGEAAKQLVDSSEVSYFPEGYHCMGPVDGGRVHSEEDDVSDEGCNYYSDGMFAAEHHHQDEVDAQLDWWVWS >Ma05_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20338228:20339619:1 gene:Ma05_g17470 transcript:Ma05_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDISASSGGGAPSPVSSNRDGCSARDELDADADVVCAAVSDLSSISISPQVNLTWLKMSLQQY >Ma02_p05460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16754104:16757652:1 gene:Ma02_g05460 transcript:Ma02_t05460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEEGEPLQSDALEKMDNIVEDEELRSLLIPDVKDLPSIPPSAVESNFARYYAADFLKPGHDQYVYRHANGLCVVGLAPSHVALREEGGVTAVNFNVGKSDRSEMKVTGKRKRNAQHFDAKTSLCKVCANGKEFIVRCCVKGSLLEVNDRLLKQPDLLNSSADREGYIAIIMPKPIDWPKIKDSLLSHEDYKKLRGLS >Ma02_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16754105:16757640:1 gene:Ma02_g05460 transcript:Ma02_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIVEDEELRSLLIPDVKDLPSIPPSAVESNFARYYAAVGLAPSHVALREEGGVTAVNFNVGKSDRSEMKVTGKRKRNAQHFDAKTSLCKVCANGKEFIVRCCVKGSLLEVNDRLLKQPDLLNSSADREGYIAIIMPKPIDWPKIKDSLLSHEDYKKLRGLS >Ma06_p33690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34230219:34232028:1 gene:Ma06_g33690 transcript:Ma06_t33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARTLRIFWTDPEATDSSGDDEECGVSGRRVGRLVREIGLDPCPAVVDKPRRARKKAPAGGGKVASQTGSTKFRGVRRRPWGKYAAEIRDPWRGVRVWLGTFDTAEEAAMVYDCAALQLRGPGATTNFSSSSSSSTTPAPPQVAEEASDPTTVSAGYDSGDDSQSRTSPTSVLRGFSSYSAVQGPATEETKKGADVFNPAVFLPEMTVEEFSPFEDMPLFNDSSCFETWEPRIPEATTARVGFSAAADPSTTAWDSGFGSATWHEDDYFHEIGDLFPLEPLPAIF >Ma00_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2670028:2671079:1 gene:Ma00_g00710 transcript:Ma00_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVSQKNLMVIKIAQSRGLVDFLSIISKIQNIDHTQHIIPVEVV >Ma05_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9482139:9483306:1 gene:Ma05_g13090 transcript:Ma05_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLHRLPPPLASGGEAFAASWSLPWQPQEASGVAMVEELKQALLRTTLELESTRATAKEELRRMESRALHFSRLLEAATRERDEARHALLLLLLHHTDRGQPLQEPKPNLGPNLALDEGTDVAEAAAVATAAAEDVVERSNRASSAVAEVELAAVRKALPEKGRLVEAVMGAGPLLQTLLLAGPLPQWRHPPPNLRSSEIPPVAISLNPIPKREKDGAGSPSPSSFWNSSSSSSPESGSHRSVGGEVQNVTFS >Ma07_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25428543:25442610:-1 gene:Ma07_g18410 transcript:Ma07_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKPKQQTISRFFAPKPPQIPSSASPPSQPKPSPRISATVSFSPSAKRRLQTLTPSPPPKPKKSTPPSPQSPAAIPPSNPFLHRRFLSKLLDLSSPSSSSSSSSSSAAAAKPLPQNPAYTPLEQQVLDLKTKYPDVLLMIEVGYKYRFFGEDAEIAARVLGIFAHVDRNFLTASIPTFRLHFHVRRLVAAGYKVGVVKQTETAAIKAHGSNRLGPFSRGLSALYTRSTIEAAEDMGGARDEGVSISGSNYLACVVEKELVPGSVHLEGRFAVRIGIIAVEVSTGDVVHGEFNDDSIRTGLEAVLISVSPAEILLGEPLSATTEKLLLAYAGPTSNVRVERASRDCYSDGGALAEVISLYEITDDNVLAAVNNTENGDRREEGYNHPTIEVLANNSDGSSEGSLFQVMNHTCTAYGSRLFKHWVTHPLCDRNSISARLDAVSEIAESMGSCKGSQVEMELGVENHSDAFRQSELSEVMSSVLTMLGKSPDVQRGISRIFHRTSTVAEFIGVIHAILISGKQLQKLYVESDAGYGASQQKKVKSALLRRLISTAASPTVMGHAVKLLSSLNKDAADQGDMLNLFVSCTNQFPEIGRGRIAVQLAKEKLDLLIVQYRKQLGVRNLEFMGVSGKTHMIELPADLRVPSNWVKVSSTKRTIRYHPPEVLAALDELLLAREELAVACRTTWDNFLMSFGKYYPQFQAAVQALAALDCLHSLAILSRNENYVRPVFVHDDEPSQIHISSGRHPVLESILGDNFVPNDTELHADGEYCQIVTGPNMGGKSCYIRQVALIAIMAQVGSFVPASLVKMHVLDGIYTRMGASDSIQQGISTFFEELTEASQILHNCSSCSLVIVDELGRGTSTYDGVAIAYATLQYFLKQKKCIVLFVTHYPKILDIQKEFKSSVGAYHVSYLTSQKPLEITESESISSADKLCHKEVTFLYKLVTGASDKSFGLNVAKLAQLPASCIARAAVMAAKLESEVSEHLGSKEGRLIKVSSQNENLDHSSELSEFCKEQEDFADLAKACHKVLFYMMSALNEAEPVNMLCSLKNARDIASKSIDHTGDMLPLSFIPTKRRQ >Ma08_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22053316:22061502:1 gene:Ma08_g17000 transcript:Ma08_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAWEVRSERPWPSLHSSSAPPVSRWWSSLKAMTATEWLEMLLPCTRWICRYRSGDYLELDLTAGVTVGVMLVPQAMSYAKLAGLHPIYGLYSGFVPIFVYAVFGSSRQLAIGPVTLVSLLVSNVLGGIVDPSDELYTELAILLAFMVGVLECLLGLLRLGWLLRFISHSVISGFISSSAIIIALSQAKYFLGYDIVQSSRIFPLTESIIAGASDFSWSPFLMGSVILTLITLMKHLGKTKKNLRFLRSSGPLTAVVLGTAFVKSFHPSSISVVGEIPQGLPTFHIPREFQHLKSLISTAFLITGVAILESVGIAKALAAKNGYELDPNQELFGLGMANICGSFFSAYPATGSFSRSAVNNESGAQTGLSGIITGLTIGCALLFLTPWFRDIPQCALAAIVVSVGISLVDYEKAMFLWQVDKKDFLLWMLTCLATLFLGTEIGVLVGVFSSLAFVIHESANPCIAILGRLPGTTIYRNIDQYPEACLYRGIVVIRIEAPIYFANISYLKDRLREFELVISKNRKWEPQASRIHFVIIEMASVPYIDSSAVEALKDLHQEYKLHEVQIAISNPNQKVLMTLARSHLIELIGKSWFFVSVHDAVKACIQQAPNLMGTSPGGRRDATQTSQPDLVQKLWKQEDESAVEWEYLLPQEEET >Ma10_p00680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1976253:1979817:1 gene:Ma10_g00680 transcript:Ma10_t00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQQSSSRNSQNRGSKLKRLLQMTLLLSVVVWLVNHINKNEYAGSDQINLYQEDDVDFGRKGKAGSENVIIIEDQGTNSDETPETSEAGDQADSSDQHTEDKNNESSNKEQGKSVEVISNMSQTETQEERLGDKAENVDSITNSRDSAVSNDMSADKEDMLQLPSERSSKNVLDQNDGENIEQIPVQQNKPATQDQAKHDTGEAITSDEERTLNSKNELKGGEITDEVEKEATESRGGVKLESESSSKNSFEVENEAIDLDGGVKSETEGSGSNSLEDTTNIANSDDGSLSLSKEENGISTDDVSTEKNAFDSQGDISTDAETTGHHSLETVSSDENTEGEAVNLPSSTEEKEPMMPTNSEVPKGEAVEYKDDSNLKSEATDDSPLVEETNTESDDKLTVTSVVDDTKDVELPNINEALERDAVESSGDDASDAGSNNAKEEKMDSRSSEDAPESKGDGASDAQDNGRNDAEEEKTESWSNEDALEPKGDNTSEVQDAGNKSSLGTSSETKPTEEAENSSHNNEGNSEIPTDEIVNVVAAESQGNDSSKGEENTSESQGNDSSKGEENTSNTVFEDTTETNPKEETTSGLGNENTDSEKEGGKESE >Ma10_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1976253:1979817:1 gene:Ma10_g00680 transcript:Ma10_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQQSSSRNSQNRGSKLKRLLQMTLLLSVVVWLVNHINKNEYAGSDQINLYQEDDVDFGRKGKAGSENVIIIEDQGTNSDETPETSEAGDQADSSDQHTEDKNNESSNKEQGKSVEVISNMSQTETQEERLGDKAENVDSITNSRDSAVSNDMSADKEDMLQLPSERSSKNVLDQNDGENIEQIPVQQNKPATQDQAKHDTGEAITSDEERTLNSKNELKGGEITDEVEKEATESRGGVKLESESSSKNSFEVENEAIDLDGGVKSETEGSGSNSLEDTTNIANSDDGSLSLSKEENGISTDDVSTEKNAFDSQGDISTDAETTGHHSLETVSSDENTEGEAVNLPSSTEEKEPMMPTNSEVPKGEAVEYKDDSNLKSEATDDSPLVEETNTESDDKLTVTSVVDDTKDVELPNINEALERDAVESSGDDASDAGSNNAKEEKMDSRSSEDAPESKGDGASDAQDNGRNDAEEEKTESWSNEDALEPKGDNTSEVQDAGNKSSLGTSSETKPTEEAENSSHNNEGNSEIPTDEIVNVVAAESQGNDSSKGEENTSESQGNDSSKGEENTSNTVFEDTTETNPKEETTSGLGNENTDSEKEGGKESE >Ma09_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6150310:6151815:1 gene:Ma09_g09290 transcript:Ma09_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSSAQPHHATARLTSSMAVAVLCCSSFDGEGSLLPRLRPSRSPSKRENRRAKRGTRACMNPRDLRFLSPVVRSVLTSISREREREREREREMSGFVGVWMTGFWRLGGRHRSAAGDEGESSSHECSRKEGGMEVEEQVTKMKAVVKSREKKSMSLSEATVCMIVLMDRFAPS >Ma06_p20240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14293923:14302600:1 gene:Ma06_g20240 transcript:Ma06_t20240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFPSSRENPRAAGPTSQRPVAPSITERFGAMLRDREEKLREATGEETVLTADDIVRCYEDVLSELTFNSKPVITDLTIIAGQHIRYAEEVADAICTRILEVAVDQKLPSLYLIDSIVKNIGHHYVRIFATRLPKVFCEAYNQVHPTQYSSMRHLFGTWSQVFPSKILKKIEDELQFSPSESKRSSGITSTRQSKPPSPHHSRGIHVNPKYLEARHQFEQSAVGIPHTSVSSSLHVYEQKPSKQYSESDFDLPELLPQDLGTSGAGPPQTAMVHVSSAIGAGGSMPHLKNKISLSSSPPRIGVRRVSDPNSRADRSRPSNEDPYHVEVSMQHNHKYGYGKQHPRDLIDAYGNPRGRVSSYEKFPKVQRLDVNGIASEAATRKWKNSDEEEYVWESMSPTLADQSRRNSLPPFGPSSGSISNRTGISISNPAMLETDFQRHSWPVQTQSHPGSMMKYLDGTTSQTGSPPHHQKLNRTRDSGKFSCLFPQSTRQSLSPRSRSTALALGGVAPSIGQKLPVAHDSLPDIELPLRSLSNAHDDPLKINTAVIDRQSTLRPYSPPQDMLPSAHKSQSLPCLSIPPNQKLVNGQLDISEPNKLLMNQGADPRIFVPEKQYDIVDKYSSESVKFIHFPYQPPSNTHLNQQSQVQGISMPMRTPETYGSILPPATALVSSYLIGQPVNHLQTLGTGVSVVSVLPCSSFATPSVTVHRTIDTSLHLHGSLLPPLPPGPRPASSQMGPTPQTMSSSISSSPASAYSGLIGSLMEQGLISLKPSVQSQETLGIEFDIELLKVRHESAINALYDDLPRKCATCGLRFKGQEEHSSHMDWHVTKNRISKNRKQKPSRKWYVSAKEWLSGAEILGNDVVPGFLPTESVSEKKEDIEVAVPADENQNVCALCGELFEDFYSDETEEWMYKGAVYLNAPDGYIEGLDRSQLGPIVHAKCRSESNECSVQA >Ma06_p20240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14295216:14302600:1 gene:Ma06_g20240 transcript:Ma06_t20240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLFGTWSQVFPSKILKKIEDELQFSPSESKRSSGITSTRQSKPPSPHHSRGIHVNPKYLEARHQFEQSAVGIPHTSVSSSLHVYEQKPSKQYSESDFDLPELLPQDLGTSGAGPPQTAMVHVSSAIGAGGSMPHLKNKISLSSSPPRIGVRRHVSPPNMRFYNGISARKIGGMASPSHSGFVYGPGRVSDPNSRADRSRPSNEDPYHVEVSMQHNHKYGYGKQHPRDLIDAYGNPRGRVSSYEKFPKVQRLDVNGIASEAATRKWKNSDEEEYVWESMSPTLADQSRRNSLPPFGPSSGSISNRTGISISNPAMLETDFQRHSWPVQTQSHPGSMMKYLDGTTSQTGSPPHHQKLNRTRDSGKFSCLFPQSTRQSLSPRSRSTALALGGVAPSIGQKLPVAHDSLPDIELPLRSLSNAHDDPLKINTAVIDRQSTLRPYSPPQDMLPSAHKSQSLPCLSIPPNQKLVNGQLDISEPNKLLMNQGADPRIFVPEKQYDIVDKYSSESVKFIHFPYQPPSNTHLNQQSQVQGISMPMRTPETYGSILPPATALVSSYLIGQPVNHLQTLGTGVSVVSVLPCSSFATPSVTVHRTIDTSLHLHGSLLPPLPPGPRPASSQMGPTPQTMSSSISSSPASAYSGLIGSLMEQGLISLKPSVQSQETLGIEFDIELLKVRHESAINALYDDLPRKCATCGLRFKGQEEHSSHMDWHVTKNRISKNRKQKPSRKWYVSAKEWLSGAEILGNDVVPGFLPTESVSEKKEDIEVAVPADENQNVCALCGELFEDFYSDETEEWMYKGAVYLNAPDGYIEGLDRSQLGPIVHAKCRSESNECSVQA >Ma06_p20240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14293923:14302600:1 gene:Ma06_g20240 transcript:Ma06_t20240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFPSSRENPRAAGPTSQRPVAPSITERFGAMLRDREEKLREATGEETVLTADDIVRCYEDVLSELTFNSKPVITDLTIIAGQHIRYAEEVADAICTRILEVAVDQKLPSLYLIDSIVKNIGHHYVRIFATRLPKVFCEAYNQVHPTQYSSMRHLFGTWSQVFPSKILKKIEDELQFSPSESKRSSGITSTRQSKPPSPHHSRGIHVNPKYLEARHQFEQSAVDLGTSGAGPPQTAMVHVSSAIGAGGSMPHLKNKISLSSSPPRIGVRRHVSPPNMRFYNGISARKIGGMASPSHSGFVYGPGRVSDPNSRADRSRPSNEDPYHVEVSMQHNHKYGYGKQHPRDLIDAYGNPRGRVSSYEKFPKVQRLDVNGIASEAATRKWKNSDEEEYVWESMSPTLADQSRRNSLPPFGPSSGSISNRTGISISNPAMLETDFQRHSWPVQTQSHPGSMMKYLDGTTSQTGSPPHHQKLNRTRDSGKFSCLFPQSTRQSLSPRSRSTALALGGVAPSIGQKLPVAHDSLPDIELPLRSLSNAHDDPLKINTAVIDRQSTLRPYSPPQDMLPSAHKSQSLPCLSIPPNQKLVNGQLDISEPNKLLMNQGADPRIFVPEKQYDIVDKYSSESVKFIHFPYQPPSNTHLNQQSQVQGISMPMRTPETYGSILPPATALVSSYLIGQPVNHLQTLGTGVSVVSVLPCSSFATPSVTVHRTIDTSLHLHGSLLPPLPPGPRPASSQMGPTPQTMSSSISSSPASAYSGLIGSLMEQGLISLKPSVQSQETLGIEFDIELLKVRHESAINALYDDLPRKCATCGLRFKGQEEHSSHMDWHVTKNRISKNRKQKPSRKWYVSAKEWLSGAEILGNDVVPGFLPTESVSEKKEDIEVAVPADENQNVCALCGELFEDFYSDETEEWMYKGAVYLNAPDGYIEGLDRSQLGPIVHAKCRSESNECSVQA >Ma06_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14293923:14302600:1 gene:Ma06_g20240 transcript:Ma06_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFPSSRENPRAAGPTSQRPVAPSITERFGAMLRDREEKLREATGEETVLTADDIVRCYEDVLSELTFNSKPVITDLTIIAGQHIRYAEEVADAICTRILEVAVDQKLPSLYLIDSIVKNIGHHYVRIFATRLPKVFCEAYNQVHPTQYSSMRHLFGTWSQVFPSKILKKIEDELQFSPSESKRSSGITSTRQSKPPSPHHSRGIHVNPKYLEARHQFEQSAVGIPHTSVSSSLHVYEQKPSKQYSESDFDLPELLPQDLGTSGAGPPQTAMVHVSSAIGAGGSMPHLKNKISLSSSPPRIGVRRHVSPPNMRFYNGISARKIGGMASPSHSGFVYGPGRVSDPNSRADRSRPSNEDPYHVEVSMQHNHKYGYGKQHPRDLIDAYGNPRGRVSSYEKFPKVQRLDVNGIASEAATRKWKNSDEEEYVWESMSPTLADQSRRNSLPPFGPSSGSISNRTGISISNPAMLETDFQRHSWPVQTQSHPGSMMKYLDGTTSQTGSPPHHQKLNRTRDSGKFSCLFPQSTRQSLSPRSRSTALALGGVAPSIGQKLPVAHDSLPDIELPLRSLSNAHDDPLKINTAVIDRQSTLRPYSPPQDMLPSAHKSQSLPCLSIPPNQKLVNGQLDISEPNKLLMNQGADPRIFVPEKQYDIVDKYSSESVKFIHFPYQPPSNTHLNQQSQVQGISMPMRTPETYGSILPPATALVSSYLIGQPVNHLQTLGTGVSVVSVLPCSSFATPSVTVHRTIDTSLHLHGSLLPPLPPGPRPASSQMGPTPQTMSSSISSSPASAYSGLIGSLMEQGLISLKPSVQSQETLGIEFDIELLKVRHESAINALYDDLPRKCATCGLRFKGQEEHSSHMDWHVTKNRISKNRKQKPSRKWYVSAKEWLSGAEILGNDVVPGFLPTESVSEKKEDIEVAVPADENQNVCALCGELFEDFYSDETEEWMYKGAVYLNAPDGYIEGLDRSQLGPIVHAKCRSESNECSVQA >Ma08_p30980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42045127:42048833:-1 gene:Ma08_g30980 transcript:Ma08_t30980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRELKPLEDVAGPRDEEDNNDVDADECSSEEEEEEEGKLDCDLCGTDDSEEDPTFDVLEETRSALSSISLEKSKSRKTRKGDGENGSDCPLVEIPELDAKDRKCFEVVEKLIKDGQMEKLKVDQCKVYLRKYGLRLTGKKDTLIGRIREHLEIKDDGGERKYPISSFVVNCKGDACTGDVVMFEQNVYEMFSIASRSATGPPCGIRLVAGRIVKESYGAAKQQHTFTIEVLWSKGEKTLPLLYPLLIKGRNLYRFKTMRQRWDDEENRRKVLQEKHARGSFARFSRDNRIQEKMLKKDRLDRIPKKSSSKTVGKKGILSQVDPFRAAVVEQHKLQRGQPPQQSLNSVDLNFMQETILKEMPVSGSGPHLWMRFDKPTTTKHQSFAVQQHKIQHLRSLQHNLNSVESNDPKQATSFKENIEEWPGRHLNMRSDKPSMPENHNSFHQGSKQTSGGFQHHQITCGTLQKQNYLPDMHQLHLHAERHHQYRLPQQRACSSHHMQPLVSVNSFHQIPPKRQYPIRDRESCRFYRQGRCYFGVECKYVHDN >Ma08_p30980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42045127:42048833:-1 gene:Ma08_g30980 transcript:Ma08_t30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRELKPLEDVAGPRDEEDNNDVDADECSSEEEEEEEGKLDCDLCGTDDSEEDPTFDVLEETRSALSSISLEKSKSRKTRKGDGENGSDCPLVEIPELDAKDRKCFEVVEKLIKDGQMEKLKVDQCKVYLRKYGLRLTGKKDTLIGRIREHLEIKDDGGERKYPISSFVVNCKGDACTGDVVMFEQNVYEMFSIASRSATGPPCGIRLVAGRIVKESYGAAKQQHTFTIEVLWSKGEKTLPLLYPLLIKGRNLYRFKTMRQRWDDEENRRKVLQEKHARGSFARFSRDNRIQEKMLKKDRLDRIPKKSSSKTETILKEMPVSGSGPHLWMRFDKPTTTKHQSFAVQQHKIQHLRSLQHNLNSVESNDPKQATSFKENIEEWPGRHLNMRSDKPSMPENHNSFHQGSKQTSGGFQHHQITCGTLQKQNYLPDMHQLHLHAERHHQYRLPQQRACSSHHMQPLVSVNSFHQIPPKRQYPIRDRESCRFYRQGRCYFGVECKYVHDN >Ma08_p30980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42045127:42048833:-1 gene:Ma08_g30980 transcript:Ma08_t30980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRELKPLEDVAGPRDEEDNNDVDADECSSEEEEEEEGKLDCDLCGTDDSEEDPTFDVLEETRSALSSISLEKSKSRKTRKGDGENGSDCPLVEIPELDAKDRKCFEVVEKLIKDGQMEKLKVDQCKVYLRKYGLRLTGKKDTLIGRIREHLEIKDDGGERKYPISSFVVNCKGDACTGDVVMFEQNVYEMFSIASRSATGPPCGIRLVAGRIVKESYGAAKQQHTFTIEVLWSKGEKTLPLLYPLLIKGRNLYRFKTMRQRWDDEENRRKVLQEKHARGSFARFSRDNRIQEKMLKKDRLDRIPKKSSSKTVGKKGILSQVDPFRAAVVEQHKLQRGQPPQQSLNSVDLNFMQETILKEMPHNLNSVESNDPKQATSFKENIEEWPGRHLNMRSDKPSMPENHNSFHQGSKQTSGGFQHHQITCGTLQKQNYLPDMHQLHLHAERHHQYRLPQQRACSSHHMQPLVSVNSFHQIPPKRQYPIRDRESCRFYRQGRCYFGVECKYVHDN >Ma06_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4546962:4550505:-1 gene:Ma06_g06200 transcript:Ma06_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta-4 chain [Source:Projected from Arabidopsis thaliana (AT5G44340) UniProtKB/Swiss-Prot;Acc:P24636] MREILHIQAGQCGNQIGAKFWEVICDEHAIDATGAYAGDSDLQLERISVYFNEAVGARYVPRAVLVDLEPGTMDAVRSGPFGRIFRPDNFIYGQSGAGNNWAKGHYTEGAELVDAVLDVVRSEVEKCDCLQGFQLCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADESMVLDNEALYDICFRTLKLTTPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYYALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFVGNSTAIQEMFSRVSEQFTLMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEYEVEEEEEEEAA >Ma09_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26828560:26832839:1 gene:Ma09_g19920 transcript:Ma09_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSFAYCFLFFLMCSNAFPAFLATPEEVFALMHFKRSSIDSDPKGFLQNWTVGGSNSRSNPCLWTGVVCSAADGRVRSLNLGNMGLTGRLNLEHLMALPRLRDLDLHGNFFYGNLSYSNTASSLLCGFETVDLSSNSFNETIPSEFLTSCPRLVSLNLSRNSIPGGIFPFGASVRRIDLSRNRISDHGLLKYSLSSCSGLSYLNFSDNKLAGKLGDVPSCTNLTILDLSYNHLSGDLSGVDFGVCGSITVLDLSYNGLNGTALPLSLANCRQLEELNLSGNNFTSKIPSFWKNFSNLQRLSLAHSRFSGEISPELGDTCGTLVELNLAGNSLTGGLPSTFVSCSSLQTLDLRENHLSGDFIDQVVSALPALRYLHLPFNNISGPVPLPPLSGCPLLEVIDLGSNELTGEIPTGICSYLPNLRRILLPNNFLSGTVPSDLGNCTNLRTLDFSFNELSQSIPPEIWSLPKLVDLVIWANNLSGEIPESLCSSSTSLETLILSYNMITGGIPSSLTKCVNLIWVSLSGNRLTGRIPSDIGNLQSLAILQLGNNILSGEIPPELGSCRNLIWLDLASNELSGPIPASLASQTGLIVPGIVSGKQFAFLRNEAGNICPGAGVLFEFEDIRPERLANFSLVHSCPATRIYTGTTVYTFPSNGSLIYLDLSYNSLSGMIPNNFGSMDYLQVLNMGHNELTGTIPETFGGLRMIGVLDLSHNHLTGYIPGGLGTLTFLSDLDVSNNNLTGPIPTTGQLTTFPASRYENNSGLCGLPLRPCTVKAGNHDFRYDSVGRRKFFGGSILIGVLLSVLIVLSLILALYKMKKHQKNDDSRVGYVESLPTSGTASWKLSGVLEPLSINVAIFEKPLRKLTFAHLLEATNGFSADSLIGSGGFGEVYKARLNDGCTVAIKKLIHVTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKFGSLDMVLHDKSKGGATKLDWSARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPSEFGDNNLVGWAKQLVKENRCSEIFDPDLMGMKSGEAELYQYLKIACECLDDRPLHRPTMIQVMAMFKDLQVDTDSDFLDGFSIGLTIIENSGAKAP >Ma09_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29194154:29199273:1 gene:Ma09_g20370 transcript:Ma09_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVVAHVYDVTNSGSEKANNTILHINRFFKDGIGVGGIFHSSIQVYGDEEWSFGFCEYGSGVFSCQPCKNPMYTYRESIVLGETTCSIFTVNQILRELSREWRGSSYDLFSRNCNHFCDAFCEMLDVPKLPAWVNRLANAGDAAMEVAEITAFKLQQAKAEIINASRVAYKFISGVGSNAPTTSEGPSDSGSVAARAQGTWFRNLFSNIGVKPSSSNSSFPEDFDDEEPEDEPSSSSSSSSSSSREK >Ma10_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30341068:30348017:1 gene:Ma10_g20030 transcript:Ma10_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFDGRGLGRILAALAAAFFFRAISGAGPALPPSGEEEDDEGQQPAGDEEAPVSAEVLPVTIRWSGITCTLSDKRGRRTRFLLSNVCGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLMASSRLHLSGHLDINGLPMPSEGYKVAYLRQEDLFFSQLTVRETLLLAAELQLPQTWLAEKKDKYVSSILFRLGLVNCADSIVGDAKVRGISGGEKKRLALACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYSKFDDIVLLSEGALVYMGPAKDEPLTYFAKFGYQCPDHVNPAEFLADLISVDYSSAESVHSSQQRIDDLIEVFSKSSFMIQCTIPIMQLDDSKVSAKFGKKTMVKRRSGWWRQFRLLLKRAWMQASRDGPTNKVRARMSIASAIIFGSVFWRMGRTQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKDSYALGPYLLSKLLAEIPIGAAFPLMFGTILYPMARLHPTLTRFARFCGIVTMESFAASAMGLTVGAMVPSTEAAMAVGPSLMTVFIVFGGYYVNAENTPLVFRWIPRVSLIRWAFQGLCINEFSGLQFEQQHSYDIQTGEQALERLSFGGSRVRDTIVAQARILMFWYWTTYFLLKKNKPKYQQLVSPDSSHQQQV >Ma10_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:913616:914370:-1 gene:Ma10_g00080 transcript:Ma10_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINTFQVLEPAALAALNGGEQPDRSVVYVSFGSQTAMSTELMKELGSGRERSGCRFLWVVKSKRVKERGLVVKQWVEQEKISKYRAVGGFVSHCGWNSVTEAALHGVNVLAWPTLGDQRVYATIPAWSGLGMWVEKRSWETEEVAVKGDEICERVRETMRDQVLSALAARMREVAVAAVGDGGSSYEALTQFIDRMKKV >Ma09_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32202674:32203046:-1 gene:Ma09_g21300 transcript:Ma09_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCFSKNKKLKCTITCQWAASLLSYTVIEDPIEYLSTGKQEWAWCWQHCMTTITSTPRPLSPHGSIKSSSCCMIPVCPTTILPIAWV >Ma10_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27511709:27513519:1 gene:Ma10_g15410 transcript:Ma10_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEHHNPHQVQLQILTTSPIAIAAATATASEKVLSKLSVLTKFHAGYFRISLSLCGQALLWKTLSEPTTDASALRPVLRRLPSAAFVLLWSLAFLTLVALCLLYAVRCLFRFGSVRAELRHHVGMNYLFAPWISWLLLLQSTPFLHPKTNCYLLLWCVFSIPILALDLKIYGQWLTRGKQFLSVVANPTSQITVIGNLVGARAAALMGWKESALFMFSLGVTHYLVLFVTLYQRLQGSDSLPAMLRPVFFLFFAAPSMASLAWDSISSTFDISCKMLFFLSLFLFASLVSRPALFRRSMRHFSVAWWAYSFPLTVLALAATEYAQEVKAAAANLLMLVLSVLSVLVTLALMVLTVIRTGDLLPRDDPFVCATSAAGGATR >Ma06_p34100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34508386:34509197:-1 gene:Ma06_g34100 transcript:Ma06_t34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNPPGVISPTSFRSTCARSLRGQREHHLELDAVLGGTKTRSPTSRLSSRRPSATEGRRHDAKLAGYDVPAESKILVNAWWLANNPTLWRKPEMSRPGAAHPGGGGRGGAQRKRLPVPVLGPADRWSCPGIVLALPIIGIVLGRLVQNFELLRPRGRDKIDTAEKAGQFSLHIMMHSTVVCKPRVWTDCICSRSGKSREDEKGRRV >Ma02_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19703091:19705072:-1 gene:Ma02_g09960 transcript:Ma02_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQEKHPCLWRWHRWQDGLRRPSVVLLLGAIALLGWSSLQSTPAWVAVAPAAAPATAKDDVAVSGRRSCVEFYRPVGPRSVVFSIEEFGGVGDGRTSNTEAFRRAVRRLGEFGDKGGAQLNVPPGRWLTGSFNLTSNLTLFLEKDAIILGSQDPEEWPVIEPLPSYGRGRERLGGRHISLIHGEGLSDVIITGQNGSIDGQGKMWWDLWWNRTLKHTRGHLLELVNSENILISNLTFLNSPFWTIHPVYCSNVVLKNLTVLAPLHSPNTDGIDPDSSSHVCIEDCYIESGDDLVAVKSGWDHYGIAMAHPSSNIVIRRVSGTTPTCSGVGIGSEMSGGVSNVVVEDLHVWDSAAAVRLKTDVGRGGYITDVTVTNVTMERVKIPIRFSRGSNDHPDEGWDPKAFPRVKGVRISNVVGFDVDKAPVLEGIEGAVYEDVCIRNFSLSVTGREPKWHCEFVAGEAYDVSPSPCLQLSSNGSSSWCRHSS >Ma09_p26660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37832091:37864997:-1 gene:Ma09_g26660 transcript:Ma09_t26660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MESVLENDSEDPAKKVQLSTSSEKSTDASVGVSKKSSKMVKPSEITPIASTKKRTEGAGPADKIANRSKSTLTKTAVNSNALPRRSSTGGMALKQPSSATKRKENGTPAEAKKIAPSLSDPGKRSSSLESRRSSLPSVSSKIPASVKRSETLKSSPMSRLLSKSDSTKADLTRKPSIKPSSPSLSASSSRRITSSSVDDPNGRGSLRRVTNNVSSPSGRSPSIMSSSKMRSTSSSVDRSSSLSGRKKTSSSESRDSRFMMLPQVDVKAGDELRLDLRGHRVRSLSALTLSPNLEFVYLRDNLLSSVEGIEILKRLKVLDLSFNDFEGPGFEPLGNCKGLQQLYLAGNQITSLATLPQLPNLEFLSVAQNKLKSLTMASQPRLQVLAASKNKISTLKGFPHLPLLEHLRVEENPILEMPHLEAALILLIGPTLKKFNNRDISPEELEIAKLYPAHTALCIKDGWEFCRSEIAEESTFSFLFDQWKDNIPPGYMLKQASIDQPFEEDICRCHFNFVNLSSNSELVLKHQWLIGERTLSSFVPIADAVDEVYWPKHSDIDKYLKVECTPIMNGVEYPSVFAVSSLVSPGTGYPKVLNLSVHGELVEGNVIRGSAEVAWCGGTPGKCVASWLRRRWNGSPVVIVGAEAEEYTLTLDDIDSSLVFMYTPVTEEGVKGEPQYAMTDFIKAAAPSVNNVQIIGDAVEGNVIKGVGEYFGGKEGPSRFKWLRESKDSSICELASSGTSEYTLTKEDIGRRLVFIYIPINFEGQEGKSTSATTEIVKKAPPKVTNLKIIGDLREGNKVTVSALVTGGTEGSSRVQWFKTTSPKLEVENFLEAVSTSKIAKAFRIPLGAVGYYVVAKFTPMAPDGETGEPAYAISENVVETLPPSLNFLSVTGDFSEGEMLTASYGYIGGHEGKSVYSWYLHENETDVGALILEASGLLQYRITKDAIGKFVSFKCTPVRDDGIIGEPRTFIGQERVHPGSPRVLSLKIIGEAVEGNTLIADKKYWGGEEGDSVFRWFLTSPEGIQSEIGGVTTSSYTITINDIGFLISVSCEPVRSDLARGPIVISEYIGPIVPGPPTCRNLKFHGTMTEGGRLSFIAEYSGGEQGNCIHEWFRVKSTAAKTKVTGAEYLDLTIDDVGERIELVYTPVRKDGTTGTPKIIISDIIVPADPKGIDLVQPSCCEDEEVAPLKSYYGGKEGTGKYIWYRTKEKIDESELLNRVSVTDDILVVGETLTYTPSLEDVGSYLALHWVPTRADGKQGAPLVAFSSQPVMAALPSVSEVHIKLLNSGVYAGEGKYYGGYEGSSLYSWYRESKEGTIVLISEANSTTYEVTDSDYNCRLLFGYTPVRSDAVVGELKLSEPSEIILPEIPKIEMLSFKGKEVEGEILTAVEVIPKSDIQHHIWNKYKKEIKYQWFSSIGDGEHQSFEILPSQLSSSYKVRFEDIGRCFKCECTVTDVFGRSSNTVSAQTSAILPGIPKIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGETSRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTDPISVEPDVYREVKQNLELGSVKFEALCERNRSAKEAPGAGNLEKRILEVNRKRVKVVKPGSKTSFPNTEIRGTYAPPFHVELYRNDQHRFKIVVDGENEVDLMVQTRHMRDVIVLVIRGFAQRFNSTSLNSLLKIET >Ma09_p26660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37832091:37864997:-1 gene:Ma09_g26660 transcript:Ma09_t26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MESVLENDSEDPAKKVQLSTSSEKSTDASVGVSKKSSKMVKPSEITPIASTKKRTEGAGPADKIANRSKSTLTKTAVNSNALPRRSSTGGMALKQPSSATKRKENGTPAEAKKIAPSLSDPGKRSSSLESRRSSLPSVSSKIPASVKRSETLKSSPMSRLLSKSDSTKADLTRKPSIKPSSPSLSASSSRRITSSSVDDPNGRGSLRRVTNNVSSPSGRSPSIMSSSKMRSTSSSVDRSSSLSGRKKTSSSESRDSRFMMLPQVDVKAGDELRLDLRGHRVRSLSALTLSPNLEFVYLRDNLLSSVEGIEILKRLKVLDLSFNDFEGPGFEPLGNCKGLQQLYLAGNQITSLATLPQLPNLEFLSVAQNKLKSLTMASQPRLQVLAASKNKISTLKGFPHLPLLEHLRVEENPILEMPHLEAALILLIGPTLKKFNNRDISPEELEIAKLYPAHTALCIKDGWEFCRSEIAEESTFSFLFDQWKDNIPPGYMLKQASIDQPFEEDICRCHFNFVNLSSNSELVLKHQWLIGERTLSSFVPIADAVDEVYWPKHSDIDKYLKVECTPIMNGVEYPSVFAVSSLVSPGTGYPKVLNLSVHGELVEGNVIRGSAEVAWCGGTPGKCVASWLRRRWNGSPVVIVGAEAEEYTLTLDDIDSSLVFMYTPVTEEGVKGEPQYAMTDFIKAAAPSVNNVQIIGDAVEGNVIKGVGEYFGGKEGPSRFKWLRESKDSSICELASSGTSEYTLTKEDIGRRLVFIYIPINFEGQEGKSTSATTEIVKKAPPKVTNLKIIGDLREGNKVTVSALVTGGTEGSSRVQWFKTTSPKLEVENFLEAVSTSKIAKAFRIPLGAVGYYVVAKFTPMAPDGETGEPAYAISENVVETLPPSLNFLSVTGDFSEGEMLTASYGYIGGHEGKSVYSWYLHENETDVGALILEASGLLQYRITKDAIGKFVSFKCTPVRDDGIIGEPRTFIGQERVHPGSPRVLSLKIIGEAVEGNTLIADKKYWGGEEGDSVFRWFLTSPEGIQSEIGGVTTSSYTITINDIGFLISVSCEPVRSDLARGPIVISEYIGPIVPGPPTCRNLKFHGTMTEGGRLSFIAEYSGGEQGNCIHEWFRVKSTAAKTKVTGAEYLDLTIDDVGERIELVYTPVRKDGTTGTPKIIISDIIVPADPKGIDLVQPSCCEDEEVAPLKSYYGGKEGTGKYIWYRTKEKIDESELLNRVSVTDDILVVGETLTYTPSLEDVGSYLALHWVPTRADGKQGAPLVAFSSQPVMAALPSVSEVHIKLLNSGVYAGEGKYYGGYEGSSLYSWYRESKEGTIVLISEANSTTYEVTDSDYNCRLLFGYTPVRSDAVVGELKLSEPSEIILPEIPKIEMLSFKGKEVEGEILTAVEVIPKSDIQHHIWNKYKKEIKYQWFSSIGDGEHQSFEILPSQLSSSYKVRFEDIGRCFKCECTVTDVFGRSSNTVSAQTSAILPGIPKIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGETSRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTDPISVEPDVYREVKQNLELGSVKFEALCERNRSAKEAPGAGNLEKRILEVNRKRVKVVKPGSKTSFPNTEIRGTYAPPFHVELYRNDQHRFKIVVDGENEVDLMVQTRHMRDVIVLVIRGFAQRFNSTSLNSLLKIET >Ma02_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22972709:22973803:1 gene:Ma02_g15150 transcript:Ma02_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DWY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G47580) UniProtKB/Swiss-Prot;Acc:P0C7R1] MVSGAAAAALLSFHSPFKLRHSEAHQSPLPCFPKRIDRLSPSGAATESDLSAASSGALAATAAAPEALSSHRGSIASDLESLCKQGRIEAAFELIDRMGKRGMPVQPGDLSLLLEACVQSRSIALVRRAHRRMMFTSWKSFKPIVDQLASVYCKLGSAEDARRVFDEMVVRPGLASKGEADPKRREAYEKVQRLHEEMRAAGYVPDTRFVLHDIDEAAKEQALMYHSERLAIAYGLISTPPGTTLRIMKNLRICGDCHNAVKLISKIEGREIIVRDNKRFHHFRDGVCSCRDYW >Ma08_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35518823:35519503:-1 gene:Ma08_g21710 transcript:Ma08_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEKPAEEKEKKAEKAPAEKKPKAEKRLPSKDGASADKKRKKKAKKGTETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Ma10_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27959549:27959998:-1 gene:Ma10_g16120 transcript:Ma10_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDDGRARNACIWFVSCFFFLILIVGGSLLVIYIVLPESQDTFWFPIAGFVLVGIPWLFWITTCIYRSVMAPKSDIERPPVRAAAVAPAAASAAAASDSPVNSPSGGGGGADATPGAAPRGRDVDGDARDDSSLNSHESEEPLASSMS >Ma11_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6987339:6992440:1 gene:Ma11_g08810 transcript:Ma11_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVTRKERREIRFFRCGGATSRPNADAPTSSPDSIMEPNPKPNHGISAYYQTRAEHHAIISGDWLSQAQAAAAAGHPPEEPPASAAASKPFSVIDEFNHWRRKPDLAEAVAAIMALVAGIRSSDATTMMELEIELKKASDALKSWDTTSISLSAGCDLFMRYVTRTSALEYDDFHAAKLRLIERGEKFGEISLKARRTIAMLGQDFIFDGCTILVHGFSRVVLEVLKLAASNRKLFRVFCTEGRPDKTGLRLSKELATLGIPVKLLIDSAVAYAMDEVDMVLVGADGVVESGGVINMMGTYQTALVAHSMNKPVYVAAESYKFARLYPLDQKDLEPALRLIEFGVPIPAGVEIEKSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Ma06_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17119725:17121352:1 gene:Ma06_g22110 transcript:Ma06_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAAIAPAEVLYHSRRDDVHHRVYTHRSEEAMLVTNNQEDRAFIQEQSFDQLIRSGMRYIHLGILQTRIQTLHRQEEGTLALLVFRDNRWADDRSIIATMEVDLTRGSQLVYVVPDTMMTVGDFYRNIQLSILTRGYDTWQNGEANLLITRGLVGRLSNTPNVAFAYEISGVVDYLTSHGVRALPGRRYSTAEIRGRDWMIRPTQVPIPMQPAELRSRNLIDGRISISFENYKAASTSSRIQYNNADDETFSDEEEIRSHTIVVNIQLEDSENEAEELQENLNFYFRDVHSTEEDKELPYPRRHQKELIAAGLEEELIMEYPQLARLSQQVYSSSAVSNYRPPTDSTMGPVNYPPVVNIESTSQRPEYEGYSRQPRFKAKNFSEAWNLPSAFQQQGAMFIIPSQLGMFDEVFMRWESVTKNLVSLQGFTDPLAKMEFIENLLGEAEKLAWIQWRMAYPEEYQLLMANADGTGGTQNILSQLRTIFILEDPFQGSTTAQEEAYRDLERKAISRTRII >Ma07_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3906575:3907299:-1 gene:Ma07_g05370 transcript:Ma07_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLVCLTLLLVSFTISEARDYLVGGDDRAWRVPPTTNDSLNRWAEKNRFQVGDSLVWKYDAAKDSVLQVTREAYLSCNRSSPVAEHRDGTAVVELHRSGAYYFISGAEGACEQGEKLIVVVMSERHSLRGGLALGPGLTEFEGPAVAPTSGASTTVVLKSGVAAALLVLGIIL >Ma01_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9013046:9019133:-1 gene:Ma01_g12410 transcript:Ma01_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVVATAADPASVGPASSFLAMPGWNPGPSIAIGMESFANGSVRLLMHERSIVAEDDLDRRWEAATGEPVDEVIFLSRHTAVSNRPALTVHPIGVPHIREGEPLPQGGRPCWAGPPNPRVGPWLRLMKKIAEANGLIPEFEITLEATHHGPVVNTPTMFLEIGSTEEYWGRQDAAQAIALLLWEGLGLGGGSGVGNWSRENNGDNVLLGIGGGHYVPRHMDIVWKDGVWVGHLLSGYSLPMEDPNRQKGENGEKCIGGAWKQAIKVSYEATKLAFPGGEVIAHLDHKSFKGWQKNAITSFLTEENIKIGKPQDFY >Ma07_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30623709:30628641:1 gene:Ma07_g22830 transcript:Ma07_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRALFRSKVREAQKREKRIDSPLVRYNENDQPVCRVCNIVLKSESNWPAHQVSRKHHEAIENLKATAGGLPRENNLGSEPPKVSQTRSSSTLPADFFDGQGAKRQKTDTVLGGTTQSVITPAGSSFSYKQGKKDLSPVSQPLNAPESLNIRSEKVVVSEETSVSKLSTKLDQPSKMMGGTDGQQDMGVLPNNFFDNNERTDDQPLKQIEDKSNLQLKKVNKTLDSSNQTSQASKKIDGSDAKQVKGALPEGFFDNKDADLRARGIEPVKVDINDAYKEFEKEIQGNLQEVDDRLEEEEIDAADEMEEFRSLEQKAYKEKVDEIKKKLIEAKAERLARLQQPPTFLGKESSDESSSDEEGDDDDTSNFAVDWRAQHL >Ma07_p22830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30623709:30628641:1 gene:Ma07_g22830 transcript:Ma07_t22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRALFRSKVREAQKREKRIDSPLVRYNENDQPVCRVCNIVLKSESNWPAHQVSRKHHEAIENLKATAGGLPRENNLGSEPPKVSQTRSSSTLPADFFDGQGAKRQKTDTVLGGTTQSVITPAGSSFSYKQGKKDLSPVSQPLNAPESLNIRSEKVVVSEETSVSKLSTKLDQPSKMMGGTDGQQDMGVLPNNFFDNNERTDDQPLKQIEDKSNLQLKKVNKTLDSSNQTSQASKKIDGSDAKQVKGALPEGFFDNKDADLRARGIEPVKVDINDAYKEFEKEIQGNLQEVDDRLEEEEIDAADEMEEFRSLEQKAYKEKVDEIKKKLIEAKAERLARLQQPPTFLGKESSDESSSDEEGDDDDTSNFAVDWRAQHL >Ma08_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11029267:11032345:1 gene:Ma08_g13890 transcript:Ma08_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLYLHGSLGDNPSHGVVISWESKLRRPCLDEDGQQGSIQFWAYDTLPDKKLSVFILDRLQKCATLIYGVFSEPVDPKELQDYHEVTEHPMDFGTVPNKLYSRAYTNLEQFEVVSISFQFFQ >Ma11_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1658388:1658770:-1 gene:Ma11_g02300 transcript:Ma11_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTPLLFLNFIMYIIVKGFASWNLNHFINGQSNYPGSVAGDGATFYFLVFAILAGVVGAASKLAGGNHIRPWRIALQLRPFRPSSQGRFPP >Ma03_p03870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2538770:2540522:-1 gene:Ma03_g03870 transcript:Ma03_t03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLIGSSVLFLSHCSSLASGEDAQSYHVVRNHNSSPEVVCSSSKVSSFSGSNATALPLVHRHGPCSPFDDKKLLSLEEILLKDQLRVNYLRRSALKPSAKPQVDDSKASIPAEYGGGGYVITVGYGTPSQEQTVIMDTGSDLSWIKCKPRNVCYSQKEPIFDPSQSSSYAAIPCNSSDCSQLRSSCSSSCTYVIHYGSGPKRSGVYSYDRLTLSPNDVIEPFLFGCGTDNERLFLNAAGLVGLGRGKRSLVSQTSQVYQSVFSYCLPSTPSSTGFLKLGEPGDASNTVYTRMQTSSNHPSFYFLDLIGISVGGQQLDISPSVFSSRGTIIDSGTTITRLPASAYGALRSAFRCYMSQYPAAPPTRVLDTCYDFSGYETITVPKVALLFDGVTMDLDISGILMHGCLAFTGYKGGRFGIIGNVQQQTFEVVYDLGNERIGFVPQACS >Ma03_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2538770:2540435:-1 gene:Ma03_g03870 transcript:Ma03_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLIGSSVLFLSHCSSLASGEDAQSYHVVRNHNSSPEVVCSSSKGSNATALPLVHRHGPCSPFDDKKLLSLEEILLKDQLRVNYLRRSALKPSAKPQVDDSKASIPAEYGGGGYVITVGYGTPSQEQTVIMDTGSDLSWIKCKPRNVCYSQKEPIFDPSQSSSYAAIPCNSSDCSQLRSSCSSSCTYVIHYGSGPKRSGVYSYDRLTLSPNDVIEPFLFGCGTDNERLFLNAAGLVGLGRGKRSLVSQTSQVYQSVFSYCLPSTPSSTGFLKLGEPGDASNTVYTRMQTSSNHPSFYFLDLIGISVGGQQLDISPSVFSSRGTIIDSGTTITRLPASAYGALRSAFRCYMSQYPAAPPTRVLDTCYDFSGYETITVPKVALLFDGVTMDLDISGILMHGCLAFTGYKGGRFGIIGNVQQQTFEVVYDLGNERIGFVPQACS >Ma04_p37840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35551802:35569356:-1 gene:Ma04_g37840 transcript:Ma04_t37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKEDKKLAKIQNVFGVIRGSEEPDRYVILGNHRDAWTFGAVDPNSGTAALLDVARRYGSLLRSGWRPRRTIILCSWDAEEFGMIGSTEWVEQNLGKLTSMAVTYLNVDCAVQGDGFFAGATPQLDKLLVQVTKQIQDPDLEGRTVYDSWAANNEGISKIERLARADSDFSAFLHHAGIPSVDLYYGEAFGGYHTAFDSYKWMIEHGDPSFHRHVAIAELWGLLGLRLADDPVLPFDYLSYSTQLHEYATTLITFLDGGISVHPLNASVDELSAAIREALEEGKKLQEVETSEEFAALRIRAFNDRLMLAERGFLEAEGLRRKQWFKHMVYSPPEDSESKMPFFPGIADAISPARKHAGKGTQLGVQHEIWRVARAIRRVAATLRGELI >Ma01_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10076763:10078477:-1 gene:Ma01_g13760 transcript:Ma01_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWMIATVLFLYSSLSFLWKAFDCRRNQNCYLLDYVCYKPSDDRKLSTELCSDVIKRNKRLSMPDYKFLLKVVVNSGISEETYGPRSIIEGREESPTLDDCDDEVDDCMHSTLDELFHRTGISPGDVDVLVVNISMFAPAPSLTSRIVNRYKMREDVKTFNLSGMGCSASPIAIDLVNNVFETRKRTLAVVITSESIAPNWYCGTDKSMMLGNCLFRSGGCSFMLTNDPSLKHRAKMRLKCLVRAHSGANDDAYSCAMQNEDTDGRVGFHLSKSLPKVAVRAFTENLQALAPKVLPVGELALYVIRGLRHRLRRSKETKAYAGTAVRVNFKSGVEHFCLHTGGAAVIDAVGSALGLNKYDVEPARMTLHRWGNTSASSLWYVLGYMEAKKRLRRKDRVLMLSFGAGFKCNSCLWEVLRDLEDGGAWEDSIQAYPPQSLVNPFMDKFGWVNEA >Ma03_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26540816:26544723:-1 gene:Ma03_g21560 transcript:Ma03_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGYTSIDNQKVAGSVPAAAPANSGPDRIATVRFTESNLQTFPPSSETQGKISVVFRPLSDADDTFSKPASGGSSESQPTGWMQSLTVGAYKPYFDVDTSDVLERIRDSLFPFRGNFTEKTADNPDLYGPFWICTTLIFVAAAIGTFVTYVAHKLEKKEWDYDINLVNWSAGLFYGYVIFVPLGLYVILKYFSVPSGLVQLWCLYGYSLFIFIPASCLSIVPVEIFRWVIVGGAGFMSAAFVAMNLHTHIKSAGERWFLIVAGIFLLHLALAVVLKLYFFTVTVGAN >Ma06_p28310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30001789:30005643:1 gene:Ma06_g28310 transcript:Ma06_t28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGITLRPINEDPAVSFFQSNGTRTPHCSVESIMIYLAVPGASMTPMSVLASDSIASVKLRIQTCKGFVVKNQKLVFDGRELSRNDSLIRDYGISNGNILHLVIHISDVRVITVKTACGKKFKFHIEGGQTVQYVKRQIAKTGNPYVDLQNQKLVFACEELDDKRMIHDICKNDDAVFHLLLHKSAELRSKPVERDFELSIVAPLSEEKENVNDIQVTTTESTCKNVWIEPIIVNPKIELPQVIRDLLHATLAGLENGNPPIMSLEGTGGAYFMQDISGDKFVAVFKPIDEEPMAENNPRGLPLSVNGEGLKRRTRVGEGALREVAAYILDHPISGRRSFSCVDVGFSGVPPTVLVRCLHGSFNHPVEYEHAMKHFKIGSLQMFMKNCGSCEEMGPRAFPVQEVHKICVLDIRLANADRHAGNILLCKEGEEDHLVLVPIDHGYCLPENFEDCTFEWLYWPQSRQPFDTETIDYIRSLDAEQDIALLKFYGWELSLECSRTLRISTMLLKKGVEKGLTPYDIGSILCRETINEESKIEEIIHEANSIACPGITETVFMESISNIMDCHLNELTI >Ma06_p28310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30001789:30005643:1 gene:Ma06_g28310 transcript:Ma06_t28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGITLRPINEDPAVSFFQSNGTRTPHCSVESIMIYLAVPGASMTPMSVLASDSIASVKLRIQTCKGFVVKNQKLVFDGRELSRNDSLIRDYGISNGNILHLVIHISDVRVITVKTACGKKFKFHIEGGQTVQYVKRQIAKTGNPYVDLQNQKLVFACEELDDKRMIHDICKNDDAVFHLLLHKSAELRSKPVERDFELSIVAPLSEEKENVNDIQVTTTESTCKNVWIEPIIVNPKIELPQVIRDLLHATLAGLENGNPPIMSLEGTGGAYFMQDISGDKFVAVFKPIDEEPMAENNPRGLPLSVNGEGLKRRTRVGEGALREVAAYILDHPISGRRSFSCVDVGFSGVPPTVLVRCLHGSFNHPVEYEHAMKHFKIGSLQMFMKNCGSCEEMGPRAFPVQEVHKICVLDIRLANADRHAGNILLCKEGEEDHLVLVPIDHGYCLPENFEDCTFEWLYWPQSRQPFDTETIDYIRSLDAEQDIALLKFYGWELSLECSRTLRISTMLLKKGVEKGLTPYDIGSILCRETINEESKIEEIIHEANSIACPGITETVFMESISNIMDCHLNELTI >Ma08_p08940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6408339:6455657:1 gene:Ma08_g08940 transcript:Ma08_t08940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRVELRRIENRISRQVRFSKRRGGLFKKAYELAVLCDAEVALVVFSPAGKLYEFSSVLSLEKTIDRYKNFMKEDRYVGKHDDPNQNNEQGFAHSEGNSKLLEIVERVFGTDFDKLNMDDLDRLENDICVALKWIRSRKDQSMMDSLANLSAKEKSLLEERRLLQSALIMEEGSDSKKIADNSAAAEAAGTSPGNAEGRYAAWWRPPSRSSQPEVDTP >Ma08_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6408336:6455657:1 gene:Ma08_g08940 transcript:Ma08_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRVELRRIENRISRQVRFSKRRGGLFKKAYELAVLCDAEVALVVFSPAGKLYEFSSVLSLEKTIDRYKNFMKEDRYVGKHDDPNQNNEQGFAHSEGNSKLLEIVERVFGTDFDKLNMDDLDRLENDICVALKWIRSRKSMMDSLANLSAKEKSLLEERRLLQSALIMEEGSDSKKIADNSAAAEAAGTSPGNAEGRYAAWWRPPSRSSQPEVDTP >Ma05_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27963470:27967876:-1 gene:Ma05_g19510 transcript:Ma05_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDLIELKFRLSDGTDIGPNKYDPSTTVASLKEFILDRWPQDKEIAPKTINDVKLINAGKILENNRTIAESRVPVGELPGGVITMHVVVRPPVLDKNNEKQPSKAPKHNRCGCTIL >Ma03_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8123399:8125547:1 gene:Ma03_g10770 transcript:Ma03_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFTNFFKVIQTHYLFSGNPSPPPVAITSDLYHGHTNTYLPPQTPSPPLREALPLLRLSPSRHQGREDNNSCTAFGEGGKDKAMVTDGEVDADAVTVALHIGPPSPCAVDLISRISSTSEDNIGRKEGEGSDDDDDDDDDDVVPLGYPSNPIGRINKGQYWIPTPSQILLGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCSPGCPNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKSFGRGHAAYGINCLEEEEEPLSEIEQDGVQSHKGR >Ma04_p35490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34258194:34258774:-1 gene:Ma04_g35490 transcript:Ma04_t35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHAVCSMCGDIGFPDKLFRCSRCRSRFQHSYCTNFYHELPAGSAGVCDWCLSEGRIGDKYELPWKKSERRDSAEAAGRRTAYAKGDKVKQSGRVDDSDSRGSSGSSTSSSKPAGRRYKLLKDVLC >Ma03_p33610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34911093:34912548:1 gene:Ma03_g33610 transcript:Ma03_t33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLRLPFSFLILVAVFSVLSFRAAVQDGRRRERRPEVAPPANATLLRLAAVESGEAELRKDVDDLLDGTFPSGHRGPRFPHRLRGPKDYRALPDFRRPLRDWFRPPPVPSRGHVGAGRAHQTPHRSPPRPPRHQNRAVRLLRRGRQKRDPPEQRPRRPDRRPRPGDPPQQRSRQRVQPQGRIKDRPFLRQQQHPPPLRSKAGLLLSSVRRERPHRGDAGLGDLRPSQRLWLREVGGGEAPLPHEPEGGAGLA >Ma05_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5478611:5479530:1 gene:Ma05_g07520 transcript:Ma05_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMEEIFSALDATMYRPESAWISEASARENAALTRALQISLSDTTTTTSSSASADTLSSPLLLFNQQFIPPSSSSSSSSSAAAAADAILHRRGALGPAPAGRVSKRKSRASKRSTTTYIDADPANFRELVQRVTGARLEGDPAMPQLMRPELVRPAVGARADPQQICLPTLDTSAFLLDTGAVAVAVPSNDSPFGPPPVFDFDPLLPVFPTLESWAM >Ma11_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9613784:9614257:-1 gene:Ma11_g10260 transcript:Ma11_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNDGDGFFSSEGREVEEEEMEMLFSSRSFSSDSSEFYQRPTSKKKNKNVKKPQWDRRRGRKREAWGVCKGFQRLLSVSSPAGRKEKKGFAVVKRSSDPYNDFRSSMVEMITERQIFGAQDLECLLQSYLSLNSSHLHPLILQAFSDIWVVLFGH >Ma01_p02190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1476249:1484570:1 gene:Ma01_g02190 transcript:Ma01_t02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGVPTVDFMFEGQIYVQGTNALSCGIGFNRELSHFGSLPVVPQLVTGTLPTMLPVATHQIGSIALMPAQAVTQQATRHARRVYVGGLPPMANEQTIATFFSHVMSAIGGNAAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGVPVKVRRPTDYNPSLAATLGPSQPSPHLNLAAVGLLPSAIGGSEGPDRVFVGGLPYYFTDAQIRELLESFGPLRGFDLVKDRDTGNSKGYGFCVYQDPSVTDIACAALNGLKMGEKTLTVRRATASMGQMKPEQELILQQAHEHIAIQKLALQSGGASHFPGFALNANTSAEPPTKVVCLTEVVSVDELRDEEVYKEILEDMREESEKFGSLINLVIPRPGPTGEVISGVGKVFLEFANVTDSARAKVSLHGRRFGGNVVSATYYSEEKFATGDYDAMVVA >Ma01_p02190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1476252:1484570:1 gene:Ma01_g02190 transcript:Ma01_t02190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGVPTVDFMFEGQIYVQGTNALSCGIGFNRELSHFGSLPVVPQLVTGTLPTMLPVATHQIGSIALMPAQAVTQQATRHARRVYVGGLPPMANEQTIATFFSHVMSAIGGNAAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGVPVKVRRPTDYNPSLAATLGPSQPSPHLNLAAVGLLPSAIGGSEGPDRVFVGGLPYYFTDAQIRELLESFGPLRGFDLVKDRDTGNSKGYGFCVYQDPSVTDIACAALNGLKMGEKTLTVRRATASMGQMKPEQELILQQAHEHIAIQKLALQSGGASHFPGFALNANTSAEPPTKVVCLTEVVSVDELRDEEVYKEILEDMREESEKFGSLINLVIPRPGPTGEVISGVGKVFLEFANVTDSARAKVSLHGRRFGGNVVSATYYSEEKFATGDYDAMVVA >Ma01_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1469685:1484570:1 gene:Ma01_g02190 transcript:Ma01_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDRRRESRSGGGGRDRIAFEERESHRERNSHGSIREKLKMSERSRDRENEGGRDKERDWDREKERDSSRLGRKERGQDREKERNRDRRRDYERGRSTNDERGRDKFDEWDRFHNRDNDRQKYDIEKEKRQKHRSRSRSKDRFSKRTKSRSPSPSKSKRTSGFDMAPLGVSDTAIAGSLPVVPQLVTGTLPTMLPVATHQIGSIALMPAQAVTQQATRHARRVYVGGLPPMANEQTIATFFSHVMSAIGGNAAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGVPVKVRRPTDYNPSLAATLGPSQPSPHLNLAAVGLLPSAIGGSEGPDRVFVGGLPYYFTDAQIRELLESFGPLRGFDLVKDRDTGNSKGYGFCVYQDPSVTDIACAALNGLKMGEKTLTVRRATASMGQMKPEQELILQQAHEHIAIQKLALQSGGASHFPGFALNANTSAEPPTKVVCLTEVVSVDELRDEEVYKEILEDMREESEKFGSLINLVIPRPGPTGEVISGVGKVFLEFANVTDSARAKVSLHGRRFGGNVVSATYYSEEKFATGDYDAMVVA >Ma11_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26867009:26868579:-1 gene:Ma11_g23580 transcript:Ma11_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHAQVKRDLRPAGCGGDGCGARDPWPLHHVRHRTVFCRLCTSCVLRYHPGAFCTSCFDLLLDGGTSPVVRCSGCPAVAHSACLPNPAASFVCPSCSDLGGSSSYFSLVAEKSIDLKSAKVLLAAAKLAAVSMGRAAAATRADAERKVREAAVARKRAREALEKVLLLSQTEKEKKSKETDHIAAPDPRPEVMDPKKKMPKLSSTVAAMVGQKRVQNRERDRWMRFQEPIGMAQTPVQGTVEATNKADPLIGVQNHVVDEEAKGRLTSLAHAPNPMGQVVRDETGALKASQGGLVKEEGVVGTC >Ma04_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17346922:17347923:-1 gene:Ma04_g17160 transcript:Ma04_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLQSRKSIATATALNPRHQGLKCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPMGGGSRKNKKSKSSASSRLPAAPLSGFPETGSSLKFLDGLPSSVAMDFQIGLPAASGLHDPATSTVFNSNQFINFVDISRGAALSSDATTQASFNYPISAAGVLYNETKGPSISSAAGSSSIVSSIEFLSSINQDLHWKLQQQRLAMIFGGEAHKQSSSPLEIQQEFISFEVSDIATDEVCGCSESGNTCVATGTNSSMAWLPESSNYTIPTSTAISINSFGNVDGNNSGDKSSTNFNISYWNGIPAWNDIPQFSTLPYSVDMEL >Ma03_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3890642:3892921:1 gene:Ma03_g05670 transcript:Ma03_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTWSLFLASLLFLFTLPSPTLSSDVVSSSGNTNAESSVRDLCRSTPYPAACLDSLKLSVSITINPSVLSLALRTLQAAISEAAKLSSVLSSAGRPGAVVESQRSSLQDCQELHQITVASLRRSAGLVKPEARKLADARAYLAAALTNRATCLEGLAGARGPLKATLVDSWLAAYAHVSNSLSLVARSGGRKGRRLSSARPFRSRGGGGFPAWVGRRERRLLQDGDYGDVDPGSVVTVAADGTGNFTTLGEAVAWAPSNSDDRTIILVRAGVYEEHVDIPSDKTNIVLIGDGSDVTVIRGNRSVGDGWTTFRSATVAVSGEGFLARDITFQNVAGPRKGQAVALRVNADLVALYRCVMDGYQDTLYVHSFRQFYRECDVYGTVDFIFGNAAVVLQAGKIVAKMPIPGQSNVITAQSKDDPNEDTGISIQNCTIVASPELASSNVIVKTFLGRPWKNYSTTVYMESYIGGLVDPAGWKEWSGDQGLDTLYYGEYMNSGPGSPTDNRVTWPGFLVMDYDDAYSFTVSEFIYGDEWLESTSFPYDDGI >Ma05_p25510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37430884:37432759:-1 gene:Ma05_g25510 transcript:Ma05_t25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSQSRITTYGTMLSSPPPPAPPPPGGSNGSSSKKKRRPAGTPDPDAEVVALSPRTLLESDRYVCEICQQGFQRDQNLQMHRRRHKVPWKLLKRDAAASEVRKRVFVCPEMTCLHHDPRHALGDLVGIKKHFRRKHSSHRQWACAKCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCTAGQARAELQMNQAPACVSRMASNTTPSSWSGLGMPSPAAAVFLARLGHPPSSQPVRRCTENIELQLLPTSNNQHTTRPSSALSSPASEEAEVAKLQLSLGPADDHAPAKDAQASTARLKDEAMEHLKLAMAEKALADESRQQARRQLELAEHEIERAKRIRQQAQLELNRAHAIREHAVKQINSAMLQITCHACKQQFQQAKPAMASEANSFAISYMSSAVTEGDEENDEHNHQHKIPKL >Ma07_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31265746:31268066:-1 gene:Ma07_g23720 transcript:Ma07_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSSAKGGDAVAPLLPEFRPIAASNGDGGREASVPGAVFNLATTIIGAGIMSLPAAVRVLGVVPALFLVVAAAFLADASAEFLLRYAGSGGAAPFSYAAAMGEAFGRAGSLVLHVCVGLTTAGTLTVYLVIIGDVLSGSQSEGVAHIGVLQEWFGERWWNARAVALLFTAVFIMLPLVLLRRVDSLRFTSAISVLLAVVFMCISTGMALYALFQGATETPRLLPDFGHMSSIFELFTAVPIIVVAFTFHFNVHPIRAELSKTSDMMVAVRISILLCSFIYAAIGFFGYLLFGESTMADVLSNFDRDTGSPIGSLLNDAVRLSYVLHLALVFPLLFFSLRISIDELLLPQASHHASDTCRFVLLTVLLLGFIYTASILFPSIWTLFQFFGSTTAVCISLIFPAAIVLRDVEGISKTRDKVVASVMLALAVVTSSIAIGSNIVSHLGGNRE >Ma01_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3683438:3692916:-1 gene:Ma01_g05250 transcript:Ma01_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MEEKSSHLLVLYASQTGNAMDAAERVGREAERGGCPSVQVLPIDRLDASCLPDQEIVIFVVSTTGQGDIPDSMKVFWRFLLQRNLSNQWLEGLHYAVFGLGDSGYQKYNFTAKKLDKRLSDLGAKPLIEKGLGDDQHPSGYEGALDPWLLSLWKMLNTVHPNILPRVSDIMDPSKRSLDHAKIEVIYHSSDKMQPDHLTAYDLESSEVVIESACSMSPAQFHYHDKKPQYLLHMITNQRLTNVDHSRDVRHFEFEASSNVIDYQVGDVLEILPSQNPAAVDAFIQRCNLDPNCYITVKARDTEKQSVYKSIKSLVRPIKLKNFVELTMDVASASPRRYFFEVMSFYATAEYEKERLQYFASPEGMDDLYQYNQRERRTVVEVLEDFPSVQMPFEWLVQLVPPLKTRAFSISSSPSVHPNQVHLTVSIVSWTTPFKRKRHGLCSSWLAGLDPFKRRGVHIPAWVTRGSLPPPPPSLPLILIGPGTGCAPFRAFVEERALQNLTRSTSPILFFFGCRNQSVDFLYKNFWLAQAQDDGVLSADKGGGFFVAFSRDQPQKIYVQHKMKEESKRIWSLLSSGAAIYIAGSSTKMPADVTSTLEEIIAVENGMSKESAARWLRLLEKAGKFFIEAWS >Ma02_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22894248:22894616:1 gene:Ma02_g15020 transcript:Ma02_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKAKRRSISESSGQPVDKEQAKRRRGRPRKVVAEEEDKLKKKKKKVGSGGEEEERKGAEESCSSLAAAAAVAVADDDDDDDDDEGKEEAGEMEPIQHQEEEDKPRSRTRRKSQQPRKST >Ma10_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29867224:29869461:-1 gene:Ma10_g19270 transcript:Ma10_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISYECGSSILLCPEDSNSVLGFDEREEQEQDPEGEVEKRVLGHFPGKRSNFYGGFLMDSALLSEDCIASLVEKELQHLPQGNYAERLLRGQLDLAVRNDAIDWIQRVHEHYNFGPLTAYLSANYLDRFFSSYELPQGKAWMTQLLSVACLSLAAKVEETEAPFSIDLQVGEAKYVFEARTIQRMELLVLSTLKWRMQAVTPFSFIVYFLCKFHDANSPDGSLISRSIALVLATVRGVDFLEFRPSEIAAAVALSALKEIQFLEIDKALACCCIHVNKERVLRCHEVIQEMALMKNGTYASTSVSAVPKSPIGVLDAACLSYKSDDTAVGSHANCDHSSPAAKRRKPNRASTS >Ma04_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9618076:9618657:-1 gene:Ma04_g12740 transcript:Ma04_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNLLLYFLLLCFLRAKWSLATSASHAAEDRVQQPPQLLRHQPVQGLNATVRLSGDGDSGRENMQFDTVKSSKYSKKGGFGGRGRIIGAGSQSLRQPHHSRSSSPSTLQTRSSLSPLPLLLFALL >Ma06_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4610852:4612149:1 gene:Ma06_g06350 transcript:Ma06_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAGDWNCSLCQHHNFSRRDSCQQCGHPRLCSGDFSDYAGLGGGRVGSSFGAVSDVRPGDWYCSCGGHNFASRSSCHSCGAFRDESAVGVIGGFDNSEMAGSQGITYGGGGWKSGDWLCTRSGCNHHNFASRRECYRCKAPKGCGA >Ma11_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1615967:1618657:-1 gene:Ma11_g02260 transcript:Ma11_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSAFNHQEEMEKANGKPPPSRLCFLATLSVMFWIMIFYFHFTVLSSNPISNPDQPVPFSSISSKPQRISEAYEALELPKMKAPTKSKLADTRQAPEAFPFTRALQTIENKSDPCGGRYIYVHDLPSRFNADMLRDCRKLSLWINMCKFTSNAGMGPPLENSDGVFSNTGWYATNQFAVDVIFNNRMKQYECLTEDPSIAAAIFVPFYAGFDIARYLWGYNTSVRDAASLDLVEWLMKRPEWSVMGGRDHFLVAGRITWDFRRLTDSETDWGNKLLFLPAARNMSMLVVEASPWNANDFGIPYPTYFHPAKDADVFIWQDRMRKLERKFLFSFAGAPRPDNPESIRGKIIDQCKRSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSILAGCIPVFFHPGSAYIQYTWHLPRNYSTYSVFIPEDDIRKRSVSIEERLKQIPPHVVETMRETVISLIPSLIYADPRSKLETLNDAFDVAVQAIIDKVTRLRRDMIEGHEEKDVIEENSWKYALLEDGQRTVGPHEWDPFFSKPKDGNGESGTSSAEAAKNSWKNEQRSQT >Ma10_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24702181:24703161:1 gene:Ma10_g11090 transcript:Ma10_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSNLPPGFQFFPSDEELVVHFLRPKAAHLPCYPDIIPTIDLHHCDPWDLDGKALQGGNRHWYFFTRRSQNRATANGYWHAVSTDETVTSNHTPVGIKKTLRYYLGEAPEGIKTNWLMHEYHLPDAGLGSSSSSNGGSTSSSNSSRRKRGGQPTRTESNKWVVCRVHESAGGSQSSFHDDGSELSCLDEVFLSLDDLDDISLPN >Ma09_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34182219:34194587:-1 gene:Ma09_g22220 transcript:Ma09_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCIEADAAEDKGSRHTMEDASVVLLDASLESPGKLRCAHFAVYDGHGGRLAAEYAQKHLHANVLAAGLPRELMDIKAAKKAIFEGFRRTDESLLQESAKGNWQDGATAVCVWVLGEMVLVANIGDAKAVLARSPSVEGSQTLSDERGSPLKAIVVTREHKAIYPQERARIQKAGGSVGSNGRLQGRLEVSRAFGDRQFKKFGVISSPDVHSFELTEREHFMILGCDGLWGVFGPSDAVDFVQKQLKETSSATTAARRLVREAIRERRCKDNCTAIVIMFKHERHS >Ma09_p22220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34184172:34200180:-1 gene:Ma09_g22220 transcript:Ma09_t22220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKRGANFNDDDPITKRSKGIDVDTGVENKSVNGDCSVSVNNQSVSDEMNGTKESNFDDKLSDTPHQASMVTCIEADAAEDKGSRHTMEDASVVLLDASLESPGKLRCAHFAVYDGHGGRLAAEYAQKHLHANVLAAGLPRELMDIKAAKKAIFEGFRRTDESLLQESAKGNWQDGATAVCVWVLGEMVLVANIGDAKAVLARSPSVEGSQTLSDERGSPLKAIVVTREHKAIYPQERARIQKAGGSVGSNGRLQGRLEVSRAFGDRQFKKKRSPEEIDSSSLVSRLM >Ma09_p22220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34182219:34200180:-1 gene:Ma09_g22220 transcript:Ma09_t22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKRGANFNDDDPITKRSKGIDVDTGVENKSVNGDCSVSVNNQSVSDEMNGTKESNFDDKLSDTPHQASMVTCIEADAAEDKGSRHTMEDASVVLLDASLESPGKLRCAHFAVYDGHGGRLAAEYAQKHLHANVLAAGLPRELMDIKAAKKAIFEGFRRTDESLLQESAKGNWQDGATAVCVWVLGEMVLVANIGDAKAVLARSPSVEGSQTLSDERGSPLKAIVVTREHKAIYPQERARIQKAGGSVGSNGRLQGRLEVSRAFGDRQFKKFGVISSPDVHSFELTEREHFMILGCDGLWGVFGPSDAVDFVQKQLKETSSATTAARRLVREAIRERRCKDNCTAIVIMFKHERHS >Ma09_p22220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34182219:34200180:-1 gene:Ma09_g22220 transcript:Ma09_t22220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKRGANFNDDDPITKRSKADTPHQASMVTCIEADAAEDKGSRHTMEDASVVLLDASLESPGKLRCAHFAVYDGHGGRLAAEYAQKHLHANVLAAGLPRELMDIKAAKKAIFEGFRRTDESLLQESAKGNWQDGATAVCVWVLGEMVLVANIGDAKAVLARSPSVEGSQTLSDERGSPLKAIVVTREHKAIYPQERARIQKAGGSVGSNGRLQGRLEVSRAFGDRQFKKFGVISSPDVHSFELTEREHFMILGCDGLWGVFGPSDAVDFVQKQLKETSSATTAARRLVREAIRERRCKDNCTAIVIMFKHERHS >Ma10_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24449888:24453434:1 gene:Ma10_g10570 transcript:Ma10_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDDVIWQVIRHNHCSYMAKITTGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNELWERVKLPRNYEKALETIGKHLEYWPKLLVHKIKQRLTKMTQYRIRMRKLELKVREKIITVPRKEKKREARREEKAEKAAILDKSIENELLERLKKGVYGDIYNYPVQAYNNVLEMEGLQPSAEEEDEEEPEVEYVEGYDGLEEEEDMEDFGGFVKNESLMDDDYDEMDEENEEIEPLDQHPAKKIRKASASEARKIEGGDSGKKSKRKGRVIIEVEQDEELGERQKAHV >Ma06_p32770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33620125:33623751:-1 gene:Ma06_g32770 transcript:Ma06_t32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKWEDEENSGRGFGDIVNPNPKFLRSVEGKERCSTRRVVRSSCRTEEVEPGRFVRKCEKTEQTLRDCVGRPVEVVESKTEHTEDDVTDEVTRGSLPLDSPSLEPFNLPGLRRDIEGIEQRLFGGIGHFLEAAEEITNEFFKSLGDPSWRRGDSAPSGGPRIPSDRQLKEDPAKPKSESEYSEYAGQMADV >Ma01_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7515118:7515850:-1 gene:Ma01_g10430 transcript:Ma01_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLPGSSSIKFHEPGISSNRMARLALPIKLFARWLLPFSGGTASIGSSDCAICLCRMERGEETRELRCRHPFHKSCLDKWLEQPGRCCPVCREDAGAGEKASESRKRAELRDGGVIVFFPWEEEDFRSDAWWIR >Ma10_p27670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34842963:34843827:-1 gene:Ma10_g27670 transcript:Ma10_t27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLAFLLLVLATASVAGTWAMGPIDTEVSWKLARFASAEDGLGDAAPACDGLVGECLDEDNELDMEAEDPRRFLSPAHGRQRFISYGALSRNRVPCNRRGHSYYNCRRSGRANPYRRGCSVITRCARILD >Ma02_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18583325:18588822:1 gene:Ma02_g08140 transcript:Ma02_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIPEMAIKHPVAVMKHLKPLSVVASSLQPPKSISIAQFSNTIPSEEVLNFWRSVDAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTTKAMSGSVPFEEALAARLSLFNPSLSQVKDFLEKRPPRISPGIAELTKKLMAQNIDVYLISGGFRQMINPVALQLGIPLENIFANQLLFGSSGEFVGFDSKEPTSRSGGKATAVQQIRKVHDYKALVMIGDGATDLEAKKPGGADLFICFAGVQMREAVAAKADWLVFNFEELLTSMS >Ma10_p27350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34686757:34694530:1 gene:Ma10_g27350 transcript:Ma10_t27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHVGMGLCKVLLWAGAGYTGSVLLRNGMLSDILSDLQQMVKGLEQSAEKAGVDREHGDALASQVRQLGMEVRQLASVRPITVLNGNSGSAGNMSSLAIPAATLGALGYGYMWWKGISFSDLMYVTKSNMANAVASMTKHLENVSSALAQAKRHLTQRIENLDGKLDEQKEISGEIKKEVIDARGKLDDIGLELIALQQLVWGLDGKMSAIEDKQNFACAGVMYLCQFVGGKGGKMPDYLLDGPKNAAKHGFLGSGEARSLKGLQHIAEAIESGNFDNRRTEAILQNDVDTLDNLKILSRTTSLKC >Ma06_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4532070:4532535:1 gene:Ma06_g06170 transcript:Ma06_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRATIYRMSFLTALIMASHMPCDAARHLLDTTEAPAAVPTIPTFTVPSLPTLPPMPVVPTVPNLALPPMPVVPTVPKVTLPPLPAIPSIPKVTIPPMPSIPVMPSIPNMPTVPFFTPPPAAAP >Ma03_p09940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7389672:7401391:-1 gene:Ma03_g09940 transcript:Ma03_t09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGVEARINDANCYLQMFELYETHSKFYMIGRNQSKALWRVLKIDRSESSELNICEDSTTYSESECKELLNRVHEGNKSTGGLKFVTNCYGIAGFVKFLGPYYMLLITERKEIGVIFGHTVYAVTKSEIIAVQNSNVQCDMANAKMEYRYKKLFGMVDLTKDFFFSYSYHIMQSLQKNVCESQSGHMIYETMFIWNEFLTREVHQRLKNTLWTVDLVYGFFKQVKLSICGKDFWLTLIARRSRHFAGTRYLKRGVNGNGSVANDVETEQIVFKDIPGGTPTCLSSVVQNRGSIPLFWSQETSKLNLKPDIILKKDKDYEATRLHFENLANRYRNPIIILNLIKSEEKKPRESILRSEFADAIEFINKNLSEESHLKYLHWDLHKHSRRKAKNVLTLLGKVASRTLKLTGFFYCETTPAPRHEGDLRWPNFVSGGNTTGTDDIDKFEDGTQEDNMDSDISGLETAQVQVSNGGHCSVKSLRYQTGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALNLSSLPSIHLDASLADDLMTYYETMGDTLSLQYGGSAAHKKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDVEKQAAIDLFLGHFQPQLGEPAIWELNSLQHYGFGKNGHAFTDENSRTFIRRSLSDGNILHESCTPLSSCGFGQKDLSCSTLSEREHQEQVECLSDSSLTTEISKCDADVSHHRHNSMLSHGQLFADSAYSYLYKDWQSNFLGLDSLLSSGNSFEGEINDRSIILNSAVKNHPSKHVTNGRTNEAATLLIENRFIIKGEKIDIKLPYNTIETANTASEFSDSFVQWVNNGETLCH >Ma03_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7389672:7401391:-1 gene:Ma03_g09940 transcript:Ma03_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGVEARINDANCYLQMFELYETHSKFYMIGRNQSKALWRVLKIDRSESSELNICEDSTTYSESECKELLNRVHEGNKSTGGLKFVTNCYGIAGFVKFLGPYYMLLITERKEIGVIFGHTVYAVTKSEIIAVQNSNVQCDMANAKMEYRYKKLFGMVDLTKDFFFSYSYHIMQSLQKNVCESQSGHMIYETMFIWNEFLTREVHQRLKNTLWTVDLVYGFFKQVKLSICGKDFWLTLIARRSRHFAGTRYLKRGVNGNGSVANDVETEQIVFKDIPGGTPTCLSSVVQNRGSIPLFWSQETSKLNLKPDIILKKDKDYEATRLHFENLANRYRNPIIILNLIKSEEKKPRESILRSEFADAIEFINKNLSEESHLKYLHWDLHKHSRRKAKNVLTLLGKVASRTLKLTGFFYCETTPAPRHEGDLRWPNFVMDDVGDCLCNNHCSSSGGNTTGTDDIDKFEDGTQEDNMDSDISGLETAQVQVSNGGHCSVKSLRYQTGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALNLSSLPSIHLDASLADDLMTYYETMGDTLSLQYGGSAAHKKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDVEKQAAIDLFLGHFQPQLGEPAIWELNSLQHYGFGKNGHAFTDENSRTFIRRSLSDGNILHESCTPLSSCGFGQKDLSCSTLSEREHQEQVECLSDSSLTTEISKCDADVSHHRHNSMLSHGQLFADSAYSYLYKDWQSNFLGLDSLLSSGNSFEGEINDRSIILNSAVKNHPSKHVTNGRTNEAATLLIENRFIIKGEKIDIKLPYNTIETANTASEFSDSFVQWVNNGETLCH >Ma10_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7867969:7868244:1 gene:Ma10_g02410 transcript:Ma10_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSRMPTFDVPKFSMEFVAHRYIYIYFFSFVQIMSNDEYKSVEHRVLANPHQEARVSVATFFNPGKRGESVFYGPLPELLSPVKPARYKL >Ma04_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6421815:6422846:1 gene:Ma04_g08990 transcript:Ma04_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKAGDWICRSCQYDNFCWRESCQRCKKAKVGGDEGDRGSPNASWDVKPGDWRCACGVHNYASRPSCFKCGASKDDAASAVAQSWGSASTSQSQPGLKSGDWICTRSGCNKHNYASRMECFRCNTPRNYGELDLVKRLYLHQPAMLVSYITGGLFFSGSGY >Ma08_p34430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44429939:44431033:-1 gene:Ma08_g34430 transcript:Ma08_t34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAASVAIPSSPSPLALFSPSRSVPRRPSFFPIASKNREDEADLSSKSESTSLVPFLSNRAPISPLPKDTAMGLVLSAATGRGWTTGSGMEGPPVPADTDSADQAVLTFPWSLYTRSPRRRMRVVFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHGMKCYVSPSFRYKGDMPIDYTDADGDQDIFPIV >Ma11_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24449021:24451434:-1 gene:Ma11_g19690 transcript:Ma11_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLSASVHHEGMEKANGKPRPSRLCFLATLSAMFWIMIFYFHFTVLSSNSINNPEQSVSYSIPSKSQRISEAYEALELPKMKVQSQLKPSDQQAPEVFPFTRALQTIDNKSDPCGGRYIYVHDLPSWFNADMLRDCRKLSLWTNMCKFTGNAGLGPPLQNAEGVFSNTGWYATNQFAVDVIFNNRMKQYECLTKDSSIAAAIFVPFYAGFDIARYLWGYNISVRDSSSLELVDWLMKRPEWSVMGGRDHFLVAGRITWDFRRLTDSNSDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDAEVFIWQDRMRELKRRYLCSFAGAPRPGNPKSIRGQIIDQCQRSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYIQYTWHLPRNYSTYSVFIPEDDIRKKNVSIEERLKQIPPDVVEIMRETVISLIPKLIYADPRYKLETLKDAFDVAVQAVIDKVTQLRRDIVEGHEDKDFIEENSWKYALLEDGQRMVGPHQWDPFFSKPKDGNGDSGTSSAEAAKNSWQNEQRSQV >Ma02_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17982308:17985298:1 gene:Ma02_g07190 transcript:Ma02_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVPLLAVIVLALALALLLISSRFNPSPSPKSLLSSSPTFHSAPRLKVFVADLPRSLNYGLLDEYWALSTPDSRIGVDPDAGLRPAVAAAAPWKPYPENPLIKQYSAEYWLLGDLETPSGRRGSSFAERVYDLDRADVVFVPFFATLSAEMELGWGRKGGFLKKEGNEDYRRQREAVDRIRESEAWRRSGGRDHVFVLTDPVATWHVRSEIAPAILLVVDFGGWYKIDSKESANSSNMIHHTQVSLLKDVIVPYTHLLPRLRLSENRHRRNLLYFKGAKHRHRGGLIREKLWDLLVNEPGVIMEEGFPNATGREQSIKGMRSSEFCLHPAGDTPTSCRLFDAILSLCIPVIVSDEIELPFEGMIDYSDFSVFVPVSKALQPKWLVNYLRSISEQRKRRYRLNMAQVQPVFEYDNGNPGGIGPVPPVGAVNHIWRKIYQKVPIIREAIIREKRKPEGVSIPLRCHCT >Ma05_p21430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33093559:33102511:1 gene:Ma05_g21430 transcript:Ma05_t21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSSLLPGDHQPATAPAAAEQQNNHLHSNHQKQQRRSFSVEAGEGGGGGEVTAFAEFSLAELKAATNGFSAENIVSESGDKAPNLVYKGRLQNRRWIAVKKFTRTAWPDPKQFAEEAWGVGKLRHRRLANLIGYCCEGDERLLVAEYMPNDTLAKHLFHWENQSIGWAMRLRVSFCIAEALEYCSNEGHPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPTHALDMIRGKNILVLMDSHLEGNFSTEEATTLVDLASQCLQYEPRDRPNTKKLVAALAPLQTKSETPSYVMLGIQKHEEAPATPQHPLSPMGEACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDILEARRRGDFAFRDKDFKTAIECYSQFIDVGTMVSPTVYARRSLCHLMCDHHDAALRDAMQAQCVYPDWPTAFYMQAAALAKLNMQSDAVDMLQEASMLEEKRQKGGKGS >Ma05_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33093559:33102511:1 gene:Ma05_g21430 transcript:Ma05_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSSLLPGDHQPATAPAAAEQQNNHLHSNHQKQQRRSFSVEAGEGGGGGEVTAFAEFSLAELKAATNGFSAENIVSESGDKAPNLVYKGRLQNRRWIAVKKFTRTAWPDPKQFAEEAWGVGKLRHRRLANLIGYCCEGDERLLVAEYMPNDTLAKHLFHWENQSIGWAMRLRVSFCIAEALEYCSNEGHPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPTHTPSYVMLGIQKHEEAPATPQHPLSPMGEACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDILEARRRGDFAFRDKDFKTAIECYSQFIDVGTMVSPTVYARRSLCHLMCDHHDAALRDAMQAQCVYPDWPTAFYMQAAALAKLNMQSDAVDMLQEASMLEEKRQKGGKGS >Ma01_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4015345:4015661:1 gene:Ma01_g05670 transcript:Ma01_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIMRCIWASSTSMAQRSSTPPSDVRIRVGVSIDRSATNNI >Ma10_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26640601:26641992:1 gene:Ma10_g14110 transcript:Ma10_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCDIDGQRLTAASIVGHDGSVWAQSELFPQYKPEEISAIMKDFDEPGSLAPTGLYLAGTKYMVIQGEPGAVIRGKKVRLYTLLID >Ma02_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24691390:24694453:1 gene:Ma02_g18000 transcript:Ma02_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRYSDSSVQSDIKLWPFKVIAGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATTSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGIINVSAEDKTTGQKNKITVTNDKGRLSKGEIEKMVQEAEKYKGEDEEHKKKIEAKNALENYSYNMRNTIRDEKIAAKLPAEDKKKIEDAVEQAISWLDGNQLAEADEFEDRMKELESICNPIIAKMYQGAGGGMGGGMDEDIPSTGGSSGAGPKIEEVD >Ma09_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2113627:2114116:1 gene:Ma09_g03070 transcript:Ma09_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRSSFSFLLETGCGIYIAQNYNVPNIKKLISTWMFVANHIEETYRKPNKDVIRRYRETIADDT >Ma04_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3702591:3706663:1 gene:Ma04_g04870 transcript:Ma04_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSFVKCVTVGDGAVGKTCMLISYTSNTFPTDYIPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKGSYENVSKKWIPELRHYAPGVPIILVGTKLDLRDDEQFFIDHPGSTSITNAQGEELRKQIGAPCYIECSSKTQENIKPVFDAAIKVALQPSNRKKKKKKAQKGCFIL >Ma06_p11550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8047307:8051737:-1 gene:Ma06_g11550 transcript:Ma06_t11550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEWCLLNKDTLVIKPLKKSHLALRMFVLAAVMICGVYIFSVCLKQIGSQSMPRFVKFELTEEPCSSVGVPPSEASYLHYPKPTTYSREECACTPVRKFAILSMQRSGSGWFETLLNSHVNISSNGEIFSIKERRNNMSSIIRTLDKVYNLDWYSSASKNECTAAVGFKWMLNQGLMANHDEIVKYFNERGVYAILLFRRNLLRRLVSQLANDHDRRTKQLNGKHRAHVHSEYEANVLAKYKPTLNATELMSSLKTANECVTNALDYFNSTRHIVLYYEDLILNHTKLVDVLKFLGVPQRLMVSRHVKIHKKPLSKQVENWEDVYNAIKGTEYQSFLNADYKL >Ma06_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8047307:8051264:-1 gene:Ma06_g11550 transcript:Ma06_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQDTLVIKPLKKSHLALRMFVLAAVMICGVYIFSVCLKQIGSQSMPRFVKFELTEEPCSSVGVPPSEASYLHYPKPTTYSREECACTPVRKFAILSMQRSGSGWFETLLNSHVNISSNGEIFSIKERRNNMSSIIRTLDKVYNLDWYSSASKNECTAAVGFKWMLNQGLMANHDEIVKYFNERGVYAILLFRRNLLRRLVSQLANDHDRRTKQLNGKHRAHVHSEYEANVLAKYKPTLNATELMSSLKTANECVTNALDYFNSTRHIVLYYEDLILNHTKLVDVLKFLGVPQRLMVSRHVKIHKKPLSKQVENWEDVYNAIKGTEYQSFLNADYKL >Ma08_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2366797:2369203:1 gene:Ma08_g03160 transcript:Ma08_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVGRLKEEMQRLGQLQPDGSYKVKFGVLFNDDRCANIFEALVGTLRAAKKRKVVKYEGELLLQGVHDNVEITLLPLPATTTA >Ma06_p32530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33447706:33449189:1 gene:Ma06_g32530 transcript:Ma06_t32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKRGPWSPEEDATLKAYIEKHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGGFSVEEDHIICSLYSSIGSRWSIIAAQLPGRTDNDIKNHWNTRLKKKLLGKPREPPQPRCLSVNQVSTEVANAMSLQTHPQTLSAPALERMQLRGCEDPFFYHHPLGDKLFEDQHTDTSTSTTPIDSSHRRLLQVKQEIQILLNQTVQENMDCSVPGCPPSDAGGLAESSFSDCNSLVAGLSDLHEIFHGKEPFLGLQEANQSTESDCFKEMYREKERDGMSLWSSMASSLHPDSVLQEYLLGYDL >Ma03_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9432433:9435623:1 gene:Ma03_g12190 transcript:Ma03_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAAAATAITKPSLSCSGLRFSSDINSIPPSTPVAAAAGFRSSCSAARSFRVRSAATKPAKSPAEEDWKTKRELLLQKRVRSVDVKEALRLQKENNFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFIQSVESKLDKDAKIIVACSTGGTTKPSQNLPEGQQSRSLIAAYLLVLNGYKNVYHLEGGLYTWFKEGLPAAAAAAAEEEE >Ma09_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7698639:7699553:1 gene:Ma09_g11390 transcript:Ma09_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESINPSANSAFRPKDGSASSEESGWTMYFEDFMASEERKAAGGFSFGVVSGSSMISDAASCVAWKPSSASLQVSENYRKLSLKKRKGLLHDDSLEDTASSPVNSPKVADLSYLIGDPNKNDDCRDTAQEDDVGNRNGLELNQTLNGFDFVEKTDECRELKKRGLCLVPLSMLVDYLG >Ma01_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1112306:1115890:-1 gene:Ma01_g01600 transcript:Ma01_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKAKSGLSEALNGKTASATPKISKIARNGSTKSDSGSPSPVPKPTSPAPKPSSPVPKSRSSIERSPKSAESKPPIKTGPTPEKLQRKSKGSDLQAKLDVAEDDLKKAREQLAFVEQEKIRAIDELKEAKRLTNDANEKLQEAITAKKMAEENLEIEKFRADELEQANVEAAQKREEDHQKELESIRNQHALSPSTLLSVTRELQKVKLELANATDERNTALGEADGAKKVAEMNGEKVEVLSREVSHLKSLLDSKLDNMNKAAAEMIKKLNVEINALEFELERAKSAEEKLPKIEALVGQLRMEVTDVKKAETDARKQVDELKKVVASLETRLKEANKSEKTAIESLAITMKKMEEGTALLQDAETEIAVLNGKIESMEIKVARCKNDVEESERNLDLSQQEIASLGKTADLLKIELRKLEEEKLQALDNGKAAASDIERLLEERSKLIDELKISKVEGEEVKKAMEGLASALHEMSTESRENQERLLAKQAQIEDAQGEIEQLNSELKNTEDRYEVMLDEARYEIVCLKKTVERFETETGNSSTEWQTKELNFIHSIKKSEFELASLKAEMAEAVDSLKAAEQEAQAAKADGVEMLSKVREAESVATAAYEVAEEAKAETLRLKERLLDKENELQSITQENDELRIREASALQKIKELSSLPEEATAKRTEESIKLSKCGEEYDLPPNISQEMNAHESAIERSNPEAPSWMHENGYEDDQKTEENEKGSQIDEEEDHVDKMTKDLASEKDHEAESLDDDSESKMDGGSYEDINGMTESMDLGSTSPTKNQQQKKKKALLHKFANLMKKKGSHKSHK >Ma11_p24320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27320008:27329051:1 gene:Ma11_g24320 transcript:Ma11_t24320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLRDFYIPDYILVPDSGKEDVSEEPTCPVIVFVNSRSGGQLGGDLIKTYRELLNRVQVFDLGEEVPEKVLQRLYANFEKLKAEGDKLAEHIERTLRVIVAGGDGTAGWLLGVVCDLKLAQPPPIATVPLGTGNNLPFSFGWGKKNPGTDHQSVKFFLDEVKKAKEMKIDSWHIVMRMRTPKEGTCDPIAPLELPHSLHAFQRVSSLDSLNVEGYHTFRGGFWNYFSMGMDAQISYAFHSERKLHPEKFKNQIINQTTYARLGATQGWFLASLFHPSSRNIAQLANVKIMKRPGHWENLHIPHSIRSIVCLNLPSFSGGLNPWGTPNQKKATERDLTRPYVDDGLLEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISHLGQVNMLATRDCISKSINDPSTPSAASHHGDEDSGDSEDEWEGGRKKFGAAETFKLPEDIDIAHLS >Ma11_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27320008:27329051:1 gene:Ma11_g24320 transcript:Ma11_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLRDFYIPDYILVPDSGKEDVSEEPTCPVIVFVNSRSGGQLGGDLIKTYRELLNRVQVFDLGEEVPEKVLQRLYANFEKLKAEGDKLAEHIERTLRVIVAGGDGTAGWLLGVVCDLKLAQPPPIATVPLGTGNNLPFSFGWGKKNPGTDHQSVKFFLDEVKKAKEMKIDSWHIVMRMRTPKEGTCDPIAPLELPHSLHAFQRVSSLDSLNVEGYHTFRGGFWNYFSMGMDAQISYAFHSERKLHPEKFKNQIINQTTYARLGATQGWFLASLFHPSSRNIAQLANVKIMKRPGHWENLHIPHSIRSIVCLNLPSFSGGLNPWGTPNQKKATERDLTRPYVDDGLLEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISHLGQVNMLATRDCISKSINDPSTPSAASHHGDEDSGDSEDEWEGGRKKFGAAETFKLPEDIDIAHLS >Ma04_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23671326:23673292:1 gene:Ma04_g21010 transcript:Ma04_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASVASSTAAAILYCVSPSPVYSGTNRSPLEKRPSSLSWSSSIPLVPISSQQLRKPPSSITPSRQVGVVQAAWTRRSRDEAAKRPNKKSWKQKTDMYMRPFLLNVFFSKRFIHAKVMHRGTSKVISVASTNAKDLRNTLPSLTDNDACRTIGQLIAERSKDADVFALSYEPKKNERIEGKLAIVLDTIKENGIIFV >Ma06_p32460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33397923:33401722:-1 gene:Ma06_g32460 transcript:Ma06_t32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCFDRWEKDPFFSSAEEVQESADRLESVYRRWIHESKDAPVLNRSGGAEDSSKELLSELRTALGTAKWQLEEFAKAVRSNDKACSAGDGTRARHDQFVSAIENKISEVEISLLQCNRNHRETKLTWVQLDEGERDELALFLSLPSLPKQENLVAAPAESQSNMNVEGEHRKCIHNLDEISRMGGNEKVQGHRRIVSASGDIGSWKISLSPEDGPCRSSEESIVLPPHKVPSLSCLMKAIESTSNVKLSKNGFRKWKGHDHHQPEELIPLRNNSQDTNVCYEKSKSWLNCSGAEDYNKQFYGWLGAFNRQLQRSQYHIQYGRLTQIFVSAIFVILLLVLLVVRAI >Ma01_p02210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1497297:1505579:1 gene:Ma01_g02210 transcript:Ma01_t02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MPFKIWKEATIHFCWLRHDFSADIGVILGDTKEHCRNYKGPRWRAAAVFVIGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMALGNILGFSSGSSGLWHRWFPFLTTEACCEVCGNLKAAFLVAVVFLTFCMLVTLYFAKEIPLEPKPAQHLSDSSPLLKDPEQYQHLSLQAKWEKLDNGHNSRINMMDDRASTDASVDFGHGSDRGQIEALDDGPTAVLVNILTSLRHLPPGMHSVLLVMALTWLSWFPFFLFDTDWMGREVYHGNPNGDTTQQADYQNGVREGAFGLLLNSVVLGASSFFIDPMCRKMGARLVWAMSNFTVFICMAATTVISLLSISEYSNGIQHVLGGNKAIKVAALVIFSVLGFPLAITYSVPFSVTAELTAGSGGGQGLATGVLNLAIVIPQMIVAIGAGPWDALFGGGNIPAFALASVFSCAAGIFAVLKLPGLSNAYTSVGFHGFG >Ma01_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1491610:1505579:1 gene:Ma01_g02210 transcript:Ma01_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC3 [Source:Projected from Arabidopsis thaliana (AT2G02860) UniProtKB/Swiss-Prot;Acc:O80605] MATEAVPVRLPYRHLDDAEVELARLDGDPNGQIHESEVSCSVPIPSSSPSSSSSPSRPRERTGWKTLILSCMVAAGVQFGWALQLSLLTPYIQTLGIEHVFSSFIWLCGPITGFVVQPCVGIWSDKCHSKYGRRRPFIFVGCVMISFAVILIGFSADIGVILGDTKEHCRNYKGPRWRAAAVFVIGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMALGNILGFSSGSSGLWHRWFPFLTTEACCEVCGNLKAAFLVAVVFLTFCMLVTLYFAKEIPLEPKPAQHLSDSSPLLKDPEQYQHLSLQAKWEKLDNGHNSRINMMDDRASTDASVDFGHGSDRGQIEALDDGPTAVLVNILTSLRHLPPGMHSVLLVMALTWLSWFPFFLFDTDWMGREVYHGNPNGDTTQQADYQNGVREGAFGLLLNSVVLGASSFFIDPMCRKMGARLVWAMSNFTVFICMAATTVISLLSISEYSNGIQHVLGGNKAIKVAALVIFSVLGFPLAITYSVPFSVTAELTAGSGGGQGLATGVLNLAIVIPQMIVAIGAGPWDALFGGGNIPAFALASVFSCAAGIFAVLKLPGLSNAYTSVGFHGFG >Ma09_p03600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2383240:2387735:1 gene:Ma09_g03600 transcript:Ma09_t03600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSRSSSTLKDELEQDVDGKGEEDEETAHGFSMKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQLFYGFMGSWTAYLISVLYIEFRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKNIYLLATVYVFTLTLPSAAAVYWAFGDQLLTHSNAFALLPKSGWRDAAVILMLIHQFITFGFASTPLYFVWEKVIGMHDAKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAMAHMLTYRTPSARQNAAEKPPFFLPSWTAMYAVNAFVVGWVLVVGFGLGGWASMTNFVRQVDTFGLFAKCYQCPKPQPPPAPAPQMQQHHH >Ma09_p03600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2383239:2387735:1 gene:Ma09_g03600 transcript:Ma09_t03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSRSSSTLKDELEQDVDGKGEEDEETAHGFSMKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQLFYGFMGSWTAYLISVLYIEFRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKNIYLLATVYVFTLTLPSAAAVYWAFGDQLLTHSNAFALLPKSGWRDAAVILMLIHQFITFGFASTPLYFVWEKVIGMHDAKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAMAHMLTYRTPSARQNAAEKPPFFLPSWTAMYAVNAFVVGWVLVVGFGLGGWASMTNFVRQVDTFGLFAKCYQCPKPQPPPAPAPQMQQHHH >Ma09_p03600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2383239:2387735:1 gene:Ma09_g03600 transcript:Ma09_t03600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSRSSSTLKDELEQDVDGKGEEDEETAHGFSMKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQLFYGFMGSWTAYLISVLYIEFRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKNIYLLATVYVFTLTLPSAAAVYWAFGDQLLTHSNAFALLPKSGWRDAAVILMLIHQFITFGFASTPLYFVWEKVIGMHDAKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAMAHMLTYRTPSARQNAAEKPPFFLPSWTAMYAVNAFVVGWVLVVGFGLGGWASMTNFVRQVDTFGLFAKCYQCPKPQPPPAPAPQMQQHHH >Ma09_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2383239:2387735:1 gene:Ma09_g03600 transcript:Ma09_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSRSSSTLKDELEQDVDGKGEEDEETAHGFSMKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVILQLFYGFMGSWTAYLISVLYIEFRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQVEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKNIYLLATVYVFTLTLPSAAAVYWAFGDQLLTHSNAFALLPKSGWRDAAVILMLIHQFITFGFASTPLYFVWEKVIGMHDAKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAMAHMLTYRTPSARQNAAEKPPFFLPSWTAMYAVNAFVVGWVLVVGFGLGGWASMTNFVRQVDTFGLFAKCYQCPKPQPPPAPAPQMQQHHH >Ma07_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28931200:28933449:-1 gene:Ma07_g20920 transcript:Ma07_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMNGSPSPPSVSPIGFEGYEKRLEITFSEAPTFVDPRGRGLRSLSREQIDSILDLARCTVVSQLSNSEFDSYVLSESSLFVYPYKIILKTCGTTKLLLSIPRILELAMELSLAVLSAKYSRGTFNFPGAQPSPHRSFAEEVAVLNQFFGSLNSGGNAYVMGDPTKPNRKWHIYYATQKAELPMVTLEMCMTGLNTERASIFFNNSVDGYSSAKEMTKFSGISDIISEMDVCDFAFEPCGYSMNGIHGPALSTIHVTPEEGFSYASYEAMGFNPSSLVYSGLIKRVLKCFGPSKFSTAVTIFGRHGLSGTWGRKLDLDGYACTDLVEQELPGGGLLIYQAFAVSAVTVVSPRSILHSCDRDLKYDNRGIHWENEETEGEEPKIGS >Ma07_p20920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28931200:28933451:-1 gene:Ma07_g20920 transcript:Ma07_t20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMNGSPSPPSVSPIGFEGYEKRLEITFSEAPTFVDPRGRGLRSLSREQIDSILDLARCTVVSQLSNSEFDSYVLSESSLFVYPYKIILKTCGTTKLLLSIPRILELAMELSLAVLSAKYSRGTFNFPGAQPSPHRSFAEEVAVLNQFFGSLNSGGNAYVMGDPTKPNRKWHIYYATQKAELPMVTLEMCMTGLNTERASIFFNNSVDGYSSAKEMTKFSGISDIISEMDVCDFAFEPCGYSMNGIHGPALSTIHVTPEEGFSYASYEAMGFNPSSLVYSGLIKRVLKCFGPSKFSTAVTIFGRHGLSGTWGRKLDLDGYACTDLVEQELPGGGLLIYQAFAVSAVTVVSPRSILHSCDRDLKYDNRGIHWENEETEGEEPKIGS >Ma10_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22480778:22482655:1 gene:Ma10_g08200 transcript:Ma10_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLVLPDQIMEEKCLRTLILVYSPRTKIIEDNVLRKLSHLRVLVLSGTSIKRIPDCIGDLLQLRYLDLHETNIYEIPESIGRLANLQTLNLWNCQYLHRLPKSITMLHSLRCLDIENVPLTHVPKGIGKLMDLNHLEGFVVGHNDPTNKLHEKGCDLKELQALSKLRCLTIYRLERTLTGVSEEEEEENKEDNRDKDEGQEVTWNEKEFQAVEKVCDELSPPSSLEDLIFQRFPGRQFPGWLMSTSLDKSFPNLAYLRIWYLKSCTELPPLGMLPLLKHLDITGGEAIKTIGPEFLGRNLPGASAFPKLEYLEFYGMPNWEEWSVWGMEENGQGPHLKLFPNLKTCKIIDCPKLRALPEGLSHATNLKELYLERTHDLREITNLRLNYKLEVKDNTMLNRISNLSMKYLKVEDCPNLEYVENLDRLQQLVLICPRQMKQLPQWLSTLIQQRQSIPSAQWSFRKLELQCNIVLLKSCLEGNENWHIIQQIPDVIIQTYSRKKYIRYSKHPPMYDAKV >Ma02_p04770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16171632:16196287:1 gene:Ma02_g04770 transcript:Ma02_t04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSDPFQEEDVNPFAETGRRGKVAGSNYGGGPLSSADLKKKERELQAKEAELDKREKELKRKEQAAAQAGVVMDEKNWPPFFPIIHHDIASEIPIHLQRLQYLAFASLLGLTACLFWNVIAVTTAWIKGEGVKIWFLAIIYFISGVPGAYFLWYRPLYRAMRTESALNFGWFFLFYLLHIVFVIYSAVAPPIIFKGKSLTGILPAVDLISSKVLVGIFYFVGFGLFCLEALLSVWVMQKVYMYFRGSGKAAEMKREAARGAMRAAI >Ma02_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16171632:16196287:1 gene:Ma02_g04770 transcript:Ma02_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDSDPFQEEDVNPFAETGRRGKVAGSNYGGGPLSSADLKKKERELQAKEAELDKREKELKRKEQAAAQAGVVMDEKNWPPFFPIIHHDIASEIPIHLQRLQYLAFASLLGLTACLFWNVIAVTTAWIKGEGVKIWFLAIIYFISGVPGAYFLWYRPLYRAMRGILPAVDLISSKVLVGIFYFVGFGLFCLEALLSVWVMQKVYMYFRGSGKAAEMKREAARGAMRAAI >Ma06_p28880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30447827:30452004:1 gene:Ma06_g28880 transcript:Ma06_t28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALASASAAASSSFATNPQRFSSSKSHPSPKSPPPPFPLSFNIRPSRCPAVCSSSASSPFTEAHSAARYRRDSWVYEPASSSPFSAQCHSSSVDDRENEIALQLPELKKLLEALRAARQAGGGDGGSARPGSVALVGTGPGDPDLLTLKAVRAIEKADLILYDRLVSNDVLGLVRADARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLIFGRGGEEMDFLQQQGISVKVIPGITSASGIAAELGIPLTHRGVANSVRFLTGHSRNGGSDPLYVAESAADPESTLVVYMGLSTLSALSSKLINHGLPPNTPAVAVERGTTPQQRMVFSMLNNLANEVRLAELVSPTLIIIGKVVSLSPFWPQSSEETVISRGEDAEIGIASSFSQIWNSENIV >mito2_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:130601:130789:1 gene:mito2_g00020 transcript:mito2_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGTIFYSLGNLHYILFIRELGSSFPQPLFINGLLNQSIVYEAVVGYYYKMSILLNIQLL >Ma07_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2773710:2778881:1 gene:Ma07_g03620 transcript:Ma07_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKRQSTFNGSDRFTIEAVLNGPFPRSRFSVSGSERTLRQRGKDPSITLGEERKQNIFLDKRGKWRISNPKKLSRKKGGSLRGRGWKYGSGFVDGVFPVLSPMAQQILKFVQRESDAAKIWASLDTLPPTHNLWDDLISISVQLRLNKHWDPIISVCEWILYKSSFHPDVLCYNLLIDAYGQKLQHKKAESVYLQLLEAHCIPTEDTYALLLRAYCTCGLLEKCEAVLTEMRKNGTPPGAVVYNAYMDGLLKGRNTVKAVEIFRRMKSDQCRPSTDTYTMMINLYGKANQSATALKVFDEMKTEKCKPNICTYTALVNAFARDGLCEKAEEIFEELQEAGHEPDVYAYNALMEAYSRAGFPFGSYEIFTLMQHMGCEPDTASYNIMVDAFGRAGLHEEAEAVFEELKQQGMTPTMKSHMLLLSAYSKAGNIPKCEFIMNQMHKSGLKPDTFALNSMLNAYGRVGRFEKMEEVLTAMENSRLEGDISTYNILINIYGRAGFLSRMEELFRSLVSRGLKADVVTWTSKMSAYARKKQYKRCLEIFEEMIDAGCYPDGGTAKVLLASCTTDEQIEQVTTVIRSMHKEARNVFDI >Ma03_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27587072:27588924:-1 gene:Ma03_g22870 transcript:Ma03_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFGERPQTWSGDSVSTPSELAHGRDGSWKDLGTSMSAISFGLAATAILISMFLFMAIFEHLIKPRASLLSSRHSATESSEMSQPHAQVHLQKFQHPVAVGTQYTADFSVLMPGQQYPTFIAQPAPFPCPREGIQWPPHDPHISFM >Ma03_p22870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27587072:27589386:-1 gene:Ma03_g22870 transcript:Ma03_t22870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVAMNSFGERPQTWSGDSVSTPSELAHGRDGSWKDLGTSMSAISFGLAATAILISMFLFMAIFEHLIKPRASLLSSRHSATESSEMSQPHAQVHLQKFQHPVAVGTQYTADFSVLMPGQQYPTFIAQPAPFPCPREGIQWPPHDPHISFM >Ma08_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8395739:8398738:-1 gene:Ma08_g11350 transcript:Ma08_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDLNIYVTTPSNSVESSWGTYCPGMDPPPPPEFFICLGDLYSVAWMEDSDVHNLKEETIGKQYELVSVQVKMRTSEIGPFNLRAVRSSGQTLVDDWECLKSMVQVFESHHGSLPQSGMKHLGTFANTCNEGISMNVMEAACSGTCKSNNIAMWSPSRIQCLILLSPQTLS >Ma01_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8836010:8837628:1 gene:Ma01_g12160 transcript:Ma01_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIISTSSSSCSPPPPPPPSLPSLPPFFPAPAHRPATSALQHRLQCLLGSRPEWWTYAIFWRASPDHHLLAFGDGHFRGNRELDGRRVPPRSGSGGGVHAVLIDEACTDGDDAEWFYVVSLTRCFAAGEAAVPARVYGTLALVWLTGAHALQTCGCDRTREAQLHGIETIVCVPVAGGVLELGSSELVGENWVLVQQAKAIFSVPDDEADAGLAPVVTLPSPVSKKEVSGPSMSVDTEQFSDSEGGQTVEGLRGKKRGRKPGSRRETPVNHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLADAVSYIKELKSKLEDLEADSKRAKKEINVVDASNRPCGAMASSTGTATTSSSVVTAGPATMEVEVKSLGPDAMIRVQTENVSHPTAKLMEVLRELELQVHHASVSSVKEVMLHDVVVRVPDGLQAEDSLRDALLTKLETS >Ma11_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:276602:277852:1 gene:Ma11_g00390 transcript:Ma11_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVPHYVDAGRPAAAAAVAIASVISRKRGSFTRTIVVRASANFKQNKQQHEQQIRQGRSQDVRSPCPSSPLRTADEVASDFGREIALANLERLFRQQAAGGDRPPANGGSSDRPVRVAYQGSRGSYCQEATARAFPSSSACEVFPCVHMEEAFAVLEDRSADRAVVPAENSLDGPIDRNLDLLLRHQGIRILAELVLPVNHCLLSLPGAPRSSLRRIISHPQALSHCRRNLEALDLEVDEAWCAADAARFVAENRVADTAVIGSQMAAREFGLRILASNFQDHHQGGNFNRFLQLGLSASQTQGFAGGGAARKTTVAFSLEGGASDLFRAMWIFESRGVKVTRVDHRPNRAKPLRVVDRGIDGLGKATYLDYVFVLDVEGSLLDPGVEAALARLEEIAPFARVLGSYTSTCHSH >Ma08_p19970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33838171:33844021:1 gene:Ma08_g19970 transcript:Ma08_t19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTSVASSSSPALKQRMVISLSLSLAAYSKLLPLAQRSPTFRCSTSRRDGKRAPPLLQLAVGGVTEILRLLSPKKQRDEAKAAAQAPSLARSVDDVVRILRADYDRAYFLTGEFTSDIYADDCLFEDPTINFRGRDRYSQNLDLLVPFFDRPSLKLENIEKGQNYEMNFILATWCLRTYLKLPWRPLIGIRGTTTYDLGEDFKIIRHAESWSISALEAVGQIFKLGSVEVDE >Ma08_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33838171:33843936:1 gene:Ma08_g19970 transcript:Ma08_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTSVASSSSPALKQRMVISLSLSLAAYSKLLPLAQRSPTFRCSTSRRDGKRAPPLLQLAVGGVTEILRLLSPKKQRDEAKAAAQAPSLARSVDDVVRILRADYDRAYFLTGEFTSDIYADDCLFEDPTINFRGRDRYSQNLDLLVPFFDRPSLKLENIEKGQNYEMNFILATWCLRTYLKLPWRPLIGIRGTTTYDLGEDFKIIRHAESWSISALEAVGQIFKLGSVEVDE >Ma07_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29250428:29254574:-1 gene:Ma07_g21170 transcript:Ma07_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAHQYDAKMNDLLNADGQDFFTLYDEVHESFDEMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYGLVKCQALVLGPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLLPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPQKIQVGIFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIMQFYVNVDKEEWKLDTLCDIYETVGITQSVIFVNTRRKVDWLTDKMRSRDHTVSSTHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVVNYDLPTQPENYLHRIGRSGRFGRKGTAINFVTRDDDRMLLDIQRFYNVVINELPSNVADII >Ma06_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11507762:11508744:-1 gene:Ma06_g16980 transcript:Ma06_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMKFFVMKNFANLVIMSRIQYRMGDALSMTIFSTSSFNKLGVPPGAPPKRQPDYHRLDTLLSIDNQQAVQLQQQPLEELLHRLGYDSTPSYGTVNKLSQEGSASAYCAQSASTNTPISARYRSKPAALNRYGQTTQLIHPS >Ma06_p16980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11507822:11508744:-1 gene:Ma06_g16980 transcript:Ma06_t16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMKFFVMKNFANLVIMSRIQYRMGDALSMTIFSTSSFNKLGVPPGAPPKRQPDYHRLDTLLSIDNQQAVQLQQQPLEELLHRLGYDSTPSYGTVNKLSQEGSASAYCAQSASTNTPISGTGANLRL >Ma06_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6610029:6611865:1 gene:Ma06_g09430 transcript:Ma06_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFTRSAPAPPPLHPNGVGLCLGMDASKPSLSRSRPWPGLPVSSSKSLGSLNSVNYMEQLLVNCANAVESNDATLAQQILWVLNNIAPPDGDSNQRLTSAFLRALIARASKTGSCKMLTAVAARADADLALHVHRFSAIDLASFIDLTPWHRFGYTAANATIAEAVEGVPAIHIVDLTTTHCMQMPTLIDLLANRPEGPPLIRLTVPSLTTTAPPPPMLDMSYDELGSRLVNFARSRNVAMEFRVIPSDPSDAFDSLIEQLRVERLVSEDEAVIVNCQMLLHYIPEETVGAIATTMSVNSPTLSVRKMFLEALRGLEPTLVTVVDEDADFTESDLVGRLRSAFNYLWIPYDTVDTFLPRGSEQRRWYEAGVRWKIGNVIAQEGLQRVERVEPKGRWAQRMRAAGFRSAGFTEEVAVEVKSMLDEHAAGWGLKKEEEELVLTWKGHDVVFAAAWVPC >Ma01_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12775425:12778797:1 gene:Ma01_g17440 transcript:Ma01_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCLQPTGENYANPKTCLFHVLFKAAALAFYILSAIFIDSFVIIFVVTVFLAALDFWVVKNVSGRILVGLRWWNEIDEQGESIWKFECLDQESLARMNKKDSWLFWWTLYLTAVAWIFLGIFSLIRFQADYLLVVGVCLTLSIANIVGFTKCRKDAKKQIQQFATQTIASRFTSSLQSAFSVV >Ma01_p17440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12774970:12778797:1 gene:Ma01_g17440 transcript:Ma01_t17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVQPTGENYANPKTCLFHVLFKAAALAFYILSAIFIDSFVIIFVVTVFLAALDFWVVKNVSGRILVGLRWWNEIDEQGESIWKFECLDQESLARMNKKDSWLFWWTLYLTAVAWIFLGIFSLIRFQADYLLVVGVCLTLSIANIVGFTKCRKDAKKQIQQFATQTIASRFTSSLQSAFSVV >Ma05_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36556518:36556963:1 gene:Ma05_g24310 transcript:Ma05_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQQSDKATRVSTSSPWIMIADAWKSIPPVFFMQELIKCIWEKQFCTVASPGHDPLWNERSNGFNLIGREGLQRNKRSNGFNFTGREWIQMNCSGCCCNLFLLDGAQ >Ma05_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3015862:3018548:-1 gene:Ma05_g04000 transcript:Ma05_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGKVRGKMVQIGRELEVMNEFLGCTSAYRGDHEQPLSAWAKQIQDVAYEIEDIIDEYNYIVAGRSWGGLGGYIYNAFNDIHKARALCDVITNLEATEASLADLWRMRSMYGIKIPQKTTTNGPSDERELSRRVAESAHFMEEDELVGFDGHKDALIKWLVSGDPWRGKASVLGMGGVGKTTLVTSVYKDQTITDHFSCRAWVSVSQNYTTEEVLGKILRELHQERMEEELPQHELDSMEYRRLVETLRSYLHHKRYLVVLDDVWHADLWNDISYTLLDNHCGSRIVITTRNQEVSSASTNGCVVRVDPLPEQTAWILLPFRGEEGNACPQELEFWARRLVDKCEGLPLAIVSIANLLSQKERLEPVWKMFHDSLTWSTTTTDNTRLHTVSRILSLSIRDLPHHRRNCLLHCSMFPEDYPTGRSRLWVAEGFVKGRGQRTMEEVAEDYLNQLVGRCLLQVTHTNESGRIQFYRVHDLVRELIMAKSRDEHFAEAYDGRPENTSQRVRRLSITNGGQEAYHHLKRRMPLLRSFHWFSPVSASLISSCRLLRVLGLCSAPVEVLPDEVVCLFNLRYLSIRRTNVRRLPRSLGNLRNLETLDAVHTHIEELPSGVAKLENLRHLMARSSIARPRVKVPGGIGNLKGLQTLKAAVADDGMIRHLKKMTQMRSLDVRGVTTIHSVDLCISISKMEHLHRLILMANHKDDTLLLANLTPPRRLRKLSLYGKLEKGMLPHWFDSLANLTHVVLKMSRLKEDAVSALMASPNLVSLFLMQAFEGNALRFPAGSLYKLKSLGLCDMAHLNCIEIEGTALESLQELTLVRCSQLQTIPRGIQSLSGLQKLELEDMPDELVEKLREWRQHYQSIPIIKIWYHINGSWIVERLSFPS >Ma04_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2399783:2403099:1 gene:Ma04_g03010 transcript:Ma04_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MLPSPDPESLAAAVELAVAIRSARLGRAAHATAVKHLSPRPLPAFLSNHLVNMYSKLDLPTAAATLLSLDPDPSVVTWTALISGSAQNGRPLSALAHFAAMLRASVRPNDFTFPSAFKAAAAARKPLVGRQIHASSFKSGLIDDAFVACGALDMYYKTGLTFDARILFDEMPQRNIVAWNAVMTNAVFDGRPDEAIKAFIKLRLHGGIPNTISLCAFLNACAGASYSSLGSQLHAFMIQSGFDLDVSVGNGLIDFYGKCHWVHEARAVFDEMHIKNDVSWCSMVVVYAQNGAEEEAFRVYLDARKEGIRPTDFIVSSVLTTCAGLSGLDLGRSLHAAAIRSCINGNIFVGSALVDMYGKCGSIRDAEQAFEEMPERNLISWNALIGGYTQLGNAHMALTVFDEMIGCGEVAPSYVTLVNVITACSRGGLTKEGLDLFETMKERFGIEPRLEHYACVVDLLGRAGMEERAYEFIKTMPIRPSISIWGALLGACRLHGKTALGRIAAHKLFEIDPQDSGNHVLLSNMFASAGRWVEATEVRKEMKDVGIKKGPGCSWITWKNVVHVFQAKDTTHELNDKIQAMLAKLRGQMQAAGYTPDTQYALYDLEEEEKETEVLQHSEKLALAFGLISIPPGIPIRITKNLRVCGDCHCAFKFISGIVGREIIVRDNNRFHYFRDYQCSCRDYW >Ma04_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23326864:23328882:1 gene:Ma04_g20540 transcript:Ma04_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGTNIIATFWLGHTSGLVDETGNPTGGLFGIAVGTMGMLSTDAYVLTMDMFGPVADNAGGGIMEMSQQPESVWEITDVLDAVRNTTKAITKGFAIGSAALATFLLSSAIISLLNSAFACSAVGRTAQEVNNEVRRQFIERPGIMVSSPTSHVSQTTFAIVASASLQEMIKPGAWATISPVVIGLFFRLLGHYTGQQLLGAKVVALMLMFATVVGILLALFLNTAGSAWDNSKKYIETENMKSLNFIPRILSIFGHLVILSISYTYRVGDPFKDTYGPSIHVPIKMLATITLVMAPHLI >Ma11_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16413493:16414162:-1 gene:Ma11_g12460 transcript:Ma11_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVPVKDVTIMAQTPRHTLPAKKRSTLSIFRAAIVALSGCSASKKDATLGSPTGADGMLKTLVGSMRPLGLPLEYRPPLPLLPPPPPAGHESFHDAYLLPSPSCSSSDGMSRYASAEDLQLLDDADDSTEADAGAPNAIDMKAEEFIARFYEQMRLQRQESSGGSNQAAA >Ma01_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10827704:10828591:1 gene:Ma01_g14860 transcript:Ma01_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMSTLTAEPLLPNRARNAARHPRFRGL >Ma05_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34470334:34470728:1 gene:Ma05_g22690 transcript:Ma05_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVGLIVFSPRGKLYEFSSSRCH >Ma06_p33130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33889338:33889840:1 gene:Ma06_g33130 transcript:Ma06_t33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTILRKCKSLSKLGRSSSYSSLRSKSTREDELWPEDGAAISADNGADEVAVFVGRSRRRYLVNSKHLNHPLLSALIDRSKLGEIRIKCEVVLFDHLLWMLENAELDAADDAATLEELAELYAC >Ma07_p28980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34837057:34840002:1 gene:Ma07_g28980 transcript:Ma07_t28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNGRWEIAPPPRILNLPQRSRRSRATAPPEQRLDWKLGELLDQERSATPPPSPLTWGMSSGEAVGDSSGEERWRFQAEILRAECNFLRMEREVALRKLERNRARLEVALKSAMETLVSGRKKIDGRAAVGAALDEGIEELEEKLQELKLAGSSSRRRRTGGSRKPPRGSCRRNFDRQASVLRRQLEKLEEESSVKDIREISVQAFAKKDAEAEPHEQEEDATPGSSHSRRSPDEVEILRRKMEGMSRGMLERMEECSHLLSANVSSSSSRKSEWNCFSQNITGYTEAADKTDNPFLQVQQQQVEEKMERLSSCCSCKEVVGRIVQQVRAESEQWSEMQEMLEQVRVEMEELRSSRDHWQRRAIASEINFHSQHARKLERKQRARSCERKVIELHKVVKELQRELQPSKTRLLNAPPSTPLRSQLHDAYKEKEKHVLSYQLEFDYLRMEEALDNKIMNPVKSAA >Ma01_p02900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1883320:1894334:-1 gene:Ma01_g02900 transcript:Ma01_t02900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MIRKAVVAFLALAIILLGFSITHKEDKLKVEKEPEKTHRVFLDVDIDGQHLGRIVIGLYGEVVPKTVENFRALCTAGEKSNGTGGRNLHYKGTKFHRIVSGFVIQGGDITNGDGRGSESIYGGTFPDENFVIKHSQAGIVSMVNSGPDSNGSQFFITTVKASWLDGEHVAFGRVIQGMDTVFAIEGGAGTYNGKPRKKVIIVDSGEIPNDQLDAEK >Ma01_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1883320:1894334:-1 gene:Ma01_g02900 transcript:Ma01_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MIRKAVVAFLALAIILLGFSITHKEDKLKVEKEPEKTHRVFLDVDIDGQHLGRIVIGLYGEVVPKTVENFRALCTGEKSNGTGGRNLHYKGTKFHRIVSGFVIQGGDITNGDGRGSESIYGGTFPDENFVIKHSQAGIVSMVNSGPDSNGSQFFITTVKASWLDGEHVAFGRVIQGMDTVFAIEGGAGTYNGKPRKKVIIVDSGEIPNDQLDAEK >Ma11_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:665363:667925:-1 gene:Ma11_g00910 transcript:Ma11_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g49142 [Source:Projected from Arabidopsis thaliana (AT3G49142) UniProtKB/Swiss-Prot;Acc:P0C899] MGRLNRIMLTRATVVAAPTVLYVSRETKHRRLLFLPRAISHYSHELTAPIDADGGGDYEGGNAALIQALDDCRSPRTLRSLHSRLLHRPRLLSDPAVQIKLMRALASCGDPHGARRVFDGAPHKNAVFFNVMIRSYVNHGLHGHAFRLFADMSLRHHVKPDNYTFPCVLKACAGSDHLAGGLQLHGAVTKLGLDTNIFVGNTLIALYWRCGGLSDALRVFDEMPTKDVVSWNAMIAGYAQNGQYDRAIELCEKMVALRKPKPDAGTMASILPAMSNTNQSAMSNTNQTDIHLIRKMFDEMGKKDLVSWNAMIAIYANNSMSAEAVELFTSMEMAGVEPDTVTLASVLPACGDLSALSLGKKIHEVIERKRMLPNLVLENALVDAYANCGSLDAAREVFDGINGKDVVSWTSIVSAYGMHGHGKKAIALFEQMRKSGVKPDPVAFISILSACSHSGLLNEGKYYFKCMTECYHFVPRIEHYACMVDLLGRSGHVDEAYDFILKMPIKPNERVWGALLGACRVHSNMEIGLAAADHLFKLVPEQSVYYVLLSNIYARAGRWEDVMSVRNLMTNKGIKKLPGCSNVELWNKVHTFHIGDRSHPQSKEIYEKLDVLMRRLKELGYVTETETALHDVEEEDKEGHLLVHSEKLAIAFVLVNTTPKTPIRITMNLRMCGDCHRAAKLISSIAEREIIIKDTTIFHHFEHGVCACGDYW >Ma11_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19524564:19526669:1 gene:Ma11_g14240 transcript:Ma11_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKCRGYSDSLGYWRKYFRCCMTDIFDVIRNAFLVASTDNPDEFVNHRDEMVEMIHTWSQCSSCSALEAERVDPNRIGEDDDGSRRRPQEEIKVVGSVDDPGIGKQPEKGKRKVRFYNDEDEEPSDEPMEEDTEIGEVMRIKELVMKHKGEEADDILFELLRQLQTIKMSVNTIQVTGIARALRDLRKHKSKEIKQLFRALINDWKMIVEEEIRGTAPTARVVALDSEANPSHTNMDEEELFSAKSSPVDLSKKDDDGNVNHEEARRSRSNLTSKPNDAAEDAFSTVNPQAEGHRPQKVADAGTPKEVLVGSSCSVLTESDKLETVKRKLKEGYREAENAKRQRRIQIMEPHELPEPPPHRIEGQETGKRHYRGRYGRWYRWR >Ma07_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5715718:5719845:-1 gene:Ma07_g07680 transcript:Ma07_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNREQWRAEAALAYGLRPPEVPKDPMEFLSRSWSASALEVSKALGPPPPPPPSLLPPPAGAIPEEEPEELVVVAGNPFTFASSATSQMVMERIMSQSEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPCEMDDLKYCRAIATPKPQHYRGTSKTVGRWLKDRRERKKEETRAQNAQLHAAISVAGVAAAVAAVAAATAAASGSGKDDRAARIDMAVASAATLVAAQCVEAAESMGAEREHLASVVGSAVNVRTPGDIVTLTAAAATALRGAATLKARALKDVWNIAAVIPVEKGAMGNHNNHHRNKQQKDLESNGSSFGDEFVPEEDNFLGLCSQEFLAKGTDLLKRTRKGALHWKLVSIYINGVGQVMLKMKSRHVAGTITKKKKNVVVEVCKEIQAWPGRHLLQGGEQRRYFGLRTAEHRVIEFECLSQREHEMWTQGVSRLLNIVNEKKLLT >Ma04_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4815848:4816528:-1 gene:Ma04_g06580 transcript:Ma04_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDQKKEIKLFINSPGGSVTAGMGIYDAMKLCKADVSTVCLGLLASMGAFLLAAGTKGKKILHAKC >Ma10_p05870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17251186:17253086:1 gene:Ma10_g05870 transcript:Ma10_t05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSNEDNGGGWLMDYGLLDDFPAADYIWSPQFLHDPAASSAILGLEVEVLQDEEDAPLEKRARVESSAAPRTKACREKLRRDRLNDRFRELCCVLDPGKPPKADKLAVLRDATHLLIQLRVEAKKLKESNEALQDAIKNLKAEKSELRDEKTRLRCEKEQMEQMLRGISFTGQSAAVPATNDKTFAYMPICMWQWVPPAALDTSQDHVLRPPVA >Ma10_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17251617:17253086:1 gene:Ma10_g05870 transcript:Ma10_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSNEDNGGGWLMDYGLLDDFPAADYIWSPQFLHDPAASSAILGLEVEVLQDEEDAPLEKRARVESSAAPRTKACREKLRRDRLNDRFRELCCVLDPGKPPKADKLAVLRDATHLLIQLRVEAKKLKESNEALQDAIKNLKAEKSELRDEKTRLRCEKEQMEQMLRGISFTGQSAAVPATNDKTFAYMPICMWQWVPPAALDTSQDHVLRPPVA >Ma02_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24622571:24629517:1 gene:Ma02_g17890 transcript:Ma02_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSASKKQRIATHTHIKGLGLDVNGNALSLSAGFVGQMAAREAAGIVVDMIRQKKMAGRALLLAGPPSTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKETKEVYEGEVTELSPEESENTSGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKIAVGDVIYIEANSGAVKRVGRCDAFATEFDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNKYIDEGVAELVPGVLFIDEVHMLDIECFSYLNRTLESSLSPIVIFTTNRGICTVRGTDMNSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEDSLAYLGEIGQQASLRHAIQLLSPASIVAKTNGRDKICKADLDEVTSLYLDAKSSARLLQEQQERYIT >Ma10_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25546085:25547037:-1 gene:Ma10_g12500 transcript:Ma10_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSLGLQMIPWCFHVVAGSRTSPSPAAQATGIRLIGCDGRVRVYHRPVAAAELMKEHPCHLVCRSDAFFIGQKVPPLAAGDQLQPGHSYFLLPAHFFRSVLSFVTLATSLIGPNAGVAARKTALLRPFDIHKTASGTLQIRVSDEFLRERVREEEICRGSSKVVSTEALEKEYRTLVRCRSRRWRPKLEAITESERRRVVGPFGGFRRRKTTTTTTKKKKKEEIQLVR >Ma11_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17447678:17450098:-1 gene:Ma11_g13190 transcript:Ma11_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVFYQYNKRSFWTSPRVSFFHPPLQKGDLDPGALREFLSGCF >Ma03_p21170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26189838:26196309:1 gene:Ma03_g21170 transcript:Ma03_t21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGRPTPRRRQRPEEKEEEDKISSSSGKSVAAKGTPWWSEKRIWAAALAFRAANALLIQTYFNPDEHWQSLEVAHRVVFGYGHLTWEWKKGIRSYLHPLVFALLYKVLGFLRLDTPWFMAKAPRLLQSLFASIGDVYMYKLSKLIFDEHVARWALFCQLMNWFMFFCITRTLSNSLETVLTVVGLFYWLASSGSSKQLSVASRKLGLFIAALACAVRPTSAITWLYVGVLYLIRTQSRLHFLFFEVVPIGFNFFSSGGDYYGTHPWHWYFTQGFPSMLLTFLPYAVIGILKSKEWSISGLIAWVLGIYSVLGHKEFRFVLPVLPIALIFSGYSLSVMSKPDLLDMKRRKSRPGNKCPSRVQLAVLFLVATNVPMALYMSSIHQRGSEDVMIYLSKEAHKGKVRSILFLMPCHSTPYYSTLHHDLPMRFLDCTPSDTKGILDESDRFMIDPLGFVMSMFTNSSLPSHIVLFSSEEKQLRELLISYSFDEIKRFFHAHFKVDRDLQASVVVYALT >Ma03_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26189839:26196309:1 gene:Ma03_g21170 transcript:Ma03_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGRPTPRRRQRPEEKEEEDKISSSSGKSVAAKGTPWWSEKRIWAAALAFRAANALLIQTYFNPDEHWQSLEVAHRVVFGYGHLTWEWKKGIRSYLHPLVFALLYKVLGFLRLDTPWFMAKAPRLLQSLFASIGDVYMYKLSKLIFDEHVARWALFCQLMNWFMFFCITRTLSNSLETVLTVVGLFYWLASSGSSKQLSVASRKLGLFIAALACAVRPTSAITWLYVGVLYLIRTQSRLHFLFFEVVPIGILVLAMSCLLDWWMYGSFTIVPLNFLRFNFFSSGGDYYGTHPWHWYFTQGFPSMLLTFLPYAVIGILKSKEWSISGLIAWVLGIYSVLGHKEFRFVLPVLPIALIFSGYSLSVMSKPDLLDMKRRKSRPGNKCPSRVQLAVLFLVATNVPMALYMSSIHQRGSEDVMIYLSKEAHKGKVRSILFLMPCHSTPYYSTLHHDLPMRFLDCTPSDTKGILDESDRFMIDPLGFVMSMFTNSSLPSHIVLFSSEEKQLRELLISYSFDEIKRFFHAHFKVDRDLQASVVVYALT >Ma11_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21611530:21613587:1 gene:Ma11_g15990 transcript:Ma11_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLEEVTSMRFVVCVVVPVTLLLAASTRWRRKLPFPPGPTPLPIVGNMLMMGQLTHRGLAKLSERFGGLCHLRLGFVHVFAVSTSEIARQVLQVQDAVFSNRFATIAITYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSKKRAVSWASVREEVDAAVRAVTDGAGAAVNLGELMFNLTKNITFRAAFGTQSHENQEEFIAILQEFSLLFGAFNIGDFIPWVSWMDLQGINKRFKVAREALDGFIDKIIEEHMANPKEADAEDSDMVDEMLAFFEESRDRTKENEADELQRTLRLTRNNIKAIIMDVMFGGTETVASAIEWAMAELMKNPEDMRRVQEELASVVGLHRKVRESDLDKLPHLKCAVKETLRLHPPIPILLHETAEDCQLTGYAVPARSRIMINVWAIGRDKSAWEDAEVFRPSRFAPGGEAAALDFKGGCFEFLPFGSGRRSCPGMQLGLHALELAVAQLTHCFSWELPDGMKPGELDMGDMFGLTAPRAVRLVAVPTPRLTCPLY >Ma07_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27097502:27098540:1 gene:Ma07_g19070 transcript:Ma07_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRIIKELKDLQKDPPTSCSAGPVADDMYHWQATIMGPNDSPYAGGLFIVTIHFPPDYPFKPPKVAFKTRVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKNDPSRYESTARNWTQKYAML >Ma08_p32760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43364665:43366044:1 gene:Ma08_g32760 transcript:Ma08_t32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNRGAWTKEEDQLLIAYIKAHGEGCWRSLPRAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHGLLGNKWSVIAGQLPGRTDNEIKNYWNTHIKRKLVGRGIDPQTHLPVHGGAATPGKALKPPSSATSPAEKERCPDLNLDLSMSLSFSSPTPSEVFAAADPAADATSSPTSAVPPRHARAICLCYHLGLQSSETCSCQENPSHHVLRYYRPLEEGQHTH >Ma06_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22986487:23001325:1 gene:Ma06_g24470 transcript:Ma06_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAPAKFRSSDLLPAAGDLGVVQHDGLRFWQFMVAGSVAGLVEHTAMFPVDTLKTRMQAGSPPCQPLVGLRQTLRAVVSAEGPLGLYRGVGAMGLGAGPAHAVYFSVYELSKESLSRENPNNPAVHAASGVLATIASDAVFTPMDTVKQRLQLKGSPYKGVVDCVSRVLTEEGIRAFYASYKTTVIMNAPYTAVHFATYEAAKRALMEISPESATDERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRFSSSSTSEVIRAIIRRDGYVGLMRGWKPRMLFHAPAAAICWSTYEATKSFFQKRNDQK >Ma02_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14411022:14411141:1 gene:Ma02_g03050 transcript:Ma02_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIESKTVIHRLSSHVILFGGRIKFYQSIDYLIHLNLKV >Ma06_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7271124:7279018:1 gene:Ma06_g10490 transcript:Ma06_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAAGWIPLFKKRFVLFVVVILSVSTVFVCFIRGASAPCDPPRNGLAAAVDRAKLPRHSDVDVGARKNPLGFMRTKLVLLVSHELSLSGGPLLLMELAFLLRIVGSRVVWITYPKSEETNEVTYSLEHKMLNRGVQVISAKGQEVIDTALKADLVILNTAVAGKWLDAVLKERVPQVLPKILWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHTTAEYWKNRTRDRLKIQMPETYVVHLGNSKELMEVAEDSVARRVLREHIRESLGVRSGDLLFAIINSVSRGKGQDLFLHSFHESLKLIQEQKLQVPSMHAVIVGSDMNAQTKFETELRDFVLKRGIQDRVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMSFKLPVLGTSAGGTTEIVVNGSTGLLHPVGKEGVILLAKNMVKLATHVERRLTMGKKGYERVKERFMEHHMAERIALVLKEVLNKSAAHSHS >Ma02_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24688742:24689791:-1 gene:Ma02_g17990 transcript:Ma02_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCLFSSFALFLVMLMVRRSSAQYPAMTLTVVNNCPFTVWPAIQPNSGHDVLERGGFALPTVTHRSFPAPTQHWSGRIWARTGCTYANGRFSCATGDCGGRLECGGLGGAAPATLAQVTLHHGGQQDLSSYGVSLVDGFNVGMTVTPHEGKGRCPVVGCRENLLATCPDVLQLRAPAGGGQVVGCKSGCQAFGTDELCCRNMYNSPRTCRASSYSEFFKHACPATFTYAHDSPSLTHECAAPRELKVIFCH >Ma10_p09360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23554922:23561391:-1 gene:Ma10_g09360 transcript:Ma10_t09360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEYHCCEANFFIHILIITLLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAAKILPVVKRQHLLLCTLLICNASAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGAAVAPVVRVLVWICFPVAYPISKFLDYLLGDGHVALFRRAELKTLVSLHGNEAGKGGELTRDETTIIAGALELTEKKARDAMTPISQTFAIDINAKLDRHLMNKILEKGHSRVPVYYEKPTNIIGLILVKNLLSIHPDDEVLVRNVTIRKIPRVLEDMPLYDILNEFQKGHSHMAVVVKQANPTEQPNSHRDDLRLDIIGDKLNEKSPKGINPISRTKSYPSNSNSSRSGSRSRKWLRDSTADVLQIDDKPLPSVSEEQEAIGIITMEDVIEELLQEEIFDETDYPEER >Ma10_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23554922:23561391:-1 gene:Ma10_g09360 transcript:Ma10_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEYHCCEANFFIHILIITLLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQDRKHAAKILPVVKRQHLLLCTLLICNASAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGAAVAPVVRVLVWICFPVAYPISKFLDYLLGDGHVALFRRAELKTLVSLHGNEAGKGGELTRDETTIIAGALELTEKKARDAMTPISQTFAIDINAKLDRHLMNKILEKGHSRVPVYYEKPTNIIGLILVKNLLSIHPDDEVLVRNVTIRKIPRVLEDMPLYDILNEFQKGHSHMAVVVKQANPTEQPNSHRDDLRLDIIGDKLNEKSPKGINPISRTKSYPSNSNSSRSGSRSRKWLRDSTADVLQIDDKPLPSVSEEQEAIGIITMEDVIEELLQEEIFDETDYPEER >Ma11_p20370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24871463:24873100:-1 gene:Ma11_g20370 transcript:Ma11_t20370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAPEVFSQADDEDDRHNDDDPREGAVEVGSRRPRGRPPGSKNKPKAPIFITRDSPNVLRSHVMEVAGGADVAECIAQFARRRQCGVSVLSGVGTVANVTLRQPAAPGAVVTMHGRFEILSLTGTFLPGPALPGSTGLTVYLAGEQCQVLGGSVVGSLLATGPVMVVATTFGNATYERLPLEGEEEVEEEEAGSGGGLLDPSLLSMYNLPPNLIPDGGQLAHDAIARAHARRPPY >Ma11_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24871463:24873100:-1 gene:Ma11_g20370 transcript:Ma11_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKAPEVFSQADDEDDRHNDDDPREGAVEVGSRRPRGRPPGSKNKPKAPIFITRDSPNVLRSHVMEVAGGADVAECIAQFARRRQCGVSVLSGVGTVANVTLRQPAAPGAVVTMHGRFEILSLTGTFLPGPALPGSTGLTVYLAGEQCQVLGGSVVGSLLATGPVMVVATTFGNATYERLPLEGEEEVEEEEAGSGGGLLDPSLLSMYNLPPNLIPDGGQLAHDAIARAHARRPPY >Ma08_p09930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7251404:7255571:1 gene:Ma08_g09930 transcript:Ma08_t09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRHVSTFLRPPPLPQAADGPAPSYALVVLNQRLPRFAPDLWSHAKLRIFADGGANRVYDGMPKFFPEQDPLEVRRRYKPDVIRGDLDSIRPEVKEFYSNLGVKVDDVSYDQDTTDLHKCIAFICDFIPEVDKSNLCILVAGALGGRFDHEAGNLNVLFKFSNIRIVLLSDDCLIQLLPKTHCHEIHIQSSAEGPHCGLIPVGAPSVSTTTTGLRWDLTNAGMSFGGLMSTSNMVREERITVRSDSDLLWTISIKKKA >Ma08_p09930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7251412:7255571:1 gene:Ma08_g09930 transcript:Ma08_t09930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRHVSTFLRPPPLPQAADGPAPSYALVVLNQRLPRFAPDLWSHAAKLRIFADGGANRVYDGMPKFFPEQDPLEVRRRYKPDVIRGDLDSIRPEVKEFYSNLGVKVDDVSYDQDTTDLHKCIAFICDFIPEVDKSNLCILVAGALGGRFDHEAGNLNVLFKFSNIRIVLLSDDCLIQLLPKTHCHEIHIQSSAEGPHCGLIPVGAPSVSTTTTGLRQRRDEFWWLDEHFKHGPRGENYCPF >Ma07_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2991931:2992798:1 gene:Ma07_g03970 transcript:Ma07_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASPARSDHLLLLSDGAQAVAPPPSDLFTATELAAAQQLVQLSESSADSSSCSSSSSSTRSLNTRPPPEAILLEAEEDEEEEEETGPWRRTKRYRPIADLYDLSKPISLCAGAGGAKRSRRR >Ma03_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:993237:997638:-1 gene:Ma03_g01340 transcript:Ma03_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGAHTVKSHGVKVAKFHMHDWIILLLLVVIEIILNVIEPFHRFVGKDMMTDLKYPLKSNTVPFWAVPMIGIVLPFVIFLAIYYRRRDVYDLHHAILGLLFSVLITGVITDAIKDGVGRPRPDFFWRCFPDGKEDYDSVTTNVRCHGDNSVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIQAFDRRGHVAKLCIVFLPLLVASLVAISRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPPPYSVNGWGPHAYFQMLADNQNNAQVTHTVNPLSTVPSEIDAGYIHTEQQQHGISMRSLSALPDIEVGGRQ >Ma03_p01340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:993237:997524:-1 gene:Ma03_g01340 transcript:Ma03_t01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGAHTVKSHGVKVAKFHMHDWIILLLLVVIEIILNVIEPFHRFVGKDMMTDLKYPLKSNTVPFWAVPMIGIVLPFVIFLAIYYRRRDVYDLHHAILGLLFSVLITGVITDAIKDGVGRPRPDFFWRCFPDGKEDYDSVTTNVRCHGDNSVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIQAFDRRGHVAKLCIVFLPLLVASLVAISRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPPPYSVNGWGPHAYFQMLADNQNNAQVTHTVNPLSTVPSEIDAGYIHTEQQQHGISMRSLSALPDIEVGGRQ >Ma03_p01340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:993237:997638:-1 gene:Ma03_g01340 transcript:Ma03_t01340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGAHTVKSHGVKVAKFHMHDWIILLLLVVIEIILNVIEPFHRFVGKDMMTDLKYPLKSNTVPFWAVPMIGIVLPFVIFLAIYYRRRDVYDLHHAILGLLFSVLITGVITDAIKDGVGRPRPDFFWRCFPDGKEDYDSVTTNVRCHGDNSVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIQAFDRRGHVAKLCIVFLPLLVASLVAISRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPPPYSVNGWGPHAYFQMLADNQNNAQVTHTVNPLSTVPSEIDAGYIHTEQQQHGISMRSLSALPDIEVGGRQ >Ma09_p21580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32874596:32881767:1 gene:Ma09_g21580 transcript:Ma09_t21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQGKDAAFLFADEELIGMTELKKGADFVEVMCGCTSHRYGDAVGRLRVFASGDLQISCECTPGCEEDKLTPAAFEKHSGRETARKWKNNVWIIIKGDKVPLSKTVLLKYYNQASKAANGSHKGPNGRPFHRDEFVCCKRCNKERRFRLRTKDEYRNYHDAVRNPNWECSNLTFDRATCDDEEERASRKVLRGCSRSPSCRGCTTCVCFGCENCRFSDCSCQTCIDYTRNSKI >Ma09_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32874596:32881767:1 gene:Ma09_g21580 transcript:Ma09_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQGKDAAFLFADEELIGMTELKKGADFVEVMCGCTSHRYGDAVGRLRVFASGDLQISCECTPGCEEDKLTPAAFEKHSGRETARKWKNNVWIIIKGDKVPLSKTVLLKYYNQASKAANGSHKGPNGRPFHRDEFVCCKRCNKERRFRLRTKDEYRNYHDAVRNPNWECSNLTFDRATCDDEEERASRKVLRGCSRSPSCRGCTTCVCFGCENCRFSDCSCQTCIDYTRNSKI >Ma01_p18510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13763239:13790356:-1 gene:Ma01_g18510 transcript:Ma01_t18510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDSGGDAGKKLCCISHVFFEAASKNSARTAVVHATGGIQQRGEAGEGSAAHAVEEGSSPPIYPGDVCFTWGDVLSAVESLSHRIRLVLDGGDDPDLVRPQGYCGSKQIAMAEDPLTLDFRMPQIVGICIPPSVEYIVAVLAILRCGEAFLPLDPSWSEERILSVISSSKTGLIIKCASFYRTQQLEAIDWIVERSSCSVLYADMKMDSERELCWPDLIWPCESRSPRRFSYLMYTSGSTGKSKGVCGTEEGLLNRFQWMQGLIPLCSKDILLFKTSTSFIDHLQEFLGAILTCTLLVIPPFNEFKANPICLVNLLKAYCISRLTCVPSLMRLVLPKLKHSYIRGCNPLEVLILSGEVLSISLCRSLLETLPETTILNLYGSTEVSGDCTYFDCKNLASVLETESLSSVPIGIAISNCDIILGEFDNPDEGEIFVSGSCLFAGYLDEHLNDNPKGNSSGLQFRTGDFAKRLQSGDLVFLGRNDRTVKINGQRVAMEEIESMLKEHPEVSDAAVTFHGTDGVSTHLEAYFVMKTSEDLQKENKHSSDEQHLIENLITSIRSWLVKKLPPVMIPSYYFCMRSLPTLASGKIDYLKLSSSVCMPKQRRSHFEMNQTSDSLLQIIKEVFCDALLVQEVSDYDDFFLMGGNSISAAQAAHKLGIDMRLIYMFPTPLKLLNGLIERKELHENFIGPDNGIRKRSKVYGNVPGALDLSTGEVQRSFPPERSSQPYVGVQVHDLSIEHNVQQSISNSENIYFKQEVSFLPPDSLGATSSDHGLWPSKSNRHKMSAFGRCNKIMDELECDLDNTNRLWLSIKTPRSRKGSLEELWKILLESCVDASPLIVLMDDNLHLLIGSHSHIFLCIDALSGSIRWEVKLEGRVECSAAITGDFSQVVVGCYRGKIYFLDFVTGNISWYFQTDGEVKMQPIVDKTRNLIWCGSHDQSLYALDYKEHCLVYKVSCGGSIFGSPYIDVVHNMIYVASTSGRVTGISLAVVPFSVTWLFEAGVPIFGSLSMDSKCRNVICCMVDGHVLALSPEGAVVWKAVVGGPIFAGACISSVLAGQILVCCRNGSLYSFDLEGATLWEYQIGDPITSSAYVDEQTELISESSRPHERLACICGSSGSVHVIRISTEPKRGASTTDELPQTPMVEEFARIDLPGDIFSSPVMIGGRIFLGCRDDYVHCIAVVP >Ma01_p18510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13763239:13790356:-1 gene:Ma01_g18510 transcript:Ma01_t18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDSGGDAGKKLCCISHVFFEAASKNSARTAVVHATGGIQQRGEAGEGSAAHAVEEGSSPPIYPGDVCFTWGDVLSAVESLSHRIRLVLDGGDDPDLVRPQGYCGSKQIAMAEDPLTLDFRMPQIVGICIPPSVEYIVAVLAILRCGEAFLPLDPSWSEERILSVISSSKTGLIIKCASFYRTQQLEAIDWIVERSSCSVLYADMKMDSERELCWPDLIWPCESRSPRRFSYLMYTSGSTGKSKGVCGTEEGLLNRFQWMQGLIPLCSKDILLFKTSTSFIDHLQEFLGAILTCTLLVIPPFNEFKANPICLVNLLKAYCISRLTCVPSLMRLVLPKLKHSYIRGCNPLEVLILSGEVLSISLCRSLLETLPETTILNLYGSTEVSGDCTYFDCKNLASVLETESLSSVPIGIAISNCDIILGEFDNPDEGEIFVSGSCLFAGYLDEHLNDNPKGNSSGLQFRTGDFAKRLQSGDLVFLGRNDRTVKINGQRVAMEEIESMLKEHPEVSDAAVTFHGTDGVSTHLEAYFVMKTSEDLQKENKHSSDEQHLIENLITSIRSWLVKKLPPVMIPSYYFCMRSLPTLASGKIDYLKLSSSVCMPKQRRSHFEMNQTSDSLLQIIKEVFCDALLVQEVSDYDDFFLMGGNSISAAQAAHKLGIDMRLIYMFPTPLKLLNGLIERKELHENFIGPDNGIRKRSKVYGNVPGALDLSTGEVQRSFPPERSSQPYVGVQVHDLSIEHNVQQSISNSENIYFKQEVSFLPPDSLGATSSDHGLWPSKSNRHKMSAFGRCNKIMDELECDLDNTNRLWLSIKTPRSRKGSLEELWKILLESCVDASPLIVLMDDNLHLLIGSHSHIFLCIDALSGSIRWEVKLEGRVECSAAITGDFSQVVVGCYRGKIYFLDFVTGNISWYFQTDGEVKMQPIVDKTRNLIWCGSHDQSLYALDYKEHCLVYKVSCGGSIFGSPYIDVVHNMIYVASTSGRVTGISLAVVPFSVTWLFEAGVPIFGSLSMDSKCRNVICCMVDGHVLALSPEGAVVWKILVCCRNGSLYSFDLEGATLWEYQIGDPITSSAYVDEQTELISESSRPHERLACICGSSGSVHVIRISTEPKRGASTTDELPQTPMVEEFARIDLPGDIFSSPVMIGGRIFLGCRDDYVHCIAVVP >Ma01_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13763239:13789587:-1 gene:Ma01_g18510 transcript:Ma01_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPLTLDFRMPQIVGICIPPSVEYIVAVLAILRCGEAFLPLDPSWSEERILSVISSSKTGLIIKCASFYRTQQLEAIDWIVERSSCSVLYADMKMDSERELCWPDLIWPCESRSPRRFSYLMYTSGSTGKSKGVCGTEEGLLNRFQWMQGLIPLCSKDILLFKTSTSFIDHLQEFLGAILTCTLLVIPPFNEFKANPICLVNLLKAYCISRLTCVPSLMRLVLPKLKHSYIRGCNPLEVLILSGEVLSISLCRSLLETLPETTILNLYGSTEVSGDCTYFDCKNLASVLETESLSSVPIGIAISNCDIILGEFDNPDEGEIFVSGSCLFAGYLDEHLNDNPKGNSSGLQFRTGDFAKRLQSGDLVFLGRNDRTVKINGQRVAMEEIESMLKEHPEVSDAAVTFHGTDGVSTHLEAYFVMKTSEDLQKENKHSSDEQHLIENLITSIRSWLVKKLPPVMIPSYYFCMRSLPTLASGKIDYLKLSSSVCMPKQRRSHFEMNQTSDSLLQIIKEVFCDALLVQEVSDYDDFFLMGGNSISAAQAAHKLGIDMRLIYMFPTPLKLLNGLIERKELHENFIGPDNGIRKRSKVYGNVPGALDLSTGEVQRSFPPERSSQPYVGVQVHDLSIEHNVQQSISNSENIYFKQEVSFLPPDSLGATSSDHGLWPSKSNRHKMSAFGRCNKIMDELECDLDNTNRLWLSIKTPRSRKGSLEELWKILLESCVDASPLIVLMDDNLHLLIGSHSHIFLCIDALSGSIRWEVKLEGRVECSAAITGDFSQVVVGCYRGKIYFLDFVTGNISWYFQTDGEVKMQPIVDKTRNLIWCGSHDQSLYALDYKEHCLVYKVSCGGSIFGSPYIDVVHNMIYVASTSGRVTGISLAVVPFSVTWLFEAGVPIFGSLSMDSKCRNVICCMVDGHVLALSPEGAVVWKAVVGGPIFAGACISSVLAGQILVCCRNGSLYSFDLEGATLWEYQIGDPITSSAYVDEQTELISESSRPHERLACICGSSGSVHVIRISTEPKRGASTTDELPQTPMVEEFARIDLPGDIFSSPVMIGGRIFLGCRDDYVHCIAVVP >Ma02_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19752497:19762917:-1 gene:Ma02_g10050 transcript:Ma02_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRESNDTKKRGLLVDAGGEPGYAVRNHRFASPVESLWEGVSTLAELFEQSCKRFACKPLLGSRELISRETEVSQDGRSFEKLHLGNYQWISYDEAFKAVCNIASGLLQLGIKKNDHIAIFCETRAEWFLALQGCFRRNLTVVTVYASLGEHALCHSLNETEVSTVICGHKELRKLIGISGQLDTVKHVIYIGEDSISTEVSLAKENTSWMITSFTEVERMGTEKPVDADLPLSSDLAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTINPSIGTKDIYMAYLPLAHIFELAAEIGMVAAGISVGYGSILTLTDTSNKIKKGTKGDASVLRPTLMAAVPAILDRVRDAVRKKVDAKGGLSKKLFDVAYGRRMAAINGSWFGAWGLEKVLWDYIVFRKVRAVLGGHVRHLLSGAAPLSGDTQRFINICLGALIGQGYGLTETCGGGTFSDHDDTSVGRAGAPLPCSYVKLIDWPEGGYLVTDSPMPRGEIVIGGPNVTSGYFKNEEKTKEAYKVDERGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVDNIMLHADPFHSFCVALIVAAQHALEGWASNQGISYSDFSDLCQREETVEEVQGSLLKAAKQAGLDKFEIPAKIKLIPDPWTPESGHVTAALKLKREIIRKAYADDLEKLYA >Ma05_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10174004:10174554:1 gene:Ma05_g13970 transcript:Ma05_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLMQFCHLCLKIHLACYTTLNLAKIDPFIHASFIISMNFTVFLCKKALPKRIRELLPLSTVPVVLSYGSRTWEVIYCGDQSFQRFGQGWKNFVTDNNLKEGDGCVFELMDTENIQFKVQILRGDLPAFRAGGGDGQSSDEVIVID >Ma07_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11144070:11149620:1 gene:Ma07_g14820 transcript:Ma07_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEEIREALVQGEETPPQLLEDPEANSGPRRIALFVEPSPFAYVSGYKNRFQNFIKNLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPWYQKVPLSLALSPRIISEVANFKPDIIHASSPGIMVFGALTIAKMLCVPIVMSYHTHVPKYIPRYTFSWLVEPMWSVIRFLHRAADLTLVPSAAIGKDLIAAHATAADKLRLWNKGIDSESFHPRFCSHEMRVRLSNGEPEKPLLIHVGRLGVEKSLDFLKRVMERLPGVRIAVVGDGPYRPELEKMFTGMPAVFTGMLQGEELSKAYASGDVFLMPSESETLGQVVLEAMSSGIPVVAARAGGIPDIIPEEHEGRTSFLFTPGDLDDCLNKIKHLLACDDFRDAMGRAAREEMEKHDWRAATRIIRDENYNAAIWFWRKERAQLLGPIQWMFRWMLCSPENNCS >Ma01_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2993580:2997648:-1 gene:Ma01_g04460 transcript:Ma01_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-depolymerizing factor 6 [Source:Projected from Arabidopsis thaliana (AT2G31200) UniProtKB/Swiss-Prot;Acc:Q9ZSK2] MSFRTSNASSGMGVDEHSKSTFLELQRKKVHRYVIFKIDEKKKEVVVEKTGAPGESYDDFTAALPENDCRYAIYDFDYVTEDNCQKSKIFFIAWSPSISRIRAKMLYATSKDRFRRELDGIHYEIQATDPTEMELEVLRDRAS >Ma02_p15910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23423754:23426024:1 gene:Ma02_g15910 transcript:Ma02_t15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTNDALLANYVPVYVMLPLGVVNAENVFDDPDGLREQLKQLRAANVDGVMVDVWWGIIETKGPKCYEWSAYRELFKMVQEEGLKLQAIMSFHQCGGNVGDAVYIPIPQWVRDVAAANPDIFYTNRSGTRNPEYLSLGVDNEPLFGGRTAVELYSDFMKSFRENMADFLHACLIRDIEVGLGPAGELRYPSYPQVQGWNFPGIGEFQYVTADFRQAVAEAGHPDWKLPDDAGEYNDTPEKTKFFAENGTYLTEKGKFFLTWYSNKLLKHADQILDRANQAFLGCKLKLAIKVSGVHWWYKDDSHAAELTAGYYNLKVRDGYRTIARQLTRHDAVLNFTCVEMRDSEQPEEAKSRPEELVQQVLSAAWREGIEVACENALNRYDTAAYNVMLRNARPNGINPNGPPKVRISALTYLRLSDVQLESENWAIFKLFVKKMHADQDYCPDSQNFFKPITPMKRSKPEIPIEKILEATEPLEPYPFDPVTDMFSDEASETS >Ma02_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23423754:23426024:1 gene:Ma02_g15910 transcript:Ma02_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTNDALLANYVPVYVMLPLGVVNAENVFDDPDGLREQLKQLRAANVDGVMVDVWWGIIETKGPKCYEWSAYRELFKMVQEEGLKLQAIMSFHQCGGNVGDAVYIPIPQWVRDVAAANPDIFYTNRSGTRNPEYLSLGVDNEPLFGGRTAVELYSDFMKSFRENMADFLHACLIRDIEVGLGPAGELRYPSYPQVQGWNFPGIGEFQCYDKYVTADFRQAVAEAGHPDWKLPDDAGEYNDTPEKTKFFAENGTYLTEKGKFFLTWYSNKLLKHADQILDRANQAFLGCKLKLAIKVSGVHWWYKDDSHAAELTAGYYNLKVRDGYRTIARQLTRHDAVLNFTCVEMRDSEQPEEAKSRPEELVQQVLSAAWREGIEVACENALNRYDTAAYNVMLRNARPNGINPNGPPKVRISALTYLRLSDVQLESENWAIFKLFVKKMHADQDYCPDSQNFFKPITPMKRSKPEIPIEKILEATEPLEPYPFDPVTDMFSDEASETS >Ma06_p35520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35327119:35330337:-1 gene:Ma06_g35520 transcript:Ma06_t35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFQDRSLIRSLRSLQRQRPVHEILGGGFLADVILWRRKNVTIGILLGALASRVLFEVAGYTMLSLLSKVLLLLISILFLWSRAAGILNRPRPPIPEMHLSEEMMREAAVFVRFHVNVLLSVFNDIVHRKDTKLFYMIALCLWMISMVSGLIDILTLGYASLVIILTVPALYEKYENGIDSYVKMAHMEVQMYERVYTECFIKYYIKARRSLLEKKKLLTNV >Ma03_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3278514:3281160:-1 gene:Ma03_g04980 transcript:Ma03_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRTPPPPPPLIGKAGCYTIFITPPTTPTPSELPRSPIASPAPSLRANNPDKDAPLPANAPSPLLPTPPIVAPPPVQVPPLRFEKPVSTSSGSVFGFFWDAVAEVQDAHSKLDDFLADWFGLNHSKYQWALNEYHEHNEKDKESSKVNKPKELVDRGYSV >Ma03_p04980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3278514:3281160:-1 gene:Ma03_g04980 transcript:Ma03_t04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRTPPPPPPLIGKAGCYTIFITPPTTPTPSELPRSPIASPAPSLRANNPDKDAPLPANAPSPLLPTPPIVAPPPVQVPPLRFEKPVSTSSGSVFGFFWDAVAEVQDAHSKLDDFLADWFGLNHSKYQWALNEYHEHNEKDKESSKVNKPKELVDRGYSV >Ma06_p34580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34751404:34753860:-1 gene:Ma06_g34580 transcript:Ma06_t34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGLMKSSPPTKPGAVGGGDVKQPAASEAAALLLELAASDDLAAFKRAVEEDGHSVDAAALWYGRSSGRGMGYQQRTPLMIAALYGSTAVIGYILAGHTAEAARRAASDGATALHCAAAGGSAASLETVNLLIGASAEVVDALDASGNRPGDVIARQSSSTVAKSLEVILKAPVCPRVSSPAKEEPAKQGEKKEYPPDLTLPDIKTGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYSYSCVPCPEFRKGSCRNGDACEYAHGVFESWLHPAQYRTRLCKDETGCNRRVCFFAHKPEELRTVNPSVASVNGMASSSPRSSSPGLSSLDMATALMLMQQPGSPMSPSAASSGLVATAAWMNQTGGVMTPPPALQLPSSRLKASLSARDLDFDLDLLGLEGYQQKLIDEITKPASPRANWGTNSLAASRISEYSDLLGSVDPSLLTQLQGLSTRQTGAHFQAPSALQKHQSQLLSGYGGNLSSSPATSSFGLDHSMAKAIMNSRASAFAKRSQSFCDRGATAGRQSTLSAMTTAAVAAPSLLSDWGSPDGKLDWGVQGEELNKLRKSASFAFRGAAPATAPTGMNEPDLSWVHSLVKDGPAAPPVGRLGAGEQQSGYQLSSGGDLFSPWSEEKIMA >Ma05_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1657064:1662179:-1 gene:Ma05_g02540 transcript:Ma05_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRMMRWRPWPPLLSKKFRVRLVVRRVEGVSGGDEVARTGRKVAVEVRWKGPKLALSSLRRTARRNRTREEEVGDGGLVEWNEEFETVCTLTPHKESSFQPWEITFIVLNGLNHGAKNKESVLGMASLNIVEFTSTAEQETELNLPLLLPGVTESHLSLYLALSILELRSSQFSSDMIQRSLTSPLSPPFGDVLPSEKDELSALKAGLRKVKILTEFVSTLKTKKTCQDDDGSEGKCSARSDDAEYTYPSDLDSPDDDLDELDDTEEDSSMRKSFSYGTLQSVNKIGHGTRIDNGVYEDLVCYNHRRSDIGCSHVEDTMLFVPDLSVLKRRILPWKKRKLSFRSPKPKGEPLLKKAYEEGGDDIDYDRRQLSSDESLAGRREGDDDSPLNRSSVSDFGDDYFVIGNWETKELVSRDGHMKLVTQVFFASIDQRSERACGESACTALVAVIADWFHRNQDMMPLKSQFDSLIREGSLEWRNLCENQAYQEHFPDKHFDLETVLQAKIRPISVVPSKSFIGFFHPDTPDSNSGFDFLHGAMSFDSIWDEISRSECLSDGRPMLYIVSWNDHFFVLKVEHDAYYILDTLGERLYEGCQQAYILKFDDSTSIHKVPSDSKTVNGEATAVGHGVAGNLKQEKGNAVEGDLVFMGKESCKEYIKSFLAAIPIRELQADIKKGRVSSTPLHHRLQIEFHYTESSDELSLSNLSSAANAVPELSWLIEPAVAFTSTPAVLVA >Ma01_p23670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28870883:28884037:1 gene:Ma01_g23670 transcript:Ma01_t23670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAPLAWQAASMRYIQHIKKTKKSKMQRWLCCARHLGGSYHAHENEYLTSPTDDAYGMPKYSAGPKNEPPKAPPPIEVPALSLDELKDKTDNFGSKALVGEGSYGRVYLAVLNDEKQVALKKLDSSSESETNAEFLAQVSVVSRLKHENVVEMLGYCVEGNLRLLAYEFATMGSLHDILHGRKGVQGAQPGPPLDWMQRVRIAVDAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRMNGDYPPKAVAKFAAVAALCVQYEAEFRPNMSIVVKALSPLLLSKQVAPLTASDAPSLVS >Ma01_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28870921:28884037:1 gene:Ma01_g23670 transcript:Ma01_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWLCCARHLGGSYHAHENEYLTSPTDDAYGMPKYSAGPKNEPPKAPPPIEVPALSLDELKDKTDNFGSKALVGEGSYGRVYLAVLNDEKQVALKKLDSSSESETNAEFLAQVSVVSRLKHENVVEMLGYCVEGNLRLLAYEFATMGSLHDILHGRKGVQGAQPGPPLDWMQRVRIAVDAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRMNGDYPPKAVAKFAAVAALCVQYEAEFRPNMSIVVKALSPLLLSKQVAPLTASDAPSLVS >Ma01_p23670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28870930:28884037:1 gene:Ma01_g23670 transcript:Ma01_t23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYIQHIKKTKKSKMQRWLCCARHLGGSYHAHENEYLTSPTDDAYGMPKYSAGPKNEPPKAPPPIEVPALSLDELKDKTDNFGSKALVGEGSYGRVYLAVLNDEKQVALKKLDSSSESETNAEFLAQVSVVSRLKHENVVEMLGYCVEGNLRLLAYEFATMGSLHDILHGRKGVQGAQPGPPLDWMQRVRIAVDAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRMNGDYPPKAVAKFAAVAALCVQYEAEFRPNMSIVVKALSPLLLSKQVAPLTASDAPSLVS >Ma11_p06190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4971275:4994221:-1 gene:Ma11_g06190 transcript:Ma11_t06190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MRAAPAMAVMMSPSSPSSSLPLASLFLRRISRSPNSYSIPVVWAPRTPSAAVVSSAFSYLGRKPTVTSSSPSLSNHAVELRRGFPLANPAVARLDFQLAAFGYGVSHRRRFCGVRASEVSDPGSIDSPMMQSMEKKIKEQLDADLVIVKDASGDGRHVSIDVVSKAFEGQSMVNRQRMVYKAIWEELQSTVHAVDQMTTKTPAEVNAEK >Ma11_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4970300:4994221:-1 gene:Ma11_g06190 transcript:Ma11_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA4, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G17560) UniProtKB/Swiss-Prot;Acc:Q9LF68] MRAAPAMAVMMSPSSPSSSLPLASLFLRRISRSPNSYSIPVVWAPRTPSAAVVSSAFSYLGRKPTVTSSSPSLSNHAVELRRGFPLANPAVARLDFQLAAFGYGVSHRRRFCGVRASEVSDPGSIDSPMMQSMEKKIKEQLDADLVIVKDASGDGRHVSIDVVSKAFEGQSMVNRQRMVYKAIWEELQSTVHAVDQMTTKTPAEVNAEK >Ma01_p09430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6819483:6824791:-1 gene:Ma01_g09430 transcript:Ma01_t09430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMRPRKGSTLVFWSAFFFLWTIVTATLSPKGVNFEVQVLMGIKAYLVDPHGVLENWDQDSVDPCSWTMVTCSPENSVIGLATPSQNLSGTLNPSIGNLTNLQILFLQNNNLSGPVPPEIGKLSKLQTVDLSNNYFSGKIPASVGNLNGLQYMRLNNNSLTGAFPASLVNLSQLAFLDLSYNNISGPIPKLPAKTFNIVGNPLICPSALKQKCFGMMPMPMSFDMNNSQSAPALVRPKSYKVILAFGSTFGSICLVCLGCGLFLWWKQSHDQQIFFDVNDLQHEELCLGNLRRFHFRELQIATNNFSSKNILGHGGFGNVYRGTLQDGTLVAIKRLKDGNAVGGEKQFKTEVEMISLAVHRNVLRLLGLSMTATERLLVYPYMSNGSVASRLKAKPTIDWSTRKKIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDHCKAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLGAVEFGKAANQKGAMLDRVRKIHQEKKLDMLVDKNLKSDYDRVELEEIIQVALLCTQHVPGHRPKMSEVVSMLEGDGLVERWEASQRMEAHKLTVPETFSTRYSSNITDDSSLLAQAIELSGPR >Ma01_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6819483:6824791:-1 gene:Ma01_g09430 transcript:Ma01_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMRPRKGSTLVFWSAFFFLWTIVTATLSPKGVNFEVQVLMGIKAYLVDPHGVLENWDQDSVDPCSWTMVTCSPENSVIGLATPSQNLSGTLNPSIGNLTNLQILFLQNNNLSGPVPPEIGKLSKLQTVDLSNNYFSGKIPASVGNLNGLQYMRLNNNSLTGAFPASLVNLSQLAFLDLSYNNISGPIPKLPAKTFNIVGNPLICPSALKQKCFGMMPMPMSFDMNNSQTLVRPKSYKVILAFGSTFGSICLVCLGCGLFLWWKQSHDQQIFFDVNDLQHEELCLGNLRRFHFRELQIATNNFSSKNILGHGGFGNVYRGTLQDGTLVAIKRLKDGNAVGGEKQFKTEVEMISLAVHRNVLRLLGLSMTATERLLVYPYMSNGSVASRLKAKPTIDWSTRKKIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDHCKAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLGAVEFGKAANQKGAMLDRVRKIHQEKKLDMLVDKNLKSDYDRVELEEIIQVALLCTQHVPGHRPKMSEVVSMLEGDGLVERWEASQRMEAHKLTVPETFSTRYSSNITDDSSLLAQAIELSGPR >Ma11_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5802327:5803238:1 gene:Ma11_g07260 transcript:Ma11_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVGARRDEAKGRSEKMSALGPELALRPVVTVRSIGEVDDGGEECRTPTSEESKLPSSLAQSCPPPAPMKPRRALRCKRKLWPAPEFITVRDEEMEQLFGCAKKRRCQQPDDN >Ma08_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6870454:6872041:-1 gene:Ma08_g09510 transcript:Ma08_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVRCYDPTAWIEALAGARYLFDDGRDDSHSPLGCWQSAPHSSIIRGIFTTKGGTHTS >Ma01_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9064298:9067055:-1 gene:Ma01_g12470 transcript:Ma01_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAEVHQGSGDESLAENTEENYDCPIEEVRLTVPVTDDPSQPCLTFRTWSLGLISCVLLSFVNQFLGYRENQISLSSVCVQILALPVGRAMAATLPTTPIKIPLSNWSFSLNPGPFNLKEHVLITIFANAGAGGVYAVGIVTIMRAFYHRGINIIAALLLSETTQLLGFGWAGLFRKYLVDSPYMWWPGNLVQVSLFRALHEEEKRSKGGVSRFQFFLIVIACSFAYYVVPNVLFPSITAISVICLIWKKSVTAHQIGSGMRGLGVGSFGLDWSTISGFLGSPLASPAFATFNVLAGFIALVYIIVPIAYWSNAYDSKNFPLFTSSLYDVHGKKYDLDRVLDQKTFSLNIHEYERYSDIRLSIMFAISYGLGFATLTATLSHVFLFNGAYILKLWRQTATKAQDNYLDIHGRLMKANYEAVPQWWFHIILVVVTALAILTCEGFGKQLQLPYWGIFLAIAMAFVFTLPIGVILATANQAPGLNIITEMVIGYMMPGKPLANVVFKTYGYISMTQALTFLSDFKLGLYMKIPPKSMFFAQLVGTIVASAVYFGTAWWLLGTITSICDTSKLPEGSPWTCPSDAVFFSASIIWGVVGPLRMFGPKSIYLSLNYYFLAGALAPFFVWLLSLLFPHKKWIKLINFPVLLGATAMMPPAHAVNYTSWFVVGIIFNYYVYNKYKSWWGRYTYVLSAGLDAGTAFMAVLAFLSLNNYDVYSVAWWGGDNDDHCPLSRCPTAGSYVPEGCPSFQ >Ma06_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21791566:21792984:-1 gene:Ma06_g23680 transcript:Ma06_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLMLLAALSLSLALSGSADAQGLSVGYYSKTCPQAEAIVFEEMTKIIEVAPSLAGPLLRMHFHDCFVRGCDGSVLLNSTTGNVAEKDARPNLSLRGYGVIDRVKAKLEKACPGVVSCADILALVARDAVVLSKGPFWPVPTGRRDGVVSIANETRQLPPPTANITTLISMFAAQGLSVKDLVVLSGGHTIGISHCAAFNARLYNFTGKATPTDVDPSLDKYYLAKLRTICKPNDFVTFVEMDPGSFRTFDTDYYKLVAKRRGLFHSDAELLQHPLTKAYVLSHAGASEPEFFKDFGDSMINMGNIGVLTGSVGVIRRQCSVVN >Ma09_p28330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39130206:39130307:1 gene:Ma09_g28330 transcript:Ma09_t28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYSCVYTHSIVHVLQFAFRLTTQICYDPSHS >Ma06_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11961464:11963766:1 gene:Ma06_g17630 transcript:Ma06_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNANECRMVVPLNTWVLISNFKLAYNMLRRPDGTFDRHLAEFLDRKVPANAAPVNGVVSFDILIDRTNNLLARIYRPAPPNPSPATTPLADFHRPPSPDPFPVIIFFHGGSFAHSSPNTAIYDSLCRRFVSLCGAVVISVDYRRSPEYRYPCAYDDGWAALKWASTEPWLHGGKDAKLRVFLCGDSSGGNIAHHVAVRAAESGIEVSGNILLNPMFGGNHRTESEKRLDGKYFVTIQDRDWYWKAYLPEGADRDHPACNPFGPNGVPLEELPFTKSLVIVAGLDLVQDWQLAYADGLKTAGKDVKLVYREQATIGFYLLPNTDHFYEVMEEIKHFVTSNL >Ma08_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:605102:607214:-1 gene:Ma08_g00620 transcript:Ma08_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVVHVMEVPSLHQVPETPSAAALLPREAARGFVVLGHRGKGMNALESADLRMRAVKENSLLSFNRAALFPIDFVEFDVQVTKDDCPIIFHDDIILTEDSGKILEKHVTDLYLEEFLSYGPQREPGKVGKSLLRKTDDGRVLKWNVDDDDSLCTLQEAFEKIDSRLGFNIELKFIDHAVYLARDLTHALEAVLKVVYEHANGRPIIFSTFQPDAAQLLRKLQTAYPVFFLTTGGTETSDDVRRNSLDEAIKLCLASGLQGIVSDVKGVFRNPSAVSSIKASNLSLLTYGHLNNVPEAVYMQHLMGIDGVIVDLVEEITEAVSDFITPTSVAEEREGLSDAVVEARQVKVRARPNFSQRELSFLLKLIPELVQH >Ma05_p29130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39915739:39916481:-1 gene:Ma05_g29130 transcript:Ma05_t29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMLLLALLATASSLAMASDPSPLQDFCVADKDSKVLVNGFVCKDPKVVKAEDFFFRGLDKAGDTVNKVGSNVTAVNVNQLVGLNTLGISMVRIDYAPRGLNAPHTHPRATEILTVIEGQLLVGFVTSNTDDGNRLFTKMLKKGDVFVFPQGLIHFQFNPGHTKTIAIGALSSQNPGTITIANAVFGSNPPISDDVLAKAFQVDKKTIDWLQAKF >Ma02_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21742505:21746618:-1 gene:Ma02_g13270 transcript:Ma02_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSASEEDEGVDAYRKGGYHAVRVGDQFAGGRYIAQRKLGWGHFSTVWLAYDTRSQNFVALKIQKSAPEFAQAAIHEIELLSAIAEGDASNSKCIVRLLDHFKHVGPNGQHLCLVIEFLGDSLLRLVKYNRYKGIGLDRVRNICRSILVGLDYLHREVGIIHTDLKLENVLLVSTIDPSKDPVRSGFTPILERPEGNPNGGTVVNMIEKRLKKKAKRARARIAERRASDPLVLQQERSLEGIDLGCKIVDFGNACWCDKQLTDDIQTRQYRSPEVILGAGYSFSTDMWSFACMAFELATGDMLFTPKIGQGYSEDEDHLALMMELLGKMPKKIATTGSRSKDYFDRYGDLKRIRRLKFWPLDRLLVEKYKFSEAIAHEFVEFLCPLFDFAPEKRPTAAQCLQHPWLKVRDAKSGGENNEAGMEKLETGMSKLKVQVGK >Ma11_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9718837:9720161:1 gene:Ma11_g10310 transcript:Ma11_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEVPPYFLCPISLEIMRDPVTLSTGITYDRESIERWIFSDKHEICPVTKQALTNLDVTPNHTLRRLIQAWCSANASDGVERFPTPRPPVDMTQIVALLDEAKLPQTQMTALRRLKAIASESDRNKRCVEASGAVDVLASIMEKSIHGSTGVLEEELIVCDGLESASAPDEALAILCSLQLSEKSLLGLIHRDVNFVELLTKVLGRSSYRIRAYSLLLLKSLVSVIAPARALSLREEFFEELAKVVRDEISHQATKAALQVLAAVSPWGNNRLKAVKAGAVRVLIEQLLDEPEKRTCEMIMVILCQLCGCAEGRAELVEHAAGIAVVSKKIHRVSRLVSRMAVKILCSVAKSSPSPAVLQEMLQLGAVSKMCYVLQMDCDAQARERVREILRLHSKAWRNSPCLAPPLKASYPPPPST >Ma11_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6673581:6684100:1 gene:Ma11_g08390 transcript:Ma11_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGFGGRSVLTRKSNESMRIIISTVIGIVLGYLIGISFPTVSITKLHFPSSIVSYIEDRNSGLTTQTLLNHAWDSANRNRNNSTSNTDDTPKIYVPTNPRGAERLPPCIVVPESDFYLRRLWGNPDEDLIVQQKYLVTFTVGYEQKNNIDAAVKKFSENFTILLFHYDGRTTEWDEFEWSKRAIHVSARKQTKWWYAKRFLHPDIVARYEYIFIWDEDLGLEHFDAEEYIKLVKKHGLEISQPGLEPNNGLTWQMTKRRGDHEVHKETEERPGWCADPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQVVPSLGNQGQAEKGKAPWEGVRERCRKEWAIFQTRMSDAEKAYYLSIGISPPNSTVT >Ma01_p15070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10939442:10941133:-1 gene:Ma01_g15070 transcript:Ma01_t15070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLSKTRKLVKGLAKPTPVWLKPMEEAPPVTFPRTDGKIKKIELPEDVYIKRFFKKYPDSLYHDAIKISGFDPPPARVFAWRVLELKDQGVDEEEAMAVADMEYRAEKKAKKKAYSELKQIARLQGKKPPPNPYPSAIKEIQAEEKKLVRDRFFNPKILEIVQKMKAEMAAEREEKQREAGTGGAGGSGQRGGWNGGQRGGWNGGQRR >Ma01_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10939442:10941272:-1 gene:Ma01_g15070 transcript:Ma01_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLSKTRKLVKGLAKPTPVWLKPMEEAPPVTFPRTDGKIKKIELPEDVYIKRFFKKYPDSLYHDAIKISGFDPPPARVFAWRVLELKDQGVDEEEAMAVADMEYRAEKKAKKKAYSELKQIARLQGKKPPPNPYPSAIKEIQAEEKKLVRDRFFNPKILEIVQKMKAEMAAEREEKQREAGTGGAGGSGQRGGWNGGQRGGWNGGQRR >Ma01_p15070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10939442:10941286:-1 gene:Ma01_g15070 transcript:Ma01_t15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLSKTRKLVKGLAKPTPVWLKPMEEAPPVTFPRTDGKIKKIELPEDVYIKRFFKKYPDSLYHDAIKISGFDPPPARVFAWRVLELKDQGVDEEEAMAVADMEYRAEKKAKKKAYSELKQIARLQGKKPPPNPYPSAIKEIQAEEKKLVRDRFFNPKILEIVQKMKAEMAAEREEKQREAGTGGAGGSGQRGGWNGGQRGGWNGGQRR >Ma01_p15070.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10939442:10941271:-1 gene:Ma01_g15070 transcript:Ma01_t15070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLSKTRKLVKGLAKPTPVWLKPMEEAPPVTFPRTDGKIKKIELPEDVYIKRFFKKYPDSLYHDAIKISGFDPPPARVFAWRVLELKDQGVDEEEAMAVADMEYRAEKKAKKKAYSELKQIARLQGKKPPPNPYPSAIKEIQAEEKKLVRDRFFNPKILEIVQKMKAEMAAEREEKQREAGTGGAGGSGQRGGWNGGQRGGWNGGQRR >Ma01_p15070.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10939442:10941279:-1 gene:Ma01_g15070 transcript:Ma01_t15070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLSKTRKLVKGLAKPTPVWLKPMEEAPPVTFPRTDGKIKKIELPEDVYIKRFFKKYPDSLYHDAIKISGFDPPPARVFAWRVLELKDQGVDEEEAMAVADMEYRAEKKAKKKAYSELKQIARLQGKKPPPNPYPSAIKEIQAEEKKLVRDRFFNPKILEIVQKMKAEMAAEREEKQREAGTGGAGGSGQRGGWNGGQRGGWNGGQRR >Ma06_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9707971:9710073:1 gene:Ma06_g14170 transcript:Ma06_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPVEVQCSLSCSLVHLFHVLRECYSTAVWPSTSPLPPLPSKRPAELSFEANKRLKECHLDFYSSAGQAIDQQEDVKKSQVPHLRKMRRRPTTLSIPEPCTASGFVDGDEEKHVSEKEWEVEGCGYWLACRRGHRPVMEDGHGIIPNINGDPKQAFFGVFDGHGGRAAVDFVSEKLGKNIISSLAGQDKQEIQPEVAIKAGYLTTDKEFISQGVKSGACASTVVLKDGELHVANVGDCRVVLSRRGIAKALTSDHHAGREDERVRIESSGGYITCRNGVWRVQDSLAVTRSIGDASMKEWVISEPETKTIRLTPDCEFLVMASDGLWEKVTDQEAVDVVRKHSESMKHSSKELVELACRRANRDDITVMVVDLQHFAR >Ma09_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8358720:8359312:-1 gene:Ma09_g12420 transcript:Ma09_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDVEKRDREEPREGGPIAVAAAELAKKKGKRGETGESRRMDVSRPPGLFEFPWQKGERLMVPEPPDEWDLRDVFFSSLVDGCSAAIGVPGDRLYPAAPEPFCLPEDPSDGDPDGGAECYWSSVLRPPPAALRRVQQELQCMKKEGIITGSSSCLNSTHYP >Ma03_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26088372:26088947:1 gene:Ma03_g21010 transcript:Ma03_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGYYYQSGPYYYNPSYAQSPPLPLHLCFFLLTLFMFIGLSWYMTYESVFESLFNQIKLLLMVSPLLLLLVVHWLSNDPRRRVSFFAPLAERDTFHRDGGSPWGVALALVLLMFMISYQSYFHDRWFPLLSR >Ma09_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8634096:8639423:1 gene:Ma09_g12790 transcript:Ma09_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSALVAMVGKNCFAIASDRRLGVNLQTVATDFQRIFKIHDKLYIGLSGLATDAQTMYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGDDDVPFICTMDCLGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALLSSVDRDCLSGWGGHVLLVTPTEVQERTLKGRMD >Ma11_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22428748:22444376:-1 gene:Ma11_g17040 transcript:Ma11_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPQRPICCPRAVPEHTRFRIRPVHGLFSHSTLGFREVTRIGTTPCMLASSGQWFLCHLKSRTSLSMCNLHVFELHSAGRRPRRTLTPGTKASTRKGFAPRPQVGTSTQKKDQNDAQEEEVSGSSGSKQPAISSSGTTDRKVTGDAQSAEIVPTSRMSSNLVKRRIDILEEAEQQGQGNDETDQQIFTDMPSDSTDFAETDGQIADVGIEGDGLGLRYKNIAVDHSNKGIGKETMIDQFTEAGIGKEITTERFSKVGVGKDTVTDQFNDVGIRKETMTDEFSDAGIGEETMADQFSEVGKQMIYVKNQIGRITIAEMTEIAGEEEKKLAVVESSLDISDVEELAEAHDSTENAVLDMEESLLKQKADMEAKAQRQLLENLADENFSEGIKVFVVPQVVNPDQVIEIFFNRSLSALANEPDVLIKGAYNGWRWQFFTEKLQKTDLKGDWWSCRLSVPKEAYKVDFVFFNGADAYENNNSKDFSLPVEGGMDETAFEDLLLEEKHREIEKLAAEQAEKERQAEEHRQKAAEKAASEADKAQAKIEAEKRRHHLHHLMKLASESASHIWQIEPNFFEGGDRVRLYYNRSSRPLAHATEIWIHGGHNIWSEGLSIIEKLSHSEKRDGDWWSADVVVPDRALVLDWVFADGPPGKAVVYDNNNRQDFHATVPKSMPGELFWVEEEHRIYRKLQEERRAREEAVHKKAEKTARMKAETKEKTMKMFLLSQKHIVYTEPIDVRAGSVITVLYNPSNTVLNGKPEVWFRCSFNRWSHHNGPLPPQKMVPAENASHLKATVKVPMDAYMMDFVFSEREDGGIYDNRNGMDYHIPVIGGIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHTVDVVLPKYNCMNLSNVKDLHFRNSFAWDGMEINVWFGQVEGLPVYFLEPQNGMFSVGCIYGRNDDGHRFGFFCHAALEFLLQSGFQPDILHCHDWSSAPVAWLFKEHYAHYGLSNARVIFTIHNLEFGVHNIGRAMAYADKATT >Ma09_p17150.21 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.21 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.10 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.14 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.14 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.12 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.12 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.19 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.19 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.18 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.18 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.15 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.15 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.17 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.17 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.16 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.16 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.13 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.11 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma09_p17150.20 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12661846:12668791:1 gene:Ma09_g17150 transcript:Ma09_t17150.20 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKNVRNSGNEDEVDFRGGNVHVITSKSDWEQKIAEANKDGKIVVANFSATWCSPCRTVAPVYRELSEKYPSLIFLTIDVDNLMDFCWSWDISATPTFFFLKDGQQLDKLIGAYGPELEHKIRLLDQSCQAPTDATLPLR >Ma02_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24223877:24226317:-1 gene:Ma02_g17190 transcript:Ma02_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISGAGVAAGRGAGSWRLADEMHPPGGGCRPVAAEYMRRFHCHEPEENQCSSAILKHIKAPVHLVWSLVRRFDEPQKYKPFVSRCIAQGDLGIGSLREVNVKSGLPATTSTERLEHLDDSEHILSFKIVGGDHRLKNYSSIVSAHPEIIDGRPGTLVIESFVVDVPDGNTKDETCYFVEVLIKCNLKSLAEISEHLPLQDHTEPICLDRGGDTGGVTSDVSGPSVH >Ma10_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32503181:32504254:-1 gene:Ma10_g23710 transcript:Ma10_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIPGLTDDVARECLARVPFINLRTLLSVCKLWRQELRNPTFHRFRKSIGIAQPVVVLVQPVPYSAQPTLPGPVVYRLVIFETTTGVWRSLPPCPFLPHGLPLFCRLAAVGTELVVVGGWKPPTWATTDEVHVYDFLSGEWRRGSPLPSPLRSYFACAAMHDSDKGCREVYIAGGRDERKNALRSALAYDLASGSWKPLPDMARERYECRGVILRGKFLVLGRYSAETFDMAAGSWGPMEGAAVEEEDEYPALYVTGEDGRLYRCAGREVMVQLEGSVWAKVAELPSGMRQALYAVLWEGKLMVIGFGHDYGFSANILGMKATTTRTTMAAATWKKIEVPPEYRRSVLGACCLFI >Ma03_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23329271:23337246:1 gene:Ma03_g17760 transcript:Ma03_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADSALSAYYSPHHHRGGGGATSSSARSPMFSAAPPSSASGASAAYAPPPAEPVRRKRGRPRKYGPPSPSPSRSSSQMINSPTLPVPSSSPTSPSAFARLRDGSSASPSSSKKAKLAAPGNAGHGFKTHVITVVAGEDVAQKIMSFVQHLEHTFCILAASGSISRASLSHPAVFGGCVTYEGRFEILSLSGSFVHNRTDGASMKMGGLSICLSGTDGRVVGGGVKGPLLAAEPVQVIAGSFMADSDGDFSDAKPAAASAGKLSTSVQSGLALNPATLGSSTECTGRFIRSKENDVHQNFAGSEINHVIQSPTDWTGRRDGGACQFFGDEEDENI >Ma02_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29472152:29475518:-1 gene:Ma02_g25120 transcript:Ma02_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHVDTMKTILSSDQLLLELKDILIESSKMDMIQPSYEAVLEICSQDGLFLC >Ma03_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25912608:25916771:1 gene:Ma03_g20830 transcript:Ma03_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPPSHQLYRLFSSSSTSAAAAASVHLPSAFPAADPSTTLDLDTILETLTSYASDWQRALDFFHWSSAAAAAGGPTHTAAALSRTIDILGKHFEFPLAWSLLSSHPLSPPDLLRPSFRALFNRLAAAHLVDDALTAFDRAAAEHGLRDRVTFHLLVDALCDHRHAAEAEEICLRSKTPPFPPDTKTYNLLLRGWLKMGWWSKCREFWEEMDRKGVEKDLHSYSIYMDILSKAGKPWKAVKLYKEMKKKGFLLDVVAYNTVIQAIGLSQGVDFSVRMYREMLDSGCKPNVATFNTIIKLLCQEGRFREGYAFVDQMRKMGCEPNAMTYHCFFQNLSRPQEILGLFDKMIRSGCRPKMDTYVMLMSKFGRWGFLRPVFMIWNSMLEHGCSPDAFAYNALIDALLQKGMVDMARKYDEEMVAKGLSPKPRKVLGTNGPGMQADDDPDDVTHVF >Ma01_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1999617:2004161:-1 gene:Ma01_g03060 transcript:Ma01_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRAWWKGDEREGKMRTICDVCESAPAILFCAADEAALCRPCDEKVHMCNKLASRHVRVGLADPSEVPHCDICENAPAFFYCEIDGSSLCLQCDMAVHVGGKRTHRRYLLLRQRVEFPGSRSSHMEELKTKAKDFDEHRRDHKMVMTEKMTNRKLSADPALDATNNHDGKMDSNMIDLNTRPVRIHEQASNTQAQQMDLASDNNHDSPGVVPTGPFQNSG >Ma10_p25030.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33347411:33351858:1 gene:Ma10_g25030 transcript:Ma10_t25030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMWAEGGRFYWGRTEGEGRREAKGIVVMFAWLSSLESHLKPYVDLYWSLGWRPLVCHVDFLTLIFPNKATSLACGVLDELMKVIKIKQLPVVLMSFSLGLRGCMYKVLQILNGKMQEELGLDEYRLMRECICGQIYDSAPVNFTGKMATRFLHHHTAQRLFSPTKITSWMRKVLTSGLDVVLPSRSEAQHAEYWQSLAWVLFLYFHQKMMTLPLTKVSFNFSLCLKELGVDARCVKWSNSPHVCTIVITKLITTPMSLSFLARQLQYFPREGYSMKDQKTSEVPVTIYQSPFAVFMKRH >Ma10_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33347411:33351858:1 gene:Ma10_g25030 transcript:Ma10_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWAEGGRFYWGRTEGEGRREAKGIVVMFAWLSSLESHLKPYVDLYWSLGWRPLVCHVDFLTLIFPNKATSLACGVLDELMKVIKIKQLPVVLMSFSLGLRGCMYKVLQILNGKMQEELGLDEYRLMRECICGQIYDSAPVNFTGKMATRFLHHHTAQRLFSPTKITSWMRKVLTSGLDVVLPSRSEAQHAEAWVLFLYFHQKMMTLPLTKVSFNFSLCLKELGVDARCVKWSNSPHVCTIVITKLITTPMSLSFLARQLQYFPREGYSMKDQKTSEVPVTIYQSPFAVFMKRH >Ma10_p25030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33347411:33351177:1 gene:Ma10_g25030 transcript:Ma10_t25030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWAEGGRFYWGRTEGEGRREAKGIVVMFAWLSSLESHLKPYVDLYWSLGWRPLVCHVDFLTLIFPNKATSLACGVLDELMKVIKIKQLPVVLMSFSLGLRGCMYKVLQILNGKMQEELGLDEYRLMRECICGQIYDSAPVNFTGKMATRFLHHHTAQRLFSPTKITSWMRKVLTSGLDVVLPSRSEAQHAEYWQSLAWVLFLYFHQKMMTLPLTKVSFNFSLCLKELGVDARCVKWSNSPHVCES >Ma10_p25030.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33347411:33351182:1 gene:Ma10_g25030 transcript:Ma10_t25030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMWAEGGRFYWGRTEGEGRREAKGIVVMFAWLSSLESHLKPYVDLYWSLGWRPLVCHVDFLTLIFPNKATSLACGVLDELMKVIKIKQLPVVLMSFSLGLRGCMYKVLQILNGKMQEELGLDEYRLMRECICGQIYDSAPVNFTGKMATRFLHHHTAQRLFSPTKITSWMRKVLTSGLDVVLPSRSEAQHAEYWQSLAWVLFLYFHQKMMTLPLTKVSFNFSLCLKELGVDARCVKWSNSPHAL >Ma10_p25030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33347411:33351858:1 gene:Ma10_g25030 transcript:Ma10_t25030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWAEGGRFYWGRTEGEGRREAKGIVVMFAWLSSLESHLKPYVDLYWSLGWRPLVCHVDFLTLIFPNKATSLACGVLDELMKVIKIKQLPVVLMSFSLGLRGCMYKVLQILNGKMQEELGLDEYRLMRECICGQIYDSAPVNFTGKMATRFLHHHTAQRLFSPTKITSWMRKVLTSGLDVVLPSRSEAQHAEYWQSLAWVLFLYFHQKMMTLPLTKVSFNFSLCLKELGVDARCVKWSNSPHVFITKLITTPMSLSFLARQLQYFPREGYSMKDQKTSEVPVTIYQSPFAVFMKRH >Ma10_p25030.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33347411:33351858:1 gene:Ma10_g25030 transcript:Ma10_t25030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMWAEGGRFYWGRTEGEGRREAKGIVVMFAWLSSLESHLKPYVDLYWSLGWRPLVCHVDFLTLIFPNKATSLACGVLDELMKVIKIKQLPVVLMSFSLGLRGCMYKVLQILNGKMQEELGLDEYRLMRECICGQIYDSAPVNFTGKMATRFLHHHTAQRLFSPTKITSWMRKVLTSGLDVVLPSRSEAQHAEYWQSLAWVLFLYFHQKMMTLPLTKVSFNFSLCLKELGVDARCVKWSNSPHSSPN >Ma03_p29300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32243994:32249057:1 gene:Ma03_g29300 transcript:Ma03_t29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSARFLQQVRCLCRFQRGEISANRLGKTNRVSILNHPVVGALVGREADRYLNQSLLFFRAVCTSGGSCDVGGAGPLLEYEKRITSGELVGGDSFQIDTLQALQRLYEELVEHEESCQLDRYKSSQKSGRRRWLWSRFMPQSTYSPVKGLYLYGGVGTGKTMLMDLFFNQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVADPLEVVAAEISDESILLCLDEFMVTDVADALILNRLFCHLFSNGVVLVSTSNRAPDQLYEGGLQRDLFLPFIETLKERCVIHEISSSTDYRKMGSAENGYYFIGKYSGLLREKFHQLIGAEKPGPQVVEVVMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALEGVPKFGIHNRTAAYRFVTLVDVMYENKARLLCTAEASPVELFERIVTVMDAQKIYPRSSSRSKKTDDIDLCVDNELGFAKDRTISRLTEMNSKEYLEQHEANVKDNKTPQK >Ma03_p29300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32243994:32249057:1 gene:Ma03_g29300 transcript:Ma03_t29300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICILMRTSARFLQQVRCLCRFQRGEISANRLGKTNRVSILNHPVVGALVGREADRYLNQSLLFFRAVCTSGGSCDVGGAGPLLEYEKRITSGELVGGDSFQIDTLQALQRLYEELVEHEESCQLDRYKSSQKSGRRRWLWSRFMPQSTYSPVKGLYLYGGVGTGKTMLMDLFFNQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVADPLEVVAAEISDESILLCLDEFMVTDVADALILNRLFCHLFSNGVVLVSTSNRAPDQLYEGGLQRDLFLPFIETLKERCVIHEISSSTDYRKMGSAENGYYFIGKYSGLLREKFHQLIGAEKPGPQVVEVVMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALEGVPKFGIHNRTAAYRFVTLVDVMYENKARLLCTAEASPVELFERIVTVMDAQKIYPRSSSRSKKTDDIDLCVDNELGFAKDRTISRLTEMNSKEYLEQHEANVKDNKTPQK >Ma01_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6388143:6394009:1 gene:Ma01_g08900 transcript:Ma01_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVRFWLSYFNCRVLFLDGAIDWRLSVHGDFLLTSFVNCT >Ma01_p08900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6388143:6394009:1 gene:Ma01_g08900 transcript:Ma01_t08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVRFWLSYFNCRVLFLDGAIDWRLSVHGDFLLTSFVNCT >Ma02_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15358394:15361764:1 gene:Ma02_g03920 transcript:Ma02_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIKAAAGDALITFLWMFCVSTVGVATSLITSALQIQGVAFSVFVTTTLIFALVFVFDIACNAIGGASFNAAGTAGFYAAGLGSDNLISLAVRFPAQAAGAVGGVLAIMEVMPLQYKHMLTGPSLKVDLHTGAIAEGVLTFVINVAVLWIIVRGPRSPIVKTWLVAFCTVSLIMVGTAYTGPSMNPANAFGWAYISNRHNTWEQFYVYWIAPFIGAIFAGWFFKIIFPRRAEKPKKA >Ma03_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8252770:8253117:-1 gene:Ma03_g10920 transcript:Ma03_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCTHMPFPACCVRLYCYEYMMKEQCKIKSNCLNEEKPLLVLPQICTFISFIYDMW >Ma04_p27200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28423824:28425149:-1 gene:Ma04_g27200 transcript:Ma04_t27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTASVFFLLLHFLLLVSSAIAFDVTRLLAQFSDFSTFNDLLIRTQLSADISTRKSVTILAVQNGALSSESGQPDEVIKKIIALHVVLDYLDSEKLHQLSSHTAILTTMLQASGRASGLSGFLNVTDLKNGQVAFGSAVPGSPLSSYFVRVILTHPYDISVVQISGLIVPPGIRDGSSNNSSAPTRPPAAAPRKAPPAPSTWPSKAPPPGASPSHAPKQAPQAAAPSNAPKLAPHVAVPPSKVLPIPIPRRRAPSQSPIPSAGPKVAPRRPPTLAPRTGPAPASWPSMVLPPGASPSNPSRSKAPTPARWPSMLLPPGASPSNPPRSKAPAPARWPSMVLPPGASPSNPPRSKAPSSDGAPGGPMPSSPASDAPAVSPPSPVGGGTPPAGYPTDAGGVAGPDTGSGESPASHGMAVVPSVAVAMGAVLLAVLSTIRRS >Ma04_p27430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28594674:28595486:1 gene:Ma04_g27430 transcript:Ma04_t27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAARSPSPPALLISVVLLLLLSTADLAFSLVAPSSSPSPVAGGPVQSPPAPSPDSIPSLSPSPENSLPPLAPPSEVSSPAPTPTPSATPPPAPVPSNEEIRSGEDADQADEDVGGGGMSGGKKAGIAVGVIMAAAVLAAGVVLYKKRQENIRRSRYGYATQRDML >Ma04_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22219216:22220162:-1 gene:Ma04_g19630 transcript:Ma04_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKVDLAKAVEKEESRADDEDDDCYVIDPVADCFGKLTLGDPSDDVILVAEKGPVALRDFPHPRHLCANFPFNCTAHESYCSKCFCYVCEVAAPCSRWNGANGHCSVYTKEGPRSEASAQDPRAGIDTKEMQPPRSEYVGRMKLLE >Ma08_p34110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44173974:44194561:1 gene:Ma08_g34110 transcript:Ma08_t34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSASSKRALSSPSPASPPASKRPKEEPTTSSPKGQRPDNKEEPAPTSDGRHLRSDLPAVDPPVEAAGTAAAETQGEEPGDAAAHLPPQERPAKMDSRKRGLISLEIPTKRVVKATQKAAWAKLISQHSQNPHLFLSGSQFSVGQSRSCNLWLKDPSISKILCRLRHSQRGGASVAFLEIVGRKGIVQVNGKTFERNSNIILTGGDELIFSSSGKHAYIFQQLKNDKSATAVLPSLGVQESKGTAIRESQTETRTGDPSAVTGASILASLSNDLKDLSAIPPASNAENAQEGLENPALASMTIASDGCNPDPEKDSDTCKESSETEGSSEIRSDNADAVMSSDLRVNEPVQPENIQPDAHPDAEIGKVPGTNSEIRPLLRMFSGSPISGLDLSGNVFKVFEDQRELLKDLDLPSSLPTTRCQAFKDGLKQGILNPNDINVSFETFPYYLSENTKSVLMSCAFIHLECKEFVKYTTDISSVNHRILLSGPTGSEIYQETLVKALAKHFGARLLIIDSLLLPGDAELLKEGARIEKSSIFSKHRAALADAIQLKKPASSVETDIVGASTLNTQSLPKQEASTASSKNYTFKEGDRVRYVGSMPSSGFPLQTPQRGPNYGYRGKVVLAFEENGSSKVGVRFDKQIPEGNDLGGLCEEDHGFFCTADLLRPDFSGSEDVERLAANELLEVVLEESKNGPLIVLIKDIEKSMAGSTDSYITLKSKLELMPVGVLIIGSHSQIDNRKEKSHPGGLLFTKFGSNQTALLDFAFPDNFGRLHERSKEIPKTMKQLSRLFPNKVLIQLPQEETQLSEWKQHLDRDVETLKAKSNVLSIRSFLNRCGLDCNDLETISIKDQALTNESVDKVVGFALSYHLKHSRTEASSKDAKLMLSSESLKHGLSMLQSVQNDNKSIKKSLKDVVTENEFEKRLLTDVIPPNDIGVTFDDIGALENVKETLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFTLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDTSNREKILRVILSKEELAPDVDLEALANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERNLALAEGRTLPTLYGSEDVRPLNMEDFKYAHEQVCASVSSESSNMSELLQWNELYGEGGSRKKKALSYFM >Ma08_p34110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44173974:44194561:1 gene:Ma08_g34110 transcript:Ma08_t34110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSASSKRALSSPSPASPPASKRPKEEPTTSSPKGQRPDNKEEPAPTSDGRHLRSDLPAVDPPVEAAGTAAAETQGEEPGDAAAHLPPQERPAKMDSRKRGLISLEIPTKRVVKATQKAAWAKLISQHSQNPHLFLSGSQFSVGQSRSCNLWLKDPSISKILCRLRHSQRGGASVAFLEIVGRKGIVQVNGKTFERNSNIILTGGDELIFSSSGKHAYIFQQLKNDKSATAVLPSLGVQESKGTAIRESQTETRTGDPSAVTGASILASLSNDLKDLSAIPPASNAENAQEGLENPALASMTIASDGCNPDPEKDSDTCKESSETEGSSEIRSDNADAVMSSDLRVNEPVQPENIQPDAHPDAEIGKVPGTNSEIRPLLRMFSGSPISGLDLSGNVFKVFEDQRELLKDLDLPSSLPTTRCQAFKDGLKQGILNPNDINVSFETFPYYLSENTKSVLMSCAFIHLECKEFVKYTTDISSVNHRILLSGPTGSEIYQETLVKALAKHFGARLLIIDSLLLPGVSSLKDAELLKEGARIEKSSIFSKHRAALADAIQLKKPASSVETDIVGASTLNTQSLPKQEASTASSKNYTFKEGDRVRYVGSMPSSGFPLQTPQRGPNYGYRGKVVLAFEENGSSKVGVRFDKQIPEGNDLGGLCEEDHGFFCTADLLRPDFSGSEDVERLAANELLEVVLEESKNGPLIVLIKDIEKSMAGSTDSYITLKSKLELMPVGVLIIGSHSQIDNRKEKSHPGGLLFTKFGSNQTALLDFAFPDNFGRLHERSKEIPKTMKQLSRLFPNKVLIQLPQEETQLSEWKQHLDRDVETLKAKSNVLSIRSFLNRCGLDCNDLETISIKDQALTNESVDKVVGFALSYHLKHSRTEASSKDAKLMLSSESLKHGLSMLQSVQNDNKSIKKSLKDVVTENEFEKRLLTDVIPPNDIGVTFDDIGALENVKETLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFTLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDTSNREKILRVILSKEELAPDVDLEALANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERNLALAEGRTLPTLYGSEDVRPLNMEDFKYAHEQVCASVSSESSNMSELLQWNELYGEGGSRKKKALSYFM >Ma06_p37280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36387968:36393673:1 gene:Ma06_g37280 transcript:Ma06_t37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLLRPPPPSAAFLRHVATTSPGPLLWRPVGVPTRGSLLRESKWLPLLQSGEKRRRLSNTITNSVTACFYNANDKLKPASSGDDEDSEVEWSVLRRWDVPWSWQTVSLTMMACGVSFVLTGLVESSLLPYLGFQDRELSLDEKAEILFAGQFSVTAVVLGVIYGITNTFQPLPDDVFRYDLKEPFDLRDGWLLWAGVGLLGALIAIALTGFALNIFSGENPQRETDALIRLLPLIGSSGISTACLLGITGVLAPVLEETIFRGFLMVSLTKYLSTPISVIISAAIFAIAHLTPGEFPQLFVLGTALGFSYAQTHNLLTPITIHALWNSGVILLLTFLQVQGYDIRELLQAS >Ma06_p29070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30564005:30565633:1 gene:Ma06_g29070 transcript:Ma06_t29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHFFFLPFLAPGHMIPMVDLAGVFAGRGVRSTIVTTTANVPLVQPTVDLANADDSLRHPIQILVLSFPSFESGIPLGHENLLAFNDPEVTPEFMTAINMLEDPFKQLLQAHRPDGIVADIFYAWASDAAKEFGIPRLSFHGSNTFCTVVSGALGRLKLHESEQAFDVPGLPHRFQMTWSQLPEFITKPDDSMERLGDGYRKSYGMLVNSFYELESDYIDLVKKGSETKLWHVGPLSLHNQLAKEKAARGNTASISSDECLTWLDSKKPRSVLYVCFGSLGQCTTTQLHEIALGLEASDHPFIWVVSNAGEPSEWLPERFNERVIGEGKGLLIKGWAPQLLILNHEAVGGSVTHCGWNSCLEGISAGVPMVTWPLFAEQFFNEKLVVDVLRVGIAVGATVCSHRKEKRALVKAEAIKKAVDELMGSGEEAESRRKTAEKLKELAIKAVAEGGSSHMDLSCLLADMVNLKAGRGI >Ma08_p30390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41670275:41675354:1 gene:Ma08_g30390 transcript:Ma08_t30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGMEVVKGRKAMWLYPRVTGFNPPERWGHSACFFEGVVYIFGGCCGGLHFSDVLTLNLETMAWSSLVTTGQKPGSRDSHSTAIVGHKMIVLGGTNGTKKVNDLHILDLRTKEWSQPNCNGTPPSPRESHTATIIGDGKLLIFGGSGEGEANYLNDVHILDLKNMTWTSPEVKGDPPAPRDSHTAIAIGDKLLIYGGDCGDRYHGEVDVLNMETMTWSRLAVKGSSPGVRAGHAAVNIGTKVYVIGGVGDKQYYSDVWVLDVGICSWVQLEIRGQQPQGRFSHTAVVTNNDIAIYGGCGEDERPLNEFIILQLGSEHPNGRYNISMCKIFGSHWIQEKRKFLRTENLQTAVVPKNGEQSRRSSEVEVEPRNSMALEHMTVKRRKTNDARVSENESEPEEHSLSLSQHSSPSQSDQEQNIVQKLSTSANDSILPQQFARVKLYNHREPVKNVQRSTKDTHFLGGESPRQPKAEQFLRAVPPLRQECVLLGAEPKPQLRPCFSPLIGAEVHGTVDGAFDSGYLMTANVNGQILRGVLFSPGPAVAAPRPAINSQGLTCATAIFQHSPSPHATPFHVRPPRQPISGVLPEYGHHLKQTPQVQVVKAQPVKFKGDMQDVVLTLGGPGGGGLS >Ma08_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10536095:10538890:1 gene:Ma08_g13340 transcript:Ma08_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKLEGCDRLKEEGARVCINDALTDDELRAVLRQLEKEEERDLFGLVCKRWLRLQSTERRRLRARAGPAMLRRMADRFPGLVELDLSQSASRSFYPGVTDSDLAVIAAGFRNLRVLDLQNCKGVTDVGMTTLGNGLPSLQSLDVSQCKKVTDKGLVAVASGCSYLKRLHVTGSKSITDELLKSLSKSCPCLEDLGLAGCNNITDIGLSTLADGCRHIKSLDVSKCTKISDVGVSRVAEVAPSSLKVLKMLDCFRVGDKSIFSLAHFCHNLETVVVGGCRDVSDESIKSLSLVCCHSLRSFRMDWCLNITDSSLKCMLSNCRHLVALDIGCCDKITDSAFHSLEMGGFESQLKVLKMSNCLKITISGVGSILQFCKYLEYLDLRSCPHITRLGCQQAGLQFPECCRTNFDGSLGESEGMVDFFL >Ma08_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32778054:32778245:-1 gene:Ma08_g19000 transcript:Ma08_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTTVCYISPTSSTVDVLHLSTNYRVQSSITVNGLKNIIMGVSLHIFLIIKYFVLISSTYLT >Ma03_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25798438:25800426:1 gene:Ma03_g20630 transcript:Ma03_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPWRRAHRAVAINRFSVSPSVNPPSPAAPVHPSPPSFESCLMSLVGSGMIDGAVALLIESVSAPCSNPPPTRSFNLVLCALNKSRRFDLAIPLFRSMCQSGVPPDVLTLTLLMNCHCESGRLDLVCQVFDQFEFWKCSPNVISCNTLIKAYCKKGRIFDALRFLNAMWETGPRPNDRTYSILIDSACGSSNSSLGLRLIGEMLGIGLVPSAAAYNCALSGLCKEGRMKGSRILYARMTKLGIKGNVVSFTGFISGLCREGEIEEAKIVFIEMSEMGIRPNVMTYTALIHGLCSHGQIDDAKKTMRYMVHLGVAPNVVTYTAVLGELCKKGRVEEASRLLDEMGQQGIDPNEFTYCTLLDGLCKSGKLEEAMQFFRRFQRQGFGDNIVAYNTLISGFCKKGFVDEASRLLEEIMQKGSKPDVIMYNTLIDGFCKVGKTDTAYNLLSDMERQGLRANVVTYNTLMAGLVKVGDLVRVLHLVEEMAGKQIEPDVISYSILLNGMCRAGRFQDAENILHHVEDNETEPDAIMYLCMLHSYSKGMQLQRAKSIILRMRKRGFQANFIVYSIILQGFCIRGEIEEAIMLLHGIVLSGTAPSTVIVSLFLEYVCRFGQLDKFLDLLPVTADKDGFNFMV >Ma05_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1553818:1555885:-1 gene:Ma05_g02410 transcript:Ma05_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPLDSDGEIVQEALNSMSSGGVSCDERRSSFSRVSYDAASMAALELSFGDLNCGTFASKPHRSLDPAWAAIRSRTSAGGARPSNLGHRDFELVRRIGRGNMGTVYLCRLRGEASQCMYAMKVMDKLALAKKKKKLESAVTERRILRVLDHPFLPTLYAAFDMPPRYSCLVMEYCSGGDLHTLRLRQPHLRFSVSATRFYAAEVLLALEYLHMLGIVYRDLKPENILIRADGHIMLTDFDLSLVSTTSPTLEPLTASEQAAELRHHHRVGPSCLPFRARRLFRRILKPDRRFVAEPVVARSSSFVGTHEYVAPEVAGGGPHGSAVDWWAYGVLLYELLYGRTPFAGETLKATLRNIVTEPLVFPPPSSPSSRSSDSAARDLIAHLLDKDPEARLGSRRGAADVKEHAFFRGLNFALMRSHRPPTVPVRHGPNST >Ma03_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6116387:6116933:1 gene:Ma03_g08440 transcript:Ma03_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRRIQINGTSERSKDGSLSGTDRFVVDKTGRIGGHSQEKARTASPFRALPSARPMSNLGLVLGALLVVLIWYLWKGLKYLTWRPYVITEAFRKQGVRGPAYRFWSGSLGEIRSISKAAMEKTLDMKSHDISTRVQPFYRKWTSEYGQTPSSLPQILHNLF >Ma00_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33643040:33647488:1 gene:Ma00_g04030 transcript:Ma00_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTDLINLDLSETTDKIIAEYIWIGGSGLDLRSKARTLPGPVTDPSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRYNAAKVFSHPDVVAEEPWYGIEQEYTLLQKDVKWPLGWPVGGFPGPQGPYYCSAGADKSFGRDIVDAHYKACLYAGINVSGINGEVMPGQWEFQVGPSVGISSGDQIWVARYILERITEIAGVVLSLDPKPIQGDWNGAGAHTNYSTKSMRNDGGYEIIKSAIEKLGKMHKEHIAAYGEGNERRLTGRHETADINTFIWGVANRGASIRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Ma04_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:974099:983077:1 gene:Ma04_g01120 transcript:Ma04_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQRPTRPGPGATHGPGAGPAAPPRTAAAPPVAGEGDDEVYNIIPIHNLLADHPSLLFPEVRAAMAALRTVGELRKPPFSRWHDGLDLLDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLQPPPDNIDVLDHSVVRRVRKKLLHSYTSWCAYLGRKSNVWISDSGLRRVATDPRRELLYAALYLLIWGEAANLRFVPECLSYIFHHMAMDLNRILEDYIDDATGQPALPAISGENAFLARVVTPIYETIKREVDASHNGTAPHSAWRNYDDINEYFWSNHCFDRLRWPLDRSKNFFATPPTKNRVGKTGFVEQRSFWNLYRSFDRLWVVLILFLQAAILVAWHGDTYPWQNLRTRDAQVRVLTIFITWAGLRLLQSLLDAGTQYGLVSRDAKLLGVRMVLKSLAAAAWTVAFAVLYSRIWDQRNRDRQWSTAANQRLVNFLEAAAVFVLPELLAIVLFIIPWLRNFLEKTNWRIFYILTWWFQSRTFVGRGLREGLFDNVKYSLFWVVLLAVKFSFSYFLQIKPMVTPTKAILRLQNVQYEWHEFFSRTNRFAVFILWLPVILIYLMDIQIWYSIFSSLVGALVGLFAHLGEIRDVQQLRLRFQFFASAMQFNLLPEEQLFQDHGTLRSKFRDAVNRLKLRYGLGRPYKKIESNQLGPSRFALIWNEIIQTFREEDILSDREVELLELPPYTWNIRVIRWPCLLLCNELLLALGQAKELKADDRKHWRKICKNEYRRCAVIEAYDSVKYFLLEIIKEGTEEHSIVAGMFEEFDSCIRVEKFSVEYNMGVLQSIYDKLVVLLGTLVKPNRNKNKVVNTLQTLYDIATRDFPKNKKSIEQLKDAGLAPTGSSGLLFENAVELPSAENENFYKQVRRLHTILTSKDSMNNVPKNLEARRRIAFFSNSLFMNMPRAPQVEKMRAFSVLTPYYNEEVLYSKEQLQSENEDGISIIFYLQKIYEDDWSNFLERMRSEGMTDEEELWGKRSRDLRLWASYRGQTLSRTVRGMMYYYRALKMLTFLDSASEIDIREGSRELQSVGSSKRQKTELDDSEDGGKSPSRSLSRASSGVSLLFKGHEHGTALMKYTYVVACQIYGNQKAKNDPRANDILYLMKNNEALRVAYVDEVKSGRDEVVYYSVLVKYDQQLQKEVEIYRVRLPGPLKLGEGKPENQNHASIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYSYKYGSRKPTILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVVLTVYAFVWGRLYLALSGLENSISNNADSTNNAALVTVLNQQFIIQLGLFTALPMIIENSLEHGFLPAIWDFFTMQLQLASMFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGLILTVYASYSAIAKNTFVYIVLTISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWHPSRISATSDQSWEKWWNEENDHLRTTGLWGKLLEVVLDLRYFFFQYGIVYQLNIASESHSVSVYLLSWIGIVAAVGIFVVVDYARDRYAAKEHITYRAIQSFVIVFVILVIVLLFEFTSFEFIDIFTSLLAFIPTGWGLILIAQVIKPFIESTALWETVVSMARFYDILFGVIVMAPVAFLSWMPGFQEMQTRILFNEAFSRGLQISRILTGKKQDTI >Ma04_p01120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:974091:983077:1 gene:Ma04_g01120 transcript:Ma04_t01120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQRPTRPGPGATHGPGAGPAAPPRTAAAPPVAGEGDDEVYNIIPIHNLLADHPSLLFPEVRAAMAALRTVGELRKPPFSRWHDGLDLLDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLQPPPDNIDVLDHSVVRRVRKKLLHSYTSWCAYLGRKSNVWISDSGLRRVATDPRRELLYAALYLLIWGEAANLRFVPECLSYIFHHMAMDLNRILEDYIDDATGQPALPAISGENAFLARVVTPIYETIKREVDASHNGTAPHSAWRNYDDINEYFWSNHCFDRLRWPLDRSKNFFATPPTKNRVGKTGFVEQRSFWNLYRSFDRLWVVLILFLQAAILVAWHGDTYPWQNLRTRDAQVRVLTIFITWAGLRLLQSLLDAGTQYGLVSRDAKLLGVRMVLKSLAAAAWTVAFAVLYSRIWDQRNRDRQWSTAANQRLVNFLEAAAVFVLPELLAIVLFIIPWLRNFLEKTNWRIFYILTWWFQSRTFVGRGLREGLFDNVKYSLFWVVLLAVKFSFSYFLQIKPMVTPTKAILRLQNVQYEWHEFFSRTNRFAVFILWLPVILIYLMDIQIWYSIFSSLVGALVGLFAHLGEIRDVQQLRLRFQFFASAMQFNLLPEEQLFQDHGTLRSKFRDAVNRLKLRYGLGRPYKKIESNQLGPSRFALIWNEIIQTFREEDILSDREVELLELPPYTWNIRVIRWPCLLLCNELLLALGQAKELKADDRKHWRKICKNEYRRCAVIEAYDSVKYFLLEIIKEGTEEHSIVAGMFEEFDSCIRVEKFSVEYNMGVLQSIYDKLVVLLGTLVKPNRNKNKVVNTLQTLYDIATRDFPKNKKSIEQLKDAGLAPTGSSGLLFENAVELPSAENENFYKQVRRLHTILTSKDSMNNVPKNLEARRRIAFFSNSLFMNMPRAPQVEKMRAFSVLTPYYNEEVLYSKEQLQSENEDGISIIFYLQKIYEDDWSNFLERMRSEGMTDEEELWGKRSRDLRLWASYRGQTLSRTVRGMMYYYRALKMLTFLDSASEIDIREGSRELQSVGSSKRQKTELDDSEDGGKSPSRSLSRASSGVSLLFKGHEHGTALMKYTYVVACQIYGNQKAKNDPRANDILYLMKNNEALRVAYVDEVKSGRDEVVYYSVLVKYDQQLQKEVEIYRVRLPGPLKLGEGKPENQNHASIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYSYKYGSRKPTILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVVLTVYAFVWGRLYLALSGLENSISNNADSTNNAALVTVLNQQFIIQLGLFTALPMIIENSLEHGFLPAIWDFFTMQLQLASMFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGLILTVYASYSAIAKNTFVYIVLTISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWHPSRISATSDQSWEKWWNEENDHLRTTGLWGKLLEVVLDLRYFFFQYGIVYQLNIASESHSVSVYLLSWIGIVAAVGIFVVVDYARDRYAAKEHITYRAIQSFVIVFVILVIVLLFEFTSFEFIDIFTSLLAFIPTGWGLILIAQVIKPFIESTALWETVVSMARFYDILFGVIVMAPVAFLSWMPGFQEMQTRILFNEAFSRGLQISRILTGKKQDTI >Ma10_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26609429:26613611:1 gene:Ma10_g14030 transcript:Ma10_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFTGTQQKCKACDKTVYLMDQLTADGVVFHKSCFKCNHCKGTLTLSTYSSMEGVLYCKPHFEQLFKESGNFNKNFQSPAKSAEKAPELTRSTSKAASMFSGTQEKCATCTKTAYPLEKVTVEGQAYHKSCFKCSHGGCSITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAATAPDL >Ma08_p31040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42086373:42090113:-1 gene:Ma08_g31040 transcript:Ma08_t31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQHSGSVEVDNNEELQSVEGLQPVSACCKEIDDYVGANPDPLIPIFKKRNKHRCIWRWLRAMVCCNPTRTCCSGDQSCRRKRPSCTCKQNWSCPSCCACTQRNCCKVPCSRLQCAVPTLSCPGYSCGCVCSCSFGRKVCLCPRCLCCIS >Ma08_p31040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42086373:42090387:-1 gene:Ma08_g31040 transcript:Ma08_t31040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGSGVPPSPRPRSPPRYPDLCGRHRLQAEVQLLSREISFLEEELQSVEGLQPVSACCKEIDDYVGANPDPLIPIFKKRNKHRCIWRWLRAMVCCNPTRTCCSGDQSCRRKRPSCTCKQNWSCPSCCACTQRNCCKVPCSRLQCAVPTLSCPGYSCGCVCSCSFGRKVCLCPRCLCCIS >Ma08_p27970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40062013:40066342:-1 gene:Ma08_g27970 transcript:Ma08_t27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSSMNNNNTPVKDFFASPALSLSLAGVFRNNAAAVVDVEEGDEASKGGCQREQAEISGENSGPAGRSDEDRESNESQEENREVGNNRKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSNQLGLSARQVKFWFQNRRTQIKAVQERHENSLRKSEIEKLQEENRTMREKIKKGCCPNCGYTTLSNGTTITTEEQQHHIENTRLKAEIKKLRRMLGSIPDGNTSPSSSCSAGADQNKSSLDSCSRFLGPEKFRILEIVNVALEELTKMATAQEPLWVRSVETGREILNYDEYVKEFSPDMSRNGCVRNIEASRETGIVFFDMPRLVQAFMDVNQWKEFFPCLISKAVIVDIISKGLGDSKDGTIQLMFAEIQMLTPLVPTREIYFVRYCKKLCPTRWAILDISIDKLEENIDASLMKCRKRPSGCIIEDQDTGHCKVIWVEHMECQKTVVPTLYHPIVTSGLAFGARHWMATLRLQCERSVFFMATNVPTRDCNGVSTLAGRKSILKLGQRMTSCFCQNIGASGHHKWTKVSTKGGDEIRFTSRKNINDPGEPLGLIICSVLSTWLPVPAMSLFNFLRDDSRRTEWDIMLTPSPTQTMVNLVKGQDRGNSVTIYSLQTTTSSERTNIWVLQDCSTNSYESMVVFAPVEIDGTQSVMNGCDSSSLAILPSGFSILPDGLETRPLVITSRPQERTMEGGSLLTVAFQILADASPVARPTTESVETINTLVSCTLQNIKKALQCEDG >Ma05_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32963746:32965435:1 gene:Ma05_g21320 transcript:Ma05_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYGSSPIILQVAFVISWSNTVQFKFRARYWTGGYASECLDMFIKGLLQAICICFSLCYIRPASTC >Ma00_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39930816:39933926:1 gene:Ma00_g04640 transcript:Ma00_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNLNFLQPRGETPEGSYTIWGAKRQHFIDLYSCGKLNFSNFLAFFGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIEAVKDGCIGISPVYRAIFQPFSHIISKR >Ma03_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3466403:3471166:1 gene:Ma03_g05330 transcript:Ma03_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYTEDAPLIHSSPRTSKKSRKRSFDVSDRDAKDDGKQSTENISNHLVLYDPGTSDTGHDSLALTDVMENHTPTFRNFLSSNYSGRTFPSIGTFTVQCASCFKWRIIPTKEKYEQIRENILEDPFVCEHAREWRPDILCEDPEDISQDGSRLWAIDKPNIAQPPPGWERLLRIRAEGGTKFADVYYTAPSGKRLRSMVEIQRYLLEHPEYARQGVTLSKFSFQSPRSLQENYVRKRPPRVTNPCDGDMILPRTLEPEEVNPLSWAAPLKELLIGGQASSSPSEKDPVYSPQKEPTPAGAMSSSEQVCGGSACDQPKMKLEDADHSRNASSFEL >Ma06_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11555205:11558560:1 gene:Ma06_g17060 transcript:Ma06_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGLGRLVARIPLRPRCSKNDSRSPENGKNDKGDRLSTDWDKAWSKFRKKAKKSMFSELKPDKYVSWNPRRSDYPLSEEVDPIKRTERSNLMLWTSPKFTLVGAIIVVSMLLIYTLLAPLK >Ma02_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26985053:26991982:-1 gene:Ma02_g21330 transcript:Ma02_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMTPEGSQFDARQYDAKMNELLSQDVQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCAGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGLFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPSNVADLI >Ma10_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9018691:9040221:-1 gene:Ma10_g02680 transcript:Ma10_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQKILLYACRNCDHQEVADNNCVYRNEIHHSVGERTQVLQDVAADPTLPRTKSVRCAVCGHPEAVFFQATSRGEEGMTLFFVCCNPSCGYRWRD >Ma09_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3617539:3619506:-1 gene:Ma09_g05650 transcript:Ma09_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKAKIPLLHCAMDKRWVWAVMLISIVLVFFAAASNMSILSSIHTMYFLTNATTPAIVESTSFPASPPPPPPVGSPIPRLAYFISGSKGDLDRLWRTLRALYHPRNLYVVHLDLESPASERLRLISRVANETLFAKVGNVHVIKKSNLVTYRGPMVIANALHACAVLLKKGGQWDWFINLSASDYPLVTQDDILHTFSSLPRNISFVEHTSRLDWKEDQRARPLIVDPGLYMSKKTDILWVSQRRETPTAFKLFTGSAWVALSREFVEFCVWGWDNLPRRLLMYYTNFISSSEGYFQTVICNAPEFSNTVANHDLHYIAWDVPPKQHPRVLTMADMSKLVGSNAPFARKFRRDEPVLDKIDAELLGRANGSFVPGGWCAGSPPCSEVGDPTRLRPGPGAQRVTLLMDAIVRSNKFGRNQCK >Ma09_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4740702:4742839:1 gene:Ma09_g07210 transcript:Ma09_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAGCPYCSKKTDDICENVCGGASRAALSMSRRLRCALRCFDLKALLLLFVGIPILVFVIYVHGQKFTYFLRPLWESPPKPFRTIPHYYHENVSMEHLCKLHGWGVRETPRRVFDAVLFNNELDILQVRWNELSPFVSEFILLESNSTFTALKKPLLFASNRRRFKFVESRLTYGTVGGRFVKGENPFVEESYQRVALDQLIRIAGISDDDLLIMSDVDEIPSGHTINLLRWCDEIPEKLHLQLRNYLYSFEFHLDDDSWRASVHRYRAGKTRYAHFRQSDDLLADSGWHCSFCFRHISEFTFKMRAYSHVDRIRFAYYLNPKRIQDVICRGADLFDMFPEEYTFQKIIAKLGPIPSSYSALNLPGFLIQNADRYRYLLPGNCKRESG >Ma06_p37520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36541656:36547270:1 gene:Ma06_g37520 transcript:Ma06_t37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRTLGAILSVLFLLVASVASSRVLLDDDKVSVSAAPNPVASAKSNVSGLSQKPPPKPNNSTVPGGSTYPPQPSKIENKSPQNSISREQTKKDDGATGYPRREEDNAKSGSSGSTKEKSMSPTKLEDNYVVKETCGPQSTRCINDKLVACLQHSENDSNNLSLLVQNIGDDDFNVKIWGTPALHIDHDIGPLSRNISKKINIPVNYWNVTEIVLNAGKGDCVLHIATSVSNWNLLQQFLAYATRLTPIYGTYFLLVTVVLVGGTWACCRFRKRGKRDDSGIPYQQLEMGAHPQSSSVADSMTVDGWDEWDDDWDDESVTRPQKHTTPSVSSNGLTSRTPKKDGWVADWDD >Ma03_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7089999:7092319:1 gene:Ma03_g09550 transcript:Ma03_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G01930) UniProtKB/Swiss-Prot;Acc:Q9LZV3] MAMNKEKNTITTSLSLLRQCFLLLTIFLAVNGRTNAALPGQTEQDHNGRVHDMDGVGDEWGVVERKGNQFVVGGQPFYFNGFNTYWLLMLAVDKSTRGKVSDVFRQASSIGLTVCRTWAFNDGGWRALQKSPSVYDEDVFQAFDFVLSEAKKYKIRLVLPLVNNWESYGGKAQYVRWGNASGLNLTSDDDFFSDPTVKGYYKAHVKVLLNRANTFTNITYKDDPTIFAWELMNEPRCPSDPSGDKLQEWIQEMAFHVKSIDSVHLLGTGTEGFYGPPSHERLQLNPNAVAGQVGTDFIRNHKALGIDYASAHLYPDAWLPKSGSNDYAQFATTWIQGHTDDADAALRMPVVFGEFGVSSSKAGRSNSTQRNTFIAAVYAAMLNSTKRGGSGGGCLLWQVFPEGTEYMDDGYAVVLTKAPNTSNILALQSKRMQIFNSRCSWRCHWNCKKKNRTDHDARLPRDEL >Ma07_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20225985:20226765:1 gene:Ma07_g17510 transcript:Ma07_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFIFYMQASSLVSSIRQEDAVGSSTMESLRLRVLALVGAGISGILSFATIASADEAEHGLPAPSYPWPHKGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETIAMASEIEVVDGPNDEG >Ma07_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:533963:543390:-1 gene:Ma07_g00630 transcript:Ma07_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWKSRSTNHLLSSSSASPSSGIRFFPWSRREPQPRLTRQRKLRHLTDLQIEALSLEDPVATSSSSTPVSRSHSNLDATPSRSASSPMLLPRPLPLPEAAAASPHRESVSGRGLGFSPPNSVGFPLPSPSGASNKVEDDQGNGVAAEPTSPVSALGSRLAYQTNCRSPEQVNISLDKSTISHRRKVLQDSNSSETMNFRLKIPARSIPISKLSSPVLSPGKLSNVDFSSSAICASELQLWPAPKTPSPSMMTLFSSQTLPGKMIPSPDHSPLCSPTTRSPILRSRNPSAPSSPLHAKMFPENSAAWHGNGGNINVHPLPLPPGATPCSQSAFAPQSAARAEASLKANQWKKGKLIGSGTFGNVYEATNRHNGALCAMKEVNIIPDDAKSAECLKQLEQEIRFLSQFKHPNIVQYYGSETIANRLYIYLEYVHPGSINKYVRQYCGAISESVVRNFTRHILNGLIYLHSKQIMHRDIKGANLLVDVNGVVKLADFGMAKHLSGAAPANSLKGSPFWMAPEMLHATMNKETGYDLSVDIWSLGCTIIEMFTGKHPWSGLEGPQAMFKVLNKDPPIPETLSIEGKDFLQRCFCRNPAERPTAGMLLEHPFVRNCHYHNFHGSLQTFAGIKFDSAFSPRDKGKFESEPSVKRKYTSDHGNKQSHPEVSESAASSHYSSRFTVEVSPSLSPRSSQLCSSVNTEDVVQFGGGDYFSLNAFPRPYDKKEVNFF >Ma04_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5103267:5105611:-1 gene:Ma04_g07020 transcript:Ma04_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVHQGGDVIPVPSAYLIPLSASLSAHQDQLGPSLSLPHLVTFTSSTVMGDQKPEANIMEAVAPAKSRNKMFAFACAVLASMASILLGYDIGVMSGAAIFIKDDLKISDVQVEILLGILNLYSLVGSYAAGFTSDWIGRRYTIVFAAGIFFVGAILMGFATNYAFLMAGRFVAGIGVGYALMIAPVYTAEIAPASSRGFLTSFPEVFINSGILLGYVSNYGFAHLRQSLGWRFMLGVGAIPSVFLAVGVLAMPESPRWLVMQGRLGEAKRVLDKTSDTPEEAQLRLADIKAAAGIPEGCNDDVVPAPKKSHGEGVWKELLIRPTPGVRRVLLTAIGINFFQQASGIDSVVLYSPRVFEKAGIHDKNKLLATTVAVGFTKTLFILVATFLVDRIGRRPLLLSSTGGMILSLAALGLGLTQIDHHPDGQLRWAIGLCIVSILAYVAFFSIGLGPVTWVYCSEILPLRLRAQGASIGVAVNRVTSGVITMTFISLYKAITIGGSFFLYGGIALAAWVFFFTYLPETRGRTLEDMEELFGTKKDAEEAPNGVQMVNASEGNEKI >Ma11_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11877963:11879117:-1 gene:Ma11_g11120 transcript:Ma11_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDDCSIRPCLEWIRNPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAMESVLKGSPIVQIPSEAAAATPVPPLKAYDIRHVAKDAELHKVNNNRTRFKRPGAKPHPSPTAPVEPAEQPEPAGADLSHESAASHASQPNAGGSGGDGDGRENESVFSADAASHVSQGELSPAEEGEVGLELTLGLEPWLRPDRAIRPAGDSRCDVSRLNADTCKVDLCLQLPVA >Ma09_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34424662:34425764:-1 gene:Ma09_g22500 transcript:Ma09_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGSSADILYFDAFQKLGLAKEDLTPMPLALTGFTGDSILPLDTVILPVILGEEPRSKNVMMTFIVVEFPSVYNAILGRPTVNKFRAVISTYHRAIKFPTRARVGEVRSAPQGINQDNVTWRPSYYRRDRSMSSPSSTLGTLQNRITSEAEAAEAGSQPTKAVSDEVERLLAAGFIAEAKYPRWLSNIVLVKKPNGSWRMCVDYTDLNRACPKDCYPSPRIDQLVDATSGHELLSFMDAFSGYNQIKMAPEDQEHTAFITHRGVYYYKVMPFGLKNAEATYQRMVNKMFAH >Ma08_p25360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38235638:38238123:-1 gene:Ma08_g25360 transcript:Ma08_t25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFLFNPPSCCSRLSLRPSHRRHPCPRNVDLLSAWKPKVPRRTRVVAPRSPSCSMDVALGGVADGGSYDFRNIPKFPRMNVWDPYKRLGVTHDASEEEILDARNFLLNQYAGHESSVESIEAAYEKILMASFWKRKKSKINLKSRLKNKVEESPPWIKRLLDYVEMPPTDVILRRLFLFGFMGAWSLLNSAETGPAFQVALSLLSCVYFLNDKMKNVLRASLTGFAALVIGWIVGSIVVPMIPSVLLQPTWTLELLTSLISYIFLFLACTFLK >Ma02_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19619137:19622794:1 gene:Ma02_g09790 transcript:Ma02_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWEEDDFEPAQPSLITNQPKSQWDDEDVEDEDIKESWEDEEVPVQAPKPEHVVETAAPKPGRKGTGKKEKVPEAKTSEIASEVLADPLAEKLRQQRLVEEADYKSTAELFARKGDEKSLDNFIPNSEDDFSEYAELLSHKIQPHEKSFHYIGFLKAIMRLSMTSLKAADAKEIASAVTAIANEKLKAEKEANAGKKKQGTKKKQLHVDRAEDEFAAGGYDDMDDYDFM >Ma01_p18410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13668050:13672077:-1 gene:Ma01_g18410 transcript:Ma01_t18410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRLAMDTAFWDLNVSSAQNLEGTARAVPGEAAPLGMARASRLLRPQQLSFLSRAFPLGLVPSFAPTPRKDLGSFAIQSLLLGPSSDRWWMGLVGQFRPRKLISNIKKEVTMGDELELPQFKDVAKHFLDKSLYALGLFSQISLTPETSLLFNVERHGDKTGQRAKAMLLHELPSHDVTIEAAWPELFLDSKGNYWDVPTSVSLDVTSLIPDTGLWYRFGLHKNSGQPEARNSSSTDIPLTLLPGVCAKAAVSYEKSMDFWREQEKSTRARNLVKKPAWISSYDERLEEPHATISGIIGGTYAAWFGDGNISNPKRRNQFHADFFGSLCYTIQHGKFKKDFNDLRRISARLDICSASAFMKGVSHLIFDTTKRQVDTEFNPLATPRLNVILQQQVAGPIVFSVDSRVSLVSPSGKHMPCVDDVMFGLSYSFRKLKSGKILAWFSPKRKESMVELRLFEF >Ma01_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13668050:13672077:-1 gene:Ma01_g18410 transcript:Ma01_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRLAMDTAFWDLNVSSAQNLEGTARAVPGEAAPLGMARASRLLRPQQLSFLSRAFPLGLVPSFAPTPRKDLGSFAIQSLLLGPSSDRWMGLVGQFRPRKLISNIKKEVTMGDELELPQFKDVAKHFLDKSLYALGLFSQISLTPETSLLFNVERHGDKTGQRAKAMLLHELPSHDVTIEAAWPELFLDSKGNYWDVPTSVSLDVTSLIPDTGLWYRFGLHKNSGQPEARNSSSTDIPLTLLPGVCAKAAVSYEKSMDFWREQEKSTRARNLVKKPAWISSYDERLEEPHATISGIIGGTYAAWFGDGNISNPKRRNQFHADFFGSLCYTIQHGKFKKDFNDLRRISARLDICSASAFMKGVSHLIFDTTKRQVDTEFNPLATPRLNVILQQQVAGPIVFSVDSRVSLVSPSGKHMPCVDDVMFGLSYSFRKLKSGKILAWFSPKRKESMVELRLFEF >Ma09_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8916117:8919088:-1 gene:Ma09_g13200 transcript:Ma09_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC3 homolog [Source:Projected from Arabidopsis thaliana (AT5G57450) UniProtKB/Swiss-Prot;Acc:Q9FKM5] MFPGIEPLNPLLLPAQKLTLGCPVLDRLLRGGLPVGSVTEIAGESAAGKTQLCLQLLLAALLPPSRGGLSAASLFIHSEFPFPIRRLHALSSSSSAADPLGHIFVAAAHSPDDLLSLLATADGLLARPPTHLPVRLIVVDSIAALFRSDFDNNPKDLKKRSFLFFKIAAKLKEQARRFGSVAVVTNQVVDVVGSEEGANGQRVGNYASLWSSGRRVCPALGLSWANCVNTRLFLSRKDEIADDAGSSRTRRWMQVVFAPHLPEASCEFVIVREGVSGIEPQRVAGR >Ma09_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17767089:17768189:1 gene:Ma09_g18340 transcript:Ma09_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVFGDGIPFHNYGRTRLLRVLDLQGCKGLRHNHLKNISQLFLLSFLSLRNSSVEKLPDSIGDLPNLQTLDIRGTGIKKFPGAAVKLKKLAYLLAGTKFHFEANRVEFSGSWSYESLRMPTGVGKMKGLRNLGLITVTNSIVLRQIGKLTQLQKLSIDVTVRYVRRVVHIGPEYTRRKEHFVDLLSKLDSCLRSLTILDNGNGLGQILNNLHRPPLLLHDIQLVGFLQTLPVWVASLNRIVKITLRFTFLMRDAVRVLKNLPALAQLVLGYNSLDECDEQLVFDHNGFKGLKLLHMESVDVSFREGALPNLEWLKLIGHFGDQSISGMQHLHSLKEVYLDIGNERLQEMIRTTAAVHLNRPKFVIA >Ma10_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26614535:26616059:1 gene:Ma10_g14040 transcript:Ma10_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLESIRELVTDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNVSKMLRCAGNDDIITVKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDAEYQAIVRMPSSEFARICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEEATIIEMQEPVSLTFALRYLNSFTKATPLSETVAISLSSDLPVVVEYKIAEMGYIRFYLAPKIEEDDDMKP >Ma06_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16329411:16332400:-1 gene:Ma06_g21830 transcript:Ma06_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFDFLSSHRDRNIVSTVCKAWYRVERLSRRIVFVGNCYAVRPGRVMARFPGMKCLSVKGKPHFADFNLVPHDWGGFALPWIEAAARGCPGLEELRLKRMVVSDESLELLARSFPNFKALVLVSCEGFTTDGLAAIATHCRGLRELDLQENEVEDHGRQWLSCFPDSCTSLESLNFACLKGEVNAGALERLVARCVNLRSLKLNRAISVESLARMLARTPHLVELGTGSFTVEHRAEAYQRLIHAFRKCKSLKSLSGFWDVAPRCLQSIYSICPNLTVLNLSYAPAIQGADLVKLIRHCFKLQKLWVLDCIRDKGLAVVASTCQELQELRVFPSDIYGTGTAAVTEEGLVAISSGCSKLNSLLYFCHQMTNAALITVAKNCPHFTRFRLCILDPGKPDPDTNQPLDEGFGAIVRSCKDLRRLSLSGLLTDKVFLYIGEYAARLEMLSIAFAGDSDKGMVYVLNGCKNLRKLEIRDSPFGDAALLENVGKYETMRSLWMSSCDVTLGGCKALAAKMPRLNVEVINDRDESDEMEENPSDMHKVEKMYVYRTLAGARNDAPEFVEIL >Ma01_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6828225:6828944:-1 gene:Ma01_g09450 transcript:Ma01_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMESLRSMVLLLVQFGMVELQLDLWLHGIYMMPQEAMHMMLLKDLVSVLQEFSL >Ma08_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36275290:36276857:-1 gene:Ma08_g22770 transcript:Ma08_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGALSIDGFALVVSVLVAVPTDTNLPVNSTSGEQSIGVCYGMLGDNLPPPSEVVSLYRSNNIGRMRLYSPNQAALQALRNSNIQVLMGVPNEDLQSLASDPSTASDWVQSNVVANWPSVTFRYIAVGNEVIPGDLARYYVLPAMRNIQSALSSVGLQDQIKVSTAVSTGVLGSSYPPSAGAFSSAAKTYLSPILQFLASNAAPLFVNVYPYFTYADNPSEVSLSSALFTAPGVVVQDGQFGYRNLFDAIVDAVHAAIEVEGSDVAIVVSESGWPSAGGTAASDSNAKTYNQNLIRHVGGGTPRRSGRAIETYLYEMFDENQKASGIEQKFGMFHPNKQPVYPISFS >Ma10_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15902596:15902778:1 gene:Ma10_g05190 transcript:Ma10_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGQRDAKNAALIPGVRTLADSKLGVDLFRGLASLELYRGSSLQVAAQKLQKRFIYCL >Ma01_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6753751:6755618:-1 gene:Ma01_g09340 transcript:Ma01_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLPPLQSTSSLVVLLVVLVLASGSSPGVLSATFTVTNNCAYTVWPGVLASAGSAPLATTGFALAPSESRTLDAPVPWSGRLWARTICAADPASGRFSCATGDCGSGSLECSGGGAAPPATLAEFTLGGSGGTDFYDVSLVDGSNVPMLVVPQSGSPGGSCGPTGCLVDLNGLCPAELRVAQPGGETAACRSACEAFRTARYCCSGEFGSPGTCGPTAYSQFFKNACPRAYSYAYDDATSTFTCPTAATAGYTVTLCPSTTSLKSMGYGNQMAGGLPLINNTMPPLLSSPNRAARRWPTMSPASRGLLCLAVAVALQLQLLHLP >Ma11_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:943843:948489:1 gene:Ma11_g01330 transcript:Ma11_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPNPMARGFLSGNSWEYHSMNGFCRLPIQFGCQKTLMSFSHGSSRHNKRFQPRVVPHQTRAFHGNLALSVSAKGVVANRPLSPHLPVKKPQLSATFSISHRIFGASLGTVIMLTPLLMKFSTTYNI >Ma08_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17127145:17127974:1 gene:Ma08_g16160 transcript:Ma08_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDAALQKGMVVIVAAVVVVGVCTFSLEKMLATYAVGIVGIAGILLPDWEFFHQDFPQWFAFMRARRATAVAAAAGRSPASWRFRLYRLSSALITLIYAFGLFRWWMFVSS >Ma02_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9811245:9841946:-1 gene:Ma02_g01400 transcript:Ma02_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G09860) UniProtKB/Swiss-Prot;Acc:Q93VM9] MAAEAPSGLRILLHQNVKERGSVPFSSHADRDRVIEVFRKLLLKGDLPENFALQAVQEAIKPQKQTVLVQDENQSLENALRTLLQELVSSAVQSGERMMQYGQTIDEGESIHGQIPRFLDIVLHLCDRGHVEGGMIFQLLEDLTEMSTIKDCKEIFGYIESKQDILGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDAPDGITVDFNFYKTFWSLQTPGKNDKDGPSESMKEEIKSCEERVKKLLEVIPPKGKEFLQSIEHILEREKNWVWWKRDGCPAFEKQPTERKIGHDGAKKRKPRWRLGNKELSQLWKWAEQNPNALTDPQRVRMPSITEYWKPLGEDMDVSAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTDHGIEGVVPPELLPPEVRSKFHTKPGDKTKRAKKEEAKGAAAQPEDSQVASAAVETDGVGSGAELEDGVAPMDSDATAGEVQKQSPDMDSGHEAGQSEAEGEAEGDAKTDVKMEVEAAVHDETSPKEDGNPTSRDTRSTRKEN >Ma02_p01400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9811245:9841946:-1 gene:Ma02_g01400 transcript:Ma02_t01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G09860) UniProtKB/Swiss-Prot;Acc:Q93VM9] MAAEAPSGLRILLHQNVKERGSVPFSSHADRDRVIEVFRKLLLKGDLPENFALQAVQEAIKPQKQTVLVQDENQSLENALRTLLQELVSSAVQSGERMMQYGQTIDEGESIHGQIPRFLDIVLHLCDRGHVEGGMIFQLLEDLTEMSTIKDCKEIFGYIESKQDILGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDAPDGITVDFNFYKTFWSLQEHFSNPTSTTLAPSKWQKFAFNLMVVLDTFEAQPLSDDDGNVNTLEQEEEDAVFNIKYLTSSKLMGLELKDPSFRRHILVQCLILFDFLKTPGKNDKDGPSESMKEEIKSCEERVKKLLEVIPPKGKEFLQSIEHILEREKNWVWWKRDGCPAFEKQPTERKIGHDGAKKRKPRWRLGNKELSQLWKWAEQNPNALTDPQRVRMPSITEYWKPLGEDMDVSAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTDHGIEGVVPPELLPPEVRSKFHTKPGDKTKRAKKEEAKGAAAQPEDSQVASAAVETDGVGSGAELEDGVAPMDSDATAGEVQKQSPDMDSGHEAGQSEAEGEAEGDAKTDVKMEVEAAVHDETSPKEDGNPTSRDTRSTRKEN >Ma01_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2880528:2889077:-1 gene:Ma01_g04350 transcript:Ma01_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWLYLFRSNRLGLQYSRKRYFVLDHRDSSLNCYRAAPTASTQVPVRCAQIDSCIRVNDNGRENIKGNVFFLFTLYNTSNHSNQLKLGARSSEEAARWISSLIEATLKVCPTKEDNTVACSKRRWPSLRLSRRRSHMHSFDMNAISSTQMNQITSDVVAPSSWTIFGCNNGLRLFKEVKDDDFLGEHWDDHPAIMAIGVVDATPEAIFWAVMSLGPSRSEWDFCFDHGSVIEHLDGHTDIIHKKLRACRGMKPRDLLARRYWRREEDGTYVILYHSVFHRKCRPQKGYTRACLKSGGYVISPINQGKESVVKHMLAIDWKFWLPYIFTSSAKNITIRMLERVAALREMFHAKLGKCPSSDNRKSEERTEGSQESDTKPASMSGSFLELNDAADEFFDFPDEPEYDKQEDTWPSDSQLQSQNLQQPKLSTAAVLMKRLQGLAVQRRGYMDLQDAPIGDAASCSYGSTLQKDSSFSLPCSWASADPSTFLIRGRTYLQDHKKIAVNDTLMKMVAADWLKSDKREDDLGGRPGSIVQKYAAQGGREFFFIVNIQVPGSTTYSLALYYMTHIPVESIPLLKKFVGGDDAYRNSRFKLIPHITKGSWIVKQSVGKKACLVGRALEINYFHGTNYLELGIDIGSSTVARGVVSLVLGYLSNLVIEMAFLIQGNSEEELPEFLLGTCRLNHLDASKAISIKPW >Ma08_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20757999:20760210:1 gene:Ma08_g16780 transcript:Ma08_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEMLLIKSPHRMESRKLGLALLVGFCIGVVTSFISITLSTAEQQFSLAYGARANPSPEINLHPHISGRSATGVIRMKQTSSSTNYAAHSTGQTKDDAVELASGKETEEANHGENEAERVQHTTQKQEAIESKPPGIGDRFNEHIGFERGEATKAACDLSNPRTDVCELHGDVRIHGKSSSVVLVSADREPRNPERRQSWQIKPYARKFDKAAMAHIQQMSVRSSNGLGDDVPRCTTNHSVPAVVFAIGGYSGNYYHDFTDVLVPLFITSRRFDGEVQLVIGTTKLWWISKYEPILRKLSRYEIVYSGSDDRVHCYPHAIVGLHSHKAMSIDPARAPNGYSMVDFTRFMRSTYSLGRDSPIRLGDGGGRKPRLLLIPRQGTRRFTNFREIVGVVEESGFEVVVAEAKMGSKVAEVARVVNSCDVMMGVHGAGLTNFVFLPTGAVIIQVVPFGNLENISRSCFGYSSEDAKLHYLEYSIREEESSLIEQYPRDHPVFKDPKSIHRKGWNKMGEVYLDKQNVKLDVNRFRPLLLKARQLLHR >Ma06_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11783415:11784405:-1 gene:Ma06_g17330 transcript:Ma06_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM23-3 [Source:Projected from Arabidopsis thaliana (AT3G04800) UniProtKB/Swiss-Prot;Acc:Q9S837] MSGSVSADSSDAGSGGGGDDGRRRTHQLYNPYESFNNPYSFPMYQRIYDLPTSPEFLFQEEAALRRRSWGHNLSFCAGVGYLSGAALGAARGSLSGIRAAEPGESLKIRVNRVLNASGHAGRQLGNSAGVLGLIFAGLESGIAAATGDEGVVSTVVAGLGTGAVFKAASGPRSAAVAGAIGGLAAGFAVAGKQVVKRYVPI >Ma01_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12052230:12055719:-1 gene:Ma01_g16650 transcript:Ma01_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRSEKAFWYDELIDALKEERRKIQVFRRELPLCLHLIDQTIENYMQLMMMEDTVRTSNETVLKEFISLKPCSGASEENKDPDPVPEKDRVRKPVAVEARKVREDAHPGDKEDDLGSEGGEGKGGDGEDKKKSASQGKTRRWWSEDLHKRFLDALQQLGGCHAAKPKHIRELMKVDGLTNDEVKSHLQKYRLHARRRRSTAVENPSAGVPQLVVLGGIWIPPPGYGVAAATHPVVNEPRNGTHPSLPFPSSNSVLEHQNQYNII >Ma03_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5162660:5171492:1 gene:Ma03_g07360 transcript:Ma03_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNGMFDYDDSEKSSFGEEESLSSSRKKAKEHVKQLQRLQEKDPEFYEYLKEHDKELLEFNDEDIDEESGTDLEEDVNSHVTVQKEHEPSGKVITTAMVDGWCIAIKENKNLGALRSLLRAFRMACHYGDDREDGSAPKFSIISSSVFNKIMVFVLNEMDAILRGLLNAPSSGGKKETVIDLMTSKLWKKYGSLMKLYLGNGLHILTQMTDEQMISFTLKRVKASAVFLAAFPTLLRKYIKVAIHSWGTGRGALPVVSFLFLRDLCIRLGSDCLDACLKGVYKAYVLNCKLSKSISRSKLQHIQFLGNCVTELYGVEPPAAYQHAFVFIRQLAVILRSSLTEKGAKAVKDKNKGKKQQESNKNTKQQVQKAYQKVYDWQFIFCLEVWTGVICAYNSEADFRPLAYPLTQIISGVASLVPTVRYVPLRLRCIRMLNRIAAATGTFIPVSSLLLDMLKMKELNGPPTGGVGKAVNLLNMKQVDKTTMKTRAFQEACIYCLVEEVAEHLAQWSYSPAFFELSFIPLVWLRSFCKSTKADRLRREIKELIRQVEANCEFTNLRRSKIEFSPSDPAIASFLKAEKECGDSPLSKFAANLRFRSQQRNDSLVESSVLVGAESSLFGSKIPELDYEDDGGDVEEGAAVFSSSWLPEKKSKEKKKSSKKRPRDDKHDVGGDEDVIEDLVLSSDDEGDDVDNASARSSSPPLEDGYQEVGQDSVKQNHKKRQLATSLDHVKGQEKSAAATGKRRKPRRKKAKKSVDP >Ma03_p07360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5161820:5171492:1 gene:Ma03_g07360 transcript:Ma03_t07360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNGMFDYDDSEKSSFGEEESLSSSRKKAKEHVKQLQRLQEKDPEFYEYLKEHDKELLEFNDEDIDEESGTDLEEDVNSHVTVQKEHEPSGKVITTAMVDGWCIAIKENKNLGALRSLLRAFRMACHYGDDREDGSAPKFSIISSSVFNKIMVFVLNEMDAILRGLLNAPSSGGKKETVIDLMTSKLWKKYGSLMKLYLGNGLHILTQMTDEQMISFTLKRVKASAVFLAAFPTLLRKYIKVAIHSWGTGRGALPVVSFLFLRDLCIRLGSDCLDACLKGVYKAYVLNCKLSKSISRSKLQHIQFLGNCVTELYGVEPPAAYQHAFVFIRQLAVILRSSLTEKGAKAVKDKNKGKKQQESNKNTKQQVQKAYQKVYDWQFIFCLEVWTGVICAYNSEADFRPLAYPLTQIISGVASLVPTVRYVPLRLRCIRMLNRIAAATGTFIPVSSLLLDMLKMKELNGPPTGGVGKAVNLLNMKQVDKTTMKTRAFQEACIYCLVEEVAEHLAQWSYSPAFFELSFIPLVWLRSFCKSTKADRLRREIKELIRQVEANCEFTNLRRSKIEFSPSDPAIASFLKAEKECGDSPLSKFAANLRFRSQQRNDSLVESSVLVGAESSLFGSKIPELDYEDDGGDVEEGAAVFSSSWLPEKKSKEKKKSSKKRPRDDKHDVGGDEDVIEDLVLSSDDEGDDVDNASARSSSPPLEDGYQEVGQDSVKQNHKKRQLATSLDHVKGQEKSAAATGKRRKPRRKKAKKSVDP >Ma03_p07360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5161345:5171492:1 gene:Ma03_g07360 transcript:Ma03_t07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNGMFDYDDSEKSSFGEEESLSSSRKKAKEHVKQLQRLQEKDPEFYEYLKEHDKELLEFNDEDIDEESGTDLEEDVNSHVTVQKEHEPSGKVITTAMVDGWCIAIKENKNLGALRSLLRAFRMACHYGDDREDGSAPKFSIISSSVFNKIMVFVLNEMDAILRGLLNAPSSGGKKETVIDLMTSKLWKKYGSLMKLYLGNGLHILTQMTDEQMISFTLKRVKASAVFLAAFPTLLRKYIKVAIHSWGTGRGALPVVSFLFLRDLCIRLGSDCLDACLKGVYKAYVLNCKLSKSISRSKLQHIQFLGNCVTELYGVEPPAAYQHAFVFIRQLAVILRSSLTEKGAKAVKDKNKGKKQQESNKNTKQQVQKAYQKVYDWQFIFCLEVWTGVICAYNSEADFRPLAYPLTQIISGVASLVPTVRYVPLRLRCIRMLNRIAAATGTFIPVSSLLLDMLKMKELNGPPTGGVGKAVNLLNMKQVDKTTMKTRAFQEACIYCLVEEVAEHLAQWSYSPAFFELSFIPLVWLRSFCKSTKADRLRREIKELIRQVEANCEFTNLRRSKIEFSPSDPAIASFLKAEKECGDSPLSKFAANLRFRSQQRNDSLVESSVLVGAESSLFGSKIPELDYEDDGGDVEEGAAVFSSSWLPEKKSKEKKKSSKKRPRDDKHDVGGDEDVIEDLVLSSDDEGDDVDNASARSSSPPLEDGYQEVGQDSVKQNHKKRQLATSLDHVKGQEKSAAATGKRRKPRRKKAKKSVDP >Ma03_p33630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34931303:34934034:1 gene:Ma03_g33630 transcript:Ma03_t33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSKLEAPVASSLSSPLDAAEHRATRRRKMVAKQRGRRTRRRPASDADAASQAVDGLRFLLQKELRNSDVSQLGRIVLPKKEAESHLPNLTSRDGIAINMDDLENLQVWTFKYRFWPNNRSRMYILENTGDYVKEHHLELGDFIMIYKDDSKDRYVIRAKKAGSSEPSVAPADDGIFDSILPDIVVGSVRYSDLFLPLAEGMNVAYGYGLSYAFEEDFPMTFPDGSTGS >Ma06_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9080185:9081304:1 gene:Ma06_g13250 transcript:Ma06_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFFFSSSSSSSAIPASEPTQEKRSNRRPQQDNSSNDAGGGGMRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARTFRGARARTNFAYPDLPPGSSLTPFLSPDLQPPPSSFLLSPPPPLQGSGHPAAMFPALGCQADYNPISDNAMPYDGADYYYHQQQQQDGIQYAASTLPPSPPPVPAVASPWESVSDPAAGEEDLSSVWCDAEEFSVQGTTASHGIFFEEGYVHSPLFGPMPAVDDAAADGFQLGGSSSSSYYY >Ma02_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17032125:17038757:-1 gene:Ma02_g05900 transcript:Ma02_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSVVNTYPLSNYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENELEGLKRKLCSKLAANSPSFLPNWQIGECVATWWRPNFETVMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVTS >Ma07_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26444013:26446732:1 gene:Ma07_g18650 transcript:Ma07_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDSIQLLGLDYHFQTGISEALSQIHDADIDNKDIMCPQAVFKILCISVDVFNKFKEEGGSFMYTLGSDVKGLLSLYNAAYLGTHGEIILDEAISFTRNYLASASADLKPPLTTQVSLDLETPLCRRIRRLLARDYISIYQEDATRDDAILELAKLDFNLLQSLHRKELKNLTNFARDRLVECYFWMLGVYFEPYYSRARVIATKVIILTSILDDIYDVYSTLEESQRLTEAIQRWDAKVVHQLPEYMKDYYLKLIHTFEEFEDLLASDEKYRITYLKEATKDLSEAYFEESKWRDQHYIPTLEEHLHVSLISSGYPMLECASFIGMREIATKEAFQWITSFPKIVQASAIICRIMNDITSHELEQTREHVASTVQCYMKEYGTNCLNQTAFPVALLQRIVNFSRMTENIYKYIDGYTNSSTKTKEYISLLLVHPVPL >Ma09_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35079812:35086807:-1 gene:Ma09_g23150 transcript:Ma09_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAERMAALKRAYADIILNTAKESAARILASEGKVLQLQRSLSLTKEDSLAMLLRLKAIMDSKIHESEKANLSQVGKIQELEAQLSEAKVTIDCLRSELKRLNSELDHKRDIQAEFLNGKSTVHNTPSEKYNGQESMHNSGSSLHSPSGAISVLNSDCCFSKGATEDEPLNNLISSDTYAVKPDLTSIILSNKEPELCRSGYTQRIHAFEWNPVTGKELTSQMHDQVSDIKSETLMCENEKSEKSDTKDFAMKEKIVCHRKQKVHCQNHVMKSTECSTYHDMQHYRSLDTKHIKVSTPGPDKVPNSKGKIMCENEKAERPWTKDFVMVEKLVRRRKMRRRRRVMRPTKRVIYHDKQHYASLDPTHSKVGQTDVDISADNAHWTGGEVPPKTLNSCSPQVSGQDQETTKSQRTKLNKNFSNKSSELLNGNKIMTRRTVLRQSNTYSTGSEVPPRTLNSCSPQASDKDQETTRSQRTKLNNFFSNNSSGLSNDNRIMTRSNVLKQCSAHGTGSEVHPKTLKFCSSQVSGEDQGTESQRNKLNKIFSNKNSGLLNGNRITTRRTVLKQCNASREDHIDRIHTSNNGTCPENSMKEPFAASTGEMINDALSDEYVKNDKELEEKMSTKPDHIPAEELDNSVTKENNETINEPHYDYRDELSGHCGRATEVGGDKILKYTFQRKRKRGSLDGKVEPTSITENISKRKVGKDDSELEPQESSIVVESCRPEPQKSSLVVESSRDSRRLAQVARQLISLSERRWW >Ma09_p23150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35079812:35086807:-1 gene:Ma09_g23150 transcript:Ma09_t23150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAERMAALKRAYADIILNTAKESAARILASEGKVLQLQRSLSLTKEDSLAMLLRLKAIMDSKVGKIQELEAQLSEAKVTIDCLRSELKRLNSELDHKRDIQAEFLNGKSTVHNTPSEKYNGQESMHNSGSSLHSPSGAISVLNSDCCFSKGATEDEPLNNLISSDTYAVKPDLTSIILSNKEPELCRSGYTQRIHAFEWNPVTGKELTSQMHDQVSDIKSETLMCENEKSEKSDTKDFAMKEKIVCHRKQKVHCQNHVMKSTECSTYHDMQHYRSLDTKHIKVSTPGPDKVPNSKGKIMCENEKAERPWTKDFVMVEKLVRRRKMRRRRRVMRPTKRVIYHDKQHYASLDPTHSKVGQTDVDISADNAHWTGGEVPPKTLNSCSPQVSGQDQETTKSQRTKLNKNFSNKSSELLNGNKIMTRRTVLRQSNTYSTGSEVPPRTLNSCSPQASDKDQETTRSQRTKLNNFFSNNSSGLSNDNRIMTRSNVLKQCSAHGTGSEVHPKTLKFCSSQVSGEDQGTESQRNKLNKIFSNKNSGLLNGNRITTRRTVLKQCNASREDHIDRIHTSNNGTCPENSMKEPFAASTGEMINDALSDEYVKNDKELEEKMSTKPDHIPAEELDNSVTKENNETINEPHYDYRDELSGHCGRATEVGGDKILKYTFQRKRKRGSLDGKVEPTSITENISKRKVGKDDSELEPQESSIVVESCRPEPQKSSLVVESSRDSRRLAQVARQLISLSERRWW >Ma03_p32300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34158595:34159854:1 gene:Ma03_g32300 transcript:Ma03_t32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRCGHQSSSYPCSCGSAYFSVVFPVTGKQSTDEDHDVARSGCSSPSSVDCTLSLGTPSTRQTENKAAAAASSTMFQRPSCVSSFGQDIISQSKKRSNAFYGGATNGSNFGGDPLLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRTAASMAPPSSSSPVTSAAGEKQLRSPWSCYDSSATKGNSSIAMYDDDTAGHGEASYLAPSPQFSARNRPSLSQYH >Ma02_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29229455:29233635:1 gene:Ma02_g24760 transcript:Ma02_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLNCFKDEKSIRNARTQRRLSLGEYRRAVSWSKYLVSYGGEIKGGRKEQWSADMSKLLIGNKFATGRHSRIYHGKYKERDVAIKLMSQPEEDAALAAALEQQFTSEVALLFHLRHPNIITFVAACKKPPVFCIITEYMAGGSLRKYLHKQEPYSLPHNLILKFSLDVARGMNYLHSEGIIHRDLKSENILVGEDLSVKVADFGISCLESQSGSGKGFTSTYRWMAPEMIKGKSHTRKVDVYSFGIVLWELLTALIPFDDMTPEQAAYAVAHKNARPPLPASCPVAFSRLIHKCWATNPDKRLQFDEIIAILESYEESLRLDPTFFLSYKPVQQQTLLRCFPRRTAVRKSNPLEA >Ma05_p29030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39861538:39866544:1 gene:Ma05_g29030 transcript:Ma05_t29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDISSNARTKFAKCSDHNQIMSTNTKSSGNPVKPKSSSSWGSHFVKGFTTDKKSKQQTSIANKKQSIASSDISSQKSHSVPYHSRVRRSLVGDLPCSANAAQVHPHVIDSHRITSAASHDLFLELDHLRELLREAKDRESTLQSELLQYKENPRALELEKEVDSKRIEIEKLTSRVGSLEAEKTNLSEQLASLTSSLQNSQGADSCAQIQKPSYKNLEIEVLELRRLNKELQFQKRNLAFRLSSAESQLAALAKVTESDVLAKVQAEALLLKHTNECLSKQVEGLQMSRLNEVEELAYLRWVNSCLRHELSNSDKSLSKISDLDDQFDSKSCDRVIMTTADQDDNSDKSAPLEVCNSNRAGLIKKLVKWSENNEEYQHIDCETLLDKDWIEAKEGRSPSRRHSISGPKRTVEDIAINKRRQSDSFVSSKELHDETFTPGDCHPTRDKHLLLVQKYDLLGTQSPRFSASKPESFKVASLDVEKRALRIPKPPPRPSNSVPNVTKADGTVPLPPPPPPPPPPPAFRKSSTRKTGLVQRAPQVAELYHSLMRRDSKKDPSGGGICDVLNVANVRSSMIGEIENRSSHLLAIKADVETQGEFVKSLIKEVNDAAYHDIEDVVAFVKWLDDELCFLVDERAVLKHFDWPEKKADTLREAAFGYRDMKKLESEVTNYKDDPRLPCDVALKKMVALSEKMERNIYNLFRTRDVMIRHCKEFQIPTDWMLDCGIISKIKFGSVKLAKMYMKRVATELQTMGVSNKDPALEYMLLQGVRFAFRIHQFAGGFDAETMDAFEELQNLAYVRNKA >Ma08_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35823678:35829628:-1 gene:Ma08_g22150 transcript:Ma08_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFALLSTSTYEIYGSKPRISGRINRRPPNSRSLDPVASGKIRIWSLRATDRDEFKPPSSRKGLIWSSSFDIGGSSSDKETKKYKPPDEFVEISSSDGDATRLAPESGKEEERVGFEAATPSSFDFLELKRELEKEEGMVSDKRGLEKDLIPPAGRGSTELESADEGRLVSQVGVKGRRQIMKRSNLLAKQVISVQSAQSLGFVSQLWVDTRSWMVVLVEVRPNLLSGEMEKFLLEDVRQVGDVVLVEDESVLENELKITGLETLVGYNVVTSGRHDVGKVRGYTFNINSGAVESLELDSFGWSIIPSSLVSTYCLLIDDVLEVVSDTVVVHQDAISRVQRLTKGIWDASNSDKTKDETGEYYDFGRNASTIYGRTRRRNSSGRKFHRKTREMEMEDDWELPKDY >Ma06_p02410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1873757:1877525:1 gene:Ma06_g02410 transcript:Ma06_t02410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGDEQQNQDYLFKIVMIGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKMQIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDICRRQTFDSIGRWLNELHTHSDMNVVIILVGNKTDLKDAREVGTAEGKALAEAQGLFFIETSALDDSNVAAAFQTLVKEIYHILSRKVFQSQEQKKELSSLGSGNPVVLQGDTNDGASTFSCCSS >Ma06_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1873380:1877525:1 gene:Ma06_g02410 transcript:Ma06_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGDEQQNQDYLFKIVMIGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKMQIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDICRRQTFDSIGRWLNELHTHSDMNVVIILVGNKTDLKDAREVGTAEGKALAEAQGLFFIETSALDDSNVAAAFQTLVKEIYHILSRKVFQSQEQKKELSSLGSGNPVVLQGDTNDGASTFSCCSS >Ma06_p02410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1873380:1877525:1 gene:Ma06_g02410 transcript:Ma06_t02410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGDEQQNQDYLFKIVMIGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKMQIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDICRRQTFDSIGRWLNELHTHSDMNVVIILVGNKTDLKDAREVGTAEGKALAEAQGLFFIETSALDDSNVAAAFQTLVKEIYHILSRKVFQSQEQKKELSSLGSGNPVVLQGDTNDGASTFSCCSS >Ma06_p02410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1873757:1877525:1 gene:Ma06_g02410 transcript:Ma06_t02410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGDEQQNQDYLFKIVMIGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKMQIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDICRRQTFDSIGRWLNELHTHSDMNVVIILVGNKTDLKDAREVGTAEGKALAEAQGLFFIETSALDDSNVAAAFQTLVKEIYHILSRKVFQSQEQKKELSSLGSGNPVVLQGDTNDGASTFSCCSS >Ma05_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19087658:19088036:-1 gene:Ma05_g16860 transcript:Ma05_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIMVMPCDTLGLTSQQPIKLGKDRASDGGGGSTAPFQLH >Ma06_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9595864:9597720:1 gene:Ma06_g14020 transcript:Ma06_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSYKECLMEKSEAKKKPTQSRNPLKDLNGGSVPPHLGSSEAPTGGCFRFLLSHSSDKESLARSKPAPITHRSAPSNSRNVPSNSKNPTIPSRYQTFRKNTSKSDVEADKQLVSRKASNPRNADLFQRCNKRKHISNCKNFEKGLEGKIGEQKLMSTPTSATIPPVEASISPEVPVKASAVAVTPVCFAAGHVIARVRDRRKCRARGILTVGGREPEVEKVHVGRTDRTQASVTLPPPPPAEASMHWLSSPSENVDMALDSSFNSSSKVLAAEASVDWLLSPCKDGEGMHKDELLMGNRTSPDRGSWRFFPDNSIREKSPELSGLMSLDSPSLETTLSSGIGIQKTPSTGGSVSPFSMILGIAKTSKTKHIRPQQETGRHHNCSVLENSLFSGNSWIEGHVTGKPSSFSSSRKKYDLGDFKMDAMAESLENVSLSPEPLSNDTSCRAPLPGLSFQFRCHTSNSVDFNHLQNLYCDRISIVKDASAKEEVLPSSQTRVSWREEPISRVLEMGKLDHCQWLSDDDNFVHHEEHRGASIPDLKSDCGSSSSILKNPTETIAPVGFGYVEFVSEAKRSETEVSPRGPISCAESNGMEGLVLDSSGDSDWTLFYKNHLFEV >Ma00_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30901284:30905297:1 gene:Ma00_g03740 transcript:Ma00_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGSWKHHEIKVKDSKTLLFGTKEVAVFGFRNPEEIPWGETGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNENEYKPDINIVSNVSCTTNCLAPLAKVVHDKFGIIEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPATYEEIKAAIKAESEGKLKGILGYVEEDLVSTDFLGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVVDLVRHMHKTR >Ma05_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2019365:2022035:1 gene:Ma05_g02900 transcript:Ma05_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLNPVKEEHQEFSDASASSTDVAMDPPKPLDGLHDVGPPPFLMKTYEIVDDPSTDQVVSWSPRNNSFVVWNPHAFAMTLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKQVAYPPLQQQPLSSFLEVGEFGLEGEINRLKRDKQLLLTELVKLRQEQQNTRNHLKDMEEKVLATEQRQQQMMTFLARFMRNPSFLQQLVEQKERIKELEEAISKKRRRPIDRAPENDDVGTSGNPKLNISTKIEAEDIHDFHVEEVSSLGSLAREIQELVDENNQEQFELQDVKSDGELNDEFWDDLLNEGIDGEKGRFETESGGNEVVNMLADKLGFLSSTNPR >Ma06_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4376777:4382192:1 gene:Ma06_g05930 transcript:Ma06_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGEGGCVLRPRTMAPSGGGVVQADAHRHAVVSHHRDRHRRRIISPYRLRLKPKLIVQQYEIWRLVTNFLYFGKWVGLKLASELHTKAATAKGTVHESYIGGSFGFVCQLHWKSPKRIQIWAPNFEFSWVFEAGGAIAYQCLTLTVYWRWHRLVRTSQVLGGGTARFSGSGRCHRLHYFSSLVGLQTWPKPGMIAGHAYYFLEDVYPLMQGHRPLRTPFIKNIICGGKWPNQGMQNLTLEHGMFIKRVTKKIVLQSFFRFCGSSHKQQGNMFHFLTFFCVFTASCEYQKELIW >Ma06_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:717474:719060:1 gene:Ma06_g00860 transcript:Ma06_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPSGKMPCMPPEWQDVNAVSIAVPSPTEDEAGCSSSQQGGLVSEELIGSIDKLNEEQVKALMGSLKARLKRVKKRRYGRSRSMDAAKRLDGQEQQVKISQVQEEILRHMSRLTDACNVQGFVYGLVLEKGKLLTGASSGLKDWWQDKVQFDKNGPLALQKHQIENSIASSSAESSSLTSVHKTLLELQDTTLGSLLSSLIRYCDPPQRKYPLELGIPPTWWPSGREDWWPEMGFPEDLEPPPYRKPHDLRKAWKSCALIAVIKHMAPNFDKMRRIIRYSKCLQEKMTAKESLLWNAVLDQEKRLHATAPIDAPAVHKIVIDAHSSPVREEVVVGSPHDEQMAVDVTRKRVAEDEPSMAQRIFTCDFPRCLHHDYRYGFPDQNSRIRHQFSCLHREGTAQIVDDNELRTNEGGAAAVVSCDVNGSGIAVGGATSMDYLCNFYDANVDINNQDLYRLRSPTQMEANMNNSASTSTMIQQNPMNPFEQSGSMNLSHFQWTLASIGGRQATDFMDQSPAQEEEEFQLWW >Ma02_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18576868:18582938:-1 gene:Ma02_g08130 transcript:Ma02_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MEGGNGAPASPSSSPSSSTSTAPSVTVEEWRGSSSTKLSRTAILTADRSHLTLYRSGSRWDDLGRKILEAFIPEGFPGSVTPDYVPFQVWDSLQGLSTYIRSMLSTQALLSAIGVGEKSATVIGATFQWFLRDFTGMLGGILFTFYQGSNLDSSAKMWRLVADFMNDLGMLMDLVSPLWPSAFIVIVCLGSLSRSFTGVAGGATRAALTQHFALQNNAADISAKEGSQETVATMVGMALGMLLAHITMGNPVAIWLSFLSLTMFHIYVLSPREVSRREHIFPVWTTWQRPGNMKPLHHRIHLGVKASTLSHSDMMELSGTASSHYKKVNYLLMERKGVIRVINHKEATSADILRSFFHALILANLTGKAKSLHTESRLWMEEHYHDFIAKLKSAGWATERLLSGSIVWRALWVQSPSEEKIN >Ma02_p08130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18576868:18582938:-1 gene:Ma02_g08130 transcript:Ma02_t08130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUS3 [Source:Projected from Arabidopsis thaliana (AT1G13770) UniProtKB/TrEMBL;Acc:A0A178WJD5] MEGGNGAPASPSSSPSSSTSTAPSVTVEEWRGSSSTKLSRTAILTADRSHLTLYRSGSRWDDLGRKILEAFIPEGFPGSVTPDYVPFQVWDSLQGLSTYIRSMLSTQALLSAIGVGEKSATVIGATFQWFLRDFTGMLGGILFTFYQGSNLDSSAKMWRLVADFMNDLGMLMDLVSPLWPSAFIVIVCLGSLSRSFTGVAGGATRAALTQHFALQNNAADISAKEGSQETVATMVGMALGMLLAHITMGNPVAIWLSFLSLTMFHIYANYKAVSCLSLSTLNSERSSILLQYYMQNRTVLSPREVSRREHIFPVWTTWQRPGNMKPLHHRIHLGVKASTLSHSDMMELSGTASSHYKKVNYLLMERKGVIRVINHKEATSADILRSFFHALILANLTGKAKSLHTESRLWMEEHYHDFIAKLKSAGWATERLLSGSIVWRALWVQSPSEEKIN >Ma06_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8655439:8656234:1 gene:Ma06_g12490 transcript:Ma06_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGLGKASYTRWWNSIPSPWLQSALSEYEENILALLADIKREDGDSSSAEEDEVCRRKRPELVKRVEGLHRLHCSLAEHFMQSSSRSSPSSIVGEAARYEQSDSNPESSMEDLELETWSKCGKGRNGSMADEVVDVERRRISCSEQTLALMEENCRQLNELTRRNEEKRGAIKDLWSQVEKLRKENSGLRRQLHTVTSKSNNSRSPGVIINKPRSSLSRLKTLFMSALCGSGLP >Ma08_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34713290:34717470:-1 gene:Ma08_g20590 transcript:Ma08_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSVLREYAIRGELDRVVQSGDELRFGSEYAFPCSAVTAYRSKQGGFYTLDALLFFARHHHLKHTEYLQSARQYRLPTVTFPDRKPLLDYLLGRIASSDAVSFLPPPSSATVEEYRPDDPSLPLDEPPSSAPATAADEAAADLAPAAPVDYVTMIHALERPLKDRESLLECRNRDFHAVLLASTKREEERQRLESQQRKDGLVAKTRLIGSDDHHRPVVAAYGGAGDDAADAAAPKPKIHLKGSKIGEGVPIILVPSAFQTLITIYNVKEFLEDGVFVPTDVKVKAMRGPKPDCVTVQKKLSRDRAVAAYEVRDKPSAFKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFVRFEDDSVESAKTVKQWNVKIISISKHKRHQDRAAALEVWDRLEEFMRSRSHN >Ma06_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9509041:9515709:1 gene:Ma06_g13860 transcript:Ma06_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSLRRSPVRELRMESSHKRGHSFENRFPLKAKDDDLVLFNEMQNRERDNFLLHPSDDFDDSISKLRYISDFKLNIPAHGESSDLLNADGEKNDYDWLLTPPDTPLFPSLDDDEPRPVNVSRGRARSQPISISRTSMCEKTPRTNRSSASPRRSSPSPGSSYNVVHSRTRPSSAPRSSPPHVLRPTTPSRRPSTPPAKPSSPAQRSLTPTLRRMSTGSSGQAFAGKRGTSPIKVNQGSSASPKLRGWQSSLHDFSTDAPPNLRTSLTDRPASHVRGSPPASGNGRNCVSKFGRQSISPSSSRSARSSHNSEGDHFTSICKPSAASSCEDDVESHASMGVSPNAATRKYRDFANTRAMGFSKKNSRSPSSCSAPKRSFDSALRQMDHHKTPQNMFRPLLSSVPATTFYVGKANSTHRPMFSRNSSHTNSSYASSELGASVAPYLEDGDHDQSEWEKKKDAGFQDEVFMFDKLDEIGEDTGNDTCAANLQSSNEFFDGCVTNKDGSILKCSTGDGNAVNTTIACPGSSCAAECSEIVSAEKMSICSKCGRKFMVIYKDMDVDVCQECSDAYELIESEEPGTIQVPMRDEGNYKMGHKVQSQMGMSAHSESKNELRPRQHERSSEQAVTNFPADSGLYLLVSDKREEIPSKGEVFVPGELSASRSSDKHEYQQNLPKQEVFVPGQLNAPESSDNCESLQAQPTPSISDKVDNSEGTGIAVLLAHRSSSRKWPVMQGKIFSAANIPFSEPSYKRDNMTAMKRSFGRDSSSASSSIDLGSSGQLDGRIGRQLSSRKGEMENVRGESHTSAWGCGSHSDTNSTVTETLVHPQNESKEVSCSFVKVIENDAVVEILVDAREPSNSFGDADLNALEHISMEQAVTDVYSNALDHTSIEQTVVDIDVSNYTGASLASNDLLLQSKEEPGLQLHDTTVSNNLGESCDLCEDTTQVLFNNSESNIEDIEAPVATTDSCSIEDNYMLNDTGHQNGFSGVATDSPSAMISEQQNDKVTLQDEQDDCTPAQVPNSVENFQEGSISTTSDKDVLLSELESISKDLSNEQVMTVEAPRKQMQRSFTLEEATDTILFCSSIIHDMAYKAATIAIEKELALYESSHQAVTFVGSSVSNWKDLRNISNKYIQNSQKVRRKKPETAEKMPLTEVGNNVRNSEIPSCNAEAPQVVDSVKPPKLESKCNCTVM >Ma10_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24478325:24479758:1 gene:Ma10_g10630 transcript:Ma10_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHGKHAKTESETSSISLSSPPPSPPRPAYYVQSPLEYGDRATATSYHSTPARPPTHNHHSRDSFTARLSSTPGTRKVSLNYGVTGADRHRDDMPWRDTAAIEEESLLRDGEQEEKRLPRRFYVLAFVAVFLVLFFLFALVLWGASRHQSPQVTMNSITFEDFYVQAGTDSSLVPTDLLTLNSTVKLTYRNTGSFFGVHVTSTPVLLNYYQLAIASGSIDYFYQSRKSQRNLHVAVMSSKLPLYGGGSGLSGRPNGQPVNLTLSVTVRSRAHVLGKLVKPKFYSHVRCAIVMDQTRLDTPVSLKNSCQYS >Ma01_p06440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4613922:4621610:-1 gene:Ma01_g06440 transcript:Ma01_t06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGCERRVKHAVSSIRGVTNVNVNRKQSRVTVTGHIEAKEVVRKIKSTGKRAEAWPYVPYSLVAYPYVAGAYDKKAPAGFVRNVAQAVASPSAPEEKISSLFSDENPNACTVM >Ma01_p06440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4613922:4614882:-1 gene:Ma01_g06440 transcript:Ma01_t06440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDHLSDFCSVTETRRALQIRKRKPLQTVDLKVKMDCDGCERRVKHAVSSIRGVTNVNVNRKQSRVTVTGHIEAKEVVRKIKSTGKRAEAWPYVPYSLVAYPYVAGAYDKKAPAGFVRNVAQAVASPSAPEEKISSLFSDENPNACTVM >Ma01_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4613922:4621610:-1 gene:Ma01_g06440 transcript:Ma01_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGCERRVKHAVSSIRGVTNVNVNRKQSRVTVTGHIEAKEVVRKIKSTGKRAEAWPYVPYSLVAYPYVAGAYDKKAPAGFVRNVAQAVASPSAPEEKISSLFSDENPNACTVM >Ma02_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15727898:15743375:-1 gene:Ma02_g04260 transcript:Ma02_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLAYPDRFYAAAAYAGFGGSPQSSAAGASRFQNDVALLLYGLYQQATVGPCTDPKPRAWNPVEHSKWTSWHGLGNMASTEAMRLFVKILEEEDPAWYSRVPELAAESVVDVEMLKPKVEPATVSASENGDSLPETKTISTENGLLLETQDKDVVMEGLGLVSIYDQWVAPSVSGQRPKPRYAHGAAVLQEKMYIFGGNHNGRYLSDVQVLDLKSLTWSRIEAKALAGSLDSSTTGSVAPSAGHSLIPWGDKILSIGGHTKDPSETITVKEFDPQTCLWSNLKTYGKPPISRGGQSVTLVGNTLVIFGGEDAKRSLLNDLHILDLETMTWDDIDAIGTPPAPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGVTPGPRAGHAGVTVGENWFIAGGGNNMNGISETLVLNMATLVWSVVTTVQGRVPLASEGLSLVTSTYKGEDFLVSFGGYNGRYSNEVYVLKPSHISDLQSRMIDGPVSDTIAAVLPTTNTSRDMEPEIEGAQDKKIKEIEMDNGDSELNIRNEETIERIVESLKAEKEELESTFNKEQLQNLQIKQELAEAESRNTELTKELQSVRSQLAAEQSRCFKLEVDVAELRQKLQAMEALEKEVELLRRQKAASEQEALSAKQRQDSGGLWGWLAGSPPPEK >Ma06_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10316921:10317502:1 gene:Ma06_g15150 transcript:Ma06_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGDPSRRRAPLALETLLLLLVAAVAVIGLCATAAVRKKRNRSDKQTGEVLLSTWKALKKALVMSLHGGEGEDVVESEDSRVEPEAEERGTPVLPLWKRRILMGERCELPQFSGLILYDEGGRPICSNSSHGTLFEVSDNSLSLTDHHEWTIRSSNPHTFAGYSADQTNTGRDHPQGFTLIAVWFTEFLEL >Ma09_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2018793:2037558:-1 gene:Ma09_g02950 transcript:Ma09_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPPREANLFKVIVKSYETKQYKKGLKSADSILKKFPEHGETLSMKGLTLNCMDRKSEAYDLVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALKIDPDNIEILRDLSLLQVQMRDLIGFVETRQQLLALKPNHRMNWIGFAVAHHLNSNASKAIDILEAYEGTLEDDYPPESERYEHGEMLLYKISLLEECGLFDRALEEMHKKEAKIVDKLAFKEEMASIVLKLGRFEEAEKMYRFLLVMNPDNYRYFIGLQKCLGLYSDKGEYTSDEIERLDALYMSLRDQYSWSSAVKRIPLDFLEGDKFQEAVDNYVRPLLTKGVPSLFSDLSPLYDHIGKASILEQLFLHLEDSIRSTGSFPGSSKKEPPSTLMWTLFLLSQHYDRLGQYDLALAKIDEAIEHTPTVIDLYSIKGRILEHAGDLPAAAALADEARSMDLADRYLNSECVMRMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGHALKNFLAVEKHYSDMTEDQFDFHSYCLRKMTLRAYVSMLKFQNQLHSHEYFHKAAAGAIRCYMKLYDSPSKLMTEESDEMSKLPPSQRKKMRQKQKKAEARAKKEAEERNEEETTSSGMSKSGRRPNVRLVDLDPHGEKLMQVEDPLLEATKYLKLLQSNSANSLETHILSFEANMRKQKILLAFQAVKQLIKLNENDPDSHRCLIKFFHKISSFTSPVSDSEKLVWNVLEAERPQISQLNGRSLIEANKSFLEKHKDSLIHRAAAAEMLYVLEPEKKLEAIKLIEDSKNNIAVGNGALGPVSVWKLPDCITVHRLLETVFDDQGAASRWKARCAEYFPCSTHFGGCRNSTLTCTLNNNLKNLPENGVAASQDDNLADSHSLNGELHAFKDLKIS >Ma05_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:181483:184403:-1 gene:Ma05_g00250 transcript:Ma05_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGAKFWEVICDEHGIDGTGRYGGDSDLQLERINVYYNESSGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADMEDYEEEEEEEEEEGEAA >Ma01_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12154357:12154717:1 gene:Ma01_g16740 transcript:Ma01_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFCQPYSSKYVTFHGLYDTAFVIKMITRAPLPNTLNDFSDLVRTIFGQIYDLKYISRFCGGLSQGEIGVVGLSRLLNFEPVGIRHQAAYDSLLIGALFNKMKQRRHDIEDDRSASALY >Ma02_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21487996:21491843:-1 gene:Ma02_g12870 transcript:Ma02_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGNNSINAKLVLLGDMGTGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVNDATVKLEIWDTAGQERYHSLAPMYYRGAAAAIIVYDISRMESFERAKKWVQELQKQGNPSMVTALVGNKCDLEDKREVLTEEARTYAEENGLFFMETSAKTAINVNDIFYEIARRLPRAQPAQQPAGMVIADRPAEISRASTCCS >Ma10_p00700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1985772:1992541:1 gene:Ma10_g00700 transcript:Ma10_t00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNLANTEKAGELFGYPLMIKSKRLAYDGRGNAVAYTKEELPSVVAALGGFDHGLYVERWTPFVKELSVIVARARDNSVSCFPVVETIHKNNICHIVESPADVPDKIKKLAVDIAQKAVKSLEGAGVFAVELFLTKDGQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKTPAAIMYNILGEDEGEAGFHLAHQLMGKALKIPGANIHWYDKPEMRKLRKMGHITIVGPSKNVVKERLDSLLERETPGSHGVVTPRVAIIMGSDSDLPTMKDAAEILKNFGVPHEVTIVSAHRTPERMYSFASSAKERGIQIIIAGAGGAAHLPGMVASLTPLPVIGVPIRTSSLDGIDSLLSIVQMPKGIPVATVAIGNAANAALLAVRILAAGDADLWDRVIKYQDDLKDTVLTKASKLEAEGWERYLNL >Ma10_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1984836:1992541:1 gene:Ma10_g00700 transcript:Ma10_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRLGSTACSAFLSRDRRPSSSYGHSAPLRALASCGGGEAVLGFSFRPNKRSPMTLDSPSRSARAARFVASSQDHGDGSSSERHDGLPKRGVSNTVVGVLGGGQLGRMLCQAASQMAVKVVILDPLESCPASGLAYQHVVGSFDDGDAVREFAKRCGVLTVEIEHVDAITLEKLELQGIDCQPKASTIRIIQDKYLQKVHFSQQGIPLPDFMEIDNLANTEKAGELFGYPLMIKSKRLAYDGRGNAVAYTKEELPSVVAALGGFDHGLYVERWTPFVKELSVIVARARDNSVSCFPVVETIHKNNICHIVESPADVPDKIKKLAVDIAQKAVKSLEGAGVFAVELFLTKDGQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKTPAAIMYNILGEDEGEAGFHLAHQLMGKALKIPGANIHWYDKPEMRKLRKMGHITIVGPSKNVVKERLDSLLERETPGSHGVVTPRVAIIMGSDSDLPTMKDAAEILKNFGVPHEVTIVSAHRTPERMYSFASSAKERGIQIIIAGAGGAAHLPGMVASLTPLPVIGVPIRTSSLDGIDSLLSIVQMPKGIPVATVAIGNAANAALLAVRILAAGDADLWDRVIKYQDDLKDTVLTKASKLEAEGWERYLNL >Ma10_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28857914:28860095:-1 gene:Ma10_g17480 transcript:Ma10_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGASDVLRLASVGRRLLLHVFDDSVSCSTVSTGRGAFSPDWLHSALQKRSMAKSRRVQDRSKKKRVHDLEVVTECWKVASKVLVIMEVLKKEPEQVIPLKRLEQYRQQISLSKPHKVEDFIRKSPKLFEIYRDKKGVLWCGLTEQAEELVEEEARLLEEHSEKAVEHVTRLLMMSVDKRLPVDKIIHFRRDMGLPYDFRTKWIHMFPEHFKVRRIEDDEYLQLTAWNPSWAVTELEKKAVATGIISEPPGEPGVLCLPFPMKFPPNYGKVFRHGGRIEHFQKRSYLSPYAEARGLMPGSQEFDKRAVAIMHEILSFTIEKRLVTDHLTHFRREFVMPQKLMRLLLKHFGIFYVSERGKRFSVFLTEAYDRSELIDKCPLVLWKEKILRLTGYRGRRKRINNYSDFSDSKDDLIEGNHDNRSSLMEVEDGEIFGTMDDSSIIDESEMDVGEVKEAYED >Ma02_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29305276:29306160:-1 gene:Ma02_g24900 transcript:Ma02_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGQELQEQEKIYNPTPLQQSAAFTMPLPSSTLLHYATEARGGTGDARNGMASLIHNPTPTSAPALASALGSGGRSNSTTAAATALLPATDTDAALRYRECLRNHAASLGGHILDGCCEFMPRSDDALKCAACGCHRSFHRRDTDMNSPLRDLQNATHGRVPLLLPPHHLSLPSTGHDHNLKLFGSAGILLHTGASVGAATESSTEELMLGAVPQQRFTVSKKRFRTKFTAEQKERMMAFAEKVGWRMQKQHEAAVEQFCGEVGVSRQVLKVWMHNNKNALRKQQQQEEEEEE >Ma04_p28400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29473284:29488082:1 gene:Ma04_g28400 transcript:Ma04_t28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPNSEGFFPSDSCLPRAPAEEKDIIMELIRSSEASIKEGDLYYLVSHRWWMEWQEYVDLDQSDENSNEGIFCIPRRPGEIDNSNLLLNESVVEGNELDLKRSLQEGEDYSLVPQDAWKKLLEWYRGGPELPRKVISEGFITKKFNVEVYPLCLQLVDGRDKSQRTLKISRMASVRELYNMVCMLFELEKEKVSIWDYYNKTKHQFLNNFDQTLEEAQLLMDQEVLLEVQDDKLWPSNVSMSSAGNELALVPLEPSRSSVTIAGGPMLSNSYSTGFRSNFLEGGNFSSSQRDTEDRDDVLNNGIKVDGQGLTGLHNLGNTCFMNSALQCLVHTPPLVDYFLQDYSEEINKENPLGMQGELAIVFGELLRKLWSSGRTSVAPRAFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVRSKPYIEAKDADGRPDDEFAEECWQNHKARNDSIIVDVCQGQYKSTLVCPVCSKVSVTFDPFMYLSLPLPSTATRTITVTVFSGDGSSLPMPFTVTVPKNGCCKDLIQALSTASCLKHTEALVLAEVYGNRIYRYLENSFESLSNIKDEEHIVAYRLPIHHEKLLRLEILHRRAEGFLSEPRYSAHLKLLGTPLVTTLSVDSRTGADIHAAVLSVLAPLLRANSLTWSHGDKSSRDSGSGPSLDSIVLSDNGIQCSEETLSTSDMELEEVHNSIPDIQLALADGKVISRSTVDVDYTVPVSRLKVVMNWSDREHEIYDFSFLEDLPEVFKSGFMSKKTRQEAITLYSCLEAFIKEEPLGPDDMWYCPRCKEHRQATKKLDLWRLPDILVVHLKRFSYSRFMKNKLDTFVNFPVHNLDLSKYVGHRESASSSLVYELYAISNHYGGLGGGHYSAFAKLLEEDSWFHFDDSHVSPVNENEIKKSAAYVLFYQRVGVESKMDVRETGISPDSSNF >Ma06_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6766836:6770666:1 gene:Ma06_g09710 transcript:Ma06_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAMDLKEHKFVVAGLLFFVTLVLAKIVAAVLAPKSRKRLPPTVAALPVVGGLLRFMKGPIPMIREEYAKIGSVFTVNIINRKITFFIGPEVSGHFFKAPEAQLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVTKLRSYVDQMIVEAEDYFSKWGESGTVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMRPISVIFPYLPIPAHRRRDRARARIAEIFSTIIKSRKSSGKSEDDMLQCFIDSRYKDGRPTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLSYKKYLTAALEEQRGIMRRHGDKVDHDILSEMDVLYRCIKEALRLHPPLIMLLRYSHDDFTVATKDGKEYDIPKGHIVATSPAFANRLPYIYKDPNTYDPDRFAPGRDEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPENDWNAMVVGVKGEVMVRYKRRKLSIDN >Ma06_p09710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6766771:6770666:1 gene:Ma06_g09710 transcript:Ma06_t09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCDFSVSHAFHVVGIYRVPLLMPSPGSLRIQTLAFSEPNLLPPSSDLRSNFVEFFSVQIMVSAMDLKEHKFVVAGLLFFVTLVLAKIVAAVLAPKSRKRLPPTVAALPVVGGLLRFMKGPIPMIREEYAKIGSVFTVNIINRKITFFIGPEVSGHFFKAPEAQLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVTKLRSYVDQMIVEAEDYFSKWGESGTVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMRPISVIFPYLPIPAHRRRDRARARIAEIFSTIIKSRKSSGKSEDDMLQCFIDSRYKDGRPTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLSYKKYLTAALEEQRGIMRRHGDKVDHDILSEMDVLYRCIKEALRLHPPLIMLLRYSHDDFTVATKDGKEYDIPKGHIVATSPAFANRLPYIYKDPNTYDPDRFAPGRDEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPENDWNAMVVGVKGEVMVRYKRRKLSIDN >Ma07_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28946682:28947957:1 gene:Ma07_g20960 transcript:Ma07_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSKALAVSSAPLSVSRILWESLHLPIKSGQLLFPLILFSLLSSSLFFYCFYSIAPIPLDLVSKISILIKETKHRPPNLLLDIERDLKDFAGLASVITLFFFFCYLFLALATLYTFAMAHIDIDLTPNDLLLRISRRWYQTMITRFYIVLLTIGIGILSSLGVATVLLEADVSRPVFRFGVSLAILSFLLYLYLSTRWSMSLAITAVEETWGIGALSWSVELYIGNKKRGMVLTLMLLVVKVAIYGAFAAVIMASWPPRSKETPMGIGCIIAAVNGLWDLYSMAVYTVFYYECRKSHGLDCAGFSVAPVTVTAMQ >Ma09_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13402454:13415571:-1 gene:Ma09_g17810 transcript:Ma09_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02450) UniProtKB/Swiss-Prot;Acc:Q9M895] MSPFSLVSTHGVFSPHHSSSNWPRLFSHRRRLSLQEGKILSLEERHWDLTSSSSLCCRNSRCLSLWGSSRRFRLLSKCNRIVFAKIDRSSNEKHTHFGIRGKPRSRRRFSLRLRPRLRLLSYRLRRLSVQRLVENARTVLLRNSKKLMLSAWFSVALGVCFLFLKCTARPASVDVPYSDFVSALKSGSVSAVQFEEDSRYIYFNQQFEDNESLKSVEESSLTVDVSDVSAPKSSESITNERERGGKSISKWQYSTRKVEHDESFLLGLMRERGTTYSSAPQSAVKLLRSLVITLITLWIPIAPMLWLLYHQFYASNGPAKKRRPSKQSVSFDDVEGVDAAKLELMEIVSCLQGSMNYKKLGAKLPTGVLLVGPPGTGKTLLARSVAGEAGVPFFSVSASEFVELFVGRGAARVRDLFNVAKECAPSIVFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESETKVIVIAATNRPEALDPALCRPGRFSRKVLVGEPDFEGRKKILAVHLRQIPLEEEIELICDLVASLTAGFVGADLANIVNEAALLAARRDAETVTRDDMMEAIERAKFGIKERRLNLSTVGKSLGKLFPWIPPSTGKDGFQGLMGYQTLS >Ma09_p17810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13402454:13415566:-1 gene:Ma09_g17810 transcript:Ma09_t17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02450) UniProtKB/Swiss-Prot;Acc:Q9M895] MSPFSLVSTHGVFSPHHSSSNWPRLFSHRRRLSLQEGKILSLEERHWDLTSSSSLCCRNSRCLSLWGSSRRFRLLSKCNRIVFAKIDRSSNEKHTHFGIRGKPRSRRRFSLRLRPRLRLLSYRLRRLSVQRLVENARTVLLRNSKKLMLSAWFSVALGVCFLFLKCTARPASVDVPYSDFVSALKSGSVSAVQFEEDSRYIYFNQQFEDNESLKSVEESSLTVDVSDVSAPKSSESITNERERGGKSISKWQYSTRKVEHDESFLLGLMRERGTTYSSAPQSAVKLLRSLVITLITLWIPIAPMLWLLYHQFYASNGPAKKRRPSKQSVSFDDVEGVDAAKLELMEIVSCLQGSMNYKKLGAKLPTGVLLVGPPGTGKTLLARSVAGEAGVPFFSVSASEFVELFVGRGAARVRDLFNVAKECAPSIVFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESETKVIVIAATNRPEALDPALCRPGRFSRKVLVGEPDFEGRKKILAVHLRQIPLEEEIELICDLVASLTAGFVGADLANIVNEAALLAARRDAETVTRDDMMEAIERAKFGIKERRLNLSTVGKSLGKLFPWIPPSTGKDGFQGLMGYQTLS >Ma03_p31410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33634975:33639520:-1 gene:Ma03_g31410 transcript:Ma03_t31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNAPIIDPLQGDFPEVIEEFLQHGNMKCIAFNRRGTLLAAGCANGSCVIWDFETRGVAKELRDKACVAPITSVCWSKYGHYLLASATDKSLTLWNVMNGEKITHITLQQTTLHARLHPGSCTPSLCLACPLSSAPILVDLNNGSSTVLPVSGTDNTNGNSVSHPRNKFSDGSLPFTPTAATFDKHGDLIYLGNSKGEILIVDSKNIRVNALIPIPGGSVVKDIVFSRNGQYLLTNSNDRVIRVYENLLPTKGAAKELEIMSDTDDKFPRIEKLKEVGTKCLRLSREFQDAVTKIQWKAPCFSGDGEWVIGASASKGEHKLYIWDRAGHLVKILEGPKEALIDLAWHPLRPLVVSVSVAGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLMPESEKVKELVINQDEEVDILTVEKDSAFSDSDASQEELCFLPAVPLPDVPEQQDKCLGSSLKLGDSNHTGSPFSVEAAQNGQAILPASSPLEVVGNSTPEEAVGTAGMKRKRKPSAKGMELQAEKGRKPQTKNKPSGKLSKPKSRSGDGIDTNGSVHEDDVTDEYL >Ma10_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24827639:24829125:-1 gene:Ma10_g11260 transcript:Ma10_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAEEEYDYLFKVVLIGDSGVGKSNILSRFTRNEFCLETKSTLGVEFATRTLQVEGRIIKAQIWDTAGQERYRAITSAYYRGALGAILVFDVTKPTTFENASRWLRELRDHADSNIVVMLIGNKTDLEHLRAVDSEEAQSFAEREGLSFLETSALEATNVEKAFRVILAEIYRVISKKNISSEAPAQGPAGGMREGKAITIAASDSSTSRQCCST >Ma06_p38430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37179898:37183338:-1 gene:Ma06_g38430 transcript:Ma06_t38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGWRKAKLALGGLNLCLYSSEAVDGSGDASPPDRRDDDASLETEFSDLGAPALNAPSLSSSSLWARASRSGSGSSKRTCAICLATMKPGQGHALFTAECSHSFHFRCIASNVKFGNHVCPVCRAKWKEVPFQGPSFAESSHVRSRINPVIWPHHGGHMNIRRQNSVPPLRSSERSVFDDDESLGSPSKVSGVPPHGCAKMVEISTYPEYPAISQSASTENFTVLIHLKAPLVPRTQNPGKDVHGDAQVPRSYRAPVDLVTVLDVSGSMSGTKLALLKQAMGFVIQNLGPSDRLSVITFSSTARRLFPLRRMSESGRQEALQAVNLLTTSGGTNIAEGLRKGAKVIEDRKEKNPVCSIILLSDGQDTYIFTPTIRNGQATEPDYRSLVPSSIRDGSAHHVPVHVFGFGSDHDSASMHSISETSGGTFSFIEAEGAIQDAFAQCIGGLLSVVVQEMQVRLECLHPDVHLRCIKSGRYPSRVADDGRSGTVAAGDMYADEERDYLVSVNVPAADVDTPLLKVSCAYGDPVTKEVMRPEAVQVKIPRPVLVVSQTMSLEVDRQRNRLQAAEAMVEARAAAERGELSEAVSVLEDCRKRIVESEAGKSGDRLCVSLDAELKEMQERMVSQQRYEATGRAYVLSGLSSHLCQRATTRGDMTESGSFVQSYQTPSMVDMLQRSQTFSPATRRSNPKMRHTRSFPAGPKPR >Ma08_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1930824:1932691:1 gene:Ma08_g02520 transcript:Ma08_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGLIQQQQERRLRPHPERDLKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTLGGSLRNVPVGGGCRKNKRSSSSSSSSSSYYSKKAQELDLTSTPLLPTLIPPPLTYDPSDLTFRLQKQPPMVQFGLDDNSHNLNPALSAPAPTNGFLDTLGHGFLDFTRPIGLNHLYHGYGVNGRLEEIGGDKGGLGGATTGQGSCEAMDGGDTKAFTGLSWQEGSMDSARDCWNGAVGSSLHGLINSSML >Ma08_p02520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1930824:1932691:1 gene:Ma08_g02520 transcript:Ma08_t02520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGLIQQQQERRLRPHPERDLKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTLGGSLRNVPVGGGCRKNKRSSSSSSSSSSYYSKKAQELDLTSTPLLPTLIPPPLTYDPSDLTFRLQKQPPMVQFGLDDNSHNLNPALSAPAPTNGFLDTLGHGFLDFTRPIGLNHLYHGYGVNGRLEEIGGDKGGLGGATTGQGSCEAMDGGDTKAFTGLSWQEGSMDSARDCWNGAVGSSLHGLINSSML >Ma08_p02520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1930824:1932691:1 gene:Ma08_g02520 transcript:Ma08_t02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGLIQQQQERRLRPHPERDLKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTLGGSLRNVPVGGGCRKNKRSSSSSSSSSSYYSKKAQELDLTSTPLLPTLIPPPLTYDPSDLTFRLQKQPPMVQFGLDDNSHNLNPALSAPAPTNGFLDTLGHGFLDFTRPIGLNHLYHGYGVNGRLEEIGGDKGGLGGATTGQGSCEAMDGGDTKAFTGLSWQEGSMDSARDCWNGAVGSSLHGLINSSML >Ma03_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27499418:27500738:-1 gene:Ma03_g22760 transcript:Ma03_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYICSSPSSLSLSFSVKPPTMSDDVFMEILARLPLKSLFRFKCVSRAWRRLISDDYFLRRLPLLTSAVFYHCNADAKEARFACTAGGGDLQECGLEFFPFHRDSDIVDCCSGLLLSYSRLRATFYVVSPITKRWVALPQTLKSTHLAVLAFDPCHSSEYRVISFTGWIAQGSELEVFSSATGDWAQHSLHWGVDSDTMTATLRYFAGILYVVAFPNYIVAIDLDGMRCRRIELPEPIKPEGSIDKSGGFLHYTCSDGGRLKVWMLEDADGGEWVLKHSIEVATILRQVPVKTRQQLQLLALHPEREVVYLRAPGRLVSYDLEKKEAEVVCEFRKEKEGVYLVQIWLFPFSGHMSHCLAH >Ma06_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13244637:13244870:1 gene:Ma06_g19290 transcript:Ma06_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMREEKGDMGGWETPKRGDCRIPVAIRCPPPPRKKKRCPTAAGALGKRRGPPRNGYFHPPDLEALFALASRREACA >Ma03_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2541883:2543545:-1 gene:Ma03_g03880 transcript:Ma03_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALLTSSCVLFLLLSYSSHLANGEVQSYHKVQRRSLNPGAVCSPLKGSNVPSLRLVSRYGPCSPFETKELPSLEQILLKDQLRVNYLLKGVVKSQLNDSMASIPAELQGGEYVITVGYGTPSREQTVIMDTGSDLSWIQCQPCNVCYSQKEPIFDPSQSSSYAAIPCNSSDCSQLPSSCSSSCAYFIPYGDGSNSSGVYSYDRLTLSPNDVIEDFIFGCGTDNEVLFHDAAGLVGLGRDKQSLVSQTSQLYHSVFSYCLPSTSSNTGFLKLGETGDASNTVYTGMLTSSNDPSYYFVDLIGISVAGEQLAISPSVFRSGGTFLDSGTTITRLPPSAYEALRSAFREHMSAYPLKPTNGLLDTCYDLSGYETITVPSIALQFGDGITLDVDSSGILFHDCLAFSANQVGGLGIIGNVQQRTYEVVYDVGNERIGFAPNACS >Ma03_p11080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8383174:8386312:-1 gene:Ma03_g11080 transcript:Ma03_t11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVWLAAFFLLVFLLVLVIYQLMSLADLEFDCINPYDSASQINKVIVPEFVLQGSLCLLFLLSGHWLMFLFCVPNLYYNFRLYQRRQHLIDVTEVFNQINREKKRRLFKVVSVVGLLLMSLFWMLWSVLEVDEQLVTVRSS >Ma03_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8383543:8386312:-1 gene:Ma03_g11080 transcript:Ma03_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVWLAAFFLLVFLLVLVIYQLMSLADLEFDCINPYDSASQINKVIVPEFVLQGSLCLLFLLSGHWLMFLFCVPNLYYNFRLYQRRQHLIDVTEVFNQINREKKRRLFKVVSVVGLLLMSLFW >Ma10_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31568780:31569784:1 gene:Ma10_g22050 transcript:Ma10_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMIQETDMPVKMQLQAMSCASEALDLFDVLDCKSMAAYIKKEFDLRYGPGWQCVVGSNFGCFFTHKKGTFIYFCLETLYFLIFKGAAA >Ma04_p39580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36655413:36655748:1 gene:Ma04_g39580 transcript:Ma04_t39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSNDTTVRCSSIALLQERFRQLQRIKEMREEKRILRMIAEAEQPKWFFCRDLAHPSRPLCDSSSLQAEYHVNCTEIQSFKTSLSLCLWSSDVSMKMSNETEVDTSLHL >Ma11_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3436573:3443444:-1 gene:Ma11_g04400 transcript:Ma11_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARAQRKSDNTKYYEILGVPKSASQDELKKAYRKAAIRNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDKYGEDALKEGIGGGGGGSFHNPFEIFEQFFGGSSFGGGSFGGGSSRGRRQKRGEDVVHSLKVSLEDLYNGTSKKLSLSRNALCQKCKGKGSKSGASGRCYGCQGTGMRTVTRQIGLGMIQQMQHVCPECRGSGEVISDKDKCPHCKGNKVMQEKKVLEVHVEKGMQHGQKIVFAGEADEAPDTVTGDIVFVLQHKEHPKFKRKYDDLYVDRTLSLTEALCGFQFVLTHLDGRQLLIKSSPGEVIKPGQYKAIDDEGMPHHGRPFMKGRLYIQFNVEFPETGVFSPDQCRSLEKILPPRPSNHISNMELDECEETTMYDVNIEEEMRRQRLQRQQEAYDEDEDAAPRVQCAQQ >Ma09_p29940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40270380:40278691:-1 gene:Ma09_g29940 transcript:Ma09_t29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRSVVMRSMLLSEQPWRPSCRPILGAFHSVATPLVRPRVRAPFVAAPLRCSHGDAQTPASRSSSPQDDQGPPQEAVLKAISVSKSEGRVAQTTNVVIGGTVMDDSTDEWLVLDKKVNSYPTDRRFTAIGTGGDEFVQSMVVAVESVIQEPIPKGSVSQKISSRGKYVSVNIGPIRVISSEQVQAVYNAMRRDDRMKYFL >Ma09_p29940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40270380:40278691:-1 gene:Ma09_g29940 transcript:Ma09_t29940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRSVVMRSMLLSEQPWRPSCRPILGAFHSVATPLVRPRVRAPFVAAPLRCSHGDAQTPASRSSSPQDDQGPPQEAVLKAISEVSKSEGRVAQTTNVVIGGTVMDDSTDEWLVLDKKVNSYPTDRRFTAIGTGGDEFVQSMVVAVESVIQEPIPKGSVSQKISSRGKYVSVNIGPIRVISSEQVQAVYNAMRRDDRMKYFL >Ma05_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5282201:5283822:-1 gene:Ma05_g07250 transcript:Ma05_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTPAVACGEAEESLVGGTVVREMAKIRASTSRLGVLLREALEGNSTVGAVFEELEGSISRAFSLLDRKQQGGDAPPSSGHQSSEIPTKKRKVNPAGDRRGGCRRRIQSSSLRIVKSKTLDDGQTWRKYGQKEIQSAKHPRSYFRCTHKYDQGCMAHRQAQLSEDDPTDFVITYIGEHTCRDPTVVPPQMVSASIFQDTCLISFGAGGHGVRQEASVPASFASQKQESDEDAASNLTTASSSSGYFLLPATENPVVTTPDVTSGFHTATDLDMDFMADTYLEDVFGFDDDEFFR >Ma06_p01290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1026379:1043217:1 gene:Ma06_g01290 transcript:Ma06_t01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTRLDDSPMFRKQIQSLEESAELLRERCLKFYKGCHKYTEGLGEGYDGDIAFASSLEMFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVDIDLHDVKDAHKRFDKASLLYDQARDKYLSLKKGTRADIATVIEEELHSARSSFEQSRFDLVTALSNIEAKKRFEFLESVSGTMDAHLRYFKQGYELLHQMEPYIHQVLTYAQQSRERSNYEQAALTERMQEFKRQIDRESRWSANESHDSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNMRGDWKRRFFVLDSRGMLYYYRKQWSRSSGGQHSNQRGHNSMEHGSGLLSRWFSSHYHGGVHDDKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTRNYTLQAESALDQMDWIEKITGVIASLLSSQSPEQRLLTSPGSGGLHRAASESSSLGSSSDLDQLANEESSLEKNSVSGHFDRSIRSSQHYRFNSKHEKPIDVLRKVRGNDICADCGALEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEASVINLFQSLGNTFANSIWEELFPSTSNENLGDVSSFCMHDKNQEHICVSKPKHSDPITGKEKFIQAKYAEKFFVHKTTADQLSVAQQMWDSVRANDKKAVYHHIVASDADVNIIYGQASVNSSLTLAKAMLLQDQPTAVLDRSSSCLLGDSLQMSSTVGSRSSVSTSDDRNELEEFLEGFTLLHLACLTADMGMVELLLQYGANVNSTDLRGRTPLHHCILRGRHLFAKLLLTRGADPHATDEDGKTALQYAIEAANTDDEEILFLLEDPNPNR >Ma06_p01290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1026379:1041734:1 gene:Ma06_g01290 transcript:Ma06_t01290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTRLDDSPMFRKQIQSLEESAELLRERCLKFYKGCHKYTEGLGEGYDGDIAFASSLEMFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVDIDLHDVKDAHKRFDKASLLYDQARDKYLSLKKGTRADIATVIEEELHSARSSFEQSRFDLVTALSNIEAKKRFEFLESVSGTMDAHLRYFKQGYELLHQMEPYIHQVLTYAQQSRERSNYEQAALTERMQEFKRQIDRESRWSANESHDSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNMRGDWKRRFFVLDSRGMLYYYRKQWSRSSGGQHSNQRGHNSMEHGSGLLSRWFSSHYHGGVHDDKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTRNYTLQAESALDQMDWIEKITGVIASLLSSQSPEQRLLTSPGSGGLHRAASESSSLGSSSDLDQLANEESSLEKNSVSGHFDRSIRSSQHYRFNSKHEKPIDVLRKVRGNDICADCGALEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEASVINLFQSLGNTFANSIWEELFPSTSNENLGDVSSFCMHDKNQEHICVSKPKHSDPITGKEKFIQAKLKTWKRNFGKRLLGILIYVLLLPVCGEVFCS >Ma06_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1026379:1043217:1 gene:Ma06_g01290 transcript:Ma06_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTRLDDSPMFRKQIQSLEESAELLRERCLKFYKGCHKYTEGLGEGYDGDIAFASSLEMFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVDIDLHDVKDAHKRFDKASLLYDQARDKYLSLKKGTRADIATVIEEELHSARSSFEQSRFDLVTALSNIEAKKRFEFLESVSGTMDAHLRYFKQGYELLHQMEPYIHQVLTYAQQSRERSNYEQAALTERMQEFKRQIDRESRWSANESHDSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNMRGDWKRRFFVLDSRGMLYYYRKQWSRSSGGQHSNQRGHNSMEHGSGLLSRWFSSHYHGGVHDDKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTRNYTLQAESALDQMDWIEKITGVIASLLSSQSPEQRLLTSPGSGGLHRAASESSSLGSSSDLDQLANEESSLEKNSVSGHFDRSIRSSQHYRFNSKHEKPIDVLRKVRGNDICADCGALEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEASVINLFQSLGNTFANSIWEELFPSTSNENLGDVSSFCMHDKNQEHICVSKPKHSDPITGKEKFIQAKYAEKFFVHKTTADQLSVAQQMWDSVRANDKKAVYHHIVASDADVNIIYGQASVNSSLTLAKAMLLQDQPTAVLDRSSSCLLGDSLQMSSTVGSRSSVSTSDDRNELEEFLEGFTLLHLACLTADMGMVELLLQYGANVNSTDLRGRTPLHHCILRGRHLFAKLLLTRGADPHATDEDGKTALQYAIEAANTDDEEILFLLEDPNPNR >Ma01_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:555283:556122:-1 gene:Ma01_g00760 transcript:Ma01_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATFSLATSLLSLSLIMLLVSYDNIAFYATKGKEAMVMHYFMTLSNRKVLFFLQKTSDAKICLIHWEPPLHKIQNHHSRLDIMHFKNLHKDEPEEQKAPVDQIPSYQQIVFECLLAAVQLIISFVQKGHLSTHNCFME >Ma05_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3766801:3767484:-1 gene:Ma05_g04920 transcript:Ma05_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 5 [Source:Projected from Arabidopsis thaliana (AT1G10480) UniProtKB/Swiss-Prot;Acc:Q39264] MESDAAEQGCVSSHSSASRELKADHHHVAGKGEEKKIRLFGVEFNPRKHSCGSRNEEEEIETRGVEDKKYRCQFCCKEFPNSQALGGHQNAHKKERMKRKRLELHARRAGISFYLQPLIKSHGSEFDFSMSWFHDPRGKPFEEHNGSFKTLDQSLFAGGRFTARPPPLVPCIAMDHSISTLGIMHQEDRPVAVVKSSSSLMAKQNYQDSDLQLGLAMKPNLCNTSGR >Ma06_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13082877:13085333:-1 gene:Ma06_g19120 transcript:Ma06_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWEAVRRGRLLIALLVAAAAIPPASSAAATTLPKKAKASSPPELLSELPVHAGRLVPSSVVFPIYGDVYPHGLYYVVMNIGDPSKPYFLDVDTGSDLTWLQCDAPCVSCSKGPHPWYRPKKSKLVPSGDPLCAALHSGIAQGENSGQCDYEIQYEDRGSSLGVLLYDAFSLRLTNSTRASPILAVGCGYDQQSAVQDASAPTDGVLGLGTGKVSVLSQLSNQGVTKNVVGHCLSGKGGGYLFFGDDLVPSSRMTWAPMSRIGFRNYYSPGPAKLYWGTQSLGAKQIEVVLDTGSTFTYFESHPYQAFLSAVKSDLSKTPLIEEFDDSALPVCWKGQKPFKSVSDVKKYFKTLALDFVKGKRALLELSPENYLIITKHGNACLGILNGSEAGLRNLNVIGDISLQDHMVVYDNEKQHIGWVRAACNRLPNADDHGLEDGDLSQYASMGVLK >Ma02_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27639510:27640300:1 gene:Ma02_g22230 transcript:Ma02_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHPGSAIVIAPANRGPGVRVELVPSSSSEDPPAKSSEELVDACSKKSTREERAACSTEVAMERVGAHAAEESGRERLKRHRMEMAGRVWIPETWGQENRLKDWIDSSVFDRPLVPKGLVSAREALVEACRRTSSRSRQIRNPC >Ma04_p32410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32374414:32378759:-1 gene:Ma04_g32410 transcript:Ma04_t32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSWLPLFFLALYQLALPVEAAAKASPVVGNICRVDDAELFHVYYGQSFKVIKNSIDGKSYLLMQSNSRMAARTKYCTGRIKSFVVPLSNYSVDTTNLPVSFFELLGLLDNFKAMTSDQITSECVLKLLVIGSIQLVNKKDMQQLSQFTAHFISNVEEEQACNFAAFVPLDERTPLQRAEWIKYLATFTNSEVRANSVYDAVKANYICLTKVAASLTTKFKPIVAWVDYNQGIWSFAKESYKLQYVRDAGGENIDDTVSDNSYNISDPDDMDNFHAILCTVDVVIDQTYALDPAEYKLSTFLENMDTGDSSRFVFLTNQRLWRYDKRVYNSVIVDWFDGAISQPQLVLADLMEAFFPTGNYNTTFFRNLAKDEGVITINPELCDRSPSTPMDPTIVPCQ >Ma08_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32200099:32201871:-1 gene:Ma08_g18660 transcript:Ma08_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQERTNFALTCSLLSLYIKEKKGSVADLGIGIAIAPIAAKGKSGLFRPPTTVSWLPESDISSGAGGERRTEGDEVPQGNALELFPQRVGFGPAASEDVRDAESAPLTIFYGGKVSVFDNFPAEKANDLMQLASKGNSTAPNFGYVPASSSSAMSSSTALSDQNPTLPKSANASLASHVRLPRSAQSGLSDLPIARKASLQRFLEKRKDRINARAPYQVTASTGMGVPVEQEGSRAWLGLGPRSSIASLTK >Ma03_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3031524:3032996:1 gene:Ma03_g04600 transcript:Ma03_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGFSPASPPRIFWNSRKRSATARSLEDAAVRAVARAKEPGETAKAHPAAADEHRPEEEEEKVGKAALSERRQALFEPLEPSSYGRRTPADVLLPPPDFDPTCYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDKEIDGLNEQLEEDARCLEHLQVQLLEERSKRVEAERQNAMLQDQVSMLMNVLEETQAVEEEASGDH >Ma09_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36336994:36341885:1 gene:Ma09_g24710 transcript:Ma09_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACFGYLRKKKKSSSPTPGEAAASVPASTGSISPCKSAVSASPRRGIPDLYQEKAHNLRVFELDELSSATNEFNRMLKIGQGGFGSVYKGFIKPPDGKGERMAVAIKKLNQKGLQGHKQWLTEVQFLGVVDHPNLVKLIGYCSVDDERGIHRLLVYEFMPNKTLEDHLFNRSYPVLSWKRRLQISLGAAEGLAYLHKGFEEVQVIYRDFKASNILLDKEFKPKLSDFGLAREGPTAGRTHVTTAVVGTHGYAAPEYIETGHLTIKSDVWSFGVVMYEILTGRRSLEVNRPKSEQRLLDWVRQFPPDTRKFSMIMDPRLRNEYPLEAACEIAKLANSCLTKNAKARPSMSEVVETLRRATQITAKGS >Ma10_p25410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33561595:33564247:1 gene:Ma10_g25410 transcript:Ma10_t25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLFCCPCNTLVSPQMPSFYQAPPLRISLRTEQISAVARMNSEFLSAVDLRSKHQVHWGFVGASRIQYMPKVSRIVHHRRTFRPSACWLTSSQIANDAFTWATVSVLPFYTLMVLAPKAALTRRTMESNLPYVALGVVYAYLLYLSWTPDTFSTMFASKYWLPELSGIAKMFSNEMTMASAWIHLLAVDLFAARQVFHDGLKNNVETRHSVSLCLLFCPVGIFSHFITRSLTKRANRPH >Ma10_p25410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33561576:33564247:1 gene:Ma10_g25410 transcript:Ma10_t25410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLSVLSPLLFLLPSAEDDPPLLLPLQYPRLTPNAFFLSEQISAVARMNSEFLSAVDLRSKHQVHWGFVGASRIQYMPKVSRIVHHRRTFRPSACWLTSSQIANDAFTWATVSVLPFYTLMVLAPKAALTRRTMESNLPYVALGVVYAYLLYLSWTPDTFSTMFASKYWLPELSGIAKMFSNEMTMASAWIHLLAVDLFAARQVFHDGLKNNVETRHSVSLCLLFCPVGIFSHFITRSLTKRANRPH >Ma03_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:813823:816142:1 gene:Ma03_g01000 transcript:Ma03_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHASLTSSAAAAAAAAEDDGDESPRSPAESLDLILHRLLPFLLAAALSARSLVGRWRLLHSKLSLLLSALVDSSASPHWPSNPLFRDHLLPALRSTLRDLLSLSDRCLDPSLPGGKLRLQSDLDIAASALSLHLHDLNLLLRSGLLHHDSSHSDPDSAAAIVLPVPGPSASRAELALFVRDVFARLQIGTLDLKHKALDSLLDLLAADPAKVSRLVVDEGDLPSLLRLLDPSAHSLLRDRAVAAISHLATASDASRHAVFEEGALGPLLRLLDSGPAALKERAVAAIHAMTADRACAWAVSAYGGVSILVAACHTGSGSPAVQALAAGSLKNVAVIEDSRASMAEDGAVPVLVDLLVSGNLEAQKNAALCLASLAVMGGSEIRAAILQEGGLRRLLQFLRDASDPEAIDHALRAISALSASPAAAKLLSSSPSFFAQLTDLIKRGSLASQQTAASLVSDLAPGEVIKRSVAESIPALVKMMECSKLGSAQQAAAGALTSLLAVPSNRRELSRDEKSVTRLVQMLDPRNDAVGKQLPVAVVLAMTAGRGGARKRIGEAGACHHLQKLVEADVPGAKKALQRISGGRLKQLFSIGWPH >Ma07_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4660231:4662867:-1 gene:Ma07_g06490 transcript:Ma07_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHIHHLAHKPSANLIMTPPFILVFLLLTQVITATTAGQRYANITRGTTLTAQGSPSSWLSPSGDFALGFYPLDSDTSLFLLAVWYDSTSPKAVVWSANRDAPVAAGSTLQLTSDGRLSLKDQDGKQVWNAGAANASFAALLDTGNLVLAASSSNFLWQSFDFPTDTLLPGQVLTQGSSLRSQLTDSDTSDGRFQLVAQTDGNLVLYPLALPTGNQYVAYWSTGTTGSGNQLVYNETGSLYYAVSNGTIVGISPTSTYSTGNFYQRARLDPDGVFRQYIYPKNGTAGGSLRKTWNAVAKVPLDICRDLVVENVGSGVCGFNSYCSSDGDQTRINCMCPPQYSFIDPDKKYKGCKQDFLQICEGYNPGEFELIPVDNVDWPYYDYEYYTNVDQDRCAQYCLEDCFCVVAIFWSNDGGCWKKRQPLAHGRMGSYVDRRALIKVSKSNASLTLPPGPVTTITKKQRTPMNRVGSALLWCSGFLNLILVALMSVTVLGHRRKRGQMLQRQTSMSVVNLRVFSYQELEEATNGFKDELGRGAFGVVYKGVLASNIRTDIAVKRLDRLLHLDNDKEFTNEVRSIGQTHHKNLVKLIGYCDEGSHRLLVYEYMSNGALTGFLFGDVKLQWEQRVQIILGIARGLLYLHEECSTPIVHCDIKPQNVLLDDKFVARISDFGLAKLLKSDHTRTITGIRGTRGYVAPEWFKSMAITKKVDVYSFGVMMLEIICCRKNLETEIGEVEEEEPVLVYWAYDCYKDGRLDLLMKNDEEAMSDSSRVGRFVTVAIWCIQEDPSLRPSMHMVTQMLEGAVPVPMPPDISSSASSR >Ma07_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1622161:1624305:-1 gene:Ma07_g02110 transcript:Ma07_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAQPLLSSHRSHSEIEESSPVSFSPSSDAATPSRLKSCLHRASSAPAMSVIPWDLFLQDSEPNLDTSSPIVKHASVLLLLYLSLGMLAYFFNPRGFSVVQTHPAVDALYFCIVTLCTIGYGDITPVTRVTKAISCVSVLVGFGLINVLLTDAVNHLLNLQESAIIDSVRGASTRHTSYIVDAEKGRMRIRTKVGLAVGVVVLSVGVGTVVLRLLENLDWMDAVYLSVMSVTTVGYGDRSFKTTRGRIFASVWLLVSTLAVGKAFLYLVEARIDRRHRRIAKWILKRDLTVKDLLAADLNQKGFISKSEFVIHKLKEMGRIDEKEILSICNQFNKIDLHNTGKITLPDLLSVSR >Ma07_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:777396:778018:-1 gene:Ma07_g00990 transcript:Ma07_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHCKAVAHPYIECEFMIILIDLILHKRKAYWYLLYNMLNFGHITQRCRPC >Ma08_p32690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43316501:43325709:1 gene:Ma08_g32690 transcript:Ma08_t32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFSSVPDEASAIGLLLRAAALVPTAHYLAAALIFLLVFLYNFLELHFLGDFLRGFRGDPVVLTFDPASRIYQGVVSMCRILHGRYLVTPWLASPHLQTVFLSFFGRPPSVVYRRQLFSVQDGGTVALDWLLASDVAGGASDTDKVISRDDTTPIVVVVPGLTSDSFSSYVKHAAYTMAKHGWNVVVSNHRGLGGISITSDCFYNAGWTEDLREVVNYLHYEYWRAPLFAVGTSIGANVLVKYLGEDGENTPIAGAVSVCSPWDLVVCDRFISRKLVQRFYDKALTIGLKGYAQLHQPILTRLANWEGIRKSCSVRDFDSHATCHVGKFETVDTYYRCCSSVSFIGNVAVPLLCISSLDDPVCTREAIPWDECRANQNIVLATTAHGGHLAYFEGLTAHSLWWVGAVREFLNVLHSSEFMHGKIKTPNHGLHCSLESGIDKGPYVNITEDGMVAAMTTEGPDHMTEEDLHDDELCHVDEPEDEIVLTDQSLLGTENDMDNGSEPVDSVQEIQAESITKAIHDVTAPVKRSINQLLQHYDISMWLLAYIAIVTTWPLLGSALSVVFKRKLRGLLPASWLRR >Ma08_p32690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43316501:43325711:1 gene:Ma08_g32690 transcript:Ma08_t32690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFSSVPDEASAIGLLLRAAALVPTAHYLAAALIFLLVFLYNFLELHFLGDFLRGFRGDPVVLTFDPASRIYQGVVSMCRILHGRYLVTPWLASPHLQTVFLSFFGRPPSVVYRRQLFSVQDGGTVALDWLLASDVAGGASDTDKVISRDDTTPIVVVVPGLTSDSFSSYVKHAAYTMAKHGWNVVVSNHRGLGGISITSDCFYNAGWTEDLREVVNYLHYEYWRAPLFAVGTSIGANVLVKYLGEDGENTPIAGAVSVCSPWDLVVCDRFISRKLVQRFYDKALTIGLKGYAQLHQPILTRLANWEGIRKSCSVRDFDSHATCHVGKFEVFPLATFWTLFLSYTVSCMFQTVDTYYRCCSSVSFIGNVAVPLLCISSLDDPVCTREAIPWDECRANQNIVLATTAHGGHLAYFEGLTAHSLWWVGAVREFLNVLHSSEFMHGKIKTPNHGLHCSLESGIDKGPYVNITEDGMVAAMTTEGPDHMTEEDLHDDELCHVDEPEDEIVLTDQSLLGTENDMDNGSEPVDSVQEIQAESITKAIHDVTAPVKRSINQLLQHYDISMWLLAYIAIVTTWPLLGSALSVVFKRKLRGLLPASWLRR >Ma06_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11053372:11054799:1 gene:Ma06_g16350 transcript:Ma06_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDKQRRGPTPCCGKVGLKRGPWTSEEDEVLASFVRREGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSIKHGPIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLVRQGIDPRNHKPLATSVADAIHQPISPQLYRNPNPSAIPSTAPTLLRAEDTQKNFSDTLNLQQNDDGDGWKNNECAVDKPLDQEGGKCDAGEDGGIGIECYTDDIFSSFLDSLINDDIFQPQHNDIIDDENNNDISRSNNSDGSNQRVVPAAYAYTAPSVPAYELGTFWEDDLMAQTGIEEDVHEQFADHAGKY >Ma05_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35466304:35477050:1 gene:Ma05_g23430 transcript:Ma05_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17465) UniProtKB/Swiss-Prot;Acc:Q9LRN8] MSAASRGTLSRLRLLSFSCRLEAPHQRSFCALPVVGDSEIEASGRVIEAKPGVMTPSSRRTGAIGVKCGMTALWDKWGARIPITVLWMDDNIVSQVKTVEKEGIFSLQVGAGQKKEKHLTKPEVGHFRAQGVPLKRKLKEFPVTEDALLPVGTQITVRHFIPGQYVDVTGITRGKGFQGGMKRWGFSGMPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGKQCTVKNVWIYKIDPVRNLMWVKGQVPGAEGNFVFIKDAVYKKPNISLLPFPTHFIPEGEDTSELEPLTAELGEVDPFIAAD >Ma09_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:407966:409816:1 gene:Ma09_g00560 transcript:Ma09_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFAPILPFVEMDPDLELMRQLAELDGCATESPSMGLLMDYSDDYYLPHQPYFSVPFMEDSSGVPAECQKPVAEPQPVGPTEEQSHGASKRKAIAAPDTSSVNCAGLCSVTRRKKNLGFQGSESGKTHKSNSKEVVHVRARRGQATDSHSLAERVRRKKINERMRCLQDLVPGCYKTMGMAGVLDEIINYVQSLQNQVEFLSMRLSAASSFYDYGMGVEAITTNQAEAYEACSSGREGGEGGIWGLQ >Ma04_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5572304:5574374:1 gene:Ma04_g07690 transcript:Ma04_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCASAPPFCSLIGAHRWTSPSKASPRPCFRPHIRCAAQTPPRRSANYQPSSWSDEYIQSLRNDTKVEEDNATRMGKLTEDVKQLIYMKKGIEDQLQLIDHLQQLGVAYHFKEDIKDALGTIYGSVEKVNMLLKDNLHATALMFRLLREHGFDVSEGVFYRFMDEKGNLKASLRHQTEGLVSLYEASHLAKEGEHVLEEATNFTTKQLKSLMEGSLEPHLREHVAHALELQLNWRMPRLQTRWFIEASQWEAKMNPVLLELAKLDFNRVQIIYQRELREVSRWWSNLGLAQRLPFSRDRLMENYFWTVGWAFEPQFARIREAQTKANCLITTIDDVYDVYGTIDELELFTDAVDKYMKICFLALFNSTNDTAYNVMKEKGLDIIPHWADLCKAYMVEARWYHQGYTPNLEEYLENALVSISGLLILTLAYCTSDDLTQEALDDFQSCPEIARWSSMVFRLCDDLGTST >Ma11_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4482273:4484411:1 gene:Ma11_g05770 transcript:Ma11_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDREAQFHVLAVDDSLIDRKLIERLLKTSSYQVTTVDSGSKALELLGLREDQDISSPSSPDKNEIAVNLVITDYCMPGMTGYDLLKKIKGSSSLKDVPVVIMSSENVPSRINRCLEGGAEEFFLKPIQLSDLIRLRPYMLKGKLKEQQPSQHQETNSSTISSCSSNNNSKSNKRKAMDEGLSPEKTRPRFSSSSLALVSYEETA >Ma04_p34840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33838322:33840166:1 gene:Ma04_g34840 transcript:Ma04_t34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAVEGKEEEINCVWKDNKLGLFTATTASSDRRNFFSEPASASCCRVVNNSKNLSWIRSSIGAGELNKPQNWRNPSIRLPRNLGQRRFLLLLCRCRKDSADHEIPTA >Ma10_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31874844:31876789:1 gene:Ma10_g22540 transcript:Ma10_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPRVLADLRTRMGSIDPERPVVGILAFEAAAAMSRLVSLYRSLADDEVRRLRTDMRSQGVAYLTSRDQLFLLRLACAELVAELDKAASVVSRLGAKCCDALLSGFDRCYADLKAGGVYSAPTGGRVADLERVGLGSTAKGVEKRVKRMERYVATTSRLHAEMEALNELEASERRMEQQWRRHSGPIPTQKPGVPSAVQLDLRSQRHKVRRLKEESLWNKTFDKVVKLMVRAVITVFARICAVFGPCVLGLPPLPNRNRRTLLLRGGNPHNPSKHSSGPLDRPLAMAVPILRNSAPIFVAKGSLKKPFESLSSLLEAGPTTVGGSGLALRYAKVIVLTEKLLAMRSVDSHEAEDEEEEATRAELYQMMPSAMQGAVRAKLRECWRREGGTVDGSLAEGWNEAVRRILTWLGPVGHDTLRWQEERQMERQQRFDPRPRALLMQTLHFSDREKTEAAIVEVLVGLSCMCWYEERRRHSLRF >Ma02_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16954239:16954736:1 gene:Ma02_g05710 transcript:Ma02_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCLDARTVSVLLHHSGFKLVFVDVISRPLLDGTLRLFPDSLEPLRVILIEDLDEAPPAQNSTLTCEKLIETGAPEFRWVRPLTEWDPVFLNHAPEPRPLHCHRGLFSTPSAPSSIGPSRSGPSTCGPLLVFHSDGWSIAVASNFDLFLILDDLYLLIAVLPPI >Ma10_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24333171:24333354:1 gene:Ma10_g10370 transcript:Ma10_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDLPILAGTFTTFYVGTKLMFHIRDAKKCNQLKDH >Ma05_p03890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2901739:2906064:-1 gene:Ma05_g03890 transcript:Ma05_t03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARYLHHPSRRNHGDGSRRIAPLDLSGRRFPSQIAVAFSQIRENVTFKLNVHVPHHEGESALSCGSIYSRECVSQKTKSEHIAVQLSRLSGLRGSCIWPSDIMEDKMFGFLKALVFTNIWMLTLPLEVLAGTCESDKSVMKMPLLFAVAMIGAAVGGLLARRRRDELKRLNDQLRQINEALRRQAKIESYAPSLSYAPVSRIKETDVIVDPRKQELLASLRTGKNFLRNQNLEKAFAQFKEAFELAQIMGDHFEEKKAARGLGASLQRQGKYREAIKYYSKVLEISKRTGEDSGITEAYGAIADCYTELGDLERAAKFYDKYIARLETD >Ma05_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2901739:2906092:-1 gene:Ma05_g03890 transcript:Ma05_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTCSVPSVRTPPVQRRRRWRLSPGTSTTRHVGTTATVPGASLLSISPADAFRLLSRLSGLRGSCIWPSDIMEDKMFGFLKALVFTNIWMLTLPLEVLAGTCESDKSVMKMPLLFAVAMIGAAVGGLLARRRRDELKRLNDQLRQINEALRRQAKIESYAPSLSYAPVSRIKETDVIVDPRKQELLASLRTGKNFLRNQNLEKAFAQFKEAFELAQIMGDHFEEKKAARGLGASLQRQGKYREAIKYYSKVLEISKRTGEDSGITEAYGAIADCYTELGDLERAAKFYDKYIARLETD >Ma05_p03890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2901739:2906092:-1 gene:Ma05_g03890 transcript:Ma05_t03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding METKTCSVPSVRTPPVQRRRRWRLSPGTSTTRHVGTTATVPGASLLSISPADAFRLEDKMFGFLKALVFTNIWMLTLPLEVLAGTCESDKSVMKMPLLFAVAMIGAAVGGLLARRRRDELKRLNDQLRQINEALRRQAKIESYAPSLSYAPVSRIKETDVIVDPRKQELLASLRTGKNFLRNQNLEKAFAQFKEAFELAQIMGDHFEEKKAARGLGASLQRQGKYREAIKYYSKVLEISKRTGEDSGITEAYGAIADCYTELGDLERAAKFYDKYIARLETD >Ma04_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6330276:6331197:1 gene:Ma04_g08870 transcript:Ma04_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGSLPMSSSSSFLQSSWGNDQPDNIIAAIDDFLAFDEDPQTAHAVLSPASQQQSPEFFQDHDKNENKSKGSLRGSSSGSRVAFRTKSELEVLDDGYKWRKYGRKKMKNSPYPRNYYRCSTVGCNVKKTVEREREDSRFVLTTYEGTHNHHAPLPATTLHQRLEGLPHTEY >Ma05_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5976978:5978441:-1 gene:Ma05_g08050 transcript:Ma05_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLDQNVLLDPILLIMHLETCKLFMTPMRFFVYGAFSEYSRELSLVRC >Ma07_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28310345:28314933:1 gene:Ma07_g20420 transcript:Ma07_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEEQMRVRRRTVQAVLEQCREALELLQDAEPGRDPTGDADMEKVEEVPEEDDAGDRSNPASPSAADYETDELCDLLKSRVESPDFLQKLGNSKISVPHRFSADDSASWDFVNAIEFWEDEHINGDNESEQDDYVLVRQEDIVDGIASFMAAYLLSLKQTKDLTPNQLQKALCKTFSVKKKKSKLRKAWDGSKVVYNVASWSATAIGIYQNPVLLKAASAAFWTSCRVISKLL >Ma10_p26940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34403195:34404806:1 gene:Ma10_g26940 transcript:Ma10_t26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGAFHAAVCNAPANDNLFSDGGVFYGDDPFGHVMPLFMLHIVVIVFTSRAVYFLLRPLKQPRLVCDIIGGVLLGPTLPSLLSRLLSFFHHVFDVEGAPDYSHIKQRYMDAMFRADNVSLMRSVASYGFMLQLFLISVKTDPSNIWRCGKKAFAIGVSCMVMPFAVLNLLSWFFEVRYVPDDGGRTVAAVAGVGDPNALVTIASLVSDTMFPVVAEILAELRLLNTELGRLALSVSMIIDYGWSLTITIANVVMRTMYDQAPPLVYSALGIVAMIVFLLFVFRPWVRWIVRRTPKDGRIAEGQVLMVLLVVMAMGAVSETFGARVTDGPIIMGLLVPTASPLAVAIAEKVEVIATGLMLPLAFLNAGMLTDFSTVEHPKVFLGLQLFMLAGYVIKFFAAMAPAVYYNMPVRKAALLGLMLNFTGLMQLLIYVESLYSQMIQPEAYAAIIVIIVAVTAVCSYLVAKLYDPLKSNKKVAYRKLKHLD >Ma04_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22287382:22287729:-1 gene:Ma04_g19670 transcript:Ma04_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAKEKVKDMASATKEKVKEYSGKAEGKAEAATARTPEEREAADERAKAREKAAKAEYHEEKAEHQEESAAHRGGTGRVPLTSQHHHRPVGADPTYPGTGTGHPAGEKYL >Ma08_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8175922:8178203:-1 gene:Ma08_g11070 transcript:Ma08_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERHRDGVEASADGCFDCNICLDFAAEPVVTLCGHLYCWPCMYKWLQQRQRGGAQCPVCKFGLSPDGLVPLYGRGHRDGKRPQWRPETPRRPPPPPLRDAIGASEARHPETQPRRHRRHSPSWDYTSPAGGGLGGIAMAVLPWIPRDQGSTRLTRREMVLETSLHHLWVFLCCCALLCLFLF >Ma11_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5607027:5608480:1 gene:Ma11_g07020 transcript:Ma11_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKSKVDAPKKADGRLSVKKGPERTGKKPRKTKADKDPNKPKRPPSAFFVFMEEFRKSFKEKNPNNKSVSVVGKAAGDKWKSLSEAEKAPYVAKAAKFKTEYTKKLASYNKNQSGGGSHDADDEDESDKSSSEVNDDDEEGSGEEEEDDE >Ma03_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8180143:8182588:1 gene:Ma03_g10830 transcript:Ma03_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKQPGREARAEAVVLLLLFSSAAALTTDGLALLALKSAVSVDPTGALASWLDSDASPCSWTGITCRLDRVVALSLPDRALAGYLPSELSLLSALESLALPGNRLSGPIPAALAALGGLAELDLSRNNLSGTIPPELGQLTSLAHLDLSSNILSGLLPPAIATLPRLSGVLNLSCNLLSGPIPAAFGDIPVDVSLDLRQNNLSGEIPQVGPLLSQGPTAFAENPGLCGFPLKNPCTTPRQDPKIPQPNPNISLNPSDATPRPIDAEKRRRSASTIPILAGVVLASIASILLLQWHFRRRSASGEGKASKIEIGSSPGYGSSAVGGSRAEERREGHASEIYAAVDEGFGMELEELLRASAYVVGKSRSGIVYKVVVNRGASAVAVRRLSEADDDDDPGAGAGDEWRRRRAFESEAITIGRAKHPNVVRLLAYYYAPDERLLIYDYIPNGTLHAALHGGPQNPTASTLPWATRLNILQGVARGLAYLHEFSPRKHAHGDITSSKILLDDDFRSHISGYGLARLVSSGSHQKLAHSASKKLAVPRAGTGYLAPEARGPGASSASSTQRGDVFAFGVVALEVVTGRPADADLEAWVRGAFKEERPLSEVVDPALLHEVHAKREVLAVFHVALGCTEADPELRPRMRTVAESLDRIGATR >Ma03_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2232832:2235731:-1 gene:Ma03_g03330 transcript:Ma03_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWGSITFGSRTAEMVAPLSSMGKNRVQDAVTCPKSMQGRLAMRRDRGVVRPDTCFARPSFPTMKLSVLLFLLLSSLHPSSSQRYNALFSFGDSMADTGNVRIAKLPYGMTFFGNATGRCSDGRLVIDFIAQALGFPLLPPSQEDHDFKRGANFAVVAATTLGFEFFNERNLSRGLWVNASLHTQVERFERLLPSICGAPQDCKEFLSKSLFIVGEFGGNDYSTALFFATPIDEVNTFVPHVIDALSDGVERLIGLGAADIIVPGVLPVGCFPLYLTNFHTPDPEDYGPKTGCTRSYNALSWLHNALLRKSLDHLRRKHPAVSIRYADYYSQIIDFAINPLKYGFTAGALRTCCGKGDNIYNYDQERRCSEKNFTVCDDVSTHVNWDGIHMTEAAHRAIADGWLHGPYVDPPILSSARS >Ma05_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7324555:7334719:-1 gene:Ma05_g10190 transcript:Ma05_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATGLRKILKKFDKRFGYKFTDYYVTSRANHPYSQLQQVFKHVGIGAVVGALSRNLADLNVRRGSYLSIYDQPSIVIKDPVIDQINASVDKLTHSTNFLQFLGQHALIVQEDITTAAEADVDEQKYHFMSLLLNLVNTFLYMANTYIIVPTADDYALSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLIFSSIMLLMGNTLYALAYDLNSIAILLIGRLLCGLGSARAVNRRYISDCVPLRTRMKASAGFVSASALGMACGPAIAGLLQTNFKIYGLTFNQTTLPGWLMALAWLIYLFWLWISFREPAHDTQESHVSQDAHTGHPDSENGLAQPLLISQNEKQDEDEDQDCDDREEASDESSHSPATSIASAYRLLTPSVKVQLMIYFMLKYAMEILLSESSVVTTIYFNWSTSNVAIFLAILGLTVLPVNAIIGSYITNMFEDRQILLVSEIIVLVGIIFSFRVTSSYSVPQYVSSALITFVSAEVLEGVNLSLLSRVMSTRLARGTYNGGLLSTEAGTLARVVADGTITLAGYFGEDNILNITLLPSLLICLASISATFFTYNNLY >Ma05_p10190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7324555:7339096:-1 gene:Ma05_g10190 transcript:Ma05_t10190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVQEWRGYYINYKLMKKKLKQYVKHNQAGGELQQVLKEFSRMLDEQIEKIVLFLLEQQGLLASRIQELAKKRNILLEQPDISQILELQEAYGAVGYDLIKLLKFVDMNATGLRKILKKFDKRFGYKFTDYYVTSRANHPYSQLQQVFKHVGIGAVVGALSRNLADLNVRRGSYLSIYDQPSIVIKDPVIDQINASVDKLTHSTNFLQFLGQHALIVQEDITTAAEADVDEQKYHFMSLLLNLVNTFLYMANTYIIVPTADDYALSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLIFSSIMLLMGNTLYALAYDLNSIAILLIGRLLCGLGSARAVNRRYISDCVPLRTRMKASAGFVSASALGMACGPAIAGLLQTNFKIYGLTFNQTTLPGWLMALAWLIYLFWLWISFREPAHDTQESHVSQDAHTGHPDSENGLAQPLLISQNEKQDEDEDQDCDDREEASDESSHSPATSIASAYRLLTPSVKVQLMIYFMLKYAMEILLSESSVVTTIYFNWSTSNVAIFLAILGLTVLPVNAIIGSYITNMFEDRQILLVSEIIVLVGIIFSFRVTSSYSVPQYVSSALITFVSAEVLEGVNLSLLSRVMSTRLARGTYNGGLLSTEAGTLARVVADGTITLAGYFGEDNILNITLLPSLLICLASISATFFTYNNLY >Ma09_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35131614:35134358:1 gene:Ma09_g23220 transcript:Ma09_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIPNSISPPSPSPIPSPGPDPDPGGEVSRRRCRTSPGGSPAATRLRGRCASSPGRRPRPAPPSPSPPSSSRSSPCSPPLTTITGKLPSSLSSTSPSATSDTLSLLRRSLVYWKSCPELKNLSSCQETYGDLYPKRRSNSRTYVVNFGGHKEGLGAGSQPFGSEMTTLKRKLCRRNWRF >Ma11_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25494904:25501290:-1 gene:Ma11_g21290 transcript:Ma11_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRAVGCFVPSGFAKGGVDLEFLEPLDEGLGHSFCYVRPVIIDSPAITPSTSERYTLDSSTLDSDTRSASFRQELAEDFAGLHRPSKSSSETTFRTISGASVSANVSTARTGNPNVLVSGDAQEPAASFQSTASFAAVPLQPVPRGSGPLNGFLSGPLERGFASGPLERGAGLMSGPLDKGVFMSGPLDPTDRSNFSAPLGYGRRKARFGWLMRSMSRPMRSALSRTFTRHQHGSGWMQRFLLHPMTQLVSHSKEARHQPEPLATCLDVGTSEPEYCSSRNLQWAHGKAGEDRVHVVLSEEQGWLFVGIYDGFNGPDAPDFLMSNLYKAIDKELEGLLWDYEDKSGHIAATSDLTESDSTKTCSSIVKEDCVSSQLQFGESQYNESRNQSFGNQGEGGVVLIPSDMGSNKSESTIDNGSGNESSRAVQAVEEIVDKDINKKQSGIAHDSNVDIGYENTDTKGHIGAPQTSCTPETKLASRYRKSKCLYELLQMELLEDRDSKSFLSSGNASRSCDLQFSATEDLCSRETSSTVQPVLCSSSIERKSSGLGEDLGGLIRENDAAVGIDSKGSKQKYVASFSSLGQKKMIRISLLGSKLRKMYRKHKSSHKKLFPWSYYWHRDPSNLDERTANSSVVIRRCKSGPVDHDAVLKAMTRALEATEEAYVDMVEKALDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQDKLDDSYRNPTLAKEDVRHWNRSRDSLVHVELDRISEESPMHNPKGQVCNINQTRELHLCSLKVRAVQLSSDHSTSIEEEVLRIKAEHADDAQAVFNDRVKGQLKVTRAFGAAFLKKPNCNQSLLEMFRVDYIGNSPYISCSPSVLHHRLCSSDRFLVLSSDGLYQYFSNEEVVSHVTWFMENAPEGDPAQYLIAELLIRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSS >Ma04_p07050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5125705:5131082:1 gene:Ma04_g07050 transcript:Ma04_t07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVPAAATTSPAASRNPPRSHKNTSPLPRRDDLQNPRRSPLIPSEKSNAAAGARQPRTKEIGSRYLSSCGSSSSSSSTSYSTATTFSSSSGSSISRRFPSPMAAPRPSTPPVLPQSAAQKRSHSVDRARPSTPRADPRPAPAEPSPAARALCLTTRSLSVSFQCESFFYQTSRAKAASRSPARKSTPERRRASASFDSPLKVGDHLDNSKPFENHHRWPSSTAQPSNPLMRISNCSAEKKEPILATVRFQQSMMFDDSARRASFDGCDLSASSDTDSVSSGSNSGAPEFSMRPRAKVTSRGISVPARFWQETNSRLHRYPETCSPLSSPDSRSVVQSKLGVIKKLSMDSPLSSPRSVSSPLRGPVRPSSPNKLSASPSRGMASPLRTRSNVSMSSSLVCQPGNAPSIISFAAEVRRARKGENRIEEAHMLRLLDNRHLQWRCVNARFDAALLLRKLTVEKNLTDAWVTTKELRDSVTNKRIKLQTLKQDLKLISILKEQMAYLEEWSLMEKDHLSSLSEAIKALKASTLRLPIVGGAKADLLEVKDAISSALDIMQAMGSSICSLLSKVEGMSNLISEITKVAAQERALVDRSRGLLSTGAALSIKQCSLQGQLIQLKRRASLI >Ma04_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5125705:5131171:1 gene:Ma04_g07050 transcript:Ma04_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVPAAATTSPAASRNPPRSHKNTSPLPRRDDLQNPRRSPLIPSEKSNAAAGARQPRTKEIGSRYLSSCGSSSSSSSTSYSTATTFSSSSGSSISRRFPSPMAAPRPSTPPVLPQSAAQKRSHSVDRARPSTPRADPRPAPAEPSPAARALCLTTRSLSVSFQCESFFYQTSRAKAASRSPARKSTPERRRASASFDSPLKVGDHLDNSKPFENHHRWPSSTAQPSNPLMRISNCSAEKKEPILATVRFQQSMMFDDSARRASFDGCDLSASSDTDSVSSGSNSGAPEFSMRPRAKVTSRGISVPARFWQETNSRLHRYPETCSPLSSPDSRSVVQSKLGVIKKLSMDSPLSSPRSVSSPLRGPVRPSSPNKLSASPSRGMASPLRTRSNVSMSSSLVCQPGNAPSIISFAAEVRRARKGENRIEEAHMLRLLDNRHLQWRCVNARFDAALLLRKLTVEKNLTDAWVTTKELRDSVTNKRIKLQTLKQDLKLISILKEQMAYLEEWSLMEKDHLSSLSEAIKALKASTLRLPIVGGAKADLLEVKDAISSALDIMQAMGSSICSLLSKVEGMSNLISEITKVAAQERALVDRSRGLLSTGAALSIKQCSLQGQLIQLKRRASLI >Ma03_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9905179:9906468:-1 gene:Ma03_g12860 transcript:Ma03_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQALQGACSWIPDLYSTKNRWKW >Ma09_p27110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38172571:38172928:-1 gene:Ma09_g27110 transcript:Ma09_t27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILSLTLSFATLLLRHTSPFPTCTARCFAMVVSSLRSPPRKRSQGGGALSSTCNATEEIFKIAAIMNGLVLVGVAVGFVLLRVEASLEEAE >Ma07_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8933038:8933601:-1 gene:Ma07_g12030 transcript:Ma07_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPCTVYPSRVALGKGRRMKVFMGKTKHRHPSATKKSRHSQRCGSGLCCSSCRLSVSSSSSEGVNTSDDSEQLHSLSNLAHGMVQARLQQMINQWEPPRRRRHMVGERSSGGCVVLIAMDKRSYDPKGDFKMSIQEVIKSKRMEEPRELRSLLNCYMSVNSPEHRQVILEAFHEVCCTLFHCSREY >Ma11_p01980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1421485:1427526:1 gene:Ma11_g01980 transcript:Ma11_t01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSSGRGRGRGESRAEFGGGGCGGRGRGKYTNPCLTMHQPWASLLVYGIKRVEGRSWPAPLTGRLWIHAASKVPEPETIKAMENFYREIYAVAGVKDIKFPEHYPVSRLLGCVEVVGCVKCEELVCWEDVSESVRLEGQTDFCWLCENPQKLLIPFEMRGFQKVYNLERRIHDVAVRGLITIQGPLPVKFPLPDPQDPFSLRPGSLALHFSSSKAPEVAKTPNVSAAIAAARAAATQFSREDQIATSNSYQTNVTEKSEFGSAETSHAGTRKEGRRLHDSHNETQGLQALNYNQHTTHKERDENKRYPSEKTSRGSRSDPGASGKQH >Ma11_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1421485:1427496:1 gene:Ma11_g01980 transcript:Ma11_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSSGRGRGRGESRAEFGGGGCGGRGRGKYTNPCLTMHQPWASLLVYGIKRVEGRSWPAPLTGRLWIHAASKVPEPETIKAMENFYREIYAVAGVKDIKFPEHYPVSRLLGCVEVVGCVKCEELVCWEDVSESVRLEGQTDFCWLCENPQKLLIPFEMRGFQKVYNLERRIHDVAVRGLITIQGPLPVKFPLPDPQDPFSLRPGSLALHFSSSKAPEVAKTPNVSAAIAAARAAATQFSREDQIATSNSYQTNVTEKSEFGSAETSHAGTRKEGRRLHDSHNETQGLQALNYNQHTTHKERDENKRYPSEKTSRGSRSDPGASGKIFSAALNGLRLDQVPRTREPSVQHQGFLRQ >Ma07_p14010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10490101:10490756:-1 gene:Ma07_g14010 transcript:Ma07_t14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQKTEVYFVFMNFDPKYERLHADRSKEGVEELDTYLSKKHDRLLEKLFQPNTYKKKSSLAIVDGFSVEITKEQAATLRSAKEVRVVEKNQELA >Ma07_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10490107:10490756:-1 gene:Ma07_g14010 transcript:Ma07_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQKTEVYFVFMNFDPKYERLHADRWVELSKEGVEELDTYLSKKHDRLLEKLFQPNTYKKKSSLAIVDGFSVEITKEQAATLRSAKEVRVVEKNQELA >Ma08_p33040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43539824:43542583:1 gene:Ma08_g33040 transcript:Ma08_t33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFTGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Ma10_p15090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27310587:27317916:1 gene:Ma10_g15090 transcript:Ma10_t15090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYSKNCLGQVPDSPTPSKRKESGRRGAGVGIPHSSSDTDGEGVDQLNQLSITRDSDVGINRLSRVSSQFLPPEGSRKVRVPLGNFELRYSYLSQRGYYPEALDKPNQDSFCIHTPFGTNPDDHFFGVFDGHGEYGAQCSQFTKQKVCENLLRNNRFHADAVEAIHAAFLATNSQLHADSLDDAMSGTTAITILVRGRTIYVANAGDSRAVIAEKRGKDIVAVDLSLDQTPFRSDELQRVKNCGARVLTLDQIEGLKNPDVQCWGDEEGDDGDPPRLWVQNAMYPGTAFTRSIGDSIAESIGVVATPEIFVMELTQDHPFFVIASDGVFEFLSSQAVIDMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITIIVAHINGLADTQSTGTGVNVSVRPLQQVVQVTGSESPSTLNWNSRTQRPRHEPSRIRLRAIESYLENGHVWVPPSPSHRKTWEEEAHIEQALHDHFLFRRLTDSQRHVLLDCMRRVDVKPGDVVVQQGGEGDCFYVVGSGEFEVLAVQDEDGKEVTKVLHQYTAEKLSSFGELALMYNKPLQASVHAVTSGTLWSLKREDFRGILMSKFSNLSSLKLLRSVEIFSKLTILQLSHIAEALMEVSFSDGQKILNKNEYLSALYIIQKGRVRLTYRPELLSPNACSLLSTLLDQGCHFQENDEHVVEMSEGSHFGQWAILGERISSLTAVSVGDVVCAVFTKENFDSAIGPLSKVQQDDLKSKDFQDSSKECTPNSDASTCKKLQCSDLEWKMSVYSTDCCEIGLVILKGSDTMQSLKRFSKRRIKELGKEDQVLKEKELMKSLNPSTGVPRVLCTCADESYVGILLNCCLACSLASILHSPLGEQSAKYYAASVIVALEELHKIRTLSFIEVFHRTF >Ma10_p15090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27310592:27318934:1 gene:Ma10_g15090 transcript:Ma10_t15090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYSKNCLGQVPDSPTPSKRKESGRRGAGVGIPHSSSDTDGEGVDQLNQLSITRDSDVGINRLSRVSSQFLPPEGSRKVRVPLGNFELRYSYLSQRGYYPEALDKPNQDSFCIHTPFGTNPDDHFFGVFDGHGEYGAQCSQFTKQKVCENLLRNNRFHADAVEAIHAAFLATNSQLHADSLDDAMSGTTAITILVRGRTIYVANAGDSRAVIAEKRGKDIVAVDLSLDQTPFRSDELQRVKNCGARVLTLDQIEGLKNPDVQCWGDEEGDDGDPPRLWVQNAMYPGTAFTRSIGDSIAESIGVVATPEIFVMELTQDHPFFVIASDGVFEFLSSQAVIDMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITIIVAHINGLADTQSTGTGVNVSVRPLQQVVQVTGSESPSTLNWNSRTQRPRHEPSRIRLRAIESYLENGHVWVPPSPSHRKTWEEEAHIEQALHDHFLFRRLTDSQRHVLLDCMRRVDVKPGDVVVQQGGEGDCFYVVGSGEFEVLAVQDEDGKEVTKVLHQYTAEKLSSFGELALMYNKPLQASVHAVTSGTLWSLKREDFRGILMSKFSNLSSLKLLRSVEIFSKLTILQLSHIAEALMEVSFSDGQKILNKNEYLSALYIIQKGRVRLTYRPELLSPNACSLLSTLLDQGCHFQENDEHVVEMSEGSHFGQWAILGERISSLTAVSVGDVVCAVFTKENFDSAIGPLSKVQQDDLKSKDFQDSSKECTPNSDASTCKKLQCSDLEWKMSVYSTDCCEIGLVILKGSDTMQSLKRFSKRRIKELGKEDQVLKEKELMKSLNPSTGVPRVLCTCADESYVGILLNCCLACSLASILHSPLGEQSAKYYAASVIVALEELHKDSILYRGVSPDILMIDQLGRLQLVDFRFSKKLAGERTFTICGNADCLAPEIILGRGHGFAADWWALGVLIYFMLQAEMPFGSWREGELETFAKIAKGHLTLPQSFSIEVVDLITKLLEVDEAARLGSQGPDSIRSHSWFEGLDWKSIADGSFPVPAEVVSRVDMHVENNAEDTALAISSPSKDLAVLDTPEWLEDW >Ma10_p15090.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27310587:27317930:1 gene:Ma10_g15090 transcript:Ma10_t15090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYSKNCLGQVPDSPTPSKRKESGRRGAGVGIPHSSSDTDGEGVDQLNQLSITRDSDVGINRLSRVSSQFLPPEGSRKVRVPLGNFELRYSYLSQRGYYPEALDKPNQDSFCIHTPFGTNPDDHFFGVFDGHGEYGAQCSQFTKQKVCENLLRNNRFHADAVEAIHAAFLATNSQLHADSLDDAMSGTTAITILVRGRTIYVANAGDSRAVIAEKRGKDIVAVDLSLDQTPFRSDELQRVKNCGARVLTLDQIEGLKNPDVQCWGDEEGDDGDPPRLWVQNAMYPGTAFTRSIGDSIAESIGVVATPEIFVMELTQDHPFFVIASDGVFEFLSSQAVIDMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITIIVAHINGLADTQSTGTGVNVSVRPLQQVVQVTGSESPSTLNWNSRTQRPRHEPSRIRLRAIESYLENGHVWVPPSPSHRKTWEEEAHIEQALHDHFLFRRLTDSQRHVLLDCMRRVDVKPGDVVVQQGGEGDCFYVVGSGEFEVLAVQDEDGKEVTKVLHQYTAEKLSSFGELALMYNKPLQASVHAVTSGTLWSLKREDFRGILMSKFSNLSSLKLLRSVEIFSKLTILQLSHIAEALMEVSFSDGQKILNKNEYLSALYIIQKGRVRLTYRPELLSPNACSLLSTLLDQGCHFQENDEHVVEMSEGSHFGQWAILGERISSLTAVSVGDVVCAVFTKENFDSAIGPLSKVQQDDLKSKDFQDSSKECTPNSDASTCKKLQCSDLEWKMSVYSTDCCEIGLVILKGSDTMQSLKRFSKRRIKELGKEDQVLKEKELMKSLNPSTGVPRVLCTCADESYVGILLNCCLACSLASILHSPLGEQSAKYYAASVIVALEELHKIRTLSFIEVFHRTF >Ma10_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27310587:27317500:1 gene:Ma10_g15090 transcript:Ma10_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYSKNCLGQVPDSPTPSKRKESGRRGAGVGIPHSSSDTDGEGVDQLNQLSITRDSDVGINRLSRVSSQFLPPEGSRKVRVPLGNFELRYSYLSQRGYYPEALDKPNQDSFCIHTPFGTNPDDHFFGVFDGHGEYGAQCSQFTKQKVCENLLRNNRFHADAVEAIHAAFLATNSQLHADSLDDAMSGTTAITILVRGRTIYVANAGDSRAVIAEKRGKDIVAVDLSLDQTPFRSDELQRVKNCGARVLTLDQIEGLKNPDVQCWGDEEGDDGDPPRLWVQNAMYPGTAFTRSIGDSIAESIGVVATPEIFVMELTQDHPFFVIASDGVFEFLSSQAVIDMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITIIVAHINGLADTQSTGTGVNVSVRPLQQVVQVTGSESPSTLNWNSRTQRPRHEPSRIRLRAIESYLENGHVWVPPSPSHRKTWEEEAHIEQALHDHFLFRRLTDSQRHVLLDCMRRVDVKPGDVVVQQGGEGDCFYVVGSGEFEVLAVQDEDGKEVTKVLHQYTAEKLSSFGELALMYNKPLQASVHAVTSGTLWSLKREDFRGILMSKFSNLSSLKLLRSVEIFSKLTILQLSHIAEALMEVSFSDGQKILNKNEYLSALYIIQKGRVRLTYRPELLSPNACSLLSTLLDQGCHFQENDEHVVEMSEGSHFGQWAILGERISSLTAVSVGDVVCAVFTKENFDSAIGPLSKVQQDDLKSKDFQDSSKECTPNSDASTCKKLQCSDLVWGMENERIFN >Ma10_p15090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27310591:27318934:1 gene:Ma10_g15090 transcript:Ma10_t15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYSKNCLGQVPDSPTPSKRKESGRRGAGVGIPHSSSDTDGEGVDQLNQLSITRDSDVGINRLSRVSSQFLPPEGSRKVRVPLGNFELRYSYLSQRGYYPEALDKPNQDSFCIHTPFGTNPDDHFFGVFDGHGEYGAQCSQFTKQKVCENLLRNNRFHADAVEAIHAAFLATNSQLHADSLDDAMSGTTAITILVRGRTIYVANAGDSRAVIAEKRGKDIVAVDLSLDQTPFRSDELQRVKNCGARVLTLDQIEGLKNPDVQCWGDEEGDDGDPPRLWVQNAMYPGTAFTRSIGDSIAESIGVVATPEIFVMELTQDHPFFVIASDGVFEFLSSQAVIDMVAKFKDPRDACAAIVAESYRLWLQYETRTDDITIIVAHINGLADTQSTGTGVNVSVRPLQQVVQVTGSESPSTLNWNSRTQRPRHEPSRIRLRAIESYLENGHVWVPPSPSHRKTWEEEAHIEQALHDHFLFRRLTDSQRHVLLDCMRRVDVKPGDVVVQQGGEGDCFYVVGSGEFEVLAVQDEDGKEVTKVLHQYTAEKLSSFGELALMYNKPLQASVHAVTSGTLWSLKREDFRGILMSKFSNLSSLKLLRSVEIFSKLTILQLSHIAEALMEVSFSDGQKILNKNEYLSALYIIQKGRVRLTYRPELLSPNACSLLSTLLDQGCHFQENDEHVVEMSEGSHFGQWAILGERISSLTAVSVGDVVCAVFTKENFDSAIGPLSKVQQDDLKYAIDLNLILLFSNFIAFSMYCIVASRSKDFQDSSKECTPNSDASTCKKLQCSDLEWKMSVYSTDCCEIGLVILKGSDTMQSLKRFSKRRIKELGKEDQVLKEKELMKSLNPSTGVPRVLCTCADESYVGILLNCCLACSLASILHSPLGEQSAKYYAASVIVALEELHKDSILYRGVSPDILMIDQLGRLQLVDFRFSKKLAGERTFTICGNADCLAPEIILGRGHGFAADWWALGVLIYFMLQAEMPFGSWREGELETFAKIAKGHLTLPQSFSIEVVDLITKLLEVDEAARLGSQGPDSIRSHSWFEGLDWKSIADGSFPVPAEVVSRVDMHVENNAEDTALAISSPSKDLAVLDTPEWLEDW >Ma08_p30030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41438327:41444504:-1 gene:Ma08_g30030 transcript:Ma08_t30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine 5'-monophosphate synthase [Source:Projected from Arabidopsis thaliana (AT3G54470) UniProtKB/Swiss-Prot;Acc:Q42586] MESVITELHEIEAVKFGSFKLKSGITSPVYVDLRLIVSYPSLLARIADLLYTTAAAAVSSPIDLVCGVPYTALPIATGISLARSIPMIMRRKEVKDYGTARSIEGAFRPGQSCLVVEDLVTSGTSVLETAAPLRAAGLRITDAVVVIDRQQGGRENLADNGIRLHALVTLSDVLRVLVTQGKVSEDKAAEVRAFLDANRRVAVSTPAVTKPIVRLPYGARAAMAKNPTGKRLFELMEAKQSNLCLAADVSTAKELLDLADKVGPEICLLKTHVDILPDFTSDFSSQLRAIAERHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVDGLKLKGLRQGRGLLLLAEMSSAGNLATGDYTSAAVKIAEEHSDFVIGFISVNPASWQGGPANPAFIHATPGVQMVSGGDSLGQQYNTPYSVIHDRGSDIIIVGRGIIKATNPAEAAREYRAQGWDAYKAKCP >Ma08_p27110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39484189:39485492:-1 gene:Ma08_g27110 transcript:Ma08_t27110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRSAAMAAGRSVAVRSKSILPKPAPSRRAAPFVRRSIAPALGSVDSLMPLHSAIAAARLRSFIAVDSSCWSWLSQGLNKRI >Ma08_p27110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39484192:39485492:-1 gene:Ma08_g27110 transcript:Ma08_t27110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRSAAMAAGRSVAVRSKSILPKPAPSRRAAPFVRRSIAPALGSVDSLMPLHSAIAAARLRSFIAVDSSCWSWLSQGRALPL >Ma08_p27110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39484189:39485492:-1 gene:Ma08_g27110 transcript:Ma08_t27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRSAAMAAGRSVAVRSKSILPKPAPSRRAAPFVRRSIAPALGSVDSLMPLHSAIAAARLRSFIAVDSSCWSWLSQGLNKRI >Ma09_p01540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1131534:1137712:-1 gene:Ma09_g01540 transcript:Ma09_t01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor B [Source:Projected from Arabidopsis thaliana (AT3G25040) UniProtKB/Swiss-Prot;Acc:Q8VWI1] MNIFRLAGDMTHLMSVLVLLLKIHTIKSCAGISLKTQELYALVFATRYLDIFTDFISVYNTIMKLIFLGSSFSIVWYMRRHKLVRRSYDKEQDTFRHFFLVLPCLLLALVMNEKFTFKEVMWAFSLYLEAVAILPQLVLLQRTKNIDNLTGQYVFLLGAYRAFYIFNWIYRYFTEPHYVHWITWISGLVQTLLYADFFYYYFNSWKNNVKLHLPA >Ma09_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1131534:1137712:-1 gene:Ma09_g01540 transcript:Ma09_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor B [Source:Projected from Arabidopsis thaliana (AT3G25040) UniProtKB/Swiss-Prot;Acc:Q8VWI1] MNIFRLAGDMTHLMSVLVLLLKIHTIKSCAGISLKTQELYALVFATRSYDKEQDTFRHFFLVLPCLLLALVMNEKFTFKEVMWAFSLYLEAVAILPQLVLLQRTKNIDNLTGQYVFLLGAYRAFYIFNWIYRYFTEPHYVHWITWISGLVQTLLYADFFYYYFNSWKNNVKLHLPA >Ma10_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30819805:30838784:-1 gene:Ma10_g20770 transcript:Ma10_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MELAAVAATLRRRANPRILMASLLSFHCRQRCRRLGVRFLCSDGHAFVSQPPRPPPSERAAESFHTRKVLGTFREDFEVGSRIISIETGKIARFANGSVVINMGDTNVLSTVASARGDGARDFLPLTVDYQEKQYAQGVIPTTFMRREGAPKERELLCGRLIDRPIRPLFPSGFYHEVQVMVSVLSSDGKQDPDVMAANATSAALMLSDIPWNGPIGVIRVGRIDGKFIFNPTMDELSLSDLNLVYACTMDRTLMIDVQAREISEKDLEAGLKLAHLEAVKYIEPQIRLAEKAGKKKKEYKLSMISDVTLEKIRNLAEAPIQVVFTDSAYGKFERGEALDKITQTVKAKLEEECDEESLKVLPKTIDTVRKQIIRRRIIDEGLRVDGRKLDEVRPLYCESGTYPILHGSSLFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVGKRGGLNRREVGHGTLAEKALLAVLPPEADFPYTVRVNSEVMASDGSTSMATVCGGSMALMDAGIPVRVHVAGVSVGLVSDVDPSTGRIINYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIICECLEPAQKGRNQILDRMEEEISAPRTLGDGSTPRLATLKFAADSLRRSLGFLITQRKRIEQETGARVSVNDGAVTIVAKNQSIMQKAQEKISFLIGGEIEVGGIYKGVVSSIKEYGAFVEFNGGQQGLLHISELSHEIVSRVSDILSIGQELSLMCIGQDVRGNIKLSLKATIPKQDSLNDNLDGQASVVPANQAVNVWASPSLENTTRMENLRSLNDVQDESNELQSATCSSPAVVIRSAAECDAQDLAAGKHITTKRRKLSRSSPRPYNASLPCQDVGESPKNRDDASLKKRRQTKKEKEMDAFSGTKQKSDRDDASLPCQDVGESPKNRDDASLKKRRKTKKEKEMDAFSGTKQKSDSTLKTVSLAYDVDEKPKPTIRADSLKLGDTVTAKVYQIRAHGLVLELNGGIRGMFKFEVNGRRDFEVGKELVVRCSSFSSKGIPVFSLLKDE >Ma09_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11671412:11675723:-1 gene:Ma09_g16300 transcript:Ma09_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRGALLRHLRVRVDSQAAPVAAFSFAGLIRRRFSDEAMGSFLDKSDVADRIVTVVKNFPKVDPSKVTPNSHFHKDLGLDSLDTVEVVMALEEEFGFEIPDNEADKIDAINVAVDFIASHPQAK >Ma00_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13800412:13809929:1 gene:Ma00_g01960 transcript:Ma00_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMANQVQEWERYYINYNLMKKMVEQFVQQMQQGRKDRHHVLKEFSKMLDDQIEKTVLFFLEQQGILACRIQELDEQQTKLLEHPDISEISELREAYAAAGHDLLRLLRFLDLNATGIRKILKKFDKCFGYKFTDYYLSTRSNHPYSQLQQVFKHVGIAAVVGALSRKLADLQEHQGSYLSIYDQPSTTLTDPVIHLIHASVHKLTHSTNFLQYLGQHALIVQEDASSSEEDQVDDKRYNFTSLLLNLANTYLYMVNTYIIVPTADHYSMHLGAAATVCGVIIGSMAVAQVFSSVYFRAWSNRSYFKPLVFSSIVLFLGNTLYALAYDLDSLTILLIGRLLCGMGSVRAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYALTINQDTLSGWVMSFAWLAYLICLWISFKEPIHDNKVKHLSPDVDAGSMEVEALESGLVHPLLQSCEHKQHEDGDEECNDREEASEDSHKPATSVASAYRLLTPSAKVLLLIYFMLKYVIEILISESSVITSYYFGWSTSSVAAFLAILGLTVLPVNAIVGSYISNMFEDRQILLGSEILVFLGVIMSFQFTNSYVVPQYVCSALITFLAAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNVTLFPSLFICIVSILCTFVTYNSLF >Ma07_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30393658:30394714:-1 gene:Ma07_g22470 transcript:Ma07_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNQMACNRMPLFFPANLVLQTAHEENHQQQLHTSTSPLLPADPPRFGGEKQQYLASCGMGNRSIPFSRVEACEEMNVEEDLSDDALQPGGEKKRRLNSEQVRTLERNFEMGNKLDADRKMELARALGLQPRQVAVWFQNRRARWKIKQLEKDHDELKRQLEIMKTENEALQARNKKLLSELLALKDREPSEPINLNKETEGSSSSDTDLDFSRRRPSSVSQPRRSSKSETAKNEGFCNMFCNTDEQSALWAWSEQHNYHH >Ma07_p22470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30393857:30394714:-1 gene:Ma07_g22470 transcript:Ma07_t22470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNQMACNRMPLFFPANLVLQTAHEENHQQQLHTSTSPLLPADPPRFGGEKQQYLASCGMGNRSIPFSRVEACEEMNVEEDLSDDALQPGGEKKRRLNSEQVRTLERNFEMGNKLDADRKMELARALGLQPRQVAVWFQNRRARWKIKQLEKDHDELKRQLEIMKTENEALQARNKKLLSEA >Ma03_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2602532:2606789:1 gene:Ma03_g03990 transcript:Ma03_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRGPKWPKCPSFRVVHSAQEIVEARGRRAPNDPTFESAQSFSSPNTSAATVPLTHDRRLPSPLPGQLHRGASPPQATPRPAPPGCGFPTRGPPPATTAAAPSLVRLLLSLLGNQRPTALRDPRECTNLDISFRYSSMAVSQLYGSGLAAKWSMRSLEGLRPLPLWPRVASSGSPDLKSNYFKVPAIRVSNISGRKLTVSMGLNGSSPRSSIVANAATRYAEDKPDQADEPLKTNPTVKSINEKLDMDAHVQEEAVVPTKRSAKIHDFCFGIPFGGLLFAGGLLGFIFSRNATAMIYGGAILALSVLSLKVWRTGRSSLPFILGQAAFSAALLWKLLQAYSLSKKVFPTGLYIFFSAAMICFYSYVLISGGNPPPKKLAAAPPS >Ma01_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28699183:28699866:-1 gene:Ma01_g23630 transcript:Ma01_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVTGKYETGKDDGKTTTTLSINAKFSDASLRATVSDALVLGTAARSPPTLSFSLQKPNSFSIDYSPTKAGTDQKDDLPQAKFKFMNSIKLMEKTVRMTYSHALQERRTEVDGSVEFNEDNKMAVHHVVGTEECKLKYTYQHGERQKTMVEPTYYVAANTWDLVVARKLDRGDALKASYQAAAKKLELEWSRDSNVNGTFK >Ma08_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33773262:33774837:-1 gene:Ma08_g19890 transcript:Ma08_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVLFRAWFLAALVAAASANFLSDVEITWGDGRGKILESGNLLQLTLDRASGSGFQSKQEYLFGRFDMKMKLVPGNSAGTVTTYYLSSLGPTHDEIDFEFLGNVSGEPYVLHTNVYSQGKGDKEQQFYLWFDPRLAFHTYSILWNPQQIVFYVDGTPIRVFRNSESLGVPYPKSQAMRLYASLWDGDDWATRGGLVKTDWSQAPFVASYQGYAADACVSSAGRPSCSPSRGNWWDQGLDSGALQKLKWVRDNYMIYDYCRDTKRFPQGFPPECSSPRN >Ma02_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22567960:22569522:1 gene:Ma02_g14520 transcript:Ma02_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSICLHRALLGLFFLCLPLLSASEYYRPSNPRLEKAYVALQAWKHSITSDPKGFTENWCGPHVCNYTGVYCAPAPDNPHEFTVAGVDLNHGAIEGTLPEELGLLADLAVFHLNSNKFHGALPSSLECLKLLYELDVSNNQFEGSFPSVVFQLPSLKFLDIRYNRFCGDVPSCVFDLKLDALFINNNQLTFSIPDNIGNSPVSVLVLANNQITGCFPKSIANMHETLRELVILNTGLRACIPPEIGRLDKLRVLDVSYNHLVGPLPESIGGMRKLEQLDVAHNKLSGEIPCSICDLPRLKNFTYSYNYFCGEPPQCLKIRSHDDRKNCIPYRPDQRPPEQCMAFLSKPKYCDSNGCIARPPPPPPPPSSPPPPHHHY >Ma09_p10570.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7178434:7182410:1 gene:Ma09_g10570 transcript:Ma09_t10570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPPSVATPSSFLPSLPTPRFVAPFHAKIGSLGVLHPSRTRICGWRSGRRKEIVVKAREEEDAFAMAPRSMDVEAELYEFMRRSAKPMDFPTRDELVAAGRADLAETVAAQGGWLTFGWDLDDGGEEVIDGSQSMSDVAQEDGEVYQERVLNGSLGTNPATALGCEDRSAAPSFSGRSLEMENLEDGGVEGILSRLEKERSFSLALASRGKVVNGRDSWRNAVHDPGDTGGKLEDVNGTKSSVPDVEELEHSKGSC >Ma09_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7179370:7187355:1 gene:Ma09_g10570 transcript:Ma09_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETHGGMLCMIQEIQVGNWKMLMAQKVQCQTWRSWSIQRAGFSIAEFDSTFTNCALQFKFLCQFMSQTLCFAVAETVPTDDRKMLEDDSLKVEWSTMHIQTQNSFMSHNGMKESFADKSQRHLHLQHLEADLSSALWLVRSRANGVVSHKHQGNSIDELHRPSDAWEFQETEIVNARDKLQSVRAKLAILEGNLSFKIMEARKVMEERQKRIDAAQNALHLLRMAYIVWPNSASEVLLAGSFDGWTGQRRMERSSSCIFTLQLKLYPGRYEIKFIVDGVWKTDPLHPIVHNNGHENNLLIVD >Ma09_p10570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7179370:7187779:1 gene:Ma09_g10570 transcript:Ma09_t10570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVETHGGMLCMIQEIQVGNWKMLMAQKVQCQTWRSWSIQRAGFSIAEFDSTFTNCALQFKFLCQFMSQTLCFAVAETVPTDDRKMLEDDSLKVEWSTMHIQTQNSFMSHNGMKESFADKSQRHLHLQHLEADLSSALWLVRSRANGVVSHKHQGNSIDELHRPSDAWEFQETEIVNARDKLQSVRAKLAILEGNLSFKIMEARKVMEERQKRIDAAQNALHLLRMAYIVWPNSASEVLLAGSFDGWTGQRRMERSSSCIFTLQLKLYPGRYEIKFIVDGVWKTDPLHPIVHNNGHENNLLIVD >Ma09_p10570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7179370:7185640:1 gene:Ma09_g10570 transcript:Ma09_t10570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVETHGGMLCMIQEIQVGNWKMLMAQKVQCQTWRSWSIQRAGFSIAEFDSTFTNCALQFKFLCQFMSQTLCFAVAETVPTDDRKMLEDDSLKVEWSTMHIQTQNSFMSHNGMKESFADKSQRHLHLQHLEADLSSALWLVRSRANGVVSHKHQGNSIDELHRPSDAWEFQETEIVNARDKLQSVRAKLAILEGNLSFKIMEARKVMEERQKRIDAAQNALHLLRMAYIVWPNSASEVLLAGSFDGWTEKDGKIKFLYLHFTAKIVPWPV >Ma09_p10570.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7179370:7187779:1 gene:Ma09_g10570 transcript:Ma09_t10570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVETHGGMLCMIQEIQVGNWKMLMAQKVQCQTWRSWSIQRAGFSIAEFDSTFTNCALQFKFLCQFMSQTLCFAVAETVPTDDRKMLEDDSLKVEWSTMHIQTQNSFMSHNGMKESFADKSQRHLHLQHLEADLSSALWLVRSRANGVVSHKHQGNSIDELHRPSDAWEFQETEIVNARDKLQSVRAKLAILEGNLSFKIMEARKVMEERQKRIDAAQNALHLLRMAYIVWPNSASEVLLAGSFDGWTGQRRMERSSSCIFTLQLKLYPGRYESR >Ma09_p10570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7179370:7187779:1 gene:Ma09_g10570 transcript:Ma09_t10570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETHGGMLCMIQEIQVGNWKMLMAQKVQCQTWRSWSIQRAVAETVPTDDRKMLEDDSLKVEWSTMHIQTQNSFMSHNGMKESFADKSQRHLHLQHLEADLSSALWLVRSRANGVVSHKHQGNSIDELHRPSDAWEFQETEIVNARDKLQSVRAKLAILEGNLSFKIMEARKVMEERQKRIDAAQNALHLLRMAYIVWPNSASEVLLAGSFDGWTGQRRMERSSSCIFTLQLKLYPGRYEIKFIVDGVWKTDPLHPIVHNNGHENNLLIVD >Ma04_p35290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34136972:34138855:-1 gene:Ma04_g35290 transcript:Ma04_t35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSVHERVLLIYLYACSWQIPLPLCESIKSLFVQRGVRNTRKERAKGEAMEKAEAKAPDVSLKELSNRLEEFAKERDWEQYHSPRNLLLAMVGEVGELAEIFMWRGEVAKSLPNWEESEKEHLGEELSDVLLYLIRLSDICGIDLGDAATKKIVKNAIKYPAKAT >Ma07_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30906699:30919925:1 gene:Ma07_g23160 transcript:Ma07_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQLGEQSFPPPVTSPGNQSSASPRQDASVETQSMFSTSGISSWARNLKFPQSSGQEDLQSGNAGKSTFSRITSGFGFRLSPKSSQSEDDVAEGSSTTTQPGVLGSLTKGFVDTSRSAVKAVQVKARHIVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLITSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMEYYNQKRCIDGKGLVLPSQIRYVKYFERILTYFNGENQPNRRCMLKGFRLHRCPYWIRPSITVSNHNGVLFSTKKHSRTKDLMPEDFWFSAPKKGIMVFALPGEPGLTEVAGDFKIHFHDRQGDFYCWLNTTFTENRKILNTSDLDGFDKRKLPSPGFQIEIVLVDYDASNSPRQIGSNANKESAPDTTSATATKEIRTPPSKVDSDSRSQDKDDVFSDSEAEETGSSKRRRDKVAGDTDGSTVTAKGAEMKSSKEEATAIAQAIGQVTLKNEAGAKNVPDSSDVKIEGSSKSLPVETPELGSTTMSEFKAIAADASVFSFGDDEDYESE >Ma09_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12454907:12455812:-1 gene:Ma09_g16960 transcript:Ma09_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKPAICLCTLLCFSWILSLVAVPLPAGKLDLRNQKISVMKVADQVINRKILINEKAIADRRMDIELNDYPVSGANSRHDPKSPGKP >Ma09_p16960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12454907:12455812:-1 gene:Ma09_g16960 transcript:Ma09_t16960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKPAICLCTLLCFSWILSLVAVPLPGKLDLRNQKISVMKVADQVINRKILINEKAIADRRMDIELNDYPVSGANSRHDPKSPGKP >Ma04_p31190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31570070:31572882:-1 gene:Ma04_g31190 transcript:Ma04_t31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYDDIANSPLNPRPGVIINHPQGHDVYAGVPKDYTGKQVTSKNLYAVLLGIKSAVTGGSGKVIDSKPNDRIFIYYSDHGGPGVLGMPNMPYLYAVDFIEVLKKKHAMNSYKEMVIYVEACESGSIFEGLMPKDLNIYVTTASNAEESSWGTYCPGMDPPPPPEYITCLGDLYSVAWMEDSETHNLKEETVSKQYEAVKVRTSNYNTYSVGSHVMEYGDKNIKPEKLYLYQGFDPANANITENGLSQRMQMGTINQRDADLLFLWKRYERLAESSEDKRRTVMEITETMMHRTHLDRSIDLIGKLIFGSNSGPAILRAVRPYGQALVDDWDCLKSMVRSFESHCGSLTQYGMKHMRAFANICNRGISRDAIKEASASACGNYNSAMWSSSMRGYSA >Ma06_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2647061:2648648:1 gene:Ma06_g03660 transcript:Ma06_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIESGMVVPAEETPTHSIWLSNLDLLVGRSHTPTVYFYPPSAARPIGGFSFAVEALKAALAKVLVPFYPLAGRLGLDPAGRVEIQCTGEGALFMVARSASAMDDVLDDFAPSDTIRQMLVPSVESGNAPCPLVMVQVILFKCGGVCLGVAVHHTAADGLGALHFVNSWSDIARGINVSAPPSLDRTLLRARSPPTVLFDYVEYIQKPAAKPPTGKAPFDTAILKLSKEQLHLLKGSGGGKKGLSTFKAVTAHVWRSACKARKLGGDQETRLYMTADARTRVKPPLPAGFVGNGIFRTSAVAKAGEILSNSLEFGADKINDATVRLNDDYIRSLIDYLELQRDVRGLQKGLWVIPGTDLWVISWLGLPIYEADFGWGKPAIMVSATLQFSGLVYIMHDSGVEGGLSLAVAMEPENMPLFKQVFYEELEALKQS >Ma11_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5128149:5131428:1 gene:Ma11_g06330 transcript:Ma11_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSKRLLAAGGGGRRSSDGGFRSPVLLLLFLFVLAPSLFFAARGSIRAAPISPDDTSSPNFSSHRIISLTYLLHFLSRNATLFCLVSHLQTLLPSSYWREQYLLTAFGICAH >Ma07_p27950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34109364:34109939:1 gene:Ma07_g27950 transcript:Ma07_t27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAMSLLLNHPEALKKVHDEIETHVGHQRLVIDSDLPQLRYLNNVIRETLRLFPPGPLLVPRESTMECSIGGFHVPRGTMLIVNAYKMHRDPALWTDPAEFKPERFESGEGEGYKYVPFGVGRRRCPGEAMAMKAVGLVLASLVQCFEWKRLGEEEVDLNEGEGLSMPKAIPLEVLCKPRQAMMDVLPQL >Ma09_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10190434:10194938:-1 gene:Ma09_g14880 transcript:Ma09_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENTADASKFFRFVPEKLEDLEEHVIGFFKCLPTIPIICLSMLGSDYANLIGEMLLLPSFFPAWILLSRLLANSQPVVMLLPVNLLHEEVQLEDISDQKFSDADTGSIKHWHCPWGYTVIDCILPSYKQLLEENFLLLSNTTFAAADIQMKNASWWSQRTMLNNRLNKLLKSMEISWLGPWGCLLLGERSVPDRIEKLVHKLISVLKSEGKFEINYTLISAIIGGAKSVADAESCINQLLLYKGYFGRGACCGEERFRAFSAVAQTGLATEFIHDLIKEALAEYAEQVAREPVIIVLDSDVQMLPWENLPILRSQEVYRMPSVSSIFLTLDKSCSHHKRDSGFGTIIPAIDPLNAYYLLNPSGDLNDTQLEFEQWFRNQELEGKAGNLPDTKELIMALQNHDLFLYFGHGSGTQYIPEKEVQKLHHCAATLLMGCSSGSLLHRGCYAPQGAPLSYLFAGSPSVIANLWDVTDKDIDRFGKALLKSWLHEELVALSNSTRDGQLVQQFSCMSMDDDVALTSVKTRRKVYDGKLRNLSDGSNRGCRRNRIASFMSQARDSCKLPMLIGASPVCYGVPTVIWKKSPS >Ma04_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25835747:25839197:-1 gene:Ma04_g23720 transcript:Ma04_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPEQRQKPDPSAATKGFFRHLGLFEMLPESADKKDFFSDLIRSILKVDRVEPGRVTCTLTVRSAVTNPYNTLHGGAVAAVAEMVSLACAKTAAGDKDFFLGESSTAYLSAARLNEDVEVNASILRQGRNVVVTSVDFRTKKTGKLLFTSRSTFYIMPVSSL >Ma02_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20082921:20086236:1 gene:Ma02_g10560 transcript:Ma02_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRIALFASGLAVLLLLVQGSPPGPVVQCRSGNTNCTVTNGYGAFPDRSTCHVAAVAYPSTEQELLFAVSDATAKQQHMKAVTRYSHSIPKMSCPGGPSGQGLVISTQRLNRSVSVDMATSRMTFEAGITLRQLLNAAAARGLALPHSPYWQGVTLGGLLSTGSHGSSLFGKGSAVHEYVVGMRLVVPSPVPVNGYYAKIVNLGEDDPDLLAAKVSLGVLGVISQVTLQLEPMFKRSITNRVVGDVGFEQTISSYAVTTYYGDLSWYPSQGRVVYRDDIKVPITTKGKGVNDHLFLRAQPTLDVASTRASEELLELTGNAGGKCVLSRSHVDTQIANGMGLKNNDGGLSDFTGYPVIGNQSDMQSSGSCLRSAEDNLLTACAWDPRFAGSFYHQTAISIPFTTVADFIADVKKLRDAHPNALCGTELSLGFFMRFLRNSTQDPNVTLQYPLHEWDEEARNNSAVINAIVWRDIVR >Ma04_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2837474:2839278:1 gene:Ma04_g03660 transcript:Ma04_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g52630 [Source:Projected from Arabidopsis thaliana (AT5G52630) UniProtKB/Swiss-Prot;Acc:Q9LTF4] MPLLRLTHTPYLPTSAPALADLLLSLSAARSLPKGQQLHAHLLKSGLLSSPAAATRPLSNHLITFYARCGLPVLSRAAFDDSPSPRPAAAWSALLASLAQNGLPLPAIAAFRSMLLFAVPPSDRSLPSAAKAAAALSSPSLARSLHALALKTPFAEDVFVGSSLVDMYAKSALLAEARRLFDLMPQRNVVSWSAIIYGYAEAGLDPDALRLFKMALAELEPPGVNDFTYSCIIRVCSTATLLELGSSIHAHCFKTSFDSSPFVGSSLISLYSKCGIVEEAYELFDQMPDRNLGAWNAILIASAQHGHIHVAFHRFQEMKAAGFSPNFITFLCLLTACSHAGLVDEGKRYFALMSEYGIEPGAQHYAAMVDLLSRAGRITEAVAFIEEMPIEPTESVWGALITGCRIHKDADTAAYAATKLFETGSSSSGAHMLLSNAYAAAGRYADAAQARKAMRDRGVRKETGLSWLETAGKVHTFVSGDRRHPRGDEIYAVLEEVGERMEKAGYVPDTSQVLRDVGGEEKRAAIWYHSERLAIGLGLLVVPEGQPIRVMKNLRVCVDCHTAIKYLSKCTGRAIVLRDNNRFHRFEDGACSCGDYW >Ma06_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9144305:9152030:1 gene:Ma06_g13350 transcript:Ma06_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSTRLFTPPVATKWSQIAMPWTRRLFSTSRALPPRHRWLLGMGSAPHHDRLRHVGSGGISRLNAVVLGEALAAEENDLVFPSHDFSRHAHISSPEQYQNMYERSIEDPAGFWSNIASQFCWKKRWNPEVCSENIDVRRGTVKIEWFKGGVTNICYNALDRNIEAGNGDKVALYWEGNEPGQDAQLTYAQMLEKVCQLANYLKNVGVGKGDTVIIYLPMLMELPIAMLACARIGAIHSVVFAGFSAESLSQRIVDCKPKAMISCNAVCRGAKVIHLKEIVDTALVESVNSGVPVDLCLIFENKSAMKRESTKWQIGRDVWWEDVVPQFPTKCLVEWVDAEDPLFLLYTSGSTGKPKGVLHTNGGYMVYTATTFKYAFDYKPSDVYWCTADCGWITGHSYITYGPLLNGATILVFEGAPSYPDPGRCWDIVDKYKVTILYTAPTLVRALMRDGDEYVTRYSRKSLRILGSVGEPINPSAWRWFYNVVGDSRCPISDTWWQTETGGFMITPIPGAWPQKPGSATFPFFGIQPVIVDEKGNEIEGECTGYLCIKKSWPGAFRSLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPHCAEAAVVGVDHEVKGQGIYAFVTLVDGIPYSEEVRKSLILAVRNQIGAFAAPDKIHWAPAIPKTRSGKIMRRILRKIASRQLDELGDTSTLVDPSVVDQLIALIDH >Ma11_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16297723:16307361:1 gene:Ma11_g12390 transcript:Ma11_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDGGGGGETPTVPPNMTIYINNLNEKIKLDELKKSLHAVFSQFGKILEVLAFKTLKHKGQAWVVFEDVSSATEALKRMQGFPFYDKPMRIQYAKTKSDIISKADGTFTPQERRKRHDERDRKKREQHHDANQAGTGINSAYSGAYGAVPPLAQMAYGGGAKSMLPETPALPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEMQSTVAMQGLQGFKITQQNPMLITYAKK >Ma11_p12390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16297723:16307361:1 gene:Ma11_g12390 transcript:Ma11_t12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDGGGGGETPTVPPNMTIYINNLNEKIKLDELKKSLHAVFSQFGKILEVLAFKTLKHKGQAWVVFEDVSSATEALKRMQGFPFYDKPMRIQYAKTKSDIISKADGTFTPQERRKRHDERADRKKREQHHDANQAGTGINSAYSGAYGAVPPLAQMAYGGGAKSMLPETPALPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEMQSTVAMQGLQGFKITQQNPMLITYAKK >Ma06_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:420317:421159:1 gene:Ma06_g00550 transcript:Ma06_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNETWSESGGGRGSSPYLHHLLYPPPSSAHVQPEESKAPQETKPELCPDNCGGNQQGVLGHVRRPRGRPPGSKNKPKPPVIVTSDSPNALRANVIEVAQGADVMECVTEYALRRGRGVSILSGGGAVSYVALRQPGSSLSGETVAALRGRFEILSLTGTVLPPPAPPGAGGLTVFLAGGQGQVLGGTVTGPLVAAGPVVLMAASFANAEYERLPLEVGDEKAAAAATARGQQHAVSQSSEVTGSRGEEGGGSVGVPFYSLGGSYQFAGDAFGGVRPPF >Ma02_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18827776:18830812:1 gene:Ma02_g08510 transcript:Ma02_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANHHHHQPPLPMLPPRQHPRSAGLQTSLSLASSDPAASLDTQEPGSNSDQGQDSHTESASSRETWPIETNRSDAIAVNKVDKEKEGENEVAELQVVRRISNANRLSLHEVARDRVEVVSEKMKVMPDELLEELKTELRVILEGTGGSHHIEEFLYLQKLVQGRVDLTAKSLATAHHVQLEILVSINTGIQAFLHPSVSVPQGRLIEVFLYKRCRNIACQSVLPADECSCEICTSRNGFCNLCMCVICNKFDFEVNTCRWIGCDTCTHWTHTDCAMRVGQIGTGQSVKSAVGHAEMLFRCQACHRTSELLGWVKDVFQQCAPGWDREALMRELDFVSKIFHLSQDPKGRKLYRKCGELVEKLKGGIPESMACRMLLLFFQELELDSPKNSESDEVGRLISPQEACNKIAEVVQEAVRKMEMVAEEKMRMFKRARLALEACDREVEDKAREVQELKMERQRKKQQVEELESIIRLKQAEAEMFQLKANEAKQEAERLQSIASAKSEKAEDYASMYLKRRLEEAEAEKQYLYEKIKLQESQRAPPGSSSGGGGSGDPAQAQMLNKIQDLLKNVFSVPPKKEGQQSK >Ma09_p25530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36965877:36970277:-1 gene:Ma09_g25530 transcript:Ma09_t25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISSLPFSHRSANPIDPSSSSSSFPLTQEGRRRRRRGVQNNNKNSVRMGISSNSSSNWQWQRALGQLFNREGAASLLSVAASEPRLALPHLSVPDIRWVDWPALHRLGFRGVVFDKDNTLTAPYSLSLWPPLDPSFRLCRSAFTAGSIAVFSNSAGLKQFDPDGEEAKALEKSIGGIHVIRHEVKKPAGTAEDIERYFGCPASLLVMVGDRIFTDVVYGNKHGFLTILTEPLCLSEEPFIVKQVRKLERLLVNSWHQKGFEPPKHALLAEAKQCIKAPSS >Ma05_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19347624:19347802:-1 gene:Ma05_g17130 transcript:Ma05_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIMCFRSSARSSVAASDHGSLLERLMEEL >Ma01_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27340785:27341006:1 gene:Ma01_g23180 transcript:Ma01_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRIYTWYTSIGQYISYCWSVGTLVWTKKVNPGLNNVYLCTLVKMFEMCAKSIAILQFADYVAEKRKKKDQT >Ma04_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14795674:14799600:-1 gene:Ma04_g16090 transcript:Ma04_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQKRGAASRGEPLPDLTDFMNDWFFGSVNVERRTYDLGGGSAKKEEEDRGGATKHTSRLTQEWLEEARKVVAGSPPRPGSPARFLGSPRFAAEEATESSPLVDRRDPLSRSARRHRSFDGISDEILRRSSSRVGRTTSSESLLPPSETHSAATGDPHPASDGGGRPPPQSLPPKQPYHRTSRFREETPSEPLSPAATSRRTFRSRSPAPPPAEAEEDAAQRQPLLSPPRNLVESAFRRSVSSITCSLETLTGLEKEKGSDRRKAISSSLKACNRLPESSPPENMRQINAFLRRQRKMIGRISRGEASAKAKIILSGSSNSTTSSMVAAICYAWLLWNREEKEVVVVPVENMERRRMTNHKQAAWLFYHVGIDASALLFADEVDLENLLMANKLAMLVIGQDVLKTNNEVGSLCTILTDNYCEEAYDLLQNPNLKKLLLAGILLDTQNLDDTAQFVTKRDEEAVRLLSVVMQENKENLFLEALKNNYGMLSCETKEKSPPVQAPIPVPLPVKAPETNSRGKSKFFLAKWFGFGSK >Ma05_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33560683:33566136:1 gene:Ma05_g21780 transcript:Ma05_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARLNGGDRPTEEGASICINDALTDDELRAVFAWLEKEDERDLFGLVCKRWLRLQSSERRRLRARAGPAMLRRMTDRFPGLLELDLSQSASRSFYPGVTDSDLAVIAAGFWNLRVLDLQNCKGVTDVGMISLGNDLPSLQSLDVSQCRKITDKGLVAVALGCSSLKRLHVAGSKSVTDELLKALSRSCSGLEDLGLAGCNNITDTGLSALADGCRYINSLDISKCTKIGDIGVSRIAEVASSSLKILKLLDCFRVGDESIFSLAHFCHNLETLVIGGCRDVTDESIKALSLACCDSLRSLRMDWCLNITDSSLNSVLSNCGHLAALDIGCCDKLTDLAFQSVGMGGFESQLKVLKMSNCMKITVSGVGSMLKFCKSLEYVDLRSCPHITRLGCQQAGLQFPECCKTNYDGSLSENETIVDVFF >Ma11_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12360503:12373408:-1 gene:Ma11_g11150 transcript:Ma11_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVLSPLRVQTHASRRRLAHFLPPPERVLSDPRLGFVCEELRSLDHLPTTPPRRQEESSTPARGRNVGGVVEVSHPWPEWVQLMEHLLRKGYLDRSALRVGDASSSSSLSASKDSNLIRTACLNFARDRFDIIRYLSRRDIHIIGQCGCPSKDRKVVNSGKRLRAHVGIQEGDVCSSCSLRVSCERAYVKAREDEVGRTVDVMRILLTYGLDIITGSVENSACLNKTIKESVKKLLNEMVEFSSKELDVNSLTLTSKRPLHRQSKANGHQLFKGQLSVPTKQGDWICPKCNFLNFAKNITCLRCNGQFQERFKMLQLQEEHGHLPLKKGDWICQKCNFLNFAKNIECLQCHEKPHNRQLNPGEWECISCNYINFRKNSVCLRCDWKRPKAINNGDFVRSEHGSQVDRKSYSFSFVRDSDIDAKKVVTQKEDSDFWRSSEDECSDYGDNRFNSWKGFNNFPIIGGRTAVSQDATVRQRWKEKMSRRHRDPSGECVEESDHDVPSTPSSDMDLDNCSSEDDTAEWFGSGKLSHRFHKSSR >Ma07_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27648862:27649940:-1 gene:Ma07_g19680 transcript:Ma07_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICIDTGCLSSSFLTFLSPKGGKVGDQTESASGREHSLKGDHFGFVLGEMERVSLHLLVILLALSHLLVSSQAIPATEKEPKDLATISEPLKVVEDDAATEDVVGGRMNVEISDYPISGANNRHTPPGRS >Ma03_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19916318:19932211:1 gene:Ma03_g16760 transcript:Ma03_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MASSSPPPAQAPVLSPPNPPRPQQLPQPLPVAAVKSEVPVAEPRDPPASVSSIPLEPSPAAVAASYTITIPSCSGWFSWDQIHDTERRVLSEFFDGKSASKNPGVYKYYRDSIIRRFRGNPSRKITFTDARRGLVGDVGSIRRVFDFLEEWGLINYTPLAKPSAKKGEMGDDSEKKETPRRICSHCKSSCNMACFTTDKADIILCARCFVRGDYRPGLSSTDFKRVDITEETKADWTDKETIHLLEAILQYGDDWKKVAEHVGTKSEIDCVARFIKLPFGEQFLGPEEVGEYGKPHQKNDKVVTVPEGENVPEQSLSKRMRLTPLADASNPIMAQVAFLSAMVGSDVAKAVAQAAISSLHKVDIAGGISASDDRLQSAASNGAKEEEAVAASNGQTSSDVLNEAVAEAQSQLKKEEQDVEQYLSDVVQVQMKEIQDKIVHFEELELLLEKERLQLRHMKDLLFADQLAIMQHKMQLLSKGNEKGEKVKQTNHVP >Ma03_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7146628:7151006:-1 gene:Ma03_g09580 transcript:Ma03_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDASAVVQVSRIKEGETSDCEGDIEGRRDGSKRMKMRDLESVLGVEGIRSCSLDSSTTNELKVPGHDHKEERHSEILVATTSVLSDTLASETTCHSSVEHVPACLTLGPAGSTNPFILGHENVPISAKEISRQSFPIEKNEGEQGSTKMTGLTVDLNSIATSSTAEHDFFYPYKKLGQIKPADASESGSTTGPVEESEPLRVWKEMKQNGFLSSSRGSILMPKQQVRQPRKRKYDELKRKTEFAKREQVNRFNKIAAPCGLLSGLNPGIINHVRNSKQVHSIIDAIVHSEKRDNQTQNRITDQAERGIKETSDRRKEHICSQDSTTKQVILSEPCIPPRTEYPGENEIEMVWHTFHQGACATSQLTTECEGDALKLKVSSATARTSENVSSATIDEFSANQENVDSLSLKAANVASQWLDLLQQDIKGRIAALRRSKKRVRNVIQTELPYLLSKEFTSNQENEPNFAQSSEAGSTKAISEMHVVRWRSLFSQMDKTLYEEGKHLESWLKQVQEMQLHCENGLKFVSSGASSHLNLTHDSSKLKKSESTEREYAVRAAAASIYSTCNLIMTRGNVQCF >Ma10_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7424913:7427184:-1 gene:Ma10_g02170 transcript:Ma10_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSERDHAMGDSILTTLTMENPHPPSTVLSMDPAGPPPATVPAHNDCDHEISNIQRRQQSVLSCPPDINLPLLVDQSSPQQPWDPDPMDILDVGLGPQIYDHDAVLNLPKVGGTGGAAGARKCAKRGDSIWGAWFFFTYYFKPVLAEKSKGKVVVRDAELDKSDLRLDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGEPQFPFSVDKGFVRSHRMQRKQYRGLSNPQCVHGIEVVRSPNLSVITEADRKKWAELTGRNLNFSIPAEASDFESWRNLPSTDFELERPPPPPLKTAAHPNSRKVLNGSGLNLSTQPSNHAGGDCMDLSPVYSKRRKDFISHGASEDCCSSGNPLSDRPQDMEVHATEPSWVTEFTGVMRHACGPVTAAKTIYEDEEGYLIMVSLPFSDQQRVKVSWRNSLTHGIVKISCVSIARMPYIKRHDRTFRLTDPSPEHCPPGEFVREIPLATRIPEDAKLEAYYDESGTVLEIMVPKRSAGPEEHEVHVCMRPPHLGANELMLT >Ma01_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8618960:8620447:1 gene:Ma01_g11890 transcript:Ma01_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCDKLGVKKGPWSTEEDKKLISFILTNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSPAEEQLVIDFHARLGNRWSKIAAMLPGRTDNEIKNLWNTHIKKKLVKMGVDPVTHKPLDRKANSVSSQSTATTESKSDQQLQSRGTDGQIQSSENTSSPTEASSNADGADPLLSCLWEDNTSLLDELWQFPSNEDVDYGSVAGPVWPWDEGSCEWLLDDQALG >Ma06_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13016414:13017476:-1 gene:Ma06_g19020 transcript:Ma06_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDAGGFMWQLECTFLGPVSNQPTSCPQLGELSTKIKKMMMKQKHSARFS >Ma00_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:41320615:41321435:1 gene:Ma00_g04790 transcript:Ma00_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEGDIFRSILDDSPIEQVRLTVPPTDDKTLQVLTFRTWFIGIPICILGSVIAALSSYRQQLFYLSQVCINIIVLIVGKLMANMLPNKVVRMPYTNWGFSLNPGPFNLKEHVVTTILAGTESASAGFEILSMSKIFYHKDIPLLPAMLLVLSIQFLGYGFAGIFTKLLVDSPYMWWPSTLVDVS >Ma08_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1775891:1777900:-1 gene:Ma08_g02210 transcript:Ma08_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACEDGALWPPSEFLRGDCVLQGGANAGFAGSRFPCESRLGCDPVTESDYMARLSQQMARCFLQHDDEVKKPGMGRLLQSALCLRSASTKRSPDSPSLVSSSPLEQRHKDEPCDLLRDLGRCQSLYDHGMLGQPKSTTGGYYASGPVLTRHRLQAAYFYHLKRQQMMKQQLCAAWERQSKPGRPLDLSSSAWPPPLPASGMHAVFLNNSRARKESAGTGVFLPRTADNKLERRKRILLSCLSLFSVASHVFLHGIGCSTVLVPDRVVQALNLRLDEFAALQRFSGGFVLSRGK >Ma09_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17467010:17489674:1 gene:Ma09_g18280 transcript:Ma09_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFGTIGCGASSVVQRAVKIPIHRIMALKKINVFEKEKRQQLLNEIRTLCEASCYPGLVEFHGAFYTPESGQISIALEYVDGGSLADILRLQKLIPEAVLSCMVQKLLHGLSYLHGVRRLVHRDLKPANLLINLKGETKITDFGVSAGLDNSVAMCATFVGTVTYMSPERIRNESYSYAADIWSLGLTILECGTGKFPYMANEGPANLMLQILYDTSPTPPKDSFSLEFCSFIDACLQKESDARPTAEQLLSHPFIKKYENSGVDLREYVRSVFDPTQKLKEIADMLAIHYYMLFDGSDELWHHMKTFYTERSTFSFSGKTYTGQNDIFSTLSDIRRKLAGCRPQEKIVHVVQKLQCRPHEHDGVAIRASGSFILGSHFLICGNGVQAEGMPNIEELSLDVDSKRVGTFYEQFIMESGNSIGSFLISKQELYILQA >Ma09_p18280.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17464631:17489677:1 gene:Ma09_g18280 transcript:Ma09_t18280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLEPLFDLENDPSFGMSMDPCDSYMVSDGGTVNLLSRSYGVYNINELGLQKHPAVIGDEAEIGEKTYCCASHEMHVFGTIGCGASSVVQRAVKIPIHRIMALKKINVFEKEKRQQLLNEIRTLCEASCYPGLVEFHGAFYTPESGQISIALEYVDGGSLADILRLQKLIPEAVLSCMVQKLLHGLSYLHGVRRLVHRDLKPANLLINLKGETKITDFGVSAGLDNSVAMILYDTSPTPPKDSFSLEFCSFIDACLQKESDARPTAEQLLSHPFIKKYENSGVDLREYVRSVFDPTQKLKEIADMLAIHYYMLFDGSDELWHHMKTFYTERSTFSFSGKTYTGQNDIFSTLSDIRRKLAGCRPQEKIVHVVQKLQCRPHEHDGVAIRASGSFILGSHFLICGNGVQAEGMPNIEELSLDVDSKRVGTFYEQFIMESGNSIGSFLISKQELYILQA >Ma09_p18280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17464631:17489684:1 gene:Ma09_g18280 transcript:Ma09_t18280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLEPLFDLENDPSFGMSMDPCDSYMVSDGGTVNLLSRSYGVYNINELGLQKHPAVIGDEAEIGEKTYCCASHEMHVFGTIGCGASSVVQRAVKIPIHRIMALKKINVFEKEKRQQLLNEIRTLCEASCYPGLVEFHGAFYTPESGQISIALEYVDGGSLADILRLQKLIPEAVLSCMVQKLLHGLSYLHGVRRLVHRDLKPANLLINLKGETKITDFGVSAGLDNSVAMCATFVGTVTYMSPERIRNESYSYAADIWSLGLTILECGTGKFPYMANEGPANLMLQILYDTSPTPPKDSFSLEFCSFIDACLQKESDARPTAEQLLSHPFIKKYENSGVDLREYVRSVFDPTQKLKEIADMLAIHYYMLFDGSDELWHHMKTFYTERSTFSFSGKTYTGQNDIFSTLSDIRRKLAGCRPQEKIVHVVQKLQCRPHEHDGVAIRASGSFILGSHFLICGNGVQAEGMPNIEELSLDVDSKRVGTFYEQFIMESGNSIGSFLISKQELYILQA >Ma09_p18280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17464631:17489675:1 gene:Ma09_g18280 transcript:Ma09_t18280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLEPLFDLENDPSFGMSMDPCDSYMVSDGGTVNLLSRSYGVYNINELGLQKHPAVIGDEAEIGEKTYCCASHEMHVFGTIGCGASSVVQRAVKIPIHRIMALKKINVFEKEKRQQLLNEIRTLCEASCYPGLVEFHGAFYTPESGQISIALEYVDGGSLADILRLQKLIPEAVLSCMVQKLLHGLSYLHGVRRLVHRDLKPANLLINLKGETKITDFGVSAGLDNSVAMCATFVGTVTYMSPERIRNESYSYAADIWSLGLTILECGTGKFPYMANEGPANLMLQILYDTSPTPPKDSFSLEFCSFIDACLQKESDARPTAEQLLSHPFIKKYENSGVDLREYVRSVFDPTQKLKEIADMLAIHYYMLFDGSDELWHHMKTFYTERSTFSFSGKTYTGQNDIFSTLSDIRRKLAGCRPQEKIVHVVQKLQCRPHEHDGVAIRASGSFILGSHFLICGNGVQAEGMPNIEELSLDVDSKRVGTFYEQFIMESGNSIGSFLISKQELYILQA >Ma09_p18280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17464631:17489674:1 gene:Ma09_g18280 transcript:Ma09_t18280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLEPLFDLENDPSFGMSMDPCDSYMVSDGGTVNLLSRSYGVYNINELGLQKHPAVIGDEAEIGEKTYCCASHEMHVFGTIGCGASSVVQRAVKIPIHRIMALKKINVFEKEKRQQLLNEIRTLCEASCYPGLVEFHGAFYTPESGQISIALEYVDGGSLADILRLQKLIPEAVLSCMVQKLLHGLSYLHGVRRLVHRDLKPANLLINLKGETKITDFGVSAGLDNSVAMCATFVGTVTYMSPERIRNESYSYAADIWSLGLTILECGTGKFPYMANEGPANLMLQILYDTSPTPPKDSFSLEFCSFIDACLQKESDARPTAEQLLSHPFIKKYENSGVDLREYVRSVFDPTQKLKEIADMLAIHYYMLFDGSDELWHHMKTFYTERSTFSFSGKTYTGQNDIFSTLSDIRRKLAGCRPQEKIVHVVQKLQCRPHEHDGVAIRASGSFILGSHFLICGNGVQAEGMPNIEELSLDVDSKRVGTFYEQFIMESGNSIGSFLISKQELYILQA >Ma09_p18280.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17464631:17489675:1 gene:Ma09_g18280 transcript:Ma09_t18280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLEPLFDLENDPSFGMSMDPCDSYMVSDGGTVNLLSRSYGVYNINELGLQKHPAVIGDEAEIGEKTYCCASHEMHVFGTIGCGASSVVQRAVKIPIHRIMALKKINVFEKEKRQQLLNEIRTLCEASCYPGLVEFHGAFYTPESGQISIALEYVDGGSLADILRLQKLIPEAVLSCMVQKLLHGLSYLHGVRRLVHRDLKPANLLINLKGETKITDFGVSAGLDNSVAMCATFVGTVTYMSPERIRNESYSYAADIWSLGLTILECGTGKFPYMANEGPANLMLQILYDTSPTPPKDSFSLEFCSFIDACLQKESDARPTAEQLLSHPFIKKYENSGVDLREYVRSVFDPTQKLKEIADMLAIHYYMLFDGSDELWHHMKTFYTERSTFSFSGKTYTGQNDIFSTLSDIRRKLAGCRPQEKIVHVVQKLQCRPHEHDGVAIRASGSFILGSHFLICGNGVQAEGMPNIEELSLDVDSKRVGTFYEQFIMESGNSIGSFLISKQELYILQA >Ma09_p18280.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17464604:17489675:1 gene:Ma09_g18280 transcript:Ma09_t18280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLEPLFDLENDPSFGMSMDPCDSYMVSDGGTVNLLSRSYGVYNINELGLQKHPAVIGDEAEIGEKTYCCASHEMHVFGTIGCGASSVVQRAVKIPIHRIMALKKINVFEKEKRQQLLNEIRTLCEASCYPGLVEFHGAFYTPESGQISIALEYVDGGSLADILRLQKLIPEAVLSCMVQKLLHGLSYLHGVRRLVHRDLKPANLLINLKGETKITDFGVSAGLDNSVAMCATFVGTVTYMSPERIRNESYSYAADIWSLGLTILECGTGKFPYMANEGPANLMLQILYDTSPTPPKDSFSLEFCSFIDACLQKESDARPTAEQLLSHPFIKKYENSGVDLREYVRSVFDPTQKLKEIADMLAIHYYMLFDGSDELWHHMKTFYTERSTFSFSGKTYTGQNDIFSTLSDIRRKLAGCRPQEKIVHVVQKLQCRPHEHDGVAIRASGSFILGSHFLICGNGVQAEGMPNIEELSLDVDSKRVGTFYEQFIMESGNSIGSFLISKQELYILQA >Ma06_p34660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34797910:34816402:1 gene:Ma06_g34660 transcript:Ma06_t34660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPWDRKEYAFKDHKKNERGDALGGGGGGGGSSSASRWRDPYHGPRDLPRASPRRPLSGHYRQGGSYHQVHPEDSSGHGCTPSRSDRFWFEEDGFRPLSVRYGGGCRSSSGGSSRDSRGSFRRSPYWDSGDSRQHHHDPHATAQRPVAAPISCTSQTSQKEQNDKNGGVDDSLDTGHRFDHRDNSLVSIPWKKWSRPGSLVSAKTGRSELGEAGLETGLPLGKETPMQSPVISSLPSDEGVSKKKPRLGWGQGLAKYEKQKVEGSTETSVGGGKGASSDNGQQVTGISGCLSPTTSQSATCSSSPAGTEDKPCSRTVNDDNGMSQNSDLPGSTLRSFCEEVSIDLDHLEASFISSLYSLLADLFQSEDAFSGDSTFTKHSALNKLLKLKGDISNGLEKIECEIDLLEKELKSLDCDAKACSYQTSFNLANDSAAEACIQPLVGVPNESNHLKDQNVDLTQMAYVQHVPCNSLVEHGTIVEDNNVIHLETSSSKIGFGIEKLSESHSSIEDERLKSSEVQQTVDSDDGGRLMVASEDGNRDYVDRGSVSACISSDETLRGNIHSNLITSIMDFNKNASEHAWKLLGTSLPTNPLQSDIWGLVNLTACRQNDSTIKEKLSIRKCQVKFKERVLTLKFKALHHLWKEDLRLLYIRKLRTKSTKRFELSNRSSQNGSQKQRSSIRSRFALPGNLTLVPTTEIVDFTGKLLSDSLIKLYRNNLKMPALILDDKEKTYSRFVTQNGLIEDPLIFEKERATINPWSQDEKVVFMEMLAKYGKDFARISLSLNHKTTADCIEFYYKNHKSESFKEVKKCLDLRKQQQCLRANTYLVASGNKWNHEISCVSPDRLASAPIAVAHGHGTARSEKNIGSVVYGTYNDVKVPYLEGANSVNISGEERESVAADVLAGIRGALFSEAMSSCDTSSIDPSEKMNCITADRLLTPEITQNLDEDDCSDEGSGELDSADWTDDEKSIFVQALSMYGKDFTRISSCMRRSREQCKIFFSKARKCLGLDVILQGTVNAGMPLSDTNGGRSDTDDACVAEMNSAICSTQSCSKMGVDASQSVANISYEGIAHVASTHFHVETDRSNKEDEDVSAGPDLDGGGEKVDTKYVSTIHDDELVGEADNLQSDACPKESIVDALGGTKAAQLCKVTDSADTETKVGRIANIISPTKSVVTIRKTDPVAIACMDGQSKQLTASIVHKTGTDGSYPADGLKEVDSKASPTTEVGLSNKKSINNNFTAIGNGSLNTVPDSNASGAPLLSGNKVNVCHRLTFGPNYQQQMQLDLLPCVPKKHQTVLLKQEDVHSIPLNSFLPDPSSVCFGGPIDVSSETTLNFEEHGSKWHQNMVKRDIYQQYITRNLPVNQVDHNMHILRGYPLQALNQEVKRETDLPAGEKRSLLETESKRCGVSQSNQFFMSDMHWNKSDPSHSRSSMSCPSRSENHSEAELRTCVKNACSEIEEHRTGDVKLFGKILSHTCSLQKSGTSSHESNVPSSPKLDGCSTANSSCIVKDGNRLVSDVGNGQVGLEDPPARTYGFWDGKRVQNGNPSLPDTTAMLAKYQGSLAGVSFYSTKDAIPIRNGVVTDYPQSCMQQLSSDGKRIENIPELQKRNAIGIVSGFQQQGRVTPLGANMMGGGGILVGGGGVSDPVAALKMHYAARASVGSTDMMESWRGDMGGR >Ma06_p34660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34797910:34816402:1 gene:Ma06_g34660 transcript:Ma06_t34660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPWDRKEYAFKDHKKNERGDALGGGGGGGGSSSASRWRDPYHGPRDLPRASPRRPLSGHYRQGGSYHQVHPEDSSGHGCTPSRSDRFWFEEDGFRPLSVRYGGGCRSSSGGSSRDSRGSFRRSPYWDSGDSRQHHHDPHATAQRPVAAPISCTSQTSQKEQNDKNGGVDDSLDTGHRFDHRDNSLVSIPWKKWSRPGSLVSAKTGRSELGEAGLETGLPLGKETPMQSPVISSLPSDEGVSKKKPRLGWGQGLAKYEKQKVEGSTETSVGGGKGASSDNGQQVTGISGCLSPTTSQSATCSSSPAGTEDKPCSRTVNDDNGMSQNSDLPGSTLRSFCEEVSIDLDHLEASFISSLYSLLADLFQSEDAFSGDSTFTKHSALNKLLKLKGDISNGLEKIECEIDLLEKELKSLDCDAKACSYQTSFNLANDSAAEACIQPLVGVPNESNHLKDQNVDLTQMAYVQHVPCNSLVEHGTIVEDNNVIHLETSSSKIGFGIEKLSESHSSIEDERLKSSEVQQTVDSDDGGRLMVASEDGNRDYVDRGSVSACISSDETLRGNIHSNLITSIMDFNKNASEHAWKLLGTSLPTNPLQSDIWGLVNLTACRQNDSTIKEKLSIRKCQVKFKERVLTLKFKALHHLWKEDLRLLYIRKLRTKSTKRFELSNRSSQNGSQKQRSSIRSRFALPAGNLTLVPTTEIVDFTGKLLSDSLIKLYRNNLKMPALILDDKEKTYSRFVTQNGLIEDPLIFEKERATINPWSQDEKVVFMEMLAKYGKDFARISLSLNHKTTADCIEFYYKNHKSESFKEVKKCLDLRKQQQCLRANTYLVASGNKWNHEISCVSPDRLASAPIAVAHGHGTARSEKNIGSVVYGTYNDVKVPYLEGANSVNISGEERESVAADVLAGIRGALFSEAMSSCDTSSIDPSEKMNCITADRLLTPEITQNLDEDDCSDEGSGELDSADWTDDEKSIFVQALSMYGKDFTRISSCMRRSREQCKIFFSKARKCLGLDVILQGTVNAGMPLSDTNGGRSDTDDACVAEMNSAICSTQSCSKMGVDASQSVANISYEGIAHVASTHFHVETDRSNKEDEDVSAGPDLDGGGEKVDTKYVSTIHDDELVGEADNLQSDACPKESIVDALGGTKAAQLCKVTDSADTETKVGRIANIISPTKSVVTIRKTDPVAIACMDGQSKQLTASIVHKTGTDGSYPADGLKEVDSKASPTTEVGLSNKKSINNNFTAIGNGSLNTVPDSNASGAPLLSGNKVNVCHRLTFGPNYQQQMQLDLLPCVPKKHQTVLLKQEDVHSIPLNSFLPDPSSVCFGGPIDVSSETTLNFEEHGSKWHQNMVKRDIYQQYITRNLPVNQVDHNMHILRGYPLQALNQEVKRETDLPAGEKRSLLETESKRCGVSQSNQFFMSDMHWNKSDPSHSRSSMSCPSRSENHSEAELRTCVKNACSEIEEHRTGDVKLFGKILSHTCSLQKSGTSSHESNVPSSPKLDGCSTANSSCIVKDGNRLVSDVGNGQVGLEDPPARTYGFWDGKRVQNGNPSLPDTTAMLAKYQGSLAGVSFYSTKDAIPIRNGVVTDYPQSCMQQLSSDGKRIENIPELQKRNAIGIVSGFQQQGRVTPLGANMMGGGGILVGGGGVSDPVAALKMHYAARASVGSTDMMESWRGDMGGR >Ma06_p34660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34797910:34816402:1 gene:Ma06_g34660 transcript:Ma06_t34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPWDRKEYAFKDHKKNERGDALGGGGGGGGSSSASRWRDPYHGPRDLPRASPRRPLSGHYRQGGSYHQVHPEDSSGHGCTPSRSDRFWFEEDGFRPLSVRYGGGCRSSSGGSSRDSRGSFRRSPYWDSGDSRQHHHDPHATAQRPVAAPISCTSQTSQKEQNDKNGGVDDSLDTGHRFDHRDNSLVSIPWKKWSRPGSLVSAKTGRSELGEAGLETGLPLGKETPMQSPVISSLPSDEGVSKKKPRLGWGQGLAKYEKQKVEGSTETSVGGGKGASSDNGQQVTGISGCLSPTTSQSATCSSSPAGNLTLVPTTEIVDFTGKLLSDSLIKLYRNNLKMPALILDDKEKTYSRFVTQNGLIEDPLIFEKERATINPWSQDEKVVFMEMLAKYGKDFARISLSLNHKTTADCIEFYYKNHKSESFKEVKKCLDLRKQQQCLRANTYLVASGNKWNHEISCVSPDRLASAPIAVAHGHGTARSEKNIGSVVYGTYNDVKVPYLEGANSVNISGEERESVAADVLAGIRGALFSEAMSSCDTSSIDPSEKMNCITADRLLTPEITQNLDEDDCSDEGSGELDSADWTDDEKSIFVQALSMYGKDFTRISSCMRRSREQCKIFFSKARKCLGLDVILQGTVNAGMPLSDTNGGRSDTDDACVAEMNSAICSTQSCSKMGVDASQSVANISYEGIAHVASTHFHVETDRSNKEDEDVSAGPDLDGGGEKVDTKYVSTIHDDELVGEADNLQSDACPKESIVDALGGTKAAQLCKVTDSADTETKVGRIANIISPTKSVVTIRKTDPVAIACMDGQSKQLTASIVHKTGTDGSYPADGLKEVDSKASPTTEVGLSNKKSINNNFTAIGNGSLNTVPDSNASGAPLLSGNKVNVCHRLTFGPNYQQQMQLDLLPCVPKKHQTVLLKQEDVHSIPLNSFLPDPSSVCFGGPIDVSSETTLNFEEHGSKWHQNMVKRDIYQQYITRNLPVNQVDHNMHILRGYPLQALNQEVKRETDLPAGEKRSLLETESKRCGVSQSNQFFMSDMHWNKSDPSHSRSSMSCPSRSENHSEAELRTCVKNACSEIEEHRTGDVKLFGKILSHTCSLQKSGTSSHESNVPSSPKLDGCSTANSSCIVKDGNRLVSDVGNGQVGLEDPPARTYGFWDGKRVQNGNPSLPDTTAMLAKYQGSLAGVSFYSTKDAIPIRNGVVTDYPQSCMQQLSSDGKRIENIPELQKRNAIGIVSGFQQQGRVTPLGANMMGGGGILVGGGGVSDPVAALKMHYAARASVGSTDMMESWRGDMGGR >Ma03_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8081871:8082807:-1 gene:Ma03_g10720 transcript:Ma03_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKPSESPLLPASAAKSSSDVGGFDPRNIERAIKLHSAITNRGSKKFTELIGDECRYCFGSLPLHPLALCEKALQMLHSFMVRNSIMLVMKPTAGYGVDIGFRWFSNLAKDNLPLSFGCTISAVQVYTGILLLRNAKSIIDNSLAQMQLPQKLEVTLLHIIDKLVPKTVPEGKERAVLLYSLLSLLTMVISVFILNNTMV >Ma05_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33874861:33879025:1 gene:Ma05_g22120 transcript:Ma05_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATLEDAEAALGRSLTAVEALWLRYSARMPDYLLYCHNILFLLVIFSLAPLPLTLIELCLPAAVSPYKLQPKVRLPAASFFRCYKDVMRVFIFIVGPLQLSSYPTVKLVGIRTGLPLPSLWEVAAQLGVYFLVEDYGNYWIHRLMHGKWGYEKIHHVHHEFTAPIGFAAPYAHWAEVLLLGIPSFVGPAIAPCHMITFWIWIALRQLEAIETHSGYDFPLSPTKFIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYHKACLTKVSGFCIPQELKEQQGNGHLNGEVNGFINYGQKSE >Ma05_p22120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33874858:33879023:1 gene:Ma05_g22120 transcript:Ma05_t22120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATLEDAEAALGRSLTAVEALWLRYSARMPDYLLYCHNILFLLVIFSLAPLPLTLIELCLPAAVSPYKLQPKVRLPAASFFRCYKDVMRVFIFIVGPLQLSSYPTVKLVGIRTGLPLPSLWEVAAQLGVYFLVEDYGNYWIHRLMHGKWGYEKIHHVHHEFTAPIGFAAPYAHWAEVLLLGIPSFVGPAIAPCHMITFWIWIALRQLEAIETHSGYDFPLSPTKFIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYHKACLTKLKEQQGNGHLNGEVNGFINYGQKSE >Ma04_p39300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36487137:36488649:1 gene:Ma04_g39300 transcript:Ma04_t39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAFAVLMLAMIGGSEGAWCICKPELGDTALQKTLDYACGAGADCTPILQNGACYSPNTVKDHCSYAVNSYYQRKGQTQQACDFSSTATLTTTDPSKNGCTYPATPSAAGTSNSTPATSTPGTSPGTFTPTTGGLSGLGPTSSISTDNINGGFLPKAGMDSLLLLLLIAICSGMGLLG >Ma08_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33877213:33880756:1 gene:Ma08_g20020 transcript:Ma08_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGIMPSDSSVGVATDAFNTFFSETSAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLARVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDDEEGEDF >Ma06_p02590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1997775:2000762:1 gene:Ma06_g02590 transcript:Ma06_t02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETDKAAGDGDRRSSRRMALVVRGKRDVKPVLVKIAIAFALSFSGFIASQLWRRPRLPIRPRCPQSSSPGGAEPESSGKNSGGCLQEELRILKSEEDLGEIIDGISMIGLSPTSYNSGDDEGFLLHEFNDFVMQEFEVKSKELETALNITVPEKPDTTEEIMMEQEIASLRELVLSLREKERSLELRLLDYQGVKEQEAAVGELENRLKISAMEAKLYILKIDSLQADNQRLKSQLSDYSRVISELDCSREKIKHLKKKMESDRDEAKDIIASLHQSINSLQHREQKDVKTDAEIKRKLKRLEELEDECIELRTINSMLVNENSCLSRELETAKMISSSVHEDAKMEGLEEANHLRKVNDKLMEDIEQLQTDRCTDVEELVYLRWVNACLRYELRNYQPPLGKTVARDLSKCLSPKSEEKAKQLILEYANLGADEKSLNIFEVDSECSSSSQASAGEPEDTPTDASSAITHSSSNKLKLLSKLKKLVLGNGNRGKRASAADRTPSSVNSDTRASASICSIDDAIARDSYDSSPSRMIEDVAAANLLAGTEAQAVEGQHNKNVFSQINSRHSLDIQRLQRLDLEEAREEEGILHRRNSGTCCRYKKISLLEDTLSNYSQNNMIGKEGTYIPEKADLKKFADALRSSRGISKLDGRSASSRY >Ma06_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1997775:2000762:1 gene:Ma06_g02590 transcript:Ma06_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVETDKAAGDGDRRSSRRMALVVRGKRDVKPVLVKIAIAFALSFSGFIASQLWRRPRLPIRPRCPQSSSPGGAEPESSGKNSGGCLQEELRILKSEEDLGEIIDGISMIGLSPTSYNSGDDEGFLLHEFNDFVMQEFEVKSKELETALNITVPEKPDTTEEIMMEQEIASLRELVLSLREKERSLELRLLDYQGVKEQEAAVGELENRLKISAMEAKLYILKIDSLQADNQRLKSQLSDYSRVISELDCSREKIKHLKKKMESDRDEAKDIIASLHQSINSLQHREQKDVKTDAEIKRKLKRLEELEDECIELRTINSMLVNENSCLSRELETAKMISSSVHEDAKMEGLEEANHLRKVNDKLMEDIEQLQTDRCTDVEELVYLRWVNACLRYELRNYQPPLGKTVARDLSKCLSPKSEEKAKQLILEYANLGADEKSLNIFEVDSECSSSSQASAGEPEDTPTDASSAITHSSSNKLKLLSKLKKLVLGNGNRGKRASAADRTPSSVNSDTRASASICSIDDAIARDSYDSSPSRMIEDVAAANLLAGTEAQAVEGQHNKNVFSQINSRHSLDIQRLQRLDLEEAREEEDALRSSRGISKLDGRSASSRY >Ma06_p27910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29764172:29769138:1 gene:Ma06_g27910 transcript:Ma06_t27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSSWVLRAKYSHTPHHRTSSDPDVVLLPDPKESNSDTACLLSGRSSVRSGSDPSRSLKNSSAESSAKISANGSSSQPDDGDRLDLKKAENRSARCSDFSFYPEGVPSRSIVVATSKFPVSHQKAGSLNSTMGAASSGRVKPDANSRHERESKSKQRSISPLPTTVLSEVFKEARSIGRRFSTPPPSRKKCDKARAHHELDWRTALELRKAFDKSRPRKGTSWARCFDHGGGKVTALETTEKWNADLSQLYFGLRFACGAHSRLYHGVFNDKPVAVKMISQPDDDENGVMAARLEKQFTREVTMLSHLDHRNVIKLAGAYKQPPVFCIITEYLSGGSLRAFLHKLDHKSLPLQRLIAIALDIARGMEYVHSQGVIHRDLKPENILFDQDFCIKIVDFGIACEEAYCDALTEDPGTYRWMAPEMIKHKPYGRKVDVYSFGLLLWEMVTGTIPYEDMTPIQAAFAVVNKNLRPIIPLDCPSPLHALIEQCWAVVPDKRLEFWQIVKVLEQFQSAVTQDGTLNQLQHLTCQDHKKRLLDWIQKLRTPLHANSSKPRLP >Ma06_p30740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31982939:31983920:1 gene:Ma06_g30740 transcript:Ma06_t30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNMIQKDDQKVDTILTDYCMPEMTGYDLLKAVKEQSCQKPIPVIVMSSENEPQRINRCRAIGAEDFIIKPLQANDVLRLRSYARTGLPPPPPSSSAKAGTKRKMTSELMAESGRGGSERRRPRLTGPAMA >Ma03_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27764346:27770914:1 gene:Ma03_g23130 transcript:Ma03_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIANAFGTSQQLRHRPSGPPSLPRRPFAGRWRLTISSHDEAVTEKPCLLGAAVASQSVGNSSKPLAGKRRVFFLDVNPLCFDGSRPSLRSFARWLDLFFSEVSLRDPVIAVLDGEEGNEYRRHLLPSYKAHRKRYLRRSRVLRSAHDTYQSTTECKVIDVLLKCNVPVVKVHGYEADDVVATLTDQVLKKGARVVIGSPDKDFKQLISEDVQIVMPMPDFGRWSFYTLRHYIDQYKCDPSSDLSLRCFIGDEVDGVPGIQQLVPGFGRKTALKLLKKHGSLEDLLSAAAIRTVGKDYAQNALTKYADYLRRNYKVLSLRRDVNVQLQDDWLSERNTSNDLVILSNFLRKLGERPEGQKLNNRERSKSFESRISKGDRSV >Ma03_p23130.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27764346:27770914:1 gene:Ma03_g23130 transcript:Ma03_t23130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIANAFGTSQQLRHRPSGPPSLPRRPFAGRWRLTISSHDEAVTEKPCLLGAAVASQSVGNSSKPLAGKRRVFFLDVNPLCFDGSRPSLRSFARWLDLFFSEVSLRDPVIAVLDGEEGNEYRRHLLPSYKAHRKRYLRRSRVLRSAHDTYQSTTECKVIDVLLKCNVPVVKVHGYEADDVVATLTDQVLKKGARVVIGSPDKDFKQLISEDVQIVMPMPDFGRWSFYTLRHYIDQYKCDPSSDLSLRCFIGDEVDGVPGIQQLVPGFGRKTALKLLKKHGSLEDLLSAAAIRTVGKDYAQNALTKYADYLRRNYKVLSLRRDVNVQLQDDWLSERNTSNDLVILSNFLRKLGERPEGQKLNNRERSKSFESRISKGDRSV >Ma03_p23130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27764346:27770914:1 gene:Ma03_g23130 transcript:Ma03_t23130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIANAFGTSQQLRHRPSGPPSLPRRPFAGRWRLTISSHDEAVTEKPCLLGAAVASQSVGNSSKPLAGKRRVFFLDVNPLCFDGSRPSLRSFARWLDLFFSEVSLRDPVIAVLDGEEGNEYRRHLLPSYKAHRKRYLRRSRVLRSAHDTYQSTTECKVIDVLLKCNVPVVKVHGYEADDVVATLTDQVLKKGARVVIGSPDKDFKQLISEDVQIVMPMPDFGRWSFYTLRHYIDQYKCDPSSDLSLRCFIGDEVDGVPGIQQLVPGFGRKTALKLLKKHGSLEDLLSAAAIRTVGKDYAQNALTKYADYLRRNYKVLSLRRDVNVQLQDDWLSERNTSNDLVILSNFLRKLGERPEGQKLNNRERSKSFESRISKGDRSV >Ma03_p23130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27764346:27770914:1 gene:Ma03_g23130 transcript:Ma03_t23130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIANAFGTSQQLRHRPSGPPSLPRRPFAGRWRLTISSHDEAVTEKPCLLGAAVASQSVGNSSKPLAGKRRVFFLDVNPLCFDGSRPSLRSFARWLDLFFSEVSLRDPVIAVLDGEEGNEYRRHLLPSYKAHRKRYLRRSRVLRSAHDTYQSTTECKVIDVLLKCNVPVVKVHGYEADDVVATLTDQVLKKGARVVIGSPDKDFKQLISEDVQIVMPMPDFGRWSFYTLRHYIDQYKCDPSSDLSLRCFIGDEVDGVPGIQQLVPGFGRKTALKLLKKHGSLEDLLSAAAIRTVGKDYAQNALTKYADYLRRNYKVLSLRRDVNVQLQDDWLSERNTSNDLVILSNFLRKLGERPEGQKLNNRERSKSFESRISKGDRSV >Ma03_p23130.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27764346:27770914:1 gene:Ma03_g23130 transcript:Ma03_t23130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIANAFGTSQQLRHRPSGPPSLPRRPFAGRWRLTISSHDEAVTEKPCLLGAAVASQSVGNSSKPLAGKRRVFFLDVNPLCFDGSRPSLRSFARWLDLFFSEVSLRDPVIAVLDGEEGNEYRRHLLPSYKAHRKRYLRRSRVLRSAHDTYQSTTECKVIDVLLKCNVPVVKVHGYEADDVVATLTDQVLKKGARVVIGSPDKDFKQLISEDVQIVMPMPDFGRWSFYTLRHYIDQYKCDPSSDLSLRCFIGDEVDGVPGIQQLVPGFGRKTALKLLKKHGSLEDLLSAAAIRTVGKDYAQNALTKYADYLRRNYKVLSLRRDVNVQLQDDWLSERNTSNDLVILSNFLRKLGERPEGQKLNNRERSKSFESRISKGDRSV >Ma03_p23130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27764346:27771175:1 gene:Ma03_g23130 transcript:Ma03_t23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIANAFGTSQQLRHRPSGPPSLPRRPFAGRWRLTISSHDEAVTEKPCLLGAAVASQSVGNSSKPLAGKRRVFFLDVNPLCFDGSRPSLRSFARWLDLFFSEVSLRDPVIAVLDGEEGNEYRRHLLPSYKAHRKRYLRRSRVLRSAHDTYQSTTECKVIDVLLKCNVPVVKVHGYEADDVVATLTDQVLKKGARVVIGSPDKDFKQLISEDVQIVMPMPDFGRWSFYTLRHYIDQYKCDPSSDLSLRCFIGDEVDGVPGIQQLVPGFGRKTALKLLKKHGSLEDLLSAAAIRTVGKDYAQNALTKYADYLRRNYKVLSLRRDVNVQLQDDWLSERNTSNDLVILSNFLRKLGERPEGQKLNNRERSKSFESRISKGDRSV >Ma11_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4186466:4197964:-1 gene:Ma11_g05440 transcript:Ma11_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSIPPSSSSYPPPPPPFTWVNTSPDPDPSPVSSPMDDSQPSSIGPGEEELDAPESCSEVAPAVDLPSSVESASTAAPPPPPPPPPSLLPAPSSSSPPKVVANAVAPSLLHLSFNQDHGCFAAGTDRGFRIYNCDPFREIFRRDFDGGGIGVVEMLFRCNILALVGGGSNPYYPPNKVMIWDDHQSRCIGELSFRSEVRAVRLRRDRIIVVLDHKIFVYNFADLKLVHQIETVPNPKGLCAVSQQQGSLVLVCPGGQKGQVRVEHYGARRTKFIMAHDSRIACFALSQDGRLIATASTKGTLVRIFSTVDGTLLQEVRRGADRAEIYSLAFSADMQWLAVSSDKGTVHVFNLKVNLGLTANDKPRPASDPNVPTASSHLSFIKGVLPKYFNSEWSVAQFRLHENSQYIVAFGHQKNTAVILGMDGSFFRCQFDPVAGGEMTQLECYNFLKPETF >Ma07_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:753571:754650:-1 gene:Ma07_g00950 transcript:Ma07_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVRGQDDAEFGQAQLIREATTQNVNPQQSSLVRSFRRNVVIQLGNTSAF >Ma02_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10802514:10804414:-1 gene:Ma02_g01670 transcript:Ma02_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVVRLSGFCSAAMIMVVLSPSLQSFPPAEAIRSSHYLGISNGGYDHHIAAVSGVADGSRFRRAPIFHNAAECEPPSANGTCVCDPSLVHIAITLDEEYLRGSIAAVHSVLTHARCPESVFFHLLLSEPGLEPVVRSSLPGLRLKAYYFDPDRVRGLISTSVRQALEQPLNYARNYLAEILERCVSRVIYLDSDLVVVDDIGKLWRTGLGSRPVGAPEYCHANFTKYFTARFWSDQRLAATFAGRRPCYFNTGVMVLDLVRWRRSGYTRRIERWMKVQKSGAATGSAGRIYELGSLPPFLLVFAGHVAPIDHRWNQHGLGGDNVRGSCRDLHRGPVSLLHWSGSGKPWVRLDSNRPCPLDHLWAPYDLYEPAVA >Ma06_p37800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36765751:36768040:1 gene:Ma06_g37800 transcript:Ma06_t37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMLCCVQVEQSTVAIKESFGKYDDVLQPGCHCLPWVFGKRIAGRLSLRMKQLDVKCETKTKDNVFVNVVASIQYRALPDKANDAFYKLSNTQSQIQAYVFDVIRASVPKLNLDDAFEQKNEIARAVEEELEKAMSAYGFEIVQTLIVDMEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGVGIARQRQAIVDGLRDSVLGFSVNVPGTTPKDVLDMVLITQYFDTMKEIGASSKSSSVFIPHGPGAVRDIAAQVRDGLLQAAPLQ >Ma07_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1974343:1974939:-1 gene:Ma07_g02480 transcript:Ma07_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALMEETCSAAVARWFSDALPSDGDAISLTSSPASIVPVAASPMPPRPLADHPALLRAGSPLGKRKSRASTRRASTFISVDPADFRRMVQQVTGFRLDAGSATGPVLRPEPFRPGGGQLYPALVPTLDSSAFAVGWTGLADPKDMNRSRVEPVMDEFEPFTGFPTLESWGSF >Ma00_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19864466:19866101:1 gene:Ma00_g02690 transcript:Ma00_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTRCLYCTGVGPRAHLLSLSLSLSPSAFRLEPPLLTPALGKPAAAHRRRPDPPRVVVADASPLFAAEPATAAAFDFKGYMLQKAAAVERALDEAVPLAHPERVHEAMRYSLLAGGKRIRPVLCLAACELVGGRDAWAMPAAVAVEMIHTMSLIHDDLPCMDNDDLRRGRPSCHRAFDEPIAVLAGDALVSFAFALLADPSSYPADASVTTDRIVCAVGELASCGGVKGLVAGQVADIEATGPGAPASLDQLKFIHLRKTAALMEASVVIGAIVGGASDDQIERLRQYARCIGLLFQVVDDILDVTKLSEELGKTAGKDVASGKTTYPKLLGLERSREFAERLLNDSKEQLAGFDPTKTAPLLHLADYTAYRHK >Ma01_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3647486:3652874:1 gene:Ma01_g05170 transcript:Ma01_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQVLRSASRTARAFLSSSRASAAPCQWRTAAAATATVFRGSARSLSSICERADYKSTSRGWVYGALSIPAAVYMLQDHQLHAAELERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKIVVPSKEFAEKHYYDLKERPFFNGLCDFLSSGPVIAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFTSSELVSYTSNAEKWIYGVN >Ma09_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17951890:17958086:1 gene:Ma09_g18500 transcript:Ma09_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGGDAEPAKLLLPYLQRADELQKHEPLVAYYCRFYAMERGLKIPQKDRTKTTNALLISLMNQLEKDKKSLKLGPDDNLYVEGFVSNLFAKADKQDRAGRADLNTAKTFYAASIFFEILSQFGEHQPDIEQKQKYAVWKAADIRKALKEGRKPEPGPPGGDTDLSISSSPSSNTYDLQPSDSFPSSQRGGDASPHHVDVDKVNIIGRSESFAGSYQSANLSSQDADEVTMQGFGQPPATSSSYASPEVHHLQQTSGPEYSAYSQQYDHHSYRDELQHMPQNNHSENPTPPFPYPNFQSYPSFHDSTFPSAPTHQPSYYHAHDSASSHQPAPVSHYAPPAQYSSGSLDESHVVQAPLSAERYKYDSSYQPPAEKIAEAHKAARFAVGALAFDDVPVAVDFLRRSLELLTNPSAETH >Ma01_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20704107:20704612:-1 gene:Ma01_g21200 transcript:Ma01_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTANILFYKSWDDQGQHPTQKTFLKVAVNSLRSSQGNRSQPVHDASCNRKIRYWYELLASVYKYYREIFHKLISTTIMH >Ma05_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35547417:35548389:1 gene:Ma05_g23480 transcript:Ma05_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHGKSCGGEEELDLRRGPWTVDEDLILVNYIAVHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHCRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDVVRYLWIPHLVERIRASSGGPTAAAYENVAVPITSGPPENSSTAGSSSLDTLGTQFSPPAVSDGFAAGGTQGGEDNSADVSQLGEFLPIPDGYADLEFPDFDQGMWGDNLWTVEDVWLQQQF >Ma04_p25730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27406581:27408376:-1 gene:Ma04_g25730 transcript:Ma04_t25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVAGECQTYNGRITSFVILSCAVAATGGLLFGYDVGISGGVTSMEPFLEKFFPDVYADMKDSNTSNYCLFDSQLLTAFTSSLYIAGLFASLCASKVTQAFGRRASMLLGGAAFIVGAALGGLAVNVFMLILGRVLLGVGVGFTNQSAPLYLSEMAPPEHRGAINNGFDFFVGLGILSANLINYGTQKIHSDLGWRISIGFAIFPATIMAFGTLFLPETPSSIIQRTNSLQKATEMLRKIRGTDDVHAELDDMIAAGNVSKAARRPLLTIMQRKYRPHLLIAILIPFFKQMTGITAITFYSPLIFRTVGLGESSSLLSTVISGVINVGSVVVAMIIVDRVGRRKLFIVGGVLMFVTHLMVGGVLAAQLGDHGGISKGYAYMILVLICVFTAGFASSWGPLGWLVPSEILPLEIRSVGQSMGIAVALLLVSIVGQTLLSMLCHFKSGVFFFFGGWVLIMTVFVILLLPETKGLPIEKMDQIWREHWFWKKVEGVEKEGSAPSAGRD >Ma11_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3966902:3971912:-1 gene:Ma11_g05140 transcript:Ma11_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDQLSLEGDHRYVKMQSEPPIAVPSSHSFRLQEHPRIFDKLPKADIVSVSRPDARDISPMLLSYTVEFRYKQLYTNLHSRSEQNFASGMSSCMSSSFRGWFKWRLVKKASQVLCLHLTLEKRAFIEELHEKQEQVKVWLQNLGLGERVTPIVQDDKPVTLAQEGNLSAKSRDIPSSAALPIIRPSLGRQHSVSDRAKVAMQGYLNHFLGSFDIVNSEVCKFLEVSRLIVFVSMYLS >Ma11_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:477775:486614:-1 gene:Ma11_g00690 transcript:Ma11_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRIVLETGRAERSVWLMKCPPVVSRSWQSSSASADSSNPNPVVAKVVLSLDPLRPDGPSSLQFKMEMAQTDSGNTPKSYTLNMFKDFVPMCVFSESNQGKFSLEGKVEHKFDMEPHSENFGDYGKLCRERTNRAMIKTRQVQVIDNDHGVLMRPMPGMVGLVPSGSKDKKKLTPTKGSDAKRTRRDRRELENIIFKLFERQPNWALKQLVQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTEEVDNV >Ma07_p26330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33182202:33182893:1 gene:Ma07_g26330 transcript:Ma07_t26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELCESDVMWPDGDERRPCDAHKACSSSSRARTRTTSAPVAIPRARGAPVVDEDRETELVPPHVLASRGRTVGKAAFSLCTGQGRTLKGRDLSHVRNSVLRMTGFLEG >Ma02_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24710979:24712265:1 gene:Ma02_g18050 transcript:Ma02_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSSAYGGLIHDYHHHHDLMLEYDEMPFRCYGCLEAGFGHRYTCVEQCGHVLHMDCANPKAILAHPFFPDCVFHFLVSSEKDCRCDACGAGIDGYVYHCFDKGKNLHPCCANLKHRLPVETEEGTMTLVLRHKSSSKCYKCGKKHLIKGANSWMYVSESEEHHFHVSCVKNNMLEILDDFILGGKGAAPNLESNEIRALDKGLHKLSVARRKDSGKKGKFAKLKKIVKIAIAVVMAAVFGDPTALVAAVIANLVMH >Ma07_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11459944:11461938:1 gene:Ma07_g15230 transcript:Ma07_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKNTFPVRKAKASGLQESPSTIFSAPEKMTRQTLRSLLSRKSFGRCSNHDLPASSSSSDVSMMEEKVARAEAIIVKWDPDASAYANITSLFYESRSEAHRFLAEVSDLQRAMLAFVAAAGRARLSHPCLVRAQTLMQAAMRRLVKEFYQILAANRDLLDPESVSVSVRSAHSSVSEEPDYDSWEYRSPEEEALAAGESISKVERAAAIAMADLRAIADTMVSAGYSKECITTYQTLRKCIVDEGLCRLGFERLSLAHVLKLDWAMLELKVRSWLGASRVALRTLFHGEHVLLDHVFAGSDTVREALFAYIAGDAALHFLSFPVSVAKSKRSPEKLFRLLDLYDTIVELWPEIELVFSFESTAVVRAQALASLSKLAETACATLADFESAILRESSRLAVPGGGVHPMTRYTMNYISLLADYESSLAEIFADCPLQTPSPIPDFFLDTSQAPAVHPPASCPSSPGTTTSSYISAVAEKLAWLVFALLCKLDGKTETYQDVGLSCLFLANNLQYIVSKVRSCQLLELLGEEWAARHAAKARQHAAGYGRVAWGRMAAGVPKGEVSAGEAGEWIRAFIAALEAACATQAGWVVTDPGMREEVREAVRGMVVPAFRGFYARWHPVIEDVAVASYSPDDVREQLGKLFSGSGSGSGPNRSKVSSRTA >Ma04_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22585326:22585475:1 gene:Ma04_g19910 transcript:Ma04_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHALLLVPYLPSSRVAHLTCYSLGLRVHVSLTRQLTPTVSAERLQR >Ma11_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21004751:21005793:-1 gene:Ma11_g15310 transcript:Ma11_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHNKAMESGEEVAVQDRELFDFMEKKKDEERKECHEEEEVLVTGVEKVQIEEGEKANEEEKMGLLEKLHRSHSSSSSSSSDDEEEAEGENKEKKKKKKGLKEKIKEKLVCEEEAKKPEVDEGTAVVVEKMQEDTVKVEATPPEQEKGLLEKIKEKLPGHKKPADEAPAPPSPCAGHGEEHERDEGKEKKGILGRIMEKLPGYHKTEEKEGEKKSPSK >Ma05_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22755771:22758110:-1 gene:Ma05_g18110 transcript:Ma05_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKARADGDASPPLEQPAAPPDHQVKDGGGGREVQRGPAQPEENRRRSLGDLFQNEETSSSPETAKNILSETVTSEPVLPKEEVIECKASKEAVVQETDEQPTQISKAEAAQAITTATPRETSVPSTAADSVASVANAVALGSETKAQVETAKVEATDSSVTEGSPDAEEKTTDA >Ma01_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10869552:10872081:-1 gene:Ma01_g14930 transcript:Ma01_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLISAVTAVLAVACVLPSLSVAARNVAGGKQGFVVQGRVFCDTCRAGFETPVSTYTKGAKVRIECRSKATGAKTCNFEGTTDHTGTYNILVADEHEHEICESMLVSSPESRCKTPLQGRERARVFLSHNNGIASDTRYANSLGFQTDTPLSVCAELLKTYEQYEV >Ma02_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23441933:23444490:1 gene:Ma02_g15950 transcript:Ma02_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCYNNGRIQITPSDACLLHCVADYMEMTEDASSFPSLIKLTEVYLLGIPCWSWSEIMSALRQCQDFLPSAISSGILDRIIDSVAGRITTAGVGDTTPSGSSPESSAFRFSCDTRSSISTRSGIHRAWWFEDLALLNTDMIQQVIASMVLQKVDNVMISRFLFYYLKCGAHGSPSEKRKATETAIDLLHSLDRSCVSCKGLFNILRVASSLRLSKSCHGRLENMIGSQIDQTTLDGLLIPAPIGIGSLYDVSLVLRFLKSFLASVGQASMTRMKRVGCLIDSYLAEVAPDPSLEPSKFAALITILPDAARDSHDAIYRAVDLYLEVHGELSEEERMKICYAINHDMLSSESCMHLAGNPKFPSRTAVQALISQQSKLKSLLQNTDSAPPKDSKHKKNRFDNNKLDCSTDNEKLSAQLHEMQWRVTELEKICKKMQTQMAEVMKNTISNPSGSRSLPMLCSRLIP >Ma02_p15950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23441585:23444490:1 gene:Ma02_g15950 transcript:Ma02_t15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAICDLEVDVNGEEAFLVSKGILSSFSGRLRKLFSKPSLSSTAETSKVVFRNFPGSVRGFELMARFCYNNGRIQITPSDACLLHCVADYMEMTEDASSFPSLIKLTEVYLLGIPCWSWSEIMSALRQCQDFLPSAISSGILDRIIDSVAGRITTAGVGDTTPSGSSPESSAFRFSCDTRSSISTRSGIHRAWWFEDLALLNTDMIQQVIASMVLQKVDNVMISRFLFYYLKCGAHGSPSEKRKATETAIDLLHSLDRSCVSCKGLFNILRVASSLRLSKSCHGRLENMIGSQIDQTTLDGLLIPAPIGIGSLYDVSLVLRFLKSFLASVGQASMTRMKRVGCLIDSYLAEVAPDPSLEPSKFAALITILPDAARDSHDAIYRAVDLYLEVHGELSEEERMKICYAINHDMLSSESCMHLAGNPKFPSRTAVQALISQQSKLKSLLQNTDSAPPKDSKHKKNRFDNNKLDCSTDNEKLSAQLHEMQWRVTELEKICKKMQTQMAEVMKNTISNPSGSRSLPMLCSRLIP >Ma06_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6515063:6516452:1 gene:Ma06_g09240 transcript:Ma06_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGWRRGRIIGRGTSATVSLATSVPSGEVFAVKSSELSRSWLLQREQRILSALDSPFVVSYFGFDVAAQTPGAGLCYNLFMEYAPRGSLSDEIRKQGGRLDELAIRSYACDILGGLAYLHSNGVVHCDLKSQNVLICSGGRAKVADFGCARSAEEEDEDERGWMRGTPMFMAPEVARGEEQSAPADIWALGCTVIEMATGRPPWPLVSDALSALHQIAFSTDVPEFPRWISEEGRDFLSRCLRRDPLERWTAEQLLQHPFVAASRVANPPSKSDWISPKSTLDQAFLQSLSDDDDDGQVLDQTEEDPFERMQSLIGDAAPNWTWDENWVTVRSNGGFPVTESITEDGRPTNPITDSSEQLMLSTNPMATGHVRDNSSSDHNLSETSVVPIAEGLILTCKTETCNFENANFYSMNKKERTQAPFGHHTPIFPSTMSNSYLWINAPLQLLSH >Ma06_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14452953:14454742:-1 gene:Ma06_g20390 transcript:Ma06_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASFLQCGCGAPRPEEKPQAARTGTDPRDRGRRRRSRGNDASTPWRPSLAAISENGAPTRAAAGARDGKMTADGAEKHKAVVSRRVLPRDHSDHDRYSDVSSVVPAFSPTAFLF >Ma02_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21917569:21922026:-1 gene:Ma02_g13590 transcript:Ma02_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNPLWFFMKKSMTVALFGITISDRYATVYSLTGTSMSPTFTTSSPGFPGYLKGISFVKAIQAKNDQMFIGYVKRNLSKLIRRMITGDIVLVEKFCLEKYKFSRGDVIAFKSPSDHKREFVKRLIALPGDSMQIPDTSDILKIPEGHCWVEGDNKEFSLDSRHFGPIPLGLVQGRVTHIIWPPQRISKVERKVAMERVRST >Ma02_p13590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21917505:21922069:-1 gene:Ma02_g13590 transcript:Ma02_t13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNPLWFFMKKSMTVALFGITISDRYATVYSLTGTSMSPTFTTSSPGFPGYLKGISFVKAIQAKNDQMFIGYVKRNLSKLIRRMITGDIVLVEKFCLEKYKFSRGDVIAFKSPSDHKREFVKRLIALPGDSMQIPDTSDILKIPEGHCWVEGDNKEFSLDSRHFGPIPLGLVQGRVTHIIWPPQRISKVERKVAMERVRST >Ma02_p13590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21917569:21922069:-1 gene:Ma02_g13590 transcript:Ma02_t13590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNPLWFFMKKSMTVALFGITISDRYATVYSLTGTSMSPTFTTSSPGFPGYLKGDIVLVEKFCLEKYKFSRGDVIAFKSPSDHKREFVKRLIALPGDSMQIPDTSDILKIPEGHCWVEGDNKEFSLDSRHFGPIPLGLVQGRVTHIIWPPQRISKVERKVAMERVRST >Ma11_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:868172:869904:1 gene:Ma11_g01210 transcript:Ma11_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSDAFFAFLVFLAMSSAAACDRCVHQSKAAYFSSSSALSAGACGYGSMALGFDGGYVAAGSSAIHRGGVGCGACFQIRCKNTSLCSTGGVKVILTDLNKSNTTDFVLSGPAFAAMARNGKAQELKKLGILDVEYKRIPCEYKNHNLSIRVEENSKSPNYLAIKFMYQGGQTDIVAVDVAQVGSTNWRFMSRDYGPVWSTSRAPAGPLQLRVVVTGGYDGKWVWAPKPVLPAEWRTGSIYDMGVQITDVAQEGCFPCDPQDWN >Ma05_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22954688:22956889:1 gene:Ma05_g18210 transcript:Ma05_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEATIRRLGNPQRGRASSVDLPVSFRGGLSSNRARERASKTVAMASSSPPAAPQERHATGCRMVEMELGAARALAHLAGLAGGGDGDGSRSRERSTSESPVRAQQKSFHGGRESSALGDPCSTAGCDGGHTASGNGAEYRAHTEFPSSQPSLPGRRCKQNLTEAEKEERRLRRVLANRESARQTIRRRQALREELTRKVAYLSLENENMRMQKDVAMKEYLSLKDTNEQLKEQIAMTVRSGTESSGTAAGKEMESSTCSPGFSMHKPLTCADTIGFFEHSGSVRPLYVPPCVWYYPSHHEVSHPFGDQDVAASRDNEARVSVHGRDEKDQCLIARVGNEKEDALVTKRTHKDEMTVKMPRSMSKEKSELQRDEGLPEKLQARSPGESSSTSSAAAAAAATEARKRRKQLTKLKHSHGSWAGKHG >Ma11_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21387863:21408235:-1 gene:Ma11_g15720 transcript:Ma11_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEMARAKDTKERMAGVERLHQVLEATTKSLSTAEVTALVDACMDLLKDSNFRVSQGALQALSAAAVLSGEHLKLHFNGLVPAVVERLGDGKQPVRDAARQLLITLMEVSSPTIIVERAGSYAWTHRSWRVREEFARTVMTAIGLFASTELPLQRVILPPVLQLLTDSNQSVREAATLCIEEMYAQGGPQFREELHRHHLPSSMLKEINARLEKIEPKVQPSDGVGISYVSAETRPSSANHKKSSPKTKMAPRETSFSSGDIDATEKPVAPIKVYSEKELMREMEKIASTLVPEKDWSLRIAAMQRVESLVYGGATDYPSFLALLKQLGAPLSTQLLDRRSSIVKQACHLLNLLSKELMGDFEACADMLIPVLLKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADVAKNDKSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRSTARTCYRMFTKTWPDRSRRLFLSFDPVIQRIINEEDGGMHKRYASPSLRERGVQFSRAPSQASSANVVGYGTSAIVAMDKSATISTGTSLSSASLLSQSKTLGKSSERSLESVLHASKQKVSAIESLLKGVSISEKHNFTSARSTSLDLGVDAPSTRDPLVPSVSSPDLFSAQSSVLADSIVANITKGSNRNGGSNMSEVLSSQVQQSRDPLKLSHLSHVTSDTLSSLSLSYMKRSSERLQEISGSEDNVDLRLSRRLPSIHTDRQYLETPYKDSGYRDSQNSYIPNFQRPLLRKQVTGRTLASSRSSFDDSQIPASEMSSYIDGPASLSDALTEGLSPSSDWVARVSAFNYLWSLLQQGPKGILEITQNFEKVMKLFFRYLDDPHHKVAQAAFSTLAEIIPSCRKPFESYLERTLPHVFSRLIDPKELVRQPCSATLEIVGKTYNIDSLLPALLRSLDEQRSPKAKLAVIQFANNSFNKHTINADGYSNNGFLKLWLAKLAPLVNDKNVRLKEASISGIISVYSHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQNKKERQRSKPFYDQSDVVGTSSEEGYVGASKKSHHFGRYSAGSVDDEGEKKWSLTHELTQLDVSIGKAATDESRHPFENIDDYGSDPLSQGTGSVNNCLQKTEMTIEHESSVLTPRLDINRLVSSDGHKAADMNHGGEISISSEFNNEKLSSAKAILPGDSGPSIPQLLHQICNINDVNSSSEKQDALQQLVEVSLKNDTSVWTKYFNQILTAVLEVLDDSDSSVRELALSLVVRMLNNQKSEMEDSIEIVTEKLLHVTKDVVVKVSSEANQCLNIILSQFDPFQFLSVIVPLLISDDEKTLVICINCLTKLVGRLPHEDLMKHLPSFLPALFNAFKNQSPDVRKTVVFCLVEIYIMLGKSFLPHLEGLSSTQLRLVTIYANRISQARSGAPIDH >Ma11_p15720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21387863:21407810:-1 gene:Ma11_g15720 transcript:Ma11_t15720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIGLFASTELPLQRVILPPVLQLLTDSNQSVREAATLCIEEMYAQGGPQFREELHRHHLPSSMLKEINARLEKIEPKVQPSDGVGISYVSAETRPSSANHKKSSPKTKMAPRETSFSSGDIDATEKPVAPIKVYSEKELMREMEKIASTLVPEKDWSLRIAAMQRVESLVYGGATDYPSFLALLKQLGAPLSTQLLDRRSSIVKQACHLLNLLSKELMGDFEACADMLIPVLLKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADVAKNDKSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRSTARTCYRMFTKTWPDRSRRLFLSFDPVIQRIINEEDGGMHKRYASPSLRERGVQFSRAPSQASSANVVGYGTSAIVAMDKSATISTGTSLSSASLLSQSKTLGKSSERSLESVLHASKQKVSAIESLLKGVSISEKHNFTSARSTSLDLGVDAPSTRDPLVPSVSSPDLFSAQSSVLADSIVANITKGSNRNGGSNMSEVLSSQVQQSRDPLKLSHLSHVTSDTLSSLSLSYMKRSSERLQEISGSEDNVDLRLSRRLPSIHTDRQYLETPYKDSGYRDSQNSYIPNFQRPLLRKQVTGRTLASSRSSFDDSQIPASEMSSYIDGPASLSDALTEGLSPSSDWVARVSAFNYLWSLLQQGPKGILEITQNFEKVMKLFFRYLDDPHHKVAQAAFSTLAEIIPSCRKPFESYLERTLPHVFSRLIDPKELVRQPCSATLEIVGKTYNIDSLLPALLRSLDEQRSPKAKLAVIQFANNSFNKHTINADGYSNNGFLKLWLAKLAPLVNDKNVRLKEASISGIISVYSHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQNKKERQRSKPFYDQSDVVGTSSEEGYVGASKKSHHFGRYSAGSVDDEGEKKWSLTHELTQLDVSIGKAATDESRHPFENIDDYGSDPLSQGTGSVNNCLQKTEMTIEHESSVLTPRLDINRLVSSDGHKAADMNHGGEISISSEFNNEKLSSAKAILPGDSGPSIPQLLHQICNINDVNSSSEKQDALQQLVEVSLKNDTSVWTKYFNQILTAVLEVLDDSDSSVRELALSLVVRMLNNQKSEMEDSIEIVTEKLLHVTKDVVVKVSSEANQCLNIILSQFDPFQFLSVIVPLLISDDEKTLVICINCLTKLVGRLPHEDLMKHLPSFLPALFNAFKNQSPDVRKTVVFCLVEIYIMLGKSFLPHLEGLSSTQLRLVTIYANRISQARSGAPIDH >Ma01_p08740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6293072:6300314:1 gene:Ma01_g08740 transcript:Ma01_t08740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLQHLQPFPSSSSSLVSASVCAAGDSAAYQRNSSFGDDVVVVAAYRTAISKSKRGGFKDTYPEELLTAVLKALLDKTNLNPNEVGDIVVGTVLAPGSQRATECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAASIKAGFYDIGIGAGLESMTANSVAWDGSINPKVNKFQQVQDCLLPMGITSENVAFRYGVTRQEQDQAAVESHRKAAAATAAGKFKEEIVPVITKIVDPKTGEEKQVTISVDDGIRPETSLSGLAKLRPVFKKDGSTTAGNSSQVSDGAGAVLLMRRDVAMQKGFPILGVFRSFAAVGVDPAVMGVGPAIAIPAAVKSAGLQIEDVDLFEINEAFASQFVYCRKKLELDPAKVNVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDGLTNARQIHSHNLLSEDAM >Ma01_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6293089:6300314:1 gene:Ma01_g08740 transcript:Ma01_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLQHLQPFPSSSSSLVSASVCAAGDSAAYQRNSSFGDDVVVVAAYRTAISKSKRGGFKDTYPEELLTAVLKALLDKTNLNPNEVGDIVVGTVLAPGSQRATECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAASIKAGFYDIGIGAGLESMTANSVAWDGSINPKVNKFQQVQDCLLPMGITSENVAFRYGVTRQEQDQAAVESHRKAAAATAAGKFKEEIVPVITKIVDPKTGEEKQVTISVDDGIRPETSLSGLAKLRPVFKKDGSTTAGNSSQVSDGAGAVLLMRRDVAMQKGFPILGVFRSFAAVGVDPAVMGVGPAIAIPAAVKSAGLQIEDVDLFEINEAFASQFVYCRKKLELDPAKVNVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDGLTNARQIHSHNLLSEDAM >Ma10_p29470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36053016:36053408:1 gene:Ma10_g29470 transcript:Ma10_t29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQAGTLIPLEGTASQVRSPSLSKALVPFQSLAASMEGTASQGYDIVLVVRGRGQNFTVLSNTNTRELAWPSQDYRGLDLKNKHSFLCTSAYIFKL >Ma11_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4077925:4079172:-1 gene:Ma11_g05320 transcript:Ma11_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHVQRSTILAPHAHPLKEVPLTIFDLFASNINIAVLFAFTAPTPSNTEIIEGLSKTLVHFPLLTSQLDYSRLRRRPCLVVGGKGGGALVVEATVDADLSDFLPLEPSADFHLLHPPTEETHHLFQVQLNRFKCGGLVVATITHHRVADGQSMSTFFVAWGETIRGTPITSLPVYDQSWIKPRSPPKCEFQHWDLEFVRVPPYRNGSTSNQKDEDPSKITNILLRYSSEFITTKLKPKTKGKYTTFETVLAHLWRKITMARGLDDRRHTMIRVTVNGRPRMRPPVPNEFVGNLVLNAYPESNVKLLLQGGVERAAKIIHDAIRRIDESYFQSIIDFGAMHGEDDLVPVYDTDGDVLSPNLEVDSWLRFRFQEVDFGGGGKLCAFLPDLGAFRGFGHLRPGFGAGWGFKCCCRVV >Ma06_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4914977:4916611:1 gene:Ma06_g06850 transcript:Ma06_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIMDHTKLIFLLLETVVAATAAGGGQWALLQRSIGVSAMHMQLLHNDRVVVFDRTDFGPSNLSLPVGKCRNDPDEKALRVDCTAHSVEYDVAANTFRPLMLLTDAWCSSGTVAPDGTLVQTGGFNDGERASRTFRPCDDCRCNWVEVPQALAVRRWYATNQILPDGRAIVVGGRRQFNYEFYPKSPDASDLRTIALPFLRDTRDAVENNLYPFVHLNIDGNLFVFANNRAILLDYTKNTVVKTYPKMPGGEPRNYPSTGSSVLLPLKPSPKEAEVLICGGAPMGSYVQALQSRGFVRALDTCGRIKITEAAASWSMETMPVPRVMGDMVLLPSGEVLMINGAAAGTAGWELARDPVLTPVVYRPERPAGARFDIQSPSTTPRLYHSTAVLLRDGRVLVGGSNPNVNYNFSGVEFPTELSLEAFSPAYLSPAHSARRPRIVTSPSPLRLSYGKRFSLQFTAGAVGDEGVRVTMVAPSFATHSFSMNQRLLVLETEAAAKAADSGTYQVMAMAPASAILAPSGYYMVFVVNGGVPSESNWAHIQ >Ma04_p38380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35933834:35940487:-1 gene:Ma04_g38380 transcript:Ma04_t38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHSCFSMLCHKTSALDGETDLKTRVVPLACIGLASEQLHIIKGVIECPNPDKDITRFDGNMRLFPPFIDNDLCPLTISNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAVDAMIDKLTGAIFLFQVVVVIVLGFAGNIWKDTEARKQWYVKYPEDGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKGIYAKFIDWDEEMYDHDTRTPSHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCINGVFYGNESGDALKDVQLLNAVANNVADVIRFVTIMTVCNTVIPIRSKSGTISYKAQSQDEDALVNAAARLHMVLISKNGSSLEINLNGSLIQYEILDILEFTSDRKRMSVVVKDCQDGKILLLSKGADEAILPCAYAGQQIRPFVDAVEQYAQLGLRTLCLGWRELKDDEYNEWSHAFKQARSTLVDREWKLAEVCQILEHDLEIIGVTAIEDRLQDGVPETIETLRQAGINFWMLTGDKQNTAIQIALLCNFISSEPKGQLLFINGKTEDEVLRSLERVLLTMRITSSEPK >Ma08_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30437589:30438495:1 gene:Ma08_g18410 transcript:Ma08_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAEPPRASSSCTSSPEFEFWMVGKNPSTCQTDFLTADELFVDGVVLPLDLLSLSIPSQGCVSHCLSEPGTNVQPPSAPSSSLGSAPPASHSNKWKDLIKAGEKALEEIRKRRNRIRGGTGGSAKSRNGIWPFNSSHSSASTGTGSWGRAKAAVTRRRASGEPCSRSNSRGLSSEPLPATTSSSSRWPLSSGRMRSAGGFHLSRTNPVWKLRGKTAKTLHEKEANVSSGIKDRTGDGDAGFGTRNSSVDMGCEVDHPNTRPSGGDGGSSGGGSSSVILILRTMFSKKVQ >Ma08_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16182004:16184894:1 gene:Ma08_g15890 transcript:Ma08_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/TrEMBL;Acc:A0A178VER0] MAFPPLPLHHVTRTAHFFSPPAAAAPPPSSLLPATLPAANQEAKHPNHRRRGILLRHEQQQEQPQESPQDPQARREKHNNYPKLTIRARLSQLCKEGRLDAARRLLSDSLARSAPTPTLLWNTLLIGYVCNSLPHEALRLYALMNSSSSHHHSRHGCPRSDAYTYSSALKACADSRQLRLGKSIHCHVIRRSRAPPKSQILNNSLLNMYASALEPETSHADTIRFLFDRMPKRNVVAWNTLIGWYVRCRRPDRALAQFRLMLEVGIRPTPVSFITVFPAVAATEEGSQYGDVLYGLIVKHGHHYIDDQFVISSAVLMYAQLSEVQSARRIFDQAKEKNTQVWNTMIGGYVQNGGYGEALALLVQILESNMVDPDAVTFLSSLMAVSQSQDLGLGKQVHAYLIKRNCSLLPLVLCNALIVMYSRCGKVQVALELFRRMPQRDVVSWNTMISAFVQNGLNLEGVSLVYEMQKDGFLVDSVTAAALLSAASDAGNIMMGKETHGYLLRHGVKFDGIASYLIDMYAKSGSVEIARRLFDGEQADERDRVTWNAMIAGYTHSGQTEEATAVLRKMLKERLTPNAVTLASILPACSPVGGIRAGKEIHGFAVRRYLDENVFVGTALIDMYSRCGHISFAERTFDGMEDRNTVTYTTMLSGFGQHGLGEKALTLFSSMREAGVRPDGITFVALISGCSSSGLVDEGVAVYESMEEFGVEATPEHHCCVVDLLGRAGRVEEAYELAKGLGDDGNYAGIWGSLLAACRIHRKLELGESVADRLFEIGKERGLAGYRVLLSNVYAADRNWDNVDRVRKEMRERGLRKEPGSSWIQVGDWSHRFMSRDRTHPENDQIYAMLRGLALEMKSPGYDTTLRPCDSDSTSSSMTTLLDASAT >Ma03_p26510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30217210:30217347:1 gene:Ma03_g26510 transcript:Ma03_t26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKGSMRLAVDKWRHIQVTDPADFTVNEDNNLSLIEYELVTVVEG >Ma01_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6103436:6111604:1 gene:Ma01_g08500 transcript:Ma01_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPTAADKQQLVSSFLEIALGQTPETATQFLQATGWKLEEALQLFYVGNEGGGLATSSFPPPPTGSPSEQENVMSSGSLVQGALEDEVRPPLPVKRETLYGDTPLFRHQSSAMVAFRNFDEESKRSAVWESNESSASTANGSRDNLASLYSPPFALMYQGSFDQAKIEASLQGKWLLINLQSNEEFSSHMLNRDTWSNEAVAQTIRTNFIFWQVYYDTSEGKKVCTYYNLITLPAVLVIDPITGQKMRAWSGMVHPERLLEDLLPFLDKGPKEHHTFLPQKRPRVAHDSAPNNILDKEAVEEDVEVLQAIAASMEDAKSPPRPPVTDDDPKPEKDGETSSNGNLTYPPLPEEPKGSKELCRVGIRLPDGCRIQRKFLRTDPIKLLWSFCSSKLEDGQRRPFHFTQAIPGASKSLEYESNSNFEEAGLSNSMITLVWD >Ma01_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7540693:7550025:1 gene:Ma01_g10500 transcript:Ma01_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENGIASGMVFQGESFPFSRGDGEPSGQRTVRPAGFPGADGDKIFSGERDARFYRDLHRPPPPGQSWGGLVDGGSHSGDGPHGEDEEDDEEDGDDDDEDVDDGDGLVSLEEGNNKVNYNSSGSVQSSSEKICDERAISQEHHSSFGSSRGVLLKDGLGGRGGLVEQQYQEGRMDGCENAVVVMEPEPYFTHVLHGADESSHHKELRGENGCGFNGRREVGLAAGYWETLRTQLSDPITGTLMDDAMILSCGHSFGSSGMQHVYKMKACYTCTQPISEYSVRPNLTLRATVQAFRREDELHLFKATKRKRERFEQEKCNHNDSFSMDLSRGKGVQFPFAVSDKVIIKGNKRTPQRFVGRVAVVTTQCLNG >Ma01_p10500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7540676:7550621:1 gene:Ma01_g10500 transcript:Ma01_t10500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENGIASGMVFQGESFPFSRGDGEPSGQRTVRPAGFPGADGDKIFSGERDARFYRDLHRPPPPGQSWGGLVDGGSHSGDGPHGEDEEDDEEDGDDDDEDVDDGDGLVSLEEGNNKVNYNSSGSVQSSSEKICDERAISQEHHSSFGSSRGVLLKDGLGGRGGLVEQQYQEGRMDGCENAVVVMEPEPYFTHVLHGADESSHHKELRGENGCGFNGRREVGLAAGYWETLRTQLSDPITGTLMDDAMILSCGHSFGSSGMQHVYKMKACYTCTQPISEYSVRPNLTLRATVQAFRREDELHLFKATKRKRERFEQEKCNHNDSFSMDLSRGKGVQFPFAVSDKVIIKGNKRTPQRFVGRVAVVTTQCLNGWYVVKTLDNAESVKLQYRSLEKLVDDQGPHIISDKAQTPNWL >Ma04_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5264065:5266712:1 gene:Ma04_g07250 transcript:Ma04_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPKQYRCTHSAACVCIKGHLSEDAIFLVFEHLNWNPRLIALMSCVCKWFDEIAKRILWKEFCRTRAPKMMLDLQSCGSHSVDGNWKALGKLLIYCSGCSQRGLFNITCVPGHFVHRTRFSRTSGKSFLIPQCRTDVLYVCDPCEHLDQGDDGDVGFFRGVFKSFSASKVRKMLIERQAKLHSTEACPYCKAKLWNMMQAKMIPRSACIRLGAYDDSVECYVCLNGHMLGTSSLLPLSDSDEASDFEQCSKFD >Ma09_p26140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37480514:37481499:1 gene:Ma09_g26140 transcript:Ma09_t26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLLLITIICTATSRYRSDCTDVLFELAPCLDYVTDASTCPSLDCCKQLTNVIKTQPLCLCAVFDGTASKLLGVPIDTDRAINLPEACQEEEEELPQALPTSAALRNHIPAVPPPAGIP >Ma05_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10135318:10138014:1 gene:Ma05_g13930 transcript:Ma05_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSVSARSSYFSPPPPPMIGQPRVVGAALVNLGNTCFLNAVLQCLTHTVPLVQMIRKTDHSASHHGDDAGFCSFCALKAHINGCLFLSRLVVSPTYLAQNLSKISPHFRLGQQEDAHEFLHSFLDNMHARCLGRTADDRPASLEEDSIVKQVFGGRLRSQLRCCSCGHCSDTFEPLLDLSLEIDNVRSVGDALESFTKLERIDDPEVRFTCDGCKAQVSMEKQLKLDQAPQVLALHLKRFKNDGSYSNKIDDFVEYPLELDLNPCLSCPAKEVQSKYDLYAVLVHVGSALSGHYFCYIRSSPSTWHQIDDSQVVSVSESDALEQQAYVFFYVRRGSSCWFSNFMEEEKALDVQAATGTSPASVLEHAERYSASSSGSANACSSSRGTPERNEDAGPCNNASPLSPVPDGSRVAKRQACHARTPPRTFNQWHGYESDDEPPEDDEDDDLLPLYEMEFKATKGARKPAAAGSMNAQFNRLVRSMPKSRRKRFVDCINSQQNCSTKRPHNSRQSGGRNSNGLGHRSPTAVEPSTPADCPSLSPRSIKRGLF >Ma05_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5132465:5136925:1 gene:Ma05_g07010 transcript:Ma05_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAESNRYSWWWASHIKTKQSKWLDSNLREMEDTVKQMLKLVETDADSFAKRAELYFKRRPELITHVEDAYRAYRALAERYDRISGELHKANHTIATAFPEQVQYAMLEEEEDKAITPIDPSRINKRTVEGLMKKRSDRESSIRKTQNKRPTSPIDKEKAQEEINKLQKVILVLQTEKEFIRSSYENGIAKYWEVEKQIMDILEEICCMQDEFDTSAVIEDEEVHALTTATTLKSCVEAIIRLQKQRMESLGQAKLDSERIKVAKQKLKALKSECHQSEMENAKVSSESTEMSFPVENMDEEFDSLNKARVQLESIYEKVKEHFEMNPESSVELEEKINELVNKVTTLELTVSSQAVQINRLTSESDELEKNLQDLEDERMILISDPNLLTERLKEAEEELSRGQAIKKIIQDEEINFREIFTDASHSLNGISEKMQLPKPLENACNEDAFPVEEAANCSTEPVAELGDNEETEIHDIEEDLIEEIHATQQLGHFLQDFFQAEADYLLKSDPEMIEDLTEIGELKNVIAMKDEEIRLLRQQLANVKMSSNSTQHCQQTHAGTFSSAMVRGDPKSHNLQMPGDLTIECTNEGDLSVEFTDLQFPVAEGSDVECITEPKSISSTEEKLRRDINTFIDENLEFWLRFSTSFHHIQEFKVKYADLQADIGKLKVNKTQEHNDTASGDRTGKPESAPIGTRLCELKTELQVWMEHSAPLIRELQSRFSFLHDMQKEISSVVNTYSATGEALFTPCEAARLQGEVMNMKQENNKAARELQMGLDQVRWLQAEIEEQMAKLNDYFEAFVLKNSHDDFLKRSPSRSGVPLEVFLYGSKPKRPSIFARMHPVFKKKHSKLRSGHR >Ma02_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26100285:26108131:-1 gene:Ma02_g20050 transcript:Ma02_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTVLGDHGGADGALPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEEGQPEYAEFLHLPKRKFTDFALVRKEIQDETDRLTGKTKQISPVPIHLSIYSPFVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRTYVEKPNCIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYKLQHPWVGIVNRSQADINKNVDMIVARRKEREYFANSLDYSHLASKMGSEYLAKLLSRHLESVIRARIPSISSLITKTIDELESEMDHLGRPIALDAGAQLYTILELCRAFDKIFKEHLEGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLQNVKKVVSEADGYQPHLIAPEQGYRRLIESSLNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTLQAELAAASYQSLEKFREDSRKTVIRLVDMEASYLTVDFFRKLPQEVEKAGNPATPTIDRYTDGHFRRIASNVSSYISMVSETLKNSIPKAAVYCQVREAKRSLLNHFYTQVGKKEGKQLSQLLDEDPALMERRLQCAKRLELYKNARDEIDAVSWAR >Ma05_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33254972:33257350:-1 gene:Ma05_g21570 transcript:Ma05_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFGRNSGAVRQYTRSKVPRLRWTPDLHHCFVNAIERLGGQEKATPKLVLQMMDVRGLTISHVKSHLQMYRSLRNDMGRQELQARKHLCFDNDGNGDERSDDASCTDSKPTTEFQSRFLYATLPPVKRQCSQGVVERVTSWSCYDTCMQALGVEGGAQEQGLWWQKDAAPTKAFHHPAPKLKVPGSNRQCNQGVVERVTSWSCYDTCMRALGSEGGAQEEGLWWHKDAVPAMAFHHPAPKLKVPGSRAEEPIPFQANILNHKQHCQKSFKDGFYKCPAINEETLEGEEPHDCSLSLSLSLYSTPRSAVASKASLGFFSSSGRSITECSGCYDGGQRVNLDLSMSICGS >Ma04_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26380491:26381614:1 gene:Ma04_g24370 transcript:Ma04_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSPINLTHCMSDDELFRRAVNVSKMGRYRRSRVSAPKIAFMFLARGGLPLSALWEKYFEGHRELYSIYVHSHPSYQPDYPSSSVFYERQIPSQVLAWGGLSMVDAERRLLANALLDVSNQRFVLLSETCIPLFEFSFTYRYLMRSKYSFVETFDDPGPGARGRYDPKLQPEISIQQWRKGTQWFEMSRQVAVVIVNETACYARFHELGGGAIVPDEHYIPTVLTITAPHLIANRSLTWTMWKKDHQGHPATFGKDDMNGTFPEQINRDRNCSYNDRPSAICFLFARKFATSALEPLLESASAYSGSVRSRTDAEDSKS >Ma11_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22766501:22793006:-1 gene:Ma11_g17490 transcript:Ma11_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAATTHLHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSIKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDVQPPIPEGLSPDITDFLRQCFKKDAMQRPDAKTLLLHPWIQNSRRTLHSSLRQTGGSIRNIEEDTKLSDGNSNADNHNGSESPSAEKTKIAISDLEHEESKKEHFATDAIHTKGSDGDQNSSLVQNACWNGVEDRAEDVVSAKDPTLVIYEKPSLKSPAKEANLGSPVAPEGKGGTSPDESSMFSFGSKVGRNNFQKVVKQSITHGANELSRFSDTPKDASLDDLFQPLDRQKDQGAEASSSATGQQNDLAKKLKARMAQKQMEPAQNSGGKLLQLVMNLQEDGIDFDGSVFGDNLPADNTFPIQSVEFSKIVGLLKPEASEDVLLSACQKLMVFFTQRAEQKHVYMSQHGFLPLMELLEVPKNRVICSVLQVINHIIKDNIGFQENACLVGLIPVVMNYAVPDRPREIRMQAAFFLEQLCQSSTLTLQMFIACRGIPVLVGFLEADYAKYRQMVHLAIDGIWQVFKLQQLTPRNDFCRIAAKNGILLRLVNTLYSLNEATRLASIGSGGVSLPPNGSAPRPRSGPLEPPNRPSVVQFDSAVSNLGQIDASKVRLEHPFQSGAIEQVQNPASYSQRTDATQLDKQLFGGDKNHPSHAMLEASKENEHFSLWDHEPSRVDIDLPRHQRGTNSAGRSSTDKPPKHMEFASNGHSGGASQLISQHDQIRPLLSLLEKEPPSRHVSGQLDYVHHLSGLERHESILPLLHASTERRTNGELDFLMAEFAEVSRHGREIGITDPNMKLSNKTTKKVLPTMGSSSSNEGVSTSGLASQATSGVLSGSGVLNARPGSTTSSGLLSQMVSSSNADVAREYLEKVADLLLEFAQADTIVKSYMCSPSLLSRLLQMFNKMEPPILLKILKCINHLSTDPNCLESLQRADAIKYLIPNLELREGPLISQIHNEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKQCALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDEAWSGTALDSIAVCLAHDNDQRKVEQALLKKEAIQKLVKFFQNCPEQYFVHILEPFWKIITKSSRINTAMAINGLTTLLVARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATALLKALHINTVL >Ma01_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14362643:14363160:-1 gene:Ma01_g18980 transcript:Ma01_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAGFHETGGTIEAAAPSSAPGGPHSPWQSPVPYLFGGLAAMLGLIALALLILACSYWKLSGYLDPGNDDDDATTNSDHEKPADATAGKDPTFLDDRFLVIMAGDHAPTFLAVPIAGRLADNTTTSAYGNLDEEDKQPEGAATPLPQSQSQTREQ >Ma05_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:469698:473109:1 gene:Ma05_g00760 transcript:Ma05_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPFDLRRPARRRWLSSVRVWLVTGGLGVLLLLVVLSREKLPRSVPPSIRQKLDHSSVIEDLNITDEMLSPYSFTRQIVDQISIAKALVVISKDDNNVQFATELSSQIQKCQAVLSSAATRGTQLTSIEMEAAIRDMAFLIYQAHELQYDSATMIMKMKGQFRSLNDKMKSETDKSTKYGQIAAEELPKGLYCLGIRLTMEWLRNPNVQRELSEGKHVSKKLTDNSLYHYCVFSDNILAASVVVNSTTMNSRHPDMIVFHLVTDEVNHASMRAWFSMNSFRGATIEVQKVEDFTWLNASYVPVLKQLQNSETQNYYFSGSGDNRTPIKFRNPKYLSMLNHLRFYIPEVYPALQKVVFLDDDVVVQKDISELFTINLNGNVMGAVETCMETFHRFHKYLNYSHPLIRAHFDPDACGWAFGMNVMDLREWREKNVTGIYHYWQERNADHTLWKLGTLPPGLLAFYGLVENLDTKWHVLGLGYTNVDPSQIKNGAVLHYNGNLKPWLKIGMEKYKGLWEQYVDYSHPMLEQCFGHG >Ma08_p30840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41957092:41959324:1 gene:Ma08_g30840 transcript:Ma08_t30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYGLRLRFPPVQKTSKPAPPRPPPPAFAFGGGDDEDDIEREISRQASKNKSLQKIEEQHKKAMEEDPSVFDYDGVYDEMKGKIARPKVQDRTERKSKYIETLVEKAKQREREHEIVYERKLLKERSKDDHLFADKEKFVTNAYKKKLAEQAKWLDEERLHQIREERDDVSGSYLSFCCFALFFLLHM >Ma08_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2121851:2129974:-1 gene:Ma08_g02830 transcript:Ma08_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIIIIIISSPFVEWIRSQRQVFVSGRVAAGCAEEKLVFLMDRHCRLVYGWVTVARGFLFGLLLRWRDSVVGGLRSLVTLGTTALFIILWSCFLCSTSVTSLVYVLLSLGAAAAAIHYLGFTPGLLIVGFYGILIMWMYGYVWITTMLLIVGGYMFSLNHARFLILMATAYAVYCVNAHVGLRGVLLSLNISFISNDILNKLLQGYDGNDVTYSKSSCATPKVSNMPNTQKDASSSKVNIVDLTSFVEIERIMNSSNHYEVLGFLRNKDVDPNILKKEYHKKVLLVHPDKNMGNSLACESFKKLRCAYEVLSDFTKKKNYDEQLRKEESGRVCHRSSVTSQQNFFFGFLLSDHIILQGGVEYRSEESRCIECTKCGNSHIWICTNRSKAKARWCQDCSQYHQAKDGDGWVESGCSPVVMPPQKVEIPRAFVCAESKIFDVSEWASCQGMECRPDTHRPTFHVNMVGLDNTGLRSNSSRYPWGLDAEMID >Ma09_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4193404:4196104:1 gene:Ma09_g06520 transcript:Ma09_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGRHAIVMVLGSSMAASLLPSLGSSRETPKLVQTHRISQPNPLQLTPELSFQIGVHAFLLWASVGFLMPVGIIIIRMSHRVECIKRLKVLFYAHLIVQIMAILLATAAAVLSLINFENSFDNTHQRIGLAVYAFIWIQPIIAFFRPHRGIKMRSAWYFVHWLLGTGVCVLGIANVYVGLHAFHERTSRSVRPWVVLFTTEVSLFAFIYLIQGKWDHMTKEGAILAEQVAPTGHLTSPSSNQKELTVAS >Ma04_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15912298:15912597:-1 gene:Ma04_g16520 transcript:Ma04_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTSAVAIASTVITAAASYSTALSGSLSDARAQGPSQDSASQSKQEEQPQPGDGFAPRFDGLRFIETLITAHR >Ma05_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11984543:11988895:-1 gene:Ma05_g15660 transcript:Ma05_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDEGGGLGHKAHQECSEPCSNSGPNHTLRDVFPSQSGGPQKGGGPGAISQVRHMGGAVDFPTISIVISHPEDISKPDMSTSKTGASEGYEGISAVAAAASSKKVFLPTESDKDQCRVCHQQTEEPFIDLGCRCRGELAKVHRSCIEIWFRTKGSNKCEICQQVASNVPFPELQPSTNYWVWRVNSAHGRGQQEQERGCWNPLWVAFALLIGGLFLDVLISVSLGISSLPVNIITGVLIVLGFAAALRLSMECCQEIGAARHIPPDVTMNPGYHPTA >Ma01_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8456964:8459623:1 gene:Ma01_g11660 transcript:Ma01_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRTAQIRLVSCHPEVYEPCDDSFALVDALLADREHLIEHRPSLCMELGCGSGYVISSLAIMLGELGCGVHYFATDINPDAAQVTRETLEAHGLHAEVISTDIASGLRKRLAGMIDVVVVNPPYVPTPEEEVGCKGIAASWAGGENGRTVIDRIFPVADELLSEKGWLYMVTLTANNPTQVCRMMKEKGYASRIIVQRSTEEESLHVIKFWRDGVIANSAEGSDSWLSQFPFKSFWRSSGTSA >Ma01_p11660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8456964:8459623:1 gene:Ma01_g11660 transcript:Ma01_t11660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRTAQIRLVSCHPEVYEPCDDSFALVDALLADREHLIEHRPSLCMELGCGSGYVISSLAIMLGELGCGVHYFATDINPDAAQVTRETLEAHGLHAEVISTDIASGLRKRLAGMIDVVVVNPPYVPTPEEEVGCKGIAASWAGGENGRTVIDRIFPVADELLSEKGWLYMVTLTANNPTQVCRMMKEKGYASRIIVQRSTEEESLHVIKFWRDGVIANSAEGSDSWLSQFPFKSFWRSSGTSA >Ma00_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:24628953:24634081:-1 gene:Ma00_g03070 transcript:Ma00_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDERKTGSNARETDSPGKEETGFKHGPYASKSTAKKWFCCMQASAES >Ma05_p30490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40880480:40882999:1 gene:Ma05_g30490 transcript:Ma05_t30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKIGSVDAAVEVKANGVVGSPPAGHCYPIAPAVQASAPEKTLGSHLARRLVQVGAHDVFSIPGDFNLTLLDHLIAEPGLRLIGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEGAHEQIDTAISTALKESKPVYISISCNLPAIPHPTFSHEPVPFFLSPKLSNQRGLEAAVEATMEFLNKAVKPVIVGGPNIRVAKAGEAFVELADACGYPIAVMPSAKGLVPEYHPRFIGTYWGAVSTAFCSEIVESADAYVFVGPIFNDYSSVGYSLLLKKEKAIIVQPERVVVANGPTFGCILMKDFLRALAKRLNKNTTAYENYSRIFVPDGQPLECKPKEPLRVNVLFKHVQKMLSGGSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAVKGSKRVIAFIGDGSFQVTAQDVSTMLRCGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNGEGKCWTTKVRHEEELKEAIETAMGSKQDCLCFIEVIVHKDDTSKELLEWGSRVCSANSRAPNPQ >Ma04_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3863712:3864595:1 gene:Ma04_g05120 transcript:Ma04_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSIIRYTLFLAFMSGLLLENLVGAASYTVGDAEGWTYGLDYQRWAQKYNFTVGDALLFSYIRGQHDVYRVVEDTFRSCDVSSGVVSSYDSGNDVVNLTRAAKYWFLCNVKGHCRGGMRFGITVARASPSPSGGGDGESPFPTSPPPPLPGNTGASVARTTWWLVVIGLWFVINYLGVD >Ma06_p31710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32759175:32764332:1 gene:Ma06_g31710 transcript:Ma06_t31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MADLANLEKMGTELKCPICWSLLNSAVSLSCNHVFCNSCIAESMKKSASDCPVCKVPFRRREVRAAPHMDNLVSIFKSMEDMAGTNIFSTQIGPQNLGNQEEHIITTDTMGECQKKSKRKECSQIENAKEKTKAGRSPHYKPKTPSFPAKKRIHVTPYSASETPLRPEKIHKLEDARIELTGDTELNDNKKLFADDTEDPSLSPFFWLRGNDDIDESPQKPSDQQIMETPSPQSAPCFSDMKDSDDEKPTLPTPTNKLNVAADFDSEMFEWSQRAYSPELFSTPQRTQTVERHRLLKIPEKECQGNIDAVVHYEDANVGTASIGKKILHKRRRNSNTLLKSRKSLIKEDQDFRSHDVNGEVPCNSEDVVDRSYPKPKRTSVKGCQKSATSYQTNCSMSLRSLKKQFNNAAIEASEQSPDISVKAKYSSVIKGSGGTAKRSDRKIKGTDSELQRKHLKRSNIDVQAEVPEAIVSLTNDAEIQDESNIKFPELPASTLQQRKTEKLSSEILDKSGKHIHQKKRIAKNQASELKVTSAVKVPATPMGTAACESNKTSSNALKEYKLSLAVKNTSKNRIQSTENIIMRKCQESHSPIRCAFCHSSTDTEDSGEMMHYFNGKPVAADFNGGRNVIHSHKHCTEWAPDVYFEDDMAINLTAEVSRSRRIKCSCCGANGAALGCYERSCRKSFHFTCAKLMQECKWDTQNFVMLCPLHSSMELPNEVSEPQRQSRKKSTTKGSSQASSINTCDHPSQKWKWPSGSPCKWMICCSALSAAEKEAVSKFAKVTGVPISNTWNPEITHIIASTDHNGAYRRTLKILKGIIDGKWILKVDSGINACMDAMEPIDEEKFEVTVDIHGISDGPRLGRLRAINKQPKFFNGFKFYFSGDYTPSYKGYLQDLVIAAGGSVLQRKPISRDNKRLLGDASTWRTLIVFSIEHPEKHNCNSDTVIYHRRSEAQALADASGGTLAGSTWIIDSIAACKLQPLT >Ma06_p31710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32759312:32764332:1 gene:Ma06_g31710 transcript:Ma06_t31710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MKKSASDCPVCKVPFRRREVRAAPHMDNLVSIFKSMEDMAGTNIFSTQIGPQNLGNQEEHIITTDTMGECQKKSKRKECSQIENAKEKTKAGRSPHYKPKTPSFPAKKRIHVTPYSASETPLRPEKIHKLEDARIELTGDTELNDNKKLFADDTEDPSLSPFFWLRGNDDIDESPQKPSDQQIMETPSPQSAPCFSDMKDSDDEKPTLPTPTNKLNVAADFDSEMFEWSQRAYSPELFSTPQRTQTVERHRLLKIPEKECQGNIDAVVHYEDANVGTASIGKKILHKRRRNSNTLLKSRKSLIKEDQDFRSHDVNGEVPCNSEDVVDRSYPKPKRTSVKGCQKSATSYQTNCSMSLRSLKKQFNNAAIEASEQSPDISVKAKYSSVIKGSGGTAKRSDRKIKGTDSELQRKHLKRSNIDVQAEVPEAIVSLTNDAEIQDESNIKFPELPASTLQQRKTEKLSSEILDKSGKHIHQKKRIAKNQASELKVTSAVKVPATPMGTAACESNKTSSNALKEYKLSLAVKNTSKNRIQSTENIIMRKCQESHSPIRCAFCHSSTDTEDSGEMMHYFNGKPVAADFNGGRNVIHSHKHCTEWAPDVYFEDDMAINLTAEVSRSRRIKCSCCGANGAALGCYERSCRKSFHFTCAKLMQECKWDTQNFVMLCPLHSSMELPNEVSEPQRQSRKKSTTKGSSQASSINTCDHPSQKWKWPSGSPCKWMICCSALSAAEKEAVSKFAKVTGVPISNTWNPEITHIIASTDHNGAYRRTLKILKGIIDGKWILKVDSGINACMDAMEPIDEEKFEVTVDIHGISDGPRLGRLRAINKQPKFFNGFKFYFSGDYTPSYKGYLQDLVIAAGGSVLQRKPISRDNKRLLGDASTWRTLIVFSIEHPEKHNCNSDTVIYHRRSEAQALADASGGTLAGSTWIIDSIAACKLQPLT >Ma06_p31710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32759175:32764332:1 gene:Ma06_g31710 transcript:Ma06_t31710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MADLANLEKMGTELKCPICWSLLNSAVSLSCNHVFCNSCIAESMKKSASDCPVCKVPFRRREVRAAPHMDNLVSIFKSMEDMAGTNIFSTQIGPQNLGNQEEHIITTDTMGECQKKSKRKECSQIENAKEKTKAGRSPHYKPKTPSFPAKKRIHVTPYSASETPLRPEKIHKLEDARIELTGDTELNDNKKLFADDTEDPSLSPFFWLRGNDDIDESPQKPSDQQIMETPSPQSAPCFSDMKDSDDEKPTLPTPTNKLNVAADFDSEMFEWSQRAYSPELFSTPQRTQTVERHRLLKIPEKECQGNIDAVVHYEDANVGTASIGKKILHKRRRNSNTLLKSRKSLIKEDQDFRSHDVNGEVPCNSEDVVDRSYPKPKRTSVKGCQKSATSYQTNCSMSLRSLKKQFNNAAIEASEQSPDISVKAKYSSVIKGSGGTAKRSDRKIKGTDSELQRKHLKRSNIDVQAEVPEAIVSLTNDAEIQDESNIKFPELPASTLQQRKTEKLSSEILDKSGKHIHQKKRIAKNQASELKVTSAVKVPATPMGTAACESNKTSSNALKEYKLSLAVKNTSKNRIQSTENIIMRKCQESHSPIRCAFCHSSTDTEDSGEMMHYFNGKPVAADFNGGRNVIHSHKHCTEWAPDVYFEDDMAINLTAEVSRSRRIKCSCCGANGAALGCYERSCRKSFHFTCAKLMQECKWDTQNFVMLCPLHSSMELPNEVSEPQRQSRKKSTTKGSSQASSINTCDHPSQKWKWPSGSPCKWMICCSALSAAEKEAVSKFAKVTGVPISNTWNPEITHIIASTDHNGAYRRTLKILKGIIDGKWILKVDWINACMDAMEPIDEEKFEVTVDIHGISDGPRLGRLRAINKQPKFFNGFKFYFSGDYTPSYKGYLQDLVIAAGGSVLQRKPISRDNKRLLGDASTWRTLIVFSIEHPEKHNCNSDTVIYHRRSEAQALADASGGTLAGSTWIIDSIAACKLQPLT >Ma07_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29969511:29976738:1 gene:Ma07_g21860 transcript:Ma07_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAGISSSKVLVLVGAGLTGSIILRNGRLSDVLLDLQELMKGVNDTNVSSNYYDSALLASQIKHLAKEIRDLTISRPVTIVNGDTTTGGLTSYIMPAAAIGALGYCYMWWKGWSFSDVMFVTKRNMASAVANVSKQLEQVSAALAATKRHLTQRLENLDGKLDEQKEMSKCIMNEVNEVKTDLSQIGFDIESIQNMIAGLEGKIGLLENKQDMTNAGIYYLCQFAGGIKDGLNGKFFQEASEKLQFTQSSITFSPDESIKGLQFIAENIKVGEADKLKPMTISQKDTQEKPMKTTILRSTTIHRSFPGGISHRKDGIAL >Ma05_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29209093:29270928:1 gene:Ma05_g19800 transcript:Ma05_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSADTKVSGGIVPTRLRPIGQPQKQQQPQPEQNNQAPREKQQQNDRGNKRRPCGLLSCGKRTDFGYAKDFESRYSIGKLLGHGQFGYTFVATENSTEERVAVKRIDKSKMILPVAVEDVKREVKILQALKGHENVVNFHNAYEDDSYVYIVMELCEGGELLDRILAKKDSRYTEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTEENSPLKATDFGLSDFIEPGKKFHAIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDRTEDGIFREVLRNKPDFRRKPWPSISNSAKDFVKKLLVKDPHVRLTAAQALSHPWVREGGNALEIPLDISVLSNMCQFVKYSRLKQFALRALASTLDEDELANLRDQFQAIDVDKSGSISLDEMRQALAKDVPWRLKGPRVLEILQAIDSNTDGLVDFTEFVAATLHVHQMEEHDSEKWHLRCKAAFDKFDVDGDGYITPEELRMHTGLRGSTEPLLEEADIDKDGKISLSEFHKLLRTASMSSNVPSPSGIRNPQKF >Ma07_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30203349:30206529:1 gene:Ma07_g22140 transcript:Ma07_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRRSLGNLRTFVGNATGGLRGGANLASWVVAGTLAYFLWVKPAQDLKREQEERAAAAAASDPYRYVEKRKPIPDPQETGLIYGKRKETKSSGN >Ma02_p20200.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249758:-1 gene:Ma02_g20200 transcript:Ma02_t20200.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSLRWASCGAISHSQDRHQVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma02_p20200.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249797:-1 gene:Ma02_g20200 transcript:Ma02_t20200.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSDGELMRSDAKPCTRLLRHTAGIFSVGGALAFWVLCRLHYDPISLYPLVHQPGPRMAVPRSLRWASCGAISHSQDRHQVGNVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma02_p20200.10 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249797:-1 gene:Ma02_g20200 transcript:Ma02_t20200.10 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSDGELMRSDAKPCTRLLRHTAGIFSVGPRMAVPRSLRWASCGAISHSQDRHQVGNVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma02_p20200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249743:-1 gene:Ma02_g20200 transcript:Ma02_t20200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSLRWASCGAISHSQDRHQVGNVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma02_p20200.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249797:-1 gene:Ma02_g20200 transcript:Ma02_t20200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSDGELMRSDAKPCTRLLRHTAGIFSVGPRMAVPRSLRWASCGAISHSQDRHQVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma02_p20200.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249762:-1 gene:Ma02_g20200 transcript:Ma02_t20200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSDGELMRSDAKPCTRLLRHTAGIFSVGGALAFWVLCRLHYDPISLYPLVHQPGPRMAVPRSLRWASCGAISHSQDRHQVGNVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma02_p20200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249768:-1 gene:Ma02_g20200 transcript:Ma02_t20200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWGTDAVGREAVHPSVAPHRRHLQRRWGPCFLGPRMAVPRSLRWASCGAISHSQDRHQVGNVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma02_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249797:-1 gene:Ma02_g20200 transcript:Ma02_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWGTDAVGREAVHPSVAPHRRHLQRRWGPCFLGPRMAVPRSLRWASCGAISHSQDRHQVGNVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma02_p20200.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249406:-1 gene:Ma02_g20200 transcript:Ma02_t20200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSLRWASCGAISHSQDRHQVGNVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma02_p20200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26221937:26249797:-1 gene:Ma02_g20200 transcript:Ma02_t20200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSDGELMRSDAKPCTRLLRHTAGIFSVGGALAFWVLCRLHYDPISLYPLVHQPGPRMAVPRSLRWASCGAISHSQDRHQVETFPALGLSSTDASRETGKDTTFAVSKLCVRLCLHASGVIAAKFNYHPLLVDAFHKIPKASWHGKERLWMFPPSSLDVAEEVLNAVAGVDVKVQKFDPLVRRALAAASAVQDLRDLYDRMPSYVESKLLPFQREGIRFVLQHGGRAILADEMGLGKTLQAIAVAACIPDAWPVLVVTPSSLRLQWASMIQQWLNISSADILVVLSQRGGANKAGFKIVSSNLKSNIHLDGVFNIISYDVVPKIQDILLASEFKIVIADESHFMKNAQAKRTNACLPVLQKAQYAILLSGTPALSRPIELFKQLEALYPGVYRNVHEYGNRYCRGGYFGLYQGASNHEELHCLMKATVMIRRLKNDVLSQLPVKRRQQVFLDLNEKDMKQIRILFRELEVVKMNIQACDSPENMESLKFMQKNLINKIYIDSAEAKIPAVLDYLSTVIEADCKFLIFAHHQPMIDAIHQFLLKKKVGCIRIDGGTPSSMRQALVNEFQEKIEIKAAVLSIKAGGVGLNLTAASTIFFAELTWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTVDDIIWDVVRSKLETLSQMLDGEENTLEVSSSQTSKSPQKQATLDSFMKCCDGTGDAQHIAKTPKF >Ma09_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10761148:10762179:-1 gene:Ma09_g15430 transcript:Ma09_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSRSKNPSFSSSLLDAIYRSMDDEHGDKSHRRAPGRSSNHKKQAEQPTVAPSTDHCHYRPCVTEYHRRSVPPTSSSSSSSSASSTTPTAATTSSSSVGFSSSSDVESIRSDRIPRPDLLDPGKKKKKSKCGSIRTGLRSLRKSREPASAAVAGSSSASPGARLASFLNALFASAGSPKKPKIPIPAAASVAAAAGGGGEDSACSSSTSSCRRSCLSKTPTAADRRRASGADAGKRSVRFYPVSVIVDEDSQPCGHKRLQDDAGNTAPPVAARVEELLRAAGAEVEAEEEGDGDGSESSSDLFELENLTVMMRGGRFRNELPVYETTDPHTNRAIAQGLIH >Ma04_p21410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23957211:23966397:1 gene:Ma04_g21410 transcript:Ma04_t21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPSVKAAPFTYASHALALASAAMVLVWCVHFRGGLAFESSNKSLIFNIHPVLMLIGFIIIGSEAIMSYKTLPWNHEMNKIVHFTLHAIALVLGAVGIYAAFKFHNESGIDNLYSLHSWVGLGTISLYGIQWILGFVTFFFPGAPPALRGKSLPWHVLFGLFVYILAVATAELGFLEKLTFLESSGLYKYSSEAFLVNFTALILIFLGASVVISVIAPARADAPRGYSEISEN >Ma04_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23957211:23967490:1 gene:Ma04_g21410 transcript:Ma04_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPSVKAAPFTYASHALALASAAMVLVWCVHFRGGLAFESSNKSLIFNIHPVLMLIGFIIIGSEAIMSYKTLPWNHEMNKIVHFTLHAIALVLGAVGIYAAFKFHNESGIDNLYSLHSWVGLGTISLYGIQWILGFVTFFFPGAPPALRGKSLPWHVLFGLFVYILAVATAELGFLEKLTFLESSGLYKYSSEAFLVKQHGVNIMLNFW >Ma08_p30820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41945986:41949654:1 gene:Ma08_g30820 transcript:Ma08_t30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLRYAMVCSSNQNRSMEAHALLKRQGFDVSSYGTGAHVKLPGPSLREPNVYDFGTPYKFMHDELRRKDPDLYKRNGILPMLKRNLGVKNAPQRWQDNAADGCFDVVMTFEEKVFDTVIEDLNNREQKVIRSVLIINLEVKDNHEEAATGAKLALDLCQDIESADCWEDAIDDIVVAFERQQKRKLLYTISFY >Ma11_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3814742:3815422:-1 gene:Ma11_g04890 transcript:Ma11_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVTMATTVCGVSLSTRRAVFVKAEPLRPRQTHARLLPLLDRGVRRRRSTVLVASAPSTPPDISEKVSESIKKAEEACAGDAVAGECAAAWDEVEELSAAASHARDKLKTDSDPLENYCKDNPETDECRTYDN >Ma09_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36522586:36524677:1 gene:Ma09_g24910 transcript:Ma09_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPVNGHSGVPPGFRFHPTEEELLNFYLRKKVACEKIDLDVIRDVDLNKLEPWDIQEKCRIGSTPQNDWYFFSHKDKKYPTGTRTNRATAVGFWKATGRDKVICSSIKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDRSDMSYPPNPACLMEEATNQEDGWVICRVFKKKNHHHQKSVESATNCSSSSLSADAKTEWLHSNGDGMLGQILQYMGRSCKEERQDLNSEKLMRLPPLHSPTLPWFPENYTNQQLDHPSGYQTSYVPDAIHALAGTESNINSWAALDGLLASELDGQAEALKPLPCFDDPSLGVCSPATLFTPDIHRNRDRDDGDDDLWSLARPATKHISHVPY >Ma05_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31684434:31685509:-1 gene:Ma05_g20110 transcript:Ma05_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPATSGGGGRCKRHPKHRQAIGVCPFCLRERLFHLTHHSSASSSSAAPDLSSSSASSSPYSSDSDLSSAASSPPRHHYVKRARVSLLLRREAAAPAGRGLGGGCGGLAKSRSMVLVARGRKEEGKEKEETGLDQEKEKLKKKKKEKFWSKLLSGSKRRQKKEGGGAALMHSQTFKEKPSAKWVFFS >Ma05_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36736483:36741831:-1 gene:Ma05_g24510 transcript:Ma05_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSSSGWRSTFHQHPRWLMGLLTMHRTLVLALWVVGFCLVFGWQVSSIDGITLFRRRVAVARPLPRLRPVAFNLTDFGGVGDGKTLNTAAFERAVEAISKLGSRGGGQLNVPAGLWLTAPFNLTSHMTLFLAEDAVILGIEDESYWPLMPPLPSYGYGREHKGPRYGSLIHGQNLKDIVITGHNGTINGQGQAWWTKYKKRILTYTRGPLVQLMWSKDIVISNITLRDSPFWTLHPFDCKNVTISKVTILAPIFGAPNTDGIDPDSCEDVLIENSYICVGDDAVAIKSGWDQYGIAYGRPSTNITLRNLTVRSVVSAGVSIGSEMSGGVSNVTVDNLIVWESRRGIRIKTAPGRGGYIRNITYRNVTLDNVRVGIMIKTDYNEHPDEGFDPKAMPIIANISFSGIHGQGVRVPVRIHGSEDIPVKGVSFQDMSVGLTYKKKHIFQCSFIKGRVIGLIFPSPCENLDLYDEQGNLVKQSASQNITDIDYDI >Ma02_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17155701:17156675:-1 gene:Ma02_g06100 transcript:Ma02_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSFHPSLKSRPLPPSPSSLTSFPILAISIVGIVTTSVLLLSYYVFVIKCCLNWHRSDVVSRLSRSRRRRRRAGPFMALSNTAKGLGLDESTIQAIPTFRYREEADSVAECAVCLNGFHDEERIKLLPDCFHVFHIDCIDTWLQANANCPLCRSSITAPIPTDHLMALVPSSDPYRRNDAVVEVRDFGSDLVGSLTTTNTTSPWKTEQRLGHKKGRKLQYLSSMGDECIDLREKDEQFCVQRMRRSFSMGSSGDRQLDMELQKILQQNSHLQDVNGEISSSSGRYQRSFFSFGPSSPSAVLPLQIELRSKRNLASLLLEVFY >Ma06_p32880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33708510:33710489:-1 gene:Ma06_g32880 transcript:Ma06_t32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVCNVASSSSSVAVLGPSRSRCIKITRKQSFTASSVRCSASFESLLCFATTSTFYPPLAHLTEDYPSRLERSKHHGDDRIMKPSRWNIFQRLAAAALDGVEDVFISNVLERRRPLPRTADPAVQIAGNFGPVDERPPCRNLPVDGRIPASLDGVYVRNGANPLFEPVAGHHFFDGDGMIHAVQIRDGAATYACRYTETERLRQERAIGKPVFPKAIGELHGHSGVARLLLFMARGLFGLVDPTHGTGVANAGLVYFNDRLLAMSEDDVPYHVRITPSGDLETVERYDFNGQLCSSMIAHPKLDPSTGELFALCYDVVRKPYLKYFYFSPDGKKSPDVEIPLEQPTMMHDFAITENHVVVPDQQVVFKLQEMIHGGSPVIYDREKMARFGILPKRARDASEMKWIDVPDCFCFHLWNAWEEPTTHEVVVIGSCMTPPDSVFNDCEESLRSVLTEIRLNLATGKSTRRPILSSQLNLEAGMVNRNKLGRKTRYAYLAIAEPWPKVSGFAKVDLSTGEVSKFLFGESRYGGEPCFVPRNAGALSREDDGYVLTFMHDERTSESELLIVNAGDMRLEAAVRLPSRVPYGFHGTFVASKDLQSQA >Ma06_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6663919:6667922:1 gene:Ma06_g09520 transcript:Ma06_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDKAMASRSPSPKIITPNISNSICTSSETEPVQTQNQQSGTLHGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIKGAVTMFSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNQPPSSIMLISGDVDFAPALHILGQRGYTVILVIPAGVGVSSALSNAGRFVWDWPSVARGEGFVPAKAYMPRIPDHAGCLSNCNIGANLDFQNEEEAIVYKGVSQNEYGGENNDRGYCYNSNSMRRGLDRISYSMTEYSGSNSVNGPYFTSSRSQSLPSGLSEGAGMDQNVTQEQAWWVRPGDLQGLKGQIVRLLEMSGGSMPLVRVPSEYLKFFGRPLYVAEYGVCKLVNLIRKMADALVVVGKGHKKLLCLRNAAYFDAKKYPGTSALVRKDKKGKQVLEENMDISISPHSGCSSDELSDDGKNDDPALGAADEFEDQFENVRHEVQELLVCYSCPVPLGTFEALYKQRYKKDLDYRSYGVDSLEELMEKLRDIVELCVDQGSKRKFLVLSSES >Ma10_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26965549:26970161:1 gene:Ma10_g14580 transcript:Ma10_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGEAIPLSDDHKPNRSDERKRIENAGGFFAGTWKVRVVLAMSRAFGNRLLKQCVVAEPEIREEEVDEHLSCWFLQAMGCGMLSQRRMPCPLQEWRKNQRLQARLLTSTSPVKW >Ma01_p11290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8145393:8150777:-1 gene:Ma01_g11290 transcript:Ma01_t11290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKITEQLYWSLLSYSLPIWATAIGGVSMLITLFLSMFLLFQHLSAYNNPEEQKFLVGVILMVPCYAIESYVSLVNPSISVDCEILRDCYEAFAMYCFGRYLVACLGGEERTIEFLKRQGAASSNTPLSKDAFEKGVIKHPFPMNYILKPWKLGEWFYQIIKIGIVQYMIIKTTTAILAVFLEAFGVYCDGQFTWSCGYPYMAVVLNFSQSWALYCLVQFYAATKDELAHMKPLAKFLMFKSIVFLTWWQDVAIALLYSWGLFKSPIAQSLHFKSSMQDFIICIEHRCVLLPLCISMFSLPSHTS >Ma01_p11290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8143505:8150777:-1 gene:Ma01_g11290 transcript:Ma01_t11290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKITEQLYWSLLSYSLPIWATAIGGVSMLITLFLSMFLLFQHLSAYNNPEEQKFLVGVILMVPCYAIESYVSLVNPSISVDCEILRDCYEAFAMYCFGRYLVACLGGEERTIEFLKRQGAASSNTPLSKDAFEKGVIKHPFPMNYILKPWKLGEWFYQIIKIGIVQYMIIKTTTAILAVFLEAFGVYCDGQFTWSCGYPYMAVVLNFSQSWALYCLVQFYAATKDELAHMKPLAKFLMFKSIVFLTWWQDVAIALLYSWGLFKSPIAQSLHFKSSMQDFIICIEMCVASIVHLYVFPAKPYKLMGDFFAGGVSVLGDYASLDCPLDPDEVKDCERPTKLRLPQPGVGAKNVTPIRESVRDVVLGGGEYIVNDLKFTVTHAVEPMEKGLTRFNQKLHKISQNIRKHDKKLRTKDDGCIGSSSPTRRVHGIDDPLLDGSISDSGSKRGRRRRWKSGYTSAESGGEISDHGHGRHEIHGHRWITRD >Ma01_p11290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8143568:8150903:-1 gene:Ma01_g11290 transcript:Ma01_t11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITEQLYWSLLSYSLPIWATAIGGVSMLITLFLSMFLLFQHLSAYNNPEEQKFLVGVILMVPCYAIESYVSLVNPSISVDCEILRDCYEAFAMYCFGRYLVACLGGEERTIEFLKRQGAASSNTPLSKDAFEKGVIKHPFPMNYILKPWKLGEWFYQIIKIGIVQYMIIKTTTAILAVFLEAFGVYCDGQFTWSCGYPYMAVVLNFSQSWALYCLVQFYAATKDELAHMKPLAKFLMFKSIVFLTWWQDVAIALLYSWGLFKSPIAQSLHFKSSMQDFIICIEMCVASIVHLYVFPAKPYKLMGDFFAGGVSVLGDYASLDCPLDPDEVKDCERPTKLRLPQPGVGAKNVTPIRESVRDVVLGGGEYIVNDLKFTVTHAVEPMEKGLTRFNQKLHKISQNIRKHDKKLRTKDDGCIGSSSPTRRVHGIDDPLLDGSISDSGSKRGRRRRWKSGYTSAESGGEISDHGHGRHEIHGHRWITRD >Ma01_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8143568:8150777:-1 gene:Ma01_g11290 transcript:Ma01_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITEQLYWSLLSYSLPIWATAIGGVSMLITLFLSMFLLFQHLSAYNNPEEQKFLVGVILMVPCYAIESYVSLVNPSISVDCEILRDCYEAFAMYCFGRYLVACLGGEERTIEFLKRQGAASSNTPLSKDAFEKGVIKHPFPMNYILKPWKLGEWFYQIIKIGIVQYMIIKTTTAILAVFLEAFGVYCDGQFTWSCGYPYMAVVLNFSQSWALYCLVQFYAATKDELAHMKPLAKFLMFKSIVFLTWWQDVAIALLYSWGLFKSPIAQSLHFKSSMQDFIICIEMCVASIVHLYVFPAKPYKLMGDFFAGGVSVLGDYASLDCPLDPDEVKDCERPTKLRLPQPGVGAKNVTPIRESVRDVVLGGGEYIVNDLKFTVTHAVEPMEKGLTRFNQKLHKISQNIRKHDKKLRTKDDGCIGSSSPTRRVHGIDDPLLDGSISDSGSKRGRRRRWKSGYTSAESGGEISDHGHGRHEIHGHRWITRD >Ma09_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10750253:10754168:-1 gene:Ma09_g15420 transcript:Ma09_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLEIFGSSLLPRTCLLSSSSSPLFGGGEQRNLFFPCKQRRSCTAGRAGRTPVAAVVLTERFARVEAEEKPVRFKVRAAVTVRRKKKEDLKETIANQLDAFSDKIGRNVVLELVSTEFDPRTRKAKTSNMAELKGWFEKKNAKAERVVYTAEFTVDSSFGEPGAIKVLNRHQREFFLESIVVEGFACGPVHFPCNSWVQPTRIHASKRVFFSNKPYLPSQTPSGLRNLRQQELKELRGDGKGQRKLTDRIYDYDVYNDLGNPDKGIEFARPTLGGEKLPYPRRTRTGRAPTSTDKDAETRVEDPQPVYVPRDDQFEEGKQEMLTAGAQKAVLHNLVPMLVAAFSPESHDFKAFHEVDNLFKEGLRLKQSLQDQLFHKIPLVSKIEESSEALLRYDTPDIITKDKFAWLRDDEFARQTLAGINPVNIERLQVFPPVSKLDPAIYGPPESSIKEEHIVSHLNGMSVQQALDEKKLFVLDYHDVYLPFLDRINAHDGRKAYGTRTIFFLTELGTLKPIAIELSLPPVRPGDTRAKRVFTPPTDATSNWLWQLAKAHVCSNDAGVHQLVNHWLKTHACIEPFILAAHRQLSAMHPIFKLLKPHMRYTLEVNAMARQILINGGGVIESGFTPGPVCMEISAAAYRDHWRIDQEGLPADLIRRGMAVEDPTQPHGLRLVIEDYPYATDGLLLWSAIQSWVETYVATYYADAESVQSDYELQSWYAEAVNVGHADKRCAPWWPRLSSPAELSSFLTTLIWLSSAQHAALNFGQYPLGGYIPNRPPLMRRLVPVEGDPEYEHFRADPAKYFLSALPSLTQATTFLTVIDTLSTHSVDEEYLGERPDPYTWTGDGEMVEAFHEFAAEVRRAESEIASRNADPARRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >Ma10_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32527769:32539159:1 gene:Ma10_g23780 transcript:Ma10_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNSLLPLFETCHLRDLFFFSSSVDRAAAAVGGTMSPDPHFMVEYLVNSCGFSPSEAAKVSKPLAHLRSTEKPDAVLNFMRSQGFGGAGIRKVISADPRYLCYNVEKRLAPKFQFLRDLGLSESDIVDAILKNHGILLYNGHRSIVPKLEMWESLLGSRELVLKHLKKTRRFFYSSVEKTLDPNLKFLRDECGIPEERLSLVLRSHPQLILQKPESLRALVARADELGTPRQSRMFVWTLDALQMLSKESFEAKAELMRSFGWSESEFSSAVRKAPTFLCISLDMLRRKVEFFINVVGYTPSFIASQPSILLYSLQKRVIPRFRVLEMLNTKGLCTQRGKFSYYVKLSNEKFREKIVLPYKEKVPELLDILRAGAEQ >Ma03_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:611284:612285:1 gene:Ma03_g00750 transcript:Ma03_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNTSAVLIAFMLLLYSTAPIVSGGYCPPPMHKPPRSKHHRPRSPGGPPTGRPPITVPPVIGNPPFTFPPVIGGPPVTVPPGIGGPPGTNPPGNNPSVPSPGAPGSTTTCPVDTIRIGACVDLLGGLVRVVIGDPVVNQCCPLLQGLLELEAAVCLCTSIRLRLLNINIYLPLALQLLITCGITPPPGFTCTVN >Ma10_p30790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37052361:37054680:1 gene:Ma10_g30790 transcript:Ma10_t30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAIRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >Ma05_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9434576:9439113:-1 gene:Ma05_g13050 transcript:Ma05_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDLIKYAHSPAHRAVLARDYAGLKRVLAALPRLVDPSAIRTEAASVAEEEKADAISAVIDRRDVPNRETPLHLAVRLGDAAAVEMLMAAGADWSLQNEQGWSALQEAICAGEENLAKIIVRHYQPLAWAKWCRRLPRVVATMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGSNLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKDKEVMNALDGAGTPATEAEVHQEVKAMSQTNIFRPGIDVTQAVLLPQLTWRRQERSEMVGPWKAKVYDMHNVVVSVKSRRVPGAMTDEELFSACNDNETESEDFEDILTEEERKQLEKALKMESPEVIDQVQSDVHVARRHSCYEPREIPIEDASSSSNGESRQDRKSWFGNWGKRANYNGQKRVMPPRSSLCAEEKVSDLLGDSPSQNQSMPGRHSVEVVNRMEDHRRGRDRDSKRPATTSENGYRRKENSKESEYRKGLRPVLWLSPDFPLRTEELLPLLDILANKVKAIRRLRDLLMTKLPPGAFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFSTPPTSPGNNSPQTQTSSSSWIQWIKAPYRQNYSITSGPSSRVEDIQDPFVIPPDYSWTTPAAKRKKMQEKSKSKKGKGQNQ >Ma09_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36208181:36209441:-1 gene:Ma09_g24560 transcript:Ma09_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGVNFGLFALLILSCCSFTTIEGLARSGWTKGSATFYGGSDASGTMGGACGYGDLYSAGYGTRTAALSTALFNDGAACGQCYKIVCDFKTDPRWCVRGVSVTVTATNFCPPNNALPNDNGGWCNTPRQHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFTINGRDYFELVLVTNVAAAGSIQSMSIKGSNTGWQPMSRNWGANWQSTAYLNGQSISFKVTTSDGQTLVFPDIVPSNWGFGQTFSSRLQFK >Ma05_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4079584:4080695:1 gene:Ma05_g05370 transcript:Ma05_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPQHREERVKDSTEDQASSLPPPPSSAAASPSHEFSFTISFQPPLSSAADTLKNGNRSTPASLVDFAPADDIFLHGHLLPLHLLSHPTSPPRPSDITFENMSLPIEHVDSERSLRYHADDHHQHDDTGKNKERAKAKTFSSFFGLGKLRKRYEQNGEKEEDAKKKKKGFDMSRLLKKYASLMEPLFSFKGEKEKSDPHRRPYSFSGHSNRKEQDGWRRRKGQFSAPASTRTSPTNSGLLSATSMTFSSSDNSTMEELQSAIQAAIAHCKNSIASKQR >Ma07_p20520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28384188:28399127:1 gene:Ma07_g20520 transcript:Ma07_t20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQSRENVWKHETKQSRSDDPSAEGESNPTWQPFATQNPGFEEYYKEQGIVPEDEWEEFICVLRKPLPAAFRINLSGQFCKDIMLQLENDFIQSLKAEVNDVCEMEAIRPLPWYPGKLAWHMNFSRMQLRKNQTLERFHEFLKQENEVGNITRQEAVSMVPPLFLDVHPDHHVLDMCAAPGSKTFQLLEMIHQSIKPGLLPNGLVIANDVDVQRCNLLIHQTKRMCTANLVVTNHEAQHFPTCSFMKDHEEIFKGEEELSKSNLQFDRVLCDVPCSGDGTLRKAPDIWRKWNAGMGSGLHRLQVEIAMRGIALLKVGGKMVYSTCSMNPVENEAVVAEVLRRSRGSVKLLNVSNELAELVRRPGLKTWKIKDKGLWLASYKDVPKYRRNVVLPSMFPSGQSYGETVISGDLQKKTEIVGSNPGEEKGERMSHPENVGTQCQTMCENLEKIAAPTDTYQAESKPEEVSSKIVSSEKLDGDSEEISGFPLENCMRILPHDQNTGAFFIAVFQKLSPLQVFPINLVRQSTESRHHPSAKISENLDDEPKQEINLSNVQDEHNNAAAALAGSTVDIAVKQSTDISVNDAVCTKDEGFVEAGVSNNKEIAPAEIKEVKGKLQTQGKWRGIDPVVFFKNQTIINSIRSFYGISESFPLEGHLVTRNSDSNHVKRIYYISKSVHNILQLNIQIGERLKITSVGLKIFERQKLNDASSPCSFRLSSEGLPLLLPYISKQILCASLADFQHLLQYRTIKFADFVDAGFGDKVSSLISGCCVIILKEGDQTTDDIVVDASTIAIICWKGKTNLSILLSPADGQELLERLAVRFGSWPSTLSEQHEVADIEIDEVENLSTGSMKDYDAVDEDIAS >Ma07_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28384188:28399127:1 gene:Ma07_g20520 transcript:Ma07_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQSRENVWKHETKQSRSDDPSAEGESNPTWQPFATQNPGFEEYYKEQGIVPEDEWEEFICVLRKPLPAAFRINLSGQFCKDIMLQLENDFIQSLKAEVNDVCEMEAIRPLPWYPGKLAWHMNFSRMQLRKNQTLERFHEFLKQENEVGNITRQEAVSMVPPLFLDVHPDHHVLDMCAAPGSKTFQLLEMIHQSIKPGLLPNGLVIANDVDVQRCNLLIHQTKRMCTANLVVTNHEAQHFPTCSFMKDHEEIFKGEEELSKSNLQFDRVLCDVPCSGDGTLRKAPDIWRKWNAGMGSGLHRLQVEIAMRGIALLKVGGKMVYSTCSMNPVENEAVVAEVLRRSRGSVKLLNVSNELAELVRRPGLKTWKIKDKGLWLASYKDVPKYRRNVVLPSMFPSGQSYGETVISGDLQKKTEIVGSNPGEEKAPTDTYQAESKPEEVSSKIVSSEKLDGDSEEISGFPLENCMRILPHDQNTGAFFIAVFQKLSPLQVFPINLVRQSTESRHHPSAKISENLDDEPKQEINLSNVQDEHNNAAAALAGSTVDIAVKQSTDISVNDAVCTKDEGFVEAGVSNNKEIAPAEIKEVKGKLQTQGKWRGIDPVVFFKNQTIINSIRSFYGISESFPLEGHLVTRNSDSNHVKRIYYISKSVHNILQLNIQIGERLKITSVGLKIFERQKLNDASSPCSFRLSSEGLPLLLPYISKQILCASLADFQHLLQYRTIKFADFVDAGFGDKVSSLISGCCVIILKEGDQTTDDIVVDASTIAIICWKGKTNLSILLSPADGQELLERLAVRFGSWPSTLSEQHEVADIEIDEVENLSTGSMKDYDAVDEDIAS >Ma03_p27410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30820451:30823744:-1 gene:Ma03_g27410 transcript:Ma03_t27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSQLGSNPWGGLMCTPSSSAKQTTATAASAFDRRYCVPNPFLPSDHHENPNLLQAKPDVASTSQIPQGDVDAIKAKIVSHPQYSSLVSAYIECQKVGAPPEVAARLSAVAQEFEMRQRASLICRDAVADPELDQFMEAYHDMLVKYREELSRPLQEAMEFLGRMESQLNSISDNTDGYDKFGVCSVEENQEGSGGEMDIMELDPCTEDQELKHHLLKKYGGYLSSLKQELSKKKKKGKLPKDARQQLLNWWELHYKWPYPSEAQKAALAESTGLDMKQINNWFINQRKRHWKPSEDMQFVMMDGYHAPNAAALYMDGHFMSEGLYRFGP >Ma01_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21009318:21009537:-1 gene:Ma01_g21370 transcript:Ma01_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQANVGFNWVLFADFFFFFCMKLNHTSIRGP >Ma04_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18873769:18882354:1 gene:Ma04_g17780 transcript:Ma04_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAE18 [Source:Projected from Arabidopsis thaliana (AT1G55320) UniProtKB/TrEMBL;Acc:A0A178WMT3] MEHHGPKLLGSSYHDPLMSFSLFQKFSVHRPEVYWSAVIKELSVVFHEAPKSVLDTSENSKNGGAWFPGAVLNIAECCLLPMESQKRTEESVAILWRNEGSDDSSINYLSLKELRNQVMLVARAIDKIFMKGDAIAIDMPMTCTAVIIYLAIVLAGCVVVSIADSFAANEIAKRMEVAKAKGIFTQDFILRGDKKIPLYSRVIKGTSCVVIVVPAHGNDVGVQLRSHDLSWKDFISSAGSLPRHNYPPVYQSADSVTNILFSSGTTGEPKAIPWTQISPIRCAADSWAHIDIQAGDICCWPTNLGWVMGPIILYSCFLNGATLALYHGSPLGRGFGKFVQDASVTMLGTVPSLVKYWKSSKCMEELDWTKIRIFGSTGEASDIDDDLWLSSRASYRPIIECCGGTELASSYIQGSLLQPQAFATFSTPSMSTGFIIFDEQGIPYPDDQPCVGEVGLFPLYMGSTDRLLNANHKKVYFDGMPTYKGMNLRRHGDIIQRTVGNYYIVHGRVDDTMNLGGIKTSSVEIERVCNRADENVLETAAVTITSNTGGPERLVVLVVPKNQSAKCDPEFLRTKFQKAIQNYLNPLFKVSFVKVVPEFPRTASNKLLRRVLRDQLKQEYRTYSRL >Ma04_p17780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18873763:18882354:1 gene:Ma04_g17780 transcript:Ma04_t17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAE18 [Source:Projected from Arabidopsis thaliana (AT1G55320) UniProtKB/TrEMBL;Acc:A0A178WMT3] MEHHGPKLLGSSYHDPLMSFSLFQKFSVHRPEVYWSAVIKELSVVFHEAPKSVLDTSENSKNGGAWFPGAVLNIAECCLLPMESQKRTEESVAILWRNEGSDDSSINYLSLKELRNQVMLVARAIDKIFMKGDAIAIDMPMTCTAVIIYLAIVLAGCVVVSIADSFAANEIAKRMEVAKAKGIFTQDFILRGDKKIPLYSRVIKGTSCVVIVVPAHGNDVGVQLRSHDLSWKDFISSAGSLPSRHNYPPVYQSADSVTNILFSSGTTGEPKAIPWTQISPIRCAADSWAHIDIQAGDICCWPTNLGWVMGPIILYSCFLNGATLALYHGSPLGRGFGKFVQDASVTMLGTVPSLVKYWKSSKCMEELDWTKIRIFGSTGEASDIDDDLWLSSRASYRPIIECCGGTELASSYIQGSLLQPQAFATFSTPSMSTGFIIFDEQGIPYPDDQPCVGEVGLFPLYMGSTDRLLNANHKKVYFDGMPTYKGMNLRRHGDIIQRTVGNYYIVHGRVDDTMNLGGIKTSSVEIERVCNRADENVLETAAVTITSNTGGPERLVVLVVPKNQSAKCDPEFLRTKFQKAIQNYLNPLFKVSFVKVVPEFPRTASNKLLRRVLRDQLKQEYRTYSRL >Ma09_p26420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37715407:37718975:-1 gene:Ma09_g26420 transcript:Ma09_t26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQLPSIVRVTRPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVVNSYWVNEDSTYKYYEIILIDPAHSAIRNDPRINWICKGVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNQTLSLRRYR >Ma04_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10164881:10171118:-1 gene:Ma04_g13410 transcript:Ma04_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLMTTIIFVVVGVVASVLARLCCNRGPSTNLFHLTLIITATVCCWMMWAIVYLAQLKPLIVPILSEGE >Ma03_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11262136:11270693:1 gene:Ma03_g14110 transcript:Ma03_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLETYAGFSFLATISAIYYAFSSRGQFYPALVYLSTSKICFVLLLNMCLVIMCIMWQLVKRLFLGSLREAEVERLNEQSWREVMDILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVSPLSHMRIVSFMIFLLILDCLFLYNSLRSLIQTRQASVALFFSFEYTILATTTVSTFVKYIFYVSDMLMEGQWERKAVYTFYLELVRDLLHLSLYIFFFLVIFLSYGIPLHLIRELYETFRSFRMRVADYVRYRKITSNMNERFPDATQEELNATDATCIICREEMVTAKKLLCGHLFHVHCLRSWLERQHTCPTCRALVVPPENGQVGSARHHGTPSESNQPVGSATEGTSSQGPSDGAGGANLSRHQARLQAAAMAASLYEKSFSYLPASSFWPSGYASNHMENPHLEAFSGVMKQPTGEAVASGPSLQFNTHPRSIPFLASDSSIASSQTSSSNQDVQLSLLKAHEDVIRSQIQFLQTQLQALEQQAAYQSETSSGDVVPAVADLKGKSVITSDAATSKPDGAHQEESEKV >Ma10_p28120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35121078:35126843:-1 gene:Ma10_g28120 transcript:Ma10_t28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCSCTYSNTPCSLIVFFFSFILYFDVLLWQQYETIKVHTDVDVQYCCGAKAVDARSIASWQKEVSTYQVMVMTPQILLDVPRKGFLNLDMVHLMVIDECHHALGNHPYNRLMKEFYHKSVLKPHIFGMTASPILRKGKFDAIDEYMYYAEAKLRTMAFGICELNWLKMIHRDLKILCCTMTTKQQ >Ma02_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19054068:19059867:1 gene:Ma02_g08800 transcript:Ma02_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLADSLDSIRTLQIRHVLTQIVSLGMIVTSALIIWKGLMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERHDTGEVDILTKGDNNFGDDRLLYAHGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >Ma04_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7895295:7897768:-1 gene:Ma04_g11210 transcript:Ma04_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPTPVPVCPPTTAARRRRRRRVKRERYQDDGDSDHNNYVLI >Ma11_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25690713:25696869:-1 gene:Ma11_g21620 transcript:Ma11_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATASTFSFGPLPLPRAKPSSSSICSVKPLISLCTPAGFSIPRSSSLLPPFPLPAKRRARCGFLWCSAVQDVAVEPEPPAEAVEEDEIGEIRRKVYVVNLPWDFSAPDIEKLFAQCGTVKDVEIIKQKSGKSRGFAFVTMASGEEARTAVDKLDAYELTGRIIRVEFAKSFRKPPPSPPPGAVAIEPRHKIYVSNLAWKARSVNLKEFFEKFKPLSARVVFENPTGRSAGYGFVGFATKEEAEAAISELDGKELLGRPVRLRISQRTEDKSESEPGDSDSTNELSDES >Ma06_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2496424:2498211:-1 gene:Ma06_g03410 transcript:Ma06_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVNKLAPELLVAAEPTPSGRLPLSCMDRIAAVGVLVDTILVFQKGLAPAKAIKAALSRALVPYYPVAGRIVEPSPGEPEVACTGEGVWFVEASVDCSLKDVNNLERPLVLPKEELIPFAPAEVKEEDLIMMMQVTEFTCGGFAVGTRLSHVVFDGLGAAQFLKAVAEIARGHARPVVYPVWCRDAIPSPPKLSPGLPLPSFKAFNFENSVFDISSVHIDAVKNQFSRERGQKCSTFDVVTAMAWQCRTRAICFNAHVDVHLGFAANTRHLLRGLLPQEGYYGNCVYPMGIKAKSGTIAGSSPVEVIELIRDAKERISTKFLDWMMGETLEEDPYRVPPGYGTLVVSDWRRMGFSEVNYGWGEPIHVTPLNDESNFVASCIYLSPPKSKQGLRLITRCFEKDHLPAFDEEMMKFYLNHR >Ma07_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21180648:21182806:-1 gene:Ma07_g17660 transcript:Ma07_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRRSLRFIQRGKLQIQWLPKQFGGGEMNLDPWFSISLCLDCRRMLLRFAWRRGERQANPRLRLRFQSDIRCDIPELNGDNYKIWKERVLLHLGWMDIDYAIRKDKPPIKRKGHMKKECTKFQQWLEK >Ma04_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6706206:6707827:1 gene:Ma04_g09430 transcript:Ma04_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTTGGSRSKEADRIKGPWSPAEDEALQRLVQRHGPRNWSIISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTDEEDEIIVRAHRRFGNKWATIARLLSGRTDNAIKNHWNSTLKRKHSRPCAGEDGLQHHYDAIMSVKAADMEDEAEATRPLKRASSDGLILLSRGSGICFSPGSPSGSDVSDSSHHSYPVMPPPHIYQPVPTTGRIVPCSSPILKQTQGETISAAVAPTFPNNDDDPATSLTLSLPGSCQMSTSKDRKVHTIFKPSHQSQLSCSVAAADPQQMIPGFSSAATSAEEGRRPAPSPFSAEFLAIMQEMIRNEVRSYMAGLQQSRMMCGVQPPSERARNAAAVNPIGVTDLE >Ma01_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1587987:1588980:-1 gene:Ma01_g02370 transcript:Ma01_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGGNGCGGEGERQIHVLAVDDSSVDRAMIARILRSSKYRVTTVDSGKKALELLGLEPDVNMIITDYWMPEMTGYELLKRVKESSELREIPVVIMSSENVPNRINRCLAEGAEDFLLKPVRPSDVSRLCSRIR >Ma11_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21217426:21228090:-1 gene:Ma11_g15530 transcript:Ma11_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MKFQIEDVTVYFPYEHIYPEQYEYMVELKRALDARGHCLLEMPTGTGKTVALLSLIASYSLSRPGDRPLKLLYCTRTVHEMEKTLAELRLLLSHVPPDLRRSLLALGLSSRKNLCINPTIVSAASRDSVDSACRRLTASWVRAAAAEDPAVPTCSFFEGFDRAASSSNASVMLPPGVYTLQDLRAFGRDNGWCPYYLARQTIRYANIVVYSYQYLLDPKVASIISKEMQRECVVVFDEAHNIDNVCIEALSVSIRRQTLEGATRNLNRMVQEIDRFKATDATRLRTEYNRLVEGLAQRGSLPITDAWLANPALPDDILKEAVPGNIRRAEHFLSVLRRLVQYLKGRLQTENVETEGPVAFVASVNSQAGIDQKMLRFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLIGTYTRGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMVAAVPDGIVCFFVSYSYMDGIVNSWNEMGILQDVMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMALHIAREFLRRMAQPYDKAGSGGKKTLLTQEDIERMSGDAMELF >Ma02_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20773039:20775273:1 gene:Ma02_g11720 transcript:Ma02_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSQCIEHLSKYCTSVAKCKQAHAFLLRTALLDDPRCASKLISFLAVSPSGDLGYARRVFAQLRRPPDLFLWNAMIRGHARGPDPPAGLSFFRLMLRAGVAPDHHTYPFVLTACARSRALEHGMRFHGETIKAGLDTDVYVLNALLQLYTYCGCFGAAHQLFDGNPHRDVVSWNIIMRGYVLEGFSERALHLLEGMKDMGIKPDDVTLISLVSACSGSGDLDRGRSLHSYASELGLVTKSLNLGNAILDMYCKCGDLESAQSFFDEMEERDLLTWTTMVSGLAKWGSFQEALALFRSMQRNEVRPDEVILVTMLSVCAHMGALDQGKYIHLLMDRQGVNRDVVIETALVDMYAKCGGLEFALQVFEEMRDRNVFTWNAVIGGLAMHGHGRRALELFGRMNKERIVPDDVTFIGLLSACSHAGLVDEGLKYFRMMEEVYQIRPRMEHYGCVVDTLCRARLLQDALAFIESMPIRPNVVMWAGLIGACRAAGDIELAERLGQRVIELEPDICDRYVMLSNLYAGARRWDEALEVRQLMRAKGIEKAPGISWIELNGTVQEFVVGDRSHHQTEQIYMMVEEMWHRVKAAGHVTSTTDVLFNIEEEEKEHSLFFHSEKMAVAFGLMSSAPGSPIRITKNLRVCGDCHSFLKAVSEVFGREIIARDRSRFHHFRGGLCSCSDFW >Ma02_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21723360:21725372:-1 gene:Ma02_g13230 transcript:Ma02_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGGPENSVNPVATGPRGPGWSTTTTGGNRELSTVFTGTVEQSLDGSSRTEEAFPNLRIFAFNELKSATRSFQAANMIGEGGFGVVYKAWVDKKTLTPANNRVGMAVAVKKWKPESFQGFSEWQKEVDILGKMSHPNLINLLGYCCEDDELLLVYEFMEKGSLGNHLFPQGAAVQPLSWEQRLKIAVGAARGLAFLHSPENRIIHRNFTSSHVLLDSNLNPKLTGLGIARESPTDAESHVSTRVMGTYGYAAPEYIATGYLTAKNDVYGMGVVLLEMLSGQRALDASRTGKQRLVEYAKPYVSDHPKLARLMDPRLEGQYPVKAALSAARLAKSCVADDPKARPSMDEVVETLQQIEAMN >Ma01_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2099547:2101551:1 gene:Ma01_g03240 transcript:Ma01_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETGGFLLLLHSSLFTAKSNRIKKTKDDKAVKDEMIPKT >Ma03_p27570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30904587:30906054:1 gene:Ma03_g27570 transcript:Ma03_t27570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEDPPPGEIDANLRHRLPFPRWGDRRIPRRGSVDRNGVDRTRSEAAPSGSRGSPAGQRSCGGGGGEDGGLEELRAKLMGHLQDAADRMKLETPEAARETARPWNLRARRPTNGNGQGGCASAGALTGATDEEDKRRKSGLTVSLTAEEIEEDIYAVTGSRPRRRPKKRPRAVQRLLDSLFPGLWLSEITVESYKVGDD >Ma03_p27570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30904587:30906111:1 gene:Ma03_g27570 transcript:Ma03_t27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEDPPPGEIDANLRHRLPFPRWGDRRIPRRGSVDRNGVDRTRSEAAPSGSRGSPAGQRSCGGGGGEDGGLEELRAKLMGHLQDAADRMKLETPEAARETARPWNLRARRPTNGNGQGGCASAGALTGATDEEDKRRKSGLTVSLTAEEIEEDIYAVTGSRPRRRPKKRPRAVQRLLDH >Ma03_p04480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2925975:2928099:1 gene:Ma03_g04480 transcript:Ma03_t04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCARLDAASFRLWLAFFPSLLRRRLLQAVACGAYLHRGRRGSCGGAGFNAAKQQPRRTRPGGSERLVELLRAESSDDSGSDEASDDETRRKVESFEELQRVVSWLQLDGHSTGEDRREEAAAEVRRLAKDDPGARETLAMLGVIPPLVGMLDSDDPDLHIAALYALLNLGIGNELNKAAVVKAGAVHKMLNLIESDSSALVSEAIVANFLGLSALDSNKPIIGASGAIPILLSAFRSPDTSPTARQDAVRALFNLSIASVNLPLLVDAGLVPALLEVVGDMAVSERVLALLSNLVAAGEGRRALSRSADAFAILVDVLGWSDAIACQEKAAYILMVMAHKCHADRAAMLEAGVVSALLELTLLGTPLAQKRASRLLEILTMDKGKRVSENGGRSGVPAVSAPLCGGASEASRAEAEAKEGMSEERRAVVELVQQSLQTNMLRIVRRANLPQDFAPSERLQALTAPSTTKSLPF >Ma03_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2925975:2928099:1 gene:Ma03_g04480 transcript:Ma03_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCARLDAASFRLWLAFFPSLLRRRLLQAVACGAYLHRGRRGSCGGAGFNAAKQQPRRTRPGGSERLVELLRAESSDDSGSDEASDDETRRKVESFEELQRVVSWLQLDGHSTGEDRREEAAAEVRRLAKDDPGARETLAMLGVIPPLVGMLDSDDPDLHIAALYALLNLGIGNELNKAAVVKAGAVHKMLNLIESDSSALVSEAIVANFLGLSALDSNKPIIGASGAIPILLSAFRSPDTSPTARQDAVRALFNLSIASVNLPLLVDAGLVPALLEVVGDMAVSERVLALLSNLVAAGEGRRALSRSADAFAILVDVLGWSDAIACQEKAAYILMVMAHKCHADRAAMLEAGVVSALLELTLLGTPLAQKRASRLLEILTMDKGKRVSENGGRSGVPAVSAPLCGGASEASRAEAEAKEGMSEERRAVVELVQQSLQTNMLRIVRRANLPQDFAPSERLQALTAPSTTKSLPF >Ma08_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6099244:6107710:1 gene:Ma08_g08630 transcript:Ma08_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MKPQDFDKTVSPISVAHFSQYKLMNLLLQRLEKLGFSVCSPSEIEGSIWDRKILMGHECISIHPTNEGLKVGTSYLKEGKMEEREFHCSILVGSDGARSTVRKLVDIDMKGERNLQRLVSVHFLSKDLGQYLLHERPGMLFFIFNPDAIGVLVAHDLDQGEFVLQIPYYSPQQKIEDFSFKDTFNLIQVCEQIILKLVGWKLVDVQVLDIKPWVMHAEVAEKYVSHNNRVILVGDAAHRFPPAGGFGMNTGIQDAHNLAWKISSMLSGIASPSIIQTYEMERRPIAIYNTSLSVENFRAAMSVPAALGLDPAIANSVHRVINSSLCSIFPPSLQKFALEGIFSIGRAQLSELILNENNPLGSLRLSRLKKIFDEGKSLQLQFPAEDLGFCYKEGALVAECGEKRWESEASSVHKRDLRPYIPCAKPGCRLPHMLINALKESSAKASFSTLDLISGDKIEFLLIIAPIKGSYRLARASMMVAKEFDVPLKVCIIWPKGSSDKNVNSSEKELEPWKNFIDVEEAVKPTSKSWWELCQMSSNEVILVRPDEHIAWRTESNGIADDLLELKRVFSLMLGHGKFLL >Ma08_p08630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6097976:6107709:1 gene:Ma08_g08630 transcript:Ma08_t08630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MELFRKLDGLAEEIQSLEPPVDLWRRFIYCTSLSGPVFGSVDHMKPQDFDKTVSPISVAHFSQYKLMNLLLQRLEKLGFSVCSPSEIEGSIWDRKILMGHECISIHPTNEGLKVGTSYLKEGKMEEREFHCSILVGSDGARSTVRKLVDIDMKGERNLQRLVSVHFLSKDLGQYLLHERPGMLFFIFNPDAIGVLVAHDLDQGEFVLQIPYYSPQQKIEDFSFKDTFNLIQVCEQIILKLVGWKLVDVQVLDIKPWVMHAEVAEKYVSHNNRVILVGDAAHRFPPAGGFGMNTGIQDAHNLAWKISSMLSGIASPSIIQTYEMERRPIAIYNTSLSVENFRAAMSVPAALGLDPAIANSVHRVINSSLCSIFPPSLQKFALEGIFSIGRAQLSELILNENNPLGSLRLSRLKKIFDEGKSLQLQFPAEDLGFCYKEGALVAECGEKRWESEASSVHKRDLRPYIPCAKPGCRLPHMLINALKESSAKASFSTLDLISGDKIEFLLIIAPIKGSYRLARASMMVAKEFDVPLKVCIIWPKGSSDKNVNSSEKELEPWKNFIDVEEAVKPTSKSWWELCQMSSNEVILVRPDEHIAWRTESNGIADDLLELKRVFSLMLGHGKFLL >Ma08_p08630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6097287:6107710:1 gene:Ma08_g08630 transcript:Ma08_t08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MRSVATGLILKRKLADFFYTCSRRRVLGCFSSSSFGTVDPRKSNGRGGEEKEVPHLPVLIVGAGPVGLILSILLTKLGVKCSVLEKSVVFSRHPQAHFINNRTMELFRKLDGLAEEIQSLEPPVDLWRRFIYCTSLSGPVFGSVDHMKPQDFDKTVSPISVAHFSQYKLMNLLLQRLEKLGFSVCSPSEIEGSIWDRKILMGHECISIHPTNEGLKVGTSYLKEGKMEEREFHCSILVGSDGARSTVRKLVDIDMKGERNLQRLVSVHFLSKDLGQYLLHERPGMLFFIFNPDAIGVLVAHDLDQGEFVLQIPYYSPQQKIEDFSFKDTFNLIQVCEQIILKLVGWKLVDVQVLDIKPWVMHAEVAEKYVSHNNRVILVGDAAHRFPPAGGFGMNTGIQDAHNLAWKISSMLSGIASPSIIQTYEMERRPIAIYNTSLSVENFRAAMSVPAALGLDPAIANSVHRVINSSLCSIFPPSLQKFALEGIFSIGRAQLSELILNENNPLGSLRLSRLKKIFDEGKSLQLQFPAEDLGFCYKEGALVAECGEKRWESEASSVHKRDLRPYIPCAKPGCRLPHMLINALKESSAKASFSTLDLISGDKIEFLLIIAPIKGSYRLARASMMVAKEFDVPLKVCIIWPKGSSDKNVNSSEKELEPWKNFIDVEEAVKPTSKSWWELCQMSSNEVILVRPDEHIAWRTESNGIADDLLELKRVFSLMLGHGKFLL >Ma08_p08630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6097287:6107710:1 gene:Ma08_g08630 transcript:Ma08_t08630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MRSVATGLILKRKLADFFYTCSRRRVLGCFSSSSFGTVDPRKSNGRGGEEKEVPHLPVLIVGAGPVGLILSILLTKLGVKCSVLEKSVVFSRHPQAHFINNRTMELFRKLDGLAEEIQSLEPPVDLWRRFIYCTSLSGPVFGSVDHMKPQDFDKTVSPISVAHFSQYKLMNLLLQRLEKLGFSVCSPSEIEGSIWDRKILMGHECISIHPTNEGLKVGTSYLKEGKMEEREFHCSILVGSDGARSTVRKLVDIDMKGERNLQRLVSVHFLSKDLGQYLLHERPGMLFFIFNPDAIGVLVAHDLDQGEFVLQIPYYSPQQKIEDFSFKVCEQIILKLVGWKLVDVQVLDIKPWVMHAEVAEKYVSHNNRVILVGDAAHRFPPAGGFGMNTGIQDAHNLAWKISSMLSGIASPSIIQTYEMERRPIAIYNTSLSVENFRAAMSVPAALGLDPAIANSVHRVINSSLCSIFPPSLQKFALEGIFSIGRAQLSELILNENNPLGSLRLSRLKKIFDEGKSLQLQFPAEDLGFCYKEGALVAECGEKRWESEASSVHKRDLRPYIPCAKPGCRLPHMLINALKESSAKASFSTLDLISGDKIEFLLIIAPIKGSYRLARASMMVAKEFDVPLKVCIIWPKGSSDKNVNSSEKELEPWKNFIDVEEAVKPTSKSWWELCQMSSNEVILVRPDEHIAWRTESNGIADDLLELKRVFSLMLGHGKFLL >Ma04_p34290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33565902:33568859:1 gene:Ma04_g34290 transcript:Ma04_t34290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPFRLEHSLEKRQAEASRIRGKYPDRIPVIVEKAERSAIPDIDKKKYLVPADLTVGQFVYVIRKRINLSAEKAIFMFVNNTLPPTASMMSTIYEAHRDEDGYLYMMYNGENTFGSSYDDMPVD >Ma04_p34290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33566152:33568859:1 gene:Ma04_g34290 transcript:Ma04_t34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCFGNCRRRSNISMAKSPFRLEHSLEKRQAEASRIRGKYPDRIPVIVEKAERSAIPDIDKKKYLVPADLTVGQFVYVIRKRINLSAEKAIFMFVNNTLPPTASMMSTIYEAHRDEDGYLYMMYNGENTFGSSYDDMPVD >Ma04_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25894068:25901262:1 gene:Ma04_g23800 transcript:Ma04_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLFPAWSWSVERCLKEYNVKLSKGLSSFEAETRRERYGWNELKKEKGKPLWFLILEQFDDVLVKILLIAAFISFTLAYLEGNESGHTGLEVYVEPVVILLILMLNAIVGVWQETSAGKALEALKNMQCEYAKVRRDGRCVPDLPARELVPGDIVELRVGDKVPSDMRIATLTMSTLRVEQSSLTGESMPVLKGTSPGFVDDCELQAKDCMLFAGTTIVNGSCICIVTSIGMDTEIGKIQTQISEASQEEQDTPLTEKLNEFGERLTTAIGTVCLIVWVINYQNFITWDNSNTSVWNFHFSFEKCTYHFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKHAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVNEFLTLGKKLYTTRVFRVDGTTYNPKDGGIIGWSKCNMDDSLQTLAEICAVCNDAGLYREGYLFRAIGLPTEAALKVLVEKMGLPDAKARSRIHDAEFASDFSINHTTVKLGCCEWWIKRSKRIAALEFDRVRKSMSVIVRESTGSNRLLVKGAFESVLERSSHVQLPDGSFALLDEACKQLIMSNVHEMSSKGLRCLGFAFKDDLGEFSDYNSETHPAHKWLLDPVNYSEIESNLIFVGVVGLRDPPRDEVHKAIEDCNCAGIKVMVITGDNKSTAEAVCQEIGLFLDKTSLKGKSFTGKEFTALPVSKQIEILSKPGGIVFSRAEPRHKQDIVRLLKDMGEIVAMTGDGVNDAPALKLADIGISMGITGTEVAKQAADMVLADDNFSTIVSAVAEGRAIYNNMKSFIRYMISSNVGEVISIFLTAALGIPECLIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRKSNDALINSWVLFRYMVIGSYVGLATVGVFVMWYTQPSFMGIDLASDGHTIISLAELRSWGQCSSWTDFLPNPFLAGDREISLADPCDYFTVGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLIQMPPWRNPWLLLAMLVSFGLHFVILYVPFLASIFGIVPLSLNEWLLVILVSAPVVLIDEVLKFISRKQCWIDDHKQKMA >Ma01_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19944046:19947523:-1 gene:Ma01_g21070 transcript:Ma01_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Ma04_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5480896:5486664:-1 gene:Ma04_g07590 transcript:Ma04_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLFLAFPSALRGGRLLRPERPSLYRLREPTTPTCRRHCKDSSSMWPLQLLVPAGYTGQTPSALQYFCCHKLRGINCCLSTEVGEVQSPQLMVASGIADTNISRPYLARSPGGDLKFAATSSLQKEDMSRMARLPRSTLEFASRSLVEGKADECADCRDGTIDNESDVKTPPADNHSKDSPSSGGEGSPPAASPEGASGPAAP >Ma04_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18837854:18869426:1 gene:Ma04_g17770 transcript:Ma04_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLYRSSLPTNLLWLLLVLLSPFLVLVTAASLGSQARALLHWKSTLQGGQLLASWNIDSRPCNWTGVACSVTRKGRLVITDVHLPDMSLAGPLDAFNFSSLGSLATLNLSCNRLNGTIPPAIATLSQLVTLDLTGNLFVGRIPIEMGSMKGLQFLSLSQNQIMSSVPPSLSNLSDLTHLDLRQNKLRGAIPGELGRLEKLRFLELGDNQLSGSIPPGLGNLTRLYHLALYENQLTGSIPRQLGDLRDLVYFSLSNNSLTGTLLSTLGNLTKLQYLFSWRNHLSGFIPFEIGNLIDVFNLDLSSNMLTGSIPFSLGNMSKLNILHLFDNELSGSIPPQIGNLIDVFNLDLSSNMLTGSIPFSLGNMCKLNILHLYENKLSDPIPPSLGNLRKLTDLRLFTNRLSGALPSEMNNIIGLTSLQLSNNNFSGYLPPDICKGGALSYLAMYEDVRSHIATSLKKGYYRSLIPDQAKHVILHCMQLKSWVEVFPE >Ma11_p14960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20662496:20665424:1 gene:Ma11_g14960 transcript:Ma11_t14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSHFAVHADASFALRGFLSGTSVYSRMDGGCQKQQSLEPPRGCGTVMKLPYGCSFLPENSQPMSSIHGSRGIHVTRTLSESTRGVVSNRPLSPHLPVKKPQLRATFSISHRIFGASLASAILLIPIAWKFSLLLDV >Ma10_p28870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35625927:35633491:1 gene:Ma10_g28870 transcript:Ma10_t28870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFLACQKIRKVGYSFLANSRANYSTNHSALWSTYNLPLPCRLFSQYKVFCGQNNLFMLYKAEENVFQNNPLRKFSLLSARSSMTYRAQVAWKRLCAIYSYRGITSSPLSRIVCTTSLALTRSHLVPSILAFVAGEIALSKTAWADGEYFPSRNGLYMCAQDSHIFLTFILLALECLILFFRTIYLTVLFSPIIMMSPLADSCGSHFRKMWLHLVHATLENAGPAFIKWGQWAATRPDLFPGDLCTELAKLHTKAPAHSFSYTRKSIEKAFGRKLSDVFENFEEEPVASGSVAQVHRASLRFQYPGQQTKRLVVAVKVRHPGVGESIRRDFTIINLAAKMSNFMPTLRWLRLDESVQQFAVFMMSQVDLSREAAHLNRFIYNFRRWKDVSFPKPLYPFVHPAVLVETYEHGESVSHYVDELEGHSRLKSALAHIGTHALLKMLLEVEKSFSFWGSSKGDSVHPVECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYDVMQTLQTLLFRSDWAQSLSYTIEGLMAP >Ma10_p28870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35628014:35633491:1 gene:Ma10_g28870 transcript:Ma10_t28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVFTIQARSSMTYRAQVAWKRLCAIYSYRGITSSPLSRIVCTTSLALTRSHLVPSILAFVAGEIALSKTAWADGEYFPSRNGLYMCAQDSHIFLTFILLALECLILFFRTIYLTVLFSPIIMMSPLADSCGSHFRKMWLHLVHATLENAGPAFIKWGQWAATRPDLFPGDLCTELAKLHTKAPAHSFSYTRKSIEKAFGRKLSDVFENFEEEPVASGSVAQVHRASLRFQYPGQQTKRLVVAVKVRHPGVGESIRRDFTIINLAAKMSNFMPTLRWLRLDESVQQFAVFMMSQVDLSREAAHLNRFIYNFRRWKDVSFPKPLYPFVHPAVLVETYEHGESVSHYVDELEGHSRLKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRAQTKRPNKGLFKSKPHVVFLDVGMTAELSSCDRVNLLDFFKAVALRDGRTAAECTLKLSKNQNCPNPKAFIEEVEKSFSFWGSSKGDSVHPVECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYDVMQTLQTLLFRSDWAQSLSYTIEGLMAP >Ma10_p28870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35625927:35633491:1 gene:Ma10_g28870 transcript:Ma10_t28870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFLACQKIRKVGYSFLANSRANYSTNHSALWSTYNLPLPCRLFSQYKVFCGQNNLFMLYKAEENVFQNNPLRKFSLLSARSSMTYRAQVAWKRLCAIYSYRGITSSPLSRIVCTTSLALTRSHLVPSILAFVAGEIALSKTAWADGEYFPSRNGLYMCAQDSHIFLTFILLALECLILFFRTIYLTVLFSPIIMMSPLADSCGSHFRKMWLHLVHATLENAGPAFIKWGQWAATRPDLFPGDLCTELAKLHTKAPAHSFSYTRKSIEKAFGRKLSDVFENFEEEPVASGSVAQVHRASLRFQYPGQQTKRLVVAVKVRHPGVGESIRRDFTIINLAAKMSNFMPTLRWLRLDESVQQFAVFMMSQVDLSREAAHLNRFIYNFRRWKDVSFPKPLYPFVHPAVLVETYEHGESVSHYVDELEGHSRLKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRAQTKRPNKGLFKSKPHVVFLDVGMTAELSSCDRVNLLDFFKAVALRDGRTAAECTLKLSKNQNCPNPKAFIEEVEKSFSFWGSSKGDSVHPVECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYDVMQTLQTLLFRSDWAQSLSYTIEGLMAP >Ma04_p26680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28061436:28066919:-1 gene:Ma04_g26680 transcript:Ma04_t26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLREHLYDKTFLFGLKLWVVIGIIVAVSILGVLSILVICLNARSHRRSRRASNNLPVSQIPAVSKEIKEVRVEHVSASDFVARDGILLTLQDKSDGNESDKIMVHLGLGKSRHADENSHSDSFHYVEKDGSSHSGDEGSSGTVAMRRQSSYPITAPSPLTGLPEFSYVGWGHWFTLRDLEIATNRFSKDNVLGEGGYGVVYRGQLINGAPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMRQRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFDAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPNIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLDSDEPIPREDRRRRPNRAGGMEIDSQREGSDTDKSDNPDSIYSSRKNRPSPTK >Ma02_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17167950:17172882:1 gene:Ma02_g06120 transcript:Ma02_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILLDDIIGRLLEVKERKPGKKAHLKESEIRQLCAVSKEIFLRQPNLLEIDVPIKICGDIHGQYSDLLRLFELGGFPPASNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRKYSVKLWKVFTDCFNCLPVAALIDEKILCIHGGLSPSLTSLEQIQNITRPVDVPDSGLLCDLLWSDPNKDILGWGMNDRGVSFTFGPDKLSEFLEKNDLDLICRGHQVVEDGYEFFSDKQLVTIFSAPNYCGEFDNAGAMMNVDDTLMCSFRILQSSEKTKGFHF >Ma06_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9853698:9854404:-1 gene:Ma06_g14400 transcript:Ma06_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLEGKERAETLARIANGCQEWGFFQLVNHGIPVDLLEHVKKVCSECYRLRAEGFKASKPVQLLNKLVEEEGDAADAKRLDNVDWEDVFLLQDDNEWPANPPEFRETMKEYREELRKLAEKVMEVMDENLGFEKGSIRNSFSGNGE >Ma06_p14250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9780790:9788154:1 gene:Ma06_g14250 transcript:Ma06_t14250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVANVNISSGTSGDVLYKELWHACAGPLVTLPRENERVYYFPQGHMEQLEASTNQGLDQHVPVFNLPSKILCRVVNVELRAEPDTDEVYAHIILLPEPNRGEVTSPDPPFSEPERCKVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQDPPCQELVAKDLHRNEWRFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAITTGTLFSVFYKPRASRSEFIISLNKYIEAKSHKFSVGMRFKMRFEGDDTPERRFSGTIVGAIDKVSSQWADSEWRSLKVQWDEPSSTLRPDSVSPWELEPLIAAAPPSAQPVQRIKRARLPASPVGTPGPSPTPGSWKSQVETTQMFSFSGPQRGEEPYSSYKPACIFSSASQSGSIGFNASNAPSTAINSHAPSTAINSRLCWPIMTETQSDTFSASINREPCDRKQETSKGCRLFGIQLIESSGMGEMSPVPTISGVGVDQPAISLEVDSDLQSRPSNIERSDAPADNSEPEKLCLRSSWETQSRQPRSCTKVHMQGMAVGRAVDLTRLCGYDDLLYKLENMFSIEGELSGAVKKWVVVYTDNEDDMMLVGDDPWLEFCSMVRKIYIYTSEEAKRLSPKRKLPPVIGEVVKSGSKKALFDADADTNAAAGLKGLGGQDDVSG >Ma06_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9780744:9788154:1 gene:Ma06_g14250 transcript:Ma06_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVANVNISSGTSGDVLYKELWHACAGPLVTLPRENERVYYFPQGHMEQLEASTNQGLDQHVPVFNLPSKILCRVVNVELRAEPDTDEVYAHIILLPEPNRGEVTSPDPPFSEPERCKVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQDPPCQELVAKDLHRNEWRFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAITTGTLFSVFYKPRASRSEFIISLNKYIEAKSHKFSVGMRFKMRFEGDDTPERRFSGTIVGAIDKVSSQWADSEWRSLKVQWDEPSSTLRPDSVSPWELEPLIAAAPPSAQPVQRIKRARLPASPVGTPGPSPTPGSWKSQVETTQMFSFSGPQRGEEPYSSYKPACIFSSASQSGSIGFNASNAPSTAINSHAPSTAINSRLCWPIMTETQSDTFSASINREPCDRKQETSKGCRLFGIQLIESSGMGEMSPVPTISGVGVDQPAISLEVDSDLQSRPSNIERSDAPADNSEPEKLCLRSSWETQSRQPRSCTKVHMQGMAVGRAVDLTRLCGYDDLLYKLENMFSIEGELSGAVKKWVVVYTDNEDDMMLVGDDPWLEFCSMVRKIYIYTSEEAKRLSPKRKLPPVIGEVVKSGSKKALFDADADTNAAAGLKGLGGQDDVSG >Ma06_p14250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9780790:9788154:1 gene:Ma06_g14250 transcript:Ma06_t14250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVANVNISSGTSGDVLYKELWHACAGPLVTLPRENERVYYFPQGHMEQLEASTNQGLDQHVPVFNLPSKILCRVVNVELRAEPDTDEVYAHIILLPEPNRGEVTSPDPPFSEPERCKVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQDPPCQELVAKDLHRNEWRFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAITTGTLFSVFYKPRASRSEFIISLNKYIEAKSHKFSVGMRFKMRFEGDDTPERRFSGTIVGAIDKVSSQWADSEWRSLKVQWDEPSSTLRPDSVSPWELEPLIAAAPPSAQPVQRIKRARLPASPVGTPGPSPTPGSWKSQVETTQMFSFSGPQRGEEPYSSYKPACIFSSASQSGSIGFNASNAPSTAINSHAPSTAINSRLCWPIMTETQSDTFSASINREPCDRKQETSKGCRLFGIQLIESSGMGEMSPVPTISGVGVDQPAISLEVDSDLQSRPSNIERSDAPADNSEPEKLCLRSSWETQSRQPRSCTKVHMQGMAVGRAVDLTRLCGYDDLLYKLENMFSIEGELSGAVKKWVVVYTDNEDDMMLVGDDPWLEFCSMVRKIYIYTSEEAKRLSPKRKLPPVIGEVVKSGSKKALFDADADTNAAAGLKGLGGQDDVSG >Ma06_p20230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14274682:14281674:-1 gene:Ma06_g20230 transcript:Ma06_t20230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWSRGARGEFGRGSSSVRTWNRCGASSPIMNDLPISSPILFIVGESLVHTRGGYGWSSEGCSVRCIGTSKLGSCWTFRSSQTRSSMATLSYEVTVVPRFNFPAIFLERIIGSDLPVNLRAVACRAERNFEENQKTIMKMNGMSATFRTLYASSLGRFGSASEIETLPNKFKEDHDKPPRATLSAPSTEVNAKWGVYGKACRLDNQCMVDEIHLRRFDGLLENEGAHRCVMASITIKAPVREVWNVLTGYEALPEIVPNLAISKILSRDNNKVRVLQEGCKGLLYMVLHARVVLDLCEDFEHEIKFEQVEGDFDSFKGKWLLEQLGNQHTLLKYVVESRMHMDTFLSEAILEEVIYEDLPSNLCSIRDAVEDRVGIKNDQRDYSDEHIGSNSDPLHDDKSGQNEPSPNGNAVCTIRQRPKVPGLQRDVEVLKSELAAFISKYGQDGFMPMRKQLRLHGRVDIEKAITRMGGFRRIADLMNLSLAYKQRKPKGYWDNLENLQEEISRFQKNWGMDPAYMPTRKSFERAGRYDIARALEKWGGLQEVSRLLSLELRYPRRRSDAEQEGQNDLKASNEPNGQEKMSNKPLIAQDTHKWLMKLKDLDINWVE >Ma06_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14274685:14281688:-1 gene:Ma06_g20230 transcript:Ma06_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASACLGRLPSPHLSAGRNLVFLRPPPTSLADPRVFASRSLAGTDPSPKNHPHRDRAHKWKRKEQGVGRGERVGGGAEEVHCDVDVVSWRERRVRAWILVSADVESVWSVLTDYERLADFVPNLVYSGRIPCPHKGRIWLEQRGLQRALYWHIEARVVLDLQEFPNSANGSELHFSMVDGDFSKFEGKWTVKAGPRSSMATLSYEVTVVPRFNFPAIFLERIIGSDLPVNLRAVACRAERNFEENQKTIMKMNGMSATFRTLYASSLGRFGSASEIETLPNKFKEDHDKPPRATLSAPSTEVNAKWGVYGKACRLDNQCMVDEIHLRRFDGLLENEGAHRCVMASITIKAPVREVWNVLTGYEALPEIVPNLAISKILSRDNNKVRVLQEGCKGLLYMVLHARVVLDLCEDFEHEIKFEQVEGDFDSFKGKWLLEQLGNQHTLLKYVVESRMHMDTFLSEAILEEVIYEDLPSNLCSIRDAVEDRVGIKNDQRDYSDEHIGSNSDPLHDDKSGQNEPSPNGNAVCTIRQRPKVPGLQRDVEVLKSELAAFISKYGQDGFMPMRKQLRLHGRVDIEKAITRMGGFRRIADLMNLSLAYKQRKPKGYWDNLENLQEEISRFQKNWGMDPAYMPTRKSFERAGRYDIARALEKWGGLQEVSRLLSLELRYPRRRSDAEQEGQNDLKASNEPNGQEKMSNKPLIAQDTHKWLMKLKDLDINWVE >Ma06_p28060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29841157:29842505:1 gene:Ma06_g28060 transcript:Ma06_t28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMEAPEYLQGGRGTPHCAPEKGAGGDHFVVEDLLDFSNGGEEGDDWGFDAAAANSADDSSTVTAVECCSNSSSSRREPHFGCEPAFRSFDDACLSGDLCGPHEELAELEWLSNFVEESFSGEDVHRPQLVSGTNPTTSLSSYPAAAPAQPPRLRPEAPVLAKARSKRSRPAPCSWSSRALVFSPSTATASSPELELIKPPSAVPGNKAKKQASAAVVGSPREGQRCLHCQAEKTPQWRAGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVPSKHSNSHRKVLELQHHKEQEQPQPQQQLLLHDGGAAAAGGDGYLYRHVGPDFRPLT >Ma09_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11010490:11016665:-1 gene:Ma09_g15690 transcript:Ma09_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELNHCQTVTAESIVLLAQDPSATLPPAVDPAALVALLDCPSSTVPAAAYLNALLSLLSRSPPSPSLLSSLLLSFLRLFLTRRLPRSDAAPVFRLFAPHLPFLERTDLPSLLDLVVSDLSAVAEPDDALPLDLLPRLLDLAEGDELVDKMLDRLLAGEWSKSLLLKIVALLRELPRIGKARVSDFLEKIFSGMKVVDLQDLPSLIYQLLLLASKGINRREVISGILGFFGGFSKGQPSILRQVEGTVLMHVNFAVKQDPSLGREVLTIIRSDLRLINHFVVAVLFSMARVRRFNESCIGVLKMVVVTSHRDYKTSRDCKWLPDNLKEECLETAKRVEKSFLKAVNESNSGREHIVPSIVQFGFVLLESVDCDDGKQRGDSAGLMSIEELSITILMTLFEVHDISRNEIIEQCKFRLLSLKPQKGMPIIKLLGNLVQSYPYPMLEYIAYLKELLDYFTFLQERMAIAIIDALLPLIRFSHDLQDYIILVVRKAMFKREETARVAATDAVINIISMENSSKKNGLKSFEESSSQASCSQQGDIPSRVEGCLFQELSGLLRRCLSQQARVKEILYEGLVKLVMLEPTITSSIFDILWPHFLRVYLEDKDFPLQLDACFKLESGKVCVVEPLDHLLSCVSWLLLLQSHGKSEHQSEDSWPCFGFSLSQENEAGKATSTESFSNALFKIRRNLKNCKLQGPQGQREDSSCHSLQKEKNSCHWQILLRIVEVFVNIIVMEIEKAEGENKLLLEKELMEFAELHNNLEKDSSMNKQRTDSRKGTSKELSNKINGELQEYAQVNQSRTFLATSTIHYLLLTAIESYNFNFPNRSIASQNNRESSSSIIDLCLKMMLFVLKVCLRHLKFVGSIRSGTSGDPFRRLLCGDIRLLGKPVMQLVWLLKSVLEKDKDQRKKDASGKMNRENIDPLFLSLLCLNELFKMNFSGAELSELVNDLLSLAAPELDLETGRNADPGINEEKSFLNNYQHMRFLHLFLEKRIEPLYSSLIDLSLFRESEVLAELLLIVGNKLPPAQRNSHGNWAVSVCQSKKVENSSAAQNVLLLAIHLLPAPTDLIVACDMASELLKVMGSEDKDPEHRSVKYPVINQSTRNAIATIILRVAESCIVDLEWAVSKVKAISACNHVQLDLRKNLQFAENLHGPYLQEVLYSRSESLVYLLSSFVEMKLKDSQAEQLLKITTRFYKLLAPMTKLQIASKGSKQFLPSLKFQKLAEVTCIRLTSPLYNFVALVQRNQNAQHKGIISKIKRENRCIPDLIFQIENYEKYLIQLSKSNNVNLLRYAKRSIARDFKILETKKVVVGEETTEHEHTLSGSTSENGSSGESEGPDEENISEKTASAEPNGNITDVDSENDMNNQEMLIRKKRTKRSKVVEDSDEEA >Ma10_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29048410:29052056:1 gene:Ma10_g17840 transcript:Ma10_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPIPARLTAIIPVSLSLSLSLSLSLSLSLTCILQIKSIVLTLIDKLNVPVGYSIC >Ma02_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27106165:27111631:1 gene:Ma02_g21510 transcript:Ma02_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEINNKADLHGNALRPLAIRTPGSMKSTLSGKSTPRNSAPYRRLHTNRTPRKDSKAYSGKFNYIRGNSVVLWLLLITLWAYIGFHVQSKWAHGDHRKAELTGYKSKAGSADKMESTVTAENFNNSSGENLVVVLEGKNLTSKKLGHTLVKKLKEVSSKQNTTKKSNRRMVRRLRKLGGKLKGAVAEDRTGALEEGLIPRKNTSYGMIVGPFGKSEDRILGWSADKRRGTCDRKGEFARFVLSRSFVLIFHELSMTGAPLSMMELATELLSCGGTVSAVVLSMKGGLITELDRRGIRVLKDRAQFSFRTAMKANLIIAGSAVCSSWINQYLAHFPAGSSKIIWWIMENRRGYFDRSKDMLSRVKMLAFLSESQSKQWLTWCEEEHIHLTTQPMIVPLSLNDELAFVAGIPCSLNTPAFSVESMLEKRNTLRAAVRKEMGLGDNDMLVMSLSSINPTKGQRLFLESALLVAEHNVSLEVFEKNRSFAENFPVVTHQNKTIITVELNSSSISQSIKQDNVQQGNTTSAASKKRRRKRTKLANILSLGNHTSNSLTRGDQRKLRNLLSDSKGQEEQHLKVLIGSLGSKSNKVLYVKSMLRLLSQHSNLSKLVLWTPATTRVPSLYAAADVYVINAQGLGETFGRVTIEAMAFGLPVLGTDAGGTREIVEHKVTGLLHPVGQQGIQVLGQNIQYLLSNPSARNKMGMLGRRQVQEKYLKNHMYNRFAKVLAKCMKIK >Ma10_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25349242:25353403:-1 gene:Ma10_g12060 transcript:Ma10_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNDNANGSMAQQLLFQQHRQQLFLMQQQQLQQQLQRQQQQQQAVSRFPSNIDAHLRDPSFRSLHFQAPTPPAAAQPSSSQPLPSSVLHHPPQPQSARPAAVGVEVGVGRPGNPVEVEMAQKDALMVCNPDFKCPFASVEDAVLRLLPYHVVSDYEAEEDDRILDGDTLGHIISRLQQWDQNILTKIAEFTTTFEKQVLAFNILSRKRAQGEFRSEERLMIEQALMQEEKQALLEIRAEMESREKAGREPTEAKMRMAMAQAEHARAEAQVHAEMYAHAPMRVSTATSLGDEGSGHDMGQEQGGNMDEIHGWGSAQREDEEPSQDFLNDENGTENVVTRAQGEWREAGELDLNSR >Ma09_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1997545:2001532:1 gene:Ma09_g02920 transcript:Ma09_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRRRMEMAAAISLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGDFTKLAYFSDICVGSIACRLEKKEGGAICVYIMTLGVLAPYRGLGIGTKLLSHVLDLSCKQNISEVYLHVQTNNDDAIAFYKKFGFEVVDTIQNYYTNISPPDCYVLSKSIAQDHAKK >Ma07_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9426711:9430443:1 gene:Ma07_g12530 transcript:Ma07_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFMLLVLLASSAILVDCRREVSIDCGFSGDDAYYDDRTSKIYAPDAEYIDTGANHEIDESYVTGQPVQAQNLRSFPDGVRNCYTINDVTQGDKYLIRASFLYGCYDGGQQMRHGRSLTFDLYFGVNFWQTMNITVASHLYISEIITLASSDYFSICLVKSGDGIPFISALELRQLDSELYKDVNQSVSLRLSERKSMGLDQTIRFPDDIYDRIWQPGYTSRAASYSRDVRRNSTVLTTTAELGSDDAYKVPSAIMQSAAVSSDNNTLILSLSNGDDVVMAPMFYVYLHFAELRAPKGNEKSIFQLRVPGSRPRLINISLEYLVARHIQLIDQANSDPSTFYLNLTRVPGSLPPLLNAMEAYSYVDLTKISTDQGDVDAMMMIKRLLYHTEWQGDPCVPVQFAWNETKCSFPISTPPRVTSLDLFNRGLKGDIPAAIGNLSAITYLNLSRNTFTGPIPPFLANLNSLQTLDLSGNQLDGSLIDVLCNRQANGSLRLRYLSQFSVHLPIP >Ma03_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11170834:11171373:1 gene:Ma03_g13990 transcript:Ma03_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSIFILLAVAVLLLHHHLLPGVEASVEKACRDAANRSRKINYDFCVAELRPYPGSQVADQKWLAVIAATLTKDKATRASDKVKGLLANTSDTKTKKCLESCESIYEDLLSDLKTSILAIKGGRLGDAKTHLSAAVDAPSTCEQGFEELKVPSPLNKVDSDLTQISTIALAFTNMLG >Ma08_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15461951:15468338:1 gene:Ma08_g15380 transcript:Ma08_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGGIVRPPSKPLVPRHPSWRFAPTSKIQRQQPPAILRWTPKASGDADAAVPSSSSVDTAGPGDLAEKNPAGFCIIEGPETVQDFAKMELQEIQDNIKSRRNKIFLHMEEVRRLRIQQRIKNAELGIFNEKQENELPDFPSFIPFLPPLTPANLKVYYATCFTVIGGIIIFGGLLAPTLELKLGLGGTSYADFIRSMHLPMQLSQVDPIVASFSGGAVGVISALMIVEINNVQQQEQKRCRYCLGTGYLACARCSSTGALVLIQPVATVNDGDQPLSPPRTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >Ma03_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14823608:14829738:1 gene:Ma03_g15060 transcript:Ma03_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVVLALRTASAWWDEVNDSSLWQDRIFHALSLLFGLISAVALIQLIRIECRVPEFGWTTQKVFHLLNFLVNGVRSLVFVFRRGVQKMNPIIRHILLDFPGLAFFTTYALLVLFWAEIYYQARSVSTDGLRPTFYTINAVTYVIQIALWLVLWWKPIQAMIIISKIFFAGVSFFAALGFLLYGGRLFLMLKRFPVESKGRRKKLQEVGYVTTICFLCFLLRCIMVCFNAFDKAADLDVLDHPILNLLYYLLVEILPSSLVLFILRKLPPKRGITQYHPIH >Ma03_p15060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14823742:14829738:1 gene:Ma03_g15060 transcript:Ma03_t15060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVVLALRTASAWWDEVNDSSLWQDRIFHALSLLFGLISAVALIQLIRIECRVPEFGWTTQKVFHLLNFLVNGVRSLVFVFRRGVQKMNPIIRHILLDFPGLAFFTTYALLVLFWAEIYYQARSVSTDGLRPTFYTINAVTYVIQIALWLVLWWKPIQAMIIISKIFFAGVSFFAALGFLLYGGRLFLMLKRFPVESKGRRKKLQEVGYVTTICFLCFLLRCIMVCFNAFDKAADLDVLDHPILNLLYYLLVEILPSSLVLFILRKLPPKRGITQYHPIH >Ma05_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:238167:239154:-1 gene:Ma05_g00340 transcript:Ma05_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDVPGYHERYMEFPRSRIVKEVLRPNDELRKCDKKETHLSGRICEARASTPPLPKDHNEESVVVERTQCRFAASETTGKRGPALFPSSSHIKLTSFGDTPSTADKREAKKLTEPAETNLFLESACFRRRKMIRLTQRCDRTASGEVGVSPISLDLLPYTLWTLVDVERITVHVDALCFADGMGWKQMRAVPFQPSFGTPCAGLDHCFPRTDSIEPNRIGPTICL >Ma10_p30960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37186546:37189127:1 gene:Ma10_g30960 transcript:Ma10_t30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACLSGGGGGRTYGFDLDIVKPSSSSSGRSLHSSSPSSTLSESSNLPLAISIKKARTPRKRPNQAYNEAAALLSTIYPSVFSAMELKKLSKNTRPFDSFPEFSELLPPFPVLGDAAVLIHKPQSEKPAAIRLELKHKNPVEECTSQMSSVSQKPNSPDPLHDYFDAESILDEEVEEGIDSIMGNLSMNTPTEDSNNEVSSDRNSPVNFLLGSLTGHTTCGGSELELELGLRNGSILRRASRDQDEGDWWRFPAVPVQDIFPNLKPAATIPALEKKKKKMKKKKNTAGTISNLSQEESKAGLGLKLNHEEVIKAWSDRSSIFSDHPESPDSSTAAPVRLEDINLFPDTTGADGTR >Ma01_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19589781:19600537:-1 gene:Ma01_g20790 transcript:Ma01_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDKKPDHDSWQEKERATRAWRVLLFGLVGATATTFVTGQLRRTADWVYTQLSRLRASSSWRNTSSSNHGGSSQDAWKRYYRTRMQEEYEEERERVERIRRMQSVFNRDRNKHKKSYETWKYSDPGAYRYIPRDDWYYETDSSYREQRSNYNYTPRSRVNCTMSHHYTVLGLDRSRAEPYSDVDIKTAFRAKAMEYHPDQNPDNKEAAEAKFKEIIMSYEAIKLERSQS >Ma09_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35393496:35399068:1 gene:Ma09_g23560 transcript:Ma09_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSAAAHPKAKTRPGGGKMPTAQQAIFEMKQRVVLALNKLADRDTHRIGVEELERAAEGLAPDMVSPFLSCVAETDADQKSGVRRECVRVMGALARSHGGLLAIHLGKMVGSIVKRLKDTDSVVRDACVETCGVLASSVRDGGGATFVALARPLFEALGEQNRYVQVGAALCLARVIDEASDTPQSILPQMLARVIKLLKNQHFMAKPAIIELIRSIIQAGCALAEHALSAAVNSILEALKSNDWATRKAASVALAGIAVNPGSSMAPLKSTCIRSLESCRFDKVKPVRDSILHAIQCWRALPGTGSPEPSEVGSSTKENFGGDLNDVTSASDSGWRDTFVRKIGPVPCPSGSSTCSTQKRAPLSARKLCTNNAPTHQHLKPSDWHIEISVPKSRAMPLIATDCRESERSCASSAFERRVVNTAGVEDINFGYSPDHKPDYSSVSDLASGSYEIKHATASNDGPRDNDSTNITGRNNSVIEDSGPECLRTQERKSLDSTVTDLCSHSMHGCCLHAANELAIIKQQLLQIETKQSNLLDLLQVFMRSSMDNVSKLQSKVNDLEHAVDTITYSVVECENYSSMGCSKILKKVQPGSSSPKLSAVTPRPLVGDNYKQQSTLSSKSMEIWGDNLASFSRSSTSVKEGVEKDSTLGVPKNPTGDSMNNNSGRSLRCVRSQEKDPKNASARLSNFKDVTGFWKQVKEFLSMGNVESAYVEAILSGDDLSLVQLMDRTGPVLDRLSCETTDEVLTIMATKFVDQRFLEFAIPWLQQVVDLSMANEPRHLFLTTKAQMEFLFALQEAAIREFTDPVVRMSISRFGARLGQLWHVAPCRKALPPRGSQGNKKHAF >Ma09_p23560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35393496:35399371:1 gene:Ma09_g23560 transcript:Ma09_t23560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSAAAHPKAKTRPGGGKMPTAQQAIFEMKQRVVLALNKLADRDTHRIGVEELERAAEGLAPDMVSPFLSCVAETDADQKSGVRRECVRVMGALARSHGGLLAIHLGKMVGSIVKRLKDTDSVVRDACVETCGVLASSVRDGGGATFVALARPLFEALGEQNRYVQVGAALCLARVIDEASDTPQSILPQMLARVIKLLKNQHFMAKPAIIELIRSIIQAGCALAEHALSAAVNSILEALKSNDWATRKAASVALAGIAVNPGSSMAPLKSTCIRSLESCRFDKVKPVRDSILHAIQCWRALPGTGSPEPSEVGSSTKENFGGDLNDVTSASDSGWRDTFVRKIGPVPCPSGSSTCSTQKRAPLSARKLCTNNAPTHQHLKPSDWHIEISVPKSRAMPLIATDCRESERSCASSAFERRVVNTAGVEDINFGYSPDHKPDYSSVSDLASGSYEIKHATASNDGPRDNDSTNITGRNNSVIEDSGPECLRTQERKSLDSTVTDLCSHSMHGCCLHAANELAIIKQQLLQIETKQSNLLDLLQVFMRSSMDNVSKLQSKVNDLEHAVDTITYSVVECENYSSMGCSKILKKVQPGSSSPKLSAVTPRPLVGDNYKQQSTLSSKSMEIWGDNLASFSRSSTSVKEGVEKDSTLGVPKNPTGDSMNNNSGRSLRCVRSQEKDPKNASARLSNFKDVTGFWKQVKEFLSMGNVESAYVEAILSGDDLSLVQLMDRTGPVLDRLSCETTDEVLTIMATKFVDQRFLEFAIPWLQQVVDLSMANEPRHLFLTTKAQMEFLFALQEAAIREFTDPVVRMSISRFGARLGQLWHVAPCR >Ma06_p33500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34118484:34126127:1 gene:Ma06_g33500 transcript:Ma06_t33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGVPLCSTCGEPVGLSSADKEEVFVACQGCNYPLCSACLEDEVREGRESCLRCGEPYVRNVTEKATEESSNHEASGVRLRTAGHVHDHQENGGHVRNSSSLSMVESEVNGESGNPLWKNRVDSWMEKKSKKKTSKKAEKAQIPVEQQMEDQESPEAGQPLSRIIPLSPNKLTPYRAVIIMRLIVLGLFFNYRVTNPVDSAYGLWLTSVICEIWFAVSWVLDQFPKWSPINRQTYIDRLSARYEKEGEESHLAPVDFFVSTVDPLKEPPLITGNTVLSILSVDYPVEKVSCYVSDDGSSMLTFESLVETAEFARKWVPFCKKYSIEPRTPEFYFSQKIDYLKDKIQPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGHSGAHDIEGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAILTNAPYILNLDCDHYVNNSKAVREAMCFMMDPEVCRDVCYVQFPQRFDGIDRSDRYANRNIVFFDVNMKGLDGIQGPVYVGTGCVFNRQAMYGYGPPSLPVLPKSSFCSSFCCCCRRSKKAPDDQNDVYRDARREDLDSAIFNLKEINNYDEYERSQLISQTSFEKTFGQSSVFIESTLMEYGGVPESANPSTLINEAIHVISCGYEEKTHWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPSRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLAYINTIVYPFTSLPLIAYCSLPAICLLTGKFIIPTLSNIASVWFLGLFISIILTSVLELRWSGVGIEDWWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKATDDTDFGELYVFKWTTVLIPPTTILVVNFVGVVAGFSDALNNGYESWGPLFGKVFFALWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFISNSAAAKSENCASINC >Ma07_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31104107:31104302:-1 gene:Ma07_g23460 transcript:Ma07_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTAASGSRLRAGACSSPQRPLASIFHPSLVLFDVS >Ma04_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7234362:7236257:1 gene:Ma04_g10100 transcript:Ma04_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWSFLLWVVCLTTARTALLWKLVIYREVCSTAQYRFSTDGIHRLIHGSRLILQILVPLLRSPWPVVGNLYDIKPVRVRCFAEWAEAYGPIVSVWFGTTLNVVVSRSELAREVLRDKDQQLVDRARSRSATRFSRDGTDLIWADYGPHYVKVLKLCNLELFSSKRLDALRPIREDEVIAMVESIFKECSHPDKTGKSLVLLDHLAAVAFNTMTRLVFGKRFVRPDGEAQGHFVDALMMLKDQYDLSEDSIIGILWDMITAGVGHDSHTSGMGHGGDREEPRTSLTWSAFVKESLRLHPPTPLMLPHKAKIAGYDIPRSATVIVNIWAIARDPKTWEQPTEFRSDRFLEEDIDVKGHDFRVLLFGAGRDEAATAFVSACTCRD >Ma01_p11090.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7991694:8003539:1 gene:Ma01_g11090 transcript:Ma01_t11090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNNGLHQESMNPLFHHPRMVSFQLGAMNSSTGMISSDLCSLNENGSMASMFMSADSSMINHMDATTLARYPAGSVVGEPMPRFVHVSGSPAYWSPEEVELLNIGLIKYANEPSIQKFTKIAALLPRKTIRDVALRCQWMINKENGKRRKVEENYAAKKVKDMKEKMMGAPSTATIHRSPISLTMNHMNIHDQLPSEEILRLLDENDGCLREIARNLNGSMIQENINLFHYTKNNIMMIENRINMKAAAMKQLPGRMRQLPPLPVSVNDELLSGLIPLIGNM >Ma01_p11090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7991694:8003539:1 gene:Ma01_g11090 transcript:Ma01_t11090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNNGLHQESMNPLFHHPRMVSFQLGAMNSSTGMISSDLCSLNENGSMASMFMSADSSMINHMDATTLARYPAGSVVGEPMPRFVHVSGSPAYWSPEEVELLNIGLIKYANEPSIQKFTKIAALLPRKTIRDVALRCQWMINKENGKRRKVEENYAAKKVKDMKEKMMGAPSTATIHRSPISLTMNHMNIHDQLPSEEILRLLDENDGCLREIARNLNGSMIQENINLFHYTKNNIMMIENRINMKAAAMKQLPGRMRQLPPLPVSVNDELLSGLIPLIGNIHQM >Ma01_p11090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7991694:8003539:1 gene:Ma01_g11090 transcript:Ma01_t11090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNNGLHQESMNPLFHHPRMVSFQLGAMNSSTGMISSDLCSLNENGSMASMFMSADSSMINHMDATTLARYPAGSVVGEPMPRFVHVSGSPAYWSPEEVELLNIGLIKYANEPSIQKFTKIAALLPRKTIRDVALRCQWMINKENGKRRKVEENYAAKKVKDMKEKMMGAPSTATIHRSPISLTMNHMNIHDQLPSEEILRLLDENDGCLREIARNLNGSMIQENINLFHYTKNNIMMIENRINMKAAAMKQLPGRMRQLPPLPVSVNDELLSGLIPLIGNIHQM >Ma01_p11090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7991694:8003539:1 gene:Ma01_g11090 transcript:Ma01_t11090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNNGLHQESMNPLFHHPRMVSFQLGAMNSSTGMISSDLCSLNENGSMASMFMSADSSMINHMDATTLARYPAGSVVGEPMPRFVHVSGSPAYWSPEEVELLNIGLIKYANEPSIQKFTKIAALLPRKTIRDVALRCQWMINKENGKRRKVEENYAAKKVKDMKEKMMGAPSTATIHRSPISLTMNHMNIHDQLPSEEILRLLDENDGCLREIARNLNGSMIQENINLFHYTKNNIMMIENRINMKAAAMKQLPGRMRQLPPLPVSVNDELLSGLIPLIGNIHQM >Ma01_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7991694:8003539:1 gene:Ma01_g11090 transcript:Ma01_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNNGLHQESMNPLFHHPRMVSFQLGAMNSSTGMISSDLCSLNENGSMASMFMSADSSMINHMDATTLARYPAGSVVGEPMPRFVHVSGSPAYWSPEEVELLNIGLIKYANEPSIQKFTKIAALLPRKTIRDVALRCQWMINKENGKRRKVEENYAAKKVKDMKEKMMGAPSTATIHRSPISLTMNHMNIHDQLPSEEILRLLDENDGCLREIARNLNGSMIQENINLFHYTKNNIMMIENRINMKAAAMKQLPGRMRQLPPLPVSVNDELLSGLIPLIGNIHQM >Ma11_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:348198:349846:-1 gene:Ma11_g00520 transcript:Ma11_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEVAEQGEYTAKDYTDPPPAPLVDVEELTKWSLYRAAIAEFIATMLFLYVTVLTVIGYKHQSDPNVNPTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAFLYIVAQCLGAICGVGLVKGFQKAYFVRYGGGANELSDGYSKGTGLGAEIIGTFVLVYTVFAATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWIFWVGPAIGAAIAAAYHQYVLRASGVKALGSFRSSA >Ma03_p29470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32367762:32371562:1 gene:Ma03_g29470 transcript:Ma03_t29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGNKKPLGNRPTIRTLADLNRSSGHGSDSDSDGPQEYYTGGEKSGMLVQDPSKHGHNVDGIFEQARQMGAMQGPIEANHPSSSSRSFTGTGRLLSGETVPAAPQQPENIVHDIHFWTNGFTVNDGPLRRFDDPENAPFLESIMKSECPKELEPADRRSVVHVNLVRRAENCPEPARRLAPFQGAGRTLGSGSSNSSGSELADTATASSSTPSSSTGLAVDESKPSTTIQLRLADGTRMIARFNTQHTIANIRSFIDASRPGAPSSYQLQTVGFPPKQLNDPSKTIEEAGLANSVVIQKL >Ma06_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14904950:14905995:1 gene:Ma06_g20750 transcript:Ma06_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLEAEKQPAQVQVRSVWADNLEAEFDNIREAVERYPFAAMDTEFPGVVHRSRKHPAHLSTAERYALLKANVDDLHLIQVGLTLSDAAGNLPDLCDDGGVRYIWEFNFSDFDLYRDRYAPESIDLLKSNGIDFEKNRARGIDSRHFAELLMSSGLVCNDSAVSWVTFHSAYDFAYLIKILTCRRLPEHLGGFMALVRVFFGDKVFDMKHMMKYCHSLYGGLERVASALEIDRAVGRCHQAGSDSLLTWQAFRRMKELFFVEDAGEKHAGVLYGLEVADP >Ma04_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23699240:23703528:-1 gene:Ma04_g21050 transcript:Ma04_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGPLFLVPSLWSHGLLIVDYIHFRHHCRRGPWEASRRFLTMGIAVSTVSPRRSVHSCSMSRCNRYIVVYFHLAGVMHADWASHFGYLAYLNDARHCLVLLIVSCYSMFSLLFEPQVLLIKVLLMDQHLCKSQSLNMWSTSWPHWKTFMCSDKDEIFNCDVMFFTLETSIESARNTKLDMIQMGPQHSLYQLREYLIKPLLNAHNSVPKDSYTC >Ma01_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2616485:2619875:-1 gene:Ma01_g04010 transcript:Ma01_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEESSSRSHEETEENGSQNKPSESTGACDSHRGRWLHGWALDPCTKWVREWNRIYLLACALGLIVDPLFFYTLSVSGALKCIFVDGWFAVTVTALRCMIDAMHVWNIWLQLKMAYGAKRSEGEEKGEKGGGGNFMPIYVKSKRGFFLDIFVVLPVMQIVTWVGAPALLRRGATTTVMTVFLITFLFEYLPKIYHSVCILRRMQNFSGYVFGTIWWGIALNLMVYFVASHAVGACWYLLGIQRAEKCLRVQCSRVKGCGPKTMACMDPIYYGAATRTRDEARLDWAKNLEAKTRCLDSSDYFQYGAYKWTVSLVTNTNRLEKILLPIFWGLMTLSTFGNLESTTEWLEIVFNIIIITSGLILVTMLIGNIKVFLHATTSKKQAMHLRIRSVEWWMKRRNLTQGIRQRVRQYERQRWAATRGVDECEMIRNFPDGLRRDIKYHLCLDLVRQVPLFQHMDELVLENICDRLKSLIFPKGEVIAREGDPVRRMLFVVRGHLQSSQALRDGIRSCCMLGPGNFSGDELLSWCLRRPFVERLPPSSSTLVTLEPTEAFGLEAGDVKYVTQHFRYTFTNERVKRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLTSLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPDQDNFL >Ma10_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25864172:25876191:-1 gene:Ma10_g12880 transcript:Ma10_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPVSPLHLRVLFVHRLFSSFSAARTRPPPPLSFSPFPPFRPRPRLLFTPRTLAVQAPRIELGVRAFKSSSVASEKLQEKANQTYGSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNSIDEAQAGYATKIDVILHEDNSVSITDNGRGIPTDIHPVTKKSSLETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSEALEITVWRDGKEYRQNYSRGKPVTTLTCKSLPVEVTQKGTCIRFWPDRDVFTTSIIYDFNTIAGRVRELAFLNPELTIALKKEGDSKEQYNEYCYAGGLIEYVKWLNTDKKPIHDTVAFRKETDGVTVDVALQWCSDSYSETMLGYANSIRTIDGGTHIDGMKASLTRTINNLGKKSKAMKDKDISLSGEHVREGLTCIISVKVPNPEFEGQTKTRLGNPEVRKVVEQCVQEHLTEYLELHPDVFESILSKALNALKAAMAAKRARELVRSKSVLKSSSLPGKLADCSSTNPEECEIFIVEGDSAGGSAKQGRDRRFQAVLPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFRKDALRYHKIIILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKHIHYCYDDTELKRLTKTFPTNSSYNIQRFKGLGEMMPLQLWETTLDPERRLLKQLVVEDAAEASVVFSSLMGTRVDVRKELIQNSANMINLEHLDI >Ma10_p12880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25864172:25876191:-1 gene:Ma10_g12880 transcript:Ma10_t12880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPVSPLHLRVLFVHRLFSSFSAARTRPPPPLSFSPFPPFRPRPRLLFTPRTLAVQAPRIELGVRAFKSSSVASEKLQEKANQTYGSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNSIDEAQAGYATKIDVILHEDNSVSITDNGRGIPTDIHPVTKKSSLETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSEALEITVWRDGKEYRQNYSRGKPVTTLTCKSLPVEVTQKGTCIRFWPDRDVFTTSIIYDFNTIAGRVRELAFLNPELTIALKKEGDSKEQYNEYCYAGGLIEYVKWLNTDKKPIHDTVAFRKETDGVTVDVALQWCSDSYSETMLGYANSIRTIDGGTHIDGMKASLTRTINNLGKKSKAMKDKDISLSGEHVREGLTCIISVKVPNPEFEGQTKTRLGNPEVRKVVEQCVQEHLTEYLELHPDVFESILSKALNALKAAMAAKRARELVRSKSVLKSSSLPGKLADCSSTNPEECEIFIVEGDSAGGSAKQGRDRRFQAVLPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFRKDALRYHKIIILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKHIHYCYDDTELKRLTKTFPTNSSYNIQRFKGLGEMMPLQLWETTLDPERRLLKQLVVEDAAEASVVFSSLMGTRVDVRKELIQNSANMINLEHLDI >Ma10_p12880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25864172:25876191:-1 gene:Ma10_g12880 transcript:Ma10_t12880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPVSPLHLRVLFVHRLFSSFSAARTRPPPPLSFSPFPPFRPRPRLLFTPRTLAVQAPRIELGVRAFKSSSVASEKLQEKANQTYGSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNSIDEAQAGYATKIDVILHEDNSVSITDNGRGIPTDIHPVTKKSSLETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSEALEITVWRDGKEYRQNYSRGKPVTTLTCKSLPVEVTQKGTCIRFWPDRDVFTTSIIYDFNTIAGRVRELAFLNPELTIALKKEGDSKEQYNEYCYAGGLIEYVKWLNTDKKPIHDTVAFRKETDGVTVDVALQWCSDSYSETMLGYANSIRTIDGGTHIDGMKASLTRTINNLGKKSKAMKDKDISLSGEHVREGLTCIISVKVPNPEFEGQTKTRLGNPEVRKVVEQCVQEHLTEYLELHPDVFESILSKALNALKAAMAAKRARELVRSKSVLKSSSLPGKLADCSSTNPEECEIFIVEGDSAGGSAKQGRDRRFQAVLPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFRKDALRYHKIIILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKHIHYCYDDTELKRLTKTFPTNSSYNIQRFKGLGEMMPLQLWETTLDPERRLLKQLVVEDAAEASVVFSSLMGTRVDVRKELIQNSANMINLEHLDI >Ma10_p12880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25864172:25876191:-1 gene:Ma10_g12880 transcript:Ma10_t12880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPVSPLHLRVLFVHRLFSSFSAARTRPPPPLSFSPFPPFRPRPRLLFTPRTLAVQAPRIELGVRAFKSSSVASEKLQEKANQTYGSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNSIDEAQAGYATKIDVILHEDNSVSITDNGRGIPTDIHPVTKKSSLETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSEALEITVWRDGKEYRQNYSRGKPVTTLTCKSLPVEVTQKGTCIRFWPDRDVFTTSIIYDFNTIAGRVRELAFLNPELTIALKKEGDSKEQYNEYCYAGGLIEYVKWLNTDKKPIHDTVAFRKETDGVTVDVALQWCSDSYSETMLGYANSIRTIDGGTHIDGMKASLTRTINNLGKKSKAMKDKDISLSGEHVREGLTCIISVKVPNPEFEGQTKTRLGNPEVRKVVEQCVQEHLTEYLELHPDVFESILSKALNALKAAMAAKRARELVRSKSVLKSSSLPGKLADCSSTNPEECEIFIVEGDSAGGSAKQGRDRRFQAVLPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFRKDALRYHKIIILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKHIHYCYDDTELKRLTKTFPTNSSYNIQRFKGLGEMMPLQLWETTLDPERRLLKQLVVEDAAEASVVFSSLMGTRVDVRKELIQNSANMINLEHLDI >Ma09_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9342043:9342423:1 gene:Ma09_g13760 transcript:Ma09_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGKLNKLKCMIKRWHSSNKITRSAGGRGGSSDSSSRSATSFQGDEVPPGLHTVYVGKSRRRFLIRSDLAGHPLFRVLVERSGGSAADDSGTVVDCEVVLFEHLLWMLENADPQPEMVDFYSCC >Ma04_p30210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30944270:30945652:-1 gene:Ma04_g30210 transcript:Ma04_t30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRRGAERLLGPTARCDGGGAADLPDLSEDDVWPAVLTAADDHPAFSAAVDDGDHHDMGRPGTGLTGARRWADRHVGGLSLALEDAYPGAPAPHPPYERHRVVAAAASAPVDVPAWPWALRTRSGGPSPEREEEDADGEWLPPHEYLARAHGRCLATSVLEGAGRTLKGRDMSRVRDAVWSQTGFSG >Ma07_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30248926:30250352:1 gene:Ma07_g22200 transcript:Ma07_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPMFRLGNEDMGPPWLRPLLKTSFFTHCEVHGDSNKSECNMYCLDCMGNALCSYCLPDHKDHHVVQIRRSSYHNVIRVSEVSKFIDISYIQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSIGCKLGGMKTDPDLTFALRPKPGREWMHGSESDESSTPRKVRKTLAFGRSIVVPAASAAGSEGGSISPGTPPILSYRTSRRKGIPHRAPF >Ma09_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:971740:972213:-1 gene:Ma09_g01320 transcript:Ma09_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWAPSIIATALFAFLCPGVILQLPGKHRPVDFLNMKTSVVAILAHALLFGLLLLLFLVILKAHLYI >Ma09_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34270862:34272018:-1 gene:Ma09_g22300 transcript:Ma09_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNNEFEVGECKGQKLVDGEQMPLVLRPAEAQKRGLCSLVATLKANKEWFEEKLLQHSAVLFRGFDVRDAEGFNEIVDAFGWDDIRYVGPAPRTHVHKRVWTANEGPLSEFIYYHHEMVLINEFPTKVILFCQVPPPEGGETPFVPSFRVTERMLEEYPDNVREMEEKGLRYTFTAVSKNDTTSMRGRGWEDAFGTSDPVEAERRARALGMNIEWLPNGGATTVLGPRPLTRVFPGRKARRMWFNTVVGMHGKETSSATMADGSEIPEHVVKRCGEIIEEESVQFKWEEGDVLFLDNLALLHGRRPSMPPRKVLVATCK >Ma04_p37270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35267312:35269948:1 gene:Ma04_g37270 transcript:Ma04_t37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGPLVLKCCVLFLLLNGLAFRVSQGQLLPVVDDRGDSTTSYRLRTYIVHVKRPEGARLLTRHELKQWHESFLPNTTLDSGKRRLIYSYREVISGFAAKLTPEEATAMRSMEGFVYARLEVTHRIATTHTPQFLGLSQTGGAWDSGNEGKGVVIGVLDSGIWPHPSFGHDGMPAPPQKFKGSCIPWSGVTCNNKIVGARGFLEGEEVIAIDHHGHGTHVAGIAAGNFVEGAEVLGMAAGNASGIAPKAHLSIYKVCFDDVDCPDSGILAAIDQAIEDRVDILSMSLGTTAATSYYFEDAVQLGSLTALRHGIVPVTTAGNGGPMFNTLHHDAPWVLTVGATSTDRRIRAKVKLGNGEEFAGESAYQPDSFDSSIMRTIVYPGEDHCSAESLKTIDVRDKIVLCSAGQTEEVDKGKAVSEAGGAAMIIMNRKKDGYTTSAEAHVLPVSHLSYEDGSKVLAYFKSQKSSSTATIIFGGTVLEQRPSPTVASFSSRGPNNGNILKPDVLAPGVNILAAWPFMVGPNASATPSNPTFNMISGTSMAAPHVAGIVALIKNSHPNWSPSAIHSAIITSAKDTDSDGNYIIDEHTNEAANVFAVGAGQVNPSGALDPGLVYEIDPAIYPKYLCSLGYTTAMVELMWHESGVQCEAEKHINPWDLNYPSISVVLSPDEVIKVSRTVKHVDGSAETYRARVTAPPGIAVELSKQELQFSGPDKEDTFDIDISIESLDKTSRPYGRGKIEWDSGTHVVTTPIAVEIGF >Ma07_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:18381746:18383133:1 gene:Ma07_g17480 transcript:Ma07_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEETDAAAAEEPVADAAPSDPVAEEDPVAAADADAAAAAAAAADAKPSKGKKAKGPSKAKAKAKKPATPRKPSAHPPYAEMIMEAIVTLKERTGSSQYAIGKFLEDKHKNHLPGNFRKILLGQLKRLTAAGKLKKVKNSYKVAAATATSSSSSSAAPTKPKPKPKAATKKPAAPTKTKPKAKAPAAAKPKPKAKPIPAAKPKPKAKPASPAKPKAKPAASPAKPKAKVKAQAAAKAKPAAKPKLAAARPKRRTPARLVKAAKTSARDTPGKKAAPAALASSPAKSAAAPKKAAAAAKKNTRKAVVPAAKTTTKKAKK >Ma05_p10280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7411786:7417495:1 gene:Ma05_g10280 transcript:Ma05_t10280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTEQFQYVDSHEAIEFCDQHGAFGFTSSSGDAYSCYQNTNSFHEAYGEQLSYPSTLGGQALAPNTLGSYHELNSHKSSMIAHVVETPLTCSTGDSRDFYNSFQNTSFMSRNSSLHLTQQHNAAIVQAQVQMSPTTKLLSVSHCPVNSGAMYLKTNSCSNTNVKQGLSSDSPSSRKFVLNDFMQRPRSSEIVKTYIYGAEKLPSPLGDLSGVPVTRQCDQQSEHVIDNTTAQNNEKGVRSKSSAASCNMITWSSGKQVENSISAAVNSLVLENDRQDNSSISPMQLLSDNNLFDGIEFDMRQNNFGHDLWDDVTMPVGRNNCSNRSAGTSNCISMMEMASISGTDKGLFSESGFQQLLDAINGDHVHKAPAHSSGAKYVHPISGSDLENQCSTSLCGPSVNRYQVPSVVLPPINGTSGLLLPYCNSEVIHGSPKHEVSNSNICSWIDDSCSMNTEGSVLDQTKKPEEAAKVVKKRARPGESTRPRPKDRQQIQDRLKELREIVPNGAKCSIDALLDKTIKHMLFLQSVTKYADKLKQADEPKIIGEESGVVLKDNSGGVGGGATWAYEVAGQTMVCPIIVEDLTPPGQMLVEMLCEDRGLFLEIADIIRGFGLIILKGVMEIRERKIWARFLVEANREVTRMDIFLSLIQLLQQNSCLRSGDLANKIIDKGPPLFSGYHQSPIVSSS >Ma05_p10280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7411786:7417495:1 gene:Ma05_g10280 transcript:Ma05_t10280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTEQFQYVDSHEAIEFCDQHGAFGFTSSSGDAYSCYQNTNSFHEAYGEQLSYPSTLGGQALAPNTLGSYHELNSHKSSMIAHVVETPLTCSTGDSRDFYNSFQNTSFMSRNSSLHLTQQHNAAIVQAQVQMSPTTKLLSVSHCPVNSGAMYLKTNSCSNTNVKQGLSSDSPSSRKFVLNDFMQRPRSSEIVKTYIYGAEKLPSPLGDLSGGKPSGLLSSFASEHIDDFATNHPDLSPESSLLFHSKGVPVTRQCDQQSEHVIDNTTAQNNEKGVRSKSSAASCNMITWSSEFDMRQNNFGHDLWDDVTMPVGRNNCSNRSAGTSNCISMMEMASISGTDKGLFSESGFQQLLDAINGDHVHKAPAHSSGAKYVHPISGSDLENQCSTSLCGPSVNRYQVPSVVLPPINGTSGLLLPYCNSEVIHGSPKHEVSNSNICSWIDDSCSMNTEGSVLDQTKKPEEAAKVVKKRARPGESTRPRPKDRQQIQDRLKELREIVPNGAKCSIDALLDKTIKHMLFLQSVTKYADKLKQADEPKIIGEESGVVLKDNSGGVGGGATWAYEVAGQTMVCPIIVEDLTPPGQMLVEMLCEDRGLFLEIADIIRGFGLIILKGVMEIRERKIWARFLVEANREVTRMDIFLSLIQLLQQNSCLRSGDLANKIIDKGPPLFSGYHQSPIVSSS >Ma05_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7412640:7417495:1 gene:Ma05_g10280 transcript:Ma05_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTEQFQYVDSHEAIEFCDQHGAFGFTSSSGDAYSCYQNTNSFHEAYGEQLSYPSTLGGQALAPNTLGSYHELNSHKSSMIAHVVETPLTCSTGDSRDFYNSFQNTSFMSRNSSLHLTQQHNAAIVQAQVQMSPTTKLLSVSHCPVNSGAMYLKTNSCSNTNVKQGLSSDSPSSRKFVLNDFMQRPRSSEIVKTYIYGAEKLPSPLGDLSGGKPSGLLSSFASEHIDDFATNHPDLSPESSLLFHSKGVPVTRQCDQQSEHVIDNTTAQNNEKGVRSKSSAASCNMITWSSGKQVENSISAAVNSLVLENDRQDNSSISPMQLLSDNNLFDGIEFDMRQNNFGHDLWDDVTMPVGRNNCSNRSAGTSNCISMMEMASISGTDKGLFSESGFQQLLDAINGDHVHKAPAHSSGAKYVHPISGSDLENQCSTSLCGPSVNRYQVPSVVLPPINGTSGLLLPYCNSEVIHGSPKHEVSNSNICSWIDDSCSMNTEGSVLDQTKKPEEAAKVVKKRARPGESTRPRPKDRQQIQDRLKELREIVPNGAKCSIDALLDKTIKHMLFLQSVTKYADKLKQADEPKIIGEESGVVLKDNSGGVGGGATWAYEVAGQTMVCPIIVEDLTPPGQMLVEMLCEDRGLFLEIADIIRGFGLIILKGVMEIRERKIWARFLVEANREVTRMDIFLSLIQLLQQNSCLRSGDLANKIIDKGPPLFSGYHQSPIVSSS >Ma05_p10280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7411786:7417495:1 gene:Ma05_g10280 transcript:Ma05_t10280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTEQFQYVDSHEAIEFCDQHGAFGFTSSSGDAYSCYQNTNSFHEAYGEQLSYPSTLGGQALAPNTLGSYHELNSHKSSMIAHVVETPLTCSTGDSRDFYNSFQNTSFMSRNSSLHLTQQHNAAIVQAQVQMSPTTKLLSVSHCPVNSGAMYLKTNSCSNTNVKQGLSSDSPSSRKFVLNDFMQRPRSSEIVKTYIYGAEKLPSPLGDLSGGKPSGLLSSFASEHIDDFATNHPDLSPESSLLFHSKGVPVTRQCDQQSEHVIDNTTAQNNEKGVRSKSSAASCNMITWSSGKQVENSISAAVNSLVLENDRQDNSSISPMQLLSDNNLFDGIEFDMRQNNFGHDLWDDVTMPVGRNNCSNRSAGTSNCISMMEMASISGTDKGLFSESGFQQLLDAINGDHVHKAPAHSSGAKYVHPISGSDLENQCSTSLCGPSVNRYQVPSVVLPPINGTSGLLLPYCNSEVIHGSPKHEVSNSNICSWIDDSCSMNTEGSVLDQTKKPEEAAKVVKKRARPGESTRPRPKDRQQIQDRLKELREIVPNGAKCSIDALLDKTIKHMLFLQSVTKYADKLKQADEPKIIGEESGVVLKDNSGGVGGGATWAYEVAGQTMVCPIIVEDLTPPGQMLVEMLCEDRGLFLEIADIIRGFGLIILKGVMEIRERKIWARFLVEANREVTRMDIFLSLIQLLQQNSCLRSGDLANKIIDKGPPLFSGYHQSPIVSSS >Ma11_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25395885:25401897:-1 gene:Ma11_g21130 transcript:Ma11_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 [Source:Projected from Arabidopsis thaliana (AT1G08640) UniProtKB/TrEMBL;Acc:Q93WG3] MAAVAAVTPTVYVWPTLHPTSHRSAALIPSAPLRSSFARLFRKPSSKFRIVCMASSAAGSPYDSGDENPYGVLGVSSIEGFDMVKAAYTRKRKDAERRGDEAYLAKLEKAYDRIMMSQLQSRKKGLTFGSFKVSKDIKYADKQPIVPWGPRYSKSSVKDMRINMAISAVFSLWVLIQQNAEWKPLQFLAFIFFYRIFEKLKAFEPAVSPTLDEYGEDEGKGLRMGKRILRSLALVFGCIAVSSLGYTGLLNLIEFLGRAIPLFLYNNQELLVTLATSITLYIMASYYR >Ma07_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2928864:2929223:1 gene:Ma07_g03840 transcript:Ma07_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTALPLLALAVIFVFFLVVAEGGSSGGAGDELALGWIPAGSGCRGSIAKCLAGEEFELGTEVTRRILARSYYISYNALRRDSVPCSRRGASYYNCRPGARANPYSRSCSAITRCRR >Ma07_p27680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33962566:33969853:-1 gene:Ma07_g27680 transcript:Ma07_t27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MAVSASASLSTFFSEHKPFEPNTEAIRQRLLRKGVFPTPKILHALRKKEAQKAFRRSKKRALQEQPPPLSEAQRRALEDDDLFRTVSAEYGAVREELRRRDERAVALSGRPWEGSKAVNLRGLASAMEDPGGGRLRTEHLEELRRMLAERNEERFRWLLVNDEVEDTGGFVDKQERKVPKSRLVVGAEEKIRLLVDRLSATDLSLHDWKFSRIMKQSGMFFTEMHLLKIVERLGVLGNWSQALSVVEWVYNEKQYKHRKSRFVYTKLLALLGKARRSTEALQIFNKMREDGQIYPDMAAYHSIAVTLGQAGLVNELVNIVECMKQKPSKTLKNMNRRNWDPCLEPDVIIYNAVLNACVPSRQWKGVFWVLEQMRHRGIKPIGATYGLAMEVMLKAGKYDLVHKFFEKMQRGGIVPKALTYKVLVRAFSEEGKVDEAVIAVREMERRGVVGAACVYYELACCLCNKGRWQDAIIEVEKLQTLHLTKPLEVTFTGMILSALDGGRIADCISIFKHMKDHCTPNIGTINAMLKVYCCGDMFAKAKELFEATKATYCRSKPHSANGSSLQLDAYSFKSMLEASASAQQWEYFEHVYKEMTLCGHQLDHRKHSWLLVESSRAGKWHLLEHAFDTVLEAGEIPHVSLFFAMIFQTIAQQNFARTASLLNCMAHASLKVSESQWASLLRRDMGRFSMERLEDLLNHLQSCNVVMEDPVPNFLKSLQYVCGTRLLKDASTSAESYVASMDDDTLDENDSDFESVGRIQDYQPGELSPEINGGCVETLDEGHYRDESLQHYDLEPSVTDKTLDLLTAHIGTPFSDLPPASEILEKWRQDRTKDDMLSTRH >Ma01_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9442170:9447095:1 gene:Ma01_g12910 transcript:Ma01_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMTLGSIRPAISPPRLPSISPLPLPEKSRRDAGLSLRRHRRGPGRWTAMVQQQTFQGPSAAYAREMERLSAKESLLLAFKDAGGFQSLISGQTTELQRIDVNERIVSLERLNPTARPTTSPFLEGRWNFEWFSAGSPASFAARFLFQRSPATLANLLGMDMLLKDGYSKITANLRFLNSIESKFLLTTKLSLEGPTRMKEEYVEGTLETPTVSQEAVPEQLKGVVGQATTALQQLPAPIKDAFANGLKISLSGAFERMFMISYLDEEILITRDSAGAPDVLTRLEGSPASSATDSMMSEYES >Ma06_p33850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34320855:34321413:-1 gene:Ma06_g33850 transcript:Ma06_t33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSSSIYRPSLSPARTTRLRVSAVRAATMYEMLSVAETAGAEEIKAAYRRQARRWHPDACRSSGDERRYAERFMRAREAYEVLSDPAHRRHYDLALSADRWTAAAFRADEAGGRARAWRGGGLSDWEAQLEGLRRRSAVAVAEAAAAAAADVGGEETWAGRVRRARTGPVRPSDL >Ma05_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16901595:16903936:-1 gene:Ma05_g16520 transcript:Ma05_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIESTLPPGFRFYPSDEELVCHYLQKKVANERVSCGTMVEVDLHTREPWELPEVAKLSANEWYFFSFRDRKYATGSRTNRATKSGYWKATGKDRTVYDPATRAVVGMRKTLVFYSGRAPNGMKTNWVMHEFRLESPHTPPKEDWVLCRVFNKKKGDPTSSSLENEQDNNHSLGCFSSFMESSPPQHEQQFPDGCYEQITSSFPVVPPQEDNSSNTFLSLAALRYNFLGFPQDMDSTRMTGVGSSDLGGGDYGLVLDMGLEDHDLVGGGTANLGGIRFQGGRDQLFF >Ma07_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6964304:6964705:1 gene:Ma07_g09280 transcript:Ma07_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPLLLLLLAVASVATPSAVATADPNVQWGLVRVDGGDAAGLGASAPTCDGLVGECVDEDDEPDMEPEDARRFLYRANGRQRFISYGALSRDRVPCNRRGHSYYNCRRSGRANPYRRGCSVITRCARYLD >Ma03_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17482298:17492368:-1 gene:Ma03_g15880 transcript:Ma03_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRNFALEQQLFCLPVLERVLNENLAFSLILLELEVVMGNCSFRGKPSIYRASSDGRSGSPEVENPLVKEEEKEGTKLPSNPEEVEDLRRDTAMNPLVVFSFSELKKITGNFKQDNILGIGGFGSVYKGVITEEPREGLQPLQVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEHRVLVYELMDRGSVEFNLFSRVEAPLPWSIRMRIALGAAKGLALLHDTEKPVIYRDFKTSNILLDQEYHAKLSDFGLAKDGPVGDKSHVTTRIMGTYGYAAPEYIMTGHLTTMSDVYSFGMVLLELLTGRKSLDKSRPVREQMLADWAAPLLTHKKKVLGIVDPRLSGNCPDKAVQKIAMLAYYCLNRNPKARPLMRDIVCYLEPLQIAVDVPGIVA >Ma08_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35136361:35142839:-1 gene:Ma08_g21160 transcript:Ma08_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLSELFEHPEFTRTDLAVDTSPEDLFSILETFEDAITKEVPLFDPFQGSNFSPRVGEQPNTGLVSQGWSAGPSETDDALEEAGQALKKRKLSDSPVSPTEAGIHDGQQKTSHIAVERNRRKQMNEHLSVLRSLMPCFYAKRGDQASIIGGVVDYIKELQQVLQSLEAKKQRKVYSEVLSPRPLSSPRPLQQNYVSPTLASSHESSSPFFSSISELAANSKSPVADVEVKFSGPNVILKTASHRIPGQVLKIIAALEGLALEILHVSISTTDDTMLNSFTIKIGIECVLSAEELAQAIQQTFSDKVIININIMFDQRVHWNWETNKDPITFEKAVEKEE >Ma09_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18125574:18143918:-1 gene:Ma09_g18580 transcript:Ma09_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCKMVAKESGKEREDHSSELEKDVKHGKDGESDSDPARDSLSSQGETPANDDSKVRRASRVPKKLAKKETIDNSPCISQGNANYQEHGRLHFRASNTSQKKSQKPGRAAVSPKNLSNKKLDNTNISSKPSSEVSEETDNKAVEEVKEIDVLDEAPVCDQSNGTDDETVDTEENVLDDDRASVYQKIEEMESRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKYWTQDKKATVARNIVSGLVLTAKSCGNDVSRLTFWLSNTVVLREIIFQTFGNLSNSTNMRAVESNGGARKTDEKHTPLRRKNNSVIRQGKSLGFMQLLDDWQDTSTFTTALEKIESWIFSRIVESVWWQTLTPHMQSPLEELYTPKNFGKLLGPVLGDQQQGSLSINLWISAFHDAFSRLCPVRAGGHECGCLPVLARKVMEQCVSRLDVAMFNAILRESAHEIPTDPVSDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDLFGKDAEDSTKDVQDANEEETLKEANAGSKYFHLLNELCDLLMLPKDMLLDRTVRKEVFPSIGLPLITRILCNFTPDEFCPDPVPGVVLEELNTKLLRWYTCLLQLMMWRRRWAM >Ma09_p18580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18124738:18143918:-1 gene:Ma09_g18580 transcript:Ma09_t18580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCKMVAKESGKEREDHSSELEKDVKHGKDGESDSDPARDSLSSQGETPANDDSKVRRASRVPKKLAKKETIDNSPCISQGNANYQEHGRLHFRASNTSQKKSQKPGRAAVSPKNLSNKKLDNTNISSKPSSEVSEETDNKAVEEVKEIDVLDEAPVCDQSNGTDDETVDTEENVLDDDRASVYQKIEEMESRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKYWTQDKKATVARNIVSGLVLTAKSCGNDVSRLTFWLSNTVVLREIIFQTFGNLSNSTNMRAVESNGGARKTDEKHTPLRRKNNSVIRQGKSLGFMQLLDDWQDTSTFTTALEKIESWIFSRIVESVWWQTLTPHMQSPLEELYTPKNFGKLLGPVLGDQQQGSLSINLWISAFHDAFSRLCPVRAGGHECGCLPVLARKVMEQCVSRLDVAMFNAILRESAHEIPTDPVSDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDLFGKDAEDSTKDVQDANEEETLKEANAGSKYFHLLNELCDLLMLPKDMLLDRTVRKEVFPSIGLPLITRILCNFTPDEFCPDPVPGVVLEELNTKSILERRVTDKEVIGGFPCAAAPVVYLPPSADDVAEKVGDVGGKAGLERRGSMVQRKGYTSDEDLDVLDDTPLAHIMDKTPPLVSPSPVVGGHKDSWTSNSRYNLLREVWCV >Ma09_p18580.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18124738:18143918:-1 gene:Ma09_g18580 transcript:Ma09_t18580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKESGKEREDHSSELEKDVKHGKDGESDSDPARDSLSSQGETPANDDSKVRRASRVPKKLAKKETIDNSPCISQGNANYQEHGRLHFRASNTSQKKSQKPGRAAVSPKNLSNKKLDNTNISSKPSSEVSEETDNKAVEEVKEIDVLDEAPVCDQSNGTDDETVDTEENVLDDDRASVYQKIEEMESRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKYWTQDKKATVARNIVSGLVLTAKSCGNDVSRLTFWLSNTVVLREIIFQTFGNLSNSTNMRAVESNGGARKTDEKHTPLRRKNNSVIRQGKSLGFMQLLDDWQDTSTFTTALEKIESWIFSRIVESVWWQTLTPHMQSPLEELYTPKNFGKLLGPVLGDQQQGSLSINLWISAFHDAFSRLCPVRAGGHECGCLPVLARKVMEQCVSRLDVAMFNAILRESAHEIPTDPVSDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDLFGKDAEDSTKDVQDANEEETLKEANAGSKYFHLLNELCDLLMLPKDMLLDRTVRKEVFPSIGLPLITRILCNFTPDEFCPDPVPGVVLEELNTKSILERRVTDKEVIGGFPCAAAPVVYLPPSADDVAEKVGDVGGKAGLERRGSMVQRKGYTSDEDLDVLDDTPLAHIMDKTPPLVSPSPVVGGHKDSWTSNSRYNLLREVWCV >Ma09_p18580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18124738:18143918:-1 gene:Ma09_g18580 transcript:Ma09_t18580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKESGKEREDHSSELEKDVKHGKDGESDSDPARDSLSSQGETPANDDSKVRRASRVPKKLAKKETIDNSPCISQGNANYQEHGRLHFRASNTSQKKSQKPGRAAVSPKNLSNKKLDNTNISSKPSSEVSEETDNKAVEEVKEIDVLDEAPVCDQSNGTDDETVDTEENVLDDDRASVYQKIEEMESRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKYWTQDKKATVARNIVSGLVLTAKSCGNDVSRLTFWLSNTVVLREIIFQTFGNLSNSTNMRAVESNGGARKTDEKHTPLRRKNNSVIRQGKSLGFMQLLDDWQDTSTFTTALEKIESWIFSRIVESVWWQTLTPHMQSPLEELYTPKNFGKLLGPVLGDQQQGSLSINLWISAFHDAFSRLCPVRAGGHECGCLPVLARKVMEQCVSRLDVAMFNAILRESAHEIPTDPVSDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDLFGKDAEDSTKDVQDANEEETLKEANAGSKYFHLLNELCDLLMLPKDMLLDRTVRKEVFPSIGLPLITRILCNFTPDEFCPDPVPGVVLEELNTKSILERRVTDKEVIGGFPCAAAPVVYLPPSADDVAEKVGDVGGKAGLERRGSMVQRKGYTSDEDLDVLDDTPLAHIMDKTPPLVSPSPVVGGHKDSWTSNSRYNLLREVWCV >Ma03_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17576235:17577567:1 gene:Ma03_g16020 transcript:Ma03_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSESPAVHGRRLLELLQEQQEPFHLDVYLLEKGHSDRILASRSASITCWPGGSTCTSLQKLTCRHLRTCRDMMKKTLCGADEKNSLNWERIARNHLRVDSKRRSLVSTLELRCGRPVDHVRHVQGKMSTVGLDLPAKVLDIFNELLEVAYTPAFYELIGSKWQLDQSDKLLDSHECFNHEIPPLLKQKALSTIRELTVSELASSRSEWSRFQLQMREIAIDIGAALFEDIKEETILDIIGSHCTSQWSSSSL >Ma03_p30580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33175048:33178334:1 gene:Ma03_g30580 transcript:Ma03_t30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHSLSFYLFICLSLPYSSTPFQPNILLFLLPTLLPNRIVLWCGLPWRPLTPFRRRQPAAASGTSSLIPPSPSSPRPHRAADWNPLIAPPPPQPPRKKKGLAAAAFRGLGCASASASQAYAPAAAAAVRSSADWQGKRPRRRREKKKAERKNQAIGDVWCAPGMPFAAEASVDCVVAHQPMVSRGRPDAAERIHRERPYFPRRVGHREEISTFMDSPPVLDTPFFGPDLFPSGHLRHLRGFHRAPGGLEELMMFQTRILLGGGLDVYDRFREWRLDVDNMSYEELLELGDKIGSVSTGLREEEIARSLRKIKHSVFDASARHLATEIERKCSICQEEYEANDETGRLECGHGYHMYCIKQWLLLKNACPVCKAPVLKT >Ma00_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16970214:16972220:-1 gene:Ma00_g02240 transcript:Ma00_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEGIVIVGAGLAGLAAAVGLHRLGVRCLVLESSDTLRAAGFALSTWANAWRALDALGVGDTLRKQHVRLEALVSFSASSGSITSTLAIKESKKRGDDEVRCVRRNLLVEALAKELPQGTIRYSSKVVSMEDAGRFKLLHLADGSTLKAKVLIGCDGINSTVAKWLGLKEPTFSGRYAARGFAVFEEGHGFKPEFAQYFGRGYRAGLLPCDDNSMYWFFTWAAGANDEEWNKDVIKVKEFVLSKLKSEKVPQEVLQVIGRSELSSLASAHLRYRSPFNLLWGDISRGNVCVTGDAFHPMTPDLGQGGCSALEDGVVLAKCLGEALIGGREGGGEEDEGSRVEAALKKYADARRWRGFELVATGYVVGIVQQGGSWAARLLRDQVLAGALTKKYMSMADFDCGKL >Ma07_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27456441:27459499:-1 gene:Ma07_g19450 transcript:Ma07_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVSCAGSSYEHGFFSAVQDGHLETVRSAIDEDPSLLRRATIYDRLSALHIAAANGRVEVLTLVLEKYGNPDIANRHKQTPLMLAAMHGKIACVQKLLEAGANILMFDSLNGRTCLHHAAYYGHSNCLQAIISAARSTGVADSWGFARFVNVRDDNGATPLHLAAKQRRPDCVHILLDHGALVCASTGGGYGYPGSTPLHLAAHGGSLDCVRKLLAWGADRLQRDSAGRIPYAVALKRNHGACAALLNPSAAEPLVWPSPLKVISELGPDAKALLEVALTEANKEREKKILEGTKYSLSPPAHWDAAIPDDSSEASDTELCSICFDQVCTIEVKDCGHQMCAHCMLALCCHNKPNPTTLCLPSPSCPFCRCNIARLVVAKTHDKDEGEKGTTSKLRRSRRSHNFSAESSSFKTSAMGSFSKMSRGTGCITDSEDMVDKP >Ma09_p30440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40564130:40567790:-1 gene:Ma09_g30440 transcript:Ma09_t30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRILEFLKDKSILITGSTGFLAKIFVEKVLRVQPEVKRLFLLVRAADAASANQRVQTEILGKDLFKVLRDKHGDGFHPFVASKVFPVAGDIVREDLGIQDSNLREKLWKEVGIVVNVAATTSFDDRYDVSLGINALGAKHILEFARRCVRLEMLLHVSTAYVAGEQSGLILEKKFLMGETLKGGSYLDIEAELRLVDKKKRELRAEYATEEVEKLAMKRLGMKRARYFGWPNTYVCTKAMGEMLLGHLRGDLPLVILRPTIITSVHRDPLPGWIEGTRTIDSLIMGYVKEKIGCLFGDLDIVGDVVPGDMVVNAMMVTMAAHSNQRSEFIYHMSSSVRNPMTYSILEQSAYRYFLENPRVGKDGRILKTRRVPVIRNMTRFRIYMTLRHKLPLGVLHLINLLSCGRFARGYNELNRKYKFVMHLVDLYEPYAFFRGYFDDLNMERLRMAMKKDDAEAWMFDFDPKHVDWEDYFYRIHVPGVLKYAFK >Ma07_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14027479:14028432:-1 gene:Ma07_g16470 transcript:Ma07_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGLARAWYSGLKAGTVASFDQLAKDFELNFLAYARPKPSAFMTGLRPSRFFWSLVERPPAAVPEMLQRASQFIATETWMAGKREEHKKVKSELPRQQQPAVSRRKLDGSDPRPPLPALNSSRTEIFLHEKGKGLLKDPHPMRNPRELVDRSKYCRFHRQHGYDTEQCHELKRQIEELIRRGHLGQYLRPDGELSPRPEGPIERHIDVIAGGPASGGGAPRREERRTPELPRTKPPDTNPSPKLLSRPEYPNNPSTTTLS >Ma04_p31710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31935195:31940758:-1 gene:Ma04_g31710 transcript:Ma04_t31710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELNMVHDVFDRVAKKQKLLSSRTQELIDHVGWEIEQAKLKMQPIESTTGCTDPKSILVDLKTKLNEIAPLNQLEGLQKELNFGLGKYVKTLEKCFNSDISKAHRKVDFDVHIVNQIIATHFYRHGMFNIGDCFIREANEPESAAIKSAFLEMHEIVEAMRCRNLEPALCWASKQSEWLLHNGSSLELKLHQLQFVEILQNGSRNEALTYARTRLAPFAPVHMVTFQKLMACLLWAGKIHQSPYADFMLPSHWETVAEEFMQRFCSLLGQSYQSPLGVTIAAGIQGLPVLLKIESVMATKKQEWLTMTELPVPVDLGREFHFHSIFICPVLKEQGSDENPPMMIPCGHVLSKQSIVKLSKNSTRAFKCPYCPSEATVAQSRQLYF >Ma04_p31710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31935195:31940758:-1 gene:Ma04_g31710 transcript:Ma04_t31710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELNMVHDVFDRVAKKQKLLSSRTQELIDHVGWEIEQAKLKMQPIESTTGCTDPKSILVDLKTKLNEIAPLNQLEGLQKELNFGLGKYVKTLEKCFNSDISKAHRKVDFDVHIVNQIIATHFYRHGMFNIGDCFIREANEPESAAIKSAFLEMHEIVEAMRCRNLEPALCWASKQSEWLLHNGSSLELKLHQLQFVEILQNGSRNEALTYARTRLAPFAPVHMVTFQKLMACLLWAGKIHQSPYADFMLPSHWETVAEEFMQRFCSLLGQSYQSPLGVTIAAGIQGLPVLLKIESVMATKKQEWLTMTELPVPVDLGREFHFHSIFICPVLKEQGSDENPPMMIPCGHVLSKQSIVKLSKNSTRAFKCPYCPSEATVAQSRQLYF >Ma04_p31710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31935195:31940758:-1 gene:Ma04_g31710 transcript:Ma04_t31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNMVHDVFDRVAKKQKLLSSRTQELIDHVGWEIEQAKLKMQPIESTTGCTDPKSILVDLKTKLNEIAPLNQLEGLQKELNFGLGKYVKTLEKCFNSDISKAHRKVDFDVHIVNQIIATHFYRHGMFNIGDCFIREANEPESAAIKSAFLEMHEIVEAMRCRNLEPALCWASKQSEWLLHNGSSLELKLHQLQFVEILQNGSRNEALTYARTRLAPFAPVHMVTFQKLMACLLWAGKIHQSPYADFMLPSHWETVAEEFMQRFCSLLGQSYQSPLGVTIAAGIQGLPVLLKIESVMATKKQEWLTMTELPVPVDLGREFHFHSIFICPVLKEQGSDENPPMMIPCGHVLSKQSIVKLSKNSTRAFKCPYCPSEATVAQSRQLYF >Ma04_p31710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31935195:31940758:-1 gene:Ma04_g31710 transcript:Ma04_t31710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNMVHDVFDRVAKKQKLLSSRTQELIDHVGWEIEQAKLKMQPIESTTGCTDPKSILVDLKTKLNEIAPLNQLEGLQKELNFGLGKYVKTLEKCFNSDISKAHRKVDFDVHIVNQIIATHFYRHGMFNIGDCFIREANEPESAAIKSAFLEMHEIVEAMRCRNLEPALCWASKQSEWLLHNGSSLELKLHQLQFVEILQNGSRNEALTYARTRLAPFAPVHMVTFQKLMACLLWAGKIHQSPYADFMLPSHWETVAEEFMQRFCSLLGQSYQSPLGVTIAAGIQGLPVLLKIESVMATKKQEWLTMTELPVPVDLGREFHFHSIFICPVLKEQGSDENPPMMIPCGHVLSKQSIVKLSKNSTRAFKCPYCPSEATVAQSRQLYF >Ma07_p27480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33839644:33842500:1 gene:Ma07_g27480 transcript:Ma07_t27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELENSSVMTVSNSGSGEASVSSSGHLQPSALQNTDPPPPPIPVVKKKRNLPGTPDPEAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTGKEVRKRVYVCPETSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESAKTGAKPQAADGKAAATQDGAAAAAAGQDAIAATAETAPAAVMAAALPPQQVTPLERQEPEKPTALLQYMPPLSASLANTSGVTTTSNSSSSSSSSSSTSLFASLFASATAATAAGHGTATLSDLMGAMGQVDRPFVEPPSLCLATNGRPAPLFSPQAQGHDRRPFAPPPPSPHMSATALLQKAAQMGSAATGSSFLRGFGLDAAPSGQQESLQDSSLRWGHHHHAQQQQSETEPSPMLTAGLGLGLPYEPDLMMGSSQLFGPKPATLDFLGLGMGPVGGTPNGGLSALMTSIGGGGLNMGPGTATGGRVGSERKPTSPAIR >Ma00_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4672034:4681471:1 gene:Ma00_g01180 transcript:Ma00_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPRARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSRGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLAESDTKSEDRMIKINKPDQTAADGTAAVRSSCCGS >Ma08_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33268162:33274718:-1 gene:Ma08_g19500 transcript:Ma08_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKAPGTCSCGARRLRWRDLGHGKPVALSVPVPAWRRERAQGRRPKHFGLSVKLVKDESLSLPRASFSLTPTPPEEESVRNQNLSLLPLPKPLSSTDLSVSGHKPNIRVAYQGCPGAFGEAAARKVYPDCQAVPCEQFEVAFKAVQLWLADKAVLPIENSSFGSYHRTHDLLLSHSLHIVGEVQLAVDHCLMALPGVKKKELKRVLSHPQALGQCEIALSKLGVIRESFDDTAGAARLIASKGLGDVGAIASAQAAEIYGLHILEDKIQDISFNITRFLILAREPIIPRIGCPFKTSIVFTLEDGSGVLYKALAVFALRNINLTKIESRPQRKRPLRFVDDTDHGTAKYFDYLFYIDFEASMAEPRAQNALSNLQEFVTFLRVLGSFPMDITL >Ma10_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27782948:27783277:1 gene:Ma10_g15830 transcript:Ma10_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWRRWWAGWRRTRTSRRRAPRPRSASTASESTASPPSAMPPAWPASSCTWRGNKSSSSSAGWPTSRGRCCDAAVYLGSDESGFVSGHNLVIDGAFTTVNNGFGLFKQ >Ma03_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4231633:4233846:-1 gene:Ma03_g06110 transcript:Ma03_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSDRRRFLALPVVQPGANVRPSVLLDALVVLADEILALRACPFRVHRRSAREAIREVVTIREFLADVRRRGSALPDSIVVGFSELYITLQKLRHLLQDCARPGARLWVLMRSERVSSEFLVLVRSISAALDVLPLASIDAVSEVKELIRFVEEQAWKATIETEPDDAQAIRSVSSVLCQFKNGIAPTRSALRQILDHLHIRSWNDCGEEIAFMEELFFESSDDGEEAALLDSLMAFMAYCRAVLFDTMDDEKSADEQLKAQATAMNHVIVNLDYLRCPISLELMTDPVTLATGQTYDRASISRWFESDCLSCPVTGEKLANKDMVPNSAIRNLVEQFCQQNNIRFPEPKAKQKRDVARTEKPLTSAAAGAMAMVAASLVDKLATGTNQEKNKAAHEIRKLSKSNIFNRACLVDAGLVPWLLQLFSSMDTSIQENAVAAVLNISKHPDGSRAIVEVGGLCLIVDVIRYALKSQARQNAVAILFYLSSIEEYRIEIGKIPQAIPTLVELLREGTYRGRKNAVVALYMLLQAPDILPKALDAGAVPVLVALLSSEQEDLAGDAVGVLAKTAERHDGATAILESSAIPQLVEFLRSSTSRSGREKCVSTLLSLCDTGGAKVVRLLGQIPALLPSLYSLVAHGTSQARAKAISLVNCIQSLHGQGYPVIVASAAQEHIIRAQ >Ma09_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2969189:2971259:-1 gene:Ma09_g04590 transcript:Ma09_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTIIESSCSRHYSIYTIYMFYKIKTHV >Ma04_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:189660:194283:-1 gene:Ma04_g00120 transcript:Ma04_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MAAALIAEPSLLSFILPSPGPRRSISPPERKLRVHRKPSLLPVASPSLSPPCPCAAGTLDLEPDEESPSRSLSSRQDGKEGGRDRRRAVRIAWEKLVRWSRSWRSKAKSDVLEGTKKVVVLGGGSFGTAMAAHVASRKAEMEVAMLLRDGDVCRAINETHFNCKYFPEHKLPENIVATTSAKDALLGADYCFHAVPVQFSSSFLRGISQHVDPNLPFISLSKGLELNTLRTMSQIIPRALGNPRQPFIVLSGPSFAVELMRKMPTAMVVASKDKKLASAAQQLLASPNLRISSSSDITGVEIAGALKNVLAIAAGIVEGMNLGNNCMSALVAQGCSEIRWLATKMGAKPTTLTGLSGTGDIMLTCFVNLSRNRTVGLRLGSGEKLDDILSTMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVLELMSLPQVEEV >Ma04_p00120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:189660:194283:-1 gene:Ma04_g00120 transcript:Ma04_t00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MAAALIAEPSLLSFILPSPGPRRSISPPERKLRVHRKPSLLPVASPSLSPPCPCAAGTLDLEPDEESPSRSLSSRQDGKEGGRDRRRAVRIAWEKLVRWSRSWRSKAKSDVLEGTKKVVVLGGGSFGTAMAAHVASRKAEMEVAMLLRDGDVCRAINETHFNCKYFPEHKLPENIVATTSAKDALLGADYCFHAVPVQFSSSFLRGISQHVDPNLPFISLSKGLELNTLRTMSQIIPRALGNPRQPFIVLSGPSFAVELMRKMPTAMVVASKDKKLASAAQQLLASPNLRISSSSDITGVEIAGALKNVLAIAAGIVEGMNLGNNCMSALVAQGCSEIRWLATKMGAKPTTLTGLSGTGDIMLTCFVNLSRNRTVGLRLGSGEKLDDILSTMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVLELMSLPQVTTETLKKFEKLVKLNSQRA >Ma08_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:879829:880979:1 gene:Ma08_g00960 transcript:Ma08_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMTRYQVPAFGHWNFSFYDEISISQYFESAREAGLVRPRLFGGDGEDLFRVLSPYKKDQQTAKGKKGGERRGGEKMKSCGKQELRKPKAVDEDLYKIPPETFDQMPKKKKLLSNFCAWCLCLNCIA >Ma11_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1763305:1767594:1 gene:Ma11_g02430 transcript:Ma11_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSESGRGEYTKDGTVDLKGNPVLRSKRGGWTACSFVVVYEAFERMAYYGISSNLVLYLTDKLHQGTVASANNVTNWVGAVWLTPIVGAYIADAHLGRYWTFIIASIIYLSGMCLLTLSVSLHSLKPPPCGANTGDPNCDEKASTLQLGVFFCALYILAVGTGGTKPNISTIGADQFDEFDPKERAHKFSFFNWWMFSIFFGTLFANTVLVYIQDNVGWALGYALPTLGLAISIAVFAVGTPFYRHKLPSGSPFTKMARVIVAAARKLTVRVPSDPKELHELDLNAYAADGIYRIDSTPTLRILNKAAVKTGPTSPWMLCTVTQVEETKQMLRMIPVLITTFIPSTMYAQINTLFVKQGRTLDRHMGPHFQIPPASLAAFVTISMLISVVVYDRYLVPFTRRWTNNPRGISLLQRMGVGMSIQIVIMTICSLTERHRLAVARERGVVQSGGVVPLTIFILLPQFVLMGIADAFLEVAKLEFFYDQAPEGMKSLGTSFAMVSLGIGNFLSSFLLSTVARVTKEHGHTGWILNNLNASHLDYYYAFFAILDCLNFIVFCVVSRFFVYRAEVLEQSEDSHQVGTIISETF >Ma10_p25890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33815259:33818092:-1 gene:Ma10_g25890 transcript:Ma10_t25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVHFFAPCNRFMEMGTEMERLMSMGETVPRPPPFRSLHASAIACSSSSSSTPHCYTPFLTLDWHNHVMAGA >Ma09_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29703910:29705155:1 gene:Ma09_g20620 transcript:Ma09_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTNHDQAVGIPIRSITAEMVGKSQLNRLCLQSTSYNSQYEQKVDSPIAWMSKFSKKADSYVKGIKDHVSLGPKISEIVKGKLSLGAKILQAGGIERVYRQNFSVEKGEKLLQAFQCYLSTTAGPIAGLLFISTHKIAFRSDRSIRITSPRGTLARVPYKVLIPVGRVKRASLCEDSQKPNQKYIQVVTVDEFEFWFMGFLSYQRSLKYLRRAISRSQGVLQ >Ma08_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22598725:22603002:-1 gene:Ma08_g17050 transcript:Ma08_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANTHWCYICRQTVLPLGRDMICPNCDRGFVQDLNELDGSMNTFDYFGVDYRGNRNDQFGIIDALSALIRQQMEGRSHEFDLHGRPSVPMENGLGFGLERLLLLPWQLPVNITENRGIEIIFNGGAGVGMRHANIGNYARGSGFNDLIEQLIRNDGHGPPPASQSAIDAMPTVKINQRHLRGDSHCPVCKEKFELGTEARELPCKHLYHSDCIIPWLVRHNSCPVCRHRLPSQESSQNNHVRSRNQYLGGGTRSNGNGNSENGESQARRNLFSFLWPYYTSNTANSSSNESSRSSSTAVHEDINQMHYTGWPFDY >Ma08_p17050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22598725:22602999:-1 gene:Ma08_g17050 transcript:Ma08_t17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANTHWCYICRQTVLPLGRDMICPNCDRGFVQDLNELDGSMNTFDYFGVDYRGNRNDQFGIIDALSALIRQQMEGRSHEFDLHGRPSVPMENGLGFGLERLLLLPWQLPVNITENRGIEIIFNGGAGVGMRHANIGNYARGSGFNDLIEQLIRNDGHGPPPASQSAIDAMPTVKINQRHLRGDSHCPVCKEKFELGTEARELPCKHLYHSDCIIPWLVRHNSCPVCRHRLPSQESSQNNHVRSRNQYLGGGTRSNGNGNSENGESQARRNLFSFLWPYYTSNTANSSSNESSRSSSTAVHEDINQMHYTGWPFDY >Ma02_p18620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25072502:25078299:1 gene:Ma02_g18620 transcript:Ma02_t18620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWEREKETGSREHNGSPPCGQVRVLVVGDAGVGKTSLVHLILKGSSIARPSQTVGCTVGVKHVSYGSVSSSSNSIKSDTHRDFFVELWDTSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKANLHKWAAEIAANGTFSAPLGSGGPGGLPVPYLVIGNKTDISAKEGTRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGSSGLLALIRRRYFSDELPGPSPWSISPLQNSLHLTSENSSDENQLHRRLSSLSGEGYKSSVLPPLPAQLNLAPPPTLYPQQPMSVPESYSFHRFSTSGLSEMGSTKSSRADINV >Ma02_p18620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25072490:25078299:1 gene:Ma02_g18620 transcript:Ma02_t18620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWEREKETGSREHNGSPPCGQVRVLVVGDAGVGKTSLVHLILKGSSIARPSQTVGCTVGVKHVSYGSVSSSSNSIKSDTHRDFFVELWDTSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKANLHKWAAEIAANGTFSAPLGSGGPGGLPVPYLVIGNKTDISAKEGTRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGSSGLLAAAKEARFDKEAVIKFFRMLIRRRYFSDELPGPSPWSISPLQNSLHLTSENSSDENQLHRRLSSLSGEGYKSSVLPPLPAQLNLAPPPTLYPQQPMSVPESYSFHRFSTSGLSEMGSTKSSRADINV >Ma02_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25072502:25078299:1 gene:Ma02_g18620 transcript:Ma02_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWEREKETGSREHNGSPPCGQVRVLVVGDAGVGKTSLVHLILKGSSIARPSQTVGCTVGVKHVSYGSVSSSSNSIKSDTHRDFFVELWDTSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKANLHKWAAEIAANGTFSAPLGSGGPGGLPVPYLVIGNKTDISAKEGTRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGSSGLLAAAKEARFDKEAVIKFFRMLIRRRYFSDELPGPSPWSISPLQNSLHLTSENSSDENQLHRRLSLSGEGYKSSVLPPLPAQLNLAPPPTLYPQQPMSVPESYSFHRFSTSGLSEMGSTKSSRADINV >Ma02_p18620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25072502:25078299:1 gene:Ma02_g18620 transcript:Ma02_t18620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWEREKETGSREHNGSPPCGQVRVLVVGDAGVGKTSLVHLILKGSSIARPSQTVGCTVGVKHVSYGSVSSSSNSIKSDTHRDFFVELWDTSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKANLHKWAAEIAANGTFSAPLGSGGPGGLPVPYLVIGNKTDISAKEGTRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGSSGLLAAAKEARFDKEAVIKFFRMLIRRRYFSDELPGPSPWSISPLQNSLHLTSENSSDENQLHRRLSSLSGEGYKSSVLPPLPAQLNLAPPPTLYPQQPMSVPESYSFHRFSTSGLSEMGSTKSSRADINV >Ma01_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3443899:3447475:1 gene:Ma01_g04970 transcript:Ma01_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEKSSNLEEVLKPFYQRASEAEDRLTQLEALLAKKGESDTGNKEISSIVKDFQSKLESAQAELMSERDKASKEIQKLAAENLKLQYRITHLARALKEADSKLAA >Ma07_p26650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33361209:33367109:1 gene:Ma07_g26650 transcript:Ma07_t26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDANMRAELGSPGRRLGTLARHLGVDYSPASSSLMASHNASEIVTPSPTAGSYGGSVFANVVQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEDGKPLVLNVVRRAEQLLVNDPSRVKEYLPITGLADFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHHHEHTIYIPQPTWGNHPKVFTLAGLSVKTYRYYDPTTRGLNFQGLLEDLNMAPRGAIVLLHACAHNPTGVDPTLDQWEQIRLLIRSKGLLPFFDSAYQGFASGNLEADAQSIRMFVADGGECLTAQSYAKNMGLYGERVGALSIVCKSADVAVRVESQLKLVIRPMYSNPPIHGASIVATILKDREMYHEWTIELKAMADRIIRMRQQLFDALRTRGTPGDWSHIVKQIGMFTFTGLNREQVTSMTKEYHIYMTSDGRISMAGLSSKTVPHLAGAIHAAVTRIK >Ma05_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11335518:11335991:1 gene:Ma05_g15240 transcript:Ma05_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRNSSSIFWIVVLELQHNKPTTDGIEDTTTAHEGLKHPGILYYLYQKKEKHNNKAVNQSNAEKQTREGTPPVLGKSQMTAGRRRPAYFVLLWVEWEKSNIT >Ma01_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2194245:2195997:1 gene:Ma01_g03370 transcript:Ma01_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETHMQTGTTRRGSNPRLESADLVGIDIPPTPPSDARPGAKQATSFLSLIVPFLLASSSHAPSSGAAAPGSSSSTVATAFVIGSWYFSNIGVLLLNKYLLSIYGYRYPVFLTALHMASCSAYSAVALRWLCLVPFQPVSSRRQLFKIAALGAIFCFSVVCGNASLRFLPVSFNQAVGATTPFFTAIFALAITCRREPSAVYVALLPVVLGIVLASNSEPLFHPLGFLLCLGSTSGRALKSVVQGILLTSDSEKLNSMNLLMHMAPIAAAILLPLSLWTEPGVVPATAAKIRDDPYLALLLFANATIAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAALVSVLIFRNPVTVMGVTGFGITIIGVVLYSEATKRSKSSSSSS >Ma04_p39350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36522766:36524236:-1 gene:Ma04_g39350 transcript:Ma04_t39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEVSSPVQCCPRDFLRRFGSGGGEELTEAKRGEPSEVEHSLGLSLGGCSGNEPNDRCTASVFPLRKALPEAEAAAAVPADAEEQRKRKRKELQSQKRTRSEKRDRKGDILDEEMEDGPRPSAAANGVVPPALATKPRAGMVDGSKCFRPETQASIGSQGSGCSGVSEFNCGAKQGIEESKPTKAVNATAMNAGRVMGDTKEVERDMMPCVSATGDGPNGTRIEGFLYKYRKGEDVRIVCVCHGRFFTPAEFVKHAGGGDVAHPLRHIVVNPFPSAFL >Ma09_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7129933:7132063:1 gene:Ma09_g10480 transcript:Ma09_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFASGSCSGVAASADGIKLDPCRFAMQVVRGRWFMFFSSFLIMAAAGATYIFSIYSKDIKSSLGYDQSTLNTLSFFKDLGANVGILSGLINEVTPPWVVLSMGAAMNLFGYLMIYLAITGRTAPPHVSLMCVYICIGANSQTFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIITQLYLAIYEDDSKSLVLLIAWLPAAVSIVFVYTIRIMKVVPHGKTTKPFYWFLYISIALAVYLLVMIVVEKTATITHTESSISATVVVLLLLLPLAVVINEELKIFKQKKHELQNPQPLVIAVEKTSQPPPPPITESKHAPPTTATTTTTTTTTKSNNAVVAFVADMFRPPDRGEDYSILQALVSIDMIILFFATICGVGGTLTAIDNMGQIGESLGYPKRSISTFVSLISIWNYAGRVTAGFASEIFLTKYKFPRPLMLTAILLLSCVGHLLIAFGLTNSLYFASVVIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGGAASPIGSYILNVRVAGYLYDKEALKQNNGVRRPPGTDLTCIGVECFKLSFIIITAVTVLGALVSLLLVYRTKDFYKGDIYAKFREQMAVADDDMAMETFVSEGKHAEEDLKEKKKATTTTTTTEEEEMGVVINGDGKQKLEKDFT >Ma10_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32435440:32437663:-1 gene:Ma10_g23590 transcript:Ma10_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVHLSPAQRHVAFVAFPFGTHAAPLFALARAIAAAAPGAALSFLSTARSLASLPQAQGLGNIRLVPIADGTPESSAEPPPASEQAKIGMFLAAMPGSLRAGMDDAVAGAGGAAVSCVVSDAFLWMAGEVAETAGAPWLPLYTGGPASLSAHFHTDLLRETIGVGERAIARSKEQLHFIPGLSAHRVCDLPEGIVFGPLDSPFSCLLHRMGQSVAKAAAVLVNTFEGLDRTIDGEFSASFSKYLHIGPLHLLVPLAGPTPDTHHCLPWLDRHAPATVAYVSFGSVMTPQPAELAALAEGLEASGAAFLWSLKDHARELLPPGFLDRTKERGLVVSWAPQLDVLRHAAVGAFVTHCGWNSVLEAMTAGVPMVCHPFFGDQRMNARSVSLVWKIGVEFEGGAITKEGVTRALDTVLKGEEGKRMKAMACELKAMTTRAVQPQGSSSVNLKRLMQFV >Ma11_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23270838:23277724:1 gene:Ma11_g18130 transcript:Ma11_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT2G38330) UniProtKB/TrEMBL;Acc:A0A178VTF1] MAIASFHPPIQILSPAPSFPRPPSKRLRPLLPRSPTRPKSQQRRVSRRQAKPQPSDTDRSLLTRASGLLNRIRGDEISLDILSIALPAVLALAADPIASIVDTAFVGHLGSVELAAVGVSVSIFNLVSKLFNVPLLNVTTSFVAEQQALECSPESNDHAIEAGGKVPTGRSLQQEPINYADGESRKFLPAVSTSLAFAAVVGIAESMALIFGLGLLMDFMGISVDSPMRLPAEQFLTLRAYGAPAIVLALAAQGTFRGFMDTKTPLYAIGAGSLLNAILDPILIFLFGLGVGGAAISTVISEYMIAGILLWKLNEKIILSPNINGEGMSLYLKSVGLLVGRTIAVLITMTISTSMAAREGPVAMAGHQICLQVWLAVSLLNDALALAGQALLASEYTRKNYVQARLVIYRVIQIGAATGIALGVLLFFGFEPFTTLFTTDFAVLQIAQSGILFVTVSQPINALAFVIDGLYYGVSDFSYAAYSMILVGVISSLFLLIAAPVFGLAGVWAGLVFFMSLRAVAGIWRLQTKGGPWKILLSDMEIGTTKEC >Ma08_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:530186:533421:-1 gene:Ma08_g00540 transcript:Ma08_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVTRARPLLLRSRIIPSPLSDARNPHLRSQILPLCVSSESPEHDSDVDDLVREISALLFGAGNWKAAMAASDIPRRLSPAAVSAVLRQRVGRAPDPKRLLDFFYWSGSQMVYPHALDSFAVLAVALCDSGLFPLANGLLERMVKTCPSPPSVLDNIVGCFSRDPRSNSAVFSVLIDTYKRAGMLKEAAEVALLMKGGAFAPSLRCCNALLKDLLRANSMDLFWKVHDFISRAQLGHDVYTFTILIEAYFKVGNVDAAKNVFLEMEQKRCAPSAVTYNTLICGFCRVGALGDAFQLKEEMVKKGLAADNYTYSVLISGLCKNSQSIEARKLLDEISVRGLKPNVFIYSSLIDGFVRESKMDEAFKLKDEMIAAGVQPNMFTYNSLIRGVCKAGDIDKAHELLEEMDRMGCKPETQTYNLVIEGYFKVRSPQEALRLLEEMKIRNVLPNLYTYSVMINGFCVCGESRQAEVLLMEMHENGLEPNAVIYSTLISGQCKEGRIMEAVQTLDKMADINVPPDTFCYNYLIMGLCKAGNLEEAKKYFTQMQERGLSPNVFTYGPLIDWHSKSGDMDGADELLQLMVARGIKPNDVILTILIDGYCKSDNVAKAFSTFHSMLGHGVLPDVQTYSVLIQSLSKSGKIQEAFQAFSELQEKGLTPDAYTYGSLIFGLCKTGDMVKAVTLHDEMCARGVEPNIVTYNALIDGFCKSGNINSAKKYFKSVLAKGLVPTSVTYTTMIDGNCKAGNMSEAFVLYEQMLSRGISPDKFVYNVLISGCCKAGDMERALHLFSEALPKGFVTVFTFNSLVDGFCKLGKLQEATKLLQEMVDKEVMPNNVTYTILIDGYAKAGHLEEACRLLMEMQDRNIEPNCVTYTSLIDGHNKMGNTSAASALFEEMMANGIHPDEITYGVMIQVHCKEENLAEAFKFRDAIIAEGKQLSSATYVELLKSLCRSEKFSEALSMLNEMIEKGVKPSYSQSVMLVCSLDAAGFSDEANQFLNVMRSNGWVPIDASVSSLTNEGQDVPSMEVAR >Ma11_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5948864:5954171:-1 gene:Ma11_g07480 transcript:Ma11_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPGKLPVGFRFHPTDEELVSHYLRGKNTGRIKPHDDVIPEIDVCKCEPWDLPGKSLIESDDPEWFFFAPRDRKYPNGLRSKRATEAGYWKATGKDRVIRSKATAAAAAAPIGMKKTLVFHRGRAPNGVRTNWIMHEYRTTEPEFEAGDQGGYVLYRLFKKPEESCSSSNIDEMEPGGFSPTTNKYSRDDTKYFPDDTQWETYATEEIGTPRNHGSPKSDVPEESESLADPVEKQPDVSNKRLADMPDHSTNYSAHPDERCCYLALHDQVAEDGQKFDNLQDVLDQLCDPGCQPIDYDNFPNISSPMLPYTDYPFFGDINNELFPVDNAEQDSLNDYLKAELNRERCSLIQSAATMQSSDWLCGTSNQSTNPAPQLNTENASLPPYHGTAGVYSVVPTSQSLQGLFNSTENTSNRETVAGDGNNFEEIGIEIRSRGLQLLPNPDDVSTTQGTAARRIHLQSPVANMPLPSFDGEFNISKCDHKNREATLKELQVLCSDSRKESDLVKYKMHNVGRGTNIGTRQAHHPPIANESAKMGTAARGIRLRRGCKVKLLFSTKHKSSSCESHKHMVAIMKAVVHAINVTAEVRKILGSTLLDKLDKLLVHDRTPPDSSDAYELSKPVLRKRTKPTIGHENTSKGFPAWPKPPRGHPVDRYVTWLILSVVLLPLCLGIILLVVLLPLCLGIQWSMIRLSISYIMVLCIITSTRLLSS >Ma11_p03950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3020759:3030345:1 gene:Ma11_g03950 transcript:Ma11_t03950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene epsilon-monooxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53130) UniProtKB/Swiss-Prot;Acc:Q6TBX7] MASTSISPSFASLLPLSSPCFPTVSTRRPPMPHCRPLLVGRKPHPVSASSPKNNPDNTTTNGSWVSPDWLTSLVRSLALGRGDDSGIPVANAKLEDVSDLLGGALFLPLFKLMLKYGPVYRLAAGPRDFVVVSDPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGFAVAEGPLWMVRRRAVAPSLHKKYLSIMVDKVFCRCAQRLVSKLQTYAKNGEAVNMEENFSQLTLDVIGMSLFNYNFDSLTSDSPVIDAVYTALKEAEARSTDILPYWKISFLCKIIPRQVKAERAVSVIRNTVEELITKCKEIVEAEGEQIEGEEYVNETDPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDFSSLKRARQEVDNVLQGRLPRYEDVKELKYLMRCIHESLRLYPHPPVLIRRAQVDDVLPGDYKVNAGQDIMISVYNIHRSPQVWEKAEEFCPERFNLEGPIPNETNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIFLQHMEFELVADQKISMTTGATIHTTNGLYMTLSPRKKQHDHGPSLRLMA >Ma11_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3020759:3030345:1 gene:Ma11_g03950 transcript:Ma11_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene epsilon-monooxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53130) UniProtKB/Swiss-Prot;Acc:Q6TBX7] MASTSISPSFASLLPLSSPCFPTVSTRRPPMPHCRPLLVGRKPHPVSASSPKNNPDNTTTNGSWVSPDWLTSLVRSLALGRGDDSGIPVANAKLEDVSDLLGGALFLPLFKLMLKYGPVYRLAAGPRDFVVVSDPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGFAVAEGPLWMVRRRAVAPSLHKKYLSIMVDKVFCRCAQRLVSKLQTYAKNGEAVNMEENFSQLTLDVIGMSLFNYNFDSLTSDSPVIDAVYTALKEAEARSTDILPYWKISFLCKIIPRQVKAERAVSVIRNTVEELITKCKEIVEAEGEQIEGEEYVNETDPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDFSSLKRARQEVDNVLQGRLPRYEDVKELKYLMRCIHESLRLYPHPPVLIRRAQVDDVLPGDYKVNAGQDIMISVYNIHRSPQVWEKAEEFCPERFNLEGPIPNETNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIFLQHMEFELVADQKISMTTGATIHTTNGLYMTLSPRKKQHDHGPSLSVSG >Ma11_p03950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3020759:3030343:1 gene:Ma11_g03950 transcript:Ma11_t03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene epsilon-monooxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53130) UniProtKB/Swiss-Prot;Acc:Q6TBX7] MASTSISPSFASLLPLSSPCFPTVSTRRPPMPHCRPLLVGRKPHPVSASSPKNNPDNTTTNGSWVSPDWLTSLVRSLALGRGDDSGIPVANAKLEDVSDLLGGALFLPLFKLMLKYGPVYRLAAGPRDFVVVSDPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGFAVAEGPLWMVRRRAVAPSLHKKYLSIMVDKVFCRCAQRLVSKLQTYAKNGEAVNMEENFSQLTLDVIGMSLFNYNFDSLTSDSPVIDAVYTALKEAEARSTDILPYWKISFLCKIIPRQVKAERAVSVIRNTVEELITKCKEIVEAEGEQIEGEEYVNETDPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDFSSLKRARQEVDNVLQGRLPRYEDVKELKYLMRCIHESLRLYPHPPVLIRRAQVDDVLPGDYKVNAGQDIMISVYNIHRSPQVWEKAEEFCPERFNLEGPIPNETNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIFLQHMEFELVADQKISMTTGATIHTTNGLYMTLSPRKKQHDHGPSLRCSVSG >Ma11_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26684370:26688418:1 gene:Ma11_g23300 transcript:Ma11_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVPLQVLLSLCLIGVCSLVVYLYYIAWLRPQGIRSKLRQQGIAGPPSLFLYGSSLEMKRLLMAERTRGGRGGEVKHGFTQHVFPHFEQWRKEYGPVFTYSLGNAVVLHVSHPDWVKEISLCSSLDLGKSSYLKKSHEPLFGQGILKSSGRSWSHQRKILAPEFFSDKVKGMVELMVDSAWPLLKSWEEKVELSGGTAAINVDEDLRRYSADVISRTCFGSNYSRGKEIFLKIRELQKAVSSPNLFAEITGLRFPTKGGREVHRLNREISSLILKTVKDSNGTEQYTSQKSLLQAILRSADDDLPSPATPDSFVVDICKNVYFAGHETTAVTTSWCLMLLALHPQWQARARAEAAAVCRHRPPDANSLQKMKTLTMVIQETLRLYPPGAYVARETMQEMEFGGVRIPKGVSIFVQSSALHHDPSIWGPDVLEFNPERFARGVLGACQLPQTYLPFGVGPRTCLGQHFAMTELKVLLSLILLRFSISLSPNYRHSPALRLIVEPEHGVELLLKKAEVLVTSSGIYQ >Ma11_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1767879:1769704:1 gene:Ma11_g02440 transcript:Ma11_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGDVKDRKRRRSPKKSLPLERVHERYRLLRSNVSRKQITPMPLMPKVSPPNLPVDTVTDTLLQLKCHDLIQENLAQGSFRRTRKKVTFDLNVQTHEYVLGDEGPKCPSEDDEATEVIDEERKPHKGQDTSFTKFGSFPSNHRYQNCGSSDDDEGVSEEDEEDYEDSDIDEEEDNEDGDEEGSYDFLFSLANDDEPQGVQEANSPSSSPDRRPILLARGNTRDRRQYVHSVLNPVENVSQWKEVKVRAAPAKKNSTKENVGAEKENQMEPMVKVKKPTKQEVSVEASLSSWLSWSENSTVEGPELSNSRDRPNYSWFSQEERRPVLGDVKQASVASSPGRFPSRSTEEIPILGTVAVYRTCKNHGDEHTGIQNTISKYGEIY >Ma05_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5700289:5702623:1 gene:Ma05_g07780 transcript:Ma05_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIAAVTTTATRAVVPLPCKNVSTAPGAAVTLGRREGLVACFAALTLSLARPASAAILEADDDEELLERVKKDRKKRLERQGVIISSDKEKGYLQELIYKLSKVGQAIENNDLAAASSLLGPNTNADWVQNVNAAFAKLSTSPEEKIEVDNFNSSLASLFSSVGDRDVEYSKLAFVSSASALEKWIGFAGLVGQLKGL >Ma07_p28760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34684108:34689592:1 gene:Ma07_g28760 transcript:Ma07_t28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAKGSAPSRRPAVVVLLLGASLVFSALVVTIQSSFFTGSRRSLVGREEIRVLSDLQTRLQQCVASRGLGLTADIIDHCKLVLKFPEGTNSTWYNAQFKIFEPLEYKYDVCEAILLWEQYRNMTTILTREYLDVRPDGWLEYAAKRIAQLGADKCYNRSLCEEHLNLILPAKPPFHPRQFKTCAVVGNSGDLLKTEFGEEIDEHDAVFRDNEAPVNEKYAKHVGLKRDFRLVVRGAARNMAAILNGSSDEVLIIKSVIHRDFNAAIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDTVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAERKQDWSDVPSKEIIRSAHTVALRLKREQTGQEGDLGPFGNCKVWGTMDGDGPVSGSLDMSDTRKNSNYNKWELVLLERLRKEAQEHYAQMGGVSLYKMDGNKLDDLVCVKHTL >Ma03_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7594225:7620526:-1 gene:Ma03_g10170 transcript:Ma03_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRSAISEHDQDYRHRNIAKLMFIHMLGYPTHFGQMECLKLIASAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYIVGLALCALGNICSAEMASDLAAEVERLLLTKDPNIKKKAALCSIRIIRKVPDLAENFLSPAASLLKEKHHGVLIAGIQLCTDLCKVSADANKHLRKNCTEGLIRILKDVSNSSYAPEYDIAGITDPFLHIRVLRLMRMLGQGDADTSEYMNDILAQIASKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDSQAVQRHRTTILECVKDSDASIRKRALELVFLLVNDTNAKPLTKELIDYLEVSDQDFKGDLTAKICSIIEKFSQEKKWYIDQMLKVLSLAGSYVKDDVWHALIVAISNAPDLQGYSVRSLYKAFRTSFEQVSLVRVVVWCIGEYGEMLVGNVGVLEVEEPLTVTESDTVDVLEACLTGHSADITTRSMSLIALLKLSSRFPPTSERIKEIITEHKGSIVLELQQRAIEFNSIIQRHDNIKSSLVERMPVLDESAYMVKKANSSQENIVAVKSSQMSLAGTSVTLPNGVAKPPAAPLVDLLDLSSDDALVPTSTSKDFLHDLLGIDLTNSSSSGIAPSGGTDILMDLLSIGTPVQSDISPETVSSNQGFAPTTKPTPTTVQVLDLLDVLPSNGSVAGDQSPLYPSITAFQSSTLKIMFSFKKHPEKPQVTEIHATFMNLSSDSYTDFVFQAAVPKFIQLHLDPASSNHLPANGNGTITQTVTVTNSQHGQKPLAMRIRMVYKVDNQEKLEQGQINNFPPGL >Ma02_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2486423:2499180:-1 gene:Ma02_g00250 transcript:Ma02_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEGEGSVQNVLVMRHGDRIDHLEPLWVAHASRPWDPPLAEGGLIRAWTTGKRLRGVGFPIHRVLVSPFLRCLETATEIIRALCCVVDDDTRLLAMGTSQDAVLDPSRVKVSIEFGLCEMLNSQAIGSRVAPKDNKWFPHISELEALLPAGTLDHSAESIYKELPQWEESLLEARKRYISVIQALSDKYPNENLLLVSHGEAIGATVASFLEDAMVFEVEYCACCHLQRNILSNSSQAFSTENFRVLTESGQTVPFFPPDRYGSRPYCYCCRYYNIGGAFIANLFIAVAILSMF >Ma01_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2438010:2438603:-1 gene:Ma01_g03660 transcript:Ma01_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGMSETGMNASSMTETHYTHMTFFWGKNSEILFTGWPGTRGGMYALALVVVFAFSFLLEWLHHCRLVRPGAGRIATGLAQTALHALRVGLAYLVMLAVMSFNGGVLIVAVAGHALGFLLFGSAACRKTPLQPACAGKGDLPPMAC >Ma09_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10640076:10641341:1 gene:Ma09_g15350 transcript:Ma09_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLIKRVFTCHRLLSASSASKTLRKPIEIFLASAVSFLQLADDAIVLSVPSEIRFKYAYPRQQIRVSDALLSVASSALYVAKSESGLRYWHSSRIHDRMTCAFRCSDIFSLMCLKACEVFHNTCLY >Ma02_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25478922:25479890:1 gene:Ma02_g19240 transcript:Ma02_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPSPRNYGFPFFFSPPPPKVSPFRAPPPSPKVSPFTPPPPSPSKQAPPPPPRRSPPPPSPSKQAPPPPPRRSPPPPSPSKQAPPPPPSPSKKAPPPPPKKMAPPPPKLSPPPPPRTPVHPPPAPVAPLPPAPPHIPPPSPPPPSPHHTVIIVVFVSLGGLFFLAFLAAALCCCLKKKKKKMAAKAEVVDVEDHVHIHETVVPGPHGEQLVALSIDEDIKVHEVIKKGELIGETSIREPALERPHPNDEATAGSSGTIHRNLVEHRNQ >Ma03_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9427331:9428243:1 gene:Ma03_g12170 transcript:Ma03_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTGHICKTVKRRG >Ma10_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4763253:4764146:-1 gene:Ma10_g01520 transcript:Ma10_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPISSDLHTGSNQSKQDDKLCCRLLFKERSVANPSFRVYYGVASGAVPFQWESQPGTPKHVSSTAALPPLTPPPSYFYTPRSKSSMKSCKSSFIRAMLPKLSLRKVHISPSSSLPSSSSSLSPLSYFSAVGYGEEPEDGPPESTLCFGMRRRAAPVKKALLSVVGHGCGRRTAA >Ma01_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1764661:1765535:-1 gene:Ma01_g02650 transcript:Ma01_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFHRSVSLPGSNRLDEPCHLRSTSLPCRSHPALSHLIDQIRSCLDPCTRCSSPAAGLGRIDRLLAALDDLLRLPQAQDTLRGGPAWVDRLLDGFLRLVDAHGSLRSVVTALGQDHAEARAAIRRRDPVRLASAARSHRRAEKELARLASTVKDLARSRSLNPGLWAEEAEAEVAGIIAEAVAATAATSMAVFLAIAAVSSSAATSMSKGSWTDRALRRAWKKRSEEGAMKAMEESMEGLEEGNGRVFRSLVNTRVALLNILTPSL >Ma10_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:625935:628454:1 gene:Ma10_g00040 transcript:Ma10_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVVQAITVAAVANSPPLFTYPPPRTSGSSAAAAIPKKYLSPVDLGGGWTNGWLEAIKASSPTHAKSALLSAPAVESEQDEQSTWIMRHPSALSKFEQITSATKGKQIVMFLDYDGTLSPIVDDPDSAFMSDTMRAAVKKVARLFPTAIVSGRCRSRVYEFVRLTELYYAGSHGMDIKVPKGTRYTKKKKAVLFQPASEFLPLMNEIHKALLETTRSIPGAKVENNKFCVSVHFRCVDETCWGVLVEQVVSLLKSHPELRLTQGRKVLEIRPTIKWDKGKALEFLLKSLGFADNKNVVPIYIGDDHTDEDAFKVLRDRGQGIGILVSEYPKDTNASYSLKEPYEVMEFLLHLVEWKRHSLKARSEE >Ma03_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8084016:8088373:1 gene:Ma03_g10730 transcript:Ma03_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASFFASARLPTASFPSPKEQGRGRAAVYVAAVPLRAAKGPAQMLMSAAYSLGVWDLQHFMVVVRPDPSQSQVVVFDFQPQDPENFYALLSVISQRQIPGVVLKRKLQRIPKSRCWFIGFSDDDGVEVANKFSEDWSTHLTVGKHDCRHFTNGLVECLTGQQHVLSYLQATSSG >Ma05_p25300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37258989:37262099:-1 gene:Ma05_g25300 transcript:Ma05_t25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCNPSERPIIISSPRRRGAVIGLLALNQWFPEGEEYGRELDYVQLIPTNSKLPMMVITVESLPGCAKERLINGDVSIERELVAARIEEWKEGSVCPKAQVIRMLGRAEEIGPQISAVLFEHAIRAADFSPASLSCLPEAPWKIPTEEYETRKDLRNTCTFTIDPASATDLDDAISIEKVSEKVFRIGVHIADVSRFVLPDTALDREARIRSTSVYIPQHKLPMLPPELSEEACSLVPGEDRLAFSITWDIDDTGNITGRWIGRSVIHSCCKLSYDDAQDIIDGGFEVDVSGKTVPKLHGQFELKDVVDSLISLHGITKKMREIRLRNGAFWIETPKLVFLLDESGNPYDSLLGVRKESSYLVEELMLLANRSVAEVISKAFPDCALLRRHAEPMSMKLKEFQEFCRKRGLELDASSSGKLQLALPKMRQKLKNDPVLLQILLARAARTMQLAVYFCTGDLRGREDEWAHYGLSIPLYTHFTSPLRRYPDIIVHRTLAAVVEAEEAYAEKRLSCAASDSGDGIGNGCFTGLYFDKEAAESEEGREALLSAAVRCGVPASEVVSEVAAYCNERKRSSKHVEQSVENVYLWALLKNKKVMFSDARVLRVGSTFMTVYINKFAIERRIYFGEVEGLTVEWSKTTGTLVLNALKTKPSQRSYLSEKRRVIEDVVLMMSHCQIVTPEDQNEYISTAPSCCNKEGNVTATAPLCFPLVIQVLSTIPMAVHAVGGRKGRVHIVARLYMH >Ma03_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28530387:28541083:1 gene:Ma03_g24230 transcript:Ma03_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRIVLFLFCLIFFPVLVVKESAGRLGGEGLSLALGDARLMSPPMMHEDTGVMRVSKVIRSLSPDIKHAVFKCLIRKGFHFDVFEDEHKLKNLYMECLDFILTLRPVPRRHLADQPPTAAPALSPAAGPSPPPASSPDARAEPQTPSPTPSQDSDLASASSSFPNESFSDLPSDIPPPKGPKPSKKKRHAAPHAPKEEENNQTKLVIIAVVISSAVTSLLLACVFCCYRKCCRESNDSFSGRDERPLLHLSLSDLSGTSSKSFGPIGSNHSDNFGGLPLKNGPLQNGHVFSSDLNSDNTLLPDRLSGYSHTSSIPSPTLSTVVPPPPAAIPPPPAAIPPPPAAIPPSPAAVPPPPAAPPPPPSAMPPSKAAPPPPAPRPPLSNQKPGAGLPPPPPKAALPPRQSQGSSRTIRPPIAPNPGSLGAAGNGNSSKTKLKPFFWDKVLANPDQSNVWNQIRSGSFQFDEEMIETLFGYNAAGKTRVEIKKQSTTGDPPIQYIQLLDPKKSQNLAISLKALSVKKQEVHEALMEGNELPSALLQTLLRMQPTTDEELKLRLYNGDLSLLGPAEQFLRDLVDIPFAYKRMDVLLFMSSLHEDVSSIKDSFATLEVACTELRSNRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGADGKTTLLHFVVKEMIRYEGIRAARVARQSGSMSSLTNYSFFTDDVSEDSLKESGDELRNLGLTVVCGLSGELENVKKAAGLDADAITGTVASFGRRLIEIKQFLNTDMRNLEEVSGFHDTLKYFVEHAEAAITFLLEEERRIRSLVQSTTDYFHGSAAKDEGLRLFVIVRDFLGMIDKTCKEVRESSKKVSKAPKAKDSATANPTPDPRQRLFPAITDRRVDSSSSDEEGP >Ma02_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28107780:28112427:1 gene:Ma02_g22880 transcript:Ma02_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSSCSVFSTPFVHTDDESSRSRCERSPLVSYWDTGSDYGYVEGDSGSTDVEGAFDPVDLLPDDPFGMGLDDSMGVAIASLLEVSGSDFFGWTLLYSPETQFYHDGWMEECDGWDDGDFTGLSVREAGDEILESGLDMGHSLNCNRAEEARSIIDDGTPHEGLLFSLGYLGVRDLLSVEGVCRSLRFAVQSDTLLWRCIHIDSPLSEKITDDVLLRLTQRAQGNLQCLSLTGCSRITDDGLKRVLDNNPRLRKLSVPGCVRLSLDGIINSLKVLQSQGMPGIEHLKLGRLFIVSEEQYGELKLLLGAEQLQQAKHQKPRFYHTDRSSPTCDDDCIIDIELCPLCQKYKLVYDCPSESCRGKGSKQCRACDVCIARCIQCGKCIKDCRYVETFCLEYLCSGCWKLPLVVHESNEEK >Ma06_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15876660:15890634:1 gene:Ma06_g21590 transcript:Ma06_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPHKRKIALRKSVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNTKPRHRFMSSFEQRIEACDKRFQYLLFGADPYEIIAFKVPSTEIDKSTPKFFSHWDPDLKMFTLQLYFKIKPPEANKPLAAPAPASNGSATAPGVPPRPLPPPPQAPPPPPPQAPPPSAPPPVMNPPRPPPPAPTMVGSQPPPPPPVANGPPRPVPPPPMGGSAMANFTPGVQAPRPPMQGFAGQPMQGQVSHPPLPPPNMGH >Ma08_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1581350:1581695:1 gene:Ma08_g01910 transcript:Ma08_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLGILYGIENPKQMLELLLHHHRYLVLLFSSHVACTPESESYIIIILLLLLLLLLLLLLFA >Ma11_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1736426:1741737:1 gene:Ma11_g02400 transcript:Ma11_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGAESEGVSAFPSPPKSSTCTRTTSATISFRPEAASPSSSGTATTCSPPHRERLLPSLDALPAQMLDPEIDLLPDVCYLSSASSSSLGRHLDMFTAQPPYAGEFSLEPFDDLISICDVATSEYRPYPPDHAAVQMAATGGPPRCQQQRPASDEEFHAAVAAAVGMTPPLCAVLDGGGVGASYHWGGSARGDGPHGFFPAGGMMAAGPEVGPMGSEHVADYQALVTGSGMYGLEAPPLRAYRTGNLQSFICLVKVIGGGSQHLMAGNSGNPTPLPASNVSAMDESTFKVGRLSVEERKEKITRYIKKRNQRNFSKKIKVKLTVLKVIEIQPKLIAIHLQYACRKTLADSRPRVRGRFAKNDEFGEMTKPSSRNHEMDEEVVMKEEDILDSSDILVHISGMNSFKNNFTLESWI >Ma09_p28000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38854360:38855413:1 gene:Ma09_g28000 transcript:Ma09_t28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLVFSTPTRCHPVPVDLLSRRSFTYSLLPELHGVRYVGSQAGWLAVYNENLDVSLINPLTAARICLPSFLTLPNFELVGGSCVLPHLNRISRFFVSKVIFSANPTTHNYIAVSLYGTPQIEIAYAKAGGDKWNLLQTTSTQNRSYEDIMYHNGKFYCITGEAEVFAFDLSGVSPTVTVVAERTSLGLTYFDYHIPCFGVIQIYGKYLACSSTGELFLIFRREVHCHESLGWKGIMVWRYNPQRQPCWEAVKNLGNKSLLIGINNAISISTENFRGVRRDCVYFMEALVRTIVDDRPEFILRIGVFDVERGMWARANYQLESHSQPPIWFTPSML >Ma03_p31750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33843802:33844465:1 gene:Ma03_g31750 transcript:Ma03_t31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGESSDPAKHGHQDQWTRCRSVSCCGNRACVSHLIATGFRT >Ma09_p28280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39094063:39098460:1 gene:Ma09_g28280 transcript:Ma09_t28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVMVETGAPAGLPSSALPRSGTPSPFASGPLIPSPLAASFWLDPPQVLQLPAVDKIDQVVGYVSIGYPFGLMASILFGRHQEAILMSEKPKLFIMGNRDQLQNKLKSAAGRVDSHLIQGVGHFQMEGSEMLNLISTFAQSLQG >Ma07_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5183496:5188532:-1 gene:Ma07_g07130 transcript:Ma07_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCEEKKQDTRIQRIASSIRVVPDFPKKGIMFQDITTLLLEPKAFKDTVDLFVEQYTGRGISVVAGVEARGFIFGPPIALAIGAKFVPLRKPRKLPGEVISENYILEYGSDCLEMHVGAVQPGERALVVDDLIATGGTLCAAISLLERAGAEVLECACVIELPELKGRGRLNGKPLYVLVESH >Ma08_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37219740:37228935:1 gene:Ma08_g23860 transcript:Ma08_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEPALVPQWYKFPNGSTSNNALQSNSSKHSGLGSRDKSLGDQDRNLLRSLSSNGSGIYDKGSSGKSQAYSSFRRSRDRNQEKDFDSREREIKSVLVDNGFDHRDSFLGVGAEKDALRRSQSMIAGRQVNTWPKNLGSSGNNIVPSVGTVSSSISKTSFEKDFPSLRAEGKHGFSDTGDVSPLGLRTAVQSLPVVSSVIFGTSALAEVPVKVETNGTIISPVLQAAPTCQASAAGITMSGLNMAEALAQAPSQVGNTQLTVDTQRIEELALKKCKQLIPMTPSMPKALSCSLSEKTRSKIARGGDFSSLAKVGQQSHVNHTFRPSARSDIAKTAQVGNFHVLNREKNSISHVAKDGSGIGKVMDPTGLTSTAAVLPSKRRTDQNFKVDSKNGAVTRISHGERKLPSQSKNRNDFFNLLRKKSLTSPRAIPEGSSTEPTSSLEKEVDNLQITSVNVRKKSLPSVLDCSTENGNVLNGDSCASDESESFDADNGEANPSSDTIVDPEEEAFLQSLGWDKNAWEDALTEEEIDAFLKKYEKRRPLKIVPTDLYANNLSGEDA >Ma08_p34160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44275212:44276098:1 gene:Ma08_g34160 transcript:Ma08_t34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPSLHHQPITACRALIRQNTFIDAMVAGCCTEELTLNVSVHRVWKAAACEDHILLPKIMPQYFSGAELIGDGEAGSTKIFHFDPGMKPLIFVKNHVEVLDHGSHTLKYKTVEGGHLGHTLKSHTIEHKYDALSADTCSLKIKVEYDTIDDKGLPEEEVNKIKEGSVWVAKAVEGYLTTNPAAYA >Ma09_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1865992:1869038:-1 gene:Ma09_g02670 transcript:Ma09_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITESVPELFTPMSLELLEAARSGDTNILGTSIPDVHVTAGGNSMLHIAAKPGHTDFCNKALYLQPLLLQLKNARGDTPLHIAARAGHHQLVNDLISTASGRSAQGDDRVCSLVQMANDVGNTALHEAVQNGHEQVVDALMAKAPGVSAVTNNVNGLSPLFMAVECESVPIVRRLLEADEASCDGPNGQTALHSAVLVNYEITKILLEERPRLLEKADNNGSTPLHFAASDSDIEKVKLLLEKDASIARLQDNNGASAIHVAASCGHVSTIEHLDKVLPNCVELMDNEGRSFLHVAIEKGSEWVVSYVLRSPHLIDLLNQPDKKGNTPLHAAALSGNLSITRMLSSNKNVKKNAMNNEGHTALDVALRRTRTPLEPPKLLIKQLKINGSRFSPQRRDSNRLEMQEPRDYTLFANNLSVAAALITTVSFGAAFTLSGDYKSDVSNDPGLLISVKRLFFEMFLICDTVAMITSFLVLLLVLQSHLGNKNYQILQLPIAIVLFQISILALILAFSSGLYLLIAAEFFWLAIFLSRRFLHIGGNVISLDFLQCPGMWEILQSSNVSD >Ma02_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20006484:20008190:-1 gene:Ma02_g10440 transcript:Ma02_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGLLVLCPTLIIFLLALLTFFLRRFFSAPSSSKSKNSTTISFSELVKNGHRFVEWTAELILASPTNTIVLPTSVMTGNPKNVEHVLKANFHNYPKGHNQTSVLADLLGGGIFNADGDHWRLQRKTASLEFNTKTIRSFILGNVHLEVADRLIPRLARAAANDEVVDLQDLLDRLAFDNVCKVAFDVDPARLAGDDSCDEFFRAFESATAICISRFRQPRLLWRLRRALNLGSERQLKRDVSVIDDFAMRVVRERKARTAEEIRNSSDLLSRFIAEDDDFSDEFLRDIVASFVLAGRDTTSSAMAWLFWLVSTRPAVEQRILAEIGAVRTRHGNRVGTLTLDELREMDYLHAAVSESLRLYPPVVLQPRRAEADDVLPDGTAVPAGMSVTYNTYAMGRIEGIWGAEWGEFKPERWLDKEGAFRPVSPFRFPVFHAGPRTCLGKEMAYTQMKAVVASVMERFEMEVMDKGREREVEFSMLLRMKGGLVVRMRERELQQ >Ma03_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4736560:4739041:1 gene:Ma03_g06900 transcript:Ma03_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQFGILYAFLLLVLSTSLFMRRRKTRPEDKYKVPPGSMGWPYVGETLQLYSEDPSVFFANRQKRYGEIFKTHVLGCPCVILASPEAARFVLVTQAHLFKPTYPRSKERLIGPWALFFHHGSYHMRLRKLVQGLLSPNALHGLVPDIERIVISMLESWVGLEARTFHAMEKLSFDVGVLAIFGDRLEERHKVELKKNYLIVDKGYNSFPMYLPGTPYHKAIVARKRLHGVLSEIMNERRKRGVRENDLLGCLIDSKDDSGEHLNDDQIADNIIGVLFAAQHTTASVMTWVLKFLHDEPKLLESVRAEQTAIREANEFGSRPLTWAQTRSMSLTHKVISESLRMASVISFTFREAVADVEYKGYLIPKGWKVMPLFRNIHHNPDFFQEPNMFDPSRFEVAPKPNTFLPFGNGVHACPGNEMAKLEMLILIHHLVTKYRWETLGHQGEIEYCPFHVPKHGLPARLWRASGSYEAGE >Ma10_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29987770:29987916:1 gene:Ma10_g19420 transcript:Ma10_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLSGCRCRISYCLASFSTPQFLFWVILLCYVAQVLEVLLAQQFTG >Ma09_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7104523:7104969:1 gene:Ma09_g10430 transcript:Ma09_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAIKVGAWGGNGGSAFDMGPA >Ma07_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1427184:1431111:-1 gene:Ma07_g01870 transcript:Ma07_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTQRITIIDGNLVVQGKTILTGVPDNIVLTNGNGAGLIAGAFIGATASDSKSLHVFPMGTLRGVRFMCCFRFKLWWMTQRMGTCGRDVPLETQFLLVEADGSASQEEASSTVYTVFLPLLEGQFRAALQGNDKDEIEICLESGDKTVQTKQGMHAVYMHAGADPFEVISQAVKGAEKHLQTFHHREKKKLPSLLDWFGWCTWDAFYTDVTAEGVDQGLQSLSQGGAPPRFLIIDDGWQQIGTEVRDQTAAVVQEGAQFASRLTGIKENAKFQRKDGEIDQCSGLKLVVDEAKKHRNVKYVYVWHAMAGYWGGVKPAAEGMEHYESALAYPVQSPGVMGNQPDIAMDSLSVHGLGLVHPKKVFGFYNELHAYLSSCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHQALEASVARNFPDNGCISCMCHNTDGLYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAVGGCPIYVSDKPGHHNFELLHKLVLPDGSILRAQLPGRPTRDCLFCDPARDGTSLLKIWNVNKCSGVVGVFNCQGAGWCKLAKKTCVHDATPGTLTGAVTATDVNTIAQLAGPDWDGQAVVYGFKSGELIRLPKGAALPVTLKVLEYEVFHVCPLENIAPNISFAPIGLLDMFNAGGAVEHLGVQVTSADAVDGDNGSALGENRGSTARVVLRLRGCGRLGAYSSQRPLKCTLDSSDVEFGYEEETGLLTINLPVPEKEMYKWSLEIQV >Ma03_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24250638:24255515:-1 gene:Ma03_g18720 transcript:Ma03_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAINPSRRATDSGSIPLIASLHQKSRSSPLLSAGLVLLGVLCLIFYLFRGSGGFGGNKEAISSGQGVSCTSDVLQAIPILKKAYKDSMRKVLHVGPDSCAVVSMLLKEEDTEAWGVEPYDLEDADSSCKSLVRKGFVRLADIKFPLPYRPKSFSLVIVSDSLDYLSSKYLNKTLPDLARVSADGLVIFAGYPGQQRVKVSELSKFGRPAKFRSLSWWRRYFIQTGFEENETAIKKFEQASTKSSYQPSCQVFHVNS >Ma03_p26370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30139789:30141337:1 gene:Ma03_g26370 transcript:Ma03_t26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSIAKRWRVLQGKDSWKGLLDPLDVDLRRSLVLYGEMAQAVYDGFIRQKKSRFAGAPLYARSNILQKVALSQGQERLYRVTKFFYATSGVQVPDAFIIKSLSRQAWSKDSNWMGYVAVATDEGAAQLGRRDVLVAWRGTLLPLEWINDLDFTMVPAPEVLGSSSPLVHRGWLSIYTSEDPKSPYSKSSARNQVLKEVGRLIEEYKDEETSITITGHSLGAAVATLNAVDIVANSFNRSSNPSSTGCPVTAFVFASPRVGDFEFQKLFSGTPDLRLLRVRNAPDLVPNYPIIPYVDVGVELAIDTRKSEYLKAPGDLTTWHNLECYLHGTAGAQGRRGGFKLAIDRDVALVNKSTDALKDEYLVPDSWWVEKNKGMMKGADGHYKLEDHEEDNNA >Ma01_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10163823:10165342:-1 gene:Ma01_g13890 transcript:Ma01_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFMESSDWLKSVVREDGGMDFSSTPGELLSCSRLPVGDRRLRPQQEQAKCPRCDSTHTKFCYYNNYSLSQPRYFCKACRRYWTKGGALRNVPVGGGCRKNKRPAAKKAAEPHRQPAFSLPGATDLQSSFNGAQPQQFPSLTEIPGNLNFAECKYDSELGSFSSGLHPMRTKYGAMQGSSQSFVFVSEGDIGLGVATQGFPSDDNTREVFMDGSHAVLMQACQRIEDPFGQNRNTNTEFLRLLGRNSGVQMVDVTQWGTPTASDHGPES >Ma01_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8274584:8275571:-1 gene:Ma01_g11410 transcript:Ma01_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAFRGSISLPGSPNPSEANKPRHARSTSLPSLSHPAVSHLHGQICALRSWPLQPDGRHSPPPAGEWIVAGFTGIGRLHDALHDLLRLPLARDRLRPAPWADRLLDAFLRLADAHGSFRSAALALRQHLSEARAATRRHDPGRLSSALRAHRRAEKALARLASAAKDIARHPPLAPGLPADEAEIADILREAVAATATASAAVFAGVAAVSSAAETAAASALKGSSTVGTLMRLSSTTKKAAWESERETAALERLEKAKECIEGLEKGSERVLRSLMNNRVSLLNILSPSL >Ma11_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:606772:609488:1 gene:Ma11_g00820 transcript:Ma11_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASPRCHGLLFSLLLLSFVVHQRSVRGSDELRALMELKVSLDPENRLLTSWTSEGEHCRGDFEGVACDESGKVANISLQGRGLSGSIAPVVAELEGLTGLYLHFNKISGVIPREIGNLSRLSDLYLNVNNLSGSIPEEIGNMGGLQVLQLSNNKLSGSVPPKLALLKNLNMLALQSNHLNGAIPATLGDLTELTWLDVSFNQLFGSIPVKLSQLPQLTVLDVQSNLLSGNVPSELKKLGGNFKYGNNTDLCGTGFTDLRACTSADLLNASRPEPFSAGLAPQDIPQSVNISADCSATHCSSSSKSTNVVIVVATTIVVFGVMVCGLMAFLWFRLRKQKIGGLFEVSNGLLSADPTKFSYRAASPLISLEYSSHWDPMTDERSGGINGLSQEVSQIYRFNLEEVECATQYFSEVNLLGKKSSFAATYKGILRDGTQIAVKRINKTSCKSEEAEFLKGLRTLTLLRHENLVGLRGFCYSRARGECFLIYDFVANGSLPEYLDVKGDGIHKVLDWPMRVSIIKGIAKGIEYLHGDRANKPSLLHQNLSAAKVLIDHQFNPLLSGSGLHKLLADDVVFSSLKTSAAMGYMAPEYATVGRFSEKSDVYAFGVIVFQILTGNTRTSHLRPEAESGKLEDLIDENLQGNYSKPEAATLVGIALLCTSEVPSQRPTMEAVLQEMSSGSNCCCRQI >Ma03_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8429667:8431569:1 gene:Ma03_g11150 transcript:Ma03_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAYGAMKPTKLGLEEPQEQLHRIRITLSSKNVKNLEKVCADLVRGAKDKRLTVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLVSSSEVVKQITSITIEPGVEVEVTIAEP >Ma09_p27400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38392510:38395984:1 gene:Ma09_g27400 transcript:Ma09_t27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVENAVAKTVKDVSPHEFVKAYSAHLKRSGKMELPEWTDIVKTGRFKELAPYDPDWYYIRAASMARKIYLRQGIGVGGFQKIYGGRKRNGSRPPHFCKSSGAIARHILQQLETMNIIEINPKGGRKITSQGQRDLDQVAGRVSVLP >Ma00_p00300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:855178:878727:1 gene:Ma00_g00300 transcript:Ma00_t00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVAGGSLPRSDSSVAMESSPPSSSTSSPPPSPSDGPRRLTVTQLEQLKVEEEVFEIYRILRGIAPHTQSFMLELWRDTHIEFLTRGLGLLGPSYHVLDANRPWLCYWIIHSIALLGEPLHSELEDSVVEFLSHCEDKYGGYGGGPGQMPHLATTYAAVNTLVTLGSDMALSSINRANLLKFLLRMKDSSGAFRMHDGGEIDVRACYTAISVASILNVLDVELVKDLGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLAAMILINEADRLDLPSLVDWVASRQGVECGFQGRVNKLVDGCYSFWQASVACNKSCNFTTGETGLDGNLCGAGTSHAKIEGGLSDFGFSFMEKQTECGPLFQSIALQQYILLCSQVLEGGFKDKPGKQRDFYHSCYCLSGLSVSQYSCSKDASVPPPTRAVLGPYANLLESVHPLYNVVLNKYYKSHEFFSCL >Ma00_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:855178:878727:1 gene:Ma00_g00300 transcript:Ma00_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVAGGSLPRSDSSVAMESSPPSSSTSSPPPSPSDGPRRLTVTQLEQLKVEEEVFEIYRILRGIAPHTQSFMLELWRDTHIEFLTRGLGLLGPSYHVLDANRPWLCYWIIHSIALLGEPLHSELEDSVVEFLSHCEDKYGGYGGGPGQMPHLATTYAAVNTLVTLGSDMALSSINRANLLKFLLRMKDSSGAFRMHDGGEIDVRACYTAISVASILNVLDVELVKDLGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLAAMILINEADRLDLPSLVDWVASRQGVECGFQGRVNKLVDGCYSFWQGGALVLAQRLSPILHKQLESSFIGRVQASVACNKSCNFTTGETGLDGNLCGAGTSHAKIEGGLSDFGFSFMEKQTECGPLFQSIALQQYILLCSQVLEGGFKDKPGKQRDFYHSCYCLSGLSVSQYSCSKDASVPPPTRAVLGPYANLLESVHPLYNVVLNKYYKSHEFFSCL >Ma03_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22437758:22439356:-1 gene:Ma03_g17210 transcript:Ma03_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDQCLLLAELARARKLVGQMEAELHRHSANESCKALLRELFASIEKSICMAKTSRPDSSRPSSGESSQSDPSKPGFKDHTPKKRKTLPKWTSEVRVSSAAGGVFEGPVDDGYSWRKYGQKDILGAKHPRGYYRCTHRVAQGCPAMKQVQRSDEDPLLFHVTYHGMHTCLRKQGQKQRRPKEDEHQTGLRVEASSLSFSFESGLEAAAARENMFASPATIENCFSSSTSPTFQSPAASDSTFFSLSRCVFEDGIKLWSPESEVTEMVSGANSAANPPAVDMDFMLQELDFEADFSSFFS >Ma00_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28655017:28658615:1 gene:Ma00_g03360 transcript:Ma00_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSEVIYVAAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDVWAKEIDLYSTNQDCIKMLVGNKVDKENERVVTKKEGIDFAREYGCLFVECSAKTRVNVEEIVLKILDTPNLCA >Ma06_p26750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28646290:28647896:1 gene:Ma06_g26750 transcript:Ma06_t26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHPLEAGQIQAHLTKSHRRNLLNSLLRSLSLSHAPEKALHVFRHILHLSPPSSSDHFAYTFLLRAASLLHPDHGLQLHARLLNSGLHADLFVQNSLIGFYTSAADVDSVRRVFAGIARPDVVCWTSFVSALARCGREDEALAAFRSMDVPPNALTLVSLLPACARLGALLPGKAVHGFGLKSCGGHGCNVILDNAILDLYMSCRDLVSARNLFGEMPSKDVVSWTTLISGYAANGIPEEAISAFHVMLRHGQVEPNEATMACVLRACASLGALSTGKWIHSYLLKSHIGVCGLPGNALINMYAKCGVIVMAWRAFSGLACRDLVSWCTMMGGLAMTSRSDLALQLFASMICHGLRPDGVAFLALLSACCHAGLLDQGLMIFKAMSKVYGVVPKKEHYTCMMDAFSRAGRLKEAEGILCSMPVEPDKHVWGVLLSACKTHGLQQAEWEHLGGKALGGYLDFGGGTYALVSNILADAGRWEVSNHVRDLMRVRKVEKTVGCSRIEGR >Ma04_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23761150:23763255:1 gene:Ma04_g21190 transcript:Ma04_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRIGELWTPEERHCFYLLQRIPSQPVATSSAAASSLLKIQAFLLRRGTLHANLSLFTRLISAFSDLAAPASPLVAAALLRHARRLFDCRPSQDAFLCGSFIRALVRNRLFRESIFLYRDLLRHPPSPVEPPFSPDGYTFPLLLKACAALSGDPSEHREGPQLHSHVIKTGFCGHAFSSTGLVDMYAKTSDMTSARKVFDDMPLKSLASWTSMAIGYARGGEAGAAMELFQLMPEKDTAAFNAMIDVFVKKGHMASARRLFDEMSDRNVVSWTSLISGYCKVGDMEAASVLFDVMPEKNLYSWNVMIGGHCQNRQPHQALELFRELQSTCCLPQPDNVTLVSVLPAVADLGAIDLGRWIHSYARRKGLDQVITVSIGLVDMYAKCGDVCEARRVFDAMPIKDTAAWNAMINGLAVNGRAKAALAVFTEMRRAGVCPNEVTMIGVLSACSHGGLVEEGRRWFNEMEALHVERRVEHYGCMLDLLGRSGRLGEAETLLEEMPCGPNGIVLSSLLFACGRHGDMERAERAMRRASAVEPGNWRNYVVMRNLYARKKKWRDVERMQEAVRYHGGKREAGCSVVEVGHRAWEFVSGDRVHPQSQSIYELLDELLQQIKGQGEEEEDRLILASEVQSTSVAVS >Ma07_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3910983:3912139:-1 gene:Ma07_g05390 transcript:Ma07_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMGMMNSMVASSSSMGSWARRGGVAKGRRKAGRSRGDVRCAAAASLADQYRMLRVQPGAPEKEVKKAFRKLALQYHPDVCKGSNCDVQFHRINEAYDIVMSSLRQADQQKQEQWQRPEWSSDGFSDEGMYDSGWDLWEEWMGWEGAGIRDYSSHINPYI >Ma02_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13419708:13421685:-1 gene:Ma02_g02290 transcript:Ma02_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGFRLLFLLSAVGSAAAATASGCSSMAFSSNRVYAACIDLPRLSSSLHWSYDNASATLSLAFVAPPAGPEGWVSWAINPSGGGMIGCQTLIAFRQPNGVMGIKTCNITRYGPVAEGPIEFETSDMAAEQSGGVMRLFAKMKLPAGMTEVKQVWQVGSAVVNGVPQKHDFKPENLQSLGQLDLIKGSISASGGGTAPRRNKYVHGILNAVSWGILLPIGQLFARYLKTFRSADPAWFYLHVSCQIIGYAVGVGGWATGLVLGSRSKGIQYTTHRNIGISLFTLCTIQVSALLLRPNKDHKYRLYWNIYHHSVGYTVIVLGIVNVFKGLQILNIDHKWTVYFIIIICILGGIALFLEIVTWIIVIKRRSDDSRKSHDGSTSSGVQRSISL >Ma07_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4405777:4409826:1 gene:Ma07_g06100 transcript:Ma07_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEPPAPPLRLVNFVSEEQLVHAKRTRGERVEDGTAQRDRPLYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLETSRREYEQQVAFEDAQQLRSFHEAVAAQSSIVHELKETTPVTRTEVPKPLPRRNQQARSGNIIIAVKPQAKKARTEVTVPDGFSESSKPPDGRHDAKPPPDVAPSALGGLVSYSDESEEDD >Ma01_p11610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8393983:8398328:-1 gene:Ma01_g11610 transcript:Ma01_t11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETGKGDKKRSPFSSFWTIFMHADATDMLLMTVGFVGAAGSGFTTPFILLVTTSIMNNLGAGPSTSTHFIDNVNKNSLDFVYLSLLVFVTCFLEGYCWTRTGDRQAMRMRTRYLKAILRQDVEYFDLNVTSMSEVITSVSSDSFIIQDVLGEKVPNFVKNVALFVGSYSIGFVVMWRLALVAFPTFLLLVIPGIMYGRIFMDLAKNIRDEYEKAGAIAEQAVSSVRTAYSSVAELRTMSMFSNALEDSVKLGLRQGLAKGVAVGSNAVTFAIWAFITWYGSRVAMHHGVKGGHIFAVGTAIVRGGLAFGSGLSNIKYFSEASSAGERILKVMKRIPRIDSDSTEGIVMEKISGDVEFRSVEFVYPSRPDSIILNDFNLKVAAGTTVALVGVSGSGKSTVIALMERFYDPRRGEVLLDGIDIRSLRLKWLRSQIGLVSQEPTLFATSIKENILFGKEEATMEEVVAAAKASNAHNFISQLPQGYDTKVGERGIQMSGGQKQRIAIARAVLKSPKILLLDEATSALDSESERIVQEALDLASVGRTTIVVAHRLSTIRNADAIAVVQAGRVMELGSHDDLIRDEDGLYSSLVRLQQTTRATVGEDSSSSSVAPVASSLLCDRKSRSFSVCSRSNSATSSRHQEAHDELEAIARPVPSLRRLLLLNAPEWRQAVMGSLGAVAFGAVQPLYSFVMGSMISMFFLTDHEQIKSNTTTYCLVFVALSVLSFLVNILLHYNFGAMGEYLTKRVRERMLSKILTFEVGWFDRDENCTGAVCSRLANDANVVRMLVGDRMSLIIQTVSAVTIAWTMGLAIAWKLGLVLIAIQPLIIVCYYCRKVILQSMSKKARASQSESSKVATEAVANVRTITAFSSQDRIIHLFERTQDRPRQKSVWQSCVAGIVLGLSEALMRCSWSLAFWYGGRLMFHGHITAKALFQNVLILISTGRVIAEGGSMTSDLAKGADGVSSVFAVLDRCTHIDPEDDRGYRPKKLAGEIDINGVDFAYPSRPDVFIFRDFSLAVEAGKTTALVGQSGSGKSTVIGLIERFYDPLKGEVRIDGRDVKSYHLRSLRKHIGLVGQEPTLFAGTVRDNIAYGTEGATEGEIEEAARTADAHDFISCLKDGYDTCCGDRGAQLSGGQKQRIAIARAVLKNPAVLLLDEATSALDSQSEKVVQAALERVMVGRTCVVVAHRLSTVRSCHLIAVLEKGSVVEKGTHESLLAKGPAGSYYGLVSLQSH >Ma01_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8393983:8397775:-1 gene:Ma01_g11610 transcript:Ma01_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLALVAFPTFLLLVIPGIMYGRIFMDLAKNIRDEYEKAGAIAEQAVSSVRTAYSSVAELRTMSMFSNALEDSVKLGLRQGLAKGVAVGSNAVTFAIWAFITWYGSRVAMHHGVKGGHIFAVGTAIVRGGLAFGSGLSNIKYFSEASSAGERILKVMKRIPRIDSDSTEGIVMEKISGDVEFRSVEFVYPSRPDSIILNDFNLKVAAGTTVALVGVSGSGKSTVIALMERFYDPRRGEVLLDGIDIRSLRLKWLRSQIGLVSQEPTLFATSIKENILFGKEEATMEEVVAAAKASNAHNFISQLPQGYDTKVGERGIQMSGGQKQRIAIARAVLKSPKILLLDEATSALDSESERIVQEALDLASVGRTTIVVAHRLSTIRNADAIAVVQAGRVMELGSHDDLIRDEDGLYSSLVRLQQTTRATVGEDSSSSSVAPVASSLLCDRKSRSFSVCSRSNSATSSRHQEAHDELEAIARPVPSLRRLLLLNAPEWRQAVMGSLGAVAFGAVQPLYSFVMGSMISMFFLTDHEQIKSNTTTYCLVFVALSVLSFLVNILLHYNFGAMGEYLTKRVRERMLSKILTFEVGWFDRDENCTGAVCSRLANDANVVRMLVGDRMSLIIQTVSAVTIAWTMGLAIAWKLGLVLIAIQPLIIVCYYCRKVILQSMSKKARASQSESSKVATEAVANVRTITAFSSQDRIIHLFERTQDRPRQKSVWQSCVAGIVLGLSEALMRCSWSLAFWYGGRLMFHGHITAKALFQNVLILISTGRVIAEGGSMTSDLAKGADGVSSVFAVLDRCTHIDPEDDRGYRPKKLAGEIDINGVDFAYPSRPDVFIFRDFSLAVEAGKTTALVGQSGSGKSTVIGLIERFYDPLKGEVRIDGRDVKSYHLRSLRKHIGLVGQEPTLFAGTVRDNIAYGTEGATEGEIEEAARTADAHDFISCLKDGYDTCCGDRGAQLSGGQKQRIAIARAVLKNPAVLLLDEATSALDSQSEKVVQAALERVMVGRTCVVVAHRLSTVRSCHLIAVLEKGSVVEKGTHESLLAKGPAGSYYGLVSLQSH >Ma08_p25940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38603574:38611428:-1 gene:Ma08_g25940 transcript:Ma08_t25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAASSLSESFEYMLLEGDPYHLRAVVSTSLSRPWIDSNMLKLKHRIGRGSFGDVWIATHHQHTDDYEHYHEVAVKMLYPIKDDQIQTCLAKFDKIFSKCQGLENVCFLHGVSLQNGRVCIAMKYYEGSIGDKMARQKGGKLSLFDVLRYSVNLAQGVLDLHRRGFLLLNLKPCNFLLDEHDQAIIGDFGIPLLLHGLTLPSSDLVQRLGTPNYMAPEQWEPSINGPISLETDSWGFGCSIVEMLSGVQPWRGKSPDEIYQLVAIKKEKPNIPRGLPPEVVDILYGCFEYDFRDRPLMMDILCAFKSCEGAVFNKSDSDYQMAGKRYTNWSLLKDELQIGDTVRSRKPKNSCKPESMEIPEGNVVGKEADGDSNGFILVRIHGFHNPLRVHCSMVERVTYGFAAGDWVRVRNEDKKRSPVGILHSIERDGRVTVGFIGMDTLWHGHYSELQMAESYCVGQFLRLKASVSSPRFEWPYKRGSGWATGRIAEVLPNGCLVVKFPGRFSFGEASRFLADPWEAEVVSFKTCEGVVKKYQHLEDFHWVVRPLAIALGLFTALKLGLFVGKSFGKSSRKKAGKVSISGQNTRIKIAWINTP >Ma06_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6920998:6921786:-1 gene:Ma06_g09960 transcript:Ma06_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPQDCLVRPSPTLRNHKPLRRRRDASPKEPAKPRSLAGAARLAMGRVTILRRGESLEAMMAKKGEPTSTPVADLDRAVLGAEPLGPDPATIPREFRLLPPVAAPLLPDDMDAATGFVLSPSPRALPLPRFSRRKEGSPATAAVVDCSATRDLRRLLRLE >Ma06_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13948184:13955561:1 gene:Ma06_g19850 transcript:Ma06_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHSDFRDWEMLLASEAGEDIKPLQASSEDSEDGAIKSDYFTLDSQIRYQKGASFALEGEEEEEQVDSDNPSWIDPESDSRYLDRPKGEVDFPVIEFRRKDLGGFWSDESSDGQRSLFGSEKEEFSAGGLETGERADGEEDVKAVGPEGIVGIEGTEMGAEIPDEVGSDERAKPDECHSSPVEMGAKNIRSENVLNGGREKKGMVWWKFPFEILKFCAFKVKPVWCISIAAAILGVFMLRKRLYRMKQKTISIPLKVSLDEKKAFQLKVNASRLNEAFSVVRRVPTMRASLPSGGLIPWSVVGLQ >Ma02_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28335088:28338030:-1 gene:Ma02_g23260 transcript:Ma02_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLARQGRHLQRYENQLRLVAGCIPYKINGNSSNQSGDLMNRVEVLMISSPGRHDLIFPKGGWEKDETACEAACREALEEAGVRGIIKGVELGHWVFRSKSRQGTCSLEGACKGYMFALEVTEELDYWPEQSIHGRMWVALPQAFQLCRYEWMREALCLFRNRLLPLPELPEPSNFSMVMPAPAEPAIALC >Ma11_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5051642:5056420:-1 gene:Ma11_g06250 transcript:Ma11_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLQTTISASLKALISPQNHQFLRRCPVSGTAKGKAKLKAGQPLKRSTIRTKKASGSGGDAPRGGRSRNEAIERLKRTADSCLNAPTPIRYLSAKDRRREAEREKLGLISKERQREIDQAKARAKSGKTGPEEEPVIMGTPGLDYISLGLVDKEAIPKYELTVEDGSRLAKEYSRFLMRKHRARQAAETALLKLKKEAIAALPDHLRAAAMVPDFTPFPANRFMATLTPPIEGYTEKLKEDAKKYAVKGKQR >Ma05_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3329228:3339552:-1 gene:Ma05_g04380 transcript:Ma05_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPDAGKRRVDSTPHAAPEAAAVKLEIEDPLVDEHGPLNKRSKDASYPNQQAMYYNVLEEPGPLGLRLRKSPSLADLIQMRLSQAKSGGTSCATSLGSSEVGDNKELKSSAASSSSNKIKASNFPATLLRIGTWERVSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEFHWSDITAIKATFSEGGHGTLDIVLARPPLFFRETDPQPRKHTLWQATQDFTNGQASIYRRHLLQCPQGLLSKNFEKLIDCDPRLKLLSQQPDVLDSPYFEPQYSVFEDHSDSKSHILDGSKEDCGATFSGFCESGSPCATSSLSNKSEVRDSFGRTPDFDPQDTPSPCSGVEARSTEENNTSKETEERGPDWWEQLKLPGLRGSMSMTDLVNHLGQHISEQMTSVNPSLPSDALPNKEVLEDIAQYLLNDSQCSATSDEQSIISRVNSLCCLLQKDNRTGTTQNSQTKDSAEAQVDAGSDDELVDAAQPPITRKESFGELLILPRIASMPKFLFNISEDEEKETR >Ma05_p04380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3329227:3339552:-1 gene:Ma05_g04380 transcript:Ma05_t04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPDAGKRRVDSTPHAAPEAAAVKLEIEDPLVDEHGPLNKRSKDASYPNQQAMYYNVLEEPGPLGLRLRKSPSLADLIQMRLSQAKSGGTSCATSLGSSEVGDNKELKSSAASSSSNKIKASNFPATLLRIGTWERVSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEFHWSDITAIKATFSEGGHGTLDIVLARPPLFFRETDPQPRKHTLWQATQDFTNGQASIYRRHLLQCPQGLLSKNFEKLIDCDPRLKLLSQQPDVLDSPYFEPQYSVFEDHSDSKSHILDGSKEDCGATFSGFCESGSPCATSSLSNKSEVRDSFGRTPDFDPQDTPSPCSGVEARSTEENNTSKETEERGPDWWEQLKLPGLRGSMSMTDLVNHLGQHISEQMTSVNPSLPSDALPNKEVLEDIAQYLLNDSQCSATSDEQSIISRVNSLCCLLQKDNRTGTTQNSQTKDSAEAQVDAGSDDELVDAAQPPITRKESFGELLILPRIASMPKFLFNISEDEEKETR >Ma02_p22520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27813789:27822762:1 gene:Ma02_g22520 transcript:Ma02_t22520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRRLGDGHGCGSAASSPRGARRGKLVGWAAAAASRRRGALLVAPILYLALMLVMVGRWEWDLEPPVPVRVGVTILRRAPPPGSVYRSPRLFHELWAFMQADVNHSSALMTAWHQKATQKWKPRLPKRLLQAELPPSNGYLIIEANGGLNQQRMSICDAVAVAGLLNATLVIPIFHFNSVWRDSSKFEDIFDEEYFTETLKNHVRVVKELPPEILQRFDNNISNILNLRSKALSSKTYYLQKVLPKLLELGAVRIAPFSNRLAHSVPSSIQGLRCLTNYEALRFSKPIRSLAERMVHRMDMVAFSCCTYDGGQQEKNEMDKARERSWRGKFRRPGRVISPEANRRNGKCPLTPLEVGMMLRGMGFGNTTSVYVASGKIYNAEKYMAPLRQLFPLLETKETLASADELAPFKGHSSQLAALDYTVCAHSEVFVTTQGGNFPHFLMGHRRYLNEGHSKTINPDKKKLVLSFDNPNIRWDKFKRNMQEVLHHSDLKGITLRKPDASLYTFPMPDCMCQQAEA >Ma02_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27813792:27822762:1 gene:Ma02_g22520 transcript:Ma02_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRRLGDGHGCGSAASSPRGARRGKLVGWAAAAASRRRGALLVAPILYLALMLVMVGRWEWDLEPPVPVRVGVTILRRAPPPGSVYRSPRLFHELWAFMQADVNHSSALMTAWHQKATQKWKPRLPKRLLQAELPPSNGYLIIEANGGLNQQRMSICDAVAVAGLLNATLVIPIFHFNSVWRDSSKFEDIFDEEYFTETLKNHVRVVKELPPEILQRFDNNISNILNLRSKALSSKTYYLQKVLPKLLELGAVRIAPFSNRLAHSVPSSIQGLRCLTNYEALRFSKPIRSLAERMVHRMVNNSSINGGKYISVHLRFEEDMVAFSCCTYDGGQQEKNEMDKARERSWRGKFRRPGRVISPEANRRNGKCPLTPLEVGMMLRGMGFGNTTSVYVASGKIYNAEKYMAPLRQLFPLLETKETLASADELAPFKGHSSQLAALDYTVCAHSEVFVTTQGGNFPHFLMGHRRYLNEGHSKTINPDKKKLVLSFDNPNIRWDKFKRNMQEVLHHSDLKGITLRKPDASLYTFPMPDCMCQQAEA >Ma04_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23710653:23712305:1 gene:Ma04_g21070 transcript:Ma04_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGKPPWQKSELAREVFDSAAGLPNPLWMQRQLPRGGLLHNGWIRCSAMTTTSLEDSRQYRFHHPDCTSGGSHSEGKEGEKNGLMVYWRKLRERNNTRNKNNKWKSKQQQAHRSPCYIPPCTNKQAIARQHHPIYILPTCLQAAHH >Ma10_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29411162:29418136:1 gene:Ma10_g18520 transcript:Ma10_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-1 [Source:Projected from Arabidopsis thaliana (AT3G13170) UniProtKB/Swiss-Prot;Acc:Q9M4A2] MAGGRVSSSSPSDLLQRIKGFVRSLVEDLSNDRPPSVALDRYRNYCHDPSGNCTCGDNLPNGKEIISVERESHAYRLLVLFRVLLIIQQLLQENKHGSKRDIYYMHPALFLEQAVVDRAINNICILLKCSRQHLNVVPVGRGLVMGWLRFLEAGRKIYCINSPSTVYPIPVCLEEVVDIVSVARFIIVVEKESVFQRLANDRFCERNHCIVITGRGYPDVPTRRFLCLLVQHLHLPVYCLVDCDPYGFDILMVYRFGSMQMAYDANLLRVPEISWLGVFHSDLQKYHLPDRCLLELTSDDKKKAEAMLLRCYLQKEAPKWRMELEVMLQTGVKFEIESLSFNSLSFLSEYIPTKIHDGSYL >Ma09_p26700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37907734:37916245:-1 gene:Ma09_g26700 transcript:Ma09_t26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLVHFFVLSLLSLLLGGLCQEDAAVYIVTMKQPPAVHYIDELKTFASSGVSYGGSQTFNILNKTRNYSRTNTNYSSYLIRLQNSLLRRAFRGERYLKLYSYHYLINGFSVLITSQQAEKLSRRHEVANVLLDFSVRTATTHTPEFLGLPQGAWVQEGGPEVAGEGIVIGFIDTGIDPTHPSFSDYLSVNRYPIPHHFSGICEVTKDFPAGSCNRKLVGARHFAASAITRGIFNATLDHASPLDGDGHGTHTASIAAGNHGIPVVVSGHHFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPLGVATFFNPIDMSLLNAVKAGIFVVQAAGNTGPSPKSVSSFSPWIFTVGASAHDRIYSNHLVLGNNLKISGVGLAPGTDGDLMYPLIAATHALTNETTDANDMYLAECQDSSLLNKDLTKGKLLVCSYSIRFVLGLSSIKQALQSAKNVSAVGVIFYMDPFVIGFQLNPTPMHMPGLIIPSPDDSKIFLKYYNSSLMRDKDSKTIIKFRGVAKILGGLKANYSISAPKVMYYSARGPDPEDNSLVDADILKPNLIAPGNFIWSAWSSLGTDSAEFEGEKFAMISGTSMAAPHVAGLAALIKQKYPNFSPSAIGSALSTTATVYGKQGSPIMAQRAYSNPDSNMSPATPFDMGSGFVNATAALNPGLILDSGYDNFLSFLCGINGSAPVVLNYTGHNCKISTMMGSDLNLPSVTISLLNQSRIIMRRVTNIANDEHYSVSWSAPYGVSVSVSPTRFFIASGQQQNLTIVLGSTMNSTSASFGGIGLYGNLGHKLFIPLSVISKTKHKSTLTRKRC >Ma10_p31290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37477891:37487343:1 gene:Ma10_g31290 transcript:Ma10_t31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) UniProtKB/Swiss-Prot;Acc:O82504] MGSSGATVHVSNIPLAAVAGEFFEYFEAAVGSVFACEIATARRNWKSRGFGRVQFDSLAAAERACLLAAEGRLPNFQCARLTITRSRDDIVARAAEGRNRVEGAVLRAGVLVGENRMEVFGVWEGVRAEIMPERKKLELFVEQSGEKYKLEVMFGDIIASCGCCLDGSESNAILLQLKYSPRSYVRIHGPMVKSKLSNDRYRACREDFQFPWFRTSDFSPDNTIGKSCCYCLQLAAGLSCPEILRDLPFSTVLEDLNLFKGELWSPSWMLVPIVNCPSDYSVAFEILYQINSLVHMQKITFRQVTTDLFDIFKGLPLDSAIKILMKMHKLTSTCYNPVQFIKNQLAKIKNFHAPSSNKSTIAQNLMSCYRVLVTPTKVYCLGPELETSNYVVKHFSTNASDFLRVSFVDEDWSKLPSDAISVTIDRNFLSKPHRTGIYTRILSVLKDGLHIGSKKFEFLAFSASQLRANSVWMFASNENLTAESIRKWMGHFSGIHSVSKCAARMGQLFSSSVQTLNVPRQDVKIIPDIEVTTEDGKKYCFSDGIGKISLSFAKQIAKKCGLRNTPSAFQIRYGGYKGVIAIDRTSFWKLSLRKSMLKFESTNTMLSVTKWSEYLPCFLNREIICLLSTLGIKDEIFESMQHDQMQQLGKMLTDKEVALRVLDRMTGSEVRTAIKMLMQGYDPNSEPYLSMMLKASRDYQLSDTRSKCRIFVPKGRVLIGCLDETCNLDYGQAYIKVTMTKEELQNEDQTFLRNTDQTSAVVVGKVVVTRNPCLHPGDIRVLQAVYDVGLDDMGLVDCIIFPQKGTRPHPNECSGGDLDGDLYFVCWDKNLIPPETDTPMDYTPRRPRLMDHDVTLEEIQKFFVDYMINDTLGVISTTHLIYADSEPTKARSPKCLELANLHSEAVDFAKTGAPAEMPRVLRPKEFPDFMERWDRSTFISPGVIGKLYRAASIHFEDVNSDATISKVSAYDYDLQVEGFEEFLSPAKEYYDRYSEKLSLLMNYYGAEHEDEILTGNLRNKSLYLQKDKKRYGEMKDRMLVGVRSLQQEVEGWFRCSCAERDSSRMASAWYHVTYHRDYHPETTFLSFPWILSDVLLNIKAVKKHKN >Ma05_p24990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37075829:37076354:1 gene:Ma05_g24990 transcript:Ma05_t24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPTNAILLLSRRSHATVAAAVSRVPAAEGESRAATREEEVFWMRDPKTGNWMPENRVGDVDVAELRARFLKGTNKNTFKD >Ma07_p26720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33391141:33397903:-1 gene:Ma07_g26720 transcript:Ma07_t26720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWNLFRFHLHKVLCVVLMIHLLGDECLSINLEGLSLLEFRSRVESDPYGALQNWNPSDDDPCNWTGVHCADGKVVTLNLKEFSLRGILAPELGNLSHLRALVLYRNNFYGVIPKEIGGLTMLELLDLRNNMLNGTIPKEIGEILSLKHLLLCHNKLQGNTLLIQNPNMHFDLMHDQNLSCDMANNLGHVNRKVKNCFWETGWQTLKKFNSFLIPFEGRIIQILDISPLRVLLPSLRSEGSLADGQKERNNYLATGFGEPYIASNVHVPTARRRLVEETRNLPAAPGSNGPVLQAVTVPPIASGSFPAIRDKSKLKPAPAPIPPQTPAPVSPSKTPPESTPTKPTDSAGNDIPLNKKSATWLYVLVLPAAALLLTLVTCMFLVCRSKNSSTIGPWKTGLSGQLQKAFVTGVPKLKRPELEAACEDFSNIVVSYPDFTIYKGTLSSGVEIAVVSTTITSTDDWSRHSEFLFRKKIDTLSRMNHKNFVNLLGYCEEDEPFMRMMVLEYAPNGTLYEHLHVEEFEQLDWSARMRIIMGMAYCIQHMHELNPSVPHPNLQSSSILVSEDFAAKIADVSVWKEIVSEGKTNGDDDLDPSESLSADPAGNVYSFGILLLEIVSGKVPYSEEQDSLLNSVVEYLNGNGGVGSLVDPSLKTHKEEELVIICEIIQACINPEPSKRPTMKEVTSKLRAVIAISPEAATPRLSPLWWAELEILSVEAS >Ma07_p26720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33391141:33397903:-1 gene:Ma07_g26720 transcript:Ma07_t26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWNLFRFHLHKVLCVVLMIHLLGDECLSINLEGLSLLEFRSRVESDPYGALQNWNPSDDDPCNWTGVHCADGKVVTLNLKEFSLRGILAPELGNLSHLRALVLYRNNFYGVIPKEIGGLTMLELLDLRNNMLNGTIPKEIGEILSLKHLLLCHNKLQGNTLLIQNPNMHFDLMHDQNLSCDMANNLGHVNRKVKNCFWETGWQTLKKFNSFLIPFEGRIIQILDISPLRVLLPSLRSEGSLADGQKERNNYLATGFGEPYIASNVHVPTARRRLVEETRNLPAAPGSNGPVLQAVTVPPIASGSFPAIRDKSKLKPAPAPIPPQTPAPVSPSKTPPESTPTKPTDSAGNDIPLNKKSATWLYVLVLPAAALLLTLVTCMFLVCRSKNSSTIGPWKTGLSGQLQKAFVTGVPKLKRPELEAACEDFSNIVVSYPDFTIYKGTLSSGVEIAVVSTTITSTDDWSRHSEFLFRKKIDTLSRMNHKNFVNLLGYCEEDEPFMRMMVLEYAPNGTLYEHLHVEEFEQLDWSARMRIIMGMAYCIQHMHELNPSVPHPNLQSSSILVSEDFAAKIADVSVWKEIVSEGKTNGDDDLDPSESLSADPAGNVYSFGILLLEIVSGKVPYSEEQDSLLNSVVEYLNGNGGVGSLVDPSLKTHKEEELVIICEIIQACINPEPSKRPTMKEVTSKLRAVIAISPEAATPRLSPLWWAELEILSVEAS >Ma11_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6780005:6780298:1 gene:Ma11_g08560 transcript:Ma11_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGRASTRRIVKEVFRDFRGRRAGLSKALTTGPSSLSLSSIRSDFLEVSAGLGFVFLLPDPLCYVLAGGVEDFQRFDQQCVTGELSPPFLCLLLFH >Ma09_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6831347:6832264:-1 gene:Ma09_g10010 transcript:Ma09_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRGGECKGRKRIKERKGKMRRKEREIRGFLLFAFCEFDRHLYNNASKFLRTMILAGATTSTAL >Ma10_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23994036:23996951:1 gene:Ma10_g09850 transcript:Ma10_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRRWRSDTFLFVVSAALLLGSTAALFAWLAFSPFPKPLPPSNLGCRPDGEGSWSVGLFYGRSPLSLRPIESWNVWSNDTAAWPVANPVVTCASASDAGFPSNFVADPFLFIQGDVLYLFFETKNPITLQGDIGVAISNDKGATWHHLGIALDEEWHLSYPYVFSYQDQIYMMPEGSKKGDLRLYRAVEFPLRWQLEKVILQKPLVDSFIINYRSHFWLFGSDFSAPGAKKNGELEIWHSSSPLGPWSPHKQNPVHNMDKSLGARNAGRPFTYDGELYRPGQDCGDTYGRRVRLFKVKVLTKDEYEEVEVPLGIDEPQKGRNAWNGARYHHLDVQQLTTDRWVAVMDGDRVPSGDAVRRLVIGYTSFGAAIALVMLSGVLLSAIKCNLRLSRCLPLSTRRSGLTGAAQERHLQLYSKLRWLFSHLNKMTSHFRSGGIRPNTCIGRSVLALLFLAVVTLTCVGTYYVYGGNGAAEAYSLKGHYSQFTLLTMTYDARLWNLKTYVKHYSRCSSVREILVVWNRGQSPNVSEFDSTVPVRIRVEKRNSLNNRFNVDPSIQTPAVLELDDDIIMPCDDVERGFKVWRDHPERIVGFYPRLAEGRPLKYRDEKYARRRRGYNMILTGAAFMDHRLAFGRYWSQEAKIGRNIVDEYFNCEDVLMNFLYANVSSSRKTVEYVKPSWAVDTSKFSGVAISRNTQAHYEVRSACLAKFTEVYGDLAANKWRFGSRKDGWDA >Ma03_p25290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29392328:29393491:-1 gene:Ma03_g25290 transcript:Ma03_t25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRGKKNPAEDRMCGREIFPDLCSPAPVRLTVWCKSLLFHGHGYTVYDDSDGRMVFRVDNYAHNWRQETVLMDYTGNVLLTIRRRRKILNLTESWEAYKGDKDVQRMVGERMPLFKATKNLGCHSCTISMFTGCGVKPLGYRMNWSRDKEWSKIYQAAANTPVAEVSRKCGSMAKKLLDKDVLTLRVQPGMDQALAMAMIMITNSMR >Ma09_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9711969:9712370:-1 gene:Ma09_g14220 transcript:Ma09_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSERQGFVIVSALLVTAMLQFLFDGKPPSVYCLAALPLVISSIVIYQKYPYVARKKED >Ma10_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32132901:32135254:1 gene:Ma10_g23040 transcript:Ma10_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLESRTSSMKHTSSFSNRRRKGKYSAFVLVVSVVLFCTFVYDGDVQSVAEYDFGSGANPSGIAHQEFAVAEASRKEDHEAKRRTETSEGDMVQEQPTAPGIAKEDVVRVMESPVATGAVHEEAAKEAERQPRVVLDVPESCDLFTGRWVYDDVSYPIYEEQECQFLTEQVTCMRNGRREDSYQKWRWQPQDCSLPRFDARVMLERLQGRRLMFVGDSLNRNQWESMVCLVQSAVPWNKKTLTKNGSLNVFRIEEYNATVEFYWAPFLVESNSDDPRMHSILNRIIDPKSIAKHGKHWKNVDYLVFNTYIWWMNTPTMKVLRGSTEYEEVERASAYRRVLNAWAKWVQKNVDPNRSMVFFMSMSPNHIRSTDWGNPDGIKCALETQPVANLSRPLDVGTDWRLFAVAENVIGRMKKVPVSFVKITALSEYRKDAHTSVHTLRQGKLLTAEQQADAATYADCIHWCLPGLPDTWNELLYARITSSR >Ma10_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22954054:22961504:1 gene:Ma10_g08630 transcript:Ma10_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDVSEELALIEGQINDVFRALSNGFQKLEKIKDPNRRSRQLEELTDKMRECKRLIKEFERAVKEEESRNTHNTNRMLNEKKQSMIKELNSYVALKKQHASENKRIDLFDGPGGGDVFAEENVQLASSMTNEQLIDSGHRMMDETDQAIERSKQVVEETINVGAETAAALKAQTEQMSRIVNELDSIHFSMKKASQLVKELGRQVATDRCIMAMLFLIVIGVVAIIIVKLVNPNNKDISDIPGLPPPANRKLL >Ma09_p05560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3564389:3568579:1 gene:Ma09_g05560 transcript:Ma09_t05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRVRWNEANLVEIEENKPVRQKITEPKTPYHPMIDDDGSLSPRRTFDACLDNSAHAEALMTALNDVASSSKSSNGGWTSSEDETDAMEQDDDSEEDVARLSFKEHRKAHYDEFHKVKKLLRVGSLVVDEDGEGNSSQLNSRERGNSTLNGVKASNSCEIPNSVANKPNNGTASPV >Ma09_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3564397:3568581:1 gene:Ma09_g05560 transcript:Ma09_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDDGSLSPRRTFDACLDNSAHAEALMTALNDVASSSKSSNGGWTSSEDETDAMEQDDDSEEDVARLSFKEHRKAHYDEFHKVKKLLRVGSLVVDEDGEGNSSQLNSRERGNSTLNGVKASNSCEIPNSVANKPNNGTASPV >Ma01_p14580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10655707:10661295:-1 gene:Ma01_g14580 transcript:Ma01_t14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISVIVVVVVAFLHILAFVLAIGAEMRRSTGKVVPDEYDERTFCAYDSDASTAYGLSAFGLLLLSQAVVSAATRCLCFGRGLSAGGPRTCAIASFVVSWISFLVAEVCLLAGSARNAYHTKYVGYFAKKDLSSCTALRKGVFAAGATFVLLSMISSLLYYWSYSKADMGGWVKHQNEGGVGMAEFGPEKRGLGNTNG >Ma01_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10655707:10661295:-1 gene:Ma01_g14580 transcript:Ma01_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISVIVVVVVAFLHILAFVLAIGAEMRRSTGKVVPDEYDERTFCAYDSDASTAYGLSAFGLLLLSQAVVSAATRCLCFGRGLSAGGPRTCAIASFVVSWISFLVAEVCLLAGSARNAYHTKYVGYFAKKDLSSCTALRKGVFAAGATFVLLSMISSLLYYWSYSKADMGGWVKHQNEGGVGMAEFGPEKRGLGNTNG >Ma09_p28600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39294830:39296004:-1 gene:Ma09_g28600 transcript:Ma09_t28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAIETIVSDLTQLWHHNLLLIEAKTSVSSPSIENKEHEASIRIHLSLQQKIVIKVQIKCDKCRSKAMKLVAATGVDSVAIEGEDKDHLVIVGDDIDPANLTCILRKKVGHANILKVEKAKKHKLESVVHLYPNYPQCSQVVLYDSESCSSNTNACSIL >Ma09_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2899590:2902382:1 gene:Ma09_g04430 transcript:Ma09_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDVDRPEEEEAAADAGEEEDTGAHISPIVRLEEVAVTTGEEDEDSLLDLKAKLYRFDKEGNQWKERGSGNVKLLKHKETGKVRLVMRQAKTLKICANHLVVPSIRMQEHAGNDKSCVWHAMDFSDGELKEEMFAIRFGSVENCKKFREMVEEIAESVDKIEEEESKDVSSAASLIEKLTVSEDKTEGNTTKEAAHADDKKKEVHEEKQANTKESYSAS >Ma06_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7191240:7198195:-1 gene:Ma06_g10420 transcript:Ma06_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKIKDQKREDAANSNGSAPVKKQSAGELRLHKDISELNLPKSAIISFPNGKDDLLNFEISIRPDEGYYQGGTFHFTFHVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLILLFMQPNDEDPLNHDAAAVLRDNPKLFETNVRRAIAGGYVGQNYFPRCM >Ma06_p10420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7191240:7198043:-1 gene:Ma06_g10420 transcript:Ma06_t10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKIKDQKREDAANSNGSAPVKKQSAGELRLHKDISELNLPKSAIISFPNGKDDLLNFEISIRPDEGYYQGGTFHFTFHVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLILLFMQPNDEDPLNHDAAAVLRDNPKLFETNVRRAIAGGYVGQNYFPRCM >Ma04_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2240081:2246016:1 gene:Ma04_g02690 transcript:Ma04_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRILVTGGAGYIGSHTVLQLLLAGFGVVVVDNLDNSSEVAVERVTALAGKYGKNLTFHRIDLRDKDALDKLFASTKFDAVVHFAGLKAVGESVQKPLLYYNNNIIGTIALLEAMVRHGCKKLVFSSSATVYGWPKKVPCTEESPLCAMNPYGRTKLMIEDICRDIHRADKDWNIILLRYFNPIGAHPSGNIGEDPRGFPNNLMPFVQQVAVGRRPALTVFGNDYSTKDGTGVRDYIHVVDLADGHIAALQKLFKSSNLGCEVYNLGTGKGTSVLEMVAAFEKTSGKKIPVVKAGRRPGDAEILYASTRKAEKELKWKAKYGIEEMCRDQWNWAKKNPWGYGSPDSTS >Ma04_p02690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2240081:2246016:1 gene:Ma04_g02690 transcript:Ma04_t02690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRILVTGGAGYIGSHTVLQLLLAGFGVVVVDNLDNSSEVAVERVTALAGKYGKNLTFHRIDLRDKDALDKLFASTKFDAVVHFAGLKAVGESVQKPLLYYNNNIIGTIALLEAMVRHGCKKLVFSSSATVYGWPKKVPCTEESPLCAMNPYGRTKVSSSVPTVANLDKDWNIILLRYFNPIGAHPSGNIGEDPRGFPNNLMPFVQQVAVGRRPALTVFGNDYSTKDGTGVRDYIHVVDLADGHIAALQKLFKSSNLGCEVYNLGTGKGTSVLEMVAAFEKTSGKKIPVVKAGRRPGDAEILYASTRKAEKELKWKAKYGIEEMCRDQWNWAKKNPWGYGSPDSTS >Ma11_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:838965:845728:-1 gene:Ma11_g01160 transcript:Ma11_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFFRLSLPLPLFPLLTRNVPMVAIHSFAPYRSMHRHLLSSAMIPRPNHLSCIRSMSSNASAEEAGVPRSVPVAVAHELLEAGHRYLDVRTEGEFSSGHAVGAVNIPYMFKSNSGMSKNPNFMQEVLSTFKKDDEIIVGCLSGKRSSMAATELSNAGFTGITDISGGYSAWVENGLPTEK >Ma05_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4531106:4533357:-1 gene:Ma05_g06050 transcript:Ma05_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTVIPVLFLIALVSLAAPPAAKAAALGLDTFLAGEARRDPSAANDSFSALPAAVKLSLAANLPPADHPALVSRLLSFHISVPVYVKLVGDFSSAAPGLLRSFAAAAALTSDRFHVIGATTHNLAVGHTLHLDTALSLLGSQISDAIRSHLAASPSPLYLSALLSVPYTSVDRIIQQDFQKESSNSAPGFYVYLLNLGSQAKPYAYSLDSKDASLAFTKCMGTLWTGKDRYVWIDLAAGPVEYGPAISGEGAIPRGEFHPLASLHGRPRSEGALLSDLTSVVLSAYQSLLVPSLRIPVFYENSLLIQFIHLHGPHPDTSGLDWNFIKQTLQESELAYNEQSLVFKSYSIKFSECPICSFAIARSMNSYTSRFLFENYTLIVNEYLDSKSLHQILSDSVEEVHQAAAIPEEDYGKVLPVYVFDLEYDKLLLLDRYHQAIAFRNMVIAVRTRSSQTVSDYNCNGRHMITQTRNLDRPIIGSVLQSMWGVSPIHLSWSPQHNGVLVDYTWSVGQTPFGPFSDSLSLSFVQRDAARRNVLLTTLNYTITSAIDILQSMAAHGGVKKLLKENKHVEFVQRWNLLNYKLEKVVSAVSHFDFEKAMHFLRSSDHDLYAIHTLVYEASQKLEASITCFSDPPFPWASVSLFGVLLFGFCYFHGNRDKIFKSKRKQF >Ma05_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3903048:3910136:-1 gene:Ma05_g05110 transcript:Ma05_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIKDTYSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRTGESRGFAFVRYKYADEAQKAVDRLDGRNVDGRNIMVQFAKYGPNAERIQKGRIVEAIPKTRGRSRSRSPRHRYRDDYREKDYRRRSHSRSRGRYESDRYHERERDHRRRSRSQSRSRSRSGSLDYYGGRGRDDRRSRSVSYDSASPIRHIPSPERSLTPHRTPPSSPNKGSHSVRSPPSRNVSPQDRGANSRSPSPRSPNED >Ma05_p05110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3903048:3910125:-1 gene:Ma05_g05110 transcript:Ma05_t05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIKDTYSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRTGESRGFAFVRYKYADEAQKAVDRLDGRNVDGRNIMVQFAKYGPNAERIQKGRIVEAIPKTRGRSRSRSPRHRYRDDYREKDYRRRSHSRSRGRYESDRYHERERDHRRRSRSQSRSRSRSGSLDYYGGRGRDDRRSRSVSYDRHIPSPERSLTPHRTPPSSPNKGSHSVRSPPSRNVSPQDRGANSRSPSPRSPNED >Ma09_p30680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40695182:40695592:-1 gene:Ma09_g30680 transcript:Ma09_t30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASTACLVVAASMSAVEALKDQAGLCRWNYVLKPLQQRAKSSMGSLSQAKRTSSSVDRRRRGWQAAAEKGKQSEEALRTVMYLSRWGPN >Ma11_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9526014:9528972:-1 gene:Ma11_g10220 transcript:Ma11_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCFATIVGSVDSPHRKPSGSCSNISLKSNLLFPNPISRGVNPSFQSLKAKATESDSNKATKLKSLICADCEGNGAKLCTQCKGTGVNSVDHFNGQFKAGALCWLCRGKKDILCGNCNGAGFIGGFMSTLDDTCT >Ma11_p10220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9526014:9528974:-1 gene:Ma11_g10220 transcript:Ma11_t10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCFATIVGSVDSPHRKPSGSCSNISLKSNLLFPNPISRGVNPSFQSLKAKATESDSNKAKATESDSNKATKLKSLICADCEGNGAKLCTQCKGTGVNSVDHFNGQFKAGALCWLCRGKKDILCGNCNGAGFIGGFMSTLDDTCT >Ma06_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6994465:7003025:1 gene:Ma06_g10070 transcript:Ma06_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAAPLLAPSEEATHHENCPGCRQDRRNRLHLGIPYREFFYVWIVALCSALPISSLYPFLYFMIRDLDIAKREEDIGFYAGFVGSAFMLGRASTSILWGMVADRYGRRPVIMISLLAVIVFNTLFGLSTRYWMAIMTRSFLGFLSGLLGPIQAYASEVCRKEYQALGLSVVDTSWAMGLVFGPAIGGFLAQPAEKYPNIFSAECLFGRFPYFLPCLCISLFSVGALIACFWLPETLHMHGESKVEDEHINVLEALSNGSNLKGYRGETKQRRLFSDQSLLKNWLLMSSIIVYCIFGLQSTAYSEIFSLWSVSNKKYGGLSFSSQEVGMVLAISGISLLVYQLVLFPHIEKHLGPINLTRAVAALLIPLLASYPFMTKLSGFILNLSVNCASSLRSIFSSTITVGLNILQNNAVSQHQRGAANGMAVTALSLFKAFAPAGAGILFSWAQNHQGVSILPGDYIVFFVLDMVGVVGLLLTFKPFLTQPGKKKTTVNT >Ma06_p10070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6994465:7003473:1 gene:Ma06_g10070 transcript:Ma06_t10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAAPLLAPSEEATHHENCPGCRQDRRNRLHLGIPYREFFYVWIVALCSALPISSLYPFLYFMIRDLDIAKREEDIGFYAGFVGSAFMLGRASTSILWGMVADRYGRRPVIMISLLAVIVFNTLFGLSTRYWMAIMTRSFLGFLSGLLGPIQAYASEVCRKEYQALGLSVVDTSWAMGLVFGPAIGGFLAQPAEKYPNIFSAECLFGRFPYFLPCLCISLFSVGALIACFWLPETLHMHGESKVEDEHINVLEALSNGSNLKGYRGETKQRRLFSDQSLLKNWLLMSSIIVYCIFGLQSTAYSEIFSLWSVSNKKYGGLSFSSQEVGMVLAISGISLLVYQLVLFPHIEKHLGPINLTRAVAALLIPLLASYPFMTKLSGFILNLSVNCASSLRSIFSSTITVGLNILQNNAVSQHQRGAANGMAVTALSLFKAFAPAGAGILFSWAQNHQGVSILPGKTSSKKALIMLSK >Ma11_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19409048:19410065:1 gene:Ma11_g14150 transcript:Ma11_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGGDGKEKRAKGLILKTLERCRSMAGQRRGGRQEAPPEGCFAVYVGPDRERFVIRTECVNHPRFRVLLEEAEMEFGYSNSGPLELPCHVEVFHEVLWEMEQEAVESSWCIVGRGGGGGGHGGYRSLRSVNR >Ma08_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28308552:28309135:-1 gene:Ma08_g18070 transcript:Ma08_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSKILKGIKNYFYAKPQSIYIQTQITIYICKTPILYIDLTKHESLTRSSPYSRDRGRGVLPSSPFHSRGGFK >Ma04_p39070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36304587:36308340:-1 gene:Ma04_g39070 transcript:Ma04_t39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQDLRPTSRSGRVPGLGIRSIRWTDPSSDARSDCTGLPPDADRVRHTRHSRLSQARYHVSRYHDPAARSQGVQGYHRYDRGEVQRSRYYGYRRCRSKRIHIWPSHCVSYIGAKFVPMRKPKKLPGEVISEEYSLEYGTLSAAIRLVERVGAEVVDCCALCHRIARPKGLSFSLSKAFLTSLPVDKRYKHNLHVRCLISLSFGE >Ma04_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1346711:1347278:-1 gene:Ma04_g01490 transcript:Ma04_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTSVHPTSSSTHRQSDMAYKALIVLGLAALLLFSLETATARHPAKKTEEKSTKEESVNQQKYPGGSGGYPGGGYGGYPGGGFGGYCRWSCCCRGFFGGCRYCCRFPV >Ma09_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4547708:4551417:-1 gene:Ma09_g07060 transcript:Ma09_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDHGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIIQVDAAPFKQWYLQHYGVEIGRKKKAPAVKKEATEGQEAEPATEEANKSNHVTRKLEKRQQGRKLDAHIEEQFSSGRLLACISSRPGQCGRADGYVLEGKELEFYMKKIQRKKGKGAGGAA >Ma02_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22468063:22474351:-1 gene:Ma02_g14370 transcript:Ma02_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G10060) UniProtKB/TrEMBL;Acc:A0A178V516] MQFLCSVRFAARRFPKRRPFVSFTCRCSRDTPAWPEETSAVSPDGRRWFLGFLLASASGLNFCGDAESVSSSRRALRSAKVPETEYTTLPNGLKYYDIKVGGGPKAVKGSRVTLHYVAKWKGITFMTSRQGLGVTGGTPYAFDVGQSERGAVLKGLDLGVEGMRVGGQRLLIVPPELAYGSKGVQEIPPNATIELDVELLAIKQSPFGSGVKLVEG >Ma08_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34502180:34506015:1 gene:Ma08_g20430 transcript:Ma08_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETQAPEVPRVKLGSQGLEVSRLGLGCFQLTGLINAPLSDEEGIAFIKQAFDRGITFFDTADRFGQHKNEILIGKALKQLPREEVQGASKFGIVKLEGHHGGAEEVSGGGEGEVHRAVGGEPRHDQACACCASHPITALQIRWWSFWIRDIKDEIVPLCRDLGIGIVAFSPLGMGFFAGKTYYAESSANSSFHPIGSPKIIWRTRFCMSEEQIWLQSMDETLLSRH >Ma08_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36277143:36279368:1 gene:Ma08_g22780 transcript:Ma08_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAVRSALLSHLRVRVESPAAPAVTLSFVHIFCRRFSNEVMGSFLDKSEVADRIVTVVKNFQKVDPSKVTPNAHFQKDLGLDSLDTVEIVMALEEEFGFEIPDNEADKIDSINVAVDFIASHPQAK >Ma09_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12783350:12783958:1 gene:Ma09_g17260 transcript:Ma09_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSDLPKSSLQIKEDDKFYGRLLSKESSAANPSLRAYYGVATVAVPFVWESQPGTPKHSNSAAAMPPLTPPPSYLYSPRSTSSTKNPKSGGFIRTMLPMLSLRKAKAPMASSSSSSSSVSLSSSSVSSSPSSSASGRSRHSRRPSSPQSSYLWSRADDEGSDDGSPTSILCFGTRHRVAGRPCP >Ma06_p29170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30609811:30618560:-1 gene:Ma06_g29170 transcript:Ma06_t29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEAPKSVGETAAPAQKPSPPPPVDNSKQIPAGIQGNLANNYHRADGQNTGNFITDRPSTKVQAAPGGGSSLGYLFGGGGN >Ma05_p27360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38682279:38685850:-1 gene:Ma05_g27360 transcript:Ma05_t27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSKIPLWDLADLGRDADPSVGIGCGLGRRPSEVDCSVDLKLGGSGDFVPSHGWNDQPKVPTMAASSGASKRLPRNPGNGSQKASCSVDGCKADLSGCREYHRRHKVCEAHSKTPVVMVGGREQRFCQQCSRFHLLVEFDEVKRSCRRRLEGHNRRRRKPQPDSINSGSLFANHQGATFSSYPPIFPTATPEPNWPGAVKTEDTALYAHCLPSHGTNRNHNVLSTHSWSQEGRQFPFLQENKTTFSKITLGIPVGQPHLRTFMPSGNSCSGGKIFSDGSSEPIDSDCALSLLSAPTPSINTGLGQMVQIPAGMEDDHAGIFLVSDANESEIHFPNVLHVGGEGSSVGTSQSLPFYWQC >Ma05_p27360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38682279:38685868:-1 gene:Ma05_g27360 transcript:Ma05_t27360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSKIPLWDLADLGRDADPSVGIGCGLGRRPSEVDCSVDLKLGGSGDFVPSHGWNDQPKVPTMAASSGASKRLPRNPGNGSQKASCSVDGCKADLSGCREYHRRHKVCEAHSKTPVVMVGGREQRFCQQCSRFHLLVEFDEVKRSCRRRLEGHNRRRRKPQPDSINSGSLFANHQGATFSSYPPIFPTATPEPNWPGAVKTEDTALYAHCLPSHGTNRNHNVLSTHSWSQEGRQFPFLQENKTTFSKITLGIPVGQPHLRTFMPSGNSCSGGKIFSDGSSEPIDSDCALSLLSAPTPSINTGLGQMVQIPAGHPHASSLHHCGLPHYLQASDDTAPSVFSCSGMEDDHAGIFLVSDANESEIHFPNVLHVGGEGSSVGTSQSLPFYWQC >Ma05_p27360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38682279:38685850:-1 gene:Ma05_g27360 transcript:Ma05_t27360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSKIPLWDLADLGRDADPSVGIGCGLGRRPSEVDCSVDLKLGGSGDFVPSHGWNDQPKVPTMAASSGASKRLPRNPGNGSQKASCSVDGCKADLSGCREYHRRHKVCEAHSKTPVVMVGGREQRFCQQCSRFHLLVEFDEVKRSCRRRLEGHNRRRRKPQPDSINSGSLFANHQGATFSSYPPIFPTATPEPNWPGAVKTEDTALYAHCLPSHGTNRNHNVLSTHSWSQEGRQFPFLQENKTTFSKITLGIPVGQPHLRTFMPSGNSCSGGKIFSDGSSEPIDSDCALSLLSAPTPSINTGLGQMVQIPAGHPHASSLHHCGLPHYLQASDDTAPSVFSCSGMEDDHAGIFLVSDANESEIHFPNVLHVGGEGSSVGTSQSLPFYWQC >Ma06_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15233369:15243300:1 gene:Ma06_g21120 transcript:Ma06_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MDLEVGEIGVERDEDAGATSRDDADELGDDMAIDDVAKETSRESGKHRSKDRSKGKREDKDHGSRGRERSKDKENEEHAERDFDRYDLKDNRNRSESGKDRRKEEGLEHGKDREHVKDRDRDKERSRDRLRQEEHDRDKYRDKDRGREHDRVRERSNDQEYDRSSDHGISRVKERGKDSEIEKDRDLARKHDRGKERDRDRSKIRERDHEKDVQRESERERRKEKDHEKGTDKNREREKDRDMVKDREREREKTKDREKEKEKEKDRVRDKEREKTKENFRQKEIDRSLEADRDRSRTRDREKGPAGAKESEKDERTLSDFEDGRLDSREEEARDGSDSHEKSTLKNQQSEKHTDSLLASELEERLARTKEERMKKKSDGAFEISSWVNKSRRLEERKNAEKEALRLSKAFEEQDNMLADGDDETVGHTQKDLAGVKILHGLDKVIEGGAVVLTLKDQDILKDGDINEEIDMLENVEIGEQKQRDEAYKAAKKRTGLYDDKFNDETGSQKTILPQYDDPVEDEGVALDESGHFTGEAEKKLEELRRRIEGSFVPKSYEDLTSSAKNSSDYYTAEEMLRFKKPKKKKSLRKKEKLDLDAMEAEARSAGLGASDLGSRNDMRRQIEREEQEKIEAERRSKAYQTAYEKAEEASKVMLQEQTLRLKSFEDDDIVFGEDYEDLQMSLEQARKLALRKHDEAGATGPQAVALLATSIKEQENSQSQSTGELQEEKVVITEVEEFVLGLQLNEGAQKPESEDVFMDEEDSPKSLEPEIKVDVTGWTEVEETSKSEDPISEKKDDVSPDEIIHEVAVGKGLSGALKLLKERGALKETVDWGGRTMDKKKSKLVGLYDDGGTKEIRIERTDEFGRIMTPKEAFRMLSHKFHGKGPGKMKQEKRMKQYQEDLKTKQMKASDTPLLAVEKMREAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDHLGSLTPMLGDKKVEHFLGIKRKPEIGSMGPPLPKKPKS >Ma06_p21120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15233361:15243300:1 gene:Ma06_g21120 transcript:Ma06_t21120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MDLEVGEIGVERDEDAGATSRDDADELGDDMAIDDVAKETSRESGKHRSKDRSKGKREDKDHGSRGRERSKDKENEEHAERDFDRYDLKDNRNRSESGKDRRKEEGLEHGKDREHVKDRDRDKERSRDRLRQEEHDRDKYRDKDRGREHDRVRERSNDQEYDRSSDHGISRVKERGKDSEIEKDRDLARKHDRGKERDRDRSKIRERDHEKDVQRESERERRKEKDHEKGTDKNREREKDRDMVKDREREREKTKDREKEKEKEKDRVRDKEREKTKENFRQKEIDRSLEADRDRSRTRDREKGPAGAKESEKDERTLSDFEDGRLDSREEEARDGSDSHEKSTLKNQQSEKHTDSLLASELEERLARTKEERMKKKSDGAFEISSWVNKSRRLEERKNAEKEALRLSKAFEEQDNMLADGDDETVGHTQKDLAGVKILHGLDKVIEGGAVVLTLKDQDILKDGDINEEIDMLENVEIGEQKQRDEAYKAAKKRTGLYDDKFNDETGSQKTILPQYDDPVEDEGVALDESGHFTGEAEKKLEELRRRIEGSFVPKSYEDLTSSAKNSSDYYTAEEMLRFKKPKKKKSLRKKEKLDLDAMEAEARSAGLGASDLGSRNDMRRQIEREEQEKIEAERRSKAYQTAYEKAEEASKVMLQEQTLRLKSFEDDDIVFGEDYEDLQMSLEQARKLALRKHDEAGATGPQAVALLATSIKEQENSQSQSTGELQEEKVVITEVEEFVLGLQLNEGAQKPESEDVFMDEEDSPKSLEPEIKVDVTGWTEVEETSKSEDPISEKKDDVSPDEIIHEVAVGKGLSGALKLLKERGALKETVDWGGRTMDKKKSKLVGLYDDGGTKEIRIERTDEFGRIMTPKEAFRMLSHKFHGKGPGKMKQEKRMKQYQEDLKTKQMKASDTPLLAVEKMREAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDHLGSLTPMLGDKKVEHFLGIKRKPEIGSMGPPLPKKPKS >Ma06_p21120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15233357:15243300:1 gene:Ma06_g21120 transcript:Ma06_t21120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MDLEVGEIGVERDEDAGATSRDDADELGDDMAIDDVAKETSRESGKHRSKDRSKGKREDKDHGSRGRERSKDKENEEHAERDFDRYDLKDNRNRSESGKDRRKEEGLEHGKDREHVKDRDRDKERSRDRLRQEEHDRDKYRDKDRGREHDRVRERSNDQEYDRSSDHGISRVKERGKDSEIEKDRDLARKHDRGKERDRDRSKIRERDHEKDVQRESERERRKEKDHEKGTDKNREREKDRDMVKDREREREKTKDREKEKEKEKDRVRDKEREKTKENFRQKEIDRSLEADRDRSRTRDREKGPAGAKESEKDERTLSDFEDGRLDSREEEARDGSDSHEKSTLKNQQSEKHTDSLLASELEERLARTKEERMKKKSDGAFEISSWVNKSRRLEERKNAEKEALRLSKAFEEQDNMLADGDDETVGHTQKDLAGVKILHGLDKVIEGGAVVLTLKDQDILKDGDINEEIDMLENVEIGEQKQRDEAYKAAKKRTGLYDDKFNDETGSQKTILPQYDDPVEDEGVALDESGHFTGEAEKKLEELRRRIEGSFVPKSYEDLTSSAKNSSDYYTAEEMLRFKKPKKKKSLRKKEKLDLDAMEAEARSAGLGASDLGSRNDMRRQIEREEQEKIEAERRSKAYQTAYEKAEEASKVMLQEQTLRLKSFEDDDIVFGEDYEDLQMSLEQARKLALRKHDEAGATGPQAVALLATSIKEQENSQSQSTGELQEEKVVITEVEEFVLGLQLNEGAQKPESEDVFMDEEDSPKSLEPEIKVDVTGWTEVEETSKSEDPISEKKDDVSPDEIIHEVAVGKGLSGALKLLKERGALKETVDWGGRTMDKKKSKLVGLYDDGGTKEIRIERTDEFGRIMTPKEAFRMLSHKFHGKGPGKMKQEKRMKQYQEDLKTKQMKASDTPLLAVEKMREAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDHLGSLTPMLGDKKVEHFLGIKRKPEIGSMGPPLPKKPKS >Ma01_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1957598:1958811:1 gene:Ma01_g03010 transcript:Ma01_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAAMLPLPVQRGSPLFVFSRRRRGSLSMVSMVPAARLFGPAIFEASKLKVLFLGVDKAKHPGKLPRAYTLTHSDLTSKLTLAISHTINRAQLQGWCNRLQRDEVVAEWNKVQGKMSLHVHCHISGGHFLLDLVASLRYYIFCDELPVVLKAFVHGDEALFNDYTELEEAMVWVYFHSNLPEFNRVECWGPLRDAASAGRSKAGEAQPPFPTDRPRRCKAECDCCFPLQSLIPWPHDFQEERRESAGRPQQ >Ma04_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:949071:951837:1 gene:Ma04_g01080 transcript:Ma04_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSHQISLVQRMMGGSPSLWTLNNLMPPSQEMSAVFASSSTSSSPSSSSSLSSLVIPKCSKPASFIPVIPCRENQDLPVSWSQLLLTYGGGLVEEEDKCTDTPYSAKRMENWKDQTLYTSTNTHMVDVKREDAQTGHAYHYGKDQEAQAARSSWSRAVPASSPRSCVTTSFSSNMLEFFSDCKGQRKHHQFDHSAECNSNVGVALKKARVQASSAQSLLKVRKEKLGDRISALHQLVSPFGKTDTASVLLEAIGYIRFLHSQIEALSSPYLRSGSRNTTLSDADDEPKNLRSRGLCLVPVSFILDVGNHNGADFWAHSFGMGFR >Ma09_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7427801:7430829:-1 gene:Ma09_g10970 transcript:Ma09_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPPPMPDLPGSAGKIDTGELGLLFQAQRRNLEHFFDHLDLAQAAHFAQTLLDAPGAIFFSGVGKSGIVAHKLAQSLASLGFARASFLNPVDALHGDLGAVFPGDLLVLISKSGASEELLTLVPCARSKGARLLSLTSAADGSGNPLSALCDVNVHLPLQRELCPFGLAPVTSAAIQMVFGDTVVAALMAARRLTKEQYAANHPAGKIGKSLIFKVKDVMKKNTEIPLCKEGDMIMDQLTELTSKGCGCLLVVDEEFHLIGTFTDGDLRRTLKANGADIFNLTVGEMCNRNPRTISPDAMAVEAMQKMESPPSAVQFLPVVDDCNIVIGIITLHGLVSAGL >Ma04_p39830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36847701:36849506:1 gene:Ma04_g39830 transcript:Ma04_t39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRPSADPQKKSGREKAKKEVQGDGDEEGEEVAPGGRIGEDKKRRPCSSAASKRGSGGGGGSGGASPPCCQAEKCTADLAEAKRYYRRHKVCEAHSKAAVVIVAGLRQRFCQQCSRFHELSEFDDSKRSCRRRLAGHNERRRKNTTSETQGERL >Ma08_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5471706:5474886:-1 gene:Ma08_g07880 transcript:Ma08_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Ma07_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4203936:4204160:1 gene:Ma07_g05790 transcript:Ma07_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWMEFVRGGDDVDETFGAERGVCGRIHSLGEVPFDPAASPSLASRKTQLSSRRLRSLESLLLPPQREFARR >Ma05_p28520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39494005:39497368:-1 gene:Ma05_g28520 transcript:Ma05_t28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIEEYRCFIGSLSWSTTDEDLKEAFQKFGQITEAKIVVDKFSGRSRGFGFVTFDDKGAMEEAIEAMNGMDLDGRSILVERAQPQGPASRDRDGGRDFDRDRSRGRGRDFGGSGRGSNSGDCFKCGKPGHFARECPSGDGARGDGYGGRDDRYGGSRGNNNRYGPDRNGDRYSGRSRDGGGRGGGGMGGDRYNRDRSGPYERPSGGSYRS >Ma00_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39045657:39053945:-1 gene:Ma00_g04550 transcript:Ma00_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELGSGYSEEELGMLLREQRRQEASDRERELNFYRSGSAPPTVEGSLAAKELRSTQAYLNYYYSHVNLNPRLPPPVLSKEDWRSTQRLQVGRTVLQGIGDTRKAALSGKRLDKGGEGLIGLSLSRQMSFANVLQDDVDHKTPISNLLPRPQSSFGAQQPGDYVQSGNGLQNASISFPYNLASAGDLFLGKGTTPDPQSIARASSPCFAPFGPKVCSNVQDEVESDDYIAALSGFCLSNDGAASVENISQSRLRKELDDHQKFLFDSLSNQGNFNACPIMENSDAHSLKTDPIEPHRSTISSANSYVKSYAPPDSGPGGSPGHFQNIKSVDACSGGIRPGDYSVNPVFPPSMLQNHVAAETMPNLFESAAAASAIGSLTIDCGTSDGAALQTPINDPLYVQYLKAAEYTTQIAASCSEPSVEMGYMGNSYAYIRGIQKAHFGLLLQQQKQYGNPHLGKSGSMSHSYYGNSTLGLGSSYPGSPLTGQIASPVGPGSPLRLGELPMQFPCGLRHLSGGIMGSWHSDRTHKIDQHYSSSLREEFKNNKNRCFELADIAGHVVEFSADQYGSRFIQQKLETATSEERNMVFKEIMPHSLSLTTDVFGNYVVQKLFEYGSMAQRRELASQLNGHVLALSLQMYGCRVIQKAIGGVDLDQKKKIVLELDGHILRCVRDQNGNHVIQKCIECIPQDEIQFVISAFYDQVVTLSTHPYGCRVIQRVLEFCDDPQIQQSMMGEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIVKEFAGQIVQMSLQKFASNVVEKCLTFGSPEERQILVNEMLGSTDKNEPLQVMMKDQFANYVVQKALETCDDEQRELILSRIKVHLNALKKYTYGKHIVARIEKLVAAGERRIGLQTQHASYGRRMRLAVQCSGKVVSIFFSIGSSKFLIYNFKLYLNSIYHLCQCLGHIIV >Ma07_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31396758:31406855:-1 gene:Ma07_g23920 transcript:Ma07_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLLGFPVFLFFSDLVNLFSTSPPPPPLKPSSRLHHPHPHPHPHPHPQPHSSPSHHQTADFPTTPQNPEVGGLGYGTTVELKFCVSCSYRGTAMTMKKMLETSFPGIDVILSNYPPAFPKRVLGKVVPVLQIGVIAIITAGDQIFPRLGMAPPPWYFSLRANRFGAIASTWLFGNFLQSTLQSSGAFEVYCDGELVFSKLKEQRFPSEFELRELIGKRIPDSAFGKNLGSVWS >Ma07_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5743834:5744927:-1 gene:Ma07_g07700 transcript:Ma07_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTVAVALALAVAAIALIVAARSPPAPIGCFEELVAASACLPHVAALPEEARAPPAPSAACCKAVLAAVLGADGGPPCLCHLIRRPGLFGFPVNASRIAALFSSCSAFGPPRRRRFLYGILPSFAFRVSLSSDVFCFLVLGNVAEARALPPLRSNTSTTLTEPGSGDALTPPHDEPGRVSGPDVVVSHPTSASAQVPVCSLGGLLLVATVSFLLTGYICCY >Ma04_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12052593:12054111:-1 gene:Ma04_g15710 transcript:Ma04_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGCWPMEEEYVRKHHRQEARDDQCSSTLVKHIKAPVHLVWSLVRRFDQPHKYKPFISRCVVQGDLAIGSVREVNVKSGLPATTSTERLEVLDDQEHVLSVKIVGGDHRLRNYSSIITAHPEVMDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQNAQPMER >Ma06_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11639544:11643384:1 gene:Ma06_g17140 transcript:Ma06_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCARRVVVDARHHMLGRLASIVAKELLNGQRVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKTKRMVIPDALKVLRLQPGHRYCLLGRLSSEVGWNYYDTVKVLEAKRKERAKVAYERRKQLTKLRLKAEKTAEEKLGPQLDILAPLKY >Ma07_p10360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7724820:7727965:1 gene:Ma07_g10360 transcript:Ma07_t10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPRFRAQPPRPSLGTLRRLPSPHRSVVISPPRSSIPSLYNSVLQTRPFSRVRSSPTNGPEKDPNGKALQDEEVKDLGVRVALSMLKFYKREISPLMLSSCRFVPTCSEYSMVAYKKYGVVKGTILTAWRLCRCNPLGGTGFDPPRWFGEEESFEEH >Ma07_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7724820:7727901:1 gene:Ma07_g10360 transcript:Ma07_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPRFRAQPPRPSLGTLRRLPSPHRSVVISPPRSSIPSLYNSVLQTRPFSRVRSSPTNGPEKDPNGKALQDEEVKDLGVRVALSMLKFYKREISPLMLSSCRFVPTCSEYSMVAYKKYGVVKGTILTAWRLCRCNPLGGTGFDPPRWFGEEESFEEH >Ma06_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14673335:14675456:1 gene:Ma06_g20550 transcript:Ma06_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHCELAGAHGRRQLLYSLEVEDDGVCTAVVSPQGYECQEYEVTQDGYILTMHRIPQGRGGGSAGKRQPVLLQHGVLMDGLTWLLNPPQQSLAFVLADNGFDVWITHGRGTRWSRRHESLDTSDPAYWAWSWDELASFDLPATVGFVFQQTGQKLHYVGHSMGTLTALSAFSEGKLVDKIKSAALLTPVDYLTYMTTPIGRAAASAFSGEMLGALGVAEFDPKGAVGTNYLESVCAMPGVNCYDLMASFTGPNCCLNDSTVDMYLKYELQPTSVRTLVHFTQTFRRGVITKYDHGSSMVNMVAYGQSSPPEYHMPNIPHDLPLLLSYGGGDMMSDVKDVQLLLNDLRNHDADKLVTQLVKEYAHLDFVMGVNAKQVEYDGLIAFFNNHS >Ma01_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13437863:13439455:1 gene:Ma01_g18130 transcript:Ma01_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRRLNLPFCLFDFLDVCFLLLAMQCWLRTSTVNTEVYQMSNPSIEHTSSSLLSLIALSDSAQDWKAIL >Ma09_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3317803:3318502:1 gene:Ma09_g05140 transcript:Ma09_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDCSVLDPWMYRPYTCNSESAFAGENDALTKALRISLFSESASFAAGDPSRRQDPLTGRVSKKRKSRASKRSPTTYIAADPANFRELVQRITGTPTVEPAGEQQHRAAAGQGSRLLPSRDMSSFYFDRASLGRGEVDGPVLPECDPFSLLHPFDSWGVI >Ma06_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5433336:5435324:1 gene:Ma06_g07650 transcript:Ma06_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNAKISSWVFPEVEQVADKNMEFLLGSSFVLGSQNSTGMDCSVDLKLGGLGDIRPAEKSRSQPSMTTTAVGPSRRARAQGNAPQNASCSVDGCKADLSGSREYHRRHKVCEVHSKTPVVMVGGQEQRFCQQCSRFHQLVEFDEAKRSCRKRLDGHNRRRRKPPPDSINPGGLLPNHQGSRFLMHPHLLPTPIQSHNWAGIIESEDMLHTNHSPLTFVDSKQHLSGSFSSNENRKQVPLLQGDIAGFSITTRLPFLMTISSSTAESSSGNICALSLLSSATKPGINADQMLPADGVPMHQSLVSSLPCHSSPQASTYVLPTGVSCSGVEDGQLIVRGADASLHCQSVFHAGGEGSSDWGSQAPPFSWQ >Ma06_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3049465:3052597:-1 gene:Ma06_g04200 transcript:Ma06_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMSKKRKFVADGVFFAELNEVLARELAEDGYSGVEVRVTPVRTEIIIRAIRAQNVLGEKGRRIRELTNRGLCAIAQAESLRDKLLGGLAVRTACYGVLRFVTESGAKGCEVIVSGKLRAQRAKSMNEACSLKKGCSLNQGQNYVGLGSKGKARSYNPSSRSRHDSSAKG >Ma01_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5175421:5185880:1 gene:Ma01_g07200 transcript:Ma01_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAPPQKSPSPSQPSGKSEVSDLKLQLRQLAGSRAPGADDSKRDLFKRVISYMTVGIDVSAAFSEMVMCSATSDIVLKKMCYLYVGNYARCHPDLALLTINFLQKDCHDEDPMIRGLALRSLCSLRVPNLVEYLVAPLGAGLKDGSSYVRMVAAVGVLKLYHISAATCLDSDFPAVLKSLMLTDPDAQVVANCLFVLQEIWNLEANKSEEASRERETLLSKKIVYYILNRIKEFNEWAQCLVLELVAKYIPSDTDDIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGSGSSEQSYAVLSHLHLLVMRAPMLFSSDYKHFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNVQEPKAKAALIWMLGEYSQDMPDAPYILESLIENWDEENAAEVRLHLLTAAMKCFFKRPPETQKALGAALAAGLADSHQDVHDRALFYYRLLQYDVSVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHREPFEFSEEIGNLSLGQEPVGNSPRYDESDKDLLLSTSEREENGGPSTNGPAASGYSAPTDYNSSLVSLSSQTQSETAISDPGMPKYTSQMTLAIDDLLGLSVSAAPAPPSLKLNPKAALDPGTFQRKWGQLAVSISQDCSVSPQGIAALTTPQALIRHMQASSIQCIASGGQSPNFKFFFFAQKLDGPPLFFLVECIVNTSSAKAQVKIKADDATASEAFFGLFQSALSKFGVL >Ma10_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17433678:17439915:1 gene:Ma10_g06020 transcript:Ma10_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPWTLFDPYLLLFSLVLVAVFGVAAFFLEHMRRIGCKHSLERTASSDDFFEDPNSLKKVRCPSVFDQAEKYISLIIPAFNEEHRLPGAFAETINYLQKRSASDKSFSYEVLIVDDGSSDRTSNVAFDFVRKYKIENVRVLILGRNHGKGEAIRKGMLHSRGELLLMLDADGATKITDLEKLECQICTLAEKVKELNPDDQSLKLSDIEVAAFGSRAHLEKQALATRKWYRNLLMKGFHLVVLLTAGPGIRDTQCGFKMFTRTAARRLFTNMRLKRWCFDVELVYLCKHLSIPMIEVSVNWSEIPGSKVRLTSIIHMLFELILIRLGYGLGIWKIHT >Ma09_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6024436:6028287:1 gene:Ma09_g09070 transcript:Ma09_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIDLPKPEVLFRAAEDGDASLFASLSREALDRARSLRNEDGRSLLHVAASFGRSEVVDVISAADPSINGVNSKDEEGWAPIHSAASIGNAEIVEILLSRGGDVNLINDGGRTALHYAASKGWLKVAEVLISHGAKINKKDKVGCTPLHRAASTGKSELCELLIEEGADVDVVDKAGQTPIMHAVICQNQQVALLLIRHGADLDVEDKEGYTALGRASDDFRRILIDAAKAMLEG >Ma10_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26055783:26058249:1 gene:Ma10_g13140 transcript:Ma10_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRGEEEAAPHQRCDRGVAFLDFASSSLAVPSHEASSSAPAPKVTVMPLCSQNYNECGISGLHF >Ma09_p01490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1070062:1081351:-1 gene:Ma09_g01490 transcript:Ma09_t01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQLGLLFALASGVAAILIYIVGLSNPYDRSRVMVDDLRALKALQSGFKKCVDANGFGLQALVSQDYCQVTIQYPRDTVPKWRDPKTGELEGLSFDFNLCEAVATWEQVRNSSTILTKEFIDALPNGWEEYAWRRINKGIHLDWCKNRTLCMEKLSLVLPETPPFVPRQFERCAVIGNSGDLLKTNFGEEIDDYDAVVRENGAPIQNYTKYVGRKSTFRLLNRGSAKALDKVAELDETKEEVLIIKTTIHDVMNNMIREVPIRNKVYLMLGASFGSSAKGTGLKALEFALSICETVEMYGFTVDPGYKEWTRYFSESRQGHTPLHGRTYYQMMECLGLIKIHSPMRANFSRVVNWLPNESILNAARTASEKILRRIGAGMSDPFGACSIIKKRPKGKSPLISGLRKAAMKHQSYVKGASMYPLERNTGNGMLCMVPDMT >Ma09_p01490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1070062:1081341:-1 gene:Ma09_g01490 transcript:Ma09_t01490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQLGLLFALASGVAAILIYIVGLSNPYDRSRVMVDDLRALKALQSGFKKCVDANGFGLQALVSQDYCQVTIQYPRDTVPKWRDPKTGELEGLSFDFNLCEAVATWEQVRNSSTILTKEFIDALPNGWEEYAWRRINKGIHLDWCKNRTLCMEKLSLVLPETPPFVPRQFERCAVIGNSGDLLKTNFGEEIDDYDAVVRENGAPIQNYTKYVGRKSTFRLLNRGSAKALDKVAELDETKEEVLIIKTTIHDVMNNMIREVPIRNKVYLMLGASFGSSAKGTGLKALEFALSICETVEMYGFTVDPGYKEWTRYFSESRQGHTPLHGRTYYQMMECLGLIKIHSPMRANFSRVVNWLPNESILNAARTASEKILRRIGAGMSDPFGACSIIKKRPKGKSPLISGLRKAAMKHQSYVKGASMYPLERNTGNGMLCMVPDMT >Ma09_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1074479:1081334:-1 gene:Ma09_g01490 transcript:Ma09_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQLGLLFALASGVAAILIYIVGLSNPYDRSRVMVDDLRALKALQSGFKKCVDANGFGLQALVSQDYCQVTIQYPRDTVPKWRDPKTGELEGLSFDFNLCEAVATWEQVRNSSTILTKEFIDALPNGWEEYAWRRINKGIHLDWCKNRTLCMEKLSLVLPETPPFVPRQFERCAVIGNSGDLLKTNFGEEIDDYDAVVRENGAPIQNYTKYVGRKSTFRLLNRGSAKALDKVAELDETKEEVLIIKTTIHDVMNNMIRSLLGTKYISC >Ma05_p28420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39435108:39439768:-1 gene:Ma05_g28420 transcript:Ma05_t28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MEASLSFRRPSSSPSSFFSRSPNPLRSLPDLDAPKILSFPMSWSRKRCHISAEMGVKSGLSPDSTTPVDLSVSPRVSSLKPSKTMAITDQATALVQAGVPVIRLAAGEPDFDTPAAIAEAGINAIREGYTRYTPNAGTLELRKAICHKLEVENGLSYTPDQILVSNGAKQCSLQAVVAVCSPGDEVLIPAPYWVSYPEMARLADATPVILSTNISDDFLLNPDFLASKINEKSRLLILCSPSNPTGSVYPKKLLEEIADIVRKHPRLLVLSDEIYEHIIYPPAKHTSFASLPGMWERTFTVNGFSKAFAMTGWRLGYLACPKHFLTACGKIQSQSTSGASSISQKAGLAALGLGYAGGEAVSVMVKAFQERRDFLIESFKELEGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCRFFLDKGQVALVPGDAFGDDKCIRISYAASLSTLQEAMKKIKEAIGLLRAPAAV >Ma06_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:144500:145820:-1 gene:Ma06_g00190 transcript:Ma06_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELLPSTPGKGKIERSHTINRQFHRCFASTGNMFLWALFLIALIACYLSFESFAGISSRYFRASWGGMRLEKQIRASATPRRPGAISVLVTGAAGFVGTHVSLALRKRGDGVVGLDNFNSYYDPSLKKARKALLASHGVLVVEGDINDARLLARLFDVVPFTHVMHLAAQAGVRYAIEKTSSYPAVVRPARRSPTPTITSTASPSRGSASSPSTGHGDAPTWPTSPSPATSSRKPITVYRGKNHIDLARDFTYIDDVVKGCVASPDTAQKSTGSGGQKRGPAQYHIYNLGNTSPVTVPTLVRILERHLKVKAMRNVVEMPANGDVPFTHANIRTALAELGYSPATNLETGLKRFVKWYLSYYGYNPARGTLASSAATKASKSS >Ma09_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8207223:8208827:1 gene:Ma09_g12170 transcript:Ma09_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRLSFVLLVVAVLAGGGAGCPASDREALLAFRSALSEPHLGIFSSWTGDDCCSQWYGVSCDPTTGRVADISLRGESKDRSGGLMYGRISPEICRLDRLTTLVLADWKHISGPIPPCLTSLPLLRILDLIGNRFSGPIPDDIGNLSRLAVLNVADNQISGSIPASLSALSSLMHLDLSNNQIAGPIPGDFGELRMLSRALLARNRISGVIPSSVGHMTRLADLDLAQNRISGEIPDSLGSTPVLSSLYLDSNRLSGQIPVELFSSRGLGILNLSRNAIEGEMPDAFGSHSYYTALDLSYNRLSGPVPKTLVMAAYVGHLDLSHNRLCGALPVGSPLDHLQPASFADNHCLCGGPLPACK >Ma07_p27440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33823451:33824449:-1 gene:Ma07_g27440 transcript:Ma07_t27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTREEEEEEQEEEEEEEAKGRRRAFSVNDNVDLLAEILGRLDGRSLAVAGCVCRLWNAVGRRDSVWEAVCHRHVAGGGSSAAGGGCSTRSVVAALGGYRRLYRLCIGPALDRLAGSAGGRGSTTTTAEAAAAHHLSLSLSLSLFSIDCYERLGGRQQRPASLLFLCKPVDVS >Ma10_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32511983:32513053:-1 gene:Ma10_g23730 transcript:Ma10_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIPGLTDDVARECLARVPFNAFPTLFSVCRRWRQELRDPRFHRLRKSNGHAQAVVVLVQSVPDFARYTGPQTLPYRLVIFEPATGVWSSLPPCPGLPHGLPLFCRLAAAGTELVIIGGWKPPEGATTDEVHVYDFVSGQWRRGSPLPSPLRSYFACAATHDSDEGCRTVYIAGGHDERKNALRSALAYDVAGDSWKPLPDMARERIECHGVTLRGKFLVLGWLGAEAFDAAAGSWGPVEEAAGEEFYYSKTYVAREDGRLYRCLGREVMVQLEGGVWAKVAELPGEMRRARYAVAWEGKLMVMGLGHDYGFLANILDMKATTTRTTMAAATWKKVEVPPGYGGSVLGACCSVI >Ma08_p13550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10695762:10697825:1 gene:Ma08_g13550 transcript:Ma08_t13550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVDDTDAGKRRRLPIWMARASYSGEKRNYGAGDGLQDAGSEPRSTARTQKRKPAQPVDHLDEFEATRRTIARQRKRKASRRNVGQDSSLSSNGIGETKPTTEETEQGETTVDNAANSVKIESRKSKKRSKTSVVPCSPASSESEIELTVEDLVRIAEEYVNADRQKQHGRSTAKEPRSEMDPPCSSDSSVVIGGAGPGQTACPSLALSRCTKTSGPNFPQATPRTGDAAQDMLDLLLGPLLKKPTSEEHENRTVATETMNLTHKPSEPSTTSKAIWKEEVLLTKRKSSLKDKVAMLLD >Ma08_p13550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10695762:10697825:1 gene:Ma08_g13550 transcript:Ma08_t13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVDDTDAGKRRRLPIWMARASYSGEKRNYGAGDGLQDAGSEPRSTARTQKRKPAQPVDHLDEFEATRRTIARQRKRKASRRNVGQDSSLSSNGIGETKPTTEETEQGETTVDNAANSVKIESRKSKKRSKTSVVPCSPASSESEIELTVEDLVRIAEEYVNADRQKQHGRSTAKEPRSEMDPPCSSDSSVVIGGAGPGQTACPSLALSRCTKTSGPNFPQATPRTGDAAQDMLDLLLGPLLKKPTSEEHENRTVATETMNLTHKPSEPSTTSKAIWKEEVLLTKRKSSLKDKVAMLLD >Ma08_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10695762:10697825:1 gene:Ma08_g13550 transcript:Ma08_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVDDTDAGKRRRLPIWMARASYSGEKRNYGAGDGLQDAGSEPRSTARTQKRKPAQPVDHLDEFEATRRTIARQRKRKASRRNVGQDSSLSSNGIGETKPTTEETEQGETTVDNAANSVKIESRKSKKRSKTSVVPCSPASSESEIELTVEDLVRIAEEYVNADRQKQHGRSTAKEPRSEMDPPCSSDSSVVIGGAGPGQTACPSLALSRCTKTSGPNFPQATPRTGDAAQDMLDLLLGPLLKKPTSEEHENRTVATETMNLTHKPSEPSTTSKAIWKEEVLLTKRKSSLKDKVAMLLD >Ma03_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7715974:7717741:1 gene:Ma03_g10270 transcript:Ma03_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPLLLSSLPSLLVVLALLSSLLLAGRKARGGSATWKLPPGPPKLPVIGHLHLLGSSLLHRSLWELSKKHGPLMHLKLGRVPVVVVSSPEMAKEVLKTHDLECCSRPSLLSYSKISYGLSDVAFIPYGERWRQLRKFCTVELLSTRKINSFRNIRKEEMERVMKLICSHARASTMVNLSELLLSLSCNMTCRTAFGSGFDDGGDIQLHDMLREAQAALGGLFLSDYLPLLGWVDRLSGMRSRLERAYLKLDTIYQRRIDHHQDRLRQQGKEDGDVLDALLRMQKDEEGLTEDHIKGVLMNIFIGGTDTSSATVEWAMAELIRQPELMKRAQDEVRRCVGSKGEVEESDLHQLHFLKCVIKETMRLHPPAPLLLPRETMQHFKLNGYDILPKTWMYVNAWAIGRDPNSWGKPHVFDPERFMHDSMEANGQDFKLIPFGEGRRICPGKNLGLLMVELVLANLLYSFDWHLPPGMVKEDISMEEAPGVTVHREYALCLMATKYDASTA >Ma05_p30570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40909134:40912239:-1 gene:Ma05_g30570 transcript:Ma05_t30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKNVFVGTYARAPVVIQSGKGCELYDVDGKEYPDMTAGIAVYSLGHGDPDWVNAMIEQAHTLTHVGNLYYSIPQVRLEFYLIYLYGCDSWKAPPVANSGTEANEAAIKFARKFQRFSHPDKKLPATEFIAFTHSFHGRTMGSVALTSKEHYRLPFEPVMPGVKFIEYGNFEEAKKAIQHGKTAAVFIEPIQGEGGIYSATKDFLRALRTACDDAGALLVFDEVQCRLGRTGHLWLVRPLV >Ma04_p37790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35527447:35532776:1 gene:Ma04_g37790 transcript:Ma04_t37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPLRPSPASPLRVAGFWPGLLLLCLLAPVALAKSSLRPITDIEVREKKQACYADIESGLWGSRCRWSIIDKENCALRCLSPVCFQLIYESDPLEEGENDFVRGQEFKYCMRKVSLGESLDGIRGAFDYM >Ma05_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6111952:6115823:-1 gene:Ma05_g08220 transcript:Ma05_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRLGLGLLLLILLCHVFSTPVVVSGRKVSLSLYYEALCPFCSSFIVNHLPKIFSNGLISIVDLDFIPFGNAMLDPNGSISCQHGQYECLLNTVEACAISSWPIVQQHFRFIYCIEHLVEEGQYTNWEACFQQTGFGSKPVIDCYNSGYGDELELQYKAKTDALQPPHIYVPWLVVNGQPLYEDYENFEHYVCKAYDGVPPRACEGLLLRTSEKEKPNKEEPFCYIDGVISPSAAGKKHKMELHY >Ma09_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3449815:3450882:-1 gene:Ma09_g05340 transcript:Ma09_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LATVCHASSSPAQVIYWNSVLPDTPMPRAISDLITTGPRVNVGVGVGVGVGVGVGGRKHHRGTGVNVRVGRMPFVYRYAATDTQLHDDPNVALFFLEKDLHPGAKMKLHFTNTISGASFLRRRAADSIPFSSTRLREILDRFSVEPNSAEAAAIKQTLRDCEEPAVRGERKTCATSLEAMVEFSTSSLGTSKVKAASTTVSKEGTPAQEYTVAASGVREMGGEELATCHAEPYAYAIFYCHATSTSRGYEVDMVGKDGTTVEAAAVCHTDTTAWNPEHVAFKVLDIKPGSAPVCHFLPQDHVVWSRSG >Ma04_p29200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30145628:30148917:-1 gene:Ma04_g29200 transcript:Ma04_t29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MATTIPPPPSPFTHMKPLLSLSPLSPLALPPFKPKPPNVSSSPLTQPNPTHRDHSPSPFAPRRLLRLAVDRLDLDLGRAAHAAAVKALDNEDTGLGNALISMYLKLGRLSDARKAFDALPSPDVASFSSLISAYAKCGRPVEAAGLFCRMRFLGVEPNEFSFVAILTSCIRQLDGRLGSQVHAFAVKTDHCSCVHVNNALLGVYVKCGCASAADQLFGEMVERDVSSWNAVMLGVVEECRYDEAFRLFNLMQMEGYHGDGFSLSTLLTAAAHGFGGAGGEAVHAYALKIGLELDLSVGNALVGFYTQFGSVEDVADVFWRMPIKDVTSWTGMLTGYMEFGMVESAVDIFDQMPERNCVTYNALLAGFYHNGEGDRGLDLFQHILENDLEISDFTLTSAINACGMVSDLKMSEQVHAFVIKSGCKSSSWVEAALLDMCAKCGRIEDAQKMFTSWAHEESFPVAWTSLICAHSRCGLHFEALHLFQTGLSRDDPVVMDAFALAMILGLCGTLGFFELGQQLHCVVTKSGLLSDVEVGNALFSMYAKCGRLEDAISLFSQMPQHDTVSWNALITAYLIHRQGDKALDVWDSMNMVGVKPDFITFLLVISACRYTSSNSVDVCHGLFHSMESSYNIIPASEHYSAMVDVLGYWGSFDEAEQLIKNMPSKPNASVWRALLDSCRLRSNMTLGRQAAQSLLELEPQDPSTYVLVSNLYSASGRWHCSEKVRQDMREKGLQKHPVRSWITHHNTMHSFYARDRSHPQSKDIYSALDILILECMKAGYEPDTCFVLHEVEEYQKKNFLFYHSAKLAVVYGLLISGPDRPVRVVKNILLCGDCHAFMKYVSSVTGREISVRDASGFHNFRGGVCSCGDYW >Ma05_p13380.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9711131:9731470:-1 gene:Ma05_g13380 transcript:Ma05_t13380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDDDFQSQNFQLIGEDNDVFPQSIQPYAPPKFDIDDHFQAHLRLDSLAETGLLLGIQSEENNWIEEFSPRNNVIEFDPSASQTCSISGHDSIWFNAPLSESAQMLVKSVGDDTTVSPQVMNTEADTHAVEDSAKCGPECITDIDSSFLTNKFQRSVLVSNEHIIEAEQHVITPQTPSEEKSEVGFDEILLDKKIDSAGKVVATQCTTSEELTSSSGNVSKACLVAGEPLEVVQNEEPLDNASTRNSSLDDRGCAVNQEIEVSSKFLSCNTHHGPSGLSTNNADIVTGKLDDPLFSEKNVEECYEGDISERSESLQSETKQNETNYNLYGDCKVTDQHFQGHPLNYHVCDVKASSESVSPTDSLMLPNEGSSKTVFFKNSDALLEDIAHQVKVSNKDLSTGDKSSTCTKEAHSSSVEGDGTENVGELCDVAEGRTDFSHCVHEYISKDDSRNPDSQSTEESKMISFEEGNLGTSRTVIDDNCSELRNYSGMAVKVEFSSLMKTETRMTGVDGDNECRVDPSNLNDTDSVQTENSTEGECLKTISEEPTGKSDASENAIHKESCAALSDDAENELCCRNPNKLAPASDTSPSVAELNKDNVVHSAEKENTVLLIDTSGTAFKECSTIIKNAEFCSFDIQRNGTVMESDKNSIMDQAGVSDLTSGGMKLLSPVDSTILQQSHSEVEAMVEQMETTVSSTVASCCNEKGVCLSSLSVIGCNTDTQISRQPIAVPGSDTNDPSVKNFSDGLGITNSDELCVASSAGMSSLVAQQSTEGKDANLTTTNNCDKLRSTETEENNLLRFTLSKSNPEARLVDHDGGNLSSSEPNCGSPTVISCNEHTLEETGLIESNRSSQDPAGPASTKDSSRSKCTVQDSQVSETLKDDGNFTFVVQPDANLSQKDSTKDLTPFSNIQSFKLPQMSEEISQGCPGQSIKESTSTISKMTLEGKRKQVSAFATRKIGISKGDAKEKSEEKQGKGRKKAPCDTSSVPDRSTRSKTHMEDIQHRLFVETNTTKSSCSPSVQASNLPDLNTSVPSALFLQPFTDLQQVQLRAQIFVYGSLIQGVLPDQACMVPAFGGTDGGRSLWEQVWHSAAQRLYNHKSPNSSGTHLHCHSEQGISCTPFQSKVLNSPASWRDSKVPNSSTQSSNVSLQSAFHSHAGGTHLDSSQSLSPLHPYQTSQIRQYLTNSTPWLSQSSHPASWFFSPQSLPIDSSSYNSPIPVAETVQVTTVRDSSIPHTSNMQLTSSGSLLPNQGANSVSAALIVPSETESREATPAITKNSSVSEKSRKRKKVSASEGPVPKISVSQPQGVSASSPFINLPNSAELSLYSNSSSTVTSAGHVSAASYPITMPYYQILGSSHTQQRGIVIKEACNQIEQSKLQAENASAYAASAVRHSQVIWEQMAIQRKSCLALEVEQKLASAAVAAAAAASVAKAAAEVAKVASEAAVQAKLMADETLNSLNTGTTQISEICLDIRKNLLTSTPVLIPKSQDKIHGSCSIISTAREATRKRAEAASATIKRAENLDAILKCAEMAAEAVSQAGTVITMGDPFPSSICDLVEAGPEGHWKPCCATIKKRIETNDVQVGENLPLDVAGDLEIITVQSTDHHGRQKISVMEEMTPNNKKMILENNYEGCNLENGSQTIPTFRAASEPMQGSNIQKGSLVEVVADEDGLRGAWFSAQVLDVKDGKAYVCYKDLLSDEGHEKLKEWIPLESKSDQRPRIRVAHPVIVTKSEGTRKRQREVAGNCTWAVGDRVDALLRDGWWEGIVTEKSQDDESKLTVHFPAGGDSSIVRSWNLRPSLIWKDGQWIEWSQAKERGDTPYGKHAKLGHFNSTNKSETGEEGMTTLSSNIRTDDSRKLEELRPLNLSAKDLTFSVGSNVGEDNNTDVFKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKIEKTIEVSDSIKFAKYLMPQASHSWRNTSKVDVKGRGITNLNRRGPKSLRSQNVQSRSAMDKSVTAVAILNGGESSLGTSFSNEEIKNSVETGSFSHALKKVELAVIEPPLQFVPGVLSLEKKSSAEAEMGEKEKENDLPSVDKLSRSDIKGSEIPGKGSADVVEPRRSNRRIQPTSRLLEGLQSSLIVSKSPLDRGGKSLHRGVSASRGQNHG >Ma05_p13380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9711131:9731453:-1 gene:Ma05_g13380 transcript:Ma05_t13380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDDDFQSQNFQLIGEDNDVFPQSIQPYAPPKFDIDDHFQAHLRLDSLAETGLLLGIQSEENNWIEEFSPRNNVIEFDPSASQTCSISGHDSIWFNAPLSESAQMLVKSVGDDTTVSPQVMNTEADTHAVEDSAKCGPECITDIDSSFLTNKFQRSVLVSNEHIIEAEQHVITPQTPSEEKSEVGFDEILLDKKIDSAGKVVATQCTTSEELTSSSGNVSKACLVAGEPLEVVQNEEPLDNASTRNSSLDDRGCAVNQEIEVSSKFLSCNTHHGPSGLSTNNADIVTGKLDDPLFSEKNVEECYEGDISERSESLQSETKQNETNYNLYGDCKVTDQHFQGHPLNYHVCDVKASSESVSPTDSLMLPNEGSSKTVFFKNSDALLEDIAHQVKVSNKDLSTGDKSSTCTKEAHSSSVEGDGTENVGELCDVAEGRTDFSHCVHEYISKDDSRNPDSQSTEESKMISFEEGNLGTSRTVIDDNCSELRNYSGMAVKVEFSSLMKTETRMTGVDGDNECRVDPSNLNDTDSVQTENSTEGECLKTISEEPTGKSDASENAIHKESCAALSDDAENELCCRNPNKLAPASDTSPSVAELNKDNVVHSAEKENTVLLIDTSGTAFKECSTIIKNAEFCSFDIQRNGTVMESDKNSIMDQAGVSDLTSGGMKLLSPVDSTILQQSHSEVEAMVEQMETTVSSTVASCCNEKGVCLSSLSVIGCNTDTQISRQPIAVPGSDTNDPSVKNFSDGLGITNSDELCVASSAGMSSLVAQQSTEGKDANLTTTNNCDKLRSTETEENNLLRFTLSKSNPEARLVDHDGGNLSSSEPNCGSPTVISCNEHTLEETGLIESNRSSQDPAGPASTKDSSRSKCTVQDSQVSETLKDDGNFTFVVQPDANLSQKDSTKDLTPFSNIQSFKLPQMSEEISQGCPGQSIKESTSTISKMTLEGKRKQVSAFATRKIGISKGDAKEKSEEKQGKGRKKAPCDTSSVPDRSTRSKTHMEDIQHRLFVETNTTKSSCSPSVQASNLPDLNTSVPSALFLQPFTDLQQVQLRAQIFVYGSLIQGVLPDQACMVPAFGGTVDGGRSLWEQVWHSAAQRLYNHKSPNSSGTHLHCHSEQGISCTPFQSKVLNSPASWRDSKVPNSSTQSSNVSLQSAFHSHAGGTHLDSSQSLSPLHPYQTSQIRQYLTNSTPWLSQSSHPASWFFSPQSLPIDSSSYNSPIPVAETVQVTTVRDSSIPHTSNMQLTSSGSLLPNQGANSVSAALIVPSETESREATPAITKNSSVSEKSRKRKKVSASEGPVPKISVSQPQGVSASSPFINLPNSAELSLYSNSSSTVTSAGHVSAASYPITMPYYQILGSSHTQQRGIVIKEACNQIEQSKLQAENASAYAASAVRHSQVIWEQMAIQRKSCLALEVEQKLASAAVAAAAAASVAKAAAEVAKVASEAAVQAKLMADETLNSLNTGTTQISEICLDIRKNLLTSTPVLIPKSQDKIHGSCSIISTAREATRKRAEAASATIKRAENLDAILKCAEMAAEAVSQAGTVITMGDPFPSSICDLVEAGPEGHWKPCCATIKKRIETNDVQVGENLPLDVAGDLEIITVQSTDHHGRQKISVMEEMTPNNKKMILENNYEGCNLENGSQTIPTFRAASEPMQGSNIQKGSLVEVVADEDGLRGAWFSAQVLDVKDGKAYVCYKDLLSDEGHEKLKEWIPLESKSDQRPRIRVAHPVIVTKSEGTRKRQREVAGNCTWAVGDRVDALLRDGWWEGIVTEKSQDDESKLTVHFPAGGDSSIVRSWNLRPSLIWKDGQWIEWSQAKERGDTPYGKHAKLGHFNSTNKSETGEEGMTTLSSNIRTDDSRKLEELRPLNLSAKDLTFSVGSNVGEDNNTDVFKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKIEKTIEVSDSIKFAKYLMPQASHSWRNTSKVDVKGRGITNLNRRGPKSLRSQNVQSRSAMDKSVTAVAILNGGESSLGTSFSNEEIKNSVETGSFSHALKKVELAVIEPPLQFVPGVLSLEKKSSAEAEMGEKEKENDLPSVDKLSRSDIKGSEIPGKGSADVVEPRRSNRRIQPTSRLLEGLQSSLIVSKSPLDRGGKSLHRGVSASRAGQNHG >Ma05_p13380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9711131:9731470:-1 gene:Ma05_g13380 transcript:Ma05_t13380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDDDFQSQNFQLIGEDNDVFPQSIQPYAPPKFDIDDHFQAHLRLDSLAETGLLLGIQSEENNWIEEFSPRNNVIEFDPSASQTCSISGHDSIWFNAPLSESAQMLVKSVGDDTTVSPQVMNTEADTHAVEDSAKCGPECITDIDSSFLTNKFQRSVLVSNEHIIEAEQHVITPQTPSEEKSEVGFDEILLDKKIDSAGKVVATQCTTSEELTSSSGNVSKACLVAGEPLEVVQNEEPLDNASTRNSSLDDRGCAVNQEIEVSSKFLSCNTHHGPSGLSTNNADIVTGKLDDPLFSEKNVEECYEGDISERSESLQSETKQNETNYNLYGDCKVTDQHFQGHPLNYHVCDVKASSESVSPTDSLMLPNEGSSKTVFFKNSDALLEDIAHQVKVSNKDLSTGDKSSTCTKEAHSSSVEGDGTENVGELCDVAEGRTDFSHCVHEYISKDDSRNPDSQSTEESKMISFEEGNLGTSRTVIDDNCSELRNYSGMAVKVEFSSLMKTETRMTGVDGDNECRVDPSNLNDTDSVQTENSTEGECLKTISEEPTGKSDASENAIHKESCAALSDDAENELCCRNPNKLAPASDTSPSVAELNKDNVVHSAEKENTVLLIDTSGTAFKECSTIIKNAEFCSFDIQRNGTVMESDKNSIMDQAGVSDLTSGGMKLLSPVDSTILQQSHSEVEAMVEQMETTVSSTVASCCNEKGVCLSSLSVIGCNTDTQISRQPIAVPGSDTNDPSVKNFSDGLGITNSDELCVASSAGMSSLVAQQSTEGKDANLTTTNNCDKLRSTETEENNLLRFTLSKSNPEARLVDHDGGNLSSSEPNCGSPTVISCNEHTLEETGLIESNRSSQDPAGPASTKDSSRSKCTVQDSQVSETLKDDGNFTFVVQPDANLSQKDSTKDLTPFSNIQSFKLPQMSEEISQGCPGQSIKESTSTISKMTLEGKRKQVSAFATRKIGISKGDAKEKSEEKQGKGRKKAPCDTSSVPDRSTRSKTHMEDIQHRLFVETNTTKSSCSPSVQASNLPDLNTSVPSALFLQPFTDLQQVQLRAQIFVYGSLIQGVLPDQACMVPAFGGTDGGRSLWEQVWHSAAQRLYNHKSPNSSGTHLHCHSEQGISCTPFQSKVLNSPASWRDSKVPNSSTQSSNVSLQSAFHSHAGGTHLDSSQSLSPLHPYQTSQIRQYLTNSTPWLSQSSHPASWFFSPQSLPIDSSSYNSPIPVAETVQVTTVRDSSIPHTSNMQLTSSGSLLPNQGANSVSAALIVPSETESREATPAITKNSSVSEKSRKRKKVSASEGPVPKISVSQPQGVSASSPFINLPNSAELSLYSNSSSTVTSAGHVSAASYPITMPYYQILGSSHTQQRGIVIKEACNQIEQSKLQAENASAYAASAVRHSQVIWEQMAIQRKSCLALEVEQKLASAAVAAAAAASVAKAAAEVAKVASEAAVQAKLMADETLNSLNTGTTQISEICLDIRKNLLTSTPVLIPKSQDKIHGSCSIISTAREATRKRAEAASATIKRAENLDAILKCAEMAAEAVSQAGTVITMGDPFPSSICDLVEAGPEGHWKPCCATIKKRIETNDVQVGENLPLDVAGDLEIITVQSTDHHGRQKISVMEEMTPNNKKMILENNYEGCNLENGSQTIPTFRAASEPMQGSNIQKGSLVEVVADEDGLRGAWFSAQVLDVKDGKAYVCYKDLLSDEGHEKLKEWIPLESKSDQRPRIRVAHPVIVTKSEGTRKRQREVAGNCTWAVGDRVDALLRDGWWEGIVTEKSQDDESKLTVHFPAGGDSSIVRSWNLRPSLIWKDGQWIEWSQAKERGDTPYGKHAKLGHFNSTNKSETGEEGMTTLSSNIRTDDSRKLEELRPLNLSAKDLTFSVGSNVGEDNNTDVFKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKIEKTIEVSDSIKFAKYLMPQASHSWRNTSKVDVKGRGITNLNRRGPKSLRSQNVQSRSAMDKSVTAVAILNGGESSLGTSFSNEEIKNSVETGSFSHALKKVELAVIEPPLQFVPGVLSLEKKSSAEAEMGEKEKENDLPSVDKLSRSDIKGSEIPGKGSADVVEPRRSNRRIQPTSRLLEGLQSSLIVSKSPLDRGGKSLHRGVSASRAGQNHG >Ma05_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9711131:9731470:-1 gene:Ma05_g13380 transcript:Ma05_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDDDFQSQNFQLIGEDNDVFPQSIQPYAPPKFDIDDHFQAHLRLDSLAETGLLLGIQSEENNWIEEFSPRNNVIEFDPSASQTCSISGHDSIWFNAPLSESAQMLVKSVGDDTTVSPQVMNTEADTHAVEDSAKCGPECITDIDSSFLTNKFQRSVLVSNEHIIEAEQHVITPQTPSEEKSEVGFDEILLDKKIDSAGKVVATQCTTSEELTSSSGNVSKACLVAGEPLEVVQNEEPLDNASTRNSSLDDRGCAVNQEIEVSSKFLSCNTHHGPSGLSTNNADIVTGKLDDPLFSEKNVEECYEGDISERSESLQSETKQNETNYNLYGDCKVTDQHFQGHPLNYHVCDVKASSESVSPTDSLMLPNEGSSKTVFFKNSDALLEDIAHQVKVSNKDLSTGDKSSTCTKEAHSSSVEGDGTENVGELCDVAEGRTDFSHCVHEYISKDDSRNPDSQSTEESKMISFEEGNLGTSRTVIDDNCSELRNYSGMAVKVEFSSLMKTETRMTGVDGDNECRVDPSNLNDTDSVQTENSTEGECLKTISEEPTGKSDASENAIHKESCAALSDDAENELCCRNPNKLAPASDTSPSVAELNKDNVVHSAEKENTVLLIDTSGTAFKECSTIIKNAEFCSFDIQRNGTVMESDKNSIMDQAGVSDLTSGGMKLLSPVDSTILQQSHSEVEAMVEQMETTVSSTVASCCNEKGVCLSSLSVIGCNTDTQISRQPIAVPGSDTNDPSVKNFSDGLGITNSDELCVASSAGMSSLVAQQSTEGKDANLTTTNNCDKLRSTETEENNLLRFTLSKSNPEARLVDHDGGNLSSSEPNCGSPTVISCNEHTLEETGLIESNRSSQDPAGPASTKDSIQPDANLSQKDSTKDLTPFSNIQSFKLPQMSEEISQGCPGQSIKESTSTISKMTLEGKRKQVSAFATRKIGISKGDAKEKSEEKQGKGRKKAPCDTSSVPDRSTRSKTHMEDIQHRLFVETNTTKSSCSPSVQASNLPDLNTSVPSALFLQPFTDLQQVQLRAQIFVYGSLIQGVLPDQACMVPAFGGTVDGGRSLWEQVWHSAAQRLYNHKSPNSSGTHLHCHSEQGISCTPFQSKVLNSPASWRDSKVPNSSTQSSNVSLQSAFHSHAGGTHLDSSQSLSPLHPYQTSQIRQYLTNSTPWLSQSSHPASWFFSPQSLPIDSSSYNSPIPVAETVQVTTVRDSSIPHTSNMQLTSSGSLLPNQGANSVSAALIVPSETESREATPAITKNSSVSEKSRKRKKVSASEGPVPKISVSQPQGVSASSPFINLPNSAELSLYSNSSSTVTSAGHVSAASYPITMPYYQILGSSHTQQRGIVIKEACNQIEQSKLQAENASAYAASAVRHSQVIWEQMAIQRKSCLALEVEQKLASAAVAAAAAASVAKAAAEVAKVASEAAVQAKLMADETLNSLNTGTTQISEICLDIRKNLLTSTPVLIPKSQDKIHGSCSIISTAREATRKRAEAASATIKRAENLDAILKCAEMAAEAVSQAGTVITMGDPFPSSICDLVEAGPEGHWKPCCATIKKRIETNDVQVGENLPLDVAGDLEIITVQSTDHHGRQKISVMEEMTPNNKKMILENNYEGCNLENGSQTIPTFRAASEPMQGSNIQKGSLVEVVADEDGLRGAWFSAQVLDVKDGKAYVCYKDLLSDEGHEKLKEWIPLESKSDQRPRIRVAHPVIVTKSEGTRKRQREVAGNCTWAVGDRVDALLRDGWWEGIVTEKSQDDESKLTVHFPAGGDSSIVRSWNLRPSLIWKDGQWIEWSQAKERGDTPYGKHAKLGHFNSTNKSETGEEGMTTLSSNIRTDDSRKLEELRPLNLSAKDLTFSVGSNVGEDNNTDVFKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKIEKTIEVSDSIKFAKYLMPQASHSWRNTSKVDVKGRGITNLNRRGPKSLRSQNVQSRSAMDKSVTAVAILNGGESSLGTSFSNEEIKNSVETGSFSHALKKVELAVIEPPLQFVPGVLSLEKKSSAEAEMGEKEKENDLPSVDKLSRSDIKGSEIPGKGSADVVEPRRSNRRIQPTSRLLEGLQSSLIVSKSPLDRGGKSLHRGVSASRAGQNHG >Ma05_p13380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9711131:9731470:-1 gene:Ma05_g13380 transcript:Ma05_t13380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDDDDFQSQNFQLIGEDNDVFPQSIQPYAPPKFDIDDHFQAHLRLDSLAETGLLLGIQSEENNWIEEFSPRNNVIEFDPSASQTCSISGHDSIWFNAPLSESAQMLVKSVGDDTTVSPQVMNTEADTHAVEDSAKCGPECITDIDSSFLTNKFQRSVLVSNEHIIEAEQHVITPQTPSEEKSEVGFDEILLDKKIDSAGKVVATQCTTSEELTSSSGNVSKACLVAGEPLEVVQNEEPLDNASTRNSSLDDRGCAVNQEIEVSSKFLSCNTHHGPSGLSTNNADIVTGKLDDPLFSEKNVEECYEGDISERSESLQSETKQNETNYNLYGDCKVTDQHFQGHPLNYHVCDVKASSESVSPTDSLMLPNEGSSKTVFFKNSDALLEDIAHQVKVSNKDLSTGDKSSTCTKEAHSSSVEGDGTENVGELCDVAEGRTDFSHCVHEYISKDDSRNPDSQSTEESKMISFEEGNLGTSRTVIDDNCSELRNYSGMAVKVEFSSLMKTETRMTGVDGDNECRVDPSNLNDTDSVQTENSTEGECLKTISEEPTGKSDASENAIHKESCAALSDDAENELCCRNPNKLAPASDTSPSVAELNKDNVVHSAEKENTVLLIDTSGTAFKECSTIIKNAEFCSFDIQRNGTVMESDKNSIMDQAGVSDLTSGGMKLLSPVDSTILQQSHSEVEAMVEQMETTVSSTVASCCNEKGVCLSSLSVIGCNTDTQISRQPIAVPGSDTNDPSVKNFSDGLGITNSDELCVASSAGMSSLVAQQSTEGKDANLTTTNNCDKLRSTETEENNLLRFTLSKSNPEARLVDHDGGNLSSSEPNCGSPTVISCNEHTLEETGLIESNRSSQDPAGPASTKDSSRSKCTVQDSQVSETLKDDGNFTFVVQPDANLSQKDSTKDLTPFSNIQSFKLPQMSEEISQGCPGQSIKESTSTISKMTLEGKRKQVSAFATRKIGISKGDAKEKSEEKQGKGRKKAPCDTSSVPDRSTRSKTHMEDIQHRLFVETNTTKSSCSPSVQASNLPDLNTSVPSALFLQPFTDLQQVQLRAQIFVYGSLIQGVLPDQACMVPAFGGTVDGGRSLWEQVWHSAAQRLYNHKSPNSSGTHLHCHSEQGISCTPFQSKVLNSPASWRDSKVPNSSTQSSNVSLQSAFHSHAGGTHLDSSQSLSPLHPYQTSQIRQYLTNSTPWLSQSSHPASWFFSPQSLPIDSSSYNSPIPVAETVQVTTVRDSSIPHTSNMQLTSSGSLLPNQGANSVSAALIVPSETESREATPAITKNSSVSEKSRKRKKVSASEGPVPKISVSQPQGVSASSPFINLPNSAELSLYSNSSSTVTSAGHVSAASYPITMPYYQILGSSHTQQRGIVIKEACNQIEQSKLQAENASAYAASAVRHSQVIWEQMAIQRKSCLALEVEQKLASAAVAAAAAASVAKAAAEVAKVASEAAVQAKLMADETLNSLNTGTTQISEICLDIRKNLLTSTPVLIPKSQDKIHGSCSIISTAREATRKRAEAASATIKRAENLDAILKCAEMAAEAVSQAGTVITMGDPFPSSICDLVEAGPEGHWKPCCATIKKRIETNDVQVGENLPLDVAGDLEIITVQSTDHHGRQKISVMEEMTPNNKKMILENNYEGCNLENGSQTIPTFRAASEPMQGSNIQKGSLVEVVADEDGLRGAWFSAQVLDVKDGKAYVCYKDLLSDEGHEKLKEWIPLESKSDQRPRIRVAHPVIVTKSEGTRKRQREVAGNCTWAVGDRVDALLRDGWWEGIVTEKSQDDESKLTVHFPAGGDSSIVRSWNLRPSLIWKDGQWIEWSQAKERGDTPYGKHAKLGHFNSTNKSETGEEGMTTLSSNIRTDDSRKLEELRPLNLSAKDLTFSVGSNVGEDNNTDVFKVRRAGLQKDGSKVVFGVPKPGKKRKFMEVSKHYNTDKIEKTIEVSDSIKFAKYLMPQASHSWRNTSKVDVKGRGITNLNRRGPKSLRSQNVQSRSAMDKSVTAVAILNGGESSLGTSFSNEEIKNSVETGSFSHALKKVELAVIEPPLQFVPGVLSLEKKSSAEAEMGEKEKENDLPSVDKLSRSDIKGSEIPGKGSADVVEPRRSNRRIQPTSRLLEGLQSSLIVSKSPLDRGGKSLHRGVSASRGQNHG >Ma02_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13765497:13767185:1 gene:Ma02_g02520 transcript:Ma02_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLIYEIWEKPASSCVIGICSAIWFYIQKKNIGYSNVGLSYETAIAGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGHLGLGIEFYLQYTLVLVVLSGVLVLLTYHILIQKFKLEYFRRVTAVGYSCVVFGWMTILAVKQPSSKLNLFGVLSLPISFAPFESLIFTSIIVPQASFIGHLSGIIVGYSIAWGLIHGMSNYWAISMLGWLILMFILSLKRTGAVDLPFIEIEPVTDPSLPAVGFIPSGNGRTLQMNILHGRGAELV >Ma02_p02520.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13765495:13767185:1 gene:Ma02_g02520 transcript:Ma02_t02520.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLIYEIWEKPASSCVIGICSAIWFYIQKKNIGYSNVGLSYETAIAGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGHLGLGIEFYLQYTLVLVVLSGVLVLLTYHILIQKFKLEYFRRVTAVGYSCVVFGWMTILAVKQPSSKLNLFGVLSLPISFAPFESLIFTSIIVPQASFIGHLSGIIVGYSIAWGLIHGMSNYWAISMLGWLILMFILSLKRTGAVDLPFIEIEPVTDPSLPAVGFIPSGNGRTLQMNILHGRGAELV >Ma02_p02520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13765495:13767185:1 gene:Ma02_g02520 transcript:Ma02_t02520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLIYEIWEKPASSCVIGICSAIWFYIQKKNIGYSNVGLSYETAIAGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGHLGLGIEFYLQYTLVLVVLSGVLVLLTYHILIQKFKLEYFRRVTAVGYSCVVFGWMTILAVKQPSSKLNLFGVLSLPISFAPFESLIFTSIIVPQASFIGHLSGIIVGYSIAWGLIHGMSNYWAISMLGWLILMFILSLKRTGAVDLPFIEIEPVTDPSLPAVGFIPSGNGRTLQMNILHGRGAELV >Ma02_p02520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13765495:13767185:1 gene:Ma02_g02520 transcript:Ma02_t02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGKPLIYEIWEKPASSCVIGICSAIWFYIQKKNIGYSNVGLSYETAIAGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGHLGLGIEFYLQYTLVLVVLSGVLVLLTYHILIQKFKLEYFRRVTAVGYSCVVFGWMTILAVKQPSSKLNLFGVLSLPISFAPFESLIFTSIIVPQASFIGHLSGIIVGYSIAWGLIHGMSNYWAISMLGWLILMFILSLKRTGAVDLPFIEIEPVTDPSLPAVGFIPSGNGRTLQMNILHGRGAELV >Ma07_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27369186:27370393:1 gene:Ma07_g19340 transcript:Ma07_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAQVAEPEGKGKAFSFPNGALRKHHQRSPPVVEFSYRECLKNHAASLGGHALDGCGEFMLSPAADPADPSSIRCAACGCHRNFHRRLPGPLHRRHRSNDQEGGGGDEDGEGEDDEEADMDGGRVHPWPHLSSTSPPLFYPSAPHMLLALSAGLPGGPGPIPVAAPIAAVAAPAAAAESAQPKKRFRTKFTAEQKDRMQEVSERLGWRMQKRDEVLVEECCREIGVDKGVFKVWMHNNKHTFFGQARRGEADGDQAGSGGAVGDNDVGRIEASGQSANGVEDGGGGNGHPANGSPSPS >Ma03_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1819654:1820579:-1 gene:Ma03_g02680 transcript:Ma03_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMVTPCGSRALLVVSLYAGACVGASAHCGGRDIPTPITSITSFLLLLLLGSGRNSRRHRGMDEFSFPTIAADMDSLCRFPFPHFAASSPLRLIPSGEKTAACGCRRSFSSAEEAPRTVGRRPVAEERMDVLWEDFNQEELLRRSPCRGDNVEFCCLRVLNEKKKKSGHVGRRRRGSLTFSMLKALKKLFLIPKASSSGRTPFC >Ma00_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12037841:12038152:1 gene:Ma00_g01740 transcript:Ma00_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYVTSDALMCRAFPTTLRGLARTWYDGLRTGTIASFDQLTRDFELNFSASARSKPSVALLLGLHQREDESLSHFVNRFATQIQGLSDAHPSLLMQAFLAGL >Ma03_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19116808:19120019:-1 gene:Ma03_g16520 transcript:Ma03_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSDASKPAQIVKIPIIMLYACPAGLHVLVNYCGLTILSCLEYVAIGLHVSNLIFTDIVGPPEMASDEGKNMLVIGMASEVSLMEYLGMCDAFSVT >Ma11_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8918757:8923162:-1 gene:Ma11_g09670 transcript:Ma11_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKSAVHESGGLPPPPSSRFAAFASASTSSTLSAKCETPAVSPTPIDGHGGGRFSHDIDRMPDNPPRNAGHRRAHSEILSLPDDISFDSELGIVGSGEGPSLSDETEEDLISMYLDLDKIASSSASSGLELQGSETSGTGAAGAPASSQAEMVAAASGERPRVRHQHSQSFDGSTSIKPELLASGTEGMSSTEEKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKLRYIAELERKILTLQTEATTLSSQLTMFQTDNTALTAENNELKLRLQTMEQQVHLQDALNETLRGEVQRLKIATGTAIANGAQMVNYTQTSSSGVGQQYYHHNHALQSLMAAHQLQQLHIHSQHQQQMLPQQHELQPQQQPPSTELKTRALASQSQESAPLQE >Ma11_p09670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8918741:8923162:-1 gene:Ma11_g09670 transcript:Ma11_t09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKSAVHESGGLPPPPSSRFAAFASASTSSTLSAKCETPAVSPTPIDGHGGGRFSHDIDRMPDNPPRNAGHRRAHSEILSLPDDISFDSELGIVGSGEGPSLSDETEEDLISMYLDLDKIASSSASSGLELQGSETSGTGAAGAPASSQAEMVAAASGERPRVRHQHSQSFDGSTSIKPELLASGTEGMSSTEEKKAMSAAKLAELALIDPKRAKRERGWINDGHRQKDTEIWANRQSAARSKERKLRYIAELERKILTLQTEATTLSSQLTMFQTDNTALTAENNELKLRLQTMEQQVHLQDALNETLRGEVQRLKIATGTAIANGAQMVNYTQTSSSGVGQQYYHHNHALQSLMAAHQLQQLHIHSQHQQQMLPQQHELQPQQQPPSTELKTRALASQSQESAPLQE >Ma06_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14422169:14423197:-1 gene:Ma06_g20340 transcript:Ma06_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPATDPRTTRSVLSSVNGGPNSGDQAAAGSDGGSASGIEFSSREDVERLLAEKMKVKTKNDFKGKSEQMIEYIKKFRICIRWYMELEDGYLAEQGKLRNMLESEEKRHREIESRMRAEIDELDATIRELQDQNSSLQENLVNEETEKLVAIKSYENERQTRVAVENSQDALSLDLQRVNQENKRLSDQLKLLQETNRRLQEYNTSLQQYNSNL >Ma04_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6717696:6726447:-1 gene:Ma04_g09470 transcript:Ma04_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISHPPMEQLQDLEYCIDSNPPWVETILLAFQNYILMLGTSVMIPSLLVPLMGGTDADKVRVIQTLLFVSGINTLLQALFGTRLPTVVGGSFAYVIPILYIIRDSSLQRIPDQHERFLQTMRAIQGALIIASSLQIILGYSQLWGIFTRFFSPLGMAPVIGLVGLGLFERGFPAVGNCVEIGIPMLLMLIGLSQYLKHYRPFRDFPIFERFPVLICVTVIWIYSLILTAGGAYNHRPTKTQDSCRTDRANLISSAPWFKFPYPLQWGAPTFDAGHSVSMMAAVWVSVIESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAALYCVLFGLVASVGISFLQFTNMNSMRNLIITGLSLFLGISVPQYFNDTLVSSGHAPVNTRAGWFNGFLNTIFLSPPTVGLIVSVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Ma02_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18779308:18779508:1 gene:Ma02_g08400 transcript:Ma02_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCMEAVLLEGEKWSATKWIHVRSFDKPEKQGSREGRVDENVLCPQWAADGVCVKNPPYMVGRVK >Ma09_p28510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39237572:39240899:-1 gene:Ma09_g28510 transcript:Ma09_t28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSNMERKFESTPGNSDAHPKMLSSSVSRDLPADIVELILNRLSWPKALSLGRVSRTWRAAVQNYNPMGAQSPCLLHLRPGTVRLFSTVEQRCSLIRRPKLNGASIELPPLQIEGDVFVTLSSTPTARCLLLAEVRNKKESCFLSCRTGDDEWTTLDNLSDSFLVQFSALSDGKLYFAEDSFLFVVDSILRADSEPSLVDSWRFMDEGELSMYLIKCNSEVLVVFAAREDKNGPINDFSVFQLEQDTSLLNMKNRIYQRLLPQVSFVKVESLRDHAIFLGNIQSLCFPVENTGCRENCIYFTQPGDETAWHVFDMGNKRISDGPNLGFKASFRSLVWIEPGVV >Ma09_p28510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39237572:39240899:-1 gene:Ma09_g28510 transcript:Ma09_t28510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSNMERKFESTPGNSDAHPKMLSSSVSRDLPADIVELILNRLSWPKALSLGRVSRTWRAAVQNYNPMGAQSPCLLHLRPGTVRLFSTVEQRCSLIRRPKLNGECCGAYKSWLVLRHPSSNNMSIVNVLTGASIELPPLQIEGDVFVTLSSTPTARCLLLAEVRNKKESCFLSCRTGDDEWTTLDNLSDSFLVQFSALSDGKLYFAEDSFLFVVDSILRADSEPSLVDSWRFMDEGELSMYLIKCNSEVLVVFAAREDKNGPINDFSVFQLEQDTSLLNMKNRIYQRLLPQVSFVKVESLRDHAIFLGNIQSLCFPVENTGCRENCIYFTQPGDETAWHVFDMGNKRISDGPNLGFKASFRSLVWIEPGVV >Ma11_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25009006:25013995:-1 gene:Ma11_g20590 transcript:Ma11_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MAMACGRVAPLIPCPVRPLRRLPFVSPFFCSSSSSFSSFSFPRIFPIRPASRAKGVVASRRSPDQEPDAGRGDDFVVVSFYKFVVIENPQAEVAWHLAFLQGRDIHGRVYVNEQGINAQYSGPNEDALAYADWVKEDQRFSDILVQVSAASHGHAFPRLKLRYKPSLLEGGVSHLPLVDPSMRAYPLTPSDWRKRLLSVNNVSRPTHESDGQVSDRKCLLLDVRNGYEWDVGHFQGAQRPNVDCFRSTSFGLSEQEVASSDPLAGIDKEHTDILMYCTGGIRCDVYSTILRQKGFQNLYTLSGGVSNYLKNEGSAEWVGNLFVFDGRLSLPPSTYKPGANTNAAARPNMVHKNNTFARCYICGSEFLEFRHRNCANIDCNRLFLSCSSCVTQLSGCCCLHCTSAPRLRPVLRGHQRYQKWHMYRDGE >Ma02_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12826922:12855663:-1 gene:Ma02_g01970 transcript:Ma02_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRKRQRKRLRRVPAALRRVYGDLVQTLEAAILSLLPPPPSSPTECRCRGRLCLGCGTPAYLLRQDDPPDYRILLTRGLCVLSCDAPPPPRVFHGDDAPQRVLVRNARELILDNMLETMNVLCNGHDKESHFNIAGEFLCTSTWDLLLHRIGFQLMVFLLRFSSIFIPVRNKSYYQVTGHPMDKIFEGSKFLKTTSTSSNQQPFFPEAMQNMGCSRSLKRKQRDMSGRSNMGAAAVSVCSKSKDLGDKRDNGSKVSCGKFERVGGRTEVVQFDGGEPNIKILWDHDSSQNTLSKCGGPILHDSVFQSYFDKLHLEKLKSPCTKCHNNEKESICTDMPGLCLSRKYRKHRRLYSWQRRRKYKGPEENIPGKNNKIRLQMKFLDSDCSLCHWPSEPSVPASTEEDIMKDFELTASKDTEASREKCSHLMLSQDMCQGQITFSTKKTHHRPRSETNDASEGQHHASLKNLSIQPLDFAVSSLYNNRFTPNELFPEESDCSASKNQLNLHSHFEKVGSMCFYCLIMQSSQKVEIRDQIDRSFIFYNRSSAYTVFPKNHILNLLKPNDCSAIRLMKHIFGFPDRSKNFMTCTDCSIGCAIESQCL >Ma11_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18933364:18937792:-1 gene:Ma11_g13770 transcript:Ma11_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAVNPIPMAEGLPLSLPAALFSSVPPPSLLPFPRSSRPLRFPRLSRSSSLLPFRKILPLPPFVAQSSGWTLQAEEEEEEEEVEENELEDGGFGLEGLEGETEDGVEPYGEPAEEAKLFVGNLPYDMDSEKLAQLFDKAGVVEVAEVIYNRGTDQSRGFGFVSMSTIEEADKAVEMFHRSEISGRLLTVNKSAPRGRRAERTPRQFEPSLRIYVGNLPWQVDDGRLGQIFSEHGKVLEARVVYDRETGRSRGFGFVKMAAQSEMDDAIAALDGQSFDGRALRVNVAEERPRRAAF >Ma04_p37680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35465592:35465965:-1 gene:Ma04_g37680 transcript:Ma04_t37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLQQRQGGDAFKANKQRTYALELGDPIEAASLQELTSQAKYTDDTWCLIRHISVSGIATPDDIWKNSIKRNAFI >Ma04_p21250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23799044:23845654:1 gene:Ma04_g21250 transcript:Ma04_t21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDPTGDPPSFLDGRSIPEFEAPGPLLFLPLLFIQGGGMDLSKVGEKIISSVRSARSLGLLPSSSDRPEVPARAAAAAAVARALAGTPPHEKISFLSSSEVVSIYGSRSQGQTIDELEEDFYEEDFDPVRYVLENVPSEESDSTYFDKKSTLRLAQLDKIAERLSRHVMEHHEEMVKGMQLVMELEQDLKVANVICMNGRRHLTSSINEVSRDLVVNKKSRKKQALLDMLPILTELRHSLDIQMELETLVENGKYCQAFQLLPEYLQVLDNYAQLSVIQEMGRGIEAWLARTIQKLDSHLLGVCKTFEEESYIVAIDAYALMGDVTGLAEKIQSFFMQEVLSQTHSVLKAMVYEEIGNLTQTSRLTYSDLCIQIPESRFRQCLLRTLDVLFRLMCSYYSIMSFQPEEKRLNSQNRNIDMRQSNTSHDLKGIIVDSVTSVPIDSIENSECASVTSNQVDGYDVPHKITEDPVTMPQSYCGLSAEASDADGATSGCNSPFYQLRKDATAFVAHTLERGRRNVWQLTSSRVSVLLSSSAICSTSTYQFLRNYEDLNIFILAGEAFCGAKAVEFRQKLKTTCESYLASFHRQNVYALKMVLEKESWVKMSSDMLQVINLAGLVGDGAPLIASSLGNTSMSMLDSKRTNDLVDAGKQKNGFAYWLQMENPFSSKLAFGCKESPRSHLPPNGSMTSSSGDGRVILHSDQISSKGHLDDHINGSSSVMEDENEDLLADFIDEDSQLPSRISKPTLVRTKSSGWSSEEISAQTGSSLCLLRLMDKYARLMQKLEIVSIDFFKGMCQLFGIFYHHIFETFGQPETSQSGKSIPDFSQTRVKTALSKILQDCDQWIRTQSMSYSISSPIPMSPTFTQMEVTPTAPPSTIFGHVPNTSIGLKERCSAVDTISLVAQVLHRSKAHLQSMLLQHNAAVVEEFFVNMVDSVPDLTEHIHRTTARMLLHINGYADKIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLMHGGISKEVQDLLLEYGLENVAEILIEGLSRVKRCTDEGRVLMSLDLQVLINGLQHFVTINVKPKLQIVEVFIKAYYLPETEYVHWARAHPEYSKSQINGLVNLVATMKGWKRKTRLEVLERIEAGT >Ma04_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23799044:23845654:1 gene:Ma04_g21250 transcript:Ma04_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDPTGDPPSFLDGRSIPEFEAPGPLLFLPLLFIQGGGMDLSKVGEKIISSVRSARSLGLLPSSSDRPEVPARAAAAAAVARALAGTPPHEKISFLSSSEVVSIYGSRSQGQTIDELEEDFYEEDFDPVRYVLENVPSEESDSTYFDKKSTLRLAQLDKIAERLSRHVMEHHEEMVKGMQLVMELEQDLKVANVICMNGRRHLTSSINEVSRDLVVNKKSRKKQALLDMLPILTELRHSLDIQMELETLVENGKYCQAFQLLPEYLQVLDNYAQLSVIQEMGRGIEAWLARTIQKLDSHLLGVCKTFEEESYIVAIDAYALMGDVTGLAEKIQSFFMQEVLSQTHSVLKAMVYEEIGNLTQTSRLTYSDLCIQIPESRFRQCLLRTLDVLFRLMCSYYSIMSFQPEEKVRKRLNSQNRNIDMRQSNTSHDLKGIIVDSVTSVPIDSIENSECASVTSNQVDGYDVPHKITEDPVTMPQSYCGLSAEASDADGATSGCNSPFYQLRKDATAFVAHTLERGRRNVWQLTSSRVSVLLSSSAICSTSTYQFLRNYEDLNIFILAGEAFCGAKAVEFRQKLKTTCESYLASFHRQNVYALKMVLEKESWVKMSSDMLQVINLAGLVGDGAPLIASSLGNTSMSMLDSKRTNDLVDAGKQKNGFAYWLQMENPFSSKLAFGCKESPRSHLPPNGSMTSSSGDGRVILHSDQISSKGHLDDHINGSSSVMEDENEDLLADFIDEDSQLPSRISKPTLVRTKSSGWSSEEISAQTGSSLCLLRLMDKYARLMQKLEIVSIDFFKGMCQLFGIFYHHIFETFGQPETSQSGKSIPDFSQTRVKTALSKILQDCDQWIRTQSMSYSISSPIPMSPTFTQMEVTPTAPPSTIFGHVPNTSIGLKERCSAVDTISLVAQVLHRSKAHLQSMLLQHNAAVVEEFFVNMVDSVPDLTEHIHRTTARMLLHINGYADKIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLMHGGISKEVQDLLLEYGLENVAEILIEGLSRVKRCTDEGRVLMSLDLQVLINGLQHFVTINVKPKLQIVEVFIKAYYLPETEYVHWARAHPEYSKSQINGLVNLVATMKGWKRKTRLEVLERIEAGT >Ma04_p21250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23799044:23845654:1 gene:Ma04_g21250 transcript:Ma04_t21250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDPTGDPPSFLDGRSIPEFEAPGPLLFLPLLFIQGGGMDLSKVGEKIISSVRSARSLGLLPSSSDRPEVPARAAAAAAVARALAGTPPHEKISFLSSSEVVSIYGSRSQGQTIDELEEDFYEEDFDPVRYVLENVPSEESDSTYFDKKSTLRLAQLDKIAERLSRHVMEHHEEMVKGMQLVMELEQDLKVANVICMNGRRHLTSSINEVSRDLVVNKKSRKKQALLDMLPILTELRHSLDIQMELETLVENGKYCQAFQLLPEYLQVLDNYAQLSVIQEMGRGIEAWLARTIQKLDSHLLGVCKTFEEESYIVAIDAYALMGDVTGLAEKIQSFFMQEVLSQTHSVLKAMVYEEIGNLTQTSRLTYSDLCIQIPESRFRQCLLRTLDVLFRLMCSYYSIMSFQPEEKVDGYDVPHKITEDPVTMPQSYCGLSAEASDADGATSGCNSPFYQLRKDATAFVAHTLERGRRNVWQLTSSRVSVLLSSSAICSTSTYQFLRNYEDLNIFILAGEAFCGAKAVEFRQKLKTTCESYLASFHRQNVYALKMVLEKESWVKMSSDMLQVINLAGLVGDGAPLIASSLGNTSMSMLDSKRTNDLVDAGKQKNGFAYWLQMENPFSSKLAFGCKESPRSHLPPNGSMTSSSGDGRVILHSDQISSKGHLDDHINGSSSVMEDENEDLLADFIDEDSQLPSRISKPTLVRTKSSGWSSEEISAQTGSSLCLLRLMDKYARLMQKLEIVSIDFFKGMCQLFGIFYHHIFETFGQPETSQSGKSIPDFSQTRVKTALSKILQDCDQWIRTQSMSYSISSPIPMSPTFTQMEVTPTAPPSTIFGHVPNTSIGLKERCSAVDTISLVAQVLHRSKAHLQSMLLQHNAAVVEEFFVNMVDSVPDLTEHIHRTTARMLLHINGYADKIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLMHGGISKEVQDLLLEYGLENVAEILIEGLSRVKRCTDEGRVLMSLDLQVLINGLQHFVTINVKPKLQIVEVFIKAYYLPETEYVHWARAHPEYSKSQINGLVNLVATMKGWKRKTRLEVLERIEAGT >Ma04_p21250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23799044:23845654:1 gene:Ma04_g21250 transcript:Ma04_t21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDPTGDPPSFLDGRSIPEFEAPGPLLFLPLLFIQGGGMDLSKVGEKIISSVRSARSLGLLPSSSDRPEVPARAAAAAAVARALAGTPPHEKISFLSSSEVVSIYGSRSQGQTIDELEEDFYEEDFDPVRYVLENVPSEESDSTYFDKKSTLRLAQLDKIAERLSRHVMEHHEEMVKGMQLVMELEQDLKVANVICMNGRRHLTSSINEVSRDLVVNKKSRKKQALLDMLPILTELRHSLDIQMELETLVENGKYCQAFQLLPEYLQVLDNYAQLSVIQEMGRGIEAWLARTIQKLDSHLLGVCKTFEEESYIVAIDAYALMGDVTGLAEKIQSFFMQEVLSQTHSVLKAMVYEEIGNLTQTSRLTYSDLCIQIPESRFRQCLLRTLDVLFRLMCSYYSIMSFQPEEKVRKRLNSQNRNIDMRQSNTSHDLKGIIVDSVTSVPIDSIENSECASVTSNQVDGYDVPHKITEDPVTMPQSYCGLSAEASDADGATSGCNSPFYQLRKDATAFVAHTLERGRRNVWQLTSSRVSVLLSSSAICSTSTYQFLRNYEDLNIFILAGEAFCGAKAVEFRQKLKTTCESYLASFHRQNVYALKMVLEKESWVKMSSDMLQVINLAGLVGDGAPLIASSLGNTSMSMLDSKRTNDLVDAGKQKNGFAYWLQMENPFSSKLAFGCKESPRSHLPPNGSMTSSSGDGRVILHSDQISSKGHLDDHINGSSSVMEDENEDLLADFIDEDSQLPSRISKPTLVRTKSSGWSSEEISAQTGSSLCLLRLMDKYARLMQKLEIVSIDFFKGMCQLFGIFYHHIFETFGQPETSQSGKSIPDFSQTRVKTALSKILQDCDQWIRTQSMSYSISSPIPMSPTFTQMEVTPTAPPSTIFGHVPNTSIGLKERCSAVDTISLVAQVLHRSKAHLQSMLLQHNAAVVEEFFVNMVDSVPDLTEHIHRTTARMLLHINGYADKIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLMHGGISKEVQDLLLEYGLENVAEILIEGLSRVKRCTDEGRVLMSLDLQVLINGLQHFVTINVKPKLQIVEVFIKAYYLPETEYVHWARAHPICVESAGIQ >Ma04_p21250.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23799044:23845654:1 gene:Ma04_g21250 transcript:Ma04_t21250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDPTGDPPSFLDGRSIPEFEAPGPLLFLPLLFIQGGGMDLSKVGEKIISSVRSARSLGLLPSSSDRPEVPARAAAAAAVARALAGTPPHEKISFLSSSEVVSIYGSRSQGQTIDELEEDFYEEDFDPVRYVLENVPSEESDSTYFDKKSTLRLAQLDKIAERLSRHVMEHHEEMVKGMQLVMELEQDLKVANVICMNGRRHLTSSINEVSRDLVVNKKSRKKQALLDMLPILTELRHSLDIQMELETLVENGKYCQAFQLLPEYLQVLDNYAQLSVIQEMGRGIEAWLARTIQKLDSHLLGVCKTFEEESYIVAIDAYALMGDVTGLAEKIQSFFMQEVLSQTHSVLKAMVYEEIGNLTQTSRLTYSDLCIQIPESRFRQCLLRTLDVLFRLMCSYYSIMSFQPEEKVRKVDGYDVPHKITEDPVTMPQSYCGLSAEASDADGATSGCNSPFYQLRKDATAFVAHTLERGRRNVWQLTSSRVSVLLSSSAICSTSTYQFLRNYEDLNIFILAGEAFCGAKAVEFRQKLKTTCESYLASFHRQNVYALKMVLEKESWVKMSSDMLQVINLAGLVGDGAPLIASSLGNTSMSMLDSKRTNDLVDAGKQKNGFAYWLQMENPFSSKLAFGCKESPRSHLPPNGSMTSSSGDGRVILHSDQISSKGHLDDHINGSSSVMEDENEDLLADFIDEDSQLPSRISKPTLVRTKSSGWSSEEISAQTGSSLCLLRLMDKYARLMQKLEIVSIDFFKGMCQLFGIFYHHIFETFGQPETSQSGKSIPDFSQTRVKTALSKILQDCDQWIRTQSMSYSISSPIPMSPTFTQMEVTPTAPPSTIFGHVPNTSIGLKERCSAVDTISLVAQVLHRSKAHLQSMLLQHNAAVVEEFFVNMVDSVPDLTEHIHRTTARMLLHINGYADKIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLMHGGISKEVQDLLLEYGLENVAEILIEGLSRVKRCTDEGRVLMSLDLQVLINGLQHFVTINVKPKLQIVEVFIKAYYLPETEYVHWARAHPEYSKSQINGLVNLVATMKGWKRKTRLEVLERIEAGT >Ma08_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3719246:3724188:-1 gene:Ma08_g05440 transcript:Ma08_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKGMRAENPRAVWDYCRCCLFMAPRIRWRWAPLGFLARSPSAVSFLLGSLAASGLVAVAFFWLVISSSRRSDPTLVGCRPDSEGSWSVGVYYGKSPFSLSPIELLGSSNANNSAWPIANPVLTCASPSRAGHPSNFVADPFLYVQDSVLYLFFESKSTTTMKGDIGVARSFDQGGTWEFLGIALEEDWHLSYPFVFSYQNQIYMMPEGNKKGELRLYRAVNFPLKWTLEKVLIRKPLVDASLIQYEGYYWLFASDFTRFGIEKNAELEIWYSSSPLGPWKQHPKNPIYRADKSLGARNGGRPFIYEGSLYRLGQDCGGTYGRRIQIYKVEKLNREEFKEVPVKLGIKEPKKGRNAWNGIRYHHLDAQQLPSGNWIAVMDGDRVPSGDSTTRFLLGCTFTLLLLLLIMSIGFFVGAVSCCVTSSRRNESSWLRTRPHINLRARRYLAGMNRYGSSIRARVNPKTCSGKVVLSFLVLVGIAFACTSVHFLLGGNGAEEAYMFGGQYSQFTMVTMTYEARIWNLKLYVKHYSRCESVREIVVVWNKGKPPSEEEFDSVVPVRIRVEELNSLNNRFKVDPLIKTRAVLELDDDIMMACDDIEKGFRVWRENPDRLVGFYPRLVDGSPLQYRNERYARGKNGYNVILTGAAFMDSEYAFKRYGSQEATEGRALVNQFFNCEDLLMNFLYSNASSERTVEYVHPAWAIDTSKLSTAAISRNTQVHYDIRTNCLSKFSALYGALPSKWEFASRADGWDR >Ma01_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4827571:4829051:-1 gene:Ma01_g06720 transcript:Ma01_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFTSSFLVLVILLLSSFAANGGSETTRAHGELKQKPCHLHFYFHDILDGRNATAVRVTNPPMVTVIDDLLTEGPELTSTPVGRAQGFYASACLQEVAFLRAMNLEMAVVEGTGLFLFARDYDLAKTHRLDANAGDAIVEYDQKLNSADEFSPYVSSSSGAIFYDVDAIFFKAIAIDLLST >Ma10_p27920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34980372:34984302:-1 gene:Ma10_g27920 transcript:Ma10_t27920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRREVAATKAVEQDVRGGRVPLIVKEFVAGGVAGGVAKTAVAPLERVKILFQTRRAEFHTVGLLGSFQKILQTEGLLGFYRGNGASVARIVPYAALHYMAYEQYRRWIILGFPDVGKGPVLDLVAGSIAGGTAVIFTYPLDLVRTKLAYQVVGPSRLKNKGPYHSSEQVYRGILDCILKIHRQNGLRGLYRGVGPSLYGIFPYSGLKFYFYEELKRHIPEEHKQDVIMKLACGSLAALLGQTITYPLDVVRRQMQVQALSTSNNKSGKGTFESLVMISQTQGWRQLFSGLSINYLKVVPSVAIGFTVYDIMKSWLKVPSRDEAAAASAVTENKSNHPSSLHTS >Ma10_p27920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34980372:34984302:-1 gene:Ma10_g27920 transcript:Ma10_t27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRREVAATKAVEQDVRGGRVPLIVKEFVAGGVAGGVAKTAVAPLERVKILFQTRRAEFHTVGLLGSFQKILQTEGLLGFYRGNGASVARIVPYAALHYMAYEQYRRWIILGFPDVGKGPVLDLVAGSIAGGTAVIFTYPLDLVRTKLAYQVVGPSRLKNKGPYHSSEQVYRGILDCILKIHRQNGLRGLYRGVGPSLYGIFPYSGLKFYFYEELKRHIPEEHKQDVIMKLACGSLAALLGQTITYPLDVVRRQMQVQALSTSNNKSGKGTFESLVMISQTQGWRQLFSGLSINYLKVVPSVAIGFTVYDIMKSWLKVPSRDEAAAASAVTENKSNHPSSLHTS >Ma06_p35910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35606838:35612045:-1 gene:Ma06_g35910 transcript:Ma06_t35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREYEAALNCLSSLITRRSRAARGNKGDRYDLMFDYLKILELEDAISQLKIIHVAGTKGKGSTCTFTESILRCCGFRTGLFTSPHLIDVRERFRLDGVKVSEEKFLEHFWWCWNRLQEKNGDNLPMPTYFRFLALLAFKIFSAEQQVDVAIVEVGLGGKFDATNVVKEPIVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAWTVPQPEEAMRMLEEKASQLGVPLQVVSPLDHGLLKNQHLGLDGEHQCLNAGLAIALSSVWLKTTGNLQGMQIDQNNLPEQFVRGLSRASLEGRAQVVSDSSLGQQQNSNLGGLTFYLDGAHSPESLEVCAKWFSRVIREDPSHLEEQIHKKHHPGRGGHPNSLGGKEHLQMLLFNCMSVRNPQLLLPRLVNTCSQHGVKFHKALFVPNQSAYNKVGSHASPPTDPQQVDLSWQLTLQRVWENLIHGEQEFVELC >Ma06_p35910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35606024:35612045:-1 gene:Ma06_g35910 transcript:Ma06_t35910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAREYEAALNCLSSLITRRSRAARGNKGDRYDLMFDYLKILELEDAISQLKIIHVAGTKGKGSTCTFTESILRCCGFRTGLFTSPHLIDVRERFRLDGVKVSEEKFLEHFWWCWNRLQEKNGDNLPMPTYFRFLALLAFKIFSAEQVKEPIVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAWTVPQPEEAMRMLEEKASQLGVPLQVVSPLDHGLLKNQHLGLDGEHQCLNAGLAIALSSVWLKTTGNLQGMQIDQNNLPEQFVRGLSRASLEGRAQVVSDSSLGQQQNSNLGGLTFYLDGAHSPESLEVCAKWFSRVIREDPSHLEEQIHKKHHPGRGGHPNSLGGKEHLQMLLFNCMSVRNPQLLLPRLVNTCSQHGVKFHKALFVPNQSAYNKVGSHASPPTDPQQVDLSWQLTLQRVWENLIHGEQGSSCANAYGGSLVFPSLPLALNWLRESVQRRRSVQVQVLVTGSLHLVGDVLRLIRK >Ma06_p35910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35605990:35612067:-1 gene:Ma06_g35910 transcript:Ma06_t35910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREYEAALNCLSSLITRRSRAARGNKGDRYDLMFDYLKILELEDAISQLKIIHVAGTKGKGSTCTFTESILRCCGFRTGLFTSPHLIDVRERFRLDGVKVSEEKFLEHFWWCWNRLQEKNGDNLPMPTYFRFLALLAFKIFSAEQVDVAIVEVGLGGKFDATNVVKEPIVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAWTVPQPEEAMRMLEEKASQLGVPLQVVSPLDHGLLKNQHLGLDGEHQCLNAGLAIALSSVWLKTTGNLQGMQIDQNNLPEQFVRGLSRASLEGRAQVVSDSSLGQQQNSNLGGLTFYLDGAHSPESLEVCAKWFSRVIREDPSHLEEQIHKKHHPGRGGHPNSLGGKEHLQMLLFNCMSVRNPQLLLPRLVNTCSQHGVKFHKALFVPNQSAYNKVGSHASPPTDPQQVDLSWQLTLQRVWENLIHGEQGSSCANAYGGSLVFPSLPLALNWLRESVQRRRSVQVQVLVTGSLHLVGDVLRLIRK >Ma06_p35910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35606024:35612045:-1 gene:Ma06_g35910 transcript:Ma06_t35910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAREYEAALNCLSSLITRRSRAARGNKGDRYDLMFDYLKILELEDAISQLKIIHVAGTKGKGSTCTFTESILRCCGFRTGLFTSPHLIDVRERFRLDGVKVSEEKFLEHFWWCWNRLQEKNGDNLPMPTYFRFLALLAFKIFSAEQQVDVAIVEVGLGGKFDATNVVKEPIVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAWTVPQPEEAMRMLEEKASQLGVPLQVVSPLDHGLLKNQHLGLDGEHQCLNAGLAIALSSVWLKTTGNLQGMQIDQNNLPEQFVRGLSRASLEGRAQVVSDSSLGQQQNSNLGGLTFYLDGAHSPESLEVCAKWFSRVIREDPSHLEEQIHKKHHPGRGGHPNSLGGKEHLQMLLFNCMSVRNPQLLLPRLVNTCSQHGVKFHKALFVPNQSAYNKVGSHASPPTDPQQVDLSWQLTLQRVWENLIHGEQGSSCANAYGGSLVFPSLPLALNWLRESVQRRRSVQVQVLVTGSLHLVGDVLRLIRK >Ma11_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25517555:25518222:-1 gene:Ma11_g21320 transcript:Ma11_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNHHIEVEDDSEMKEKRDWSGRLPRLSMGRCSRCGQPLDDSDQVMSSSSMASAERERRPDRALETKGSHAAPAECQLVVRVPGGSGPISLNKEEVKACRDLMIGFPCGHLRVELPVAFSDMQADAAGTCDDLSPVANWKIASPDDDPEKMKARIKVWAQAVVLMSAMKSPR >Ma01_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17256942:17258226:1 gene:Ma01_g20200 transcript:Ma01_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNKLHISSLLLVLTVLCPRTTGQLPKAASFIYAGCSPSKYDPNSPFQNNLTPVLTSIVSGASQASYSSYKSGDDSSGAAAYGLYQCRNDLNAGDCSACVQSAVGQLNLVCAASFAASLQLDGCFVRYSNEDFLGKPDTTMVYRKCSTSTSNDGEFFRRRDDVLADLQTGVSFRVSSSGTVQGYVQCLGDLSAADCSACLAQAVGQLKNACGSALAADVYLAQCFARYWASGHYLPSSADNSEDDIGRTVAIIVGILAGLALIVVFLSFLRKTC >Ma06_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1852635:1857940:-1 gene:Ma06_g02390 transcript:Ma06_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDASPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKVWDAIIGTELHSFEHKHIVRACAFSEDTHLLLTGGIEKILRTFDLNRPDAPPREIDKSPGSIRTVAWLHSDQTILSSCTDIGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSSVKFWDANHFGLVKSYNMPCTVESASLEPKDGNKFVAGGEDMWVHVFDFHTGVEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQMGPTATDDNEPYGTNGPTGKAKVGVNEVSHKIEGFHITKDGPSEKSTVT >Ma07_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12049353:12050694:1 gene:Ma07_g15850 transcript:Ma07_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTEKPAETATEAAGGGGGGQGALPAEPVGAAQDPYYDWRRDAIEGGSLRHVDLHTGTNGWASPPGDLFHLRGPNYFSRRQKCPSGEWLLRPAGVDWLRSTSRLDNVLGRPDNRVAGALRRARALGVARKAFIFAVNLQVPGRECHSAVFYFAAEEPIPPGSLFYRFVHGDDAFRNARFKIVNRIVKGPWIVRAAVGNHAACLLGKALTCKYLLGEDYLEIDVDIGSSALANAILHLALGCVTAVTIDMGFLVEAQAEEELPERLLGAVRVAQMEMSSATYVETKPKAAEAGKGGFRGLAKVNHHQDSSSTSKPSRSGDGKERNEG >Ma03_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8257160:8261562:-1 gene:Ma03_g10940 transcript:Ma03_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLESSRTIRRFRVEGLLESSRIYLGCLTPWKSTTSRVSGSQKRPFVTKNLLGSAKNLIFRHNSTCSSVRHLSLVEFRGFCTDVVPKESQTISQKTLAVAEVQAALVDYLHGTRGILSDDAEHISKHCPVFLAKLLKKVENEDNIGNAMSRFFHYHPINEFEPFFESIGLTSDEISSLLPRDLMFLSDDKKLIENYNGLCNYGIAHGKIGKIYKEATEVFRCHFRVLESKLGAYEGLGLSKSTIIKVVASSPILLIGGVNDEFVKVLEELENIGIQRDWIGGVLSEKNAYNWSHMLVLLQFFIELGFTKEALGDLIRRHPGFMLDGSGSAIFLLVGLLLKSGGTKKKLFSLFSQFPNVQFGIFMGNLWHGVMFLVKIEMETADIHKILLSHTEMLGSCPLKAPETIITELLVRPKQLCQIIKEDPDQLKKYALGIKVKPLRTKAKPIAKSNEHEQSLREKRKFLVHLGFIEDSKEMEKALTLCRGKGDELQDRYDFLVKLGLDPNDVSNMIKVYPHVLNQKIDVLESKISFLTNDLGYPVSSLVAFPSYLCYTVQRVSLRLSMYDWLKGRGKVKCRLALSSILACSDKKFMKRFVNVDPEGPKVWEDLKNSCKPALSSS >Ma09_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3977785:3978119:-1 gene:Ma09_g06190 transcript:Ma09_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESLTKVHDAFKVTGDFGEVLDQSSTFMVKSLLSVETIFNGTNVLLVPLFYPVYLADTGITAKGSAPCRCQLL >Ma06_p30970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32196815:32197685:1 gene:Ma06_g30970 transcript:Ma06_t30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQKYECSYCSPPLLPPSPPPLPPPQPQPPCSPPLAPKPPPYSPPLAPIAPPCNPPPAPTPPPYSPPLAPTPPPYSPPVVPAPPPYSPPVVPAPPPYSPPVVTAPPPYSPPVVPAPPPYSPPPPGHTTVIVVSVCLGGLFFLAFLAAALFFYRKKKKEIVEETEVVDVVEHVHVQEAIVPGLCGPEVVVLSVDEDIEIREEVKKTEVT >Ma02_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8010765:8011734:-1 gene:Ma02_g01140 transcript:Ma02_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVGRKEEEEKMEVEPKTGVSFPAKLSDGKQLCATGLRRRKLLALGINIYAFGMYVDIDARLKELLKAKFGEAAERPCKELYEAVIDGDVGIVVRLVIVFKGLTMSMVRKNFDEGLGGSLKKLTGGQKNEELIKKVMAAAKDGTKLPPGSVIEITRLPGHVLQAKVKDELMSKVESELLCRAYFHMYLGDDPFDKEAKERFGRTLVSSLSAP >Ma11_p17940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23123659:23130135:1 gene:Ma11_g17940 transcript:Ma11_t17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIWGPPGSTHASVNDFQSTCISSGSEPLPKGIVCQTSDMEMVPLWGPPNGKENNILQKSLLAMPVGIKQKEIVNQIIIKFASSDFTIILFHYDGVVDEWKDLQWSGSALHISAINQTKWWFAKRFLHPDIVAPYKYVFLWDEDLEVENFHPEKYLAIVEREGLEISQPALEPAKSRIHHQITVRSRKGDVHRRMYKFNGGSKCYQNSSAPPCTGWVELMAPVFSRAAWRCAWHMIQSDLIHAWGLDMKLGYCAQGDRSKNVGVVDSEYIVHKGLPTLGWFDEKTVSSERPAANNRYAVRQRSYVESEIFRKRWQKAVSEDNCWSDPYPEPKTNPGQ >Ma11_p17940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23123662:23130135:1 gene:Ma11_g17940 transcript:Ma11_t17940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVTDPSSRRLCFCSISHAAAFLCFVFILGTSFVVFDYEEKVSTGASTDFVGITRVTSEIGLSNLQARQADSWSHDTNLGTTGFNSCKSTCISSGSEPLPKGIVCQTSDMEMVPLWGPPNGKENNILQKSLLAMPVGIKQKEIVNQIIIKFASSDFTIILFHYDGVVDEWKDLQWSGSALHISAINQTKWWFAKRFLHPDIVAPYKYVFLWDEDLEVENFHPEKYLAIVEREGLEISQPALEPAKSRIHHQITVRSRKGDVHRRMYKFNGGSKCYQNSSAPPCTGWVELMAPVFSRAAWRCAWHMIQSDLIHAWGLDMKLGYCAQGDRSKNVGVVDSEYIVHKGLPTLGWFDEKTVSSERPAANNRYAVRQRSYVESEIFRKRWQKAVSEDNCWSDPYPEPKTNPGQ >Ma01_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:705020:711196:1 gene:Ma01_g00990 transcript:Ma01_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKAISLDEIKNETVDLERIPIEEVFEQLKCTREGLSLTEGANRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGSGKPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWMEEDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMMVEIVVMYAIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEHVVLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDADGNWHRVSKGAPEQILSLCNCKEDVRNKVHSVIDKFAERGLRSLAVARQEVPEKSKESPGRPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQNKDASIAALPVDELIEKADGFAGVFPEHKYEIVKKLQEKKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFATGVVFGSYLALMTVIFFWAMKETDFFSDKFKVRSLRQSEDEMMSALYLQVSIVSQALIFVTRSRGWCFIERPGLLLVSAFIIAQLVATLIAVYADWGFARIKGIGWGWAGVIWLYSVVFFFPLDWFKFAIRYILSGKAWDNLLENKTAFTTKKDYGREEREAQWAMAQRTLHGLQPPETANIFSEKSSYRELSEIAEQAKRRAEIARIRELNTLKGHVESVVKLKGLDIDNIQQHYTV >Ma02_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26015354:26015890:1 gene:Ma02_g19890 transcript:Ma02_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTFRQSAIATDNCDDENRPPTVFDVDYFCTVTSLIQQEKDEDLLRLAMKKDPPLNFMEDPVLNVVIACKKTDLAKRLIQSMPAEKPGPLWYANYHDNTALHVAATVGDLDVAEALLRKNSGLVSARNRKNETPLHKAALYGHETMFRDLVKMGSHDPYERREDGATVLCHHGQRAG >Ma10_p27360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34695463:34704004:1 gene:Ma10_g27360 transcript:Ma10_t27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPQLAGHGGQRTLADIDADSLAHCFGFLAIRDVANLAMTCKPLCRVAYSDSVWNRLFREQWPHLSVSSGAPGVREQYLARHMALHQLKFDDPSEIYFNTTYSIPASHILLDRNAILLAQGSSIQRFQVNSSEIKDQELWTAHGARITCMRLFPIEETSLFRNVMQYEDNVLVTSSSDRTIRLWWKGRSQRCFRGHNGPVTTLADTLLGNSGSKVLASGGEDCTVRLWSVGASGKQHPLLTYHGHEKPLSFLTVARHRTSLLVSISRDSRVRVWDTSASSSSSLSSCVGMTSVSGSPVALKCYDTLCYVAAGASVTAIDLRTMRKAFTVALHGPKLYSFEMLPSKWLICSGGQDKALLWDIRKNQENPEPVAELELNHNRVTFLHMDPYKVVAGGPFGYKVNVWETSTGCLANSLDCRVLGETEELAGLSAMAVDGCRIVTGGCSEVPGFVYYRDFSNCYVPSSLVDDNSGSKFWESDLPGV >Ma01_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21978950:21979611:1 gene:Ma01_g21830 transcript:Ma01_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVETLRPTMEGTVLAPAEAAGGKESAATMAELGKEKRFRGVRKRPWGRFAAEIRDPWKKTRKWLGTFDTAEEAARAYDAAARSLRGPKAKTNFGCSAAVPSPQIGWRMASPWQLSFPDPASAPSGRDLFLRPPAVAVARGSKFSGYGFEAVKMMMTRVEEEEQEAAKEKKRFCFDLNLPPPPM >Ma10_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11372217:11373871:-1 gene:Ma10_g03120 transcript:Ma10_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLLRQPRWVLVAVAPALLFLSLVVPFSAAKLTTGYYRKSCPRVEQIVSDVVTNKQISSPTTAAGALRLFFHDCFVGGCDASVLVSTNAFNRAERDADINLSLPGDAFDAVVRAKTALELQCPGTVSCADILALATRDLVSMLGGPFYAVPLGRKDGLASHAASVEGNLPRPNMTMDAMIALFAAKGFTEQEMVALAGAHTVGFSHCKEFAARIFRYKAGEPSAFDPSMDPRFAQALQKACSKYLEDDTIATFNDVMTPGKFDNMYYLNLKRGLGLLASDHALVADRRTKPLVDLYAANQSAFFRDFSRAMLKLGLVGVKTGRKGEVRRRCRDFNNLST >Ma08_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9400868:9413433:1 gene:Ma08_g12430 transcript:Ma08_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPEDPVLSKKSGLLFERRLIEHHIASHGKCPITKEELTMDDLIPVKTNKVVKPRPLQAASIPGLLGMFQNEWDALMLSSFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARMLLAQAERQIPLLAASAANATTAVSNGKRAAEDDLGPDGKKIRPGINPVIIAELTDCNALLSGQRKKRQIPTTLASVDALERYTQISSHPLHKTNKPGILSIDIDASKDIIATGGIDTNGVLFNRASGQMLSTLSGHSKKVTSVKFVPGNELIVTGSSDKTVRVWQGTENGNYDCQHVMRDHTAEVQAVTVHATQKYFVTASMDNTWCFYDLSTGSCLTQVGEASTEEGYTSASFHPDGLILGTGTTGAIVKIWDVKSQSNVAKFDGHVGSVTAMSFSENGYFLATAAMDGVKLWDLRKLRNFRSFAPYDPETPTNYVEFDLSGSYLAIAGSDVRVYQVANVKLEWNLIKILPDLSGTGKVSCVKFGADAKYMAVGSMDRNLRIFGLPGDESEEPKSPDH >Ma03_p13240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10257662:10261688:-1 gene:Ma03_g13240 transcript:Ma03_t13240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLSSWPWENMGSYKYMLYGPLVAKAACEWRDGRSVGWSLHLLILFGLRSLTYQLWYSFTNMLFFTRKRRVINEGVDFKQIDNEWNWDNFLILQALLGSMISHHAPPLEELPILGLQGCIIALLLHVGVSEPVFYLANRWFHSGSLFTHYHSIQHASPVPTPMTAGFGTPLEHLVLGAVMAAPLLGAFLMGCGSVGLVYGYVFVFDFLRCMGYSNVEVFPVRLLQALPFLRYLIYSPTYLSLHHKEKNCNYCLFMPLFDLLGKTVNSRTWDLQREISAGKNDRVPDFVFLAHVVDIFSSVHVPFVFRSASSMPFFTNPLLFFLWPLAFFSMLVMWALSKTFLLSFYNLRGRLHQTWVVPRYGFQYFLPFARKGINNQIELAILRADKMGVKVLSLAALNKNESLNGGGTLFVSKHPDLRVRVVHGNTLTAAVMLNEIPRDAKEVFLTGATSKLGRAIALYLCRKNIRVLMLTLSAERFLNIQKEAPADCQHHLVQVTKCQAAQNCKTWIVGKWMSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGSLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHYLEGWTHHEVGAIDVDRIDVVWKAALKHGLTPV >Ma03_p13240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10257662:10261688:-1 gene:Ma03_g13240 transcript:Ma03_t13240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLSSWPWENMGSYKYMLYGPLVAKAACEWRDGRSVGWSLHLLILFGLRSLTYQLWYSFTNMLFFTRKRRVINEGVDFKQIDNEWNWDNFLILQALLGSMISHHAPPLEELPILGLQGCIIALLLHVGVSEPVFYLANRWFHSGSLFTHYHSIQHASPVPTPMTAGFGTPLEHLVLGAVMAAPLLGAFLMGCGSVGLVYGYVFVFDFLRCMGYSNVEVFPVRLLQALPFLRYLIYSPTYLSLHHKEKNCNYCLFMPLFDLLGKTVNSRTWDLQREISAGKNDRVPDFVFLAHVVDIFSSVHVPFVFRSASSMPFFTNPLLFFLWPLAFFSMLVMWALSKTFLLSFYNLRGRLHQTWVVPRYGFQVVVRPQVLLSSPLCCHTKTNRADPSFDWLQYFLPFARKGINNQIELAILRADKMGVKVLSLAALNKNESLNGGGTLFVSKHPDLRVRVVHGNTLTAAVMLNEIPRDAKEVFLTGATSKLGRAIALYLCRKNIRVLMLTLSAERFLNIQKEAPADCQHHLVQVTKCQAAQNCKTWIVGKWMSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGSLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHYLEGWTHHEVGAIDVDRIDVVWKAALKHGLTPV >Ma03_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10257662:10261688:-1 gene:Ma03_g13240 transcript:Ma03_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLSSWPWENMGSYKYMLYGPLVAKAACEWRDGRSVGWSLHLLILFGLRSLTYQLWYSFTNMLFFTRKRRVINEGVDFKQIDNEWNWDNFLILQALLGSMISHHAPPLEELPILGLQGCIIALLLHVGVSEPVFYLANRWFHSGSLFTHYHSIQHASPVPTPMTAGFGTPLEHLVLGAVMAAPLLGAFLMGCGSVGLVYGYVFVFDFLRCMGYSNVEVFPVRLLQALPFLRYLIYSPTYLSLHHKEKNCNYCLFMPLFDLLGKTVNSRTWDLQREISAGKNDRVPDFVFLAHVVDIFSSVHVPFVFRSASSMPFFTNPLLFFLWPLAFFSMLVMWALSKTFLLSFYNLRGRLHQTWVVPRYGFQVVVRPQVLLSSPLCCHTKTNRADPSFDWLQYFLPFARKGINNQIELAILRADKMGVKVLSLAALNKNESLNGGGTLFVSKHPDLRVRVVHGNTLTAAVMLNEIPRDAKEVFLTGATSKLGRAIALYLCRKNIRVLMLTLSAERFLNIQKEAPADCQHHLVQVTKCQAAQNCKTWIVGKWMSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGSLAAMRLPKDVQGLGSCEVVQTYSLPAKCRSKEIKGIQFLAPLTIFLVWCLLGDGTVHDGARSGSRLPRRRSGSLPRRVDSPRGGRHRRRPHRRGVESCTEAWPDAGLRRR >Ma03_p13240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10257662:10261688:-1 gene:Ma03_g13240 transcript:Ma03_t13240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLSSWPWENMGSYKYMLYGPLVAKAACEWRDGRSVGWSLHLLILFGLRSLTYQLWYSFTNMLFFTRKRRVINEGVDFKQIDNEWNWDNFLILQALLGSMISHHAPPLEELPILGLQGCIIALLLHVGVSEPVFYLANRWFHSGSLFTHYHSIQHASPVPTPMTAGFGTPLEHLVLGAVMAAPLLGAFLMGCGSVGLVYGYVFVFDFLRCMGYSNVEVFPVRLLQALPFLRYLIYSPTYLSLHHKEKNCNYCLFMPLFDLLGKTVNSRTWDLQREISAGKNDRVPDFVFLAHVVDIFSSVHVPFVFRSASSMPFFTNPLLFFLWPLAFFSMLVMWALSKTFLLSFYNLRGRLHQTWVVPRYGFQYFLPFARKGINNQIELAILRADKMGVKVLSLAALNKNESLNGGGTLFVSKHPDLRVRVVHGNTLTAAVMLNEIPRDAKEVFLTGATSKLGRAIALYLCRKNIRVLMLTLSAERFLNIQKEAPADCQHHLVQVTKCQAAQNCKTWIVGKWMSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGSLAAMRLPKDVQGLGSCEVVQTYSLPAKCRSKEIKGIQFLAPLTIFLVWCLLGDGTVHDGARSGSRLPRRRSGSLPRRVDSPRGGRHRRRPHRRGVESCTEAWPDAGLRRR >Ma04_p40030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36962243:36965433:1 gene:Ma04_g40030 transcript:Ma04_t40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSGLVDAAVVLFSGVLAVAVPLIDAQVCLPEWLYPAPLLELKRWYGETYGDYLMAEKPHFFTGLVWVEIAFLWPLSLANFYGILARRPWAATTSLMAGVSIATSMAAIMAELLGSGRASDQLLRMYVPFGVFALLAILRGLFSRAKPRRPTATSHVPTARKKRA >Ma10_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16479256:16485019:-1 gene:Ma10_g05380 transcript:Ma10_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKPRSGSSEVPQRTSPITPRTRTAKSGDNECNSATSATSTRTSTERSPKVIMRRSPRSPVTEKKHPTRLSELETQICQLKEDAKKTREQLSSSETCKKRVQQEAEEAKKQLEDMSAKLDDSQRQLVEFSAAEEARLQELRKISQERDRAWQSELEAIQKQHTVDTAALGSAMNEIQTLKVQLEVVLKAEAAYLKQSETASTELQVLKQEMTETLATVESLKVQLRDRKKSEAEARALFDETCRQLEMSKTTIETLRSEGSTLEESFSVKASDFEESRTKVDSLEEVKNSITNKYNEEVHCGKSSEKAAGNPLVTEVELRSALEAAEIKYQADQIQSTMQVRSAYELMERVKSDCGVKETELKIALSNAKTEIAELKAKLVDKEAEHQQIADNKELSADDGEVRGAQLLSELESKFMKSTNDIAELKANLMDKETELQNILEENEMLKSEMSKREVENRRSSEAMIAELELSKAAEQDALIRLGYVTEESDKNSRRAARVTEQLDAAQAVNSQMESELKRLRIQCDQWRKAAEAAASILSSSNNGGNMERTGSLDSDYNSIAGKLMSSPFSDDLNEESPKKKNSNVLRKIGGLWKKSQK >Ma06_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13820117:13829442:1 gene:Ma06_g19730 transcript:Ma06_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNEYYGGESTSLNLIQLWKRFRGSDKPPAQLGPSRDYNVDMIPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFNKGKIHKVPATDMEALKSPLMGLFEKRRARKFFIYVQNYDESDPKTHEGLDLTRVTTKEFISKFGLDDNTVDFIGHALALHRDDRYLNEPALDTVKRIKLYEESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKLECKVEFDMEGKACGVTSEGETARCKKVVCDPSYLPNKVRKVGKVARAIAIMSHPIPNTDESHSAQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKFIAFVSTEAETDRPEVELKPGIDLLGPVDELFFETYDIYEPVNEPSLDNCFISTSYDATTHFESTVMDVLSMYTMITGKTLDLSVDLSAASAAEE >Ma04_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6395411:6399045:1 gene:Ma04_g08960 transcript:Ma04_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVRLLVLVLLVVVIGETGKLSTLRLLRAEPTQDRTALLAFINRIPHERRLRWDNSSACDWVGVTCDDNRTAVVFLRLPGVGLIGTIPSGTLGNLSALRVLSLRSNRLSGPIPADFRGLALLRSLYLQNNLLSGSIPSGLTQLTRLVRLDLSGNNLTGAIPFAINNLTHLTGLFLQNNRLSGNLPPINIDSLVAFNVSYNRLNGSIPKILEHFSASSFVGNLDLCGGPLPPCNPFFPSPAPSPAANPVNGSSKKKLSKAAKIAIAVAAGVVLLLILLLLLVCLAYRRRRQRARDKAAKGTAAATAARSGDTGMTSSSKDDLSGGVSGSGTASAVAAAERNRLVFVGKGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVSKREFEVHIESLGKVEHDNLLPLRAYYYSKDEKLLVFDYLPAGSLSSLLHGSRGSGRTPLDWDSRMRIALAAGRGLSHLHTAPQVVHGNVKASNVLLRTDLDSAALSDFGLHTLFGTTAPPNRVAGYRAPEVLETRRPTFKSDVYSFGVLLLELLTGKAPNQASLGEDGIDLPRWVQSVVREEWTAEVFDVELMRYPNIEEEMVQLLQVAMACVAIVPDTRPDLPDVVRMMEEIVSRTESNDEGRRVVGSGGPSPPPTTTP >Ma03_p28240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31362903:31368357:1 gene:Ma03_g28240 transcript:Ma03_t28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALSVCQMVDTTTREVQALILSPTRELAAQTEKVILAIGEYINVQAHACIGGKSIGEDIRKLEYGVHVVSGTPGRVCDMIKRRTLRTRAIKILILDEADEMLSRGFKDQIYDVYRYLPPELQVALISATLPHEILEMTNKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLVITQAVIFCNTKRKKYAIIVVSHGSGILNYHLSSIHGDMPQKERDAIMAEFRSGATRVSITTDVWARGIVVSLVINYDLPNNRELYIHRIGRSGRFGRKVTIHFPSWDDIHILRDIEQYHSTQIDEMPMNIVLVSSLKITKNVSKKVI >Ma10_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30008362:30009899:-1 gene:Ma10_g19470 transcript:Ma10_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSRVLRPPNSSIDPLLRCSSPSSSSSPCQSMGSGGKRPYKGVRRRSWGSWVSEIRAPHQKTRIWLGSYSTPEAAARAYDAALLCLKGSSASFNFPASLPSHLPASAMSPKSIQRVAAAAAAVVVTSPTASTTTSRSPSPPPPPSPSLDATNEDHQSTMTSSATSTRSGEIDEPWIDLGAFQSPRCMDHMINPLISSWQECEEMGDINLWSFF >Ma01_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:358845:377297:1 gene:Ma01_g00510 transcript:Ma01_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEHCCVKVAVHIRPLVGDERLQNCKECVTVVPGKPQVQIGTHSFTFDHVYGGTGSPTSAMFEECVASLVDGLFQGYNATVLAYGQTGSGKTYTMGTGCRDGSHTGIIPLVMNALFSKIDALKHQVEFQLRVSFIEILKEEVRDLLDSATVGKLEACNGQVGKAAIPGKPPVHIREGSNGVITLSGSTEIDVSTRKEMTGCLEQGSSNRATGSTNMNNQSSRSHAIFTITLEQMRKLGPISTADSAPIGDITDDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIENKPIVNRNPISDEILRMHQHIEYLQAELACSRGVGTESDEVQTLKERISWLEATNEDLCRKLCEYHGQQASEHFERDFQKGRTCFVKAEGLKRSLQSTESLHFQLAKNLGGDSLKEFDEEIAKEWEYEMLQDSMGKELNELNRQLEQKESEMKTFGGCDTLVLKQHFGKKLMEFEEEKRTVQQERDRLLSEVENLSANSDGQMHKLPDDYLQKLKTLEAQIINLKKKQENQVQLLKQKQRSDEAAKKLQDEIQFIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERRKLQALNQRQKMVLQRKTEEAAMATKKLKELLEARKSSARENPVIAIGNSAIIQINEKSLQQWLDHEVEVLVHMHEVRDEYEKQCQMRSTLAEELSFFKQEEALSGSASPPRGKNGSSRLSSMTPNARLARIASLENMVTISSNTLIAMASQLSEAEEREHTFAGRGRWNQLRSIGDAKCLLQYMFNVAADARCQLREKELHIKELKEQLNELIGLLRHSEAQRKEMEKEQKLREHAIAIALATSSPMNSNGSLKHCIDETGTPLSPIAVPAQKQLKYTAGIVSSPGNGTAAFNNHPMKKMVPIGHLSMGKKLAVIAQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETLTRATRPRTHHPLRNIM >Ma10_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27431339:27435007:1 gene:Ma10_g15230 transcript:Ma10_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MTNQEASLEATPTWAVAGVCSILIFLALIIERSLHRLTLLLERRKRKTLNQALNHVKAELRNLGFMSLLLTVAKQPISKICIPASLGDSFLPCKDAAPPGRFVEEQSCQEKGKVSLVSSVGTQQLQILIIVLAVFHILSCLVTLVLGEVKMKRWKAWEEETSTLEYQLSNDPRRFKLTRQTSFGERHLKIWSNHHLFVWIVCFFRQFTDSVSKADYFSLRRGFVAVHLSQDSKFDFRKFLQRSLDKDFAVVVSISFWIWMCAVFFIFFNAYGFYSHYWLPFVPLVILLVIGTKLEVIITTMCLKSSNQAIVVPGTISVELENSNFWFAQPRLLLHLVQFILFQLPLCIAELLSACFLHMGMGGYIMINPDNFHHSRSQADMLMLQYNFGVRSCFDREVADIILSFGTGVLVQFLCAYVTLPLYALVTQMGSSMKETIFADEVMEGLKSWKKRARKNLANQRSVPSGTFLPRPYTRLTDEASSSCGRTPRKREFRYPSRRLELLEVQRVVEEVIQHGANNMPSDGEVSFGLWRRPMN >Ma02_p24390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29034228:29038404:-1 gene:Ma02_g24390 transcript:Ma02_t24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYVKAFSLYMNALEYFRTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDEGGSGPAANGDAAVVARPKTKPKDGDGNGNGGEDPDQAKLRAGLTSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEAESTFFSISSSDLVSKWMGESEKLVSNLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFESLARRTEGFSGSDISVCVKDVLFEPVRKAQDAMHFYKTADGLWMPCGPRHPGAIQTTLQELAAKGLAAKILPPPISKTDFDKVLARQRPTVSKADLELHERFTKEFGEEG >Ma10_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21628800:21630240:1 gene:Ma10_g07300 transcript:Ma10_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIHPPSCLLAFFFFAAIASAQLSSTFYSTSCPLAIQTIRLAVRAAVAKEARMGASLLRLHFHDCFVNGCDGSVLLDDTSGFTGEKTAVPNNNSLRGFDVIDNIKSQVEAVCKQVVSCADILAVAARESVAALGGPLWTVQLGRRDATTASLDAANTEIPSPKSDLDDLISAYSKKGLGTTDMVALSGAHTIGQARCISFRDRIYNETSIDSSLATSRQSNCPSSGDGDDNLSPLDAVTCTLFDNFYFRNLVKKKGLLHSDQQLFSGGSTDSLVTTYSTNTARFFSDFAAAMVKMGNISPLTGTDGEVRLNCRKTN >Ma10_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28694443:28695535:-1 gene:Ma10_g17220 transcript:Ma10_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEVSIAADPECDLRPMDSSIADRVLQTPPLSLLPSPPKTGRKPASSPRERWLLSPQLSLSPSSSSSSSLSLLY >Ma01_p00310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:227135:232666:-1 gene:Ma01_g00310 transcript:Ma01_t00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKMGKDLVFWMMFFWSWAAATAVLSPKGVNYEVQALMGIKASLVDPHSVLENWDKDSVDPCSWTMITCSSENLVVGLGTPGQDLSGTLSPSIGNLTNLEIVLLQNNNISGPVPPEIGKLSKLHTLDLSNNKFSGRIPASLSNLKGLQYLRLNNNSLSGSFPLPLIDITPLIFMDLSYNNLSGPIPKSPPKAFNIVGNPLICPTDLEHQCYGMMPMPSTFNINDTQGAPMSRRPKRHKLALALASSLGSICLLGLVVGLFIWCSRRHNQQIFFDVNDQHKEEVCLGNLRRFQFRELQVATNNFSSKNLLGKGGFGNVYKGQLQDGTLVAVKRLKDGNVAGGEIQFKTEVEMISLALHRHLLRLCGFCMTATERLLVYPYMSNGSVASRLREIPALDWSTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDTHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGLRALEYGKAAKQKGAMLDWVRKMHQEKKLDVLVDKDLKNYDRIELEEMVQVALLCTQYVPGHRPKMSEVVRMLEGDGLAERWEASQRTEVHKFRVPEFSSERYSDLTDDSLLVEAMELSGPR >Ma01_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:227135:232666:-1 gene:Ma01_g00310 transcript:Ma01_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKMGKDLVFWMMFFWSWAAATAVLSPKGVNYEVQALMGIKASLVDPHSVLENWDKDSVDPCSWTMITCSSENLVVGLGTPGQDLSGTLSPSIGNLTNLEIVLLQNNNISGPVPPEIGKLSKLHTLDLSNNKFSGRIPASLSNLKGLQYLRLNNNSLSGSFPLPLIDITPLIFMDLSYNNLSGPIPKSPPKAFNIVGNPLICPTDLEHQCYGMMPMPSTFNINDTQGAPMSRRPKRHKLALALASSLGSICLLGLVVGLFIWCSRRHNQQIFFDVNDQHKEEVCLGNLRRFQFRELQVATNNFSSKNLLGKGGFGNVYKGQLQDGTLVAVKRLKDGNVAGGEIQFKTEVEMISLALHRHLLRLCGFCMTATERLLVYPYMSNGSVASRLREIPALDWSTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDTHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGLRALEYGKAAKQKGAMLDWVRKMHQEKKLDVLVDKDLKNYDRIELEEMVQVALLCTQYVPGHRPKMSEVVRMLEGDGLAERWEASQRTEVHKFRVPEFSSERYSDLTDDSLLVEAMELSGPR >Ma11_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23536930:23538121:-1 gene:Ma11_g18490 transcript:Ma11_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNAKEILLASCFLSILIEILFFLIMHVYEVTHKISLVYINGYSKLSKLEKIVWNNLGFSTFHALKITSIRSYFWDITWLFSFRLGNDFMAFSVVRWQRICLASWTIHVFNFSLPD >Ma05_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:689839:691729:1 gene:Ma05_g01150 transcript:Ma05_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRNGIIKKAREISILCEAQVSVVIFSSSGKMSEYCSPAATLPKILERYQQNSGRKLWDAKHESLSAEIDRIKKENDNMQIELRHLKGEDLNSLNPKELIPIEDALQIGLTSVRDKQMEIWKLHKKNERLLEEENKQLTYMLHHQQLAMDGNVRELEIGYHQKGREFAPHMTTMAFHVQPIQPNLQENK >Ma01_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9179191:9181485:-1 gene:Ma01_g12570 transcript:Ma01_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLMNEDLLQDLVLYKKSHEKAVSSAARSLITLFREICPSLLVKKDCGRPVNPKARPKAYGEVSIATDVPDIELLELVDNSMTDGSDAEASASDLDDEYDIRAGREDRGKYQAGTVVKQKKARQKTGGLSNRQKEHIKKMPLAAKRAKVARSRQDKKQRQRQSGKQFQGRKAWK >Ma04_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4558946:4563176:-1 gene:Ma04_g06180 transcript:Ma04_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVRLWQRRLSSAPSSSARILSSVLLRSLHSAAPASSLIEQHEPIKPKGKWLTLPPFSPPIDCVSVGKELAGRRPVAETEPMSALKWVRRCCPHLPMSLVHKLFRLRQVRRDQTAQVVYNGNATPAEQGRLRRVSVKDAMMPGEVIFLPVSVQNLTTEGSYRSKYNDVEISFVRSLELYKDEAIIVVNKPPGMPVQGGVGIRYSMDALAANCLKYECSEPPRLVHRLDRDSSGVLVLGRTQTSATILHSLFREKTSGALADDINVTQRPLQRKYLALVIGTPRHSKGLISAPLAKIVLEDGKSERIIIADDGKSASSQHALTEYKVIRSSHDYTWLELFPLTGRKHQLRVHCAEVLGTPIVGDHKYGRHAHRKWEPMRLPESIIKGEKIPKEKLPFGLELDGGSISEKLPGLHLHCKQMVLPNISVALQQLQSSTDHDFSKVEKLNLVAPLPSHMQRSWEILSSLL >Ma06_p32160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33117798:33119432:-1 gene:Ma06_g32160 transcript:Ma06_t32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSITDQEEWTLVDRKDTSSGVDAAADSGSGIPFSRISVWTRWALGSVIGFAVPLCRRILRTEDAVAKAAESGAEAVEKIAKATEKIASEIADELPDGVSLKEKALQIEQICEEVDRDAERAEIFIHKVDHIKAEVDAIVEPIIEKGEEIEKEIQEQEGKGQPISQKQ >Ma04_p23900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25956523:25970854:-1 gene:Ma04_g23900 transcript:Ma04_t23900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSANNPQDITSPRGSLQQYVRRLSSKKSQTTKGQHSSPIVFPDKRGKAKSLKKSDADVANEDLEKVKPNEHRIDIGDEKSDLLGYEVFSGKLALDKKAKSVSVNEQTGSGTGNPDKLEAKLTSKALIWGSSILNLDDIISVSYCAGLRHFTVHAYPTKRRSCGLSCVLKPQRVQRDFRFLASNSEEAVQWIQGFADQQCFVNCLPHPMMSNKKQESDILSHEPLYDIPYIKCRSPPSLLVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTYAGHAKNLASTVDFSMCPDGIVCVGGDGIVNEVLNGLLSRENQKESISIPIGVIPAGSDNSLIWTVLGIRDPISAAMAIVKGGLTATDVLTVKWIQNGITHYGTTVSYFGFLSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYNFDLEYLPKAQVINAEGKSSEDQQKIDMSDFYTDIMRRSRKEGLTRASSLSSIDSIMSPSRMSGGDVDATGSTIASSEPSEYVRGLNPKVKRLSLGRSNLVAEPDEVLHPQPHLSVNSNWPRTRSKSRTDRSWTGMTATTDARCSWAPTALYDKEDISSTISDPGPIWDTEPKWDTEPNWETENPIELSGPPDDIELGLKKELVPSLDEKWVVKKGQFLAVLVCNHSCKTVQSLSSQVVAPKAEHDDNSLDLLLINGSGRMRLLRFFMYLQFGRHLSLPYVDYVKVRSVKLRPAANTNGGCGIDGELIHVDGQAFCSLLPDQFRLIGRPAKDRA >Ma04_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25956523:25970870:-1 gene:Ma04_g23900 transcript:Ma04_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSANNPQDITSPRGSLQQYVRRLSSKKSQTTKGQHSSPIVFPDKRGKAKSLKKSDADVANEDLEKVKPNEHRIDIGDEKSDLLGYEVFSGKLALDKKAKSVSVNEQTGSGTGNPDKLEAKLTSKALIWGSSILNLDDIISVSYCAGLRHFTVHAYPTKRRSCGLSCVLKPQRVQRDFRFLASNSEEAVQWIQGFADQQCFVNCLPHPMMSNKKQESDILSHEPLYDIPYIKCRSPPSLLVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTYAGHAKNLASTVDFSMCPDGIVCVGGDGIVNEVLNGLLSRENQKESISIPIGVIPAGSDNSLIWTVLGIRDPISAAMAIVKGGLTATDVLTVKWIQNGITHYGTTVSYFGFLSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYNFDLEYLPKAQVINAEGKSSEDQQKIDMSDFYTDIMRRSRKEGLTRASSLSSIDSIMSPSRMSGGDVDATGSTIASSEPSEYVRGLNPKVKRLSLGRSNLVAEPDEVLHPQPHLSVNSNWPRTRSKSRTDRSWTGMTATTDARCSWAPTALYDKEDISSTISDPGPIWDTEPKWDTEPNWETENPIELSGPPDDIELGLKKELVPSLDEKWVVKKGQFLAVLVCNHSCKTVQSLSSQVVAPKAEHDDNSLDLLLINGSGRMRLLRFFMYLQFGRHLSLPYVDYVKVRSVKLRPAANTNGGCGIDGELIHVDGQAFCSLLPDQFRLIGRPAKDRA >Ma06_p37980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36889733:36890626:1 gene:Ma06_g37980 transcript:Ma06_t37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGGGPPVGDTALNHLQRCDGFIPSIDPDSQFGSAMSSFMSSQSSNSAAPAKSCGFRELGARSQRIDDSSEEVSPRSQFTDASGNSSPKGDLSKLDNVRRRLPQAMGGLTIAGNLALAHLDQFPACPGFVECAARFSSLDDRNRCWLSGHLELPGNAKLHSSREESSASDQISVSGEASLGAPRDGNAKKRKAPPKSKGKDTIRPKSVIDPPKV >Ma04_p25420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27187203:27197889:-1 gene:Ma04_g25420 transcript:Ma04_t25420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECIGSQIKDDLDGIPPVPPGFAPITLFNLQKVHSDLKAPANASDMIECIVRVDNSRNTLENVQDDVKDIINPSDPVQCTIRDEKSRKSLRHRPSVNYRQFDNSSDEESDYEPFERAVPSVRCLPKGVFRGCSECENCQKVTARWRPQDACRPVLDEAPVFYPTEEEFKDTLKYIASIRPSAEPYGICRIVPPSSWRPTCLLKEKDVWENSKFATRIQQVNKLQNRDSLKKSGKNHSIMRRKRRKIFKAGDKNDENKVEADYYGFNGTERFGFVPGPDFTLESFQKYADDFKKQFFSRNPDFVLGPCQQEPSVEDIEGEYWRIVERPTEEIEVLYGADVDTGVFGSGFPRSSSSVKISELEDQYMNSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKIWYGVPGKYASKLEEAMKKHLPELFEEQPDLLHNLVTQCSPSLLGLEGVPVYRCVQNAGEFVLTFPRAYHSGFNSGFNCAEAVNVAPVDWLPHGQHAVELYREQGRKISISHDKLLLGAAREAARAQWNILFLRKNTSDNLRWKNFCGSEGILAKALKERIELERVRREFLCSSQSGKMDSSFDVNSERECVICHYDLHLSAAKCQCSPDKFACLIHAKQLCSCAWTMRLFLFRYEISELNVLLDALGGRLSAVHRWGLFDLGLSLSSHVTKEKAQESKQVFVTNKEGRTNKDSVLADQKITTGDAKHSLSKESGVSNLQQLEEPKERNRRTNNAANLASTNDDDCSLLQTKSTNLSVTSDPCFTVGGLHYRSGYRSANAMTAASSDPHCSMDGTLKTTLLQTNSNGLVEIKYISDAKLANTEPQTIPPSGERNIISLGDVAKDANKLLSDKMREEQSVKCSETVSRLMNCEDKATSCSPHKDQDLVTPQTNASLMSEKEIDMQPLVQVSSDSLNSTFLDFKDQKEATHENVSKLPDQWLYRFSPEKTVECAKSASSSIARPKTDLFSVKETCDGDVTKVYLQNPQSASGKQNSESKQGKVESNPECNLVVRGNLVTTSQSYPQNCPDRYNRQQKGPRIAKVVRRVNCNVEALEYGVVLSGKLWSTSQAIFPKGFRSRVRYLSVLDPTQMCYYISEILDAGLLGPLFMVVVEQCPSEVFIHVSATECWNMVREKVNDQIRKHHSMGRPSLPPLQPPGSLDGFEMFGLSSPMIIQVIEALDRNFVCTEYWRSRPKPPAADAHVSSTKDQQGVEETPCSADSHLALRGLFKKANREELHALQTMLNGNRPNDSNQELMQALDEEIKSR >Ma04_p25420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27187203:27197866:-1 gene:Ma04_g25420 transcript:Ma04_t25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECIGSQIKDDLDGIPPVPPGFAPITLFNLQKVHSDLKAPANASDMIECIVRVDNSRNTLENVQDDVKDIINPSDPVQCTIRDEKSRKSLRHRPSVNYRQFDNSSDEESDYEPFERAVPSVRCLPKGVFRGCSECENCQKVTARWRPQDACRPVLDEAPVFYPTEEEFKDTLKYIASIRPSAEPYGICRIVPPSSWRPTCLLKEKDVWENSKFATRIQQVNKLQNRDSLKKSGKNHSIMRRKRRKIFKAGDKNDENKVEADYYGFNGTERFGFVPGPDFTLESFQKYADDFKKQFFSRNPDFVLGPCQQEPSVEDIEGEYWRIVERPTEEIEVLYGADVDTGVFGSGFPRSSSSVKISELEDQYMNSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKIWYGVPGKYASKLEEAMKKHLPELFEEQPDLLHNLVTQCSPSLLGLEGVPVYRCVQNAGEFVLTFPRAYHSGFNSGFNCAEAVNVAPVDWLPHGQHAVELYREQGRKISISHDKLLLGAAREAARAQWNILFLRKNTSDNLRWKNFCGSEGILAKALKERIELERVRREFLCSSQSGKMDSSFDVNSERECVICHYDLHLSAAKCQCSPDKFACLIHAKQLCSCAWTMRLFLFRYEISELNVLLDALGGRLSAVHRWGLFDLGLSLSSHVTKEKAQESKQVFVTNKEGRTNKDSVLADQKITTGDAKHSLSKESGVSNLQQLEEPKERNRRTNNAANLASTNDDDCSLLQTKSTNLSVTSDPCFTVGGLHYRSGYRSANAMTAASSDPHCSMDGTLKTTLLQTNSNGLVEIKYISDAKLANTEPQTIPPSGERNIISLGDVAKDANKLLSDKMREEQSVKCSETVSRLMNCEDKATSCSPHKDQDLVTPQTNASLMSEKEIDMQPLVQVSSDSLNSTFLDFKDQKEATHENVSKLPDQWLYRFSPEKTVECAKSASSSIARPKTDLFSVKETCDGDVTKVYLQNPQSASGKQNSESKQGKVESNPECNLVVRGNLVTTSQSYPQNCPDRYNRQQKGPRIAKVVRRVNCNVEALEYGVVLSGKLWSTSQAIFPKGFRSRVRYLSVLDPTQMCYYISEILDAGLLGPLFMVVVEQCPSEVFIHVSATECWNMVREKVNDQIRKHHSMGRPSLPPLQPPGSLDGFEMFGLSSPMIIQVIEALDRNFVCTEYWRSRPKPPAADAHVSSTKDQQGVEETPCSADSHLALRGLFKKANREELHALQTMLNGNRPNDSNQELMQALDEEIKSR >Ma04_p25420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27187203:27197866:-1 gene:Ma04_g25420 transcript:Ma04_t25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECIGSQIKDDLDGIPPVPPGFAPITLFNLQKVHSDLKAPANASDMIECIVRVDNSRNTLENVQDDVKDIINPSDPVQCTIRDEKSRKSLRHRPSVNYRQFDNSSDEESDYEPFERAVPSVRCLPKGVFRGCSECENCQKVTARWRPQDACRPVLDEAPVFYPTEEEFKDTLKYIASIRPSAEPYGICRIVPPSSWRPTCLLKEKDVWENSKFATRIQQVNKLQNRDSLKKSGKNHSIMRRKRRKIFKAGDKNDENKVEADYYGFNGTERFGFVPGPDFTLESFQKYADDFKKQFFSRNPDFVLGPCQQEPSVEDIEGEYWRIVERPTEEIEVLYGADVDTGVFGSGFPRSSSSVKISELEDQYMNSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKIWYGVPGKYASKLEEAMKKHLPELFEEQPDLLHNLVTQCSPSLLGLEGVPVYRCVQNAGEFVLTFPRAYHSGFNSGFNCAEAVNVAPVDWLPHGQHAVELYREQGRKISISHDKLLLGAAREAARAQWNILFLRKNTSDNLRWKNFCGSEGILAKALKERIELERVRREFLCSSQSGKMDSSFDVNSERECVICHYDLHLSAAKCQCSPDKFACLIHAKQLCSCAWTMRLFLFRYEISELNVLLDALGGRLSAVHRWGLFDLGLSLSSHVTKEKAQESKQVFVTNKEGRTNKDSVLADQKITTGDAKHSLSKESGVSNLQQLEEPKERNRRTNNAANLASTNDDDCSLLQTKSTNLSVTSDPCFTVGGLHYRSGYRSANAMTAASSDPHCSMDGTLKTTLLQTNSNGLVEIKYISDAKLANTEPQTIPPSGERNIISLGDVAKDANKLLSDKMREEQSVKCSETVSRLMNCEDKATSCSPHKDQDLVTPQTNASLMSEKEIDMQPLVQVSSDSLNSTFLDFKDQKEATHENVSKLPDQWLYRFSPEKTVECAKSASSSIARPKTDLFSVKETCDGDVTKVYLQNPQSASGKQNSESKQGKVESNPECNLVVRGNLVTTSQSYPQNCPDRYNRQQKGPRIAKVVRRVNCNVEALEYGVVLSGKLWSTSQAIFPKGFRSRVRYLSVLDPTQMCYYISEILDAGLLGPLFMVVVEQCPSEVFIHVSATECWNMVREKVNDQIRKHHSMGRPSLPPLQPPGSLDGFEMFGLSSPMIIQVIEALDRNFVCTEYWRSRPKPPAADAHVSSTKDQQGVEETPCSADSHLALRGLFKKANREELHALQTMLNGNRPNDSNQELMQALDEEIKSR >Ma02_p21710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27268751:27273590:-1 gene:Ma02_g21710 transcript:Ma02_t21710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKLRSWKVSFCEINMVQLQKPWVATVQRVVLVIAIALSVLVFQTFMLSSRTALSSPFRAFSDPETEGRSSLSTVSSYRSLSVGELSLLSDPRNSTGTSPVDVRTGEDDMLDDDEDDIDLGEDEEPESEFSFDLGNGVILKKVRDPNGGFIKLEKVVDPNYFPSTEKVGEPAPGYSFSSDGKHNAPLVSDQIKNFHNESVSSVAVSSPLDSHATDPLVDLSTNTSDPISTVTTSTPSSVEQATKIVSSSIKQSTETLPKDSAQLAGKSTSYNSFGGNYTPKRRKNKRRAMPPLSMSEMNSLLLKNHASYRAMRPRWSSAHDQNIIAARAQIENAPISKNDQELYEPAFRNLSMFKRSYELMESTLKVYVYKEGGRPIFHQPVLKGIYASEGWFMKLMKRNRHLTVKDPRNANMFYIPFSSRFLEFALYVPDSHNKKNLVQYLQGYMDMIAAKYPFWNRTGGADHFAAACHDWAPYETRHTMDSSIRALCNADLHEGFRVGKDVSLPETLVLSPKNPLRELGGNPASERSILAFFAGNMHGKLRPILLQHWENKDPDMKIFGPMPPGVNNKKTYIQFMKTSKYCICPRGYEVNSPRIVESIFYECVPVIISDNYVPPLFEVLNWEAFSVIVPEADVPRLKEILMSIPLNKYLLLQKGVRKVQKHFLWHNTPVKYDLFHMILHSIWFNRVFTIRAR >Ma02_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27268751:27273590:-1 gene:Ma02_g21710 transcript:Ma02_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQKPWVATVQRVVLVIAIALSVLVFQTFMLSSRTALSSPFRAFSDPETEGRSSLSTVSSYRSLSVGELSLLSDPRNSTGTSPVDVRTGEDDMLDDDEDDIDLGEDEEPESEFSFDLGNGVILKKVRDPNGGFIKLEKVVDPNYFPSTEKVGEPAPGYSFSSDGKHNAPLVSDQIKNFHNESVSSVAVSSPLDSHATDPLVDLSTNTSDPISTVTTSTPSSVEQATKIVSSSIKQSTETLPKDSAQLAGKSTSYNSFGGNYTPKRRKNKRRAMPPLSMSEMNSLLLKNHASYRAMRPRWSSAHDQNIIAARAQIENAPISKNDQELYEPAFRNLSMFKRSYELMESTLKVYVYKEGGRPIFHQPVLKGIYASEGWFMKLMKRNRHLTVKDPRNANMFYIPFSSRFLEFALYVPDSHNKKNLVQYLQGYMDMIAAKYPFWNRTGGADHFAAACHDWAPYETRHTMDSSIRALCNADLHEGFRVGKDVSLPETLVLSPKNPLRELGGNPASERSILAFFAGNMHGKLRPILLQHWENKDPDMKIFGPMPPGVNNKKTYIQFMKTSKYCICPRGYEVNSPRIVESIFYECVPVIISDNYVPPLFEVLNWEAFSVIVPEADVPRLKEILMSIPLNKYLLLQKGVRKVQKHFLWHNTPVKYDLFHMILHSIWFNRVFTIRAR >Ma02_p21710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27267867:27273590:-1 gene:Ma02_g21710 transcript:Ma02_t21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKLRSWKVSFCEINMVQLQKPWVATVQRVVLVIAIALSVLVFQTFMLSSRTALSSPFRAFSDPETEGRSSLSTVSSYRSLSVGELSLLSDPRNSTGTSPVDVRTGEDDMLDDDEDDIDLGEDEEPESEFSFDLGNGVILKKVRDPNGGFIKLEKVVDPNYFPSTEKVGEPAPGYSFSSDGKHNAPLVSDQIKNFHNESVSSVAVSSPLDSHATDPLVDLSTNTSDPISTVTTSTPSSVEQATKIVSSSIKQSTETLPKDSAQLAGKSTSYNSFGGNYTPKRRKNKRRAMPPLSMSEMNSLLLKNHASYRAMRPRWSSAHDQNIIAARAQIENAPISKNDQELYEPAFRNLSMFKRSYELMESTLKVYVYKEGGRPIFHQPVLKGIYASEGWFMKLMKRNRHLTVKDPRNANMFYIPFSSRFLEFALYVPDSHNKKNLVQYLQGYMDMIAAKYPFWNRTGGADHFAAACHDWAPYETRHTMDSSIRALCNADLHEGFRVGKDVSLPETLVLSPKNPLRELGGNPASERSILAFFAGNMHGKLRPILLQHWENKDPDMKIFGPMPPGVNNKKTYIQFMKTSKYCICPRGYEVNSPRIVESIFYECVPVIISDNYVPPLFEVLNWEAFSVIVPEADVPRLKEILMSIPLNKYLLLQKGVRKVQKHFLWHNTPVKYDLFHMILHSIWFNRVFTIRAR >Ma04_p29020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29989093:29989597:-1 gene:Ma04_g29020 transcript:Ma04_t29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGEPHFVHTISIPHLSPESSSQSGSLGRLPFQCYRSKLSRSTWASSRNPALDRGT >Ma03_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8006011:8008732:1 gene:Ma03_g10620 transcript:Ma03_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEVVIVGAGPSGLATAASLHILAIPYVVLEKDTCSASMWKLRTYDRLQLHLAKRFCELPHMPIPRNAPTYLPKAQFIQYLDAYVERFNINPVYSTDVQLASYDEDSKTWRVMARNALTDEVEEYRSRFLVVASGENCEGFIPDLPGLQSFSGEILHSSSYKSGRPYTDKSVLVVGSGNSGMEVAYDLAEHQARTSIVINSPLHVVTKEMICMAMVMLGCLPVCLVDALVGLLAKWKYGDLSRYGIVRPTMGPMRLKAVTGRSAVIDVGTVEKIKTGEIKVVKGITNIRANEVEFADGNSYQYDAIVFATGFRTNPRKWLQDADSFLDEEGYPREKFPNHWKGRNDLYFVGFARSGLPACSMDALNTANDIAKKRTVS >Ma02_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29289371:29290952:1 gene:Ma02_g24860 transcript:Ma02_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHALRKTQRAQGPATIMAIGTANPPNLYEQSTYPDYYFRVTNSEHKPDLKHKFRRMCEKTMVKRRYLHLTEEILKERPKLCSYMEPSFDDRQDIVVEEVPKLAKEAATKAIKEWGRSKSDITHLVFCSISGIDMPGADYRLAKLLGLPLSVNRIMLYSQACHMGAAMLRIAKDLAENNKGARVLVVSCEITVLSFRGPDEHDFEALAGQAGFGDGAAAVIVGADPIQGLEKPIYEIMSATQVTVPESEKAVGGHLREVGLTFHFFNQLPLIIADNIGNSLADAFKPLGITDWNEVFWVAHPGNWAIMDAIESKLGLLPEKLSTARHVFTEYGNMQSATVYFVMDEVRKRSVVEGRATTGDGLQWGVLFGFGPGLSIETVVLRSVPL >Ma09_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36559490:36562345:1 gene:Ma09_g24940 transcript:Ma09_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDVSGSHTDGSSITSSSDGDHEDPMELKPMTAVGVKRLCSELLAIKKASDEDLNRNVYSCYSAFVRILEEVPRVKCDLEELKQHVLNQRMLVQDLINSLYLEKPSSNNSTETKAQGIEDLDLHSDGELGSDLCDALDTLDISL >Ma08_p23570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36917421:36926212:1 gene:Ma08_g23570 transcript:Ma08_t23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVSAHLPSSFSFGTASNPRRDLSPSSSSSSWIELAVSRKIAPFPRRGDPSGCPGLRLCSPKAEVPARRAETFDPELRLVLELATDAELIELEHILFGPRGWRPSYRNILLGVRKKLGIPCSSKLSTEDLEVEIFLHLLSEYSSEEIHPVSLPSVGKKLSNSHGSLEVGLSQWKVLALGALRGGAKELQHAVMKGCGMLTVTRIYQLLARKFSGKMLLEAANYEIKHEIIKRGGQLAAINLESKAAELAARQGLAHAASRYLGLRSVMMILGPVMWGTFLADVVIQMLGTDYARILRAIYAFAQIRLTRTYGWRSIED >Ma08_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36917421:36926212:1 gene:Ma08_g23570 transcript:Ma08_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVSAHLPSSFSFGTASNPRRDLSPSSSSSSWIELAVSRKIAPFPRRGDPSGCPGLRLCSPKAEVPARRAETFDPELRLVLELATDAELIELEHILFGPSFFSPLLKSITSKRDADFTVNGEYIEEREDFIEHLESQFLYLAADARATLRGWRPSYRNILLGVRKKLGIPCSSKLSTEDLEVEIFLHLLSEYSSEEIHPVSLPSVGKKLSNSHGSLEVGLSQWKVLALGALRGGAKELQHAVMKGCGMLTVTRIYQLLARKFSGKMLLEAANYEIKHEIIKRGGQLAAINLESKAAELAARQGLAHAASRYLGLRSVMMILGPVMWGTFLADVVIQMLGTDYARILRAIYAFAQIRLTRTYGWRSIED >Ma05_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17391823:17396041:-1 gene:Ma05_g16550 transcript:Ma05_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAQKGLDRALSQKALQMGNSTPCKIWVLGFFCGVCITYLFLVAVAPLRSTEVGLVYSRTAGAVSQNSSFWTSGISRSGMYSSITEKVEVSEDHSAGLVPSDEERVMMLYNAWALLNGDDEHQFARADVPDAPHFENCSLNAQMYKQFDSHGENGSYPPWTLWRGFLGMELLHPSSSKDPEKTHYHHEAKFGDAYPPWVVGSDEDNFPLTRLVQRDIWVRQHPKNCNDPNLRFLLADWERLPGFGIGAQLAGMSGLLAIALNEKRILVTNYYNRADHGGCQGSSRSHWSCYFFAETSTECQKQAFSLMKSQEAWQKRIITVKDNYTSKEIWAGKVPRAWGRPWSFLQPTTEVDGSLLRSHRKMDRRWWRAQAIRYLMRFKSEYMCHLLNVARHKAFGLQAAKMVITSLSAAWPK >Ma02_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17994431:17995706:1 gene:Ma02_g07210 transcript:Ma02_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDPLCCQEDSLEQEEERKRPMLALLEEPDAVVEEEWAEVLCSLAAKDEETRPELLPGDGGDDAYLLSLRREVVEWVVHAAASHDFSVVTTFLAVNYLDRCFLPHAAAGGLRLQRDKVWMGRLAAVAALSLAAKVEETRVPLLLDLQVATPPGTEENRYVFEAKTIRRMELLLLSALSWKMNSVTPLAFIHHLLPRLCSISTTAAASAARVKELQGDSEAALLSVVADWRWARYPASLWAAAALLHAAEGGAGTIAATASVSQVTHHLISLLHVPKEKVEECYQLIMESMVYGGGLFGPKRKHSTSSSYYNVNYSSPHSPNWVIGSCFSCKSSSSGDDSWATHQPSASPSPENRPSKKLHRQESHWE >Ma10_p30880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37104370:37121798:1 gene:Ma10_g30880 transcript:Ma10_t30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSSFHSALLPFSSPSIPIPILNPVNCEKRRRWTSYSTRLQCSSCPDEAKRISEQSSWESKDSEGKDYLYRLGQEADNMNIAVGARQGVIDDLFVGNFLGKDSDIVFDYRQKATRSFEYLQGDYYIAPAFLDKVACHIVKNYIAHLLNVKVPLILGIWGGKGQGKTFQTELIFRAMGIEPVIMSAGELESERAGEPGRLIRDRYRTASQVIQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQKWRESDVVHRVPIIVTGNDFSTLYAPLIRDGRMEKFYWQPDHEDIINIVHQMYAKDGITRDEVIRVVDTFPNQALDFYGALRSKTYDQSILQWVNDTGGYEKLGEKLLKGKKDGQLSTFVPPKQSVEALLESGHSLVKEQHLITNSRLSKEYMKNIDD >Ma11_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21259736:21268631:-1 gene:Ma11_g15580 transcript:Ma11_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 3 [Source:Projected from Arabidopsis thaliana (AT2G28070) UniProtKB/Swiss-Prot;Acc:Q9ZUU9] MEEIQSYSDNYRSSSSSASSPIGRPPAGAFFYLRKPGTLRQPISFEDSPDWDDTEIDAHLEEVGDSIHIATATASPSLSKINSGSLPSPSLPEASTSVTARKIAGASIVWKELTVTLNEKGKYSDKVVKSSNGYALPGTLTVIMGPARSGKSTLLRSIAGKLQDPARMYGEVFVNGVKSCMPYGSYGYVDRDDMLIESLTVREMLYYSALLQLPGFFFQKKSFIEDAISAMSLGDFADSLIGGHCYTKSLPSGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTLIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQEDNSDLSSVNMDTAVAIRTLEATYKSSADSAAVESMIVKLIDKGGPYLKSKGKASCATRIAVLTWRSLLIMSREWKYFWIRFVLYLLLTLSIGTIFSNSGDSLSSVTVRVAAVFSFVSFILLLSVAGLPAHINEIKIFTHEESNEHSGPVVFLLGHLLSSIPFLFLVSITTALIFYFLVGMRNEFSLLMYFILNVFMCLLANEAFMMVVALIWLETFKCILTLVFIHVMMMLVAGYLKIADALPGPVWKCPLSYVAFHTYAIEGLLENEYVGTSFAVGQVRAISGVQAVHASYDISPSRNAKWGNLLALFLMAVGYRVLLFVLLRFNVRKNIVNCNFCCLKMNTTKSR >Ma02_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19338360:19344631:-1 gene:Ma02_g09230 transcript:Ma02_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAAAPPVSIDTINPKVLKCQYAVRGAIVSHAQRLQQELQTSQGSLPFDEILYCNIGNPQSLGQQPITFFREVLALCDHPALLGKSNIDALFSKDAIGRAQKILDLIPGRATGAYSHSQGIKGLRDAIAAGIAIRDGFPANADDIFLTDGASPGVHMMMQLLIRSENDGILCPIPQYPLYSASIALHGGSLVPYYLDEATGWGLEISDVKKQLEDAQSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENVYVDNKKFNSFKKIARSMGYGEEDLYLVSFQSISKGYHGECGKRGGYMEVTGFSAEVREQFYKVASVNLCSNISGQIIASLVMNPPKVGDESYKSFIAEKNGILSSLARRAKALEDAFNSLEGVTCNKAEGAMYLFPRLHLPQKAIDAAKAVIAAPDTLYARRLLDATGIVVVPGSGFGQVPGTWHVRCTILPQEDKIPAIISRLKAFHEAFMDEFRD >Ma07_p26310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33156996:33168741:1 gene:Ma07_g26310 transcript:Ma07_t26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSTGRFTLGRQSSLAPREDRDESLRSEVLDGSHVPAEIGSDIRLMYLASEGDLDGIQEILDSGVDVNFSDIDGRTALHVAACQGFAEVVELLIRRGAKVDPQDRWGSSPLADAMHYRNHEVIRILEQHGAKLPVVPTHAKIAREVPEYEIESNELDFTNSVFITKGTFLLATWRGIEVAVKMFGEDVMTDEDKVSAFMDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLNMKGPLKPRLAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILLDDSGHLKVADFGISKMLRMAKTIREVRPLTSLDTACRYMAPEVFRNEEYDTKVDVFSFSLILKEMIEGFLPFNKKQDDEVPKAYASKERPPFTAHRKKYPHGLKELIEQCWSEDPAERPTFKNIIDQLLIIQNQIAQKQRWKVRPLKVFYNFEAIWKKDNSNPSSRSSRSSRNLQ >Ma04_p31810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32026548:32034919:1 gene:Ma04_g31810 transcript:Ma04_t31810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPWPSSLSHLAGDRRSFPSFRRSQELRLLWSSNPYLVRRSLAPCCSGEEFRRGRALKSKEEFCHELREFISATGLAENRVPSMKELCENGRKDLANIVRRRGYKVVTELLFNSNGENHSDKISEGRQRFIDAELYKAAGGQETKIHVSPYCTLRRSNRSMERDLVKSNGMVLTDNHVQVCDNSESSVDSLHIKAVKFRQTGELDTMEGEDCELYHDLASEIYEHDNQNEINRLKILLHQKKMELSQLKQQIDDEKLALSSLHARATVELGDIKRIVAEKDAELHAAEGNLNGLKEVRIDYWANGQIIEVAGSFNGWQHRVRMDHHPSSKHINPPGKPMLWSTVLWLYPGVYEIKFIVDGEWRIDSQWEIITSGGITNNVLRVDKLKAQKKLI >Ma04_p31810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32026548:32034919:1 gene:Ma04_g31810 transcript:Ma04_t31810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPWPSSLSHLAGDRRSFPSFRRSQELRLLWSSNPYLVRRSLAPCCSGEEFRRGRALKSKEEFCHELREFISATGLAENRVPSMKELCENGRKDLANIVRRRGYKVVTELLFNSNGENHSDKISEGRQRFIDAELYKAAGGQETKIHVSPYCTLRRSNRSMERDLVKSNGMVLTDNHVQVCDNSESSVDSLHIKAVKFRQTGELDTMEGEDCELYHDLASEIYEHDNQNEINRLKILLHQKKMELSQLKQQIDDEKLALSSLHARATVELGDIKRIVAEKDAELHAAEGNLNGLKEVRIDYWANGQIIEVAGSFNGWQHRVRMDHHPSSKHINPPGYRKPMLWSTVLWLYPGVYEIKFIVDGEWRIDSQWEIITSGGITNNVLRVDKLKAQKKLI >Ma04_p31810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32026548:32034801:1 gene:Ma04_g31810 transcript:Ma04_t31810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPWPSSLSHLAGDRRSFPSFRRSQELRLLWSSNPYLVRRSLAPCCSGEEFRRGRALKSKEEFCHELREFISATGLAENRVPSMKELCENGRKDLANIVRRRGYKVVTELLFNSNGENHSDKISEGRQRFIDAELYKAAGGQETKIHVSPYCTLRRSNRSMERDLVKSNGMVLTDNHVQVCDNSESSVDSLHIKAVKFRQTGELDTMEGEDCELYHDLASEIYEHDNQNEINRLKILLHQKKMELSQLKQQIDDEKLALSSLHARATVELGDIKRIVAEKDAELHAAEGNLNGLKEVRIDYWANGQIIEVAGSFNGWQHRVRMDHHPSSKHINPPGYRKPMLWSTVLWLYPGVYEIKFIVDGEWRIDSQWEIITSGGITNNVLRVDK >Ma04_p31810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32026548:32034920:1 gene:Ma04_g31810 transcript:Ma04_t31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPWPSSLSHLAGDRRSFPSFRRSQELRLLWSSNPYLVRRSLAPCCSGEEFRRGRALKSKEEFCHELREFISATGLAENRVPSMKELCENGRKDLANIVRRRGYKVVTELLFNSNGENHSDKISEGRQRFIDAELYKAAGGQETKIHVSPYCTLRRSNRSMERDLVKSNGMVLTDNHVQVCDNSESSVDSLHIKAVKFRQTGELDTMEGEDCELYHDLASEIYEHDNQNEINRLKILLHQKKMELSQLKQQIDDEKLALSSLHARATVELGDIKRIVAEKDAELHAAEGNLNGLKEVRIDYWANGQIIEVAGSFNGWQHRVRMDHHPSSKHINPPGKPMLWSTVLWLYPGVYEIKFIVDGEWRIDSQWEIITSGGITNNVLRVDK >Ma02_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15092086:15092313:1 gene:Ma02_g03640 transcript:Ma02_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHECKIKSMKLSFFYTLLLAWSSWWSKLVQDQLFDLIWERLPSYHLK >Ma11_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1772349:1775532:1 gene:Ma11_g02450 transcript:Ma11_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYN MENGSGNGTTAEGAVVGRLMAAKRQSGKNYSQIAAETGLTNVYVAQLLRRQAQLKSGTADALRAAIPALTDDLVAEMMAPPFRSFRPDLVHEPAVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKDRVVVTFDGKYLPFSEQKSEHMVSKLKH >Ma09_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34272557:34273638:-1 gene:Ma09_g22310 transcript:Ma09_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFEALTGGLDDFAFEDYLNLPQDSETFKPLDMHHGMEVRLGISKGPVCPSFI >Ma03_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:984581:985277:1 gene:Ma03_g01330 transcript:Ma03_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSADLRNKYNVRSVPVRKDDEVQVVRGTFKGREGKVVQVYRRKWVIHVERITREKVNGSTVNVGINPSKVVIVKLKLDKDRKALLDRKARGRAADKAKGKFSAEEVAAAAAGAPSLQEID >Ma06_p30720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31961151:31969605:-1 gene:Ma06_g30720 transcript:Ma06_t30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKQLPSRSRKRTKQHQQPMAGGSSPATSSRSARGKRTSESDAPQGTPSQSRRRYRHRPGVMALREIRRLQKSWKLLIPMAPFVRLVREITNFYSKDVSRWTPEALIAIQEATETYIQGLFEDAYLCTIHAKRVTLQQKDLQLARRICGRRHW >Ma05_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10117734:10119126:1 gene:Ma05_g13910 transcript:Ma05_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVAVNQESPFRELNIKNRRIMGGGGTAEEKGRWPRWLRPLLSTSFFVQCEHHADSHKSECNMFCLDCTNGALCSLCLAHHRGHRAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNKRPQPRPGKGVTNTCEVCNRSLLDSFRFCSLGCKITGTSSDCRKKKKTKNKKKSTAESDSEESYLRSSNPGNERRNVTHSFTPSTPPPTATSFRTAKRRKGVPHRAPFGTLLLGF >Ma09_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13502415:13505085:1 gene:Ma09_g17890 transcript:Ma09_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASRSTRGYDGVDDDDEAEVFGMKEEGSSSRQDLTVNVDGRVSTADQRPNTPRSKHSATEQRRRSKINDRFQILRQLIPHGDQKRDKASFLLEVIEYIRFLQEKVQKYELPYSGWNQDGVKLMPWKNNQAPGIGLAIENNSASPAHIFLKKSSEGSTPVAPPMLSSVHVSPATGVAAGNSYKIATSLASNLGTANNSSHQRLLAVGREAGVAQSQQGLISNPDTMVFQDRPPWLRSCSLTGGAIGRDVMNEQEELTVDEGMISMSTAYSHGLLNTLSQALQSSGVDLSQASVSVQINLGKRAINSRPTGTATTSILKDQKDSTPFYQAIGYSRLGESQALKRHKAENS >Ma04_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3434908:3443857:1 gene:Ma04_g04500 transcript:Ma04_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSTGEGHIKGVPTHGGRYVQYNVFGNLFEVTAKYVPPLRSIGRGACGIICAAVNSQTHEEVAIKKISNAFDNRIDAKRTLREIKLLRHMNHENVIAIKDIVRPPNRENFNDVYIVYELMDTDLHQIIRSSKPLTDNHCQFFLYQLLRGLKYVHSANVLHRDLKPSNLLINANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCIFGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQFPQYPKQQLHAKFPTMSNGAIDLLEKMLVFDPSKRITVDEALHHPYLASLHDINDEPVCPTPFSFDFEHPSNTEEDIKELIWRESLKFNPDPLEPIFEMKPAKPVKSNHWATRWTSAN >Ma09_p26630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37817359:37821263:1 gene:Ma09_g26630 transcript:Ma09_t26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21065 [Source:Projected from Arabidopsis thaliana (AT4G21065) UniProtKB/Swiss-Prot;Acc:A8MQA3] MPYASAVFAQVPNPGVFSYNTMIRGYAESCHPRHALDVHRLMLAADVPPDSHTYPFLLKACAKLLSLRDGERVHCRSVKDGHDACVFVQNTLVHFYAACGLFESAHKLFERMSHRNLISWNSVINGFAINGRPNEALTLFREMVADEDGGIEPDGFTMVSLLCACAELGVLALGRRSHVYLAKRGLDRNAHVGNALIDFYAKCGSIEEAYKVFDEMDERTVVSWTCLIVGLAMNGFGEESLDLFHAMERERLVPTEITLVGVLYACSHCGLVDDGFRYFNRLTRDYGIVPKIEHYGCMVDLLGRAGLVEEAHSYIMNMPLEPNAVIWRTLLGACTMHKRLEIGEAVWARLVELDPGHSGDYVLLSNMYAAVSQWGIVHKLRRSMLKGGVRKMPGHSLVELGNHMHEFIMGDRSHPQSQQIYEMLEEIAARLRLEGYMPHTTNVLADIEEEEKETALNYHSERLAIAFALLNTAPGTPIRIVKNLRVCADCHIVTKLISKIYDREIVVRDRSRFHHVRGGSCSCKDYW >Ma04_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11030140:11033967:1 gene:Ma04_g14570 transcript:Ma04_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYCRRHRQLLLIFLVHTIGSLAAAEEALEADALLALKAAVSDPSDALSAWNNSASGGHCSWPGVACDPLRGFVVSLDLTGFNLSGTLSLAVVRLRHLLNLSAASNSLSGPIPAELSRLSNLRHLNLSNNLFNGSFPSAIVRLKNLLVLDIYNNNLTGPLPAEVSELPNLRHLHLGGNFFSGVIPPVFGRWEFLEYLAVSGNELGGPIPPELGNLTRLRQLYLGYFNNFEGGIPPEIGGLPELVRLDMANCGLTGEIPPELGNLQNLDTLFLQVNGLSGRLPVELGRLRSLKSMDLSNNALTGEIPSSFADLQNLTLLNLFRNKLHGSIPEFVGDLPALEVLQLWENNFTGGIPRRLGQSGRLQIVDLSSNKLTGTLPPDLCFGNKLQTLIALGNFLFGPIPETLGRCESLGRIRMGENYLNGSIPHGLFSLPKLSQLELQDNLLTGGFPDTGAAAISPDLGQISLSNNRLSGPLPPSIGNLTGLQKLLLNQNQFSGRIPPEMGRLQQLSKLDFSGNRFSGPIAPEISRCKLLTFVDLSRNELSGELPPEIAGMRILNYLNLSRNQLEGSIPASIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNASSFVGNPELCGPYLGPCSSVTNGDGSTHARGSLPASSKLLLVIGLLICSVAFAMAAIIKARSLKKASDARAWKLTAFQRLDFTCDDVLDCLKEENIIGKGGAGIVYKGIMPNGERVAVKRLPAMSHGTSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLLWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGEGVDIVQWVRRMTGCNKEGVLEIVDPRLPTVPLDEVMHVFYVAMLCVEEQSVERPTMREVVQILTDLPNPARKQGEDREAATKEQQQAAEKPPPPDLLSI >Ma03_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2853979:2854511:1 gene:Ma03_g04350 transcript:Ma03_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTPSWGVCLLGALFLLSTVCAGLRPNPGKASQAALFFFAFFLWLETVLLSADAMTAASDDRDNRRKAQDDLISKETGGDGILKGLYATGSSLPDCSHACGPCVPCKRVMVIFKCSLAESCPVGYRCTCDGKYYHVPSN >Ma02_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26461332:26463058:1 gene:Ma02_g20490 transcript:Ma02_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELMNCPRPGSLMGQQQQQQQPSRGVWEEDVALALESGSLWVEEFAVDELLNLEFMEEEKEGGEQEGEVRDFAEHKKAEDLDSNTSSCSASSATSSPSFEPAVALTGIGLPAHDAEELEWVSRIMDDSLWAPQSQQDDTATQTEDRPAEGPSLVSPAVCLLSTQAMVPVKAKRSKRSRSAAPVVAWSLSGPLLFVESSTESTTTTTTTSSSSSFSSSVSSRSPPSTSSCLTHDHPSAAGDQSFFLCDKQKPKKRGRKPKPASSAAAGERRCTHCGAQRTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFVNHIHSNCHRKVLEMRQKKEIGLPSPAAAASPVPFL >Ma04_p27590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28792497:28793318:-1 gene:Ma04_g27590 transcript:Ma04_t27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLELILIPIKIFHLVSPKSISISLHFILYLYLYNIFLRN >Ma09_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11775090:11776598:-1 gene:Ma09_g16390 transcript:Ma09_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKRVMGEEVVVIVGAGQSGLAAAACLTELSVPCVILEREDCIASLWRSRCYDRVSLHLQKQFCQLPHAPHPPETPMYIPKQDFIRYLEDYAARFRLRINLQRKVESTKYDEEAGRWRLVATNGEDGSMEEYVARYVVVATGENDEMVVPKIPGLEGFSGPVVHSSLYRSGSEYKGKSVLVVGCGNSGMEVALDLAEHGATTHIVVRNKFHIVTKEIWVKAMILSRLFPCKVVDTVILLLCHLEFGSLAKYGIRRPAKGPLYLKEHTPVYPVLDCGTVKKIKSGDIKVLPAIKHIEGNDVTFSDGRTQHFDAIVLATGYRSTVKRWLKGDDSLIDETGMAKQTHPNNWKGRNGLYCAGLSRRGIYGSAEDALKIADDIGDDYKHTQ >Ma03_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3345782:3346160:1 gene:Ma03_g05130 transcript:Ma03_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPIPIRHANHSRFPFLLHSYVCSKQAFLRWKAKHLFSPIGILAAGGVMGCVKSGSQKSLVAGGVSAALLCHVYTQLPERPLYASSLGLGVRP >Ma07_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:614576:617409:1 gene:Ma07_g00730 transcript:Ma07_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMDNHNSWLGFSLSPHMSMELSVDSHHQPHNHSHHHQSQPTPDAVSALAGSFFLSATHQLSSSGFSFGVSENGGGHGYYSQLSSMPLKSDGSLYLVEALSRSQQQEAMVPSPSPKLEDFLGCGPNMGTHHQYGNNDRGAMALSLDSMYYQQNPEPEGSRSHPSDILHEQLPYLQPLQQGICSLLTSHEMYQAPLQGHAVRNEAVPRLKTWVPMDYNGGNAGPVGAMGYGELQSLSLSMSSVSQSSCVTAPQHASSATANDYVTLDPTKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGTSTHINFPLEDYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDIAAIKFRGSNAVTNFDMSRYDVEKIMASSTLLSGEHARRNKNMDAPGVVPVAEQPAPISEEQTQRLEFEPEIFTTNLDGLVGGDPGSGQGMDSERTEVSMLFGKAPSRFISTPASNTWMAPAQMRSALPLAHMPVFAAWTDA >Ma07_p28530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34537535:34543520:-1 gene:Ma07_g28530 transcript:Ma07_t28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHLARSGFSLALRLRLSGPPPRRPSALSLRCRLREDGGESNGDERPESLFMKELKRRGINPTSLLEEGDGGGSIGSMESEEESRGGRDERIGRTKRNGVASAELDTAVSNQRERSMLLNSEGLEGLIPRAKLLLTIGGTFFLGFWPLILITLGLFLALYIYLGPSFVHDASKVPVLPPPYIDPYTLLEDERLSQVTPHVN >Ma08_p26780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39230194:39234298:-1 gene:Ma08_g26780 transcript:Ma08_t26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSASVSLDVERISFGGKEHHVLTSHGPISVAVYGDLEKPGLITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAPISPNDPVLSVDQLADQVADVLDFFELGPVMCLGVTAGAYVLTLFATKYRERVLGLILVSPLCKAPSWTEWLYSKVMLNFLYFYGICGLIKESLLHRYFSKGVRGSSQVPESDIVQACRSLLDQRHGVNVWRFLQSINERYDLAEASKKLQCRTLIFVGENSPFRLEAINMVTKLDRRFSALVEVQACGSVVTEEQPYAMLIPIEYFLMGYGLYRPSQVSCSPRSPLSPSCISPELLSPESMGVKLKPIKTRISLHV >Ma06_p38870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37504369:37506740:1 gene:Ma06_g38870 transcript:Ma06_t38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHISAATGAATTYNGRVTTFVVISCVMAGMGGVIFGYDIGISGGVTSMESFLEKFFPDVYAKMRGDSAVSNYCKFNSQLLTTFTSSLYVAGLFATFIASWVTRRFGRRRTMLAGGFAFMAGAALGGAAADIYMVIFGRVLLGIGVGLANQSVPLYLSEMAPPQYRGAFNNGFQFSIGIGALIANIINYGTANIKGGWGWRVSLALAAVPALLLTLGTFFLPETPNSLIQQGGDVHDAKQLLQKIRGTTDVDQELEDLVAASNASRIVRRPLRTIVRRNYRPQLVMAIAIPFFQQVTGINAIAFYQPVLFRTIGLGQNASLISAVVGGAVGISSTLISMIVVDRLGRRRLFLIGGIQMLISQVIIAGILATQLGDHGGVSRGYAYLVLLLICVYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSITVAVSFIFTFIIAQTFLAMLCHMKSGIFFFFAGWLVIMTMFIHMLLPETRNIPMEQMGRVWREHPFWRRIVVDEGEESKMDG >Ma06_p38870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37504367:37506740:1 gene:Ma06_g38870 transcript:Ma06_t38870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQPLWSSPASWLAWEASSSATTSGSQVSNPFVGQPRVSFSSFNSVLLVGGVTSMESFLEKFFPDVYAKMRGDSAVSNYCKFNSQLLTTFTSSLYVAGLFATFIASWVTRRFGRRRTMLAGGFAFMAGAALGGAAADIYMVIFGRVLLGIGVGLANQSVPLYLSEMAPPQYRGAFNNGFQFSIGIGALIANIINYGTANIKGGWGWRVSLALAAVPALLLTLGTFFLPETPNSLIQQGGDVHDAKQLLQKIRGTTDVDQELEDLVAASNASRIVRRPLRTIVRRNYRPQLVMAIAIPFFQQVTGINAIAFYQPVLFRTIGLGQNASLISAVVGGAVGISSTLISMIVVDRLGRRRLFLIGGIQMLISQVIIAGILATQLGDHGGVSRGYAYLVLLLICVYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSITVAVSFIFTFIIAQTFLAMLCHMKSGIFFFFAGWLVIMTMFIHMLLPETRNIPMEQMGRVWREHPFWRRIVVDEGEESKMDG >Ma09_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5620114:5622383:1 gene:Ma09_g08490 transcript:Ma09_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELESAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPSIVHRKCF >Ma01_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8622960:8623178:-1 gene:Ma01_g11900 transcript:Ma01_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYHNQEDRAFIQEQSFDQLIRSGMRYIHLGILQIRIQTLHRQEEGTLALLVFRDNRWTYVPCQQVCAAST >Ma01_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10179971:10180913:-1 gene:Ma01_g13920 transcript:Ma01_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLESGLKHIDLGIKYNPSTGIYGMDFYVMLERAG >Ma05_p28330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39373949:39377941:1 gene:Ma05_g28330 transcript:Ma05_t28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLAAAEVAMRSGDGVEGVEEMTLTVRWSGKEYTVRVCGDDSVAELKRRICEVTNVLPKRQKLLYPKISAKLSDDSVLLSQLNLKPSVKMTMIGTVEDDIIVDQADSPEIVDDFELGHDEVIDIKDKFVNKQKLLRRISQHKIKLLNPCREGKKLLVLDIDYTLFDHKSPAENPRELMRPYLHEFLSAVYAEYDIMIWSATSMKWVELKMGQLGVLNNPNYKITALLDHMAMITVQSDSHGLFDCKPLGLIWAQFPEFYNAKNTIMFDDLRRNFVMNPQNGLTIRPFRKAHLNRSSDQELLRLTQYLLAIADLPDLSQLDHNYWELYAEDSTKRRRHR >Ma07_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1393037:1393728:-1 gene:Ma07_g01800 transcript:Ma07_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHHDPSKHRRYDPSMSRRTRRPIKISQEDLNGESESQLQKDEGLQRMSLQELMVEGGDSSSSKGEKADADTDDRKGDVRKLTDVSAEEKNAPPAAATDYGESEKKLAVVLKQADSTGGVTVAGMVSRYVKVLNKLIKARHNSKKRSAAKLMK >Ma10_p28940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35667017:35667442:-1 gene:Ma10_g28940 transcript:Ma10_t28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPSLTILVEPGRRSEPKGRNGNEENAAKELNWNSGKPYVMLKNLLAEADTESEQKVPFGRGHNASLTCKRRNGLHDDGYSLKPATSKVVSDKSNEPFDNQVDHSEWNSPARLPVTQHVKKKVKGRQAWVPFICCASMN >Ma02_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19515252:19516192:-1 gene:Ma02_g09610 transcript:Ma02_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPARAWYSSLKAGTVTSFDQLAKDFELNFLAYARPKSSMALLLGLNQKEDEPLSHFVNRFTTQIRRLSDAHPSLLMQAFMTVLNGGFYLSSAPRLGQMAARVFIRGSTVHGFSTAIDPRRTRCWTVMASLKHLLVEQSVGYFAKDE >Ma00_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8471049:8471138:1 gene:Ma00_g01520 transcript:Ma00_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAISLLGLGDYLRVFQNSWIPDAERLLI >Ma03_p29430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32345235:32350243:-1 gene:Ma03_g29430 transcript:Ma03_t29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:Projected from Arabidopsis thaliana (AT4G31720) UniProtKB/TrEMBL;Acc:A0A178V2H6] MNSSSSSSMGGEGRHDDDSGLTEFLSSLMDYTPTIPDELVEHYLGKSGFHCPDLRLTRLVSVATQKFMLEVANDALQHCKARQAAPVKDKSKQPKDKRLVLAMEDLSKSLQEYGVNLKHQEYFADNPSTGMDPTSREE >Ma03_p29430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32345224:32350243:-1 gene:Ma03_g29430 transcript:Ma03_t29430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:Projected from Arabidopsis thaliana (AT4G31720) UniProtKB/TrEMBL;Acc:A0A178V2H6] MNSSSSSSMGGEGRHDDDSGLTEFLSSLMDYTPTIPDELVEHYLGKSGFHCPDLRLTRLVSVATQKFMLEVANDALQHCKARQAAPVKDKSKQPKDKRLVLAMEDLSKSLQEYGVNLKHQEYFADNPSTGMDPTSREE >Ma05_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8113469:8117383:1 gene:Ma05_g11200 transcript:Ma05_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKQPPPSAPLYPQVVESNPEMHSPFLVSSSSSSRPSLYPSIHSAPSPNSPATGAATNSSSLYPSVDMSDLVENLFLDAGEDDAEAKNPSLPPPVEETLLRIPGAILHLIDRHRSVELGAGDFSLVRLRQGDNTVAVLARVGDGLVQWPLARDEAAVKLDHSHYFFSLHVPRTSHDDGDHDDDGNNLLNYGLTFASKGQEGLLGELDRLLQAFSSFSVQKVEVKGKDHGEVLDGSVAREVTPAEGMGPKKKMMEEESAAYWTTLAPNVEDYGSSVAKLIAKGSGQLIKGILWCGDVTVDRLKWGEDLLKKRLEPSSNPTEISKDALKRMKRVKRVTKMSEKVANGVLSGVVKVSGFFTSSVVNSKVGKKFFGLLPGELVLASLDGFGKICDAVEVAGKNVLQTSSVVTTGVVSHRYGDQAAEITHEGLDAAGHAFGTAWAVFKIRKALNPKSAMKPSALAKAAANDLRAKKGK >Ma09_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17236863:17247032:1 gene:Ma09_g18250 transcript:Ma09_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSIDWRSLILYRKSLYLLLPPPPALLFSCHNWQEELYSQKDRPATGGDVSAPAAEKMARDALAAAADAQAALKKKTAAARSWILFDSSGEGTILDADKYAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITADEVLLRDPTEENVIPIVEELRRRLRSDNVINQAHGEGRENINGQHDLDGVEEDESPFEFRALEVALEAICSYLDARTTELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLTRKLAGASSPVSGSGVPNWLPGSPTIGSKISRASRASAATIHGNENDVEELEMLLEAYFMQIDGTLNKLATLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYALVAGIFGMNIPYSWNDNHGYVFKWVVGISGLVSGFLFIFIIAYARHKGLVGS >Ma05_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9024413:9031166:1 gene:Ma05_g12450 transcript:Ma05_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVVVGNKTDLVDKRQVSIEEGEAKAQELGVMFIETSAKAGFNIKALFRKIAAALPGMENLSSTRQEDMVDVNLKATNANSYQSQQQSGGCSC >Ma09_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27177603:27185067:1 gene:Ma09_g20020 transcript:Ma09_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLFGSVRRSLVFHPSPDRIEDGGGGGRRIAERIGSCLRKSRIGLGLGFGGSTPKLPPPVPPHRVPADDIPPIRWRKGELIGCGAFGHVYMGMNLDSGELLAVKQVLMGTSGASKEKAQAHIKELEEEVNLLRNLSHPNIVRYLGTAREDETLNILLEFVPGGSISSLLGKFGSFPEAVIRMYTKQLLQGLEYLHQNGIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATMNAAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSLEAKDFLLKCLQKEPNLRPTASDLLQHAFVTKEFQDMHPIHHSALAESTKDAALPSKLYMKSINTLVVDNRTCCEGLDLGGCGSGSGSGRCSTFFRGKLSSVRPIWDMSTSSDMCRLDDKDDFPVVGSSFNPISEPFDDWSNEFDINLKHKKMDFNDLSESFIDVSCTRKGEKDFTFPCKLVPEDDDEVTESKIRAFLDEKALDLKKLQTPLYQEFINSLNNNNETCAGKKSEENMTKNLKFPPKIETSPNKNISGKTVPLVGTANRVSSENCSRRVSNSGEENDQVLREISPKFNERGGLLQDAQQEPNCPSDKFSERRRKWKEELDQELEREREMMRQAGYGRISSPKNGWLNQKRDRI >Ma09_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32485983:32488790:-1 gene:Ma09_g21460 transcript:Ma09_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAQESVGGAGAGEDVTVRAARKRFERLLAVRCKAMKGKGAWYWAHLEPVMVADAGSGNPAVAKLRCSLCAALFSASNPSRTASEHLKRGACPNFSSPSSATPTPSLAAASAAVDPVPISSLPPPSPRLRPHYPPSRRRSIPAPTPPRPPLVLSGGKEDLVALARLEDSVKKLKSPLASPAAALPKPQADAALTLLADWLLEYGGAVSPSALDHPKFQSFLNQVGLSSISPCQLVLSHLEARYLEVLSDSEDRIRDAAFFQLASDGWKSSATSSEHTLVSFVANLPNGSTLFHRSLLTTGGAPSDYAEEVLWDVVARLCGGLVDRCAGIIADRFNKKALLNLESRNQRMVNLSCQLQAFNSLIKDFAHQLPLFAKVSANCLKLANFVNNQSQVRSIFLKYQLEEHGHSRLLRSHCSTGLAVVEDVTEFARPIQMAVLDEDYKVVCLEEPSAREMAELIQDGGFWTELEAVHSLVSLVKAMARDIEMERPLIGQCLPLWDELRSKLGDWRTKYGIDGGLVDNVIDQRFKKNYHPAWSAAFVLDPLFLIKDTSGKYLPPFKCLSPEQDKDVDKLIMRLVSPEEAHVALMELMKWRSEGLDPLYAQAVQVKQHDPSTGKMRNANPQSRRLVWETCLTEFKCLQKVAVRLIFLHATSGELKHNSTLIRWMCTHAQSRAAQKMAFLTVHSKIGRRDFLSDEEKDAELFNMAENDDASAA >Ma01_p10540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7577558:7582305:1 gene:Ma01_g10540 transcript:Ma01_t10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIVAPPPFYLSVSTCDLHPDQTVTGFCASCLRERLASLDVTPGCLSTSSVSAFRSVFPRASASNPPSFLRPELRRCKSFSSARCASGFETERKSCDARGRYTLWSLFCEDELDRGHQPLAPSASASVEGGGTEAQFRNLWFAPSSSGAAPPLKTFGEEDDADEIRAADPMIHVGSSLEMDRGERLEETEVKPMKDHIDHEFQAKKPPHKDPKNIADSFWLAASGLSKKLQKWRRKHKDKKQGGITAAVATPAEKPPKSSHRLRDTQSEAAVDAFSRRSCDTDPRFSLDTGRMSLDDPRFSLDESRASWDGYLTGGRSGFARLPPMFAVVEDATAAAILRPDSLIPVEEDSVAPGGSAQTRDYYLDSSSSRRRRSLDRSNSNSNREQPFEVKPVSIARVSPAGSAEFYHFHHANVLEDRELRDLSSKSLRNECFGRLDAPSGDLHEGSATKKPRKWSKAWNIWGLIQRRRSSTRGRADMAERSLSESWPTLRSHPGYNGRILQSNSSVGFRRSFSGSFGYGGVSRSSLESNRHRREELVLERNRSARYSPNCVDNGMLRFYLTPVRNSRKHGGSGKGEITKLQSSYA >Ma01_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7577558:7581301:1 gene:Ma01_g10540 transcript:Ma01_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIVAPPPFYLSVSTCDLHPDQTVTGFCASCLRERLASLDVTPGCLSTSSVSAFRSVFPRASASNPPSFLRPELRRCKSFSSARCASGFETERKSCDARGRYTLWSLFCEDELDRGHQPLAPSASASVEGGGTEAQFRNLWFAPSSSGAAPPLKTFGEEDDADEIRAADPMIHVGSSLEMDRGERLEETEVKPMKDHIDHEFQAKKPPHKDPKNIADSFWLAASGLSKKLQKWRRKHKDKKQGGITAAVATPAEKPPKSSHRLRDTQSEAAVDAFSRRSCDTDPRFSLDTGRMSLDDPRFSLDESRASWDGYLTGGRSGFARLPPMFAVVEDATAAAILRPDSLIPVEEDSVAPGGSAQTRDYYLDSSSSRRRRSLDRSNSNSNREQPFEVKPVSIARVSPAGSAEFYHFHHANVLEDRELRDLSSKSLRNECFGRLDAPSGDLHEGSATKKPRKWSKAWNIWGLIQRRRSSTRGRADMAERSLSESWPTLRSHPGYNGRILQSNSSVGFRRSFSGSFGYGGVSRSSLESNRHRREELVLERNRSARYSPNCVDNGMLRFYLTPVRNSRKHGGSGKGRVINSHYFIRNMLGLY >Ma04_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19226722:19245654:-1 gene:Ma04_g17930 transcript:Ma04_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRASAPSGAHQATVGGGEQEAGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCNYLQDLKKASAEEMRKSVYANYAAFIRTSKEISDLEGELLSIKNLLGAQTGLIRGLAEGVNIDSLSAGSEGSTENDISNVEDREPSELEKWVEEFPDMLEVLLAERRVDEALDALDEAERLAADAKQKQTLGTADLSSLQNAISDHRQKFADQLAEAACQSSTRGVELRAAAAALKRLGDGPRAHTLLLSAHDQRLQYNMQVIHPTNTSYGGAYTAALSQQVFSAIAQALNDSQAVFGDEYASELVIWSTMQAEAFAHLVKRYALASSAAAGGLRAAVECVQIAIGYCSLLEACWRLSLSSVLLKLFRPSVEQALDANLRRIEESTAALAAADDWLLSYPPTGARTSNRTSTVVLGVQPKLSSSAHRFYLMVQDFFEDVGPLLSMQLGGSSMDGLLKVFNSYISLLINALPSSMEEETNLDGPVSKLVRIAETETQQLALLANASLLAEDLLPRAVMKLSPLYQSGVMEDPRKRGLERNTRMPEQREWKKKLQRSVDRLRDSFCRQHALDLIFTEDGDTNLGADMYLNMDMNSEEQEWTPSPIFQELYAKLNRMASIASDIFVGRERFATLLMMRLTETVILWLSEDQSFWEDIEEGQRPLGPFGLQQFYLDMQFVILYGQGRFLSRHVHQVIVDIIERAMAAFSATGMNPDSVLPSDDWFFDVAQETISRISGKARFGNGERDSNSPTASISAQSISSVRSHGSS >Ma01_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8742093:8763331:1 gene:Ma01_g12060 transcript:Ma01_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCRCRRWLSAFAPRSSVCRRRRLSSIFPSPPVADMGKSVARGGSSEAKPKEKPSPVSSHKDEAYLDAVIQKRVHLFESIQAQQKAERLDLDGESIRITLPDGTIKEGKKWLTSPMDIAKEISSGLAASALIAQVNGFLWDMTRPLEGDSNLKLFKFDDAEGRDTFWHSSAHILGQSLEMEYGCKLCIGPCTTRGEGFYYDAFYDELTLNEEHFNQIQSRASKAVGEKQPFERIEVSREQALEMFAENKFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAIACLKASSSYWRGKSDRESLQRVYGISFPDSKRLKEYLTQLEEAKKRDHRILGQNQELFFFHPLSPGSCFFLPHGTRIYNKLIEFLRVQYRDRGYQEVLSPNIYNMQLWETSGHAANYKDNMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRLADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCRENQIKEEVKNVLDFISYTYGIFGFTFELELSTRPEKYLGDIETWDKAEAALSEALNEFGRPWQINEGDGAFYGPKIDIGVFDALNRKFQCATLQLDFQLPLRFKLSYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQSYAQQVHDKIHQAGYYVDADLTDRKIQKKVREAQLAQYNYILVVGEEEAKTGQVSVRVRDGSDHSVMSLEALLEHFKEEVAAFH >Ma03_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10067830:10079742:1 gene:Ma03_g13050 transcript:Ma03_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFRKFFYRKPPDGLLEISERVYVFDCCFTTDILEEKEYRVYMGGIVSQLHEQYPDASFMVFNFREGESQSQISSVLSEYDMLVMDYPRQYEGCPLLTMEMIHHFLRSGESWLSLGQQNLLLMHCDRGGWPVLAFMLAALLVYHKQYTGEQRTLDMIYKQAPRELLQLLSPLNPLPSQLRYLHYISRRNVAMEWPPLDRALTLDCIILRFIPDLNGTGGCRPIFRIYGQDPFIASDQTPKVLFSTPKKSKLVRFFKQEDCELVKIDIHCHIQGDIVLECISLDEDLIREVMMFRVMFNTAFIRSNILMLDRDAIDILWDAKDRFPKDFRVEVLLSEMDAADPLISSELTSGEEKDGLPIEAFAKVQELFNNWDWLETNVDAATKVLQQISSSKNLQEKLDAMSPQKFDADVKLKRTSYDVLREELQLGETDDDGNKKSLLSSPKEQSAPLDEGSDSITESQNVELDGLQMSAQCPSRIEQIPASPSTPAFSSDSPQVPGSDATVSRYHSSPSSLGVITPLHDHVAFDSSAVTQTTMLASPLPTSSASGSNISSELSQSQSSEQQLTSSASHIKDTSHASPSPPLSPLPPAAAAESDAETTLKPTAVAEVPSLTTESSIPEVPPSPAPELSTPTPHTFVPPLPSHHGTSSQANDKPTSHPPPPPPPPPPPPPPVEGTSSASVSAGLEKPASAPVAHQVVSSNMAGKSVLMASPCPQSNLMPVRNATEGAGVPPPPPPLPGPAAASSAPPPPPPPNISSQHSTKSSSAPPVPPPPAPSSSLGSLTAPKSSGGKKTNSEVIPPPPPNGMNAPPGGKGRILAPTSNYRGMQTAQALSRKANLKPLHWIKVTRAVQGSLWAESQNCDECLKAPEFDMSELESLFSAAVPNSELRRLGSKSSGSMGPKSDKVHLIDLRRANNCEIMLTKVKMPLNDLLSSVLTLDDSILDVDQVDNLIKFCPTKEEMELLKGYNGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFQSQVADLKASLNIVNSAAEEIRSSVKLKRIMKTILSLGNALNQGTARGSAVGFRLDSLLKLTDTRARNNRMTLMHYLCKVLADKLPELLDFPKHLVSLELAAKMQLKFLAEEMQAINKGLEKVEQELTASENDGPVSQIFCKTLEEFLGVAEAEVRSLTSLYSNVGRNADALALYFGEDPTRCPFEQVVSTLLNFVKMFVRAHEENCKQLEMEKKKAQKEAENEKLKINSSKNAPQNIPSTTGSANTT >Ma06_p00430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:342350:354144:1 gene:Ma06_g00430 transcript:Ma06_t00430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSPSLPVSKDLNLPYPSAAPFDGHRKQESSSNINPYGGHHHQEEEEQQQQQTSSGLLRYRSAPSSMLGELCDDFLPERTSCPETETLFARLLAPDLQIRDKPPGSGGGAAISQRSPQFTPPHPPPAAFSSQIMFHQLQKQQHVSSMAMETEQIKNGDADSSSTHPRHSSSPGGLFSHLSVEDGYPMLRGVAGFRNEDEADRLRNQISFSSRQNSMMSQISEVIGGSSPEESSGGRCYMPGFPTNTWDTSSLFSGRDDGGKTTTDALNPAELQIGEARNHAAGYLAFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAIGYIKDLQKQVKVLRLQRFAGIVVLVNVNWIVCCCGRHWRTAGKAVPVHPGNSSRIVSGGSFNQSSTMFLAMADSVGGEIHNVLAENGMGIIDKRMDTEGGASFFGRLVLCFLFIAFLAVEVVDVPSG >Ma06_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:351911:354144:1 gene:Ma06_g00430 transcript:Ma06_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSPSLPVSKDLNLPYPSAAPFDGHRKQESSSNINPYGGHHHQEEEEQQQQQTSSGLLRYRSAPSSMLGELCDDFLPERTSCPETETLFARLLAPDLQIRDKPPGSGGGAAISQRSPQFTPPHPPPAAFSSQIMFHQLQKQQHVSSMAMETEQIKNGDADSSSTHPRHSSSPGGLFSHLSVEDGYPMLRGVAGFRNEDEADRLRNQISFSSRQNSMMSQISEVIGGSSPEESSGGRCYMPGFPTNTWDTSSLFSGRDDGGKTTTDALNPAELQIGEARNHAAGYLAFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAIGYIKDLQKQVKVLRLQRFAGIVVLVNVNWIVCCCGRHWRTAGKAVPVHPGNSSRIVSGGSFNQSSTMFLAMADSVGGEIHNVLAENGMGIIDKRMDTEGGASFFGRLVLCFLFIAFLAVEVVDVPSG >Ma06_p00430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:351911:354021:1 gene:Ma06_g00430 transcript:Ma06_t00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSPSLPVSKDLNLPYPSAAPFDGHRKQESSSNINPYGGHHHQEEEEQQQQQTSSGLLRYRSAPSSMLGELCDDFLPERTSCPETETLFARLLAPDLQIRDKPPGSGGGAAISQRSPQFTPPHPPPAAFSSQIMFHQLQKQQHVSSMAMETEQIKNGDADSSSTHPRHSSSPGGLFSHLSVEDGYPMLRGVAGFRNEDEADRLRNQISFSSRQNSMMSQISEVIGGSSPEESSGGRCYMPGFPTNTWDTSSLFSGRDDGGKTTTDALNPAELQIGEARNHAAGYLAFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAIGYIKDLQKQVKTLADSRESCACSSGKQQSHS >Ma10_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27932038:27936701:-1 gene:Ma10_g16080 transcript:Ma10_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAERLAASFSPSSCILPAASKRTAQPSSAALSFLPSPRRLHSTSSCSLRPVPSPLRLFQTAAIGSRRTSSVVVCAASDPAQLRSAREDLKELLKTTFCHPILVRLGWHDAGTYDKNIAEWPKRGGANGSLRFEIELKHAANAGLVNALKLLQSIKDKYSSITYADLFQLASATAIEEAGGPKIPMKYGRVDVSGPEQCPEEGRLPDAGPASPAGHLRNVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKAETKYTKDGPGAPGGQSWTVQWLKFDNSYFRDIKQGKDEDLLILPTDAVLFEDSHFKVYAEKYAEDEDAFFKDYAEAHAKLSNLGAKFNPPEGISMNDDDDSNKTVTTRKPFVAAASYSDED >Ma06_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5056510:5059614:1 gene:Ma06_g07090 transcript:Ma06_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLSLPRGSWIEVMRSMFSNLVEEIADVPTMSTGCSEKGGNMWLAGFQRNDSGTKMASAGSLTASLSFKDWESTDSKLGTAASSEDQDKEDYKKETSSMRSIENVAVPNSNTSNCFLLSPNPMNEFDAAAVKLQKVYKSYRTRRNLADCAVVIEELWWKALDFASLKHSSISFFTDGKPETAASRWARARTRAAKVGKGLSKDHKAQKLALQHWLEAIDPRHRYGHNLNLYYDVWFKSESTQPFFYWLDVGDGKEVILENCPRIKLQQQCIKYLGPKERRAYEVIIKDGKLFYKQSGISVNSVDGTKWIFVLSTSRTLYVGQKIKGLFQHSSFLAGGATTAAGRLIAKEGILKRIWPYSGHYLPTEENFKEFINFLQDNDIDLTDVERYSINEDDECSSLSQPRNDIVTDIDEMVLIAENPIMLKTRDESKTETEEAQKPEYHETKIKPTFELGNHIQRNWTTGVGPRISCVRDYPVDLQFKALEQLNLSPKVTPCSVGNSGPIPSPRPSPEIRLSPRLAQMGLPVPMISLTLPKFQHNNN >Ma10_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26695052:26699141:1 gene:Ma10_g14160 transcript:Ma10_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPARGGGVRLFGVRLTDGVGAMKKSASMGCLSSAAAFSTAGASPSADPAGDHPDAAASGYASDEPAHASSSSICRKKGVPWTEEEHRMFLVGLQQLGKGDWRGIARNFVISRTPTQVASHAQKYFIRQSNSSRRKRRSSLFDMPIDQISANEERLTSNSPPNEVENTNHLPTLHLNEQGAEFLETKTTKYAPEQRETIPQTQNSVTMIPTYYPAFIPVPLPYWPLNLTTVAKEEEMKEAHEILKPIAVVPKEALNVEEVVGISKLSIGDGTDSRMDPSALSLKLVGSSSARQSAFQVNSSVALPDLNQSNSSPIHAV >Ma10_p29640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36164182:36165006:-1 gene:Ma10_g29640 transcript:Ma10_t29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPPQPTTTDPTVPAPTSPPSPPQSDQESLPVAAAVVTVAPTPDQKVADDTGEAKKEEENGAAEAEEEEAECGFCLFMKGGGCKDAFIAWEKCVDDAEKSQEDIVDRCSEVTGLLRKCMDAHADYYEPILRAEQALVDAAADAAASSDDPDPQEEKKGKDS >Ma01_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6764393:6766719:-1 gene:Ma01_g09350 transcript:Ma01_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGGVKQILAKPIQLADQVSKLADFAHSFKQECAELKAKTERLAGLLRQAARADLYERPARRIMNDTEQVLDKALALVAKCRAHGLVRRVFTIIPAAAFKKMSTQLDNSIGDVSWLIRVSSSASAGSDDSDGDIHLGLPPIAQNEPILFLIWEQIATLHTGSLDARADAAASLVSLARDNDRYGKLIIEEDGVGPLLRLIKEGRLDGQESAAHALGLLARDPESVEQMVLGGVCSTFAKVLKEGPMKVQAMVAWAVSELAASHPKCQDVFAQNHVVRLLVSHLAFETVQEHSKYTIPSKDMSIHSVVMANSSGSTAAARNDASASVAMDSSEQGMVKHPTADQNQNANTNQMHSLIQSTMAVKSNKVPHNSNSHNPHASSNGKQHHVTLSGTSIKGREFEDPATKAYMKAMAAKALWQLAKGNPAICKSITESRALLCFAVLLEKGTDEVQYNSAMALMEIARVAEQHSDLRRSAFKPSSPAARAVVDQFLKIVEKADYDDLLVPCIVALGCLSRTFRATETRIIAPLVRLLDEQEMVVSKEAVIALTKFACTENYLHLDHSKAIINEGGSKHLVQLVYFG >Ma01_p09350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6763908:6766719:-1 gene:Ma01_g09350 transcript:Ma01_t09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGGVKQILAKPIQLADQVSKLADFAHSFKQECAELKAKTERLAGLLRQAARADLYERPARRIMNDTEQVLDKALALVAKCRAHGLVRRVFTIIPAAAFKKMSTQLDNSIGDVSWLIRVSSSASAGSDDSDGDIHLGLPPIAQNEPILFLIWEQIATLHTGSLDARADAAASLVSLARDNDRYGKLIIEEDGVGPLLRLIKEGRLDGQESAAHALGLLARDPESVEQMVLGGVCSTFAKVLKEGPMKVQAMVAWAVSELAASHPKCQDVFAQNHVVRLLVSHLAFETVQEHSKYTIPSKDMSIHSVVMANSSGSTAAARNDASASVAMDSSEQGMVKHPTADQNQNANTNQMHSLIQSTMAVKSNKVPHNSNSHNPHASSNGKQHHVTLSGTSIKGREFEDPATKAYMKAMAAKALWQLAKGNPAICKSITESRALLCFAVLLEKGTDEVQYNSAMALMEIARVAEQHSDLRRSAFKPSSPAARAVVDQFLKIVEKADYDDLLVPCIVALGCLSRTFRATETRIIAPLVRLLDEQEMVVSKEAVIALTKFACTENYLHLDHSKAIINEGGSKHLVQLVYFGEHLVQIAALILLCYIALHVPDSEDLAQAEVLTVLEWASKQGYMVQDPKVDSLLPESKVRLELYQSRSSRGYH >Ma08_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17799941:17801617:-1 gene:Ma08_g16300 transcript:Ma08_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFKSGASFLLQCHVETIPRGDFLLIVLKNLIEKQSDIAGQKLKVVLMFFLT >Ma06_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2205940:2211658:-1 gene:Ma06_g02950 transcript:Ma06_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:POLD4 [Source:Projected from Arabidopsis thaliana (AT1G09815) UniProtKB/TrEMBL;Acc:A0A178WHS7] MASGDIKGFYRQKKKHQKGGISKSVSASASKKKKPSKSGGGATLGATDPQTPALFAHGAFDLTDDYGEDEERLRQFDMDMRYGPCIGLTRLQRWERASSMGLHPPADLRNLLTRASGPKSPDLECLWEGRV >Ma06_p02950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2206174:2211658:-1 gene:Ma06_g02950 transcript:Ma06_t02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:POLD4 [Source:Projected from Arabidopsis thaliana (AT1G09815) UniProtKB/TrEMBL;Acc:A0A178WHS7] MASGDIKGFYRQKKKHQKGGISKSVSASASKKKKPSKSGGGATLGATDPQTPALFAHGAFDLTDDYGEDEERLRQFDMDMRYGPCIGLTRLQRWERASSMGLHPPADLRNLLTRASGPKSPDLECLWEGRV >Ma09_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12008636:12018729:-1 gene:Ma09_g16620 transcript:Ma09_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEEGEMVVKPLMQRERGSSSPSSLWMVVASTAIAVFGSFEFGISVGYSSPSQSGIMHDLNLSLPQYSLFGSILTIGAMIGAILSGRIADLIGRRCAMAVSDILCIIGWVSIFLTKDIMWLDLGRLSVGCGIGLLSYVVPVYIAEITPKNLRGGFAAVNQLMICCGGSLAYLLGTVLTWRILAIIGTFPCFLQLLGLIFIPESPRWLAMVGKDHEFEAGLKRLRGEHSDVSQEAEEIMEFTVNLQKLPQSGMLDLFQKKYLHAIIVGVGLMVLQQFGGVNAICFYASEIFVSAGFSSGDTGMIAMAAVQIPMTTLGVLLMDRSGRRPLLMISAAGTCIGCFLVGISFMLKGHEFSKELNTVLALAGILTYTGSFSLGMGGIPWVIMSEIFPLNMKGTAGSLVTLVSWVGSWIISYTFNFLMMWSSAGTFFIFASICGLTVLFVERLVPETKGRTLEEIQASMSLILQ >Ma09_p16620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12008637:12018789:-1 gene:Ma09_g16620 transcript:Ma09_t16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEEGEMVVKPLMQRERGSSSPSSLWMVVASTAIAVFGSFEFGISVGYSSPSQSGIMHDLNLSLPQYSLFGSILTIGAMIGAILSGRIADLIGRRCAMAVSDILCIIGWVSIFLTKDIMWLDLGRLSVGCGIGLLSYVVPVYIAEITPKNLRGGFAAVNQLMICCGGSLAYLLGTVLTWRILAIIGTFPCFLQLLGLIFIPESPRWLAMVGKDHEFEAGLKRLRGEHSDVSQEAEEIMEFTVNLQKLPQSGMLDLFQKKYLHAIIVGVGLMVLQQFGGVNAICFYASEIFVSAGFSSGDTGMIAMAAVQIPMTTLGVLLMDRSGRRPLLMISAAGTCIGCFLVGISFMLKGHEFSKELNTVLALAGILTYTGSFSLGMGGIPWVIMSEIFPLNMKGTAGSLVTLVSWVGSWIISYTFNFLMMWSSAGTFFIFASICGLTVLFVERLVPETKGRTLEEIQASMSLILQ >Ma05_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9732611:9738859:-1 gene:Ma05_g13390 transcript:Ma05_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGSLIDRFEEHDGPVRGLHFHKLQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCISALTGHNHFVMSASFHPKEDLLVSASLDQTIRVWDISALRKKMAPADDILHLSQMNSDFFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHTNNVSCVMFHAKMEIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLFAAGHDSGMIVFKLERERPAFTVSGDALYYVKDRLLRLHEFSTQKDNQVVPIRRPGSINLNQGPRAMSYSPTENAVLICSDLDGGSYELYIGTKEMAGRGDYIQEAKKGAGGSAVFIARNRFAVLDRSSNQVVVKNLKNEIVKKCLLPIVTDAIFYAGTGNVLCRAEDRVVIFDLQQRIVLGELQTQSVKYVVWSSDMESVALLSKLAIVIANKKLVHRFTLHETIRVKSGAWDDNGVFIYTTVNHIKYCLPNGDSGIIRTLDVPVYITKVSGSNICCLDRDGKNLAISIDATEYIFKLSLLRKRYDHVMGVIRNSQLCGQAVIAYLQQKGFPEVALHFVKDEKTRFSLALDSGNIQIAVASAKEIDDKDHWYRLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLVTGNMEKLSKMLRIAEIKNDIMGQFHNALYLGDIQERVRILENAGQLPLAYVTAVAHGLKEVADRLATELGENLPTLPEGKVGSLLMPPAPLMCSGDWPLLRVMRGIFDNGLDMGTVGQEEEEDVTGADWGDEELDIVDMEREMQNGDITADFADAEANEENEEEGGWDLEDLELPPDVDTPKTVGNAQSSLFVAPTPGMPVSQIWIQKSSLAGEHVAGGNFDTAMRLLSRQLAIKNFMPLKPLFMDIYMGSHTYVHALSTAPVISFGVEKGWNESASPNVRGPPALVFKFLQMDEKLKAAYRATTEGKFPEALRQFINILHTIPLIVVDTRREVDEVRELIEIAREYVLGLKIELKRKEIKDNLVRQQELAAYFTNCKLQKSHTRLVLTSAMTICYKGGNFSTSANFARMLLESSPTDAQAKKARQLLQHCGDKKDVNQLNYDYRNPFVVCGATFVPVYRGQKDVSCPYCGARFVPAIREQLCAVCELAVVGADASGLLCFATQMR >Ma05_p13390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9733576:9738888:-1 gene:Ma05_g13390 transcript:Ma05_t13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGSLIDRFEEHDGPVRGLHFHKLQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCISALTGHNHFVMSASFHPKEDLLVSASLDQTIRVWDISALRKKMAPADDILHLSQMNSDFFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHTNNVSCVMFHAKMEIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLFAAGHDSGMIVFKLERERPAFTVSGDALYYVKDRLLRLHEFSTQKDNQVVPIRRPGSINLNQGPRAMSYSPTENAVLICSDLDGGSYELYIGTKEMAGRGDYIQEAKKGAGGSAVFIARNRFAVLDRSSNQVVVKNLKNEIVKKCLLPIVTDAIFYAGTGNVLCRAEDRVVIFDLQQRIVLGELQTQSVKYVVWSSDMESVALLSKLAIVIANKKLVHRFTLHETIRVKSGAWDDNGVFIYTTVNHIKYCLPNGDSGIIRTLDVPVYITKVSGSNICCLDRDGKNLAISIDATEYIFKLSLLRKRYDHVMGVIRNSQLCGQAVIAYLQQKGFPEVALHFVKDEKTRFSLALDSGNIQIAVASAKEIDDKDHWYRLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLVTGNMEKLSKMLRIAEIKNDIMGQFHNALYLGDIQERVRILENAGQLPLAYVTAVAHGLKEVADRLATELGENLPTLPEGKVGSLLMPPAPLMCSGDWPLLRVMRGIFDNGLDMGTVGQEEEEDVTGADWGDEELDIVDMEREMQNGDITADFADAEANEENEEEGGWDLEDLELPPDVDTPKTVGNAQSSLFVAPTPGMPVSQIWIQKSSLAGEHVAGGNFDTAMRLLSRQLAIKNFMPLKPLFMDIYMGSHTYVHALSTAPVISFGVEKGWNESASPNVRGPPALVFKFLQMDEKLKAAYRATTEGKFPEALRQFINILHTIPLIVVDTRREVDEVRELIEIAREYVLGLKIELKRKEIKDNLVRQQELAAYFTNCKLQKSHTRLVLTSAMTICYKGGNFSTSANFARMLLESSPTDAQAKKARQLLQHCGDKKDVNQLNYDYRNPFVVCGATFVPVYRGQKDVSCPYCGARFVPAIREQLCAVCELAVVGADASGLLCFATQMR >Ma03_p32000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34008899:34012037:-1 gene:Ma03_g32000 transcript:Ma03_t32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGTGAAPGLEAELVSIPATPRGVSTPETVTPTGQRSPRPPGPGGGAPAAGANSVKSWTPTPAMVSPRFLSPSISSTVGTPMKRVLVNLRGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGIGFQALLLPVAFAFLGWSWGMIALTIAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGSLCSSNPLSTVEWYLVFTILCIVLSQLPNLNSIAGLSLIGAVTAITYATMAWVLSVSQERPPSISYQPLASTSFGATAFSILNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYLLIALCLFPIAIGGFWAYGNLMPAGGILNALYAFHSHDIPRGLLATTFLLVVFNCLSSFQIYSMPVFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFISFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWIGIKKPQRFSFSWYLNWSLGIIGMAFSLALSAGGVWSMVNSGLKLKFFKPN >Ma01_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12782326:12783615:1 gene:Ma01_g17450 transcript:Ma01_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNGRARGEDEVELRKGSWTVEEDLLLVNYVAEHGEGRWDSLAREAGLKRTGKSCRLRWLNYLRPDLRRGNITPEEQLLILELHSRWGNRWSKIAQHMPGRTDNEIKNYWRTRVQKHAKQLRCDVDSKRFKDVVRHLWMPRLLERIRAASGSSAVSTHQSVNDPSTCKRPEEGDVELGEAKSASPESSNIVRSSSEASEVQVSTASVSDRFTNCDDAWFQETTGFGAYWPETLPSPGACDSWGWSMDLDQSGWEENLWSMEDTWLQQQI >Ma10_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1681347:1682052:-1 gene:Ma10_g00460 transcript:Ma10_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIRYYHVNKMFLTVSETFRIFSALSNSSVHFADKTGNSQTLSWRERIRIAVEAAQGLEYLHKGCVPPIIHRDVKTNNILLTYDFEAKVADFELSKPFLTDAQTHVSTDVVAGTPGYIDPEYVFYFTEVCQIIIFAV >Ma08_p32570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43253551:43253889:-1 gene:Ma08_g32570 transcript:Ma08_t32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFGEQPPPAYAEPSGSHGGGGSIGPVIGVVLGVVALAVVAGLVGRLCSGLSILGYGHYDLHGWIERKCAACVDGRPEAPPPQPSTNVAPAAAVTVEEAKRKREIPEEVA >Ma10_p27600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34813705:34815958:-1 gene:Ma10_g27600 transcript:Ma10_t27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGIIMAGKMDEELDDDGRPRRTGTVWTASSHIVTAVIGSGVLSLAWAMAQLGWIAGPLALALFALITVYTSSLLADCYRTDDPISGMRNVTYMRAVKSNLGGNKIWLCGLCQYVNLFGVSIGYTITAGLSAAAVSKSNCFHKKGQEAACSISTNVFMVGYGIIQIFLSQLPNFHKLWWLSIVAAVMSFAYSFIAVGLSAARIISGNTRKTSITGTIVGVDVSASQKVWSTFQALGDIAFSYSYSMILIEIQDTLRSPPAENKEMKKASLIGVVTTTTFYMLCGCLGYSAFGNQAPGNILTGFGFYEPYWLIDVGNVCIVAHLVGAYQVYCQPLFAAVETWFARKCPGLQILTHEHPITINKNLGCNINIFRLLWRTTFVVISTVLAMLMPFFNDVLSLLGAVGFWPLSVYFPIEMYISRNHIARSSPKWMLLQSLSFLCFLVSLAAACGSIEGVAQSLQHYSPFTSKT >Ma05_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:296465:302745:-1 gene:Ma05_g00500 transcript:Ma05_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVKLGSKTDGFQRQGQAWFCTTGLPSDVTAEVGEMTFHLHKFPLLSKCGLLDKLIRQKSEEGEDGCVIQLPDIPGGPKAFELAAKFCYGMKMELTASNVVYLRCAAEHLEMTEEIAEGNLITQTEVFLNQVVLRSWKDSIKALQTCDYVLPYAEDLQIVKRCIDSLAIKACKDPSLVGWPIVEHGPMQSPGGSILWNGISTGARPRYSSSDWWYEDVSCLSLPMYRRLISAMETRGIGQEIISGSLTFYARRHLPGLDRRQSISEANIRLEPRALMALPSEEEQRHLLEEIDKLLPSQKGLMSTKILFGLLRTAMILRASPSCMFNLERRIGMQLDQASLEDLLIPNFSDSTETLYNVDCIHRIVEHFVARNQMIGGASPDVADDDQFLGSPSLIPVIAVAKLVDGYLAEVASDANLKPSKFQALAAAIPDDVRPLDDGLYRAIDIYLKAHSWLAESQREELCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLKTSIAGCFLVSDNLDGSRPLRSGLPISGEDTGWASAIRENQVLKVSMDSMRMRVSELEKECSSMREELEKLTKGRSGWSTGGRKFRSNIIKGQICSAQEDAISKERDTVQTFEKEQLKLTKYKKHM >Ma03_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2495674:2500489:1 gene:Ma03_g03770 transcript:Ma03_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MLTSLSNPRLLPSHILPFDQRHPVLHRSPSITAYDGSGSRDGDRFRALSLKRSGFLSVIDRAMEEEEEYRKARAEVQRKGVDVEGYSIEGISVGGHETCVMVPSLNVAFDIGRCPSKAVHQDFLFITHAHLDHIGGLPMYLATRGLYNLKPPTVFVPPCIKEDVVNLLEIHRRMSQVELKLDLVALGPGETYEIRNNLVARPFRTHHVIPSQGYVIYSVRNKLKKQYAHLKGPQIKKLKLSGVEITDIVLSPEVAFTGDTNSDFILEPRNADALRAKILITEATFLDDENDIEHAREHGHLHLLEIMEHAQWFRNKAILLTHFSSRYKIEDIRQAVSKLQPKLSPKVVALTEGFRSAY >Ma06_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14204718:14205618:1 gene:Ma06_g20150 transcript:Ma06_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSIACAVLLLLLLVEMDISAVAEREINCEAKCSHRCSKASRHKMCMRACVDCCHKCHCVPPGTYGHKEECPCYAHMKTRHGKPKCP >Ma01_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1919875:1920963:1 gene:Ma01_g02950 transcript:Ma01_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEEELEVAVTLDSLPELVLASVHRAALHVLPESLRWGSRKPRSLPGHPLMPSPPPPLRYGEKNVDGGVTATATSSPSTPLSFQGSGGSEDIDVRPKPWVEHSKQYIQWMEEQHEPMASFADKKANLERVREEYEAHLHSPSANPSVLPAAAQLRHIVRRRVKQMSRRQEKMLWVGGGDMVAVDRTAVDHRWAESGSQRPAAGRVGLPDLNVTPDDEEGGWDLVWGWGERQHSACKAAMSALARRRRLQIQKAKRYGLVYDQ >Ma07_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7846205:7850771:-1 gene:Ma07_g10530 transcript:Ma07_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSVSEFSAQSIVCKGPYQNHGTLHHSVLAYPKSSTEYCNSISNSIVNSVTFGCHENKLQCLSKANLKYPILFAKLQESDAFESKGCKSYEDMLDADTEKSRGNFDIKKSSEGSSESHFNEDEVSGSLSMSNEKFLEYPLNYEVCLGKLRAVYLHVLAAEQWNASRLNKCHRSYLESATNLIHYMALNSLDVDELKQELYSLGLVDLERTNSHVLASITAAIKLLKNLQPNLYDKNHKTWPLDVIHHDNVQESENYVDFGLSAMRKKASMNKAALFGPAQDEKNINIMVTVGREAIDNETLLSDLVKSGANVIRINCAHDDPTIWSEIIRIAKHCSQMLEKPCRVLMDLAGPKLRTGPMNSGPQVMKLSPKKDAKGDVMFPAQVWLSRPGCAPPTPSAVDATLFVESGRFFNEIGIGNVLEFVDCRGRRRSLKVSKRLSASSGYGFIAECSRTAYVGSGTKLCIQKKKGKSSCGEVVNVPAADQFIRVRVGDLLTIIREPSLIFDEIGASTCGAAKVTCNSGRLFDSVKPGDPIAFDDGRIWGVVQGTSINEIVVSITHASPKGSKLGSEKSINIPNSEIHFEGLTSKDLVDLEFIAANADMVGISFIRDVHDMEIVQQQLKKRKLAELGVVLKIETQSAFDRLPLLLLQAMQSPNHFGVMIARGDLAVECGWDQMASIQEEILSICNAAHVPVIWATQVLESLTKTGIPTRAEITDVASGMRASCIMLNKGKYITEAVSTLNTVLGSCSTSKKNMKTLMKPLFPTS >Ma09_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2132972:2134608:-1 gene:Ma09_g03110 transcript:Ma09_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFKEKRGANFSCVSPASAAICTSIDRRSMVQPSTGRAIDRHTPHLRDPRRVKATVNSMSQTPTKPKTCNQKNGKSLERPSDAFGVPGSTRYLLDDEADDAYFNTMLPDLEPVPPLISLGPPSLRALMREEQRRPRPSSAARMQDQVVVLRVSLHCKGCEGKVRKHISRMEGVTSYDIDFATKKVTVVGDVTPLGVLSSISKVKHAQFWPSPPRAPASL >Ma03_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28495492:28496021:-1 gene:Ma03_g24180 transcript:Ma03_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRQPVEFEDFLPLMVEKLGEEGLMEELSNGFRLLMDPRRGLITFDSLKRNAALLGLGALGDDDLRAMLREGDSDGDGALSQWEFCVLMVRLSPDLMDHHAFAA >Ma10_p27510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34765890:34767635:1 gene:Ma10_g27510 transcript:Ma10_t27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGVPLSEMATSDTHGEDSPYFVGWKVYDENPYDAASNPSGVIQMGLAENQVSFDLLEDYLEQHPQEFGWGCGVSSFRENALFQDYHGLKTFTRAVALFMEQIRGGRTQFDPQHIVLTAGATAANELLTFILADPGDGLLIPTPYYPGFDRDLRWRTGVNIIPVHCNSSNGFQITLRALEDACAGAESMKVRVKGLLLTNPSNPLGTTITRPVLEEILDFVAHKDIHLISDEIYSGSVFSSDEFVSMTEIVESRGHQERERVHIVYSLSKDLGLPGYRVGTIYSYNNEVVTTARRMSSFTLVSSQTQKMLASLLSDKEFTENYLRTNRERLKKRYEFVVEGLSNAGIRCLQGNAGLFCWMNLGELLEEPTVEGELSLWNLMLHEAKLNISPGSSCHCLEAGWFRVCFANMSQQTLEVALMRMKDFTEKMKAKQMISSL >Ma04_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25025182:25027647:-1 gene:Ma04_g22770 transcript:Ma04_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQFPAIVAVLLAFISIYHIQHSNFCNGDDGSTIHCPEVEMRALLQLKEALYDPSNRLSSWSGDDCCTWHGVGCDDQLGRVIKLDLRNPQPHTDSPSDASWSLILVEEISSTLMTLEYLNYLDLSMNDFRSSIPDFFGSYKNLGYLNLSGAGFLTTVPQQLGNLSSLLYLDLSYNNLDVDDASWLSRLTLLQHLDMTGTNISNASNWAEALNMLPYIMEIHLSQCQIASIPYTLPQLNFTYLSILDLSNNQISSTLPSWLFKLTALEYLFLGKNYLHSSLSIGNMSYLKVLDLSNNLLEGPLPSIIGEAFPSLQTFFLRANYIAGSIPSSICKLEQLIVLDLSENHLSHELPSCWKNSSVLQVINLSNNNLSGKIPKSICSLTSLESLHLSNNNLSGEIPNCVVTLNSLVILDLGLNRLGGCLPRWLGERMPCLKILILRHNMFHGHIPPSIAYFQVLQIMDLSHNNLSGTIPTSFEDLNAMKFAQNTISFTPGSDNYYTDSISVVTKGRESQYVKILLLLTAIDLSYNYLSGSIPEEITNLSGLQSLDLSNNHLAGQITMKIGGLQNLESLDLSHNELTGPVPSTLTTLDFLGFLNLSFNKLSGKIPSGNHLETFGSSSYMGNPDLYGYPLNKENQDTKPSQDPNNIDTDVEAEEMFYFYLSLGPGFVVGFWSVWGILLYKQKWRYAYFCFIDNICDKFYVTAKVNFARMKIKLFRSDQGYGCNP >Ma04_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25053599:25056270:1 gene:Ma04_g22820 transcript:Ma04_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MATESDGEEETATAAGKLTGSPQLSVDDDLREMAKKAAWSVSSCKPGNGVLSLRDENLDTYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELVKPVGWVHISLSGNDPRETFIHTFMLQIAVLSNHLNGRDTHIRQVKIYGPRPNPVPLQPFHFTSKEFITYSILR >Ma10_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30808930:30813672:1 gene:Ma10_g20750 transcript:Ma10_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGVSAWRVCCCFLFFQLLLSPVLLSWDALAIDPQGEALLSWKQSLNRNNHHNNGSSRNIGVLSDWNPNDPNPCRWYGITCDASGRVVELNLQYVDLLGGVPTNLSALAISLSMLVLSGTNLTGPIPPQLGDLPLLTHLDLSDNGLVGAIPDGLCRPGSRLERLYLNSNRLEGPIPDSIGNLSALRWLIIFDNQLEGEIPPSIGQLATLEVFRAGGNKNLRGALPSEIGNCTSLAMLGLAETSISGPLPLTLGHLKNLQTLAIYTALLSGPIPPELGQCKELQNVYLYENSLSGSIPPQLGQLERLQSLLLWQNNLVGVIPQELGGCSELQVVDLSMNSLTGRIPATLGNLTSLRELQLSVNQVSGPIPLEIAGCLNLSDLELDNNKISGGIPAELGRLRNLRMLYVWANQLTGEIPPEMGECTNLEAIDLSQNNLTGSIPKGIFRLESLSKLLLLDNDLSGEIPSEIGNCSSLVRFRANGNRIIGGIPPEIGGLKNLSFLDLSSNRLTTAIPEAMAGCRNLSFVDLHDNDIGGVLPDGLFEGLLSLQYIDLSGNLIGGILPSDIGLLTSLTKLILAGNRISGPIPPGIGSCSRLQLMDLSNNALSGQIPGSIGKIPALEIAVNLSCNDLSGQIPAEFAALVRLGVLDISHNRLSGDLQPLANLQNLVALNVSFNNFSGRVPDSPFFSKLPTGDLDGNPALCVARCSGVYDYGDRIKELRGSRVVTAVILSVAVVLFATAAVILLSRRMARRGDGREDEEKDGDMLPPWEVTMYQKMEIGVGDVGRSLTAANVIGRGWSGVVYRARIPSTGAVIAVKKFRTGDDAAATAFACEIGALARVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGMAAAAVEWDVRLGIAVGIAEGLAYLHDDCVPAIIHGDVKTENVLLGERYEACLADFGLARVTGDRRSGGADSVPASLAGSYGYIAPEYGCMTRITTKSDVYSFGVVLLEMITGRRPADPAFGEGQSVVQWVQDHLRWKRDPAEVVDPRLQGHTDPLLQEMLQALGIALLCASSRPDDRPTMKAVAALLRGIHGHDDSNNSAEARKHGSFGGGEEVRKRAEPPAPASPPPVRSSVAFTSSASSDSCL >Ma08_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18182073:18182814:-1 gene:Ma08_g16410 transcript:Ma08_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQFYKESSKLGRPDDHGNVTSVTTIATINEMLLATSLGVPEITKVNSQESHHGGVLVHVTGRLTKEDNVKRDFSQSFFLAPQETGYFVLNDILQYVDEIDEHQGSGEIDEQQRHQGSANEQEETVSVPIDVVVAPAKEICDSVSNDESSANRNRAKRSVTL >Ma03_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24946067:24948478:1 gene:Ma03_g19680 transcript:Ma03_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Ma03_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24085520:24089043:1 gene:Ma03_g18450 transcript:Ma03_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLYRSLHSSLPNANWNTSDPNPCRWIRVNCSSSGNSSLVKSLDLSGVGLYTAPNSSSAARFFDLLCRLDSLESLDLSANSLTIPADLLSAPNLVSVDLTNNKLQGHIPNNLSHNLYRLRLGGNSLNGTIPETIGNLSNLTYLELNDNNLDSQIHPQIGSCKNLTLLNLASNRFHGELPEVLGSLRKVVVLKLQNNSLSGGIPDTFFELQVNLSVLDLGQNSLTGVIPSAISRLQSLSNLILEGNYFNGSIPDTIGNMSSLIELRLGGNRLSGTVPTMPANLRIALNLSHNLLSGSIPSSLGALTELEVLDLSDNGFTGEIPRFLAGMRSLTLLDLSNNNLSGIRPAFRNYVTVITSGNRYLINSSESQNTSRSRKKRSPIVIIVVVISMVAVLILAAVVVLLISSKRFYRVEDESPQLGESNLQIVNGCFVTANSIHRSSIDFSKTMEVASNPRKIILKTRFSTYYKAVMPNGVSYSIKKLNWTDKIFQMGSHERFRQELEVLGKLSNSNVMVPLAYVLTEDSAYLFYEHVHRGTVFDFLHKNLVNVLDWPSRYCIMLGVAQGLTFLHGCTQPVLLLDLSTKTIHMKSPKEPQIGDIELCKVIDPSKSSGSLSTVAGSVGYIPPEYAYTMTVTMAGNVYSFGVIVLELLTGKPAVSNGLELAKWALSHSARSSEREQILDPKIAKTSLAVRSQMLSILKVALACVSASPEARPKMRNALRLLFNAK >Ma02_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20805498:20810106:1 gene:Ma02_g11810 transcript:Ma02_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRTYGGDDADRRQDSSGRRLYNPYHDLQIPHRTLYDLPTSPEFLFQEESIAERRSWGENLTYYTGIGYLAGSASGASLGIRHALRSAEPGDTTKIRINRILNSCGQDGRRIGNRFGVIGLLYAGLESGMVAARDKDDWVNSVVAGLGTGALFKAANGPRSAAVAGAIGGLMVGAAVAGKQIMKRYVPI >Ma07_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4363249:4368923:-1 gene:Ma07_g06030 transcript:Ma07_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVLSDHLLSSEETKKLKPKELQNGTPEEGEQRKKKKKKKHADGELDSSMEDMASEKKAKKGKKRKASDDDEKSDTTADSTQPTVAEKQAAAVNGGAMKKPKLMKDDDGETDNAADDPNALSNFRISKVLRETLNSKGIKALFPIQAMTFDLILDGFDLVGRARTGQGKTLAFVLPILESLTNGVHKASRKSGYGRPPSVLVLLPTRELANQVYSDFEVYGGTVGLSSCCLYGGSPYRTQEISLRRGVDIIVGTPGRIKDHIERGNLDLKALKFRVLDEADEMLNMGFVDDVELILGKVEDTSKVQTLLFSATLPDWVKKISTRFLKQDKKTADLVGNEKLKASANVRHLVLPCTRSARPQLIPDIIRCYSRGGRTIIFTETKESASELAGLLPGARALHGDIMQAQREVILAGFRSGRFLVLVATNVAARGLDINDVQLIIQCEPPHDVEAYIHRSGRTGRAGNTGVAILLYEPKHSFSVSRIERESGVKFEHISAPQPADIAESAGSEAADAISNVSDSVIPIFRSQAEQLLSSSELSAVDLLAKALAKAVGFTDIKKRSLLSSMENYVTLLLQTGKIIYSPSFAFSTFRRFLPDEKIEGVKGLSLTADGTGAVFDVPADDVNAFLEGQENAQSVSIEVLEKLPPLQDTDRSKGGNSGRGRFGGGRFSGGRGGNSSSNRRNGGGGFIGGGRGRRKASSGGPNRFNRWK >Ma04_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25708089:25708792:1 gene:Ma04_g23570 transcript:Ma04_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEET [Source:Projected from Arabidopsis thaliana (AT5G51720) UniProtKB/TrEMBL;Acc:A0A178UGQ5] MAYSVVIAAGARLSYSQSSVEVLHRNRVPAAAPLRRGSSTVAVRAEGVGINPDVRKNEEKVVDSVVVSELSKPLTAYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKK >Ma06_p23250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21016778:21025412:1 gene:Ma06_g23250 transcript:Ma06_t23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQLRSKAVQASEFIAKHGSTYYKELLEKNKQYIVQPPTIETCQDLSKKLFYTRLSSIPGRYESFWKELDGVKHIWRNRQDLKVEDVSIAALFGLELYAWSCVGEIVGRGFTFTGYYV >Ma06_p23250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21016766:21025412:1 gene:Ma06_g23250 transcript:Ma06_t23250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQLRSKAVQASEFIAKHGSTYYKELLEKNKQYIVQPPTIETCQDLSKKLFYTRLSSIPGRYESFWKELDGVKHIWRNRQDLKVEDVSIAALFGLELYAWSCVGEIVGRGFTFTGYYV >Ma06_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21016762:21025412:1 gene:Ma06_g23250 transcript:Ma06_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHQLRSKAVQASEFIAKHGSTYYKELLEKNKQYIVQPPTIETCQDLSKKLFYTRLSSIPGRYESFWKELDGVKHIWRNRQDLKVEDVSIAALFGLELYAWSCVGEIVGRGFTFTGYYV >Ma07_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31051945:31053441:1 gene:Ma07_g23380 transcript:Ma07_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVEVCLISARGLHRSSSFLKPQWFAVGWIDPNSKYCTKVDSSGSTNPTWKTKFSATIDEATSSLQALALTVEVYKREPIFLREKLQGTAVIPLKEFLVKFLNAAEPSRGGMKESGSFQLRKRNSTKPHGFIDVSIHISDNTHQSTSRQATDEGFRYSGNEEGITLAIEDGPVISFPSCSQPPSLGDVHGDFARHSHPCSLPRPPAHPSANYHNTLEPGTGYHRTPTQPPPPPPPPSNTGFLPSLFPGTGPLPETYVNPPPSSGPARHGGTPGFGMGLGAGALAAGAVIFGDDFMAGSSFPAGLDGGSLTVSADPLL >Ma01_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6448168:6451393:1 gene:Ma01_g08980 transcript:Ma01_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPGTIRAPPATPRKNKEDQRAKRSAATSSPPAPASSSRCTRSQAAPDWTTYESLVLVGEIAAMDEDWLKALSSYQKWKMISDNCVALEVVRSSNQCKRRWESLLAGYRRIREWEASHGEGSYWVLDGDRRQQLGLPTWFDREVFSSMGAVLKLQEDWAGRGEADPEYVVDAAGASEDLLLSAEAEMLDVNNAQGSKENSEDEVQKDREKTRDMASKLQESAQQIHAILREELEDNLGLDTSPVDLSKPNAAGIEFTRRQADRLIKAFGGLADTLNQFTELIRSSDCESIKAIDSMAL >Ma01_p08980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6448168:6451394:1 gene:Ma01_g08980 transcript:Ma01_t08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPGTIRAPPATPRKNKEDQRAKRSAATSSPPAPASSSRCTRSQAAPDWTTYESLVLVGEIAAMDEDWLKALSSYQKWKMISDNCVALEVVRSSNQCKRRWESLLAGYRRIREWEASHGEGSYWVLDGDRRQQLGLPTWFDREVFSSMGAVLKLQEDWAGRGEADPEYVVDAAGASEDLLLSAEAEMLDVNNAQGSKENSEDEVQKDREKTRDMASKLQESAQQIHAILREELEDNLGLDTSPVDLSKPNAAGIEFTRRQADRLIKAFGGLADTLNQFTELIRSSDCESIKAIDSMAL >Ma03_p26150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29992414:29994295:-1 gene:Ma03_g26150 transcript:Ma03_t26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMDLLSPQSVLLVLLFSLSSLYLIFFSRWNHGSAASTTYPPNLRPYPLIGHLPQFLKNRHRLPDWFAESLAATPTNTFLVRRPVGIRSVITANPANVEHILRTRFDNYPKGPRFRSILHDFLGHGIFNSDGDAWRVQRKTASFEFNSKSLRSFVVRCVHEEILSRLLPLLEKSSHDDSAVDLQDVLERFAFDNICKVAFDHDPVCLAKDDGSCGQDNFSTGFALAFNDASNTSAARFRYVLPRLWMIKKLLGVGSERRLRQSIATVHGLAMRIIRAKKENRTSSSLPQAEDLLSRFIANEDHSEDFLRDIVISFMLAGKDTISSALTWFFWLLCSRPDVEAKIMDEIRSIRARRPSTSPAVFDYEELRDMHYLHAAITESMRLYPPVPLNSQSCLSDDVLPDGTAVKKGWLMSYNSYAMGRMEAIWGPDCREYKPERWLDEGKFRPESPFKFPAFHAGPRICLGKEMAYIQMKSIVACVLERLAVELVDKEARPVKMISLTLRMKGGLLLRFRKRSV >Ma11_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17729639:17730307:-1 gene:Ma11_g13380 transcript:Ma11_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSDLTDVYYGPAVPSSNAYGSARRCSSCFCCSRGPGSLSAILGLTILILAFIVFVSVLMFAFIPPGVDVYVNEASLAEFNYSSDSRNLKFRLSLAMSIRNPTERISIYFDNVEALASYDGCLFDFVVFSAFDLGHKSTANLSPTFQGAQILLGDSAATTYAREKGEGYYSIDVNVRARLRLNGWVYKLRYNRPSIACRLKLPVPGSSGTFKATECPVYYF >Ma10_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15404120:15407826:1 gene:Ma10_g04750 transcript:Ma10_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPATHVASMIGMNRSAEYQSSAALSLGQEPPFQYQHQHQLVEIPQTAVAEIEMPTVRDDEFETKSFGDNIENASEDDRDGNQRPRKKQYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQTKNHQERHENSRLRAENEKLRAENLRYKEALSNASCPNCGGPSSLGEMSFDEHQLRIDNSRLREEIGRLSAIAAKYVGKPVVPHQLFSPMAESDMLGAGDLLGSMFGHREIEKPVVIELAVVAMEELTRMARLSEPLWTMKHGDSFEILSEDEYVRNFPRGIGPKPLGMKSEATRQTAAVIMNRVNLVEMLMDVNQWSNVFSGIVSKAITLEVLSTGVAGNYDGALQLMTAEFQVPSPLVPTREILFVRYCKHQADGSWAVVDVSLDTLRPPLVARCRRRPSGCLIQEMPNGYSKVTWVEHAEVDDGSVHDIYKPLVNSGLAFGATRWIGSLDRQCERLASLMASNVPSGDITVITTAEGRKCMLRLAERMVTSFCGGVSASTAHQWTTVSGNGAEDVRVMTRKSVGDPGRPPGIVLNAAKSFWLPVPPKRVFDFLRDERSRNEWDILSNGGGVQEMAHIANGRDHGNCVSLLRVNSVKSSQSNMLILQESCTDPVVSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGASAAQGSLVTVAFEILVDSVPTAKISLGSVATVNSLIACTVERIKAALVGENVA >Ma09_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32398350:32398640:1 gene:Ma09_g21410 transcript:Ma09_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLAGVIRMKETLQRSFRKHHSLAPDVPKGHFAVYVGDMEKRFVVPLSYLQHPLFQSLLHKAAEEYGFEHPRGMLRVPCNEDAFASLTSRMSSS >Ma05_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19414381:19415896:-1 gene:Ma05_g17150 transcript:Ma05_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARDCVCDPPLADSYQELSYKSTQAELRQHDQDCEVIAECELPMIDLRGLRSCNEEERHACVEAIAKASTEWGFFQVLNHGISRELLEEMWREQIKLFALPFEKKARSRLLNDSYRWGTPTATSLHQFSWSEAFHVPLVKISEKGSCYGEFSSLRDAMEKLAAAMSELATTLAGALAESLGYDSRGFPESCNKSTCFLRLNHYPPCPFSAEIFGLMPHTDSDFLTILYQDQVGGLQLMKDSKWVAVKPNPDALIVNIGDLFQAWSNDVYKSVEHKVLINSKRDRYSIAYFLCPSYESTIGSCKKPSIYKDFTFGEYRKQVQDDVKITGQKIGLPRFLLQNISL >Ma07_p06590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4717430:4722804:-1 gene:Ma07_g06590 transcript:Ma07_t06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEQGGAPSPSVAVAAAVVGEEKPASEISGMAAEPMKKKTGRPRKYGPDGSLLRPLNPMPISASVPAGVKYTSAAAVGATLKRGRGRPVGSMAKTPQLGFDLEHLGEMGACSAGANFTPHVITVASGEDVTMKIISFSQQGPRAICILSANGIISNVALRQPYSSGGTLTYEGRFELLSLSGSFMPTENGGTRSRSGGLSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLPSYQMEQKIKKPRLEAGSASTPPSAAPSSSADAEEAFGSGQGQQDRAATGKLDPSTTSPLGEESWAASLRSVPADINMSLPGG >Ma07_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4717430:4722804:-1 gene:Ma07_g06590 transcript:Ma07_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEQGGAPSPSVAVAAAVVGEEKPASEISGMAAEPMKKKTGRPRKYGPDGSLLRPLNPMPISASVPAGVKYTSAAAVGATLKRGRGRPVGSMAKTPQLGFDLEHLEMGACSAGANFTPHVITVASGEDVTMKIISFSQQGPRAICILSANGIISNVALRQPYSSGGTLTYEGRFELLSLSGSFMPTENGGTRSRSGGLSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLPSYQMEQKIKKPRLEAGSASTPPSAAPSSSADAEEAFGSGQGQQDRAATGKLDPSTTSPLGEESWAASLRSVPADINMSLPGG >Ma09_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11969353:11972508:1 gene:Ma09_g16590 transcript:Ma09_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSEQNEEGDRSFMLDVARHQVRGGSEHPVFAPHPDPRDPTPTARIGSSIEAPEKKLTLFAFRLGILEKSASGLGTLAFVWATVVLLGGFSSALVRKDFVIVTVLLVTESTRIFGRSHELEWQHQAAWTTPEGAGRSSFRVVEDVDARRTWRSRDVPLLPFAGWIFVSKNLSRGLSWLQLSSALACVVLSLMRLIQQDYGEVVGRDRRNLSPALNLFYGLALAEALIFLMEKAYWSWRISFCKLLEKVSRECDLGEVSIRRFFYDTYSKCIEGSVFDGLKMNLVTFAKELLVSELRDEQLIGVRVLHKLATIDRFSLDTLRKIGSSTRTIERLVEMLNWKNTGEEEEIRMRAAEIVSKLAEKRRNVLRVAAIPGAMEALSSLLVVDDSSDFNLLGLSILEKLASDHEDCWKIGNTRDLLPKIIDLTSARKTLLRNDHAAESQIRTVMSSLQVLKKLVSTTGYIGQILRQEVSEIVFTVSNIREILQHGESHMVLQKLGIEILKSLAMDESAREKIGSTGGVIKLLLSIFFEPGFTEAENSLRDEAGEALAMLTLESKKNCDRIVKETEVDRLMEALTDAVLQINASRILRNLCAYGGAECSHRLSGITAAMPTVLKVIMEAKERLLEASIGLTTEICKFLDPDEFAEFLKKAGIEETDLVVKLVQVLKEYRYPEIRVPGMRRFVIEQAIWMMRSNRNSIQLFEQLEMERLLEAVAETTSELECFHIFSGGVGLSRHSKTLSSLVETALHLMTAED >Ma09_p20230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28449302:28544889:1 gene:Ma09_g20230 transcript:Ma09_t20230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKVLEFLRQVPFLQRLPGSSLRKLAEVVQVKQYEVGEYVVREGEIGDGIYFILEGQVEISGSASIEEGNHSDLLLKQYDYFGYESGGSIQQATVVALSKLTCLVLPDSYSSLLQPKSIWYADESPGGLSLMEHILCLEPLEALAAASKTVDCLKLVHSLHAMFILAGENNSPIIYLVHRVRDGNSFATRRVDAKQNGYVIFTLFASFQKVEEGFEHQEVFLPHVPAPEMLLNIEELRERRLADPHLSMEYRNKIAKKKFIPWPIEIRFCEDYHFSEQSPPRFRYWLKVKGKLTDDPALHRCVLAYASDLIFGGISLNPHRRRGLKIASLSLDHSIWFHRSVRADDWLLFVIESPSASGGRGLSFGRMFNRRGELIMSLTQEGLIRKAKQQNEDLNSKL >Ma09_p20230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28449302:28544889:1 gene:Ma09_g20230 transcript:Ma09_t20230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKVLEFLRQVPFLQRLPGSSLRKLAEVVQVKQYEVGEYVVREGEIGDGIYFILEGQVEISGSASIEEGNHSDLLLKQYDYFGYESGGSIQQATVVALSKLTCLVLPDSYSSLLQPKSIWYADESPGGLSLMEHILCLEPLEVDLFRGFTLPDAPSFRQVFGGQLIGQALAAASKTVDCLKLVHSLHAMFILAGENNSPIIYLVHRVRDGNSFATRRVDAKQNGYVIFTLFASFQKVEEGFEHQEVFLPHVPAPEMLLNIEELRERRLADPHLSMEYRNKIAKKKFIPWPIEIRFCEDYHFSEQSPPRCVLAYASDLIFGGISLNPHRRRGLKIASLSLDHSIWFHRSVRADDWLLFVIESPSASGGRGLSFGRMFNRRGELIMSLTQEGLIRKAKQQNEDLNSKL >Ma09_p20230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28449302:28544889:1 gene:Ma09_g20230 transcript:Ma09_t20230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKVLEFLRQVPFLQRLPGSSLRKLAEVVQVKQYEVGEYVVREGEIGDGIYFILEGQVEISGSASIEEGNHSDLLLKQYDYFGYESGGSIQQATVVALSKLTCLVLPDSYSSLLQPKSIWYADESPGGLSLMEHILCLEPLEVDLFRGFTLPDAPSFRQVFGGQLIGQALAAASKTVDCLKLVHSLHAMFILAGENNSPIIYLVHRVRDGNSFATRRVDAKQNGYVIFTLFASFQKVEEGFEHQEVFLPHVPAPEMLLNIEELRERRLADPHLSMEYRNKIAKKKFIPWPIEIRFCEDYHFSEQSPPRYWLKVKGKLTDDPALHRCVLAYASDLIFGGISLNPHRRRGLKIASLSLDHSIWFHRSVRADDWLLFVIESPSASGGRGLSFGRMFNRRGELIMSLTQEGLIRKAKQQNEDLNSKL >Ma09_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28449302:28544889:1 gene:Ma09_g20230 transcript:Ma09_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKVLEFLRQVPFLQRLPGSSLRKLAEVVQVKQYEVGEYVVREGEIGDGIYFILEGQVEISGSASIEEGNHSDLLLKQYDYFGYESGGSIQQATVVALSKLTCLVLPDSYSSLLQPKSIWYADESPGGLSLMEHILCLEPLEVDLFRGFTLPDAPSFRQVFGGQLIGQALAAASKTVDCLKLVHSLHAMFILAGENNSPIIYLVHRVRDGNSFATRRVDAKQNGYVIFTLFASFQKVEEGFEHQEVFLPHVPAPEMLLNIEELRERRLADPHLSMEYRNKIAKKKFIPWPIEIRFCEDYHFSEQSPPRFRYWLKVKGKLTDDPALHRCVLAYASDLIFGGISLNPHRRRGLKIASLSLDHSIWFHRSVRADDWLLFVIESPSASGGRGLSFGRMFNRRGELIMSLTQEGLIRKAKQQNEDLNSKL >Ma11_p11840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15074352:15078369:1 gene:Ma11_g11840 transcript:Ma11_t11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MDPLCSSTLYSSAQTSLFSSLLISTKHRNKTTSRPHALRVPHRELFIFRCADASTRRSQPAVAMSFGFESLKETLTIDVGRAEGRPLDVPLARPFTISSSRLDRVGNVAIRVELHNGSVGWGEAPVLPSVTAEDQSSALAAAADACRVLVRSPPMTLGAVLAEVGRLLPGHDLASVRAGFEMALIDAAANSIRIPLWRLFGGASNSITTDITIPIVSPSEAAELAAKYCRQGFSTLKLKVGKDLNSDIEVLRAIRSVHPDCSFILDANEGYKSHQAIEVLDKLHAMGVTPILFEQPVHRDDWEGLHVVSHIAKDKYGVSVAADESCRSVADAHKIIQGNLAHVINIKLAKLGVIGALEIINIARDAGVALMIGGMVETRLAMGFSAHLAAGLGCFSFIDLDTPLLLSEDPVIGGYEASGAIYKFNNSRGHGGFLHWDNIS >Ma11_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15074352:15077278:1 gene:Ma11_g11840 transcript:Ma11_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MDPLCSSTLYSSAQTSLFSSLLISTKHRNKTTSRPHALRVPHRELFIFRCADASTRRSQPAVAMSFGFESLKETLTIDVGRAEGRPLDVPLARPFTISSSRLDRVGNVAIRVELHNGSVGWGEAPVLPSVTAEDQSSALAAAADACRVLVRSPPMTLGAVLAEVGRLLPGHDLASVRAGFEMALIDAAANSIRIPLWRLFGGASNSITTDITIPIVSPSEAAELAAKYCRQGFSTLKLKVGKDLNSDIEVLRAIRSVHPDCSFILDANEGYKSHQAIEVLDKLHAMGVTPILFEQPVHRDDWEGLHVVSHIAKDKYGVSVAADESCRSVADAHKIIQGNLAHVINIKLAKLGVIGALEIINIARDAGVALMIGGMVETRLAMGFSAHLAAGLGCFSFIDLDTPLLLSEDPVIGGYEASGAIYKFNNSRGHGGFLHWDNISW >Ma03_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8923158:8923766:-1 gene:Ma03_g11490 transcript:Ma03_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQYSVKPLQSFYGLGAESPCPSSTSFKIRSLLKVYLLRHISHAKSMVAELLKKKKKAIDSNRDFKLRKTKKKLFGFFKLHRSWSSSCVTPMPPQLPISEFDDSTVIFTEDSAGEAEPPLGGCLDWLEDESEASGGDTGEGSEIDRLAERFIARCHEKFRLEKQESYRRYQEMLARSI >Ma09_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9098273:9104204:1 gene:Ma09_g13480 transcript:Ma09_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGGHAFGKLPDHLLIEIFVRVPFCEWSQISCVNKHWAEMFRGESLWQTAIIRTWPFSVQRARWPGPIPRGFGTRSYILDYFDQHLHLMDQGVENFSGHNHDRLSRLLMWRGLEESRLFFLLSDQFIACGSSRDEAHELASEIWLAVINNLEENKHTFLLLKRFAQEGDLVLPFPYSRSYKVLWRVFEKLFTDFRDCLSRADFYDVLACAKSMFQPIPSTWLGY >Ma04_p36410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34804257:34807292:-1 gene:Ma04_g36410 transcript:Ma04_t36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVSSLPCLSGVMRQTNCSADGFDRLASTRCGRSTKIACGERQQKLPANRLFRGPCDSGDMRVFVLSDLHTDYKENMEWVRRLSTVWYKKDVLIVAGDVSETYKNFVFTMAELKQRFDSVFYVPGNHDLWCRREGGKFMDSVEKLNALLDACSKLGIETSPRIVGDVGIIPLFSWYHKSFDTEKDISGIRIPSLEMACKDFHSCKCPLQLANGDVALAHFFDIMNEKNHNLFEEIRKTSCHLITFSHFVPTYPRERKRRMNGGEDWLPFCIYDKGFTDRLSPSYWSDYYSKIQRDPENTDLAPWVARFYAR >Ma11_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3203973:3211586:-1 gene:Ma11_g04110 transcript:Ma11_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGLLEIDPLELKFPFEVKKQISCSLQLTNKSDDYVAFKVKTTSPKKYCVRPNAGIVLPRSTCDLIVTMQSQREGPPDMQCKDKFLVQSVIIDQAATTKDITTEMFAKESGNVVDEVKLRVTYVSPPQPPSPVPEGSEEGSSPRPSVSENGNLNSSELLAATRAYAENIQQEKSSENLAIISRLAEEKTTAIQQNNKLRQELELVRREVSKQQGGFSFMFVVIIALLGIFMGYMFKK >Ma11_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23142352:23149719:-1 gene:Ma11_g17960 transcript:Ma11_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELRRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVAVIVFSSRGKLYEFCSGSSMMRTLERYQKCSYGGSESTIQAKENQLVQSSRQEYLKLKARLEALQRSQRNLLGEDLGSLSIKELDYLEKQLDMSLKEIRSTRTQQMLDQLTDLQRREQLLCEANKGLRRRLEESSHANGGQLWENSAHPAAQQPHGDGLFYPLECQPTPQIGYQPDQMPGTSVSTYMPAWLE >Ma02_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14383193:14384638:1 gene:Ma02_g03030 transcript:Ma02_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWTNRMHQVAYFELKVTMHGLPSHVLLSLGYKSFQDYLLTLPKFTGSAVQKKFIDRLCSSSIVTGIRLAGTLLCIMCYICCFVWADRSHSPPRWTMTLETELRC >Ma03_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8500247:8501443:-1 gene:Ma03_g11250 transcript:Ma03_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPMGHILYDEVMNYNPKNPYWFNRDRFVLSAGHGCMLQDALLHLAGYDSVKTRSNSVNGEAKLLGHPENFETPGIEVTTGPLGQGVANAVGLALAERHLVSRFNKPDNEIIDHYTYVMFWDGCQMEGIANEACSLAGHGA >Ma04_p38730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36129266:36134715:-1 gene:Ma04_g38730 transcript:Ma04_t38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPYWTESLLHLPSSTTTTTVSSATPPLSAALYDRNYYPTTANSPYLKPPPLKLEASSSLPPSQAREVEGGGGERSASDIEGIKAKIMSHPRYSNLLGAHLECQKVGAPPEVVARLSALARELDSRLSYRHKQPSDDPELDQFMEAYCQMLIRYREELTRPLQEATEFLEGVESEFNALTNTCSFRLFSSAEACEGVASSEEDQDASCGEVGLQEFDPCAEEKELRLHLLKKYGGYLSSLRQELSKKKKNGKLPKDARQKLLKWWELHYKWPYPSEKEKAALAELTGLDQKQINNWFINQRKRHWRPSEDMQLMVMDGFHPPNADGLYMEGQFTSDGLYRVGP >Ma03_p01700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1185266:1196910:1 gene:Ma03_g01700 transcript:Ma03_t01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEHGESHAEKVLSNGDVYVGDFNGLLPHGMGRYTWLNGTIYDGQWEKNKITGRGKILWPSGAAYEGEISGGFLHGSGSLFGFDGSVYKGSWMMNRQHGKGIKTYSNLDEYDGFWREGLQEGFGTHKWSNGNTYSGNWKSGKMSGKGVMKWSNGDLFDGDWLDGLENGSGYYKYADGSLYVGIWSRGLKDGHGTFFPTGSRTPCQLTYSKSIVCGNKVQSLGPASFFSNEGPVSKMLSKRSTVNRWSMNGCLRSTKRISHRPSSLDGIWNVNDACVSSSSENTSRTLCSTDESQLELLDDRILVYDREYMQGVLITERMRYCDFGRPQRAKWHCKMSKQPKRPGETIYGGHRSYYLMLNLQLGIRYTVGKITPVPMREVRSSDFGRRARIQMYFPSRGSQFTPPHNSVGFFWKDYCPMVFRNLREMFKIDAADYMMSVCGGDGLKELSSPGKSGSIFYLSQDERFLIKTLRKYELKILLKMLPNYYGHVGAYENTLITKFFGLHRLTIKRRKVRFVVMGNMFRTELRIHRRYDLKGSSHGRSTSKHNINENTTLKDLDLSYVFNLEKSWRESLFRQISLDCKFLESQSIIDYSMLLGLHFRAPEHLKAYSKSQNLLKHSANSADDNADIQLRDEVKTPPKGLRLVAHEPSSVSSLPGSHIRGSTLRASAADNKEVDLLLPGTGRLRVQLGVNMPAEANWKPLHNKGLHSAEFNPVEVYDVVLYFGIIDILQEYNMTKKFEHCCKSLKCDPLSISAVAPKMYSKRFVEFLEKVFPD >Ma03_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1185229:1196910:1 gene:Ma03_g01700 transcript:Ma03_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLESIAGASMSYEHGESHAEKVLSNGDVYVGDFNGLLPHGMGRYTWLNGTIYDGQWEKNKITGRGKILWPSGAAYEGEISGGFLHGSGSLFGFDGSVYKGSWMMNRQHGKGIKTYSNLDEYDGFWREGLQEGFGTHKWSNGNTYSGNWKSGKMSGKGVMKWSNGDLFDGDWLDGLENGSGYYKYADGSLYVGIWSRGLKDGHGTFFPTGSRTPCQLTYSKSIVCGNKVQSLGPASFFSNEGPVSKMLSKRSTVNRWSMNGCLRSTKRISHRPSSLDGIWNVNDACVSSSSENTSRTLCSTDESQLELLDDRILVYDREYMQGVLITERMRYCDFGRPQRAKWHCKMSKQPKRPGETIYGGHRSYYLMLNLQLGIRYTVGKITPVPMREVRSSDFGRRARIQMYFPSRGSQFTPPHNSVGFFWKDYCPMVFRNLREMFKIDAADYMMSVCGGDGLKELSSPGKSGSIFYLSQDERFLIKTLRKYELKILLKMLPNYYGHVGAYENTLITKFFGLHRLTIKRRKVRFVVMGNMFRTELRIHRRYDLKGSSHGRSTSKHNINENTTLKDLDLSYVFNLEKSWRESLFRQISLDCKFLESQSIIDYSMLLGLHFRAPEHLKAYSKSQNLLKHSANSADDNADIQLRDEVKTPPKGLRLVAHEPSSVSSLPGSHIRGSTLRASAADNKEVDLLLPGTGRLRVQLGVNMPAEANWKPLHNKGLHSAEFNPVEVYDVVLYFGIIDILQEYNMTKKFEHCCKSLKCDPLSISAVAPKMYSKRFVEFLEKVFPD >Ma04_p25200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27011651:27017284:-1 gene:Ma04_g25200 transcript:Ma04_t25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIQLGVHTLRSHGTKVARFHMHDWIILILLAIIDGCLNLIEPYHRFVGKDMMTDLRYPLKSNTVPFWAVPLIAILLPFAIIIGIYFKRRNVYDLHNAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGNDIYNNVTTEVICHGEKGVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKIQAFDRRGHVAKLCIVFLPLLCASLVAISRVDDYWHHWQDVFAGGFLGLVVSSFCYLQFFPPPYHLDGWLPHASLHTMADTRNEVQLQSTTNSLQSRPSVIDTVYVASEGQNGIHMRDTSAIMDSMEAGWRH >Ma04_p25200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27011651:27017283:-1 gene:Ma04_g25200 transcript:Ma04_t25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIQLGVHTLRSHGTKVARFHMHDWIILILLAIIDGCLNLIEPYHRFVGKDMMTDLRYPLKSNTVPFWAVPLIAILLPFAIIIGIYFKRRNVYDLHNAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGNDIYNNVTTEVICHGEKGVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKIQAFDRRGHVAKLCIVFLPLLCASLVAISRVDDYWHHWQDVFAGGFLGLVVSSFCYLQFFPPPYHLDGWLPHASLHTMADTRNEVQLQSTTNSLQSRPSVIDTVYVASEGQNGIHMRDTSAIMDSMEAGWRH >Ma01_p20590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19144567:19146018:-1 gene:Ma01_g20590 transcript:Ma01_t20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLADVSDIFDSVVYLDESLRQEGFEDGYKDGLVSGKEEGKEVGLKMGFQVAEELGFYQGCVDVWNSVIQVDSGAFSSRLQKSVQQLGDLLRKYPLLDPENEQVQEMMDGIRLKFRIISANMGVKLEYKGYTKSSGMEDM >Ma03_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29124841:29125370:-1 gene:Ma03_g24920 transcript:Ma03_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKDVLLQLQATIRRAAETIYQVIHSAHANATHNLIIMA >Ma08_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8476418:8501846:-1 gene:Ma08_g11490 transcript:Ma08_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQYSSSRGRSSSPFSYRKPPSASSFSSTSSSANGRPIPRSSPSSVSSHFHGSSNGGHTRFGTAVLGGAEYSRGRVAPVGFAAEELVVEPADAGRSGDNISVTVRFRPLSDREFQHGDEVAWYADGDKIRSEYNPTTFFAFDRVFGPSTTTQVVYDVTARPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDPKSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVMYSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHIPYRDSKLTRLLQTSLSGHGHVSLICTVTPASGSMEETHNTLKFASRAKQVEIYASRNRIIDEKSLIKKYQKEISSLKQELEHLKKGMLSGASHDEIMSLRQKLEEGQVKMQYRLEEEEEAKAALMSRIQRLTKLILVSTKNSIPGCLTDLPGHQRRLSLGEDDKMDIPREHSPVLESESTLNGFVASALSDSLDTLSDVKNQRYSSNLSEEHSAVSGSITDAEQTRITMSDEMDLLVEQIKMLAGEIAFGTSTLKRLMEQSVNDPDGTRIQIENLEHEIQEKQRQMRVLEQRIIESGEASMANASMVEMQQTVTRLMTQCNEKGFELELRSADNRILQEQLQLKCSEIKELEDKVLVLQQQLTSIKNEKLHEELGTEEINDLKSKLQYQEAENEKLNLERHQLIKENHGLLSQNQKLAEEASYAKELASAAAVELKNLAEEVTKLSLQNERQAKELLAIQDLAAYSKTANGTIRRFSESKNDGIKLGRKGRPPSRSGDAGNTGSDDMVNRNLDLDDIRMELQVRKQKEDTLEAALAEKVHLEKEYKKKLEEAKKREISLENDLASMWVLVAKLKKGPLCTSEFTADKSSTILVDVSDDLKMNNDEHNGSLHQERQAVDSLEKPNNEQLNQNQELEPLLVRLKAKIQEMKEREIDSSGTGETNSHVCKVCFESPTAAVLIPCRHFCLCKSCSLACSECPLCRTRIADRIITFTS >Ma05_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10600421:10605160:1 gene:Ma05_g14530 transcript:Ma05_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYNVYKDHITLKDYEIHDGMGLELYYN >Ma05_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27905063:27910209:-1 gene:Ma05_g19450 transcript:Ma05_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSRMAPRLPRHRPRRRPRLGLAAFLCGGAAAVSAASTSSSGSSQIEDMPTEKSVSQARLDGSVLNSNIQISVKESSLNFSQDHRPSSSTNENMRNNQSNNGINWDGSETSCLEMFQPERTSGLPSTSRKPVDNVDAALATVSEVANCANDSSHSSPSFSRSIHQPELGDLHANEIVNPSDDVVGNHGTYTDYVSVIPRLSSTLHFSSEDHLGATSSGSDAQTSTGSGEQRNGSLLHVDLVSVSSDVPSGSGEEISSESRRNTRRHFWDAFSRHSSMTVDSTTLSSISENNGLGYQDRWLLDIDGHAFRDGVEDDSLYLRQRHHGLNGVSWHTRSEIRERLHSGSNNNDGQASSCPSGLHQDGTCSCTLLMTEVSSTRASIARIFVLAEALFEVLDEIHHQPGSLSLSVVSVPALESVVNSLPSKIHKKLDTALSKNDVEQCYICLADYEDGDAVRILPCHHEYHMACVDKWLKEIHGVCPLCRGDVTEAVTESFISNS >Ma02_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16196885:16200120:-1 gene:Ma02_g04780 transcript:Ma02_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKAEAFQLEGQTWRCLTELASDVLIEVGEMSFHLHKFPLLNRSGLLGRMISEFRSEDGKACVLHLHDIPGGAKAFELAAKFCYDIKIELNATNVVPVRCAAEHLLMTEDYVGGNLITRTDNFLGEVLSSWKDTIKALEACESVLPHAEGLHIVSRCINSLASKACSDPSLSAWPVGGHAATKSSQGSSSCSSSRTPWNGIVVAREAPRSALADWWYMDATFLGLPLFKRLILAMEAKGMKPENVAEALIYSAKRSLPGLSGGSTTARITPGSSISAASESEQRVFLEEIVDLLPMNKGVISTRFLLRLLRTAMILRASPSCRENLERRTGAQVEEAALEDLLIPSLDPSVETLYDVDCVQRILVHFMQLNQSTVTSPAIVDEGQSITSSSSPAPMTMVARLVDVYLAEVAADVNLKLHKFLSLASVVSDYARPLDDGIYRAIDVYLKSHPWLTESEREQICQLINCQKLSLEACTHAAQNERLPLRLVVQVLFFEQLHLRTSIAGWFFVSDRVESSQAPHANLALQKSSNVAIQADISEEQENDDHHMDVDDMRSQVLELEKECLSMKQEIEKLGKPRSSWNIFTRKCGFGVKSQSVAGGK >Ma03_p30100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32883267:32887324:-1 gene:Ma03_g30100 transcript:Ma03_t30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANESNAIAVHNPRARKLRSLVWNDFTKERKADGSYVAVCNHCKKQLTASSRSGTTHLKNHLVICTSTKRIKRKKLVVRRLVLTSSDAKSEGVLSSDHSQFDQEASRQDLARMVILHGYPFNIVHHVGFRTFVRNLQPLFKLVSADVVKADCMKMYENERLRLHEVLDRLHSRVSLNVDVWRSNEDVEYACVSCHYVDNDWRLKKKILNFAHVGCPEKEQEISKIILEKLQEWNIDGKLCSIVLDNCRTSDLVASELLGSLRMKGFLISNGDLFYARSCGHLLNLVVQKGLELACEIIDRVRACVQNVKSSQDRLARFQKDAEQMGIPQKPLVLDAPASWPSTYFMLETACQYQEAFKNLAENDVENTCFLSPKDWSDVRAIVGCLQVLYNDAMVKFSAAGVPTSNLFFRDMCGIHLLLKSWCSSPQPFVASMAKEMLEKFEQYWDLTRMPMAIASVLDPRYKMKPVEYFFNRIYDDASEAKTKIDNVRDSFVNLYNEYIGQSANSSKNQASYGGNSSSYDGADHGNGAECKSSRVTLSDTQRGLDQYLKETSSGHPARSDLDMYLEEAVHPSKSLDDNFDILAWWKYNAAKYPALSMMARDILAIPISVVPLDSEARSLNHYLSATDAVIVECLICAQDWIGDETEVSPVNTLALVSSAASFEGHGDEYLLPADGD >Ma03_p30100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32883267:32887324:-1 gene:Ma03_g30100 transcript:Ma03_t30100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANESNAIAVHNPRARKLRSLVWNDFTKERKADGSYVAVCNHCKKQLTASSRSGTTHLKNHLVICTSTKRIKRKKLVVRRLVLTSSDAKSEGVLSSDHSQFDQEASRQDLARMVILHGYPFNIVHHVGFRTFVRNLQPLFKLVSADVVKADCMKMYENERLRLHEVLDRLHSRVSLNVDVWRSNEDVEYACVSCHYVDNDWRLKKKILNFAHVGCPEKEQEISKIILEKLQEWNIDGKLCSIVLDNCRTSDLVASELLGSLRMKGFLISNGDLFYARSCGHLLNLVVQKGLELACEIIDRVRACVQNVKSSQDRLARFQKDAEQMGIPQKPLVLDAPASWPSTYFMLETACQYQEAFKNLAENDVENTCFLSPKDWSDVRAIVGCLQVLYNDAMVKFSAAGVPTSNLFFRDMCGIHLLLKSWCSSPQPFVASMAKEMLEKFEQYWDLTRMPMAIASVLDPRYKMKPVEYFFNRIYDDASEAKTKIDNVRDSFVNLYNEYIGQSANSSKNQASYGGNSSSYDGADHGNGAECKSSRVTLSDTQRGLDQYLKETSSGHPARSDLDMYLEEAVHPSKSLDDNFDILAWWKYNAAKYPALSMMARDILAIPISVVPLDSEARSLNHYLSATDAVIVECLICAQDWIGDETEVSPVNTLALVSSAASFEGHGDEYLLPADGD >Ma03_p30100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32883267:32887379:-1 gene:Ma03_g30100 transcript:Ma03_t30100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDANESNAIAVHNPRARKLRSLVWNDFTKERKADGSYVAVCNHCKKQLTASSRSGTTHLKNHLVICTSTKRIKRKKLVVRRLVLTSSDAKSEGVLSSDHSQFDQEASRQDLARMVILHGYPFNIVHHVGFRTFVRNLQPLFKLVSADVVKADCMKMYENERLRLHEVLDRLHSRVSLNVDVWRSNEDVEYACVSCHYVDNDWRLKKKILNFAHVGCPEKEQEISKIILEKLQEWNIDGKLCSIVLDNCRTSDLVASELLGSLRMKGFLISNGDLFYARSCGHLLNLVVQKGLELACEIIDRVRACVQNVKSSQDRLARFQKDAEQMGIPQKPLVLDAPASWPSTYFMLETACQYQEAFKNLAENDVENTCFLSPKDWSDVRAIVGCLQVLYNDAMVKFSAAGVPTSNLFFRDMCGIHLLLKSWCSSPQPFVASMAKEMLEKFEQYWDLTRMPMAIASVLDPRYKMKPVEYFFNRIYDDASEAKTKIDNVRDSFVNLYNEYIGQSANSSKNQASYGGNSSSYDGADHGNGAECKSSRVTLSDTQRGLDQYLKETSSGHPARSDLDMYLEEAVHPSKSLDDNFDILAWWKYNAAKYPALSMMARDILAIPISVVPLDSEARSLNHYLSATDAVIVECLICAQDWIGDETEVSPVNTLALVSSAASFEGHGDEYLLPADGD >Ma09_p26240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37560202:37562751:-1 gene:Ma09_g26240 transcript:Ma09_t26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRITPNLLIFCQGCAGAKSNQQIKLAAWKECNNDFSWFDIAYEKNQRWYFSFLLMEKLFSLGLRFKMRHMLHLRIYQSMQKSERTSNGMVDCCIGKVPFSFYMLNQKAKGKDL >Ma07_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13280238:13285536:1 gene:Ma07_g16400 transcript:Ma07_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWDALRKQARKLEAQLDEQMTSYRRLVSAKPDGSANELESGIEHLLKQLQHVNLQMQTWVSSGGSQIISHTLTRHKEILQDLTQEFFRLRSSLRAKQERASLLLDFRDFDKAKVDMEEGADSVEHALLKEQATISRSSGQMDNVISQAQATLGSLIFQRSTFGGINTKISNVSSRLPTVNHILSAIKRKKSMDTIILSLVASVCTFMILIYWLSK >Ma07_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30450165:30451931:-1 gene:Ma07_g22540 transcript:Ma07_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEERLIIHLQALLGNRWAAIASYLPERTDNDIKNFWNTHLKKKLRKIQGGQDDGTMNAGLLANYYPFSKGLWETTVQTDIHMAKQALGEALSLQNQHAGASSATTATYASSTENISRLLEGWMKNSPKTRAASASDMVGGAGSASTEGSATMASKSAEVSPEGLDSLFKMETSTPEVSESSLFQRQIKLATEAPIPISLLETWLFDETFEQGGACPVDIPLCDAAAELL >Ma05_p02830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1920232:1924320:1 gene:Ma05_g02830 transcript:Ma05_t02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSFKLDNPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFVFVKNSLPPTASLMSAIYEENKDEDGFLYMSYSGENTFGSA >Ma05_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1920247:1924304:1 gene:Ma05_g02830 transcript:Ma05_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSFKLDNPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFVFVKNSLPPTASLMSAIYEENKDEDGFLYMSYSGENTFGSA >Ma03_p26260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30052085:30053809:1 gene:Ma03_g26260 transcript:Ma03_t26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPNLAVLEALDTARTQWYHVTAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYDGKDGEPGKLPTPVNNLVIGVALVGTLMGQLVFGWLGDKLGRKKVYGITLILMSICAIGSGLSFGKTKGAVMGSLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGQFIAAVFAMQGVGIIFAGLVSMTLSAIFLHYNPAPTFTENPDLSTQHAGDFLWRIVLMLGALPAVVTFYWRMKMPETARYTALIAGNAKQAAHDMEKVLEIDIQAEPERLSQFKSANEYNLFSREFVGRHGRHLVGTMTTWFLLDIAFYSQNLTQKDIFQAIHLTNKAKNVNALREVFEISRAMFVVALLGTFPGYWFTVIFIEKLGRYLIQLIGFFMMSMFMLILGIKYDYLKEHNHKLFAVLFGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAASGKAGAMIGAFVVQSYTLSQEPSKIKKALIVLAFTNMLGFFFTFLVSETKGKSLEEISGENERVSGKEERGGI >Ma10_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25294347:25298647:1 gene:Ma10_g11950 transcript:Ma10_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSEHQLRVLLFLIVASLLHHPCCSDAARRPIKSVVVLVLENRSFDHMLGWMKQSINPSIDGLTGRECNPHSTDDPKSQLICVSDDAQFVDPDPGHSFEDVVQQVFGSGAVPSMSGFVQQALTISRNLSSTVMKGFNPESVPVFAALVQEFAVFDRWFSSIPGPTQPNRLFVYSATSHGAIRHDKFNLLLGYPQKTIFDSLQEDGLDFGIYFKNIPTTLFYRNLRRLKYISKFHFFSTFKDHARRGKLPSLSVIEPRYFDLLEHPADDDHPSHDVANGQKLVKEVYEALRSSPQWNESLLIITYDEHGGFYDHVATPYEGVPNPDGIMGPDPFFFKFDRLGVRIPTIMVSPWIKKGTVMSRPHGPSSTSEFEHSSIPATIKKLFKLKSDFLTKRDAWAGTFEDIVGHLTSPRTDCPEVLPDVPPLRNIDAKEDRLLSEFQRELAELAAVLNGDYFLSSFSHETSKQMKVREASAYVNGAVSRFLEAGKQAMRLGADGSAIVNMRSSLTRTTRSP >Ma10_p11950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25294497:25298647:1 gene:Ma10_g11950 transcript:Ma10_t11950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSEHQLRVLLFLIVASLLHHPCCSDAARRPIKSVVVLVLENRSFDHMLGWMKQSINPSIDGLTGRECNPHSTDDPKSQLICVSDDAQFVDPDPGHSFEDVVQQVFGSGAVPSMSGFVQQALTISRNLSSTVMKGFNPESVPVFAALVQEFAVFDRWFSSIPGPTQPNRLFVYSATSHGAIRHDKFNLLLGYPQKTIFDSLQEDGLDFGIYFKNIPTTLFYRNLRRLKYISKFHFFSTFKDHARRGKLPSLSVIEPRYFDLLEHPADDDHPSHDVANGQKLVKEVYEALRSSPQWNESLLIITYDEHGGFYDHVATPYEGVPNPDGIMGPDPFFFKFDRLGVRIPTIMVSPWIKKGTVMSRPHGPSSTSEFEHSSIPATIKKLFKLKSDFLTKRDAWAGTFEDIVGHLTSPRTDCPEVLPDVPPLRNIDAKEDRLLSEFQRELAELAAVLNGDYFLSSFSHETSKQMKVREASAYVNGAVSRFLEAGKQAMRLGADGSAIVNMRSSLTRTTRSP >Ma10_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33396967:33410628:1 gene:Ma10_g25090 transcript:Ma10_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCIKNLPKYVNEDRLREFFSQKGEVTDAKLMRTKDGKSRQFAFIGFRTEREAEDALNYFNNSYMDTLKITCEVARRVGDPNIHRPWSHYSTKKVDPLTNRNKDSSVTKDQSLSGSTSKGLESFSVMSKDAKRSEKDDPKLKEFLQIMQPRSKLKMWANDSLGASNVIVPDGIADEEETKKCKTKKKQSAQIDKTEGVKGENETAHGTSSSPTQIESSEIIPIEQFNGEDATTDMEYFKSRVKKNWSDSETDDNNLEKDEKESLSELLETDAKDKTIDIPGMKCTEYEQVEIEEQSSKDSDGENNDIKNTSSNNENKPAMETGRLFVRNLPYTATEDDLAELFSQFGDLSEVHLVVDKDTKRSKGIGYVFYTLTESAMRALEELDNSIFQGRLLHVMPAKPKNSTSEKLESDHATTKKSLKQQREEQKKASEASGDTRAWNSLFMRPDTVVENIARKHGIKKSDLLDSEADDLAVRIALGETHVIAETKKALSNAGINILALEEHASKKTENTKRSNHVILVKNLPYNSSEGDLANMFGKYGSLDKIILPPTRVLALVIFLEAGEARAAFKGLAYKRYKDVPLYLEWAPGDILSSNPKSQNGEKISVIGEEHVKKVLLEQSVEGIPEEEIDPDKMESRSLFVKNLNFKTTDDTLKKHFSDKMNNGTIKSVKVKKHQKKGKDVSMGFGFIEFDSVETATSVCKDLQGTVLDGHALIMQLCHSKKAGQVPKTDEKNKSSTTLMVKNVAFEATEKDLKQLFSPFGQIKSLRLPVKFGGHHKGYAFVEYVTKQEAQNALENLSSTHLYGRHLVLERAKEKETMEELRARAAAQFGVDNGGLSKKRKATSVVEGAEKFARML >Ma02_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27644957:27646195:1 gene:Ma02_g22250 transcript:Ma02_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKLLVREDDEEANHVVFLTSTTYGVLNLDRNEAGGKREDDEGGREVIKREWRSDAKEEPAEVIDAWELMEGLADETPVSSPLKRSPKPHPSTHASIVSPSPRSRKTRRESGKENTEPRRDSARWDLDPNRILRPFSSSDNARRAGLASSLTPRKKHGRDSGGGSVSRRSHGPVFDPDLLASLEREHVEEGEQMKKMVALARDSSVLLQYYEEKCPPGGQNSVVIYTTTLRGIRKTFEDCNSVRSTLESHRVRVMERDISMDSGYRAELRTLMGGAREVKVPAVFVKGRLLGGAAELAKLEEDGALGPLLQGIPRAATACEGCGGVSFVVCVDCSGSCKVLDEEEKKMVKCGKCNENGLRHCPMCC >Ma05_p27510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38784388:38786176:-1 gene:Ma05_g27510 transcript:Ma05_t27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRELRRGVTLSERLSVVHSSNLGDLLKVREEDDMRICRRRGGDSVTLESIIACENREGSATSGGSGRTLLDIIHQEHEASGIMADGNSSNEAHWMSLRDRLRLHIDGVAWASASAGHLHPISDAELVVSVRSSELAVPEPTAASATAAATELPSVSGGGSDEINGSENSDPGGTAESSAPAAVPAAAAEEEEEQPARVSLLALLEQTDRQWGGSGRERLSLFAAAPPEKEVAADEREGGGGVMLYMCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGSCPLCNAYIVEILDIF >Ma09_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25172792:25173570:1 gene:Ma09_g19610 transcript:Ma09_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKKKNKKNRKFRFYPTASTLSLFLERWGVGRRREVEGEEKKRRWLRQGQQRGAVGRWGGERKKRRRRKEEEEGKEERRKKREKRRVEEEEKEREVGEEREVAAARAAAPLFPAEETEERVCVTPEGRKEKKRRRRKEDEKGKK >Ma10_p04330.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15026379:15030120:-1 gene:Ma10_g04330 transcript:Ma10_t04330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRAALLPSPSLLRSASAALLLPPPMIASSRRTISSPSRRLLLHPAVKPTRADLPTFRVRAARTESGSVSLGFRAPHFELPEPLTGKVWTLDDFESCPALLVMFICNHCPFVKHLKRDITKLTSFYMEKGLGVVAISSNSTITHPQDGPEFMAEEAKLFNYPFPYLFDESQDVARAFGAVCTPEFFLFKKDGRRPFELFYRGQFDDSRPSNNVPITGRDLSRAIDCVLSGQLLTSAQKPSVGCSIKWHPKTT >Ma10_p04330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15026397:15030120:-1 gene:Ma10_g04330 transcript:Ma10_t04330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRAALLPSPSLLRSASAALLLPPPMIASSRRTISSPSRRLLLHPAVKPTRADLPTFRVRAARTESGSVSLGFRAPHFELPEPLTGKVWTLDDFESCPALLVMFICNHCPFVKHLKRDITKLTSFYMEKGLGVVAISSNSTITHPQDGPEFMAEEAKLFNYPFPYLFDESQDVARAFGAVCTPEFFLFKKDGRRPFELFYRGQFDDSRPSNNVPITGRDLSRAIDCVLSGQLLTSAQKPSVGCSIKWHPKTT >Ma10_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15026873:15030120:-1 gene:Ma10_g04330 transcript:Ma10_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRAALLPSPSLLRSASAALLLPPPMIASSRRTISSPSRRLLLHPAVKPTRADLPTFRVRAARTESGSVSLGFRAPHFELPEPLTGKVWTLDDFESCPALLVMFICNHCPFVKHLKRDITKLTSFYMEKGLGVVAISSNSTITHPQDGPEFMAEEAKLFNYPFPYLFDERLLAALTLIKCPSSVTGCCTGFWSCMYTRVFPLQEGELCILV >Ma10_p04330.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15025949:15030120:-1 gene:Ma10_g04330 transcript:Ma10_t04330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRAALLPSPSLLRSASAALLLPPPMIASSRRTISSPSRRLLLHPAVKPTRADLPTFRVRAARTESGSVSLGFRAPHFELPEPLTGKVWTLDDFESCPALLVMFICNHCPFVKHLKRDITKLTSFYMEKGLGVVAISSNSTITHPQDGPEFMAEEAKLFNYPFPYLFDESQDVARAFGAVCTPEFFLFKKDGRRPFELFYRGQFDDSRPSNNVPITGRDLSRAIDCVLSGQLLTSAQKPSVGCSIKWHPKTT >Ma10_p04330.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15026873:15030120:-1 gene:Ma10_g04330 transcript:Ma10_t04330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRAALLPSPSLLRSASAALLLPPPMIASSRRTISSPSRRLLLHPAVKPTRADLPTFRVRAARTESGSVSLGFRAPHFELPEPLTGKVWTLDDFESCPALLVMFICNHCPFVKHLKRDITKLTSFYMEKGLGVVAISSNSTITHPQDGPEFMAEEAKLFNYPFPYLFDESQDVARAFGAVCTPEFFLFKKVSYAFWFSEHGRTFY >Ma10_p04330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15026382:15030120:-1 gene:Ma10_g04330 transcript:Ma10_t04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATRAALLPSPSLLRSASAALLLPPPMIASSRRTISSPSRRLLLHPAVKPTRADLPTFRVRAARTESGSVSLGFRAPHFELPEPLTGKVWTLDDFESCPALLVMFICNHCPFVKHLKRDITKLTSFYMEKGLGVVAISSNSTITHPQDGPEFMAEEAKLFNYPFPYLFDERLLAALTLIKCPSSVTGCCTGFWSCMYTRVFPLQEGWKKAI >Ma11_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:846010:850422:-1 gene:Ma11_g01170 transcript:Ma11_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterodimeric geranylgeranyl pyrophosphate synthase small subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38460) UniProtKB/Swiss-Prot;Acc:Q39108] MASAFPFLLLPNVPSPKMPPPSSLQFPKPRPLSLPFRCFAAAAPAFDLRGYWMSLISEIEADLDSAVPIRYPESVHKAMRHSVLSGGGKRAPPIMCIAACELVGGHRSAAFPAACALEMIHAASLVHDDLPCMDAAPLRRGRPSTHALFGVDMAVLAGDALFPLAYQHIVARTPSPDLVSHVAVLRVLAEIARAVGSTGMAAGQFLDLSCASCGGEEEVVIVLEKKFGEMAECSAVCGGLLGSASDEELEALRRYGRTMGVLYQLVDDVLMESNGGAGKMRSNASVVTALGMERALKMVEEFKTKAKQELERFGDKYGDKVQPLSSFVDYAVERGFLVDSSANVAVNSKASC >Ma02_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22609828:22612076:-1 gene:Ma02_g14610 transcript:Ma02_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFLVVSVLVVGLCSALAQGLGVNWGTMASHPLPPKIVVQLLKDNGIDKVKIFDADSSTMKALAGSDIEVMVAIPNNMLRTMTDYGAARDWVKTNVTRYHFEGGVNIKYVAVGNEPFLSSYNGSFLNVTFPALKNIQNALNDAGVGGSIKATVPLNADVYNSPESNPVPSAGRFRTDISDLMTEIVQFLNQSGAPFTVNIYPFLSLYGNPNFPVEFAFFDGGSDPVVDNGIQYTNVFDANFDTLVSALKGVGLGDLPIIVGEVGWPTDGDKNAKASYAQRFYDGLLKRLVANHGTPLRPNQYIEVYLFSLIDEDAKSIEPGNFERHWGVLKYDGQPKYNMDLSGQGQAKTLVPTKDVEYLPKKWCVFDPNGGGNVSTLGDQITFACTWSDCTALGYGSTCNGLDGNGNASFAFNMYYQVQNHQELSCNFQGLATETTQDPSTAGCNFTIQIATSAADAPMKPLLVVFMMYAYVMALLLL >Ma05_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27797000:27798059:1 gene:Ma05_g19370 transcript:Ma05_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRWATLSLDLGVGLLPSPGQASRERGSVLVEGSSSRKEEARLASTQFGDLEAELNRISEENKRLSEMVSNMLTDCVALSGGVPALPKRKRIETNTPGDDDPCKRVRADPVPNASKAYVRIDPKNTSLVVKDGYQWRKYGQKVTRDNPFPRAYFRCSFAPSCPVKKKVQRSSEDGSILVATYEGEHNHKHLAQDELYVGGIPCRPLQQRFGNQESPGFHRILVDQMASSLTKDPSFTAAIAAAISERLLQQTPVPN >Ma04_p29930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30715436:30716591:1 gene:Ma04_g29930 transcript:Ma04_t29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGFESSAVKTQILSPSIDSFSSLPVMAALALVVLIFTMFGGSDAAWCVCKPDMSDTALQKTLDYACGAGADCTPILQNGACYNPNTVKAHCSYAANSYYQRKGQAQGTCDFSGTAALATTDPSYSGCTFPATASAAGTGSTPATTTPGTTPGTFSPTSGVVGGLGPSSSMSNEDMSHGEFLMKAGMGSLLLTVICSLMALLG >Ma11_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16015443:16031132:1 gene:Ma11_g12180 transcript:Ma11_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPCGALQSLLMGGSKYIPVRYSAYAAAVAAFVPRRLLHFRVHLRSPHFRGPVYNNLPFCQISYRACNRSSVPVTAANAVEVARPGDETIFAERIAHLKTKMKEVGIEYAACIPGKSPALLCPKCRGGSSTVKSLFVVIHEDRNLAIWTCFRGECGWKGEVQACEDVKAGLTEKDEDMNAKQHTVTTEKRLQLEPLGEEVIAYFADRMISAETLRRNAIKQIKCGKKIAIAFPYRRNGTLVSCKYRDFSKKFWQEPDKERIFYGLDDINQSSDVIIVEGEIDKLSMEEAGYRNCVSVPDGAPAQVSKGPLVAAEEDTKFQYLWNCKEYLEKASRIILATDADGPGQALAEELARRLGKERCLRVKWPEKSANEVCKDANEVLIHYGPDALKKVVENSELYPIRGLFQFSDFFHEVDAYYHQTHGFELGVSTGWRALDELYNVMPGELTVITGVPNSGKSEWIDALLCNINENDKWKFALCSMENKVREHARKLLEKHIRKPFFDASYGGSTERMTVQELEQGKKWLNDTFHLIRCEDDCLPSIKWVLRLAKAAVLRYGVRGLVIDPYNELDHQRPPNQTETEYVSQMLSMIKRFAQHYSCHVWFVAHPRQLQHWTGGPPNMYDISGSAHFINKCDNGIVIHRNRDAKVGPLDGVQVCVRKVRNKVVGTIGDAFLSYNRITGEFEDIDVRAISMSITRGG >Ma11_p12180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16015443:16031132:1 gene:Ma11_g12180 transcript:Ma11_t12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPCGALQSLLMGGSKYIPVRYSAYAAAVAAFVPRRLLHFRVHLRSPHFRGPVYNNLPFCQISYRACNRSSVPVTAANAGFEVARPGDETIFAERIAHLKTKMKEVGIEYAACIPGKSPALLCPKCRGGSSTVKSLFVVIHEDRNLAIWTCFRGECGWKGEVQACEDVKAGLTEKDEDMNAKQHTVTTEKRLQLEPLGEEVIAYFADRMISAETLRRNAIKQIKCGKKIAIAFPYRRNGTLVSCKYRDFSKKFWQEPDKERIFYGLDDINQSSDVIIVEGEIDKLSMEEAGYRNCVSVPDGAPAQVSKGPLVAAEEDTKFQYLWNCKEYLEKASRIILATDADGPGQALAEELARRLGKERCLRVKWPEKSANEVCKDANEVLIHYGPDALKKVVENSELYPIRGLFQFSDFFHEVDAYYHQTHGFELGVSTGWRALDELYNVMPGELTVITGVPNSGKSEWIDALLCNINENDKWKFALCSMENKVREHARKLLEKHIRKPFFDASYGGSTERMTVQELEQGKKWLNDTFHLIRCEDDCLPSIKWVLRLAKAAVLRYGVRGLVIDPYNELDHQRPPNQTETEYVSQMLSMIKRFAQHYSCHVWFVAHPRQLQHWTGGPPNMYDISGSAHFINKCDNGIVIHRNRDAKVGPLDGVQVCVRKVRNKVVGTIGDAFLSYNRITGEFEDIDVRAISMSITRGG >Ma05_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:815596:817041:1 gene:Ma05_g01400 transcript:Ma05_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVAARVTCCPRDFLRRFGGGGREELAEAKMGELSEAELSLGLSLGGCSGMEPEERCFLRSSSIDTLSAFPPRATAPLARTCSLPADSEEEQRKRKELQSLKRLEAKRKRSEKRRSKAGTTDGKDEILEEEMGHPAVGGATDGVVPPLPPTLARKARAQMVDVTPETQVSVGSQGSGCSGCVSDFDCPPKHGFGVAGKNHHRDVRSQSAMNPTTSLIRKRVTFGEEEDDRPRKSAGHGTAKGVTRDMMREMPCVSTRGDGPSGRRIEGFLYKYREGEEVRIVCLCHGRFLTPAEFVKHGGGGDVAHPLRHIVVNPSPSALLQ >Ma05_p01400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:815595:817041:1 gene:Ma05_g01400 transcript:Ma05_t01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVAARVTCCPRDFLRRFGGGGREELAEAKMGELSEAELSLGLSLGGCSGMEPEERCFLRSSSIDTLSAFPPRATAPLARTCSLPADSEEEQRKRKELQSLKRLEAKRKRSEKRRSKAGTTDGKDEILEEEMGHPAVGGATDGVVPPLPPTLARKARAQMVDVTPETQVSVGSQGSGCSGCVSDFDCPPKHGFGVAGKNHHRDVRSQSAMNPTTSLIRKRVTFGEEEDDRPRKSAGHGTAKGVTRDMMREMPCVSTRGDGPSGRRIEGFLYKYREGEEVRIVCLCHGRFLTPAEFVKHGGGGDVAHPLRHIVVNPSPSALLQ >Ma02_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24168163:24172031:-1 gene:Ma02_g17110 transcript:Ma02_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEHDYIGLSELPFSAAAAAGAAEDGALNLKETELRLGLPGSESPDRKEKVGLTLGLLPKVFGSGAKRGFSDAIDGAGKWELTSGGCGSEVEGGKGGALFSPRGEDGGGQLSGHGNAGKDVAPKADGQERKAAGQVGNSAGNDRGVAPAAKAQVVGWPPIRSYRKNTMATNPSKDKEDADEKQGPGCLYVKVSMDGAPYLRKVDLKAYNNYKELSSALEKMFSCFTIGQCGSHGIPGRDGLSESRLTDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFTNSCKRMRIMKGSDAIGLAPRAMEKCKNRIRISF >Ma06_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14425024:14426826:1 gene:Ma06_g20350 transcript:Ma06_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFPGLLSRGELLDLLHNSTTVSRVHQVHAQLITRALFSDPFVASRLLSTICELSARVPASYADLVFSQSHQPTTFSWNTIIRFHVRSSNPNKAVLLFARMRKAGVLTDHYTYPFVLKACALLAGLGEGAAIHGDVLKKGLGEDPFVMNGLISLYCKGGEIAAARKLFDGSRSRDLVSWNSLMAGYVSCGDTAEAGRLFDAMPERDAFSWAILIDGYGKKAGDVSRARDLFDKMPNKDLVCWNSMIDGYVGLGMMLAARELFDVMPERNVISWSILIDGYVKHGDPKEALELFQSMLGQGVRPDVISAVGAISACSQLGALDQGRWIHSYVKKHNILLDVVVETALVDMYMKCGSIDLARLLFDEMPRRSVVTWSVMIVGLGMNGFGCEAVELFYQMERRGATMDDLTFLGVLTACTHARLVCEGREIFDRMRRDFRVEPKVEHYGCLVDLLGRAGRLQEAREVIETMPGTPTSSLWGSLLAACRTHRCVELAEVAVEKLKKLGADDGGVYVIMSNIYAAEGMWNQVWKMRKLMRERGMKKETGRSVIEVDGSIHEFVYGDSSHPYKEEIYEVLGSCQMQCLEKMVSLIF >Ma06_p36920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36139961:36151735:-1 gene:Ma06_g36920 transcript:Ma06_t36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNPSSDVEGTTMANGSGLSPEGKTSVVVDSEKKECAGKNKEVEETTMMNGSGPPTEDKSVVPNSGEHGNEGESIEEEKHLEEMMDSDEKKQGEENGIAKEVDIETADVNTIKAEDVKMVDAEAENAMELENLKMVDVEDFKDEKEVEGREEEGGEKEAKEEEGGGEDEEEEGGEEETKEDEEGKEDGDEEGGEQEAKEEKVGKEGEEGEGEEGSKEEENNMEEGDIQSTEKKIDEDKEEEKLNKKRSRGQKADKKGEGKEGVTKARNSLSSPVTSSIERPVRERKTVERLVEVIEKEPSREFQVEKGRGTPLKDIPNVAYKLARKKPADIKLIHQTLFGRRGKAVNFKSHILQFSGFVWHESDEKQRAKMKEKLDKYVKDTLLDLCDLFDLPVSKANTRKEDLVAKLLDFLVAPHPIDEDVLSDDKQSMKSRKRKRVAKGSGSKSTEDTHSKQSRKKRTRREGTPSAEETDSEDDDVDDIKNGPYTGKIGKHSENECKVSESEEASDEDERDEEDSGEDKQDKKKTPKQGSVGKEKKVGSSSRKVPTPATTKSPTKSSSSKHSKAENDDIGAKVFSRKRRTVSSPQRKSTPRSEKKEKDTGKKVAKGKAKSEAEHPSKEELRKKICEILKEVDFNTATFTDILKQLAGHYKLDLTPRKASIKLLIQEELTKLAEAEEDEDDEDEEDAEKEENPEPTGKKVEA >Ma05_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9779225:9780208:-1 gene:Ma05_g13470 transcript:Ma05_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELLRQECEAITFSSSFSTITSCSSSSSLSSMASSCCPSASPELLGHSSRNMKKKKKTASKGGSKRQAEAAPCGVGGGGGGGGKKRSKDGKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALTIKGQSAHLNFPELAAVLPRPATAAPKDIQAAAALAAACPGQAEQPVSHSLTTPSSDGEDALFDLPDLFLDLRDAFCHSSPPPSSWLPSTEEDGIVFRVEEPFLWE >Ma07_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9437454:9441354:1 gene:Ma07_g12540 transcript:Ma07_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGSSLLPRHHPLPCSSSAGGQAYLPSLVRAGQRRAPSRPISAVHAAEPAKNPVKTKEPAPVTETKPGKWSVDSWKAKKAIQLPEYPDKAELESVLRTIENFPPIVFAGEARHLEERLADAALGKAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQLPVVKVGRMAGQFAKPRSEPFEEKDGVKLPSYRGDNINGDAFNEKSRVPDPQRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYQELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQSLTREDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPKELVKLVEILNPQNKPGRITVIARMGADKMRVKLPHLIRAVRGAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRNVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASRPLNQVSSLPSLGL >Ma11_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9276349:9282937:1 gene:Ma11_g10030 transcript:Ma11_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDLAKLSAYRDRRFPGNQEEYEGALQTSTTVYVGNMSFYTTEEQMYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDTEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQRELESQRELVDYGAGALSAFQPPMPYGRHGGDYGHGGSHRHGRDYRKRNREDEHSASEMSRRTSGYESRRSSDHDSRPEKNPRFRESGDSDDEDDDDQKRRR >Ma08_p13540.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10685178:10693656:1 gene:Ma08_g13540 transcript:Ma08_t13540.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMDHGINFPHGGVFLQSFCNQHVVSFQPKDVKSTTNVFLGDMNTSGGIIATTGILLTGNASTPNNISSMILTGNLPSNILLDPLPGLEHLAAFAVDWSCEELEVLKHGLVMFASEPTIMKYIKIAARLSEKTVRDVAIRCRWMTRKENGKQHKSEDFYAGKKIKDRKEKIIGCSSMVNMHYNQPDCEAACSVMMCNGNHMNQFSSEAFPVIDSRTMNILEDNAKLLHQIAVNSENNEIQNNIDLLYRTNNNITAILNSMLETPGIMSQMPPLPVYANENLLHSILRSTSQAHGHGNSYLQEEPSCW >Ma08_p13540.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10685388:10693656:1 gene:Ma08_g13540 transcript:Ma08_t13540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMDHGINFPHGGVFLQSFCNQHVVSFQPKDVKSTTNVFLGDMNTSGGIIATTGILLTGNASTPNNISSMILTGNLPSNILLDPLPGLEHLAAFAVDWSCEELEVLKHGLVMFASEPTIMKYIKIAARLSEKTVRDVAIRCRWMTRKENGKQHKSEDFYAGKKIKDRKEKIIGCSSMVNMHYNQPDCEAACSVMMCNGNHMNQFSSEAFPVIDSRTMNILEDNAKLLHQIAVNSENNEIQNNIDLLYRTNNNITAILNSMLETPGIMSQMPPLPVYANENLLHSILRSTSQAHGHGNSYLQEEPSCW >Ma08_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10685178:10693656:1 gene:Ma08_g13540 transcript:Ma08_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMDHGINFPHGGVFLQSFCNQHVVSFQPKDVKSTTNVFLGDMNTSGGIIATTGILLTGNASTPNNISSMILTGNLPSNILLDPLPGLEHLAAFAVDWSCEELEVLKHGLVMFASEPTIMKYIKIAARLSEKTVRDVAIRCRWMTRKENGKQHKSEDFYAGKKIKDRKEKIIGCSSMVNMHYNQPDCEAACSVMMCNGNHMNQFSSEAFPVIDSRTMNILEDNAKLLHQIAVNSENNEIQNNIDLLYRTNNNITAILNSMLETPGIMSQMPPLPVYANENLLHSILRSTSQAHGHGNSYLQEEPSCW >Ma08_p13540.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10685178:10693656:1 gene:Ma08_g13540 transcript:Ma08_t13540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMDHGINFPHGGVFLQSFCNQHVVSFQPKDVKSTTNVFLGDMNTSGGIIATTGILLTGNASTPNNISSMILTGNLPSNILLDPLPGLEHLAAFAVDWSCEELEVLKHGLVMFASEPTIMKYIKIAARLSEKTVRDVAIRCRWMTRKENGKQHKSEDFYAGKKIKDRKEKIIGCSSMVNMHYNQPDCEAACSVMMCNGNHMNQFSSEAFPVIDSRTMNILEDNAKLLHQIAVNSENNEIQNNIDLLYRTNNNITAILNSMLETPGIMSQMPPLPVYANENLLHSILRSTSQAHGHGNSYLQEEPSCW >Ma08_p13540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10685635:10693656:1 gene:Ma08_g13540 transcript:Ma08_t13540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMDHGINFPHGGVFLQSFCNQHVVSFQPKDVKSTTNVFLGDMNTSGGIIATTGILLTGNASTPNNISSMILTGNLPSNILLDPLPGLEHLAAFAVDWSCEELEVLKHGLVMFASEPTIMKYIKIAARLSEKTVRDVAIRCRWMTRKENGKQHKSEDFYAGKKIKDRKEKIIGCSSMVNMHYNQPDCEAACSVMMCNGNHMNQFSSEAFPVIDSRTMNILEDNAKLLHQIAVNSENNEIQNNIDLLYRTNNNITAILNSMLETPGIMSQMPPLPVYANENLLHSILRSTSQAHGHGNSYLQEEPSCW >Ma08_p13540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10685396:10693656:1 gene:Ma08_g13540 transcript:Ma08_t13540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVMDHGINFPHGGVFLQSFCNQHVVSFQPKDVKSTTNVFLGDMNTSGGIIATTGILLTGNASTPNNISSMILTGNLPSNILLDPLPGLEHLAAFAVDWSCEELEVLKHGLVMFASEPTIMKYIKIAARLSEKTVRDVAIRCRWMTRKENGKQHKSEDFYAGKKIKDRKEKIIGCSSMVNMHYNQPDCEAACSVMMCNGNHMNQFSSEAFPVIDSRTMNILEDNAKLLHQIAVNSENNEIQNNIDLLYRTNNNITAILNSMLETPGIMSQMPPLPVYANENLLHSILRSTSQAHGHGNSYLQEEPSCW >Ma05_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9950510:9961179:1 gene:Ma05_g13720 transcript:Ma05_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESHGEAAAKADASAASSPSVPKPVVTPEDEIRAVARKFSDQPVQNPDPGVWAVLTAISKNARQRPQGMNILLSGDEHCLGRCVEDTRFQISVIAISANHCKIFRDRVAAADAKLDPTSLVPVFLKDTSTNGTFLNWTKLRKQSPQARLQHGDIISFIAPPQNDNSYAFVYREVHRSSSLANGSALKRKSEEYDTDSKRLKGIGIGAPDGPISLDDVRSLQRSNTELRQHLESHVLTIETLRGESRSLMARHENELKELKEMVSNSFLDQIKELQSALDEKQKEIGSLNALSAELRSSVKDLNERLSASMQSRVDADEIIQSQKATISEIEARLDEERNQRRGERQKAAADLNSALKRAQLEAQEEIKRQSEIHLRQHKEQQEVINKLEESEKESRLLVETLRSKLEDARESLVTSEKKVRQLEIQVKDEQVALINSRKKSEALESELEKLRKELESEKVAREEAWAKVSALELEIAAAIRDLSIEKQRFQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEEHYENASIDMYLKEVSTGNNNAGGRYECNDSRASGAFTPKNSQAMSGSSADEEVSATEKHECSLGSQGGNTQDLECNSADRSVKGFGSDIDGVGTAVDPEGDPTDTEQVLGTESQAGDPALHKCSNLGGETMQIDDDPQVQEKVEPNGNHTGRPDGCSQQGLQDTETGTIRTADLLASEAAGSWAVSTAPSVNGENESPRSMGNADAVGEDIAAVATLPLCSDGFAVGSQSNVGPGITKLSKENEAPNAMIHKAPLTRLDVRRLFECRPDLSDAETEGSNNSDADHDNGHSIHDVDSDTDGTGDDERIDDSVG >Ma05_p13720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9950513:9961179:1 gene:Ma05_g13720 transcript:Ma05_t13720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESHGEAAAKADASAASSPSVPKPVVTPEDEIRAVARKFSDQPVQNPDPGVWAVLTAISKNARQRPQGMNILLSGDEHCLGRCVEDTRFQISVIAISANHCKIFRDRVAAADAKLDPTSLVPVFLKDTSTNGTFLNWTKLRKQSPQARLQHGDIISFIAPPQNDNSYAFVYREVHRSSSLANGSALKRKSEEYDTDSKRLKGIGIGAPDGPISLDDVRSLQRSNTELRQHLESHVLTIETLRGESRSLMARHENELKELKEMVSNSFLDQIKELQSALDEKQKEIGSLNALSAELRSSVKDLNERLSASMQSRVDADEIIQSQKATISEIEARLDEERNQRRGERQKAAADLNSALKRAQLEAQEEIKRQSEIHLRQHKEQQEVINKLEESEKESRLLVETLRSKLEDARESLVTSEKKVRQLEIQVKDEQVALINSRKKSEALESELEKLRKELESEKQVAREEAWAKVSALELEIAAAIRDLSIEKQRFQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEEHYENASIDMYLKEVSTGNNNAGGRYECNDSRASGAFTPKNSQAMSGSSADEEVSATEKHECSLGSQGGNTQDLECNSADRSVKGFGSDIDGVGTAVDPEGDPTDTEQVLGTESQAGDPALHKCSNLGGETMQIDDDPQVQEKVEPNGNHTGRPDGCSQQGLQDTETGTIRTADLLASEAAGSWAVSTAPSVNGENESPRSMGNADAVGEDIAAVATLPLCSDGFAVGSQSNVGPGITKLSKENEAPNAMIHKAPLTRLDVRRLFECRPDLSDAETEGSNNSDADHDNGHSIHDVDSDTDGTGDDERIDDSVG >Ma05_p13720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9950573:9961179:1 gene:Ma05_g13720 transcript:Ma05_t13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESHGEAAAKADASAASSPSVPKPVVTPEDEIRAVARKFSDQPVQNPDPGVWAVLTAISKNARQRPQGMNILLSGDEHCLGRCVEDTRFQISVIAISANHCKIFRDRVAAADAKLDPTSLVPVFLKDTSTNGTFLNWTKLRKQSPQARLQHGDIISFIAPPQNEEYDTDSKRLKGIGIGAPDGPISLDDVRSLQRSNTELRQHLESHVLTIETLRGESRSLMARHENELKELKEMVSNSFLDQIKELQSALDEKQKEIGSLNALSAELRSSVKDLNERLSASMQSRVDADEIIQSQKATISEIEARLDEERNQRRGERQKAAADLNSALKRAQLEAQEEIKRQSEIHLRQHKEQQEVINKLEESEKESRLLVETLRSKLEDARESLVTSEKKVRQLEIQVKDEQVALINSRKKSEALESELEKLRKELESEKQVAREEAWAKVSALELEIAAAIRDLSIEKQRFQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEEHYENASIDMYLKEVSTGNNNAGGRYECNDSRASGAFTPKNSQAMSGSSADEEVSATEKHECSLGSQGGNTQDLECNSADRSVKGFGSDIDGVGTAVDPEGDPTDTEQVLGTESQAGDPALHKCSNLGGETMQIDDDPQVQEKVEPNGNHTGRPDGCSQQGLQDTETGTIRTADLLASEAAGSWAVSTAPSVNGENESPRSMGNADAVGEDIAAVATLPLCSDGFAVGSQSNVGPGITKLSKENEAPNAMIHKAPLTRLDVRRLFECRPDLSDAETEGSNNSDADHDNGHSIHDVDSDTDGTGDDERIDDSVG >Ma02_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12790288:12794156:-1 gene:Ma02_g01940 transcript:Ma02_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSSSSARSLFRTLLGGSLGTTSCRPAKRSILPSLPRAILFCSSFSDSGSRSPSANGGAGGSGSADSITFAEAKRLMRLVNVESLKRKLERDGEEVIGYSQLLEACEGMGVARSREEAGAFAHVLDEAGVILLFRDKVYLHPEKVVDLIRRAVPLSLTPENDPRREELKQLQEKKQEIDMLAHKQVRRILWLGLGFLIVQIGLFFRLTFWEFSWDVMEPIAFFATTAGILCGYAYFLFTSRDPTYQDFMKRLFLSRQKKLFQKHSFDIQRYIELQKHCKSPLDKVVTAENITETNVPHFQHF >Ma09_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19912778:19917110:1 gene:Ma09_g19120 transcript:Ma09_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPYTVASDSETTGDDKSQSAFHDLAIGIEVGTSKCSIAVWNGAQVELLRNTRKQKSMRSYVMFKDDTSSGEVSSGGASNKTAYEEKEILSGSAIFNMKRLIGRADTDPIVHASKNLPFVVQTLGIGVKPFIAALVNNVWRSTTPEEVLAIFLVELKTMAEVQLKRLIRNVVLTIPVSFNRFQQIRVERACAMAGLHVLRLMPEPTAVALLYAQQQQQQQQQSLHGGMGSGCEKVALIFNMGAGYCDVATTATAGGVSQIKAMQGCTLGGEDILRNVLYHLLPNFDSLYSNRDTNKIRSMGLLRIAAQDAIHRLSTQSSVQINVDLGDGTKMQRVLHQSEFEEVNRNVFEICEKLIKLCLADSKLAAEDINDVILVGGCSRIPKIRSLVLGLCKKEKEYEGMDALEAAVTGAALEGAVASGITDPSGSLDMLTIQATPLSLGIRVHGGGFVPIIQRNTAIPVRKSTIFTTTQDGQTEALIVVYEGDGKMVEENHLMGYFKVTAIPLAPKGTAEISVCMDIDAANTLRVFAGAMMLGTDRANSPFFEVRMPTLDDAHGWCAQALVKTHGSALDLTTIPKELQPQKVQ >Ma11_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23948266:23952249:1 gene:Ma11_g18950 transcript:Ma11_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRSKKARRTAPRSDDVYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKINRPPISLKRLITFMNGKDNKIAVIVGTVTDDKRVYEVPALKVTALRFTETARARILKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYIRSKGRKFERARGRRNSRGFRV >Ma04_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20641122:20651782:-1 gene:Ma04_g18600 transcript:Ma04_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYERKALARADRCCCCDCCCCEGTRHLVVEVLLVTVILFQLTRKSYKPPKKPLKRRFEIDDLCEEWVPEPLHPPITEDMKVKPPVLESAAGCHTITDGKEVVNFTSANYVGLIGHEKIIDSCVCSLQKYGVGSCGPRGFYGTTDVHLDCETRIAKFMGTPDSIIYSYGISTIFSVIPAFCKKGDMIHMSKLIHCGSVLFFAFCNFQVFFYFKRNDMTSLESTLDKLTRGNKQAEKMRCYIVVEAVYQNSGQIAPLDEIVWLKEKYCFRVILDESHSFGVLGNSGRGLAEYYGVSIDKIDIITAGMGNALATDGDFFTGKVRVVDHQRLSISGYVFSASLPPYLASAAISAVNYLEDNPTVLTSLRSNFALLWKGLSDVPGLTIASHPLSPIVFLKLKKSTGSSKSDLELLNNLADRVLKEDSVFIVSPKRSVLDKCHLPVGIRLFVSAGSFRLVEESCNHSSGRASLRFLCSKPCFTVLICPFR >Ma04_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20605447:20610066:-1 gene:Ma04_g18560 transcript:Ma04_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLIAHKVEVDDNMFHVGVKYQINVQIAFHSEFDGIGIGGGASVGGWAAAAAAPLSTPFIGLDQALSSSFELLRPWESPLPRDRFLAAHAAGIHALLSTGLAVVDALPALRFVITNSVGVDHIDLAECARRGVSVANVGTIFSTDVADYAVCLLLDVLRRVSAADRYVRRGQWPLAGDYPLGFRLGGKRVGIVGLGSIGCEVAKRLEVFGCLISYFYRQRKPSTTYTYFPSVCDLAAASDILVITCALTTETHHMVNKDVMSALGKDGVIINVARGALIDEAELVKHLMQGDIAGAGLDVFEHEPAVPKELFCMDNVVLSHHTAIHTSESFVDLHELIVASLEAFFSNRPLLTPVLDCRSL >Ma06_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11778879:11781129:-1 gene:Ma06_g17320 transcript:Ma06_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAQSEQPRLGDPDEAQQVRTGGWITFPFILVSNLGLGLALSGATANLIVYLVEEYNVKSIDAAQIGNIVSGSTNLAPVVGAIVSDAFFGCYTVIVSSTIISLLAVVLLTLTAAVRSLQPPPCAFGSDACEAPTAGQMAFLFTAVALVAVGAGGSRFNILAMGGGQFDEVRDQVIFFNWYFIVLYVSAVVGSTVLVFVEDSVSWTLGYALCIVANAVAVVVLLLGTKYYRRPAAQGSPFTGMARVIVAAFKKWNVKVSQQNPSYYYGSGGDDDPNSDVPTQRLRFLNRAAWICHGNTRADGSIARPWSLCTVRQVEDLKAIVRVLPLWSASIFLSISIGIQLSLSVLQALTMDRSLGPHFSIPAGSMAVSSFAATTVSLFLLDRLLLPLWCRLAGRPSTPLQRIGLGQVINAAGMATSALVERRRAAVVHAHHAEGQPGWVVPMTALWLVLPLAVTGVGEALHFPSQVALYYQEFPRSLRSTATGMVAVLVAVGFYLSTAVVSLVRRATGWLPDNINGSKMENVYWLLTALTMVNFVYYLTCAMLYKYRSAPKEADGVAANEGGH >Ma11_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26695732:26712743:1 gene:Ma11_g23320 transcript:Ma11_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEGGGLEADLERRVMVAVKAAEERGDPPLLRAVEAARCVQERGLGLPNPELGRVLVSNLCFANNNPSLWKLLDQTMASCVVSPIHALALLTPRVTPHRRTQPEAYRLYLVLLSRYALSSLSVEAGSCRDKIAKSVDDALQLSDTYGVKKMDFGHAVVLFIMTVITILIDCTIEDYGLRLVSTDKHGSVCANGGKQVMELDVKGNSYDKRNEHREHLRRTNALLAIEVAEKISSNKKTKAFLHLIHINMPEKFNGLLQRLQTVESNILTSESFLSLSPILNKLFTNIKKATSTELLLNKHQLLGALADAGPSSLNSCNSSGAGRDACWIPIDILMENAMDGKHLYAISAVEVLTELTKTLKVINQASWQETFQALWVSALRLVQRVDREPLEGPIPHLDARLCMLLSIVPLAIVAVVKEELDIRYPVNNGFLRSNSHENEGNKFSSRRHGLISSLKMLGQFSALLLPPPSVVNAANNAATKAAVFVSNYKAGNNNLNVVGHNDSSIKAVGNMLHLIVEACIARNLIDTSAYFWPGYVGPSALSRDSTSVQDSPWSTFLEGAPLNGSLKNSLMVTPASSLVELEKMYHIAVNGSEEEKLAAAKILCGASLVRGWNVQEHVVHIVVKLLSPPIPPDSSASGVGNYLIGHMSVLSAILFGVSCVDIVHILSLYGMVPEVAAALMPLCEAFGSLTPLSSHRSSTSEEPSVYTVFSCAFLFLIRLWKFYKPPQEHCIAGRGGSMRMELTLDYLLLMRNSRITLQNSSPVDRTVNNMDPFYSSPSQPVYIDSFPKLRAWYFQNQACIASTLSGLCSKNPVHQVANKILSMICRKMSITGPVSGNPSSNSSSSISGSPVSTSDDSFQRPMLPAWEILEAVPFVLEAILTACAHGRLSSRELTTGLRDLVDFLPASLATIISYFSAEITRGIWKPVPMNGIDWPSPSPTLLSTESEIKEILASAGVHIKSCYPRGMPPMLPLPMAALVSLTITFKLDKSVEYIHGVVGQALENCATGCTWPSMPIIGALWTQKVRRWHDFIVLSCSRSPFSRDKDAVAQLIRSCFTSFLGPSVVGGSHITAHRGVNGLLGQFMSDQGVRLPIAPGFLYLRTCRTFHDTHFVNEVIFKLVIEWAHKLANEWASDGPAHLKSSRISLAAAASGVQQVATLGTCLLCIAGGVEMVQVLYEETLPTMLLSAGGEKLGGAGPVSNILQGYALAYMLILCGALVWGVGNTSPAYASVFSSRRARVIGIHMDFVTGAVEGNIILRCDPATWKAYVSCFVGLLVKFAPAWVHEVKQETLRKLASGLRGWHECDLALSLLERGGPSSMTAVVEYML >Ma11_p23320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26695732:26712743:1 gene:Ma11_g23320 transcript:Ma11_t23320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEGGGLEADLERRVMVAVKAAEERGDPPLLRAVEAARCVQERGLGLPNPELGRVLVSNLCFANNNPSLWKLLDQTMASCVVSPIHALALLTPRVTPHRRTQPEAYRLYLVLLSRYALSSLSVEAGSCRDKIAKSVDDALQLSDTYGVKKMDFGHAVVLFIMTVITILIDCTIEDYGLRLVSTDKHGSVCANGGKQVMELDVKGNSYDKRNEHREHLRRTNALLAIEVAEKISSNKKTKAFLHLIHINMPEKFNGLLQRLQTVESNILTSESFLSLSPILNKLFTNIKKATSTELLLNKHQLLGALADAGPSSLNSCNSSGAGRDACWIPIDILMENAMDGKHLYAISAVEVLTELTKTLKVINQASWQETFQALWVSALRLVQRDREPLEGPIPHLDARLCMLLSIVPLAIVAVVKEELDIRYPVNNGFLRSNSHENEGNKFSSRRHGLISSLKMLGQFSALLLPPPSVVNAANNAATKAAVFVSNYKAGNNNLNVVGHNDSSIKAVGNMLHLIVEACIARNLIDTSAYFWPGYVGPSALSRDSTSVQDSPWSTFLEGAPLNGSLKNSLMVTPASSLVELEKMYHIAVNGSEEEKLAAAKILCGASLVRGWNVQEHVVHIVVKLLSPPIPPDSSASGVGNYLIGHMSVLSAILFGVSCVDIVHILSLYGMVPEVAAALMPLCEAFGSLTPLSSHRSSTSEEPSVYTVFSCAFLFLIRLWKFYKPPQEHCIAGRGGSMRMELTLDYLLLMRNSRITLQNSSPVDRTVNNMDPFYSSPSQPVYIDSFPKLRAWYFQNQACIASTLSGLCSKNPVHQVANKILSMICRKMSITGPVSGNPSSNSSSSISGSPVSTSDDSFQRPMLPAWEILEAVPFVLEAILTACAHGRLSSRELTTGLRDLVDFLPASLATIISYFSAEITRGIWKPVPMNGIDWPSPSPTLLSTESEIKEILASAGVHIKSCYPRGMPPMLPLPMAALVSLTITFKLDKSVEYIHGVVGQALENCATGCTWPSMPIIGALWTQKVRRWHDFIVLSCSRSPFSRDKDAVAQLIRSCFTSFLGPSVVGGSHITAHRGVNGLLGQFMSDQGVRLPIAPGFLYLRTCRTFHDTHFVNEVIFKLVIEWAHKLANEWASDGPAHLKSSRISLAAAASGVQQVATLGTCLLCIAGGVEMVQVLYEETLPTMLLSAGGEKLGGAGPVSNILQGYALAYMLILCGALVWGVGNTSPAYASVFSSRRARVIGIHMDFVTGAVEGNIILRCDPATWKAYVSCFVGLLVKFAPAWVHEVKQETLRKLASGLRGWHECDLALSLLERGGPSSMTAVVEYML >Ma08_p29850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41312209:41317278:1 gene:Ma08_g29850 transcript:Ma08_t29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGEDKGGMSKEEEEEEEEEEEEEKTKKKTKDKDRSAIRLAVEELSLVEHQGKASTLAFLAVSNLLLQFLDKIGPTVAVLRHDIRRNIERLEDVYVLDASGYSSLVDIIKKEVSEGTARKSDSCTRATLWLTRSMDFSVALLEILNKDSELSLQQVVEEAYKTTLKPWHGWISSAAYKVALKLIPEKKLFISLLMGKGQDQNMLKPDIQNLVSLVQPLLTDIHANLKKLRLDRLKST >Ma08_p29850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41312209:41317561:1 gene:Ma08_g29850 transcript:Ma08_t29850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGEDKGGMSKEEEEEEEEEEEEEKTKKKTKDKDRSAIRLAVEELSLVEHQGKASTLAFLAVSNLLLQFLDKIGPTVAVLRHDIRRNIERLEDVYVLDASGYSSLVDIIKKEVSEGTARKSDSCTRATLWLTRSMDFSVALLEILNKDSELSLQQVVEEAYKTTLKPWHGWISSAAYKVALKLIPEKKLFISLLMGKGQDQNMLKPDIQNLVSLVQPLLTDIHANLKKLRLDRLKST >Ma08_p29850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41312209:41317561:1 gene:Ma08_g29850 transcript:Ma08_t29850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGEDKGGMSKEEEEEEEEEEEEEKTKKKTKDKDRSAIRLAVEELSLVEHQGKASTLAFLAVSNLLLQFLDKIGPTVAVLRHDIRRNIERLEDVYVLDASGYSSLVDIIKKEVSEGTARKSDSCTRATLWLTRSMDFSVALLEILNKDSELSLQQVVEEAYKTTLKPWHGWISSAAYKVALKLIPEKKLFISLLMGKGQDQNMLKPDIQNLVSLVQPLLTDIHANLKKLRLDRLKST >Ma08_p29850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41312209:41317278:1 gene:Ma08_g29850 transcript:Ma08_t29850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGEDKGGMSKEEEEEEEEEEEEEKTKKKTKDKDRSAIRLAVEELSLVEHQGKASTLAFLAVSNLLLQFLDKIGPTVAVLRHDIRRNIERLEDVYVLDASGYSSLVDIIKKEVSEGTARKSDSCTRATLWLTRYKVALKLIPEKKLFISLLMGKGQDQNMLKPDIQNLVSLVQPLLTDIHANLKKLRLDRLKST >Ma08_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37623127:37626553:-1 gene:Ma08_g24450 transcript:Ma08_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDLIPATRSARRVTADYLWPDLKKGGASGAKKKRRSGNRRAVEVTEDDFEADFLEFDYESMDSEVEDEVEDKPLAFASKVTLKSVEFNGPAARSTKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDTEARRIRGKKAKVNFPDEAPPIVQKRPLKSNVAKAPKLNPSEKLNFSQFTNYLNEPDQDFYSAFDFIDDKGPIKQSINTCSFSGMKPSPPTDGPAINLYSDQGSNSFDCSEYGREGEAKTPEITSILTPTMTESEESAYFEGPQPKKLRNNAGEMVPAENSAANISQELADFESYLKFLQVPYQEGGSDESIESLLGNDVTQDMNGVDLWSFDDLFPITGSDY >Ma02_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5990698:5993653:1 gene:Ma02_g00660 transcript:Ma02_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVFKLPVIGLLRSVDSDESSRVCLVNPGYMNAHLQRRIDIRALAIAATHNELLSTSSTVANHHMHGFSFPLLPSLSNLNTWFKMILFSLILKHCVVSHFPIGRGFKPLP >Ma04_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7877696:7882522:-1 gene:Ma04_g11190 transcript:Ma04_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKETLSIEVAEDAEEELEPLFNYGRVQPVDFLSFEDDAFDSSPIVSNGKRKRASDPTELKARTGREAMVVLDDDEEDLKAQEDADWLPLPPPRVPSSGLGLQEDSTLLELRLHKQELASLAQSAQEVLQQVEEAAKMEHNNSGKSVEQLPKQQVQRQKIVISIQDKEGQKQFRIYTDDKFERLFKLYAEKVQVKLDNLVFSFDGDKISTNATPDSLGLEDDDIIEVHVKSQ >Ma10_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15885124:15885474:1 gene:Ma10_g05170 transcript:Ma10_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEFKHCVLTAICGCHHQRYPSYTRIFVDGIIGSLGTLTLINWRLWYTPVVARFTVVSVAPRIRSDSRLARRRTELWIESRRWGRQRAWR >Ma03_p19430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24751174:24753588:-1 gene:Ma03_g19430 transcript:Ma03_t19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MDAGGVGGAGDGCFEKMETEEERTELLRDRFRLSVISIADAEAKKLGMQVAEPVVACIADLAFKFTEQLAKDVELFAYHAGRKSVNVKDVILSAHRNDHLTSLLRSFSQELRDKEPKTERKRKKSSEKGETSVPS >Ma10_p02720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9238959:9273572:1 gene:Ma10_g02720 transcript:Ma10_t02720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKYVDPAFQGVGQKVGTEIWRIENFQPVPLPKSDYGKFYSGDTYIILQTSSGKGGAYLYDIHFWIGKESSQDESGTAAIKTVELDSILGGRAVQHRELQGFESDKLLSYFKPCIIPLEGGFASGFRKPEEEKFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVVQYLKDTYHEGKCDVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKIISEDDVVPETTPSRLYSIVDGQLKLEEGTLCKAMLENNKCYLLDCGAEIFIWVGRVTQVDERKAASKVAEDFIISQNRPKTTRITQVIQGYETHSYKSNFESWPAGTATGTSGGEEGRGKVAALLKQQGIDIKGLSKGSPLNDEVPPLLEGGGKLEVWRINSSAKSPVPKEEIGKFYSGDCYIVLYTYHSGEKKEDYFLTCWMGNDSIQDDQMMATQLANTMWSSLKERPVQGRIFQGKEPPQFIALFQPMVVLKGGISSGYKEFIADKNLNDETYTSDGIALMQVSGASVYNNKAVQVDAVATSLSSTDCFILQSGNSLFNWNGSSSSFEQQQWAAQIAEFLKPGVALKHVKEGTESSAFWFALGGKQSYTSKKIKQDIVRDPHLYTFLFKKEKLEVNEVFNYSQDDLLTEDMLLFDTHAEVFVWIGHSVDSNEKQNAFDIGQKYIELAVPLEGLSPHAPLYKITEGNEPCFFTTYFSWDPAKAMVQGNSFQKKLSYLFGTGMHASESKDKSTGVHHDGPTQRASALAALSSAFNPSSGTKTTAPKPSRSNQGSQRAAAVAALSSVLTAEQKRGDSETSTTTISRSPSPTPDVTANDSTKIKMMGSESEHSFELSSEKEPIEGDGSVSESNDADSEVTQEPKIDENGGETTFSYERLKAKSSDPVSGIDYKQREAYLSDAEFETVLGMTKEAFYQQPKWKQDMQKRKVDLF >Ma10_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9238959:9273572:1 gene:Ma10_g02720 transcript:Ma10_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKYVDPAFQGVGQKVGTEIWRIENFQPVPLPKSDYGKFYSGDTYIILQTSSGKGGAYLYDIHFWIGKESSQDESGTAAIKTVELDSILGGRAVQHRELQGFESDKLLSYFKPCIIPLEGGFASGFRKPEEEKFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVVQYLKDTYHEGKCDVAIIDDGKLQAESDSGEFWVLFGGFAPIGKKIISEDDVVPETTPSRLYSIVDGQLKLEEGTLCKAMLENNKCYLLDCGAEIFIWVGRVTQVDERKAASKVAEDFIISQNRPKTTRITQVIQGYETHSYKSNFESWPAGTATGTSGGEEGRGKVAALLKQQGIDIKGLSKGSPLNDEVPPLLEGGGKLEVWRINSSAKSPVPKEEIGKFYSGDCYIVLYTYHSGEKKEDYFLTCWMGNDSIQDDQMMATQLANTMWSSLKERPVQGRIFQGKEPPQFIALFQPMVVLKGGISSGYKEFIADKNLNDETYTSDGIALMQVSGASVYNNKAVQVDAVATSLSSTDCFILQSGNSLFNWNGSSSSFEQQQWAAQIAEFLKPGVALKHVKEGTESSAFWFALGGKQSYTSKKIKQDIVRDPHLYTFLFKKEKLEVNEVFNYSQDDLLTEDMLLFDTHAEVFVWIGHSVDSNEKQNAFDIGQKYIELAVPLEGLSPHAPLYKITEGNEPCFFTTYFSWDPAKAMVQGNSFQKKLSYLFGTGMHASESKDKSTGVHHDGPTQRASALAALSSAFNPSSGTKTTAPKPSRSNQGSQRAAAVAALSSVLTAEQKRGDSETSTTTISRSPSPTPDVTANDSTKIKMMGSESEHSFELSSEKEPIEGDGSVSESNDADSEVTQEPKIDENGGETTFSYERLKAKSSDPVSGIDYKQREAYLSDAEFETVLGMTKEAFYQQPKWKQDMQKRKVDLF >Ma08_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7786441:7792506:-1 gene:Ma08_g10640 transcript:Ma08_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGPCGHREVCSTCVVRLRFVLADRRCCICKTECSFVFVTKALGDYTRVVADFSVFPASPTEGQVGQYWFHEDTQAFFDDVDHYRMIKAMCRLSCSVCDKNAEVQGGESAKRRIRFRSIEQLNGHLRHQHNLFMCNLCLEGRKVFICEQKLYTRSQLNQHKSTGDSEVDGNESERGGFMGHPTCEFCRNRFYGDNELYMHMSTEHYTCHICQRQHPGQYDYFRDYNDLEMHFRQEHFLCENEACLEKKFVVFQTESEMKRHNTLEHGGHMSRSKRNAALRIPTSFRYRRNEQEQRRGRGHGFRPDPSGDQLSMAIQASLEMAVADGRFQDSSFGSRMNAEHGETRRADAVRNSSEVSNVNAGSEAPLSTPLSLSQSSRTTPILEESSFPPLGDRELPEPSSRYAQALSQNSRNAVKLREESFPPLPGATSKPKPIHGSESSSTNTFAARIQRNRGSVVVNSAPSRPPEYHEIFPSASQLRATPNYGSTSSTSTSSQLGGKPIRENAHRSPSSSSTAYNLGTVNRMKHSASAPNLAEGSFFNQAVPGVSSGAKGEEPLTQSKQSLTVTGDVYTANKSLVERIRAALGMDDDMYGAFKNLSSEYRQGQINTWEYLSYVEQFGLSHLVPELARLCPDGQKQKELIDTYNANLQNKSLQENDGSSRGGKGKGKAVARAQSSAKDSLADDFLASVRKLQLNQKPQEDGVEVLSKGGYRVTKDTFQVSAKQVHGNSSSVDVNSTGKSTDRESQTGSDVAKQNLANEASGKQRKKTSKFHRVRLGDSSATALLDLSRRDTSPEPTENRTAGDGPPDGVPVRGVWRSGGAHRLFANSNRNA >Ma03_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25032231:25033059:-1 gene:Ma03_g19770 transcript:Ma03_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQQQHIRQIKNSRLMEEDGEEESPRSALSSFQAREEEIERKKMEVKEKVFAQLCRVEEEAKHLAMIRGELEAMVDPTRNEAAALRKKIDAVNRALKPLGQSCLRKEKEYKEALEAFNEKNKEKSQLVAKLMELVSESERLRMRKLEELNKSIDFFR >Ma04_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5068366:5075430:1 gene:Ma04_g06970 transcript:Ma04_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAALMVVPFGLLFLLSGLIVNVVQAVCFITIRLFSKSLYRRINRVLAELLWLQLVWLVDWWAGVKIQVYADSKTFESMGEEHALVISNHRSDIDWLVGWILAQRSGCLGSALAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDETTLKLGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPTPRNVLIPRTKGFVSAVNIMRPFVPAIYDVTVAIPADQPSPTMLRILKGQSSVVHVLIKRHAMADMPETDDGVAQWCKNLFVAKDALLDNYISEGTFGVEQRQIGRPLKSLLVFAFWSCVLSYGVFRFFKWSELLSTWKGVAISTAALLLVVIVMHIFILFSQSERSSTARAARTRAKKD >Ma06_p28390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30085921:30092344:-1 gene:Ma06_g28390 transcript:Ma06_t28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAKPEKPIEDSMRKLKIDASMKVNSINVSGAQGASQSDSISCISSGDATSSIKETEVDQEPLMGDQGMHYYGYYYPGSTGFLGEWDNRFCVQRTDNLQVQHPGIQADNGSVMYYFPGYQAGYTPYSPLLPGAMYGVDGQFSSQSYCPNLISPQALVSPGPLPQQVAYGPELVPAYPWDPSFFFADEIQGSRFAVDPTKPHYRPNLSSEGHTVAPSRTFPASKSALGTKGSSLVSDMSHPTMIHNQSQKPTKKASAAVLSNGYDPVNKFTAYVNQGKGPLFYPSSIIDMKENGQSWVDDVKLKVRNKLNSCGDLDLLNEQNRGPRTNGTKSASQADIDSLKTLDVKNDGDSISVAIINKDEYNRPDFQTKYEHALFFVIKSYSEDDIHKSIKYSVWASTPNGNKRVDNAFQVAQEKMTEKGGNCPVFLFFSVNASGQFCGVAEMTGRVDFSKNMDFWQQDKWNGFFPVKWHIIKDVPNLQFRHIILENNDNKPVTNSRDTQEVKFSQGIEMLSIFKSHSSKTSILDDFGFYENRQKGMQDKRNKPTTPPLVNSLPKNVESTKVPRLGDSKSQPPDLVSVEVKEGLQTGIASRK >Ma06_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6534374:6539272:1 gene:Ma06_g09290 transcript:Ma06_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATVLHRNGNKIAVVLAYAVLEWTLILLLLLNGLFAYLIARFADFFGLKPPCVFCSRVDHHFEHRADGRGRRQRGACRDLLCDEHAAEVAGMGYCARHQRLAEAGDMCEDCCPSSSRPVEAAVLSWMKRSEEGAKDLRCSCCDVVIESGFYSPYLFFKPYWDVSENDQKGNSVEEIAVGDREEGFEEDAIFGREKVKKWELVPPDPSDRCHEKEEDDEEEKVGRKEATCAADSERAAEEQEEEEALIQFSDACCLVDDPSFEVLTRRLGNICDDDEERLVPVQLIDSATMTKSPASFVLSKQRQQELGLVGGEKDGGVVDIGSIAEERKPVAFAAERTDAMALDIGSITEKNGLDSFTKMADIVEVNPLGNVGAVQEAKVLDVGCVMEEVKPLASDAGSDDIFGENCSVDVAADQHADDVDMRSMATEVSAGEAADTVTDNSSDIHEAQQCAMTVDERSISEEKALPSSKQKADTIEESSSEMDGAQQLTISGEEKALASPEERADTIVENFSEMNGVQQCEITLDTGSVLEDEKIPLSRMEGDDIVKQNSIYLHASSDHQTIAPQATSVRSLEDVVQVESLRSAEDLPETKDFEEDNKLIDVDTGCGISTGSEICNQENSDHAHPLEPILLSERSKDQLSESYNEMTTIDQEILVTETEPMVVTAAQRPDHVAVFADNNEIEEERVPETPTYLDGIHGLIKSFFLGRRESGTESLDGSVAGEFEGCDTLTVDQLKAALKAEQKALSALYTELEEERSASAIAANQTMAMITRLQQEKAAMQMEALQYQRLMEEQSDYDQEALQLLNELMMKREKEKQDLEKELEVYRKKILRYKAKERRQTAKHKVNGIAGTFSTSSSAEDSDDLSFEVHEGNGFAYDPDENNQNTLVDDVLSSGANQGTATHTITLRESLDDFEEERLSILEQLKALESELLTLDDEDSHDSDAIEHITDESCHVSNGNYGPSGDDLHDDANGLSVDLEASRNLHGEQRSSGCNGKRLLPLFDAISEENEAAVDASTKTNSYLAEKQKKLAIVEELDNIHERLHALEGDREFIKHCIGSLKKGDKGIHLLQEILEHLRDLRSVELRARNSCNALVSLMA >Ma05_p29500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40155130:40156217:1 gene:Ma05_g29500 transcript:Ma05_t29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARSLQGSWSDGDARLTAEERKQRRKLSNRESARRSRIRKQRQLEDLTNQVAQLSKEKGRIVMQVDELAQHQLRLETENDMLRVRVAELTERLRSLSSVLRLVEELSGVAMDVPEIPDPLLKPWQPPGPALPVMAAAADMFQP >Ma09_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35707587:35713941:-1 gene:Ma09_g24040 transcript:Ma09_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTVNVVLGSMAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVMFRVISPEEAYAAIDLPILGLLFGTMVVSVFLERADMFKHLGKLLSWKSRGGKDLLVRICLISAISSALFTNDTCCVVLTEFILKIARQNNLPPQPFLLALASSANIGSSTTPIGNPQNLVIAVKSNISFGKFLLGILPAMFVGIFVNAGILLMYYWKLLSNEKDVEVAAAAAADVIAEKDVTLHRFHPATMSHVTLMSSEDWSSAADSIVLCSSTNGDPGHAETLRNRITWSEADMHSGSSDKVESTLAPNAAKEIAGDHGVFTRKEEDHSARKYARSGSWMKGMKDGFSYLSEEKEVPMERWKVLLWNGCVYLVTIGMLVSLLMGVNMSWSAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWDFMEPYARIDSAAGTAVLAVVILFLSNVASNVPTVLLLGARVAASAAEISPAEETKAWLLLAWVSTVAGNLSLLGSAANLIVCEQARRSQSFGYNLSFLTHLRFGFPTTIIITAIGLLLVRNY >Ma09_p24040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35707587:35713941:-1 gene:Ma09_g24040 transcript:Ma09_t24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTVNVVLGSMAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVMFRVISPEEAYAAIDLPILGLLFGTMVVSVFLERADMFKHLGKLLSWKSRGGKDLLVRICLISAISSALFTNDTCCVVLTEFILKIARQNNLPPQPFLLALASSANIGSSTTPIGNPQNLVIAVKSNISFGKFLLGILPAMFVGIFVNAGILLMYYWKLLSNEKDVEVAAAAAADVIAEKDVTLHRFHPATMSHVTLMSSEDWSSAADSIVLCSSTNGDPGHAETLRNRITWSEADMHSGSSDKVESTLAPNAAKEIAGDHGVFTRKEEDHSARKYARSGSWMKGMKDGFSYLSEEKEVPMERWKVLLWNGCVYLVTIGMLVSLLMGVNMSWSAITAALALIVLDFKDARPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWDFMEPYARIDSAAGTAVLAVVILFLSNVASNVPTVLLLGARVAASAAEISPAEETKAWLLLAWVSTVAGNLSLLGSAANLIVCEQARRSQSFGYNLSFLTHLRFGFPTTIIITAIGLLLVRNY >Ma10_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31975927:31981330:1 gene:Ma10_g22720 transcript:Ma10_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGANEAAAPEVPTATGRVVDRLAEAVEEIAGISDYRNAYKKQFCNLSRRIKLLAPMFEELKESNDPISKPAVGVLEKLNRALDSAKDLLRLGSEGSKIFLVLERDRIMEMFQVVTFQLEQALDKIPFDEIDISDEVKEQVELVHSQFKRAKERIDATDTELHTDLLSIYSMSADAKVDSTILQSLAEKLQLMTISDLKQESIALHEMVVSSGGDPGKIIEKMVMLLKKVKDFVQTQQSEMGTPTNPRVLPSHGTTKTPIIPDDFRCPISLELMGDPVIVSTGQTYERGYIKKWLEAGHNTCPKTQQKLSSTSLTPNYVLRSLIMRWCEENGMEPPKRPAQTGKPPSDCSASEHAKVVDLLHKLSSQNLEDQRSAAGELRLLAKRNADNRVCIAEAGAIPVLVSLLVTHDIRTQEHAVTALLNLSIFEENKGKIIVSGAVPGIVHVLKRGSMEARENAAATLFSLSVVDRNKVIIGESGAIPPLVLLLSEGSQRGKKDAATALFNLCIYQGNKGKAVRAGVIQTLMGLLTDPEASMMDEALAIMAILSSHPEGKAAITAAEALPVLIKVIRSGSPRNKENAAAVLVHLCNGEQQQQHLAELQEQGMMEPLFAMVESGTDRGKRKAAQLLERMNRFLDQQKEAHVQAKAQTRAWIQGLVDESRDTTASASTDT >Ma03_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9816413:9816829:-1 gene:Ma03_g12760 transcript:Ma03_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTLLLLLVEIISSAAASAHPGYPEPAECGGEAELLVVRREEYGGGRIVDITHAYREDMPGWELEEGLGRFLWLSKSMENGSSVAYFSEMKLPAHSGTHVDAPSHVFQRYFEAGFDVDTLDLDVLNGMLHILNPP >Ma07_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5144265:5150678:1 gene:Ma07_g07090 transcript:Ma07_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGAARNLTEEQKKRYKEIRWDDKEVCGAYMVRFCPHDLFVNTKSDLGACPKIHDPKLKESFEKSPRHDAYVPRFEAELAQFCEKLVMDLDRKVKRGRDRLAQEVDATPAPVPAEKSEQLSVLEEKIKKLLEQIETLGESGKVDEAEALMRKVDMLNAEKTALTQQSANDKAMMLPQEKKMALCEICGSFLVANDAVERTQTHVSGKQHIGYGMVRDYLSEFKAVKEKAKEEERLAREKETDERKKVKDKDHENRVRERDLVGRERSRERERERDRYKDRSSERERSRDWSGRGSRDGGRGLDRRHDYHRNGRESDRDRFRDRSGARSRSRSPARHGYRRSRSPVRQY >Ma08_p25990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38646555:38649548:1 gene:Ma08_g25990 transcript:Ma08_t25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHADAGADARPLLTSESYARCPSRRDDDLRNFRSCLRWLCLDQSGSGYRAAVSWAVFLLLAVAVPAVSHFVLAFRPQRRPYDLVVQLSLTAASALSFLTLSSVTRSYGLRRFLFLDKLPGETDRVRLGYTFELNQSFRLLSCFVAPCFAAEVAYKLWWYISGAGRIPFVGNPLLSYCVSCALQLASLIYRISSFFLSCVLFRLICHLQILRLEDFAAVFRGESEVEAVLREHLRLRRQLKVISHRFRVFILAGLIMVTASQFAATLVTLRPHSDDNLFNTGELALCSIVLVTGLLICLRSAAKITHKAQALTGHAAKWHVCATIDSYAVDLETTSTIAPDTNHVSPENDADTDDEEASDIDELICTKIVHTHVNTMAFQKRQALVTYLENNRAGITIFGFTVDRAWLHTIFMLEITLFLWLLGKTVGIS >Ma03_p26530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30225779:30228160:-1 gene:Ma03_g26530 transcript:Ma03_t26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PnsB5 [Source:Projected from Arabidopsis thaliana (AT5G43750) UniProtKB/TrEMBL;Acc:A0A178UJI2] MYEIPTLARGLNDNEDGGEADQNKMGMVATSPPAALAAASLPPLATAVAVKPFRRLGIRAPPAETPSLGFLRMGMQRDRSSTRPRAGLTEIEPDLEEDPHDRWRTNGVSAEDFIYGEYDGHHTYHEGHEGGFWEAVVAEYQAAEPPTGFQGLISWLFLPAVTAGLAYHVPGEYLYIGAAIFVVVFCAIEMGKPDKPHNFEPQIYNMDRAARDKLIAEYNSMDIWDFNEKYGELWDFTVKRDDIVKL >Ma02_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13174957:13175857:1 gene:Ma02_g02140 transcript:Ma02_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFVVLPFSLGCVSQSSVAVGENRHRRAQADAPSALPADGEPGKTRSSFMFRPPPRPNISAGFQKLIRSFKSLPQLFAVYDEEEEEEEEVVMEIGFPTDVQHVAHVGWDGFRGVSAMNWVKGPEFLPVPSLSMRQLEVAAMATQTGFPPPHGPLGLDHMQ >Ma11_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27293340:27295783:-1 gene:Ma11_g24230 transcript:Ma11_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 4 [Source:Projected from Arabidopsis thaliana (AT3G20660) UniProtKB/Swiss-Prot;Acc:Q9LHQ6] MGSMEGGGAATTTDLRSPLLPTSPVEERVGIDEMLRRYAGEFGRWQLRHFVLASAAWALEAFHTMVMIFADREPGREAAAASADPCRPDWPVGAAASTVAEWGLVCGQRYKVGLAQSIFFLGCMIGSGVFGHLSDSFMGRKGSLTIVCVLNAIFGLLTSLSPTYWVYVALRFLTGFSTGGVGLCAFVLATEPVGPTKRGAAGMSTFYFFSVGIAILAGIAYLFPSWRALYVVTSLPSLFFVLAVLPFVSESPRWYLVRRRIADAMAVMQTIAEKNGKTIPPGVVIKLDDEDEGDDGRDEKEAAADVKKVDAHGVEKAVVSGSIVDVLRSPTTRLRLFLTVLINFLCSVVYYGLSLNVVNLDTNIYLSVVLNSVAEMPAYILTALLLDLFGRKPMAVSTMWISGAFCAFGSLVSGAGAMKIVRMVCGVIGIFGMAATYNLLFIYTAELFPTVVRNAALGCATQAAQMGAILAPLVVVLGGKVPFAVFGACGIIGGWLVFLLPETMNRPLYDTMAGLEEGERRGHK >Ma03_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3293725:3296140:1 gene:Ma03_g05020 transcript:Ma03_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLPQPQLSPQPSYSRTNLNDLKSQIAKRLGTERAQRYFIYLNGLLSQKMSKLEFNRICLPTLGRENLPLHNQLIRLILENACRAKVPPPVNQEKGVQKPVVDVLKRTPQINDGFNSLRALITSMPSSSNGRHAKDYAGHLGPNGRAEIASYHFVAPYDEIVSRENGDFIPSESRRLQHQQVGPAERRAKRPRLDNPSLHDQGSVNDKGLVEVATVDDLDRLDHLDSCRGSLRAPLGIPFCSVSTGGARSRPLSTAGASSGGFGSIYGRGELFHTEILKKRMEQITEAQGLGGVSMDCAKLLNNSLDAYLKLLIRSCVELVGARTGNGLTKRPVSRLQLYRNSIVDSFVGNNIKMQIVGGPSEGTHELKNSCLISMQDFRVAMELNPQQLGEDWPLLLEKICIRSCEE >Ma03_p05020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3293725:3296140:1 gene:Ma03_g05020 transcript:Ma03_t05020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLPQPQLSPQPSYSRTNLNDLKSQIAKRLGTERAQRYFIYLNGLLSQKMSKLEFNRICLPTLGRENLPLHNQLIRLILENACRAKVPPPVNQEKGVQKPVVDVLKRTPQINDGFNSLRALITSMPSSSNGRHAKDYAGHLGPNGRAEIASYHFVAPYDEIVSRENGDFIPSESRRLQHQQVGPAERRAKRPRLDNPSLHDQGSVNDKGLVEVATVDDLDRLDHLDSCRGSLRAPLGIPFCSVSTGGARSRPLSTAGASSGGFGSIYGRGELFHTEILKKRMEQITEAQGLGGVSMDCAKLLNNSLDAYLKLLIRSCVELVGARTGNGLTKRPVSRLQLYRNSIVDSFVGNNIKMQIVGGPSEGTHELKNSCLISMQDFRVAMELNPQQLGEDWPLLLEKICIRSCEE >Ma03_p05020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3293725:3296140:1 gene:Ma03_g05020 transcript:Ma03_t05020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLPQPQLSPQPSYSRTNLNDLKSQIAKRLGTERAQRYFIYLNGLLSQKMSKLEFNRICLPTLGRENLPLHNQLIRLILENACRAKVPPPVNQEKGVQKPVVDVLKRTPQINDGFNSLRALITSMPSSSNGRHAKDYAGHLGPNGRAEIASYHFVAPYDEIVSRENGDFIPSESRRLQHQQVGPAERRAKRPRLDNPSLHDQGSVNDKGLVEVATVDDLDRLDHLDSCRGSLRAPLGIPFCSVSTGGARSRPLSTAGASSGGFGSIYGRGELFHTEILKKRMEQITEAQGLGGVSMDCAKLLNNSLDAYLKLLIRSCVELVGARTGNGLTKRPVSRLQLYRNSIVDSFVGNNIKMQIVGGPSEGTHELKNSCLISMQDFRVAMELNPQQLGEDWPLLLEKICIRSCEE >Ma07_p25810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32783612:32807837:-1 gene:Ma07_g25810 transcript:Ma07_t25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGGGTANRGGGADEPEDIIDILPLELVRSQLIPPAPNRRGSAIDWLPEFGGAAWIAYGASSLLVISHFPSPLSDHERQLGPFFRQVIEPPPGGEPADLSAVSWCPAIPSEGEIAAALGNSIFVYLPVPGGESGSFSWKQTVGFVETFSIETIGWTGSGDGLVAAGAEVVLWNRKKGYSWEMSWKLKAEVPQTIVSATQFAQGSVATAPQALMQHDKVISGDLHSSVSDETKHVLVYHDDGKSGITKVQLCHPQPVLMIQWRPFSGMQLQKDAFPAWRDMLLTCCLDGTVRLWSEIDNGRPRKFNKDMHDQKHMRRLFHVVAVIEMNQSLKGTLGRNIFVKWALELGGVIEKGEGDCYSLLSSHTECEQIGKCEWLIGVGPNFSITLWAIHCLDDVSPTRFPRVTMWKKADLIDLKGSNFLHCNFSETMGQPILVKVVASRCRLFGPPSSCSLLQLLPDNSISWSQLYSSSENTEDGILSKITKERSLSCFAGSALSEHRHTGRIIQIALHPYSRDIELAVSLDSHGLLLFWSLSTLSESILGVHTHIHPMWKLMGHIDLPVSSPDCKFSCLVWAPSVLEENRFLLVGHEDGIDCFMIEDSLKGESILSHKILTIPFGGQTNGASPDQMFATPISCGVGQSSCYSSFSLLCVWIRNFQTLSWKVILHMSGTSGILSSDVKGLAISEEGRCTSSSGKFYYANSFRQSSSLPTPQCCGEVTSVAVVSPSSCLPSIQQASSNDFPSSYFGYHMATGYSDGTLRLWRVCHVQSEHSDTEYVPWELAGTFTAHEGPVNAVSLASFGSKVATTSICGPNSTSSLHIWESVKLTGGGSFVLEDAIFLKGTVVALSWLALGNGQTLLGICLPMELRIYSQKRSSIHSFVHSDKSKEIHSWYCIAITSSLTIVRDFFWGPKMTAVLVHEKHFSVYSQWLFRSNSPCCDDSVAYVNRMQENLFCASDTDRNIFKTQEQLKSGSSGKIFQQDYAPDTRNRLFSILDISNKLDGTLALYHPEALIQYIYSGNWKRAKVIVKHFVKCVSCSKTSSSIMKGNQCGKSSYNVPEVHLSTYFEDNNSVNSSSERLFWGQGTTSEEPTLHFQGNSLQLLDSKLEANTFGRISTADEKSEIMDLIETLEKSDGIAGMTDLEKTQILVILDLLNEISDARATSAYKSLDEAGRRFWVSVRFQHLYFIRKFGKMVAEELVINSRLAAWALLSDCQDDLLSSILSTEPSWLEMRNLGIGLWYTNVSQLRTRMEKLARSQYLKNKNPKDCALLYLALNRLQVLAGLFKISKDEKDKVLFGFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDPSSAVTVCAKNLGDEQLALVICRLIEGYGGPLERQLILSILLPNALEKGDYWLSSLLEWTLGNYSQSVKRLVDFRTKHVSCNLVALHNHAFLSDPDIGRYCMILATRNSFRCSVGENVAAAFSKLAAFLAASALNRCGIPLDALECLSSSLSIEGMDHKNMSGVENHDLFQGILNPFSSDACNWLLESVAHQLELDVKLNMALRYISSYLRNHPRWPSINLSKSRKVISSDYVAYQDEEFQQLKLDLGMIISMFDRKFSLRPVGLANMVLLFADNKGLIFLGYLLLYVNNSLEGEDNHRTLDMVLDPALIRLLFKATEEILCFLARFVVSINFTYSMLNPVCDSNTKSREYSHDQLLSVFCLQNMLYLINIFRLIFSCQKKIVPEDLTLWSLVFDLLEFYVNFASACIRRNIKELILMIHPIVNAFINDEVSFEVAYGKLRELSRQTSLLVHDASNDEVGFISDSGFQQKHSEVRESSIPEDERWQILGVCLWLHMLNFTKLGLSKFPANEECYDVRSIKNVIDTFPFASANSLVNALRYVSSSLVKLLASFLKQKALKGSPVNSIVWLDECARSHPCSLQNCLNQGLDSLQLPDNEQQPSLKILWDVSVSPSEICAYFAKEKVGSFPCNHQNQFASWKDVQRNISSENENADSLDNREGEKIGGNGLYKETKSGHDGTVLDKDIFLETNRKHLGPRGDVTFFNNPKEVMKRSGELFEAICFNSINENEVALASNKKGLIFFNLEDKEHFRQQAEYIWSVSDWPQDGWAGCESTPVPTYVSQGIGLGNKRGAHLGLGGATIGVGSLARPGKDLTGGGAFGIPGYAGIGAVGLGWGEEEDFEEFRDPPATVENIHSRALSRHPSMPFLLVGSSNTHVYLWEFGKDRATATYGVLPAANVPPPYALASISALQFDHYGHRFATAALDGTVCTWQLEVGGKSNVHPTDSSLCFSNHASDVAYVATSGSILAAAGCSTNGVNVVLWDTMAPPATCQASLFCHEGGARSISVFDNDIGTGSISPIIVTGGKSGDVGLHDLRYIATGKSRRNRHASEQDLKTMHDTNLGTFKHGENSNGMIWYIPKAHLGSVTRITTIPNTSLFLTGSKDGDVKLWDAKRSQLVYHWQKLHDRHTFLQPNSRGFGGVVRAAVTDIQVLSTGFLTCGGDGSVKLVQLK >Ma01_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9558535:9561069:-1 gene:Ma01_g13050 transcript:Ma01_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLFFILIYTYGHSLTGAFSVNNTRCHSLYRSQSPKRPPRRRRRGLCRFSYILRPALLVTGRRRHGSHAQPRVIPSSDLYLVPFSRSPPIFGGDGIVNHVLGCRKRSPRVCLRSLLKTWRRASASQECDREQDPQDSQGSWVCTGYAGGFVPPDLEGSVHQEALGEEQEGQRRQVQTDPSREQDPLPGPSLQEDKEALCNLEIRLRHCKHSRGLGFDHSMKFHYGILGEDKLSGALKLCFDEL >Ma09_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1954459:1955204:-1 gene:Ma09_g02850 transcript:Ma09_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRRVRSSKPAAGICSRCGACASVADMVTCTRFCYVTVHRKAWRAIICTFCGALLKSYNR >Ma06_p38660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37343462:37343908:1 gene:Ma06_g38660 transcript:Ma06_t38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGFMCGLIAIVNLQTSSFEWKGCKNKIDIVQVHIPFAFKLML >Ma11_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26115754:26116392:-1 gene:Ma11_g22300 transcript:Ma11_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPASVSAASLILPVLLGLLLLPPPCAADYILYSGESLNSGQSLRYGTYNFIMQNDCNLVLYDNNRAIWASGTNGRGSNCVCRMQSDGNLVVYSGSTAVWASNTNRGRGNYVCILQKDRNVVVYGGSLWATGTNIGSARVIIAGNSSTTAAPAVVATAPKNK >Ma09_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9777310:9778295:1 gene:Ma09_g14310 transcript:Ma09_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSHAVLLATSLLLSLLILIPVSCLDKSPLPSPEGMLLEQKIRLGSTPPSCHNRCNECNPCTAVQLPTLPFQPNPVSPSRVREAHQMDDPSSYSNQYSNYKPLGWKCSCGDHLYSP >Ma03_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1016597:1025162:-1 gene:Ma03_g01390 transcript:Ma03_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MGWMEELQSALAARGLAVASIPGKGRGLITTCDFAPGDVIIFQEPYASTPNKTSSGSSCDSCLASNDSRKCSACQVAWYCGTACQKSEWKIHQSECRALAALNEDRKKMLTSTIRLMVRLILRKQLQSEQVIPTSAMDNYDLVATLESHISEIDEKQLVLYAQMANLVNLVLPSLMIDLKETAHNFSKLSCNAHTICDGELRPLGTGLYPVISIINHSCVPNSVLVFENRVAFVRAMEPIAKGTEILISYIETAATTETRQKDLKQYFFVCTCSRCTKNPYEELEETATLEGYGCKDKKCSGFLIPDSGKQSFTCQQCGLSRDQQEIKKIACEIAQVLGKASNCLSSGHLSEGSTMYKIVEQLQLKLCHQYSLSLLQTRETLMKVLMELKDWKGALTYCRLTIPTYRRIYPATHPMLGLQYYACGKLEWLLEFTEDALKSFIKAADILRITHGTRTPFMKELLHKLEEAHAEVSYKLSASD >Ma03_p32540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34283732:34287371:-1 gene:Ma03_g32540 transcript:Ma03_t32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQNNLEKIAGCSCIPTTSGTASAAYSCKTSLRILDRQPVGWLAVHRFSNDAKLDQQILVPSGTFTGYELNTVVKISSHLLLLISSFTLLSLVSVFSHSLAMPRSTMEVGSSFSVEDEKATTLITSLDGTFSCGFHAVGINAYCFSIWFTYSKDATVVWSANRDSPVNGRGSRVSLRRDGKLVLSDVDGSKVWDTNTSSVGVSSAELLNSGNLVLKDRDGRIHWQSFDSPTHTLLPAQQLTKVKRLVSPVAKDDPRSGYYSLYFDNDNVLKLIYDGPEISSIYWPSPDYTVFGNGRSSYNSSRIAVLDSTGRFLSSDNLNFNASDLGLGIKRRLTVDYDGNLRLYSLNRSNGLWSVSWVARRQICSVHGLCGKNAICEYLPSVSQCSCPPGYEMNDPRDWNKGCKPKFNMTCGHYTKHQLHFIELTGADFYGFDMSTYTTLISFQACRRLCMSTCSCLAFGYQKSGENAIGSCYPKSTLFNGYRSTSFPSSIFLKVPKSVKSSSGFNQSGLACNPVNSSVVLGSSKMYGNNGGNTKWVYLYAFAAALGAVELLFILTGWWCIFRGHDTLKSVQKGYKLIGSQFRRFPYRELREATGKFKEELGRGGSGTVYRGVLDDKRVVAVKKLTDVMQAEEEFWAEVNVIGRINHMNLVRMWGFCSEGRHRLLVYEYVQNQSLDKYLFADGGGGGALLPWNHRFKIAVGTARGLAYLHHECLEWVIHCDVKPENILLDREFEAKIADFGLAKLSKRDTAGFNVSRVRGTKGYMAPEWALNSPITAKVDVYSYGVVLLELLKGSRVSDWAAVDGGEQDVRSRVAVLKEQLDGNGRSWVRDVVDHRLNGEFNYAQAAAMVKIAVSCLEEERSRRPTMHEIVKILLASLEQDSYDQL >Ma02_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25096874:25097498:-1 gene:Ma02_g18670 transcript:Ma02_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETIISKPKSFVIKKPKRCLNPPSPAHWLISTWFCFPRLYPSSLRSPMADGIEEVAESVSGLSIGNPPAAEAPSKNALKKELKKKTKEEENRLGGREEEEGCCNATVAEAIGSC >Ma09_p23050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34968956:34972232:1 gene:Ma09_g23050 transcript:Ma09_t23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGWDLNDAVSEEDSCCLMASAPAEDGGDDEKGKPAATSSGEVENDDSGTSVVDVEAFGGSAGKVFEFSVSGRRSVQSGPTVATHQFFPLGHSEGARAGGSSAPPSPRVHWTGDGFWQSSEPVVAGMATEAQPPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTACSAARAYDRAAIKFRGVDADINFRLDDYEEDIQQMGNLNKEDFIQVLRRQSGSFPRGSSKFRGVILRKSGKWEARMGQILGKKYVYLGLFDTEIEAARAYDTAAIKCNGKDAITNFDPKLYSNELGIETDHVEHNLDLSLGRSGSKRINLEMIDDESSSVVDQQFLIGSESERKHNMILKFDDNLKLSKGKDSRSYFPPSNGFSQFPFSYVPLQTTTDMSSVFQIIQLQSNPSCYDQHPGSCDK >Ma09_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34968956:34972232:1 gene:Ma09_g23050 transcript:Ma09_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGWDLNDAVSEEDSCCLMASAPAEDGGDDEKGKPAATSSGEVENDDSGTSVVDVEAFGGSAGKVFEFSVSGRRSVQSGPTVATHQFFPLGHSEGARAGGSSAPPSPRVHWTGDGFWQSSEPVVAGMATEAQPPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTACSAARAYDRAAIKFRGVDADINFRLDDYEEDIQQMGNLNKEDFIQVLRRQSGSFPRGSSKFRGVILRKSGKWEARMGQILGKKYVYLGLFDTEIEAARAYDTAAIKCNGKDAITNFDPKLYSNELADHVEHNLDLSLGRSGSKRINLEMIDDESSSVVDQQFLIGSESERKHNMILKFDDNLKLSKGKDSRSYFPPSNGFSQFPFSYVPLQTTTDMSSVFQIIQLQSNPSCYDQHPGSCDK >Ma03_p29200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32192494:32192774:-1 gene:Ma03_g29200 transcript:Ma03_t29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFTFQTQNEMILREHLAVLWWSSDFTFTKLHMIEERKKNSSTLWMDNFGYGFYPQESIWSLSSL >Ma11_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26968655:26973914:-1 gene:Ma11_g23710 transcript:Ma11_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPWIDEDEKSGISIARASSDDHSHTYSVTDGEGSRIRAPGVVARLMGLDSMPSSVSSKPHSTSINDSQVLRANFGGNRKCRSSNAILKDGAYSRKTLVSRQERMLNSPIERSQVEALPPRLAKPTSHELISPVRTPGLISAKATKDELQSSSSSSSPLKVSDSRKITANSKKTSKPSESSGSSAQSVAFKSSRSQYLGGCQNALENSKSLPGIKETTQAGAKDKGKSVSLAIQAKLNVRRREGSSANTKNKLIVEENDEHHINRTLKFRSIHQTSNQNKGGGDSFNAPQQTIQKYMASERKLAPKPSVSDQRDRKLHSKDAYPGQDKVVNDLPGNSKVCYKIRKGSKTAGTGKDRFILNNKNTGKKKLLEQGSYSKIRDSVDNMPVDKPKKHIQHNVAIRNHLRQPDDNTSNAADVVSFTFTSPLIKPVHGSHSNNHEEEKIVEKRAYIYPFSEAASGSECKNLSSRKLNTIKGDHLGFLLELKLRELASETQSTCCKSTEGHGTAASLPDSRDSASTFDESFVQSIEDELSSSNPNCSVASSQVPCVRHKLQEVNRIDCCCSTADPREPGHQDQSPLSILENSFSNESCCYSESFENADGSRTDSSYSSILDEDIVEMDCIDKTLSAECEMELSDLASSNKQILDLGLVSEIGISDRAETVWGGLEYVKEILTISEFIFDDLILYFMDQSDEIFDPLLFEKLEENQSLTACEVEERHRRMRRKIIFDSANECLETKYSHYFRAGFRMWSKGVVLAAKDLSHELHDEISGWNSIEDLMVDELVAKDMSTYLGRWIDFEIEAFQAGVEIQRWLLDTLVDEVVADFQISDPQDI >Ma09_p25490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36940090:36941842:1 gene:Ma09_g25490 transcript:Ma09_t25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGERLLVTAINSDDDNITATSSNSSSGTTTSSGNNETNKNNMIHASSTTSGAAARVMDKPSAQDQSQAVLRCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRRNKRAKKPAAAPMAPPNHPHRRPLLPPDPVPSLLVQRPSPSHLDAAALYALQTASSSSDMSLTLPIIMNSLHIPSCTTAFDLQPHLGAPGLGLPSNPQRENEYHLGELQPLSPVDDYPLFGSSLPSASQLASSIKQLKQVADYQAILPFDELQASGIGGSLNAMTKEVKWEGQTNNLMNSCTDWQIPSVNSLDNFGPAAATYWNVVIGGTGGWPDGMNYGSSVTPLI >Ma04_p32390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32366386:32368477:-1 gene:Ma04_g32390 transcript:Ma04_t32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPPFPLHLLHLLPVAAALAFAGSEAAKVPAIYVFGDSTADVGNNNYLPGSNAKANFPHNGVDFPFSRPTGRFSNGYNGIDFLANHMGFRRSPPPFLSLTNKTNHQILRGLKGVNFASGGSGILDSTGSTITMTKQIQYFSTIRSNIVAQIASEPTYRLLSKSIFLISSGGNDIFAYFTKNSSPNATEKVRFIGALVSEYENHLKALYVLGARKFGIVDVPPIGCCPYPRSLNPTGGCLDILNEMSVGFNEAVKLLMHRLSSTLKGMKYSVGSSYAVVSGIIRNPAALGYKEIKTACCGAGKFNGESGCTPNATYCSERHRYLFWDLLHPTHATSKLAGVAIYYGSQRFASPINFRQLVVDVN >Ma01_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2022751:2027709:1 gene:Ma01_g03090 transcript:Ma01_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 beta subcomplex subunit 9 [Source:Projected from Arabidopsis thaliana (AT4G34700) UniProtKB/Swiss-Prot;Acc:Q945M1] MSAAGYLARRAAQKERVRLLYRRALKDTLTWAVNRHHFYQHASDLRDKFEANKHAEDLETIDRLIQDGEAKYEKLQHPDPYIVPWAPGGSKFSRNLPPPAGIEIVYDFGKEDD >Ma03_p27880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31115188:31122256:-1 gene:Ma03_g27880 transcript:Ma03_t27880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKEGDWECSGCRNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRVGDWICAGCSNNNYASREQCKKCGQSKDEAAMPAISMPAASLLTYAHYFATLQGLYGSQMNSALSGSPPIQSLLPNLSWPLGENSKYGLQSALSCPLTQYSRSNTSQLLPAPRGWRNGDWMCDCGFHNYSSRSECKKCNAPLASGAPSVATSTVSDMFSTLGTKYPASEESVISWDNKRLNPGDINGRRNSYKGFEQQAGYNYDQAAGEYAKYADADFMIGSMQGNIHSSHQRMPASHGKGVKHWRDGDWMCGNCNNHNFASRSICNRCKTQKEAAVLPVNVA >Ma03_p27880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31115188:31122256:-1 gene:Ma03_g27880 transcript:Ma03_t27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKEGDWECSGCRNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRVGDWICAGCSNNNYASREQCKKCGQSKDEAAMPAISMPAASLLTYAHYFATLQGLYGSQMNSALSGSPPIQSLLPNLSWPLGENSKYGLQSALSCPLTQYSRSNTSQLLPAPRGWRNGDWMCDCGFHNYSSRSECKKCNAPLASGAPSVATSTVSDMFSTLGTKYPASEESVISWDNKRLNPGDVNNNNLINGRRNSYKGFEQQAGYNYDQAAGEYAKYADADFMIGSMQGNIHSSHQRMPASHGKGVKHWRDGDWMCGNCNNHNFASRSICNRCKTQKEAAVLPVNVA >Ma05_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4065330:4066218:-1 gene:Ma05_g05330 transcript:Ma05_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVESPGMVSLLRHAAEERRSKRGGGGMFRMFKLLPMLTSGCKMVALLGSRPGKAMLADKAIAVTLFGYRRGRISLAIQEDPRSPPFFLIELPMHTSFLHKEIDSGLVKLALESDTRTHRKKLVEEYVWAVYCNGRKSGYSIRRKNVTDEERHVMQLLRGVSMGAGVLPCLSEKDTADGELTYMRGRFERVVGSKDSESFYMINPDGSGEAELSIFLVRLK >Ma06_p38460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37210885:37212229:-1 gene:Ma06_g38460 transcript:Ma06_t38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDIESTLPPGFRFCPSDEELVCYYLFKKVANESVSGGGTMVEVDLHTREPWELPEVAKLSRDEWYFFSFRDRKYATGLRMNRATRSGYWKATGKDRSVYAPTTRTFVMGMRKTLVFYSGRAPNGVKSDWVMHEFRLEAPHTPPKEDWVLCRVFRKKKKSPVVYSMETEESSPPLPLPESGVAEEWQEQMMTTQERQEDNGSNTVLNWAVLRGSLVDFPRELGSGRTMVMGVGSRCGGDDYEMLLDMSLQDLDAMGGGIASVGCLRFQGSRDQLLF >Ma07_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3743729:3751220:1 gene:Ma07_g05150 transcript:Ma07_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGTGKPSPIDLLSAILEGSLRGDGFPPGAGAAAMIVEDRQILMILSTSVAVLVGCALIFFLRRSGGKKKLVEPPKPLAVRVLPAAEADDGKKKVSVFFGTQTGTAEGFAKALVDEATARYNNASFKVVDLDEYAADDDEYEEKMKKETLALFFVATYGDGEPTDNAARFYKWFTEGKERGLWLKDLQFAVFGLGNRQYEHFNKVAKVVDEMLSDQGGKRIIPLGLGDDDRCIEDDFTAWRELLWPELDQLLRDENDVSGASTPYTAAIPEYRVVFIKTEDASYLDKSWSLANGHSVHDMQHPCRSNVAVRRELHTPASDRSCTHLEFDIAGTGLRYETGDHVGVFTENCIETVEEAERLLGYSPDTYFSIHADKEDGTPLGRASLSPPFPSPCTLRTALTRYADLLNSPKKSALVALAAHASEPNEAERLRFLASPVGKDEYSRWIVASQRSLLEVMAEFPSVKPPLGVFFAAIAPLLQPRYYSISSSPRMAPTRIHVTCALVDERMPTGRIHKGVCSTWMKNSVPSEESQVCSWAPIFVRQSNFKLPADPSLPIIMIGPGTGLAPFRGFLQERWGQKEAGMQLGRAILFFGCRNSKMDFIYKDELNNFVETGILSELIVALSREGPIKEYVQHKMTEKASDIWNIISQGGYVYVCGDAKGMARDVHKVLHTICQEQGSLDGSKTESLVKSLQTEGRYLRDVW >Ma05_p29250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39993289:39994098:-1 gene:Ma05_g29250 transcript:Ma05_t29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTRMPEIRETEEGHAARASPPPTPTTLVMDKTLSTAANLAQLLPTGTVLTFQALAPSFTRGGACYTSNRCLISVLIALNAVFCVFFSITDSLVGADNRLYYGVATLRSLYVFNYNGADEEVRRRVLGDLEEYRLRPLDYVHAVFTVLVFLTIAFGDAMVQHCLFPDAGPNARELLVNLPLGAGVVSTLVFIVFPTSRKGIGYTNTAPTSQ >Ma07_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7900052:7903547:1 gene:Ma07_g10580 transcript:Ma07_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKTLIAVGFEGSANKIGVGVVALDGTILSNPRHTYITPPGHGFLPRETAHHHLRHVLPLLRSALDDAGITPADVDCLCYTKGPGMGAPLQVSAVAVRALSQLWGKPIVAVNHCVAHIEMGRIVTGAEDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAVEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCVDNGAMIAYTGLLAFAHGMTTPLEESTFTQRFRTDEVQAIWREKSICGE >Ma07_p10580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7900052:7903552:1 gene:Ma07_g10580 transcript:Ma07_t10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKTLIAVGFEGSANKIGVGVVALDGTILSNPRHTYITPPGHGFLPRETAHHHLRHVLPLLRSALDDAGITPADVDCLCYTKGPGMGAPLQVSAVAVRALSQLWGKPIVAVNHCVAHIEMGRIVTGAEDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAVEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCVDNGAMIAYTGLLAFAHGMTTPLEESTFTQRFRTDEVQAIWREKSICGE >Ma07_p10580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7900052:7903346:1 gene:Ma07_g10580 transcript:Ma07_t10580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKTLIAVGFEGSANKIGVGVVALDGTILSNPRHTYITPPGHGFLPRETAHHHLRHVLPLLRSALDDAGITPADVDCLCYTKGPGMGAPLQVSAVAVRALSQLWGKPIVAVNHCVAHIEMGRIVTGAEDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAVEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCVDNGAMIAYTGLLAFAHGMTTPLEESTFTQRFRTDEVQAIWREKSICGE >Ma01_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21088914:21090873:1 gene:Ma01_g21420 transcript:Ma01_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNFAPSSSLPKPPPWVQATERVPTKNQSVLFKPTSSEKCFSPCKASQSESVAEDTSPTSSSARNQLDLLEQLTSSSPTASGYDSLGDPPRPTIREQLSEIVGDRDGEFILPLGKKLKASLNTLTISQKRNIKRQAYLNEVEQRNDSVFFATIGAFVLLPPAVILSVAILTGYVQLFP >Ma03_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26186262:26187596:1 gene:Ma03_g21160 transcript:Ma03_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMSSSASPRGIAAIVGVGPRLGRSVARKFAHEGYSVAILARDLGKLSWLADEIAREAKAQVFAIRIDCADPKSVREAFEGVLSLGSVEVLAYTACEAPHATCQPTRFTDVAVESFVRSLAESAVGAFHCAQQVIPGMLERGRGTIIFTGSSASIRGFAGYCELSCGKFALRGLAQCLAREFQSSGIHIAHVIIDGVVGAPRIHGSSKGGQETASLDPDAVAQTYWHIHVQEKGAWAQEMDLRA >Ma10_p27730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34862748:34863802:1 gene:Ma10_g27730 transcript:Ma10_t27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDCLSQCSKDYDESNLDIIMEQEELSDSDDESANAEFECEEIDDSEEDESEYGQSTEALIKEVPTAACRSEEK >Ma07_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3085819:3088705:-1 gene:Ma07_g04110 transcript:Ma07_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARRLLEITRKWQKMATLGRKRISWPRRAASTESKSKSTSIADRGHFIVYSTEGKRFAVPFFDTKMFQLTMISQHSNYLASKFSIKCRDQGTQLHRNPIPASLRYCVLTNQKAMISSRRILEIARRWRKMAALGRKRISWIRRDASAESNTKNTSIADRGHFVVYSSEGRRFMVPLTLLNTRMFQELLRLSEEEFGFSCDGPISLPCDAVFLEHILPLLRKRGSKNAERKMLISGFMRHCSRSSLYKLESGKQLAVF >Ma08_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36251765:36253130:1 gene:Ma08_g22740 transcript:Ma08_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCFLFLITFTFTFFTTPPSVAGKQFPSEAKALVAPITKDVSTSLYTVALNTDKQFVLDLAGPLLWFPCPPEHPTVPCNSTACAAAGAFHPPRCPRIAAIDKQPCTCTAYPVNPVTTMCSSDDLTFTSVTLSSTDGKNPTTVVCVPEVVSSCAPKGLLNSLPAAAVGVAGLARSGLAVPSQLFSKLYLKKQFAICLPSSGVAPGAAFFGTEPFYFLAAPPVDVAARLTYTTLLRNPRNPAYYLEAKGLAVNREAVPFLARMLEFDSLGHGGVMISTAAPYTTLTSHIYRPFLKAFAAATKAIPRAPKVKPFGLCLNSTALGSTRVGYGVPQIDVMLAGGTNWTIFGANSLKQVDKDTACLAFVDGGPKAEQAMVIGGYQLENSFLLFDLARSRLGFLSTLLGIMTTCSNFNFTVQA >Ma04_p35390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34191080:34197225:-1 gene:Ma04_g35390 transcript:Ma04_t35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGIAMRNPTGRAGRTFLGTGRRWRSARKDVVGTGLAVRALMAAEKRDGRTVEIGTSNRPVNSDKLNVLHGIQSSVPIVEEAKMETDFQRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHESHQKIIDLVREYNAQCKDNIIAIMLDTKGPEVRSGDLLRPVLLKEGQSFNFTIKIGVNSEDTVSVNYDDFVNDVEVGDVILVDGGMMSLAVKAKTHDMVKCKVIDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNQVDFFAVSFVKDARVIHELNDYLRSLNADIHIIPKIESADSIPNLQAIISASDGAMVARGDLGAELPIEDVPSLQEEIIKTCRSMQKPVIVATNMLESMINHPTPTRAEVSDISIAVQEGADAIMLSGETAHGKYPLKAVKVMHNVASKTESIMSNDTLHTPDKAVQYVGGGDFSQGHMSAMFALHATTMANALGTPIIVFTQTGSMPVLLSHYRPSSTIFAFTNEERVGQRLTLYQGVLPLYMQFSDDAEETFSRAIKHLMNGGYLRKGDYVTLVKSGIRSIWRDDSTHHIEVCNIQD >Ma02_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18201418:18209038:-1 gene:Ma02_g07570 transcript:Ma02_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDAAPPQIAIIGAGIFVRTQYIPRLREIADHLIIKSIWSRTEESAKAAAELAQDFAPNIECKWGDSGLEEIIQDSSITAVAVVLAAQVQVEISLRMLKAGKHVIQEKPAAGTVSEAETAISCYNSFCNNFPHQPVWALAENYRFEPAFVESRKLLNDIGDMMHVQVIIEGSMNSSNPYFSSSWRRNYFGGFILDMGVHFVAGLRMMVGCEISTVSAIARHVDMALPPPDNICALFQLENGCAGVFVMAVNSISPKIYWRIDGSKGTLQIERGTDSGRHGYSVLFYTAGGHCQRTFYPFCGVNEELKSFIHDISQANRKDGMTSHEPEPRSLYKEGARDVAVLEAMLESSAKQGAAVHVKKL >Ma06_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10289059:10290178:-1 gene:Ma06_g15120 transcript:Ma06_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALKIAALFFLLCGSWRWSAVSGTSPMTAVDMFEQWIAQYGRTYANESEKSYRLGVFTRNLDYVNAFRQAGNRSYTVGLNHFADLTDEEFRATYTSTGLSPSDGSYPGLMPFQYANVTAPSSIDWRNEGAVTPVKNQAQCGSCWAFSAIASIEGINKIVKGSLISLSEQQVFACDHYDAGCSGGLHYRAFSYAVSSGGITTEANYPYQPHQVACNSTKQSDHAVSITGYAMVPTNNETLLMNAVANQPVSVSVDAHTFRFYKGGIFDGPCGTNLDHDVTFVGYGTDENGVAYWIAKNSWGAWWGDHGYIRFKKDVAEKEGQCGLTLRASYPII >Ma11_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26434846:26435301:-1 gene:Ma11_g22810 transcript:Ma11_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYTEGEEGETESMNVANVLILLSFGGCDGEEIVDVSARTSPADRVFECKTCNRRFPSFQALGGHRASHKKPRLAGNGREELARARVHECSVCGLEFAIGQALGGHMRRHRAAANSFPQGSCLQEKKPREEKALWLDLNAPPSEGERDCR >Ma07_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5206640:5213378:1 gene:Ma07_g07160 transcript:Ma07_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSAGGADRGGGDAGDSLRNASQGKSCKGCLYYSSLLKSDSRNPLCLGLTRPLKHVVPSSIVGESEMEADKEGRSLSDFRYVCVGYSVFLNDKENTTENQENQAKLPFCVGLELLVDRKTSAAEHVPTHVHNKEVPIHSHREEAKTVHSPQNNFFSKFSRSAGLVASGVVKNLVRVGYSIKNNFEDILYDRRRPK >Ma07_p07160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5206640:5213378:1 gene:Ma07_g07160 transcript:Ma07_t07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSAGGADRGGGDAGDSLRNASQGKSCKGCLYYSSLLKSDSRNPLCLGLTRPLKHVPSSIVGESEMEADKEGRSLSDFRYVCVGYSVFLNDKENTTENQENQAKLPFCVGLELLVDRKTSAAEHVPTHVHNKEVPIHSHREEAKTVHSPQNNFFSKFSRSAGLVASGVVKNLVRVGYSIKNNFEDILYDRRRPK >Ma07_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10466769:10471674:-1 gene:Ma07_g13980 transcript:Ma07_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKIKVANPIVEMDGDEMTRVIWRSIKDKLIFPFLDLDIKYFDLGLPNRDATDDRVTIESAEATLKYNVAVKCATITPDEGRVKEFNLKAMWKSPNGTIRNILNGTVFREPIICRNIPRLVSGWTKPICIGRHAFGDQYRATDTVIKGPGKLKLLFEGKEEQVELEVFKFTGAGGVALSMYNTDESIRSFAEASMNTAYQKRWPLYLSTKNTILKKYDGRFKDIFQEVYETQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLMCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDNNARLLDFTQKLEAACIGTVESGMMTKDLALLVHGPKVTRDKYLSTEQFIDAVVAELRTKLCARSKL >Ma03_p04950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3266348:3270290:1 gene:Ma03_g04950 transcript:Ma03_t04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFSGFALDPSKCSKLSIEEKRDLVRELSKWPESASEKLQTWSRRELLEILCTEIGKERKYTSLTKQKMIEFLFRVVSDKRSEEPAKDGDFAKVASTLGPQTPAAKRHRKNEHPSRLAFIMNNHLRSSDAEQVPENTRHCLNSACRAILNMEDAFCKRCSCCICRKYDDNKDPSLWLFCGSETPPRADSCGLSCHLECALKHERAGIMKSGQCTRLDGSYYCTHCGKANDLLGCWKKQLMIAKDARRVDVLCYRISLSHKLLGATEKYQSLHEIVDMARKKLEAEVGPIDDSSNMARGIVNRLSVAAEVQKLCALAVDLLDSMHSSSSSANAIVQQAGSVFSSFIKFERISSTSVTVVLELENNTPLGQELAGFNLWHRKAAISEYPDKPSFSLLNPEKRLEIAELSPATDYMFKVVAFSNTRDLDTWEVGVKTEGIALENSINLSSEMTASKPHGQSPKTNGSGSSNPSEGDEYNANTTACADLNKLPKIDFDDCEKPEILETEKSSGHGHQMSKGCIGRARVLQPEESLGHSDSALDEEPNSTVLIDSTDFLENNQASDIQKSENESNTRAVVSDMVILPATPCGVETGTQSLERCSKGKSGVEIYENGSTKAAREPGSSSEKRGAGKTEGINVKDWSLEGAYEYCVKVIRWLECQGHIETNFRVKFLTWFSLRATLQERRIVSVYVNTLIDDPASLAGQLVDTFSEAICSKRPPTAPTGFCTKLQH >Ma03_p04950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3266376:3270290:1 gene:Ma03_g04950 transcript:Ma03_t04950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLPHKTSSLSHAFPCFHQIDNGFIRFALDPSKCSKLSIEEKRDLVRELSKWPESASEKLQTWSRRELLEILCTEIGKERKYTSLTKQKMIEFLFRVVSDKRSEEPAKDGDFAKVASTLGPQTPAAKRHRKNEHPSRLAFIMNNHLRSSDAEQVPENTRHCLNSACRAILNMEDAFCKRCSCCICRKYDDNKDPSLWLFCGSETPPRADSCGLSCHLECALKHERAGIMKSGQCTRLDGSYYCTHCGKANDLLGCWKKQLMIAKDARRVDVLCYRISLSHKLLGATEKYQSLHEIVDMARKKLEAEVGPIDDSSNMARGIVNRLSVAAEVQKLCALAVDLLDSMHSSSSSANAIVQPGSVFSSFIKFERISSTSVTVVLELENNTPLGQELAGFNLWHRKAAISEYPDKPSFSLLNPEKRLEIAELSPATDYMFKVVAFSNTRDLDTWEVGVKTEGIALENSINLSSEMTASKPHGQSPKTNGSGSSNPSEGDEYNANTTACADLNKLPKIDFDDCEKPEILETEKSSGHGHQMSKGCIGRARVLQPEESLGHSDSALDEEPNSTVLIDSTDFLENNQASDIQKSENESNTRAVVSDMVILPATPCGVETGTQSLERCSKGKSGVEIYENGSTKAAREPGSSSEKRGAGKTEGINVKDWSLEGAYEYCVKVIRWLECQGHIETNFRVKFLTWFSLRATLQERRIVSVYVNTLIDDPASLAGQLVDTFSEAICSKRPPTAPTGFCTKLQH >Ma03_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3266376:3270290:1 gene:Ma03_g04950 transcript:Ma03_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLPHKTSSLSHAFPCFHQIDNGFIRFALDPSKCSKLSIEEKRDLVRELSKWPESASEKLQTWSRRELLEILCTEIGKERKYTSLTKQKMIEFLFRVVSDKRSEEPAKDGDFAKVASTLGPQTPAAKRHRKNEHPSRLAFIMNNHLRSSDAEQVPENTRHCLNSACRAILNMEDAFCKRCSCCICRKYDDNKDPSLWLFCGSETPPRADSCGLSCHLECALKHERAGIMKSGQCTRLDGSYYCTHCGKANDLLGCWKKQLMIAKDARRVDVLCYRISLSHKLLGATEKYQSLHEIVDMARKKLEAEVGPIDDSSNMARGIVNRLSVAAEVQKLCALAVDLLDSMHSSSSSANAIVQQAGSVFSSFIKFERISSTSVTVVLELENNTPLGQELAGFNLWHRKAAISEYPDKPSFSLLNPEKRLEIAELSPATDYMFKVVAFSNTRDLDTWEVGVKTEGIALENSINLSSEMTASKPHGQSPKTNGSGSSNPSEGDEYNANTTACADLNKLPKIDFDDCEKPEILETEKSSGHGHQMSKGCIGRARVLQPEESLGHSDSALDEEPNSTVLIDSTDFLENNQASDIQKSENESNTRAVVSDMVILPATPCGVETGTQSLERCSKGKSGVEIYENGSTKAAREPGSSSEKRGAGKTEGINVKDWSLEGAYEYCVKVIRWLECQGHIETNFRVKFLTWFSLRATLQERRIVSVYVNTLIDDPASLAGQLVDTFSEAICSKRPPTAPTGFCTKLQH >Ma08_p00860.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:789318:795049:-1 gene:Ma08_g00860 transcript:Ma08_t00860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGKKPQMLRAFSFFIILILCMPISDATYTGDIEELFTLRDSLAERRIFLSSWFNVETPCNWSGITCAGPTVQAIDLSYTPLNVRIPSCLGEFRHLRLLNFSSCDLSGHIPETFGDLQNLQSLDLSKNHLSGVLPSSLANLQMLRELVLDTNSFSGGLSTIVGHLKGLTKLSISGNSFSGSIPPDIGNLQNLEYLDLSMNSFSGPLPNNMENLRRLLHLDVSRNELSGSIFPGIGSLGNIITIDLSSNSFTGALPSTIGKLTSLESLWLGLNGFTGSLPVEIGNMKMLKVFSVHSCKLTGIVPEEISNLRNLTNLDISENNFEGELPQAIGNLVNLMYLVAADAGLSGSIPAQLGNCKNLKILDLSFNFFSGPLPGSLAGLDAVTTFIVEGNHLEGPIPTWISNWKMVNSIRLGKNLFNGSLPPLNLPFLTSFSADANQLSGEIPPKICDAKSLSSLSLSENKLTGSIEKTFKDCSNLTDLVLVGNNLYGEIPGYLGELPLVTLELSQNNFSGMVPNQLWRSLTILELSLSNNQLNGHIPSSISDILERLQLDNNFFEGTIPKSIGYLCNLTNLSLHGNKLSGVIPPELFNCTNMVALDLSLNNLTGSIPGAISQLKLLDNLVLSNNQLSGHIPCEICAGFQQVAFPDSEFTQHYGMLDLSYNSLTGQIPAAIKNCAVLKELRLQGNMLNGSIPPELADLTNLTFIDLSFNSLSGPILSPILSQLSPLQNLQGLLLSNNQFDDLIPSELSLMLPSLVKLNLSSNRLTGSIPKSIFDIKTLTDIDISQNSLSGPIPFTGSIARGTSSLLIFNASNNNLNGAILESVSNLTSLAVLDLHNNSLIGSLPSSLSKLDYLTYLDLSDNDFLGDIPCGICGITGLSFVNFSGNKLDRYSEDCALVNSCLSHHILSSPVVPYPPSPTLTESSVWGITLGAAIGLVALLFVFLRWRAMRQKSLDHVSADRANSAIIEPASSDELLGKKLKEPLSINVATFEHALLRLTLSDILRATENFSKARIVGDGGFGTVYKAVLPEECMVAIKRLYGGGQFQGDREFLAEMETIGKVKHQNLVPLLGYCVFGDERFLIYEYMENGSLEIWLRNRADAVDVLRWPVRFKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDRDFEPRVSDFGLARIISACETHVSTDLAGTLGYIPPEYGFTMKATVKGDVYSFGVVMLELLTGRPPTGEEEMEGGGNLVGWVRWMAGQGKEAEVLDPCLSTGGLWREQMMQVLAVARACTADEPWKRPSMLEVVKMLKEVKMKEAGDTVTRDV >Ma08_p00860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:789318:795049:-1 gene:Ma08_g00860 transcript:Ma08_t00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGKKPQMLRAFSFFIILILCMPISDATYTGDIEELFTLRDSLAERRIFLSSWFNVETPCNWSGITCAGPTVQAIDLSYTPLNVRIPSCLGEFRHLRLLNFSSCDLSGHIPETFGDLQNLQSLDLSKNHLSGVLPSSLANLQMLRELVLDTNSFSGGLSTIVGHLKGLTKLSISGNSFSGSIPPDIGNLQNLEYLDLSMNSFSGPLPNNMENLRRLLHLDVSRNELSGSIFPGIGSLGNIITIDLSSNSFTGALPSTIGKLTSLESLWLGLNGFTGSLPVEIGNMKMLKVFSVHSCKLTGIVPEEISNLRNLTNLDISENNFEGELPQAIGNLVNLMYLVAADAGLSGSIPAQLGNCKNLKILDLSFNFFSGPLPGSLAGLDAVTTFIVEGNHLEGPIPTWISNWKMVNSIRLGKNLFNGSLPPLNLPFLTSFSADANQLSGEIPPKICDAKSLSSLSLSENKLTGSIEKTFKDCSNLTDLVLVGNNLYGEIPGYLGELPLVTLELSQNNFSGMVPNQLWRSLTILELSLSNNQLNGHIPSSISDILERLQLDNNFFEGTIPKSIGYLCNLTNLSLHGNKLSGVIPPELFNCTNMVALDLSLNNLTGSIPGAISQLKLLDNLVLSNNQLSGHIPCEICAGFQQVAFPDSEFTQHYGMLDLSYNSLTGQIPAAIKNCAVLKELRLQGNMLNGSIPPELADLTNLTFIDLSFNSLSGPILSPILSQLSPLQNLQGLLLSNNQFDDLIPSELSLMLPSLVKLNLSSNRLTGSIPKSIFDIKTLTDIDISQNSLSGPIPFTGSIARGTSSLLIFNASNNNLNGAILESVSNLTSLAVLDLHNNSLIGSLPSSLSKLDYLTYLDLSDNDFLGDIPCGICGITGLSFVNFSGNKLDRYSEDCALVNSCLSHHILSSPVVPYPPSPTLTESSVWGITLGAAIGLVALLFVFLRWRAMRQKSLDHVSADRANSAIIEPASSDELLGKKLKEPLSINVATFEHALLRLTLSDILRATENFSKARIVGDGGFGTVYKAVLPEECMVAIKRLYGGGQFQGDREFLAEMETIGKVKHQNLVPLLGYCVFGDERFLIYEYMENGSLEIWLRNRADAVDVLRWPVRFKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDRDFEPRVSDFGLARIISACETHVSTDLAGTLGYIPPEYGFTMKATVKGDVYSFGVVMLELLTGRPPTGEEEMEGGGNLVGWVRWMAGQGKEAEVLDPCLSTGGLWREQMMQVLAVARACTADEPWKRPSMLEVVKMLKEVKMKEAGDTVTRDV >Ma08_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:789318:795049:-1 gene:Ma08_g00860 transcript:Ma08_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGKKPQMLRAFSFFIILILCMPISDATYTGDIEELFTLRDSLAERRIFLSSWFNVETPCNWSGITCAGPTVQAIDLSYTPLNVRIPSCLGEFRHLRLLNFSSCDLSGHIPETFGDLQNLQSLDLSKNHLSGVLPSSLANLQMLRELVLDTNSFSGGLSTIVGHLKGLTKLSISGNSFSGSIPPDIGNLQNLEYLDLSMNSFSGPLPNNMENLRRLLHLDVSRNELSGSIFPGIGSLGNIITIDLSSNSFTGALPSTIGKLTSLESLWLGLNGFTGSLPVEIGNMKMLKVFSVHSCKLTGIVPEEISNLRNLTNLDISENNFEGELPQAIGNLVNLMYLVAADAGLSGSIPAQLGNCKNLKILDLSFNFFSGPLPGSLAGLDAVTTFIVEGNHLEGPIPTWISNWKMVNSIRLGKNLFNGSLPPLNLPFLTSFSADANQLSGEIPPKICDAKSLSSLSLSENKLTGSIEKTFKDCSNLTDLVLVGNNLYGEIPGYLGELPLVTLELSQNNFSGMVPNQLWRSLTILELSLSNNQLNGHIPSSISDILERLQLDNNFFEGTIPKSIGYLCNLTNLSLHGNKLSGVIPPELFNCTNMVALDLSLNNLTGSIPGAISQLKLLDNLVLSNNQLSGHIPCEICAGFQQVAFPDSEFTQHYGMLDLSYNSLTGQIPAAIKNCAVLKELRLQGNMLNGSIPPELADLTNLTFIDLSFNSLSGPILSPILSQLSPLQNLQGLLLSNNQFDDLIPSELSLMLPSLVKLNLSSNRLTGSIPKSIFDIKTLTDIDISQNSLSGPIPFTGSIARGTSSLLIFNASNNNLNGAILESVSNLTSLAVLDLHNNSLIGSLPSSLSKLDYLTYLDLSDNDFLGDIPCGICGITGLSFVNFSGNKLDRYSEDCALVNSCLSHHILSSPVVPYPPSPTLTESSVWGITLGAAIGLVALLFVFLRWRAMRQKSLDHVSADRANSAIIEPASSDELLGKKLKEPLSINVATFEHALLRLTLSDILRATENFSKARIVGDGGFGTVYKAVLPEECMVAIKRLYGGGQFQGDREFLAEMETIGKVKHQNLVPLLGYCVFGDERFLIYEYMENGSLEIWLRNRADAVDVLRWPVRFKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDRDFEPRVSDFGLARIISACETHVSTDLAGTLGYIPPEYGFTMKATVKGDVYSFGVVMLELLTGRPPTGEEEMEGGGNLVGWVRWMAGQGKEAEVLDPCLSTGGLWREQMMQVLAVARACTADEPWKRPSMLEVVKMLKEVKMKEAGDTVTRDV >Ma08_p00860.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:789318:794732:-1 gene:Ma08_g00860 transcript:Ma08_t00860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGKKPQMLRAFSFFIILILCMPISDATYTGDIEELFTLRDSLAERRIFLSSWFNVETPCNWSGITCAGPTVQAIDLSYTPLNVRIPSCLGEFRHLRLLNFSSCDLSGHIPETFGDLQNLQSLDLSKNHLSGVLPSSLANLQMLRELVLDTNSFSGGLSTIVGHLKGLTKLSISGNSFSGSIPPDIGNLQNLEYLDLSMNSFSGPLPNNMENLRRLLHLDVSRNELSGSIFPGIGSLGNIITIDLSSNSFTGALPSTIGKLTSLESLWLGLNGFTGSLPVEIGNMKMLKVFSVHSCKLTGIVPEEISNLRNLTNLDISENNFEGELPQAIGNLVNLMYLVAADAGLSGSIPAQLGNCKNLKILDLSFNFFSGPLPGSLAGLDAVTTFIVEGNHLEGPIPTWISNWKMVNSIRLGKNLFNGSLPPLNLPFLTSFSADANQLSGEIPPKICDAKSLSSLSLSENKLTGSIEKTFKDCSNLTDLVLVGNNLYGEIPGYLGELPLVTLELSQNNFSGMVPNQLWRSLTILELSLSNNQLNGHIPSSISDILERLQLDNNFFEGTIPKSIGYLCNLTNLSLHGNKLSGVIPPELFNCTNMVALDLSLNNLTGSIPGAISQLKLLDNLVLSNNQLSGHIPCEICAGFQQVAFPDSEFTQHYGMLDLSYNSLTGQIPAAIKNCAVLKELRLQGNMLNGSIPPELADLTNLTFIDLSFNSLSGPILSPILSQLSPLQNLQGLLLSNNQFDDLIPSELSLMLPSLVKLNLSSNRLTGSIPKSIFDIKTLTDIDISQNSLSGPIPFTGSIARGTSSLLIFNASNNNLNGAILESVSNLTSLAVLDLHNNSLIGSLPSSLSKLDYLTYLDLSDNDFLGDIPCGICGITGLSFVNFSGNKLDRYSEDCALVNSCLSHHILSSPVVPYPPSPTLTESSVWGITLGAAIGLVALLFVFLRWRAMRQKSLDHVSADRANSAIIEPASSDELLGKKLKEPLSINVATFEHALLRLTLSDILRATENFSKARIVGDGGFGTVYKAVLPEECMVAIKRLYGGGQFQGDREFLAEMETIGKVKHQNLVPLLGYCVFGDERFLIYEYMENGSLEIWLRNRADAVDVLRWPVRFKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDRDFEPRVSDFGLARIISACETHVSTDLAGTLGYIPPEYGFTMKATVKGDVYSFGVVMLELLTGRPPTGEEEMEGGGNLVGWVRWMAGQGKEAEVLDPCLSTGGLWREQMMQVLAVARACTADEPWKRPSMLEVVKMLKEVKMKEAGDTVTRDV >Ma08_p00860.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:789318:795049:-1 gene:Ma08_g00860 transcript:Ma08_t00860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGKKPQMLRAFSFFIILILCMPISDATYTGDIEELFTLRDSLAERRIFLSSWFNVETPCNWSGITCAGPTVQAIDLSYTPLNVRIPSCLGEFRHLRLLNFSSCDLSGHIPETFGDLQNLQSLDLSKNHLSGVLPSSLANLQMLRELVLDTNSFSGGLSTIVGHLKGLTKLSISGNSFSGSIPPDIGNLQNLEYLDLSMNSFSGPLPNNMENLRRLLHLDVSRNELSGSIFPGIGSLGNIITIDLSSNSFTGALPSTIGKLTSLESLWLGLNGFTGSLPVEIGNMKMLKVFSVHSCKLTGIVPEEISNLRNLTNLDISENNFEGELPQAIGNLVNLMYLVAADAGLSGSIPAQLGNCKNLKILDLSFNFFSGPLPGSLAGLDAVTTFIVEGNHLEGPIPTWISNWKMVNSIRLGKNLFNGSLPPLNLPFLTSFSADANQLSGEIPPKICDAKSLSSLSLSENKLTGSIEKTFKDCSNLTDLVLVGNNLYGEIPGYLGELPLVTLELSQNNFSGMVPNQLWRSLTILELSLSNNQLNGHIPSSISDILERLQLDNNFFEGTIPKSIGYLCNLTNLSLHGNKLSGVIPPELFNCTNMVALDLSLNNLTGSIPGAISQLKLLDNLVLSNNQLSGHIPCEICAGFQQVAFPDSEFTQHYGMLDLSYNSLTGQIPAAIKNCAVLKELRLQGNMLNGSIPPELADLTNLTFIDLSFNSLSGPILSPILSQLSPLQNLQGLLLSNNQFDDLIPSELSLMLPSLVKLNLSSNRLTGSIPKSIFDIKTLTDIDISQNSLSGPIPFTGSIARGTSSLLIFNASNNNLNGAILESVSNLTSLAVLDLHNNSLIGSLPSSLSKLDYLTYLDLSDNDFLGDIPCGICGITGLSFVNFSGNKLDRYSEDCALVNSCLSHHILSSPVVPYPPSPTLTESSVWGITLGAAIGLVALLFVFLRWRAMRQKSLDHVSADRANSAIIEPASSDELLGKKLKEPLSINVATFEHALLRLTLSDILRATENFSKARIVGDGGFGTVYKAVLPEECMVAIKRLYGGGQFQGDREFLAEMETIGKVKHQNLVPLLGYCVFGDERFLIYEYMENGSLEIWLRNRADAVDVLRWPVRFKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDRDFEPRVSDFGLARIISACETHVSTDLAGTLGYIPPEYGFTMKATVKGDVYSFGVVMLELLTGRPPTGEEEMEGGGNLVGWVRWMAGQGKEAEVLDPCLSTGGLWREQMMQVLAVARACTADEPWKRPSMLEVVKMLKEVKMKEAGDTVTRDV >Ma08_p00860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:789318:795049:-1 gene:Ma08_g00860 transcript:Ma08_t00860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGKKPQMLRAFSFFIILILCMPISDATYTGDIEELFTLRDSLAERRIFLSSWFNVETPCNWSGITCAGPTVQAIDLSYTPLNVRIPSCLGEFRHLRLLNFSSCDLSGHIPETFGDLQNLQSLDLSKNHLSGVLPSSLANLQMLRELVLDTNSFSGGLSTIVGHLKGLTKLSISGNSFSGSIPPDIGNLQNLEYLDLSMNSFSGPLPNNMENLRRLLHLDVSRNELSGSIFPGIGSLGNIITIDLSSNSFTGALPSTIGKLTSLESLWLGLNGFTGSLPVEIGNMKMLKVFSVHSCKLTGIVPEEISNLRNLTNLDISENNFEGELPQAIGNLVNLMYLVAADAGLSGSIPAQLGNCKNLKILDLSFNFFSGPLPGSLAGLDAVTTFIVEGNHLEGPIPTWISNWKMVNSIRLGKNLFNGSLPPLNLPFLTSFSADANQLSGEIPPKICDAKSLSSLSLSENKLTGSIEKTFKDCSNLTDLVLVGNNLYGEIPGYLGELPLVTLELSQNNFSGMVPNQLWRSLTILELSLSNNQLNGHIPSSISDILERLQLDNNFFEGTIPKSIGYLCNLTNLSLHGNKLSGVIPPELFNCTNMVALDLSLNNLTGSIPGAISQLKLLDNLVLSNNQLSGHIPCEICAGFQQVAFPDSEFTQHYGMLDLSYNSLTGQIPAAIKNCAVLKELRLQGNMLNGSIPPELADLTNLTFIDLSFNSLSGPILSPILSQLSPLQNLQGLLLSNNQFDDLIPSELSLMLPSLVKLNLSSNRLTGSIPKSIFDIKTLTDIDISQNSLSGPIPFTGSIARGTSSLLIFNASNNNLNGAILESVSNLTSLAVLDLHNNSLIGSLPSSLSKLDYLTYLDLSDNDFLGDIPCGICGITGLSFVNFSGNKLDRYSEDCALVNSCLSHHILSSPVVPYPPSPTLTESSVWGITLGAAIGLVALLFVFLRWRAMRQKSLDHVSADRANSAIIEPASSDELLGKKLKEPLSINVATFEHALLRLTLSDILRATENFSKARIVGDGGFGTVYKAVLPEECMVAIKRLYGGGQFQGDREFLAEMETIGKVKHQNLVPLLGYCVFGDERFLIYEYMENGSLEIWLRNRADAVDVLRWPVRFKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDRDFEPRVSDFGLARIISACETHVSTDLAGTLGYIPPEYGFTMKATVKGDVYSFGVVMLELLTGRPPTGEEEMEGGGNLVGWVRWMAGQGKEAEVLDPCLSTGGLWREQMMQVLAVARACTADEPWKRPSMLEVVKMLKEVKMKEAGDTVTRDV >Ma08_p00860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:789318:795049:-1 gene:Ma08_g00860 transcript:Ma08_t00860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGKKPQMLRAFSFFIILILCMPISDATYTGDIEELFTLRDSLAERRIFLSSWFNVETPCNWSGITCAGPTVQAIDLSYTPLNVRIPSCLGEFRHLRLLNFSSCDLSGHIPETFGDLQNLQSLDLSKNHLSGVLPSSLANLQMLRELVLDTNSFSGGLSTIVGHLKGLTKLSISGNSFSGSIPPDIGNLQNLEYLDLSMNSFSGPLPNNMENLRRLLHLDVSRNELSGSIFPGIGSLGNIITIDLSSNSFTGALPSTIGKLTSLESLWLGLNGFTGSLPVEIGNMKMLKVFSVHSCKLTGIVPEEISNLRNLTNLDISENNFEGELPQAIGNLVNLMYLVAADAGLSGSIPAQLGNCKNLKILDLSFNFFSGPLPGSLAGLDAVTTFIVEGNHLEGPIPTWISNWKMVNSIRLGKNLFNGSLPPLNLPFLTSFSADANQLSGEIPPKICDAKSLSSLSLSENKLTGSIEKTFKDCSNLTDLVLVGNNLYGEIPGYLGELPLVTLELSQNNFSGMVPNQLWRSLTILELSLSNNQLNGHIPSSISDILERLQLDNNFFEGTIPKSIGYLCNLTNLSLHGNKLSGVIPPELFNCTNMVALDLSLNNLTGSIPGAISQLKLLDNLVLSNNQLSGHIPCEICAGFQQVAFPDSEFTQHYGMLDLSYNSLTGQIPAAIKNCAVLKELRLQGNMLNGSIPPELADLTNLTFIDLSFNSLSGPILSPILSQLSPLQNLQGLLLSNNQFDDLIPSELSLMLPSLVKLNLSSNRLTGSIPKSIFDIKTLTDIDISQNSLSGPIPFTGSIARGTSSLLIFNASNNNLNGAILESVSNLTSLAVLDLHNNSLIGSLPSSLSKLDYLTYLDLSDNDFLGDIPCGICGITGLSFVNFSGNKLDRYSEDCALVNSCLSHHILSSPVVPYPPSPTLTESSVWGITLGAAIGLVALLFVFLRWRAMRQKSLDHVSADRANSAIIEPASSDELLGKKLKEPLSINVATFEHALLRLTLSDILRATENFSKARIVGDGGFGTVYKAVLPEECMVAIKRLYGGGQFQGDREFLAEMETIGKVKHQNLVPLLGYCVFGDERFLIYEYMENGSLEIWLRNRADAVDVLRWPVRFKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDRDFEPRVSDFGLARIISACETHVSTDLAGTLGYIPPEYGFTMKATVKGDVYSFGVVMLELLTGRPPTGEEEMEGGGNLVGWVRWMAGQGKEAEVLDPCLSTGGLWREQMMQVLAVARACTADEPWKRPSMLEVVKMLKEVKMKEAGDTVTRDV >Ma07_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10476956:10487597:-1 gene:Ma07_g14000 transcript:Ma07_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQEPQGTPEVQPSSEASHDLQGDHQNSPVVEAPKAESGSASVASSNSRKVSREDIELVQNLIERCLQLYMNRGEVVRTLSSRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQIILFNHLLEHQYHLMKYPVQPKVPLTPIQNGIHHMPVNLPMGYPVLPQHPMPPTVQPHVDPMGCGLSSCHVVNGIPAPGSFHPIRLNSGTNGTTEATHTAPPCSASMSEMAVSPASVASSNHFPFTPEISGIGMDASALDTSFPSDVANSGELHLGPDGVGSSRDSIRSLGHLWNFSLSDLTADLTNLGDLGVLGDYDGSPFLPSDSDILLDSPEQDDIVEEYFADIATGSCSHQSDEEKS >Ma05_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10182273:10182436:1 gene:Ma05_g13980 transcript:Ma05_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLLRTWNIQNLKKFFID >Ma09_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19705927:19706474:-1 gene:Ma09_g19080 transcript:Ma09_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPSLLSASSKCRERHMVSNTWYAAFIRECYGFGYHLDIDLIICLLAMICSSRV >Ma11_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4573682:4588410:1 gene:Ma11_g05850 transcript:Ma11_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPVGLTAVVSVAAPSTSSLPCHRPILLRVPPLRCPSRRPLNSWVGRRASAPVSATPAASSTDEVAAVSPSGDSSSGIENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRIRRQAERWGAELFQEDVEFVVVRTNPFIIRSSEREVKCHSLIIATGANAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRKNHLRASKAMQDRVFNNPNVTVHFNTEAMDVVSNSKGQMSGVLTKRVDTGEESVLDVKGLFYGIGHTPNSQLLEGQIELDSSGYILVKEGTAKTSVEGVFAAGDVQDHEWRQAITAAGSGCVAALSVERYLVSNNLLVEFHQPVTEDVKKELTDKDVQMGFDITLTKHKGQYALRKLYHESPRLLCVLYTAPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKKREMLRTVPGVKMKKEYREFIEANK >Ma04_p39900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36880071:36884348:-1 gene:Ma04_g39900 transcript:Ma04_t39900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSVGLPLPLLGVPFRLPPRSVFAFQKPNFSPNHHLLLLRQVENKMASSSSFSSSCSWTCNRCTFVNPPSQKSSCQICLSPIPIPSSLPSSSSSSVTSEVFRWSCRACTFSNPADAAACEVCGTTAALPSPSSASRLASLLSDLEPEPHELAHPDIGRVFLPLLRCGAKRPPPSTSPETKLRRAATSHKLPRAHEEQQKQQVAVNAPSPALGENPKRCIIKILSYNVWFREDLELRRRMQGLGDLIEQNSPDFICLQEVTPSIYEIFQESNWLKHYKCSVPQHLSTERPYFCMQMSKLPMKGFSCIPFTNSVMGRELCLADIDVGGSKKLIVATSHLESPCPAPPRWDQMYSKERVAQAKEAINLLKDSPNAIFAGDMNWDDKLDGAFPLPDGWIDAWLELRPGENGWTYDTKANQMLSGNRTLQKRLDRFMCNLHDFKVDGIEMIGVEAIPGLSYCKEKKVRKVFKKLVLPVLPSDHYGLLLTISSL >Ma04_p39900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36880071:36884340:-1 gene:Ma04_g39900 transcript:Ma04_t39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFSSSCSWTCNRCTFVNPPSQKSSCQICLSPIPIPSSLPSSSSSSVTSEVFRWSCRACTFSNPADAAACEVCGTTAALPSPSSASRLASLLSDLEPEPHELAHPDIGRVFLPLLRCGAKRPPPSTSPETKLRRAATSHKLPRAHEEQQKQQVAVNAPSPALGNVGENPKRCIIKILSYNVWFREDLELRRRMQGLGDLIEQNSPDFICLQEVTPSIYEIFQESNWLKHYKCSVPQHLSTERPYFCMQMSKLPMKGFSCIPFTNSVMGRELCLADIDVGGSKKLIVATSHLESPCPAPPRWDQMYSKERVAQAKEAINLLKDSPNAIFAGDMNWDDKLDGAFPLPDGWIDAWLELRPGENGWTYDTKANQMLSGNRTLQKRLDRFMCNLHDFKVDGIEMIGVEAIPGLSYCKEKKVRKVFKKLVLPVLPSDHYGLLLTISSL >Ma07_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1528723:1529760:-1 gene:Ma07_g01940 transcript:Ma07_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSGAAFLLYLLIALLGPSNGADTLTLDHPLADGEEPLISEGGSFTLGFFSPTGSDSRYIGIWYKKISVRTVVWVANRQRPVTGNHGSLSVAANGTLVITGENSTVVWSSPSFALSNPVAQLLDDGNFVVREADGNPSDPNSFAWQSFDYPTDTLLPGMKLGWNLTTGFNRTLTSWASASDPAPGEYTFGIDLRGDPQIFEWSGTRKVWRAGPWNGLRFTGVPQMTSYNMLSLQFFVDATQVVYVFYMIDPSFVSRLVVNQSGTLQRLVWVNDSKFWNAFWYAPMDACDTIFPCGPNAVCDTSRSPQCGCPQGFQPKNPTNWGFRDGSDGCVRKTEVDCRN >Ma10_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33157650:33164731:-1 gene:Ma10_g24680 transcript:Ma10_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEERGEAASEVLDRFHSLVEVADRKFARVRDLPPTARGALHLLHCRKAFKAYTRLWQFQQQRRRELVAGGLRRWEIGDIASRIGQLYYGQYQRTSEVRFLLEAYVFYEAIVSRGYFEAARASAAPDLKLRYKELRFQARFFIVALLLNRTDEVRQLADRFRALVEESKAAFPATNFKEWKQVAQEISRFLKADVSSKISRPLRYNVLFDAHPSSFPYIARFHANRVLRLRDALLASYRRNEIKFAELSLDTYRMVQCLEWEPSGSSYQLFRKEPYENGAFSDQSGASGLIDINLAADLMDPDLPPNPRKAVIYHPSVSQFLAVTATICEELSSDSILLIYISASGKTDCSVASHKNVNGKSTNSSKANHAPQASWKRDSSPSQPATDDKLYSSTNPGSYLCLGAQGSNNLYPDDLVPFTRKPLFLIIDSDSSRAFKTIHGAERGEASALLLSHEKPLSVSETDLTSSGSQFTYFLTAPLQAFCQLVGLSPDVEADIYSNAESLLSSALAEWEVTLCTSKSLDRVWAQVLTDPFLRRLILRFIFCRTVLSLFCSPSDSAVHLPECVPKLPKSLSPESAVTQIHIHHLAERLGVSNHFHFLDAIEDSVQSR >Ma10_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23097567:23103011:-1 gene:Ma10_g08820 transcript:Ma10_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPQTRPEPDRQASTAPPAATMAAAVAQAAIQPSSPRFFLSSVAAACPSPGSHRRIAIAVDLSDESAYAVKWAVQNYLRPGDAVILLHVRPTSVLYGADWGAIDVSVSAADAAKAEDAGSEESQRKLEDDFHALAAAKAQDLAQPLVQAQIPFKIHIVKDHDLKERLCLEVERLGLSAVIMGSRGFGAARRTNKGRLGSVSDYCAHHCVCPVVVVRYPDEGTSDAAEAGSIDGGNAVPALGTAGKDPELQPVPEEDEFHDASSEQKD >Ma04_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5224842:5227434:-1 gene:Ma04_g07180 transcript:Ma04_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISNSGSGEASISSSDRLRAPAPPSPLPPPSIAVVKKKRNLPGTPDPEAEVVALSPRTLLATNRFVCEICSKGFQRDQNLQLHRRGHNLPWKLRQRTGKEPRKRVYVCPEAGCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFVTHRAICDALAEESSKTASPPTDPKPSAEDDGEAAAVSETTAAAAVVAPPFSLQQVEPLVRQELENPIGSLQYVPPPSTSIANTSCATASSSSRSNTSLFASLFASSITTAAAHTTTFLSDRMGAMGHDDRPLMEPPSLGLATNGGPAWLFSPQAQAHGRRPFAPPAPSPHMSATALLQKAAHMVATPTGSSFLKGFGLDAPTGHQEKMIQGSNLQWGQQQHHHQPETEPGAPMLSAWLGLGLAYEPDLMTGSSSLFRAKPATTLDLLGLGMGAVGGSAKGGLSALTTSAGGGIDMRSAAATGEWKGAERKPASPSVL >Ma08_p33260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43644803:43645947:-1 gene:Ma08_g33260 transcript:Ma08_t33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSPASPPLLLAACLLLALAGRLRAEPCDAVYWGQDAYEGSLREACATGYYKYVLIASLNQFGHGKIPRLNLAGHCDPTFGGCTFLSSDIISCQQDYNVKVMLSLGGAYGNYRLASKKDARIVATHIWKTYLAGESPDRPLGNAALDGIDFDIERGSRFYWDDLARYLNAYSTPERKIYLSAAPQCPMPDYYLQAAIDTGLFDYVWVQFYDNYCEFSPNNVGTFLQAWNQWTSTSVSKVFLGLPASPEAARSGYVTPDDLINIVLPFVKSSPKYGGVMLWSRYFDTINGYSPLVNDSVCPLSMGIEPSMKNGTVSVN >Ma06_p36040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35659873:35662339:-1 gene:Ma06_g36040 transcript:Ma06_t36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSSAVPVRTLLLAATIFLASAELSSGATRHYKFDITLRNVTRLCKTKSIVTVNGEFPGPKIVAREGDRVVVKVVNHVENNITIHWHGIRQLRSGWADGPEHITQCAIQTGRSYVYNFTLAGQRGTFWWHAHASWLRATVYGALIILPKPGVPYPFPKPYKEVPIIFGEWFNADPEAVIAQALQTGAGPNVSDAYTINGLPGRLYNCSGKDTFKLKVKPGKTYLLRLINAALNDELFFSIANHTVTVVETDANYVKPFDADTVLVTPGQTTNVLLHAKPTFPNAAFLFAARPYATGQGTFDNTTTAGVLEYRNPIGSGSTKLPLLRPALPSLNDTAYATNFSSKLRSLADARYPANVPRTVDQRFYFTVGLGADPCPANQTCQGPSGTKFAASINNVSFAFPSTALLQAHYLARSYGVYTTDFPNNPPSPFNYTGTAPNNTFVSHGTKVVVLPFNTSVEVVMQDTSILGAENHPMHLHGHNFYVVGTGFGNYDPMNDPPKFNLVDPVEKNTVGVPAGGWLAIRFLADNPGAWLMHCHIDVHLSWGLRMAWLVNDGALPTQKLPPPPSDLPRC >Ma02_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20050722:20053174:-1 gene:Ma02_g10520 transcript:Ma02_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQQQASWRLEGGGQVIDLETAVKDGILGGGESGGGLPAGKDGSFGASAEKLDLKKMIEELDSAAEEDVPSVFICPISLEPMVDPVTLCTGQTYERVHILKWFSLGHLTCPTTMQELWDDAVTPNQTLHQLIHAWFSQRYLRLKKRSEDVQGRTAELVKTLRKVKGQARVQALKDLQKILAAHPAVKKTVADSGGVALLSSLLGPFTSHAVGSEVIAILVNLSLDSDAKANLMQPAKISLMVDMLNEGTIDTKINCTRFIEMLMEEKSFRSEVVTRLSLLVALLRLVKDKRHPNGIVAGLSLLKAICFHEQVRSLIVSIGAVPQLVELLPNLTAECLELALHILDDLSTIAGGCSALKDCAQTIPNAVRLLMRVSEACTQYALSILWAVCKLAPDECAPLAVEAGLAAKLLLVIQSGYNPELKQRAAELLKLCSLNYTTTLFISKCKLTRTIQ >Ma04_p38590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36051584:36054012:1 gene:Ma04_g38590 transcript:Ma04_t38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTVGFNSSSGLENLHKSTHSDVNVPLIVGVVAGVGLFFVLMIIVCIRSSKKKNKRHNPMQYYADASAHNDTGLYGGGQPSNWDHRQQSANHVVKVTPPSGSSHAGRWQPQQHSTMMSGSEVSSAYSGPHMPPLPPPSPIVALGFNQSTFSYEELAAATDGFSDANLLGQGGFGYVHKGVLPNGKEIAVKQLKSGSGQGEREFQAEVDIISHIHHRHLVSLVGYCIAGAQRMLVYEFVPNNSLEHHLHGKGLPVMDWSMRLKIALGSARGIAYLHEDCNPRIIHRDIKSANILLDLNFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPSDTTHALSEDCLVDWARHALSRALADGDYDELADPRLDGNYDPAEMARMVAAAAASIRHSARRRPKMSQIVRALEGDVSLEDLNEGMTPGHSMLFSSGSEYDSSSYTPNTKRVRKVVIASPEYSGQITGHERGHSASSSEGGRSGELRSHPPP >Ma05_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:163704:165767:-1 gene:Ma05_g00220 transcript:Ma05_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLSSMENMVHLKDIVPAATNTVNTQFIVLEKGSITQDGKEMTCLALVADETASVHFQMWGSECEAFEPGDILRLSNGIFSFHKNSLVLRAGKKGNAEKVGEFTMLFVETPNMSEIRWARDPRNPKKFVQEAVLSPHSRIFAPSQ >Ma02_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14034657:14034752:-1 gene:Ma02_g02720 transcript:Ma02_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIPGTKTVFPGLKKPQERVDLITYLKASTAS >Ma01_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2506772:2513988:-1 gene:Ma01_g03770 transcript:Ma01_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTLVTLVVILCCWYGGCQAQTEVSAVYVFGDSLADVGNNNHLGLSLLKADFPHNGVDFPGHKATGRFSNGKNSADFLAEKLGRPTSPAYLSIPSSSNNTDEFLGGVNFASGGGGVLDSTNKDQCISFNKQIDYYSSVYAALVQQLGSAQTQAHLSNSVFALVIGSNDILNYVKSSSANKLKNPPQQFVDSLISSLRGQLKRIYNLGARKFVFIGTGPIGCCPAQRHQNKTRECSVEANYLSVQYNKGASSLLQEMSEELSDMSYSYFDTYTALLEYINNPDAYGFVEVKAACCGLGDLNAKIACLPISSYCSNRKDHIFWDLFHPTEATAERLTSTAFDGSVPYVYPMNIRQLVAM >Ma10_p26720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34272676:34275298:-1 gene:Ma10_g26720 transcript:Ma10_t26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKTKVELQSQLKDLKNELSLLRVAKVTGGAPNKLSKIKVVRLSIARVLTVISQKQKAALREVYKKKKLMPLDLRPKKTRAIRRRLTKHQESLKTEREKKKEMYFPMRKYAIKA >Ma05_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34339691:34342249:-1 gene:Ma05_g22570 transcript:Ma05_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.3 [Source:Projected from Arabidopsis thaliana (AT5G51750) UniProtKB/Swiss-Prot;Acc:Q9FLI4] MAACRETWLSLCLLALHLALSLRAVPTNKPPPKTYIVHVAKSEKPDSFATHVDWYLSTINSVAATSSELDASTEADDPADRIVYSYETAFHGFATKLGADEAERLESVPGVLAVLPETVYRLHTTRSPEFLGIGPEDSSNIFTTAASANHDVFVGVLDTGIWPESPSFSDKGMPAVPARWKGACEAGRNFTHSNCNRKIVGARIFHRGYEASAGAIDEKSELKSPRDQDGHGTHTAATVAGSPVRGANLFGYATGTAQGMAPHARVAVYKVCWTGGCFSSDILAAVDRAVADGVDVLSISLGGGVSAYYRDSLSIATFGAMEMGVFVACSAGNAGPDPISLTNVSPWITTVGASTMDRDFPAKVGLGNGMNITGVSLYKGRQNLLPSQQYPLVYMGGNLSSPNPKSLCLEGSLDPRVVAGKVVMCDRGVSPRVQKGQVVKDAGGIGMILANTAANGDELVADSHLLPAVAVGETAGEEIKRYSKASARPTATLTFEGTKVGIRPSPVVAAFSSRGPNILTLEILKPDVVAPGVNILAAWTGDASPSSLAADHRRVGFNILSGTSMSCPHVGGVAALLKASHPDWSPAAIKSALMTTAYVHDNTHHPLKDAATGQPSSTYDHGAGHIQPLKAVDPGLVYDITPEEYFEFLCSQKLTSVQMKVFTKHSNRTCKHSLASPGDLNYPAMSAVFRQQPATTLTLQRVVTNVGPPVSTYSVKVSAFKGADVVVEPKTLHFTRHNQKLSYKVTFRTISPQSSPEFGGLTWSDGTHVVRSPVVVTWLQSL >Ma06_p37410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36438373:36440290:1 gene:Ma06_g37410 transcript:Ma06_t37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKAVLGALSAVLLVAAVIGTVATVASSNHKAASDDSLAASSKSVTAICASTDYTDVCERTLSSAINGSASPKEIIQASFMAAIKEIEAASHLSKNVSLSATDSMNKDGFDICRRLFEDANEELQAAFSETHDLDGLARRTDDIKCWLSAVISYQQTCLDSITQPDLHSTMKDGLVTASQVTSNAIAIVDGLSSLFKNFQVPINVTNIASRRLLSQGSDAKGYPTWFSAHDRKLLAASARGELKPNMVVAQDGSGDYKTINAALNAMPKKYTGRYVIYVKAGIYKENVLVTKDKVNVFMYGDGPRKTVVTGSKNNVDGVQTMNTATFVLCNWHFPAAEGQGFIGKSMGFSNTAGPEKHQAVALRVKGDMSAFFNCRMDAFQDTLYVQAHRQFYRNCVVSGTVDFIFGDSSTILQNCLIVVRRPMDNQQNTVTAHGREEEKEETALVIQNCRIVPDKRLFPDRLKIPSYLGRPWKARSRTIIMESTIGDLIKPEGWLPWDGDQFLNTLYYAEYGNRGPGAGTSGRVNWPGFHVINRQTAQAYTVNSLIQGHRWIKYSGIPYLGGFKN >Ma11_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1155323:1159057:-1 gene:Ma11_g01640 transcript:Ma11_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEETEEVMVQEKEEKGGGEEATSVERVFEGQRVPAWREQLTVRAFVVSFFLAVMFSVIVMKLNLTTGIIPSLNVAAGLLGFFFVKLWTKGLESTGLLRTPFTRQENTVIQTCVVAAYGLAFSGGYGSYLFGMSSRVAAQATDDDDSQNIKDPRLGWMIGFMFVVSFLGLFSVVPLRKIMVIDYKLIYPSGTATAYLINGFHTPQGEKLAKQQVRTLGKYFAGSFLWGFFQWFYTAGDGCGFASFPSLGLQAFDHKFFFDFSATYVGVGMICPYLVNVSVLLGAILSWGVMWPLIENQKGNWYSATLPPSNLHGLQGYRVFIGIAMILGDGLYNFLKVLHRTTSAFITAARKRPAGTLPVSDDDRPTSALSFDDERRTEVFLKDQIPRWIAYGGYVAVAIVSIVTLPHIFHPLKWYFVLVAYVIAPILAFCNAYGCGLTDWSLASTYGKLAIFIFGAWVGAGNGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTCMGCVIAPCVFWLFFNAYKDIGTPESQYPAPYAIIYRNMAILGVEGFSSLPKHCLTLCYVFFALAIVINLARDLAGKKVARFIPIPMAMAIPFYIGSYFAIDMFIGSVILYVWERRNKAKADAFAPAMASGLICGDGIWTLPQAVLALAQVKPPICMKFLSRTMNDKVDAYISTLS >Ma04_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16548440:16567488:-1 gene:Ma04_g16750 transcript:Ma04_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGAGIEFSTLQKQRSLYVPQLPPCLQGSHVQVEFGDATTVPDPLDAESIKRSFPLTYGQPLVHFVSSLEKPPPNGVQDQQPPLRVGVVFCGRQSPGGHNIICGLYDAMKLHNPKSTLLGFCGGTEGLFVQKTLVISDDIVAAYRNQGGYDMLGRTKDQITSKEQVNSALNACQTLDLNGLVVIGGVTSNTDAAQLAETFAEAKCLTKVVGVPVTFYGDLQNQFVEANVGFDTICKVNSQLISNICTDALSAEKYYYFIRLMGRSASHVTLECSLQSHPNMVILGEEVASSKLTLHDITKRICNAVQSRAEKGKYHGVILLPEGLVESIPEVYALLQEIHVLLKNGVSTESISGQLSPWASALFESMPPFIKKQLLLSPESDQSAQLSQIETEKLLAQLVETEMEIQTKNGTYTGKKFNSICHFFGYQARGSVPSNFDCDYAYALGHGAYHLLAAGLNGYIATVTNLKNDVSRWRCGGAPLTAMMTIRRLLRGLGTPLIGKPSIHPVGVDLKGKSYGLLVQNAEKYLMDDLYSNPGPIQYKGPGSDSKTICLCVEDHDYMGRLKKLQSYLDKVKTSVRPGCSQEVLKAAVSAMAYVVEILSLMSPAGTGHAYHPLEDTYANM >Ma09_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5400630:5403407:1 gene:Ma09_g08220 transcript:Ma09_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPYSMDSQKVRLALEEKVIDYTSYHVNPLTGKNMDVSFFRTNPSAKLPIFQNGSHVIFRAIDIIQYIEKLSVSLSGEDNPISSKVMEWMEKIEDWSPKIFTLAHIPAKCRLFVSKFVRRVVIARMAQAPDLASVYHVKLREAYETEDKLKDPKNLKQSEEELSSLLDDAEAQLSVTTYLAGEYFTMADSMFVPILARIALLNLEEEYISCRPKIAAYYNLVKRRPSYKKVIGRYFSGWRKYRTLSKTSCFLCIRSMFRKY >Ma11_p03730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2777450:2784525:1 gene:Ma11_g03730 transcript:Ma11_t03730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGAAAEKAPAADAVATSQEEPTPSSSSSTKSPFDPCISAAAPAPAATSPGEILRALQVVERDSVAIAESYASLFSSLRIALSEVTSTSLENMQCFNDVVGRLQESALDAATKGNRYINSCLRLNEEMKSLESLALQLKILRKNVDNLDVAVNRVLRLP >Ma11_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2777450:2784455:1 gene:Ma11_g03730 transcript:Ma11_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGAAAEKAPAADAVATSQEEPTPSSSSSTKSPFDPCISAAAPAPAATSPGEILRALQVVERDSVAIAESYASLFSSLRIALSEVTSTSLENMQCFNDVVGRLQESALDAATKGNRYINSCLRLNEEMKSLESLALQLY >Ma05_p08750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6452666:6457422:1 gene:Ma05_g08750 transcript:Ma05_t08750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MNNDASGEQLGRRCKHNIDGLRSPSQRWTKKILSFSSFKERKVERCCSRRTGQSCGTDNINLATEIALHASNRPSRTVFPLSGDKARDCNNDVHVVKHYFPSPVVSWVEDASFSGQVSHVVVPESNNGLEPSHGDSSTSNPNQDVHISVKLKEEFLDLAKENTNKDLETCGILGAFLNHIFYITTLIIPKQESTSNSCQAINEEEIHAILDEMSLYPAGWIHTHPSQSCFLSSIDLHTQYSYQVMLPEAIAIVMAPTDPKSTCGIFRLTNPGGINVLKECKESGFHPHPSASDGSPIYESCSNIYENPNLRFEIIDLRSSS >Ma05_p08750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6453463:6457422:1 gene:Ma05_g08750 transcript:Ma05_t08750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MNNDASGEQLGRRCKHNIDGLRSPSQRWTKKILSFSSFKERKVERCCSRRTGQSCGTDNINLATEIALHASNRPSRTVFPLSGDKARDCNNDVHVVKHYFPSPVVSWVEDASFSGQVSHVVVPESNNGLEPSHGDSSTSNPNQDVHISVKLKEEFLDLAKENTNKDLETCGILGAFLKNHIFYITTLIIPKQESTSNSCQAINEEEIHAILDEMSLYPAGWIHTHPSQSCFLSSIDLHTQYSYQVMLPEAIAIVMAPTDPKSTCGIFRLTNPGGINVLKECKESGFHPHPSASDGSPIYESCSNIYENPNLRFEIIDLRSSS >Ma05_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6452668:6457422:1 gene:Ma05_g08750 transcript:Ma05_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MNNDASGEQLGRRCKHNIDGLRSPSQRWTKKILSFSSFKERKVERCCSRRTGQSCGTDNINLATEIALHASNRPSRTVFPLSGDKARDCNNDVHVVKHYFPSPVVSWVEDASFSGQVSHVVVPESNNGLEPSHGDSSTSNPNQDVHISVKLKEEFLDLAKENTNKDLETCGILGAFLKNHIFYITTLIIPKQESTSNSCQAINEEEIHAILDEMSLYPAGWIHTHPSQSCFLSSIDLHTQYSYQVMLPEAIAIVMAPTDPKSTCGIFRLTNPGGINVLKECKESGFHPHPSASDGSPIYESCSNIYENPNLRFEIIDLRSSS >Ma05_p08750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6452666:6457422:1 gene:Ma05_g08750 transcript:Ma05_t08750.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MNNDASGEQLGRRCKHNIDGLRSPSQRWTKKILSFSSFKERKVERCCSRRTGQSCGTDNINLATEIALHASNRPSRTVFPLSGDKARDCNNDVHVVKHYFPSPVVSWVEDASFSGQVSHVVVPESNNGLEPSHGDSSTSNPNQDVHISVKLKEEFLDLAKENTNKDLETCGILGAFLCQAINEEEIHAILDEMSLYPAGWIHTHPSQSCFLSSIDLHTQYSYQVMLPEAIAIVMAPTDPKSTCGIFRLTNPGGINVLKECKESGFHPHPSASDGSPIYESCSNIYENPNLRFEIIDLRSSS >Ma11_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12811149:12814358:1 gene:Ma11_g11350 transcript:Ma11_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRISPKESETALSALLSLLPHHSADLLSQVDLPLQVCMDQQLMKEFILCEYNRDADSYRSPWSNKYFPPLEDGPLPSPQLRKLEIEANEVFTVYRDQYYEGGVSSVYIWEDHNESFIACFLIKKDGSRTGHGRRGYLQEGSWDAVHVIEVGPEEEGTAHYRLTSTVMLSLTTEDKSSGTFNLSGSIRRQMSHDLSVAEGHLCNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPDGIQLRLPEN >Ma09_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8966980:8968990:-1 gene:Ma09_g13290 transcript:Ma09_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLAECDSRDAVRNTMLKHEEIFKQQVYELHRLYKDQKLRMAELRNNEFTETGSRIWSSASSTSNTSYNLQRCAARSNAEGRAHVKGFDLEQPAEECSSKGATSIDEETTVDEVELTLSIGCTAETKKKKKTKKKKKKKKKRAHWMDPRNAYTRCCSEAAPSGGIG >Ma03_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9604915:9608712:1 gene:Ma03_g12450 transcript:Ma03_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVTWVMDGSVESTIGTTGSLGSGVNVNSELDPKVGMIFHSEDQAYNFYNSYAKRKGFSVRKDHLSRRSDGRIRYRHYVCSNEGSRKEHPVSMTKKSRPIERTNCMARIEFKVNNDNLWIVNRFIDEHNHPLATPSNSHMLRSHRKKLPVQRSNISRSGIYFGAKYTQNDIQAEADYGEDAGLLLKNQSNCLTTRRLKDLETGDTQFLLDFLRTKQSEDPSFFYAIQLDEKERLTNCFWADMQSIVDYTYFGDAISFDTTYHIRGDDIPFAPFIGTNHHKQIVIFGAALLLDETLESFVWLFRTFLVAMSGRQPKTIFTDNCAAISEAIATALPDTCHRLCLWNLLQSVSTHLPCLDINFQREFENFIYDVGSEDDFHKEWDSLISSYGLANVSWFKDLYSVREKWGSVYLGNSFSATMMTRQWTEDMTNLFGNHFVRKLSLTKFIIQYLKSLIHLREKEILEDYDSTQSKPVLFVDIPMLIEASESYTRTIYVDFEHEYKSQLACLCEPVGVDGMRVTFRVYIPQKRCNGLVEFNPTETIVTCSCRKFESMGILCMHALKVLNNNNILSLPSKYILKRWTRFAKDGSVSSGQLATGGSGSQVSLTVRYSRVCRKALAVTLRSAVSKDALDVLEHGLDKIIAQMENVLHNATSSRQAEDVHVVDGIPRNTSGTTDICFSGFGFSPR >Ma04_p38700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36109494:36114708:-1 gene:Ma04_g38700 transcript:Ma04_t38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G20960) UniProtKB/Swiss-Prot;Acc:Q8GWP5] MANSALYFRAISSPTSSNLGADALRRRLESSSWSPGPRRAGGSAIVRVRCEKKDDAFFMRRCVELARKAVGCTSPNPMVGCVVVKDGEIVGRGFHPKAGQPHAEVFALRDAGILAENATAYVSLEPCNHHGRTPPCTEALIRAKVKQVVVGMVDPNPIVASKGVDRLRDSGIDVIVGVEEMLCQKLNEAYIHRTITGRPFVTLRYTLSFNGRIMTQLGKGAEGPGGYYSQLLQEYDGILIPNDLLSKISTLPTSHEIGANQPFHIVIAKGSGYSLCLPRLTAGSAAKIIVLAERHIKVEPEVEGIEMVVLEQITLSSILDYCGRRGLCSILLDFAGGSECLSELLEDAVSEHLIQKVVMEICSFWNIGEKTSELEFSYDSLKLKNLESRISNESVLVEGYL >Ma10_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8002812:8006001:-1 gene:Ma10_g02570 transcript:Ma10_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLVICTCTYIKMQFPAILERKTGFRGFFWKAARIGERLSPWVAFGCFAMGISIIIF >Ma06_p38210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37064605:37065116:1 gene:Ma06_g38210 transcript:Ma06_t38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKVACAVLVAAASATGALAAEAPAPGPASASFAVTPAVGAVIGASVLSFFAFYLQ >Ma01_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11897252:11897939:1 gene:Ma01_g16420 transcript:Ma01_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPIKFYRGINSYWRRRKYQKLERSSSPGHKKVTKLGAVRGTRRWFVRLRRSFRFRIRLPSPARLLVRLRDAYVDCMLAMAGKAPGLSTKSGPEVWSKRIPTSRSTKIKSVEFERRLLCEIYKSLVISGEL >Ma05_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:145931:146596:-1 gene:Ma05_g00200 transcript:Ma05_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVTILVGRKAGSTTTSGCRRSGRAAATATTGQQYCGSNCGLGKLMRKLRKQSKMLCMATRPTTFHCQYDPLSYSRNFDRNGFGTALDDDSNHFYYTFSSRFVNSSSRISSTGEATSNH >Ma09_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34647964:34649457:-1 gene:Ma09_g22740 transcript:Ma09_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHHCFSSGTRSQMPPAAGNNDGARALPLALLMRMAMRVSRARWFSFLRRVFRYQNGSRSDLVSNPFNARPWLALELAALVAQVVIITATMATSRKENPVWPLRIWLTGYNLGNLLSLPLLYWRYRHSTAGLNTDVEQQRSNDDSSSSHLMNKSRTFLELFFAMWFVMGNVWIFDSRHGSFDRAPKLHVLCVSILAWNAILYSFPFLLFLLLCCCVPFISNLIGYNISLASTERGASEDQIARLPRWRFKDVKPTADGVATENQECCICLAKYSDKEEVRQLPCLHVFHLNCVDQWLRIMSACPLCKQGLEN >Ma09_p22740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34647964:34649457:-1 gene:Ma09_g22740 transcript:Ma09_t22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHHCFSSGTRSQMPPAAGNNDGARALPLALLMRMAMRVSRARWFSFLRRVFRYQNGSRSDLVSNPFNARPWLALELAALVAQVVIITATMATSRKENPVWPLRIWLTGYNLGNLLSLPLLYWRYRHSTAGLNTDVEQQRSNDDSSSSSHLMNKSRTFLELFFAMWFVMGNVWIFDSRHGSFDRAPKLHVLCVSILAWNAILYSFPFLLFLLLCCCVPFISNLIGYNISLASTERGASEDQIARLPRWRFKDVKPTADGVATENQECCICLAKYSDKEEVRQLPCLHVFHLNCVDQWLRIMSACPLCKQGLEN >Ma09_p22740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34647964:34649457:-1 gene:Ma09_g22740 transcript:Ma09_t22740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHHCFSSGTRSQMPPAAGNNDGARALPLALLMRMAMRVSRARWFSFLRRVFRYQNGSRSDLVSNPFNARPWLALELAALVAQVVIITATMATSRKENPVWPLRIWLTGYNLGNLLSLPLLYWRYRHSTAGLNTDVEQQRSNDDSSSSSSHLMNKSRTFLELFFAMWFVMGNVWIFDSRHGSFDRAPKLHVLCVSILAWNAILYSFPFLLFLLLCCCVPFISNLIGYNISLASTERGASEDQIARLPRWRFKDVKPTADGVATENQECCICLAKYSDKEEVRQLPCLHVFHLNCVDQWLRIMSACPLCKQGLEN >Ma08_p32070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42775998:42779965:1 gene:Ma08_g32070 transcript:Ma08_t32070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRLDKVYITVQLPDARDVKVNLEPDGSFTFSATAGAGNNTYELQMDLYDKVNKEASKINIGVRSIFCVVEKAEKGWWKKLLRGDGKAPHYVKVDWDKWVDEDDDGPGDLDLGGMDFSNFGNMGGDAMDDDFEDSDDEAGQAEKTENVQKTGDVQTTGEASSEAKTETSASI >Ma08_p32070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42775998:42779965:1 gene:Ma08_g32070 transcript:Ma08_t32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRLDKVYITVQLPDARDVKVNLEPDGSFTFSATAGAGNNTYELQMDLYDKVNKEASKINIGVRSIFCVVEKAEKGWWKKLLRGDGKAPHYVKVDWDKWVDEDDDGPGDLDLGGMDFSNFGNMGGDAMDDDFEDSDDEGQAEKTENVQKTGDVQTTGEASSEAKTETSASI >Ma08_p29570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41124517:41130623:1 gene:Ma08_g29570 transcript:Ma08_t29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GR1 [Source:Projected from Arabidopsis thaliana (AT3G25530) UniProtKB/TrEMBL;Acc:A0A178VK60] MEVGFLGLGIMGKAMAVNLLRHGFGVTVWNRTLSKCQELVEQGASVGETPAAVINKCKYTIAMLSDPSAALSVVFDKDGVLEQICSGKGYVDMSTVDAETSSKISEAITKKGGHFLEAPVSGSKKPAEDGQLVILAAGEKALYDEMVPAFDVLGKKSFFLGEVGNGAKFKLVVNMIMGSMMNALSEGLCLADRSGLSQQTLLDVLDLGAIANPMFKLKGPAMIKGSYPPAFPLKHQQKDMRLALALGDENAVSMPIAAASNEAFKKARSLGLGDLDFSAVFEAVKSAKDSDSA >Ma04_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8517172:8518919:1 gene:Ma04_g11930 transcript:Ma04_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEYKSCKQPEVNYRKGLWSPDEDQKLRDYILMHGVSCWSAVPAKAGLRRNRKSCRLRWINYLRPGLKRGKLSLQEEETILKLQSKIGNKWSLIALHLPGRTDNEVKNYWNSYLKQKTSKPQESDTHSLKHSALNLSIPRLTKLEEQNDHILSCLEDSEQSVTPSTGDGSPKGMAADHLPKVLFADWFSFEQDNWESLFNLDDVRSSQVDDAIVLNGFKFSNTYNDF >Ma10_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28433693:28434259:-1 gene:Ma10_g16890 transcript:Ma10_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHSSSHLYLLLVLFPLLAAATALPVTSEFELGIQKRIHFRVYFHETFLGPDNTTVTVVNMSLPYTFGDVDIFDAVLRIGPSKWSTEVGRAQGVSFHVSQRDESSLIPLVLVFTAGNFCDSTLTVIGRMDASGKADQAIVGGTGVFQFASGNVVSKQVTSDVAGLVVAFVVYVMYHNDVRLTSIA >Ma04_p35940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34489786:34493075:1 gene:Ma04_g35940 transcript:Ma04_t35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGDSTSGSDGAAEPRRKSKKPKYSRFTQQELPACKPLLTPAIVVTAFAFIGIIFIPIGLLSLSASGQVVEIVYQYDTDCIPEQLQNDKVAFIQSSTTNKTCTRTLTVPKNMEGPVHIYYELDNFYQNHRRYVKSRSDKQLRSKASETETTNCAPEATTSDGSVIVPCGLIAWSLFNDTYSFAVNSKIIEVNKKNIAWQSDKEHKFGSDVYPKNFQMGGLIGGAKLNASIPLSEQEDLIVWMRTAALPRFRKLYGRIETDLAANEQVTVTIQNNYNTYSFEGKKNLVLSTTSWIGGKNDFLGTAYLTIGSLCLFLAMGFIILYLLKPRTLGDPSYLSWNRNPDGHY >Ma02_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29465736:29467538:-1 gene:Ma02_g25090 transcript:Ma02_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKPRLNVLLKQIATEAASSDMANFLRLLCRMISLLLMLTWPWWWFRLILLPVTAATSSEGNNVNSNAAPHSTYCRSYCGNITVDYPFALRTGCGHSGFRDLLYCINGVLMLHIPSGSYRVLDIDYAYRGVTLHDPGMSDCYSLLRSPGGLGNGFVVEPWRAPYLEPDPDNVFMLLGCRADSPLFQGFPSPEGKHLPCRNVSGMGCEEYYRCPAWDEGPSSRRPRGSASASAYGVVSPPECCALEFGAIRAINVSHLRCEGYSSAYSLAPLRAAGPGAWAYGIRVAYSLPADHQGFCGACQATGGVCGYDQGTDADICLCHHYNSTSNCDSAYAAATAESSSEPSIMPKPSLATLIGGFLLFRNILSST >Ma09_p11330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7659543:7665260:1 gene:Ma09_g11330 transcript:Ma09_t11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSIPLLVLCFSFTLIAAMAATASDNNTISGSRPSEISIGALFTFDSTIGRAAKLAIELAVDDVNKNSSVLAGTRLRLFTQDTNCSGFLGTIEALQLIEKNVVAMIGPQSSGIAHVISHAVNELHVPLLTFAATDPTLSPLQYPYLIRTTQNDDFQMKAIADIISNYGWREVIAIFVDDDYGRGGITALEDALAKKRSKISYKAPFSPNADTSVLNDLLVKVNLLESRVYVVHVNPDSGLMIFSVAKSLGMMGSGYVWIASDWLASVLDSTVPINPDTTDLIQGTIVLRQHTADSDLKRTFTSRWSNMVQNGTTTSSLNTYALYAYDSVWLLAHALDQFLYEGQKISFSDDPRLHDTNGSSLHLTALKYFDSGDKLLKQLLLTDFTGVTGHVKFNSDGNMIHPAYDILNILGPVPRRLGFWSNYSGLSVVAPEVLYGKPPNTSTSSQQLHSVIWPGDTTTRPRGWVFPNNGKPLRIGVPYRTSYKEFVTKDDGPDVVKGYCIDVFKAAVNLLPYPVPYSVILFGDGLKNPNYNDLVEKVSQNYFDAAVGDISIVTNRTRIVDFTQPYIESGLVIVAPVKERTSSPWAFLKPFTIQMWGVTGAFFLFVGAVVWILEHRTNTEFRGSPRQQLVTIFWFSFSTMFFAHRENTGSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSSGIQGLDSLISSSDPIGYQVGSFAKNYMMEELNIAESRLISLNNPEAYARALELGPKNGGVAAIVDELPYIEIFLSNNCKYTTVGQEFTKSGWGFAFPRDSPLAVDLSTAILTLSENGDLQRIHDKWLARTGCSSQDNEIDSNRLSLGSFWGLFLICGLACLLALIVFFMRIFCQYSRYSSQDDVGSIDPERSFRRPMRLTSIKDLISFVDKKEEEVKCAIKRKSSDKQQQSSQVTNEQSMSPA >Ma09_p02030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1496959:1503111:-1 gene:Ma09_g02030 transcript:Ma09_t02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAEGAAAAAGGGGGMSHKECVDGINKSLAHPTVKFLREKMENAGCPVWPRLLTAITCKGQSSAGGYASGRGITICCNHMTFQDEINQVIIHELIHAYDDCRAKNMEWTNCAHHACSEIRANHLSGDCHYKRELLRGFLKIRGHGQECVRRRALKSVQNNPYCSAVAAKDAIEAVWDICYNDTSPFDRAP >Ma03_p31020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33411793:33412503:-1 gene:Ma03_g31020 transcript:Ma03_t31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHLRKASLRSWKKGPARGKGGPQNASCQYRGVRQRTWGKWVAEIREPRKRTRLWLGSFPTAEEAALAYDEAARRLYGPDAYLNLPHLRAHGGKPPVRFEWFPSERDASVMPACGLLNLNAQHNIHAIHQRLQELNNPKPPPPPRCSSSSFALPPSSAVGAVEKPQIDLKEFLQQLGVLKPESKATREETDEMAIGSHPSDDFNWDTLLMEDGRWQLDDDVQQQQAGYPISIWDL >Ma07_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5758349:5759800:-1 gene:Ma07_g07740 transcript:Ma07_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAITLLKEVLHKAGVKIKVDDSEQRTPGWKFNFWEMKVRLLLSFAYLLYVGPHDVANWSVVVSRRDVPGKPGKDLGISMEPSVLVSHVKSRLEDIQASLLQRATSFRDSNFADVNSYEVLKEVITEGKWARGPWSASDAEELKVNE >Ma05_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3798123:3802210:1 gene:Ma05_g04970 transcript:Ma05_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLNSSKSLASLLPRSIHIPKHFCSSPASALPPSDDPNTADEPPVPSPAGTTDTDGSAHPLQRLVDAFSITSSKRVIDFLLRKQRQPKEALEFFNRFQVQAAVGSGFPDVDPFCIMLHILVRAGQLLNTRELMERSIRRGFIPRSSVVVDRLVETAKRCDSDPSTFDHVLGCYARTGRIEEALEAFQRMVENGIVPGIESRNHLLIAMLRSGSFGKAREFFEVMRAKGMTFDCYTLDIMMHVCIKGGDPKAAEVYFRELVDGGRLKPDALVYRTLIQSLCGQSDAKRACEFLSEMKGVGMVPSAFVYNLVIGACVKQGNLAEASKLKDEMLGSGLSMNLVVATSLLKGYCVVGDLDSALDLLASVLEQGIEPNNVTYCVLIQGCYRIGNAEKAYELYCQMKERGMIPNVFTVNSVIKCLLKANKWKEAFDLFDEAVGSGVPNVFTYNILMQWLGRVGRMKEACRLWAKMEEMGVEPNVVSYNQLLFGHCVRGDLELAANLYTQMSQTGIKPNVVTYTILIEGYMYKNDFNQAYDVLNTMQNMGIACNDYTYNIVINGLCKAGRMTEAKDMLHNFMEQGFIPNGMTYNSMVDGFIKKGMMNLAVSAYHEMLSYGISPSVITYTSFIVGYCKSNCTDLALKFLNEIRRKGLQLDIPMYSALISGLCKEGKMEAALDLFNDIYKVGLKPHCIIFNNLITGYKFLNSMEGAFELHKRMVTEGIPCDKVIYTNLIDGSLKVGKTAFALELYSEMIEQCHIPDEITFTALIHGLCLNGDIEGAHKVLDEMDKSDVRPCVKIYNKLISGYFRKGNLEEAFQLHDEMLDRGLVPDDTTYDILVSQKL >Ma05_p04970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3798123:3802210:1 gene:Ma05_g04970 transcript:Ma05_t04970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLNSSKSLASLLPRSIHIPKHFCSSPASALPPSDDPNTADEPPVPSPAGTTDTDGSAHPLQRLVDAFSITSSKRVIDFLLRKQRQPKEALEFFNRFQVQAAVGSGFPDVDPFCIMLHILVRAGQLLNTRELMERSIRRGFIPRSSVVVDRLVETAKRCDSDPSTFDHVLGCYARTGRIEEALEAFQRMVENGIVPGIESRNHLLIAMLRSGSFGKAREFFEVMRAKGMTFDCYTLDIMMHVCIKGGDPKAAEVYFRELVDGGRLKPDALVYRTLIQSLCGQSDAKRACEFLSEMKGVGMVPSAFVYNLVIGACVKQGNLAEASKLKDEMLGSGLSMNLVVATSLLKGYCVVGDLDSALDLLASVLEQGIEPNNVTYCVLIQGCYRIGNAEKAYELYCQMKERGMIPNVFTVNSVIKCLLKANKWKEAFDLFDEAVGSGVPNVFTYNILMQWLGRVGRMKEACRLWAKMEEMGVEPNVVSYNQLLFGHCVRGDLELAANLYTQMSQTGIKPNVVTYTILIEGYMYKNDFNQAYDVLNTMQNMGIACNDYTYNIVINGLCKAGRMTEAKDMLHNFMEQGFIPNGMTYNSMVDGFIKKGMMNLAVSAYHEMLSYGISPSVITYTSFIVGYCKSNCTDLALKFLNEIRRKGLQLDIPMYSALISGLCKEGKMEAALDLFNDIYKVGLKPHCIIFNNLITGYKFLNSMEGAFELHKRMVTEGIPCDKVIYTNLIDGSLKVGKTAFALELYSEMIEQCHIPDEITFTALIHGLCLNGDIEGAHKVLDEMDKSDVRPCVKIYNKLISGYFRKGNLEEAFQLHDEMLDRGLVPDDTTYDILVSQKL >Ma09_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6242981:6248738:-1 gene:Ma09_g09500 transcript:Ma09_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAFYRTPLRFRDSPNGTVFSFSTTFVFAFISELADLGGDGMTFLVSPTKDFSGALGNQYLGLFNSSSRGNSTNHVLAIELDTIGNPEFQDIDDNHVGININDMKSNESRTAGYYLNDTGSIENLSLSSGQTMQVWVDYDSHEMLLNVTLASIPMAKPHRPLLSAVVNLSSVLLETMYVGFSSSTGPWLTSHYVLGWSFKMNGVAQALDFSLLPSLPRAKSNHKLKVLLIGLPLASAGLAVIIVSIVRWRIKHAEVLEDWELEYGPHRFSYRDLFVATKGFKDKELLGIGGFGKVYKGVLQTSKSEIAVKRVSHESSQGMREFIAEIVSLGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKFLYGQDKPTLDWATRFRIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDHELNGRLGDFGLARLYDHGTDPHTTRIVGTMGYLAPELVRTGKATTITDVFAFGVFLLEVACGRRPVDSVASGEELILLDWVVENWRQGSILATRDPRLGQEYVVDEMELVLKLGFLCTHPLPAGRPSMRQVVEYLEGEAALSELSPTYLSFSVLAMLNNEGFDDYIMSYPSSGAPSSAISQARFCTTHMAAMFLQTLVSLLLLLFFHKKLAASGSESHEFIFNGFGGANLTLDGVASITSSGLLRITNATTQVKGHAFRPSPLRFSDQTTGKIFSFSTTFVFGFIPEFANLSGHGVVFLISPTKDLNGEALQVWIDYDAQEMMLNVTLASIQMAKPQKPLLSAIIDLSSVLTDPMYVGFSSSTGSLLTSHYILGWSFKMNGVAQALDYSLLPSLPRVRPKRGSKALTISLPLASAGLVLIVVGVVAFIVRWRIKYAEVREDWELEYGPHRFSYKDLYKATKGFKDKDLLGIGGFGKVYKGVLQTSKSEIAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKFLYGQDKPTLDWATRFRIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDHELNGRLGDFGLARLYDHGTVPLTTHVVGTMGYLAPELVRTGKAATITDVFAFGIFLLEVACGRRPVDSIASGEELILLDWVVENWRQGSILATRDPKLGDEYVVEEVELVLKLGLLCSHPLPAGRPSMRQVVEYLEGEAALPELSPTYLSFSVLAMLHNEGFDDYIMSYPSSVAISGAW >Ma08_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:46305:52399:1 gene:Ma08_g00060 transcript:Ma08_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNETIALKKIRLENEDEGVPSTAIREISLLKEMQHNNIVRLQDVVHSEKRIYLVFEYLDLDLKKHMDSCPELVKDPRLVKTYLYQILRGIAYCHSHRVLHRDLKPQNLLIDRQTNVLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSIGCIFAEMVNQRPLFPGDSEIDELFKIFRVLGTPNEETWPGVTSLPDFKSAFPKWLPKDLATAVPNLEATGIDLLSKMLRLDPSKRVTARQALEHEYFKDFRLVP >Ma08_p00060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:46305:52386:1 gene:Ma08_g00060 transcript:Ma08_t00060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNETIALKKIRLENEDEGVPSTAIREISLLKEMQHNNIVRLQDVVHSEKRIYLVFEYLDLDLKKHMDSCPELVKDPRLVKTYLYQILRGIAYCHSHRVLHRDLKPQNLLIDRQTNVLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSIGCIFAEMVNQRPLFPGDSEIDELFKIFRVLGTPNEETWPGVTSLPDFKSAFPKWLPKDLATAVPNLEATGIDLLSDLNPEC >Ma08_p00060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:46305:52399:1 gene:Ma08_g00060 transcript:Ma08_t00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRLTNETIALKKIRLENEDEGVPSTAIREISLLKEMQHNNIVRLQDVVHSEKRIYLVFEYLDLDLKKHMDSCPELVKDPRLVKTYLYQILRGIAYCHSHRVLHRDLKPQNLLIDRQTNVLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSIGCIFAEMVNQRPLFPGDSEIDELFKIFRVLGTPNEETWPGVTSLPDFKSAFPKWLPKDLATAVPNLEATGIDLLSKMLRLDPSKRVTARQALEHEYFKDFRLVP >Ma10_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9957113:9958431:-1 gene:Ma10_g02920 transcript:Ma10_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVLRQFMSTVQCILTVAKEAVSPQMVKFATGLSNESIVDLQGIVSIPKDPIKGTGLSKIFVVGYVVLFLPLISVSLLNLVQLDIYLLFLVKEHYIFMEGNFVPFQLHHMFLQVQFKLSAFQDVLTFVNLHDISSGV >Ma06_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2372409:2381765:1 gene:Ma06_g03190 transcript:Ma06_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELLGLSKFKLQLLALIAEARDIRRREQIEAEYTKKLQELQAEIASHEESQRRLEDKVKYVETEKDLLKKKEKELKETINSLLQSREAFLSHYEDSTYQLKQSIQKRDRKLVLLTEKIHTQIQLFDSMEKEAAAIKQVVNTVEDTVNGKEQEVARLKRKVDQISTLEKDFVEKILHLEKKLSNYQLELRRRDATINELEEKLEAAKFTNNFQPQIEEISR >Ma09_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3731037:3735212:1 gene:Ma09_g05800 transcript:Ma09_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISMRRFNSRKMTLIWSFVLLCFIVAAVQVRAQTTSNHGFINIDCGIPENSSYLDQSLGITYVSDAQFIDTGVNHDVLPAYVSDLAQRYLTVRSFPDGLRNCYTFKSMTPGLKYLIRATFLYGNYDFKNSLSIQFDLYLGVNLWKTITLTDPSSYFLTEAITEATADFISVCLVNTGRGTPFISGLDLRPMVASLYPLVNASRSLVLLDRFNMAPTGISIRYPLDPYDRYWFQYTTQPSWNEISTNSIVEYGVNDHFEIPSKVMQTAVYPVNSTKLELSFTPDSGDLNEFFAVMYFAELQQNASRQFSVSLNGALLNDANPFTPDFLTSDAIYNINPSAGYGDLNISLVATQRSTLPPLLNAVEVFSTMRNTNVATDGGDVDAMMAIKGFYQVKKNWMGDPCSPKAYTWDGLNCALNASGVPRLTTLNLSYGGLIGEIISSFANLTAIQYLDLSHNNLTGQIPAALAYVPSLKLLDLRNNQLSGPIPSALREKSRNKSITLRTDGNPNLCDDPTSCESKPTRRQKGKTAAIVISCVVSVVVLFAAVIVLCMMRKKQGLKSSVRGTTDKLHNDDELPLENRKFTYRQLQSITDNFERIIGKGGFGTVYYGHLEDDTEVAVKMLSQSSSQGTKEFIAEAQHLTRVHHKNLVSMVGYCMDGDHLALVYEFMSQGTLKDHIRGIGTAAPLSWGQRLQIALEAALGLEYLHTGCKPPLIHRDVKTTNILLNERLEAKISDFGLSRTFHSDGHSHVSTRIVGTMGYLDPEYFIKNRLSQKSDVYSFGVVLLELITGQPPIVCIPESTHVVEWVRRMLAKGSIEDVVDPSVRQENVVNSAWKVANVALACAAHASSKRPAMTDVVMHLKESLALYSDGDKIQFERISSEKLYMESSDTSQISAFDVHQFGNVWDSSEGPSAR >Ma10_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29980967:29981588:1 gene:Ma10_g19410 transcript:Ma10_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDMMDAARKRAQRRAVYLAKRRGVPQQSLQVTGARCRVYRDDGLYQAAQDQQGLFYTFVGEG >Ma05_p29730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40325517:40329785:-1 gene:Ma05_g29730 transcript:Ma05_t29730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRPLSVFKNSAGAAAIQPPPPAGPNSGYLLLQDEGAEPNPSCCWGLCEDTRVRELPFPQNRILTITYTEGTHTWQLPALFIPVLDKSLSSNHYYVIVAKGKKKGKAYTCSLEEDMTTCCFCRSVNDVKPREFDHRDIYQQVEIVCKRGRFTAQSVAPDGFAPWPLRSKYWELYASKPTDFDLTDAWGLDKALRARTPALELPISGAGGAGLVVGRWYAPCVFVKEGDSLRRQMERSAFYDITLEQRWEQVFACENLYGDRRTVEVKATVGAEGAVLGGVEATRDGAGGQDGVVWYKPLDLEGERVGLSSPVWERMRWEQGRGGWVGGEVKVERSEEYGGVSPWKKFGCYVLVERFVVRRMDGSSALIVDFKHTGTIQTKWE >Ma05_p29730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40325517:40329785:-1 gene:Ma05_g29730 transcript:Ma05_t29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRPLSVFKNSAGAAAIQPPPPAGPNSGYLLLQDEGAEPNPSCCWGLCEDTRVRELPFPQNRILTITYTEGTHTWQLPALFIPVLDKSLSSNHYYVIVAKGKKKGKAYTCSLEEDMTTCCFCRSVNDVKPREFDHRDIYQQVEIVCKRGRFTAQSVAPDGFAPWPLRSKYWELYASKPTDFDLTDAWGLDKALRARTPALELPISGAGGAGLVVGRWYAPCVFVKEGDSLRRQMERSAFYDITLEQRWEQVFACENLYGDRRTVEVKATVGAEGAVLGGVEATRDGAGGQDGVVWYKPLDLEGERVGLSSPVWERMRWEQGRGGWVGGEVKVERSEEYGGVSPWKKFGCYVLVERFVVRRMDGSSALIVDFKHTGTIQTKWE >Ma05_p29730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40325517:40327906:-1 gene:Ma05_g29730 transcript:Ma05_t29730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRPLSVFKNSAGAAAIQPPPPAGPNSGYLLLQDEGAEPNPSCCWGLCEDTRVRELPFPQNRILTITYTEGTHTWQLPALFIPVLDKSLSSNHYYVIVAKGKKKGKAYTCSLEEDMTTCCFCRSVNDVKPREFDHRDIYQQVEIVCKRGRFTAQSVAPDGFAPWPLRSKYWELYASKPTDFDLTDAWGLDKALRARTPALELPISGAGGAGLVVGRWYAPCVFVKEGDSLRRQMERSAFYDITLEQRWEQVFACENLYGDRRTVEVKATVGAEGAVLGGVEATRDGAGGQDGVVWYKPLDLEGERVGLSSPVWERMRWEQGRGGWVGGEVKVERSEEYGGVSPWKKFGCYVLVERFVVRRMDGSSALIVDFKHTGTIQTKWE >Ma05_p29730.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40325517:40329785:-1 gene:Ma05_g29730 transcript:Ma05_t29730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRPLSVFKNSAGAAAIQPPPPAGPNSGYLLLQDEGAEPNPSCCWGLCEDTRVRELPFPQNRILTITYTEGTHTWQLPALFIPVLDKSLSSNHYYVIVAKGKKKGKAYTCSLEEDMTTCCFCRSVNDVKPREFDHRDIYQQVEIVCKRGRFTAQSVAPDGFAPWPLRSKYWELYASKPTDFDLTDAWGLDKALRARTPALELPISGAGGAGLVVGRWYAPCVFVKEGDSLRRQMERSAFYDITLEQRWEQVFACENLYGDRRTVEVKATVGAEGAVLGGVEATRDGAGGQDGVVWYKPLDLEGERVGLSSPVWERMRWEQGRGGWVGGEVKVERSEEYGGVSPWKKFGCYVLVERFVVRRMDGSSALIVDFKHTGTIQTKWE >Ma05_p29730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40325517:40329785:-1 gene:Ma05_g29730 transcript:Ma05_t29730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRPLSVFKNSAGAAAIQPPPPAGPNSGYLLLQDEGAEPNPSCCWGLCEDTRVRELPFPQNRILTITYTEGTHTWQLPALFIPVLDKSLSSNHYYVIVAKGKKKGKAYTCSLEEDMTTCCFCRSVNDVKPREFDHRDIYQQVEIVCKRGRFTAQSVAPDGFAPWPLRSKYWELYASKPTDFDLTDAWGLDKALRARTPALELPISGAGGAGLVVGRWYAPCVFVKEGDSLRRQMERSAFYDITLEQRWEQVFACENLYGDRRTVEVKATVGAEGAVLGGVEATRDGAGGQDGVVWYKPLDLEGERVGLSSPVWERMRWEQGRGGWVGGEVKVERSEEYGGVSPWKKFGCYVLVERFVVRRMDGSSALIVDFKHTGTIQTKWE >Ma05_p29730.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40325517:40329785:-1 gene:Ma05_g29730 transcript:Ma05_t29730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRPLSVFKNSAGAAAIQPPPPAGPNSGYLLLQDEGAEPNPSCCWGLCEDTRVRELPFPQNRILTITYTEGTHTWQLPALFIPVLDKSLSSNHYYVIVAKGKKKGKAYTCSLEEDMTTCCFCRSVNDVKPREFDHRDIYQQVEIVCKRGRFTAQSVAPDGFAPWPLRSKYWELYASKPTDFDLTDAWGLDKALRARTPALELPISGAGGAGLVVGRWYAPCVFVKEGDSLRRQMERSAFYDITLEQRWEQVFACENLYGDRRTVEVKATVGAEGAVLGGVEATRDGAGGQDGVVWYKPLDLEGERVGLSSPVWERMRWEQGRGGWVGGEVKVERSEEYGGVSPWKKFGCYVLVERFVVRRMDGSSALIVDFKHTGTIQTKWE >Ma11_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22815435:22820000:-1 gene:Ma11_g17520 transcript:Ma11_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSLSASLPRAISTVRCRVVAVVGGRGGPIARPFGSVAAPTTTVTPPLFLPLLSRRSLARTLSSSRTDEAVSMPTDGGAVADADMNAVQRRLMFEDECILVDEHDNVIGHDSKYNCHLMEKIESENLLHRAFSVFLVNSKFELLLQQRSATKVTFPLVWTNTCCSHPLYRDSELIEENFLGVRNAAQRKLLDELGIPPEDLPVDEFIPLGRILYKAASDGKWGEHELDYLLFIVRDVNLHPNPDEVADVKYVNRDQLKELLRKADAGEDDIKLSPWFRLVVDNFLPKWWDHVEMGTLQETADMETIHKLT >Ma09_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1204551:1204961:-1 gene:Ma09_g01630 transcript:Ma09_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDQSRVHPFRRAQTSTKTKERKMRRSFFFLLCASVLLADVLPWDVSGAAARSTCNETQGRGCRVEEEEEDVEFELDSDINRRLLAGGNRYIVNGALAPDRAVCGSSNGRSYNCNGKSGQTGGGRECGRDYFRC >Ma08_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15147771:15149411:-1 gene:Ma08_g15130 transcript:Ma08_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAQALMSKRSTASRFRRVCVFCGSSPGRKPSYQLAAIQLGHELVRRNIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRTLMPREITGDTVGEVRAVSGMHQRKAEMASQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYDSLLSFIDKAVDEGFIAPAARHIIISAQTSHELLSELEDYQPMHDGVAPQLSWERERLGQSPKSNIGR >Ma06_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8029435:8030208:1 gene:Ma06_g11500 transcript:Ma06_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDPPPDDPKDRRKRKAGGPAAENSPGAGSARSNPGQRRVVDDDSVALLRGVLEFRHRTGLLPTKSNMPAFYESVSRLLRAPLTKDQVYNKLRHLRHKFSQTAASGHGPHDGILHELAAKIWPADEEKMDKKEEDKKQQQENDTDDDEHEEQAESQDAEMEREDEHEEKEGRDEEEQRGGCESYPYLAHAAAEHWKAHSLSNASLEVGLKLLNPSKAKALEGKWKRLLDDEMKFQADWFKACRDIFALLNESHKGM >Ma04_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4990283:4993460:1 gene:Ma04_g06850 transcript:Ma04_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MATGGNLKGVAIISGGDAVRGSLHFIHDTSTGHTHVRGRISGLAPGLHGFHIHSFGDTTNGCNSTGPHFNPLNKSHGAPRDEERHAGDLGNIVANQDGVAEVYLKDLQIPLCGPNSILGRAVVVHADPDDLGRGGHQLSKSTGNAGARIGCGIIGLQSAV >Ma04_p06850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4990283:4993460:1 gene:Ma04_g06850 transcript:Ma04_t06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MATGGNLKGVAIISGGDAVRGSLHFIHDTSTGHTHVRGRISGLAPGLHGFHIHSFGDTTNGCNSTGPHFNPLNKSHGAPRDEERHAGDLGNIVANQDGVAEVYLKDLQIPLCGPNSILGRAVVVHADPDDLGRGGHQLSKSTGNAGARIGCGIIGLQSAV >Ma07_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28932749:28936964:-1 gene:Ma07_g20930 transcript:Ma07_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPADVFVPPTKCLPKSPFPPPPLSMSSSGRMTRLLIPLAVLSYLLSLPVLGLAVWLLATRDYNCEDLMQAPQIRTTIGVGLILVFAISNFVVYFGPRLLMPCHVILSVVLVVMLSSGVSLVGLYKMETRGLPGTPMWLRSRVMNVGTWVEIKNCLYDDRICFDMEYRSSQFTSGDFTMMKLSAVESGCCKPPEICGMEYVNATYWTSATRKDANDKRSVRDPTPYGSYDDCHVWSNDSTVLCYNCESCKSGFVRVISHRWRKVGVFLMVMSILFVIVHTVRFTVLMRCECLMESKGGKKKKSSSSNSLDYEVPLGYSIEDIRPHGGIKKFQSAAYYNCVKKPS >Ma06_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2453042:2456048:1 gene:Ma06_g03330 transcript:Ma06_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MAISANRPPNSLLFSDHYHFLRLLSNPTRPNYLNLHSGGLPNPHPLSSHDSQHLSALSPAPAPASAYPDAGIRRLCIRGDLEGALELLDSAEGRRLDDDAYVALLRLCEWKRAVDEGFRVYSHISFSSSITCLSTLLGNALLSMFVRFGDLLSAWSVFGKMVERDVFSWNVMIGGYAKSGFLDEALDLYHRMLWSGARPDLYTFPCVLRSCGGVTDLIRGREVHAHVVRFGFSSEVDVLNALITMYAKCGYCATARNVFDGMPRRDCISWNAMIAGYFENENCAEGLELFLTMRNLTLEPDVMTMTSVISASGLLPDSEFGKGIHGYAIRMNFLTDVSVHNSLIQMYTTLGDLEEAEKIFLRQESKDVVSWTAMISGYEKNGSPDKALEVFEQMGANDVVPDEVTIASVLSSCASLGRLDSGTKVHELARNIGITPCTMVGNALLDMYSKSRCIDKALEVFRQMPEKDVVTWSSVISGLRINRRSFEALSYLRQMHVDVKPNSITFIAALSACAAVGALMCGKEIHAQALRSGLGYEGCLPNALLDLYVKCGRMEYAWTQFNIFKEKDIVSWNIMLTGYAGKGRGDLAVSLFNQMIEASVHPDEVTMVSLLCACSRSGMVSQGWDYFDSMKRKYSITPNLKHYACMVDLLGRAGYLGQAYQFINDMPIEPDAAIWGALLNGCRIHHQVELGEYAAKHVFELDDRSVGYYVLLCNLYADSGRWDQLARARKVMRERGLEMDPGCSWVEVKGVVHAFLSSDESHPQIKEIYAMLKGLYDRIKAAGFTLPEDQSIAQMEASKSDIFCGHSERLAVAFGLINTTPGMPIWVTKNLYMCQNCHSILKLISKIVRREITVRDTEQFHHFKDGSCSCRDEGYWGGSMR >Ma05_p26160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37870115:37876779:1 gene:Ma05_g26160 transcript:Ma05_t26160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTVLYVRLPPVQRLVEIKAVAEQLHFKTSTLLLHGGKVVEAIAWFNKHIASYRQLVGSTKNSFLHWDWLSRQFLVFAELLETSTVAIPSTLPSHFGTSENPLTEWEVQPAYYYQLAASYLREKRYCLDSSLSMTDSASANTLGKNPESVLPSVFVGQSARLLEQGDTIEVLPLSDAEYINYAITEAQRFQDSYEIIALFKKAYESFNSLKAPRLASHCSTRMAKEYFIAEDFNNAKLHFDGVSSLYRQEGWVTLLWESLGYLRECSRRFGSVKDFIEYSLEMASLPIFSAGEVETPNSKREYGPAGLPTLSRRESVQNEVFGLLRGENILPLTDGGCSLIITEEQPVRVDVDVISPLRMALLACVAFHDQSVKPGSPTMMTLSLLSQLPCPVEVDRLEIEFNQPKCNFIIVNAVKDLSTAQLDMDSQDVRVENAPSLILPTNKWLRLTYEVKSGQSGKLECLSITAKIGKSFMICCQAESPASMEELPFWKFEDQVETFPTKDPGLTYSGLKVIQVEEPEPQVDLILGASSPALVGETFVVPLTIKSNGHEVYSGELKINLVDARGGGLLMSPREAEPFSSGNHHVELLSISGTGVEDESQTQFDNIRKIQQSFGVVSVPVLRVGDSWSCKLEIKWHRPKSVMLYASLGYSPNSTEAASQRVNIHRSLQIEGKIPISISHCFMMPFRREPLLLSKVKSLPGIEQKVSLALNETSVLIVTAQNCSEVPLRVISLSIRSDGDEDSRACSVQHVGGIPADNAPLVPGEEFKGIFSVTSKVDSPNLEVGSVCLVWKRDLKLGDFEDSGVVTEQKLPSVIVEQPPLIVSFDCPPHAILGVPFLFHIRIHNQTNLLQEIKYSLGDCQSFVFSGPHDNAGFVLPKSEYIMSYKIVPLCSGLQQLPQVSITSVRYSAALNPSAAAATIFVYPSEPEFIVGAKKQETILV >Ma05_p26160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37866009:37876779:1 gene:Ma05_g26160 transcript:Ma05_t26160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYPEELRTPPISLVSVVGCPELHQTISSFLHAEQPPINTLALPDFSKISVLARKHKDPLASPQPVAGILKRDWLMKHRTRVAAAVAALFRADYVTGDPAQWLQVCTDLENLKAAVHGRSIRLIVILVQTNESDVSEDLKIALRKRAEIDTKYLITFLQNDASELRQSLTRLASIFAELCNTYYREEGRRIRTRIEKRTFNSVELNIRYCFKVAVYAEFRRDWAEALRFYEEAYRALREMIATSTRLPPVQRLVEIKAVAEQLHFKTSTLLLHGGKVVEAIAWFNKHIASYRQLVGSTKNSFLHWDWLSRQFLVFAELLETSTVAIPSTLPSHFGTSENPLTEWEVQPAYYYQLAASYLREKRYCLDSSLSMTDSASANTLGKNPESVLPSVFVGQSARLLEQGDTIEVLPLSDAEYINYAITEAQRFQDSYEIIALFKKAYESFNSLKAPRLASHCSTRMAKEYFIAEDFNNAKLHFDGVSSLYRQEGWVTLLWESLGYLRECSRRFGSVKDFIEYSLEMASLPIFSAGEVETPNSKREYGPAGLPTLSRRESVQNEVFGLLRGENILPLTDGGCSLIITEEQPVRVDVDVISPLRMALLACVAFHDQSVKPGSPTMMTLSLLSQLPCPVEVDRLEIEFNQPKCNFIIVNAVKDLSTAQLDMDSQDVRVENAPSLILPTNKWLRLTYEVKSGQSGKLECLSITAKIGKSFMICCQAESPASMEELPFWKFEDQVETFPTKDPGLTYSGLKVIQVEEPEPQVDLILGASSPALVGETFVVPLTIKSNGHEVYSGELKINLVDARGGGLLMSPREAEPFSSGNHHVELLSISGTGVEDESQTQFDNIRKIQQSFGVVSVPVLRVGDSWSCKLEIKWHRPKSVMLYASLGYSPNSTEAASQRVNIHRSLQIEGKIPISISHCFMMPFRREPLLLSKVKSLPGIEQKVSLALNETSVLIVTAQNCSEVPLRVISLSIRSDGDEDSRACSVQHVGGIPADNAPLVPGEEFKGIFSVTSKVDSPNLEVGSVCLVWKRDLKLGDFEDSGVVTEQKLPSVIVEQPPLIVSFDCPPHAILGVPFLFHIRIHNQTNLLQEIKYSLGDCQSFVFSGPHDNAGFVLPKSEYIMSYKIVPLCSGLQQLPQVSITSVRYSAALNPSAAAATIFVYPSEPEFIVGAKKQETILV >Ma05_p26160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37865951:37876779:1 gene:Ma05_g26160 transcript:Ma05_t26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYPEELRTPPISLVSVVGCPELHQTISSFLHAEQPPINTLALPDFSKISVLARKHKDPLASPQPVAGILKRDWLMKHRTRVAAAVAALFRADYVTGDPAQWLQVCTDLENLKAAVHGRSIRLIVILVQTNESEDVSEDLKIALRKRAEIDTKYLITFLQNDASELRQSLTRLASIFAELCNTYYREEGRRIRTRIEKRTFNSVELNIRYCFKVAVYAEFRRDWAEALRFYEEAYRALREMIATSTRLPPVQRLVEIKAVAEQLHFKTSTLLLHGGKVVEAIAWFNKHIASYRQLVGSTKNSFLHWDWLSRQFLVFAELLETSTVAIPSTLPSHFGTSENPLTEWEVQPAYYYQLAASYLREKRYCLDSSLSMTDSASANTLGKNPESVLPSVFVGQSARLLEQGDTIEVLPLSDAEYINYAITEAQRFQDSYEIIALFKKAYESFNSLKAPRLASHCSTRMAKEYFIAEDFNNAKLHFDGVSSLYRQEGWVTLLWESLGYLRECSRRFGSVKDFIEYSLEMASLPIFSAGEVETPNSKREYGPAGLPTLSRRESVQNEVFGLLRGENILPLTDGGCSLIITEEQPVRVDVDVISPLRMALLACVAFHDQSVKPGSPTMMTLSLLSQLPCPVEVDRLEIEFNQPKCNFIIVNAVKDLSTAQLDMDSQDVRVENAPSLILPTNKWLRLTYEVKSGQSGKLECLSITAKIGKSFMICCQAESPASMEELPFWKFEDQVETFPTKDPGLTYSGLKVIQVEEPEPQVDLILGASSPALVGETFVVPLTIKSNGHEVYSGELKINLVDARGGGLLMSPREAEPFSSGNHHVELLSISGTGVEDESQTQFDNIRKIQQSFGVVSVPVLRVGDSWSCKLEIKWHRPKSVMLYASLGYSPNSTEAASQRVNIHRSLQIEGKIPISISHCFMMPFRREPLLLSKVKSLPGIEQKVSLALNETSVLIVTAQNCSEVPLRVISLSIRSDGDEDSRACSVQHVGGIPADNAPLVPGEEFKGIFSVTSKVDSPNLEVGSVCLVWKRDLKLGDFEDSGVVTEQKLPSVIVEQPPLIVSFDCPPHAILGVPFLFHIRIHNQTNLLQEIKYSLGDCQSFVFSGPHDNAGFVLPKSEYIMSYKIVPLCSGLQQLPQVSITSVRYSAALNPSAAAATIFVYPSEPEFIVGAKKQETILV >Ma11_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22658306:22662520:-1 gene:Ma11_g17320 transcript:Ma11_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVWEENLIHAFTPATQLILPRFPPTDKCRSPPSSSSEAADPVVLADATDVSHLGYLGSATARKVIPFVAGTAAKRQSVQLERSPFESPQSCFSYHQSRFNLTGAFHMVLDECQKNFGNSWRAVKEDTTQAWPYLTEGLTKFQDPAEADKLFKIQRDLDQTKIISSRQFSALAQGEELDSLVEKSSDLRVKKKKSRLLRSTVNLNAALDGLQP >Ma08_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15738216:15748589:-1 gene:Ma08_g15550 transcript:Ma08_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDSTNDGADQVLTEERGYTRLLTLNRPRQLNALSFPMIMQLLNNLIAYEKDPEVKLLILKGRGRAFCAGGDVAAISRSVTEGHWTSGAQIFWNEFILNYIIATYSKPQVSILNGIVMGGGAGLSIHGRFRVATEKTVFAMPETSLGLFPDIGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSMKLTLLEDTLAKVETSDHFAICAIIDQFSRRVPLKESSAFNGFDVINKCFSKETVEEILSALELETVDAANEWIVVAIRSLKKASPISLKITLRAIREGRVQRVGQCLMKDYRLCCHILRKEASNDFFEGCRAILVDKDRNPKWDPCSLDLVDVKVVDRYFSEVDDACWEDLKLPVRHASKL >Ma06_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10752763:10759789:1 gene:Ma06_g15860 transcript:Ma06_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MASPSRPTRVSSPLLLGLGFLIALIAFALQFYIRKHLRPRLWTVEELSLYNGTEDGLPILLGILGSVFDVTKGKTHYGPGGGYHHFSGRDASRAFVSGNFTGDGLTDSLHGLSTMEVKSVVDWRKFYMERYIFAGKLVGRYYDSQGNPTKYLKGVESKAKRGAQLLEKQKIEEAKIPSCNSKWSEQEGGEVWCETGYPRLVKRPGDIALTGKISQRCACFKEEELGRPGLEVYKDCDYLSKSCRV >Ma10_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1651242:1652705:-1 gene:Ma10_g00430 transcript:Ma10_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKRFSGQSCAHQLGLVYEYASRGSLRDHLSDKTGNSQTLSWRERIRIAVEAAQGLEYLQKGCVPPIIHRDVKTNNILLTHDFEAKVADFGLSKPFLTDAQTHVSTDVVAGTPGYIDPEYLTEKSDV >Ma07_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2210006:2215414:-1 gene:Ma07_g02810 transcript:Ma07_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAEVVQAAEAKACCAHTGPGYASPIEAMAGPREALIYVTCVYNGTGIEKPDYLATVDVDPNSPSYSKVIHRLPMPYVGDELHHSGWNSCSSCHGDPSAVRKFLVLPSLLSGRVYAVNTAKSPRAPSLHKVVEPEDIVQKTELAYPHTSHCLASGEIMISCLGDKEGNAKGNGFLLLDSDFNVKGRWEKPGHAPIFGYDFWYQPRHKTMISSSWGAPSAFSKGFNLQHVSDGLYGRHLNVYSWPDGEIKQTLDLGNSGLLPLETRFLHDPSKDTGFVGCALSSNMVRFFKTSDGSWNHEVAISVTPLKVQNWILPEMPGLITDFLISLDDRYLYFVNWLHGDIRQYNIENPAKPVLAGQVWVGGLLQKGSDVVYVSEDGKESQFDVPEIKGNRLRGGPQMIQLSLDGKRLYVTNSLFSAWDNQFYGPDLTKKGSHMLQIDVNTEQGGLTVNPNFFVDFGLEPEGPALAHEMRYPGGDCTSDIWI >Ma02_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18523038:18524864:-1 gene:Ma02_g08060 transcript:Ma02_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESAVLSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTASKVDETVSRAAAEGGLPMSGHRSAQDFVLSLRRPRSVIILVKAGAPVDQTIAALSHFMEPGDAIIDGGNEWYENTERRIREAAARGILYLGMGVSGGEDGARNGPSLMPGGSLQAYQNVEDILTRVAAQVDDGPCVTFVGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLRIVGGLSNPELAETFAEWNRGELESFLIEITADIFGVRDEHGDGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKDEREAAAKALDQAGIGSGDFISGRSVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSAEKGWNLNLGELARIWKGGCIIRARFLDRIKKAYERNRGLANLIVDPEFAREMVQRQAAWRRVVGLAIEAGISTPGMCASLAYFDTYRRARLPANLVQAQRDYFGAHTYERVDRPGSFHTEWSKIARQSKTGAGILN >Ma09_p31350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41106301:41114573:1 gene:Ma09_g31350 transcript:Ma09_t31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMVVGSEVGRRGTPSPPPPPQALLERLKDYGQEDAFALWDELSPEERDLLVKDIESLDLPRVDRIIRCSLGSQGAYLPAVEPVPESSVSTVEVRTLEDRERWWKRGLKAISEGKLAVVLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQIQAERILRLQRLAAQSNDAGFVPPIPWYIMTSPFTDEATRRFFERHKNFGLEVDQVTFFQQGTLPCVSSDGRFIMETPYKVSKAPDGNGGLYSALKLSKLLEDMAMRGVRYVDCYGVDNALVRVADPTFLGYFIDKGVATAAKVVRKAYPQEKVGVFVQRGRGGPLTVVEYSEMDASMTTEINQSTGRLRYCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGYTMGLKLEQFVFDAFTYAPSMALFEVLREEEFAPVKNANGASYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYLTGVEISPLCSYAGENLEGLCRGRTFHAPCEISF >Ma09_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21599052:21600910:-1 gene:Ma09_g19240 transcript:Ma09_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALLLFSVVVVIVAVLASLSSPSEARAFFVFGDSLVDNGNNNFLATTARADSPPYGIDTPSQQPTGRFSNGLNIPDILSEHLGAEPTLPYLSPDLQGERLLVGANFASAGIGILNDTGIQFINIIRINRQLQHFEEYQERLRAMIGQSQAQKLVNQALFLITLGGNDFVNNYYLIPFSVRSRQFSLPDYVDYILFEYKKILTRLHEMGGRRALVTGTGPLGCVPAELALRSLDGECDPELQRAASLFNSQLFQVLQELNTQFGADVFISANAFRMHMNYVTNPEAFGFTTSRIACCGQGPYNGLGLCTVASNLCEDRSKYAFWDAFHPSEKACRIVVSHFMDGSSEYMNPMNLSTILAMDAMI >Ma07_p24080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31547014:31548991:1 gene:Ma07_g24080 transcript:Ma07_t24080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTLRLGGIGQLFPEFLPDARVSRVELICPQPRRASKATSSVLDWVGDFGSKAKGILPALQGDHMLNILDTLLGKDESEEGLDSSKQPGFFCGSPPVRTNNPVVWDALFVEENLSFISSPDDIYDETRTPSRGERNSHSCGSSFVAKLESRTEQFARGDPQDRRRVVSALS >Ma07_p24080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31547014:31548991:1 gene:Ma07_g24080 transcript:Ma07_t24080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTLRLGGIGQLFPEFLPDARVSRVELICPQPRRASKATSSVLDWVGDFGSKAKGILPALQGDHMLNILDTLLGKDESEEGLDSSKQPGFFCGSPPVRTNNPVVWDALFVEENLSFISSPDDIYDETRTPSRGERNSHSCGSSFVAKLESRTEQFARGDPQDRRRVVSALS >Ma07_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31547014:31548991:1 gene:Ma07_g24080 transcript:Ma07_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTLRLGGIGQLFPEFLPDARVSRVELICPQPRRASKATSSVLDWVGDFGSKAKGILPALQGDHMLNILDTLLGKDESEEGLDSSKQPGFFCGSPPVRTNNPVVWDALFVEENLSFISSPDDIYDETRTPSRGERNSHSCGSSFVAKLESRTEQFARGDPQDRRRVVSALS >Ma03_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5361411:5366436:-1 gene:Ma03_g07630 transcript:Ma03_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MAAPSGSRKKVQRKFKLRGFTLKVDALEETLAYLARFPDAEDGYLDLLIDDIDKQSLKSSILDREAIRRVVSLLLNADAAVDPSAASTSSSFALRVIDAFVIPRFRYDPIKNVFYEHTGKLPIHGDAGDKAALYRDRYQLLLQRIARDKFFSKPVFDTKMTESESCEITPIQSLIGCTGRRWIMGVISQLEEGQFYLEDLSAAVPIDLSNSKITSGFFVENTVVVVEGELLSNGIFQVNTCGFPPMEDRETSLALHMGLDFFGGDVLSTEENFRLLGLEKKAVNDMFVVLSDVWLDNEETMEKLAVVFDGYESVEVVPSLFILMGNFCSRPCNLAFYSYSTLRMQFAKLGEMIASHPRLREQSRFLFIPGPDDAGPSKVLPRCALPKYLTEELQKHIPGAIFRSNPCRIKFYTQEIVIFRQDLLYRMRRSCLIPPSTAETSDYFQHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSNDNTFAAYRPCTQEVELSALES >Ma04_p30400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31104239:31110883:-1 gene:Ma04_g30400 transcript:Ma04_t30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKSSFSGSTTMTLKDFHGGSIPSQLPLPSAPGSSASARPLDRPAAWGTTAAAASGRSDHHHHLLRPRPGSAGAASASARGLDERPSALLPHPPHIGRHFDEDERKPFDASSAPRRTPVSPDNTLRSPPPSRSDPKRPISSPVSTLPAPAPAPAPASAFAPVSSLPSPSGNSGSTAWGMRKEVGSEPLPPLPAQPTAPMWSASKLAQASAVEKVSSGRWQLRTPEVEIIRSQETENLDRRFEETARIVVGIDPDRETERPRSVSSVVAYADVKERIIQGSYTDRAWDQERVRSPIHPEMKERNVAGFSYEGTRPASSDGRFGGSKLYQQGGVEVSERPKLNLLPRRKPQESPDIQARDFDDKQVYQAPVSLVQVQNIHETNVSMNLPTQGSVGADEGSRVIERPKLILKPRTQPIELSDGNADKERQTVFGGARPRELVLKERGINVAANDVDMNTPPNRARNDLPRTDLKIEPNVTIQPGDRAERLPVEQRTGKDLERKGYQPDTEKVDLQKASWRNDNRKNTRGNEKPLEQPRADTDTWRKPIEQPKPDIPAPRFGKAASALELAQAFSRSVSDARPENRFTSQRSISGQSLRPFSRLTDTREVYSGPSQRQINGY >Ma06_p29480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30844360:30848130:-1 gene:Ma06_g29480 transcript:Ma06_t29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTMHAVQYDGYGGGAAGLKHVEIQVPSPKKDEVLLRVEAASINQIDWKIQKGVMRPLMPPKFPFVPVTDVAGEVVEVGPGVHSFKPGDKVVSMLNFRNAGGLAEYAVASVNITVDRPPEVSAAEGASLPIAALTALQALRDGGTKFDGTGQPGNVLITAASSGVGSFAVQLAKLGNLHVTATCGARNVDLVKRLGADEVLDYKTPEGKSLSSPSGRKYDVVIHCTVGISWSTFEPNLAANGVVINLTPTPWTWFHSVVKRLTFAKKKLVPLLIFVHDKEDLRFLVQLVRDGKVTTVVDSRHQLSEAAAAWAKSMEGHATGKIIVEMGGEEAMAPVVAG >Ma10_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27249387:27251046:1 gene:Ma10_g15000 transcript:Ma10_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMVWSRENAVDGCLDTLKPLSRDSGRRRRRRRRNDGHPSAEPASDEFVSALAAGMGAKLIVQVSPEASQSTGALAAAARQTGGRLVCIIPEEVSLAPTKDVVEESGVDDAVEFKVGDPYHEHIGCSLVGCKSDPYAGLLELLRFNPRSSVAIANCLRGGRRGR >Ma09_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6438801:6440660:-1 gene:Ma09_g09780 transcript:Ma09_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSILLSFSLCLLLFCHISRAQFGLGQQGAGEPWVSARRYSRVSQCRIEKLSTLELTRRVPSEAGYTEYFDQYNEQLQCVGVAACRHTIQPRGLLLPSFSSAPRLVYIVQGRGIIGTVFPGCPETFQSFQQTEQQWEQTAGGCQRFRDEHQRIHYVREGDIIALPAGVSYWGYNNGEVAVVAITTFDTSSSANQLDRQHREFLLAGRERLVEQGSQIEVRLQQIKGNNLLSGFELDPLAEALGVDRELVRKIQNPDDRRGEIVLVTSGLQVLRASRQSEELIRQNIGDPLRADYFNPRAGRITTLNSQKLPILRFVQMSAVRGLLRPNAIRSPHWNVNAHSIVYALRGYSRVQVVGHRGQTVFVGEFRQGQLLVVPQYFAMMFQAQRESFEWVSIKTNDNAMVNHFVGKTSALRGMPVEVLMNSYCISREEAMQLKFNRGNELALFTSKIEREAIRTSA >Ma06_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10939070:10945968:-1 gene:Ma06_g16160 transcript:Ma06_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30970) UniProtKB/Swiss-Prot;Acc:P46643] MAAISREILKRRGILPSPVMATIGTRSMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSELIKEKRIAAVQALSGTGACRLFADFQKRFLPDTQIYIPIPTWANHHNIWRDACVPQRTFRYYHPETKGLDFASMMDDIKNAPNGSFFLLHACAHNPTGVDPSEEQWREISYQFKVKNHFPFFDMAYQGFASGDPNRDAKAIRIFLEDGHLIGCAQSYAKNMGLYGQRVGCLSILCEDELQAVAVKSQLQQLARPMYSNPPVHGALVVSIILGDPELKSLWLKEVKGMADRIIGMRKALRENLEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTDEFHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSS >Ma03_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4159417:4163309:-1 gene:Ma03_g06030 transcript:Ma03_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVVKKWIPELQHYAPGVPLLLVGTKLDLREDKHYLADHPGIFPVTTAQGEELRKKIGAAYYVECSSKTQQNVKAVFDAAIKVVIQPPAKQKEKRKKKSTYGCSILNILCRMKLLCSFN >Ma02_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22048206:22051321:-1 gene:Ma02_g13830 transcript:Ma02_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIDRFPRRLEHDIPWIQIVCAFHAENKVVIAGDNYKIKVSLRKKVSLVDDVLHPGRINAYLGVLMLLSSMCWKAIDHGVNWVSFHPTLPLIVSGADDRRLKLWRMNVRNMTVLNSRCTSRNEPSVAPIRRSGSVSLKQVDSMREADEVKELIEIASLLGLKMEGTMLQQLSLPGCFWKTVQLISKQRRVGRCYHMLVIKRMLISSIILTGIPFVVRGATFAPICRGQKDVSCPYCGARFVLPLKGSSVLSVSLQWFE >Ma09_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2374352:2377384:1 gene:Ma09_g03590 transcript:Ma09_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSEYISQTELFKQVHHISLTLDFMDALYKKWRRRCLSRISVYLMCLLAPILCLLCLINSELPEVSLSNSVMGSPRNLTFTKTVEGVGLGELGDMMVAMLPDDLAFTIFVPSEEAFGRLLKLRSHDSVSKDRLNETYAVVSRVMGFSAVPQHIPSKVIPLHKEMTFESVSGFRLYAWKDLDGTLVVNGIRSEVVDIRKAEIIVHKMDGVLMDAEFEQSFPPDYED >Ma01_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7393406:7394409:-1 gene:Ma01_g10260 transcript:Ma01_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMILTEAAAAAPGDDHIATFLRLVVFTLTALGRVARVLTAGRRRRKLVYLKTASAAIHVAAVFYCEHVFLASPTCFFILPLDVDPTFNDQPSLYDGRGKHYMRYLACHRWHRGEREGMAAEVSCACAGETEEEEARALVGEAAAIRALNTAVELHLEKTMEKKRAVDAQKKEMWRLFQLFFLFLAVLFAAQLGAPQDRLQCRHCWVPIALLALGHLAFSAAAAQTLRCIHGCKYQRRCHKLTLALATDRLKLLTTRCSAAAGPAPALLPGELEIHYQKPPESYQRKFNRSWAVHVGFLICTFGFMVSCTVVVLCF >Ma04_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5716709:5731361:1 gene:Ma04_g07910 transcript:Ma04_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGGAGSRGNGSAASVTAAPIPSGSRKLVQSLKEIVNCPEPEIYAMLRECDMDPNEAIHRLLSQDTFHEVKSKRDKKKEIREPPESRSRTLNNSSIRGARSSTDRGGRTTSSQSSSVDHGTGKGRLMHKKENGTSPVLTSSVPESSTVSSNPPRRPTVPSDSASVGITIQATSAADGICISMQSSSGYKNCWSGMPGHVSMADIVRMGRPQGKPSNMPLVGSERSVAQNSVMSKMLHHDAKPSLTAVLPSESDKTLESFQESTHFSENSHDVRTAEGQHNSHDGWSRVDEQPLESGSTTPEISGASAQSELASSNLVIDGTNLHIDPHSEEIQMPEEGLNFKSLPAESRATSVSGMQIQVDSSVDAPHLSEGLLKSSIPYLSQRLELDHLEGSFPDGDVRVKISSAAVNLGQLSLHEERSTNTIEANPSVIIPDHLRVTNADCAHLSFGSFVSGTFSGSFPTKPLKSNLEVAPVVADASMIEDSDARNHEYYSDGQLTPPPVAEDSDVRNHEYFSDGQHTTTLTENVASISGTVSENPDAPSASQSEVVRNDPLDATHEIQYNLPAGANYAFPSSTEPNATTYTYLQGNAQMQSLSPFSTLLQSHNLQNSMLAPNIPPLRDFDLPLSPLLTTQSIPTRYSTTLSSISGSTISMSEALNPGVFSNSQSIPQSLPSTTMLTSASLPQHLPVRYSQPALPLSHFANMMSYSFLPQSYPYLPSFQQAYAANSPFHQSHHVAPSAGMSYSQPQFKSSLSATSLPQVSSIASAYGGFGSAASIPGGFTLNHTTASANTTTGLGEALSLQYKEGSHYMPLQQNENPAMWVQGAGSRTMSALPANTFYNYQGHNQQSGFRQNLQASPLGALGYPNLYLSQGGPSREHQQSPSDGNLNGSQATQSQPANQIWQHGY >Ma08_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1398868:1401198:1 gene:Ma08_g01650 transcript:Ma08_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSSMETSAILQPPAFAFSELLAGAGGVDGDGGRDFPAFAGDSVPNFKSAPPPPSLAISRPPLSPSSWFAIPAGLSPALLLDSPVLFSSSNILPSPTTGTLQALNRGISSADHRQGNKDETIACSDISYQTNTEPQNFETASFQTCGSAIAVEGAFKTHRRCNYHHETSNNIKVEAVAPTFQSELRAHHGQTDHDRSSQAPLTLEEQRKFDDGCHWRKYGEKQVKGSDNPRSYYKCTYPSCPRKKQVERSSDGQITEIVYKGTHSHSKPQSTTRHSAAVQAIHDAAPPEASEASFGGPDNSSASFGDSIIDLSSRRSNRGGEALDETEPDAKRWKTEGDHEELSAPGNRATREPRVVVQTQSDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTMGCPVRKHVERASQDPSSVITTYEGKHNHDVPAARGSGAHLQSRPQPEDYGTATAVRPSFMAGHASQIAAYDAFGASGVYVSGYRSSVSSYTCQQQQQQQMGRKF >Ma04_p34140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33454856:33469002:-1 gene:Ma04_g34140 transcript:Ma04_t34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] METADVVAEELLYVEAELQDVQDQIKALLDRQEGLHKRQSELKALLEECETSKSTAQVDSSVATDDWSADFEWDSRAEDIRFNVFSISSYRANQREIINAVMSRRDVLVIMAAGGGKSLCYQLPALLCDGVALVVSPLLSLIQDQVMGLTTLGIPAYMLTSTASKEHEKLIYKSLEKGEGELKVLYVTPEKISKSKRFMSKLEKCHHGGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKIQFPTVPLIALTATATYKVQVDLMEMLHIPKCVKFVSTINRPNLFYKVHEKSSVGKVVIDEIADFIRGSYSKNESGIIYCFSRKECEQVAKELRGRGISADHYHADMDVIAREKVHLLWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPGDVPRQSTMVFYENAGLQNLYDIVRYCQSKRNCRRGAFFQHFGEPIQNCNGMCDNCAYEAEVKELDATYHAKVIVSLLHEIQENDQRATMLQLVERFKVKIKELEFGTMIEFVLYDLGDQDLSHKYHLYL >Ma04_p34140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33451281:33469002:-1 gene:Ma04_g34140 transcript:Ma04_t34140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] METADVVAEELLYVEAELQDVQDQIKALLDRQEGLHKRQSELKALLEECETSKSTAQVDSSVATDDWSADFEWDSRAEDIRFNVFSISSYRANQREIINAVMSRRDVLVIMAAGGGKSLCYQLPALLCDGVALVVSPLLSLIQDQVMGLTTLGIPAYMLTSTASKEHEKLIYKSLEKGEGELKVLYVTPEKISKSKRFMSKLEKCHHGGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKIQFPTVPLIALTATATYKVQVDLMEMLHIPKCVKFVSTINRPNLFYKVHEKSSVGKVVIDEIADFIRGSYSKNESGIIYCFSRKECEQVAKELRGRGISADHYHADMDVIAREKVHLLWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPGDVPRQSTMVFYENAGLQNLYDIVRYCQSKRNCRRGAFFQHFGEPIQNCNGMCDNCAYEAEVKELDATYHAKVIVSLLHEIQENDQRATMLQLVERFKVKIKELGDSIQPVSDLKKEELEQLIVQLLLEHVLKEEFQHTAYTTNSYIALGSLSKLVLQGKKSVMLEICTRRHEDGMPKSAKRGRMSGLEIKLDELRKELSSSNEGMLPHAILSTQQISILSTQKPTSITHLQKLIGKVKTEKYGKRIIELIEHYLKSEQVDDDVPGAASGSKTQGNKKQKKKHTVLIESSEEEQ >Ma04_p34140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33451281:33469002:-1 gene:Ma04_g34140 transcript:Ma04_t34140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] METADVVAEELLYVEAELQDVQDQIKALLDRQEGLHKRQSELKALLEECETSKSTAQVDSSVATDDWSADFEWDSRAEDIRFNVFSISSYRANQREIINAVMSRRDVLVIMAAGGGKSLCYQLPALLCDGVALVVSPLLSLIQDQVMGLTTLGIPAYMLTSTASKEHEKLIYKSLEKGEGELKVLYVTPEKISKSKRFMSKLEKCHHGGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKIQFPTVPLIALTATATYKVQVDLMEMLHIPKCVKFVSTINRPNLFYKVHEKSSVGKVVIDEIADFIRGSYSKNESGIIYCFSRKECEQVAKELRGRGISADHYHADMDVIAREKVHLLWSKNKLQVIVGTVAFGMGINKPDGLLFIIASVNQWRHTIRRVVELGGMDFLQNVFYIIDQAMFLVSQSTMVFYENAGLQNLYDIVRYCQSKRNCRRGAFFQHFGEPIQNCNGMCDNCAYEAEVKELDATYHAKVIVSLLHEIQENDQRATMLQLVERFKVKIKELGDSIQPVSDLKKEELEQLIVQLLLEHVLKEEFQHTAYTTNSYIALGSLSKLVLQGKKSVMLEICTRRHEDGMPKSAKRGRMSGLEIKLDELRKELSSSNEGMLPHAILSTQQISILSTQKPTSITHLQKLIGKVKTEKYGKRIIELIEHYLKSEQVDDDVPGAASGSKTQGNKKQKKKHTVLIESSEEEQ >Ma05_p26720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38250191:38251392:-1 gene:Ma05_g26720 transcript:Ma05_t26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEDEIMFELLPLIRIYKSGRVERYQDNETVPASVDPATGVSSKDVVIAADTGVSARLYVPGLGTDAQRRIPVLVYYHGGAFCIGNPFMPMFHNYLNSLVARAHVVAVSVNYRLAPEHPIPAAYDDSWKALRWVASHANGGPGPDRWLADHADFDRLFLAGDSAGANIAHHMAMRAGAEGLERGVGVHGVVLIHPYFLGSEPVESAALNPEMTARLERFWELACPTAAAGVDDPMINPLADGAPSLAGMDRKRVLVCVGVEDVLRDRGRAYYHRLREKRCGEVELYETDGKGHTFHFFDPTCDEALAQDRAICCFLDRESMRESAIE >Ma07_p22660.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30522343:30526495:-1 gene:Ma07_g22660 transcript:Ma07_t22660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMDELQRSRILACVQAFCAARYAREDNVPCQIEEGLFLGSVGAALNKSALKDLNITHILTVAKSLDAAFPNDFVYKKIDVFDTPGTELVKYFAECFSFIDEARSAGGGVLVHCFAGMSRREKKQDSDSRILEQDTGAIPHE >Ma07_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30521646:30526554:-1 gene:Ma07_g22660 transcript:Ma07_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMDELQRSRILACVQAFCAARYAREDNVPCQIEEGLFLGSVGAALNKSALKDLNITHILTVAKSLDAAFPNDFVYKKIDVFDTPGTELVKYFAECFSFIDEARSAGGGVLVHCFAGMSRSVTVVVAYLMKKHRMSLSDALSLVRSKRPHIAPNHGFLTQLANFEKSLGGKKSKTLTAGYLSRIRVLFHMNKLLVCTTIFVFCYQKFDTRSFYLLFSESLKSKKKKHMGLVR >Ma07_p22660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30521646:30526495:-1 gene:Ma07_g22660 transcript:Ma07_t22660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMDELQRSRILACVQAFCAARYAREDNVPCQIEEGLFLGSVGAALNKSALKDLNITHILTVAKSLDAAFPNDFVYKKIDVFDTPGTELVKYFAECFSFIDEARSAGGGVLVHCFAGMSRSVTVVVAYLMKKHRMSLSDALSLVRSKRPHIAPNHGFLTQLANFEKSLGGKKSKTLTAGYLSRIRVLFHMNKLLVCTTIFVFCYQKFDTRSFYLLFSESLKSKKKKHMGLVR >Ma07_p22660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30521646:30526549:-1 gene:Ma07_g22660 transcript:Ma07_t22660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMDELQRSRILACVQAFCAARYAREDNVPCQIEEGLFLGSVGAALNKSALKDLNITHILTVAKSLDAAFPNDFVYKKIDVFDTPGTELVKYFAECFSFIDEARSAGGGVLVHCFAGMSRSVTVVVAYLMKKHRMSLSDALSLVRSKRPHIAPNHGFLTQLANFEKSLGGKKSKTLTAGYLSRIRVLFHMNKLLVCTTIFVFCYQKFDTRSFYLLFSESLKSKKKKHMGLVR >Ma07_p22660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30522720:30526495:-1 gene:Ma07_g22660 transcript:Ma07_t22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMDELQRSRILACVQAFCAARYAREDNVPCQIEEGLFLGSVGAALNKSALKDLNITHILTVAKSLDAAFPNDFVYKKIDVFDTPGTELVKYFAECFSFIDEARSAGGGVLVHCFAGMSRRSIG >Ma01_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13351341:13351487:-1 gene:Ma01_g18050 transcript:Ma01_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKVFKCGCCKVDSASHDAIISKDFHGRYGRAYLFKSV >Ma05_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5507776:5514511:1 gene:Ma05_g07580 transcript:Ma05_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGPSGFHNAPVTRTLVITSAILTVAAGLRGRSQRLGLSYQDAIENRNIWKFIPSVFAFSSSPELIIGLYLLYYFRVFERQIGSNKYSVFVLFSLIVSTAFEVLALALLKDSRTSAAGPYGLIFASFIPFYFDIPVTSRFHMFGMNFSDKSAIYFAGFQLLLCAWKSSFMPGICGALAGFLFRINAFGIRKLKFPKKITSVISRLFFSSASSSHASRPNIRGNAHVPYAGRQQNYPSVGHIPMPEPPESSIAMLVSMGFNSSAARQALMQARNDINVATNILLEAQTR >Ma06_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17428192:17429339:-1 gene:Ma06_g22190 transcript:Ma06_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNIEMISVFLRSCDLGRVGAAHLAEVSDESAGEVTVELNSETALPCHWEQCLDMRSGQVYYINRETGIRTTKDPRTALATAAAAASYSSSYQSKQDSSFGDSCSEIGGSDDDEDSVDTANSCLTSLSSTSSTDTAAAAGGSQILVSAGCRSCFMYFMIPKSVDACPKCGSGGFLKLGRHGCV >Ma07_p28290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34388301:34398201:-1 gene:Ma07_g28290 transcript:Ma07_t28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIILLLDFLKKNPSLATQSLHSYSLFSATVAASAAAASVAAGKPFASRAIFGDCGFPTAYCDAGSSATWNEEDIPNVQSASENILPNSFKYRTKEYPLELKPLFSAFGFKSFAMTSLRSFLLFYLPLLEPHPPVEENDDLLHQPPEERPLDLVTPFQNSVKQIIRETAVVTTRRVLERFAVHHVSQRMAWKLLKDVPRSAKRKFDRGMPTLLFFYSVSRTTFRGHMLGVAASWIVQVIIEIYRCFFRKHNNDDEDININEKIRLFRRKLFGTTVKCSASLVFASIGAGIGALCHPSTGQWIGCALGDFAGPIVAIVCFEKLHLQI >Ma07_p28290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34388301:34398183:-1 gene:Ma07_g28290 transcript:Ma07_t28290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIILLLDFLKKNPSLATQSLHSYSLFSATVAASAAAASVAAGKPFASRAIFGDCGFPTAYCDAGSSATWNEEDIPNVQSASENILPNSFKYRTKEYPLELKPLFSAFGFKSFAMTSLRSFLLFYLPLLEPHPPVEENDDLLHQPPEERPLDLVTPFQNSVKQIIRETAVVTTRRVLERFAVHHVSQRMAWKLLKDVPRSAKRKFDRGMPTLLFFYSVSRTTFRGHMLGVAASWIVQVIIEIYRCFFRKHNNDDEDININEKIRLFRRKLFGTTVKCSASLVFASIGAGIGALCHPSTGQWIGCALGDFAGPIVAIVCFEKLHLQI >Ma08_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33701171:33701971:1 gene:Ma08_g19830 transcript:Ma08_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIISLKSFNSPLTTKFASRNVDASLMQLQSGGTRWNPMPEQIKLLEALYQGGMRTPNPVQIERITAELSKYGRIEGKNVFYWFQNHKARERQKQKRSALLALATNSASLPNLLKVRTKHGFSPHGEEMKELHDGSCKRKCRSWGSLEPDVEDSGPGDRTLELFPLHPEWKQVG >Ma07_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4008615:4009978:-1 gene:Ma07_g05530 transcript:Ma07_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQDLDLPLAPDAPPSAASLPPSGRTRRSVHHALGGGAVADVLLWRHRNAAVLMAVGATTVWFLFERAGYSFLSVFANAILLLVLILFFWAKSALLLNRPLPPLPNLEISDEVVGKAADRARVWINRVLAIGHDITIRRDRKVFLQVILVLWFIAYIGRLFNFLTLVYIGVLLPITLPALYDKYQDHVDEKFGVAHDAVMKQYVSILSRIQAQPTKEKKTQ >Ma09_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15413478:15419127:-1 gene:Ma09_g18060 transcript:Ma09_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWKKNGPQMVATSDDSADSKRKDSKPNAGWQQRKTIGIRSSPLEAHWHFLELPKKKKKPTLASKMSFRSLQKSLTKITKSDTFRTIFQGVRDPEEVKQVQSLRDLLASTGQLPEKHDDYHTLLRFLRMRNFDLAQTRIMFVNMLKWREDNRVDVIAREFEFEEYDAVQQCYPRGYHGVDNVGRPLYIERIGSIDFNTLLTVTTVDRFVKHHVVEQEKTLNLRFPACSLAAKRHIASITAILDVEGLGIKNFSKPAREIFTEIQKIDSNYYPETLNQLYIINAGPGFKALWNILRAFLEPRTLSKVQVLGTSFISKLSEAVDLSNIPEFFGGKCKCVEHGGCLRKDKGPWTDPEIKSKLMEVFYKAQKLEDEPTDENIFKELSKSQVVTSDGRLGSNSIATTPKTENYIGIDSLEQYMGTASASKHLAQKISELEEWLVETNEILQTLFAKQQQLADNIEELKNLTEKLRVSDA >Ma05_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:464093:466032:1 gene:Ma05_g00740 transcript:Ma05_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGPFKLCHQMALLLRSPTLVRPRTVSHQAKALRRGRLVVKACQEEEQKSQRRSFLSLEEAGLVEMSGLNTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRLCDWFLKDKLKREQDLGSAVIQWDDSDSQF >Ma01_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10457639:10461249:1 gene:Ma01_g14310 transcript:Ma01_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGSTLVQILAVALCLTAFGFAIAAERRRSTGTIETDKFNATYCVYDSDVATGYGVGAFLFLLSSQSLLMGVTKCMCFGKPLAPGGNRAWSIIYFASSWLTFLIAEACLIAGATKNAYHTKYRHVVYAQNWTCESLRKGVFIAGAVFVVFTMILNVYYYMYFAKATGQAARKTNKPNPTVGMAGYA >Ma06_p33700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34235081:34238833:-1 gene:Ma06_g33700 transcript:Ma06_t33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAILSSIIKWMIGNIPASLGSALSSQQGSVHEDLSKMEGTLSRIQAVLSDAEEREIRDEAVKLWLKELKDLAYLAEDVLAEYGYEFLRIKAEGGDLPRPATRKRKLEARKLVATTVLPTQIAIPGGIQSRIKDISIRFDELAEERKALSLKDNIGVSRSSVPVQPPQSTPYVDESCVYGREEDKKKIVEMLVSEKGSADKLSVIPIIGMAGIGKTTLAQLVYKDPTVRQCFNTRVWVSVSVDFDVIKIYKAIIESITQEKCDLTELSSLQSVLKEELVGKRLLLVLDDVWTEDINLWDSLRVAFISGGRCKFLVTTRNESVARIMQTVIPYQLAGLTEDQAWSLFKQYALENRDPDSSRNLEEIGMKIVQKCKGLPLAIKNLGGLLYYEEDKDKWEDILQSDLWELDEEDDGILPALRVSYHRMPTHLKPCFMYCALFPKNYLFEKDELIKLWMAQGLIPFVETRRAEDIGKKYFDDLHRRSFFQFENLQKKTSFIISQHEDQQLFLMHDLICDLARSIAGEECSVNKDEKVSYITNEASHLSLIPYEAKADIQFEPLKEENRRIRSLLYVNTKVKTLNGGGIGDVCQNVRLCSDLFRMLPCLRVIDLSYTRIKQLPSSIGQLKLLCYLGLRGSTIKGLPNSLGSLYYLQTLDLKYCRSLQELPNGVVKLINLRHLELPTKDFAACVSLPSGIGTLTRLETLSAFNVNRHCRIDELKQLRNLRGHLGIAGLQNVASGKEASIADLKAKEHLQTLALIWNSEKSTQASSSQGPGEQHSDGSTLNTGSITETSSFLADGILQNLQPYRNLRHLILRGYCGMRFPSWLGDPSFSRLNSIALTKCHSCKLLPPLGQLPCLQNLLIGNMDGIQHVGCEFCGHSSTSSSIAFRALDTLDLECLNQWGEWTGVEDGDFPCLRRLVIKHCSKLNGLPLLPTSLEILEMENVEAITALPKLSSVKSLDLQGKWNENLWASTLELQSLHSLEISWSEDLSILHLHGSLNALNNLEISDCMNLNLIVELHKITSLEHLRLHALPKFQFSPDDRLPPTLLSLDITSCPNLTSLTLYQPLSALRELSITTCEQLSTLMCLQNLNSLESLTLDMCPELFLNSDDVLPFTLQYLEISSCNKLSALPRLHENLSALKELHIEGCEELTTAVGLCNLTSLELMVIAFCPKFHFLPDEQLPNSTLDIEIEDCPRLTEWCQRHGIKQKVALLISTANVFCMNIVPFS >Ma08_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20231721:20237607:-1 gene:Ma08_g16690 transcript:Ma08_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLRTPPWNLAELDRDAELSIGSVVVGPSGGGLGCRSTGVDFSVDLKLGGLGDFGSSHKCTEQPTVATSTVSPSGPPKRPRAPVNGCRSVSCLVDGCTADLSNCREYHRRHKVCEVHSKTPLVMVRGQEQRFCQQCSRFHLLEEFDEAKRSCRKRLDGHNRRRRKPQPDSVNSGSLFLDHQGFSAAYPQIFPVAAPESNWARMVKTEDGTLYAPSQFINHHQHFPNSSYSISKERRQMPFFQDSKTVLDIKSTPQVSVDQLNLSTNTPSGSSGCNSKMFNEGLTRVFNSDCALSLLSSPTQTSDINLSHMMPLADRIPTGQPIVSCLQYGSLMQYFRSQASENVTPTGFSCAGMEGQHTGTVLVSDRASDAEINCQNIFHVLGEGSSDATCQTLPFSWH >Ma06_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7502189:7507165:-1 gene:Ma06_g10780 transcript:Ma06_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVNLSTASPDWLRAVNQLPSLQQLYLSGCGLTALPDSLSRVNLTALTTLDLRGNFFNSTFPSWLFELRSLSYLAISNSELYGTVPAGFGNLTRLAQLDLSGNSLSGSIPVDLWSLASLTTLDLSHNSFTSPLLPQIGNTTSLSQLNLVQCFLVGSIPAEIGRLTSLTELRLSGNSLSGRIPAEIGNLSSVTQLDLGHNSLSGLIPVEIGKLSDLSSLDLSDNSLEGTMSELHFVNLTELVVLYAYANPLTIRFDHDWVPPFQLQSIKVDTCDLGPAFPRWLRSQEFLTDIDLSNTSIEDTLPDWFWNSSSSTIMDINLSHNKIGGVLPASLESMATLMLLNLSSNLFRGRIPVLPPNLQALDLSSNSLSGSLPSTISSQLGYLFLSHNYLHGSIPSSYVCDLQQLYALDLSNNQISGEIPRCRPEGSQLLFVNLANNKLRGKFPDSIGNLGNLQMQTQWLFACEV >Ma05_p25430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37371317:37374590:1 gene:Ma05_g25430 transcript:Ma05_t25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCSPFPNPVYNAAIAQPRDPTPSCPTPSFLLNPRSPPHVGFCCTHRMLSLKKRRSSSFLFDAVADGRSGEVVGTGGVADDDDDDLCPVDCVREFKTEEEFARILDKAKAAGSLVVVDFYRASCGSCKYIEQGFAKLCKGSGDQQAEVVFLKHNVIDEYDEQSEVAERLRIKSVPLFQFYKNGVLLEAFPTRDKERIIAAILKYTSSS >Ma06_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14099342:14101126:-1 gene:Ma06_g20050 transcript:Ma06_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDLQLPPGFRFHPTDEELVMHYLCRRCAGLPVAVPIIAELDLYKFDPWHLPGMALYGEKEWYFFSPRERKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYTGKAPKGDKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGSSGSEKLGNTDGKPVVSRSVWPGPATSPEERKPVLGPYAPSPLAHVPAEMVYSFAPSDSMPKLHADSSCSERPEFTCEREVQSQPRWRPTTEWDRALGLEDPFQDILTYLGKPF >Ma09_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2492818:2495835:1 gene:Ma09_g03770 transcript:Ma09_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAEKGENGERKHGEAALGGDLFSDSAEDECSGSSSSLETSSSEEPHDPCGGAHQGPSSEQSAVAPGWPLRKSSVSDLELDDDDDDGKAHEHHHHGTEHLMKPKYSEIEMMKERFSKLLLGEDMSGCGKGACTALAISNAITNLCATIFGQLWRLEPLSSEKKTMWRREMEWLLCVSDHIVEMIPSWQTFPDGSKFEVMTCRPRSDLCINLPALRKLDNMLLEILDSFSDPEFWYVDQGILAPDSDGSASFRRTLHRQEEKWWLPVPRFPPGGLHEKTRKELQHKRECTSQILKAAMAINSNSLAEMEVPESYLSSLPKNGRASLGDVIHRYVSSDQFSPECLLDCLDLSSEHRVLEVANRVEASIYIWRRRAAMKPASSSTAKSSWEIVKDMIVDADKRELLAERAETLLLCLKQRFPSLTQTSLDTSKIQFNKDIGKSILESYSRVLESLAFNIVARIDDLLHVDDFCKHSDPLLAVPAVSIIAQKKVTVPASGTPCMTAYATPCFSPAPLISPAGRERSPLINKKPSSRGFGVKKVLTSYLNVELRTKSPIDATEPSEVVSNRYSEISSSAS >Ma09_p30530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40611398:40616934:1 gene:Ma09_g30530 transcript:Ma09_t30530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLHMEGNVNPTGAMDWLELPWLLETWSDPSDVHEPKARGPLGAILSRKTCRSGCSRSMANLRDVIHGGRRQIERPSCCSPRSIGSSEVLNPIAHEVVLSDSGCELKITGFRSHYDGGGGEVGSTFVGTLRPGTPGPGGWSTSPPRKANRLLSDREGYPLWGSSLSNDVLGNDGANHFPLEARSSAGAATPTICHRCGDQFGKWEALEAHHLSKHAVTELLEGDSSRKIVEIICKASWLKSESSCGRLERVLKVHNMQKTLTQFEEYREMVKIRASKLPKKHPRCLADGNELLRFYGTTVCCSLGINGSSNLCTSDKCNVCRILRHGFSKRKEMKGGLGMFTTSTSRRALESVQVLEDHPSIRKALLICRVIAGRVHKPMDKLQNLAGQCGFDSVAGKVGPYASIEELYLLNARALLPCFVVICKP >Ma09_p30530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40611400:40616934:1 gene:Ma09_g30530 transcript:Ma09_t30530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLWFTLKRSLHCRSDPSDVHEPKARGPLGAILSRKTCRSGCSRSMANLRDVIHGGRRQIERPSCCSPRSIGSSEVLNPIAHEVVLSDSGCELKITGFRSHYDGGGGEVGSTFVGTLRPGTPGPGGWSTSPPRKANRLLSDREGYPLWGSSLSNDVLGNDGANHFPLEARSSAGAATPTICHRCGDQFGKWEALEAHHLSKHAVTELLEGDSSRKIVEIICKASWLKSESSCGRLERVLKVHNMQKTLTQFEEYREMVKIRASKLPKKHPRCLADGNELLRFYGTTVCCSLGINGSSNLCTSDKCNVCRILRHGFSKRKEMKGGLGMFTTSTSRRALESVQVLEDHPSIRKALLICRVIAGRVHKPMDKLQNLAGQCGFDSVAGKVGPYASIEELYLLNARALLPCFVVICKP >Ma09_p30530.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40611398:40616934:1 gene:Ma09_g30530 transcript:Ma09_t30530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLHMEGNVNPTGAMDWLELPWLLETWSDPSDVHEPKARGPLGAILSRKTCRSGCSRSMANLRDVIHGGRRQIERPSCCSPRSIGSSEVLNPIAHEVVLSDSGCELKITGFRSHYDGGGGEVGSTFVGTLRPGTPGPGGWSTSPPRKANRLLSDREGYPLWGSSLSNDVLGNDGANHFPLEARSSAGAATPTICHRCGDQFGKWEALEAHHLSKHAVTELLEGDSSRKIVEIICKASWLKSESSCGRLERVLKVHNMQKTLTQFEEYREMVKIRASKLPKKHPRCLADGNELLRFYGTTVCCSLGINGSSNLCTSDKCNVCRILRHGFSKRKEMKGGLGMFTTSTSRRALESVQVLEDHPSIRKALLICRVIAGRVHKPMDKLQNLAGQCGFDSVAGKVGPYASIEELYLLNARALLPCFVVICKP >Ma09_p30530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40611398:40616934:1 gene:Ma09_g30530 transcript:Ma09_t30530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLHMEGNVNPTGAMDWLELPWLLETWSDPSDVHEPKARGPLGAILSRKTCRSGCSRSMANLRDVIHGGRRQIERPSCCSPRSIGSSEVLNPIAHEVVLSDSGCELKITGFRSHYDGGGGEVGSTFVGTLRPGTPGPGGWSTSPPRKANRLLSDREGYPLWGSSLSNDVLGNDGANHFPLEARSSAGAATPTICHRCGDQFGKWEALEAHHLSKHAVTELLEGDSSRKIVEIICKASWLKSESSCGRLERVLKVHNMQKTLTQFEEYREMVKIRASKLPKKHPRCLADGNELLRFYGTTVCCSLGINGSSNLCTSDKCNVCRILRHGFSKRKEMKGGLGMFTTSTSRRALESVQVLEDHPSIRKALLICRVIAGRVHKPMDKLQNLAGQCGFDSVAGKVGPYASIEELYLLNARALLPCFVVICKP >Ma09_p30530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40613902:40616934:1 gene:Ma09_g30530 transcript:Ma09_t30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLHMEGNVNPTGAMDWLELPWLLETWSDPSDVHEPKARGPLGAILSRKTCRSGCSRSMANLRDVIHGGRRQIERPSCCSPRSIGSSEVLNPIAHEVVLSDSGCELKITGFRSHYDGGGGEVGSTFVGTLRPGTPGPGGWSTSPPRKANRLLSDREGYPLWGSSLSNDVLGNDGANHFPLEARSSAGAATPTICHRCGDQFGKWEALEAHHLSKHAVTELLEGDSSRKIVEIICKASWLKSESSCGRLERVLKVHNMQKTLTQFEEYREMVKIRASKLPKKHPRCLADGNELLRFYGTTVCCSLGINGSSNLCTSDKCNVCRILRHGFSKRKEMKGGLGMFTTSTSRRALESVQVLEDHPSIRKALLICRVIAGRVHKPMDKLQNLAGQCGFDSVAGKVGPYASIEELYLLNARALLPCFVVICKP >Ma09_p30530.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40613087:40616934:1 gene:Ma09_g30530 transcript:Ma09_t30530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLHMEGNVNPTGAMDWLELPWLLETWSDPSDVHEPKARGPLGAILSRKTCRSGCSRSMANLRDVIHGGRRQIERPSCCSPRSIGSSEVLNPIAHEVVLSDSGCELKITGFRSHYDGGGGEVGSTFVGTLRPGTPGPGGWSTSPPRKANRLLSDREGYPLWGSSLSNDVLGNDGANHFPLEARSSAGAATPTICHRCGDQFGKWEALEAHHLSKHAVTELLEGDSSRKIVEIICKASWLKSESSCGRLERVLKVHNMQKTLTQFEEYREMVKIRASKLPKKHPRCLADGNELLRFYGTTVCCSLGINGSSNLCTSDKCNVCRILRHGFSKRKEMKGGLGMFTTSTSRRALESVQVLEDHPSIRKALLICRVIAGRVHKPMDKLQNLAGQCGFDSVAGKVGPYASIEELYLLNARALLPCFVVICKP >Ma08_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36477866:36478540:1 gene:Ma08_g23010 transcript:Ma08_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRLNIESIAVTNLRPAKFFACYLLGSHAFVLGAGLPIPQPATMRPSHLLLILLSLFSSLLPFASAGPTNKIGEVAGICSHTDYPFLCIYASTTYGQSYLAIDAASLLAMHIKMTVDHTQTAKAIASTFAVNPTTTPQVKQALEVCLKQYDDAFDDLATGSTAVAGHDAGTANSMLSAVISYYGTCDDAFAEIYKANPLAKQDDFLMKMVSNALALAQLILQ >Ma01_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22068573:22069106:1 gene:Ma01_g21860 transcript:Ma01_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSTIAPAEVLYHSRRDNIHHRVYVHKSEEAMLVTNNQEDRSFIMEESYERLQRSRMQYVHLGILQVRLQTLHRQEEGTLALLVFRDNRWMDDQSIIATMEVDLTRGSQLVYVIPDIMMTIGDFYRNIQLSILTRGYDTWRNGEANLLITRGMVGRLSNTSNIMIECNNMIVLTR >Ma02_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9963247:9963615:1 gene:Ma02_g01430 transcript:Ma02_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRFEASAIESDMQRRIKTRTDAWFQPRRAIDEIIKPRHDKLDGRASVFKSIGQSNITIVFICSLYKWPMESTGILPSHSYEPRRSQRYHIRRTLALLFEISAARSCDTTCLQGYRKTKLD >Ma05_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25742944:25747171:-1 gene:Ma05_g18970 transcript:Ma05_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRILIAKLHTLCRSSRALSLPLVCPSPLLRFRPLVAVATEYPLLRLNSFADASGTRFFSTRPTTSSLNDPSPNWSNRPPKETILLDGCDFEHWLVVMEPADPSLTRDEIIDSYIKTLSQVLGSEEEARMSIYSVSTKHYFAFGCKVSEEISYKIKPLPKVRWVLPDSYLDVKNKDYGGEPFIDGTAVPYDPKYHEEWVRNNARAQERSRRNDRPRNFDRSRNFERRRENMQHFQNREAPPMQNQQEFQNRDAQNAMPQNAQSPMPSRDVPPTPNRDPNTMPPPNYTPNAGSSYGGGGQGYQGGPGYQGGGQGYQGSYPGVNMPSGPGYQGGRPGYQGDSRGYQGGGTAGGNAGGIMPGGSVYQGGSHGGYQGGGPGYQGGSPAGYQGGGSAYQGGSPLYQGSNPSYQGGLSGNQSGNSSYEGSSPNYQQGGGPGYQGGAPYQGRDMPGRDQ >Ma05_p18970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25742944:25747171:-1 gene:Ma05_g18970 transcript:Ma05_t18970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRILIAKLHTLCRSSRALSLPLVCPSPLLRFRPLVAVATEYPLLRLNSFADASGTRFFSTRPTTSSLNDPSPNWSNRPPKETILLDGCDFEHWLVVMEPADPSLTRDEIIDSYIKTLSQVLGSEEEARMSIYSVSTKHYFAFGCKVSEEISYKIKPLPKVRWVLPDSYLDVKNKDYGGEPFIDGTAVPYDPKYHEEWVRNNARAQERSRRNDRPRNFDRSRNFERRRENMQHFQNREAPPMQNQQEFQNRDAQNAMPQNAQSPMPSRDVPPTPNRDPNTMPPPNYTPNAGSSYGGGGQGYQGGPGYQGGGQGYQGSYPGVNMPSGPGYQGGRPGYQGDSRGYQGGGTAGGNAGGIMPGGSVYQGGSHGGYQGGGPGYQGGSPGGYQGGGQGYQGGSPAGYQGGGSAYQGGSPLYQGSNPSYQGGLSGNQSGNSSYEGSSPNYQQGGGPGYQGGAPYQGRDMPGRDQ >Ma08_p30050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41447658:41478284:-1 gene:Ma08_g30050 transcript:Ma08_t30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) UniProtKB/Swiss-Prot;Acc:F4IV99] MAFFRNYNSKINSGHNLDEKVEEDRPAEDYNSVGNRNVDVNVNYNDADMTGDANQNEEEQFNTGRLQIDNSEGDASGKLGKRAAPTGAWGSKFWKVCQPMSDSGDAEYDHNDLGEDAGDNYSEDSNGQKDRRQSQREHVEVPAEEMLSDDYYEQDGEEQSDSLHGSGPSHLNVAGSRLLTQPVSVSKSIAKGAKVVRHEEYNDDGDDDDDYDEDDEEEEDDPDDADFEPESSDTGKGRKSKEKASDSDDFEDDNEDDIDLSEEDDNDYFDNRRRRMPRKVGQSLKQKDTKPSVNIRRKRGRTFSDEEYHSSGNDLEEDSEEDLSRKARSSSQSRKRGGGNSTMTANTNLISSELRTSGRLVKKVSYAESEESEDIDEEKSNKFQKEDAEEDDGDSIEKVLWHQPKGTAEDAMRDNRSTQPVVFSSISDSEPVWDDVEFYVKWKGQSYLHCEWKSFADLQNLSGFKKVLNYIKRATEERRHKKALSREEIEVHDVSKEMELDLLKQYSQVERIFADRISKIGGDDVVPEYLVKWQGLSYAEATWEKDTDIAFAQDAIDEYKVREAATTVQGKTVDFQRKNSKASLRRLDEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIQGPFLVVVPLSTLSNWAREFKKWLPEMNIVVYVGNRASREVCQQFEFYTNRKSGRNIKFDTLLTTYEVILKDKVVLSKIRWNYLMVDEAHRLKNSEASLYTTLLEFNTKNKLLITGTPLQNSVEELWSLLHFLDPEKFINKDDFVEKYKNLSSFNEIQLANLHKELRPHILRRIIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDNGTSDINKVERIVMSSGKLVILDKLLIRLRETNHRVLIFSQMVRMLDILAEYLSFRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSRSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKETKKGSSMFDKRELSAILRFGAEELFKEEKDDEDNKRLETMDIDEILERAEKVESKEPDGEEGNELLSAFKVANFCSAEDDATFWSRLIQPEAQDQVDAALAPRAARNIKSYAEHEQPEKSTKSKKSVVDSREKTQKRSSKAADALVHSLPIIEGAAAQVREWSFGGLPKKDASHFVRAVKRFGNPRQIDLIVAEVGGVVEAALPEAQIELFDLLIDGCREAVSGGNIDAKGTLLDFFGVSVKAHEFLNRVEELQLLAKRIGRYKDPVSQFRLVTQHKSPQWSKSCGWNSVDDARLLLGIYYHGYGNWEKIRLDPSLGLTKKIAPITLGERETFLPRAPNLDNRASALLQKEFASINGKSKVKGSRKVVNEGENVSKLSGSRVRDVNMKAGLSKLNSREKDRFQKLKVEPQVKEEGEISDSEQERYQKLKEEKWMEWCADVMEEEEQTLKRLQRLQTTSIDLPKEKVLLRIRRYLQLIGRKIDNIVQQHEVSYKQSRMTMRLWNYVSTFSNLTGERLHEIYSKLKDEQNDAGVGPSYINSYGTLNSNQFPTLNNDLQRRQRPYQHSSQPSEAFHRNQSTGKSEAWKRRKRSEMDNQLLIHSHCQPDMMSNGVRLNEQTNSAGILGKGPVEMRRYPNDRPNRAHPGRFPPGQGHMS >Ma09_p29500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39939028:39941634:-1 gene:Ma09_g29500 transcript:Ma09_t29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGGAAFRWVLQLHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHSPSDITMQKGYSSMLSFTVADMNSTVTKLMSLGAELDGPIKYEIHGKVAALRCLDGHMLGLYEPA >Ma11_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24408604:24410680:-1 gene:Ma11_g19620 transcript:Ma11_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKIPARMPPSLVARSLSSASSLAEKLPKAPSPAPLAIDLSDTQRLFASVPTASLLHSLANLSAMAAGPLVDVGVAALRAALASEVQILRAAAIGVARATVHRHFCAGEGFEDAGRAVTEMWEEQGLRSILDYGMEDAEDSAACDRNLAGFLRTVEMASSLPPSSASVCVKITAICPISLLERVSDLLRWEQQDPTLQLPWKTNSIPVLCDSSPLYLTRSAPDPLTETEESDLRLASQRLSKICERCTEANIPLLIDAEYTSVQPAIDYFTYAAAVQFNHGDHPIVFGTIQAYLRDSKKRMVNAVQAAEREGVSLGVKLVRGAYITRETKLASSLGAPSPIHPSIQETHNCFNSCASFMLEKVRRGSGAVVLATHNVRSGQMAAEKATELGIGRVDRKLQFAQLMGMADGLTYGLRNAGFQVSKYVPFGPVEQVMPYLLRRAEENRGLLCTSTVERQLIRKEIFRRLAAAVAWTT >Ma08_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5507892:5511836:-1 gene:Ma08_g07940 transcript:Ma08_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVDDLCCVCHERFNLPCQANCSHWFCGNCIMRVWSSGFTLQPCKCPLCRRLITLLLPGASLYQSYDREAYRVLRNIENYNRQFGSGSNGLIQSLRDVPFFLRRLLREWMDPQRALPLAFRARTVLSMLFSVIYVLSPFDILPERIFGFVGFLDDLLVLVIVFLNLAAVYRALLLNRHGGA >Ma03_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3535791:3540962:-1 gene:Ma03_g05380 transcript:Ma03_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVITLVAVASAYAAKSFFPDLSPFFLSLPLLLLLPFALFSGSGSDTPPGPVSFPIVGNWLQVGNDLNHRNLVDMAKKYGNVFLLRLGARNLVVVSDPKLATEVLHTQGVEFGSRPRNVVWDIFTDNGKDMVFTEYGDHWRKMRRIMTLPFFTNKVVQQYREMWEEEMEILMAARDGKRLRCAMDYILEAEMNGEITSDNVIYIVENINVAAIETTLWSMEWAIAELVNHPNVQQRLRKELWDVLGDEPLTETNLQRLPYLQAVAKETLRLHSPIPLLVPHMNLEEAKLGGYDIPKRTKVIVNAWWLGNNPEWWHKPEEFRPERFLDEEKEVEALVGGKVDFRFLPFGVGRRSCPGIIVALPLLSLIVGKLVKDFEMVTPPGVDKIDVTEKGGQFSLQIVNHSTIAFHPIAP >Ma03_p28250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31368867:31369897:-1 gene:Ma03_g28250 transcript:Ma03_t28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTISPPLMILLLLLIPSVAFEFDVGDETGWVVPPEKKAQLYNQWASRNRFQVGDTIRFEYKKDSVMVVSDEDYESCRSSHPIFFSNSGETEYKLNHPGLFYFISGVSGHCERGQKMIIKVMSHPEPPPGSNQTGDSTSPASPDRSTAAAAPAAASLVGVAALVLMMMSSLFE >Ma04_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7400414:7406623:1 gene:Ma04_g10390 transcript:Ma04_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRLAGDMSHLVSVLVLLLKIYATKSCSGISLKTQELYALVFLTRYLDLFIYVVSVYNTLMKIVFIASSLAIVWYMRSHPLVRRTYDKDQDTFRHYTLIGGSLLLALFFHDKFTIREVLWAFSIFLEAVAILPQLILLQRSRNVDNLTSQYVLFLGAYRAFYILNWIYRFVTEDHYSAWISWIAGVVQTALYADFFYYYFISWKNNAKLQLPA >Ma02_p22530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27829580:27848835:1 gene:Ma02_g22530 transcript:Ma02_t22530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPKRSAFWKSKRVFFVTPQVLEKDIQSGICLVKQLVCLVIDEAHRALGNYSYSVAVRELMTVPVQLRILALTATPGSKQRTVQNVIDNLHISTMEYRSESDHDVSPYVHNRTLELIQVAMSEDASVINNLLLEGIHPYVARLCTIGVLHNRDAAKWSPCELLNSRDKFRQAPPSSLPHVKYGEVEGCFGVLITLYHIRKLLSSHGVRPAYDMLQEKLRQGSFARMMSKNETVLKAKLLMQRSLSHGAPNPKLVKMTEILMDHFKRKDFKESRVIIFSNFRGSVRDIMDTLSSIGDLVKATEFVGQNSGKTLKGQSQKVQQAVLQKFRTGGYNVIVATSIGEEGLNITEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYLKKQATSKTVRKHMHNGGINSFNFHDSPRMVPHICKPEVQFVELSIEQFIPRGKKIKEESGHQSPFLNKISKEEHDLISRYFDPSKTDTWRPSLIAFPGFQTFPSAVHIVRHSFKTTMMLIDAMQRLEGLSFSRAKKDLMVEVAASSQSIAGMITSQNNTMQDTTKDCTDVQNDAPENLLQGRTSDVEEPASGTSRSKDGSGATICTPPTHRFLFGGDFVKVNALGGVSIPNVPVLPLEGSVIYEIIRRNKKEFPSQEKNEITSKVSSPVHDGLPFENALELPLNAGTHSPNIDVQQEHGRINAISQTPVPKQNAELTEVKIAETPGDQEKDITSTLVGESSKIFSCVELSPRLTLFIEKGIVPESPISTCKVDKVHAGLTSDESASMLQNKFLVDRAPSSTVMLDNVLKNELPALSSNSPNVSKLLSKMDLYNTEGNVIDMDMKESASPLVAEMQTPLINPVTSTDEWQMSSGGASKTIMQAPKYRRLCKYGEKVKRQSCRTLTEKYDCFVSKSTGPTVLSKPKEIDCHQGRKQKANKYFDNFIEEEAEVSEDAEVSVDEEDDEHDDKYEDSFIDDRTNPTEASSQTEINGGDMLAFYRRSLLTQSPMETLPKCLVDSVSSRATGSGSCSSVKVHSSLQTPQNGLQSSNHSSGRYSISCQADSKQGYLTTMCGQESSIQRESSSKLESRKRKLSFHNACSVPARNFQLESTVHSEPLGKEFSHYQPGSTGIGNDVFCDDEFYQSIDLDAVEAQATELLRYKSRLHVDKPPATVLNIPAEINEVNHPSFDLGL >Ma02_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27823432:27848835:1 gene:Ma02_g22530 transcript:Ma02_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALPLDDDDNDEFDWEAAVREIDDACQLASASTSTSNPQGFATPVATAGAPANLWRRGGEGRQSTLDRFVVDFHGTKRTRNDDGNRFGSRGREAKEEQNLVGGDEQLAVDIDLEAAKTWIYPVNVPLRDYQFSIARTALFSNTLVALPTGLGKTLIAAVVMYNYYRWFPEGKIVFTAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQMSPPKRSAFWKSKRVFFVTPQVLEKDIQSGICLVKQLVCLVIDEAHRALGNYSYSVAVRELMTVPVQLRILALTATPGSKQRTVQNVIDNLHISTMEYRSESDHDVSPYVHNRTLELIQVAMSEDASVINNLLLEGIHPYVARLCTIGVLHNRDAAKWSPCELLNSRDKFRQAPPSSLPHVKYGEVEGCFGVLITLYHIRKLLSSHGVRPAYDMLQEKLRQGSFARMMSKNETVLKAKLLMQRSLSHGAPNPKLVKMTEILMDHFKRKDFKESRVIIFSNFRGSVRDIMDTLSSIGDLVKATEFVGQNSGKTLKGQSQKVQQAVLQKFRTGGYNVIVATSIGEEGLNITEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYLKKQATSKTVRKHMHNGGINSFNFHDSPRMVPHICKPEVQFVELSIEQFIPRGKKIKEESGHQSPFLNKISKEEHDLISRYFDPSKTDTWRPSLIAFPGFQTFPSAVHIVRHSFKTTMMLIDAMQRLEGLSFSRAKKDLMVEVAASSQSIAGMITSQNNTMQDTTKDCTDVQNDAPENLLQGRTSDVEEPASGTSRSKDGSGATICTPPTHRFLFGGDFVKVNALGGVSIPNVPVLPLEGSVIYEIIRRNKKEFPSQEKNEITSKVSSPVHDGLPFENALELPLNAGTHSPNIDVQQEHGRINAISQTPVPKQNAELTEVKIAETPGDQEKDITSTLVGESSKIFSCVELSPRLTLFIEKGIVPESPISTCKVDKVHAGLTSDESASMLQNKFLVDRAPSSTVMLDNVLKNELPALSSNSPNVSKLLSKMDLYNTEGNVIDMDMKESASPLVAEMQTPLINPVTSTDEWQMSSGGASKTIMQAPKYRRLCKYGEKVKRQSCRTLTEKYDCFVSKSTGPTVLSKPKEIDCHQGRKQKANKYFDNFIEEEAEVSEDAEVSVDEEDDEHDDKYEDSFIDDRTNPTEASSQTEINGGDMLAFYRRSLLTQSPMETLPKCLVDSVSSRATGSGSCSSVKVHSSLQTPQNGLQSSNHSSGRYSISCQADSKQGYLTTMCGQESSIQRESSSKLESRKRKLSFHNACSVPARNFQLESTVHSEPLGKEFSHYQPGSTGIGNDVFCDDEFYQSIDLDAVEAQATELLRYKSRLHVDKPPATVLNIPAEINEVNHPSFDLGL >Ma02_p22530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27823432:27847962:1 gene:Ma02_g22530 transcript:Ma02_t22530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALPLDDDDNDEFDWEAAVREIDDACQLASASTSTSNPQGFATPVATAGAPANLWRRGGEGRQSTLDRFVVDFHGTKRTRNDDGNRFGSRGREAKEEQNLVGGDEQLAVDIDLEAAKTWIYPVNVPLRDYQFSIARTALFSNTLVALPTGLGKTLIAAVVMYNYYRWFPEGKIVFTAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQMSPPKRSAFWKSKRVFFVTPQVLEKDIQSGICLVKQLVCLVIDEAHRALGNYSYSVAVRELMTVPVQLRILALTATPGSKQRTVQNVIDNLHISTMEYRSESDHDVSPYVHNRTLELIQVAMSEDASVINNLLLEGIHPYVARLCTIGVLHNRDAAKWSPCELLNSRDKFRQAPPSSLPHVKYGEVEGCFGVLITLYHIRKLLSSHGVRPAYDMLQEKLRQGSFARMMSKNETVLKAKLLMQRSLSHGAPNPKLVKMTEILMDHFKRKDFKESRVIIFSNFRGSVRDIMDTLSSIGDLVKATEFVGQNSGKTLKGQSQKVQQAVLQKFRTGGYNVIVATSIGEEGLNITEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYLKKQATSKTVRKHMHNGGINSFNFHDSPRMVPHICKPEVQFVELSIEQFIPRGKKIKEESGHQSPFLNKISKEEHDLISRYFDPSKTDTWRPSLIAFPGFQTFPSAVHIVRHSFKTTMMLIDAMQRLEGLSFSRAKKDLMVEVAASSQSIAGMITSQNNTMQDTTKDCTDVQNDAPENLLQGRTSDVEEPASGTSRSKDGSGATICTPPTHRFLFGGDFVKVNALGGVSIPNVPVLPLEGSVIYEIIRRNKKEFPSQEKNEITSKVSSPVHDGLPFENALELPLNAGTHSPNIDVQQEHGRINAISQTPVPKQNAELTEVKIAETPGDQEKDITSTLVGESSKIFSCVELSPRLTLFIEKGIVPESPISTCKVDKVHAGLTSDESASMLQNKFLVDRAPSSTVMLDNVLKNELPALSSNSPNVSKLLSKMDLYNTEGNVIDMDMKESASPLVAEMQTPLINPVTSTDEWQMSSGGASKTIMQAPKYRRLCKYGEKVKRQSCRTLTEKYDCFVSKSTGPTVLSKPKEIDCHQGRKQKANKYFDNFIEEEAEVSEDAEVSVDEEDDEHDDKYEDSFIDDRTNPTEASSQTEINGGALFSPSHLWKLCQNVWSIPFLLELLEVEAVHQ >Ma02_p22530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27823432:27842597:1 gene:Ma02_g22530 transcript:Ma02_t22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALPLDDDDNDEFDWEAAVREIDDACQLASASTSTSNPQGFATPVATAGAPANLWRRGGEGRQSTLDRFVVDFHGTKRTRNDDGNRFGSRGREAKEEQNLVGGDEQLAVDIDLEAAKTWIYPVNVPLRDYQFSIARTALFSNTLVALPTGLGKTLIAAVVMYNYYRWFPEGKIVFTAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQMSPPKRSAFWKSKRVFFVTPQVLEKDIQSGICLVKQLVCLVIDEAHRALGNYSYSVAVRELMTVPVQLRILALTATPGSKQRTVQNVIDNLHISTMEYRSESDHDVSPYVHNRTLELIQVAMSEDASVINNLLLEGIHPYVARLCTIGVLHNRDAAKWSPCELLNSRDKFRQAPPSSLPHVKYGEVEGCFGVLITLYHIRKLLSSHGVRPAYDMLQEKLRQGSFARMMSKNETVLKAKLLMQRSLSHGAPNPKLVKMTEILMDHFKRKDFKESRVIIFSNFRGSVRDIMDTLSSIGDLVKATEFVGQNSGKTLKGQSQKVQQAVLQKFRTGGYNVIVATSIAHGKDWKEA >Ma09_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11819338:11821248:1 gene:Ma09_g16440 transcript:Ma09_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVITIENPLATAAGLAGNILSFLVVLAPMPTFYKIYKKKSTEEFGSVPYMVGLFSAMMWIYYGALTMDFLLLSINVGASLIETAYLILFLIYAPAKPRAFTLKLVSLFNVGAYGSVVLFTMLFLRGGRRTNIAGWICASFAFSCFVAPLSIIKQVIRTKSVEYMPISLSFFLTVSAIAWLSYGLLLGDLHVALPNVVGFLFGVAQIIIYLVYKNAKKDDTKTKLGNQPAEAMATAVTSGSDIELPEKLSTPQAEV >Ma04_p36970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35103407:35104367:-1 gene:Ma04_g36970 transcript:Ma04_t36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRTYGGDDADHRQGSGGRRLYSPYHELQIPYRTLYDLPTSPEFLFQEESVAQRRSWGENLTYYTGIGYLTGAAAGASLGLRGALRSAEPGDTLKIRLNRVLNSCGQNGRRIGNRVGVIGLLYAGLESGMVAARDTDDWVNSVVAGLGTGAVFKAANGPRSAAVAGAIGGLMVGAAVAGKQVLRRYVPI >Ma04_p38610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36063227:36067692:-1 gene:Ma04_g38610 transcript:Ma04_t38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AE7-like 1 [Source:Projected from Arabidopsis thaliana (AT3G50845) UniProtKB/Swiss-Prot;Acc:A8MR89] MTLGMINAHPVVHAKKERVARSEDLHSDDALDALDVFDSVRDIKDPEHPYSLEQLSVLSEESIAVDEKLGRIKITFTPTVKHCSMATVIGLCLRVKLIQSFPPHFKVDINVSPGSLADEEAVNKLLNDKERVCAALENPSLRQLVDECLYSNELYSL >Ma02_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19550667:19559811:1 gene:Ma02_g09660 transcript:Ma02_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMPMSAELEQIDGEIQDIFRALENGFQKLDKIKDSNRQSKQLEELTGKMRECKRLIKEFDREIKDQESRHAPDVNKQLNEKKQTMIKELNSYVALRKTYQSSLGNKRVELFDMGAGGNDPVAEDNVKMASDMSNQELVDAGRKQMDETDQAIQRSKMVVEQTIEVGTQTAANLKQQTDQMGRIVNELDTIQFSIKKATQLVKEIGRQVATDKCIMFFLFLIVCGVIAIIIVKVVNPNNKNIRDIPGLAPPAPTARRLLSAEAFGSLG >Ma11_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16196114:16197284:-1 gene:Ma11_g12300 transcript:Ma11_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASVLSLSFLFLISFGLVGLSHAASKCSVPTPLINIPIFPTDIDLLQFALNLEHMEADWFLYGALGYGLDAVAPELVMGGPPPIGATKANLDNLLAGLLAVESGQDAVIRTLLYERANEVVQPYKNLTVAEFTTKVSELRNKLASCGVKDEGLLVPVGWGAENKTNSNVLSANVNSVAYKRTPAEILRIVYGTGDEGTPGGFLPKGGDGAIARGLLKFA >Ma04_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10542495:10543031:-1 gene:Ma04_g13900 transcript:Ma04_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin 18 kD [Source: Projected from Oryza sativa (Os03g0699000)] MGDRDRARERHTIEAMRDLLPEKEPSASQALAVATLLPLGGGLLALAGLTLLGSVIGLALLTPLLLLFSPVLVPAALLVALTVAGVLTSGALGLTGLSSIWYLLKQARGMVQKAPEQMENAKRRVGEAAQAARSRAEETKRA >Ma08_p24530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37661109:37666043:-1 gene:Ma08_g24530 transcript:Ma08_t24530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKLFPSPSRGFSQMSVANEHGRLRRKHLVCMDIGSNNNLSMRGCSDRHDGVSRRADEDIQKAHVVGPHRHLVNGSKMVFSEDMGLSLVNGDGSCKHGKPLEFPGHLKSEKLAVAVDVDEVLGSFLLALNKFIADCYSSNHSVSEYYVYEFYKIWKCSQAEANIRVHEFFKSSYFKTGIYPIPGARHALDKLSTFCNLSVVTSRQNVIKDHTLEWIEKHYPGLFQEIHFGNHFALDGVSRPKSEICRSLGAHVLIDDNPKYALECAEVGIRVLLFDYHNSYPWCKAGSATSHPMVTKVHNWQEVEQQLFSWIPT >Ma08_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37661109:37666043:-1 gene:Ma08_g24530 transcript:Ma08_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREGLRSCSLHLRTLWSDHASAMALNKLFPSPSRGFSQMSVANEHGRLRRKHLVCMDIGSNNNLSMRGCSDRHDGVSRRADEDIQKAHVVGPHRHLVNGSKMVFSEDMGLSLVNGDGSCKHGKPLEFPGHLKSEKLAVAVDVDEVLGSFLLALNKFIADCYSSNHSVSEYYVYEFYKIWKCSQAEANIRVHEFFKSSYFKTGIYPIPGARHALDKLSTFCNLSVVTSRQNVIKDHTLEWIEKHYPGLFQEIHFGNHFALDGVSRPKSEICRSLGAHVLIDDNPKYALECAEVGIRVLLFDYHNSYPWCKAGSATSHPMVTKVHNWQEVEQQLFSWIPT >Ma10_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:12877623:12877898:-1 gene:Ma10_g03270 transcript:Ma10_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDMRSAVQYLQGKVLCHIPVSLASTMSSATVDTGNIGHGVVPHGPPPLNTSSGPAVPPPHLPCPTVASATPRSVLPSPKPEIIIETKRS >Ma05_p29850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40429789:40431376:1 gene:Ma05_g29850 transcript:Ma05_t29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVDHCHGFKPPIWSRRCRLRSFPHPNPPLLDPEPAAPGLPLRRTPKFCRSLSTPCLYSTSAIGPDEPRRDHTARIEIVAGRQSRTIHALVAEAAIALAFGATPVPVSEGLSAAHYLFNRFGQSFAVVKPVDEEAPALSVVGRPSWKHSTHASETGVREVAAHLLDHDGFAGVPPTALIVISRPISGSSQGDPTAPLANQTPERKIASIQRFMPHDFDAGELGPSRFSVSSVHRIGILDVRLLNVDRHAGNILVKKGSGGGCYDGCTGDSMAELVPIDHGLCLPELLDDPYFEWLHWPQASVPFFESEAEYVASLDPFGDAELLRVELPSLRESSLRILVICTIFLKRAVVAGLCLADIGHMMTREFSGLEEGPSEFEALCKRVEDCMKNTTLSPEDDGNEEVSSGDETSEVQFDMDDADGSGAEDALDVALLLGKDRKKDYASENGDGSDEGSVTEHKLGGFGKSFSFSVAYFNHDGSRSISFEGLNEEEWSLFLERFEDLLPEALEARKSMGSKQRLGTSCRF >Ma07_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6570158:6575120:-1 gene:Ma07_g08780 transcript:Ma07_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELMTLGCSLGLSTLLLLAVALVVVSSLWLSTPVDASVSYDHKAIIVNGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFGGNYDLVRFIKLVKHAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGINFRTDNEPFKAAMAKFTEKIVAMMKSERLFESQGGPIILSQIENEYGPMEKFGGAAAKNYVTWAAQMAVGLNTGVPWVMCKQDDAPDPVINACNGFYCDYFSPNKPYKPTMWTEAWTGWFTGFGGPVPHRPVQDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKWCEPALVSADPTVTKLGNYQEAHVFRSKSGACAAFLSNFNPHSYAPVTFNGMKYYIPAWSVSILPDCKTAVFNTAKVGAPTSQIIMTWVGGFSWESFSEVTHSLRDKSFSKDGLVEQISLTRDRTDYLWYTTYVNIDSNEQFLKNGRDPLLTVMSAGHSMHVFVNGERAGTVYGSFGSPKVRFTGNVKLWAGSNKISILSVAVGLPNIGPHFDTRNAGVLGPVTLEGLNEGKRNLSSQKWIYQIGLRGESLSIYTLSGSSSVKWWGASTRQPLTWYKAFFNAPAGNEPLALDMSSMGKGQIWINGQSIGRYWPAYKAYGSCDWCDYRGTYNQKKCQTNCGEPSQKWYHVPRAWLNPTGNLLVAFEEWGGDPTAISMVKRVAL >Ma06_p29750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31145736:31149333:-1 gene:Ma06_g29750 transcript:Ma06_t29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLRCRPLLLSLLLLLCSVVIASSFGGGAEPDEDPLILQVVDLEKDGLTLNAEAHFTSFMSRFGKTYADEEERSHRLKVFKANLRRAARHQRLDPTAVHGITKFSDLTPAEFRSAYLGLRRQTPASSHQAPILPTNDLPTDFDWRDHGAVTGVKNQGSCGSCWSFSAAAALEGANFLATGKLESLSEQQLVDCDHMCDSDEPDACDQGCNGGLMTTAFQYLLKSGGLVREEDYPYTGSQRSTCKFDKSKIAASVQNFSVVSIDEDQIAANLVKHGPLAIGINAVYMQTYIGGVSCPYICGRHLDHGVLLVGYGSAGYSPIRLKQKPFWIIKNSWGETWGEKGYYKICRGRNVCGVDSMVSTVTAINTQQDQ >Ma01_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1859292:1859480:1 gene:Ma01_g02830 transcript:Ma01_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSLELWLLKRLGGPTIDFVPGRQDSSVCPREG >Ma03_p27300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30727192:30746639:1 gene:Ma03_g27300 transcript:Ma03_t27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMACCRLSLYRIHASWRDLHVLGGWNRPGLEAGRKIRHSCFSTRFHHGPLTFLSKRGYSMLPIFPKSDPSNTAEGLPLQYSLLNSSTSGKAVQCEGTDSSKLQASEPSIDPMNNRVMLVDGTAIMYRSYYKLLAKLQHGLLEHADGNADWVLTIFTALSLLLDVLEFVPSHVAVIFDYDGLTFRHMLYPSYKGNRNPTPDTVVQGLQYFKASIKAMSIKVVEVPGVEADDVVGTLAVNSVSTGYKVRVVSPDKDFFQIISPSLRLLRIASRGPGMVSFGLEDFAKRYGALKPFQFVDVVALAGDKSDNIPGVEGIGDVNALKLITKFGSLENLLLCVDQVDDERIRKALIACADQAVLCKNLATLRSDLPSYMVPFKTPDLVFQKPQDNGDKFITLLRALGAYAEGFSPDPIIRRAAYLWNKLKT >Ma03_p27300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30727192:30746639:1 gene:Ma03_g27300 transcript:Ma03_t27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMACCRLSLYRIHASWRDLHVLGGWNRPGLEAGRKIRHSCFSTRFHHGPLTFLSKRGYSMLPIFPKSDPSNTAEGLPLQYSLLNSSTSGKAVQCEGTDSSKLQASEPSIDPMNNRVMLVDGTAIMYRSYYKLLAKLQHGLLEHADGNADWVLTIFTALSLLLDVLEFVPSHVAVIFDYDGVPYGHTTAMPSKECYMAKGLTFRHMLYPSYKGNRNPTPDTVVQGLQYFKASIKAMSIKVVEVPGVEADDVVGTLAVNSVSTGYKVRVVSPDKDFFQIISPSLRLLRIASRGPGMVSFGLEDFAKRYGALKPFQFVDVVALAGDKSDNIPGVEGIGDVNALKLITKFGSLENLLLCVDQVDDERIRKALIACADQAVLCKNLATLRSDLPSYMVPFKTPDLVFQKPQDNGDKFITLLRALGAYAEGFSPDPIIRRAAYLWNKLKT >Ma03_p27300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30727192:30746639:1 gene:Ma03_g27300 transcript:Ma03_t27300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMACCRLSLYRIHASWRDLHVLGGWNRPGLEAGRKIRHSCFSTRFHHGPLTFLSKRGYSMLPIFPKSDPSNTAEGLPLQYSLLNSSTSGKAVQCEGTDSSKLQASEPSIDPMNNRVMLVDGTAIMYRSYYKLLAKLQHGLLEHADGNADWVLTIFTALSLLLDVLEFVPSHVAVIFDYDGVPYGHTTAMPSKECYMAKGLTFRHMLYPSYKGNRNPTPDTVVQGLQYFKASIKAMSIKVVEVPGVEADDVVGTLAVNSVSTGYKVRVVSPDKDFFQIISPSLRLLRIASRGPGMVSFGLEDFAKRYGALKPFQFVDVVALAGDKSDNIPGVEGIGDVNALKLITKFGSLENLLLCVDQVDDERIRKALIACADQAVLCKNLATLRSDLPSYMVPFKTPDLVFQKPQDNGDKFITLLRALGAYAEGFSPDPIIRRAAYLWNKLKT >Ma10_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14875484:14877825:1 gene:Ma10_g04180 transcript:Ma10_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGKGQPITSWTIHMIFRDFLGKRAGLIKALTTDYEKFRQQCDPQKPYMCLYGCPDETWELKEPPDVPHELPEPNIGINFARDGMPEKEWLGRIAIHSDAWLYSYAFYIAIRADLDAETRMRLFDMINFNPTIYEIVRGTVNTKRAKEKPPGTSSKKTPLETLHTSLPFIPHPSAPSLAVSFSTSAAAVAVVAVACCILTLPDNEPWRSAEGWCGPYYGGRHPKTFPGRPDPATKSGSLQGTTVI >Ma02_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26503106:26523406:1 gene:Ma02_g20600 transcript:Ma02_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQVIPLESTADAATSSSPSFDRIVYLKVINRHLLVVSPTHLELWSSSQHKVRLGKYVRDADSVEREGENLQAIWSPDTKSIAVLTSASYLHIYKVHFSGKRLMIGGKQLPGLFLASVSLVITEKAPFREKNLVISNFVCDSKNMLLGLSDGHLQLVSWIGEFPSIFKICCQPAHSVVDASSAFLGNASVQESVQCCTRSSAIVQLELSLQLRLLVVLFSGCQIALCSVNKKGLKQTSFIKPERWLNTDDAMCASVASDQQILAVGCSRGVVELYDLAENATHLRTISLYDWGYSMEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSASGCRLMCTIRQIGMSSASSPMVKPNQDLKFEPLIGGTSQVQWDEFGYKLYAIEERSSERILAFSFGKCCLNRGLSGTSYVRQIVYGEDRVLVVQPADTDELKIVHLNLPVSYISQNWPVLHVVASKDGMYLAVAGVHGLILYDLRNKKWRVFGDVTQEQKIECKGLLWLGKIIVVCNYIESSNTYELLFYPRYHLDQSSLLYRKQLLGKPMVMDVFQDYVLVTYRPFDVHIFHVKISGELSPSSSPVLQLSTVRELSIMTAKSHPASMRFIPDSTAEKALEKNNSLDILSQQPSRCLILRTNGELSVLDLDDGHEQLLSNSVELFWVTCGQSEEKANLIEEVSWLDYGHRGMQVWYPSPGVDPFKREDFLQLDPELEFDREIYPLGLLPNIAVVVGVCQRMSFSACSEFPCFEPSPQAQTILHCLLRHLLQRDKSEEALHLACLSAEKPHFSHCLEWLLFTVFDAEISRQSANKNQFSASLTPSSNSLLEKTCNLIKNFPEYVEVVVSVARKTDGRHWADLFSSAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGREYENATTESDKLSPRILGYFLFRSPYRRQSSDLKSTSFKDLSPHIASVKNILETHASHLMSGKELSKLVAFVKGTQFDLVEYLQRERQGSARLENFASGLQLIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWTAYSRTLQSHPSFHNYLDLLDVLEEQLASVSDQDIQNGPAASSDHSSE >Ma07_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31225280:31226016:-1 gene:Ma07_g23650 transcript:Ma07_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVLLIFLSATLAGFFVLRNLKAQPELVEARQEEEDSSEEQVSLSTKVRSTIGDGFWTCVDMASGRYLWRILMAPSSATKEKTC >Ma09_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2690459:2696135:-1 gene:Ma09_g04120 transcript:Ma09_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVGRRKKITKQLTGKREDTPLHSAARAGNLAVVNEFLSGNNEENLKELLCKQNQAGETALFVASEYGYIDLVQEMIKHHDVAMAGIKAKNGYDALHIAAKQGDEDVVKELLNALPELSLTVDFSNTTALHTAATQGRIEVVNLLLEADKSLALIAKSNGKTALHSAARNGHLEVVKALLRKEPGIATRTDKKGQTALHMAAKGTSLELVEELLEHEPYLISSVDTKGNTALHIAARKGRAQIIKRLLEIKELETKAINKSGETALDIAEKMGNSGIISILLEHGVQSARTIRPPQNPARELKQTVSDIKHEVHSQLEHARQTRRHVQGIVKRINKLHEEGLTNAINSNTVVAVLIASVAFAAIFTVPGEYVEFDNLAPGLTLGEANVAHQTPFMIFFVFDSVALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWIACVLISIAFLALCFIVVGRKGRWLAIGVTIIGAVILATTFGTMIYWVIVHRIEAKKLRSIQRSSFSRSRSWSVSGVSDSELFNGEYKMYAI >Ma08_p27590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39772015:39775089:-1 gene:Ma08_g27590 transcript:Ma08_t27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVGNGVAQEQRLERQIDRQMGCMAGFLQIFDRHHVLPGRRHYSTRRLATCSTAGSTSPSERSEASSSSFLKESHPPPSSPEACSENRAAAETPGQRTLPLPFPVFEAKDGARTTWRLREGPRLSLDSRAVVDAKGKLRPREIRTAVPVPSGDQSDASEAADEQRRSPSVVVRLMGLDALPSVGGVCRTELDLAELRRSASESRVRRDPSYYGFMDAGSFHKRPPFPCEAAPISTKEFFKTVNLAQFRLNETKKLEPTPRTNSLPPLHRKSFFDAEDFFPEPKQSGTLYIEIEKRLRMRGIDEPARDLDTLKQILEALHLRGLLHSKPRDSSATGHRTLIYDYQSQIPSDAPIVIMKPASKPPQRPWSEPLPPRPGSCRQSAPPVRRERGTVDRSIKGGNERRNRALRSPESPSSPVQRRHLNAVAQKSAQPQRRMSTVSSPRSSPKRTGPDPLAVRSPRSRRPTADASPRERVCPPAEDDATTIISGSSICASPQLDFERSGRRLLERCDKLLHSIAAFTGAEQDTATDQQPSPVSVLDSLSYLGEEVSPSPSPLAKRSIDFKDQVADDWEEEHWSSAASTNHGCGIDGPDMADEDYAYVCDVLRASDRYGDASDAVYAILEKRRCRRQAPDKSKTACLHHRLVFDTVAEILERKRHVSPWDAFSRAGGEEEALPKVWAEFRRVRVQEAADDHEGAACGAVRKDIAAGRADGWAQPAAEMSDAVLHIERLIFKDLVAETIRDLADAGCAAERRPLLPRRKLVF >Ma09_p31520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41210713:41212749:-1 gene:Ma09_g31520 transcript:Ma09_t31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGRCLLLFLLLFSSSFLPRPSSALSDAEAAAIARRQLLALPENGQLPDDFEFEIEIGIRISNPRLRSSYVALQAWKTAIYSDPHNFTANWDGPDVCGYNGIFCSPAPDDPSLNVVAGADLNGADIAGFLPAELGLLTDVALFHINSNRFCGIIPKSFSHLKLLHEFDASNNRFVGPFPDVVLGLPSLKYLDLRFNNFEGALPPVLFDRDLDAIFLNNNRFSSHIPNNFGNSKASVIVLANNKLGGCIPASIGDMGATLNELVLLNNGLDGCLPPEIGSLGNTTVVDVSWNSLTGVLSKSFDGLTKVEQLDLSHNVLTGVVPAGLCRLPSLANFTFSYNFFKGEADECVPTSTKSDVVFDDTSNCLARRPTQKSGEMCAPVVSRPVDCGRSKCGSGPKKPSPKPGKPSPKPSPNPTTPSPPPKVVSSSPPPPPPQPVPSPPPPPQVVSSPPPPQQRGSPMTPARPPPSPHSESPVTRVHSPPPPATTPPPTPTHPSPPPPVSSSPSPVHSPPPPVHAPPPPVPSSPSPVYSPPPPLRSPPPPVYSPPPPPPPVSSPPPPVYSPPPPVRSPPPPVPSPPPPAYSPPPPPAHSPPPPPVRSPPPPVYSPPPPPPVLSPPPPVYSPPRAVSPPPPSVSSHPPTSNSSPPPPPSPDLDMLPPVGGLSYASPPPPLYPGYN >Ma02_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24046906:24048927:-1 gene:Ma02_g16910 transcript:Ma02_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEKELETAKTGSSVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Ma03_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9780236:9781452:-1 gene:Ma03_g12710 transcript:Ma03_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPGWGKLPRSPAHPSPSPHVDDDDVVGIGASAGGRRSISYCRLPEQLLRLSVLKLDGSSYEVEVARTASVAELKMEIEDIFSESPDDGGCCISWSHVWGQFCLCYKEHKLIDDGASLRSFGIRDGDQLHFIRHLSPNQKTNRRRLKNRRTETVEENGKGKDIRRRGYVDEQRQDHDQRDWSAPHTQPKLGSFFRRWCSTPSPGKTKPERQSKVIGKSKKICWAICR >Ma07_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8566267:8570633:-1 gene:Ma07_g11550 transcript:Ma07_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEERVALLDGSRGEEEELESGLGLGLVQRSWIESKKLWRIVAPAIFSRVVSYSMNVITQAFAGHLGNLELASITIANTVVVGFNFGLMLGMASALETLCGQAFGAKKYHMLGVYMQRSWIVLFLCAVLLLPLYFFATPILILTGQPPELAEQAGTVSMWFIPLHFSFVFIFPLQRFLQCQLKNSVNAIIAAISLVVHLFVTWLFVSKLQFGLIGTTVTLNFSWWVGAFCQFGYVACGGCPETWKGFSMEAFTGLWEFLKLSAASGVMLCLENWYYRILILLTGNLKNAEIAVDAISICMNINGWELMIPLAFFAGTGVRVANELGAGNGKGARFATIVSVVTSSVIGLFFCLLIMALHDKFAFIFTSSSVVIEAVDKLSLLLAFTILLNSIQPILSGVAVGSGWQAMVAYVNIGTYYLIGIPLGILLGWIFNLGVMGIWAGMITGTAVQTLILAFITIKCDWEKEALIASARMEIYGSSDKP >Ma06_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5197200:5199812:-1 gene:Ma06_g07290 transcript:Ma06_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRHPPWRIPSRPSALPFEVEEAVAEEVEGALRPLKSLRRSALLLLALPALVPAPSAATALSIGIPGPKEWLKEQKKKSAKFVLAPIEASRNTLRSAFDLLNAPDSQSTAVNSEEMRRLLNLASRDCVPLQRSSLVQLQSQTGVEVCTFRLIVKNAASLLDKNNPVKLEAEVALDDLIRSFSLLGDVIIDGNFQLGADREKVKDGLAKTISSLDKFEQGIKECLGV >Ma05_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4472946:4474167:1 gene:Ma05_g05940 transcript:Ma05_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGNEEQGQDYLFKIVLIGDSAVGKSNLLARFARNQFFPNSKSTIGVEFQTKTMDIDGKEVKAQIWDTAGQERFKAVTSAYYRGAVGALLVYDVSRHQTFDSVGRWLQELHMHSDMNVVTILVGNKTDLKDAREVSTAEGKTLAEAQGLFFMETSALDSSNVAAAFQTVVEEIYHAVSRKVFLSQEQQKHEMPSLTNGKTVVLLGDTKDASGGTRGFWCCSS >Ma04_p35790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34386351:34391433:1 gene:Ma04_g35790 transcript:Ma04_t35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHYSYAPVRLGSAAAAPTVGRHRFCTASFRASAVSSAPKARFVARRTESTTVQQLQRPLAEYMSLPASQYSVLDAERIERIDDNTFRCYVYRIKFFAFEVCPVLVVRVDEEPNGCCIRLLSCKLEGSPLVEAQNEKFSASMVNRVSCNDGLHNSTFQQLATDTVIEVTIDIPFAFRAIPVEVFESTGTQVLEQLLRLMLPRFLAQLVKDYQSWASGDNSRQPLGTGQI >Ma09_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35690121:35696054:1 gene:Ma09_g24030 transcript:Ma09_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGEATSSSDDPKGTKRDYSTAILERKKAPNRLVVDEAINDDNSVVVLHPETMEKLQLFRGDTVLLKGKKRRDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGITGNLFDAYLKPYFMEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCIVSPETEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKARSHVIVIGATNRPNSIDAALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEGIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDESIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSHVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRFQIFKACLRKSPIAKDVDLRALAKFTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDDADEVAEIKAVHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFAERAATTGSDPFGTPAAGADDDDDLYS >Ma03_p27720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31012426:31013507:-1 gene:Ma03_g27720 transcript:Ma03_t27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIMARSPHESSFSFSKRHFQWTAGNGGEDKKRGGGRVEEDVLVFSAAISASPFSCDDDAPLKAEAAAPPARKKGSTSSSAAAVAVSRLRAVLTAAIVGRRRPVGFGPRVTGTLFGHRRGHVHFAFQVDPRACPAVLIELATPTNTLVREMASGLVRIALECERRAGGGKKLLEEPLWRAYCNGKKCGYAVRRECGPADWRVLRAVEPVSTGAGVLPGDGGAYGEMMYMRARFERVVGSKDSEAFYMMNPDNNGGPELSIYLLRA >Ma06_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6824021:6828662:-1 gene:Ma06_g09820 transcript:Ma06_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTLIAKLHSISLSSRVISLPLARPSPLLRFRPLLAAAAAFDYPLLRLSSTCGAAGLRCFSTRPTTSSLNDPSPNWSNRPPKETILLDGCDFEHWLVVMEPPDPALTRDEIIDSYIKTLAEVLGSEEEARMSIYSVSTKHYFAFGCKVSEEISYKIKPLSKVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWVRNNARAQERSRRNDRPRNFDRSRNFERRRENMQNFQNREAPPVPNQEFRNRDTQSAMPPNVQNPMPPRDVSAGPTRDFNAMPPNAPNYQNQIPNRSSSYGPPNAGPSYQGTGQGYPEGPGYQGGGQGYRGGDPGGNMPGGPGYQAGRPGYQGSRPGGYQGGGPGYQGGNPPHQGGFSGSPSGSPGYQGGNPPYQGGFSVSPSGNLGYQGGNPPYQGGFSGSPSGSSGYQGGARNYEQGGPGYQGGPPHQGRDLPGRDQ >mito3_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:424538:425461:-1 gene:mito3_g00040 transcript:mito3_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPWDGCKAKQIASSPRTEDSMGCQRCESACPTSLESVLLYGMKQKLAAWEMGDWNFRLGIDNTLLVNYIPFALLCTLLLAWPVTRNKRLFYFLITHKSRQEIPPSHPFPK >Ma06_p28780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30365368:30367283:1 gene:Ma06_g28780 transcript:Ma06_t28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVASENDARAIRVFFIPFFATGHMIPLVDIARLFAARGVDSTVLVTPANAALIRATVDDAAASGLPLRTLVYPFPSSECDLPPGVENISALPPSDSYKIDVATPFARPEHERLLRLHRPDAVVADTHFPWTTYIARDLGVPRIAFQALGLFPVCVMASVIRKRPHLATSGDNEPFLVPDLPHPVHLVPSELPDFIRGETIITGILEELAEAEKGSLGVVVNSFAEMEEAYAEHYHKVGSIRSWFVGPVALANADAKGLGARGGDDPVAAANRARCLSWLDAKEPKSVLYVCFGSWSHFRGEQLREMALGMEAAGHPFLWVVRDDVDEWMPDGFERRVASRGLVVRGWAPQVAILAHAAVGGFVTHCGWNSVLEGVTAGLPMVTWPLSTEQFINEKLVVGVLRMGVRAAERPGSTAESERPLVGAVELAKAVARVMGGGEEAEAMRRRAREHGKMARAAVTEGGSSYKGLSDLIEEIRKWHAERMSSAAAVIAT >Ma03_p29710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32578914:32585202:-1 gene:Ma03_g29710 transcript:Ma03_t29710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPWRRLEGKVVMVTGASSGIGREICLDLAAAGCRVVATARRIDRLRSLCDEIDGPGSPLPPPSSSFSARSAARSVAVELDVSAGGSVIEAAVQRAWDAFGRIDALINNAGVRGGVYSPLDWPEEDWNATFATNLTGLWLVSKYVCKQMVNAKLKGTVINISSISGLNRGKLPGAIAYAVSKEGVNAITKVMALEMGVHNIRVNSISPGIFKSEITESLMRKKWINKVATKIIPLRTFGTSDPAITSVVRYLIHDSSEYVTGNIFIVDAGATLPGFPVTSNKKRPIFRPSFPSPRAISRVSSSSRSSRSIALAMAAPKKVRAASRNPSLIRGIGKFSRSKMYHKRGIWAIKAKNGGSFPHHDPKPAEPAQSSAKPPKFYPADDVKTPIPNRRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVVATSTKVDISGVNADKFHDKYFAKEKKEKTKKGEGEFFETEKEETKSLPQEKKDDQKAVDGPLIKAIEAVPDLKAYLGARFSLRSGMKPHELVF >Ma03_p29710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32582434:32585229:-1 gene:Ma03_g29710 transcript:Ma03_t29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPWRRLEGKVVMVTGASSGIGREICLDLAAAGCRVVATARRIDRLRSLCDEIDGPGSPLPPPSSSFSARSAARSVAVELDVSAGGSVIEAAVQRAWDAFGRIDALINNAGVRGGVYSPLDWPEEDWNATFATNLTGLWLVSKYVCKQMVNAKLKGTVINISSISGLNRGKLPGAIAYAVSKEGVNAITKVMALEMGVHNIRVNSISPGIFKSEITESLMRKKWINKVPLYQVFPSSLHFDISL >Ma08_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36530916:36535571:-1 gene:Ma08_g23100 transcript:Ma08_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASIWSANLYQLWNSLGSNSTFTFLRFSTTLVPEKPYNTRRRQLQQLRRLVQVLRWEPRSFITSCSHPEWKVDKVMVRSSTNKDINQPELNKLKAVQCYHIQENISIRKAFDRPATILVFDIETTGFSRQNERIIEFALRDLIGGKNSTFQTLINPEKDVLNAYIHGIRTYMVNKPDVPTELVPILLQYVRSRQVDGRPVIWVAHNGRRFDVPFMIKEFQRCSVEIPPDWMFVDTLPLARQLVKADGSKLPSFSLKALREHYEIPLDGPAHRAMQDVTTLCYVLQRITFDLKLSVPELMNGAFRASDIIKILPEKQDGAV >Ma08_p23100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36530916:36535246:-1 gene:Ma08_g23100 transcript:Ma08_t23100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASIWSANLYQLWNSLGSNSTFTFLRFSTTLVPEKPYNTRRRQLQQLRRLVQVLRWEPRSFITSCSHPEWKVDKVMVRSSTNKDINQPELNKLKAVQCYHIQENISIRKAFDRPATILVFDIETTGFSRQNERIIEFALRDLIGGKNSTFQTLINPEKDVLNAYIHGIRTYMVNKPDVPTFRELVPILLQYVRSRQVDGRPVIWVAHNGRRFDVPFMIKEFQRCSVEIPPDWMFVDTLPLARQLVKADGSKLPSFSLKALREHYEIPLDGPAHRAMQDVTTLCYVLQRITFDLKLSVPELMNGAFRASDIIKILPEKQDGAV >Ma08_p23100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36530910:36535571:-1 gene:Ma08_g23100 transcript:Ma08_t23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASIWSANLYQLWNSLGSNSTFTFLRFSTTLVPEKPYNTRRRQLQQLRRLVQVLRWEPRSFITSCSHPEWKVDKVMVRSSTNKDINQPELNKLKAVQCYHIQENISIRKAFDRPATILVFDIETTGFSRQNERIIEFALRDLIGGKNSTFQTLINPEKDVLNAYIHGIRTYMVNKPDVPTFRELVPILLQYVRSRQVDGRPVIWVAHNGRRFDVPFMIKEFQRCSVEIPPDWMFVDTLPLARQLVKADGSKLPSFSLKALREHYEIPLDGPAHRAMQDVTTLCYVLQRITFDLKLSVPELMNGAFRASDIIKILPEKQDGAV >Ma09_p28110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38940507:38950701:-1 gene:Ma09_g28110 transcript:Ma09_t28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MAMLLPRPVSSLAPRVPRYLLPSRLNSRRRKSVYVVQASWQELAGVLIFSAIPFTAVKAVANSSLGEKLRRQLSETKRDAVEKSLRFKALAQKAREASSWYGRERPCWLGPIPYKYPSYLTGELPGDYGFDVAGLGKDPVALQKYFNFEILHARWAMLASIGVIVPELLEELGFVHFVEPVWWKVGYAKLQGDTLDYLGIPGLHIVGGQGVIIIAICQALLMVVGPEYARYCGIEALEPLGLYLPGDINYPGGLLFDPLGLSADPVAFEELKVKEIKNGRLAMVAWIGFYLQAAVTGKGPIQNLFEHVSDPLHNNVTAFIKLM >Ma09_p28110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38940507:38950701:-1 gene:Ma09_g28110 transcript:Ma09_t28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MAMLLPRPVSSLAPRVPRYLLPSRLNSRRRKSVYVVQASWQELAGVLIFSAIPFTAVKAVANSSLGEKLRRQLSETKRDAVEKSLRFKALAQKAREASSWYGRERPCWLGPIPYKYPSYLTGELPGDYGFDVAGLGKDPVALQKYFNFEILHARWAMLASIGVIVPELLEELGFVHFVEPVWWKVGYAKLQGDTLDYLGIPGLHIVGGQGVIIIAICQALLMVGPEYARYCGIEALEPLGLYLPGDINYPGGLLFDPLGLSADPVAFEELKVKEIKNGRLAMVAWIGFYLQAAVTGKGPIQNLFEHVSDPLHNNVTAFIKLM >Ma09_p27060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38154238:38156202:1 gene:Ma09_g27060 transcript:Ma09_t27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHLFNCGILTFGPHAVYYSATPLSEYDTGGTSVKAAIVYLGTALVKLICLATFLKVPENDNFDPYQELLKALIGFIDVARLYFALAQLTHRNISQNH >Ma06_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10609616:10610263:1 gene:Ma06_g15670 transcript:Ma06_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRLVSHSGGKAASLGHYLWRRIASSLSMASFT >Ma05_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5076958:5077509:1 gene:Ma05_g06940 transcript:Ma05_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQIGQIDEDRKSITEFETTNDSEVRRQKTCLPPVVLLHESGNFVIHLCEAGSKLDDENADHGESDEEGPVAAPPALEEHRPWVELPHLSSRLSADDPLPLKVFGNSTPLDAIVTAFHLDISHIITNPRDSNLNIYTRSNELR >Ma05_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32724835:32728736:-1 gene:Ma05_g21010 transcript:Ma05_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPSNPTKSAKAMGRDLRVHFKNTRETAHAIRKLPLSKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKGRHPNGQGRWPLKSARFILDLLKNAESNAEVKGLDVDALFISHIQVNQAQRQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQIAPSKPKKAQAIRSGASS >Ma01_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5412251:5416895:-1 gene:Ma01_g07490 transcript:Ma01_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAETSDAPPTSLVDRTVVPGDTVLDLTAMANQTIKLGAGLRQDCDTISVMKAGKLRLSKPNKYWVESSHKRYAPCVEDTVLGIVVDCKPDNFLVDIKAPTLAFLPVLAFEGGTRRNIPKFEIGTLLYVRVVKANNSMNPELSCVDETGKASEFGQLKDGYMFETSTGLSRMLLSSPTCPVLEALGKTLSYEIAVGLNGRVWVNASSPSTVILVSNAIMNSESLSGVQQKIMVEKLMQRLQ >Ma09_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33579527:33580568:-1 gene:Ma09_g21720 transcript:Ma09_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRPACALCGGEAAVYCEPDAAFLCWACDASVHGANFLVARHVRQATCAACHSLDAGCRVSGAGPQRVRPLCASCDPDPAAPPSHSEASSSSSSSLSTSESTAAPRAKKPADPRRTATKRRRGVVEERVEAVLLGWSRRMGLRRGRRCVEAAARVVGLHQETTAALPLPVALAAALWFAVKLREQDAAAERGSWVALRRLEACSGVPARLIAAAASRIARVAEREVRVAKEGWAECT >Ma10_p28040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35053169:35061516:-1 gene:Ma10_g28040 transcript:Ma10_t28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSPVSALATSLRCLAFELLRVFAAPSLRIQIFSGLTTRNILLFPPSLSSLSLSQISPFWFVRSFTPASAMAASSSSPEAAPTGPADAISRDRILSSNLYFDVPPSKVPVIYSSSYDIAFFGIEKLHPFDSSKWGRICQFLIKEGFLDKKQTVEPLEASKDDLLVVHSESYLSSLKSSLNVAIIIEVPPVSLLPNCLVQNKVLYPFRKQVGGSILAAKLAKERGWAINIGGGFHHCSAEKGGGFCAYADISLCIQFAFVLLNISRVMIIDLDAHQGNGHEIDFSNDRRVYILDMYNAGIYPFDFEAKRYIDQKVELASGTKTKEYLDKLDEALKVARNNFNPELVVYNAGTDILDGDPLGRLKISPDGVMTRDEKVFRFAREKNIPLLMLTSGGYMKSSARVIADSIINLSKKNLIALDSPLGRK >Ma01_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10946892:10949208:1 gene:Ma01_g15100 transcript:Ma01_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMAVPKKKVHRTLTPCRHKKGLRNGPKARKPVPAIVHCKSCGCVKLPHYCCSGDSGASSS >Ma02_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29068595:29071049:-1 gene:Ma02_g24440 transcript:Ma02_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPAATAISEGGVAPGYAASVDSSPRSRGGDSWDEPFSSSAAAAVSSRLRLMCSYGGRIVPRPTDRALCYLGGETRMVVVDRNSSLADLTAKLSRDLLGGLPFSLKYQLPNEDLDSLISVATDEDLENMIEEFDRISAAAGGGAGGSTRSPRLRLFLFPSMPESEHSSTIGSLLDESKSETWFVDALNSAIGCMGMDGLPRDFSADSASINGILGLEDNSSVHSRSGGGGGAHREPEQLGLHRPDSSCKLGRHGHEAHSVPDSLMLDKSSSFGSTSSAPSLSNLPPIPVPTDDRPADHRIAGLDDHLAHMRLSPDSATSQRPNEGFKEPIYAPQRPPPPNPLPTDSASSPTISATENFNRVFSDDERSEHAGLRKLPQPPKPTHIGATALDPASRPTYLNPNSDPKTEQLQQQQFHPHLYQQQPQFIPRNPHYIHHPAATMPSYYPTAAHPTQQSPQAHPFDPQLQLYYMPVGHPVPYPLAAVQPNLADPNSVPAALQPQLISVPSNQAHAYAGTGYHVMQQPHLSQSPAAMANYGYEVAAASGHPQMYYSQASSHPAHTLQYQTMSSTTVIPDVAASEDSNASRAS >Ma05_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9895792:9895971:1 gene:Ma05_g13610 transcript:Ma05_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKALCMRLFHLGLPAHRPRRPPPRLLRPRRPVHRRRHLRRHCPSSPPHCPPGLLMFRS >Ma02_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28860392:28861542:-1 gene:Ma02_g24140 transcript:Ma02_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLFSPMPSSPHFPVYTAHRRLAVSYRVIGFCTFFHAHRAVVVRAATQPPAPAGSDDDAAPANEDAKKKRSDGVPGLPNLPFPDIPIWARWALGSVVLLALPFYRKVLGIEEKAEKTAEAILGVVEKVAEVTEKVSLEVAEVLPENSELKKIALEVEHVAEVVIKDAELAETLIEKVDGVVKNVDTMVEPIVEEVIDGGQKGSVRRESTNSKRNV >Ma11_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27748845:27750866:1 gene:Ma11_g24950 transcript:Ma11_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQEV >Ma04_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10831995:10833783:1 gene:Ma04_g14300 transcript:Ma04_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCRHCAHHLLLLRPHAAPTSTPTLPPCALRHVSLPLWSCVFPPLPRGNDADVSLATGHWPRSPSSHALCFVSNPTHPPALLLHRLLQLLEETGELWYAIQHLSFVSCFLRPVSIKGVERVSSLPIFGDRFFDTLFPAMPTSLDHKISRPVVRMNRRRRRRLPLLKRSRICYDIDGRRKQSPATAAVASGAVKERLQALRKLIPRKTDSVKEKEMATDRIFEETAEYILLLRAQVEILKLLVDFHSPCTEKNGGMQ >Ma06_p29210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30659966:30669025:-1 gene:Ma06_g29210 transcript:Ma06_t29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEKKAEKTKICDICGDSGFMEDIVTCHCCKRASEHIYCMQVLQFRVPDVWYCNGCLSKAHGVHKGKMQDTRTESDSFKICTFDSKRAKSPTKASTHLNDDPTPEEINDGRKQQNRRHPMHSSFSNVSVKTIENAKVKFITLEEVALNYGATAYCKQGNSGVSCRRSVCPFNSRKSFTSISRSCRLTSTRVPRQPNEATVDTDTDLSLGKAIIVREDIINDKEGNMQKNKVSDYNSSLKSIVPAASNISSDASKHIDDRTKNRTKCKALGETSATLTEEKHMDRSIVASDYFQLKHIQEKEAKCVDVGVQPTRDRNKKIRTYDTSGGIVCSAAQCKRLASKENDLPLTLLINPNEKLLNYPAPGTCWKGTFEVFDMGIHIYGEIQAHFPSQVSPKAYDISKKLPMKLKLDMLPRRDAWPKIFQSDPPTYDDIGLYFFPSQLERPKEKYFRLLERIDSCDFAMQTWIEDVELLIYPSQQLTVDSHRINEQIYLWGVFRHVKQRKHHQHRQEISSLSPAAADLKTNKHGPSTTSFECSNEDVDMEIDMEGGKDIGQVDKPIRKRVVPPGLPFESPISQEAGPACRPLVKLLDKTSSAQSDVPPGFSRTPYVKQESFSPVVNQIHPVSKICDSPSSFLDVSQRSGTVLTLFPPKSEDGTIDKADDGEMRQPISADLSLSMPHYVMDGDSWVSMTPKASLDQDGCGEKVQLKL >Ma06_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10955607:10956415:1 gene:Ma06_g16190 transcript:Ma06_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPPSPGGDDDASKDAAIAKVAGFVVFSGIAMNIIRAFSPKPLEPQAPSPPPQSPTPSTFREISSKETTADERLGSKPSSGREIAIVKGDTLWGLSRKYGVSINAIKEANGIQGDTIYAGKKLIIP >Ma02_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16883140:16885259:1 gene:Ma02_g05620 transcript:Ma02_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSLFLVASEPNLQFLLIGTLGAYLASSYSNVLLADARRDMNKVVFAVFTPSLMFASLAKTVTFEQIISWWFMPVNIGITFLVGGILGWIAVKILRPPKHLEGLVIASTSAGNLGNLLLIIIPAICEENGNPFGDKNICSSRGLSYVSFSMALGGFYIWTIAYGLMKNAGKVYHGSQLGSNLVKEDGGGVSPGQESMLSVSVQPVEEVGQNQIEVPLLHDKGVKLWEKLNGILHNIVEELMAPPTVAAIIGFAVGAIPWLKSLFIGASAPLRVVQGSIKLLGDGTVPCITLILGGNLTQGFHKSRIKRKVILAIVCVRYMILPAFGIGVVKAAYELGFVPYDPLYRYVLMIQFTVPPAMNIATMAQLFDVGQDECSVIFLWTYVIATVALTVWSTIFMWILS >Ma03_p24640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28923766:28926091:-1 gene:Ma03_g24640 transcript:Ma03_t24640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHLNSLEKGKNTLHQVETSIFCPSKSFVLLVGFSRGCRQYSKLILDLVRTARTITVSSSSSFRYTEGTVVHLPHELLRSWIYVTIDFFRTSRI >Ma03_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28923766:28926091:-1 gene:Ma03_g24640 transcript:Ma03_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHLNSLEKGKNTLHQVETSIFCPSKSFVLLVGFSRGCRQYSKLILDLVRTARTITVSSSSSFRYTEGTVVHLPHELLRSWIYVTIDFFRTSRAGQTKVQTYGPHLYEPYKSLEVMWAHSEQSMHQSYAIYGQALKPYGPCWGVFVMTTTNAVIKFSSFFSKSKKETYTVIKCNVHIPSDLSGYDRIPLTST >Ma02_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17129053:17129669:1 gene:Ma02_g06060 transcript:Ma02_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEKKQTEPSNDAMDSSEPVKENPGAGRFYDCTFCRRGFTTAQALGGHMNIHRKDRARTRVSGKKDGEGSGSGGASYDPNVDHQRSYPPVSRPVCFVSSSSSGREVASPLGADRSLQTRPSEPTRSSGEGGLLPQMHERTVKNRGEEEEEEDDEMEEVDLELRLGHDP >Ma10_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28517325:28517471:-1 gene:Ma10_g16960 transcript:Ma10_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGIPVLNPGEVITREALDYLLDARNKGVVIMGAADPRLSSMVVCSE >Ma02_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28058063:28062432:1 gene:Ma02_g22840 transcript:Ma02_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRWPRSVAEMAVFSHSTGRGCGGGGGSGGRGAAAASQRLVEAAQRGDVRAAAECLADPAVDVNHVGAVCLRGRRVEVALREEAADEVRVEWEELRTDASALFLAAQAGDLPFVRTLLEKGADVNQKLFRGHAITAAVREGHAEVVEVLLKAGASQPACEEGVVEASLHGRARLAELLMGSDLVRPRVAVHALVSAASRGFVDVVDGLIKCGVDANATSRLLLRSLKPALHTNVDCTALVAAIVGRQAAVVRRLLQAGARMDAKVRLGAWSWDAATGEEFRVGAGLAEPYTPAWCAVEYFESTGTILRMLLQHHSPNAPHHGRTLLHHAILCGNPRAVDTLLSCGADWELPVRAGRKTEFRPIHMAARLGLASVMQVLVDKRCDLSSTTDAGETALMLCARYKRGDCLRILASSDADLGRKSLAGASAAAIAASSNWSVGFQHAVLDVIRSGTVPRSSDPSVFSPIMFAAQHGDVASLQVLLTQPDINIDQRRGNGYSPVMVAAKEGHVEAFRVLVFAGANVRLRSEAGETAIDLFRLNENHDMFEQAMLELALEKGSAGGFHALHFAARRGDMAALRLLTKTGWDVNALDGDGYTPLMLAAREGHAEACQLLILQGARCDVETRRGETALSLARSNAKLGKEAESVILDELARVLVVRGDHVKKHTRRGKGPPHGKVLRMVAAAGVLRWGSSGRRNVVCREAEVGASPAFVRNSKGRGDAREPGLFRVVTTRKREVHFVCEGGEAAAELWVRGIRLVTRAASGKGGSELN >Ma03_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28102227:28109254:1 gene:Ma03_g23570 transcript:Ma03_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYQAWKGKNKFLLGGRLIFGPDARSLFITVSLIVVPVVIFCVFVARNLLHKFPAYNSGCAVLIVAIAFTIHVLVLLLMTSTRDPGIVPRASHPPEEEFSYDTRTEIGGRHTPSLTFPRIKEVMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFLFVSSSTLLCIYVFAMCALYIKFLMDGDYPTVWKAMKKSPASVVLMIYCFVALWFVGGLTGFHLYLIGTNQTTYENFRYRGDNRVSAYNRGFLNNFIDVLCTEIKPSRINLRAYVQDETARPPPASRTRDVEEEPASSPRVKVEDDLEIGGDLLKISRRRNYEEVDEEMGGRNSNELHGALSESELMVGSDTQVPVIRSDVKNSSWGRRSGSWDLSPGVLAASSSATEGDMPSQKSTR >Ma01_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9347342:9355607:-1 gene:Ma01_g12750 transcript:Ma01_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGCINRVMNDSGEDEEGPITFKRSNSSSKQVRSSSASRKTAPQKHDAKATIEKPFVSSSSSHSSIPALTKHSSNCKSTTSHVKPQHQDESIAEVQNSDDSDDDKPLSHRLNSASVAVQKKFFMDSEKIHKHSSDHYFAKNNTNKMIVDKSIIRNEESNDSDDNKPLSSKISSIAACSTGGPSHVVKLPQSAKPTSHPSMKVNSNIKEDSDDSEDEKPLISRFQSKVFGGSSVKNPDSYQKSLSPKLKFNASSKKEASKEIRSPKGGQKRALGDTKTSDSSSIKKAKVSETSFLVKVKNEVAVKKEVKEDDNDHIPIAQRMKKSVSSNSTSTTKNLLKKTSSFKKDSKQMKKKMKDSKFSKTLKVPPGSGGGQKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTEYATKKQFIENFMYDWRQILGKNHIIKKFELCDFTPIYEWHLREKEKKKQMSGEEKKALKEEKMKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPRDITINIGKNAPIPECPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARRLTDCIQNIRANYTRDFSSKDPTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVELVPPNMLKFDFLGKDSIRYLNTVEVELPVYKAIGEFQTAKKSGGGRKGKGDDLFDLLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDILNKETKDGTLPEKIAVYQHANKEVAIICNHQRSVSKSHESQMSRLNEKINDLKAQRDELNMDLNRARKGKPPLKDKEGKTKKNLSPDVIEKKLVQVDAKIEKMELDKRIKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >Ma01_p12750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9347342:9355607:-1 gene:Ma01_g12750 transcript:Ma01_t12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGCINRVMNDSGEDEEGPITFKRSNSSSKQVRSSSASRKTAPQKHDAKATIEKPFVSSSSSHSSIPALTKHSSNCKSTTSHVKPQHQDESIAEVQNSDDSDDDKPLSHRLNSASVAVQKKFFMDSEKIHKHSSDHYFAKNNTNKMIVDKSIIRNEESNDSDDNKPLSSKISSIAACSTGGPSHVVKLPQSAKPTSHPSMKVNSNIKEDSDDSEDEKPLISRFQSKVFGGSSVKNPDSYQKSLSPKLKFNASSKKEASKEIRSPKGGQKRALGDTKTSDSSSIKKAKVSETSFLVKVKNEVAVKKEVKEDDNDHIPIAQRMKKSVSSNSTSTTKNLLKKTSSFKKDSKQMKKKMKDSKFSKTLKVPPGSGGGQKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTEYATKKQFIENFMYDWRQILGKNHIIKKFELCDFTPIYEWHLREKEKKKQMSGEEKKALKEEKMKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPRDITINIGKNAPIPECPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARRLTDCIQNIRANYTRDFSSKDPTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVELVPPNMLKFDFLGKDSIRYLNTVEVELPVYKAIGEFQTAKKSGGGRKGKGDDLFDLLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDILNKETKDGTLPEKIAVYQHANKEVAIICNHQRSVSKSHESQMSRLNEKINDLKAQRDELNMDLNRARKGKPPLKDKEGKTKKNLSPDVIEKKLVQVDAKIEKMELDKRIKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >Ma06_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4400458:4402629:-1 gene:Ma06_g05970 transcript:Ma06_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 1 [Source:Projected from Arabidopsis thaliana (AT5G55490) UniProtKB/Swiss-Prot;Acc:Q681K7] METLDDRKGLKLVENARRKLVGANSCWQNAYRNLFSGCAEIIADKEKQSRLAWQLSDCFQIDSGRSAFPSCDAGAPMLRCLKTLDDVEHKVYLEFFLETNSICHQLQTDAFKHDTERLVNDLLRSAQFAEQKLDVMEEKSEQLLQHSDKVQDSLASINLQNQQLSQASSAVEAQIHDVLEHSKAIFEQSKEIAASQVELQGGQLDMKEKLISGMASLQESYKSLDDGMLKLREEAVEIEREIQVVGTSMSSKMQNLQSKADDIGSVAGLSLEKQKQLLDRQALALEGLDFLTKFQSQALEESRDTLQRLAELGNKQQEELLLGQEQIQQAHDRLIRNSQSILAAQEEFETKQANIFAALDKLFALHNAILVESRFMKAFFFYSCVIFLLYMLTSTKQTYSIRARLYLGLCTTFVLEVLIVRFGGDDFDQQSRIMSKVFLTRSSFLVASTIQIIHSLFTYRDYEILNHQLLLTLVEKVRIMEQNEGNKFLAFGSESDISVSQYSWMYDELPEDIDDKVDPDYILPEEEVGENSITVISDSRKYNLRPRRRQ >Ma10_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30890340:30892072:1 gene:Ma10_g20820 transcript:Ma10_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCQWLMSSPFQSTKQASGKTLSPQGRGTKSSIMDGHREGMPHFVLVPLMTQGHMIPMTDLALLLADRGVLVSFITTPCNAARIKDTIHRARDSGLPIRFVELPFPGAEEGLAEGWENIDDLPRAELYINFYRATYLLQQPLELYLQGQQQPYPSVIISDFCHPWTLKVARNLRIPRVTFFSMPCFTLLCTFNIWRYKVYERIADEHQPFTVPGLREKIEVTRAQASEFFPGPIFENIAKDVREAEFAADGIVVNSFQDLEHAYIEGYQEAMGKIVWTTGPLFLRSRSIADMAIRGRKASIDVDHCLSWLGTMKPRSVLYVGFGSLTRTDPSQLMDIGLGLEASDHPFIWVMRYSEESAEKIEPWLAGGFEERVGSRALIIKGWAPQLMILSHPAIGGFLTHCGWNSTLEAISAGIPMITWPHFTDQFLNERMIVDVLKVGVPVGVKEPNFIGMQRSETLVSRNDVERSVRSLMDEGKEGEERRQRAERLGEKANAAMKEGRGSSHSNVTRLIEHFSANATV >Ma10_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25892280:25894385:1 gene:Ma10_g12920 transcript:Ma10_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPSLYRDHFDRIPDSLVLVIFNKLADIQSLGRSSTVSKRFNALVPLVHDVFVKLDRFVSVDGESDDALSLSSPKPRNLFAHLLRLLLFAFLRPFQNLQNINDGNKPLLPQLSRHSPARVLKNFTHVRNLRIELPAGDVGTEDGVFLKWTAEFGSTLQNCVILGGTRMERRPASANLEGSVEDNGSIPESFYTNGGLKLRVVWTISSLIAASTRHYLLRQIINDHPTLRSLVLTDADGQGTLIMGAEQLKEFREKPLAASLSSNRTQVPASNMKLRYAPYLELAEGMGMQGVTLVAIKPAGEGTSCGNSSRKEAEAFICGAFDGPFRAAVKALVKRRTYLLEMNGF >Ma06_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4557674:4558159:1 gene:Ma06_g06220 transcript:Ma06_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVMVISLPLILFTVLLGFGCFLLGRAKGREEARAGVGSRVCVAPLAPPDAVASSPPNLAKKGGPDDV >Ma06_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13589889:13591456:1 gene:Ma06_g19660 transcript:Ma06_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRKLFFTGYKCMVISLGHLQHHQPSTAPAISLPSSLSSLPDLSHYQTHMAQHHPQAWSWIDLHHHYQHLYQAEEEESMVERENMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGRDSGEKGLLLSFEDESGKAWQFRYSYWTSSQSYVLTKGWSRFVKEKRLDAGDVVLFGRPRFGGDRLFIGCRHRWANESPPPAHAMAVATAAGPRRAVCHPDPCSCPTSTSCFSSVQEDCLLLRAGDQRDEAVQSEAVTPANSKRFRLFGVDFDYRPAPEPEPSIPISWF >Ma02_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19048795:19052991:1 gene:Ma02_g08790 transcript:Ma02_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFESPEEEDKKKRSEVGGGGDAKAETSAGVRFDKSKPRNVSDSKKEASAPKEENAGLIASRTFTFHELAAATRNFRQDCLLGEGGFGRVYKGMLDDGQVVAVKQLDLNGLQGNREFLVEVLMLCLLHHSNLVNLVGYCADGDQRLLVYEFMPLGSLQDHLHDIPPYKEPLDWNTRMKIAAGAAKGLEYLHDKADPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDVTRPAGEQNVVLWARPLFKDRRKFPKMVDPLLQGCYPIRGLYQALAVAAMCLQEQAATRPLIGEVVTALSYLASQSYDPNATAVQNAGICSSTQRVREDKRLLGGRFVDEHVVHSPHHDSSDFRHRHQEEEVCTRVDVGRARGSPKNLSNGLVREGVVTEAKVWGKNLTEKEDRSAWY >Ma01_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11768776:11771200:-1 gene:Ma01_g16230 transcript:Ma01_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQSLPPTINSLTAITEAVKPRPESVVVSSNNSTDEVYPKESIGFLEVFVHQARDIHNICIYHKQDVYAKLCLTSNPEVTVSTQTINGGGRNPVFNQTLRLDVRNVDCSLKCEIWMLSRVKNYLEDQLLGFALVPLSDLLLANGKLVKEFSLSSTDLFHSPAGFVQLSLSYVGASPEVMAIPAPPKSSIPDTTLPDAEHEDPIPCDFEKIEFPDLQVDNENQLMVSEYFGIQCTSMETQSSDSFITVESGNCPDEEAGVRIVESFSAANSHDSSVALKHDTPVSSFSTTESPVVLPATSQSTSDPLSSVVSLSPKGKTSDVMEGEADSSGGTSNGALLKPIISINIEPEQPVVQQDIVDMYMKSMQQFTESLAKLKLPMDIDNDNAATANGNSGSTAENGSSGSDKLLPTPKGTGSRVFYGSRAFF >Ma01_p16230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11768776:11771206:-1 gene:Ma01_g16230 transcript:Ma01_t16230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQSLPPTINSLTAITEAVKPRPESVVVSSNNSTDEVYPKESIGFLEVFVHQARDIHNICIYHKQDVYAKLCLTSNPEVTVSTQTINGGGRNPVFNQTLRLDVRNVDCSLKCEIWMLSRVKNYLEDQLLGFALVPLSDLLLANGKLVKEFSLSSTDLFHSPAGFVQLSLSYVGASPEVMAIPAPPKSSIPDTTLPDAEHEDPIPCDFEKIEFPDLQVDNENQLMVSEYFGIQCTSMETQSSDSFITVESGNCPDEEAGVRIVESFSAANSHDSSVALKHDTPVSSFSTTESPVVLPATSQSTSDPLSSVVSLSPKGKTSDVMEGEADSSGGTSNGALLKPIISINIEPEQPVVQQDIVDMYMKSMQQFTESLAKLKLPMDIDNDNAATANGNSGSTAENGSSGSDKLLPTPKGTGSRVFYGSRAFF >Ma01_p16230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11768776:11771179:-1 gene:Ma01_g16230 transcript:Ma01_t16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQSLPPTINSLTAITEAVKPRPESVVVSSNNSTDEVYPKESIGFLEVFVHQARDIHNICIYHKQDVYAKLCLTSNPEVTVSTQTINGGGRNPVFNQTLRLDVRNVDCSLKCEIWMLSRVKNYLEDQLLGFALVPLSDLLLANGKLVKEFSLSSTDLFHSPAGFVQLSLSYVGASPEVMAIPAPPKSSIPDTTLPDAEHEDPIPCDFEKIEFPDLQVDNENQLMVSEYFGIQCTSMETQSSDSFITVESGNCPDEEAGVRIVESFSAANSHDSSVALKHDTPVSSFSTTESPVVLPATSQSTSDPLSSVVSLSPKGKTSDVMEGEADSSGGTSNGALLKPIISINIEPEQPVVQQDIVDMYMKSMQQFTESLAKLKLPMDIDNDNAATANGNSGSTAENGSSGSDKLLPTPKGTGSRVFYGSRAFF >Ma09_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2667650:2668289:1 gene:Ma09_g04070 transcript:Ma09_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVPSTRWCPTPEQLMILEEMYRSGVRTPNASQIQQITARLSYYGRIEGKNVFYWFQNHKARERQKLRRRLCSHYQLLYSANSLPHHQFYCSQEAPVFPLPFHHATPSPFLHQDANSVCKMEAGDAEEASSTSDATLRHESMAMVDAAWAVPSCCRPLRTLDLFPTKSTGLKDECSTTSKSSCSSTTN >Ma05_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3469524:3472508:-1 gene:Ma05_g04560 transcript:Ma05_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLHQMNNLFTTLQKTNCNVVNAGLRSSDIGAISDNISLKVSVVGGLNQLKASMERQLDCCDTDSLRNTMLKHEEIFKQQVHELHRVYGVQKMLMAELGEKQVSLHAVPSEAVVAVADARTRIWSSASTSDTSHSSHVSNLHQSAPELNSEYSSLNPWSEHVTGFDLEQPAEEYTCRGATSMDEKTTKDKEKRSMKGSFSWPDDGSEIELTLSIGCSSSDKQKKKKKKKRGEECGDDSSGLDREESRRSPWILRAFNLNKT >Ma06_p34770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34884035:34889665:-1 gene:Ma06_g34770 transcript:Ma06_t34770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTKQRSVSLEIPQRTSPVTPKTRASKIGDNGSNSSTSATSIRNPSERSPKVIVRRSPRSPVTEKRHATRLSELDTQIFQLQEDLKKTREQLNSSETCKKRMQKEAEEAKKQLQDMSAKLDNSQCQLVEFSAAEEARLQELRKISQERDRAWQSELEAIQKQHSIDSAALSSAMNEIQRLKVQLEMVLKSEAAYLKQSEVARTELQDLKQDMAETLSTIENLKVQLRNSKKSEVEAHTLVDETREQLEMAKTTIKTLHSEGLKLKESFSSEARVNSLEEVKNLNTNDLGEEVHFGKLSEKTRDNSMESEVRQLRSALEALEVKYQADQIQSTMQIRSAYELMERVKSESGLKEAALELLLNHTKAEITELRANLLKKEAELQHVADEKKLRTDNGEVQKAQMQSEFELKLIKSTNEITELKSNLMDKETELQNILEENEMLKSEMGKREVDNRKSNLTTIAELELAKAAEQDALIRLGYVTEEADKNSRKAARVAEQLDAAQAVNTEMESELKRLRIQCDQWRKAAEAAAGMLSSGHEDGRMGRTGSLDSDYSSIAGKLMSSPFSDDLDEESPKKKNTNMLRKIGGLWKKTPK >Ma06_p34770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34884035:34889634:-1 gene:Ma06_g34770 transcript:Ma06_t34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTKQRSVSLEIPQRTSPVTPKTRASKIGDNGSNSSTSATSIRNPSERSPKVIVRRSPRSPVTEKRHATRLSELDTQIFQLQEDLKKTREQLNSSETCKKRMQKEAEEAKKQLQDMSAKLDNSQCQLVEFSAAEEARLQELRKISQERDRAWQSELEAIQKQHSIDSAALSSAMNEIQRLKVQLEMVLKSEAAYLKQSEVARTELQDLKQDMAETLSTIENLKVQLRNSKKSEVEAHTLVDETREQLEMAKTTIKTLHSEGLKLKESFSSEARVNSLEEVKNLNTNDLGEEVHFGKLSEKTRDNSMESEVRQLRSALEALEVKYQADQIQSTMQIRSAYELMERVKSESGLKEAALELLLNHTKAEITELRANLLKKEAELQHVADEKKLRTDNGEVQKAQMQSEFELKLIKSTNEITELKSNLMDKETELQNILEENEMLKSEMGKREVDNRKSNLTTIAELELAKAAEQDALIRLGYVTEEADKNSRKAARVAEQLDAAQAVNTEMESELKRLRIQCDQWRKAAEAAAGMLSSGHEDGRMGRTGSLDSDYSSIAGKLMSSPFSDDLDEESPKKKNTNMLRKIGGLWKKTPK >Ma06_p34770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34884036:34889665:-1 gene:Ma06_g34770 transcript:Ma06_t34770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRTGGGEGLGNCDGGGGRRKKKPLGRSPADPIFPVWLVDKGGQSQREKMQTTKQRSVSLEIPQRTSPVTPKTRASKIGDNGSNSSTSATSIRNPSERSPKVIVRRSPRSPVTEKRHATRLSELDTQIFQLQEDLKKTREQLNSSETCKKRMQKEAEEAKKQLQDMSAKLDNSQCQLVEFSAAEEARLQELRKISQERDRAWQSELEAIQKQHSIDSAALSSAMNEIQRLKVQLEMVLKSEAAYLKQSEVARTELQDLKQDMAETLSTIENLKVQLRNSKKSEVEAHTLVDETREQLEMAKTTIKTLHSEGLKLKESFSSEARVNSLEEVKNLNTNDLGEEVHFGKLSEKTRDNSMESEVRQLRSALEALEVKYQADQIQSTMQIRSAYELMERVKSESGLKEAALELLLNHTKAEITELRANLLKKEAELQHVADEKKLRTDNGEVQKAQMQSEFELKLIKSTNEITELKSNLMDKETELQNILEENEMLKSEMGKREVDNRKSNLTTIAELELAKAAEQDALIRLGYVTEEADKNSRKAARVAEQLDAAQAVNTEMESELKRLRIQCDQWRKAAEAAAGMLSSGHEDGRMGRTGSLDSDYSSIAGKLMSSPFSDDLDEESPKKKNTNMLRKIGGLWKKTPK >Ma03_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10789761:10792482:-1 gene:Ma03_g13700 transcript:Ma03_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MARRRNESDDDDDDFLYRYPVPSSSSASAANSRSRGGSGASSKGGSGGLAPSKSTVYVSNIDYTLTNSDLHTIFSTFGKVARVTVLKDHATRRSRGVAFVLFVSRHDAAAAARSMNGKVLNGRTLSASIAADNGRAAEFIRRRVYKDKSRCYECGEEGHLSYECPRNQLGPRERPNPKRARRREQGGGGGGGGGGGGQDGGSDGGEEEAFDDDNWASVVDTRGFDEKARERDERFGDGNDKKKKEKKVSYFSDESGEDE >Ma06_p33820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34310264:34311088:1 gene:Ma06_g33820 transcript:Ma06_t33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNCQNQIHKVVDEVEQQWHFHFVRLLVHPEHLRTRGLIGYPATLPAKLKLPGGASCRDILPLYQCWNMRTIRTFRVIMCIISHQLLEF >Ma05_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33460335:33462934:-1 gene:Ma05_g21690 transcript:Ma05_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLMLLLLISMVMAGAASASTEHDTTIPLFADCVASCGAMFIPYPFGTAGKYSCSRPGFSFVCNYSSDPPTLLLADGVTQVILVSLDNHTISVRFSIAAMSANEDSLFTSWTSPPGAPYAMSPTSVTLTVVGCSATAYLLDLVTNKIIGSCITDCSDREMEAMEGAACSGIGCCQATFLSPVRSFGINLTRHDRHSGRATSVKAFVSSAQAVYRFRKEDLFTEDTVTGSTMAVAVLEWAIIEQSSCEDAAKNTTTYACLSTNSVCSNTKTKGLGYYCECSSGYEGNPYIPGGCLDIDECADLEKYSCTGICVNYAGGYTCCPPGSHVDSRLSCVPPKSQKIIMGVIIGVSIGFGLLLMLVCAVIFNRRLKEMKLKKLKERYFHQNHGLLLRRLIATDDVNAERTKIFPLEELEKATNSFDPARILGHGGHGTVYKGILSDQRVVAIKKSKIVIQREIDQFINEVAILSQINHRNIVKLYGCCLETEVPLLVYEFITNGTLADHLHVEDRSSSLSWKDRLRIAVETVGALAYLHSAASISVFHRDVKSSNVLLDDTYTAKVSDFGASRTVPVDQTHVVTGIQGTHGYLDPEYYHTGQLTEKSDVYSFGVILVELLTGFMPVSLTRFGDRNLAMYFIWALKSNRVSDVLEARIKEEAMEEELEEMVGLAEECLRLKGAERPTMKEVEIRLQGLRRGKKKEQVQLTHHQSEEAEPQLCDPYRACRHQRSLDGNLADTAIQDTSRRHSLEEEFMLSLNFPR >Ma02_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19327769:19330451:-1 gene:Ma02_g09210 transcript:Ma02_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISEFIPAAASRRVTAENPWPGGRKRWGKQRRVEAEDDFEADFQEFDDESSEDEFDDEEEIDEVGVKCFGFGSKPCFSRERSTTLKSGDFSGSEVQSAKRKRKNQFRGIRQRPWGKWAAEIRDPHKGVRVWLGTFNSAEEAARAYDAEARRIRGKKAKVNFPRAASSGSKKIIPKPTALRTHVPNPSEKCEFKQSNHQNDQCCDLYSTMSHLEEELAKPVYLNTLPTKEPSAPGEGMEFHSDEGSNSCGDPDFGLLSVQVPTADNGLLSLKNLKDNCGVQVSEEETTPVDLSEDLSAFDPYANFMQFPYLEGSSDLSIDSLFGGELAQGDLADVNLWSFDELPMEGSLY >Ma07_p00740.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:619565:624194:-1 gene:Ma07_g00740 transcript:Ma07_t00740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFGWWDKKAHRGTPVIVKMENPNWSISEISSPDDEDEYGIGAGAGEEFAAAGRGPRKGARVKNAKQITWVLLLKAHRAAGCLTFLASAAVGLASAVRRRVASGRTDSDTTSSSDEESPVLRSRFYSCIKAFLWISVVLLSLEIAAYLKGWHLTAWEIQPLVLPSSFGVRGFLESLYTGWVRFRVEYVAPPLQFLANACVILFLVQSADRLILCLGCFWIRFKGIKPTPKGAIGASEDLESGGEDCPMVLVQMPMCNEKEVYQQSIAAVCNLDWPRSNILIQVLDDSDDPTTQTLIKAEVEKWQQNGARIMYRHRVIRDGYKAGNLKSAMNCSYVNDYEFVAIFDADFQPAPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLKGWKFVYLNDVECQCELPECYEAYRKQQHRWHSGPMQLFRLCLPDIIRSEIGFWKKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPAWVVCYVPATMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLMKKEPMQQRGASVPNFEAIAKEEPRPQKVSKKKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQID >Ma07_p00740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:619565:624138:-1 gene:Ma07_g00740 transcript:Ma07_t00740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFGWWDKKAHRGTPVIVKMENPNWSISEISSPDDEDEYGIGAGAGEEFAAAGRGPRKGARVKNAKQITWVLLLKAHRAAGCLTFLASAAVGLASAVRRRVASGRTDSDTTSSSDEESPVLRSRFYSCIKAFLWISVVLLSLEIAAYLKGWHLTAWEIQPLVLPSSFGVRGFLESLYTGWVRFRVEYVAPPLQFLANACVILFLVQSADRLILCLGCFWIRFKGIKPTPKGAIGASEDLESGGEDCPMVLVQMPMCNEKEVYQQSIAAVCNLDWPRSNILIQVLDDSDDPTTQTLIKAEVEKWQQNGARIMYRHRVIRDGYKAGNLKSAMNCSYVNDYEFVAIFDADFQPAPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLKGWKFVYLNDVECQCELPECYEAYRKQQHRWHSGPMQLFRLCLPDIIRSEIGFWKKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPAWVVCYVPATMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLMKKEPMQQRGASVPNFEAIAKEEPRPQKVSKKKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQID >Ma07_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:619565:624194:-1 gene:Ma07_g00740 transcript:Ma07_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFGWWDKKAHRGTPVIVKMENPNWSISEISSPDDEDEYGIGAGAGEEFAAAGRGPRKGARVKNAKQITWVLLLKAHRAAGCLTFLASAAVGLASAVRRRVASGRTDSDTTSSSDEESPVLRSRFYSCIKAFLWISVVLLSLEIAAYLKGWHLTAWEIQPLVLPSSFGVRGFLESLYTGWVRFRVEYVAPPLQFLANACVILFLVQSADRLILCLGCFWIRFKGIKPTPKGAIGASEDLESGGEDCPMVLVQMPMCNEKEVYQQSIAAVCNLDWPRSNILIQVLDDSDDPTTQTLIKAEVEKWQQNGARIMYRHRVIRDGYKAGNLKSAMNCSYVNDYEFVAIFDADFQPAPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLKGWKFVYLNDVECQCELPECYEAYRKQQHRWHSGPMQLFRLCLPDIIRSEIGFWKKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPAWVVCYVPATMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLMKKEPMQQRGASVPNFEAIAKEEPRPQKVSKKKHNRIYRDLIFVGGSRSDR >Ma07_p00740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:619565:624194:-1 gene:Ma07_g00740 transcript:Ma07_t00740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFGWWDKKAHRGTPVIVKMENPNWSISEISSPDDEDEYGIGAGAGEEFAAAGRGPRKGARVKNAKQITWVLLLKAHRAAGCLTFLASAAVGLASAVRRRVASGRTDSDTTSSSDEESPVLRSRFYSCIKAFLWISVVLLSLEIAAYLKGWHLTAWEIQPLVLPSSFGVRGFLESLYTGWVRFRVEYVAPPLQFLANACVILFLVQSADRLILCLGCFWIRFKGIKPTPKGAIGASEDLESGGEDCPMVLVQMPMCNEKEVYQQSIAAVCNLDWPRSNILIQVLDDSDDPTTQTLIKAEVEKWQQNGARIMYRHRVIRDGYKAGNLKSAMNCSYVNDYEFVAIFDADFQPAPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLKGWKFVYLNDVECQCELPECYEAYRKQQHRWHSGPMQLFRLCLPDIIRSEIGFWKKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPAWVVCYVPATMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLMKKEPMQQRGASVPNFEAIAKEEPRPQKVSKKKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQID >Ma01_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6399000:6401932:-1 gene:Ma01_g08920 transcript:Ma01_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQRRNAERNQKPKGSQLEARAVGLKISCPICKVQLANHNQLMDHYASKHPKEKPPSESG >Ma04_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8087411:8090067:1 gene:Ma04_g11450 transcript:Ma04_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGNLEELIDERSMPLFPRRSGRSTTLASCPLSTRISWNRDVRSLCIPRSYLLLGFFMMKLIIWFLL >Ma04_p28230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29318042:29321039:1 gene:Ma04_g28230 transcript:Ma04_t28230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASRVENEDTVRRCRERHRLIKEAVHSRHHFASAHSDYLRSLRDAAAALTRFALGEPLGVSEHAPPVLLRSSAGPSTLPPPTPQPHPPPPPPFYFSSCSSPPSPSPGIQLPRVLPVSGSTDTNLRNPSVAAPPGRFAAMNSTYPASPSHSSSAWSTWDWEYFYPPSPPSSEFFDRRRAELEEKNRDQHHHLAMEVEKMEGGGGREEVHCRQWGEPHSSSTSSSTRSDDEEPEADKDSRSTAIYARSDYDGASERSRLTPSEIGSLTATVVKPQQWVRSEAGSSSAQWNAGRQIISCASAAAAEELKVVVRHRNLAEIVAAIDEYFAKAAAAGDAVSDLLETGHARFDRNLSHLKKTVYHSNGVLRALSSSWTSKPPLAIRYQLNTEAMESGGGKKSHCCTLEQLLAWEKKLFEEVKAREGVKIEHEKKLSTLQSLECRGKDDSKLDKTKTSITKLQSLIVVTSQAVTTTSSAITRVRDDELAPQLFEICYNLLSMWKQMNRFHEAQNHVVQQVRGLVNGAFAGATTSDLHRLATRDLEAAISAWHSSFDRLIKYQRDYVNALYGWLKLTLLRVGGDNIQEDHSSPLSIALTAFCEWKQALDRLPDKVASEAIKSFMSVIHVICTKQAEELKIKKRAETYSKELEKKSTSLRSIEKKYYQSYSTVGIGLPGGGIENKGHVLFDARDPLAEKKLEIAACRRKVEDEMVKHAKAVEVTRSMTLNNIQTGLPGVFQAITGFSGLFVEVLEAVCRRAGSF >Ma04_p28230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29318042:29321039:1 gene:Ma04_g28230 transcript:Ma04_t28230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASRVENEDTVRRCRERHRLIKEAVHSRHHFASAHSDYLRSLRDAAAALTRFALGEPLGVSEHAPPVLLRSSAGPSTLPPPTPQPHPPPPPPFYFSSCSSPPSPSPGIQLPRVLPVSGSTDTNLRNPSVAAPPGRFAAMNSTYPASPSHSSSAWSTWDWEYFYPPSPPSSEFFDRRRAELEEKNRDQHHHLAMEVEKMEGGGGREEVHCRQWGEPHSSSTSSSTRSDDEEPEADKDSRSTAIYARSDYDGASERSRLTPSEIGSLTATVVKPQQWVRSEAGSSSAQWNAGRQIISCASAAAAEELKVVVRHRNLAEIVAAIDEYFAKAAAAGDAVSDLLETGHARFDRNLSHLKKTVYHSNGVLRALSSSWTSKPPLAIRYQLNTEAMESGGGKKSHCCTLEQLLAWEKKLFEEVKAREGVKIEHEKKLSTLQSLECRGKDDSKLDKTKTSITKLQSLIVVTSQAVTTTSSAITRVRDDELAPQLFEICYNLLSMWKQMNRFHEAQNHVVQQVRGLVNGAFAGATTSDLHRLATRDLEAAISAWHSSFDRLIKYQRDYVNALYGWLKLTLLRVGGDNIQEDHSSPLSIALTAFCEWKQALDRLPDKVASEAIKSFMSVIHVICTKQAEELKIKKRAETYSKELEKKSTSLRSIEKKYYQSYSTVGIGLPGGGIENKGHVLFDARDPLAEKKLEIAACRRKVEDEMVKHAKAVEVTRSMTLNNIQTGLPGVFQAITGFSGLFVEVLEAVCRRAGSF >Ma04_p28230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29318023:29321039:1 gene:Ma04_g28230 transcript:Ma04_t28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASRVENEDTVRRCRERHRLIKEAVHSRHHFASAHSDYLRSLRDAAAALTRFALGEPLGVSEHAPPVLLRSSAGPSTLPPPTPQPHPPPPPPFYFSSCSSPPSPSPGIQLPRVLPVSGSTDTNLRNPSVAAPPGRFAAMNSTYPASPSHSSSAWSTWDWEYFYPPSPPSSEFFDRRRAELEEKNRDQHHHLAMEVEKMEGGGGREEVHCRQWGEPHSSSTSSSTRSDDEEPEADKDSRSTAIYARSDYDGASERSRLTPSEIGSLTATVVKPQQWVRSEAGSSSAQWNAGRQIISCASAAAAEELKVVVRHRNLAEIVAAIDEYFAKAAAAGDAVSDLLETGHARFDRNLSHLKKTVYHSNGVLRALSSSWTSKPPLAIRYQLNTEAMESGGGKKSHCCTLEQLLAWEKKLFEEAREGVKIEHEKKLSTLQSLECRGKDDSKLDKTKTSITKLQSLIVVTSQAVTTTSSAITRVRDDELAPQLFEICYNLLSMWKQMNRFHEAQNHVVQQVRGLVNGAFAGATTSDLHRLATRDLEAAISAWHSSFDRLIKYQRDYVNALYGWLKLTLLRVGGDNIQEDHSSPLSIALTAFCEWKQALDRLPDKVASEAIKSFMSVIHVICTKQAEELKIKKRAETYSKELEKKSTSLRSIEKKYYQSYSTVGIGLPGGGIENKGHVLFDARDPLAEKKLEIAACRRKVEDEMVKHAKAVEVTRSMTLNNIQTGLPGVFQAITGFSGLFVEVLEAVCRRAGSF >Ma06_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6337688:6354039:-1 gene:Ma06_g09000 transcript:Ma06_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPSDRTKVVVRRLPPSMSQPVLMEQIDGRFAGRYDWVCFRPGKNSQKNQRYTRAYLNFKIPDDVVEFAEFFDGHIFVNEKGAQFKALVEYAPFQRVPKHWSKKDVREGTIYKDSEYMEFLELISKPVEHLPSAEIQLERKEAERAGATKETPIVTPLMDFVRRKRAAKSGAQRSSGSGKVSRRALGVSASSSSPSKRSSEKRKYVLKDSMKKGSAKDKPTYILMSRREDQQLTVDKSVYVPSPGKKEALEDEFASETSESGKGRFVLLKGKEQEVSDVSKSLLQQHIITSSVRNSPTLTSRQNQASGRIIRKILSKEGHADQSHVSVTHPEQQTQAVNVEKDKRLPRPPSAISNTKDYISRISSLASVSDGDDKRYIVDKFAVNNIQGSISISEKHEKRTRKKDRPDRGVWAPRRRADRSRSGDGSPYAEVTQMTDALESISISQQAVGKVGEEDMVVQNARVGRSNNLHAAYETSLGRVERKADMSSASRSEDMKMYGSGRVDFSSLDNGSHRHVGRRARGPKETDSSLSLTEGKSFKRGPTVYSSHERQVWVQKSGSAS >Ma02_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27464098:27469269:1 gene:Ma02_g21990 transcript:Ma02_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSDADRTKVPAVQPPSTPPPYSTFSQLPPTAPLVYPPAVPHHQPTPQTTSGYHVIPVGYQSHLYPTFVDGIPMAMRDPPLPFCGIGIGWALFVSGFFLASIPWYVGVFILLFVATDYREKPRLVACTVAAVLALIPLILNAFKFHVFW >Ma06_p38940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37569867:37578532:1 gene:Ma06_g38940 transcript:Ma06_t38940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQENVGPVGLNSAAVLQDEMKLLGEAPSMSSGRKVINSELWHACAGSFVSLPQPGSLVYYFPQGHSEQVTASTRKIANSQIPAYTDLPSQLMCQVHNVALHADKETDEIYAQMTLQPVNSESDVLHIPDLGYTKCKHPTEIFCKILTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSILFIRDEKSQLLLGIRRAFRKQIAQPSSVLSTDSMHIGVLAAAAHATSSRSPFTVYYNPRACPSDFVIPLTKYHKAAYTQVPIGMRFGMMIETEESSKRRYMGTIVGISDCDPVKWPNSKWRNLQVEWDEHGYGERPDRVSLWEIETPESLFAFPSITSSLKRQCLPGYVGPAINIQFGNLKPFPKPAKNGNPNSEHLIAGVGSENLLNILNKPTSHDGLLGCHQSIYSSILQNVRSGEISRNFSLTMPTFHTMGNSTHQVIVSTAAMQQKQHLSPQRCMVPLGDVMPQEQRHYLVPQGVELDSASRTHVNSQVSGSDEVSPAEPEQKFQDHNTGNENGINLRRTENASLDESSAQQSEMDSVVLPIDPNKQSDDMIKTISHDILAENLDQLPNHQNVESFTSPFDHDNIADQISVKQGLQVKVQGHRKIVRQQSDPTGAQSPGLEATQSSDVCNLNNLLPCQDYLHHNLDHDEWIPQHSCLQSFMSSSRTPEVPCINGNPDSLYLSAAENAATFTSDISSLAKPHSFEPIETYQLSCISDSDTGQHCTTNIQEYLGTQLNSLDDELLVQGILSSEVHNIDVQGHCCVLQGMPNSCGTMDLSEESNTQSETIGNLHLDPSNESMDMGLIPSVTIEGLSSIGSSKFRIASVMPVCIFNSNQEQMSKITSMRLTDSESSLQDIPDCSAGTSSGSIAANDYRLYRGSRKQVCQQPLRTYTKVQKLGSVGRSIDVTRFSNYHELRSAVACMFGLEGQLDDARGSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSASEVQQMSQEGMQVMEGLV >Ma06_p38940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37569867:37578532:1 gene:Ma06_g38940 transcript:Ma06_t38940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQENVGPVGLNSAAVLQDEMKLLGEAPSGRKVINSELWHACAGSFVSLPQPGSLVYYFPQGHSEQVTASTRKIANSQIPAYTDLPSQLMCQVHNVALHADKETDEIYAQMTLQPVNSESDVLHIPDLGYTKCKHPTEIFCKILTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSILFIRDEKSQLLLGIRRAFRKQIAQPSSVLSTDSMHIGVLAAAAHATSSRSPFTVYYNPRACPSDFVIPLTKYHKAAYTQVPIGMRFGMMIETEESSKRRYMGTIVGISDCDPVKWPNSKWRNLQVEWDEHGYGERPDRVSLWEIETPESLFAFPSITSSLKRQCLPGYVGPAINIQFGNLKPFPKPAKNGNPNSEHLIAGVGSENLLNILNKPTSHDGLLGCHQSIYSSILQNVRSGEISRNFSLTMPTFHTMGNSTHQVIVSTAAMQQKQHLSPQRCMVPLGDVMPQEQRHYLVPQGVELDSASRTHVNSQVSGSDEVSPAEPEQKFQDHNTGNENGINLRRTENASLDESSAQQSEMDSVVLPIDPNKQSDDMIKTISHDILAENLDQLPNHQNVESFTSPFDHDNIADQISVKQGLQVKVQGHRKIVRQQSDPTGAQSPGLEATQSSDVCNLNNLLPCQDYLHHNLDHDEWIPQHSCLQSFMSSSRTPEVPCINGNPDSLYLSAAENAATFTSDISSLAKPHSFEPIETYQLSCISDSDTGQHCTTNIQEYLGTQLNSLDDELLVQGILSSEVHNIDVQGHCCVLQGMPNSCGTMDLSEESNTQSETIGNLHLDPSNESMDMGLIPSVTIEGLSSIGSSKFRIASVMPVCIFNSNQEQMSKITSMRLTDSESSLQDIPDCSAGTSSGSIAANDYRLYRGSRKQVCQQPLRTYTKVQKLGSVGRSIDVTRFSNYHELRSAVACMFGLEGQLDDARGSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSASEVQQMSQEGMQVMEGLV >Ma06_p38940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37569867:37578532:1 gene:Ma06_g38940 transcript:Ma06_t38940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQENVGPVGLNSAAVLQDEMKLLGEAPSMSSGRKVINSELWHACAGSFVSLPQPGSLVYYFPQGHSEQVTASTRKIANSQIPAYTDLPSQLMCQVHNVALHESDVLHIPDLGYTKCKHPTEIFCKILTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSILFIRDEKSQLLLGIRRAFRKQIAQPSSVLSTDSMHIGVLAAAAHATSSRSPFTVYYNPRACPSDFVIPLTKYHKAAYTQVPIGMRFGMMIETEESSKRRYMGTIVGISDCDPVKWPNSKWRNLQVEWDEHGYGERPDRVSLWEIETPESLFAFPSITSSLKRQCLPGYVGPAINIQFGNLKPFPKPAKNGNPNSEHLIAGVGSENLLNILNKPTSHDGLLGCHQSIYSSILQNVRSGEISRNFSLTMPTFHTMGNSTHQVIVSTAAMQQKQHLSPQRCMVPLGDVMPQEQRHYLVPQGVELDSASRTHVNSQVSGSDEVSPAEPEQKFQDHNTGNENGINLRRTENASLDESSAQQSEMDSVVLPIDPNKQSDDMIKTISHDILAENLDQLPNHQNVESFTSPFDHDNIADQISVKQGLQVKVQGHRKIVRQQSDPTGAQSPGLEATQSSDVCNLNNLLPCQDYLHHNLDHDEWIPQHSCLQSFMSSSRTPEVPCINGNPDSLYLSAAENAATFTSDISSLAKPHSFEPIETYQLSCISDSDTGQHCTTNIQEYLGTQLNSLDDELLVQGILSSEVHNIDVQGHCCVLQGMPNSCGTMDLSEESNTQSETIGNLHLDPSNESMDMGLIPSVTIEGLSSIGSSKFRIASVMPVCIFNSNQEQMSKITSMRLTDSESSLQDIPDCSAGTSSGSIAANDYRLYRGSRKQVCQQPLRTYTKVQKLGSVGRSIDVTRFSNYHELRSAVACMFGLEGQLDDARGSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSASEVQQMSQEGMQVMEGLV >Ma06_p38940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37569867:37578532:1 gene:Ma06_g38940 transcript:Ma06_t38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQENVGPVGLNSAAVLQDEMKLLGEAPSMSSGRKVINSELWHACAGSFVSLPQPGSLVYYFPQGHSEQVTASTRKIANSQIPAYTDLPSQLMCQVHNVALHADKETDEIYAQMTLQPVNSESDVLHIPDLGYTKCKHPTEIFCKILTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSILFIRDEKSQLLLGIRRAFRKQIAQPSSVLSTDSMHIGVLAAAAHATSSRSPFTVYYNPRACPSDFVIPLTKYHKAAYTQVPIGMRFGMMIETEESSKRRYMGTIVGISDCDPVKWPNSKWRNLQVEWDEHGYGERPDRVSLWEIETPESLFAFPSITSSLKRQCLPGYVGPAINIQFGNLKPFPKPAKNGNPNSEHLIAGVGSENLLNILNKPTSHDGLLGCHQSIYSSILQNVRSGEISRNFSLTMPTFHTMGNSTHQVIVSTAAMQQKQHLSPQRCMVPLGDVMPQEQRHYLVPQGVELDSASRTHVNSQVSGSDEVSPAEPEQKFQDHNTGNENGINLRRTENASLDESSAQQSEMDSVVLPIDPNKQSDDMIKTISHDILAENLDQLPNHQNVESFTSPFDHDNIADQISVKQGLQVKVQGHRKIVRQQSDPTGAQSPGLEATQSSDVCNLNNLLPCQDYLHHNLDHDEWIPQHSCLQSFMSSSRTPEVPCINGNPDSLYLSAAENAATFTSDISSLAKPHSFEPIETYQLSCISDSDTGQHCTTNIQEYLGTQLNSLDDELLVQGILSSEVHNIDVQGHCCVLQGMPNSCGTMDLSEESNTQSETIGNLHLDPSNESMDMGLIPSVTIEGLSSIGSSKFRIASVMPVCIFNSNQEQMSKITSMRLTDSESSLQDIPDCSAGTSSGSIAANDYRLYRGSRKQVCQQPLRTYTKVQKLGSVGRSIDVTRFSNYHELRSAVACMFGLEGQLDDARGSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSASEVQQMSQEGMQVMEGLV >Ma06_p38940.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37569926:37578532:1 gene:Ma06_g38940 transcript:Ma06_t38940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQENVGPVGLNSAAVLQDEMKLLGEAPSMSSGRKVINSELWHACAGSFVSLPQPGSLVYYFPQGHSEQVTASTRKIANSQIPAYTDLPSQLMCQVHNVALHADKETDEIYAQMTLQPVNSESDVLHIPDLGYTKCKHPTEIFCKILTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSILFIRDEKSQLLLGIRRAFRKQIAQPSSVLSTDSMHIGVLAAAAHATSSRSPFTVYYNPRACPSDFVIPLTKYHKAAYTQVPIGMRFGMMIETEESSKRRYMGTIVGISDCDPVKWPNSKWRNLQVEWDEHGYGERPDRVSLWEIETPESLFAFPSITSSLKRQCLPGYVGPAINIQFGNLKPFPKPAKNGNPNSEHLIAGVGSENLLNILNKPTSHDGLLGCHQSIYSSILQNVRSGEISRNFSLTMPTFHTMGNSTHQVIVSTAAMQQKQHLSPQRCMVPLGDVMPQEQRHYLVPQGVELDSASRTHVNSQVSGSDEVSPAEPEQKFQDHNTGNENGINLRRTENASLDESSAQQSEMDSVVLPIDPNKQSDDMIKTISHDILAENLDQLPNHQNVESFTSPFDHDNIADQISVKQGLQVKVQGHRKIVRQQSDPTGAQSPGLEATQSSDVCNLNNLLPCQDYLHHNLDHDEWIPQHSCLQSFMSSSRTPEVPCINGNPDSLYLSAAENAATFTSDISSLAKPHSFEPIETYQLSCISDSDTGQHCTTNIQEYLGTQLNSLDDELLVQGILSSEVHNIDVQGHCCVLQGMPNSCGTMDLSEESNTQSETIGNLHLDPSNESMDMGLIPSVTIEGLSSIGSSKFRIASVMPVCIFNSNQEQMSKITSMRLTDSESSLQDIPDCSAGTSSGSIAANDYRLYRGSRKQVCQQPLRTYTKVQKLGSVGRSIDVTRFSNYHELRSAVACMFGLEGQLDDARGSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSASEVQQMSQEGMQVMEGLV >Ma01_p13960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10197249:10200709:1 gene:Ma01_g13960 transcript:Ma01_t13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGIHIEKALSSCLGCGGAAGGWSKLLLLVALVTSAAALFASFSSNFGVSFWFSAPSSLLASYRDVVANSSTEELVLPSLPPPRASSPSLAPLLPPASLSQDVDTLIGSPVPTATPAFFAPPPSPVKDADDLEVYAGEYDPKMPPLEPEPALTPSPGFAPVTTSLSPPLAHPPSPVVNFADTKRPPPTTSVPFPSPIETSNAAEVKASSVFWRETDRQLMYAKREIASAPTGLIDPDLHAPLFLNVSIFKRSYEMMERILKVYIYKDGPKPLFHTPELGGIYASEGWFMRLMEQNKQFVVKDPEKAHLFYMAYSSRQLRAHLYVPDSHTSRPLSIFLRDYVNMISAKYPFWNRTRGADHFLVGCHDWATYTTRMHDELRKNAIKAVCNADVSEGIFIRGKDVSLPETYIRAPKRPQRGIGGKPVSKRSILAFFAGHMHGRVRPILLRHWRGRDRDMRIYEALPQEIASKMSYVEHMKSSKFCICPMGYEVNSPRIVEAIYYECVPVVIADNFVLPFEQVLDWSAFSVVVAEKDIPKLKDILLGISLGKYTTMQMNVKKLQKHFLWNVKPRKYDLFHMILHSIWFNRLNQIHVR >Ma01_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10197249:10200709:1 gene:Ma01_g13960 transcript:Ma01_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGIHIEKALSSWSKLLLLVALVTSAAALFASFSSNFGVSFWFSAPSSLLASYRDVVANSSTEELVLPSLPPPRASSPSLAPLLPPASLSQDVDTLIGSPVPTATPAFFAPPPSPVKDADDLEVYAGEYDPKMPPLEPEPALTPSPGFAPVTTSLSPPLAHPPSPVVNFADTKRPPPTTSVPFPSPIETSNAAEVKASSVFWRETDRQLMYAKREIASAPTGLIDPDLHAPLFLNVSIFKRSYEMMERILKVYIYKDGPKPLFHTPELGGIYASEGWFMRLMEQNKQFVVKDPEKAHLFYMAYSSRQLRAHLYVPDSHTSRPLSIFLRDYVNMISAKYPFWNRTRGADHFLVGCHDWATYTTRMHDELRKNAIKAVCNADVSEGIFIRGKDVSLPETYIRAPKRPQRGIGGKPVSKRSILAFFAGHMHGRVRPILLRHWRGRDRDMRIYEALPQEIASKMSYVEHMKSSKFCICPMGYEVNSPRIVEAIYYECVPVVIADNFVLPFEQVLDWSAFSVVVAEKDIPKLKDILLGISLGKYTTMQMNVKKLQKHFLWNVKPRKYDLFHMILHSIWFNRLNQIHVR >Ma06_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17848554:17886618:-1 gene:Ma06_g22290 transcript:Ma06_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSAVDLSPEEERVLIRDITIAAESLAKEGDIFFLITHRWWQRWLDYVNQDMTSSSVNGSSSYGSHHHDSASSSAKRPSAIDNSDLIYDATSEVSNVEFELHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGLSQTDLAIEVYPLRLQLFLMPKEERAVIRISKKETVGELHKKACEVFGLLLDQVCIWDYYGQQKHALMDNMDKTLDDVNIQMDQDILVEVLTDGNGTADSGCATSQQENGCTEKDSTSVIVEPSQSSLSVAEGLSTNNYASRSCSSEISQSQYLASPTSDLDNLHGTNNINTRAAPLGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYRQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVSPRPFKTKLARFAPQFSGNNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSKDTDGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCGKVSVTFDPFMYLSLPLQSASSRTMTVVVFTSDGSALPTTCTVSVPKQGRCRDLIQALSNACSLKNGEKLLLAEIHGHMIHQFLEDPLILLSTIKDDDHLVAYKIPKVVKNSIFLQFVHRHEVGPGSISSSVAWESYGIPLLALISRDILATGSAIQDILQRILAPMLGNEDIQSISKSDSSVNAAAHGTHEACLDSDDQLKDQEYHSKAESTYKMHLQLVDEHDTPVDLPSEEKPIVVPGSSTSLLLFINWSKKDLKKYDTHHFENHPEVFKYAPAPKRTRNEPLSLYTCLDAFLREEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKHKLETFVNFPIHDLDLTNYVAHKKGSRQIYELYALSNHYGSMASGHYTAHIKLLDENRWYNFDDSHISPINEEDVKSAAAYVLFYRRTKGEDASTNIGAEPYANKNHNFSKR >Ma05_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:918242:919978:1 gene:Ma05_g01500 transcript:Ma05_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLSKPSPLSPSVPRVFESPMRGLLFCDTTGQRLRFGSPLSASIKSGKKLHAIQIRDISPSSVEQERVDQSENLTLESIRHSLMRQDDAIIFNLLERAQYCYNADNTCHQNAFHMDGFHGSLVEFMAKETEKVHAQVGRYKGPDEHPFFPDSLPESMLPPMEYPKVDRGK >Ma04_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11126731:11127481:1 gene:Ma04_g14680 transcript:Ma04_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVNGSSFLPPDDNSNGRPAGLAYGLPASVGILMVITAALLASYLCTRARTTAANRRSGDVAATPTDLEAGIDEATLTSYPKVTYSQAKLEEKGTTTAACCSICLSDYEDADVLRLLPDCGHLFHLDCVDPWLRAHRSCPNCRSSPAPSSMPTPLSEVVPLARARQSQ >Ma08_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35630901:35634274:1 gene:Ma08_g21870 transcript:Ma08_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCTLCLLPTSLCSSSSSSSSFCMCLLLLVGSLAAAAACTPAEQQELDRIARLPGQPPVDFAQYSGYVTVDARAGRALFYWLVEAAPAAQPAPLVLWLNGGPGCSSVAYGASEELGPFRIRSDGKTLYLNPYAWNTVANVLFLESPAGVGFSYSNTSSDLYTAGDNRTAADAYKFLVNWFERFPQYKHREFYIAGESYAGHYVPQLSQLVHRKNIGTQDPTINFKGFLVGNGVTDDYHDYVGTFEYWWTHGLISDATYRILRVHCDHEVSEHPSDACIKALDDADTEMGNIDPYSIYTLPCNDTGSLRRNLRGHYPWMSRAYDPCTERYSMVYYNRPEVQKALHANVTGIPYSWETCSDTIGDNWGDSPKSVLPIYHELIAAGLRIWVFSGDTDSVVPLTATRYSIDALKLKTLKNWYPWYYDGKVGGWSQIYEGLTFVTVTGAGHEVPLHRPRQALILFKRFLKNKPMPS >Ma06_p09970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6923854:6933051:1 gene:Ma06_g09970 transcript:Ma06_t09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGKNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAVPAEDEKDQVLWAGFDKLELSPSFFKHVLLLGYSNGFQVLDVDDASNVCELVSKRDGPATFLQMQPTPINSEATEGFRASHPLLLVVASDETNGSGAVQGGRLSALIRESSSEPQAGNCISSTVVRFYSLKVHSYVHVLRFRSAVHIVHCSPRIVAVALAAQIYCFDAVTLENKFSVLTYPLQGSAGVNIGCGPMAVGPRWLAYASNNPLILNTGRLSPQNLTPSPGVSPSTSPSSGNLVARYAMESSKTLAAGIINLGDMGYKTLSKYCHELLPDGSSSPLSSNSIRKSGRLPPTAHPSEPDNAGMVVIKDFISKEVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINIFRIMPTRIQNGSSPASYDWTSSHVHLYKLYRGLTAAVIQDISFSHYSQWISIVSSRGTCHIYVISPFGGDASLQPQNVPGERSILIPNLTVPWWSTSCCMIHQQLHPPPPPPSVTYSVVSRIKNVNAGWLSTVTNVAASAAGKISVPSGAIAAAFHNSLNQNTLPAPSKAKSLEHLLVYSPSGHVIQHELLPSSFVESCDNSLKAVPAPLLQLQDEELCVNAEPVQWWDVCRRSNWSEREEDVSRIIPNNQKNSETVMDSGDCEDNGTSYSMTTANSLSGMESVKSERSHWYLSNAEVHISAGKIPIWQKSAICFCVLNPSKSIEGFGNDLTGGEIEFEKLPFDEVEIKRKDLLPVFEQFHCSKSGWNDRVVGGYQTSSSGFFQAKNEFASGTINGLRTTQGLFDKGGPYGPVSLQSMTKTISDESVHGLSSIVSYNIARTSELDASATMPIKCSVNGCSPLHSENNGSYPASDDSVSNGVSTSSLSCNGKLVVDNHSLNGSESGRVPKACTTNVHMEQDGISDSHNSMEFETCFNEGYCKVSELDDCRELTEAVTDADSNSSHCEREKPDEDGDNDDLMGCVFAFSEG >Ma06_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6923850:6933051:1 gene:Ma06_g09970 transcript:Ma06_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGKNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAVPAEDEKDQVLWAGFDKLELSPSFFKHVLLLGYSNGFQVLDVDDASNVCELVSKRDGPATFLQMQPTPINSEATEGFRASHPLLLVVASDETNGSGAVQGGRLSALIRESSSEPQAGNCISSTVVRFYSLKVHSYVHVLRFRSAVHIVHCSPRIVAVALAAQIYCFDAVTLENKFSVLTYPLQGSAGVNIGCGPMAVGPRWLAYASNNPLILNTGRLSPQNLTPSPGVSPSTSPSSGNLVARYAMESSKTLAAGIINLGDMGYKTLSKYCHELLPDGSSSPLSSNSIRKSGRLPPTAHPSEPDNAGMVVIKDFISKEVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINIFRIMPTRIQNGSSPASYDWTSSHVHLYKLYRGLTAAVIQDISFSHYSQWISIVSSRGTCHIYVISPFGGDASLQPQNVPGERSILIPNLTVPWWSTSCCMIHQQLHPPPPPPSVTYSVVSRIKNVNAGWLSTVTNVAASAAGKISVPSGAIAAAFHNSLNQNTLPAPSKAKSLEHLLVYSPSGHVIQHELLPSSFVESCDNSLKAVPAPLLQLQDEELCVNAEPVQWWDVCRRSNWSEREEDVSRIIPNNQKNSETVMDSGDCEDNGTSYSMTTANSLSGMESVKSERSHWYLSNAEVHISAGKIPIWQKSAICFCVLNPSKSIEGFGNDLTGGEIEFEKLPFDEVEIKRKDLLPVFEQFHCSKSGWNDRVVGGYQTSSSGFFQAKNEFASGTINGLRTTQGLFDKGGPYGPVSLQSMTKTISDESVHGLSSIVSYNIARTSELDASATMPIKCSVNGCSPLHSENNGSYPASDDSVSNGVSTSSLSCNGKLVVDNHSLNGSESGRVPKACTTNVHMEQDGISDSHNSMEFETCFNEGYCKVSELDDCRELTEAVTDADSNSSHCEREKPDEDGDNDDLMGCVFAFSEG >Ma05_p29390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40080612:40084057:-1 gene:Ma05_g29390 transcript:Ma05_t29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEAGDMTDLYIPRKCSATNRLITAKDHASVQINIGHLDESGVYTGQFTTFALSGFIRAQGDADGALDRLWQKKKVEVKQQ >Ma04_p29750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30587230:30598804:1 gene:Ma04_g29750 transcript:Ma04_t29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSALDMPWWKSSSHNHILSSSSSSSSASQSTGGHRGSRRDVRFFPWSRRHPLPRLTRQRKLRHLTDVEIDELSLDDPAAVSFSAPVSMFANNLEAIPSRSVSSPLLLPRPLPLPDAAAATPYRDSTSDRGLGFSHSNAIGFPLPSPLRASKRAEGDDGNGVAADPTSFVSAFGSRLAYHTNYSSPDQVGISSNKSTISHRRKVFQDPNSSETMKFRLNIPAKSVPVSQFSSPVTSPVASPRRFSDVDFSASPIGFPGLQMWSAPEISSKGMMAVYPSRTSPEKTMYSPERSPLCSPRTKSPLLRSTNPSAPPSPVQTKLFAESPTAWHENGGSVNVHPLPLPPGASPSSQSNFGHQSAAKTEVSLKANHWQKGKLIGSGTFGTVYEATNRHNGSLCAMKEVNIIPDDAKSAECLKQLEQEIKFLSQFKHPNIVQYYGSETIEDRLYIYLEYVHPGSINKYVRQYCGAMTESVVRNFTRHILNGLIYLHSKKIMHRDIKGANLLVNVNGVVKLADFGMAKHLSGAAPTHSLKGSPFWMAPEMLQATMNKEIGYDLAVDIWSLGCTIIEMFTGKHPWSGLEGPQAMFKVLHKDPPIPETLSNDGKDFLQRCFRRNPAERPTANMLLEHPFVRNSHHYSIHGSLQAFAGIKLDNTFSPGDRGKSKSEPNVRRKHMPNGENNQSHPEIPELAVSRLSSRTAVEVFPSLSPPHASNASLSPVGSSANTQNGVQLGAANFQPYALPKR >Ma04_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16342365:16344795:-1 gene:Ma04_g16660 transcript:Ma04_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKEVADSDHLSAAGGSNYKIFSELEAIYKPGGGPNQTGSGSALTGDETPLLHVTAAAPPGLRTADRVGGTSETSAGEEAPAKKVPKGSGRRRRKWRQRQLSSVVAFFENLVKQIMDHQEGLHMKFLEVMERREQERTSREEAWRKQEAAKSSREAAARAQERALASSREAAIISFLEKITGVSLNLPSKLQSPDVDDDKEENVNNIGNLQIETFNNNGNPDSNKVMFNTSRWPKAEVQALIRVRSGLESRFREPGLKGPLWEEVSGTLATMGYHRTAKRCKEKWENINKYFRKTKESGRKRPQHSKTCPYFQQLDQLYSKSLTNKPHPSSASPTTNVATVHASGASNDQGKDNSELLDAIVVPADHQSFKFSDMGGLRFDFNNSKGDDTNQTAARDPMENNDEADEEEEDDEEEAEATEGEGESQGQENLSGRRHQLDQEEDDLRDSSLFFQRLQS >Ma11_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25654412:25662849:1 gene:Ma11_g21540 transcript:Ma11_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVFSSVLNGGTTRATRRMLHEDMIHVPNFHSLQSWKEWQDISVLCFWKNVFAFLNLIFISCFLVQLLWKILHKSCEKESRTIAEEMQNCTTDEHKLDHIRLGSTYQAAKVCCSLILAINLFRLVFFLLEGSGGNCKYIVSVVAETTQLISWIFLVAVIVNLPQARSVKLSWIIRTWFICSFLQSAICTALDIRSTLLAKSILGVEQYTEIISLFPCMLLFVISVRGTTGINCDANSLKEPLLQVQTSKEKHAENKRSSFYRSASLIQLVTFSWLNPLFTTGKMKPLEQNDVPDIDKNDYAEFLSHSFNCCLNNVKERYGLRTSSIYRAIFMFIWKKAVINASFAVAAAGASYVGPSLIDNFVKFLGGDRKHGLKSGYVLALAFLSAKVVETVCQRQWIFGARQLGMRLRAVLISHIYKKGLILSSQSRQSHTSGEIINYMSVDIQRITDLIWYSNIIWMLPVQIALAIYVLYKNLGVGALAGLAATTMIMTCNIPLTRAQKRYQSRIMEAKDARMKATAEVLRNMKILKLQAWDLPYLRKLEDLRNTEHNWLWMSLRLQAISSFIFWGAPMFISVVTFGTCIIIGIPLTAGRVLSALATFRMLQEPIFTLPDLLSVLAQGKVSADRIAKYLQEDEMRADAVEIAPRNEAEVDVEIDRGTFSWNQDSGCPTLENIELKVHRGMKVAICGPVGSGKSSLLSCILGEIPKLGGRVKIRGNKAYVSQSPWILSGNIRENIVFGNPFDNEKYEKTIEVCALKKDFELFANGDLTEIGERGINMSGGQKQRIQLARAVYQDADIYLLDDPFSALDAHTGTQLFKDCLMGVLRDKTVLYVTHQVEFLPVADLILVMKDGKVAQAGLFDELLRQNIGFEVLVGAHSDALELILNAETSSKSLLAAEKNILEASSNDSDAEKTLNTSFQNINKQESEHDICQDMADRGRLTQEEEREKGSISKDVYWSYLTAVRGGALVPIIVIAQVFFQVLQVASNYWMAWASPPSTTTESTVGLKFLFLVYILLSVGCSLCVLIRATLLVKTGLLTSQIFFQKMLHSIVRAPMSFFDSTPSGRILNRASMDQSVLDLELAGKLGWCAFSIIQILGTIAVMSQVAWPVFAIFIPVTAICIWYQQYYIPTARELARLSEIQKAPILHHFGESLSGAVTIRAFGQKDRFSNTNLSLIDNHSRPWFHNISAVEWLSFRLNLLSNFVFAFSLIVLVNLPEGFLNPSIAGLVVTYGLNLNSQLATIIWNICNAQNKMISVERIMQYSRIPGEAPILIEGCRPPTNWPHFGTICFRNLEVRYAEHLPSVLKNITCIVPGRKKVGVVGRTGSGKSTLIQALFRIVEPREGTIEIDDVDICKIGLHDLRYRLSIIPQDPIMFEGTVRGNLDPLEEYSDSRIWEVLDKCQLGDLIRQSSKKLDSTVIENGENWSVGQRQLFCLGRALLKRSNILVLDEATASVDTATDGIIQETLREEFKDCTILTIAHRIHTVVDSDLILVLSEGKILEYDKPSTLLEREDSSFSKLIKEYSMRSQSFNNATQCK >Ma08_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37169696:37170421:-1 gene:Ma08_g23800 transcript:Ma08_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSASHVVALGIALLCLLLLCEIGEAAVYTVGDSQGWTFNTVGWPKGKRFRAGDVLVFKYSSTAHNVVAVNAAGYSRCTTPRGSRVSKTGNDRIRLRKGTNYFICNFAGHCQAGMKIAVTAA >Ma09_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6087731:6089012:1 gene:Ma09_g09170 transcript:Ma09_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACTEQQKKLRARNREAYAITWIASLFVRVKLDKEKMCHDDHGIVGGKRKRKRWPKWHMKHGDDTDGNWGCKVYVEIPNMEIEHLEELLEAEADWERYIDGMSREERQNVLRSVAASLTHPGLHPELPSFDAAETSAPDPALLGFPAAADVVAELESTPVSVESMAATSTALVGDLDMIGGCSNEELNDADVMTSRK >Ma08_p13020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10345394:10351695:1 gene:Ma08_g13020 transcript:Ma08_t13020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYEQDPNLVRWGLHLLLEPPLNSAYCATSSHDSTNDSGLHCADESESWEARDSVENDEVIARALQEELSQIAMAEASGPSPVTEEQLQESVLTQNWLSSSTTGTLEDLENMGTSISCSISDEKLNCGENCLLELADDSYTLDGEVCKRLNHMTSIPHVPRINKGLPTVDEEISDHQRLTERLRLYDLVDLKVQGDGNCQFRALADQLYRSPEHHKFVRQQVVDQLRSHPEIYDGYVPMSYDDYLKKMSKNGEWGDHVTLQAAADSYGVKIFILTSFKDTCYIEILPSVEKSKRVICLSFWAEVHYNSIYPEGELPVLEGKNKKRWWHFGF >Ma08_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10345394:10351695:1 gene:Ma08_g13020 transcript:Ma08_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYEQDPNLVRWGLHLLLEPPLNSAYCATSSHDSTNDSGLHCADESESWEARDSVENDEVIARALQEELSQIAMAEASGPSPVTEEQLQESVLTQNWLSSSTTGTLEDLENMGTSISCSISDEKLNCGENCLLELADDSYTLDGEVCKRLNHMTSIPHVPRINKGLPTVDEEISDHQRLTERLRLYDLVDLKVQGDGNCQFRALADQLYRSPEHHKFVRQQVVDQLRSHPEIYDGYVPMSYDDYLKKMSKNGEWGDHVTLQAAADSYGVKIFILTSFKDTCYIEILPSVEKSKRVICLSFWAEVHYNSIYPEGELPVLEGKNKKRWWHFGF >Ma08_p13020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10345396:10351695:1 gene:Ma08_g13020 transcript:Ma08_t13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYEQDPNLVRWGLHLLLEPPLNSAYCATSSHDSTNDSGLHCADESESWEARDSVENDEVIARALQEELSQIAMAEASGPSPVTEEQLQESVLTQNWLSSSTTGTLEDLENMGTSISCSISDEKLNCGENCLLELADDSYTLDGEVCKRLNHMTSIPHVPRINKGLPTVDEEISDHQRLTERLRLYDLVDLKVQGDGNCQFRALADQLYRSPEHHKFVRQQVVDQLRSHPEIYDGYVPMSYDDYLKKMSKNGEWGDHVTLQAAADSYGVKIFILTSFKDTCYIEILPSVEKSKRVICLSFWAEVHYNSIYPEGELPVLEGKNKKRWWHFGF >Ma08_p13020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10345394:10351695:1 gene:Ma08_g13020 transcript:Ma08_t13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGPSPVTEEQLQESVLTQNWLSSSTTGTLEDLENMGTSISCSISDEKLNCGENCLLELADDSYTLDGEVCKRLNHMTSIPHVPRINKGLPTVDEEISDHQRLTERLRLYDLVDLKVQGDGNCQFRALADQLYRSPEHHKFVRQQVVDQLRSHPEIYDGYVPMSYDDYLKKMSKNGEWGDHVTLQAAADSYGVKIFILTSFKDTCYIEILPSVEKSKRVICLSFWAEVHYNSIYPEGELPVLEGKNKKRWWHFGF >Ma09_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23531424:23533946:-1 gene:Ma09_g19410 transcript:Ma09_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to NAC domain protein [Source: Projected from Oryza sativa (Os07g0566500)] MEIDSTDSSSGMKHQPQQQQQPPPQPHLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIITEVDLYKFDPWELPGKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGNQVKVGVKKALVFYRGRPPRGIKTNWIMHEYRLADASSRCCPDNNNNSNSNSNSNSSSSSSCRPPHGGHMANKKRGGSLRLDDWVLCRIYKKNNSSDVGVDMRAMQRDREDAMDDILGAAPSLAQQTAATGGQPDSGPLPQRPPTNYNALLENDETFFEGLLANEVGLPSNPITHLAAAAAAPRAQLNLALLPAGTFPTRNPLASAYWIDSTDIAAPSAKRFHTGDGNSSSSAANKNNTSSYSNGSATNDDGGHENQMILNQMPHGATFHDQMMLRQLRDGGAIFQQPYQLPGVNWSS >Ma07_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9785826:9787532:-1 gene:Ma07_g13030 transcript:Ma07_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNNITAVLNFVGLLCSVPVIGAGIWLASKQDNECVRLARWPVIILGVLLLLVSLAGFVGAYWDKQGLLAAYLFCMAALIVLLLIFLVFAFAVTRPDGSYPVPGRAYHEYRLAGFSAWLRHYITDHWIQIRACLSSSDVCQKLGRDQPYLTADQFFQTDLSPLQSGCCKPPTVCGYGYVNPTLWINPSNPMADVDCALWSNDQSQLCYNCNSCKAGLLGNLRNEWRKANVALIIAAVVLIWIYIIGCCAFKNAQTEDLFRRYKRGYT >Ma08_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4982639:5003196:-1 gene:Ma08_g07280 transcript:Ma08_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRYNYRNHSARYLTSWKDANDPSPGNFSLGIGSSTAAQLLIWSGTKLYWRSQVWIGKMFIGSRANNTTAVAYLTVVADGDEIFITLGVSDASLFTRYTLNYLGQLELLIWDDSSKNWRKHSSVPNDKCGTYGWCGQFAYCDSTESVTACKCMEGFKPKVQSDWETENFSAGCIRKKALRCGDGDGFLRVEGMKLPDHVVLLRNRNIGDCRTACLTNCSCTAYAYSDVTTGNATISRCLIWVGELIDTGMVGGGGEDLYLRLMDISLGTSGSKTKTRRIVIIVSLPASIVSLACIFIFWKFSEVFGVFKDRKKGNLLSDLSSSTDFANNISGSNEFIERQPHQGPELPLIGFENILFATNNFSESNKLGKGGFGIVYKGNLPGGKEIAVKRLLRGSRQGLSEFKNEVILIAKLQHRNLVKLLAYCIHGVEKLLVYEYMPNKSLDFLLFDPTQKTKLDWGKRFNIIKGIARALLYLHQDSRLRIIHRDLKASNVLLDEEMNPKVSDFGLAKIFGGNQDEANTDRVVGTYGYMSPEYAMEGLFSVKSDVYSYGVLLLEIVSGFRNSSFPLAMDSPNLLAYAWELWNEGNAEDYVDPSIAGSCARAEVARSIHVGLLCVQDSPSDRPAMSSVVFMLENEEATISAAPKQPTFTVRRNQNPHRGGDSRDDNIEICSYNNVTITTTEGR >Ma05_p27670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38870906:38873121:1 gene:Ma05_g27670 transcript:Ma05_t27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G16510) UniProtKB/TrEMBL;Acc:W8Q2T5] MSLKLEDNEVDIVVAALRPNLNTFLEEWRSFFSNFHLIIVKDPNLEEDLQIPPGFDHQVYTKSDMDRVLNGASINFSGHSCRYFGYLISRKKYIISIDDDCLPARDNSGVIVDAVAQHISNLQTPATPFFFNTLYDPYRQGADFVRGYPFSLRDGVECLLSCGLWLNIADYDAPTQIVKPHERNTRYVDAVMTVPLRAMMPLSGINIGFNREVLGPALVPGLRLDGEGKRRWETIEDIWSGMCAKVICDHLGCGVKSGLPYVWRGVGSDADNAMDSLKKEWEGVKLMEEVVPFFQSVKFPKTAVTAADCVIEISRMARENLASLNPVYAQAADTMEAWVDLWKVAGS >Ma01_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:683555:686968:1 gene:Ma01_g00960 transcript:Ma01_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGGSFQQWEKDVFFSAAEEVQESADVMESIYRMWTRNARDGFDLEASYEFRRELQTALGTAKWQLEEFEKAVKLSHGDNSSYENAITRHRQFVSAIGNQISRVEKGLNDSLIEEGKPPLCWVQLDKEERDDLANFLSGVSRDSHGAKDVAHGNPDLMKSFTGTVTVNKDKRYVVEVAAKEPSEEKDDSLLVAPQQPYGQTSVLSLPDIGAWKIVIADEDTDKRSAEVRPDMPNHEYGQTGSLRSVESTSKLQWFRNNLWKAKNEEPHFLRHGLSYYLDLKGVTRFAQGINGLTGRSRNCHSNSGQRLKRSHSQTFVGKVGRFQRPTEGPQHCMRFMRSVWMTFLLSMSIVLIVPFVLYTA >Ma05_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5846391:5853336:-1 gene:Ma05_g07910 transcript:Ma05_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSQGSGYHCPPTHILQLCGFRLLLECPIDLSSLAVFSPIPSTGAANLDASSGLLRALPWYKTVPGLHLWDPSLIDAVLVSSPAGMLGLPFLTRNAKFCGKIYVTEVVARIGKLLMEDLLSMHAEFLQFYGADASPKWMKWEELEKLSPELKQVVLGEDGEGLGAWMPLYSAADIKECMQKIQPVKYGEETCFNGSVIMKAYSSGLDIGSCNWTINSPKRSITYLSSSLCMPACWKGFDYRSLLGNDLVIFSDLSSLSSTANTCTETREIRKNNMMVDNDPSLCSASALSKDEIPHQLFGTDETSDEIEKISFICSCIIDSLEGGGSVLIPIGRLGTVLLLLEQISDSLESFNLKVPIFMISPTAEETLAFVNTVPEWLSKQRQQKLFSGEALFNHVELRKVNRLHVFPSIYSSELLMMWQEPCIIFSPHWSLRLGPVVPLLHRWHADPKSLLILEQGVNVEVGLLPFRPVAIKVLQCSFLSGIMMHKVEPLLEILHPKLVLYPEDLRIQHPVKENNSWSDLYYSENVQLRVPSFVEDVKACLETNLAFQLRPRRLTQQNIAIARLKGRIFLSKGKYFLAASRIPMEFSNKQLLYWGSIEPTSLLLALQERGLVGTINWNKDAANGVYTIQITNPEKAVIETSARKTTISCEDENTATLIYEALSNVCDGI >Ma05_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35662070:35668816:1 gene:Ma05_g23550 transcript:Ma05_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRTKREKGHSSGRHQSKVGVALICSSSLVDGICWACYHHHTKAPHSYVGAAVLYLGWICNLYMGMLAVFCTHSINIHAGLNGLEAEQTVVISAAVLIHNIMQIGKSSDPEYQQAHAFSIYLVLCLLTTSLALLSYNWYPSSDSVGDTYTLLEWLWLYFLAIHSATLLLFFLPQVLNFLYSCPQIFKIIPCPRRPLPRFDPQTGLLTGTKDGNLLYGRCTENILCIILLIFQAFSCLLCFCSRHVLAGWYKRGVTANSVKREREQGLKHMNELVAARHKAVHAAEAKRNHQAATIAQQADDIRESSRTVSSFFFWRRCLNKIYNITAFSLAKTFFGILVC >Ma08_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37521772:37528354:1 gene:Ma08_g24310 transcript:Ma08_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] MHPVRQPPLLHCFRAALSSRHPLCLLFLAPRPSQSSPRASISAPWPRLKPLGRTRTYSVATKVADEPAAEWSGGGGDGDGDGNGSYFFAGEGVSWKSLGISDHLSHVLAKASLLRPSLVQAACIPHILTGKDVIIAAETGSGKTHGYLVPLIEKLCRNLDPNKGTDVSKGNPEKHKKTYLVLCPNVMLCEQVADMANNLLDDSGESLVKVAAVCGRRGWPVAHPDILVSTPVALLNYLFEFDPEKKRRANFLQNIKSVVFDEADMLLCGSFQNQVIRLINMLRFEEKLVSKMQDSAKESVIDEDNKCNMELKSDDDNYKQLLNNDEEDGDNCNDDEGLESESEELNTSVKDWKRVRKIYTRSKQYVFVAATLPSSGKRTAGGVLKQMFPDAICVSGSFLHRHNPRLEQRWIEVTPDSQVDALLDAVNYGYRCKAPDSHVDASRTMVFANTVDAVQSVAKILERVGLECILYHREGSLEERTSNLNHFRKNGGIFVCTDAASRGLDIPNVSHVIQAEFATSAVDFLHRVGRTARAGQFGTVTSLYTRSNQDLVCAVRQAERTGEPVENAFSRKRSFRNKLKKRGRNTSEGASLASV >Ma03_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1486260:1489090:1 gene:Ma03_g02180 transcript:Ma03_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRSGAFLLYLLAALLVAALPAVMASSDAPFMVAHKKVSLTRLKSGVERVSVSIDLYNEGLSTAYDVSMNDDSWSQDMFDLVSGSSSKTWERLDAGSSASHSFVLESKAKGMFHGSPAVIKFRIPTKAALQEAYSTPIQPIDVLADKPPEKKFEWAKRLLAKYGSLVSVLTLVGLFVYVIATPSKSSKKKR >Ma10_p26010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33894219:33898342:-1 gene:Ma10_g26010 transcript:Ma10_t26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLDVETAPIGETSASLPGLVSDTQHVEQASDFEPGDNSLELEEKAPDAAVEKGEDRRELIRTRYSRKEMEAMRSRGVREQRRWWEEVYEGLGEVVGRDYDGLRADTTRKQKRRGKNKKAKASPVVCEEFSVNASESLDLLDFSEIACDDANVGSQNGQSVEEWCRDNECINNEDDSSDDEPDSIQRPAFLVEGEPDFDSGPPLDGIEYLRRVRWEAAQIPKVKVAKLKVSKRSSEQTPYMPKIPEFEKCPCNLFPSKLWEDAFLAEYSEIRQAFSELEDSCDQLFSTSHDKNACKDPDHTHKPESFPTMSFLCRMDAVSRASTLRNYISMFESADVLSRDDCLWLFALSVVVDTPLDADMCSSMRCLLRKCLSLLARKSEPDDEVAMLNILVSITGKYFGQSEN >Ma07_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12100797:12102137:-1 gene:Ma07_g15930 transcript:Ma07_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKARTHLKEKSSLVSSTHGATDDSRRRRTSKSSVLRSPERETTHPPQRNTSIGSSSDAARRGKSLPQQPSSAISNVSRSHLPARKPPEKPLLTSHQSQRTPVTSTVKDRASKTSSSIPRATVSSKPVSSADKATRSLRSGGSLPSVRGRSSGSAVPKKKEPTMAVPSTNEKPVIVAEQGRKEAQIHAQEHEPEPVITTKKGVEEAEIHVEEHKHELVVPAEQEQREPQIQVGELDPNETRIDIEPTSTGDQQPDDTNDIVEEKVVEVIEKSDSGQQLNEDGNDDDRDKHKEHDNGNKELLQSSEEKPAAEIIEEPQVEPCEIETTRAATEENEAPVAEPSSSVSEEKSTAVAELSTATVKPDKKVIDEKGVESPTVMTFKKPAVMQGKKKESQMSNDVIEETRSKLLEKKKSKVRALVGAFETVMSLQDSEGQHGQNQENNST >Ma03_p29320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32261484:32264726:-1 gene:Ma03_g29320 transcript:Ma03_t29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLEAFISGLVGTLMDMAKEEVDLLLGVPGEIQKLQRTLRNIQYVLRDAEKRRIEDEDVNNWLMELKDVMYDADDVLDECRMEAEKWTPRESDPKPSTSCGFPVFACFREVKFRKKVGVKIKVLNDRLEEISARRSKLQLHVSAAGPRVVPRVSRITSPVMESDMVGERLEEDAEALVEQLTKQDPSKNVVVLAIVGIGGIGKTTVAQKVFNDGKIKASFRTTIWVCVSQEFNETDLLRNIVKGAGGSHGGEQSRSLLEPLVEDLLRGNKFLLVLDDVWDAQIWDDLLRNPLQGGAAGSRVLVTTRNAGIARQMKAAHVHEMKLLPPEDGWSLLCKKATMNAEEERDAQDLKDTGMKIVEKCGGLPLAIKTIGGVLCTRGLNRSVWEEVLRSAAWSRTGLPEGVHGALYLSYQDLPSHLKQCFLYCALFREDFEFYGPEIVRLWIAEGFVEARGDVSLEETGEQYYIELHHRCLLQSLQPLSVDYDEYSKMHDLLRSLGHFLSRDESLFISDVQNEWKSGVVPMKLRRLSIVATETTDIQHIVSLTKRHESVRTLLVEGTRGIVGDIDDSLKNLVRLRVLYLMGINIQSLPHYIGNLIHLRYLIVYWSFITELPESICNLMNLQFLILSGCTKLTQIPQGIDRLVNLRTLDCIGTRLESFPYGIKRLKHLNELQGFVVNTGNGMCPLEALGGLLELRYLSIFKLERACMEADPRRDTSGLKGNQKLKHLRLECSDRPTSDGHTEEEIERMEKVLDVALHPPSSVVSLSLQNFFGLRYPSWMASASISSLLPNISRLELINCDHWPLLPPLGKLPSLEFLFIRGARAVTTIGPEFFGCEAAVATGHDPERNSKRPSSSSSSSSSSSTTTTSPPLFPKLRQLELWNMTNMEVWDWVAGGFAMHRLDKLVLVNCPKLKCLPEGLIRQATCLTTLDLSYVCALKSIRGFPSVKQLSISGKSDLEIVTDLPALELLKLGNFLFDQKHLPEWLAACPASFTTLQRLDVSGTTQLLRRCLQNGADWPMIKHFTIFSMKDDRGNYINYIKHSCTFETNLVDDDAAFAAAAEEEEEEEEEEEEERHQ >Ma11_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26753402:26755386:1 gene:Ma11_g23390 transcript:Ma11_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFFHHHHHHREEHGSSAGGRSSPRTVRVYTKADPNYSLSIRDGGVILAPNDPDDPYQHWYKDMRHGTRVKDEEGFPGFALVNSVTGEAIKHSLGAQNPVRLVPYNPDYLDESVLWAESNDTGKGYRCIRMVNNIRLNFDAFHGDKDHGGVRDGTTVVLWEWLKGDNQRWKIEPQSSDPPPPTNYAGGRPPQRTVRILTKAGPDYSLTVRDGRVVLAPTDPNDDYQRWYKDMRYGTEVKDEEGFPSFALVNKVTGEAIKHSIGATNPIRLVPYNPEYLDESVLWSESNDTGEGYRCIRMVNNIRLNFDAFHGDKDHGGVRDGTTIVLWEWLKGDNQRWKIVPY >Ma06_p31990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32958483:32960146:-1 gene:Ma06_g31990 transcript:Ma06_t31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFSISLVTKLLGRVYYHVDGSPTPGTLPPNVSSAVNGVAFVGTLSGQLFFGWLGDKLGRKRVYGMTLLLMIISSVASGLSLGHTSKGVMSTLCFFRFWLGFGIGGDYPLSATIMSEYSNKRTRGAFIAAVFAMQGFGILAGGMVTIVVSGIFKNRFPAPAYAVDPIGSTVPQADYVWRIILMFGAVPAVMTLYSRLKMPETARYTALVARDAKQAAADMSKVLQVELEDEAAKVQQITTAQSNNYGLFSKAFLRRHGAHLLGTTTTWLLLDIAYYSQNLFQKDIFSAIGWIPKNTTMNALEELYRIARAQTLIALCGTVPGYWFTVAFIDVLGRFTIQLLGFFMMTVFMLGLAIPYHHWTTPGNQIGFIVMYGFTFFFANFGPNSTTFIVPAEIFPARVRSTCHGISAASGKLGAIVGSFGFLYLAQSQDPAKVDAGYSTGIGVRNSLFMLAGCNILGCLFTFLVPESKGKSLEEMSGEKEEEEEQVQASSNGSTLPV >Ma01_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10856415:10863443:-1 gene:Ma01_g14900 transcript:Ma01_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACARKGGSTSQLDIEQILVEARRRWLRPAEICEILQNYRKFRIAPGPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENEKFQRRSYWMLEADLMNIVLVHYREVKDKTSRSLTRDVEEVVQDTQMDNPIVEEVVQAMQMDNPITSNSATSQSQLASQSFGVDSPSSAHTSEYEDAELAYLKPNSLHHIVIKQVPDTTLSLRCGSMMIDE >Ma01_p14900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10856415:10863409:-1 gene:Ma01_g14900 transcript:Ma01_t14900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACARKGGSTSQLDIEQILVEARRRWLRPAEICEILQNYRKFRIAPGPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENEKFQRRSYWMLEADLMNIVLVHYREVKLQDKTSRSLTRDVEEVVQDTQMDNPIVEEVVQAMQMDNPITSNSATSQSQLASQSFGVDSPSSAHTSEYEDAELAYLKPNSLHHIVIKQVPDTTLSLRCGSMMIDE >Ma02_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27147354:27147959:-1 gene:Ma02_g21580 transcript:Ma02_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEKAFGSATAATTDGKAVVESPMDLLDEYWFFHNPLKGRRPPPRTLPRPPCTPTTAKEEAGATEIARKLLRPPSTPSHRARKKEPDGKRSRRRFIQGRRKWRSYSEMEAFEVQGFRDLGFVFVEEGPSARLADVVRCLRDKRPISGDGGGCRAYLSEAWLIERTAPPKLAWEERRSAADAKEQLRLWARTVARNVMLM >Ma09_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10638763:10639050:-1 gene:Ma09_g15340 transcript:Ma09_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALDDAASSAELNGVVLGKLAAFLLVQALVCVILIKSSSVFSSLPTRSVSFRRIRSASIRRLLALLSDLPAGDEPSTASSNSETAKERDGVVT >Ma10_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30233785:30235049:-1 gene:Ma10_g19820 transcript:Ma10_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRGSSGKYGDMELRRGPWTLEEDTLLIHYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLMILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSAMFRDAVRCYWMPRLLEKMGSSHTMQSLGAYTTTTDQAPQGPRHELVNPSMQHHQFGSLTSYEPPAVAENRSPSSSSCSTVLPRLPVTVSEFPSTAPDELDGVAFDPLSSGLSMNNAYDLGTWDLAPVSAPALGYSASPDYTVANSDCSNTIVDGLWSLDELCDMLKSYISGADPKVPFGDNS >Ma06_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3227348:3237160:1 gene:Ma06_g04450 transcript:Ma06_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREADAIPLSRFGVLVAQLGSIVASAPQQPPDAILCFDLLSELVVAIEEEPKESIQQWQRKCEDALYSLLILGARLPVRRLASLAMGRVISKGDGISIYSRVSSLQGWLADGKRSEPLSCAVDQHADPGKIVKLGDFAGSDSIPGLLPGCTYQDFVRKDALQMLGNALEGCGGSGPSTAYSEAYRMIMRVGVSDKSFIVRMAAARCLKAFASIGGPGLGITELENSILYCLKALDDPVSSVRDAFAEALGGLLALAMNPEAQVKQQGNKGPAPVRMLEDGLQKHFILPFVRGKD >Ma09_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5742903:5748930:-1 gene:Ma09_g08660 transcript:Ma09_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPLAPLLLPPNPRSSPLPPDPYLRSSPVLKKWRIGASSAAPGVDLKPLETAIAKKDSVAVKEILDQLNQIGWAKKWSSQPYVSRRMTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTSLLAVIAGQLPGDWGFFVPYLIGSISLIVLAVGSISPGLLQAAINGFSSFFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLVDERLQKLLYSGQLDEKELDRLAAVSMAGLAAEGLKYDKVIGQSADLFTLQRFINRSKPRISKEQQQNLTRWAVLFAGSLLKNNAVEHQALMAAMSRKAGVLECIEAIENAA >Ma01_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7039841:7040651:-1 gene:Ma01_g09810 transcript:Ma01_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATIKSISSINIKFFHIHIFELRNITFDSIKINTPGDSPNIDGIHIADSTNIQVANSIIGTSDDCISIGSGCTNLTIFNVLCGPGHGISVGSLGKNVGEKDVIGLNVSNCNLTGTANGLRFKTLQSSPSRLKATDFVFEHIIMNNVYNPIIINQNYCPFANCPKKDPSLVKIKNIKYRNIVGTFLSPVAYNLVCSKVAPCEGAEPSDISLKCNGNEKQPKNASICVHVYGSSNGNVKPDPCI >Ma05_p05350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4071810:4073432:-1 gene:Ma05_g05350 transcript:Ma05_t05350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGGGKREDSRFAWNVFDGVKAFPPPTPEALMDDIDAAISALEYTRSTAALLASSSSKAEEEAAPESEIDQPPGTSSEPVYDKRIADEAYKAACAALAAGKPDAAIRSLHVALASCPPEKTTALAKLRSLLDLASSQQQKQKPQH >Ma05_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4071810:4073432:-1 gene:Ma05_g05350 transcript:Ma05_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGGGKREDSRFAWNVFDGVKAFPPPTPEALMDDIDAAISALEYTRSTAALLASSSSKAEEEAAPESEIDQPPGTSSEPVYDKRIADEAYKAACAALAAGKPDAAIRSLHVALASCPPEKTTALAKLRSLLDLASSQQQKQKPQH >Ma10_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22420547:22420908:1 gene:Ma10_g08160 transcript:Ma10_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYTYISYLEQGFDDVVLLSRLMKALSVGSIGQHEDVVTDPYTEMAQGDD >Ma01_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2649761:2659294:-1 gene:Ma01_g04080 transcript:Ma01_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKACVSKELNAKHRKILEGLLKLQENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQIAFIQTMGNEKSNSYWEAELPPNYNRVGIENFVRAKYEDKRWIPRNGARRSPSRPEKASESLQRHVNGAGHGITNDSGTVKVEHSSKPHLSMKNVRSNLPSQVYSIPKEEQPMAKSNVQPLDTTPARVDTATPKIDTLPAPPPKADYLTDLLNSLSVEIPSESGSDSSSKDDNSWAGFHSAGETTAIKQKCSTKPVENKRRLASGIEDLYKDLPPVTVSSASVKTQGNMKNDITPVFEKPNMASPYSIHQQQLASVSQQQSFQTAASKPVGINSTLPGRTNNQTVLNGYLALNVSLPYQNWPNLGYQGPGIMPPASHKGVNISGQQVGNNWLGHPSGIYKASPTSGTWNMGAAAPINGLTKGGMSKSFASPASTSVALSRSGNDYDFSSLTKGMLSKH >Ma09_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2964772:2965948:1 gene:Ma09_g04570 transcript:Ma09_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEERVRRAPAKGSRKGCMRGKGGPENPKCRYRGVRQRTWGKWVAEIREPNRGSRLWLGTFPTAVEAALAYDDAARAMYGTMARVNLPGAADVPAMRRESCETTTTSRKSSAVDGSISREIDRKVPRFDPRDEVAYSDATDVSDDWDKDVKLLPKVQQKDDAEKESTTTEACVELRQTGDRDPPTTDGREEEFSVEEMLRMMGADAEASMPCHLGPVGGDVNWQGISAADDLALLDLQQNPDATLWGMAQNPLGFDYGDDSLWRPLGDDWEYGPVEVPKRAELGTFDADFSSGPRR >Ma06_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8125834:8131632:1 gene:Ma06_g11670 transcript:Ma06_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDAARKRAQRRAVYLAKRRGDPQQSLQVAGSRCRVYRDDGLYQAAQDQQGLIPWNGKQDILIDRFDGRALLDFIRDSSSRAYRVQERTEEEEEVEEFVNFERYRDLIKLRRRGFTDEDGLRHVHQELEAKNSLPFAFERPQSTQPPTSKGSYSQVGFSYKGDGHEESHHVDSGNEEEEDDEEDDDDDEKDFSSDDSNDEKMETISKEFGIKRYNWLVYMDKKAKEEEKRQKEIIRGDPAIRKLSRKERRKASQIEREREREAARLTGRTPHHDPYRESRRSPTYEAYPRSRGSRSKSRSYSPPHSRRHERSLRADNGHQSKSKPPKIEYITEFGGTNDLDDQKFGVSPPSSPLQADPLNRLSGGRILEALHVDPASALSLEQEKNAKMLRPSVSSSSSAIAKLSKTPLGVSSKIQQGEKKETPQERLKRIMSKQLNKQIRKDTAAEMAKKREQERQRQEKLAEASRISRYSHRSRSISYSPSPPRRRRHSRSRSRSRSPRRNYCRSRSHSRSHSPRSRSRTKY >Ma06_p27250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29296710:29301130:1 gene:Ma06_g27250 transcript:Ma06_t27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDRDLEAGSHDNINGGVATARVNSITSSASCFPFTLKFMDVSYRLKLDPMSGSGGNGIRRILHSAGGSSAAPEERVILNGISGMVSPGEMLAILGPSGSGKSTLLSILAGRLQGKHTGTVLANGHRLTKSVLRRTGFVTQDDVLYPHLTVRETLVFCAMLRLPRTVEKTDKVASAEAVIAELGLSKCADTSVGGPFVRGISGGERKRVCIGHEMLVNPSLLLLDEPTSGLDSTAASRLVATLGGLARQGRTVVTSVHQPASRVYQMFDSVLLLSEGSCLYFGKARDAVDYFGSVGFAPKFHVNPADFMLDLANGVTQTDYQGDAEKSAVKQSLVSSYNSVLAPKVRAGLTAAVPRNATHTGKDVCVERGRKEQRSISWCSQFSILLQRSLKERRHESFNSLRVFQVMAAAVLSGSMWWHSSIRDVQDRLGLLFFITIFWGVFASFNAVFTFPQERAIFIKERSSGMYNLSSYFMARMAGDLPMELILPTVFTLILYWMAGLRTEPGAFLLTLAVLLGYVLVAQGLGLVLGAAIMDAKQASTIATVTMLAFLLTGGFYVQNIPSCLAWLKYISFTFYCYRLLIIIQYGGGQMDYLLASSHHRNKRDADGELVAQVSTSVCIAALLAMFVGYRVLAYMALRRTKA >Ma01_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7192964:7195622:1 gene:Ma01_g10060 transcript:Ma01_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQITQEFKRTKGNLDSTSEHAELLDSVVISTSGTMSPRVNLLRERAAIQGSISHIDGVIGQSTIDKISFGITRDFTRRCSRKSEPAW >Ma09_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9922731:9932209:1 gene:Ma09_g14530 transcript:Ma09_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRISPLRLRFPSFIVTASRSQEAATPCCGSGGGGGGGGLTARAMSSAPSPYTTLKERVSCEREIKKSKFLAVAAPISDERSAHSFLSEVRDPRATHNCWAYKLGDQYRSNDDGEPSGTAGKPMYSAIVSSGLDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKGGTTCLVKPKAPIGIEVPFELLGTVYHQLQHFQAEDIKEDYDTGKDGVTMVTFRVEYDKMESLEDAINSACSRKIEFYKR >Ma09_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4052662:4059173:1 gene:Ma09_g06330 transcript:Ma09_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MCSTRLVLLGFHQTLSISASPASTRGRISSASFPSIFPRRGFRFDGQRCRLRRSFVPFAFSFDEDSGLPTLMEDSNGASGGNSSYPSSEDEDSDADLIVQPTADVELRSNKERFETPDSSITVAAHRFATLRGRRKKRTQKGILISMSLVAFLLVFLLFFDWCSWRIVRMPLEPFFLTHPFTLSAALSAFAGFIFVPIVDSMRIHQVLRKEGPASHTSKRGTPTMGGLFFVPIGIIVASIKAGERSTAVSGAAVATIAFAAIGLLDDLLSCIKSHNYGLPGWAKLGLQVIAATWFSFWLDSAHISTPYNMKFLVPLPPPMGIMYLGKFYPVLTVFCFASMTNGVNLTDGLDGLAGGCAALAFIGMSVAVLAICPDLAVFGSSMAGACVGFLFHNRYKASVFMGDTGSLALGGALAAMAALSGMFFPLFIASGTFLVEVLSVIVQVLFKKATEVLYGTRRRIFLMAPIHHHFELCGITEPIIVAGAYVLSFILAIFAGYVGLFSA >Ma04_p34730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33780405:33793030:1 gene:Ma04_g34730 transcript:Ma04_t34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISRLCNLIAVSLRPYLEPRPFPLTKESEKDLLVSLSRVHKQIQQWTNESDCDGDQERIADSHFYDTCYMDVKHQFVDENNCFMDITSTMVAFLGLESGFVQHLVGKIFVGMSNLLAKFRSKWLKLLHLLWVSLGLAMSSRCILPSAPLGSIHVPDKSWIQSFTNIMLPGIEDTVFDISIFIARLQLRVIEFNVHMVAGLFHTFRNILKSLKREISDLEGAYRYLAMSSLLKMPWGLLDEIHVSRIHFGKDAILDRKNCLPRSADMLAGIILQLLCSLVEQKDLMDVEGVSFGDHAIYTKFSDLVLKLLACFFKHLGCYESLSGYLKHKTLVLMVRLRLHMQCDVSHLVLWLKLLKNHFEDLLYAPISVCDDGSAATLEESPFLAVSVDRDMVQNLCTQHLRRQTIFLFLHCCFKLVHFHHEADHQFSCGGKYSSIISTLQVCSEHFTCMGLVELFEWLQKCASLENVVDYESFRKSCFSFGSSFLQFYMEEDDMLFDILLLLLDAPVISLQVCSNGEETSFEEMKKDIIFNISSIFNPIYLFHMFLLLLHYDHLVLVDYLLSKDLGIRFLQYLLRCLRMVGTSWHIFLRFPICGSEQNQSSYKRRKISIDESLEALPSSIMMTKEHKVRKLLAAGNIDKSMTFNNAKECLLSLKKTVEDLHRKNLFPYNPKPLLRSLARFEELCHQ >Ma00_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8234070:8237167:-1 gene:Ma00_g01460 transcript:Ma00_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWRGRRRQQQEEEGGWWGPTNRHSGKKYGRQPPSPAGLWCQTVPQWEKKFCTDVCLIPWRKLCETKRVMSMYENVVQWNDSAGEEAFKDAKARFWAEINGLPCDIPLPDPDMYIDVVNQEAFVNPQLVEDLYKQPQTLDDGERDASCGWDSFIFAYRPVPASGWGDEDPVPTGWLGASCPAPTRCGHSEDPVLTNNITTEPHSAMSYNNALVGNLSYLATKDTGVYKNFDSRNVHENPLNKDISENAWNPYELEKQFVHDKSWEDVRDTSRGNGRDTSWDRREHRNTESVGRFSRKRNVGRFSLRHACPKDQVEDHQTNSWRNCRGRKRNEYRHH >Ma00_p01460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8234070:8236757:-1 gene:Ma00_g01460 transcript:Ma00_t01460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLWCQTVPQWEKKFCTDVCLIPWRKLCETKRVMSMYENVVQWNDSAGEEAFKDAKARFWAEINGLPCDIPLPDPDMYIDVVNQEAFVNPQLVEDLYKQPQTLDDGERDASCGWDSFIFAYRPVPASGWGDEDPVPTGWLGASCPAPTRCGHSEDPVLTNNITTEPHSAMSYNNALVGNLSYLATKDTGVYKNFDSRNVHENPLNKDISENAWNPYELEKQFVHDKSWEDVRDTSRGNGRDTSWDRREHRNTESVGRFSRKRNVGRFSLRHACPKDQVEDHQTNSWRNCRGRKRNEYRHH >Ma06_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8250781:8254946:-1 gene:Ma06_g11840 transcript:Ma06_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISCFSFLFATKKKSKESFTVAKNLNGNGDLRVNPEEFDDRSTENAVTEVTPGESFAKNAKVAVDDKSVLTEASYEGSDEHDEDLSMKRDFSDFDLQALAVEKDDIGSKGWDQELFNDGLEDKLEKFDVITPEVSIHNGHVSDPGMGQKSMFWGSPVLKRSCSNIETMRVGKLTRSPTKSNSSDEVYNTWGNLGGEATDDIPGSPLSVMTSVSADKALLNKRSSCQVLPSRSTKLWWKLFLFSHRNCHKPWVSVPQKIAIDDTSKNNVGYCSDTLKPNPCFQTKNESDMERPEIISNADLWPQNQWIAFSAESSLLDRVNAWVHSLEGSPFCPTDNGEAAEEVVSYATCHETGETSGTKQSHNVRHAVGKVIQTNNIIQSLCSFSPVAHIAGLGLRVIPAISAFNSLRSVNLSGNSIVHISSGSLPKNLHTLDLSKNKIAIIEGLRELMRLRVLNLSYNRISRIGHGLSNCTLIKELYVAGNRISYVEGLHRLLKLTVLDLSFNKIITTKALGQLVANYKSLLALNLLGNPIQSNNGEEQLRKAISSFLPQLIYLNKQSIKSQRGREAATDSVAKAALGNNGWSSRRKLTRRVSQLPISSARSRTGEGSSHRGVGSGGSEQKRSRQRSKSRQHSISTKK >Ma06_p11840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8250781:8254348:-1 gene:Ma06_g11840 transcript:Ma06_t11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISCFSFLFATKKKSKESFTVAKNLNGNGDLRVNPEEFDDRSTENAVTEVTPGESFAKNAKVAVDDKSVLTEASYEGSDEHDEDLSMKRDFSDFDLQALAVEKDDIGSKGWDQELFNDGLEDKLEKFDVITPEVSIHNGHVSDPGMGQKSMFWGSPVLKRSCSNIETMRVGKLTRSPTKSNSSDEVYNTWGNLGGEATDDIPGSPLSVMTSVSADKALLNKRSSCQVLPSRSTKLWWKLFLFSHRNCHKPWVSVPQKIAIDDTSKNNVGYCSDTLKPNPCFQTKNESDMERPEIISNADLWPQNQWIAFSAESSLLDRVNAWVHSLEGSPFCPTDNGEAAEEVVSYATCHETGETSGTKQSHNVRHAVGKVIQTNNIIQSLCSFSPVAHIAGLGLRVIPAISAFNSLRSVNLSGNSIVHISSGSLPKNLHTLDLSKNKIAIIEGLRELMRLRVLNLSYNRISRIGHGLSNCTLIKELYVAGNRISYVEGLHRLLKLTVLDLSFNKIITTKALGQLVANYKSLLALNLLGNPIQSNNGEEQLRKAISSFLPQLIYLNKQSIKSQRGREAATDSVAKAALGNNGWSSRRKLTRRVSQLPISSARSRTGEGSSHRGVGSGGSEQKRSRQRSKSRQHSISTKK >Ma05_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2419281:2421208:-1 gene:Ma05_g03360 transcript:Ma05_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTFFIFFLLLLTRMAAVAAFDVPTTPFSEGFSHLFGNDNLIRSKDDRSVRLSLNRYSGSGFISSELYEHGFFSASIKLPRDYTAGVVVAFYTSNGDIFPNTHDELDFEFLGNVRGKDWRIQTNVYGNGSTARGREERYLVPFDPAEAAHRYSILWTPDYIIFYIDDVAIREVVRSDSMGGDFPSKPMSVYATIWDGSSWATSYGKIKINYKYAPYVSEFSELVLRGCRVDPIQQVDTAERCAETVEELMSADFALLTPMKRAAMRRFRERYMIYSFCYDQHRYGNFTFPDCDYVSPEHTRFGEWGDNRFPPKEVRRSRRRVRKPSPINVQSSE >Ma09_p28860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39493137:39507468:-1 gene:Ma09_g28860 transcript:Ma09_t28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESSEEAKALHKDCVKVAVNVRPLVTTELLMGCTDCVTVVPGEPQVHIGAHAFTFDHVYGSSGSLYSSIFEECVLPLIDALFHGYNATIFAYGQTGSGKTYTMGTNYTGEGDSGGIIPEVMDMIFRKIDAMKDTTEFLVRVSFIEIFKEEVFDLLDPQSRTDGTSVVKPAVPRAPIQIRETANGGITLAGVTEAEVKSKEEMASYLTRGSICRATGSTNMNNQSSRSHAIFTIYVEQKKANNGYCSGMGNNEVAGEDILFAKFHLVDLAGSERAKRTGADGIRLKEGIHINRGLLALGNVISALGDEKKRKDGGHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVAAEMQRMRSQLEQLQAELQFCRGGGAPFEELQVLRHKISLLEASNADLRQELKERQDICEHLKQCAFDAQVEKDKLILKIESARSGTSWDEIDEMEKIKDLDLTNGYIKKIQELEAELLRVRSSSRPCGNYLMNCPALVDMASGCIERTLDFSMEVEEDEKEREHSMLQDQIDKELRELDKRLEQKEAEMKQFAKSDTAFLKQHYEKKLLELEQEKKLLMKEIEDLRFNLSSLSYTSDESAEKLKADYIQKLNMLETQVSELKKKQEAQAQLLRQKQKSDEASKRLQEEIQRIKSQKVQLQHKMKQDSEQFRSWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAALATKRLKELLEVKKSSREASATGNANGPGIQALVHAIEHELEVTLRVHEVRSEYDRQMQERAAMAKEVSRLKEESEMLKRKIISESPQTMSPSARNSRILALETMLKTSSGALVSMASQLSEAEERERVFSGRGRWNQVRSLAEAKNLMNYLFNLASSSRCHLHDKEVKCREQDSEITELKNKLVALNISKRQLEEQLMEARNQNLQRLTAMRRNEDPAGSSGTDICVYEEDGPSNAMHKDPRETQCSSQGVDNLDDMDTSESDMSDVFKSDDEASEESRSDLNDEDWVQSGKKNIKKRFRNHQNGKSTTEESHTVENLLSEEPNEKGRIKVEKDGLDHCCSCSKGSSCKTMRCECLALGSGCSTNCGCIPSKCTNRKSGLINTDMDEITNSEAAGSGGSHSSLDHADDVNRKLVSEGTMLLQSALSKKTVENDVQPRKPLSNIGNTAMNRNAMKPGRRRKWRKSTFQLVPTGPSPPSMLQNNEATTIREDVPLRLPRAMDSMALDNNPPFVDRNSIRADESVSTNKEIRGLISQGLRKKASKEKENHMI >Ma07_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30153336:30158138:-1 gene:Ma07_g22100 transcript:Ma07_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MEARFQPPVFNIKVVDGSNGIAAYESVPLGGGSVPSQYQGAGGGSNGSVGAGAGTGEPFKRKRGRPRKYGPDGKVILAVNPVSAGPAAPPTTGAFSAAANAAATAAAEGVKKARGRPPGSSKKKQLEALGSAGAGFIPHVITVKVGEDVSAKIMAFSQQSTRAVCILSANGAISNVTLRQAATSGGTVTYEQGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGPDGHVLGGGVEGLLTAASPVQIIVGSFTAEGKKEAEQAHASEPASGSGKLLMLGETIGTINPLTGSYSA >Ma07_p22100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30153336:30158028:-1 gene:Ma07_g22100 transcript:Ma07_t22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MEARFQPPVFNIKVVDGSNGIAAYESVPLGGGSVPSQYQGAGGGSNGSVGAGAGTGEPFKRKRGRPRKYGPDGKVILAVNPVSAGPAAPPTTGAFSAAANAAATAAAEGVKKARGRPPGSSKKKQLEALGSAGAGFIPHVITVKVGEDVSAKIMAFSQQSTRAVCILSANGAISNVTLRQAATSGGTVTYEQGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGPDGHVLGGGVEGLLTAASPVQIIVGSFTAEGKKEAEQAHASEPASGSGKLLMLGETIGTINPLTGSYSA >Ma07_p22100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30153336:30157933:-1 gene:Ma07_g22100 transcript:Ma07_t22100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MEARFQPPVFNIKVVDGSNGIAAYESVPLGGGSVPSQYQGAGGGSNGSVGAGAGTGEPFKRKRGRPRKYGPDGKVILAVNPVSAGPAAPPTTGAFSAAANAAATAAAEGVKKARGRPPGSSKKKQLEALGSAGAGFIPHVITVKVGEDVSAKIMAFSQQSTRAVCILSANGAISNVTLRQAATSGGTVTYEQGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGPDGHVLGGGVEGLLTAASPVQIIVGSFTAEGKKEAEQAHASEPASGSGKLLMLGETIGTINPLTGSYSA >Ma07_p22100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30153336:30158138:-1 gene:Ma07_g22100 transcript:Ma07_t22100.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MEARFQPPVFNIKVVDGSNGIAAYESVPLGGGSVPSQYQGAGGGSNGSVGAGAGTGEPFKRKRGRPRKYGPDGKVILAVNPVSAGPAAPPTTGAFSAAANAAATAAAEGVKKARGRPPGSSKKKQLEALGSAGAGFIPHVITVKVGEDVSAKIMAFSQQSTRAVCILSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGPDGHVLGGGVEGLLTAASPVQIIVGSFTAEGKKEAEQAHASEPASGSGKLLMLGETIGTINPLTGSYSA >Ma02_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19398044:19398616:-1 gene:Ma02_g09340 transcript:Ma02_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAGIFFLIGFLSYTGLVDICQLGMDQRKVNVLAREYCDAIKRKK >Ma10_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17747524:17748087:-1 gene:Ma10_g06230 transcript:Ma10_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSFSFLLGAGCGIYVAQNYNVPNVKKLINTYIFVAKHIEETYRKPKKDED >Ma07_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3443918:3445688:-1 gene:Ma07_g04690 transcript:Ma07_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRCRLCGRRFSNGHALGGHMRSHRNSAARPAMAQQALPSPSASSSSFSVAAEGRPAMGAYGFRKNPKRSFRLVDPEFSSVAAGGSSTVVHEGESDAESSFRRRLSRPRRQVDAVADAKPVSSVSDASTEEDVARCLMLLSRDAWSKSEAEGQRSNGLDETNEDEEEEIYYDQVEEKEPEPPLAARSRRKRTRYQCSTCGKFFRSYQALGGHRASHKRVEVERIPTADTNPPDREPKLFECPYCCRVFSSGQALGGHKRSHLSSAITAPVHRPLPPLSPFVLEGSFIDLNLPATPEEEAEISTLSVATEFASK >Ma02_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28195998:28199369:1 gene:Ma02_g23020 transcript:Ma02_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFTSIAIVRPTAATGGSRSSGCPPLLSPSHASLGLRKSPWPRQFISPFSISPASPAKARYAISPRAELKEAEVQSKVTTKVYFDISIGNPVGKDVGRIVIGLFGDDCPQTAENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHLGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDVVRLIESQETDRGDRPKKRVVIGDCGELPMV >Ma11_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:988056:1001998:-1 gene:Ma11_g01410 transcript:Ma11_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEICLEGFKSYATRTVVSGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRATNLQELVYKQGQAGITKATVSIVFDNSDRSRSPLGYEDCPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLDKKQSKVAEIDRLLDQEILPALEKLRKERMQYMQWVNGNAELDRLKRFCIAYEFVQAEKIRDSAVNEAGQVRKEMAEVDKDTEKLKSEIQEMEKKISILTADKEAKLGGDMKALSEKVDILSRSLVKETSAMTNQEESLKTEKKAAEKIINNIEEIKMTKAERDAAVRKSEEGATDLKKRVEGLSKILEDSEREYQGVLAGKSSGNDEKCLEDQLRDAKAAVGLAESELKQLNTKIGHSEKELKEKKGQLLAKCDEAAAVENELNVRKKDLEAIRSAMGSISYEDGQMEALQKDRSAKLEMIQKLKDEVRVLSGKLANVQFTYRDPTKNFDRSKVKGVVAKLIKVKDASTMTALEVAARGKLFNVVVDTEYTGKQLLEYGELRRRVTIIPLNKIQSHIVPQRVQQAAARLVGEKNAQLALLLVGYDDEVKNAMAYVFGSTFVCQSIDAAKEVAFNRDTSVPSVTLEGDIFQPSGLLTGGSRKGGGELLKQLHALAEAESELNIHQRGLSEIEGKIAALVPLQKKYVQLKSQLELKSYDLSLFESRAEQNEHHKLGELVKKIEEDLEEAKLRVKEKQLQHKNSILIVSTLEKSIKENGNQRETRLKDLEKKIKLLKSDMQSALRQLKVHESEKEKIIMEKDAILQELVMLENQLAASETQIHTLTEELDKQRNKVSSIKQAYDQAESELNLSRSKLKECDTQISCIAKEQQNLQQKLSDAAVERKKMENEVKRMEIEQKDFSLKVNKLLEKHGWIAAEKQLFGKSGTDYDFSAHDPSKSREELEKMQAQQSGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKSKIKKVIEELDEKKKETLKLTWVKVNEDFGSIFSTLLPGTTAKLEAPEGCSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPIYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVTRTVTSKQR >Ma07_p28470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34493454:34504929:1 gene:Ma07_g28470 transcript:Ma07_t28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGELYTDVLYPVAVAAPLPPSQPQPQSSSSASSLRGRPDPLRFAEEDDSEGGVKDGDKDGLLFDASRADPAVEPPSTAHQALSSGAAAAAAAEDDGEDDWMLGRAPPAVDPPDNWDDEDDAVSSRPAVGGDGEPRVLEDAEKEARVSGIPDRDGEIGESERSAAPEEPEEDGDRLFVGGVGENLGDLDQVPLIPGLSAGPAPSGPLVGMNSEMGKLSQSEDYDSDSEDDLQIVLNDSNHGPPGAERSNIVEFDEEDDEDGEEDLVIVTDEDQHHHLPAMEVQDWGEETLQPTGEGERKEMVDAAKGIGATGTAPGARIGYNNHGIHTQHHSMYKYIRPGAAPLPGDPAAGALGPPGAARPSLVSGPTAGHGRGDWRPASGRGIPSAPKSYHTNFGFPGWANGSARASGGGLDFTLPSHKTIFDVDIESFEEKPWKHPGVDISDFFNFGLDEDKWKDYCKRLDQLRLESTMQSKIRVYESGRSEQEYDPDLPPELAAAAGHDISANCGHVKADDEEIKFTGQGRGPAVMRAPLPTGRAIQVEGGYGERLPSIDTRPPRIRDSDAVIEIVLQDSFDDPKMYSCTPEQLERDVEGDCGRSFHKDEKDDRNTVSGYMNRIPHTSSTRDKEMIKRVPFAKEKDELLPLPSDSSAEYRRDSRTRSPVSAVDGASGIHQGGRLLKGSSSRKRSRAREQSADSIPSRSAHSSRNGDQQKETLLDSTEVNQNSQTLPAVADDTTRELGVEEQYHDQDEKLALVDSVEIEGDDVTSDFHISSETGGDDNLIHPGKKQKICSRVEQPAVQDRGYEDELQPPTSDNSREKSGSSKDCIRQAENGEVIQEGHSSQTGDLKKSQKEDEHNLHAKDEDGLDARQQREKNQIVSKGREDASISSQNLLRGRSYDRRKESESSTSSWQRRESNMHGRRSKDEDIRWENNEEMSRHQSKLRTIDRNQKDEDQSRKHVEGGEWRGHTRDEVLRQRERDDLLMSRRENMDNPLIKRKRDEEYLRGKADKVDTSHGHRDREDSGRRKRERDDGLHHKREVDTRMRDKADDHLSSKPKDDSWRHRERDERQRLKPHEVMHREREEGRVTVRSGRVTEDKPVGGNGRNRDELKPISYDKDYQEKERRRHTELSRRDRAREDNMSQNKGRGDTSVHDKHSNADGRSSRHEKLNTYVDHPPSADGQQMYREKHRENTSKAKDVKSHDQNNQRLGKRKHDDRHNSHKNEKVYIKESDEQESNNTSSMTLSKKNSHQIHEQREATQQHTTVKKQGEDDPVSDDENQGNRRGRSKLERWTSHKERDYDAINNSQTLSASSRGKKIEGNAVNVAKEDELVKTELSNNAGELDVKGADGGQVSVKMVDDQDRHLDTVAKLKRRSERFKLPMPREKEITSNKKMENEVQLSNNEAGLDSEVKPERPARKRRWTGS >Ma11_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14033783:14035935:1 gene:Ma11_g11590 transcript:Ma11_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVALETTALSLVLLAVATVMPALLPCSAELPRFERPAKADGSLSLLVVGDWGRKGQFNQSQVAAQMGKIGEEMDIDLVISTGDNFYDNGLKDVRDKAFEESFTNVYTAKSLQKQWYSVLGNHDYSGDVLAELSPLLQKIDSRWLCLRSFILNAEIVDFFFVDTTPFVESYWTNPENDHYDWRDVAPRETYISDLLKDLDAALEASTAPWKIVVGHHTMRSASHHGDTAELLSLLLPILKANGVDLYINGHDHCLQHISSNDSKIQYLTSGGGSMAWRGVFTPTSDKLRFFYDGQGFMSLQLTRTAADIVFYDVFGHVLHEWSASKHLHPAV >Ma06_p31030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32240178:32243570:-1 gene:Ma06_g31030 transcript:Ma06_t31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGRHRCSVFLAFMILSTITLRSSHAADTLSAGQSIRDGQTLISAAQTFELGFFSPPNSSNRYLGIWYQKLSPRTIIWVGNRERPIPNISGFLTIDAQGTLMILDKIGTSIMIASNTGSTNLTSATLLDSGNLVLKQWNSSQDAQPLWQSFDHPTDTFLPGMKLGLDGKRNRLLTSWRSSDDPGPGDFSAGIDPNGTKQFFMWYKGEVQWQSGLWNGTSFGLVNRMTSGQYNITYTPHWIDGYYYYTFGDSSLITRGVMEVSGQFKQFIWLESEEEWVVLWVQPKELPCEIRATCGANGICSGSHDNSTTCRCMYGFVPASYQRWRSNDWSEGCMRRTPTTECERDVFFGLTNVKLPIFSSREGDSSVSLEDCESSCGRDCSCTAYAAAYANGTGCLFWSGGLLGLQDGTQDLYVRLAASDLSQDSESKSSKPLIISIPLAAAGTVLLFGISMCYYRRRRKDKGEKETSQLLSSRSPPSASFVLSSDSIKPGENSKGSDSSSFSFKSVSAATDHFSDSNKLGEGGFGRVYRGLLEGQQVAVKRLARTSGQGIEEFQNEITLIANLQHKNVVRLLGYCIEKEENILIYEYMPNKSLDYYIFDRIRRSELSWAKRVVIIEGIAQGLLYLHKFSRFRVIHRDLKTSNILLDSEMNPKISDFGLARIFEQNEDQANTKRVVGTYGYMPPEYAMNGIFSTKFDVFSFGVIVLEIVSGRRTARFFDSESSSNLLRYSWELWREGKSLDLLDSSLDIPESGEEISRCINIALLCAQENAADRPTMSAVVSMLTSGAASLPAPRPPAFFLVAVSKKRLSSSLEGTSTENDATVTALTGR >Ma01_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6232203:6250602:-1 gene:Ma01_g08700 transcript:Ma01_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVTPLCGVYSENPLCYLLSIDGFNFLMDCGWNDHFDPNLLQPLSRVSTKVDAVLLSHPDVLHLGALPYAMKHLGLTSPVYSTEPVYRLGLLTMYDHYLSRRQISDFDLFTLDDIDTAFQNVTRLTYSQSCHLSDKGEGIVIAPHVTGHLLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTVLESFVRPAVLITDAYNALNNQLYKRQRDQEFIEAILKALRADGNVLLPVETAGRALELILILEHYWAQQHLSFPIFFLTNVATSTIDYVKSFLEWMSDSIAKSFEHTRDNAFLLKHVNLIINKSELEKIAEVPKVVLASMASLEVGFSHDIFVEWATEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTLSKRVPLVGDELKAYEEEQNRIKKEEALKVTLSKEEELRASHGSDANVADPMVIDVSSSHISSASGSRSSGHLDIFIDGFIPPATSVAPMFPFFETKAEWDDYGEVINPDDYIMKEEDQDQALMQGDLDGKLEEGSAHLLLDSAPSKVISNEITVQVKCGLTYMDFEGRSDGRSVKSIISHVAPLKLVLVHGSAEATEHLKQHCIKHVCPHVYAPHIEETIDVTSDLCAYKVQLSERLMSNVLLKKLGDYEIAWVDAEVGKTNDMLTLLPLSSAPPTHKSVLIGDLKLADFKQFLASQGVQVEFTGGALRCGEHVTLRKISDASQKGGTGGQQILIEGPLTEEYYKIRKHLYSQFYLL >Ma03_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25829089:25838784:1 gene:Ma03_g20690 transcript:Ma03_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWRYKAGLFLILAVVVIWVASAEVTQGIFTDYKQPFAITYLGASLMVIYLPVAFVKDWLCNLLRKNSSKSARSPQIVNNSGPGINSPVKHHDVQKLVEMESQVLLTKKDSDLDLSAQEEEHSLISKIRDEIDTEVLKEKRSFTAKEIATYAFYLAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFAGVLLRQDTLNMAKVVAVFVSMAGVVMTTLGKTWATDESQISSTSNGKRSLVGDLFGLLSAMTYGLFTVLLKKFAGEEGEGVDVQKLFGYVGLFTLVSLWWLVWPLTALGIEPKFTIPHSAKMEEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMLVHGRHYSAIYILGSVQVFAGFVIANLSNRLSRLFGF >Ma05_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8898734:8898874:1 gene:Ma05_g12280 transcript:Ma05_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDQSNARHAVVSWSLFLLLGVFVPTASHFVFSYAPTHPAYDVVV >Ma09_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2808601:2811824:1 gene:Ma09_g04290 transcript:Ma09_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDRSKKRIQLWKKALVHFALCFVMGFFTGFAPHSTATLFSHRRADRQPVTGISFHPAAPEEQVVEPDGEAVNRSLIEIPRSAAVAAVAPGDDSDDPPPSVEGSVEAQPPSRRLLIIVTTTRADDRFQGALLLRLAHTLRLVPPPLLWIVVQAYAEAPATAAMLRTTGVIYRHLTFKENFTDPAAEADHQRNVALSHVEYHRLTGIVHFAGASNAYDLRFFEEIREIEAFGTWPVAMVSTNRKRVVVDGPVCRASRVEGWILKDSSNDKRLLPTGTDMNPKPPKINISGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVHEVILEDETKLKCLPADCSRIMVWHLYTPRAIPLPFHDQSQAKR >Ma05_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9583745:9585092:-1 gene:Ma05_g13210 transcript:Ma05_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKSLTRLRQSVPCVLFSDELDSTATQRGSTVGDAGGAADRVLNQLLTQMDGMNGKKTVFIIGATKMPDIIDPVLLKPGRLDQLIYIPLPDKASRYQIFKACLRKSSVSKDVNLRALAKYSQGFSGADITDICQHACNFAIRENIEKDIERERRKSENPEAMEQDEADEVPEIKAAHFEESMKFARRSVSVADIRKYQAIAQTLQQTSHCGTMEIFHVY >Ma05_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:727868:731807:1 gene:Ma05_g01230 transcript:Ma05_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMADDDGRIRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWIMGPIALVACAYVTYYTAILLTDCYRTPDPVKGRRNYTYMDVVRSCLGPRDVFICGVTQYVMLWGTMIGYTITAATSMMAVVRSNCYHYKGHNASCSSSGTLYMIIFGILEIVLSQFPNLEKITLISVVAAVMSFAYSFIGLFLCIMKFASHHSIGGTILGVKVGVDSVSASTKAWHSLQALGNISFAYTYAMLLIEIQDTLKSPPPENQTMKRATFYGIGVTTVFYVSLGCIGYAAFGNDAPGNVLTGFQEPFWLVDIANIAVLIHLIGAYQVYGQPIYAKYEGWLAKKWPESVFFHHVYTLQLPLLKGQALQFTPCKLVFRTVFVVITTVISLMLPFFNAILGLLGAVAFWPLTVYYPVTVYMAQAKIKRGEWTWVILQCLSMAALVVSLLAAIGSVADIAQRFKHVTIFKIEL >Ma04_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11279466:11280546:-1 gene:Ma04_g14860 transcript:Ma04_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSIRFIEAALEATGDRALSYVHHGLKWLIRDDLLSLFDDFRTLSPGSDTLTHNDGTTVHLLYAHGVLPVSSSLPPVLLTIWLHQAYPFVAPIVYVFPATGEQMVVHDHPFIAPSGSAVLPYLQTWQYPKSNLSDLARNLVKVFRICHPYGFIPTSPGRTDASLASKREAIDRLVAALHYDVKRFQAQIEEDMKQLCSRQATLRERTDMIDRALGDLELERLRSKEAAKQMVDNADVLSNWLQSHGTESCLYMDEVEGFEAADETDRCLLDNEAAAQAIDDAVEVLGEALAAGSVTFANYIKQVRCLAREQFFHRAMVAKIQRSNESSSERAIC >Ma03_p27690.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30978744:30997186:1 gene:Ma03_g27690 transcript:Ma03_t27690.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MEHSTLGPSDDLDDRDYTAASEEKDDETTLSEEEELAKKEEVNPLEEIKSLKEESEMPVEELVARYNKDLCIDDGMKKSDFSSSSTDDQPENKTQNLKMIDGEYQEDKSPYQNELDSSVYKEIKTDCDNIMDGRESEIIIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACDKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNYFHICITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKHEHVIYCRLSRRQRNLYEDFIASSETQATLASSNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMAGMDMQLSSSICTIFSSSPFSKVDLCGLNFVFTQNDYCMTSWVKDEVNSIACPPNLIQRTWLEASGSLSFFQSRYELKRKIHGTNIFEEIQKALWEERVKHVKERAMSVAWWNSLQCQKKPVYGTDLRKLVTIKHPVFDILEQKNNPSCYMNFSSRLADIVLSPIERFQKILDLVECFMFAIPASRAPFPVCWCSKGRSPVFLQPAYKEKCREVFAPLLSAIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKAEGHRALIFTQMTKMLDILEAFINLYGFTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGSYNMEFFKKLDPMELFSGHRSLRIESLQKGNSSTADCSANGMDALLSNADVEAAIKQAEDEADYMALKKLEQEEAVDNQEFTEDIIGRSEDDEPVNEDETKLDEKVAEEQNCCTSVSKENDVILCSSNMCEQKSLALGGEDEDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIVDKSAIEYQAIVEEQEWELDRIEKFKDELEAEIDEDQEPFLYERWDADFATTAYRQHVEALAQQQLLEELECEAQLADDADDENDAFKNGTLDERKPKTKKKMKKTKFKSLKKGPLASDMEVVHEEPSLDDISVDDKVLSPDIISAGSPTRSPPRKKRKKVFAPSEDEENNLRKSIKKLKKASHSNHVVDFNKYGKHTMEATELKLGDGATESDLRPASRTKSGGKISIAYVPVKRVIMVKPERFRKRGPVWSKDCFPAPDIWSSQEDALLCAIVHEYGTNWSFISDTLNDIPCGGSYRGRFRHPVHCCERFRELFFKYVLSAMDSSNTEKITSSGSGKALLKVTEDQIRVLLNVTSELPDNELLLQKHFLAILSSVWRANCLLESYRSRTSSKINFCSNRRFSDSCGKSQRLTGKMNLASSRQSSKLVSTALTDVYKNHEDSAIVSNELGSQSVVDHVNLMLDFPSDEVNYDSVFPSTISLSIHVPELPQAANEPPGQFLLAESSCGIAENRFRLASEACFEGDSCGWASSAFPSSDTNRYRCGLKSQSLGKHKSGSDIIKPSKLKIQRTTEVQEDPSLVSKYVAQPRPTTLMESFDILDTGCDHSQWHAMDALEEPQFVDIVPHAYDPNFFSGLEEMEPLQDITDVG >Ma03_p27690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30974879:30997186:1 gene:Ma03_g27690 transcript:Ma03_t27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MASKGPRSKLDHETRARRQKALEVPKEPPRPKTHWDHVIEEMVWLSKDFESERKWKLAQAKKVAIRASKSVLDYATRGEKKVKEEEQRLKKVALNISKDVKKFWIKIEKLVLYKFQLDVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVHYSSRTEDMGLKMEQDAHVECKSTDAPATQLDNLESGDDFSIRSEDDLEDDECTIEEDEAQITEEERREELTALKAEADLPLEELLKFYAKDNSSRKDGSGSDEDLFMPVMQKDQITEYLNQVNGENVKHHSAENNHVQQDFGQPHNRESNGDSFSFRGQLTIKKLQPKLCSENLDLCDMEHSTLGPSDDLDDRDYTAASEEKDDETTLSEEEELAKKEEVNPLEEIKSLKEESEMPVEELVARYNKDLCIDDGMKKSDFSSSSTDDQPENKTQNLKMIDGEYQEDKSPYQNELDSSVYKEIKTDCDNIMDGRESEIIIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACDKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNYFHICITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKHEHVIYCRLSRRQRNLYEDFIASSETQATLASSNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMAGMDMQLSSSICTIFSSSPFSKVDLCGLNFVFTQNDYCMTSWVKDEVNSIACPPNLIQRTWLEASGSLSFFQSRYELKRKIHGTNIFEEIQKALWEERVKHVKERAMSVAWWNSLQCQKKPVYGTDLRKLVTIKHPVFDILEQKNNPSCYMNFSSRLADIVLSPIERFQKILDLVECFMFAIPASRAPFPVCWCSKGRSPVFLQPAYKEKCREVFAPLLSAIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKAEGHRALIFTQMTKMLDILEAFINLYGFTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGSYNMEFFKKLDPMELFSGHRSLRIESLQKGNSSTADCSANGMDALLSNADVEAAIKQAEDEADYMALKKLEQEEAVDNQEFTEDIIGRSEDDEPVNEDETKLDEKVAEEQNCCTSVSKENDVILCSSNMCEQKSLALGGEDEDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIVDKSAIEYQAIVEEQEWELDRIEKFKDELEAEIDEDQEPFLYERWDADFATTAYRQHVEALAQQQLLEELECEAQLADDADDENDAFKNGTLDERKPKTKKKMKKTKFKSLKKGPLASDMEVVHEEPSLDDISVDDKVLSPDIISAGSPTRSPPRKKRKKVFAPSEDEENNLRKSIKKLKKASHSNHVVDFNKYGKHTMEATELKLGDGATESDLRPASRTKSGGKISIAYVPVKRVIMVKPERFRKRGPVWSKDCFPAPDIWSSQEDALLCAIVHEYGTNWSFISDTLNDIPCGGSYRGRFRHPVHCCERFRELFFKYVLSAMDSSNTEKITSSGSGKALLKVTEDQIRVLLNVTSELPDNELLLQKHFLAILSSVWRANCLLESYRSRTSSKINFCSNRRFSDSCGKSQRLTGKMNLASSRQSSKLVSTALTDVYKNHEDSAIVSNELGSQSVVDHVNLMLDFPSDEVNYDSVFPSTISLSIHVPELPQAANEPPGQFLLAESSCGIAENRFRLASEACFEGDSCGWASSAFPSSDTNRYRCGLKSQSLGKHKSGSDIIKPSKLKIQRTTEVQEDPSLVSKYVAQPRPTTLMESFDILDTGCDHSQWHAMDALEEPQFVDIVPHAYDPNFFSGLEEMEPLQDITDVG >Ma03_p27690.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30975074:30997186:1 gene:Ma03_g27690 transcript:Ma03_t27690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MASKGPRSKLDHETRARRQKALEVPKEPPRPKTHWDHVIEEMVWLSKDFESERKWKLAQAKKVAIRASKSVLDYATRGEKKVKEEEQRLKKVALNISKDVKKFWIKIEKLVLYKFQLDVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVHYSSRTEDMGLKMEQDAHVECKSTDAPATQLDNLESGDDFSIRSEDDLEDDECTIEEDEAQITEEERREELTALKAEADLPLEELLKFYAKDNSSRKDGSGSDEDLFMPVMQKDQITEYLNQVNGENVKHHSAENNHVQQDFGQPHNRESNGDSFSFRGQLTIKKLQPKLCSENLDLCDMEHSTLGPSDDLDDRDYTAASEEKDDETTLSEEEELAKKEEVNPLEEIKSLKEESEMPVEELVARYNKDLCIDDGMKKSDFSSSSTDDQPENKTQNLKMIDGEYQEDKSPYQNELDSSVYKEIKTDCDNIMDGRESEIIIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACDKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNYFHICITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKHEHVIYCRLSRRQRNLYEDFIASSETQATLASSNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMAGMDMQLSSSICTIFSSSPFSKVDLCGLNFVFTQNDYCMTSWVKDEVNSIACPPNLIQRTWLEASGSLSFFQSRYELKRKIHGTNIFEEIQKALWEERVKHVKERAMSVAWWNSLQCQKKPVYGTDLRKLVTIKHPVFDILEQKNNPSCYMNFSSRLADIVLSPIERFQKILDLVECFMFAIPASRAPFPVCWCSKGRSPVFLQPAYKEKCREVFAPLLSAIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKAEGHRALIFTQMTKMLDILEAFINLYGFTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGSYNMEFFKKLDPMELFSGHRSLRIESLQKGNSSTADCSANGMDALLSNADVEAAIKQAEDEADYMALKKLEQEEAVDNQEFTEDIIGRSEDDEPVNEDETKLDEKVAEEQNCCTSVSKENDVILCSSNMCEQKSLALGGEDEDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIVDKSAIEYQAIVEEQEWELDRIEKFKDELEAEIDEDQEPFLYERWDADFATTAYRQHVEALAQQQLLEELECEAQLADDADDENDAFKNGTLDERKPKTKKKMKKTKFKSLKKGPLASDMEVVHEEPSLDDISVDDKVLSPDIISAGSPTRSPPRKKRKKVFAPSEDEENNLRKSIKKLKKASHSNHVVDFNKYGKHTMEATELKLGDGATESDLRPASRTKSGGKISIAYVPVKRVIMVKPERFRKRGPVWSKDCFPAPDIWSSQEDALLCAIVHEYGTNWSFISDTLNDIPCGGSYRGRFRHPVHCCERFRELFFKYVLSAMDSSNTEKITSSGSGKALLKVTEDQIRVLLNVTSELPDNELLLQKHFLAILSSVWRANCLLESYRSRTSSKINFCSNRRFSDSCGKSQRLTGKMNLASSRQSSKLVSTALTDVYKNHEDSAIVSNELGSQSVVDHVNLMLDFPSDEVNYDSVFPSTISLSIHVPELPQAANEPPGQFLLAESSCGIAENRFRLASEACFEGDSCGWASSAFPSSDTNRYRCGLKSQSLGKHKSGSDIIKPSKLKIQRTTEVQEDPSLVSKYVAQPRPTTLMESFDILDTGCDHSQWHAMDALEEPQFVDIVPHAYDPNFFSGLEEMEPLQDITDVG >Ma03_p27690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30974879:30997186:1 gene:Ma03_g27690 transcript:Ma03_t27690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MASKGPRSKLDHETRARRQKALEVPKEPPRPKTHWDHVIEEMVWLSKDFESERKWKLAQAKKVAIRASKSVLDYATRGEKKVKEEEQRLKKVALNISKDVKKFWIKIEKLVLYKFQLDVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVHYSSRTEDMGLKMEQDAHVECKSTDAPATQLDNLESGDDFSIRSEDDLEDDECTIEEDEAQITEEERREELTALKAEADLPLEELLKFYAKDNSSRKDGSGSDEDLFMPVMQKDQITEYLNQVNGENVKHHSAENNHVQQDFGQPHNRESNGDSFSFRGQLTIKKLQPKLCSENLDLCDMEHSTLGPSDDLDDRDYTAASEEKDDETTLSEEEELAKKEEVNPLEEIKSLKEESEMPVEELVARYNKDLCIDDGMKKSDFSSSSTDDQPENKTQNLKMIDGEYQEDKSPYQNELDSSVYKEIKTDCDNIMDGRESEIIIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACDKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNYFHICITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKHEHVIYCRLSRRQRNLYEDFIASSETQATLASSNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMAGMDMQLSSSICTIFSSSPFSKVDLCGLNFVFTQNDYCMTSWVKDEVNSIACPPNLIQRTWLEASGSLSFFQSRYELKRKIHGTNIFEEIQKALWEERVKHVKERAMSVAWWNSLQCQKKPVYGTDLRKLVTIKHPVFDILEQKNNPSCYMNFSSRLADIVLSPIERFQKILDLVECFMFAIPASRAPFPVCWCSKGRSPVFLQPAYKEKCREVFAPLLSAIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKAEGHRALIFTQMTKMLDILEAFINLYGFTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGSYNMEFFKKLDPMELFSGHRSLRIESLQKGNSSTADCSANGMDALLSNADVEAAIKQAEDEADYMALKKLEQEEAVDNQEFTEDIIGRSEDDEPVNEDETKLDEKVAEEQNCCTSVSKENDVILCSSNMCEQKSLALGGEDEDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIVDKSAIEYQAIVEEQEWELDRIEKFKDELEAEIDEDQEPFLYERWDADFATTAYRQHVEALAQQQLLEELECEAQLADDADDENDAFKNGTLDERKPKTKKKMKKTKFKSLKKGPLASDMEVVHEEPSLDDISVDDKVLSPDIISAGSPTRSPPRKKRKKVFAPSEDEENNLRKSIKKLKKASHSNHVVDFNKYGKHTMEATELKLGDGATESDLRPASRTKSGGKISIAYVPVKRVIMVKPERFRKRGPVWSKDCFPAPDIWSSQEDALLCAIVHEYGTNWSFISDTLNDIPCGGSYRGRFRHPVHCCERFRELFFKYVLSAMDSSNTEKITSSGSGKALLKVTEDQIRVLLNVTSELPDNELLLQKHFLAILSSVWRANCLLESYRSRTSSKINFCSNRRFSDSCGKSQRLTGKMNLASSRQSSKLVSTALTDVYKNHEDSAIVSNELGSQSVVDHVNLMLDFPSDEVNYDSVFPSTISLSIHVPELPQAANEPPGQFLLAESSCGIAENRFRLASEACFEGDSCGWASSAFPSSDTNRYRCGLKSQSLGKHKSGSDIIKPSKLKIQRTTEVQEDPSLVSKYVAQPRPTTLMESFDILDTGCDHSQWHAMDALEEPQFVDIVPHAYDPNFFSGLEEMEPLQDITDVG >Ma03_p27690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30981806:30997186:1 gene:Ma03_g27690 transcript:Ma03_t27690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MPKTTLAGKMVQEVMKIYLCLLCKKIRLRVRYHDFAEYLNQVNGENVKHHSAENNHVQQDFGQPHNRESNGDSFSFRGQLTIKKLQPKLCSENLDLCDMEHSTLGPSDDLDDRDYTAASEEKDDETTLSEEEELAKKEEVNPLEEIKSLKEESEMPVEELVARYNKDLCIDDGMKKSDFSSSSTDDQPENKTQNLKMIDGEYQEDKSPYQNELDSSVYKEIKTDCDNIMDGRESEIIIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACDKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNYFHICITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPKKHEHVIYCRLSRRQRNLYEDFIASSETQATLASSNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMAGMDMQLSSSICTIFSSSPFSKVDLCGLNFVFTQNDYCMTSWVKDEVNSIACPPNLIQRTWLEASGSLSFFQSRYELKRKIHGTNIFEEIQKALWEERVKHVKERAMSVAWWNSLQCQKKPVYGTDLRKLVTIKHPVFDILEQKNNPSCYMNFSSRLADIVLSPIERFQKILDLVECFMFAIPASRAPFPVCWCSKGRSPVFLQPAYKEKCREVFAPLLSAIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKAEGHRALIFTQMTKMLDILEAFINLYGFTYMRLDGSTQPEERQTLMQRFNTNPKYFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGSYNMEFFKKLDPMELFSGHRSLRIESLQKGNSSTADCSANGMDALLSNADVEAAIKQAEDEADYMALKKLEQEEAVDNQEFTEDIIGRSEDDEPVNEDETKLDEKVAEEQNCCTSVSKENDVILCSSNMCEQKSLALGGEDEDMDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIVDKSAIEYQAIVEEQEWELDRIEKFKDELEAEIDEDQEPFLYERWDADFATTAYRQHVEALAQQQLLEELECEAQLADDADDENDAFKNGTLDERKPKTKKKMKKTKFKSLKKGPLASDMEVVHEEPSLDDISVDDKVLSPDIISAGSPTRSPPRKKRKKVFAPSEDEENNLRKSIKKLKKASHSNHVVDFNKYGKHTMEATELKLGDGATESDLRPASRTKSGGKISIAYVPVKRVIMVKPERFRKRGPVWSKDCFPAPDIWSSQEDALLCAIVHEYGTNWSFISDTLNDIPCGGSYRGRFRHPVHCCERFRELFFKYVLSAMDSSNTEKITSSGSGKALLKVTEDQIRVLLNVTSELPDNELLLQKHFLAILSSVWRANCLLESYRSRTSSKINFCSNRRFSDSCGKSQRLTGKMNLASSRQSSKLVSTALTDVYKNHEDSAIVSNELGSQSVVDHVNLMLDFPSDEVNYDSVFPSTISLSIHVPELPQAANEPPGQFLLAESSCGIAENRFRLASEACFEGDSCGWASSAFPSSDTNRYRCGLKSQSLGKHKSGSDIIKPSKLKIQRTTEVQEDPSLVSKYVAQPRPTTLMESFDILDTGCDHSQWHAMDALEEPQFVDIVPHAYDPNFFSGLEEMEPLQDITDVG >Ma07_p11160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8286234:8302986:-1 gene:Ma07_g11160 transcript:Ma07_t11160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMNLQQGRPFANGYSSRRVDTVVGTRTESKTQVRKSASPNFVSTNGTRVGRPTSPSRDRLIYVLTCLVGHHVEVHVKNGSIISGIFHATNADGDFEIVLKMAQVIKDGSVRERKSDHDSIKKPQPMVIPARELVQVLAKDVSLSDEFTPGQSCEKRKDLMIDSVISHSHHLETERELAPWMPGEDDPECPELENIFDGTFDRKWDQFETNEALFGVKSTFNEELYTTKLERGPQMRDLEKIALKIAREIEGEETQDLHLAEERGFSYHDDFNLDEESRYSAVRREVNGSGLRENENSDMEAYGSGIIAGSLGLATSGSCSDSLSKKNGNEAQASCNETQASSTSSSVVEEACLYTLADKGLGLSSVDVSSELKSDCIARSSSLEDEIRLDDNQIKDQGGKSERMAIQDSQTSDDVNTSIKSEEVSISAPLYDPSSSGQGNIITESSDSPVRGKLPAASESVDPPIRQGSSTSSSEYAHAESNRPFLSPSTSCGSLSSEKSTLNPNAKEFKLNPNAKSFTPSSSVRLHAAIPDGSFYQASNVNAVQHMHGLPMGVGVGPSFSHQPVFYNPQAAQIQSPQAYINPNGPVYGQQMIVGQHPQFYYVQSYPPEMPHKGRKF >Ma07_p11160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8286234:8302986:-1 gene:Ma07_g11160 transcript:Ma07_t11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMNLQQGRPFANGYSSRRVDTVVGTRTESKTQVRKSASPNFVSTNGTRVGRPTSPSRDRLIYVLTCLVGHHVEVHVKNGSIISGIFHATNADGDFEIVLKMAQVIKDGSVRERKSDHDSIKKPQPMVIPARELVQVLAKDVSLSDEFTPGQSCEKRKDLMIDSVISHSHHLETERELAPWMPGEDDPECPELENIFDGTFDRKWDQFETNEALFGVKSTFNEELYTTKLERGPQMRDLEKIALKIAREIEGEETQDLHLAEERGFSYHDDFNLDEESRYSAVRREVNGSGLRENENSDMEAYGSGIIAGSLGLATSGSCSDSLSKKNGNEAQASCNETQASSTSSSVVEEACLYTLADKGLGLSSVDVSSELKSDCIARSSSLEDEIRLDDNQIKDQGGKSERMAIQDSQTSDDVNTSIKSEEVSISAPLYDPSSSGQGNIITESSDSPVRGKLPAASESVDPPIRQGSSTSSSEYAHAESNRPFLSPSTSCGSLSSEKSTLNPNAKEFKLNPNAKSFTPSSSVRLHAAIPDGSFYQASNVNAVQHMHGLPMGVGVGPSFSHQPVFYNPQAAQIQSPQAYINPNGPVYGQQMIVGQHPQFYYVQSYPPQEMPHKGRKF >Ma07_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8286610:8302986:-1 gene:Ma07_g11160 transcript:Ma07_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMNLQQGRPFANGYSSRRVDTVVGTRTESKTQVRKSASPNFVSTNGTRVGRPTSPSRDRLIYVLTCLVGHHVEVHVKNGSIISGIFHATNADGDFEIVLKMAQVIKDGSVRERKSDHDSIKKPQPMVIPARELVQVLAKDVSLSDEFTPGQSCEKRKDLMIDSVISHSHHLETERELAPWMPGEDDPECPELENIFDGTFDRKWDQFETNEALFGVKSTFNEELYTTKLERGPQMRDLEKIALKIAREIEGEETQDLHLAEERGFSYHDDFNLDEESRYSAVRREVNGSGLRENENSDMEAYGSGIIAGSLGLATSGSCSDSLSKKNGNEAQASCNETQASSTSSSVVEEACLYTLADKGLGLSSVDVSSELKSDCIARSSSLEDEIRLDDNQIKDQGGKSERMAIQDSQTSDDVNTSIKSEEVSISAPLYDPSSSGQGNIITESSDSPVRGKLPAASESVDPPIRQGSSTSSSEYAHAESNRPFLSPSTSCGSLSSEKSTLNPNAKEFKLNPNAKSFTPSSSVRLHAAIPDGSFYQASNVNAVQHMHGLPMGVGVGPSFSHQPVFYNPQAAQIQSPQAYINPNGPVYGQQMIVGQHPQFYYVQSYPPVLALQFSNHRRIKYDLIIT >Ma05_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25496940:25497044:1 gene:Ma05_g18850 transcript:Ma05_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAARLDLDGNPIRPMTICMIGAGGFIGSHLC >Ma07_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30277947:30285236:-1 gene:Ma07_g22270 transcript:Ma07_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGGGGSSWAGGGGGGGGGAAASISTAAAATAIGSVEPRLRPPERRSRWGGCFGGLSCFGSQKRGKRIVPASRIPDGNASSSRANGPQSAGISNENTTLNLSILAPPSSPASFTNSALPSTAQSPNCFLSISANSPGGPSSTMYATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLSSSLDLKGAGKENGVHYLSSSYGVGSDLQATYPLYPGSPSSSLISPASGTPRTGLSSPFPEQDTPAQWDASASAQDSPCSRSGASKLFGLNSSTTRNFIMCPDSSFFYSATSAQFHLDQAQQSYPHAGGRLSISREADVYSNGGIRHNKTCKQDVEEIEAYRASFGFSADEIITTQNYVELSDPVDVSFTITPLANGKTGMEQYSAIEFDDKGKKVSDLLNPVSPKQMAVHHAVAVDGKSDQNNMHAGAEPNIQSEDTSAVADANPDTDGGEDSDSRASDHRLGKRAQPRQSFSDAEIDYRRARSLREANAQLAWRKTLP >Ma03_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27975803:27978003:-1 gene:Ma03_g23390 transcript:Ma03_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKNLGDGFFGSRDQGKCFPSLEELTFIDMPKWEEWSWADGRQMFPCLRKLQIVQCPWLKRTPPLPASLQLLSLCQVGLTEVPRLLEEIDGSSIDMTVSELKIYSLQVVELEDIPECEELPCLGQLPSLEVLRIERMPAMKKVGDGFFGSRDQGKCFPSLEELTFRDMPEWEEWSWADDRQLFACLQKLQIERCPRLKRLPPLPPPLETLEIDEVGLTELPGLWEGIHGGGNWITASLSTLRIRKCPNLRNLVEGLLSHSLPNIRDIEIAECAELVRLPVKEFKELTSLAKLSIRSCPKLLSMTRDGDNDILLPPSIKELVLSDCGNLGKLLPGCLHNLTSLTRLEIGDCRCIESLPTTSLLHLKRLKYLKIWKCGELRSKDELLLNEGNEQVEGSSVTELCIDDTALFKLSLLRRILPSVRVLTISNFPRATMSDEEEQLLRSLTALRWLEFKDCKNLRSLPTQLHAFPSLCLLTIIGCPEIQALPEKGLPTSLRKLRFGGCHAMLTERLEKHLAEMKSSGRFLALDQLAILEDKRSSSGNHLKNYLRGLYL >Ma07_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11513995:11515340:-1 gene:Ma07_g15340 transcript:Ma07_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEMMEISQQIDKQDVGSRIVDSLRGRLLAERVATKAAKEEAENLAKRLEELERELAEEIRCRRRAEKRLKHALKKLESLKLAKERSCSSSQCSATVNSGQCRLSEEVEGMAGDEGRCSLVGTVQDHVEDEPEFRSQESSTDASRTSCGYNKKETSMDEGSKEERMLALIRGCRQPESEAPKLQDKEDVHHVLAALRNVKELLLFSLGSKANVYSPEQLLAGQRDSYQ >Ma09_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6108276:6114056:1 gene:Ma09_g09210 transcript:Ma09_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAAAATTSCPRTQKKAPNPFPSLRRRDPTSSTRPPLSPSEKDNGAGPKRPRAKEINSRYLAFSSSSASAAKGGSFSSSPASSSSKGASFSSSSCSASTASSSSSSSTATSSPRRFRSPLPASRPSTPAALPKTCLPNRSKSVDRARPGSTATPRAAHNPAALSSVARALRTTTRSLSVSFQGESFSYQSSKIGPTSPSSKNRKPTPERRGPTAPAATPARSCFKLENSRPLDSHHRWPAATSQQFNSLTRSLDCSVGDKDSFLAAVRSLRQSMALNDGARRASFDGGDFSLSVDTDSLSSGSNSGTHESINLPPRGRATPHGIVAPSRLLPEKGHRLHRLPDPGTQQPSPNLKSVASSKFVPAKKSLVNGLLSSPFVAVYSHHGSVRPSSPGKLSATSPGGMPGVQRVPTSPAKSSSTVLLPGNAPSIFSFASDIKRGKNGESRIEDAHQLRLLYNRYLQWRWVNAQVHDTFLVQKLTAEKYLSNVWMTTTKMHEPIAIKKLKVQTDRQHVKLRSILKAHMSNLEEWSLIDHDHSIALSGTLGALKTSTIRLPVVNGAKVDFQEVRNAVGSAIDVMQAIGTSIYTLLSKVEGRSSIMSELAELATKEQALMDQCKDLLSMVSAMHVKQCSLFGHVIQMSRPSLIQL >Ma04_p27640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28828827:28830044:1 gene:Ma04_g27640 transcript:Ma04_t27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGESLRDTELRLGLPGTDAPEKPASTVTRGGKRALPEDDEEGYAKSNKSSSEEEQGEGDLCCQEPPAAKAQVVGWPPIRSYRKNSLHARKVEGEAAGLYVKVSMDGAPYLRKIDLKVYKGYKELREALDDMFKCFSLGEFPRKEGCSGSEYAITYEDKDGDLMLVGDVPWEMFISSCRRLRIMKGSEARGLGSRH >Ma05_p31680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41513169:41515632:1 gene:Ma05_g31680 transcript:Ma05_t31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFSRRHSSREESSLVAGVAKDNELTKMPEYPTQEASTETTQNDAGNGNIAAQTFTFRELALATKNFRPECLLGEGGFGRVYKGRVEKSGQIVAVKQLDRNGFQGNKEFLVEVLMLSLLHHQNLVKLIGYCADGDQRLLVYEYMPMGSLEDHLLDISPDQKSLSWYTRMKIAYGAAQGLEYLHEKANPPVIYRDLKSSNILLDKDFNAKLSDFGLAKLGPMGDNVHVSSRVMGTYGYCAPEYARTGHLTLKSDIYSFGVVMLELITGRRVIDTSRPTDDQNLVTWAMPMFRDQKRFPELVDPLLRGDYPAKGLSQAIAVAAMCLQEEASVRPLMTDVVMSLSFLTTA >Ma08_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7380045:7380858:1 gene:Ma08_g10130 transcript:Ma08_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPIFRMTPEANHYSDYGFDPQIDYFQVLEEARRNSKRGDQRPLGCLHCKLQKFIFKDDVKSKNHRRGWWKSALVFWRRPRPKVGSDSYEQRRAARRPYTRRAAVSGPLYTTESGGVGRRTCRPISGRLTAAEFGAEAAGLAYLRLRELNLVGDRPTALSPAAPIYIVT >Ma07_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2328005:2328271:-1 gene:Ma07_g02970 transcript:Ma07_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHMDNSSLPCSFATKYFLRAFIKKNNFFLERETISPHRYLTYSYLKIFHKVLFTRSQTFLQHL >Ma05_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32717752:32719990:-1 gene:Ma05_g21000 transcript:Ma05_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESTLPPGFRFYPSDEELVCHYLYKKVTSERCSEGTMVEVDLHTSEPWELPDVAKLSANEWYFFSFRDRKYATGSRTNRATKSGYWKATGKDRIICDPRTHARVGMRKTLVFYGGRAPNGAKTGWVMHEFRLETPHSPPREDWVLCRVFHKRKGESDHDKTASSSPTRMSSACVVDQPMPDVCHDQLGSSFPALLQQEDNSSDPFLMNMALLQRNLLDFPQEMGSAPAGMVGMSSRCEDELGFLLDLGFEHSFGEAGMVRYELPRWQG >Ma02_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26739572:26741219:-1 gene:Ma02_g20960 transcript:Ma02_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIATASSYWCYRCSRFVRVWPRDAIVCPDCEGGFLEEVVTPPPPVPAVAEPRRRRIPSAGAHALGSDESSVAAHPRQLSELRFQRNRRTSAGDRSSFNPVIVLRGPSDGARDADRAAATTRYELYYDDGTGSGLRPLPESISEFLMGSGFDRLLEQLALVELNGIGRERGCEHPRASKAAIESMPTIEIVGDHIGKDCHCAVCMDLFELGTEAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTDAQGIGTPGAEGDEQPSAPRNDEESVGLTIWRLPGGGFAVGRFSGGRTAGEREFPVVYTEMDGGFNNSGAPRRISWTSRRSRTREGRGIGQAIRSFFSFFRLPRSTSSFSRSSSESHPASSYRHERDSVFRRRS >Ma06_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4732686:4735990:1 gene:Ma06_g06540 transcript:Ma06_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNLSLLCCPWVELMGSKIFALTEEDEEMKALSFMEKDVKTITRSTSCKQNGLMIKITSCASLKKSLGFKNWDQDKVKTETNISSKNQDEDDDTKEPPAEGTGNIADRKLNPASCLLVSPRPIKELDAAAVKLQKVYKSYRTRRNLADCAVVAEELWWKALDFASLKHSSISFFNGEKPETAASRWARARTRAAKVGKGLSKNHKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWVKSESKQPFFYWLDVSDGKEVNLVKCPRTKLQQECIKYLGPKERQAYEVVVEDGKLLYKQNGKFVDTNEGSKWIFVLSTTKALYVGQKEKGSFQHSSFLAGGATIAAGRLIAKVGILKAVWPYSGHYLPTEENFKEFVCFLQENNVCLTDVKRRAIVEDDYYPPLKMPRIDSLIDMNKGTRARGAVESKTLEAGDSSETEAEEGALNSLIDVFNEGNNVETTVGLVGHRHRKWTTGAGPRIRCVRNYPTDLQFKALEQVNLSPRSIPSPIVNNDPIPSPRPNSGMRLSPTLASMALPAPIISLTLPKHRRC >Ma02_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17586212:17590408:1 gene:Ma02_g06660 transcript:Ma02_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGYLNFYKDCGSAGFEGCEVTAAGQYIWNPARWPGNGAGLLIEEVVVESCSAISASGGPMSDSSVVLAACSLTSEESNNHPAGHHVSNCSTNGENNHEDDVSSPNPSEPDISDAEEKTVPLSGKPFFSIIMSKSQVQRPYQLAIPKKFWPHLPNTCVPLTLHFKKKIWEMRYYGDRAQRRFDGGWKHFVNDNNLKIGDCCFFELMDETDFTFRVQLLRGDLPAECLNNGLSSDRPILID >Ma04_p18540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20567274:20569083:-1 gene:Ma04_g18540 transcript:Ma04_t18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGGSRQGGEEGIPPESLFLYGSGSSGVGGGGSRNEEITYTRGFELWQQHQQQIQRQQQHHLYTSTPGLLSFSDEPLQAGGAIGRTISMRGSGGGGMSCQDCGNQAKKDCVHMRCRTCCKSRGFQCPTHVKSTWVPAAKRRERQHQLAAAAALQQQSQRLRIGGSTSGAPTGGSGGGGSGGEPSKRPREIATRLPTAITTTSSGGGGMEAVSFPTEVSSPAVFRCVRVSPMDDAEDEFAYQTAISIGGHVFRGILYDQGPDSQYPSTPRALHGESSSSTAAAAITTAAAIATSLPAAPTSSTTPAASTGLLDPSALYTTPLSAFMAGTQFFPHHPRP >Ma04_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20567274:20569083:-1 gene:Ma04_g18540 transcript:Ma04_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLGGGSRQGGEEGIPPESLFLYGSGSSGVGGGGSRNEEITYTRGFELWQQHQQQIQRQQQHHLYTSTPGLLSFSDEPLQAGGAIGRTISMRGSGGGGMSCQDCGNQAKKDCVHMRCRTCCKSRGFQCPTHVKSTWVPAAKRRERQHQLAAAAALQQQSQRLRIGGSTSGAPTGGSGGGGSGGEPSKRPREIATRLPTAITTTSSGGGGMEAVSFPTEVSSPAVFRCVRVSPMDDAEDEFAYQTAISIGGHVFRGILYDQGPDSQYPSTPRALHGESSSSTAAAAITTAAAIATSLPAAPTSSTTPAASTGLLDPSALYTTPLSAFMAGTQFFPHHPRP >Ma10_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28892391:28898956:1 gene:Ma10_g17540 transcript:Ma10_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKASGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYLGLLATGMTADARSLVQQARNEAAEFRFKWGYEMPVDVLAKWIADKSQIYTQHAYMRPLGVVAMVLGIDEEKGPQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKNDPAFSYEETVQTAISALQSVLQEDFKATEIEVGVVRNDDPAFRVLSTEEIDEHLTAISERD >Ma07_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8228355:8230998:1 gene:Ma07_g11070 transcript:Ma07_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSLSAMASHGFSCRIMAVAFVLSLVYSSLIVSSTAELQKLEHSIKADGSLSLLVVGDWGRKGAYNQTHVAAQMGKIGKELNIDFVVSTGDNFYENGLTGITDEAFEDSFTNIYTAESLQKQWYSVLGNHDYRGDVLAQLSPVLQGVDSRWLCLRSFVLNAEIVDFFFVDTTPFVDHYWINTEGHHYDWREVTPRQTYISSLLKDLDSALEASRATWKVVVGHHTIRSVSIHGDTKELQTSLLPVLKAHGVDLYVNGHDHCLEHISSNDSPIQYLTSGGGSKSWRGVFNPSSDKLRFFYDGQGFMSLQLTKTAADVVFYDAFGSVLHRWRVTKELHSSI >Ma06_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8905263:8906530:-1 gene:Ma06_g12910 transcript:Ma06_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRFSSSLSLLAALTVLRLCMGVRSHLSTNFYAESCPNVFKVVRGEVADALKKEARMAASLLRLHFHDCFVNGCDGSVLLDGSDGEKFAFPNRNSVRGFDVVDSIKTAVENECSETVSCADILAIAARDAVVLSGGPSWKVPLGRRDGLVANQTGANTNLPAPFHSINTIKNKFAAVGLDITDVVALSGGHTIGRARCLAFRSRLLSFSPTSSADPSLDSTMASELQSLCPQDDDGSTAAALDRSSVNAFDNHYFSNLLNQRGLLPSDQGLFSSDEGVATTKDLVEAYSKDAGLFFKDFANSMIKMGNISPLTGSVGEIRKNCRVVN >Ma09_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7723218:7729661:1 gene:Ma09_g11420 transcript:Ma09_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGTISNSSSPSGYALGPPWLFRGRALYQLHLVKADIARALIPKELKLVEAFGYTLGGLFLAHYDDSPAGEFDELVVIPGIIWNPPTSCAWASRVLVNSHEACRHGRKEIGLPSQVAIFSKRDTAASEQPLCKCRTSSIHPKPKEQSEIQVLEMEDSSQIFICNISLPFAAGDNRMGPQIRISLPSFSGQTIYNPRLLKYSCQVDCRVRAVEAAKISRPRTTQLNKFPNATEVKKINFDDRLTTENEERERSIEVLLSKPILALEFSLLKMQVEAPTDVTTQSRSKKNQVVDLRTC >Ma09_p11420.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7723093:7729661:1 gene:Ma09_g11420 transcript:Ma09_t11420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGTISNSSSPSGYALGPPWLFRGRALYQLHLVKADIARALIPKELKLVEAFGYTLGGLFLAHYDDSPAGEFDELVVIPGIIWNPPTSCAWASRVLVNSHEACRHGRKEIGLPSQVAIFSKRDTAASEQPLCKCRTSSIHPKPKEQSEIQVLEMEDSSQIFICNISLPFAAGDNRMGPQIRISLPSFSGQTIYNPRLLKYSCQVDCRVRAVEAAKISRPRTTQLNKFPNATEVKKINFDDRLTTENEERERSIEVLLSKPILALEFSLLKMQVEAPTDVTTQSRSKKNQVVDLRTC >Ma09_p11420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7723218:7729661:1 gene:Ma09_g11420 transcript:Ma09_t11420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGTISNSSSPSGYALGPPWLFRGRALYQLHLVKADIARALIPKELKLVEAFGYTLGGLFLAHYDDSPAGEFDELVVIPGIIWNPPTSCAWASRVLVNSHEACRHGRKEIGLPSQVAIFSKRDTAASEQPLCKCRTSSIHPKPKEQSEIQVLEMEDSSQIFICNISLPFAGDNRMGPQIRISLPSFSGQTIYNPRLLKYSCQVDCRVRAVEAAKISRPRTTQLNKFPNATEVKKINFDDRLTTENEERERSIEVLLSKPILALEFSLLKMQVEAPTDVTTQSRSKKNQVVDLRTC >Ma09_p11420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7723093:7729351:1 gene:Ma09_g11420 transcript:Ma09_t11420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGTISNSSSPSGYALGPPWLFRGRALYQLHLVKADIARALIPKELKLVEAFGYTLGGLFLAHYDDSPAGEFDELVVIPGIIWNPPTSCAWASRVLVNSHEACRHGRKEIGLPSQVAIFSKRDTAASEQPLCKCRTSSIHPKPKEQSEIQVLEMEDSSQIFICNISLPFAAGDNRMGPQIRISLPSFSGQTIYNPRLLKYSCQVDCRVRAVEAAKISRPRTTQLNKFPNATEVKKINFDDRLTTENEERERSIEVLLSKPILALEFSLLKMQVEAPTDVTTQSRSKKNQVVDLRTC >Ma09_p11420.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7723093:7729335:1 gene:Ma09_g11420 transcript:Ma09_t11420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGTISNSSSPSGYALGPPWLFRGRALYQLHLVKADIARALIPKELKLVEAFGYTLGGLFLAHYDDSPAGEFDELVVIPGIIWNPPTSCAWASRVLVNSHEACRHGRKEIGLPSQVAIFSKRDTAASEQPLCKCRTSSIHPKPKEQSEIQVLEMEDSSQIFICNISLPFAAGDNRMGPQIRISLPSFSGQTIYNPRLLKYSCQVDCRWCMQGKSSGGCEDIKAKDYSAQQIS >Ma09_p11420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7723093:7729661:1 gene:Ma09_g11420 transcript:Ma09_t11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGTISNSSSPSGYALGPPWLFRGRALYQLHLVKADIARALIPKELKLVEAFGYTLGGLFLAHYDDSPAGEFDELVVIPGIIWNPPTSCAWASRVLVNSHEACRHGRKEIGLPSQVAIFSKRDTAASEQPLCKCRTSSIHPKPKEQSEIQVLEMEDSSQIFICNISLPFAGDNRMGPQIRISLPSFSGQTIYNPRLLKYSCQVDCRVRAVEAAKISRPRTTQLNKFPNATEVKKINFDDRLTTENEERERSIEVLLSKPILALEFSLLKMQVEAPTDVTTQSRSKKNQVVDLRTC >Ma03_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7440174:7441745:-1 gene:Ma03_g09980 transcript:Ma03_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMGKRWEKGSQFSVTCNLLSQYLKEKGGFGSIGLDIASRPLDLHRPKDKHRNPTTLSLMPGVDVPPEDDDVTDHETAPKSMEDSLKTPPVIKEVEKSQLTIFYGGKVLVFDDFPGDKVRDLMQMAGNEIAATKNLSFPAPPPTSILASASSWQEPPPGLPTPAQANASDMPIARKNSLHRFLEKRKDRISTKAPYQAHGAPAASPTDAELRLGREDLRQEHSSGSFR >Ma03_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27090179:27095846:-1 gene:Ma03_g22320 transcript:Ma03_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRPRRIEYDRFIPFRSAMDMDFAHYALTTPSRPGAMSSRSAYQKLLDQCVLKNRSRILAFKSAPAAPADEVPQFYDANLQQQRKIPDKPEKVLEVYGMLDNFSYNLLDWGSNNMLAIGLDDTVCLWDAANESASVLQRALDGNGPITSVSWCPDGKFLAFALGSSDLALVDGSTGRVLDGVSGDKQSKVLSLAWRSNSVLTAGKSDGSVIDYDFRKPDHAICDYKGHELGVCNLKWSGLSGRYLASGGQDKLVHIWDACMAVPNDCPRRHQWLHRLSGHTSTVKALDWCPTRSNLLASGGGRYDRRIKFWNTIDGACLNTFNTGSEVCSLLWDTNKSELLTSHGFPKNQLILWNYPSMKRAAELSAHSSRVLFIARSPVGGTVASAAADETVKFWNIFEASKCSVPFARFNVIR >Ma04_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:885405:892354:1 gene:Ma04_g01000 transcript:Ma04_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEAADTRIDAAAESHEPQSPTGRQIIDFELRNSLPRVRATSPSSADPPPGPAAASLVMGTDPGSTIKIVKGEFGYVLEDVPHLTDYLADLPTYPNPIKENPAYSVVKQFFVNQDDTVPKKVVVQKDSPRGIHFRRAGPRQRVYFESDDVNACIVTCGGLCPGLNTVIREIICGLSYMYDVNKVLGIEGGYRGFYARNTIQLTPKSVNDIHKRGGTILSTSRGGHDTLKIVDNIQDRGINQVYIIGGDGTQKGAAAIFEEIRRRRLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESRFYLEGKGGLYEYIEKRLKENGHMVIVVAEGAGQDLIAESMKSMDHEDASGNKLLLDVGLWLSQGIKNYFTSRQKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTVGLVNGRQTYIPFDRVTEKRNKVVITDRMWARLLSSTNQPSFLSSKDVEEANIREEQPKQTSGEVKCLENGSS >Ma07_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20979536:20981020:1 gene:Ma07_g17640 transcript:Ma07_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSGVQVLVRVRPPSKGEEEGDPIVEKISSNSISILDHTFTFDSVADTTSTQDDIFRLVGLPLVENCLAGFNSSIFAYGQTGSGKTYTMWGPPSALSEDSSSSEWGLTPRVFERLFSRINEEQAKHSDKQLNYQCHCSFLEIYNEQITDLLDPTQKNLQIREDVKAGIYVDCLTEEYVYTMKDVIRLVIKVH >Ma02_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20163230:20167247:-1 gene:Ma02_g10670 transcript:Ma02_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAKMESHLSSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQSISLKDSTSQELLEAVERERNIRLNHARTTTIFHHPALGDFELQHLPVGGSDAELEERIIQHLAAAAAMGRAHHIARREGRVRSGSHGRPQYLVFSTNSNTPSVGSVSASSAPIGENELAPAIIAADSSATITTPGEEPAEVTNVSPAHASQVPFLTPGSSSNPQRSSISSPRTPAQSSPVSQEPGPSDFQSFSETLKSRLNAVSMRYKESIAKSTRGWRERLFSRNSTVADIGSEVRREVNAGIATVSRMMERLDTRETRRMSGVSAPPSDEVHSVMEPTNEGVTANHANTHPNNSTTPSSCIASSGPN >Ma10_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19075544:19076535:-1 gene:Ma10_g06410 transcript:Ma10_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFLKTTSLLFWPLPFHYCFTTMFDFLFKAILLIFYCFSYILLTTENFRRNPAGLPKVHWRKYTSQSCLDKETKQSSSSKCKTKEVNKATSKGCKKLDLKKLSLDHSALSNNQAFKTQPRKGEHPVHVPSAENSQNFRSANTWICKNSACKAVLTSDDTFCKRCSCCICHLFDDNKDPSLWLVCTSESGDKDYCGLSCHVECALQCQKAGVFSLGQSMLLDGSYCCASCGKVSGIIG >Ma05_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9490141:9491016:-1 gene:Ma05_g13100 transcript:Ma05_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMMTPKVKENAVQLAKAMEMEDGVSGAVKAFLKHLPPKISPQVTPEPSSFVDFLVAPIRRCFFSPQVTFCAFYVVKERHLWWNIYLYARVHISYPTSY >Ma01_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28957823:28981591:1 gene:Ma01_g23690 transcript:Ma01_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLGLREFREKFAAQLAPCHRSLQFWVRAVDVYTTYKVCQFRAGLVKDAEKREAMWERQHEIAAVKMYSLCSELGGLFLKAAQILGKPDLAPAAWVKRLVTLCDKAPATPISVIQKILEEELGQNFSDIFEQFDAEPLGSASIAQVHRAKLRGLKTDVAIKVQHPGVQHLMMIDIHNLQAFALFLQKTDINFDLFSLTKEVEKQVAYEFDFLREAAAMEKINKFFHDNNKKAPVLVPRVIPGMVTRKVFAMEFIDGIPIMNLGDEMAKRGIDPGGKFAALAKQKILKSLTLAYGQMILKNGFFHADPHPGNILICKGSEVALLDYGQVKDLPDSLRLGYAKLVLAMADSDPLMAKLSVEELGIKTLSTHADGDQELLTLARKMFDTKFSPGVTMISPFSEDSSLNKITVQRFPEELFSVLRTMQLLRGLSVGMRIYCSCAEQWRPIAEEVLYKAGRLKAEDLKANKHGFFRRILRK >Ma01_p23690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28957823:28981591:1 gene:Ma01_g23690 transcript:Ma01_t23690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLGLREFREKFAAQLAPCHRSLQFWVRAVDVYTTYKVCQFRAGLVKDAEKREAMWERQHEIAAVKMYSLCSELGGLFLKAAQILGKPDLAPAAWVKRLVTLCDKAPATPISVIQKILEEELGQNFSDIFEQFDAEPLGSASIAQQVHRAKLRGLKTDVAIKVQHPGVQHLMMIDIHNLQAFALFLQKTDINFDLFSLTKEVEKQVAYEFDFLREAAAMEKINKFFHDNNKKAPVLVPRVIPGMVTRKVFAMEFIDGIPIMNLGDEMAKRGIDPGGKFAALAKQKILKSLTLAYGQMILKNGFFHADPHPGNILICKGSEVALLDYGQVKDLPDSLRLGYAKLVLAMADSDPLMAKLSVEELGIKTLSTHADGDQELLTLARKMFDTKFSPGVTMISPFSEDSSLNKITVQRFPEELFSVLRTMQLLRGLSVGMRIYCSCAEQWRPIAEEVLYKAGRLKAEDLKANKHGFFRRILRK >Ma06_p26770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28648577:28665839:-1 gene:Ma06_g26770 transcript:Ma06_t26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGRGHRDKVQQFMAITGSSEKAAVQALKASDWHLEGAFDIFYSQPHIRTVTDSRHLEELYNRYKDPYVDMILVDGITLLCNDLQVDPQDIVMLVVSWHMKAATMCEFSRQEFIGGLQYLGIDTIEKFRDKLSYMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAERHWPLVDHWCQFLQVRHNKAISRDTWSQLLEFAKTVDLQLSNYDEEGAWPYLIDEFVEYLTENGIVQRPQN >Ma02_p24960.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29341354:29344330:1 gene:Ma02_g24960 transcript:Ma02_t24960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLVRELRDGIGSMSRRGGGAMEGMMTAHSRGCAPHPQELEEQQQQGRWANLPPELLLDVIQRVEASEVAWPERRHVLACAAVCRSWRNITKEVVRSPEQCGQITFPFSLKQPGPRDHPIQCFIRRERATSTFCLYLGLTPSLQGQSDKLLLAAHKIRRATSTNFVISLNPEDFSRASSTYIGKARSNFLATKFTVYDSEPPYEAAVSSSNRSNRRIHSRQVSPRVPAGNYNIATISYELNILRTRGPRRMQCMMHSIPISSVQEGGSVPAPSSFIHSVDQRLSILPVVKGKEPVIGFSSTSLNESNAPVQINGEPLILKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAADPSCHVSPAEQEKVILQFGKIGKDIFTMDYQYPLSAFQAFAICLTSFDTKPACE >Ma02_p24960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29341354:29344330:1 gene:Ma02_g24960 transcript:Ma02_t24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLVRELRDGIGSMSRRGGGAMEGMMTAHSRGCAPHPQELEEQQQQGRWANLPPELLLDVIQRVEASEVAWPERRHVLACAAVCRSWRNITKEVVRSPEQCGQITFPFSLKQPGPRDHPIQCFIRRERATSTFCLYLGLTPSLQGQSDKLLLAAHKIRRATSTNFVISLNPEDFSRASSTYIGKARSNFLATKFTVYDSEPPYEAAVSSSNRSNRRIHSRQVSPRVPAGNYNIATISYELNILRTRGPRRMQCMMHSIPISSVQEGGSVPAPSSFIHSVDQRLSILPVVKGKEPVIGFSSTSLNESNAPVQINGEPLILKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAADPSCHVSPAEQEKVILQFGKIGKDIFTMDYQYPLSAFQAFAICLTSFDTKPACE >Ma02_p24960.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29341354:29344330:1 gene:Ma02_g24960 transcript:Ma02_t24960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLVRELRDGIGSMSRRGGGAMEGMMTAHSRGCAPHPQELEEQQQQGRWANLPPELLLDVIQRVEASEVAWPERRHVLACAAVCRSWRNITKEVVRSPEQCGQITFPFSLKQPGPRDHPIQCFIRRERATSTFCLYLGLTPSLQGQSDKLLLAAHKIRRATSTNFVISLNPEDFSRASSTYIGKARSNFLATKFTVYDSEPPYEAAVSSSNRSNRRIHSRQVSPRVPAGNYNIATISYELNILRTRGPRRMQCMMHSIPISSVQEGGSVPAPSSFIHSVDQRLSILPVVKGKEPVIGFSSTSLNESNAPVQINGEPLILKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAADPSCHVSPAEQEKVILQFGKIGKDIFTMDYQYPLSAFQAFAICLTSFDTKPACE >Ma02_p24960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29341354:29344330:1 gene:Ma02_g24960 transcript:Ma02_t24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLVRELRDGIGSMSRRGGGAMEGMMTAHSRGCAPHPQELEEQQQQGRWANLPPELLLDVIQRVEASEVAWPERRHVLACAAVCRSWRNITKEVVRSPEQCGQITFPFSLKQPGPRDHPIQCFIRRERATSTFCLYLGLTPSLQGQSDKLLLAAHKIRRATSTNFVISLNPEDFSRASSTYIGKARSNFLATKFTVYDSEPPYEAAVSSSNRSNRRIHSRQVSPRVPAGNYNIATISYELNILRTRGPRRMQCMMHSIPISSVQEGGSVPAPSSFIHSVDQRLSILPVVKGKEPVIGFSSTSLNESNAPVQINGEPLILKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAADPSCHVSPAEQEKVILQFGKIGKDIFTMDYQYPLSAFQAFAICLTSFDTKPACE >Ma02_p24960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29341095:29344330:1 gene:Ma02_g24960 transcript:Ma02_t24960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLVRELRDGIGSMSRRGGGAMEGMMTAHSRGCAPHPQELEEQQQQGRWANLPPELLLDVIQRVEASEVAWPERRHVLACAAVCRSWRNITKEVVRSPEQCGQITFPFSLKQPGPRDHPIQCFIRRERATSTFCLYLGLTPSLQGQSDKLLLAAHKIRRATSTNFVISLNPEDFSRASSTYIGKARSNFLATKFTVYDSEPPYEAAVSSSNRSNRRIHSRQVSPRVPAGNYNIATISYELNILRTRGPRRMQCMMHSIPISSVQEGGSVPAPSSFIHSVDQRLSILPVVKGKEPVIGFSSTSLNESNAPVQINGEPLILKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAADPSCHVSPAEQEKVILQFGKIGKDIFTMDYQYPLSAFQAFAICLTSFDTKPACE >Ma09_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4355149:4358463:1 gene:Ma09_g06820 transcript:Ma09_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAEGELERPSSAIESFPRDLLHRLSGNNFSSEQAEALGGESDEVELNLSLGLSLAGCFGVDPEGKKLVRSSSIASFSSLPREHDFFTVTPTLVRASSLPTESEEERRKRKESQGLRRLEAKRKRLEKRSSIKSGALKDEGGKSLAAAMSVNGRLSVPIGTQFSGVFDVVIPPRPPAWAAGPNSTVAQGSVGSQGSSSFSVSEVESQTPARGEEDPLKKVADATTDASLGRNMMEDMPCVSTRGDGPNGRRIEGLLYRYKKGEDVRIVCVCHGSFLTPAEFVKHAGGGDVAHPLRHIVVNPSPPALL >Ma10_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9135844:9137744:1 gene:Ma10_g02700 transcript:Ma10_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVSSVSPVALAARLAPGRAWIMDRWSIRGPLPVAATAAFSAGGGSGEEKRRRLVLYKKPGCCLCDGLEEKLHAALSLAGGPHSLPSVDLQVRDITGNPEWEMLYQYEIPVLAKVLPDGTEVP >Ma10_p02700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9135844:9136574:1 gene:Ma10_g02700 transcript:Ma10_t02700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVSSVSPVALAARLAPGRAWIMDRWSIRGPLPVAATAAFSAGGGSGEEKRRRLVLYKKPGCCLCDGLEEKLHAALSLAGGPHSLPSVDLQVRDITGNPEWEMLYQYEIPVLAKVLPDGTECLLKCG >Ma02_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19129904:19133030:1 gene:Ma02_g08910 transcript:Ma02_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELTYRGREALPADDAGANSPKPEKRFLCLPRPLRYLLSEQRLLFVLVGVALASVFFVFAPPYTGPSTAGAHLAVDLARLSSMPAVHGGYRMALERRFVGGRVPLGLKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGQKENVMHHLGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAQLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLIKLMEGENVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTADDPHKRKPDITRAKELLGWEPKVSLSDGLPLMVTDFRKRIFGDQADNNPTTTADSRSI >Ma09_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35087492:35092979:-1 gene:Ma09_g23160 transcript:Ma09_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGGGFSNPVKRNSWRTVLSLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLVPLLKYVFIVLRADDDGEGGTFALYSLLCRHARVGFLPNGQLADEEISAYKKTDRGGAGSPSGGVGAASRVRVMLERHQVLQKMLLILALIGTCMVIGDGVLTPAISVFSAVSGLELSMAREHHKYVEVPIVCLILIGLFALQHYGTHRVGFLFAPVVIIWLLCISAIGVYNIFHWNPHVYQALSPYYMYKFVKKTQRGGWMSLGGILLCITGSEAMYADLGHFSQLSIKIAFTSVVYPSLILAYMGQAAYLSKHHVIENEYRIGFYVSVPEQIRWPVLCIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKFHGQIYIPEINWILMILCLAVTIGFRDTKHMGNAAGLAVITVMLVTTCLMSLVIVLCWHKSIFLAVCFILFFGTIEALYFSASLIKFLEGAWVPIAFSCIFMIIMYVWHYGTLKKYQFDVQNKVSIEWLLGLGPSLGIVRVRGIGLIHTELVSGIPSIFSHFITNLPAFHQVLIFLCIKSIPVPHVHPEERFLVGRIGPKEYRLYRCIVRYGYRDVQKDDLEFEKDIVCSIAEFIRSGTSGPNGAAVESEKDDARMTVVGAGIRLCEVGDDPGEAAGPSSSTEIQSPIRARKKVRFVLPTSPRMNASTREELQELMEAREAGMAFILGHSYVRAKSGSGFVRRLAINYGYDFLRRNSRGPAYAVNIPYASTLEVGMIYYV >Ma10_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27021689:27023412:1 gene:Ma10_g14680 transcript:Ma10_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNLNRTENSYLGAMDVTLLMVPIKRTEHIDVTQKTLPWGQYHRHSDVARPSTIRIFCDDYDATDSSGDEGCRRRVRRYVQEVRFQARFEAVGKSKPARKRKAAGPAVAASSSGEAERRFRGVRRRPWGKYAAEIRDPLRRVRVWLGTYDTAEEAAKVYDSAAIQLRGPDATTNFSRPPAAAASTAPPKKCLSSSNLTSISGGHDSSEESRNLSSPTSVLRSFSSFAVSTTTSMEGAETPWPPPTTTDSDASGSMPTTERSLPGQLSGLLPFDEAMLHDEFHDLGATKPSLFDDDARVGPLAGDLNDIFLGTDLDFGSSTWQGGDDYFQDIVDLFPIEPLAAV >Ma03_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27151413:27152310:-1 gene:Ma03_g22400 transcript:Ma03_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRLRQSGSTRITDEQINELISKLQSVLPEARRGGNDRVSAAKVLQETCSHIRRLHREVDDLSERLSELLDSADLNSAQAAFIRSLLM >Ma05_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25898742:25899958:1 gene:Ma05_g19000 transcript:Ma05_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVSVQDHEEKRGIQLHVFSDVWQSSLCHSHLCLCDCWFCGRGHHWSSDRGLATESGLLRGAGIGATSGAVFSIEVVESSLELWNSRESGIWRILYLDIISSLLSGRPVRKRLEQQCRVQSRMSALSFHFMESADFFEIGGGVGGLSIDAVEKLPKVKIAAEDNVDAAGYKICYSVCLQDFQTREMVRKLPNCQHMFHLPCN >Ma10_p28490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35349899:35369984:-1 gene:Ma10_g28490 transcript:Ma10_t28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVEVIMEDLLNQLGIDLQAFVQAWAAACADTRSPVILVPSGKTFLIGEITLSGPCKSSIDFRLQGDIVAPNSKWTNDKASLLTFTYVNRLIVHGYGQIDGRGQLWWDLFNKKILTFHHCNDLYVKGITIKNGPDKHMTFFKCVGVTISWVKVTAPGDSPNTDGMFFSGCQNVDVSGSIIGTGDDCVAIGPDCSKININRVRCGPGHGFSIGSLGRDGAEQSVENIRVSDSSVTDALTGARIKTWQGGSGYVRGIVFENLRLYSVKTPIMIDQFYCNGNSCQNQTSAVAISDVQFVNFSGTTTTENPIKILCSKSVPCKGIHLENVKLSMAALAVLGLLLLSLSAAVGYKTYNVLDFKAVGDGQTDDTN >Ma09_p21090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31833768:31838444:-1 gene:Ma09_g21090 transcript:Ma09_t21090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQADEDVGKIALAVPILVSKALELFLQDLCDRTYEITLQRGAKTLNSLHLKQCVRTYSAYDFLTGVVNKVPNLGGMEPCEDEKGICRRRKTLPHGDEVESNENCQLRSSKMAMTNTSVSPRGRGRGRGRGRGRPPTRARDVGHMKFEDDSSMFGDHDEIPLGQPEGTKENSHQSALPSSTPVMNGSATSAVETKQEQCSAWPLPGGVDNISIEPSRLVQLTMQIDEDEDYDNED >Ma09_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31833768:31838770:-1 gene:Ma09_g21090 transcript:Ma09_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPASRIKKIMQADEDVGKIALAVPILVSKALELFLQDLCDRTYEITLQRGAKTLNSLHLKQCVRTYSAYDFLTGVVNKVPNLGGMEPCEDEKGICRRRKTLPHGDEVESNENCQLRSSKMAMTNTSVSPRGRGRGRGRGRGRPPTRARDVGHMKFEDDSSMFGDHDEIPLGQPEGTKENSHQSALPSSTPVMNGSATSAVETKQEQCSAWPLPGGVDNISIEPSRLVQLTMQIDEDEDYDNED >Ma11_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23071360:23074227:1 gene:Ma11_g17900 transcript:Ma11_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSLVSAGFEAVTAASARPFPSLPPNLTQHTTKGRFFGDYCYNYYSPTLCASPGYDDILHHHIPMPPSNPTKSLPLFLLLLVFHLLLCVSTPPSVVASSPPFSTLAVSHSSKVTLVCALVPSAVAYKYDICCTSASNRSQSRLYESPETPYAAVAAGNGFLCGLTVPADGSNATMRWWAFHENETYEKRVYWGTPLTALASGDNHVCGLIGGAHRPRCWRWEEIQVPAGMNFSEIAVGRDFVCGRLGCGAIRCFGNDTVVVRKVPVGNFSMVAAGSRHACGVSDDGRLTCWGTGAPEVPSDPLDIVSMALGESKTCVLRSNGTVRCWGEGSRPPDFLAGEQFIGIQARGDTICGILMFNFSVVCWGNEEFRRNHTMYGVVLPGTCTPTSSCTCGFLAGSGNMCPSEEGICQSCKFQLSSNSSTSPQQASRRSKKRILLVAVLGSVGFGLALLALLSFLVSLALKKQSNGWLYDTVQLGRWRRTAAATLSSQMETFLDGQVGGGTVEEFSLQFLAKITNNFSEAHKIGSGSFGAVYRATLPGGRDVAIKRADVPAAAAPSTSRRHEQLRLRDEHQRERAFYSELALLSRVNHKNLLRLLGFCRERGERVLVYEYMTNGTLHDNLHRRPMTPPSPLSSWTARLRLALDAARGIEYLHAYAVPAIIHRDIKSSNILLDAEWTAKVADFGLSLTSPDDEGSVAAGTLGYMDPEYYRLRRLTEKSDVYSFGVVLLELVTGCKAIHRSQLVAGSEEEGEEAEGSATPRNVVEMSVPYIEADDIARVMDRRVAQASAEEVEAVAYVGYVAAECVRAEGQERPTMGEVVGALERAVAACGGAYGPDRVDSRSEPTGGRRALSRAPSFL >Ma04_p04270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3252424:3256040:1 gene:Ma04_g04270 transcript:Ma04_t04270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSARRRSFTRNGVVAGSVWEARMRVDAVNGGIKVFNGEESRDGDGDGDGNADEEGMRVYRRLRRNQSDSIAAGRRRRIWTPPPSSASSMNGSIGGSPIPLHKARSELAEDSRELGGVGRVRVLAIGDGSEGVGGDDGEVEMEDEVGRESFDDKEMDLPVEKGKSVEEEEKTINQVHEIPASSPPVVEKKQNCAEIATSPAAEKQEQQQSQRAIDPDPIEPPPYSEKKKMNHRIVDPDPVEPPPHAEKKRINHKIIDPDPVEPPPHSEKKKMNHRIIDSDAVELRPYAEKKKMNHRTIDTDPVEPPSFEGAKRMFDSKSGHHNRLQSIVDLVMWREIPKSAFVFGLGTFILMSSSYAKDLNFSLISATSYLGLIYLALIFVYKSILHRGESVEYDESYMVGEEEAIWLLRLLLPYINELLLKIRALFSGDPATTMKLAVLLFVMARCGNSITIWTLSRLSFFGVFTVPKICSSYSTQLAKYGNFWVERIRDGWESCSHKKAVAAAIFTLIWNISSTVARIWAVFMLVVAVKYYQQCVAEEWPGREEVVVVEEVQEVSKPAQSLVQAPGLHHRHRGGTAVRERKQKKGM >Ma04_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3252424:3256040:1 gene:Ma04_g04270 transcript:Ma04_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSARRRSFTRNGVVAGSVWEARMRVDAVNGGIKVFNGEESRDGDGDGDGNADEEGMRVYRRLRRNQSDSIAAGRRRRIWTPPPSSASSMNGSIGGSPIPLHKARSELAEDSRELGGVGRVRVLAIGDGSEGVGGDDGEVEMEDEVGRESFDDKEMDLPVEKGKSVEEEEKTINQVHEIPASSPPVVEKKQNCAEIATSPAAEKQEQQQSQRAIDPDPIEPPPYSEKKKMNHRIVDPDPVEPPPHSEKKKMNHRIIDSDAVELRPYAEKKKMNHRTIDTDPVEPPSFEGAKRMFDSKSGHHNRLQSIVDLVMWREIPKSAFVFGLGTFILMSSSYAKDLNFSLISATSYLGLIYLALIFVYKSILHRGESVEYDESYMVGEEEAIWLLRLLLPYINELLLKIRALFSGDPATTMKLAVLLFVMARCGNSITIWTLSRLSFFGVFTVPKICSSYSTQLAKYGNFWVERIRDGWESCSHKKAVAAAIFTLIWNISSTVARIWAVFMLVVAVKYYQQCVAEEWPGREEVVVVEEVQEVSKPAQSLVQAPGLHHRHRGGTAVRERKQKKGM >Ma01_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1485662:1490770:1 gene:Ma01_g02200 transcript:Ma01_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPLMAKAEARFSNQRFFFPCRKSRTYTLALTLLLALSVVLLVLLALDVLSLPIGPLFAPSRSHSEKTEGFVQSGRQWTEVISWEPRASVYHNFLSKEECEYIIQLAKPHMKKSTVVNSTTGGSEDNSVRTSSGMFLQRGRDKIIRSIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHLDYFADEFNTKNGGQRIATFLMYLSDVEEGGETVFPNAKVNSSSLPGYNELSDCGKAGLALKPKMGDALLFWSMKPDATMDPLSLHGACPVIKGNKWSAPKWMRLHEYKA >Ma04_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10556533:10557196:1 gene:Ma04_g13940 transcript:Ma04_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLVPLLFLLLSAVVSTSHALASAPSSRKALGGWTPIKNTDDPHVREIAEFAVAEHNKQANASLALSKVVKGETQVVAGTNYRLVLQVKDASGARVKYQAVVWEKPWENFRQLTSFKLVKS >Ma09_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7153983:7154969:-1 gene:Ma09_g10540 transcript:Ma09_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIGATIADGMTFGAGSAIARRAVDAVLGCPTVQHEKAASPADSVSGPTSKGSDACTTHVKAFQDCINNYESDISKCQFYMDMLNECRRCSGAVM >Ma09_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2304950:2306499:1 gene:Ma09_g03430 transcript:Ma09_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAVLDRWSLSGRTALVTGGTKGIGHGIVEELAKLGATVYTCARNEAELKKCLQQWEAKSFKVAGSTCDVSSPVEREKLMENVKSAFHGKLDILVSNAGTGIMKPALGVTPEEYKFITTTNFESAFHLCQLAHPLLKASGRGTIVFNSSIAGMVGIDMFCLYAATKGALNQLTKSLACEWAKDNIRTNCVAPGYIKTPLIQNALEDEAFVAGETRRIPMGRLGEVQDVAPVVAFLCLPASCYVNGQVVVVDGGRVVNGNI >Ma11_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1137204:1137767:1 gene:Ma11_g01610 transcript:Ma11_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTQWKGSVHRIRKCVVDLLSMEDDLVDDDDEDAWELMGSDLRLKSTFLYCDLNQVISHAREERKKVLTDLANKLFYYMEQLDHAVRIRSMSLTQVCYNDTANVLQEVMAALMPLR >Ma04_p29870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30676613:30680106:1 gene:Ma04_g29870 transcript:Ma04_t29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGWLSPTSDASSSWKFRADVSVASLHDLCGGEGVEWCTPARIGDGFPPTFNLPATMEEEGQMNLQPEPGSGNAAAAVASSLSEEPPGMRAAEKANTGAKSGQKRTRQPRFAFMTKSEIEQLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSHDPSIVITTYEGQHCHHTISFPSGSIHPRDTRSTSDRLALSSPQRHVHLPTHPGQLVMSDPRYEDPITPFLAERSSSAPTDEGLLDDIVPSGMRRG >Ma04_p29870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30676598:30679475:1 gene:Ma04_g29870 transcript:Ma04_t29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGWLSPTSDASSSWKFRADVSVASLHDLCGGEGVEWCTPARIGDGFPPTFNLPATMEEEGQMNLQPEPGSGNAAAAVASSLSEEPPGMRAAEKANTGAKSGQKRTRQPRFAFMTKSEIEQLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSHDPSIVITTYEGQHCHHTISFPSGSIHPRDTRSTSDRLALSSPQRHVHLPTHPGQLVMSDPRYEDPITPFLAERSSSAPTDEGLLDDIVPSGMRRG >Ma09_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1927256:1928684:-1 gene:Ma09_g02800 transcript:Ma09_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKIQHEESIATGLKFVRSTSIMGRKRVVLSRTVDSANCWHSPKRLSRMSSMERLNRLEALPQDILVKILCKVDHSDLKQLVLVSKTVNGATLIAKRLHFAFSTPSSKPVFRRHEISDEAPNAPMQQRVAKSRLDGGKVSSVAIALFRSLEDEWPQSQLDSEM >Ma06_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20188551:20194899:1 gene:Ma06_g23070 transcript:Ma06_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFWHDIKNCVNDFLLHLDIYIKWLFSNLWTEVFFFFFFFFLAQSVQRLFLSHRFYQSSNLLFCLPLPSPYLHRCSITLLNSIRIEIDVKEGG >Ma01_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:628010:637308:-1 gene:Ma01_g00910 transcript:Ma01_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAALPALDLSAVLQFAFALLLTLSGALLVVKRAASRYFVVDASFEAGSSGYEPRRSMSIGNGDAGGEGAAPAGGACATCGKPGSKKCSGCKRVRYCSQACQSKHWRADHKFECKQLKSSEPDKVDNVSPGNSSCRRRKSSGFGSISLVPARGTCNILQEPKKILFPYNEFVKLFNWDNPGFPPCGLVNCGNSCFANVVLQCLACTRPLVAYLLERGHIRECVRKQNDWCFLCELQLHIKRASESRHPFSPINILSRLPNIGGNLGYGRQEDAHEFMRFAIDTMQSVCLDEFGGEKALDPSTQDTTLIQHIFGGHLQSQVTCTQCNTISNRYENMMDLTVEILGDAESLEECLDQFTMKEWLDGDNKYKCDGCNDYVKACKRLTVHQAPNILTIALKRFQSGRFGKLNKRVTFPENLNLTPYMSGSSDGTDLYTLYAVVVHVDMLNASFFGHYICYTKDYQGRWYKIDDCKVTNVEVEDVLSEGAYMLLYSRTSARKEPFVKHVQSQNTKQLVESAPKLSSPIGVSCLGSDIDNQSSPPELSMFRDTRNKRKLTDDVDPAKVTEVDVMVLNTPLVEKPASVHKSLGDLDFCNSSSSSATAKSLEIESIGLFSPMPDYSSGESMQLDNHPENSENKVDVEKCQKPCASYDASHIVEDGEPTREPSTMQINDDMIIEPCSTTPITTASVVSEPSDYSFAESDRPVETYSQFDSSLEVNRLDGASVDSEGSMALLSSQENRSSGKSKAVFSRGFLDKPARKKTLDSTEEKQNHKHPIVDVNKQNGHSNGHCNIGLAESTETGENIISGDMPFVTRSFLQKSYAKSSDMKREPKKSEHQCRVNCNGSAEYACSSADESIEFRSAAPCCNGASFMQIDNDKFPDSASVSQDRNEEQQFARTDDQCH >Ma02_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19714745:19717907:-1 gene:Ma02_g09990 transcript:Ma02_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGWLWRAGPSGFGSSSTAEQVTEGIDASQLTAIVTGATNGIGKETARVLALRGAKVIIPSRTLDSGLKVKQSLLEQNPSAELHVMEMDLSSLDSVGSFARSFNSSHKHLNILINNAGIMACPFQLSRDGIELQFATNHLGHFLLTNLLLDKMKATAKKMGVQGRIINVSSNAHRTSDGSCFNLDKINDQSKYKPFIAYAHSKLANILHANELSKHLQEEGSNVTVNSLHPGVIFTNLVRHIEMNPTLMKSLAALAKPILKSIPEGAATTCYLALHPQVKDVTGKYFADCNEAMPSGKARDEIIGKTLWEFSEELVKRRSRPV >Ma03_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26768465:26769651:1 gene:Ma03_g21890 transcript:Ma03_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTYIIQVFIHLIWSPLLQVVGFIATGCLASFLSSRFEIMGAGSTEHYTGQSLRTDVMRNLSFQQAINCMCRFFCRPVPLLIFKQTIVLCFG >Ma02_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17561405:17565167:1 gene:Ma02_g06600 transcript:Ma02_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKDEQHGGGGGGGGWGGRPPFTAAQWQELEHQALIYKYLTAGVPVPPELLIPIRRSFEALPGRYYHHPALAYCSYYGKKLDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRGRNRSRKPVESQSISQLQSASSTTTSLTPTGSSGSVSSGGGLQSIPQYSVAGHSGRQSLCLGASSSLHLPMDPGPYVIHSTGTCRYFSGVKPGVDEQSFFSEASGSARGLGMDPVDSSWHLMPSRVSLFPSSKARDPSPLQSAYTQLQSVQDLGHVTISSMSRQPEQQQHSFIGGEFNSPESVKQESQFLRPFFDEWPKTRDSWSDMEEDRSNRTSYSTTQLSISFPMSSSDFSTTSSKSPNDD >Ma03_p29650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32492920:32503027:1 gene:Ma03_g29650 transcript:Ma03_t29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPKPISSLGLGQCNSIAQNQQLESSNNTMSPMNGVNNSNNPNLASRQRLRWTNELHERFVDAVTQLGGPDRATPKGVLRIMGVAGLTIYHVKSHLQKYRLAKYVPESSADGTMSEKKDDRNLNSGLESSSGMQITEALKLQMEVQKRLQEQLEVQRQLQLRIEAQGKYLKKIIDEQQRLSGVLADLPGADIPAPTSGDHCPDSEKTDPSTPAPTSESPGQDKSIGRELGDANGAFKSISCDDSFSSRREPLTPDSGCHVSSPSTSPRHESSAKKLPVSSNSGHGKEDLLLAHILESSSGSEFHQ >Ma07_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2869429:2881703:-1 gene:Ma07_g03760 transcript:Ma07_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MEHAAVVSETSVRRSKRGRPPLAASDVGPSKSSGSAGEKADHSPTDGDQGSGDGSYDGLDDPAPKAKRKRGAAIRAAGWKEDQSLIDIIKHNGRVINHAVKKWVERYEGDPKSAMVEILMFLFEACGAKYQLEAASFDETDVDDVVVSLVELAKNGEVEDHVNSKQKELKSFKENLASFWDNLVLECQNGPLFDKVLFEKCMDFVIALSCTPPRVYRQVASLVGLQLVTSFINIAKILSGQRETTQRQLNAEKKKQNEGPRLESLNKRLSLTHEKITATEEMMRKIFTGLFMHRYRDVDPEIRMSCIRSLGIWILSYPSLFLQDLYLKYLGWTLNDKSAGVRKASILALQNLYEVDDNVPSLGLFTERFCNRMIELADDIDISVAVSAIGLLKQLLRHQLLTDDELGPLYDLLIDEPPLIRRAIGELVYDHLIAQKIKNSHSGRKDGENESSEVHLGRMLQILREFPDDPILSAYVIDDVWDDMKAMKDWKCIISMLLDENPLIELTDVDATNLVRLLYASARKAVGEKIVPATDNRKQYYTKAQKEALENSRREITAAMMKSYPQLLRKYISDKAKVSPLVEILGLLKLELYSLKRQEQNFKTILELIVDAFFKHGEKDTLRSCIKVLTFCSTDSQADLQDYVQNKLKDLESDIIIKLKAAMKEVEMGGDEYSLLVNLKRLYELQLTKFVSINGLYEDMASILRDLSDIDNEVKCFLLLNMYLHVAWCLQSIDSDSLLEASTTALLSKRDILLEQLESFTRTLPDAPQEGRSGIVLSCRVCIILAETWCLFKKSKYSSTRLHSLGYSPNLPSIQNFWKLSEQLLKISDETEDEDANEEYIEETNRDAVMIAAAKLVATHTVSKDYLAPEIFSHFVMHGTSISEIIKHLITALRKTANDEIPTIFLEALKRSYKRHTVDLSSGSNESLASKSYSDCKELASRLSATFTGAARNKHKSEILNVVKDGISYAFLEAPKHLSFLEAAVLPFVSKLPTSDILEILKDVQKRSENVNIDEDPSGWRPYSVFVDHLQEKYAKNEGLQDEKEGNVVRRRGRPRKARNLEGKKLFDGHESSEEDSISDSDQNDQEEDDDEEADQPLIHTFRASASKLRSMRIARPESTETAKNNR >Ma02_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24714849:24719064:1 gene:Ma02_g18060 transcript:Ma02_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCLSESHQRQMQVFGQNPTRIVEGYSEEFERTFLDLVRRSHRFSRVAATVVYNEYIADRHHVHMNSTRWATLTEFVKHLGRAGFCKVEDTPKGWFITYIDRDSETVFKNRLKAKRLRADLVDEERQERTIALQIERATSLLSSSSSDPLPSDTPEAAADPNPSAPIKSEPGGKIAFSLQPATTSSSKSNVAGEFTSKIPKLGFDEGEQERSERKDKKNDKSAPSGGGGGLSALDELIREEEKAKERSNRKDYWLCEGIVVKVMSKTLADKGYYKQKGSVKRVIDKYVGEIEMLESKHVLRVDQEELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERYCAKVQIEKGVYDGRILQAVEYEDICKIMQ >Ma04_p30530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31178046:31179187:-1 gene:Ma04_g30530 transcript:Ma04_t30530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLSYKDLKKLVRLTSAAQPCSRVEAEFVQMLNAEIDKFNAFFVEQEEDFIIRQRELQERIKRVMEDDGTGGSQPSEMEHAKEMSRIRKDIVNFHGEMVLLENYSSINYTGLAKILKKYDKRTGRVLRLPFIEKVLKQPFFTTDLVSEMVKECERTIELVLPVADRGQSEQGGKGELVAAEQSVFRNTIAALMTMQELRKGSSTYGHFSLPPLSLPDLQLPSPIPILQ >Ma04_p30530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31178046:31179187:-1 gene:Ma04_g30530 transcript:Ma04_t30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLSYKDLKKLVRLTSAAQPCSRVEAEFVQMLNAEIDKFNAFFVEQEEDFIIRQRELQERIKRVMEDDGTGGSQPSEMEHAKEMSRIRKDIVNFHGEMVLLENYSSINYTEKKQKKVLEELAIAGLAKILKKYDKRTGRVLRLPFIEKVLKQPFFTTDLVSEMVKECERTIELVLPVADRGQSEQGGKGELVAAEQSVFRNTIAALMTMQELRKGSSTYGHFSLPPLSLPDLQLPSPIPILQ >Ma04_p31130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31532122:31533500:1 gene:Ma04_g31130 transcript:Ma04_t31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPPHLLLPLLLFSFPLSTSPRPVPEKLQYEKHRTAFQPVHANDTWRSFHRLLDLERGSHVDGLSVLKRYFTRFGYLAEPDPAEHAESFDGRLESAVVRYQTNLGLPVTGKLDAATLAQIMTPRCGVPDSNVTRSNHTGPVARFTFFSGRPRWAGSKPLTLTYAISPAHTIDYVRRSDVAAAIRRAFARWAKVIPVRFVEVTDYEAADVKVGFYGGDHGDGEPFDGVLGILAHAFSPESGRLHLDAAERWAVDFGDDESESAVDLESVATHEIGHVLGLGHSAVKEAVMYPSLSPRTKKVELRVDDVEGAQALYGSNPNFRLSQLVESETSSSAYRNSIGGGGDAIAWRIWIGILIMLIV >Ma07_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3469676:3473143:-1 gene:Ma07_g04730 transcript:Ma07_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHRASSTDMDVGMGWWGKWKPVLVMLAIVVAYAVMNIMIKKAIDEGTNRLLLITLRQLIAALILSPIAYFRERKTRPPLTADICVHLFFSAALGAALTQYLFLLGLQYTSATFACAFANILPVLTFLMALLFRLETLNLKRTAGIAKAIGAAVCIAGAMLLSLYKGVALTSSPHPSRASDPRPTHLAVDYTSRQCLMATVALFAACLCYSSWFLVQSKVGKKYPALYSGAALVFLISFLQAAALSLAIQRSYSMWILKTKLELITVLYSGIVGSGIGFLAMSWCVEKRGPLFTSAFTPLIQIIVAVIDTSVLHERIYLGSVLGSALVILGLYFLLWGKSKETRNSEVKPAEGNEENKVQLQTV >Ma03_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15127753:15128067:-1 gene:Ma03_g15350 transcript:Ma03_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQSPKPSCHEVIIGKWTPSDVDRLAGRVPGYGTVTNIINGGVECGKGFDANGADRIRFYKRYCDILGVSYGDHLYCYRRSLYIYIYIYIYIYIYIYIYIYYS >Ma06_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25267557:25269557:1 gene:Ma06_g25060 transcript:Ma06_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAETTTSKICVDVAVKKWMKTVEKLVVLACAAFAASSLFLLCSLCFRFWEAVAGGALVGGDRSQGWGVQEVLKGEEDRGGADGCDLFDGKWVQDESYPLYESKNCAFIDEGFRCAENGRPDRLYTQWRWQPARCNLPRFDAKLMLEKLRNRRLVFAGDSIGRNQWESLLCMLSSAASANDDSVYEVNGKPITKHKGFLIFRFRDYNCTVEYYRAPHLVLRSRPPPDAPSPVKSVLRLDVMDRKSAGWRDADVLVVNTGHWWSHEKTIGSGCYFEEGGKVELQMSVESAYERSMKTFLDWIDKEINTSRTQVVFRTYSPAHSSKGDGKSGGKCHSETVPDFRSSYSLSKSWSHFLNPFTEQRMQNSTRSALKEIDVLNVTEMTAQRKDGHQSLYHVGPLSDARLHKEDCSHWCLPGVPDAWNELLYALFLRRDFKARVRP >Ma11_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18991620:18994444:-1 gene:Ma11_g13840 transcript:Ma11_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIAFSAPSIRSPSSSSSCTPSASSLNRSLGCRPQRRSSGGSLGASGAGGRAVAARSSLETAVGQVTEVDRDTFWPLVKAAGDRVVVLDMYTQWCGPCKVMAPKFLGLSEEYLDVTFMKLNCNHENKHLAKELGIKVVPTFKILKDGKVVKEVRGAKFDDLVLAIETVKSS >Ma07_p25300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32372886:32380618:-1 gene:Ma07_g25300 transcript:Ma07_t25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSGVPLITQQYAALLRKNFILTWRHKKSAFLQLFSSLFFIFLIFCIDKAVKSRFSSTTAYKNVHDPQPLILPPIPPCEDKFYVKTPCHDFIWSGNASARIATIVSAIMKNNPGREIPAEKVKSFGTQAEVDAWLESNPMRCPGALHFVERNSTVISYGIQTNSTAVAKRGSYEDPTFKFQIPLQIAAEREISRSLIGDPNFKWTVGFKEFAHPATETFSAVGTAGPTFFLAIAMFGFVFQISSLVTEKELKLRQAMSIMGLYDSAYWLSWFTWEAFLTVVAALFTVLFGMMFQFNFFLHNSFAVLFLLFFLFQLNMLSFAFMISAFVSKSSSANTVGFSIFIIGFLTQLVTVFGFPYDSNFTKLYRVIWSLFPPNLLAKALDLLGNETATSEDEGISWGRRGECTTYEPDCTITIEDIYKWLISTFFVWFILAIYFDNIIPNSNGVRKSMFYFLNPSYWTGNDGNRVEGSLCSCSGSIPPLDDATPSDEDVLAEETSVKQQAATNEVDPNVAVQIRGLTKTYPGTMKIGCCKCQRSSPYHAVKGIWVNLAKDQLFCLLGPNGAGKTTVINCLTGVTPVTAGDALIHGYSVRSSVGMSNIRRMIGVCPQFDILWDELSAQEHLHLFASIKGLPPSTIKSVAEESLAEVKLTTAARVRSGNYSGGMKRRLSVAIALIGDPKMVFLDEPTTGMDPITRRHVWDIIEDAKRGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSRFGTGYVANVSFPGNAPGQTPNVYGSAPTSNPHLEPVKQFFKDRLDVDPKEENMTFLTFVIPHQKEGLLTDFFAELQDREEEFGISDIQLGLTTLEEVFLNIAKQAELESSSMEENLVTLNLSSGPSIQIPKGARFVGIPGTESSEHPRGLMVEVYWEQDDTGTLCISGHSSETDIPPNVQLTVNRAPSRRGSIRGAPLGFVIDSYLS >Ma07_p25300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32372886:32380617:-1 gene:Ma07_g25300 transcript:Ma07_t25300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSGVPLITQQYAALLRKNFILTWRHKKSAFLQLFSSLFFIFLIFCIDKAVKSRFSSTTAYKNVHDPQPLILPPIPPCEDKFYVKTPCHDFIWSGNASARIATIVSAIMKNNPGREIPAEKVKSFGTQAEVDAWLESNPMRCPGALHFVERNSTVISYGIQTNSTAVAKRGSYEDPTFKFQIPLQIAAEREISRSLIGDPNFKWTVGFKEFAHPATETFSAVGTAGPTFFLAIAMFGFVFQISSLVTEKELKLRQAMSIMGLYDSAYWLSWFTWEAFLTVVAALFTVLFGMMFQFNFFLHNSFAVLFLLFFLFQLNMLSFAFMISAFVSKSSSANTVGFSIFIIGFLTQLVTVFGFPYDSNFTKLYRVIWSLFPPNLLAKALDLLGNETATSEDEGISWGRRGECTTYEPDCTITIEDIYKWLISTFFVWFILAIYFDNIIPNSNGVRKSMFYFLNPSYWTGNDGNRVEEGSLCSCSGSIPPLDDATPSDEDVLAEETSVKQQAATNEVDPNVAVQIRGLTKTYPGTMKIGCCKCQRSSPYHAVKGIWVNLAKDQLFCLLGPNGAGKTTVINCLTGVTPVTAGDALIHGYSVRSSVGMSNIRRMIGVCPQFDILWDELSAQEHLHLFASIKGLPPSTIKSVAEESLAEVKLTTAARVRSGNYSGGMKRRLSVAIALIGDPKMVFLDEPTTGMDPITRRHVWDIIEDAKRGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSRFGTGYVANVSFPGNAPGQTPNVYGSAPTSNPHLEPVKQFFKDRLDVDPKEENMTFLTFVIPHQKEGLLTDFFAELQDREEEFGISDIQLGLTTLEEVFLNIAKQAELESSSMEENLVTLNLSSGPSIQIPKGARFVGIPGTESSEHPRGLMVEVYWEQDDTGTLCISGHSSETDIPPNVQLTVNRAPSRRGSIRGAPLGFVIDSYLS >Ma09_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9559528:9565625:1 gene:Ma09_g14040 transcript:Ma09_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARSPNPFLSPSLTPPSRLPRPSRHLAIRASAVAAPQTAAAKASRESAVKYVKARQIIDSRGNPTVEVDLFTDAVYRSAVPSGASTGIYEALELRDGDKKTYGGKGVLHAVRNINEILGPKLVGVDVRNQADVDAIMLELDGTPHKSKLGANAILGVSLSICRAGAGAKGVPLYKHIQDISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGAASFSEAFRMGSEVYHILKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIERAGYTGKIKIGMDAAASEFFMKDGRYDLNFKNQPNDGAHVYTAQSLCELYKEFVRDFPIVSIEDPFDQDDWSSWASLQSSVDIQLVGDDLLVTNPKRIAEAIQKKACNGLLLKVNQIGTVTESIKAALESKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGEVRYAGEAFRSP >Ma10_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23746865:23756924:1 gene:Ma10_g09680 transcript:Ma10_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEIPDPFWPGTDLPAANGGGGMNRSASEWYFEKFLEVAEEKVAAPGLPNPNPNPNPDSGGDVREDGGRAGASETTAAKVEGNATTTTVLPSEPPPAGVDPVAYAAMLKQKLDMYCAAVAMSRGSSVNPQESASIADTSSPASDASQQGSQAPGKGNGSKVQDKAGGATSGFPALPVMQNSGVQGRPATSGSSREYSDEDEADGEAETRENMDPTDVKRLRRMISNRESARRSRRRKQAHLSELEAQVAQLRVENSSLLKRLADINQKYNEAAVDNRVLKADVETLRAKVKMAEDSVKQLTGINPLNPTISDMSARSIPFSGSPSDASDASVPIHDDKEHFFQAQTHDRRMNPCLPEIASVTPVDVVHGAVAGGKMGRTASMQRVASLEHLQKKICGGGQNSCISAQCDSTWDPESSVNNKQNQA >Ma06_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2213300:2217228:1 gene:Ma06_g02960 transcript:Ma06_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATLISWLVSFLGFPSFDGPNSPSIPDRSETATAREGRKRIPAGAMEDGAMMGRQTRKWMVLMATVWIQAFTGTNFDFSAYSSDLKAAMGISQVQLNYLATASDLGKALGWSSGLALLYLPLPFVLLLAAAVGFGAYGAQWLLITNRISLPYFPVFLLCLLAGCSICWFNTVCFVLCVRNFPVNRSLALSLTTSFNGVSASLYTLVVNAVGGSCSVYLLLNATLPLLVSTIALLPIVRQPPTHLLLLAPADSARHDSYIFLLLNILAFITGFYLLFLNSISSVSSTARLLLVGAVLLLLLPLCVPGVICARDWARRTIFSSSLFSFIGVEDLELQKQLVQRSEDDIDVSVNNGDAFNVNDGNDDCSHGEDGRRSCWWWWCWCCDRLAALGDEHTATRLVSRIDFWLYYVSYFCAATVGLVYSNNLGQIAQSMGRQSQTTMLVTVYSSCSFFGRLVSAAPDFLRGKINFARTGWLAAAVVPMPLAFFLLAEVGDTHALLAGTALIALSSGFIFAAAVCITSELFGPNSLGVNHNILITNIPLGSLLYGLLAALIYDANGKSMVPLVLGDGMVLCMGRKCYSKTFLWWACITLVGLASSFALYLRTRAIYVQPARRPAAEEGAQSEAQD >Ma03_p26960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30484907:30489496:-1 gene:Ma03_g26960 transcript:Ma03_t26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNASSSLLPSFVLFLVLLFARSSPALSASAVARLPGYPGPLPFYMETGYVTVDEVNGAELFYYFTASEGDPSQDPLLLWLTGGDRCSVFSGLAFELGPVKFVISEYNGSIPTLTYNPYSWTKVSSIIFVDSPVGAGFSFSRDDEGYDIDDTAASMQVHKFLVKWFIDHPQYLPNPLYIAGDSYAGIYVPLVAQAISQDNEAGLQPHLNLKGYILGNPATGEKIDRNSRIPYAHGMGIISDEMFESTQRNCDGQDYRYPTTTLCSKSLDAVEKFFSEIMKPYILDPKCAFVSPKPEATVADRRTMEESDIKLLKPPPLPPLYCRTYAYVLSYYWANNQVTREALHIKKGTKEEWRRCNEDLPFRKEVKSTIKYHANLTRRGYRALVYSGDHDLVVPFLGTMAWIKSLNYSIVDDWRSWHVDGQVAGYTMTYVNNLTFATVKNGGHTTPEYRPKECLAMIRRWISYQPL >Ma04_p16830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16671791:16675902:1 gene:Ma04_g16830 transcript:Ma04_t16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAWLFLLMLACGSRVGADGSDHRYKEGDHVPLYANKVGPFHNPSETYRFYDLPFCSPGHVTEKKEALGEVLNGDRLVDAPYELNFREEKQAKSLCKRTLSEGDVGKLRDAVSKDYYFQMYYDDLPLWGFLGRIEKNKLDSSEFKYLLFLHIHFEILYNDDRVIVINVQTDPNLSVDITDDKEVDVEFSYSVSWKKTEIPFEKRMEKYSKTSFMPHHLEIHWFSIINSCVTVLLLTGFLATIFMRVLKNDFVKYSHDEENVEDQEETGWKYIHGDVFRFPKNKSLFSAVIGSGTQLLALTIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYIQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTILVILLIWALVTSPLLVLGSVAGKNSTTEFQAPCRTAKYPREIPQLPWYRGTIPQMVMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAAEDHEWWWRSVLCGGSTGIFILFYCIYYYRARSDMSGFMQTSFFFGYMSCICYGFFLMLGTIGFCASLLFVRHIYQLIKCE >Ma04_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16671791:16675902:1 gene:Ma04_g16830 transcript:Ma04_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAWLFLLMLACGSRVGADGSDHRYKEGDHVPLYANKVGPFHNPSETYRFYDLPFCSPGHVTEKKEALGEVLNGDRLVDAPYELNFREEKQAKSLCKRTLSEGDVGKLRDAVSKDYYFQMYYDDLPLWGFLGRIEKNKLDSSEFKYLLFLHIHFEILYNDDRVIVINVQTDPNLSVDITDDKEVDVEFSYSVSWKKTEIPFEKRMEKYSKTSFMPHHLEIHWFSIINSCVTVLLLTGFLATIFMRVLKNDFVKYSHDEENVEDQEETGWKYIHGDVFRFPKNKSLFSAVIGSGTQLLALTIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYIQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTILVILLIWALVTSPLLVLGSVAGKNSTTEFQAPCRTAKYPREIPQLPWYRGTIPQMVMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAAEDHEWWWRSVLCGGSTGIFILFYCIYYYRARSDMSGFMQTSFFFGYMSCICYGFFLMLGTIGFCASLLFVRHIYQLIKCE >Ma10_p21210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31119938:31125807:-1 gene:Ma10_g21210 transcript:Ma10_t21210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGSQERLRWSKLYTFACTRPTVLLDDEQPHTLQGPGYSRIVHCNQPGLHRKKPLNYPTNFVSTTKYNIITFLPKAIFEQFRRVANLYFLLAASLSLTPVAPFSAMSMIAPLAFVVGLSMAKEALEDWQRFMQDVKVNSRKVSVHKGEGCFGYKHWQKIRVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLTLDDDVAFRNFTATIRCEDPNPNLYSFIGNFEYEQQVYALDPSQILLRDSKLRNTTYVYGVVIFTGHDNKVMQNATQSPSKRSRIEKKMDKIIYILFTFLVLISLISSIGYAVMTKFGMPHWWYLHPDETSYIYNASNPTLSGFFHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDLHMCDGETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNEMDFLKCSIAGVSYGVGSSEVEIAAVKHFASEASGTSEQHSSTQDFWEDSGGRFVSSEIEFENGNACMVEKPQKPAKKSFSFEDDRLTHGNWKKEPNAGTILLFFRTLALCHAAIPEPNEKTGGFTYEAESPDEGAFLIAAREFGFEFCKRTQSSVFIRERYSPFEDPLEREFKVLNLLEFNSKRKRMSVIVRDETGQILLLCKGADSIILDKLSKNGRIYERETIKHLNEYGEAGLRTMALAYRVLGESEYSDWNTKFLKAKTTIGPDRETELEQVSDMIERDLILVGATAVEDKLQKGVSQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMRHICLSIESTGILSQDTKKVAKENILTQITNASQMIKLEKDPNAAFALIIDGKTLTYALEDDLKNQFLSLAVDCSSVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADVGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRIFGWMGNGLYSSLVIYFLNIHIFYNQAFRTEGQTADMAAVGTTMFTCIIWAVNLQIALTMSHLTWIQHLFVWGSVATWYLFLVAYGISSPLISGNAYQILLEALGPAPVYWAATLLVTLACNIPYLAHISFQRALNPLDHHVIQEIKYYKKDVEDQHMWKRERSKARQKTKIGFTARVDAKIRQLREKFQRKVSSVNVQAQS >Ma10_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31119938:31125807:-1 gene:Ma10_g21210 transcript:Ma10_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGSQERLRWSKLYTFACTRPTVLLDDEQPHTLQGPGYSRIVHCNQPGLHRKKPLNYPTNFVSTTKYNIITFLPKAIFEQFRRVANLYFLLAASLSLTPVAPFSAMSMIAPLAFVVGLSMAKEALEDWQRFMQDVKVNSRKVSVHKGEGCFGYKHWQKIRVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLTLDDDVAFRNFTATIRCEDPNPNLYSFIGNFEYEQQVYALDPSQILLRDSKLRNTTYVYGVVIFTGHDNKVMQNATQSPSKRSRIEKKMDKIIYILFTFLVLISLISSIGYAVMTKFGMPHWWYLHPDETSYIYNASNPTLSGFFHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDLHMCDGETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNEMDFLKCSIAGVSYGVGSSEVEIAAVKHFASEASGTSEQHSSTQDFWEDSGGRFVSSEIEFENGNACMVEKPQKPAKKSFSFEDDRLTHGNWKKEPNAGTILLFFRTLALCHAAIPEPNEKTGGFTYEAESPDEGAFLIAAREFGFEFCKRTQSSVFIRERYSPFEDPLEREFKVLNLLEFNSKRKRMSVIVRDETGQILLLCKGADSIILDKLSKNGRIYERETIKHLNEYGEAGLRTMALAYRVLGESEYSDWNTKFLKAKTTIGPDRETELEQVSDMIERDLILVGATAVEDKLQKGVSQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMRHICLSIESTGILSQDTKKVAKENILTQITNASQMIKLEKDPNAAFALIIDGKTLTYALEDDLKNQFLSLAVDCSSVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADVGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRIFGWMGNGLYSSLVIYFLNIHIFYNQAFRTEGQTADMAAVGTTMFTCIIWAVNLQIALTMSHLTWIQHLFVWGSVATWYLFLVAYGISSPLISGNAYQILLEALGPAPVYWAATLLVTLACNIPYLAHISFQRALNPLDHHVIQEIKYYKKDVEDQHMWKRERSKARQKTKIGFTARVDAKIRQLREKFQRKVSSVNVQAQS >Ma10_p21210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31119938:31125807:-1 gene:Ma10_g21210 transcript:Ma10_t21210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGSQERLRWSKLYTFACTRPTVLLDDEQPHTLQGPGYSRIVHCNQPGLHRKKPLNYPTNFVSTTKYNIITFLPKAIFEQFRRVANLYFLLAASLSLTPVAPFSAMSMIAPLAFVVGLSMAKEALEDWQRFMQDVKVNSRKVSVHKGEGCFGYKHWQKIRVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLTLDDDVAFRNFTATIRCEDPNPNLYSFIGNFEYEQQVYALDPSQILLRDSKLRNTTYVYGVVIFTGHDNKVMQNATQSPSKRSRIEKKMDKIIYILFTFLVLISLISSIGYAVMTKFGMPHWWYLHPDETSYIYNASNPTLSGFFHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDLHMCDGETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNEMDFLKCSIAGVSYGVGSSEVEIAAVKHFASEASGTSEQHSSTQDFWEDSGGRFVSSEIEFENGNACMVEKPQKPAKKSFSFEDDRLTHGNWKKEPNAGTILLFFRTLALCHAAIPEPNEKTGGFTYEAESPDEGAFLIAAREFGFEFCKRTQSSVFIRERYSPFEDPLEREFKVLNLLEFNSKRKRMSVIVRDETGQILLLCKGADSIILDKLSKNGRIYERETIKHLNEYGEAGLRTMALAYRVLGESEYSDWNTKFLKAKTTIGPDRETELEQVSDMIERDLILVGATAVEDKLQKGVSQCIDKLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMRHICLSIESTGILSQDTKKVAKENILTQITNASQMIKLEKDPNAAFALIIDGKTLTYALEDDLKNQFLSLAVDCSSVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADVGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTIFYFEAYTGFSGQSVYDDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRIFGWMGNGLYSSLVIYFLNIHIFYNQAFRTEGQTADMAAVGTTMFTCIIWAVNLQIALTMSHLTWIQHLFVWGSVATWYLFLVAYGISSPLISGNAYQILLEALGPAPVYWAATLLVTLACNIPYLAHISFQRALNPLDHHVIQEIKYYKKDVEDQHMWKRERSKARQKTKIGFTARVDAKIRQLREKFQRKVSSVNVQAQS >Ma02_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17092948:17096724:1 gene:Ma02_g06000 transcript:Ma02_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSSARLLLPLLLCCFLLSVKICVATGTADGSEQWGYVEVRPKAHLFWWRYRSPQRIENGSTPWPTVLWLQGGPGASGVGIGNFQEIGPLDADLKPRKSTWLQKADLLFVDNPVGTGFSYVEDESLFVRTDVEAAVDLTTLLKKLYGENESWRNSPLFIVAESYGGKYAVTVALTIVEAIKAGELKLKLGGVALGDSWISPEDFVFSWGPLLRDVSRLDIKDADKSNIIAERIRQEIEKGQFLDATNSWSDLEGLIISGSNKVDFYNFLLDSADDPISLTAVQQSEKLTMKAYPTYLSSKASSSVDISSLMNGVIREKLKIIPKTVSWGNQSDDVFNSLSSDFMRPRIREVDELLSLGINVTIYNGQVDLICATKGTEAWVQKLKWEGLKDFNSIDRTPLYCTTEEPGVTKGFLKSYKNLHFYWILKAGHFVPVDQPCLSLKMIAGITQSPAVSSS >Ma04_p18620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20663608:20687462:-1 gene:Ma04_g18620 transcript:Ma04_t18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGTKWMHYWKQLSAANVPAALIEKGQNRVIDASLTLIRERAKLKGELLRSLGGVKASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELNDPRVLTDVGDVPIQEIRDCGIDDDRLMNIISDSVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNKYSHASSFARIMEGGYARRLLQVGIRSITREGREQGKRFGVEQYEMRTFSRDRHILENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADIVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISR >Ma04_p18620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20663608:20687430:-1 gene:Ma04_g18620 transcript:Ma04_t18620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGTKWMHYWKQLSAANVPAALIEKGQNRVIDASLTLIRERAKLKGELLRSLGGVKASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELNDPRVLTDVGDVPIQEIRDCGIDDDRLMNIISDSVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNKYSHASSFARIMEGGYARRLLQVGIRSITREGREQGKRFGVEQYEMRTFSRDRHILENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADIVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISR >Ma08_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26390169:26395016:-1 gene:Ma08_g17650 transcript:Ma08_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSRSPSYRRRRSPSPRYSSRRSRRDRSRSPYSYRRKSRSSSPRWHKSRSPSPRRRISRSPSLRRHKRRRSRSMSGLPINKSESPSLGSIERKTALETQRQEEERKRRQKEAELKLLEEETAQRIEEAIRKKVEESLNSDEVKLEIQRRIVEGRKKLFDEVEAQLEKEKEEVLIEARKKAEQERKEREELDKMLEENRRRVEEAQKREALERQQKELERYLELEQIQKEKEEAMRRKKMEEEEEKANQMKLLGKNKSRPKLSFGIGLK >Ma11_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:885605:886873:-1 gene:Ma11_g01240 transcript:Ma11_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGSQLDLPGFRFYPTEEELLDFYLRRVVLGQKLHFDVIGSLNIYRHDPWELPGLAKIGEREWYFFVPRDRKSGSGGRPNRTTERGFWKATGSDRQIRKAAEPGRVIGVKKTLVFYRGRAPRGTKTDWIMNEYRLPEANSASSAMPLKEDVVLCKVYRKATSLKELEQRAAKEEDAKASQGYISMAADSASNSDQENYQSRSAPMDCGVKEMKQEVIRPVNLPELQVPMSGTEWMQDPFLAQLRSPWLDQWSPYANILHF >Ma10_p10010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24117757:24125897:-1 gene:Ma10_g10010 transcript:Ma10_t10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMATGYYHSPPDAAHNPYHPPPPIHAAGPPGAPPPPHNHPMLPHQHHFHPPYPAHQPPLYGTTYDTPTDQYSRDEVRTLFIAGFPDDVKPREIYNLFREFPGYQSAQLRSSGQSSQAYAFAVFTDQQSALAAMHALNGLMFDLERESTLYIDLAKSNSRSKRSRTDDGVPYSSDKRVRGPGAYLRGFPDSAGSGSNIHMSGMVNSAYSLSGYPSTQSQTNFGYEADHNKLNNSSTYAPLSNPPCPTLFVANLGPNCSEQELAQVFSRCPGFIKLKMQNKNGLPVAFVDFQDVNSSTGALNHLQGTILYSSVGEGMRLEYAKSRMGLRKREKRT >Ma10_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24117757:24125905:-1 gene:Ma10_g10010 transcript:Ma10_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMATGYYHSPPDAAHNPYHPPPPIHAAGPPGAPPPPHNHPMLPHQHHFHPPYPAHQPPLYGTTYDTPTDQYSRDEVRTLFIAGFPDDVKPREIYNLFREFPGYQSAQLRSSGQSSQAYAFAVFTDQQSALAAMHALNGLMFDLERESTLYIDLAKSNSRSKRSRTDDGVPYSSDKRVRGPGAYLRGFPDSGSGSNIHMSGMVNSAYSLSGYPSTQSQTNFGYEADHNKLNNSSTYAPLSNPPCPTLFVANLGPNCSEQELAQVFSRCPGFIKLKMQNKNGLPVAFVDFQDVNSSTGALNHLQGTILYSSVGEGMRLEYAKSRMGLRKREKRT >Ma02_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17139113:17143346:-1 gene:Ma02_g06080 transcript:Ma02_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDHRSNRAALFDGIEEGGIRASAYSSHEIHEHDNDLAIEGLQDRVNILKRLTGDIHEEVESHSRMLDRMGNDMDSSRGILSGTMDRFKMVFETKSNRRMATLVASFVALFLLIYYLTK >Ma02_p06080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17139113:17143356:-1 gene:Ma02_g06080 transcript:Ma02_t06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDHRSNRAALFDGIEEGGIRASAYSSHEIHEHDNDLAIEGLQDRVNILKRLTGDIHEEVESHSRMLDRMGNDMDSSRGILSGTMDRFKMVFETKSNRRMATLVASFVALFLLIYYLTK >Ma05_p03600.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2598840:2636679:-1 gene:Ma05_g03600 transcript:Ma05_t03600.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEPRTKALAYKIKATSRESPAQKAANVFDPDLRTHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRFKPEAFLKVRPRCEAPRREMVYPMNYTPCRYVRISCLRGNPIAIFFIQLMGVTVTGLEPELQPIANYLIPHIISHKQDAHDMHLQLLQDVAKNLLVFLPELETDLTNFPDAAETNIRFFAMLAGPFYPILRLSNERKASSVLPFSSDLDASKSNPSSTLTVSSNFEAQPRRSRSPPFPELACSSVAFRSDAVIILLRKAYKESHLGIVCRRASIALHRLVEANNLLGESACTDDLGLSSISDEIVKTEVTSHMQPADYSSLFGEEFKLPEDYWDASYLNVLDISAVEEGILHVLFGCASQPLLCCKLADSNSNFWSLLPLIQALLPALRPPISLPDQVDDSFSQWNHPSVQHALSQIITMSSSSVYHPLLHACAGYLSSFLSSHAKAACVLIDLCSGPLSPWISTITAKVDLAIELLEDLLGVIQGVPQSAGRARAVLKYILLALSGHMDDVLSKYKEFKHRLLFLLEMLEPFLDPVITGTKNTTFEDASDIHLEKQKNCSIALNVIRTALRRPAILPSVESEWRRGSVAPSVLLSVLGPHMPLPSDIDLCKCSFPKVADQESLAVSSDSVICSHGASSISSSPDEAKGKIDASEAATRKDVLQDANLQFARRDLSKIVLTSVPNYFTGDCISLNSGCGVSEVNKISNNNFQLNTGFFADYFYSQADYLQLVNHQDSVFRASEFERLAADLCSQHDITPESHEAAIDALLLAAECYVNPFFMISYGCNSELMNQMKIIGSKFKNKTGLVEFNKDLKRCNVNLETIAYLESKRDITVLQILLRATKLDLESERILALGKPYTYNFEGNQQALEISQVDIESADVVTLVRQNQSLLCHFVIQQLRREQQSSHEILLQSLLFLLHSATELSCSAEDVIDIILQSAENLSGQLTTLYTQLKAGNIQLEMEKLHGLRRRWALLERLVIASSGNDEGTNPIGIKSAGFIYRTLVPPSSWMQKISRFSSCTCPLSRFLGWMGVSRYAKQYLKEHLFIASDLSQISSLLSIFVDELALTDNLVKKKIESAYSDPSGTKTHSQVDKEFESSNQSDAKLSFQILFPHLHMFFPNMRKQFGESGEIILEAVGMQLKSLPCSAVPDILCWFADLCLWPYLETIRNHLHVTKNANPLRGHIARNAKAVIFYVLESITVEHMEALVNEMPRVAHILISLCRASFCDVAFLNSILSLLGPIISYSLRKASDDEKHLSDASLHQDFHLSNFEELFDSIRCEKELNEVTEEKKFQGSLMICILGHLFPDLSFRRKKEVLESLLLWVDFTTSAPISSIYDYLSAFQKLINSCLIVVVQGLKSFGVNLPLERKQSTAGGPNLSINDGLTYQFDSPDLADGYVNGLSKTCESDDTCTDLLGEGIHILSAGEIEELVDRLGKLISGLLPAIEASWNMHYKLGMRLTCTLANCLFFSRCLSVVIQASTRDGDGQDTHQSDSSDLPSRYWVNALEGLVGAISSSQKNHCWQVASAMLDFLFKLPENIPFSSVVSSLCSMILIFCCNAPKISWRLQTDKWLSSLFERGIDNLSGNEASLVDLFCTMLGHSEPEQRSVALRHLGRIVDLGSYNGVNELPYSVKQNLVCSRSVGIVPESVVTILVTKTWDRVIVVASSDPSMLLRTHAMVLLLAFVPYAERAQLQSFLVSTHTILRGMGKVTNSMEVGHLTRLSLHILASACLYSPAEDITLIPESVWRNLEQMGMSKIGVLNDLEKNLCLSLCKLRNEFDGAKPVLKEVLSSSSMGKPSDPNFQSIRETILQVLSSLTSIQSYFEFFSERIDQQSQELEEAEIEMELLREEAVFEEASGFLLEEAVPSLTISSDKEINRLHQIKDEIRSLERSKLREEIVARRQKKLLMRHARKKCLEEAALREMELLQELDGFLLDVFLASLGFIMSFGLVVIALVSCRERTSELERDIERQRELENERARTRELQFNLDMEKERQIQKELQRELEQVESGTRSFRREFSSNPSSRSRERYRDRDNGRSGQEANLRSSSRGHDGGAPQMTTTISTGSSAGPTVVLAGSRSFSGQLPTILQSRDRADERTSNYEDAIEGSRDSGDTSSIGDSESGSAFDGLPGTFGTAPRHGSRGSKSRQIVERRERDGRREGKWERKHS >Ma05_p03600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2598829:2636679:-1 gene:Ma05_g03600 transcript:Ma05_t03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEPRTKALAYKIKATSRESPAQKAANVFDPDLRTHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRFKPEAFLKVRPRCEAPRREMVYPMNYTPCRYVRISCLRGNPIAIFFIQLMGVTVTGLEPELQPIANYLIPHIISHKQDAHDMHLQLLQDVAKNLLVFLPELETDLTNFPDAAETNIRFFAMLAGPFYPILRLSNERKASSVLPFSSDLDASKSNPSSTLTVSSNFEAQPRRSRSPPFPELACSSVAFRSDAVIILLRKAYKESHLGIVCRRASIALHRLVEANNLLGESACTDDLGLSSISDEIVKTEVTSHMQPADYSSLFGEEFKLPEDYWDASYLNVLDISAVEEGILHVLFGCASQPLLCCKLADSNSNFWSLLPLIQALLPALRPPISLPDQVDDSFSQWNHPSVQHALSQIITMSSSSVYHPLLHACAGYLSSFLSSHAKAACVLIDLCSGPLSPWISTITAKVDLAIELLEDLLGVIQGVPQSAGRARAVLKYILLALSGHMDDVLSKYKEFKHRLLFLLEMLEPFLDPVITGTKNTTFEDASDIHLEKQKNCSIALNVIRTALRRPAILPSVESEWRRGSVAPSVLLSVLGPHMPLPSDIDLCKCSFPKVADQESLAVSSDSVICSHGASSISSSPDEAKGKIDASEAATRKDVLQDANLQFARRDLSKIVLTSVPNYFTGDCISLNSGCGVSEVNKISNNNFQLNTGFFADYFYSQADYLQLVNHQDSVFRASEFERLAADLCSQHDITPESHEAAIDALLLAAECYVNPFFMISYGCNSELMNQMKIIGSKFKNKTGLVEFNKDLKRCNVNLETIAYLESKRDITVLQILLRATKLDLESERILALGKPYTYNFEGNQQALEISQVDIESADVVTLVRQNQSLLCHFVIQQLRREQQSSHEILLQSLLFLLHSATELSCSAEDVIDIILQSAENLSGQLTTLYTQLKAGNIQLEMEKLHGLRRRWALLERLVIASSGNDEGTNPIGIKSAGFIYRTLVPPSSWMQKISRFSSCTCPLSRFLGWMGVSRYAKQYLKEHLFIASDLSQISSLLSIFVDELALTDNLVKKKIESAYSDPSGTKTHSQVDKEFESSNQSDAKLSFQILFPHLHMFFPNMRKQFGESGEIILEAVGMQLKSLPCSAVPDILCWFADLCLWPYLETIRNHLHVTKNANPLRGHIARNAKAVIFYVLESITVEHMEALVNEMPRVAHILISLCRASFCDVAFLNSILSLLGPIISYSLRKASDDEKHLSDASLHQDFHLSNFEELFDSIRCEKELNEVTEEKKFQGSLMICILGHLFPDLSFRRKKEVLESLLLWVDFTTSAPISSIYDYLSAFQKLINSCLIVVVQGLKSFGVNLPLERKQSTAGGPNLSINDGLTYQFDSPDLADGYVNGLSKTCESDDTCTDLLGEGIHILSAGEIEELVDRLGKLISGLLPAIEASWNMHYKLGMRLTCTLANCLFFSRCLSVVIQASTRDGDGQDTHQSDSSDLPSRYWVNALEGLVGAISSSQKNHCWQVASAMLDFLFKLPENIPFSSVVSSLCSMILIFCCNAPKISWRLQTDKWLSSLFERGIDNLSGNEASLVDLFCTMLGHSEPEQRSVALRHLGRIVDLGSYNGVNELPYSVKQNLVCSRSVGIVPESVVTILVTKTWDRVIVVASSDPSMLLRTHAMVLLLAFVPYAERAQLQSFLVSTHTILRGMGKVTNSMEVGHLTRLSLHILASACLYSPAEDITLIPESVWRNLEQMGMSKIGVLNDLEKNLCLSLCKLRNEFDGAKPVLKEVLSSSSMGKPSDPNFQSIRETILQVLSSLTSIQSYFEFFSERIDQQSQELEEAEIEMELLREEAVFEEASGFLLEEAVPSLTISSDKEINRLHQIKDEIRSLERSKLREEIVARRQKKLLMRHARKKCLEEAALREMELLQELDGFLLDVFLASLGFIMSFGLVVIALVSCRERTSELERDIERQRELENERARTRELQFNLDMEKERQIQKELQRELEQVESGTRSFRREFSSNPSSRSRERYRDRDNGRSGQEANLRSSSRGHDGGAPQMTTTISTGSSAGPTVVLAGSRSFSGQLPTILQSRDRADERTSNYEDAIEGSRDSGDTSSIGDSESGSAFDGLPGTFGTAPRHGSRGSKSRQIVERRERDGRREGKWERKHS >Ma05_p03600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2598829:2636679:-1 gene:Ma05_g03600 transcript:Ma05_t03600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEPRTKALAYKIKATSRESPAQKAANVFDPDLRTHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRFKPEAFLKVRPRCEAPRREMVYPMNYTPCRYVRISCLRGNPIAIFFIQLMGVTVTGLEPELQPIANYLIPHIISHKQDAHDMHLQLLQDVAKNLLVFLPELETDLTNFPDAAETNIRFFAMLAGPFYPILRLSNERKASSVLPFSSDLDASKSNPSSTLTVSSNFEAQPRRSRSPPFPELACSSVAFRSDAVIILLRKAYKESHLGIVCRRASIALHRLVEANNLLGESACTDDLGLSSISDEIVKTEVTSHMQPADYSSLFGEEFKLPEDYWDASYLNVLDISAVEEGILHVLFGCASQPLLCCKLADSNSNFWSLLPLIQALLPALRPPISLPDQVDDSFSQWNHPSVQHALSQIITMSSSSVYHPLLHACAGYLSSFLSSHAKAACVLIDLCSGPLSPWISTITAKVDLAIELLEDLLGVIQGVPQSAGRARAVLKYILLALSGHMDDVLSKYKEFKHRLLFLLEMLEPFLDPVITGTKNTTFEDASDIHLEKQKNCSIALNVIRTALRRPAILPSVESEWRRGSVAPSVLLSVLGPHMPLPSDIDLCKCSFPKVADQESLAVSSDSVICSHGASSISSSPDEAKGKIDASEAATRKDVLQDANLQFARRDLSKIVLTSVPNYFTGDCISLNSGCGVSEVNKISNNNFQLNTGFFADYFYSQADYLQLVNHQDSVFRASEFERLAADLCSQHDITPESHEAAIDALLLAAECYVNPFFMISYGCNSELMNQMKIIGSKFKNKTGLVEFNKDLKRCNVNLETIAYLESKRDITVLQILLRATKLDLESERILALGKPYTYNFEGNQQALEISQVDIESADVVTLVRQNQSLLCHFVIQQLRREQQSSHEILLQSLLFLLHSATELSCSAEDVIDIILQSAENLSGQLTTLYTQLKAGNIQLEMEKLHGLRRRWALLERLVIASSGNDEGTNPIGIKSAGFIYRTLVPPSSWMQKISRFSSCTCPLSRFLGWMGVSRYAKQYLKEHLFIASDLSQISSLLSIFVDELALTDNLVKKKIESAYSDPSGTKTHSQVDKEFESSNQSDAKLSFQILFPHLHMFFPNMRKQFGESGEIILEAVGMQLKSLPCSAVPDILCWFADLCLWPYLETIRNHLHVTKNANPLRGHIARNAKAVIFYVLESITVEHMEALVNEMPRVAHILISLCRASFCDVAFLNSILSLLGPIISYSLRKASDDEKHLSDASLHQDFHLSNFEELFDSIRCEKELNEVTEEKKFQGSLMICILGHLFPDLSFRRKKEVLESLLLWVDFTTSAPISSIYDYLSAFQKLINSCLIVVVQGLKSFGVNLPLERKQSTAGGPNLSINDGLTYQFDSPDLADGYVNGLSKTCESDDTCTDLLGEGIHILSAGEIEELVDRLGKLISGLLPAIEASWNMHYKLGMRLTCTLANCLFFSRCLSVVIQASTRDGDGQDTHQSDSSDLPSRYWVNALEGLVGAISSSQKNHCWQVASAMLDFLFKLPENIPFSSVVSSLCSMILIFCCNAPKISWRLQTDKWLSSLFERGIDNLSGNEASLVDLFCTMLGHSEPEQRSVALRHLGRIVDLGSYNGVNELPYSVKQNLVCSRSVGIVPESVVTILVTKTWDRVIVVASSDPSMLLRTHAMVLLLAFVPYAERAQLQSFLVSTHTILRGMGKVTNSMEVGHLTRLSLHILASACLYSPAEDITLIPESVWRNLEQMGMSKIGVLNDLEKNLCLSLCKLRNEFDGAKPVLKEVLSSSSMGKPSDPNFQSIRETILQVLSSLTSIQSYFEFFSERIDQQSQELEEAEIEMELLREEAVFEEASGFLLEEAVPSLTISSDKEINRLHQIKDEIRSLERSKLREEIVARRQKKLLMRHARKKCLEEAALREMELLQELDGFLLDVFLASLGFIMSFGLVVIALVSCRERTSELERDIERQRELENERARTRELQFNLDMEKERQIQKELQRELEQVESGTRSFRREFSSNPSRERYRDRDNGRSGQEANLRSSSRGHDGGAPQMTTTISTGSSAGPTVVLAGSRSFSGQLPTILQSRDRADERTSNYEDAIEGSRDSGDTSSIGDSESGSAFDGLPGTFGTAPRHGSRGSKSRQIVERRERDGRREGKWERKHS >Ma05_p03600.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2598723:2636679:-1 gene:Ma05_g03600 transcript:Ma05_t03600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEPRTKALAYKIKATSRESPAQKAANVFDPDLRTHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRFKPEAFLKVRPRCEAPRREMVYPMNYTPCRYVRISCLRGNPIAIFFIQLMGVTVTGLEPELQPIANYLIPHIISHKQDAHDMHLQLLQDVAKNLLVFLPELETDLTNFPDAAETNIRFFAMLAGPFYPILRLSNERKASSVLPFSSDLDASKSNPSSTLTVSSNFEAQPRRSRSPPFPELACSSVAFRSDAVIILLRKAYKESHLGIVCRRASIALHRLVEANNLLGESACTDDLGLSSISDEIVKTEVTSHMQPADYSSLFGEEFKLPEDYWDASYLNVLDISAVEEGILHVLFGCASQPLLCCKLADSNSNFWSLLPLIQALLPALRPPISLPDQVDDSFSQWNHPSVQHALSQIITMSSSSVYHPLLHACAGYLSSFLSSHAKAACVLIDLCSGPLSPWISTITAKVDLAIELLEDLLGVIQGVPQSAGRARAVLKYILLALSGHMDDVLSKYKEFKHRLLFLLEMLEPFLDPVITGTKNTTFEDASDIHLEKQKNCSIALNVIRTALRRPAILPSVESEWRRGSVAPSVLLSVLGPHMPLPSDIDLCKCSFPKVADQESLAVSSDSVICSHGASSISSSPDEAKGKIDASEAATRKDVLQDANLQFARRDLSKIVLTSVPNYFTGDCISLNSGCGVSEVNKISNNNFQLNTGFFADYFYSQADYLQLVNHQDSVFRASEFERLAADLCSQHDITPESHEAAIDALLLAAECYVNPFFMISYGCNSELMNQMKIIGSKFKNKTGLVEFNKDLKRCNVNLETIAYLESKRDITVLQILLRATKLDLESERILALGKPYTYNFEGNQQALEISQVDIESADVVTLVRQNQSLLCHFVIQQLRREQQSSHEILLQSLLFLLHSATELSCSAEDVIDIILQSAENLSGQLTTLYTQLKAGNIQLEMEKLHGLRRRWALLERLVIASSGNDEGTNPIGIKSAGFIYRTLVPPSSWMQKISRFSSCTCPLSRFLGWMGVSRYAKQYLKEHLFIASDLSQISSLLSIFVDELALTDNLVKKKIESAYSDPSGTKTHSQVDKEFESSNQSDAKLSFQILFPHLHMFFPNMRKQFGESGEIILEAVGMQLKSLPCSAVPDILCWFADLCLWPYLETIRNHLHVTKNANPLRGHIARNAKAVIFYVLESITVEHMEALVNEMPRVAHILISLCRASFCDVAFLNSILSLLGPIISYSLRKASDDEKHLSDASLHQDFHLSNFEELFDSIRCEKELNEVTEEKKFQGSLMICILGHLFPDLSFRRKKEVLESLLLWVDFTTSAPISSIYDYLSAFQKLINSCLIVVVQGLKSFGVNLPLERKQSTAGGPNLSINDGLTYQFDSPDLADGYVNGLSKTCESDDTCTDLLGEGIHILSAGEIEELVDRLGKLISGLLPAIEASWNMHYKLGMRLTCTLANCLFFSRCLSVVIQASTRDGDGQDTHQSDSSDLPSRYWVNALEGLVGAISSSQKNHCWQVASAMLDFLFKLPENIPFSSVVSSLCSMILIFCCNAPKISWRLQTDKWLSSLFERGIDNLSGNEASLVDLFCTMLGHSEPEQRSVALRHLGRIVDLGSYNGVNELPYSVKQNLVCSRSVGIVPESVVTILVTKTWDRVIVVASSDPSMLLRTHAMVLLLAFVPYAERAQLQSFLVSTHTILRGMGKVTNSMEVGHLTRLSLHILASACLYSPAEDITLIPESVWRNLEQMGMSKIGVLNDLEKNLCLSLCKLRNEFDGAKPVLKEVLSSSSMGKPSDPNFQSIRETILQVLSSLTSIQSYFEFFSERIDQQSQELEEAEIEMELLREEAVFEEASGFLLEEAVPSLTISSDKEINRLHQIKDEIRSLERSKLREEIVARRQKKLLMRHARKKCLEEAALREMELLQELDGFLLDVFLASLGFIMSFGLVVIALVSCRERTSELERDIERQRELENERARTRELQFNLDMEKERQIQKELQRELEQVESGTRSFRREFSSNPSSRSRERYRDRDNGRSGQEANLRSSSRGHDGGAPQMTTTISTGSSAGPTVVLAGSRSFSGQLPTILQSRDRADERTSNYEDAIEGSRDSGDTSSIGDSESGSAFDGLPGTFGTAPRHGSRGSKSRQIVERRERDGRREGKWERKHS >Ma05_p03600.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2598829:2636679:-1 gene:Ma05_g03600 transcript:Ma05_t03600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEPRTKALAYKIKATSRESPAQKAANVFDPDLRTHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRFKPEAFLKVRPRCEAPRREMVYPMNYTPCRYVRISCLRGNPIAIFFIQLMGVTVTGLEPELQPIANYLIPHIISHKQDAHDMHLQLLQDVAKNLLVFLPELETDLTNFPDAAETNIRFFAMLAGPFYPILRLSNERKASSVLPFSSDLDASKSNPSSTLTVSSNFEAQPRRSRSPPFPELACSSVAFRSDAVIILLRKAYKESHLGIVCRRASIALHRLVEANNLLGESACTDDLGLSSISDEIVKTEVTSHMQPADYSSLFGEEFKLPEDYWDASYLNVLDISAVEEGILHVLFGCASQPLLCCKLADSNSNFWSLLPLIQALLPALRPPISLPDQVDDSFSQWNHPSVQHALSQIITMSSSSVYHPLLHACAGYLSSFLSSHAKAACVLIDLCSGPLSPWISTITAKVDLAIELLEDLLGVIQGVPQSAGRARAVLKYILLALSGHMDDVLSKYKEFKHRLLFLLEMLEPFLDPVITGTKNTTFEDASDIHLEKQKNCSIALNVIRTALRRPAILPSVESEWRRGSVAPSVLLSVLGPHMPLPSDIDLCKCSFPKVADQESLAVSSDSVICSHGASSISSSPDEAKGKIDASEAATRKDVLQDANLQFARRDLSKIVLTSVPNYFTGDCISLNSGCGVSEVNKISNNNFQLNTGFFADYFYSQADYLQLVNHQDSVFRASEFERLAADLCSQHDITPESHEAAIDALLLAAECYVNPFFMISYGCNSELMNQMKIIGSKFKNKTGLVEFNKDLKRCNVNLETIAYLESKRDITVLQILLRATKLDLESERILALGKPYTYNFEGNQQALEISQVDIESADVVTLVRQNQSLLCHFVIQQLRREQQSSHEILLQSLLFLLHSATELSCSAEDVIDIILQSAENLSGQLTTLYTQLKAGNIQLEMEKLHGLRRRWALLERLVIASSGNDEGTNPIGIKSAGFIYRTLVPPSSWMQKISRFSSCTCPLSRFLGWMGVSRYAKQYLKEHLFIASDLSQISSLLSIFVDELALTDNLVKKKIESAYSDPSGTKTHSQVDKEFESSNQSDAKLSFQILFPHLHMFFPNMRKQFGESGEIILEAVGMQLKSLPCSAVPDILCWFADLCLWPYLETIRNHLHVTKNANPLRGHIARNAKAVIFYVLESITVEHMEALVNEMPRVAHILISLCRASFCDVAFLNSILSLLGPIISYSLRKASDDEKHLSDASLHQDFHLSNFEELFDSIRCEKELNEVTEEKKFQGSLMICILGHLFPDLSFRRKKEVLESLLLWVDFTTSAPISSIYDYLSAFQKLINSCLIVVVQGLKSFGVNLPLERKQSTAGGPNLSINDGLTYQFDSPDLADGYVNGLSKTCESDDTCTDLLGEGIHILSAGEIEELVDRLGKLISGLLPAIEASWNMHYKLGMRLTCTLANCLFFSRCLSVVIQASTRDGDGQDTHQSDSSDLPSRYWVNALEGLVGAISSSQKNHCWQVASAMLDFLFKLPENIPFSSVVSSLCSMILIFCCNAPKISWRLQTDKWLSSLFERGIDNLSGNEASLVDLFCTMLGHSEPEQRSVALRHLGRIVDLGSYNGVNELPYSVKQNLVCSRSVGIVPESVVTILVTKTWDRVIVVASSDPSMLLRTHAMVLLLAFVPYAERAQLQSFLVSTHTILRGMGKVTNSMEVGHLTRLSLHILASACLYSPAEDITLIPESVWRNLEQMGMSKIGVLNDLEKNLCLSLCKLRNEFDGAKPVLKEVLSSSSMGKPSDPNFQSIRETILQVLSSLTSIQSYFEFFSERIDQQSQELEEAEIEMELLREEAVFEEASGFLLEEAVPSLTISSDKEINRLHQIKDEIRSLERSKLREEIVARRQKKLLMRHARKKCLEEAALREMELLQELDGERTSELERDIERQRELENERARTRELQFNLDMEKERQIQKELQRELEQVESGTRSFRREFSSNPSSRSRERYRDRDNGRSGQEANLRSSSRGHDGGAPQMTTTISTGSSAGPTVVLAGSRSFSGQLPTILQSRDRADERTSNYEDAIEGSRDSGDTSSIGDSESGSAFDGLPGTFGTAPRHGSRGSKSRQIVERRERDGRREGKWERKHS >Ma05_p03600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2598834:2636679:-1 gene:Ma05_g03600 transcript:Ma05_t03600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEPRTKALAYKIKATSRESPAQKAANVFDPDLRTHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRFKPEAFLKVRPRCEAPRREMVYPMNYTPCRYVRISCLRGNPIAIFFIQLMGVTVTGLEPELQPIANYLIPHIISHKQDAHDMHLQLLQDVAKNLLVFLPELETDLTNFPDAAETNIRFFAMLAGPFYPILRLSNERKASSVLPFSSDLDASKSNPSSTLTVSSNFEAQPRRSRSPPFPELACSSVAFRSDAVIILLRKAYKESHLGIVCRRASIALHRLVEANNLLGESACTDDLGLSSISDEIVKTEVTSHMQPADYSSLFGEEFKLPEDYWDASYLNVLDISAVEEGILHVLFGCASQPLLCCKLADSNSNFWSLLPLIQALLPALRPPISLPDQVDDSFSQWNHPSVQHALSQIITMSSSSVYHPLLHACAGYLSSFLSSHAKAACVLIDLCSGPLSPWISTITAKVDLAIELLEDLLGVIQGVPQSAGRARAVLKYILLALSGHMDDVLSKYKEFKHRLLFLLEMLEPFLDPVITGTKNTTFEDASDIHLEKQKNCSIALNVIRTALRRPAILPSVESEWRRGSVAPSVLLSVLGPHMPLPSDIDLCKCSFPKVADQESLAVSSDSVICSHGASSISSSPDEAKGKIDASEAATRKDVLQDANLQFARRDLSKIVLTSVPNYFTGDCISLNSGCGVSEVNKISNNNFQLNTGFFADYFYSQADYLQLVNHQDSVFRASEFERLAADLCSQHDITPESHEAAIDALLLAAECYVNPFFMISYGCNSELMNQMKIIGSKFKNKTGLVEFNKDLKRCNVNLETIAYLESKRDITVLQILLRATKLDLESERILALGKPYTYNFEGNQQALEISQVDIESADVVTLVRQNQSLLCHFVIQQLRREQQSSHEILLQSLLFLLHSATELSCSAEDVIDIILQSAENLSGQLTTLYTQLKAGNIQLEMEKLHGLRRRWALLERLVIASSGNDEGTNPIGIKSAGFIYRTLVPPSSWMQKISRFSSCTCPLSRFLGWMGVSRYAKQYLKEHLFIASDLSQISSLLSIFVDELALTDNLVKKKIESAYSDPSGTKTHSQVDKEFESSNQSDAKLSFQILFPHLHMFFPNMRKQFGESGEIILEAVGMQLKSLPCSAVPDILCWFADLCLWPYLETIRNHLHVTKNANPLRGHIARNAKAVIFYVLESITVEHMEALVNEMPRVAHILISLCRASFCDVAFLNSILSLLGPIISYSLRKASDDEKHLSDASLHQDFHLSNFEELFDSIRCEKELNEVTEEKKFQGSLMICILGHLFPDLSFRRKKEVLESLLLWVDFTTSAPISSIYDYLSAFQKLINSCLIVVVQGLKSFGVNLPLERKQSTAGGPNLSINDGLTYQFDSPDLADGYVNGLSKTCESDDTCTDLLGEGIHILSAGEIEELVDRLGKLISGLLPAIEASWNMHYKLGMRLTCTLANCLFFSRCLSVVIQASTRDGDGQDTHQSDSSDLPSRYWVNALEGLVGAISSSQKNHCWQVASAMLDFLFKLPENIPFSSVVSSLCSMILIFCCNAPKISWRLQTDKWLSSLFERGIDNLSGNEASLVDLFCTMLGHSEPEQRSVALRHLGRIVDLGSYNGVNELPYSVKQNLVCSRSVGIVPESVVTILVTKTWDRVIVVASSDPSMLLRTHAMVLLLAFVPYAERAQLQSFLVSTHTILRGMGKVTNSMEVGHLTRLSLHILASACLYSPAEDITLIPESVWRNLEQMGMSKIGVLNDLEKNLCLSLCKLRNEFDGAKPVLKEVLSSSSMGKPSDPNFQSIRETILQVLSSLTSIQSYFEFFSERIDQQSQELEEAEIEMELLREEAVFEEASGFLLEEAVPSLTISSDKEINRLHQIKDEIRSLERSKLREEIVARRQKKLLMRHARKKCLEEAALREMELLQELDGFLLDVFLASLGFIMSFGLVVIALVSCRERTSELERDIERQRELENERARTRELQFNLDMEKERQIQKELQRELEQVESGTRSFRREFSSNPSSRSRERYRDRDNGRSGQEANLRSSSRGHDGGAPQMTTTISTGSSAGPTVVLAGSRSFSGQLPTILQSRDRADERTSNYEDAIEGSRDSGDTSSIGDSESGSAFDGLPGTFGTAPRHGSRGSKSRQIVERRERDGRREGKWERKHS >Ma05_p03600.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2598840:2636679:-1 gene:Ma05_g03600 transcript:Ma05_t03600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEPRTKALAYKIKATSRESPAQKAANVFDPDLRTHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRFKPEAFLKVRPRCEAPRREMVYPMNYTPCRYVRISCLRGNPIAIFFIQLMGVTVTGLEPELQPIANYLIPHIISHKQDAHDMHLQLLQDVAKNLLVFLPELETDLTNFPDAAETNIRFFAMLAGPFYPILRLSNERKASSVLPFSSDLDASKSNPSSTLTVSSNFEAQPRRSRSPPFPELACSSVAFRSDAVIILLRKAYKESHLGIVCRRASIALHRLVEANNLLGESACTDDLGLSSISDEIVKTEVTSHMQPADYSSLFGEEFKLPEDYWDASYLNVLDISAVEEGILHVLFGCASQPLLCCKLADSNSNFWSLLPLIQALLPALRPPISLPDQVDDSFSQWNHPSVQHALSQIITMSSSSVYHPLLHACAGYLSSFLSSHAKAACVLIDLCSGPLSPWISTITAKVDLAIELLEDLLGVIQGVPQSAGRARAVLKYILLALSGHMDDVLSKYKEFKHRLLFLLEMLEPFLDPVITGTKNTTFEDASDIHLEKQKNCSIALNVIRTALRRPAILPSVESEWRRGSVAPSVLLSVLGPHMPLPSDIDLCKCSFPKVADQESLAVSSDSVICSHGASSISSSPDEAKGKIDASEAATRKDVLQDANLQFARRDLSKIVLTSVPNYFTGDCISLNSGCGVSEVNKISNNNFQLNTGFFADYFYSQADYLQLVNHQDSVFRASEFERLAADLCSQHDITPESHEAAIDALLLAAECYVNPFFMISYGCNSELMNQMKIIGSKFKNKTGLVEFNKDLKRCNVNLETIAYLESKRDITVLQILLRATKLDLESERILALGKPYTYNFEGNQQALEISQVDIESADVVTLVRQNQSLLCHFVIQQLRREQQSSHEILLQSLLFLLHSATELSCSAEDVIDIILQSAENLSGQLTTLYTQLKAGNIQLEMEKLHGLRRRWALLERLVIASSGNDEGTNPIGIKSAGFIYRTLVPPSSWMQKISRFSSCTCPLSRFLGWMGVSRYAKQYLKEHLFIASDLSQISSLLSIFVDELALTDNLVKKKIESAYSDPSGTKTHSQVDKEFESSNQSDAKLSFQILFPHLHMFFPNMRKQFGESGEIILEAVGMQLKSLPCSAVPDILCWFADLCLWPYLETIRNHLHVTKNANPLRGHIARNAKAVIFYVLESITVEHMEALVNEMPRVAHILISLCRASFCDVAFLNSILSLLGPIISYSLRKASDDEKHLSDASLHQDFHLSNFEELFDSIRCEKELNEVTEEKKFQGSLMICILGHLFPDLSFRRKKEVLESLLLWVDFTTSAPISSIYDYLSAFQKLINSCLIVVVQGLKSFGVNLPLERKQSTAGGPNLSINDGLTYQFDSPDLADGYVNGLSKTCESDDTCTDLLGEGIHILSAGEIEELVDRLGKLISGLLPAIEASWNMHYKLGMRLTCTLANCLFFSRCLSVVIQASTRDGDGQDTHQSDSSDLPSRYWVNALEGLVGAISSSQKNHCWQVASAMLDFLFKLPENIPFSSVVSSLCSMILIFCCNAPKISWRLQTDKWLSSLFERGIDNLSGNEASLVDLFCTMLGHSEPEQRSVALRHLGRIVDLGSYNGVNELPYSVKQNLVCSRSVGIVPESVVTILVTKTWDRVIVVASSDPSMLLRTHAMVLLLAFVPYAERAQLQSFLVSTHTILRGMGKVTNSMEVGHLTRLSLHILASACLYSPAEDITLIPESVWRNLEQMGMSKIGVLNDLEKNLCLSLCKLRNEFDGAKPVLKEVLSSSSMGKPSDPNFQSIRETILQVLSSLTSIQSYFEFFSERIDQQSQELEEAEIEMELLREEAVFEEASGFLLEEAVPSLTISSDKEINRLHQIKDEIRSLERSKLREEIVARRQKKLLMRHARKKCLEEAALREMELLQELDGFLLDVFLASLGFIMSFGLVVIALVSCRERTSELERDIERQRELENERARTRELQFNLDMEKERQIQKELQRELEQVESGTRSFRREFSSNPSSRSRERYRDRDNGRSGQEANLRSSSRGHDGGAPQMTTTISTGSSAGPTVVLAGSRSFSGQLPTILQSRDRADERTSNYEDAIEGSRDSGDTSSIGDSESGSAFDGLPGTFGTAPRHGSRGSKSRQIVERRERDGRREGKWERKHS >Ma05_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2600994:2636679:-1 gene:Ma05_g03600 transcript:Ma05_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEPRTKALAYKIKATSRESPAQKAANVFDPDLRTHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRFKPEAFLKVRPRCEAPRREMVYPMNYTPCRYVRISCLRGNPIAIFFIQLMGVTVTGLEPELQPIANYLIPHIISHKQDAHDMHLQLLQDVAKNLLVFLPELETDLTNFPDAAETNIRFFAMLAGPFYPILRLSNERKASSVLPFSSDLDASKSNPSSTLTVSSNFEAQPRRSRSPPFPELACSSVAFRSDAVIILLRKAYKESHLGIVCRRASIALHRLVEANNLLGESACTDDLGLSSISDEIVKTEVTSHMQPADYSSLFGEEFKLPEDYWDASYLNVLDISAVEEGILHVLFGCASQPLLCCKLADSNSNFWSLLPLIQALLPALRPPISLPDQVDDSFSQWNHPSVQHALSQIITMSSSSVYHPLLHACAGYLSSFLSSHAKAACVLIDLCSGPLSPWISTITAKVDLAIELLEDLLGVIQGVPQSAGRARAVLKYILLALSGHMDDVLSKYKEFKHRLLFLLEMLEPFLDPVITGTKNTTFEDASDIHLEKQKNCSIALNVIRTALRRPAILPSVESEWRRGSVAPSVLLSVLGPHMPLPSDIDLCKCSFPKVADQESLAVSSDSVICSHGASSISSSPDEAKGKIDASEAATRKDVLQDANLQFARRDLSKIVLTSVPNYFTGDCISLNSGCGVSEVNKISNNNFQLNTGFFADYFYSQADYLQLVNHQDSVFRASEFERLAADLCSQHDITPESHEAAIDALLLAAECYVNPFFMISYGCNSELMNQMKIIGSKFKNKTGLVEFNKDLKRCNVNLETIAYLESKRDITVLQILLRATKLDLESERILALGKPYTYNFEGNQQALEISQVDIESADVVTLVRQNQSLLCHFVIQQLRREQQSSHEILLQSLLFLLHSATELSCSAEDVIDIILQSAENLSGQLTTLYTQLKAGNIQLEMEKLHGLRRRWALLERLVIASSGNDEGTNPIGIKSAGFIYRTLVPPSSWMQKISRFSSCTCPLSRFLGWMGVSRYAKQYLKEHLFIASDLSQISSLLSIFVDELALTDNLVKKKIESAYSDPSGTKTHSQVDKEFESSNQSDAKLSFQILFPHLHMFFPNMRKQFGESGEIILEAVGMQLKSLPCSAVPDILCWFADLCLWPYLETIRNHLHVTKNANPLRGHIARNAKAVIFYVLESITVEHMEALVNEMPRVAHILISLCRASFCDVAFLNSILSLLGPIISYSLRKASDDEKHLSDASLHQDFHLSNFEELFDSIRCEKELNEVTEEKKFQGSLMICILGHLFPDLSFRRKKEVLESLLLWVDFTTSAPISSIYDYLSAFQKLINSCLIVVVQGLKSFGVNLPLERKQSTAGGPNLSINDGLTYQFDSPDLADGYVNGLSKTCESDDTCTDLLGEGIHILSAGEIEELVDRLGKLISGLLPAIEASWNMHYKLGMRLTCTLANCLFFSRCLSVVIQASTRDGDGQDTHQSDSSDLPSRYWVNALEGLVGAISSSQKNHCWQVASAMLDFLFKLPENIPFSSVVSSLCSMILIFCCNAPKISWRLQTDKWLSSLFERGIDNLSGNEASLVDLFCTMLGHSEPEQRSVALRHLGRIVDLGSYNGVNELPYSVKQNLVCSRSVGIVPESVVTILVTKTWDRVIVVASSDPSMLLRTHAMVLLLAFVPYAERAQLQSFLVSTHTILRGMGKVTNSMEVGHLTRLSLHILASACLYSPAEDITLIPESVWRNLEQMGMSKIGVLNDLEKNLCLSLCKLRNEFDGAKPVLKEVLSSSSMGKPSDPNFQSIRETILQVLSSLTSIQSYFEFFSERIDQQSQELEEAEIEMELLREEAVFEEASGFLLEEAVPSLTISSDKEINRLHQIKDEIRSLERSKLREEIVARRQKKLLMRHARKKCLEEAALREMELLQELDGFLLDVFLASLGFIMSFGLVVIALVSCRERTSELERDIERQRELENERARTRELQFNLDMEKERQIQKELQRELEQVESGTRSFRREFSSNPSRGGGSKQNWFV >Ma01_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1002158:1002370:1 gene:Ma01_g01420 transcript:Ma01_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMSKKRKFIDDVVFFAELSEVLRRESPS >Ma06_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14967607:14970999:-1 gene:Ma06_g20820 transcript:Ma06_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MDPQPPSPPLTAALPRDVIHVFWHEGMLDHDAGAGVFDTGFDPGFLEVLDKHPENADRVRNMVSILRKGPLAPFVSWHPGRPAHLSELFSFHTAEYVEELVQANVAGHKELCPGTFMNPGSWGAALLAAGTTLSAMKYILDGNGKLAYALVRPPGHHAQPCRADGYCFLNNAGLAVQLALDSGCRKAAVIDIDVHHGNGTAEGFYRSDNVLTISLHMNHGSWGPSHPQNGSIDEVGEGSGFGYNLNIPLPNGTGDEGYAYAMNQLVVPAIQKFEPGLIVLVVGQDSSAFDPNGRQCLTMAGYKKIGEVVRKLADMHSEGRILVVQEGGYHVTYSAYCLHATLEGVLNLPAPLLSDPIAYYPEDKAFTVKVVDSIKQYWKECIPFLG >Ma11_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27205753:27210313:1 gene:Ma11_g24080 transcript:Ma11_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPEEAQGSASKEEPQETLEEMLSRHRKEIIKIDDKEMSLKKAAAKGSKAEQKAKKKQVEDEMSQLRSQLKAKHKQELASLGSKAIGSTEKDNLDVFVKAIVGVNITGHSQSAKPSKGARRRERRAQEEAAREHRIQEEQSNIISDRVIENERLERKLDPLGLRINEIKPDGHCLYRAVEDQLSLSSNNTSPYSFQELRKMAANYMRHHASDFLPFFLSESNIEVDADNSPLEIFEKYCKEVESTAVWGGQLELGALTHCLKKHIVIYSGSFPDVEMGKEYKSETSSNLSNPTILLSYHRHAYGLGEHYNSVVPNAMR >Ma08_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1022384:1052944:-1 gene:Ma08_g01120 transcript:Ma08_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSNDIRKASAEAESRLNLHFLTCRKREDVYRVTKAFADRGEWLGYEAKRYTQCLVKEFERNGVNLAPSKKKELENLGARIEELSLQYAQNLSMDNSFLLLGESELAGMPLQFIKSLEKTEDGKLKVFLRSHNVTPILEHCKIRATRKSIAVAYGQRCGKENLDILENLVQIRHKYAQLLGYSNYAEFTLESRMARTSEKVFEFLEDISENLSDLAMEELNVLKDLKRREDGDSPFGMEDLLYYMKRAEEQHLDLDLGEVKQYFPVNLVMSGIFKIFQDLFGIRIEENKDVEVWHETVCLFSVTDVSSNELLGYFYLDIHSREGKYAHTCVLALQNGNLSSNGTRQIPVALLLSQCPKQIDDNPVLLRFSEVVTFFHEFTHVVHHICIRATFSRFSGLRLDADFIEVPSKLLENWCYESISLKIMSGYYQDITKSVTSSMCTSLRRKRDLFSGLRLKQEVLLCLIDQFIHSSENVDILELLKHLHPKVMLGIPLLEGTNPASCFPQIVIGSEAICYSYIWSEVVAADIFAAKFQEDLLNQYAGLQFRNKVLAPGGAKDSLEILSDYLGREPAIHSFIESKTRNSL >Ma08_p01120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1022384:1053063:-1 gene:Ma08_g01120 transcript:Ma08_t01120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRERRILVVTGAAAFVAVGLKLLVSAIKAHKQRRRKMPDVPGSRVLVNLSASEIQRLTDQIIAKSKEVYDLVASVPLEKVSYTNVIAPLAELEAYQFSSVQSCVFPKMVSPSNDIRKASAEAESRLNLHFLTCRKREDVYRVTKAFADRGEWLGYEAKRYTQCLVKEFERNGVNLAPSKKKELENLGARIEELSLQYAQNLSMDNSFLLLGESELAGMPLQFIKSLEKTEDGKLKVFLRSHNVTPILEHCKIRATRKSIAVAYGQRCGKENLDILENLVQIRHKYAQLLGYSNYAEFTLESRMARTSEKVFEFLEDISENLSDLAMEELNVLKDLKRREDGDSPFGMEDLLYYMKRAEEQHLDLDLGEVKQYFPVNLVMSGIFKIFQDLFGIRIEENKDVEVWHETVCLFSVTDVSSNELLGYFYLDIHSREGKYAHTCVLALQNGNLSSNGTRQIPVALLLSQCPKQIDDNPVLLRFSEVVTFFHEFTHVVHHICIRATFSRFSGLRLDADFIEVPSKLLENWCYESISLKIMSGYYQDITKSVTSSMCTSLRRKRDLFSGLRLKQEVLLCLIDQFIHSSENVDILELLKHLHPKVMLGIPLLEGTNPASCFPQIVIGSEAICYSYIWSEVVAADIFAAKFQEDLLNQYAGLQFRNKVLAPGGAKDSLEILSDYLGREPAIHSFIESKTRNSL >Ma08_p01120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1022384:1050587:-1 gene:Ma08_g01120 transcript:Ma08_t01120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTRKREDVYRVTKAFADRGEWLGYEAKRYTQCLVKEFERNGVNLAPSKKKELENLGARIEELSLQYAQNLSMDNSFLLLGESELAGMPLQFIKSLEKTEDGKLKVFLRSHNVTPILEHCKIRATRKSIAVAYGQRCGKENLDILENLVQIRHKYAQLLGYSNYAEFTLESRMARTSEKVFEFLEDISENLSDLAMEELNVLKDLKRREDGDSPFGMEDLLYYMKRAEEQHLDLDLGEVKQYFPVNLVMSGIFKIFQDLFGIRIEENKDVEVWHETVCLFSVTDVSSNELLGYFYLDIHSREGKYAHTCVLALQNGNLSSNGTRQIPVALLLSQCPKQIDDNPVLLRFSEVVTFFHEFTHVVHHICIRATFSRFSGLRLDADFIEVPSKLLENWCYESISLKIMSGYYQDITKSVTSSMCTSLRRKRDLFSGLRLKQEVLLCLIDQFIHSSENVDILELLKHLHPKVMLGIPLLEGTNPASCFPQIVIGSEAICYSYIWSEVVAADIFAAKFQEDLLNQYAGLQFRNKVLAPGGAKDSLEILSDYLGREPAIHSFIESKTRNSL >Ma04_p40070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36992001:36995914:1 gene:Ma04_g40070 transcript:Ma04_t40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSMRHVTVFPGKGVREFIKFKVTSRRLSYKMVIYSLLFMTFLLRFIFVLTAMDTIEGETQCSSLGCIGKRLGPRMWRRPDSASVTVPEEIYRVLEGAEPDEPTAGSDVPQTLEEFIEEMKSDRTDAKTFALRLRAMVSLLDQKTRVAKIQEYLYRHVASSSIPKPLHCLALRLAQEHSTNVGARHQLPAPERVPALVDPELYHFVLASDNVLAAAVVAASLVANALLPGSVVLHVITDRKTYAPMQAWFSLHPLAPAVVEVKALHHFDWFTRGRVPVMEAMEKDRAARSHFRGGSSAIVANVSEKPIIVAAKLQALSPKYHCVMNHIRIHLPELFPSLNKVVFLDDDVVVQADLSPLWDIDLQGKVNGAVETCRGADKFVMSKRLKHYLNFSHPLISKNFQPDECAWAYGMNVFDLEAWRKTNISVTYYHWLQKNLESDLSLWQLGTLPPGLIAFHGHVHVIDPYWHMLGLGYQENTTVEDAEKAAVIHFNGRAKPWLDIAFPEIRPLWAKYLNLSDKLIRACNIRA >Ma04_p29010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29985975:29986633:-1 gene:Ma04_g29010 transcript:Ma04_t29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSTFIVASRTVGKRGSLAAGYLSKPIQAKANE >Ma01_p21590.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21461747:21471663:1 gene:Ma01_g21590 transcript:Ma01_t21590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRVGRYSAYSARERHSRSVSGVCEDILNISPRLSYHGPATHFDRRPDYGKSFSFQEVTSGRISTTSSASSETPLTKSAAELVREISTLELEVIHLERHLLSLYRTAFDQYLVSSPTSVCYTSKPTAQCTAGSMGHDDELQKAHEKNDATNPDVLFDKAYLSKSFKRIEEDPFNFRHTEQSHGKTRYISGHRCLADHLGASIADHVPEICSKLSEDIVKCISAIYCKLASPPQIVELLDSPTPSMSSSSTFSPQDPGDYWSPKLHCETTARPSRYESYKDKNSPYSGMIEVPQICIDSERFKYASNKLSIFRSLIRCLETMDPRKMEHEEQLAFWINIHNALVMHAFLAYGLRENHIKSTYSILKAAYNVGGHSVNAYTIQSSILGCQPHRPSLHLSKLFATKLSSGKDKHPYALESLEPLVHFALCSGSSSDPALRAYTAKSIYQDLEVAKAEFIQANVSVVKETKIILPKILQYYAKDACLELVDLLKMVYDYVPEAERKSIQTCFKRRPDKYVEWSPYKSSFRYLVHTDLAKQ >Ma01_p21590.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21464827:21471663:1 gene:Ma01_g21590 transcript:Ma01_t21590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHSPRCKESASASMATHFDRRPDYGKSFSFQEVTSGRISTTSSASSETPLTKSAAELVREISTLELEVIHLERHLLSLYRTAFDQYLVSSPTSVCYTSKPTAQCTAGSMGHDDELQKAHEKNDATNPDVLFDKAYLSKSFKRIEEDPFNFRHTEQSHVCYPNIVTGKTRYISGHRCLADHLGASIADHVPEICSKLSEDIVKCISAIYCKLASPPQIVELLDSPTPSMSSSSTFSPQDPGDYWSPKLHCETTARPSRYESYKDKNSPYSGMIEVPQICIDSERFKYASNKLSIFRSLIRCLETMDPRKMEHEEQLAFWINIHNALVMHAFLAYGLRENHIKSTYSILKAAYNVGGHSVNAYTIQSSILGCQPHRPSLHLSKLFATKLSSGKDKHPYALESLEPLVHFALCSGSSSDPALRAYTAKSIYQDLEVAKAEFIQANVSVVKETKIILPKILQYYAKDACLELVDLLKMVYDYVPEAERKSIQTCFKRRPDKYVEWSPYKSSFRYLVHTDLAKQ >Ma01_p21590.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21461747:21471663:1 gene:Ma01_g21590 transcript:Ma01_t21590.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRVGRYSAYSARERHSRSVSGVCEDILNISPRLSYHGPATHFDRRPDYGKSFSFQEVTSGRISTTSSASSETPLTKSAAELVREISTLELEVIHLERHLLSLYRTAFDQYLVSSPTSVCYTSKPTAQCTAGSMGHDDELQKAHEKNDATNPDVLFDKAYLSKSFKRIEEDPFNFRHTEQSHVCYPNIVTGKTRYISGHRCLADHLGASIADHVPEICSKLSEDIVKCISAIYCKLASPPQIVELLDSPTPSMSSSSTFSPQDPGDYWSPKLHCETTARPSRYESYKDKNSPYSGMIEVPQICIDSERFKYASNKLSIFRSLIRCLETMDPRKMEHEEQLAFWINIHNALVMHAFLAYGLRENHIKSTYSILKAAYNVGGHSVNAYTIQSSILGCQPHRPSLHLSKLFATKLSSGKDKHPYALESLEPLVHFALCSGSSSDPALRAYTAKSIYQDLEVAKAEFIQANVSVVKETKIILPKILQYYAKDACLELVDLLKMVYDYVPEAERKSIQTCFKRRPDKYVEWSPYKSSFRYLVHTDLAKQ >Ma01_p21590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21461747:21471663:1 gene:Ma01_g21590 transcript:Ma01_t21590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRVGRYSAYSARERHSRSVSGVCEDILNISPRLSYHGPATHFDRRPDYGKSFSFQEVTSGRISTTSSASSETPLTKSAAELVREISTLELEVIHLERHLLSLYRTAFDQYLVSSPTSVCYTSKPTAQCTAGSMGHDDELQKAHEKNDATNPDVLFDKAYLSKSFKRIEEDPFNFRHTEQSHVCYPNIVTGKTRYISGHRCLADHLGASIADHVPEICSKLSEDIVKCISAIYCKLASPPQIVELLDSPTPSMSSSSTFSPQDPGDYWSPKLHCETTARPSRYESYKDKNSPYSGMIEVPQICIDSERFKYASNKLSIFRSLIRCLETMDPRKMEHEEQLAFWINIHNALVMHAFLAYGLRENHIKSTYSILKAAYNVGGHSVNAYTIQSSILGCQPHRPSLHLSKLFATKLSSGKDKHPYALESLEPLVHFALCSGSSSDPALRAYTAKSIYQDLEVAKAEFIQANVSVVKETKIILPKILQYYAKDACLELVDLLKMVYDYVPEAERKSIQTCFKRRPDKYVEWSPYKSSFRYLVHTDLAKQ >Ma01_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21461747:21471663:1 gene:Ma01_g21590 transcript:Ma01_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRVGRYSAYSARERHSRSVSGVCEDILNISPRLSYHGPATHFDRRPDYGKSFSFQEVTSGRISTTSSASSETPLTKSAAELVREISTLELEVIHLERHLLSLYRTAFDQYLVSSPTSVCYTSKPTAQCTAGSMGHDDELQKAHEKNDATNPDVLFDKAYLSKSFKRIEEDPFNFRHTEQSHVCYPNIVTGKTRYISGHRCLADHLGASIADHVPEICSKLSEDIVKCISAIYCKLASPPQIVELLDSPTPSMSSSSTFSPQDPGDYWSPKLHCETTARPSRYESYKDKNSPYSGMIEVPQICIDSERFKYASNKLSIFRSLIRCLETMDPRKMEHEEQLAFWINIHNALVMHAFLAYGLRENHIKSTYSILKAAYNVGGHSVNAYTIQSSILGCQPHRPSLHLSKLFATKLSSGKDKHPYALESLEPLVHFALCSGSSSDPALRAYTAKSIYQDLEVAKAEFIQANVSVVKETKIILPKILQYYAKDACLELVDLLKMVYDYVPEAERKSIQTCFKRRPDKYVEWSPYKSSFRYLVHTDLAKQ >Ma01_p21590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21461741:21471663:1 gene:Ma01_g21590 transcript:Ma01_t21590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRVGRYSAYSARERHSRSVSGVCEDILNISPRLSYHGPATHFDRRPDYGKSFSFQEVTSGRISTTSSASSETPLTKSAAELVREISTLELEVIHLERHLLSLYRTAFDQYLVSSPTSVCYTSKPTAQCTAGSMGHDDELQKAHEKNDATNPDVLFDKAYLSKSFKRIEEDPFNFRHTEQSHGKTRYISGHRCLADHLGASIADHVPEICSKLSEDIVKCISAIYCKLASPPQIVELLDSPTPSMSSSSTFSPQDPGDYWSPKLHCETTARPSRYESYKDKNSPYSGMIEVPQICIDSERFKYASNKLSIFRSLIRCLETMDPRKMEHEEQLAFWINIHNALVMHAFLAYGLRENHIKSTYSILKAAYNVGGHSVNAYTIQSSILGCQPHRPSLHLSKLFATKLSSGKDKHPYALESLEPLVHFALCSGSSSDPALRAYTAKSIYQDLEVAKAEFIQANVSVVKETKIILPKILQYYAKDACLELVDLLKMVYDYVPEAERKSIQTCFKRRPDKYVEWSPYKSSFRYLVHTDLAKQ >Ma01_p21590.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21461741:21471663:1 gene:Ma01_g21590 transcript:Ma01_t21590.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRVGRYSAYSARERHSRSVSGVCEDILNISPRLSYHGPATHFDRRPDYGKSFSFQEVTSGRISTTSSASSETPLTKSAAELVREISTLELEVIHLERHLLSLYRTAFDQYLVSSPTSVCYTSKPTAQCTAGSMGHDDELQKAHEKNDATNPDVLFDKAYLSKSFKRIEEDPFNFRHTEQSHVCYPNIVTGKTRYISGHRCLADHLGASIADHVPEICSKLSEDIVKCISAIYCKLASPPQIVELLDSPTPSMSSSSTFSPQDPGDYWSPKLHCETTARPSRYESYKDKNSPYSGMIEVPQICIDSERFKYASNKLSIFRSLIRCLETMDPRKMEHEEQLAFWINIHNALVMHAFLAYGLRENHIKSTYSILKAAYNVGGHSVNAYTIQSSILGCQPHRPSLHLSKLFATKLSSGKDKHPYALESLEPLVHFALCSGSSSDPALRAYTAKSIYQDLEVAKAEFIQANVSVVKETKIILPKILQYYAKDACLELVDLLKMVYDYVPEAERKSIQTCFKRRPDKYVEWSPYKSSFRYLVHTDLAKQ >Ma05_p22200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33933119:33933371:1 gene:Ma05_g22200 transcript:Ma05_t22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDTPLTQRLELGNAWQKDHWICCWCGQGSKADCISSTLPSSSTSRDLRVIQ >Ma05_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35833232:35837552:1 gene:Ma05_g23660 transcript:Ma05_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAPPPRLLPLLLVIAVLPGARRPASGCFTAIFSFGDSIADTGNAVRLGSLGASSGSPPYGRTFFDRPTGRFSDGRVIIDFIAQGLGLPLVRPYLDGGSGDDFRQGANFAVGGATALDLDFFSSKGIQASWTDRSLRVQIESFKQLLSSLSSDTKEVLNSSLILMGEIGGNDYNHPFFQGINADEVRTFVPSVIGAISSAINDLIELGVKTLLVPGNFPIGCIPAYLDVFQSKNVEDYDSQTGCIKWLNEFSEYHNRLLQDELDRLRKLHPHVTIIYANYYDATISFFRAPQLFGFKAPLHACCGSDGPYGVNRFVQCGHKDATVCSDPSSSISWDGIHLTEAAYETIARSLLEGPHAKPPITRACPQRSAIDDF >Ma05_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7285689:7288145:1 gene:Ma05_g10120 transcript:Ma05_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSSFVSLAAAVVGLLLLATRTWRALNSNRKRYPPVVGTIFHQFLNFRRLHDYHTQLSVKHKIFRLFSPLCHQIYTTDPAVVEYILKTNFDNYGKGWYNYGNMKDLFGDGIFAVDGDKWRHQRKLASFGFSTKVLREFSGAIFKRNAVKLAHVLSSYATSDEKFDMQDLLMKSTMDSIFKIGFGLELNCLDDSDNRGSEFAKAFDVSNEFIMMRYVNAFWKVMRFLNIGSEKTLKSKVKFVDDFIYKLLRIRVEEMSNEGSDSEGKDDILSRFLEESRKDPQNIDLKYLRDIILNFMIAGKDTTAGTLAWFFYSICKDPPVQEKIYQEIKEVIEASEDAAFDAFAESIDDESLNNMHYLHAALSETLRLYPAVPLENKVCFADDILPGGYNVRKGDIVFYQPYAMGRMEYLWGKDAEIFRPERWLDDGGVFQPESPYKFSAFQAGPRICLGKEFAYRQMKIFAAVLLRFFKFKLGDEKKDVEYRTMTTLHIDQGLYLQVLHR >Ma02_p14560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22582280:22590586:1 gene:Ma02_g14560 transcript:Ma02_t14560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGKLSCSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVLFATEAVLAEYGKSIKDQRFIIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNTNGLDIEKLLKHSAENRGIKGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIRAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEKKVNAELKTYMTRGFKDMKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma02_p14560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22581988:22590586:1 gene:Ma02_g14560 transcript:Ma02_t14560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGKLSCSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVLFATEAVLAEYGKSIKDQRFIIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNTNGLDIEKLLKHSAENRGIKGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIRAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEKKVNAELKTYMTRGFKDMKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma02_p14560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22581990:22590586:1 gene:Ma02_g14560 transcript:Ma02_t14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGKLSCSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVLFATEAVLAEYGKSIKDQRFIIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNTNGLDIEKLLKHSAENRGIKGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIRAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEKKVNAELKTYMTRGFKDMKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma02_p14560.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22581984:22590586:1 gene:Ma02_g14560 transcript:Ma02_t14560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGKLSCSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVLFATEAVLAEYGKSIKDQRFIIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNTNGLDIEKLLKHSAENRGIKGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIRAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEKKVNAELKTYMTRGFKDMKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma02_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22582096:22590586:1 gene:Ma02_g14560 transcript:Ma02_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGKLSCSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVLFATEAVLAEYGKSIKDQRFIIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNTNGLDIEKLLKHSAENRGIKGFSGGESIDPSSLLTEDCDVLIPAALGGVINRENANDIRAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEKKVNAELKTYMTRGFKDMKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Ma10_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31017039:31025126:-1 gene:Ma10_g21010 transcript:Ma10_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVRFSRAARSKLRCNLLRHPYPCIPPSSVLGADASLLHLCLDERSFCSQSRHDNRDGGIDLSQYSPEKIRNFSIIAHVDHGKSTLADRLLELTQTIKRGHGQPQYLDKLQVERERGITVKAQTAAMFHRHSFGHPDACDSQTAPCFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLSIIPVINKIDQPTADPDRVKAQLKSLFDLDPKDVLLTSAKTGQGLEQVLPAVIERIPPPPGKCDSPLRMLLLDSYYDEYKGVICHVAVVDGTLRKGDKIASAATGQSYEILDVGIMHPELTPTGMLFTGQVGYVVSGMRSTKEARVGDTLYHAKSVVTPLPGFKPVKHMVFSGLYPANGSDFEALNHAIERLTCNDASVSVAKESSTALGLGFRCGFLGLLHMDVFHQRLEQEYGAQLISTVPTVPYIFEYSDGSKVQVQNPAALSSNPGKRVTACWEPSVIATIIIPSEYVGPVITLCSERRGEQLEYSFIDSQRALMKYRLPLRELIVDFYNELKSITSGYATFDYEDSEYQKSDLVKLDILLNGQPVDAMAAIVHNLKAQRVGRELVDKLKKFIDRHMFEITIQAAIGSKVVARETLSAMRKNVLAKCYGGDVTRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKIS >Ma09_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2742985:2743690:-1 gene:Ma09_g04150 transcript:Ma09_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLFKGIKGVSLSCASSSSVAIRESIDRAKSIALPITGRGSTRPKSQCAASKPMPTDGSVSPSSSSRCLLSSTALLSDDPSFLSSRGDEEESFSKPPISSARPHDQQVVVLRVSLHCKGCEGKVRKHISKMEGVTSFSVDLATKKVTVMGDVTPSGVLDSISKVKNARFWSSPPRSSASSQLKEPC >Ma11_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27796447:27796530:-1 gene:Ma11_g25020 transcript:Ma11_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEFEVMLEPGIFNLCCLNKSGGIVP >Ma01_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19161300:19165920:1 gene:Ma01_g20620 transcript:Ma01_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITTQPSQPACAFLCLPKSASSAHPGHISPPTSMSQGFHHSIFSFSDGFDRPTSQQQQHHVAQQSRRDKLRVQGFDAAGNPLVPIDGAGEEAGIYEPPAVGASNMLSDMFGFGAAGPSATELLASQISGGYRLPQSAAAMGGFSGEWYGARHGGFFGASGSLSSIGESTSKHHRDDAQQHPMMGLNDDSAAAMQLFLTNPPQPTPPPPELRPPRSPSSPSQAPPPTLPDHHRQAFRSFGEASFGGGVVEGRGLSLSLSSSLQQFDMAKADELRVREGVLYFNQQQPKLHPALHSQGHGHDGQVHMGYGAIDAISVLRNSKYARAAQELLEEFCSVGRGQLTGTKLGRKRGGSSNPNANPSGGGGSSSAAAACSSSPKLDTTPLSSADKFEYQRRKAKLISMLDEVDRRYTHYCDQMQVVVNSFDSVVGFGAAAPYTALAQKAMSRHFRCLKDAIAAQLKQTCETLGEKEVASNPGITKGETPRLRLLDQSLRQQRAFHQIGMMDQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKLLLSRQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEFEGEAGQSVKGEASHAQSSQPPQQEGGTSASSSSHRHFGTTPGIPPPDAAVRPHGQSSGGGGGEGILIQPVRLGATGDVSLTLGLQHAGGNASEKTRFSAGDLGG >Ma01_p20620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19161312:19165920:1 gene:Ma01_g20620 transcript:Ma01_t20620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGITTQPSQPACAFLCLPKSASSAHPGHISPPTSMSQGFHHSIFSFSDGFDRPTSQQQQHHVAQQSRRDKLRVQGFDAAGNPLVPIDGAGEEAGIYEPPAVGASNMLSDMFGFGAAGPSATELLASQISGGYRLPQSAAAMGGFSGEWYGARHGGFFGASGSLSSIGESTSKHHRDDAQQHPMMGLNDDSAAAMQLFLTNPPQPTPPPPELRPPRSPSSPSQAPPPTLPDHHRQAFRSFGEASFGGGVVEGRGLSLSLSSSLQQFDMAKADELRVREGVLYFNQQQPKLHPALHSQGHGHDGQVHMGYGAIDAISVLRNSKYARAAQELLEEFCSVGRGQLTGTKLGRKRGGSSNPNANPSGGGGSSSAAAACSSSPKLDTTPLSSADKFEYQRRKAKLISMLDEVDRRYTHYCDQMQVVVNSFDSVVGFGAAAPYTALAQKAMSRHFRCLKDAIAAQLKQTCETLGEKEVASNPGITKGETPRLRLLDQSLRQQRAFHQIGMMDQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKLLLSRQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEFEGEAGQSVKGEASHAQSSQPPQQEGGTSASSSSHRHFGTTPGIPPPDAAVRPHGQSSGGGGGEGILIQPVRLGATGDVSLTLGLQHAGGNASEKTRFSAGDLGG >Ma01_p20620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19161300:19165920:1 gene:Ma01_g20620 transcript:Ma01_t20620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITTQPSQPACAFLCLPKSASSAHPGHISPPTSMSQGFHHSIFSFSDGFDRPTSQQQQHHVAQQSRRDKLRVQGFDAAGNPLVPIDGAGEEAGIYEPPAVGASNMLSDMFGFGAAGPSATELLASQISGGYRLPQSAAAMGGFSGEWYGARHGGFFGASGSLSSIGESTSKHHRDDAQQHPMMGLNDDSAAAMQLFLTNPPQPTPPPPELRPPRSPSSPSQAPPPTLPDHHRQAFRSFGEASFGGGVVEGRGLSLSLSSSLQQFDMAKADELRVREGVLYFNQQQPKLHPALHSQGHGHDGQVHMGYGAIDAISVLRNSKYARAAQELLEEFCSVGRGQLTGTKLGRKRGGSSNPNANPSGGGGSSSAAAACSSSPKLDTTPLSSADKFEYQRRKAKLISMLDEVDRRYTHYCDQMQVVVNSFDSVVGFGAAAPYTALAQKAMSRHFRCLKDAIAAQLKQTCETLGEKEVASNPGITKGETPRLRLLDQSLRQQRAFHQIGMMDQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKLLLSRQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEFEGEAGQSVKGEASHAQSSQPPQQEGGTSASSSSHRHFGTTPGIPPPDAAVRPHGQSSGGGGGEGILIQPVRLGATGDVSLTLGLQHAGGNASEKTRFSAGDLGG >Ma01_p20620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19161300:19165920:1 gene:Ma01_g20620 transcript:Ma01_t20620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGITTQPSQPACAFLCLPKSASSAHPGHISPPTSMSQGFHHSIFSFSDGFDRPTSQQQQHHVAQQSRRDKLRVQGFDAAGNPLVPIDGAGEEAGIYEPPAVGASNMLSDMFGFGAAGPSATELLASQISGGYRLPQSAAAMGGFSGEWYGARHGGFFGASGSLSSIGESTSKHHRDDAQQHPMMGLNDDSAAAMQLFLTNPPQPTPPPPELRPPRSPSSPSQAPPPTLPDHHRQAFRSFGEASFGGGVVEGRGLSLSLSSSLQQFDMAKADELRVREGVLYFNQQQPKLHPALHSQGHGHDGQVHMGYGAIDAISVLRNSKYARAAQELLEEFCSVGRGQLTGTKLGRKRGGSSNPNANPSGGGGSSSAAAACSSSPKLDTTPLSSADKFEYQRRKAKLISMLDEVDRRYTHYCDQMQVVVNSFDSVVGFGAAAPYTALAQKAMSRHFRCLKDAIAAQLKQTCETLGEKEVASNPGITKGETPRLRLLDQSLRQQRAFHQIGMMDQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKLLLSRQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEFEGEAGQSVKGEASHAQSSQPPQQEGGTSASSSSHRHFGTTPGIPPPDAAVRPHGQSSGGGGGEGILIQPVRLGATGDVSLTLGLQHAGGNASEKTRFSAGDLGG >Ma05_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:623482:625094:1 gene:Ma05_g01050 transcript:Ma05_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETGRLNEPIKQTQRVAACPSSAGSLRGFFSCERRRAGEVRKSRRKRMGCSGSFCRSKDLQRPEASLPEPCKRRASVSGRTATERSRKMPLTEINNAVSTPLASVAVSPASVAVSSSSSPLGGSFRGMNLGRLSGCYECHMVVDPINGTPRYSSVRATISSCPDCGEIFMKADSLELHQAVRHAVSELGPEDTGRKIVEIIFQSSWLKKRTPACRIERILKVHNTSKTMARFEDYRDSIKSKASKLAKRHPRSTADGNELLRFHCTTFRCTLGLNGATNLCDSIPQCSLCSIIRDGFKADAAGSIRTMATSGRAHDAEHVTSENERIAMLVCRVIAGRVRRSQEAEEEYDSAAGITGAHSNLDEMFVFNPKAILPCFVVIYRC >Ma09_p07170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4654049:4705862:1 gene:Ma09_g07170 transcript:Ma09_t07170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGNETFDTSGALDKLRKSLQLERLAIYHDSDSFPWNLNKRWEDLTPKEWTEIFQEGINEHSRDEVAIRALNRRYLVSPINGVLKYHRLGKQERQDPEIPFEKSSLVLSDVYLTVSESQYYDGIKLLETFSRYKTRVDVSHLRPVVPISQDPHAWWQFAMLAGLQQKKLCYWFSWDKIRHLCQLRRRYVQLYVNVLQQSPDVDISEIRQIERILDSKVIVLWRLLAHAKFGYVKSKEASEQKESFKRGWWSFRWRTSGESSVANKSNESQLAEEEKLTKEEWQAINEMLSYQPDEDTSSILGKDVQSMIQYLVEVSIGKAAARIININETEIVCGRFEQLYVTTKLYPKSIHCNVSLKCCGLSSPEGSLAESMISERKANALDASFIHAPVGEDVEWRLTATIAPCHVTILMESYERFLEFVNRSNAISPTVAMETATALQMKLEQVTRRAQEQFHMVLEERSRFALDIDFDAPKVRVPLNHSASIVKGSLFLLDFGHFTLRTRDALRGERQGLYSRFYISGRDMAAFFIDDFSKEKDLTTMNDMSQTSTSPTSEDIYPFYSILDRCGMSVVVDQIKVPHPNYPSTRVSIQVPNLGIHFSPERYCRITELLDIFYGLSKSNEQNLSGQLQTGHSPWHPVDLATDARTLVWRGLGNSLAEWHPCYIVLSGLYLYVLESEVSHNYQKCFRMAGRQVLEVPPASVGGSAYAVAVSFRGVDIQKALESTNTLIIEFHDEESKATWLKELVQATYRASAPLAMDIFGESVNNSSSQSSEDLFSIPGSSDLIINGTLIETKLSIYGKLDRNSNTAEGPIIELIASGGKVNFTRSASDLIVKMKLHSLKIKDELQGCLSNFPEYLVCSVMSDKLKARKSDTLDVSETIFEPFSLEEDDCFTDALPDFLSTPDQSSCSNYIDLASNLGPGADHFENHAGVNHNDPSDHDKDHVNGKAAEIFYEAQDSNISDFVAITFLTRYPDSRLYVGIDTQMIIRMSALEFFCNRPTLVALIEFGFDLSMVNYRESSENCTDVATPNTKCTEKKEENCRTLVKGLLGHGKSRVVFNLIMDVDSFCVFLNKEDGSQLAMFIQESFLLDLKVHPGSISIDGTLGNTRLRDMSLGPDSQWSWLCDIRHQGIESLIKFTFHSYSTEDDDYQGHDYSLSGRLSAVRIVFLYRFVQEITSYFMELASPHTEEAIKLVDKVGGFEWLIQKYEIDGATAVKLDLSLDTPIIIVPMNSMSNDYMQLDLGQLQVKNSFSWHGCKENNPSAIHLDILHAEIHGINMAVGVGGVLGKPLIREGHGIHIEVRRSLRDVFRKVPNLSLKVQIGLLHGIMSDKEYAIIINCIYMNISEVPKLPPSFRDNLTGMKESIRMIADKVNLNSQILLSRTVIILAVEVHDALLELRNDHEEESPLARIALEGLWVSYRSTSFSEADLYVTIPKLSVLDIRPDTKPEMRLMLGSHTNIYKPGLLNLGPGGTDLIIPKDGVPAKNLESATDTGASYLTMLILDYRWRASFQSIVIRIQQPRVLVVIDFLLAVAEFFVPSLGSITGREETMNPKNDALINSDDIILSGSLYMQRDEVVHLSPRRQLIVDGCASSEFIYDGGGGTLSLSEEYDIKGQSYTGPIIIIGRGKRLRFRNVKIENGALLRKCTYLNNGSSYSVSADDGVEICLLDSLTSDIAKLGSAETQQGQQTNDPIADISATSSQILSFTFEAQIISSEFTFYDSSKLYNDSLHVEKLLRAKMDLSFMYASKENDTWVRSLVKDLAVEAGSGLVVLAPVDISGGYTSVKEKTNISITSTDICIHLSLSVASLLLKLQNEALAALQFGNVNPLASCTNFKQIWASPKGDLPGYNLTFWRPQAPPNYSILGDCVTSRSIPPSQVVLAVSNTYGRVRKPLGFKFIGLISNILALGDVTKLNNDSDCSIWMPIPPPGYSAVGCVAHPGSQPPPNHIVHCLRADLLTSTNFCGCMCFIPPNSGVPSGFSIWRVDNVVGSFYAHNSVDSPPQVESFNLHQILLRNPDDISSKETTENKLHYEQGGSSNSSSGWDILRSLSGAAAYCLSTPHFERIWWDKGCDTRRPISIWRPVRRPGFSALGDCVTEGLEPPALGLVFKCDYPAISARPVQFTKVAHVIGKGLDEAFFWYPTPPPGYASFGCIVTTTDESPKKDLVCCPRLDLVSQANVAVEPISRSSTSKGSNCWSIWKVENQACTFLARSDLKTPSTRLAYKISDYVKPKARENVAAELKLGLLSVSVSDNFCGTMTPLVDATVTNMNLATHGRLEAMNAVLICSIAASTFNRQIEAWEPLIEPFDAILKLETYYTSKNSQSKVGKQIRFSATTSLNLNVSAANLETLTETIVSWGRQNDVEHKSSKKEDDENFAQYDELISSALDDDDVQKVILENQLGCDVYLRVFEQNSNSEITKFLQHDKEVSMSLPPPRFLDKLNVVTKSRESRYYVAIQILDSKGLIIVDDGNSHEYFCALRLLIEGKVSEQYKLFPQSARTRCVSPLISGNGLAEGSAKWNELFIFEVPEKGMANLEVEVTNLASKAGKGEVIGALCIPVSSSASMLKRAASIKMLQQAAKFQEFSSYPLRCKGPIVRNEESKDCGALVISISYIDQNTEKNFEITDRTVPANRDVGFWVGLGPDGPWENFSSVLPLSAVVPKLLNKQAMAFEVIMRNSKKHAILRSLALLVNDADIKLEVSLFSSISLISPVLNTGTSSSVTVTEEVFENQRYQPISGKSSSTCANDPARWSTRDYSYSSKDFFEPALPTGWRWTSAWKIDKSQFLDSDGWAYGTDFQGFNWPPNSSKPSSRSAFDFVRRRRWTRTREQLPVENVDNRRNVIAVLSPGSSTYLPWTSMTKDRDLCLQVRPFSESSHESYTWSQMFTLGSRKEQPVNVQPQLSRQSTSKCLDFTSQNYVLRLNQLEKKDLLSYCTPSNSAQRCFWLSVGTDATVLHTQLNAPVYDWKISLNSALRLENKLPSETEYAVWEKTFDGKMIERQHGVILPGESASVYSADIRKPIYFTMFVQGGWVLEKDAVLILDLLGLDHASSFWMLQQQTNRRLRVSVEHDLGGTDASPKTIRFFVPYWIQNDSSVPLSYRIVEVEPVDSSDADSLLISRAVKSAKFSMRSSSKSFDRRNSNTRRNIQIYDVIEDISSKFVMFSPQDFMNRSGSMSFQSRGSSTCTSRVGISIAVSHCDKYSLGISLLELESKERVDFNAFASDGSYYRLSAQLKMASDRTKVFVGP >Ma09_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4643035:4713495:1 gene:Ma09_g07170 transcript:Ma09_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAHVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLRLPVIVKAGFVGTITLRVPWKSLGKEPVIVLIDRVFLLAHPVPDGQTLRDEDREKLFQAKLQQIEEMELATIEATRRSRTGSAPGGNSWLGSLIATIIGNLKVTISNVHIRYEDTISNPGHPFCTGFTLSKLAAFTMDEQGNETFDTSGALDKLRKSLQLERLAIYHDSDSFPWNLNKRWEDLTPKEWTEIFQEGINEHSRDEVAIRALNRRYLVSPINGVLKYHRLGKQERQDPEIPFEKSSLVLSDVYLTVSESQYYDGIKLLETFSRYKTRVDVSHLRPVVPISQDPHAWWQFAMLAGLQQKKLCYWFSWDKIRHLCQLRRRYVQLYVNVLQQSPDVDISEIRQIERILDSKVIVLWRLLAHAKFGYVKSKEASEQKESFKRGWWSFRWRTSGESSVANKSNESQLAEEEKLTKEEWQAINEMLSYQPDEDTSSILGKDVQSMIQYLVEVSIGKAAARIININETEIVCGRFEQLYVTTKLYPKSIHCNVSLKCCGLSSPEGSLAESMISERKANALDASFIHAPVGEDVEWRLTATIAPCHVTILMESYERFLEFVNRSNAISPTVAMETATALQMKLEQVTRRAQEQFHMVLEERSRFALDIDFDAPKVRVPLNHSASIVKGSLFLLDFGHFTLRTRDALRGERQGLYSRFYISGRDMAAFFIDDFSKEKDLTTMNDMSQTSTSPTSEDIYPFYSILDRCGMSVVVDQIKVPHPNYPSTRVSIQVPNLGIHFSPERYCRITELLDIFYGLSKSNEQNLSGQLQTGHSPWHPVDLATDARTLVWRGLGNSLAEWHPCYIVLSGLYLYVLESEVSHNYQKCFRMAGRQVLEVPPASVGGSAYAVAVSFRGVDIQKALESTNTLIIEFHDEESKATWLKELVQATYRASAPLAMDIFGESVNNSSSQSSEDLFSIPGSSDLIINGTLIETKLSIYGKLDRNSNTAEGPIIELIASGGKVNFTRSASDLIVKMKLHSLKIKDELQGCLSNFPEYLVCSVMSDKLKARKSDTLDVSETIFEPFSLEEDDCFTDALPDFLSTPDQSSCSNYIDLASNLGPGADHFENHAGVNHNDPSDHDKDHVNGKAAEIFYEAQDSNISDFVAITFLTRYPDSRLYVGIDTQMIIRMSALEFFCNRPTLVALIEFGFDLSMVNYRESSENCTDVATPNTKCTEKKEENCRTLVKGLLGHGKSRVVFNLIMDVDSFCVFLNKEDGSQLAMFIQESFLLDLKVHPGSISIDGTLGNTRLRDMSLGPDSQWSWLCDIRHQGIESLIKFTFHSYSTEDDDYQGHDYSLSGRLSAVRIVFLYRFVQEITSYFMELASPHTEEAIKLVDKVGGFEWLIQKYEIDGATAVKLDLSLDTPIIIVPMNSMSNDYMQLDLGQLQVKNSFSWHGCKENNPSAIHLDILHAEIHGINMAVGVGGVLGKPLIREGHGIHIEVRRSLRDVFRKVPNLSLKVQIGLLHGIMSDKEYAIIINCIYMNISEVPKLPPSFRDNLTGMKESIRMIADKVNLNSQILLSRTVIILAVEVHDALLELRNDHEEESPLARIALEGLWVSYRSTSFSEADLYVTIPKLSVLDIRPDTKPEMRLMLGSHTNIYKPGLLNLGPGGTDLIIPKDGVPAKNLESATDTGASYLTMLILDYRWRASFQSIVIRIQQPRVLVVIDFLLAVAEFFVPSLGSITGREETMNPKNDALINSDDIILSGSLYMQRDEVVHLSPRRQLIVDGCASSEFIYDGGGGTLSLSEEYDIKGQSYTGPIIIIGRGKRLRFRNVKIENGALLRKCTYLNNGSSYSVSADDGVEICLLDSLTSDIAKLGSAETQQGQQTNDPIADISATSSQILSFTFEAQIISSEFTFYDSSKLYNDSLHVEKLLRAKMDLSFMYASKENDTWVRSLVKDLAVEAGSGLVVLAPVDISGGYTSVKEKTNISITSTDICIHLSLSVASLLLKLQNEALAALQFGNVNPLASCTNFKQIWASPKGDLPGYNLTFWRPQAPPNYSILGDCVTSRSIPPSQVVLAVSNTYGRVRKPLGFKFIGLISNILALGDVTKLNNDSDCSIWMPIPPPGYSAVGCVAHPGSQPPPNHIVHCLRADLLTSTNFCGCMCFIPPNSGVPSGFSIWRVDNVVGSFYAHNSVDSPPQVESFNLHQILLRNPDDISSKETTENKLHYEQGGSSNSSSGWDILRSLSGAAAYCLSTPHFERIWWDKGCDTRRPISIWRPVRRPGFSALGDCVTEGLEPPALGLVFKCDYPAISARPVQFTKVAHVIGKGLDEAFFWYPTPPPGYASFGCIVTTTDESPKKDLVCCPRLDLVSQANVAVEPISRSSTSKGSNCWSIWKVENQACTFLARSDLKTPSTRLAYKISDYVKPKARENVAAELKLGLLSVSVSDNFCGTMTPLVDATVTNMNLATHGRLEAMNAVLICSIAASTFNRQIEAWEPLIEPFDAILKLETYYTSKNSQSKVGKQIRFSATTSLNLNVSAANLETLTETIVSWGRQNDVEHKSSKKEDDENFAQYDELISSALDDDDVQKVILENQLGCDVYLRVFEQNSNSEITKFLQHDKEVSMSLPPPRFLDKLNVVTKSRESRYYVAIQILDSKGLIIVDDGNSHEYFCALRLLIEGKVSEQYKLFPQSARTRCVSPLISGNGLAEGSAKWNELFIFEVPEKGMANLEVEVTNLASKAGKGEVIGALCIPVSSSASMLKRAASIKMLQQAAKFQEFSSYPLRCKGPIVRNEESKDCGALVISISYIDQNTEKNFEITDRTVPANRDVGFWVGLGPDGPWENFSSVLPLSAVVPKLLNKQAMAFEVIMRNSKKHAILRSLALLVNDADIKLEVSLFSSISLISPVLNTGTSSSVTVTEEVFENQRYQPISGKSSSTCANDPARWSTRDYSYSSKDFFEPALPTGWRWTSAWKIDKSQFLDSDGWAYGTDFQGFNWPPNSSKPSSRSAFDFVRRRRWTRTREQLPVENVDNRRNVIAVLSPGSSTYLPWTSMTKDRDLCLQVRPFSESSHESYTWSQMFTLGSRKEQPVNVQPQLSRQSTSKCLDFTSQNYVLRLNQLEKKDLLSYCTPSNSAQRCFWLSVGTDATVLHTQLNAPVYDWKISLNSALRLENKLPSETEYAVWEKTFDGKMIERQHGVILPGESASVYSADIRKPIYFTMFVQGGWVLEKDAVLILDLLGLDHASSFWMLQQQTNRRLRVSVEHDLGGTDASPKTIRFFVPYWIQNDSSVPLSYRIVEVEPVDSSDADSLLISRAVKSAKFSMRSSSKSFDRRNSNTRRNIQIYDVIEDISSKFVMFSPQDFMNRSGSMSFQSRGSSTCTSRVGISIAVSHCDKYSLGISLLELESKERVDFNAFASDGSYYRLSAQLKMASDRTKVVHILPRTLFINRIGHSICLSQCNSERENWVHPTDPPKLFKWDSNARNELLRIRLDEYKWSTPFSIENDGMMCVFLKDDLGNDLIFLRVEVRGGTKSSRYEVVFHLATLTSPYRIENRSMFLPVRVRQADGTDDSWQSLPPNSAASFFWQDLGRLRLLEVLVDGMDPLRSARYNIDEVMESHPMLESSGPIKALQVTVHKEGKMHITRISDWMPENETQEYVHEIDQLHAPSPQIDYKEPSSTLDSEFHVTFELTELGLSLIDHMPEEVLYLSVQNLLICYSSGLGSGVSRFKLRMDEIQVDNQLPLSPMPVLFRLQRVGEQTDFVLKFSMTMQTNNSLDFCVYPYIGLQVPDNSAFLVNIHEPIIWRLHEMFQQVKIDRISSSSTAVSVDPIIKIGLLNISEIRFKVSMAMSPAQRPRGVLGFWSSLMTALGNMEHMPVRIPHRYREEVCMRQSALTSTAVTNIQKDLLTQPLQLLSGVDILGNASSALSNMSKGVAALSMDKKFIQSRQKQDSKGVEDIGDVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGLIGAATQPVSGVLDLLSKTTEGANAVRMKISSAIMSEEQLLRKRLPRAIGGDNLLRPYDEYKAQGQAILQFAECGTFFGQVDLFKVRGKFALSDAYEDHFMLPKGKILLVTHRRVLLLQQPTNIMGQRKFNPARDACTVLWDVLWDDLVTMELTRGKKDQQGSLPSRLILYLHMRSAESNTIRVIKCCRGSEQASDIYSSIQQALNTYGPYASKDGQRRKVPQPYSPRKTVFPAEVFAKETFQSSVMDDDEVSVTVHSDFGAIFAQAQSEQEHLL >Ma08_p33610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43880855:43883289:1 gene:Ma08_g33610 transcript:Ma08_t33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALECRGIEESLPEKFAASTWDEEVLWAGVPSQVLCVSSKPMCSKKPYSKSITRKSVSSVPLKKGDSKSERTTADEAGPNGQPLSFTDLPATVVSEILRCLDAKDLGIMSCVSTLLNSLASDHYGWKDFYCDRWGPPRGLNPPAGPGFPNHKSWKELFVERVFRSKSFMGRYSMEDLHGHTEAVRAVFLLQSAKLIFTGGYDAVIRMWDMEEGLSVAVSRPLGCTIRAITADSELLVAGGTDAFLQCWRAIEGHPHLFDIAGVSVNHNCNFRLWGHEGPVTCLALDSTRIYSGSWDMSVRIWDRTHSKCLRTLRHGDWVWSLVPRGGTVASTAGRDAYIWDIDTGCLMTVIHNAHEGNAYSLTRSHLGDLLFTGGEDGMIHMYQVSHDCDAEDIKPVATWIPHTGPVHSLAFEFPWVVSSSSDGRIALINVRKLLKSGQSCTSSQQSQVRHSTPDAVEPPQRMLHGFGCNLFSVDIGADRIICGGEEGIIRIWNFSQALEVAKRAQALRSVRLENRMRRRKAQIEMCSNGACADQCSVAARRNQLNGERSGVWHSKRGMSGKLKA >Ma10_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1551962:1553741:-1 gene:Ma10_g00320 transcript:Ma10_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVEAFDILVCLTRFREQLSYFSVRVSESMAATSCAAVDAAEECRDGEEALRLKVAAIAAILVAGTVGVAIPLVGRKQRLLRTDGGLFVCAKAFAAGVILATGFVHMLHGAESSLTHPCLPDSPWRRFPFAGFGAMLAALGTLVVDFLGTQFYERKQRAESTNAAAAVTAVAPITAAEDDKNGITVISVAPEARGKGSGSEKGPMHIVGMHVHSTAHRHGHVHVLATPGRSHGHDHEDEGETSSHARNVVVSQILELGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCISQAQFKNTVAAVMACFFAITTPAGIAVGLGIASSYNAKSPRALVVEGLLDSMSAGILIYMALVDLIAADFLSQTMRCNARLQVASYSALFLGAGAMSALAVWA >Ma06_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2622347:2625771:1 gene:Ma06_g03600 transcript:Ma06_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSDWWTRNSRKGTPVIVTMENPNYSVLEIDGPDEEAFGSMEKDRGKNAKQFSWNLLLKAHRAVGCVAWSATALWALLGVIKKRLISRQGGEKPGRGRLLLRVLRMFLVLSVVALAFEFVAYWNGWHFQKPNLQLPENLHIPETTEIRGWLHSAYLSWLLFRADYIAHPIQMLTNVCIVLFIIQSADRMILCLGCFWIKFKKIKPRIDGDSFKEYEHPMVLIQIPMCNEREVYEQSISAVCQIDWPKDRMLIQVLDDSDDEAIQILIRAEVSKWSQRGTNIVYRHRLVRTGYKAGNLKSAMGCDYVQNYEFVAIFDADFQPNPDFLKLTIPHFKGNPELGLVQARWSFVNKDENLLTRLQYINLCFHFEVEQQVNGVLLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLKGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPDIIRSKISIWKKANLILLFFLLRKLILPFYSFTLFCVILPVTMFVPEAELPVWVICYVPVLMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKTGRSSESDLLAAAERESKSISLSKIHKGVSESELSELSKLQEEQGKALPPVRKENKIYKKELALAFLLLVAAARSLLSAQGIHFYFLLFQGVSFLLVGLDLVGEQMS >Ma05_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34867773:35040021:1 gene:Ma05_g23030 transcript:Ma05_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTVLRIDIQRFGSGIGVLPRKPKFAACISLRVFACQPRDLRASIPFSLPSTTAGEGEKVWWNEKFTLKLSSSEWKNMMMLKLRIMKKDKFCDDRSVGDAIVYLRGVLKDGSEKGCLELKPAPYNVVLEDGTYKGEVKVGLKLISDVST >Ma10_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25333326:25342599:1 gene:Ma10_g12030 transcript:Ma10_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSLADIDDEYEKLVIQLNPPRVTVDNTSSRKATLVQVASANRHGSLLDVVQVLTDLNLSISRAYISSDGEWFMDVFHVVDQCGNKIYDNEVIDRIQQSLSTRALSSWSLRRSVGIQASSEHTSIELIGRDRPGLLSEIFAVLVDLKCNVVAAEVWTHNSRMASVVYITDEVNGGPIDDSDRLAKVKRLLRYILKGDRDKSAKTAISVGVTHTQRRLHQMLYADRDYDKDDTDGEDATSDRSKPVVTVENCTEKGYSMVNLRCKDRPKLLFDTVCTLTDMQYVVFHATVIAEGPEAYQEYYIRHVDGSPISSEGERQRLILCLEAAIRRGNTEGVKLELCCEDRVGLLSDVTRIFRENGLSVSRAEVTTRGSQAINVFYVTDSSGNPVCSQMIEAVRNEIGQTILQVKDEANPNSSTENTGKFSFGSLFRSRSEKILHNLGLIRSSSRDSFPLNESRSIKKISKCSINRLRSDFHFIIRLVQ >Ma08_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36911921:36913177:1 gene:Ma08_g23560 transcript:Ma08_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMDTHFLFPYQTSWDWDPHTFGAGDLHEQLVPLSAMEMESPVSSQASTGYLQDAVAEWSDRCKRRRLTASSPVHDSTTNEGLQNLLQGFWDLSCHGDPSLHDLSYLLQDNVIIPDDPFNVLLKANTEVAGLQHPQEPLLSSSTSYEEPHNSNELHGKDPPQSPRDTKPCSSKAKALTLRECERRQCSKTTKAKMSVVYPFAVVKPGGADGDVTLDDINARILMRPRRPVRHPVGEYAQGPRVSPDGPGLSGKVVVSLTRIQTRGRGTITIIRTKG >Ma09_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4318124:4320561:-1 gene:Ma09_g06770 transcript:Ma09_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTESLEAEIEEMREALLRSGGIGRAHVRKAGSGSVQRRADGDGGVGDERAVCVTGGISFVGFAVVNHLLDRGYTVRLALETQEDMDKLRETEMFGEMGRDGVWAVMASVMDLESLCRAFDGCVGVFHTSSVVDPGGLSGYSKQMAQMEVRAAELVVEACVRTQSVRRCVFTSSLLACVWRENSTPRSSRRPTIVDENCWSDQRVCRDKKLWFALGKTMAEKAAWRAARGRDVKLVTVCPALVTGPGFLRRNSTSSIAYLKGAQDMLTEGLLATIDVEKVAEAHVAVYEAMSSTACGRYICYDHVIRRGEEAAELERQLGLPNRVSRDAHADCPTWVELSNRKLSRLSSSRRRRCTYDIYSISQE >Ma05_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1680083:1680820:1 gene:Ma05_g02550 transcript:Ma05_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMHWDLKPEIFLFVNQMKDAPLKTIDFGLKHFYDVLTPYYVTPEVLKK >Ma06_p29680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31053977:31054657:1 gene:Ma06_g29680 transcript:Ma06_t29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMETTPLHGQADWVLRAYQTHGRPAWAPVVIKLGYTVMHILEGQVVEEPPRSYHIFLFTLGHFLHQASRRQAISTVLLIAGVYGYDICFAGRLERQLVAFCNYPVETALNSGNGFDMIVDVLLAHFPIDEEPSSDVEGVGENGNFGGIPASTDAVKELAVVKYERGGDVREESCIICFEEFDEGVEVTRMPCKHAFHGGCLTRWLERSHACPLCRHAIPASADP >Ma06_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8934438:8943559:-1 gene:Ma06_g12980 transcript:Ma06_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRSGVRRGGRAPPPPPVDRTLRNTRARAASKKPEVVAVAAGGSRRVRTRAAEARVAVAAKGRQRARSAKGKLVLIEEGEGKKSDQLKEVVVVEEEEEEEEEEEEEEKGKGRTKQPEEIRGKETMGDDSGGLSANRVVGQEEEGNTTPFPEKVQIGNSPVYKVDRKLGKGGFGQVFVGRRVSGGIERTTGPSALEVAIKFEHRSSKGCNYGPPYEWQVYSALGGSYGVPRVHYKGRQGDYYVMVMDMLGPSLWDAWNTSGQAMSTEMVACIAVESISILENMHSKGYVHGDVKPENFLLGQPATPQEKKLFLVDLGLATRWKDACNSNHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMSTSPEMMCCLCPPPFKQFLEIVVNMKFDEEPNYSKLISLFDGLIGPNPAVRPINTDGAQKVGQKRGRLTIDEEEESQQRKKIRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHVERGNEDGLLISCVASCTNLWALIMDAGTGFTSQVYELSPFFLHKEWIMDQWEKNYYITSLAGANNGSSLVVMSKGTQYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGTRWGIVMSRNAGFSDQVVELDFLYPSEGIHRRWDGGYRITSMAATWDQAALILSVPKRKPGDETQETLRTSSFPSAHVKDKWAKNLYLASICYGRTVS >Ma04_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1287081:1288486:1 gene:Ma04_g01430 transcript:Ma04_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCFLSTVAVVLVLAVAATSTDGAFGCSASDRAALLAFKSALSEPYLGIFSSWTGDACCSRWYGVSCDPTTGRVADISLRGESEDPILAGSGRSGGLMSGRISPEVCRLDRLATLILADWKHISGPIPPCLTSLPFLRILDLVGNRLTGTIPADIGRLSRLAVLNVADNQISGHIPASLPALSSLMHLDLSNNQISGPIPHDFGNLRMLSRALLGRNRISGTIPASVGYMTRLADLDLAENRISGEIPATLGSMPVLSSLYLDSNRLTGQIPAALLRSRGLGILNLSRNGIEGEIPDVFGSRSYYTALDLSHNRLRGSVPKTLVTAAYVGHLDLSHNHLCGPIPAGSPFDHLEAASFTNNDCLCGGPLPVCK >Ma06_p34170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34541462:34543653:1 gene:Ma06_g34170 transcript:Ma06_t34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPSLSMSSLRPIAPVLSSSSTHLLLRECLFLESSFWEKHPPIAKHRRGKPYRLKALVFMCKTSTRDTQGLESLEFRRNSRFGTHTEAELLDLVEEEESEILDFVTENGELVEEDFGTAKGELLNLAVENDISLVDEKEEENDERAERVVPIDSANEQDEICTKRDGDLNVGGLIKRIIGLPIEERVKILDLFESDGKSLTISDCNDILTALVKSGEHELAVSFFSELPRLGITPDSWSFSIMVECLCKKNEPDEALRFLEDMVRRGFRPNAVTFNRLMNCLCKRGRMKKAFEIVEIMSQMGCEPSIRTYNSLISGLCYVGRLEEAIELLRKIKNSSTSPDIYTYILVIDGFCKVGRSDEARELLGEAEGMDLLPNIGTYNSLLNGYCKEGRPLEGLHLLKRMQRGDCPPDFSSYSIILQGLLRCGEVSAAWRTYRKMRDAGFQVEERAMNTLLRGVCKQPVIDEKVLNDAMELLDAITEIGHNPSVYTYCLMVKALAIGGEIDKALFHLQEMVRIGYCPRMMTYNVVLRVLCGGGRGDDALDVLVLMIERGTIPSGSSFGILLGELCQQGRVLDAFGVYAAAIKRGVVLHWKPNKGFNVQTVIQHSQGVQ >Ma11_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27581690:27583698:1 gene:Ma11_g24680 transcript:Ma11_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDLPGKIHHKVSENWLSATLLLTPVFGTYSYAQYYKEEEKLEHRY >Ma08_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5236213:5238611:-1 gene:Ma08_g07630 transcript:Ma08_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Ma01_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18420394:18420979:-1 gene:Ma01_g20450 transcript:Ma01_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMAFGAAVAGGRVFAATAEAKGAGSSGEEKGLLDWILGGLQKEDQLLETDPILKKVGEKNGGGSRSTATGSRKSSTSVSVPSKKKSGGFGGLGGLFAKK >Ma06_p03360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2463067:2469065:1 gene:Ma06_g03360 transcript:Ma06_t03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATASSSSVASISRILHRDCGSQSRPALYAKGFSLSPSPARCRPQPRREQKAVLVSESSMPNGGGGRNDASAYSMTSTIIPVLRSRQVLCKAEANVSGDILNSPVAEMSQYERIIELLTTLFPVWVMLGTIIGINKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFTIAMTLKLSAPLATGLILVSCCPGGQASIVATYISKGNVALSVLMTICSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIVGVLSHEYFPKFTERMITLTPLIGAILTALLCASPIGQVAEVLKAQGAQLIVPVALLHAAAFALGYWFSRLSSFGESTSRTISIECGMQSSTLGFLLAQKHFTNPLVAAPSAVSVVFMVLGGSALAVFWRNKPIPADDKDDIKD >Ma06_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2463067:2469065:1 gene:Ma06_g03360 transcript:Ma06_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATASSSSVASISRILHRDCGSQSRPALYAKGFSLSPSPARCRPQPRREQKAVLVSESSMPNGGGGRNDASAYSMTSTIIPVLRSRQVLCKAEANVSGDILNSPVAEMSQYERIIELLTTLFPVWVMLGTIIGINKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFTIAMTLKLSAPLATGLILVSCCPGGQASIVATYISKGNVALSVLMTICSTIGAIVMTPLLTKLLAGQLVPVDAAIGQVAEVLKAQGAQLIVPVALLHAAAFALGYWFSRLSSFGESTSRTISIECGMQSSTLGFLLAQKHFTNPLVAAPSAVSVVFMVLGGSALAVFWRNKPIPADDKDDIKD >Ma04_p30570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31196657:31198927:1 gene:Ma04_g30570 transcript:Ma04_t30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGSRIASFLGGAAARFGKVPWREIREEAFDRAVLVLKAACFVHVVNTYVVGIAFVRGPSMLPTINLTGDVVAVERVSPRWGSLAVGDVVILLSPENPRKTVAKRVLGLEGDAVTFLIDPARGSASQTVVVPGGHVWVQGDNIYSSRDSRQFGPVPYGLIQGRAFCKVWPPEAVGLIGQKL >Ma06_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4520248:4524294:-1 gene:Ma06_g06130 transcript:Ma06_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTLISPHFRRFTAPSPLRSLFRRSISLKAQLSTGSSRKSGAKEFPIAGMEEAFMGFITGRKKATEVAHSVWKNIIQKGDTVIDATCGNGYDTLALLAMVAGESGRGCVYGMDIQQEALDNTSALLEISVEENKRKLVKLLKLCHSKMEDIVQNDNSVRLVVFNLGYLPGGDKAILTTPGTTLAALQAAGRVLGSGGLISVMVYVGHPGGRDELESIQGFASGLPVESWASFKLEMLNRPTGPVLILIFKK >Ma07_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4892274:4896271:-1 gene:Ma07_g06810 transcript:Ma07_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRFHQYQVVGRALPTATDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERKPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGSVEQMYNEMASRHRVRCHCIQIIKTATIPSKLCKRESTKQFHDSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFK >Ma09_p29610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40022613:40027712:1 gene:Ma09_g29610 transcript:Ma09_t29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGSRVVVPRNFRLLEELERGEKGIGDGAVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDEDYPDKPPTVRFQTRINMTCINQETGVVEPGLFPMLANWQREYTMEDILRSLRKEMSAPQNRKLNQPPEGNADQRMEQKDLVPRCLIL >Ma08_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2994149:3004922:1 gene:Ma08_g04310 transcript:Ma08_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHASKLDLPFPSSFSPSSPAAVVSSVLFEPSSRSLSLMLVDSSALLYPSLLSSATPVTVPPPSTAACFLRLLPSATVLFLSAAPLAAGSSIQLRAWILLPRGGSAAAFAPARLDYRNDRGRSAVALSLPHGLSVRLAGSVNAFVVHSIAASQIWVFAARLDAGAEATIHLVKCAVVELTLPIYSITLSMGFMLIGEVDGVRVFPLRPLIKGGIRNSGGLGHKKASASVGDLRKKNLPNGLVIPKSRIKKSLGPDSGGGRCDCRGGTKGSAVETMADGGSAPCKLKTVRLKQDSGDHYSFFVVIRGGEFQTCKGRIGVPSSLKAVCIHMLSPKKFLILDSAGDLHVLSLNDSGTAMEPNAQFSMISKLADLHPLDHVMEVQMLAALPDISSKIQFVWISDGGYSIHLISMADTEHAIGESDKDESKHKSTIISVVGAIFTSEKIQEVVPMSSNSCLVLCQGNMFIYGVV >Ma11_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:235199:236300:-1 gene:Ma11_g00330 transcript:Ma11_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCQKVGLKKGPWSPEEDQLLMDYIQRNGHANWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFTEAEEDAIIGLHRELGNKWSAIAARLPGRTDNEIKNVWHTHLKKRANPEEATQESKRKMKRNAKASSESEQEEDLQPASPKEEEDGFSSEKVEGIDESFWLEALSIDEFAAMEAPCAAAASSNTLSLFSSTNSCDMEFWLDIFVREAEFLENLPPQINPPDIRVV >Ma09_p14590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9952770:9958941:1 gene:Ma09_g14590 transcript:Ma09_t14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKIQIKKIDNTAARQVTFSKRRRGLFKKAAELSVLCDADVALIVFSSTGKLFEFCSSSMKKILDKHSTHSKNLEKQDQLSLDLNLDNKHVSLKKQVAEASLQLRQMRGEALESLTLEELQQLEKTLETGLDRVVDRKGTQIMQQISTLQQKALQLAEENVRLRQRAMEMPNLGKHIMAEKENVVNEDGQSSESVTNALHPGGPQECDDSSVTSLKLGLPYC >Ma09_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9952769:9958941:1 gene:Ma09_g14590 transcript:Ma09_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKIQIKKIDNTAARQVTFSKRRRGLFKKAAELSVLCDADVALIVFSSTGKLFEFCSSSMKKILDKHSTHSKNLEKQDQLSLDLNLDNKHVSLKKQVAEASLQLRQMRGEALESLTLEELQQLEKTLETGLDRVVDRKGTQIMQQISTLQQKALQLAEENVRLRQRAMEMPNLGKHIMAEKENVVNEDGQSSESVTNALHPGGPQECDDSSVTSLKLGLPYC >Ma04_p33650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33165278:33167695:1 gene:Ma04_g33650 transcript:Ma04_t33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MDPDGNITIKWDFMTNSGDMYMVSVSIYNYQLYRHIERPGWRLGWTWPNDEVIWDMRGAETTNQGNCSKFKGDNIPHCCEKSPTIVDLLPGTPYNMQTKNCCRGGVLSSLTQDRTLAMASFQMSMESANISSPATGKPSNFTLGIPGYTCSNATVVAPSKFQFDKQRTTQALMTWEITCSFSQFRESATPSCCVSLSTFYNSTIVSCPRCSCGCQGEPTLPTCSRDGEQSNFLRLPNGDGGSTTSPAVLCTPHMCPIRVHWHVKESYREYWRVKVTITNFNMYSNYSDWNLVIQHPNFRSILQIFSFNYLPLVQYGEINDTAMFWGIKHYNDMLIQCGENGNVQTEMLLHKDAADFTFGGGWAFPRRLSFNGHDCVMPPPDSYPTMPNGSSTLSSLVHCVSLVLSSFLLSVVLLLL >Ma04_p33650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33165278:33167695:1 gene:Ma04_g33650 transcript:Ma04_t33650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MDPDGNITIKWDFMTNSGDMYMVSVSIYNYQLYRHIERPGWRLGWTWPNDEVIWDMRGAETTNQGNCSKFKGDNIPHCCEKSPTIVDLLPGTPYNMQTKNCCRGGVLSSLTQDRTLAMASFQMSMESANISSPATGKPSNFTLGIPGYTCSNATVVAPSKFQFDKQRTTQALMTWEITCSFSQFRESATPSCCVSLSTFYNSTIVSCPRCSCGCQGEPTLPTCSRQASPYFLRLPNGDGGSTTSPAVLCTPHMCPIRVHWHVKESYREYWRVKVTITNFNMYSNYSDWNLVIQHPNFRSILQIFSFNYLPLVQYGEINDTAMFWGIKHYNDMLIQCGENGNVQTEMLLHKDAADFTFGGGWAFPRRLSFNGHDCVMPPPDSYPTMPNGSSTLSSLVHCVSLVLSSFLLSVVLLLL >Ma04_p33650.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33165278:33167695:1 gene:Ma04_g33650 transcript:Ma04_t33650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MDPDGNITIKWDFMTNSGDMYMVSVSIYNYQLYRHIERPGWRLGWTWPNDEVIWDMRGAETTNQGNCSKFKGDNIPHCCEKSPTIVDLLPGTPYNMQTKNCCRGGVLSSLTQDRTLAMASFQMSMESANISSPATGKPSNFTLGIPGYTCSNATVVAPSKFQFDKQRTTQALMTWEITCSFSQFRESATPSCCVSLSTFYNSTIVSCPRCSCGCQGEPTLPTCSRQQSNFLRLPNGDGGSTTSPAVLCTPHMCPIRVHWHVKESYREYWRVKVTITNFNMYSNYSDWNLVIQHPNFRSILQIFSFNYLPLVQYGEINDTAMFWGIKHYNDMLIQCGENGNVQTEMLLHKDAADFTFGGGWAFPRRLSFNGHDCVMPPPDSYPTMPNGSSTLSSLVHCVSLVLSSFLLSVVLLLL >Ma04_p33650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33165278:33167695:1 gene:Ma04_g33650 transcript:Ma04_t33650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MDPDGNITIKWDFMTNSGDMYMVSVSIYNYQLYRHIERPGWRLGWTWPNDEVIWDMRGAETTNQGNCSKFKGDNIPHCCEKSPTIVDLLPGTPYNMQTKNCCRGGVLSSLTQDRTLAMASFQMSMESANISSPATGKPSNFTLGIPGYTCSNATVVAPSKFQFDKQRTTQALMTWEITCSFSQFRESATPSCCVSLSTFYNSTIVSCPRCSCGCQGEPTHHLCSRCCRDGEQSNFLRLPNGDGGSTTSPAVLCTPHMCPIRVHWHVKESYREYWRVKVTITNFNMYSNYSDWNLVIQHPNFRSILQIFSFNYLPLVQYGEINDTAMFWGIKHYNDMLIQCGENGNVQTEMLLHKDAADFTFGGGWAFPRRLSFNGHDCVMPPPDSYPTMPNGSSTLSSLVHCVSLVLSSFLLSVVLLLL >Ma09_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:890439:890987:-1 gene:Ma09_g01230 transcript:Ma09_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLGLFFALLLLCIVHRGLGATCGVSDIAIRQRKTGATVEGKPEYQVLVSNECRCPQSKVVLRCYGLSSVEAVNRRAIRAVDEERCIVADGRPVTQGTPVKFKYAWMTPQDFPVVSTLIHCH >Ma06_p33120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33877749:33887561:-1 gene:Ma06_g33120 transcript:Ma06_t33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLDAEGSPKPNDGARESGDGAAGNSLFDASRYAFFGKDVMEEVELGGLEDDDNDGNGGFVGLDDEEYKFPSVSDREFEGLGSLSEIDDLESTFRKLNRVVSEPRSVGVIGDRGSFSRESSSTADWAQEADFPSWIDQEILDAEDVQEGKRWWSQPDATLSQFSELKPLYRTSSYPQQQQQEHSSELNPVPKSSFTSYPPPGGQCYPSFNLTRHSSLPSVTAALQIPAPSPYSGPQHHMGGLSHGLHYGVNSQFTPHGISSINIPRNHWLNQTSLYSREHPSQLSNLLQQQLPLPNGLIPSRILSQQQHQRLQQVQPSLTHFLHLQPNIFSLHNPPPRKMKKFDAVIGMSNSRDHRSKSSHRGRQNIWLSQQSSETGSLKTDSSCQFRSKYMSAEEIGSILRMQHSATHVTDPYVDDYYYQACLAKKSAGSRLKHNFCPTAIKDPPSRSRGSNESHAYFQVDALGRVQFSSIRRPRPLLEVDIPSSSGDDRKSSIKPLEQETMLAARITTEDCISLLLDVDDIDRVLQVNQPQDGGLQLKRRRQVLIEVIAASLQLVDPLGPGKADHSVGFAPKDDLVFLRIVTLPKGRKLLCRYLHLLIPGSHLTRVVCMAIFRHLRFLFGGLPSDSSAAETTTNLAKAVSLCACNMELSALSACLAAVVCSSEQPPLRPLGSSAGDGASIIIKSVLDRATDLLTDPHAANNYTVSSRTLWHQALQLWDLRQPELLAGRCRLSCCMQVFLTRMTTSVRCYLILLRDPCLLFVLVLMEVIAGLKVLNQFLVDARKDKLNVFK >Ma06_p33120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33877749:33887560:-1 gene:Ma06_g33120 transcript:Ma06_t33120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLDAEGSPKPNDGARESGDGAAGNSLFDASRYAFFGKDVMEEVELGGLEDDDNDGNGGFVGLDDEEYKFPSVSDREFEGLGSLSEIDDLESTFRKLNRVVSEPRSVGVIGDRGSFSRESSSTADWAQEADFPSWIDQEILDAEDVQEGKRWWSQPDATLSQFSELKPLYRTSSYPQQQQQEHSSELNPVPKSSFTSYPPPGGQCYPSFNLTRHSSLPSVTAALQIPAPSPYSGPQHHMGGLSHGLHYGVNSQFTPHGISSINIPRNHWLNQTSLYSREHPSQLSNLLQQQLPLPNGLIPSRILSQQQHQRLQQVQPSLTHFLHLQPNIFSLHNPPPRKMKKFDAVIGMSNSRDHRSKSSHRGRQNIWLSQQSSETGSLKTDSSCQFRSKYMSAEEIGSILRMQHSATHVTDPYVDDYYYQACLAKKSAGSRLKHNFCPTAIKDPPSRSRGSNESHAYFQVDALGRVQFSSIRRPRPLLEVDIPSSSGDDRKSSIKPLEQETMLAARITTEDCISLLLDVDDIDRVLQVNQPQDGGLQLKRRRQVLIEVIAASLQLVDPLGPGKADHSVGFAPKDDLVFLRIVTLPKGRKLLCRYLHLLIPGSHLTRVVCMAIFRHLRFLFGGLPSDSSAAETTTNLAKAVSLCACNMELSALSACLAAVVCSSEQPPLRPLGSSAGDGASIIIKSVLDRATDLLTDPHAANNYTVSSRTLWQASFDAFFGLLTKYCLSKYDSIMQMLLMQAPSTAVVGSEATRAISREMPVELLHASLPHTNDHQRKVLLDFAQRSMPVVRVSTHGGNSRPESSESVPG >Ma02_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28286474:28291311:1 gene:Ma02_g23160 transcript:Ma02_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTSKEAKLKNSKPTPELSETGIEETDLSTSDEDASSWISWFCGLRGNEFLCEVDEDYIQDDFNLCGLQAQISYYDNALDMILDNDSFSGDIDGEENSEIEPAAELLYGLIHARYVVTTRGLNAMHEKYKKADFGRCPRVFCGGQPCLPVGISDIPHSGSVRIYCPKCDDVYFPRCKYQSDMDGAYIGTTFPHLYLMTYPSAKSAMTVQHYVPKVYGFKLHKGSK >Ma07_p26930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33506637:33507681:1 gene:Ma07_g26930 transcript:Ma07_t26930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLSEPQTKGFLNLQVPSVTPIQSSQIQNISQPNLAAPHYSNLPSHMPMISVHPQQTLQNPGLFNQLLQPPLPLQPRQVAMQPFALQFHPQMPHSLGLQPSSAPQQLLSQPLFHSGITPPSSFPQGQAPLPSQPPQHLYQVHIFSCFVNKMPGNFVWCRIFSNENNMSKQAMSGEHENVELYWTY >Ma07_p26930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33504150:33507681:1 gene:Ma07_g26930 transcript:Ma07_t26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLSEPQTKGFLNLQVPSVTPIQSSQIQNISQPNLAAPHYSNLPSHMPMISVHPQQTLQNPGLFNQLLQPPLPLQPRQVAMQPFALQFHPQMPHSLGLQPSSAPQQLLSQPLFHSGITPPSSFPQGQAPLPSQPPQHLYQVHIFSCFVNKMPGNFVWCRIFSNENNMSKQAMSGEHENVELYWTY >Ma07_p26930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33504150:33508012:1 gene:Ma07_g26930 transcript:Ma07_t26930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLSEPQTKGFLNLQVPSVTPIQSSQIQNISQPNLAAPHYSNLPSHMPMISVHPQQTLQNPGLFNQLLQPPLPLQPRQVAMQPFALQFHPQMPHSLGLQPSSAPQQLLSQPLFHSGITPPSSFPQGQAPLPSQPPQHLYQGLKSNCMVWFPFC >Ma05_p29410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40106935:40109373:-1 gene:Ma05_g29410 transcript:Ma05_t29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGWPLSLVLLLLLFSSSAYALSNAEAAWIARRQLLALSRGGDLPDDFEFDIKIDLDFANARLRRAYIALQAWRHAMYSDPFNFTGNWVGRDVCSYNGVFCSPALDNPNIAVVAGVDLNGADIAGHLPIELGLLTDAALIHINSNRFCGIIPKSFSRLQLLHELDVSNNRFVGPFPMVLVGLPSLRYIDLRFNDFEGPLPPELFDKALDAIFLNDNRFSSPIPDNFGNSTASIVVLANNNLGGCIPSSAGKMGATLNELVLLNNGLVGCLPVEIGLLGNVTVFDASSNSLTGTLPTSFAALSKVEELDLSHNVLTGIVPEKLCRLPSLANFTFSYNYFKGEAGECVSSSSSDIVFEDTGNCLEKRPGQKSTKTCFPVMTRPVDCSKDKCGSSPSSSSPSPATPSSPKSSPKPVTPTSPKPSPKPVTPTSPKPSPKPVTPTSPKSSPKPVTPTSPKPSPKPVTPTSPKPSPKPVTPTSPKPSPKPVTPTSPKPSPKPVTPTSPKPSPKPVTPTSPKPSPKPEPVTAPSPKPSLKPVVTSPPHQVVFPSPPPPAQQEPAPKTPPPQPSESPRTPVNSPPPPPYSDSPVTRTHSPPPPPHSESPVTRVHSPPPPELVTVPPQSVQSPPIVNSPPPPTAHPPPPSVVSPPKPIYSPPPPVAYSPPPPINSPPPTSPLTSPTPPVYSPPPPPLPSSSPPPINSSPSVVPSPPSVYPPTSTSVASSPPPPPVLSPPPPVSSSPPPPVHSPPPAAYSPPPPAHSPPPPTYSPPPTSTESSPSPSPSSPPLTQSPPPPVHSPPPAAYSPPPPAHSPPPP >Ma04_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11089839:11092070:-1 gene:Ma04_g14620 transcript:Ma04_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLAWASCGEEEKACVGWIKRYFNDCVCSPSGVLSFGLGMISLLCWGIAEIPQIITNFHSKSGHGVSFAFLLTWVIGDIFNLVGCLLEPVTLPTQLYTALLYTATTVVLVLQTLYYDYWVRWWKKRGLEAPLEVEEGSCKRLNPKNEDLSRPLPTLTASRRTSSRVDVYYTSARSLASSGTPPCRSSYLGVVPARSGPSASGYMESSGSDEEGSPRHQSRSSGVSNPKKVVSRSVSYGTFAAGAASLPFQTKALMEVQTGLAVGRTVQEGGIRSLEGNTYGLLLGWIMAAIYTGGRLPQIYLNIKRGSVEGLNPLMFLFALIANATYVGSILSRSVEWERIKANAPWLLDAIVCVLLDLFIIIQFVYYKLVHKRMANNEDDYEGFTETKKNLF >Ma01_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13756260:13761905:1 gene:Ma01_g18500 transcript:Ma01_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNVLRASRSVRVASSSDSQQGDGRPPNAPVVTFARRTPSGRYVSYSRDDLDSDFGSRDFDKEYMNYHVHIPPTPDNQPMDTVISAKVEEQYVSNSLFTGGCNSVTRAHLMDKVIESVANHPQMAGAKGSSCAMPGCDSKVMSDERGVDILPCECDFKICAECFSDAVKVGGGICPGCKEPYKTTELEEVVNNAVAGQPLSLPAPHGVSKMERRLSIMRSQKMTRSQTGDWDHNRWLFETKGTYGYGNAIWPTEDRGEGGDGGNEQPTELMNKPWRPLTRKLKIPAAVLSPYRLLIVIRMAFLALFLAWRIKHKNEDAVWLWGMSVVCELWFAFSWLLDQLPKLCPVNRAADLAILREKFETPSANNPSGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHGVEPRNPESYFNLKRDPYKNKVRSDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQREVAGDDPVEPVKIPKATWMADGTHWPGTWMNPSSEHTRGDHAGIIQVMLKPPSHEPLFGNNEEGRPLDFTDIDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSQALREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANNNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKEQHGGCCSCCFPQKHKTHAAVASEETRALRMGDSEDDEMAMSTFPKKFGNSTFLIDSIPVSEFQGRPLADHPAVKNGRAPGLLTAPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKPDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTGQFIVQTLNVTFLTYLLIITLTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDEDDEFADLYIVKWTSLMIPPITIMMMNLIAIAVGVSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLISITISLLWVAISPPSGGNSQIGGSFTFP >Ma04_p38840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36204734:36210131:1 gene:Ma04_g38840 transcript:Ma04_t38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEFEGEHGIHMQYVKCQNHLLEKMQSNKTSSRHKHSKSDMEKGNNDSSLRSGHHDKPVMGNSIGDNKIEDKPSLGAKVQSSLKQEILQLEARLQDQLITRCSLEKALGHSSSTVCSSNETLTIMPTKELIREIAVLELEVMHLEQYLLSLYRKAFDQQTSILSSQSELLRESAELKTSFKRGNSRVQSSRTLVPQKWTANSMNEDCEVKCQHKLLGPGVHRSHSSLSFRAVCSARISPSEETLARALHSFHSQPLSFHEEEHNANSGEISLAEYLGTSTTDHVPETPNKLSEEMVRCMGVVYCELADPPLVYHALSSSPASSFSSMSALSPQHLGDMWSPGCRRECTLDSRLINPFRVEGLKEFSGPYNAMVEVPLICRDHRRLKDVEDMLHNYKFILRRLETVDPRKLKNDDKLAFWINVHNAIIMHAYIKYGTLEGNVKRTSLLIKAMCNIGGRSINAAMIQGYILGYRTHCNGQWLRTLVYSRLKQKARDEWRAYAIEQPEPLLHFALCSGSHSDPAVRVYHSDRVFLQLESAKEEYIHASVGIWEEQKILLPKVLESYAKDTKLSSQRLVDMVQCYLPETLRMAMQRCQQVRSKKTIEWVPHNFNFRYLLSRELVSQQIK >Ma10_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31131407:31133922:-1 gene:Ma10_g21230 transcript:Ma10_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 711A1 [Source:Projected from Arabidopsis thaliana (AT2G26170) UniProtKB/Swiss-Prot;Acc:B9DFU2] MEMGSTTITLGWAEYAFTLVALLVGFLMYLYAPSWGVRKVPGPPTIPLLGHLPLLAKHGPDVFSVLAQTYGPVFRFHMGRQPMVIVADPELCKQVGIKKFKSVPNRSLPTPISGSPLHQKGLFFTRDSRWSAMRNTIISLYQPTHLAGLIPTMQSYIDSATRHLSSTQKDDVTFSDLSLRLATDVIGEAAFGVDFGLSGGSPPDGERSKDGEVSEFIKEHIYSTTSLKMDLSGSFSIVLGLLVPVLQEPVRQLLKRIPGTADWKIHQTNLKLSKRLEEIVAKRASERARGSKDFLSAILNARDSDGASRKLFTSDYISALAYEHLLAGSATTSFTLSSVLYLVSEHPEVEQKLLREIDGFGPSDLIPTFDDLQHEFPYLDQVIKEAMRFYTVSPLVARETSQPVEVGGFLLPKGTWVWMAPGVLAKDPKHFPEPHLFRPERFDPACDEEKQRHPYAHIPFGIGPRACIGQKFSLQEIKLAVIHLYRRYVFRHSPSMESPLEFQYGVVLNFKHGVKLRVIRRSEAFHVII >Ma11_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27662732:27668674:-1 gene:Ma11_g24860 transcript:Ma11_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEVARVSRTPEEVFRDFRGRRAGMIKALTTDVDKFYQQCDPEKENLCLYGFPNETWEVNLPAEEVPPELPEPALGINFARDGMAEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRRQLHNMINNLPTIYEVVTGTVKQQSREKNPNGTSKSNKSSSKKAEPQKPSKMPPSKEEDGSRVEDAEENEEHENTLCGACGDNYANDEFWICCDMCEKWFHGKCVRITPARAEHIKQYKCPSCSNNKRGRA >Ma02_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15887770:15900153:-1 gene:Ma02_g04460 transcript:Ma02_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMDFEVVVLAGGTSRNLNPLVSQEVPKALLPVANRPVLSYVLELLEASNLKDLIVVVEGEDAALRIGGWISGAYVDRLHVEVAAVPEGVGTAGALRAVASYLTANDVLIVSGDLVTDVPPGAVAATHRRHSAVVTALLCPAPVSGPSDTGTSGGKEKAKKPVRCNIVGLDPTRQYLLHLATGAEVEKDIRVQKSLLRAVGQIEIRADLMDAHMYAFKRSVLQDILDQKDKFHSIRQDILPYLIRTQLNSEVSDNGGIKSELGMDNISSQNDLRWLSQHREIAPSAFQEPHAFSLKPLPDARRTHKCCAYIASESKYCARLNSIQAYSDINRDVVGEASHLSGYSFSAQNNIIHPSAELGSKTTVGPQCMLAEGSKLGDKCSVKRSVIGRHCRIGSNVKIVNSIVMNHVTIEDGCSIQGSVVCSNVQLQERVVLKDCQVGAGYVVTTGSEHKSESLARK >Ma01_p19160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14613578:14623647:1 gene:Ma01_g19160 transcript:Ma01_t19160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSFIFKRIGCSGQQSDQHLEDIPGIENVRIYSYKELRNATGDFSLTNKVGEGGFGSVYKGKLKDGKIVAVKVLSSESRQGVPEFLNEIAVISGIEHENLVSLHGCCVEETHRILVYNYLENNSLAQTLLGSGCSNIQFNWRTRVRICIGVARGLAFLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNITHVSTRVAGTIGYLAPEYAIKGQVNRKSDVYSFGVLLLEIVTGRCNTNTRLPYDEQFLLERTWNLYEHGEIANIIDTSLTDDLDVDEACKFLKVGLLCTQDTVKLRPSMSNVARMLTDEKDVNLEKITKPGLLSDLMELKVRNQNNVNDPHTTSLVTSGHGSSLLSSENTTHASMTFTVISD >Ma01_p19160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14613579:14623647:1 gene:Ma01_g19160 transcript:Ma01_t19160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSFIFKRIGCSGQQSDQHLEDIPGIENVRIYSYKELRNATGDFSLTNKVGEGGFGSVYKGKLKDGKIVAVKVLSSESRQGVPEFLNEIAVISGIEHENLVSLHGCCVEETHRILVYNYLENNSLAQTLLGSGCSNIQFNWRTRVRICIGVARGLAFLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNITHVSTRVAGTIGYLAPEYAIKGQVNRKSDVYSFGVLLLEIVTGRCNTNTRLPYDEQFLLERTWNLYEHGEIANIIDTSLTDDLDVDEACKFLKVGLLCTQDTVKLRPSMSNVARMLTDEKDVNLEKITKPGLLSDLMELKVRNQNNVNDPHTTSLVTSGHGSSLLSSENTTHASMTFTVISD >Ma01_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14613579:14623647:1 gene:Ma01_g19160 transcript:Ma01_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSFIFKRIGCSGQQSDQHLEGIENVRIYSYKELRNATGDFSLTNKVGEGGFGSVYKGKLKDGKIVAVKVLSSESRQGVPEFLNEIAVISGIEHENLVSLHGCCVEETHRILVYNYLENNSLAQTLLGSGCSNIQFNWRTRVRICIGVARGLAFLHEEVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNITHVSTRVAGTIGYLAPEYAIKGQVNRKSDVYSFGVLLLEIVTGRCNTNTRLPYDEQFLLERTWNLYEHGEIANIIDTSLTDDLDVDEACKFLKVGLLCTQDTVKLRPSMSNVARMLTDEKDVNLEKITKPGLLSDLMELKVRNQNNVNDPHTTSLVTSGHGSSLLSSENTTHASMTFTVISD >Ma03_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24274199:24274339:-1 gene:Ma03_g18750 transcript:Ma03_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERETSEQLSAAFCFLYLSLSVPIHHEGVGDPLHQQSSSRTQPNC >Ma04_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26491937:26495085:1 gene:Ma04_g24510 transcript:Ma04_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVAPSVDNRLPSYLLKLLKPNYMKLLYHHVISNALYLCLGPLLVLLFPRLSTLTLADLRELPNQPWVNLSAVVTTSTLLMAVATLYAMSRPRPVYLVDFSCYKPTQAHMATRGEIMEHFKKAGTFTDETVAFQHKMLERCGIGDSSYFPRSLLCTPINMSHRTAREEAETVMFGATDELFQKTGVAAADISILVVNCSLYNPTPSYTSMIVNRYKLRKDVMSYNLGGMGCSAGLISIDLVKNLLQVHPNSYAMVVSTENMTLNAYLGNNRSMLVSNSLFRLGGAAVLLSNRRSDRRRSKYQLVHTMRTHRGAEDCSHSCVMQEEDAEGKVGVALSKELMVVAGNALKANISTLGPLVLPMSEQLLFLAAMLLRKVLKLKIRSYIPDFKLAFEHFCIHAGGRAVLDELEKHLELTPWHMEPSRMTLHRFGNTSSSSLWYELSYIEAKGRIRRGDRVWQIAFGSGFKCNSAVWRALNTVLDVHKNPWTDEIHKFPVHVPKVTPILS >Ma08_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10393923:10394177:-1 gene:Ma08_g13080 transcript:Ma08_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRFILAHVVLDMDHLRPYDLLSYKIIYIFLKA >Ma07_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32068652:32078222:1 gene:Ma07_g24910 transcript:Ma07_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLRGPMAAEMVILATSERLKEMDWTRNIEICELVTRDPGQSKCVIKSIKKQLKNKNVNTQFFSVMLLEMLINNCGDHIHKQVMDNKLLPILVKIVKKKTDLPVGERIFLLLDAAQTALGGVSGRYPQYYTAYYDLVSSGVQFPQHPHVMLHEESTPQNQAKCSPQESHFHNCENIEKQVTTQLVPDSSIIHRASSVLEVLRDVLNTLDPKIPQGATDEFTLDLVEQCSFQKQRIMHLVMTSRDEKLVTQAIELNEQLHQVLTHHDSLLSVCDTATPTSCVNEEGEEEEDAESLYRRIRKGKACAKDHSESSVIYFRPIPEENMSRPIIRPLRIQPSNSDSRPSPTCRQSSDPGIKQHPPAHLSPQLNKNMEGDKFLDNKRMDDSDLEGHIRDLSLHSRNASSSGSCSTDCSERDVFGFRD >Ma06_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3836666:3842435:-1 gene:Ma06_g05160 transcript:Ma06_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHISLLLLLPLLTLLLFSGRRRKGNAAWKLPPGPNTLPIIGNLHQLGHSRLHQSLWELSKEHGPVMRLKLGHVPAVVVSSAGSAEQVLRTHDLECCSRPRTISNAKVSFGGSDVTFAPYGSHWRDLRKVCVVELFTTKKTTSFRPVREDEVQRTVESIRSRAPNSVVVNLSEELLSLAANITCRTAFGGRCHDGFHRITKECQEILATFFVADYFAMLGWVDVIRGTQARLQDVFLKLDVFCRRLIDDHLDPRRQQSDDGEDTLDALLRLQKDDNNITDAHIKGVLVDIFVAGSDTSASGLEWAMTELMRHPEKLRRARDEVRGCVGRKGKVEESDVHQLAYLKCVVKETLRLHPPAPLLVPRETMEHVKVDGYDVPPKTTIYVNAWAIGRDPNSWERPEAFEPERFMRSSVDTNGQDFKFIPFGEGRRICPAKNVGMATMELALANLLYSFDWDLPDGMKKEDISMDEAPDRSITG >Ma02_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23415323:23417085:-1 gene:Ma02_g15890 transcript:Ma02_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MAASMATSFLLSNPKSLFAGNHHLRSSFSGLRLAATPLPSHSGSGKAALSVTSALAAPKYDLGSFSFKPIQESIVSREMTRRYMTDMISYADTDVVVIGAGSAGLSCAYEISKDPSVRVAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVPYDEADSYVVVRHAALFTSTILSRLIARPNVKLFNAVAAEDLIVKEGRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKGIGMIDSVPGMKALDMNAAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLTLKALGRPNALDGTTRAEPLHPELVLASADGGGETVDA >Ma03_p25180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29298756:29306962:-1 gene:Ma03_g25180 transcript:Ma03_t25180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTSDNEVNEEFEWDSDDEPTAAANPPTLPSEDILGPSNKACDAGPSRPALASHFMGMGFSEDMVLKAIKENGEGDSEAILETLLTYAAIEKSPGKLDHSPSDCSLASWGNNLDDDDDLCDVDDFEDEEFNMDLSAEDKKLLTLVEMGFSTKEASAAIHRCGPNSSILELADSIHAAEIAEKFESHLEESTYTKDEPGNYIYGCSRGKKRGLAEETSSKKRRFLTENESSMISIPKPMVGYGIPNQRMPKICRKLPESAIGPPYFYYENVALAPKGVWETISRFLYDIEPEFVDSKYFCAAARKRAYVHNLPIHDRFPLLPIPPKTIHEALPLTRKWWPSWDTRTQFNCLQTCIASAKLTERIRKELENYDDIPSLKTQQYVLNECRKWNLVWVGQHKVAPLEPDEIEMLLGFPRDHTRGGGISRTERYRSLGNSFQVDTVAYHLSVLKDMFPNGITLLSLFSGIGGAEVALHRLGIHLKTVVSVEISEVNRNLLKSWWVQTNQTGTLIELVDVQQLSSDKIEQFISTFGGFDLVVGGSPCNNLTGSNRHHRDGLEDLNSA >Ma03_p25180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29297144:29306962:-1 gene:Ma03_g25180 transcript:Ma03_t25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTSDNEVNEEFEWDSDDEPTAAANPPTLPSEDILGPSNKACDAGPSRPALASHFMGMGFSEDMVLKAIKENGEGDSEAILETLLTYAAIEKSPGKLDHSPSDCSLASWGNNLDDDDDLCDVDDFEDEEFNMDLSAEDKKLLTLVEMGFSTKEASAAIHRCGPNSSILELADSIHAAEIAEKFESHLEESTYTKDEPGNYIYGCSRGKKRGLAEETSSKKRRFLTENESSMISIPKPMVGYGIPNQRMPKICRKLPESAIGPPYFYYENVALAPKGVWETISRFLYDIEPEFVDSKYFCAAARKRAYVHNLPIHDRFPLLPIPPKTIHEALPLTRKWWPSWDTRTQFNCLQTCIASAKLTERIRKELENYDDIPSLKTQQYVLNECRKWNLVWVGQHKVAPLEPDEIEMLLGFPRDHTRGGGISRTERYRSLGNSFQVDTVAYHLSVLKDMFPNGITLLSLFSGIGGAEVALHRLGIHLKTVVSVEISEVNRNLLKSWWVQTNQTGTLIELVDVQQLSSDKIEQFISTFGGFDLVVGGSPCNNLTGSNRHHRDGLEELSILRFIPIRNGIIFSAKAASYSLMLNFVASIVTTRSICAKVMAYVIYFARSMRCVLIVGEIN >Ma03_p25180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29297150:29306962:-1 gene:Ma03_g25180 transcript:Ma03_t25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTSDNEVNEEFEWDSDDEPTAAANPPTLPSEDILGPSNKACDAGPSRPALASHFMGMGFSEDMVLKAIKENGEGDSEAILETLLTYAAIEKSPGKLDHSPSDCSLASWGNNLDDDDDLCDVDDFEDEEFNMDLSAEDKKLLTLVEMGFSTKEASAAIHRCGPNSSILELADSIHAAEIAEKFESHLEESTYTKDEPGNYIYGCSRGKKRGLAEETSSKKRRFLTENESSMISIPKPMVGYGIPNQRMPKICRKLPESAIGPPYFYYENVALAPKGVWETISRFLYDIEPEFVDSKYFCAAARKRAYVHNLPIHDRFPLLPIPPKTIHEALPLTRKWWPSWDTRTQFNCLQTCIASAKLTERIRKELENYDDIPSLKTQQYVLNECRKWNLVWVGQHKVAPLEPDEIEMLLGFPRDHTRGGGISRTERYRSLGNSFQVDTVAYHLSVLKDMFPNGITLLSLFSGIGGAEVALHRLGIHLKTVVSVEISEVNRNLLKSWWVQTNQTGTLIELVDVQQLSSDKIEQFISTFGGFDLVVGGSPCNNLTGSNRHHRDGLEELSILRFIPIRNGIIFSAKAASYSLMLNFVASIVTTRWVIIFVRAENVA >Ma05_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36139912:36154135:-1 gene:Ma05_g23960 transcript:Ma05_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIERRKLSDDYEVVDVLGRGGFSIVRKGIRKSSIREKNQNQNQNQVAIKTLRRLGGYTPPGPLQTYHGHKKRLPSWNQNQISISDALLTNEIVVMRRIVEKVSPHTNVINLYDVYEDVDGVHLVLELCSGGELFDRIIAQERYSEFEASVVVQQIASGLAALHKANIVHRDLKPENCLFLDSSARSPLKIMDFGLSSVEDHTDPIIALFGSIDYVSPEALSRREVSAASDMWSLGVILYILLSGCPPFTASTNREKQQRILAGDFNFEDPTWKTISFSAKDLISRLLSVETYKRPTASDLLKHPWVIGDSAKEELMDSEVVTKLQRFNARRKLRAAAIASVLSSKVALRTKRLKSLLGSNDLTTEEIENLRLHFKRICANGENATLSEFEEVLKALKMDSLIPLASRVFDLFDNNRDGNVDMREILCGLSSLRNSRGEEALRLCFQMYDTDRSGYISKEELASMLRALPEDCLPADITEPGKLDEIFDQMDANSDGKVTFDEFKAAMHRNSSLQDVVLSSLRPV >Ma04_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:252145:271201:-1 gene:Ma04_g00210 transcript:Ma04_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVESPTSGPSTVSDAIGSRSLVGCYTSRIWRTLRDRLPSLNSSLLAKMSHIFRRGTPAHRHCRRRSGLPLPLHSSATMSSSIAARASRASIMLEDIMEYVLCNLHNVQKSLHFWQSRAEGTNARKIYFMIFERGPLAFIDGAYEMISRLGPGGAPFQHLSHAASNTISHKIASLTILRRCLADFLAQVYLEVNKYGGTLIEDADKSLPFVLLSINSLFVKLEASISQAHELLVVHNSDKSSVDQNGSYILHFEKIPEVDLEISQWTETNIRDTTDLIYQNIQRLESYLSFILSTCQKPKRLTLYWLHYTCGAVGLSLCSVWLLRHSSLTGSSDIDNWICEAKESATGFLKDHVEQPLISIRDELFETFRRRHKGVMEIEEVQLTADSLHRMLLAFCEQTKGRKLPENIPDQEMLEMVMARYEKEVMHPLQNLLSGELARAMLIQIQKLKLDLETAMLELNQILKANEINFAILAALPAFFLSLLLLVLVRAWVIQDKGAEGRGRVARRKRRLLVVEVEKRLVQFQTCMDEGKEDDARCLYGLVLCSLDHLYKAVESHAKETGEWISLRQDIVDLAKPDLKTIYKLTVTSRMGRMYDCLLPSSKRQ >Ma04_p00210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:252170:272462:-1 gene:Ma04_g00210 transcript:Ma04_t00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLRNAVVPRESHGQGIEFLWWLRAECLTHTDRRIAARASRASIMLEDIMEYVLCNLHNVQKSLHFWQSRAEGTNARKIYFMIFERGPLAFIDGAYEMISRLGPGGAPFQHLSHAASNTISHKIASLTILRRCLADFLAQVYLEVNKYGGTLIEDADKSLPFVLLSINSLFVKLEASISQAHELLVVHNSDKSSVDQNGSYILHFEKIPEVDLEISQWTETNIRDTTDLIYQNIQRLESYLSFILSTCQKPKRLTLYWLHYTCGAVGLSLCSVWLLRHSSLTGSSDIDNWICEAKESATGFLKDHVEQPLISIRDELFETFRRRHKGVMEIEEVQLTADSLHRMLLAFCEQTKGRKLPENIPDQEMLEMVMARYEKEVMHPLQNLLSGELARAMLIQIQKLKLDLETAMLELNQILKANEINFAILAALPAFFLSLLLLVLVRAWVIQDKGAEGRGRVARRKRRLLVVEVEKRLVQFQTCMDEGKEDDARCLYGLVLCSLDHLYKAVESHAKETGEWISLRQDIVDLAKPDLKTIYKLTVTSRMGRMYDCLLPSSKRQ >Ma10_p17510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28864005:28873212:-1 gene:Ma10_g17510 transcript:Ma10_t17510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLKQQQALMQQALLVQQQQQQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGPVEGCKLIRKEKSSFGFVDYYDRRSAALAIVALNGRHLFGQPIKVNWAYASGQREDTSGQYNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLSGKWLGSRQIRCNWATKGANANEDKQNLESKNAELTNESAEDGQEYTNDDGPENNPQYTTVYVGNLAHEVTQVDLHRYFHALGAGVIEDVRIQRDKGFGFVRYSSHSEAALAIQMGNGRIFCGKPIKCSWGNKPTAPGTTSTPLPPPLAAPFPGFSATDLVGYDRVMALSKMGANQALIHAQGQHALKPAAMGMGAGASQAIYNGGFQNVGAAQQLMYY >Ma10_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28864005:28873257:-1 gene:Ma10_g17510 transcript:Ma10_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLKQQQALMQQALLVQQQQQQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGPVEGCKLIRKEKSSFGFVDYYDRRSAALAIVALNGRHLFGQPIKVNWAYASGQREDTSGQYNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLSGKWLGSRQIRCNWATKGANANEDKQNLESKNAELTNESAEDGQEYTNDDGPENNPQYTTVYVGNLAHEVTQVDLHRYFHALGAGVIEDVRIQRDKGFGFVRYSSHSEAALAIQMGNGRIFCGKPIKCSWGNKPTAPGTTSTPLPPPLAAPFPGFSATDLVGYDRVMALSKMGANQALIHAQGQHALKPAAMGMGAGASQAIYNGGFQNVGAAQQLMYY >Ma10_p17510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28864005:28873182:-1 gene:Ma10_g17510 transcript:Ma10_t17510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLKQQQALMQQALLVQQQQQQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGPVEGCKLIRKEKSSFGFVDYYDRRSAALAIVALNGRHLFGQPIKVNWAYASGQREDTSGQYNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLSGKWLGSRQIRCNWATKGANANEDKQNLESKNAELTNESADGQEYTNDDGPENNPQYTTVYVGNLAHEVTQVDLHRYFHALGAGVIEDVRIQRDKGFGFVRYSSHSEAALAIQMGNGRIFCGKPIKCSWGNKPTAPGTTSTPLPPPLAAPFPGFSATDLVGYDRVMALSKMGANQALIHAQGQHALKPAAMGMGAGASQAIYNGGFQNVGAAQQLMYY >Ma03_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7238637:7241049:-1 gene:Ma03_g09740 transcript:Ma03_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKDSIIQCLLLLLLFGCASAEVHHHEFVVEATPVKRLCRTHNIITVNGQYPGPTLAVRDGDTLVVNVINRAKYNVTLHWHGVRQLRTAWADGPEFVTQCPIRPGGSYTYRFTIEEQEGTLWWHAHSSWLRATVHGALIIYPKHGSYPFPTPKKEIPFILGEWWNEDPIRVVRRAARTGAAPNVSDAFTINGQPGDLYKCSNKETTVFTVAPGETNLLRFINAALNNEHFISIAGHKMTVVAADAAYTKPFTTSVLMLAPGQTTDVLLTADQPAGRYYMAAHAYASARGVAFDNTTTTAILEYTNSGCPTKNRPGVLPAFPVLPAFNDTPTATAFAAGIRSPRPVKIPSPIDHHLFFTVGLGLFNCPPGRRCGGPNGTRFGASMNNVSFQLPTRISILQAYHLGVRGVFTTDFPAAPPVQFDYTAANISRALWQPVPGTKLYPLKYGSVVQLVLQGTNIFAGEEHPMHIHGYHFYVLATGFGNFDPARDAASFNLVDPPLRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVENGVGKLQSLEPPPADLPRC >Ma08_p32280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42976936:43001252:1 gene:Ma08_g32280 transcript:Ma08_t32280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLMVAEKPSISLSIATALSGGRMSTRRGSTDVHEFDGQFRGYHVRYKVTSVIGHVFSLDFPSSYQNWEVTDPMDLFHAPVLKSESNPKAHIRRHLYHEAQGCTYLVLWLDCDREGENICYEVIECTGIHETGPGRIIYRARFSSVTEKDIFNAMENLVGPNKDEALAVDARQEIDLKVAVMFQKLVANDGTLKVTDISTKEESKSRPCGLNTVNMLKVASSALGFGPQMAMQLAERLYTQGFISYPRTESTAYPSSFDFRSALSAHLRNPIWGNDVQILLADGFCKPRMGSDAGDHPPITPMRSATEDMLGSDAWRLYQYICQHFIGSVSPDCKYTRTKIEFTSGGELFYCVGQHVISKGFTSIMPWMGVSEKNLPQFKNGDKINILKVDLHEGTTSPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTALGTTLIRGYQCIDPDLCLPDIRSFIEQQITLIAKGKADHVRVVEHVLQQFMEKYSYFVKKIEDMDALFEAQFSPLADSGRLLSKCGKCTRYMKYISSQPTRLYCITCEEVYHLPQNGTIKLYKELACPLDGFELLIFSMAGPDGKTFPLCPYCYNSPPFEGIDKLFGTLKLGNSGKIGKGAGMPCFLCPHPTCPHSLITQGVCACPECSGTLVLDPVSAPKWRLCCNTCNCLVSLPQGAHRISTTGKRCPECDSTIIEVDFNKKTTVLSDGATLHEGCILCDELLHSLVEVKHGSSFFRRGRGRGRNRGRGRHRGRGRGGSKHEDPKMSFRDF >Ma08_p32280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42976936:43001252:1 gene:Ma08_g32280 transcript:Ma08_t32280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLMVAEKPSISLSIATALSGGRMSTRRGSTDVHEFDGQFRGYHVRYKVTSVIGHVFSLDFPSSYQNWEVTDPMDLFHAPVLKSESNPKAHIRRHLYHEAQGCTYLVLWLDCDREGENICYEVIECTGIHETGPGRIIYRARFSSVTEKDIFNAMENLVGPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYMQITTFKPEKFWYLSPYVIKDGYELQMDWDRNKVFDFNVAVMFQKLVANDGTLKVTDISTKEESKSRPCGLNTVNMLKVASSALGFGPQMAMQLAERLYTQGFISYPRTESTAYPSSFDFRSALSAHLRNPIWGNDVQILLADGFCKPRMGSDAGDHPPITPMRSATEDMLGSDAWRLYQYICQHFIGSVSPDCKYTRTKIEFTSGGELFYCVGQHVISKGFTSIMPWMGVSEKNLPQFKNGDKINILKVDLHEGTTSPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTALGTTLIRGYQCIDPDLCLPDIRSFIEQQITLIAKGKADHVRVVEHVLQQFMEKYSYFVKKIEDMDALFEAQFSPLADSGRLLSKCGKCTRYMKYISSQPTRLYCITCEEVYHLPQNGTIKLYKELACPLDGFELLIFSMAGPDGKTFPLCPYCYNSPPFEGIDKLFGTLKLGNSGKIGKGAGMPCFLCPHPTCPHSLITQGVCACPECSGTLVLDPVSAPKWRLCCNTCNCLVSLPQGAHRISTTGKRCPECDSTIIEVDFNKKTTVLSDGATLHEGCILCDELLHSLVEVKHGSSFFRRGRGRGRNRGRGRHRGRGRGGSKHEDPKMSFRDF >Ma08_p32280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42976936:43001252:1 gene:Ma08_g32280 transcript:Ma08_t32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLMVAEKPSISLSIATALSGGRMSTRRGSTDVHEFDGQFRGYHVRYKVTSVIGHVFSLDFPSSYQNWEVTDPMDLFHAPVLKSESNPKAHIRRHLYHEAQGCTYLVLWLDCDREGENICYEVIECTGIHETGPGRIIYRARFSSVTEKDIFNAMENLVGPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYMQITTFKPEKFWYLSPYVIKDGYELQMDWDRNKVAVMFQKLVANDGTLKVTDISTKEESKSRPCGLNTVNMLKVASSALGFGPQMAMQLAERLYTQGFISYPRTESTAYPSSFDFRSALSAHLRNPIWGNDVQILLADGFCKPRMGSDAGDHPPITPMRSATEDMLGSDAWRLYQYICQHFIGSVSPDCKYTRTKIEFTSGGELFYCVGQHVISKGFTSIMPWMGVSEKNLPQFKNGDKINILKVDLHEGTTSPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTALGTTLIRGYQCIDPDLCLPDIRSFIEQQITLIAKGKADHVRVVEHVLQQFMEKYSYFVKKIEDMDALFEAQFSPLADSGRLLSKCGKCTRYMKYISSQPTRLYCITCEEVYHLPQNGTIKLYKELACPLDGFELLIFSMAGPDGKTFPLCPYCYNSPPFEGIDKLFGTLKLGNSGKIGKGAGMPCFLCPHPTCPHSLITQGVCACPECSGTLVLDPVSAPKWRLCCNTCNCLVSLPQGAHRISTTGKRCPECDSTIIEVDFNKKTTVLSDGATLHEGCILCDELLHSLVEVKHGSSFFRRGRGRGRNRGRGRHRGRGRGGSKHEDPKMSFRDF >Ma11_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21711460:21711801:1 gene:Ma11_g16140 transcript:Ma11_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKLMHQICLLQTVGVKSLFALKSQRTHLLILHSSDAHSFMNHGVPAPVMLTRLLITSSHMKKIGIFVITYLFANDL >Ma01_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4219423:4224514:-1 gene:Ma01_g05960 transcript:Ma01_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRSLRHRLRLLALAILSVLYRSAPWRLLSLVALWDSVLHLAFLFRGLRPVTLDLGHSCVHLWVPAPARGRPRKPALVLVHGFGGNSRWQWEGQIGPLSRSFDLYIPDLVFFGNSRSASRDRSLGYQASCIAEAMQRLGVARYSVVGISYGGFVAFRMAEGPAAGAVERVAILTAGICLTPEQLRDFAAKEERDVCELLLPQNAEDLMNLLRRSKYRHPKWIPAFLLQDFIEVMYRNQRMERSELLKKLLEKGIDLDPIPVLNQDTLILWGDKDDIFPLPLAHQLHRHLGKKSRLEVLKDAGHALQLEKPDQVNLLIEQFLKGVSDINL >Ma09_p29170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39693385:39694123:1 gene:Ma09_g29170 transcript:Ma09_t29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEASVLMCGRNAKTNFPVPLNPDGDPGAVAAHESSKAALSEVLSAKLRKCCKATPSPSLTCLRLDTEKSHIGVWQKRAGTRADSSWVMTVELGNAKEAMMRPSSLAGDQGTSSQGAVGGVDDEERVALQMIEELLSRNRPTPASHAGEDGFFV >Ma05_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3510540:3515326:1 gene:Ma05_g04620 transcript:Ma05_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRGMDSLVTARRTLVVSLEKSRTLGTALARAGPRLDEIRQRLPSLEAAVRPIRADRGKLAAAGGHIDRAVGPAAAVLKVFDAVHGLERSLLADLRADLFGYLSVLKRLEEALRFLSENCGLAVQWLDDIVEYLDDHSLADPRFIEALKASLDSVKPSPSALDGGLLVAALDKLESQFRRLLADHTVPLQMPSDIVGVPAIAPSPIPVSVINKLRAILERTTANDRLDRCISIYVDVRGSNVRASLRALDLEYLEITPAEFNGVQSIEGYIQKWCRHLEFAIKHLFEAEFKVCIEVFERAGPRDVALSCFAEIAAHAGMLAFLRFGKTVTETRKDPIKLLKLLDIFATLNRLRLDFNRIFGGKACAEIQNQTRDLIKRVIDGACEIFWELLHQVELQRQMPPPSDGAVPKLVSFVTDYCNKLLSEEHLPVLTQVLIINRSWKQEKFQERLLTDAILDIFKALEANFETWSKSYEDIPLSYLFAMNTHWHFYKNLKGTKLGELLGEAKLKEHEQYKDYYATYFLRESWGKLPSLLSREGLILFSGGRSKARDLVKQRLKAFNKSFDEMYQKQSTWVISDKELREKLCHSIVQTIVPSYRSYMQNYGPLVEQDASASKYAKYTAQSLENMLGSLFLHKPGRTMSLNIRHSNGKLNSVMTSVSRSASTVS >Ma06_p23100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20234052:20240743:-1 gene:Ma06_g23100 transcript:Ma06_t23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLVYPASSHGQLCDREGLSCSLSAVTAASWIKSTLDGRRVSEYFSFSSRWRSPYFGSKQSYWLQTAQELSVKVRVAADYSDSVPDSSKYHKDLGYHPLEELKEREKNKNIVLTNAELARTTVEANNKALLIFPGGVHNEPHRHVSWAEFQYVIDDYGDMFFELFDDENILQDHGADSPVMVLIGSDCPTYEEISTISDNFDMDGENDIGVSELNEIDDTEVMDTLINWGMPDTLRYIHPLYFAKCLTKVVQTKFGKVVDWPSNGLQIIGCLRPAFIDEESYLRRLFHRDDGDNYISDWRDESEKEEEQVARAYDLIDGGMLSFDSKRDVSTISSTLYKLEIITVELHSVYGDQSLISLQEFQDAEPDLLAHSASAIIERINEYGLQCNVALKALCRRKKGLNVEGANLIGIDSLGIDVRVYCGMEARTLRFPFSARAMSESAAEKKIKRMLFPRYHRKNLRTATDGIREL >Ma06_p23100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20234052:20240746:-1 gene:Ma06_g23100 transcript:Ma06_t23100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLVYPASSHGQLCDREGLSCSLSAVTAASWIKSTLDGRRVSEYFSFSSRWRSPYFGSKQSYWLQTAQELSVKVRVAADYSDSVPDSSKYHKDLGYHPLEELKEREKNKNIVLTNAELARTTVEANNKALLIFPGGVHNEPHRHVSWAEFQYVIDDYGDMFFELFDDENILQDHGADSPVMVLIGSDCPTYEEISTISDNFDMDGENDIGVSELNEIDDTEVMDTLINWGMPDTLRYIHPLYFAKCLTKVVQTKFGKVVDWPSNGLQIIGCLRPAFIDEESYLRRLFHRDDGDNYISDWRDESEKEEEQVARAYDLIDGGMLSFDSKRDVSTISSTLYKLEIITVELHSVYGDQSLISLQEFQDAEPDLLAHSASAIIERINEYGLQCNVALKALCRRKKGLNVEGANLIGIDSLGIDVRVYCGMEARTLRFPFSARVSIAMSESAAEKKIKRMLFPRYHRKNLRTATDGIREL >Ma06_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20234052:20240744:-1 gene:Ma06_g23100 transcript:Ma06_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLVYPASSHGQLCDREGLSCSLSAVTAASWIKSTLDGRRVSEYFSFSRWRSPYFGSKQSYWLQTAQELSVKVRVAADYSDSVPDSSKYHKDLGYHPLEELKEREKNKNIVLTNAELARTTVEANNKALLIFPGGVHNEPHRHVSWAEFQYVIDDYGDMFFELFDDENILQDHGADSPVMVLIGSDCPTYEEISTISDNFDMDGENDIGVSELNEIDDTEVMDTLINWGMPDTLRYIHPLYFAKCLTKVVQTKFGKVVDWPSNGLQIIGCLRPAFIDEESYLRRLFHRDDGDNYISDWRDESEKEEEQVARAYDLIDGGMLSFDSKRDVSTISSTLYKLEIITVELHSVYGDQSLISLQEFQDAEPDLLAHSASAIIERINEYGLQCNVALKALCRRKKGLNVEGANLIGIDSLGIDVRVYCGMEARTLRFPFSARVSIAMSESAAEKKIKRMLFPRYHRKNLRTATDGIREL >Ma11_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7022565:7026329:-1 gene:Ma11_g08860 transcript:Ma11_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQPFGCGVGARSLPSFEGLRLAPPTTRTSSSYSSSSSSVGTLGLGLKRRSLPALVVKAATVIAPKYSSIKPLGDRVLVKIKSSEEKTSGGILLPTTAQSKPQGGEIVAVGEGKTIGKKEINISVEVGKQVIYSKYAGTELEFNGSKHLLLKEDDIMGILDTDDIKDLKPLNDRVLIKVAEAEEKTAGGLLLTEATKDKPSIGTVIAVGPGPLDQEGNRRALKISPGSTVMYSKYAGSEFKGADGADYIVLKASDVMAVLAAS >Ma11_p08860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7022565:7026269:-1 gene:Ma11_g08860 transcript:Ma11_t08860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQPFGCGVGARSLPSFEGLRLAPPTTRTSSSYSSSSSSVGTLGLGLKRRSLPALVVKAATVIAPKYSSIKPLGDRVLVKIKSSEEKTSGGILLPTTAQSKPQGGEIVAVGEGKTIGKKEINISVEVGKQVIYSKYAGTELEFNGSKHLLLKEDDIMGILDTDDIKDLKPLNDRVLIKVAEAEEKTAGGLLLTEATKDKPSIGTVIAVGPGPLDQEGNRRALKISPGSTVMYSKYAGSEFKGADGADYIVLKASDVMAVLAAS >Ma06_p37070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36250339:36251764:1 gene:Ma06_g37070 transcript:Ma06_t37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRVLPSNTTLLPASSRTRAAPLRCHRVPVGRAITVPVRCRSTMTIQARDTPPVLDIEPQPLKLLDRGTKLKIAVIGFGNFGQFLARTFAAQGHEVLAYSRTDYSDTARSLGVAFFDNQHDLCEQHPDVLLLSTSILSAEAVLRSLPIQRLRRSTLFVDVLSVKEFPRNLFLQLLPPDFDILCTHPMFGPESGKHGWAGLPFVYDKVRIGDSDDRVERCHAFLEIFEREGCRMVEMSCAEHDETAAEIQFLTHTIGRVLAKLDLKSTPINTKGYETLLNLVQNTCSDSYELYNGLFIYNKNSTELIEKLDGALDGMKKELFDRLHGIFREQLFDSSGRRPIDVGN >Ma10_p28990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35695772:35701588:1 gene:Ma10_g28990 transcript:Ma10_t28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGVFRSSCLICIFLAASICCISAQDSAFCPSGWYVGPNKNKCFIHIASSLSWIKSEKLCQSHNGHLAALTSAQELSLAQSLCAGSSTGCWVGGRGYNSTLGFGWKWSDNTSSWNESVFPGEPFHVNCTGASCNSISPTDSCTLVTSGHVAFVGERCNTSHELICMLGRESKCSHKHCHREYFIILAAVSGLILFITLALVIWLLVYRRSKKRRRSRKISSPSAAALVPPSWKVFTSEELRSITKNFSEGNRLIGDAKTGGTYSGFLSDGSRVAVKRLKRYSLQRKKEFYSEVGRVAKLHHPNLVAVKGCCYDHGDRYIVYKFVANGPLDRWLHHIPRGGRNLDWAMRIRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTKMAGTYGYLAPEFMYRNELTTKSDVYSFGVLLLEIISGRRPGQAVESVGWQTIFEWATPLVQSHRYVELLDPLISDIPDDGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLGLRAVSEQPRSGTSTSATSPMLPLQVETPR >Ma10_p28990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35695772:35701588:1 gene:Ma10_g28990 transcript:Ma10_t28990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGVFRSSCLICIFLAASICCISAQDSFCPSGWYVGPNKNKCFIHIASSLSWIKSEKLCQSHNGHLAALTSAQELSLAQSLCAGSSTGCWVGGRGYNSTLGFGWKWSDNTSSWNESVFPGEPFHVNCTGASCNSISPTDSCTLVTSGHVAFVGERCNTSHELICMLGRESKCSHKHCHREYFIILAAVSGLILFITLALVIWLLVYRRSKKRRRSRKISSPSAAALVPPSWKVFTSEELRSITKNFSEGNRLIGDAKTGGTYSGFLSDGSRVAVKRLKRYSLQRKKEFYSEVGRVAKLHHPNLVAVKGCCYDHGDRYIVYKFVANGPLDRWLHHIPRGGRNLDWAMRIRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTKMAGTYGYLAPEFMYRNELTTKSDVYSFGVLLLEIISGRRPGQAVESVGWQTIFEWATPLVQSHRYVELLDPLISDIPDDGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLGLRAVSEQPRSGTSTSATSPMLPLQVETPR >Ma09_p28180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39009037:39013047:1 gene:Ma09_g28180 transcript:Ma09_t28180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPADLDGRSGNKNGCSVRLPDRWSEQRKVQAVRFGDSRNIGAPLRSGYPELKIACCSKKNMGSENFHNSVDAALILKNKSEDVFPYLNGRCIYLVGMMGSGKSTVGKILSEVLCYSFFDSDKLVEQAVGVSSVAQIFKDHSEAFFRDNESKVLKDLSSMHRLVVATGGGAVIRPINWKYMKQGLTVWLDVPLEALARRIAAVGTASRPLLHQESGDPYKKAFAKLTTLSEQRGKAYANADARVCLDDIAAKQGHGDVSVLTPTDIALEALMKLECFLSENSSVTNSQYIQG >Ma09_p28180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39009027:39013047:1 gene:Ma09_g28180 transcript:Ma09_t28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAVLSLQSCSLIGLDRSGNKNGCSVRLPDRWSEQRKVQAVRFGDSRNIGAPLRSGYPELKIACCSKKNMGSENFHNSVDAALILKNKSEDVFPYLNGRCIYLVGMMGSGKSTVGKILSEVLCYSFFDSDKLVEQAVGVSSVAQIFKDHSEAFFRDNESKVLKDLSSMHRLVVATGGGAVIRPINWKYMKQGLTVWLDVPLEALARRIAAVGTASRPLLHQESGDPYKKAFAKLTTLSEQRGKAYANADARVCLDDIAAKQGHGDVSVLTPTDIALEALMKLECFLSENSSVTNSQYIQG >Ma10_p30520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36798207:36798927:1 gene:Ma10_g30520 transcript:Ma10_t30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRINTSTLVSIVSVESTFNIRGRVLCETRLNMTLEPIDV >Ma05_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7088267:7090469:-1 gene:Ma05_g09870 transcript:Ma05_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g33350 [Source:Projected from Arabidopsis thaliana (AT1G33350) UniProtKB/Swiss-Prot;Acc:Q9C501] MWCASTPQPTVTLNERVVFLLGKCTTLSHLKQLQAFLLALGHGQTQLYAFKLVRFSALALADLTYARLIFDSLASPNVFLYTAMLTAYASRPDSHAALRLFALMLRRARPGPNEFIYPCVLKACSDGADLRLVKSVHSRVAKTGFDGYGVVQTSLLDAYSRFSDMAAARALFDGMPQRNVVSWTALLSGYTRVGQIGKAIALFGEMPERDVPSWNAVISGCAQNGLFSEAVSFFCRMVLEGARPNQTTVSCVLSACGHLGMLRLGRSVHGYVYKNHMEHSPFVANGLIDMYGKCGGVKKASWIFSALTDKNLMSWNSMINCLALQGHSGLAIATFKKMELTGPEPDEVTFVGLLNACTHGGLVDEGLSYFRSMFQDYKIDPEIEHYGCIIDLLSRAGRFDEAMDIVRDMRIEPDEVVWGSLLNGCRIHGIRELAELSAKKLLEIEPNSADYGVMLANLYSECGKWEDVGKVRKMLKELGGKKLPGCSWIEVEKKVHQFYSGDTVHPEVEQIYELLDELAGLMEA >Ma07_p14770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11080747:11085045:-1 gene:Ma07_g14770 transcript:Ma07_t14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MKGGGGGGFRLFFLSSGNSRRIPLRLRQLPAGSSFKIKLLLFWRGYTIVMNTWKRNDLLKQSVVHYASCVGVESIRIVWSEPDRPSDSLRHALWEAAQLNCKSCNGIELKFDINEEDSLNNRFKEIMDIKTDAIFSIDDDVLFPCTSVELAFSVWQSAPTAMVGFVPRMHWPDKVKENKERYRYGGWWSVWWTGTYSMVLSKAAFFHKKYLHLYTNHMPVSIRHYVTEHRNCEDIAMSFLVANVTGAPPIWVQGRMFEIGSSGISSMGGHSERRSQCLDLFAEIYGHMPLVATSTKVIDSRHSWFW >Ma07_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11080747:11085045:-1 gene:Ma07_g14770 transcript:Ma07_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MKGGGGGGFRLFFLSSGNSRRIPLRLRQLPAGSSFKIKLLLFWCVAFALLLVLILLLLLCCRPSHYSGSSPPPGRGYTIVMNTWKRNDLLKQSVVHYASCVGVESIRIVWSEPDRPSDSLRHALWEAAQLNCKSCNGIELKFDINEEDSLNNRFKEIMDIKTDAIFSIDDDVLFPCTSVELAFSVWQSAPTAMVGFVPRMHWPDKVKENKERYRYGGWWSVWWTGTYSMVLSKAAFFHKKYLHLYTNHMPVSIRHYVTEHRNCEDIAMSFLVANVTGAPPIWVQGRMFEIGSSGISSMGGHSERRSQCLDLFAEIYGHMPLVATSTKVIDSRHSWFW >Ma05_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1444253:1444420:-1 gene:Ma05_g02270 transcript:Ma05_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSLCLLDHSRAAISKTVVSNYRDDDVPLGWMVLSVHLLSVITVSCIHSFILDG >Ma02_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15812016:15813963:-1 gene:Ma02_g04340 transcript:Ma02_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLDWYLKIAAVSALIGASMELFMIHTGFYDKVTVLESEKRAWESSEEAQAIREALNPWRKHMTNKQEDPHEC >Ma07_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15127383:15128879:-1 gene:Ma07_g16630 transcript:Ma07_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRYWTAFFTILVVFLCSSSDACKAGQSKCRVFDVTRFGAVRGSQDNSQAFLAAWSAACRFKGKATFVVPKGTFKLSPVQFKGPCYDGASPSVKIRGVLQALPGSSDDNYWIKFSDLNRLSVGGGGEIDGQGADSWSGNKCNKECKLSSTTLKFDRVRDSRVARLSLLNSKAFHIKFHRCDNIDVYGLKISAPWNSRNTDGIHIAVTNGITIRSSIIGTGDDCISVGQGSRNVTISNIICGPGHGISVGSLGRYENEMDVVGLVVKNCTIMHTANGLRIKTWPGSSKSRASGLLFEDINMIDVSNPIFIDQKYCPGNDCSSEPSKVQINDVKFRRIKGTSLTKLAVNLLCSSDAPCSNVELDDIRLECSAGGECRTTSSCTNVKANFSGVQIPSPCL >Ma07_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6896719:6897252:1 gene:Ma07_g09170 transcript:Ma07_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNGSASAADQTACCSSGTTIGLIGVFLFLIVVYVVIHYTRCLELEPDGRWHDRQKPGLDPSAIAALPSFAYRRGADGRSGSEECCVCLSVVEEGEVVRVLPSCDHRFHAACVDLWLQVHRTCPLCRADAAPGRAVEGVKTADVAGAPPSLAPPSELHTVVLVMDVGESSASQPMR >Ma09_p26850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38018470:38019559:1 gene:Ma09_g26850 transcript:Ma09_t26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHKSYSVGSIPFSWENQPGISKVTPQAEENHLKLPPPPCKSDSPMSAAHGLHVPLPPCIFQPPSRSSSKKGLKEEDDPFFAAYMECTRNVRTQGRRGSRRRKRDGLIGLFSCTGSSGVREKAIVRLSQLPEVRE >Ma09_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17284947:17286219:-1 gene:Ma09_g18260 transcript:Ma09_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERQRWQPEEDELLREYVKQYGPKEWGMVSERMGRPLHRDPKSCHERWKNYLRPGLKKGSLTPEEQSLVISLQARYGNKWKAIAAHLPGRTPKRLGKWWEVFKEKQLKLANQSGAGSGRHPYPYSHHPVPGAASPVAPAAASSSAYEHILQTFAEKHALLREPPLPIDHCSSPSPSSVLSSATAAAPSVTLSLSPPRSPALAAHRVPIVVQMCQEVEEGRRAWVQHRKEAAWRLSRLEQQMETEKARKKTEKVEEVEGKIRRLRDEERSRLERVEREWREKVTQLRTEAEAEEAKMAEAWARKQAKLATLIRRIAGDSARFFSFSCNVR >Ma05_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15227893:15229310:-1 gene:Ma05_g16090 transcript:Ma05_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRPKQKEAAADTALHGDVLDAIVSRVSPLDLLPASRVSKAWRAAVLSSPRRPPPWFILHHLGRRQVAAAFDPLSRAWRSLPFAPSYPSHRQGPQPQQVSSYMLSPGGGTRLCVLSVSALALATDPFGASWRQLEPPRYSRTDPVVAVVGTRVVVAGGASDLEDGENAVEVFDGGASGAWVSCEPMPEAFRWSESISSAAVGRRLYVLEKQSPFTLSWFDVESRRWGPARGVRVPDPTVRHAAIGFANGRLLLAGAGGIGTGFGWRAESVRLWAVDEESLQVEEEVGSMPRAMVEELVGDGGWGLSSLGFLSEGRFAYVYNPSYPKDLFLCELEEGGGCRWESVPRPACMEERPTHRVVVGCCQVGVEDLMMGKDRTV >Ma06_p37040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36226241:36227416:-1 gene:Ma06_g37040 transcript:Ma06_t37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQIGAERTKNVLILMSDTGGGHRASAEAIRDAFRIEFGDEYRVGLPRVCERFGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWVHNLYLAAIAAFHAKKMEAGLKKYKPDIIIGVHPLMQHIPLWVLKWQNLQKRVIFETVITDLGTCHPTWCEVSKRASADGLEPSRIRVFGLPIRPSFCRAVLVKDELRKELEMDPQLPAVLLMGGGEGMMGIDSLFLF >Ma05_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7978487:7981196:-1 gene:Ma05_g10990 transcript:Ma05_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPESIAFRQKRRMKNARNKYMNPGALVQLSCSRTTARSCADVGMKGVILESKEEIDKLHQNEVILESIPTVSPVGVYLQPATEVSKQQKQPQTPKAQHAVDSDGLSRLESLPMDLLVKILCYLHHDQLRAVFHVCQQVRTAVLLARKQHFNYTTPDRSRQGMLRNRTPVPSEHWSFVRKEGKGMWVSSPHTPRAPRQGPRPPRLHIMDMKQISAVLFQESTLSPRRIAPPGLPRPLFKAVASTRVLIYEDELCQAVAHNKLR >Ma08_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15000613:15003817:-1 gene:Ma08_g15030 transcript:Ma08_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGHKETESHDSNNQKVYPQPMDDSVNQNKKSMDALVSKIFNNISSLKAAYMQLQNAHTPYDPDKIQAADKLVIEELMKLSELKHSYRDKNPKLTSASPKDSSLLAEIQEQQNLLKTFEVMVKKFQSQIQTRDVEIVQLQQQIQESGQRKLKLEKKLKQRGLLSKEPEGFEEEHNFFSIELTPNLFSSAVETAYKSIHDFSKPLINMMKAAGWDLDAAANAVEHSVIYAKRAHKKYAFESHICQKMFSGFQEENFSMEPSELPISHEGFFRQFLAIRAMDPLDILSQNPDSVFGKFSRKKYLLVVHPKMEASFFDNLDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLANSFDPKVKVFQVSKGTEFSEVYMESVVKNIILAEGDSKPKVGLMVMPGFMIGGSVIQSQVYLSGVKCAE >Ma08_p15030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15000613:15003817:-1 gene:Ma08_g15030 transcript:Ma08_t15030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGHKETESHDSNNQKVYPQPMDDSVNQNKKSMDALVSKIFNNISSLKAAYMQLQNAHTPYDPDKIQAADKLVIEELMKLSELKHSYRDKNPKLTSASPKDSSLLAEIQEQQNLLKTFEVMVKKFQSQIQTRDVEIVQLQQQIQESGQRKLKLEKKLKQRGLLSKEPEGFEEEHNFFSIELTPNLFSSAVETAYKSIHDFSKPLINMMKAAGWDLDAAANAVEHSVIYAKRAHKKYAFESHICQKMFSGFQEENFSMEPSELPISHEGFFRQFLAIRAMDPLDILSQNPDSVFGKFSRKKYLLVVHPKMEASFFDNLDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLANSFDPKVKVFQVSKGTEFSEVYMESVVKNIILAEGDSKPKVGLMVMPGFMIGGSVIQSQVYLSGVKCAE >Ma03_p31390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33627834:33630343:1 gene:Ma03_g31390 transcript:Ma03_t31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKTTGDDADAPAARYQSVALVVGATGIVGSSLYDILPLADTPGGPWKVYGVSRRPPRPSLLSPNIADGVGLPVVHVPCDVADPADALAKLSPLGDVTHIFYAAWCRRSTAAETRATNAAMLRNVLDAVLPAAPNLQHVCLQTGRNHYLGPYGPAGGVVAEVGPRHDPPHDPPFPENTPRLAIPNFYYELEDVLLEELAKKERAVTWSVHRPTTIFGFSPCSLMNLVCSLCVYASICRKEGVPLRWFGSEEAWYGFSDASDADLVAEHQIWAAVDPYAKNEAFNCSNGDVFKWKHLWPVLAEQFGVPAVGYTGYDGRFKLEDAMKGKEAVWEDIVRENELVPTALDEVGHWWYADAVLGLEFEHLDNMNKSKEHGFLGFRNSVTSFNTWIDKLRAYKEESEPYYVAIHL >Ma03_p31390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33627834:33629321:1 gene:Ma03_g31390 transcript:Ma03_t31390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKTTGDDADAPAARYQSVALVVGATGIVGSSLYDILPLADTPGGPWKVYGVSRRPPRPSLLSPNIADGVGLPVVHVPCDVADPADALAKLSPLGDVTHIFYAAWCRRSTAAETRATNAAMLRNVLDAVLPAAPNLQHVCLQTGRNHYLGPYGPAGGVVAEVGPRHDPPHDPPFPENTPRLAIPNFYYELEDVLLEELAKKERAVTWSVHRPTTIFGFSPCSLMNLVCSLCVYASICRKEGVPLRWFGSEEAWYGFSDASDADLVAEHQIWAAVDPYAKNEAFNCSNGDVFKWKHLWPVLAEQFGVPAVGYTGYDGRFKLEDAMKGKEAVWEDIVRENELVPTALDEVGHWWYADAVLGLEFEHLDNMNKSKEHGFLGFRNSVTSFNTWIDKLRAYKVVP >Ma06_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3325334:3326283:1 gene:Ma06_g04490 transcript:Ma06_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKGRRSRHHLGTGGSHQLVSDDESGHASDSSPKEQDRFLPVANVSRIMKRSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFDSYVGPLKAYLNKYRETEGEKNLMARHGEPPSNEPDDASPAIPSFAASGFYSVGEAWDQRKK >Ma03_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28213347:28228612:-1 gene:Ma03_g23730 transcript:Ma03_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MALTEETSNGKKRDSTQLENENPKEFRSISDVCPVQSQVPLLKKKFEGKDGLAYANILRSRNKFADAQVLYENIIENDSTNVEALIGKGICLQMQNHLRQAFACFVESIRLDPQNACALTHCGVIYKDEGHLLEAAESYQKALKADPSYKLAAECLAIVLTDLGTSLKLAGNTDEGIQKYFDALKVDGHYAPAYYNLGVVYSEMMQYDLALGCYEKAVVERPLYAEAYCNMGVIYKNRGDLEAAIACYERCLTVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELALHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVFTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDVGNISLAIEAYERCLQIDPDSRNAGQNRLLAMNYIDEGLDDRLFEAHREWGRRFMNLYPQYTSWDNPKDMERPLVVGYVSPDYFTHSVSYFIEAPLSHHNYANYKVVVYSAVVKADAKTLKFKDRVLKKGGLWRDIYGVDERKVASMVRDDKIDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRMTDGLVDPPNTRQKNVEELVRLPECFLCYTPSPEAGPVSPTPALSNGFITFGSFNNLAKITPNVLRVWATILCAVPNSRLVVKCKPFCCDSVRQRFLSTLEQMGLESLRVDLLPLILFNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGRLVAKTEEEYVKLALQLASDVSALGELRMTLRELMSKSPVCDGAKFTQGLESTYRNMWHRYCRGDVPATRHIESLKDQPPLSDKILVRFSEHKTSNVPEQNHQVQTKMNGVTPNLSLTPNNASCEANGNC >Ma06_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1934434:1937135:-1 gene:Ma06_g02490 transcript:Ma06_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MGSEFDIERILWTEQEIAGRVAELAAEISGDLIGAAGPPVVFVGVATGAFLFLADLVRRITLPLAVDFVRVESYGSGTESNGAPKISSDLKIDIRGKHVVLVEDIVDTGNTVSCLIPHLRTKGAISVSVCTFLDKPARRKVHFELVGGGKFYRGFECPDSFVVGYGMDYDELYRNLPYVGVLKPEMYNK >Ma11_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27242011:27242550:1 gene:Ma11_g24130 transcript:Ma11_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNGCNSIEHAGGDELIHRAQAHREQGRRAGLSKKVKELSLLCGAELALIVFSPACNPFSFDHPSVHSVIGCFLSSRAVSPPSTHCRHLLLQTVR >Ma04_p26510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27936602:27945129:1 gene:Ma04_g26510 transcript:Ma04_t26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAIACSRGAAALGFRCRSLMRLPAAEVGCPRSNLSLLQRFSSQLAKSNGSRACLIDTLALVRRLEKEGVPTKQAEAITSTITEVLNESLENVAQSFVSKPEMQRSEMIQDSNLSKFKLEMKGSQVCSTLNCISPTVQLYHKE >Ma10_p31110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37328400:37336580:-1 gene:Ma10_g31110 transcript:Ma10_t31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEQDKDLEVLPSNGEDLVEGPIRCLNCGISAKLTCHMRSGPEGRRTLCNACGIAWRKGKQRKVIDYNVPMKDLANSNMVPEVDMEFENEDKAYEFYNRYAGMVGFSVRKGWIDKSADKITRSRTLVCSREGFRKDKKGAKEVKKPRPETRIGCPARLTIKLTPSGTYHVTEFVADHNHQPAPPSAMHMLRSQRILTEVQTVEAADLSDDSGTTPKSANHLTGRQVSGSQNVRYLPMDYRMGLRSKRMKTMQIGDAEAVLKYLQSMQLNDPSFFHSTQIDEDDRLTNFFWADAKSMLDFNFFGDVVCLDTTYRVNGYGRPFAPFLGVNHHKQIVTFGAALLYDETIESFKWLFDTFKIAMRGRQPKTILTDQSTSISHALDAVWPGTSHHLCVWQVYQNAFKHLNHVFQSSKTFAKDFARCVYDYEDEEELLPAWQEMLEKYDLRNNEWLAKLFEEREKWALVYSRQTLCADMKSTLQNENFSSLLKKYLTSQLDLSSFFKHYERVVDDHRYAELQADFHASQSFPRIPPSKMLKQAATLYTPAVFEMFRKEFEVFMDCMLYSYGEVGTISDYKITVGEKPKEYFVRLDSSDYSVACSCKKFEFVGVQCGHVIKVLDVRNIKELPERYFLKRWRRNAKSDTGSEPGGIIVDVDPKSPITTSAHVHLSSYAHNQGSHAAAQISEGYTTPNARSHEPVYGSLQFNHYSNNNRV >Ma10_p31110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37328828:37336580:-1 gene:Ma10_g31110 transcript:Ma10_t31110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEQDKDLEVLPSNGEDLVEGPIRCLNCGISAKLTCHMRSGPEGRRTLCNACGIAWRKGKQRKVIDYNVPMKDLANSNMVPEVDMEFENEDKAYEFYNRYAGMVGFSVRKGWIDKSADKITRSRTLVCSREGFRKDKKGAKEVKKPRPETRIGCPARLTIKLTPSGTYHVTEFVADHNHQPAPPSAMHMLRSQRILTEVQTVEAADLSDDSGTTPKSANHLTGRQVSGSQNVRYLPMDYRMGLRSKRMKTMQIGDAEAVLKYLQSMQLNDPSFFHSTQIDEDDRLTNFFWADAKSMLDFNFFGDVVCLDTTYRVNGYGRPFAPFLGVNHHKQIVTFGAALLYDETIESFKWLFDTFKIAMRGRQPKTILTDQSTSISHALDAVWPGTSHHLCVWQVYQNAFKHLNHVFQSSKTFAKDFARCVYDYEDEEELLPAWQEMLEKYDLRNNEWLAKLFEEREKWALVYSRQTLCADMKSTLQNENFSSLLKKYLTSQLDLSSFFKHYERVVDDHRYAELQADFHASQSFPRIPPSKMLKQAATLYTPAVFEMFRKEFEVFMDCMLYSYGEVGTISDYKITVGEKPKEYFVRLDSSDYSVACSCKKFEFVGVQCGHVIKVLDVRNIKELPERYFLKRWRRNAKSDTGSEPGGIIVDVDPKSPITTSAHVHLSSYAHNQGSHAAAQISEDTGISCF >Ma08_p20130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33950222:33956268:1 gene:Ma08_g20130 transcript:Ma08_t20130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQIGTLSHCARTFYISGSRCGNAEGASCTCSEDETCVPTRHPNSTLKANTANGAPTKAGSPCLQNAVGSIIHQKSRCTGPAQHVVSSSSSLRSDSSPPNRNTDNMNAGVRASGTDMLNSSKLTAGRFVKAGMATVSMFSELVSHKTPGTEPGPQRCMADSTQPSFNTISSNDKSHNKPNYSKRQPSLNLVGVSDSSSTCPSSSVEKPSMRGNVKAKQNVTESSISNNGLPSGAKNQRQSVRQKAKYHSNSFTSDGKPGGRISERKMTGSTTETAGSTNRFHNPIKSARLHTGGPPSAGKLVKSGHAIEQYYHTLQRLKWGPTTEEALDKLQYKLDPFQANQVLKLLRDHSIALEFFRWLKSQPGFKHDEHTYTTMIGILGQARQFGAMRRLIEEMIKDGCQPTVVTYNRLIHAYGRANYLDEAVKVFRDMQEVGRQPDHVTYCTLIDIHAKAGYLEVALDLYQRMQDVGLSPDTFTYSVMVNCLGKGGHLAAAYKLFCEMIEQGCVPNLVTYNIMIALQAKARNYPCVVKLYRDMQVAGFCPDKITYSIVMEVLGHGGHLNEAEAVFMEMTRDWVPDEPVYGLLVDLWGKAGNVEKARAWYQAMLNAGLRPNVPTCNSLLSAFLKVHRFADAHGVLEHMLGLGLVPSLQTYTLLLSCCTGSPSEMGLCCKLMALSGHPAHSFLLSLPDAEPNGGNVKAHASSFFDLMHSEDRESKRGLVDAVIDFLHKSGLKEEAGLVWEVAAERNVYPNSVREKRKCYWLINLHVMSEGTAVTALSRTLAWFHRQMLASGTGPTRIDIVTGWGRRSRITGSSLVRQAIQELLHLFDFPFFTESGNSGCFVGHGEPLSRWLLNSYVERMHLL >Ma08_p20130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33950222:33956268:1 gene:Ma08_g20130 transcript:Ma08_t20130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQIGTLSHCARTFYISGSRCGNAEGASCTCSEDETCVPTRHPNSTLKANTANGAPTKAGSPCLQNAVGSIIHQKSRCTGPAQHVVSSSSSLRSDSSPPNRNTDNMNAGVRASGTDMLNSSKLTAGRFVKAGMATVSMFSELVSHKTPGTEPGPQRCMADSTQPSFNTISSNDKSHNKPNYSKRQPSLNLVGVSDSSSTCPSSSVEKPSMRGNVKAKQNVTESSISNNGLPSGAKNQRQSVRQKAKYHSNSFTSDGKPGGRISERKMTGSTTETAGSTNRFHNPIKSARLHTGGPPSAGKLVKSGHAIEQYYHTLQRLKWGPTTEEALDKLQYKLDPFQANQVLKLLRDHSIALEFFRWLKSQPGFKHDEHTYTTMIGILGQARQFGAMRRLIEEMIKDGCQPTVVTYNRLIHAYGRANYLDEAVKVFRDMQEVGRQPDHVTYCTLIDIHAKAGYLEVALDLYQRMQDVGLSPDTFTYSVMVNCLGKGGHLAAAYKLFCEMIEQGCVPNLVTYNIMIALQAKARNYPCVVKLYRDMQVAGFCPDKITYSIVMEVLGHGGHLNEAEAVFMEMTRDWVPDEPVYGLLVDLWGKAGNVEKARAWYQAMLNAGLRPNVPTCNSLLSAFLKVHRFADAHGVLEHMLGLGLVPSLQTYTLLLSCCTGSPSEMGLCCKLMALSGHPAHSFLLSLPDAEPNGGNVKAHASSFFDLMHSEDRESKRGLVDAVIDFLHKSGLKEEAGLVWEVAAERNVYPNSVREKRKCYWLINLHVMSEGTAVTALSRTLAWFHRQMLASGTGPTRIDIVTGWGRRSRITGSSLVRQAIQELLHLFDFPFFTESGNSGCFVGHGEPLSRWLLNSYVERMHLL >Ma08_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33950222:33956268:1 gene:Ma08_g20130 transcript:Ma08_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQIGTLSHCARTFYISGSRCGNAEGASCTCSEDETCVPTRHPNSTLKANTANGAPTKAGSPCLQNAVGSIIHQKSRCTGPAQHVVSSSSSLRSDSSPPNRNTDNMNAGVRASGTDMLNSSKLTAGRFVKAGMATVSMFSELVSHKTPGTEPGPQRCMADSTQPSFNTISSNDKSHNKPNYSKRQPSLNLVGVSDSSSTCPSSSVEKPSMRGNVKAKQNVTESSISNNGLPSGAKNQRQSVRQKAKYHSNSFTSDGKPGGRISERKMTGSTTETAGSTNRFHNPIKSARLHTGGPPSAGKLVKSGHAIEQYYHTLQRLKWGPTTEEALDKLQYKLDPFQANQVLKLLRDHSIALEFFRWLKSQPGFKHDEHTYTTMIGILGQARQFGAMRRLIEEMIKDGCQPTVVTYNRLIHAYGRANYLDEAVKVFRDMQEVGRQPDHVTYCTLIDIHAKAGYLEVALDLYQRMQDVGLSPDTFTYSVMVNCLGKGGHLAAAYKLFCEMIEQGCVPNLVTYNIMIALQAKARNYPCVVKLYRDMQVAGFCPDKITYSIVMEVLGHGGHLNEAEAVFMEMTRDWVPDEPVYGLLVDLWGKAGNVEKARAWYQAMLNAGLRPNVPTCNSLLSAFLKVHRFADAHGVLEHMLGLGLVPSLQTYTLLLSCCTGSPSEMGLCCKLMALSGHPAHSFLLSLPDAEPNGGNVKAHASSFFDLMHSEDRESKRGLVDAVIDFLHKSGLKEEAGLVWEVAAERNVYPNSVREKRKCYWLINLHVMSEGTAVTALSRTLAWFHRQMLASGTGPTRIDIVTGWGRRSRITGSSLVRQAIQELLHLFDFPFFTESGNSGCFVGHGEPLSRWLLNSYVERMHLL >Ma07_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31552474:31557294:-1 gene:Ma07_g24100 transcript:Ma07_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARKKAGGGPGETNPRGDAASAIGSLYECGGGKVGMQMSGRKQSPPSTPPMPQNGPGRLFTLPTVLTIGRVAAIPLFVSTFYMDGWWGATATTSIFLLAAITDWLDGYIARKMRLETAFGAFLDPVADKLMVAATLVLLCTKPFECAIFGDVPWLLTAPSITIIGREITMSAVREWAASQNSLALEQAVAVNKLGKWKAATQMTALTALLASRDPSMAVPSVLASGIGLLYVSAGLAVWSLVVYTRKIWTVSLK >Ma07_p24100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31552474:31557294:-1 gene:Ma07_g24100 transcript:Ma07_t24100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARARKKAGGGPGETNPRGDAASAIGSLYECGGGKVGMQMSGRKQSPPSTPPMPQNGPGRLFTLPTVLTIGRVAAIPLFVSTFYMDGWWGATATTSIFLLAAITDWLDGYIARKMRLETAFGAFLDPVADKLMVAATLVLLCTKPFECAIFGDVPWLLTAPSITIIGREITMSAVREWAASQNSLALEAVAVNKLGKWKAATQMTALTALLASRDPSMAVPSVLASGIGLLYVSAGLAVWSLVVYTRKIWTVSLK >Ma05_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33685977:33687763:1 gene:Ma05_g21940 transcript:Ma05_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCANRLLGTTLPACSSSPLPAAPPRSWGTDVPAPAAAAALLCELNRSPWDDLTCLDLVAAYDQEEEDDGGILGSGVKAEVGEVRGIPGNRIKYESAAVACVPKSEARMNWSRKKETAKTRRKKKDDVVEARGGAAATEACSSCKKSDGKGWHCKRRAHHPHSLCNYHLAQLRSYSCSHGHGHGKASGSPKESHGDVGRRKKKTEAAGADSSFYYYYSGFGPWRGKTRGSSSDNGDGCSHPAADEEEVETPMAGEDEEDSEDDDHDNSGRDGNDGRKEGRRSYRRKGRKRMKARSLKSLL >Ma05_p21940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33685977:33687763:1 gene:Ma05_g21940 transcript:Ma05_t21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCANRLLGTTLPACSSSPLPAAPPRSWGTDVPAPAAAAALLCELNRSPWDDLTCLDLVAAYDQEEEDDGGILGSGVKAEVGEVRGIPGNRIKYESAAVACVPKSSPDHWEMERNGDVGPHAGKRCFSDSEARMNWSRKKETAKTRRKKKDDVVEARGGAAATEACSSCKKSDGKGWHCKRRAHHPHSLCNYHLAQLRSYSCSHGHGHGKASGSPKESHGDVGRRKKKTEAAGADSSFYYYYSGFGPWRGKTRGSSSDNGDGCSHPAADEEEVETPMAGEDEEDSEDDDHDNSGRDGNDGRKEGRRSYRRKGRKRMKARSLKSLL >Ma06_p32910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33723363:33724273:1 gene:Ma06_g32910 transcript:Ma06_t32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDPELRLSLCTGEDGHRNYRSDSSKLSRVDSPLRNQQQMTIFYDGRVCVCNATEMQAKALISMAKREMDDMVTEQKQQQQQQSVESSTSSLPRPPSPRPMPQMLNPGLSMKRSLQRFLQKRKARMNDVSPYSQKQKLLLFPIMLQRA >Ma03_p29480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32372247:32374973:-1 gene:Ma03_g29480 transcript:Ma03_t29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGDKRSMSRRLVALVLLLLLLPTTSRAASEYREALAKSLLYFEAQRSGRLPYDHRVSWRGHSGLTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSVVEYGGDIAAAGELKHALEAIKWGTDYFIKAHTHPNVLWAQVGDGDTDHSCWQRPEDMTTSRQAHKIDEEHPGSEVAGETAAAMAAASIAFKETNPHYSHVLLHHAQQLFEFGDKHRGRYDDSIGAARRYYPSGSGYGDELLWAALWLHRASGSEEYLNYVLDNAYDLNGATWAISEFSWDIKYAGVQILASKLLMEGGRDLREEQVSILEQYRAKAHHYLCSCLSMNDDDSGSNVARTPAGLLFVRRWNNMQYVAGAAFLLAVYSDYLADSGELLHCPRGSSGSEDLLSLAKSQVDYVLGSNPRGISYLVGHGGRHPRRVHHRAASSVSYKVDRAFVGCTQGFDEWYGRGGDNPNVVVGALVGGPDDKDEFSDVRGNYVQTEACTYNTAPMVGVLAKLSAKLEEQQ >Ma08_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6259784:6261757:1 gene:Ma08_g08800 transcript:Ma08_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRDGAVRWQTLVMVIAALISANNAAVVTGEQPFFHWFPPPSPSPPASSPSPLPSPLHPPPSGYKSPPRPFLSPSASSYFYNSPPPPPYYYMSPPTSESSPPPYFYSSMPPPQVNRPRYQYNSPPPPYHHDPVIKVVGTVYCYRCYNGTHPLESPHKKLLKGATVKVTCKAGREEVVARGHTDSRGKYSVVVDGFPYGEYGADACKVEPQGAPDGSVCSVPTGLHVGAELEAYSIGRGLVVLKAKPLGFAPQKPYKECEMHHHHHHHKPLTRSALPPNYYKSPPPPEKSPPPSSSSPEPPHYYNSPPSLSPSPPSPYYHQSPSPPIYYHTSPPPPSPSPPPPPLKSSPPLYYDNSPPPPRSSQSPAHSYSSPPPPNYYMSSPQPPPSSPLPPYYYESPPPPWASLPPPYYQSPPSPQSDDSPPPQLPIFPPSYYYNSPPPPSPSPPPPYYYKSPPPPTPSPASPYYYKSPPPPSPSPPPPYLHKSHPSSSPPSPPIPYLYSSPPPPTPYKLESS >Ma03_p30980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33387182:33399149:1 gene:Ma03_g30980 transcript:Ma03_t30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) UniProtKB/Swiss-Prot;Acc:O65572] MGVKEQLLQEEEEEKRGVVAVNPKPKKGLVSATVDLIERVIVYLMHDRKTPLHYLAGNFAPVRDETPPCTDLPVRGTLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHGMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGLFGLFMVQMQLLRAKLKILDVSYGTGTANTALVYHHGKLLALSEADKPYIVRVLEDGDLQTLGMLDYDKRLAHSFTAHPKVDPVTDEMFTFGYSHVPPYVTYRVITKDGVMLDPVPITIPDPVMMHDFAITENYAIFMDLPLYFQPKEMVKGKLIFNFDATKKSRFGVLPRYAKDELHIRWFELPNCYIFHNANAWEEGDEVVLITCRLQNPDLDQVNGAVKDHLENFTNELYEMRFNMKSGAASQKQLSVSAVDFPRINESYTGRKQRYVYCTILDSIAKVKGIIKFDLHAEPELGKKKLEVGGNVKGIFDLGPGRYGSEAVFVPHKPGFSSEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPTRVPYGFHAFFVTEEQLQRQVEA >Ma07_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14090075:14093043:-1 gene:Ma07_g16500 transcript:Ma07_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVSLLLALLRLVLAAPSAQCDLHHATSCPGLTPKKLVFVLAGQSNMAGRGGVSRDLWDGAVPPQCRPSPSILRLSARLRWEVAREPLHADVDVNKTCGVGPGMAFAHAILSSVFWAARPPPVIGLVPCAVGGTRIEEWARGTALYDGLVRRARAAAGKLGAVLWYQGESDTVSREDAEAYRARMERLILHLRSDLEMPGLLLIQVAIASGEGNFTEIVRKAQKDICLPSVACVDAKGLQLEADHLHLTTSAQVQLGEMLAATYLTHAMSK >Ma04_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8095351:8100390:1 gene:Ma04_g11480 transcript:Ma04_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVLRAESVLRILSLVFAAMAALLVGLDTQTKTVFFVTRTATVKDMDALWVMTVVFSVVAGYHLLHLFRCMAFTWLGKNPCHCNKFVAWLYFVLDQGSTYATFGATMAALQAALVGLFGIGSLQWSKLCNIYTRFCDQIAGGIICGLVATLVMAVISAISAHRLFQLYPRTRRSSPKSAGGGRQWLLF >Ma06_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21939280:21946544:1 gene:Ma06_g23790 transcript:Ma06_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVVGILSMLLVFALIPLLWWRRRQVARSPHDHEDEPQPQQAERVVRPSGARRMRRRPAAASSSAASTTEEVLDESDEEVAEADYVAKASKKKEKKKQEREEQRQAEEAARESRKTKQDRYAEMRRRKDEEREAQERLMEEEAMAQKAKDEEAAALEFEKWKGEFSVDAEGTTEIETRDDNQGLLANFVEYIKKQKCVPLEDLAAEFKLRTQDCINRIMTLESMGRLSGVMDDRGKYIYISPEEMRAVADYIKRQGRVSISHLASKSNQFIDLEPKPQFDELTTPPIAAA >Ma00_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15279163:15280934:-1 gene:Ma00_g02090 transcript:Ma00_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSRRVEYDRFIPFRSAMDMDFARCALTMPSRPQRDGSIESPSSVAYQKLLVQCIFKNRSRIFAFKSAPESPADKVSQFDEDNRPHKKQQRRIPKDADRVLAAYDILDDDRLNLLDWGSNNVLAIGLNDKVCLWNAANKSSTEFSRALEDNSPVTSISWSPDGKVLAVALGNSDLDLVDAATRRVLVGIQGDSHSFVCSLAWRSNAILTSRRSDGSIVDYDIRKDDRAICDYRGHRLEVCSLKWSELFGRYLASEGKDKLVHIWDTCMAVANHHPRQHQLLHKISNHTSTVRALDWCPTRSNLLASGGGRNDHCIKFWNAVNGVCLNSIDTGSEVCALLWDKNKSELLTSHGFPNNQLTLWNYTSMTRKAELFGHSSRVLYLAGSPLGGVVASAAEDETLKFWNAFEIPKPPKPEANTVPFAQFSVIR >Ma10_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26427716:26428489:1 gene:Ma10_g13730 transcript:Ma10_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQRLLLLSLLLPLSAAAADSIAQYCSKSFKSKQTQANIDHVLADLVASASVGGFATASSGKGASGIYGLAQCRGDVSAEDCSTCLADAAKKLPTTACPSQADGRIWYDYCFMRYDNENFVGQSDTSVATILINVENATDPEKFDKKVGVVMGRARADAVAPGNNALGRAKLQFTPYITIYALAQCTRDLQQLTCAQCLSSAVEKFPDYCQYRKGCQVLYSSCMVRYEIYPFYFPLDSTKTTAAVGTYYKATLHP >Ma00_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:230438:241474:1 gene:Ma00_g00220 transcript:Ma00_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTGGIDLLSVYNDEEEEEEEEEEEVQVAPPATSADPVAGAGSADDVDGGTRAALQDLSFEATPPHGFPRSPPVDDAMDYETLRSPVARSPTPPPLLPSQLQASPLPAISPSPPLLTSSSLPEPLDSQRMRTGSLAIVDYEHDETAMSPEPEEGEISSNGRLMLGAEVQVPDDDRTPPGTVHILMPNTQLEPLQPSDLSEQPRSENGMPMDVTGSVTEVTQVEDAVVSMEVQKDDPLSSFLPPPPSTECPTELQEKINKFLAYKRCGKSFNADLRNRKDYRNPDFLQHAVRYQDIDQIGTCFSKDVFDPHGYDKSDYVDEIENEMRREMERKEQERKKSQKVDFVAGGTQTATVVPSLNINTQNSASVAVAALAVLPPVPTTVDATTRDGRQNKKTKWDKVDGDIKSSTLSGGHDNPSTTSVHAALLSAANAGAGYTAFAQQKRREAEEKKVGDRKFDKRS >Ma09_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9216654:9223595:1 gene:Ma09_g13590 transcript:Ma09_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRLKLQQQQQALMQQAMLQQQQLYHSGVLAAAMSQMEPVPSGNLPPGFDPSTCRSVYVGNIHPNVTESLLAEVFQSFGPLEGCKLIRKEKSSFGFVDYHDRRSAALAIMSLHGRQLYGQAIKVNWAYASGQREDTSGHFHIFVGDLSSEVTDATLFACFSVYSSCSDARVMWDNKTGRSRGFGFVSFRDQQDAQNAINDMTGKWLGSRQIRCNWATKTTGEDKQQSDNQNAVVLTEGSSNPDGGQENSNEMAPENNPAYTTVYVGNLAHEVSQVELHRQFHILGAGVIEEVRIQRDKGFGFVRYRTHEEAALAIQMANGRIICGKSMKCSWGTKPTPPGTASNPLPPPLPPFQLLPTPGLQGFTAAELLAYQRQLALSQVAAGPMAGQHGLAAQPSAGLMSGGGSQAMYDGYSSLSSAQQLMYYN >Ma09_p13590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9216970:9223595:1 gene:Ma09_g13590 transcript:Ma09_t13590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPSGNLPPGFDPSTCRSVYVGNIHPNVTESLLAEVFQSFGPLEGCKLIRKEKSSFGFVDYHDRRSAALAIMSLHGRQLYGQAIKVNWAYASGQREDTSGHFHIFVGDLSSEVTDATLFACFSVYSSCSDARVMWDNKTGRSRGFGFVSFRDQQDAQNAINDMTGKWLGSRQIRCNWATKTTGEDKQQSDNQNAVVLTEGSSNPADGGQENSNEMAPENNPAYTTVYVGNLAHEVSQVELHRQFHILGAGVIEEVRIQRDKGFGFVRYRTHEEAALAIQMANGRIICGKSMKCSWGTKPTPPGTASNPLPPPLPPFQLLPTPGLQGFTAAELLAYQRQLALSQVAAGPMAGQHGLAAQPSAGLMSGGGSQAMYDGYSSLSSAQQLMYYN >Ma09_p13590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9216654:9223595:1 gene:Ma09_g13590 transcript:Ma09_t13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRLKLQQQQQALMQQAMLQQQQLYHSGVLAAAMSQMEPVPSGNLPPGFDPSTCRSVYVGNIHPNVTESLLAEVFQSFGPLEGCKLIRKEKSSFGFVDYHDRRSAALAIMSLHGRQLYGQAIKVNWAYASGQREDTSGHFHIFVGDLSSEVTDATLFACFSVYSSCSDARVMWDNKTGRSRGFGFVSFRDQQDAQNAINDMTGKWLGSRQIRCNWATKTTGEDKQQSDNQNAVVLTEGSSNPADGGQENSNEMAPENNPAYTTVYVGNLAHEVSQVELHRQFHILGAGVIEEVRIQRDKGFGFVRYRTHEEAALAIQMANGRIICGKSMKCSWGTKPTPPGTASNPLPPPLPPFQLLPTPGLQGFTAAELLAYQRQLALSQVAAGPMAGQHGLAAQPSAGLMSGGGSQAMYDGYSSLSSAQQLMYYN >Ma09_p13590.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9216654:9223595:1 gene:Ma09_g13590 transcript:Ma09_t13590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPSGNLPPGFDPSTCRSVYVGNIHPNVTESLLAEVFQSFGPLEGCKLIRKEKSSFGFVDYHDRRSAALAIMSLHGRQLYGQAIKVNWAYASGQREDTSGHFHIFVGDLSSEVTDATLFACFSVYSSCSDARVMWDNKTGRSRGFGFVSFRDQQDAQNAINDMTGKWLGSRQIRCNWATKTTGEDKQQSDNQNAVVLTEGSSNPDGGQENSNEMAPENNPAYTTVYVGNLAHEVSQVELHRQFHILGAGVIEEVRIQRDKGFGFVRYRTHEEAALAIQMANGRIICGKSMKCSWGTKPTPPGTASNPLPPPLPPFQLLPTPGLQGFTAAELLAYQRQLALSQVAAGPMAGQHGLAAQPSAGLMSGGGSQAMYDGYSSLSSAQQLMYYN >Ma03_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11210664:11212080:-1 gene:Ma03_g14040 transcript:Ma03_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGAPAFSFVVVAVLALASSVASVNVTTLAFDEGYVPLFGEGNLVRSAGGRSVSLLLNRFSGSGFISKDMYYHGLFSASIKLPSDYTAGVVVAFYTSNGDVFEKNHDELDFEFLGNIRGKEWRVQTNVYGNGSTCRGREERYYLPFDPTADFHRYSILWTAENIIFYIDDTPIREVRRSDAMGGDYPSKPMSLYATIWDASNWATSGGKYKVNYKFGPFVSSFSDLALLGCRLDPIQQVPTTQNGCAAADAELATTGLHVMTPEKRRAMRAFREQYMSYSVCYDRARYPEPLPECDVLESEGKRFRETGHLKFRRHHRRMPRRGRRAVVADANRQPRM >Ma09_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36179911:36182176:1 gene:Ma09_g24520 transcript:Ma09_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVPLLLLSVISAALFAVSAAVTKVPAVYVFGDSTADVGNNNYLSGTDAKADFPHYGVDFPHQTPTGRFSNGYNTIDFLAIHMGFKRSPPPYLAVVNKTHPLILRGRRGVSFASGGSGILDSTGTTITMTKQIQDFAAHESNIASRVTAAVAKGLLSKSVLLISSGGNDVFAFFFATGGNATADQTEQFYNVMITNYTTHLKALYDLGARKFALINVPPVGCCPISRVMHPLGACLDGLNALTKGFNDRVSVLMKNLSSEMEGMKYTIGNSYNVVMNIVSDPAGVGYKDVSSACCGSGKLGAEVMCSPNTTFCTNRNEFLFWDRIHPTQETSEKAGFELYGGASEYASPINLKQLVES >Ma04_p39590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36655755:36657314:-1 gene:Ma04_g39590 transcript:Ma04_t39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKREKEVPTGERERERERERNRKICGWLDKQV >Ma07_p28260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34375835:34378249:-1 gene:Ma07_g28260 transcript:Ma07_t28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G16390) UniProtKB/Swiss-Prot;Acc:Q8GWE0] MAFHISPAAPIPSHVHSSPSPPNFFQVSSPCSRRRSLFPCHPISLPFLSPPHPHVSPKASVQAPTTPQTSPLPSPDSSSLDDGDARSRLPPPNPNPPFKNSIWVNPNSPRASRLRQRSSDSRYARLARLAASLDSSDPTEPAVSGVLASLGDAPPEQDAVIILNSMENSQNAVLVLRWFRSRIKLEKEVILYNVTLKVLRKCKDWNGLEALWEEMMEKGIKPDNVTFSTAISCARLCNLPAKAVEWFEKMPEFGCHPDDITYSAMIDAYGRSGNVEMALGLYDRARNEKWRLDPVTFATVIRVYSVSGNFDGALNVYEEMKALGVKPNVVIYNTLLDSMGRAGRPWQVKTIYREMSSRGLVPTRATYSALLRAYSKARYAEDALGVYKQMNERGMELNVILYNMLLSMCADIGSVDEAVEIFRKMKELPDGCRPDIWSYSSLITAYCCSGKVSEAEGLLDDMLEAGFQPNIFVLTSLIQCYGKAQMTDSVVKSLDKLLELGIRPDDRFCGCLLNVATQTPAEELGKIIGCIERANHQLGSLVKMLVAEGTDNETVRREAEELFQYISSEVKKAYCNCLIDLCVNHDQLERACVLLDLAIRLEIYRDLQSRSPTQWSLHVRSLSLGAALTALHVWMNDLANCLQNGEELPPLLGIHTGHGKHKYSEKGLASVLESHLREINAPFHEAPDKVGWFLTTKVAAQSWLASRSSSDVVAA >Ma03_p31540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33729936:33736133:1 gene:Ma03_g31540 transcript:Ma03_t31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSKKTLPSRSPTSEILKVSENFDPNVPISPPFSSKKPIKSPAILSAQLKKANPKTPGRIVVPPPPPERAGKFIVAKKSSGRAGKGLDFEKCRKEAYEALRASQEEFFRRGCSSGAAWVADSAPGEADRSKDGSEKDGTNETGEIVNSGAQELEGDSDVTKMRTLVMEKAMSSMPEPGSGRVKHLVKAFESLLSIPKDDEGENFDEERKPSNWTLLGLQQSAKVVEAGPSSTSFFSSAEFFPSREFERGLRLYSSIECHSDRSSWGSRTSGRGRNKRNSSESLRRSWNKMLKVTIQHPFKLRTEQRGRIKEEQFIKKVKDMLMVEEKQRIPIAQGLPWTTDEPEHLVKPTVKESTEPIDILLHSDVRAAERAEFDQFVMERINFAEQLRSEREMQQKLEEEEEIRRLRRELVPKAQPMPYFDRPFVPKKSERPQTIPKEPRFHIRPRKSSCASMLQR >Ma08_p25860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38568442:38569586:1 gene:Ma08_g25860 transcript:Ma08_t25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMAKKIEGGPSTCHHHHMAIQEINRAHELMSQLHAVLLQLFPAQVGGDILKDILTLTSSALSGLQSIRCESSGGSDDDRRCASDKRKSLQRSDRPGDHKRRRKQETWSIVTSVPYGDGYQWRKYGQKTINNAKYPRSYYRCTYKEGQGCLAKKTVQQEDSCADPPSFKVEYSIQHTCKTIDAVVPFVMDSTPKEALIHTGGFSSEQHLSLSSDTGGNLIQDESLWIYDQMQECPPLVPELLGKMDMASSILSPTGPWDWDMLMGDDDGW >Ma09_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31305374:31305969:1 gene:Ma09_g20920 transcript:Ma09_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNIQQLTLEEEAGMCALQLGSGYVLPFTLEAAIKLRLLDIFVKAGPGTMLSQVDIAAQLSTKNPQAATMVDRMLRLLATNSVISCTIQTIADGCPSRKYVHIPMKAAYQGVQRMMGHTNKNLLRVYSGFNDMEVLVDVNGIDGTSLQMITSRHRHVKGINYNLPHIITGRPTLIDIINWKV >Ma03_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9476443:9476811:-1 gene:Ma03_g12250 transcript:Ma03_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSPRRAVGSQLARFLDDGVVLQHYHGCRQEGRLVGRRRARLPWLSLRHVPAVDHWNGHHVAAGDAPQLSQRDKDGCPGGGGYDVVHGCTLMWFMGCPASCKFSVRLHV >Ma05_p30210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40708409:40709829:1 gene:Ma05_g30210 transcript:Ma05_t30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRRKKHVVLPEGGQRRSARLQALEEQKAANAAASATDALQPSNAAQTSTRKRGRKKKEKPTEVASPSDDDWSESSGVQRRDTYRPWNHSSEAIVSMALEKPIQLVYNNSGPSYRESLLRFVRDAGPKAKMAAQMKLQQCWVRGHDLHASSSATGEAGPSSSTNYEDDRVMKGPICELETDELLRRFTVLGTAGIPEGSLAASSEQRVGGNATLASPVTAAAPPECTSIYDLSFWQSKLRADPSYATTRGRGRDAS >Ma07_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9063890:9065949:-1 gene:Ma07_g12140 transcript:Ma07_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIVQRQEEESLGPIFASRYVQDPVPRYALPEKSISKDAAYQIIHDELLLDSSPRLNLASFVTTWMEPECDKLIIEAINKNYADMDEYPITTEIQNRCVNIIARLFNSPVNEKESAVGVGTVGSSEAIMLAGLAFKRRWQNRRRAQGKPCDKPNIVTGANVQVCWEKFARYFEVEIKEVKLRDGFYVMDPVKAVEMVDECTICVAAILGSTLTGEFEDIKLLDDLLARKNMRTGWDTPIHVDAASGGFIAPFLYPELQWDFRLPLVKSINVSGHKFGLVYAGVGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSHIIAQYYQFLRLGYEGYKNVMKNCMESAKILRQGLVQIGCFHIISKEKGVPLVAFSFKDGKKSSAFKLSKILRRFGWIVPAYTLPPDVEHMTVLRVVVREDFGRAMVEKFLSHMKIALKELHSVMEAPIPTIRYTIELKPCEAAEDEALRPLPKVVVLSQEVEPVDRSIHLSGGKTKGVC >Ma07_p12140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9063890:9065948:-1 gene:Ma07_g12140 transcript:Ma07_t12140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIVQRQEEESLGPIFASRYVQDPVPSPRLNLASFVTTWMEPECDKLIIEAINKNYADMDEYPITTEIQNRCVNIIARLFNSPVNEKESAVGVGTVGSSEAIMLAGLAFKRRWQNRRRAQGKPCDKPNIVTGANVQVCWEKFARYFEVEIKEVKLRDGFYVMDPVKAVEMVDECTICVAAILGSTLTGEFEDIKLLDDLLARKNMRTGWDTPIHVDAASGGFIAPFLYPELQWDFRLPLVKSINVSGHKFGLVYAGVGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSHIIAQYYQFLRLGYEGYKNVMKNCMESAKILRQGLVQIGCFHIISKEKGVPLVAFSFKDGKKSSAFKLSKILRRFGWIVPAYTLPPDVEHMTVLRVVVREDFGRAMVEKFLSHMKIALKELHSVMEAPIPTIRYTIELKPCEAAEDEALRPLPKVVVLSQEVEPVDRSIHLSGGKTKGVC >Ma03_p28880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31923027:31924009:1 gene:Ma03_g28880 transcript:Ma03_t28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQLTKQYPSKNVVVLAIVGFGGIGKTTHAQKVFSDNKVQANSGTTIWMCVSHEFSETDLLGNIIEGAGGKYNREQSRSQLEPTVERLLRGNKFLLVLDDVWDARIWDDLLRNPLQGGAAGSRVLVTTRNEGIATAHVHEMKLLPPEDGWSLLCKKATMNAEEERDAQDLKDIGMKIVEKCGGLPLVIKTIGGVLRERGLNRNAWEEVLRSAAWSRTGLPDGGHGALYLSYQPSGPSQVMLSLLCLIARKLCVPHVGHRQIMDSREVCRSMRCHFGGNRGAISKRAAS >Ma00_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:18577910:18578788:-1 gene:Ma00_g02530 transcript:Ma00_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRTSDALMYRAFPTTLRGPARAWYSSLKAGTVASFDQLAKDFELNFLAYARPKSFFWSLVERPPAAVPEMLQRAGQFIAAETWMAGKREEHKKVMSEPPRQQQPTASRRKLDRSDPRPPLPALNSSRTEIFLHEKGKGLLKEPHPMRNPRELANRSKYCRFHQQHGHDTEQCRELKRQIKELIRRGHLGHYLLPDKELSPHPEGPVKRHIDVIAGGPASGGGGGAPSREERRTPEPLQT >Ma09_p06090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3926375:3927248:1 gene:Ma09_g06090 transcript:Ma09_t06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAAGGGGGGGGPTAAAAAAAAQKQKTLLQRADTDVTNIVDNFNQLVNLARVNDPPVRNSQEAFQMEIRAARMVQAAESLRNLVSELKQTAIFSGFGSLNENVDRRIAEFNRLEEGSERLLERVGEQAAASLKELEAHYYSSVLRTSPSEGP >Ma09_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3926361:3927996:1 gene:Ma09_g06090 transcript:Ma09_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAAGGGGGGGGPTAAAAAAAAQKQKTLLQRADTDVTNIVDNFNQLVNLARVNDPPVRNSQEAFQMEIRAARMVQAAESLRNLVSELKQTAIFSGFGSLNENVDRRIAEFNRLEEGSERLLERVGEQAAASLKELEAHYYSSVLRTSPSEGP >Ma05_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20462522:20463685:-1 gene:Ma05_g17520 transcript:Ma05_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKKTGVIQRLGFGGAVEFGGGRLVIRLPDRRLLRLVARSVLLAAVILSLPWLHADIFFRGGDVGGIAERQGAIYGDATFLPSLLHDLSRRGLLRPGDNAVFLNDPIAGDRSTAVPDGSADFVFSASAGDFEHIDRILKIGGVAAVRLSRNPSETFTPPANYRTAYVGRVGSVAVLAMRKSFANGRGLRLRRLLSMPVAKKEALSGLEGALLEPPEPGRRGWQRRARYLPELTRDELDGYPRRVFVEVAGAGEAGSGAAWFEQNYPRKGRAFDVIRVEVMEKENKDTDEAEKGASPSLAEWLERNVKEEEYVVVKAEASAVEEAVAEGAIGLVDELFLECDHQLWEDDDTKKGETRGGRRAYWECLALYGKLRDAGVAVHQWWSF >Ma05_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21614196:21615064:1 gene:Ma05_g17770 transcript:Ma05_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARMPKQLELISSSTILLFCLSATQKPVDGPSSKDWRGGRAASFNIIPSSSGAAKVCSSKLYLLCFFDVFLLILFFILFALELSFCGSHLTVRIEKAATYDQIKAAIK >Ma05_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33974679:33978413:-1 gene:Ma05_g22240 transcript:Ma05_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQRRDENGRAAAEEEDGSTTGSIHGFESLHRLLEANLKPQIFQEVSRLLLGLNCGRHLERVSLPQAATSLSAEHDFDLQAFCFHADKELLRQPRIVRVGLIQNAIGLPTTAHFVDQKNAIRQKVEPMIDAAGASGVNILCLQEAWMMPFCFCTREKKWCEFAEPFDGESTQFLQEFARKYNMVIVNSILERDIHHGETIWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEIFPNPFTSGDGKPQHSDFGHFYGSSHFSAPDASCTPSLSRYRDGLMVSDMDLNLCRQLKDKWGFRMTARYELYSEMLAKYLKPDFTPQVIVDPMLQKRNPL >Ma08_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:710272:712666:-1 gene:Ma08_g00750 transcript:Ma08_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNLKNRCQNSSLGVYTRKETSCSSSTSSDESSEEEEFFRSDQAEFTMSTPLPEHGDIPRGQATQAGAATSANDSVNPTDISLPQSDILEPRQSPPVQVMEKSDPADPNRIPSSIFERSKSTTPMEWSVASNESLFSIHVGNSSFSKENVVSPMASYSVHAESGALQAAAEAANAEEHAHKERRPAEQAALPPSLSRSSAESFAFPMYDLFSCTSIRPS >Ma04_p33260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32983299:32986327:-1 gene:Ma04_g33260 transcript:Ma04_t33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRRSSSLILLGLFVILSTGGRESFPSRVGAAAAMAPRSVTATAENDTSSATAQRFESDVVVGAVDDPEFIASKVNMQISNGTARRSLDDLSTCVTGNPIDDCWRCDPKWHLRRKRLADCGIGFGRSAIGGRNGRFYHVTDPSDDDPVNPLPGTLRYAVIQDEPLWIVFKRDMVIALKQELIMNGFKTIDGRGANVHIANGACITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNYFTHHNEVMLLGHSDSYKRDKAMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPTDPFAKEVTKRVATSSDTWKSWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKTSSMVASITAGAGALQCRKGSLC >Ma09_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12760544:12765266:1 gene:Ma09_g17230 transcript:Ma09_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPEMQTRGPDPPPSPNPIPDHRNLPSNESPVAPGPLPAEAAGAPPSMFPVPHHRRARSELAFRLPDDLDLRGAVPVDEIGSEDDLFCTFMDIDKIGCKLEASGSGSEGDGDCTDRTAESSGCAEEAKPRHRHSVSVDGSSMTSSATMRREGLSGEVMETKKAMTPEQLAELAVIDPKRAKRILANRQSAARSKERKAYYISELERKVKTLQTEATTLSAQLTLLQRDTAGLSAENTELKLQLQAMELQAQLRDALSEALKQEVQRLKIATGEVSKPDESCNIGQHSALYSSSFFTLPQQQPIHLQAIQFQPQFQHLQPGLLNQHMISHPNDLPQVMQQHPLGRLQGLDINNGAHMVKSESSTVSVSESSSTF >Ma06_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8338439:8338777:1 gene:Ma06_g11960 transcript:Ma06_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLVNGTFQVRHAAALSEVDDFCKTLGGKTPIHSIFIANNGMAAVKFMRSIGTWAYETFGTEKAILLGAMATPEDLKINAEHIRIADQFVEVPGGTNNTNGRSRRVGVACP >Ma09_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36525614:36547268:1 gene:Ma09_g24920 transcript:Ma09_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSEWDFARASDYKTFRQTSRDRLLYEMLRSTDTSNSKSSWKILIMDKFTLKVMSFSCKMADITDEGISLVEDIYKRREPLPSMDAIYFIQPLKENVIMFLSDMSGRCPLYKKAYVFFSSPIPKELIAYVKNDTSVLPRIGALREMNLEYFAIDSQAFLTDQDMALEELFGEKAENSRYNDSLNTMAVRVATAFASSKEFPHVRYRAAKCTDESDMTKMRDLVPTKLATAIWNCLSKYKSTVPEFPQKETCDLLIVDRTIDQIAPVIHEWTYDAICHDLLEMDGNKYVYEAQTKAGTVEKKEVVLEDHDPVWLELRHAHIADASERLHEKMSNFTSKNKAAQLHQSSRDGGEISTRDLQKMVQALPQYNEQMEKLSIHVEIAGKINTMIREAGLREIGQLEQDLVFGDAGAKEVISFLRTKQDTSPENKLRLLMIYAATYPEKFEGDKGMKLMQLAKLSTDDMKAVNNLMYLGQIDSKKASGAGFSLKFDVQKKKQAARKEKNDEEETWALSRFYPVIEELIEKLSKGELSKDEYPYINDPSATAPAASNNALARASTAQPAHSMRSRRTATWAKTRNSDDGYSSDSILRNASSDFKKMGQRIFIFIIGGATRSELRVAHKLTLKLKREVILGSSSVDDPPMFITKLKMLTRQQPSLEKLHI >Ma02_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22243490:22255892:1 gene:Ma02_g14110 transcript:Ma02_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAIFFSLQHEGDFSFKEAWYHLSDEYPIKFEAERLPPPVVVDLNGDGKSEILVATHDAKIQVLEPHRRRVDEGFAEARVLAEVSLLPDKIRISSGRRPVALAAGVVDRSYKHGEMRKQVVVVVTSGWLVMCFDHNLKKLWETNLQDDFPHGAHHREIAISISNYTLKHGDAGLIIVGGRMEMHHQSSMDLFEETMIADEGGELHRRNTNEKENSGSGNVDLRHFAFYAFAGRTGTLRWSRKNDNIQVQSSDSSQLIPQHNYKLDVHALNARHPGEFECREFRESILGVMPHHWDRREDTSLQLSNFRRHKRKSLKKTPGKGTTSPFHKPIDHNPPGKDSSNKIARVIGKAADYAGSAKTKKRSLYIPTITNHTQVWWVPNVVVAHQKEGIEAVHLASGRTICQLHLPEGGLHADINGDGVLDHVQVVGANGAEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHHSPFNLFQHGDFARSFGRNYDVGSLEVATPILVSRDDGHKHRKGSHGDVVFLTNRGEVTSYSPGLHGHDAVWRWQISTGATWSNVPSPFRMMEDVIVPTLKSFPLHAHSNKEVIVAAGEHEAVVISHGGSMLASIELPGLPTHALVLEDFSNDGLTDIILVTSGGVYGYVQTRQPGALFFSTLVGCLIVVIVVIFVSQHLNSISKGKPRASSDHR >Ma02_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21322064:21325927:1 gene:Ma02_g12690 transcript:Ma02_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVTSGRWCSSEGREGMGLAEVLFLLFLTLGPMGSTGEENTSTNITFPIPPNCPKSYGNISFEYPFGIGSGCFRPGFNLTCMSHSTDPPTRSLFLGDGTVEVIDFDMDNGIVYVKTPIVTMGVDEDYFSHTLIDMRNFPFSFNLEANFTDSYTISMAYNYIFVAGCSAIADLVDLATNKTIHTCSTTCYANSSSPHEYWYSFDTGYCIFDMYYLNAENLTSLGIRITRLNQNIEGIVNGTRTQVAITTLTYYITDYQTCKEANKHRTTYTCRSHNNDCYDVFPYVAHINYTIGYICRCSLSYQGNPYIPNGCQDATFTPSLPNNCSTKCGDVNISFPFGLEKGCHRDQSFLLTCNRSTKPPTLLFQDYYIVMNMSLEEGTLEVKRIHEYEYFSFAYENQPFIGFEDLIAYEKDAKLNMTTFACVEHSSCKDENISSNGQKISEYRYECNDGYEGNPYLRNGCRDIEECSFPQNNFSYASYTNTMGSYNCTCPRGTTGDPKRACIPDKKQTVLLGVIIGVSNGVGLLLLSTSLIIFRRKWKKRKQKRIREKHFRQNHGLLLQQLISSREDIAERTKIFPLEEIEKATNNFDETRVLGRGGHGTVYKGILSDQRVVAIKKSKIVKKSEIDQFVNEVAILSQINHRNVVKLFGCCLETEVPLLIYEFISNGALSDHLHTSDGSSTLSWEARLRIATETAGALAYLHSAASISILHRDVKSSNILLDDHFTAKVSDFGASRFIPLDQTHIVTGIQGTFGYLDPEYYQTSQLTEKSDVYSFGVILLELLTGKKPIFSIEHENKQSLSIYFLQALQEKRYFDLVEDRVMKEGAKQELAEVIQLVATCLKFKGSERPTMKEVEYKLQNLGRIRKNGGHSLTQLQKIMKKLSFC >Ma06_p12210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8491703:8503376:1 gene:Ma06_g12210 transcript:Ma06_t12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQRNSYGKRSHSNSEYTDNGGSKRRNPGEDRENYAPGPDDTVYRCLCPGRKIGSIIGRGGEIVKQLRSDTQAKIRIGETLPGCEERVITIFSTSKETNKFEDTGDNVCPAQDALFKVHERLVNDEAMGEEDTDVDTTQVTLRLLVPSDQIGCIIGKGGQIIQGIRSDSGAQIRILKNDHLPACAISSDELLQITGEASVVKKALFQVSSRLHDNPSRSQHLLVPGTPQVYPVAGQFGVPNNSAPIVGLGPLMGSYGGYKGDAVGEWPSLYPPPRDESSAKEFSLRLLCPAANIGGVIGKGGVIIKQIRQESGASIKVDSSKSEDECIILISSKEFFEDPISPAIDAAVRLQSRCSEKSEKESGEPSYTTRLLVPTSRIGCLIGKGGTIISEMRRSTRANIRILSKENLPKVAAEDDEMVQICGELDIARNALIQVTTRLKANFFEREGALSAFPSSAPYHPLPMDAPDVARHGGRDNKLHGRTYSYSGAYGPSGDSFASNNYSSYGGSQRGGSYGAYSGYSSRSGSAGLILKAFKGISIIS >Ma06_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8491703:8496245:1 gene:Ma06_g12210 transcript:Ma06_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQRNSYGKRSHSNSEYTDNGGSKRRNPGEDRENYAPGPDDTVYRCLCPGRKIGSIIGRGGEIVKQLRSDTQAKIRIGETLPGCEERVITIFSTSKETNKFEDTGDNVCPAQDALFKVHERLVNDEAMGEEDTDVDTTQVTLRLLVPSDQIGCIIGKGGQIIQGIRSDSGAQIRILKNDHLPACAISSDELLQITGEASVVKKALFQVSSRLHDNPSRSQHLLVPGTPQVYPVAGQFGVPNNSAPIVGLGPLMGSYGGYKGDAVGEWPSLYPPPRDESSAKEFSLRLLCPAANIGGVIGKGGVIIKQIRQESGASIKVDSSKSEDECIILISSKEFFEDPISPAIDAAVRLQSRCSEKSEKESGEPSYTTRLLVPTSRIGCLIGKGGTIISEMRRSTRANIRILSKENLPKVAAEDDEMVQICGELDIARNALIQVTTRLKANFFEREGALSAFPSSAPYHPLPMDAPDVARHGGRDNKLHGRTYSYSGAYGPSGDSFASNNYSSYGGSQRGGSYGAYSGYSSRSGSAGLSGPKPVSHGKHHGY >Ma03_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2113438:2117440:-1 gene:Ma03_g03120 transcript:Ma03_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIPMEKLLSKSKFLKHRAIIKVDDSHPFFWNTIIRSYVQGLKPWEAIYFFRQMLQRNVSPDKFTFPFILKACASAVALREGEQIHCHILKSPHNFDLFVQGSLIFMYASCQKIDSAHASFGAMLYKNLISWNMIIDAYVKHGSIITAFELFVQMPERDLFSWNIMIHGFTKNGQIESARRLFDEMPMRDIVSWNSIIDGYAKCRDMRAARKLFDESPIKDEVTWGIMLNGYAKCGQITIAHNWFEKLPYKNSINWNCLIDGYVRCGNIILAHKLFDLMPNRNVTSFNIMLDAYMKQGELGLACEIFDNMPVKDVVSWNIMIDGNARLGRITVSRKLFETMPCRDVVSWNTMIAGYKDNGESKEAVELFTKMNMLGEKPDCSTLAIVLSAIADLGFFLQGRWVHAYIDRYNIPLDGVVGVALIDMYSKCGYVDIALSIFDDIPRKERDHWNSIISGLAVHGHGSLAISLFQDMEQSMVGPDDITFIGLLSACSHAGLVYEGQWYFKHMSLKYGISPKIQHYGCMVDLLSRAGHLEEAIALVNNMPVSANDIIWRALLGASRNHGNIEIAEFAARQLIELVPRDSSSYVLLSNIYVFRDQHESAKELWKTMKKKGVSKTIGCSCIEIHGFLHEFTVGRNSHPQIKEIYLLLDNMTQALRLEGYLADVRCSLFDIEL >Ma03_p03120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2113438:2117440:-1 gene:Ma03_g03120 transcript:Ma03_t03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILILFSGTPSLEAMCKVSNHGKPYIFSVKCCREMFLLTNSHSLLYLKPVRVLWHSEKGSLIFMYASCQKIDSAHASFGAMLYKNLISWNMIIDAYVKHGSIITAFELFVQMPERDLFSWNIMIHGFTKNGQIESARRLFDEMPMRDIVSWNSIIDGYAKCRDMRAARKLFDESPIKDEVTWGIMLNGYAKCGQITIAHNWFEKLPYKNSINWNCLIDGYVRCGNIILAHKLFDLMPNRNVTSFNIMLDAYMKQGELGLACEIFDNMPVKDVVSWNIMIDGNARLGRITVSRKLFETMPCRDVVSWNTMIAGYKDNGESKEAVELFTKMNMLGEKPDCSTLAIVLSAIADLGFFLQGRWVHAYIDRYNIPLDGVVGVALIDMYSKCGYVDIALSIFDDIPRKERDHWNSIISGLAVHGHGSLAISLFQDMEQSMVGPDDITFIGLLSACSHAGLVYEGQWYFKHMSLKYGISPKIQHYGCMVDLLSRAGHLEEAIALVNNMPVSANDIIWRALLGASRNHGNIEIAEFAARQLIELVPRDSSSYVLLSNIYVFRDQHESAKELWKTMKKKGVSKTIGCSCIEIHGFLHEFTVGRNSHPQIKEIYLLLDNMTQALRLEGYLADVRCSLFDIEL >Ma03_p03120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2113018:2117440:-1 gene:Ma03_g03120 transcript:Ma03_t03120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIPMEKLLSKSKFLKHRAIIKVDDSHPFFWNTIIRSYVQGLKPWEAIYFFRQMLQRNVSPDKFTFPFILKACASAVALREGEQIHCHILKSPHNFDLFVQGSLIFMYASCQKIDSAHASFGAMLYKNLISWNMIIDAYVKHGSIITAFELFVQMPERDLFSWNIMIHGFTKNGQIESARRLFDEMPMRDIVSWNSIIDGYAKCRDMRAARKLFDESPIKDEVTWGIMLNGYAKCGQITIAHNWFEKLPYKNSINWNCLIDGYVRCGNIILAHKLFDLMPNRNVTSFNIMLDAYMKQGELGLACEIFDNMPVKDVVSWNIMIDGNARLGRITVSRKLFETMPCRDVVSWNTMIAGYKDNGESKEAVELFTKMNMLGEKPDCSTLAIVLSAIADLGFFLQGRWVHAYIDRYNIPLDGVVGVALIDMYSKCGYVDIALSIFDDIPRKERDHWNSIISGLAVHGHGSLAISLFQDMEQSMVGPDDITFIGLLSACSHAGLVYEGQWYFKHMSLKYGISPKIQHYGCMVDLLSRAGHLEEAIALVNNMPVSANDIIWRALLGASRNHGNIEIAEFAARQLIELVPRDSSSYVLLSNIYVFRDQHESAKELWKTMKKKGVSKTIGCSCIEIHGFLHEFTVGRNSHPQIKEIYLLLDNMTQALSLYRVWFPRRWYSF >Ma09_p31450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41177722:41179211:-1 gene:Ma09_g31450 transcript:Ma09_t31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATFLLLACVVLLGLSSGGQADELKKHFYKKLCPQAEEMVQDLVWASVESNSTLPAKLLRLFFHDCFVRGCDASVLLDSTANISAEKDAIPNRSLAGFEVIDQVKAALEKACPGRVSCADIVALAARDSVSFQFQKPLWEVKTGRRDGNVSLASEALADIPSPGANFTRLVQQFASKNLDVEDLVVLSGAHTIGVGHCGIIRNRLYNFTGKGDTDPSLNATHAAFLKTQCSPTDRTTTVEMDPGSSLSFDDHYYVILKQKEGLFQSDAALLTDGKSSKIVDKLLDDGDFFDAFGKSITKMGNIGVLTGTDGQIRSNCRAVNR >Ma01_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3244672:3253877:-1 gene:Ma01_g04740 transcript:Ma01_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPIHFPNYPHSLPPIPSLLTAARLLPRVQMSSSALQRAAASPTIPPLPENLIVLGCGAVSVDYLATVAAFPNPDDKIRSISLKVEGGGNAGNALTGACRLGLKPRVISKVANDAQGRSLLAELEGDGVDTSYIVVAEEGNSPFTYIIVDNQTKTRTCIHTPGNPAMVPEELAQSKLSSALDGASLAYFDGRLWETALVVAQEASRRRIPILIDAERPREGLDDLLNLATYVVCSEKFPQVWTNAPSVSSALVSILVRLPNVKFVIVTLGEKGCILLEKSTTEASEMEETEVDSLLESLRTKVGGSSGIPTCISSEASLRISADGIGAINGRLHVGTAEIISPTELIDTTGAGDAFIGAVLYAICAGMPPEKMLPFASQVAAANCRALGARSGLPSRADPRLAPFWL >Ma03_p30060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32854487:32865581:1 gene:Ma03_g30060 transcript:Ma03_t30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSNHDEKKERKSDVENSEDERRTRIGSLKKKAISASTKFRHSLRRKSRKKHDTRVSSVSIEDIRDIEELQAVDAFRQSLMQDELLPSKHDDYHMMLRFLKARKFDLEKAKHMWSEMLTWRQEFGVDTIVEDFDYTEIEEVLKYYPQGYHGVDKEGRPVYIERLGKVDANKLMQVTTMERYVKYHVKEFERCFLFRFPACSIAAKRHIDSSTTILDVQGVGLKNFTKAARELIIRLQKIDNDNYPETLCRMFVVNASSGFKLLWNTVKSFLDPKTTSKIHVLGTKYQNKLLEVIDSSELPEFLGGSCTCAEHGGCLRSDRGPWRDPNILKMVLNGEAQCARQIVAVSNCEGKIIAYAKPRYPTIKGSDTSTESSSEAEDIVYSKKTINYIHNPQLDPVHEEAKIVGKACISDASSEYEDCVPMVDKVVDVGWKNQISSSVCSSSRGRFWLFDMSEPSEGIRAQIVTWLMAFIMALYAMFCSVTRRVTRRLPDNMLKSDHCYPEFDPNPMPKEEFRPPSPSPTFKDVDILSSFLKRLGELEEKVDKLQAKPSAMPHEKEELLDAAVRRVDALEAELIATKRALYDALMRQEELLAYIDQQKEAKFRKKKCFCF >Ma03_p30060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32854488:32865581:1 gene:Ma03_g30060 transcript:Ma03_t30060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSNHDEKKERKSDVENSEDERRTRIGSLKKKAISASTKFRHSLRRKSRKKHDTRVSSVSIEDIRDIEELQAVDAFRQSLMQDELLPSKHDDYHMMLRFLKARKFDLEKAKHMWSEMLTWRQEFGVDTIVEDFDYTEIEEVLKYYPQGYHGVDKEGRPVYIERLGKVDANKLMQVTTMERYVKYHVKEFERCFLFRFPACSIAAKRHIDSSTTILDVQGVGLKNFTKAARELIIRLQKIDNDNYPETLCRMFVVNASSGFKLLWNTVKSFLDPKTTSKIHVLGTKYQNKLLEVIDSSELPEFLGGSCTCAEHGGCLRSDRGPWRDPNILKMVLNGEAQCARQIVAVSNCEGKIIAYAKPRYPTIKGSDTSTESSSEAEDIVYSKKTINYIHNPQLDPVHEEAKIVGKACISDASSEYEDCVPMVDKVVDVGWKNQISSSVCSSSRGRFWLFDMSEPSEGIRAQIVTWLMAFIMALYAMFCSVTRRVTRRLPDNMLKSDHCYPEFDPNPMPKEEFRPPSPSPTFKDVDILSSFLKRLGELEEKVDKLQAKPSAMPHEKEELLDAAVRRVDALEAELIATKRALYDALMRQEELLAYIDQQKEAKFRKKKCFCF >Ma06_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2243040:2257945:1 gene:Ma06_g03020 transcript:Ma06_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGWRMMYEGTVVVGSLSLLAWGGLWFLNRRLYKEYEEKRALVQILFSLVFAFSCNLFQLVLFEILPVLSKKARWINWKIDLFCLIMLLVFVLPYYHCYLILRNSAVRKERAAFGATLFLLAFLYVFWRLGIHFPMPSPEKGFFTTPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEESDIKALERQLMQSIETCISKKKKIILSQMEMERIQGSEEKLKASSFLKRIVGTVIRSVQEDQREQDIKTWEAEVQALEELSKQLFLDIYELRKAKVAAAYSRTWKGHLQNLLGYALSVYCVYKMIKSLQSVVFKEAGSVDPVTMVISIFLQLFDIGINAALLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSTNVVLFLSEIMGMYFVSSILLIRKSLATEYRLIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAQYTSRKADKHSID >Ma05_p29060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39872995:39874050:-1 gene:Ma05_g29060 transcript:Ma05_t29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMFLLVLLAMASSLAMASDPSQLQDFCVADMMSKVRVNGFVCKDPMVVKADDFSLSGLDMPGDTGKNNFGFNVTPANVIQIPGLNTLGISMVRIDYIPNGLNPPHTHPRATEILTVIEGQLLVGFVTSNSDDGNRLFTKMLKKGDVFVFPQGLIHFQFNPGHTNTFAIGALSSQNPGTITIADAVFGSNPPISDEILAKAFQVDKKTIDWLQAQFAKKN >Ma05_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34600118:34607688:-1 gene:Ma05_g22830 transcript:Ma05_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPLKRDGIEGDAVGRRVGRAVLHGGAAAVAGRALVRCFSRKHRSDTRRINPKVPREEATAISHSLYQIVKDHGPLSVSNTWNHAKHFLTSRNLWQCDVEESSINGSNSKTHMKIMLKWMMGRKMLKLSCTHVDSAKEVSPLYSAGRSSSSAPPSHTLNDSRQGEKSRHKVHQIGGGYEVCFMPQRKGYKLCSML >Ma02_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16716014:16718290:1 gene:Ma02_g05390 transcript:Ma02_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGDSIMVLEMVVCSVAALFVLFFSSLLFFCRRRSRPPEPADLTLLRSYPVVGNLPHFVKNRHRLLEWVTELVAASPTATVTVAPFVFTASSANVEHVTKANFGNYPKGDADVATLHDFLGRGIFNSNGADWKSQRKTASFEFNTRSLRAFVLDKARRELVGRLLPLLNRASRSGDVVDLQDVLERFAFDNICSLVFGMDPKCLGGGSEEGERFFHAFDRAAHLSIERMNWPFAWMWKLQKWLGVGAERCLRESMSIVHDVIEKAVKARRSTPIKSDMGSDFLSRFAADGANSDEYVRDILISFVLAGRDTTPSALTWFFWLLSSRPDVVNRIREEVKRIRSRRQPGNDGEEAATFTLEELREMAYVHAAISESLRLYPPVPLLPRVSAEEDETPDGARVRKGWTVMYNAYAIARREGVWGADCGEFRPERWLDEEGVFRPKSPFVFPVFHAGPRMCLGKDMAYIQMKALVAGILERFNVEVAEERRQPRHLLTFTMRMEGGLPVRVKAIEGV >Ma08_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7053310:7053907:1 gene:Ma08_g09680 transcript:Ma08_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGFTFQPSDQELVVYLCEWIHHMPLSCNAVEEADVYSQEPEALLRGRERAYFFTTLRTSSSGLRVQRQAGNGTWMLNTSKTGTPVNVVCDNGDEVTVGHKRNLSFYLGKVKKCTGFVMDEYLLFAPPYDNNGTKDQKVLCVIRQSPQAINNAAKRKREASSLSEIS >Ma05_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10562271:10564112:1 gene:Ma05_g14460 transcript:Ma05_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEAKVSDVSLKGLSKKLEEFAKERDWEQYHSPRNLLLAMVGEVGELAEIFMWRGEVAKGLPNWEESDKEHLGEELSDVLLYLIRLSDICGIDLGEAATKKMVKNAIKYPPKAT >Ma08_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35421760:35421867:-1 gene:Ma08_g21590 transcript:Ma08_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPSIVICYQKPCKRTAADICIIDKRFTFFFNS >Ma10_p29580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36127666:36131562:1 gene:Ma10_g29580 transcript:Ma10_t29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISACVLAASLLLLSSFSSATDSKNNPADELVSVINSNRTSHKSPALFDNAGLGCIALQYIKAYEGQCGEVGDSKKPPDSSFIDTFAPNCGVEAPTLAPITGRLLACQSTYVSPEAAFDILIENARSLQILHDKNHTEVGVAVSGTDGGAPYFWCVLFSNGTRNSSFVLQGGVAKTVRPGCFSGNNDDCSGASSLSSALWTMVAAAGIAVAYGLGL >Ma09_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10481275:10484736:-1 gene:Ma09_g15160 transcript:Ma09_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPEVGLSCSSPASSGSDRRSIPVLGMGTGVLPFTPDQRAKMKLAILQAIELGYRHFDTATLYLSEEALGEAVAEALQAGLISSRAELFITSKLWCSDAHHDLVLPAIRQSLRNLKLDYLDLYLIHWPISLKPGRCHFPLKSDDILPLDLRSVWEAMEECQRLGLTKSIGVSNFTRKKIVELLDIARIPPVVNQVELNPVWQQNKLREFCEQKGIHVTAYSPLGGQSLSLGRNLVLESQVLKDIAEAKGKTVAQVSLRWLYEQGVSMVVKTLNEERIEENTKIFDWELSDEDRHKISQMPQYKRVSVRSLLSAEESSKRLDLPSIDDMDED >Ma10_p28480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35333587:35341435:-1 gene:Ma10_g28480 transcript:Ma10_t28480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPFLGMRIDVESLLQPVFLHGFSASCHLIFLLSLSLFWLCKRTRHKLESSKHGAENSSPLRHRLALFTCLVLGLFNLSLCLLDFFWYRDGWRWPRDRVAGRLDLALRAAAWLATSAYLVLGFRSAKRFPALLRIWWGLSFLMSSSFLAIDFFCLRQRAALPTRAWVLDIGSFPCALVLCCAGFLWNSWEEQQSSDAREPLLNGGSHESCHDTNTGGASLFRNAGFLSTLTFYWMGPLLAAGHRKTLDLKDVPQLDERDSVIGVFPIFKSKLESCSGSSTSASDGAITTLKLARALILSAWKQILLTALYCLVYTVATYVGPYLIDYFVQYLNGNRKFANEGYMLVMAFVIAKILECLSQRHWFFRLQQVGIRVRASLVAMIYQKGLTLSSCSKQSRTSGEVINLMSVDADRVGLFSWYMHDLWMVPVQVALALLILYANLGIASLAAFAATFIVMLANVPLGKMQEKYQEKIMECKDTRMKATSEILRNMRILKLQGWEMKFLSKIIKLRENETNWLRKYVYTSAMTTFVFWGAPTFVAVVTFGACMLLGIPLESGKVLSALATFRVLQEPIYNLPDTISMVIQTKVSLDRISSFLCLEELQSNAVQRLPRRSSEVAVEVINGSFSWDPSSEVPTLKDLNFQVLQGMKVAVCGIVGSGKSSLLSCLLGEVPKISGTVGLCGTTAYVPQSPWIQSGKIQDNILFGKEMDHEKYDKVLEACSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYHDADIFLLDDPFSAVDAHTGSHLFKECLLGHLASKTVIYVTHQVEFLPSADLVLCMRDGRIAQAGKYAEILNSGTEFMELVGAHKDALAALASVDLGTGTSDNNAEVGTSGTKGSARTSTQANDTDAQNGKADEVNTQKGQLVQEEEREKGKVGFWVYWSYITMAYKGALVPLMLLAQILFQILQIGSNYWMAWAAPVSKDVEPPVSGSMLIYVYVALALASSFCILIRAVLLVTAGYKTATLLFNKLHTCIFRAPMSFFDSTPSGRILNRASTDQSEVDINIPSQIGSVAFTTIQLLGIIAVMSQVAWQVFIVFIPVIAACIWYQNYYIGTSRELSRLVGVCKAPIIQHFSESMSGSMIIRSFGHEARFVDTNFHLSDDYSRPKFHTAGAMEWLCFRLDMLSTLTFAFSLVFLISVPKGVIDPGIAGLAVTYGLNLNMLLTWVIWNVCQLENKIISVERILQYTSIASEPPLSVETNKLDSSWPSKGEIELRNLQVRYGPHMPFVLRGLTCTFSGGMKTGIVGRTGSGKSTLIQALFRIIDPTVGQILIDGVDISTVGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYKDEAIWEALESCQLGEEVRKKELKLDSGVTENGENWSMGQRQLVCLGRVILKKSKVLVLDEATASVDTATDNLIQKTLRKQFSESTVITIAHRITSVLDSDMVLLLDNGVIVEHDTPARLLENKSSLFAKLVAEYTSRSSSSF >Ma10_p28480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35337559:35341435:-1 gene:Ma10_g28480 transcript:Ma10_t28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPFLGMRIDVESLLQPVFLHGFSASCHLIFLLSLSLFWLCKRTRHKLESSKHGAENSSPLRHRLALFTCLVLGLFNLSLCLLDFFWYRDGWRWPRDRVAGRLDLALRAAAWLATSAYLVLGFRSAKRFPALLRIWWGLSFLMSSSFLAIDFFCLRQRAALPTRAWVLDIGSFPCALVLCCAGFLWNSWEEQQSSDAREPLLNGGSHESCHDTNTGGASLFRNAGFLSTLTFYWMGPLLAAGHRKTLDLKDVPQLDERDSVIGVFPIFKSKLESCSGSSTSASDGAITTLKLARALILSAWKQILLTALYCLVYTVATYVGPYLIDYFVQYLNGNRKFANEGYMLVMAFVIAKILECLSQRHWFFRLQQVGIRVRASLVAMIYQKGLTLSSCSKQSRTSGEVINLMSVDADRVGLFSWYMHDLWMVPVQVALALLILYANLGIASLAAFAATFIVMLANVPLGKMQEKYQEKIMECKDTRMKATSEILRNMRILKLQGWEMKFLSKIIKLRENETNWLRKYVYTSAMTTFVFWGAPTFVAVVTFGACMLLGIPLESGKVLSALATFRVLQEPIYNLPDTISMVIQTKVSLDRISSFLCLEELQSNAVQRLPRRSSEVAVEVINGSFSWDPSSEVPTLKDLNFQVLQGMKVAVCGIVGSGKSSLLSCLLGEVPKISGTVGLCGTTAYVPQSPWIQSGKIQDNILFGKEMDHEKYDKVLEACSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYHDADIFLLDDPFSAVDAHTGSHLFKECLLGHLASKTVIYVTHQVEFLPSADLVLCMRDGRIAQAGKYAEILNSGTEFMELVGAHKDALAALASVDLGTGTSDNNAEVGTSGTKGSARTSTQANDTDAQNGKADEVNTQKGQLVQEEEREKGKVGFWVYWSYITMAYKGALVPLMLLAQILFQILQIGSNYWMAWAAPVSKDVEPPVSGSMLIYVYVALALASSFCILIRAVLLVTAGYKTATLLFNKLHTCIFRAPMSFFDSTPSGRILNR >Ma10_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30840555:30851204:-1 gene:Ma10_g20780 transcript:Ma10_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP59 [Source:Projected from Arabidopsis thaliana (AT1G53720) UniProtKB/Swiss-Prot;Acc:Q6Q151] MSVLIVTSLGDIVVDLHTDRCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDRTGTGTGGDSAYKFLYGEQARFFEDEIHPDLKHTRTGTIAMASAGQNLNASQFYFTLRDDIDYLDGKHTVFGTVEEKEGLDTLAKINEAYADNNGRPFKDIRIKHTYILDDPFDDPPQLADFIPENSPEGKPADEIAEERLEDNWVPLDETLGTEELEERLRVKEAHTNAAILESIGDIPDSDIKPPENVLFVCKLNPVTQDEDLYTIFSRFGNVMSADIIRDYKTGDSLCYAFIEFETKEACERAYFKMDNTLIDDRRIHVDFSQSVARLWSQYRQGKRSHPTKEGCFKCGAPDHFARDCDKEDSDNKQKGPKYVLKDDNTQHGGHEDKRYDMLFDEDNLETVGHRANGQEKTKKQNASHHSTERNQDKRISDRHRHGDDITRYDRVDGKSRGNRKHGDYHRDKRADEKGDEDREHRRRLHESSRHVYDSGDPKRSFGSRLENEREGGDSHRENEGDDRKRLNADELRRRNDGDRKRKSRDHDVDDYRERKYREKDRK >Ma03_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24204302:24206186:-1 gene:Ma03_g18650 transcript:Ma03_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRFKSIDKCSSEERSHQAVAADLDGTLLISRSAFPYFMLVAIEAGSLLRGLVLLMSVPVVYTIYVFFSESVAIQALVFIAFARLRVKDIEMVARSVLPRFYAEDVHPETWRVFGSFGRRYIVTANPRIMVEPFTKSFLGADKVLGTELEVTASGRATGFLKKPGVLIGQLKKKAVEEEFGGSSPDVGIGDRETDYDFMSICKEAYVVPHAKWSPVPKSQLLNRVILHDGRLVQLPTPLVALVTFLWIPLGFALSLLRVYINLPLPERIVFHNYRIMGIKLVVKGAPPPPPKKGHPGVLFVCNHRTVLDPVVTAVALRRKISCVTYSISKFSELISPINAVALSRERDKDAAHIKRLLEEGDLVICPEGTTCREPFLLRFSAMFAELTDRIVPVAINTKQSMFHGTTARGWKLFDPYFFFMNPRPTYEITFLNQLPKELTCAGGKSPIEVANYIQRVLAITLGFQGTNFTRKDKYVLLAGTDGRVPSKKEETKEKAQNA >Ma10_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23337391:23337933:-1 gene:Ma10_g09140 transcript:Ma10_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRPSASDEELRELIARLQSGLPETHHRSRKGASAASLLKETCEYIKSLHRDVDELSDRLSELVATMDVNGAQAEILRSLFRS >Ma06_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9054137:9054701:1 gene:Ma06_g13210 transcript:Ma06_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVYLENNWKTNRHHIEDAAPLEDWSFAHLGGFQHQLSIIWIYRSSRSMVPVPGITDSNLNSDEKINHTRYKDRYHVIQRRMRSEACIVHHGMRLYMSIYFGSSLCKFLL >Ma06_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8200158:8203069:1 gene:Ma06_g11760 transcript:Ma06_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQDLFNYAFKEWCANTGSGGGAWEEKSMVLECEMAGGGGGGGTWDPTCSSLARNLQDWNVNSSTPTAAGAPAGAGGRRKRRRTKSIKNKEEVESQRMTHIAVERNRRRQMNEYLAVLRSLMPASYVQRGDQASIVAGAINYVKELEQLLQSLEVQKRVKQQADAAGVAAAFADFFSFPQYSSYSPSGGTTAGDGSGIIDHDSIFRNTEEIEDDEAAAANIEVTMVDSHANLKVLTRRRPKQLLKLVAGLQSSRLLPLHLNVTSVDQMAMYSFSLKVEDDRHHTSVDEIAATVHQMLGRIQEEAKL >Ma02_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18970914:18973501:1 gene:Ma02_g08700 transcript:Ma02_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGWPTDVRHVTHVTFDRFHGFLGLPVELEPEVPRRAPSASANVFGVSTKSMQCSYDSKGNSVPTILLLMQRRLYELGGLRTEGVFRITAENSQEEYVREQLNSGILPEKIDVHCLAGLIKAWFRELPTGVLDSLPPEQVMQCRTEEDCAKLAGLLPPTEAALLDWAIHLMADVVQEEQQNKMNAYNVATVFAPNMTQMADPLTALMYAVQVMNFLRMLILKALKERQQSTVSDNEALKELSVAEEATADESAATASHAAHVNSGSKTGRSSNQNH >Ma09_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9977875:9983807:-1 gene:Ma09_g14620 transcript:Ma09_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQAASSNAETRQQQWVPAMQYPPQPAMVMAPHQIVAPPIPQYAQHLVPYHHPPVLTPQHHGAGANSAAAGGEENKTIWVGDLHYWMDENYLHNCFGHTGEVVSIKVIRNKQTGQSEGYGFVEFYTHAAAEKVLQNFGGHTMPNTDQPFRLNWASFSMGDRRSDASSDYSIFVGDLASDVTDATLQEIFASKYPSVKGAKVVIDANTGRSKGYGFVRFGNENEKTLSMTEMNGVYCSTRPMRIGPATPRKSSGVSGSNGSSAQSDGDLTNTTVFVGGLDPNVSEDDLKRTFSLYGEIASVKIPVGKQCGFVQFVQRNNAEEALQGLNGTVIGKQTVRLSWGRNPANKQLRSDLGNQWNGMYYGGQFYNGYVYAAPVPDPSMYAAYGAYSFYGNQQQVS >Ma08_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3957008:3958670:1 gene:Ma08_g05860 transcript:Ma08_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSVFSADPEPAISQHSPVGSPHDEEDRAREDDRGSSGSPSPNSEASAGGVGWSFGGFIKTFASKSESVIQTYRRDLAEFGTGLKKETEAIREAAARAVGDLPGSLEAGASVAQESLESVGQAIDDLGGSVWRGTAEIVSQGKEAILSVEAGADSAAQHSTEPGRPSSSSSSRRYSRFEVQVLAIQSDASTFSEDPEDAEDFSEWRSGFDLAEKEEEIENLCYENGALDGLLNNLVPGVVDYETFWCRYYYRVHKLKQAEDARAKLVKRVISREEEEELSWEVDDDESEEEETKKEEPKEQNLNTKRHVIEVEKQEQQNDEELRSTMEPAAQQNPAEASQVENSGALEANVDEGKTSTAGYPENTESIVGHLNCKLDETLVPEGKAVAGGSSKDSDFSVISSQNSTPEEDDLGWDEIEDLGEHDEKKVGGSSGSPVKVVDLHKRFGAAEEDEDLSWDIEDDDDEPSKP >Ma11_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21468183:21477160:1 gene:Ma11_g15820 transcript:Ma11_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYLKGFMDNMQYAEELLREFLVFRGFTNTLQSFEKELSTDIGRNFQVDKILDLVFSVYLPNYQADKLIALLNFFKQRFSSSSETVFISTLSKLEVSILRYYIVNALQSARHEKVVEFFQANGSYLLQKREDWTPWFAIPYIKNPSLDPQFRVYFSKEWFDALHLSFRNFLSEVFNGTRIPALLKISTEKNTVKYLKDGIKQLNNKLSQLQALLETKEAEILYLQSLAPSDREASVLKEINSISDLSSEASSKDDGVSSRVKDFCEPVNDSLHIGEKITTANCGESSGSTGVLDKSTVAKSSSMAGSGGQSPSKHEKSSNVLQMAHTENTIDVLGEEEFPEVKVDFQETFLGHTSRISRCRFSASGMNIASASVDGTVRIWTYDSSTPTSRNATIYCGAEIMSLDWECRSDRLLLIGTADGGIKAWNVDAKRVVCDLSTTTEFPSVLDLKCSPVEPIFVSAAASRWNGFHKLDRAGFASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASAADGMIHMFDMSAGLQITGWPAHDTAVSSLLFGPDETSIFSLGSDGKIFEWSLQNQGRVLWSRDCSRYCFPESLKICRHEIALDSNGKRLLVTSGSVRSPIYQVQGHTLGLRTLPHSGAITSVDWHPTLPIFITGSADHSVRVTSII >Ma09_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8862306:8865628:1 gene:Ma09_g13120 transcript:Ma09_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVATMQQMLTPEAAAVLVRSIEEADRRRHGQTTPLHVAANLLAAPSGLLRRACTVSHPLLASSSHPLHCRALDLCFSVALDRLPASATGSDAEQPPLSNALVAAVKRAQAHQRRGCLDQQQPPLLAIRVELGHLVVSILDDPSVSRVMREAGFSSPAVKTAIEQSLSSNSATVANSPSHPVTRNVYLSPLLQQQERGGQDASKREDGMKVLEIMTRPKKRNPVLVGDSDAASVMEEVLLTIEKEQLGIDTPASLRSAQVVSLEKEFMFLERSLIPVKINELYGFLEPKIRDCSISGGVGGLILDLGDLKWLVENPGGHGASPVQQQQQTGRAVVTEMGRLLARLREDDGAGSRVWVVGTATCATYLRCQVYHPTMEVDWDLQALPIAPRSPPLAGLFPRPGGNSGSLSNSATVVAQPKLSPASGAAAIGLSRALENTKCWQPIALCHLCMQGYQLELAKTVSEESESHSSEPREDTKGTLPRWLQNAVPSRKPASDHLQRNEQELLQKQKVEELLGKWRGRCTRLHLTRSPRPQQFLEPRLLAVSGTISPTQGSSDHSLKLNSVEHQTNPPVKTDLVLGLSQPLDTPSQKPRSECIEDSSRQRNTITGVSDVVAFQRLRSGLTEAVSWQPEAASAIATAVTRRVSGNRGLRSAGAKAGSWLLFTGPDKVGKWKMASALSELVFNTAPIRIHLGDDGESDVSFRGKTSLDRVAEAIQQNPFSLIVLEDVDHANTLVRRAIKRAIETGRLVDSRRQEVGLGSIIFILISDWWPDDLRNSENCHGAKSRWQLELSFGEKSRKHRADWTSKNDQPMKQRKQSYLSLDLNLAVSRDEDDDDAEEGSWNSSDLTMEHDRKFGQLAVDPPTSSYASELIDLLSEAIVFKPVDLSTIRKTVSDSISSRFMRTMGNLQPLEIDEDALDRIAGGVWQSGATNVFDEWFDRVLVPSINRMRSNSDVSDRTIIRLTSVKGGCAGNSLPSSVSIAIDGA >Ma01_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2067665:2076958:1 gene:Ma01_g03180 transcript:Ma01_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MSRMKEAEGSSAHREEAESGSGPPPPHPPIAPADEAAVEELVSAMNRRRLYRDVTLALRSGLRDAMADFSFLRTRGLRNLLKFFRSIAGSDESIRLFRHSQTIPELRVVPVLFQNSLQQSKDNPVVSLSHIFGVEPMKIVSPATDSEVAIALRVLEGCCLLHSGSAALAHKHKAIEVLTNILSTRGTTEQGACLDALISLVLDSSSNQMDFRECHAIENVTDLIKDEQADENIRLKCGEFLLLLVGYVNQTENSPLANIPDEMRRSLGEKCASLIWAASQFGSTLDPEQRQTALQIQARRVVESLEL >Ma04_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23013485:23014154:-1 gene:Ma04_g20300 transcript:Ma04_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASASVALFLTLNLLFFALTTACGTSCPTPTPKPTPRPSYGKCPVDTLKLAACANVLNGLITVGVGKFPKQPCECCTLIDGLLDLEAAVCLCTALKANVLGIHLNLPINLSLLLNYCGKKVPKEFQCP >Ma00_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17448470:17449072:1 gene:Ma00_g02370 transcript:Ma00_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYLILLVVNSDFFCNISHIFRDFLRFCATFIYLMSLLIKFVVQSETSTKEEEEKEEKHNESLPQDAKCKWCNKKGELTYDDIRVVVWRLGMVGRWSNKEVKSGDDSITCEECRAMEGINGLLEDKLASLEELKEAFYVFDRNEDGFISPKELWCVMRRLGLQEGLGLSDCERMIHVFDEDNDGKINFMEFKRLLENTI >Ma03_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2651228:2654376:1 gene:Ma03_g04060 transcript:Ma03_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g63370, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63370) UniProtKB/Swiss-Prot;Acc:Q9M1V3] MAFSLQQQQQKQASISSATILSRVALLSRNVPASTLPSVKEACKQGDLTQAFRSLANSPQCPPQDAYSSILELCASRKAPLQGQQVHSHIVKSNSLSDDGFLGTKLLFMYGKCGQLRDAEKLFDEMPSRSIFAWNALVGAYASNDRPSLAIATFQEMRVSGILPDACTLASVLKACGALEDVYTGTATHGFAVKCGLDSTGFVSNALVSMYAKCGRFGSARQLFERMDEERDVVSWNSIISACLQDGQFFEVLTLFREMQRASIPMNSYTTVGVLQACAELSLPRLGMEIHASLLKNDAKLEIYECNALVVLYARCGRIGNAMQVFCEMEEKDTVSWNSILSGYVQNGLYQEAIDFFREILGLGFEADQVSLISVASASGRSGNILHGKEVHAYAMKHGLDSDLQVGNTLIDMYTKCHLIDYAERVFYKLHSKDCISWTTMIAGYAQNSRYSKALELFRQVQTDGMKGDSMMIGSILQACSGMLCLSLLKQVHGYAIRHGLLDLVLNNTIIDVYGECGKVSRACHVFGTIRDKDVVSWTSMITCYVSNGLLNEALCLFRDMVAADVEPDAVSLITVLAAAAGLSSSMKGKEIHGFMYRRRYLTEGTVSSSLVDMYARCGDIENSFKVFGNVRRKDLVLWTTMIDASGMHGQGEEAIRLFRGLQETGIVPDEVTFLALLYACSHSGLVDEGKYYLDVMTGEYGLEAWPEHYACLVDLLGRSGRTEEAFEFIKSMPVKPTAAVWCALLGACRVHLNHELGKIAAEKLLELEPENPGNYVLISNAFAATRNWEEVGAVRAMMERRGLKKDPACSWIEVGKKVHAFVARDRTHKESVAIHSKLTEIIERLKKEGGYTENTKFVLQDVPEEEKIKMLHGHSERLAMAFGMLCAPKGTPIRITKNLRVCGDCHEFTKLVSKLYEQEIIVRDANRFHHFRGGSCSCRDFW >Ma04_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2432464:2433969:1 gene:Ma04_g03050 transcript:Ma04_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICQGATFVDRIVNIAPVENYIPMVEEEFWLVRQKLLLLETTCQILRVQAVLVVNKPPLVKLMMFASKASTRASETAMVTSFDRQVGLSEKITAGISIVNEKVKSVDEKLHVSDKTIAALIAAEWKLNDTGANVKTNRWQRLAILQAPEQERSSNWQSQI >Ma04_p25760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27421382:27421942:-1 gene:Ma04_g25760 transcript:Ma04_t25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLINHKNIVKLLESADALAYLHSTASALIIHGDVKSSNIFLDENFITEVSDFEASKLVPKDKDQLATLVQGTRDYLDPEYPQTRQLTDRSDVYSFGVVLLELLTGKNALYFARSKEERTLASTFTLAMEKRLSEILDNQVKRGRRRTDSGSQSTRR >Ma09_p30260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40478496:40479338:1 gene:Ma09_g30260 transcript:Ma09_t30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDCGIHGEQKRHKLYRRLFATFLMLVILALLAVLVVWLVLRPTKPRFYLQDADLLRFNLTPGAAPLLTSVVQVTLSSRNPNDRIGVYYDRLDAFVLYNSQQVTAATALPSGYQGHNDVTVWSPYLYGADVPLAPYLADALSQDENAGYLLLYVRVDGLLRWKVGTWISGHYHLQANCPAFLTVDTAKSHGGAPPLFRFQQITACSVDV >Ma09_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31851457:31852166:1 gene:Ma09_g21120 transcript:Ma09_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLVVTSRLTATSSFFFFFFLCLVARHHLEAAEYTVGDADGWDTGINYLLWSNKYNFTVGDVLVFKYVQVQHNVYQVTEETYRSCDSSTGVTRTYDSGDDRVTLGEATSYWFICTINGHCQAGMRLAVSVAKSSSDGGGATSAAPSPPEQDNGAAGGRMGWWWKAWMLCLSLCLLTWLNCF >Ma08_p14140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11252286:11255602:1 gene:Ma08_g14140 transcript:Ma08_t14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRYCWGPSLAHYVNSGSDAVGRRDGLLWYKDSGRHACGEFSMAVVQANNLLEDQSQIESGPLSSGELGLYGTFVGIYDGHGGQETSRYVNDHLFHHLKRFASEQQTISVDVIRKAIQATEEGFLALVTKQWPAKPQIAAVGSCCLLGIICSRTLYIANLGDSRVVLGRLVKATGEVLAVQLSAEHNAGLESVRQELCSLHPNDPQIVVLKHDVWRVKGLIQVSRTIGDAYLKRAEFNREPLQAKFCLQEPFKKPILSSEPSISAQPLQREDQFLIFASDGLWEHLSNQEAVDIVQHHPRSGSARRLVKAALQAAAKKREIRCSDLEKIDRGVRRHFHDDMTVIVVFLNSNLTSRVSSIRGPKTSIRGGAISLPPNSLTPYAKLIHHNNS >Ma08_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5106336:5117160:-1 gene:Ma08_g07460 transcript:Ma08_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSSYSLLGQSPDDAPLPKFESPPSDKARPRPSPSPFDWPMAPAVPSAMTHLQRQSSGSSYGGSSLSGDYYMPTTLASATVDSDAFNPMAAAGGEGRSKDGAAAAEAAAVGPSSSSAKSWAQQAEETYQLQLALALRLCSEAACADDPNFLDAVDQMVLPERAAPASMSHRFWVNGCLSYHDKIPDGFYLIQGMDPFVWTLCADVGEENRIPSIESLKTVHPSDSSIEVALIDRQYDPDLRQLQSLVAGISCTCNTPKDMVEQLAKLVCTCMGGTAFNEEDGLLHRWKECSEALKASSGSVVLPIGKLSAGLCRHRALLFKMLADTIKLPCRVAKGCKYCKSDSGSSCLVDLGQEREYLVDLIRSPGNLFEPNSLLNGPYSISISSPLRPPKIRSTTVTVDFRTLAKQYFLDCQSLNLFFNDPSAGAAVAQGDATDPPSPRPFDEKSMEMSSSPLRGIGTEFSDLSQPLGQEVALPDGDTNVAKLKKMLNPSQNVISPALLEQDVAQLKLTSQAGCREVPQIIPPSDLKAEKKKDFRFIEDSRKGGNRSNNDISLAVDDLSIPWSELILKERIGAGSFGTVHRAEWHGSDVAVKILMEQDFHPERIKEFRREVAIMKSLRHPNIVLFMGAVTEPPKLSIVTEYLSRGSLYRILHRNGAREILDERRRLSMASDVAKGMNYLHNRSTPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSDVNPHVAAIIESCWANEPWKRPSFSSITESLKPLIKPLSPQP >Ma07_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12030316:12037028:1 gene:Ma07_g15810 transcript:Ma07_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCSLLIHFDKGSPAMANEIKEALEGNDVGAKIDALKKAVMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKTDARGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLSEPEILEPLVPSVLANLDHRHPFSRRHALLAVAAIYRLPGQAGEQLLPDAPELVEKTLSSEQDLSARRNAFLMLASCAQPRAVTYLLSHADHVPDWGDLLQMAALDLIRKVCRSNPAEKGKYIKIIISLLNSPSAAVVYECASTLVSLSSAPTAIRAAANTYCQLLLTQSDNNVKLIVLDRLNELKSSHRELMVEMIMDVLRALSSPNLDIRRKTLDIALELITSRNVDEVVLTLKKEVVKTQSTELEKNGEYRQMLVQAIHSCAIKFPEVASTVVHLLMDFLGDTNVASAVDVALFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGITTIKQCLGDLPFYTSTEEGEVADASKKPQQVNLSGTVSSRRPVVLADGTYATQSAASETAVSAPTVLPGSVGSPGNLRSLILSGDFFVGAVIACTLTKLVLRLEEVQPSKAEVNKACTGALLIMTSMLQLGQSTFLPHPIDNDSYDRIVLCIRLLCNTGDEVRRIWLQSCRQSFAKMLAEKQFQETEEIKAKAQISHAQPDDLIDFYHLKSRKGMSQLELEDQVQDDLKRATGEFMKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPETSKQIRANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAICADVTFRNMWAEFEWENKVAVNTVIQGEKEFLNHIIKSTNMKCLTPLSALDGECGFLAANLYAKSVFGEDALVNVSVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Ma04_p27240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28447038:28448801:1 gene:Ma04_g27240 transcript:Ma04_t27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKSSSAEAFLPSMMSFSGLEGSSQKQHHIWDWETSSHNPNTTIPSTTYELQDHAVFPCVPLPDCPPPLLPMSNFHFYSPPPMPDYPVVLIKREDGTGGCGRIGLNLGHRTYFSSGDALAIDWQLSRSTLNNHQPRCQAEGCKADLSGAKHYHRRHRVCEFHSKATVVIVGGLQQRFCQQCSRFHVLAEFDEAKRSCRKRLADHNRRRRKPQLPTTTTTESSPSENTTTNSSEKATTDTPAMKTTTGTMCTKIHQQYQNKGNLLRNGPVLSLAGAAVAEEKVLHQQQQQQPSSSSSAFNNNDDDDDSCLHHHNLFCSSSHEPFQATGGGSSQSLSDHHQGNIFHHGQASFEVDYM >Ma08_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4699124:4700504:-1 gene:Ma08_g06890 transcript:Ma08_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASALVTDVALPPAFSAFCRLGPRISLGSDLIAAADDDKADEPGREGPDGDESGGDFVDFEFRLHDPVAMLPADELFVDGKLVPLQMAVAKPVALRSAAEIPSPERAKTLRMAEDSGSDPYAFSPRAPSCTSRWRELLGLKRAATPKPDPVKVSPALAAAKSKNPNPDARSLKHLFHRNLKMSPLEASLSLPLLHNTKSDSVSISSRGPDHEDPPRLSLDSDKPSHHIPTVRLVRPLPAVAEPGRSRIRRTASSEITPPPIVPVDSPRMNPSGKVVFHGLERSSSSPGSFTGGPRPRARGMERSYSATVVRVTPVLNVPVCSLRGSGRACPAFGFGQLFSPQKQEKPVRGSSATRSEKTTNQRD >Ma07_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27178821:27185844:-1 gene:Ma07_g19130 transcript:Ma07_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCHYLFSQQEIVALYNRFCQLDRSAKGFISSDEFLSIPEFSLNPLSQRLLKMVDGLNFKVFVAFLSAFSPLATVPQKIELIFKVYDVDGKGRVTFKDLMEVLGDLTGSFMSEEQREIVLSQVLKEAGYSRDSPLLLEDFMKVLCKPGVTMEVEVPVD >Ma03_p26350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30111927:30116551:-1 gene:Ma03_g26350 transcript:Ma03_t26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGEPSGRAGRRTELDPVVYRFGSALALREGPGKDKVDDNGGPLGSPVSPLRPRVSAAANTSSSSSSSGSAPGKPPAPASCDTSPTAAADRSRGSKPGHRRSSSGPLIFSDRNGGSGGGSSSASSPLTNVLPAGNICPSGKITGMVPRTTARSDVLGSGTGNYGHGSIVRGGTSGGVPRIDAGTGSLVDPSARRPTASADPQLVTKAGNEQYKRGNYVEALRFYDKAVAMFPGNAACRSNRAAALMGLGRLGEAVRECEEAARLDPANGRVHHRLACLYLRLGQIENARLHIFSTGNQPDPVELQKLQTVERHLGKCGEARKIGDWKSALREADAAIVAGADSSPLLIAMKSEALLRLHQIDEADSALTSSPKFEMLCAPYLNTKFFGMHPEAYVYIVRAQVDVALGRFENAVEVAEKAKWMDSRSIEVAMILNNVKSVVKARIQGNELFKSGHFAEAITAYGEGLKYDPSNPVLLCNRAACRSKLGQWEKSLEDCNQALRIQPSYIKALLRRADSNAKLERWAESVQDYEVLSKELPHDPEVAEALFHAQVALKMSRGEEISNMKFGGEVEEVTSLEQFQAAICLPRASVICFMAVSNQKCSQITPFVNSLCIRYPSANFLKVDVDKSPTVAKAENVRVLPTFKIYKSGTRMKEMICPSQQVLEYSVRHYSL >Ma05_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4441898:4446958:-1 gene:Ma05_g05880 transcript:Ma05_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSIASGAKTATRTFDFGRTHVVRPKGRHLATVVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPSTSWFDVTDLSEDGPDDVEGLDASAAHVANLLTTEPADIKLGVGGFSMGAATALYSATSCAHGTYGNGKPYPVNLSSVVGLSGWLPCARSLKNKLEGSQDAARRASSLPILLCHGKGDEVVLYKHGERSAQVLKSNRFDNVTFKTYNGLGHYTVPGEMDDVCKFLTATLGLDGSHS >Ma05_p30150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40658181:40661189:-1 gene:Ma05_g30150 transcript:Ma05_t30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPFGHHRHHQQRRDEEDEREERRFPPPGQHHHGQPPPPSSYYGGGGAEEEYGRPAHPPVQHVSHGGGARYGETLPQPPAPGYHGGGAEEYGRPAYPPVQHVTQGYGESRPHPPAGGYYGGGGGGDELHHRRPTPYSSGGRDGCEEEPSRPRQPTVRIFTRAEENYSLSIRDGKVILSRNDPSDPSQHWIKDMKYSTKVKDEEGFPSFSLINKATGEAVKHSIGATHPVRLVPYKPDYLDESVLWTESRDTGDGFRCIRMVNNIRLNFDALHGDKDHGGVRDGTFVVLWEWLKGDNQRWKIVPY >Ma01_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5663108:5664265:-1 gene:Ma01_g07860 transcript:Ma01_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVRSHGTTFIEPLVSCPRSLYIRTPASGVLPSQGLWLLSADPSIGGALPWRNHVAQGRADLPWRSCVPVLPVFFWQIFEFVVFLMVTLDSFSGAYDHVSWIRSSVDDCSCSMDFVDRTSLFKYRGTRTMGRFMIVRLCSPIANSY >Ma02_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20013567:20014840:-1 gene:Ma02_g10450 transcript:Ma02_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIVILIYSSSYLLSVLILVPAGCKVRSKLE >Ma01_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4470148:4470264:-1 gene:Ma01_g06250 transcript:Ma01_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRPSKISYDELIILNFLGANMIFPNLEECICKIFIK >Ma06_p28830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30399976:30401848:1 gene:Ma06_g28830 transcript:Ma06_t28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERTEPYLHGHYDDLFSIFSQKPGGGRADGLQGFDHQMASHVLSPSDRLHGSPMDYLLLARGFDFSSSQPDDLVVGSRRSKTAPAGGSDGKTLVTPNFSTSSSSTELVGEEDSGPRKTDQRKQEEEEEEKQAEGGEEGPDEFKKANNPRRKKGEKREREPRFAFMTRSEVDHLEDGYRWRKYGQKAVKNSAYPRSYYRCTAQSCDVKKRVERSHQDPTVVITTYEGHHTHHSPVNVWGSTYSSSPSPAMPMDLRHDLLLQQAFPAGYTNPNMHLPRPPTPDYGLLQDIVTSFDHSSQR >Ma10_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22327467:22329271:-1 gene:Ma10_g08020 transcript:Ma10_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCCALLLTDDVALPLDAILSAVRDAGAEAVPEDDVVVITHCESLEGKLPIMTASFDVVVTAWNKPELVGEQWLREIGRVLKPGGELILRSILSPGMLEKPSSTMELKLLMQGFLDVQSLEMKPFSSAGNVQSITIKGKKASWTAGSSFPLKKATSMVPKIKIDDESDLIDEDSLLTEEDLKKPQLPAFGDCEVGSTRKACKNCTCGRAQEEEKLQKVGLTTEQTNNPQSACGSCGLGDAFRCGGCPYRGLPPFKLGEKVSLPGNFLVADI >Ma11_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19304667:19305721:1 gene:Ma11_g14070 transcript:Ma11_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLTTLLVSKLPEKMSDKEGSKTLKVQTCVLKVNTCCVGCQKKINKVLHKIDGVEGIHLDAEGGKVSVTGNVAPAVLITKLRKAGKIAELLHPKSGNQQKNNQNVKSQKGGGGGGSAGEGRKWFKNLKFPRLKDLKLPFNKEKEAVKFDILPKEVSGDVKKKKKKGGGGDGGGVQVQNKGGVSSPVVLTHGGVMSPEMTAGGNPYHHHQQQQRMMTMMNGQDSVGYAYGHTGYVPPPPREESYSNMFSDENPNSCSVM >Ma11_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3674812:3679287:-1 gene:Ma11_g04720 transcript:Ma11_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRRYADDIVQRSIERDVVVDLESGMNALINQREGAKDVDLSAGQGRRVMNKGWGGLMGIDGFMHVEEAAKSANIMTSCSALPLTNAEASVDKRNRGEEGPNLLEKKVGAEKTKKKGCKKPPKPPRPPKSPPLDAADQKLIREISEVAMMKRARIERMKLKMKNAKSTSSNGNLCALVVTILFCLVIIWQGAFSGGNSTAGFHGSPESSLRIGSGLISVRIHKKASENGRNMPYSATPKYGALLLSLQLLSEHMHFVNDRKLLLFVLAFNYLSLQLLACS >Ma05_p31800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41555595:41555891:-1 gene:Ma05_g31800 transcript:Ma05_t31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRFCSISPSCPNSHLPGFRAPWCGVRIRDKDLWVLRLRYQQQEKAVLWKANRA >Ma07_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9814621:9824494:-1 gene:Ma07_g13080 transcript:Ma07_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVETERSCFHRSTYTGEMPVRQMAKAPKGEKSFISKKPLQNCMNQQKLHGGCPLPEMKKNMERRRGRRKGKTDVDPATEIETGLSIGPPLSSKGIFFCRRPGFGQVGSRCIVKANHFLAELTNKDLIQYDVTITPEVSSRSMNRAIISELVRLYREIELGMKLPAYDGRKSLYTAGYLPFNSKEFVVKLVEEDGRIGIAREKEYRVAIKFVARAEIYHLQQLIAGRQTDAPQEALQVLDIVLRELSNQRYIPVGRSFYSPDIRKPQWLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVMEFAAQILGKDVLSKPLSDAECIKIKKALRGVKVEVTHRENVRRKYRISGLTSQPTRELIFPVDEQMNMKSVVEYFKEMYGFTIQHSHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNDKQITSLLKVTCQRPREQEKDILQTVIQNEYEQDPYANEFGINVSSKLTLVEARVLPAPWLKYHDTGKEKQCLPHVGQWNMMNKKVINGCTINHWACINFSRGVQENTAFGFCQELAQMCQISGMKFNCEPVIPVYSAKPEQVEKALKHVYSAAMNKLKGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKTGKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSGPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWNDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLHEVDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHSGIQGTSRPAHYHVLWDENNFTADEMQILTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMDPNISENSPTPTMGSVKPLPALKEKVKQVMFYC >Ma06_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10259161:10267018:-1 gene:Ma06_g15050 transcript:Ma06_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATEGAAATALRSVLTRAQQAAERCGRSPEQVRVVAVSKTKTVSLIRQVYDVGHRCFGENYVQEIVEKAPQLPSDIEWHFIGNLQSNKVKSLLAGVPNLDMVESVDDEKIANHLDRMVASLGRKPLKVLVQVNTSGEESKFGVDPSYCVQLVKHVKLGCPNLIFAGLMTIGMLDYSSTPENFETLSNCRTEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRIGSTIFGAREYLKKDKSQ >Ma06_p15050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10259008:10267010:-1 gene:Ma06_g15050 transcript:Ma06_t15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATEGAAATALRSVLTRAQQAAERCGRSPEQVRVVAVSKTKTVSLIRQVYDVGHRCFGENYVQEIVEKAPQLPSDIEWHFIGNLQSNKVKSLLAGVPNLDMVESVDDEKIANHLDRMVASLGRKPLKVLVQVNTSGEESKFGVDPSYCVQLVKHVKLGCPNLIFAGLMTIGMLDYSSTPENFEIEMGSTNVRIGSTIFGAREYLKKDKSQ >Ma01_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5488232:5490912:1 gene:Ma01_g07560 transcript:Ma01_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGVVGHAAVEERRIERQMGCMDGFLQLFDCHHITTGKRHFATRHLHTTPLAESTAPSETSDASSPSFFKKSHPPLSSPEPCPSSLESRFLVDTPARLSHPLPLPVFEVKDGVMSSWKLRDTPRLSLDSRAVVDGKGKLGLGPLEIRTAVRVASANQSDSSEVAEHRRSPSVVARLIGVETLPSTGSVAEAKPERGELRRSASESGVPRDAPYYSFVDACSFREPSPQPLEAVPMSAEVFFKTVNLDQFRISDAKKLVSAPRPIPLTPLQRRSFFDAEDLFPEPKRSGMLYGEIEKRLRMRGIDEPTKNLETLKQILEALQLKGLLHSKPSAHRTNGRINLIYDFQTGAPVVMMNTASKPPRFPSSEPPPSKSSAGCHSASPVWRKPAMVNRSIIIANERTVHRRPLNAAVKKNLQPQRWISTVCSPTSTPKRTGPELLATGSPRSRTPRVTASHKEPVHPLAKDNTSTTISESGISASSPSGFERSKADNRSGRSLLERCDKLLHSITAFARADQVAAVDQQPSPVSVLDSLPYLGEDVSPSPLAKRSIDFKDQTADEREQWSSAAWRNHGDRTDGPGEVDHDYAYVCDVLRVSDRNGDASDAVYTILERRCCRHRGASRAGSLHRRLLFDTVAEILERSRGVCPWEAFSCAGPPQADGGEEVVRQVWTEVRRIREQVAADGQDGAACGAVRKDMAARHTEGWSLPAVEISDAVLLIERLIFKDLVVETILGLADAANESRPLLSRRKLLF >Ma07_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22522130:22523867:-1 gene:Ma07_g17890 transcript:Ma07_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKEQQLPITAAAAAAISSTCKAPTEVLNLATMKALSKLTGFHAGYFRISLSLCCQALLWKTLSEPSTDSHALRTVIHMLPSATYVLLWSVALLILATLSVLYGLRCLFRFRSVQAEFSHHVGVNYLFTPWISWLLLLQSVPFLHPGAALYRVLWWVFAVPILMLDVKIYGQCFTKGRRFLSMVANPTSQITVIGNLVGSRAAARMGCEEIATFMFSLGMAHYLVLFVTLYQRFVGSSSLPSILRPVFFLFIAAPSMASLAWDSISGSFDTGSKMLFFLSLFLFASLVSRPALFKRSMRRFNVAWWAYSFPLTVLALAATEYAQEVKGGASNALMLILAVLSVTVTVALVVFTAVKAGDLFPGGDDPFAPQRDAQESRPYIYT >Ma11_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:176599:183507:-1 gene:Ma11_g00250 transcript:Ma11_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPDYTAGNETPADAEPMAEAPSSPTAEVETEQNDADADVGAEEAAEAAAEEEPARDEAPPEAGASVQGGGGLEVKKWPGWPGDNVFRLIVPLLKVGSIIGRKGELIKKLCEETKARVRILEGPIGVNDRIVLISGKEEPEAEISPAMDAVVRIFKRVNGISDIAVDGTNLGSAAPGTCSVRLLVAASQAVNLIGKKGEAIRTIQESSNATVRVLTGSELPLYAAPDERTVDIQGEPIKVLKALEAVIQHLRKFLVDHSVLPLFEKSYNAPVMQDRSVDAWGENTRSLSHTVQQSSICNDYGLPLKHDSFFYDHEPQLDSQIPRSGLALYGQDPAVPGLRSSALGRSGSALEVTHKMQIPLMHAEDIIGLGGGNIAYIRRSSGAFITVQETRGLPDEITVEIKGTTSQVHLAQQLIQEFIAGRREPLSSSYEGLDTGLRSSYSQLASPAYRSSSHASQSYGGGYRSSGLGGGGGYGGYRL >Ma08_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6966213:6976406:-1 gene:Ma08_g09600 transcript:Ma08_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSWRPAQGESAAASDGGSGDWRTQLHPEARQRIVNSIMETLKRHLPISVPEGLIEHQKIATRFEEKIYTAASDRFDYLRKISLKMLSMENKSQHSASINPLISNSAVLNQNSADPALLGVQSQANQGQPLSVSMVNQPSARQQILSQNIQNNTLAMAQNPANLSSAFNLARCTCFFVLALGVLVFGFFAFGPLWVVLF >Ma08_p09600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6965922:6976406:-1 gene:Ma08_g09600 transcript:Ma08_t09600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSWRPAQGESAAASDGGSGDWRTQLHPEARQRIVNSIMETLKRHLPISVPEGLIEHQKIATRFEEKIYTAASDRIAKTLGLPLRSICYEAFNLRWSLGSRSNWQRDIRVIASCFWCKLDKCL >Ma08_p09600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6965922:6976397:-1 gene:Ma08_g09600 transcript:Ma08_t09600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSWRPAQGESAAASDGGSGDWRTQLHPEARQRIVNSIMETLKRHLPISVPEGLIEHQKIATRFEEKIYTAASDRFDYLRKISLKMLSMENKSQHSASINPLISNSAVLNQNSADPDC >Ma09_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29733218:29734754:1 gene:Ma09_g20660 transcript:Ma09_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRRSLRIPLFRRRFSALSTAATTATSPTPSSDPISAAKSAIRSESDPDRLVSLFESAAHDPSFYDDRPIYRFSIHKLARHRRPDLIERLLEGAKSNPDPPKSEGFLLRLLSLYSEAGMPDHAFRTFEAMPGLGCRRTDRSLCALLSAFLKNDCVDRLQDVFDRAAVEFGIAPGIASYNVLLRALCSIDEVEKARALLDEMPDKGVEPDIICYNTVLEGYLKKGDYSGFDEFLKEFNRKKFSPNVGTFNCRIAALCAQGKSFQAEELLDVMQSKTIQPNRLSFNTLIDGFCKEGNMDSAMKVFERMKGFKRPDDTRVSPDFKTYTTLLEDLVEKEEFGKGVEICKECLKKKWAPPFETVKGLIDGLVKSSRVNEAKDVISRMGKGIQGDARDAWKKIEESFSL >Ma11_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1416694:1421013:-1 gene:Ma11_g01970 transcript:Ma11_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASLDDIIHRLVEAKGSRAGKQVRLLEAEIRQLCVVSKDIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPVANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHESASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLHNLDQIRNLALPTDVPDNGLLCDLLWSDPSKEIQGWGMNDRGVSYTFGPDRVNEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKSKFIFGNTAAAKTGTPPPGVKSSLGAR >Ma11_p01970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1416692:1420996:-1 gene:Ma11_g01970 transcript:Ma11_t01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASLDDIIHRLVEAKGSRAGKQVRLLEAEIRQLCVVSKDIFMQQPNLLELEAPIKICDPSKEIQGWGMNDRGVSYTFGPDRVNEFLQKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKSKFIFGNTAAAKTGTPPPGVKSSLGAR >Ma01_p08050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5763242:5770222:-1 gene:Ma01_g08050 transcript:Ma01_t08050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEVNKIGSMRRNSSIWRRGNESIFSASSREEDDEEALKWAALEKLPTFDRLRRGILALPEGSQRLQEVDVQQLGFRERKALLERLVRVTDEDNERFLLKLKDRVDRVGIDLPTIEVRFEHLNIQAEAHVGSRGLPTILNSALNTLESIANYLHILPSRKRPLSILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLSSDLKATGKTTYNGHEMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKEANIKPDPDIDVFMKAASMGGQESNLITDYVLKILGLEVCSDTMVGDEMLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTAVISLLQPAPETYELFDDIILLSDGQVVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQQQYWTRHDEPYRYVPVREFADAFQSFHVGRVIGDELSVPYDKSKSHPAALTTSRYGVSKKELLKANIDRELLLMKRNSFVYIFKATQLTIMALIAMTVFLRTNMHRDSVTEGGLYMGALFFGVVMVMFNGFSETAMTILKLPVFFKQRDLLFYPAWSYAIPSWILKIPISFAEVAVWVFTTYYVIGFDPNVGRLFKQYMLLLLINQMASSLFRFIGAVGRNMIVANTFGSFALLILLVLGGFILSRDQVKKWWIWGYWISPLMYAQNAISVNEFLGHSWQHSLPNSSEPLGVSVLKSRGVFPEAKWYWIGFGALIGYIFLFNALFSVALTYLKPFGKSQPPVSEESLKEKHANLTGEVSEQSSRGRNSVDHSQSKKGADGLRRDGTSSGSMNVAIDQNKKGMVLPFTPLSITFDNIRYSVDMPQEMKDQGVVEDRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLPAEVNSATRKMFVDEVMELVELTPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGINGVSKIKDGYNPATWMLEVSSQAQEDILGVNFSEIYKNSELYQRNKDLIKELSTPPPGSSDLYFPTQYSQSILVQCMACLWKQHLSYWRNPPYTAVRFFFTLIIALLFGTIFWDLGTKRDTKQDLLNAMGSMYAAVLFIGVQNSSSVQPVVAIERTVFYRERAAGMYSAVPYAFGQVAIEIPYILVQALLYGVIVYAMIGFEWTAAKFFWYMFFMYFTLLYFTFYGMMAVGLTPNYNIASIVSAAFYAIWNLFSGFIIPRPRIPVWWRWYYWICPVAWTLYGLVASQFGDIQTRFASDESGEPGEIVADFVRNYFGFKHSFLGVVAVVVVAFPVLFAFLFAFSIKTLNFQKR >Ma01_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5763241:5770137:-1 gene:Ma01_g08050 transcript:Ma01_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEVNKIGSMRRNSSIWRRGNESIFSASSREEDDEEALKWAALEKLPTFDRLRRGILALPEGSQRLQEVDVQQLGFRERKALLERLVRVTDEDNERFLLKLKDRVDRVGIDLPTIEVRFEHLNIQAEAHVGSRGLPTILNSALNTLESIANYLHILPSRKRPLSILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLSSDLKATGKTTYNGHEMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKEANIKPDPDIDVFMKAASMGGQESNLITDYVLKILGLEVCSDTMVGDEMLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTAVISLLQPAPETYELFDDIILLSDGQVVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQQQYWTRHDEPYRYVPVREFADAFQSFHVGRVIGDELSVPYDKSKSHPAALTTSRYGVSKKELLKANIDRELLLMKRNSFVYIFKATQLTIMALIAMTVFLRTNMHRDSVTEGGLYMGALFFGVVMVMFNGFSETAMTILKLPVFFKQRDLLFYPAWSYAIPSWILKIPISFAEVAVWVFTTYYVIGFDPNVGRLFKQYMLLLLINQMASSLFRFIGAVGRNMIVANTFGSFALLILLVLGGFILSRDQVKKWWIWGYWISPLMYAQNAISVNEFLGHSWQHSLPNSSEPLGVSVLKSRGVFPEAKWYWIGFGALIGYIFLFNALFSVALTYLKPFGKSQPPVSEESLKEKHANLTGEVSEQSSRGRNSVDHSQSKSKAYQHVSLMLHFPGQSHHVEALSAEGADGLRRDGTSSGSMNVAIDQNKKGMVLPFTPLSITFDNIRYSVDMPQEMKDQGVVEDRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLPAEVNSATRKMFVDEVMELVELTPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGINGVSKIKDGYNPATWMLEVSSQAQEDILGVNFSEIYKNSELYQRNKDLIKELSTPPPGSSDLYFPTQYSQSILVQCMACLWKQHLSYWRNPPYTAVRFFFTLIIALLFGTIFWDLGTKRDTKQDLLNAMGSMYAAVLFIGVQNSSSVQPVVAIERTVFYRERAAGMYSAVPYAFGQVAIEIPYILVQALLYGVIVYAMIGFEWTAAKFFWYMFFMYFTLLYFTFYGMMAVGLTPNYNIASIVSAAFYAIWNLFSGFIIPRPRIPVWWRWYYWICPVAWTLYGLVASQFGDIQTRFASDESGEPGEIVADFVRNYFGFKHSFLGVVAVVVVAFPVLFAFLFAFSIKTLNFQKR >Ma01_p08050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5763241:5770137:-1 gene:Ma01_g08050 transcript:Ma01_t08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEVNKIGSMRRNSSIWRRGNESIFSASSREEDDEEALKWAALEKLPTFDRLRRGILALPEGSQRLQEVDVQQLGFRERKALLERLVRVTDEDNERFLLKLKDRVDRVGIDLPTIEVRFEHLNIQAEAHVGSRGLPTILNSALNTLESIANYLHILPSRKRPLSILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLSSDLKATGKTTYNGHEMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKEANIKPDPDIDVFMKAASMGGQESNLITDYVLKILGLEVCSDTMVGDEMLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTAVISLLQPAPETYELFDDIILLSDGQVVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQQQYWTRHDEPYRYVPVREFADAFQSFHVGRVIGDELSVPYDKSKSHPAALTTSRYGVSKKELLKANIDRELLLMKRNSFVYIFKATQLTIMALIAMTVFLRTNMHRDSVTEGGLYMGALFFGVVMVMFNGFSETAMTILKLPVFFKQRDLLFYPAWSYAIPSWILKIPISFAEVAVWVFTTYYVIGFDPNVGRLFKQYMLLLLINQMASSLFRFIGAVGRNMIVANTFGSFALLILLVLGGFILSRDQVKKWWIWGYWISPLMYAQNAISVNEFLGHSWQHSLPNSSEPLGVSVLKSRGVFPEAKWYWIGFGALIGYIFLFNALFSVALTYLKPFGKSQPPVSEESLKEKHANLTGEVSEQSSRGRNSVDHSQSKSADGLRRDGTSSGSMNVAIDQNKKGMVLPFTPLSITFDNIRYSVDMPQEMKDQGVVEDRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLPAEVNSATRKMFVDEVMELVELTPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGINGVSKIKDGYNPATWMLEVSSQAQEDILGVNFSEIYKNSELYQRNKDLIKELSTPPPGSSDLYFPTQYSQSILVQCMACLWKQHLSYWRNPPYTAVRFFFTLIIALLFGTIFWDLGTKRDTKQDLLNAMGSMYAAVLFIGVQNSSSVQPVVAIERTVFYRERAAGMYSAVPYAFGQVAIEIPYILVQALLYGVIVYAMIGFEWTAAKFFWYMFFMYFTLLYFTFYGMMAVGLTPNYNIASIVSAAFYAIWNLFSGFIIPRPRIPVWWRWYYWICPVAWTLYGLVASQFGDIQTRFASDESGEPGEIVADFVRNYFGFKHSFLGVVAVVVVAFPVLFAFLFAFSIKTLNFQKR >Ma08_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6408532:6409176:1 gene:Ma08_g08930 transcript:Ma08_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRVELRRIENRISRQVRFSKRRGGLFKKAYELAVLCDAEVALVVFSPAGKLYEFSSVLRRQGGRTRLPMRERERGLSVFWGRSNTAAFFSLSVDCIVVDSDLPYHFSGMCTAVLFVVSRKTA >Ma10_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28549488:28557749:-1 gene:Ma10_g17020 transcript:Ma10_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYKYTLPADATENSTCLKYCTRNIYRKLALQRHPDKNKSIGADSAFKLMSDKNWKMEYDQLRIVNGFQNQGSQPNGDHSVRSSAIGRMQYEYSRIFFNHNLLCPNCHQAFVAIELGIPGNAANSSISWLAKRCPQNLNHNYIAENCWFQRGPKGG >Ma01_p17620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12898520:12900562:1 gene:Ma01_g17620 transcript:Ma01_t17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETHVGVVITIVLLLSVHRVTSCYSSIFSFGDSLADTGNILASDGDSAGAVSRLPYGETYFHRPTGRYSDGRLIIDFIAQAMGVPLLRPYLGGGSDEDFRHGANFAVGGATALNSSFFRDKKIDVSWTEYSLQVQIEWFKQLLRSTPSVSEPSILGNSLFLVGEIGGNDYNHPFFQNRRVEEIRTFVPSVVKAISSAITDLIKLGAKNLVVPGNLPIGCVPVYLTQFQTQKLDDYDAKTGCIRWLNEFSQYHNRLLQDEIERVRGLHPNATIAYADYYESAMRLFESPKQFGFKEPLSACCVGCGGPSAKLCSDPSSYASWDGLHLTEAAYRTIANGLLKGPLAVPSLNQTCPNVQQSSASTDTLKLLCFSMSISSFAWLQKKSTLF >Ma03_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10908500:10909944:-1 gene:Ma03_g13810 transcript:Ma03_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRCKLCHRRFSNGRALGGHMRSHVAPAPRPMKAQQHLPSPCASSSSFPAADEDSVAPATTYGLREKSRRRSGLVDPEFSSSIATAKGAGSGAFPVVQDGESDTESSFRRRLTRPRRREDPSADAEPLSSVSDASPDEDVARCLMLLSRDAWSRCEAEGRQSNGCDNANEDEEDELYYEEEEETQPQATARSWRKRTRYQCGTCKKYFRSYQALGGHRASHKRVGVECFPIAGIRNRGKDPSDADAADRDPKVFECPYCYRVFSSGQALGGHKRSHFSTTTPARPAPQPQPLPPPPPPPHHPFAVNDGFIDLNLPAQLEEEAELSALSVATEFASK >Ma04_p12480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9422228:9432443:1 gene:Ma04_g12480 transcript:Ma04_t12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQQPPGRIDSLESLGSSTTNADLKPVKVESPNEQPPAKDEKLTTTTTSHDLNDMDTSRDAHGHLVSSDIVIDHNAVYQSNIFAPHAHSFFYGGYENLIGDWEDQSRYVNVEGVEFGLAGVYNENPSLVYHTGYGYSPYGPYSPGMTPLTSLSGDGQLYSPHQFQFPGAYYQQSAPPNMPYLSSSTPIPRVDLAVPIDQQGVFTSDTSNFNTQMFGPRPDLTRAPDGTGSVTPLSLPTVSVSPQPIGGLMPFGQGAMPITSGMGSQQQRSLYGFGSSISSIDRGYSHSGHYHNSTFETSIPSLGIKDQSSFVMDKNRRGGKESTLLCSCNGTVDFLNEQNRGPRANRSKIQMIECNSSLDTEKDSSTTGVDHKLYNSPDFVTEHKDAMFFIIKSYSEDNVHKSIKYGVWASTSSGNRKLDSAYHEAKQKEDPCPVFLFFSVNASAHFCGVSEMIGPVDFEKSVDYWQQDRWSGQFPVKWHMVKDVPNNLFRHIILENNENKPVTNSRDTQEVKLEKGLEMLGIFKKHEYEVSIIDDFEFYEEREKAMQERKARKHHQMYNSAGPVRAAFRNEQRNPPAISGDFINRLSKNFISAVRLEERNNADPATDKNSSLSVSVAPKPDELQKSETTPATSS >Ma04_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9422228:9432443:1 gene:Ma04_g12480 transcript:Ma04_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQQPPGRIDSLESLGSSTTNADLKPVKVESPNEQPPAKDEKLTTTTTSHDLNDMDTSRDAHGHLVSSDIVIDHNAVYQSNIFAPHAHSFFYGGYENLIGDWEDQSRYVNVEGVEFGLAGVYNENPSLVYHTGYGYSPYGPYSPGAYYQQSAPPNMPYLSSSTPIPRVDLAVPIDQQGVFTSDTSNFNTQMFGPRPDLTRAPDGTGSVTPLSLPTVSVSPQPIGGLMPFGQGAMPITSGMGSQQQRSLYGFGSSISSIDRGYSHSGHYHNSTFETSIPSLGIKDQSSFVMDKNRRGGKESTLLCSCNGTVDFLNEQNRGPRANRSKIQMIECNSSLDTEKDSSTTGVDHKLYNSPDFVTEHKDAMFFIIKSYSEDNVHKSIKYGVWASTSSGNRKLDSAYHEAKQKEDPCPVFLFFSVNASAHFCGVSEMIGPVDFEKSVDYWQQDRWSGQFPVKWHMVKDVPNNLFRHIILENNENKPVTNSRDTQEVKLEKGLEMLGIFKKHEYEVSIIDDFEFYEEREKAMQERKARKHHQMYNSAGPVRAAFRNEQRNPPAISGDFINRLSKNFISAVRLEERNNADPATDKNSSLSVSVAPKPDELQKSETTPATSS >Ma10_p20240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30482755:30484724:1 gene:Ma10_g20240 transcript:Ma10_t20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMPRLLPLLLSLLLLQVTLLAAQPVLPEPEPASIQLIPSTSAAAAGGASASSFSPPATIPAFPEQSEVSTAACPLDPPTDLLSSVSAACAASDGSFPTRSRCCPTLNAWLLAAYSASALAARPLPSAGYDLPTLPDDSESCIGGVERALRDRGVELPRVNGTCDAAYCFCGVRLRRLACAGAFVANATEGRWVPAGDAGRRLERNCARPGFAGCTRCLRALNQLKSKEKRGGGDANGSDKKATPAHDRECQLMGVTWLLSKNRTLFLPATTSVLRVLMAADGAGGSDPTSCSLSLDDMPLAVGSDQIGGHGGCSTVGSLPLFQLSLLTLVLLFELYHV >Ma10_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33437508:33439074:1 gene:Ma10_g25160 transcript:Ma10_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLQALNMELSHVCQEIHATWDQLNLVARALAHRTLAERRQIKEMYRAMFGEDFVEHLRRTHMANSKNEMCNALYLWMLEPHERDAVLANDAIERRVTDYKALIEIYTRQKLSQLFFTKHAYLAKFKRHMDQDMISEPSNSYQKLLLALAASQKSHHTDVSQHIAKCDAKRLYEAGHCSTGGTDESLVLEIFSKRGIPQLRLALFSYKQIYGHDYTKALKKDTSGEFEEALRIAIKCVHNPAQYYSRMVNKSIKMGDTDARVLTRVMLGSTDAGMKEVRSAFEKMYGRKMQDAICESLPDGDYRDFILALSNIP >Ma02_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27984513:27986534:-1 gene:Ma02_g22750 transcript:Ma02_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVTNGPPKLERRSSKESLAKKFEARKKLSPRNPLKELNSGSVPPPFGSAEAPKGGCFRFLLPNSSAKEPLARSKPRPRTPRSAPSNPRNDATNPRNLTNPSRYRTQKNESKSSPGVPKQLGSSKPSKPRTPDLLQLWNKRRPASNEQNPDSKFDLEEGSEGKEVEQMITLTPTSATTPPVQASISPEVPVDASAVAATPACFAAGHVIARVHDRRKCRPRGILTIGGCGLDIEDVNVGGTDPTRVSAIPPPLAVASIRWLSSPSEKVNSDLGSSVSSSSKVHMVHRPAEASVDWLLPTCEGGDSMPRDEFSLQTRTSLDHGFWGFSPNNSNMVNSPDLRGLLDFKPPGLEETPSSGIGIQKTPTTGGSISPFSMILERIAKTSKSKLLRPQQERGAHRYGSALESSTFSGSSWIEGHAICTPSSSSSSTKKLNLSDLKIDKMAEAIENISWSPKPVSNETSCQVPSTKLNFQFGCLATPSNSVDLNRFQNPSCDRYSAVKNICAKEQVFPSSQTRISWREGLVSRIFEMGELDGSQWLSDDEDNFNHHEEDRAGPIANLKFEPRNSSSILKNQNEQIATAGFGSIEFVFDAEKVEAKVPPEGPISCAESISIEGLVLDSSGDSDWKFFYKNHLFEV >Ma05_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6439461:6440918:-1 gene:Ma05_g08730 transcript:Ma05_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGTEKLERKTVEKNRRIHMKNLCSKLSSLIPVSKNTVTQKDQLDQAFDYIKDLKERVDRLRELKEMRSRVMIGFRPAQVEVRNLDPNLEVILVCGSRTRFMFHEVISVLEEEGVEVINASFSTVGDRIFHSIHCQAISTRIGLDPSRIDQRLKQLVR >Ma03_p29540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32418657:32421324:-1 gene:Ma03_g29540 transcript:Ma03_t29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSFHSTSVASSSSPHLDPPANPHPRQTLDRIRILWHRIRALLEVLLALRKIVIATTEVQLKGRYQGHKYGINDARSM >Ma06_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:574994:576093:1 gene:Ma06_g00740 transcript:Ma06_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEEMMGSMESPKQVPPPFLTKTYQLVDDACTDHIVSWGEDHTTFVVWRPPEFARDLLPNYFKHNNFSSFGFRKIAADRWEFANEYFRRGEKHLLTEIHRRKTPQVPHSLHYQPPQHYHQSPQPLHPAYEVNSWIETPLAVSGGDTNFLSALSEDNQRLRKNNSLLLTELTRMKKLYNDIVCFIQQHVTAVDHPVQRLVAYPRQTGLLALPELHCSKNQSSVTLIQATDNTLKLFGVPIHGNKTLDETTTAKNS >Ma06_p00740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:574973:576093:1 gene:Ma06_g00740 transcript:Ma06_t00740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEEMMGSMESPKQVPPPFLTKTYQLVDDACTDHIVSWGEDHTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIAADRWEFANEYFRRGEKHLLTEIHRRKTPQVPHSLHYQPPQHYHQSPQPLHPAYEVNSWIETPLAVSGGDTNFLSALSEDNQRLRKNNSLLLTELTRMKKLYNDIVCFIQQHVTAVDHPVQRLVAYPRQTGLLALPELHCSKNQSSVTLIQATDNTLKLFGVPIHGNKTLDETTTAKNS >Ma10_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5974507:5974656:-1 gene:Ma10_g01980 transcript:Ma10_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIYCCYDGTDQFRGVEPGERSACHGHRGLQGRIASFQLAEALDDLPM >Ma04_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11446942:11449226:1 gene:Ma04_g15110 transcript:Ma04_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAAAAQRAWFPLFCVLLILLFSLFATAAVEDGLLNNGDFETVGAVSTGGKGDGITSFPGWTVNGTVELVESGQKQGGMILIVPEGVHAVRLGNDAEISQSLQLEKGATYAVTFSAARTCAQLETLNVSVWPASQAVDLQTLYSVEGWDAYAWAFQAPAEAEVDGAESRLSFRNPGMEDDPTCGPIIDDVAIKKLFAPERPQDDAVVNGDFEEGPWMLPNSSLGVLLPTNLDEETSALPGWMVESNRAVRYIDSYHFDVPQGKRAVELLSGKEGIISQMVETTPQKQYSLTFTIGAAGDSCQAPMAIMAFAGDQAQSFHYSPTGNATHQPANVTFTARAERTRIAFYSVYYNTRSDDRSSLCGPVVDEVRVRGVSKAAASSSKWVACLLSLAVPVLMVFF >Ma07_p27070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33593905:33595425:1 gene:Ma07_g27070 transcript:Ma07_t27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSMSSSWTAKENKTFEKALAVYDKDTPDRWHKIARAVGGKTAEEVKRHYELLVEDVRRIEAGQMPYYRPSNNRVFTQKFDA >Ma01_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2147625:2150230:1 gene:Ma01_g03310 transcript:Ma01_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFIRRYLRRPPKATGGDKESHKEEQGGKDQIGRQSPRTMDGSEGSTRGCCPVSLFNLVEPSNTKHHTRTTTTRISRSNFAKLTKDFVFPNTRFTNHESLPDLPDALSSFITIYPQYGETQEADRIRNNEYYHLADHVCLDYCGFSLFSHAQMHSSIPSSSTDHLPWGLLQPPFFSITYKSASLKSQVQYGNQDTLLEAAIRKRIMQFLNILDGEYSMVCTANRTTAFRLLAESYPFHANKGLLSVYDYESEAVNAIIESAQRRGAKVMSASFSWPSLRIHSGKLMEKLSKRKKKSRGLFVFPLQSRISGARYPYLWMTVAKEHGWHVVLDACALGPKDLDTLGLSLIQPDFIICSFFKVFGENPSGFAGLFIKKSSIAALESSTIARSIGIVSIIPARRLSQLTDDYSGTGLDVHSSRNQFDEDDTETTNSFSGPISTHICNDSAGMDNMLGETASTQKQKQVKRSEQGESSKENDENKEISSDIVLSKCGHSIQEEKSMTITEADKSMEIVCRGLDHADSLGLLHINSRLRCIVNWLVIALMKLQHPHSESGHYLVRIYGPRIKFDRGPALAFNVFDWRGEKIEPEMVQKLADRSNISLSRGFLNNIWFPDKYEAEKDKVLERRACEVTAASNKRKGRSDMGIDVLNASFSFLTNFEDAYRLWTFIAKFLDADFVEKERRRYFTLNQKMIEV >Ma08_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32232573:32235346:1 gene:Ma08_g18700 transcript:Ma08_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLTASSIVPHGAAHLSSAATRRRGRCVASSSSPPSATRRTASIALLSLLCGSSAHPDGADAVNLFDKYVKRKKLDPLEAYIPAVLLSRAQFEDLEKSLDTKQPNYDMSRFMLRSGPAGSLRLNIRAVAQYAAEDGNGKAASDAVEQCLRGLEDLDSLLLQAIRNNPTASVESMKSKLDTVLGALDSLLQTVPSKVLDKGKAIAEAYRIPGYQNDEGPPEDLDPEVKNLEALL >Ma06_p34460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34673966:34679390:-1 gene:Ma06_g34460 transcript:Ma06_t34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQSIGGSNGDSRPWDPPFNPTQPSIPISYPITTLEALASDSYHNSFHYPFNRSSVPLPPSAAALPPRRRILVCHDMMGGYLDDALVQGGDNSDAYAIWHWYLMDVFVYFSHYLVTLPPPCWTNAAHTHGVRVLGTFITEGEDGSKICDTLLSTKESARMYAERLTELATHLGFDGWLVNMEVTLDRTQIDNLKEFVDHLSRTMHFQIPGSLVIWYDAVTIDGSGGSQNKLNQKNKPFFDLCDGILVNYLWEEPDVEDSASVAGERKFDVYMGIDVFGRGTFGGGQWNTNAALDVLKTHDISATIFAPGWVYETNQGPDFETAQNRWWGLVEQSWGILRNYPKVLPFYSNFDQGRGLQFSIEGLQVANNHWNNISSQGFQPLLSVGSAVEAYIDFEDASYFGGGSLTVKGSVEDASVFSIKIFSGQLLLDDKAVQVKCFVRLDDNSLFGEILVLVSETNKKSYILIEDDSQPPLTVASFEFDKIIKLPQISKKADVLADSTWTPFAGTFTMTGYTLTDIYIVGALKDAAVEMEQSPTRKSDSPSSYHASLGQIRIFNTPMSYPLADAWHIDPSYTSWTTDLDGNRILSLKITWKLNEGDMTSFTRYNIHVEKLLTHGGNNEARPSFLGFARVELWYVLHLAIPSGVGLVRFIVQPCGVDGSCQELDKSPTLELRPPHSEG >Ma06_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4925849:4927976:1 gene:Ma06_g06870 transcript:Ma06_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPSSSPSLPPLSGAAGEEVALASSRSSSEERATKALESLMWPHDLDSTVSESSLVLLRDRYCIPAEFALIAPEPGQRAYDPSWSFGLRWAARAVDNTAPALDEGELGALRRLMEILPSSRVIRRMTEAWLVEAGLSPVPREMVNLPVVRGGRISSAASPRRQVGSSVGTREAPVDLEDVCPRKKTKVAAAKKPTPPRAQGSAEAADPLGGADPRDPGVGRRGDRDRAARLRAKAGAADERALALEAEVLRLRSEAKAAEEEKGNLRGLLEGAQSEARLARGEAVVLTQRLEGALADVKGASEALAAERERRPEKEKEIIEAYKQSSGFQLGLARSGQVTYEYGYRIALGRFQTSHPGLEVEADPFVSHPEDLDVDMPEEVPFDDGMGRSDG >Ma05_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:13101893:13102406:1 gene:Ma05_g15880 transcript:Ma05_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGRRITDEEINELVSKLQSLLPESRRRNIGRASASKLLKETCSYIKSLHREVDGLSDRLSGLMATMDSDSPQADIIRSLLRS >Ma04_p16680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16420905:16426327:1 gene:Ma04_g16680 transcript:Ma04_t16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFLDQVERLVGYMEGGWMIHVADFKSKCPRLLSTPPFSASEVSDLEMEEKSCGENMVAKVRKPYTITKQREKWTEEEHNRFLEALKLYGRSWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALIKGVSPGQVHDIDIPPPRPKRKPSNPYPRKMSTGVPSPSPSAEATDDKPPTPSSLQPTNQHSFRLESDAATERLAAMETVAAKENFEDGNRSEVIDINQDVPSASFFTEFIPTSEASKKEKITVDKSLLLSEVNEEAVRGRMWFLPRSCTSTQTNFAEASEHKSDQHILSSSLSTKGNPNGPNQAHFFIGKDMQNRDTDAQKNHTIVAAGAADQGGDATANPSMTGHLQHQLPWFSPLTQCQSNQDACDSFLNISSTFSTLIISTLLQNPSVHAAACLAASVWPSPEVDTSLHSSPPVHMKPSPTMTPVVAATVGAATAWWAAQGALPWFPHFTFAFTSAPPTRAQPVEMVQEPERRHDASFRKPLNPDLSDEPQCQTSPIPPSSDSDESVRDEYSNCTEVKASEINNSPPLLAGGVNDLRSKRKQHLSCGSNDTANNETVDKEAEEAFTNTSAPEINHRRLRSSASTDEPWKESEKLAYEAPLKRDLPRSSSPLHAKDAQTKVRSDRDSSMLPANLSENAGLETDLLHPHCLKKEEMSKEINGSTGQSSVTNDFRHGNSMSSRTGFKPYKRCSVEAKESKAVSGEETGNKKIRLPEEA >Ma04_p16680.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16420905:16426327:1 gene:Ma04_g16680 transcript:Ma04_t16680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFLDQVERLVGYMEGGWMIHVADFKSKCPRLLSTPPFSASEVSDLEMEEKSCGENMVAKVRKPYTITKQREKWTEEEHNRFLEALKLYGRSWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALIKGVSPGQVHDIDIPPPRPKRKPSNPYPRKMSTGVPSPSPSAEATDDKPPTPSSLQPTNQHSFRLESDAATERLAAMETVAAKENFEDGNRSEVIDINQDVPSASFFTEFIPTSEASKKEKITVDKSLLLSEVNEEAVRGRMWFLPRSCTSTQTNFAEASEHKSDQHILSSSLSTKGNPNGPNQAHFFIGKDMQNRDTDAQKNHTIVAAGAADQGGDATANPSMTGHLQHQLPWFSPLTQCQSNQDACDSFLNISSTFSTLIISTLLQNPSVHAAACLAASVWPSPEVDTSLHSSPPVHMKPSPTMTPVVAATVGAATAWWAAQGALPWFPHFTFAFTSAPPTRAQPVEMVQEPERRHDASFHSDESVRDEYSNCTEVKASEINNSPPLLAGGVNDLRSKRKQHLSCGSNDTANNETVDKEAEEAFTNTSAPEINHRRLRSSASTDEPWKESEKGQLAYEAPLKRDLPRSSSPLHAKDAQTKVRSDRDSSMLPANLSENAGLETDLLHPHCLKKEEMSKEINGSTGQSSVTNDFRHGNSMSSRTGFKPYKRCSVEAKESKAVSGEETGNKKIRLPEEA >Ma04_p16680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16420905:16426327:1 gene:Ma04_g16680 transcript:Ma04_t16680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFLDQVERLVGYMEGGWMIHVADFKSKCPRLLSTPPFSASEVSDLEMEEKSCGENMVAKVRKPYTITKQREKWTEEEHNRFLEALKLYGRSWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALIKGVSPGQVHDIDIPPPRPKRKPSNPYPRKMSTGVPSPSPSAEATDDKPPTPSSLQPTNQHSFRLESDAATERLAAMETVAAKENFEDGNRSEVIDINQDVPSASFFTEFIPTSEASKKEKITVDKSLLLSEVNEEAVRGRMWFLPRSCTSTQTNFAEASEHKSDQHILSSSLSTKGNPNGPNQAHFFIGKDMQNRDTDAQKNHTIVAAGAADQGGDATANPSMTGHLQHQLPWFSPLTQCQSNQDACDSFLNISSTFSTLIISTLLQNPSVHAAACLAASVWPSPEVDTSLHSSPPVHMKPSPTMTPVVAATVGAATAWWAAQGALPWFPHFTFAFTSAPPTRAQPVEMVQEPERRHDASFRKPLNPDLSDEPQCQTSPIPPSSDSDESVRDEYSNCTEVKASEINNSPPLLAGGVNDLRSKRKQHLSCGSNDTANNETVDKEAEEAFTNTSAPEINHRRLRSSASTDEPWKESEKGQLAYEAPLKRDLPRSSSPLHAKDAQTKVRSDRDSSMLPANLSENAGLETDLLHPHCLKKEEMSKEINGSTGQSSVTNDFRHGNSMSSRTGFKPYKRCSVEAKESKAVSGEETGNKKIRLPEEA >Ma04_p16680.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16420905:16426082:1 gene:Ma04_g16680 transcript:Ma04_t16680.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFLDQVERLVGYMEGGWMIHVADFKSKCPRLLSTPPFSASEVSDLEMEEKSCGENMVAKVRKPYTITKQREKWTEEEHNRFLEALKLYGRSWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALIKGVSPGQVHDIDIPPPRPKRKPSNPYPRKMSTGVPSPSPSAEATDDKPPTPSSLQPTNQHSFRLESDAATERLAAMETVAAKENFEDGNRSEVIDINQDVPSASFFTEFIPTSEASKKEKITVDKSLLLSEVNEEAVRGRMWFLPRSCTSTQTNFAEASEHKSDQHILSSSLSTKGNPNGPNQAHFFIGKDMQNRDTDAQKNHTIVAAGAADQGGDATANPSMTGHLQHQLPWFSPLTQCQSNQDACDSFLNISSTFSTLIISTLLQNPSVHAAACLAASVWPSPEVDTSLHSSPPVHMKPSPTMTPVVAATVGAATAWWAAQGALPWFPHFTFAFTSAPPTRAQPVEMVQEPERRHDASFRKPLNPDLSDEPQCQTSPIPPSSDSDESVRDEYSNCTEVKASEINNSPPLLAGGVNDLRSKRKQHLSCGSNDTANNETVDKEAEEAFTNTSAPEINHRRLRSSASTDEPWKESEKGQLAYEAPLKRDLPRSSSPLHAKDAQTKVRSDRDSSMLPANLSENAGLETDLLHPHCLKKEEMSKEINGSTGQSSVTNDFRHGNSMSSRTGFKPYKRCSVEAKESKAVSGEETGNKKIRLPEEA >Ma04_p16680.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16420905:16426327:1 gene:Ma04_g16680 transcript:Ma04_t16680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFLDQVERLVGYMEGGWMIHVADFKSKCPRLLSTPPFSASEVSDLEMEEKSCGENMVAKVRKPYTITKQREKWTEEEHNRFLEALKLYGRSWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALIKGVSPGQVHDIDIPPPRPKRKPSNPYPRKMSTGVPSPSPSAEATDDKPPTPSSLQPTNQHSFRLESDAATERLAAMETVAAKENFEDGNRSEVIDINQDVPSASFFTEFIPTSEASKKEKITVDKSLLLSEVNEEAVRGRMWFLPRSCTSTQTNFAEASEHKSDQHILSSSLSTKGNPNGPNQAHFFIGKDMQNRDTDAQKNHTIVAAGAADQGGDATANPSMTGHLQHQLPWFSPLTQCQSNQDACDSFLNISSTFSTLIISTLLQNPSVHAAACLAASVWPSPEVDTSLHSSPPVHMKPSPTMTPVVAATVGAATAWWAAQGALPWFPHFTFAFTSAPPTRAQPVEMVQEPERRHDASFRKPLNPDLSDEPQCQTSPIPPSSDSDESVRDEYSNCTEVKASEINNSPPLLAGGVNDLRSKRKQHLSCGSNDTANNETVDKEAEEAFTNTSAPEINHRRLRSSASTDEPWKESEKGQLAYEAPLKRDLPRSSSPLHAKDAQTKEEMSKEINGSTGQSSVTNDFRHGNSMSSRTGFKPYKRCSVEAKESKAVSGEETGNKKIRLPEEA >Ma04_p16680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16420905:16426327:1 gene:Ma04_g16680 transcript:Ma04_t16680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFLDQVERLVGYMEGGWMIHVADFKSKCPRLLSTPPFSASEVSDLEMEEKSCGENMVAKVRKPYTITKQREKWTEEEHNRFLEALKLYGRSWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALIKGVSPGQVHDIDIPPPRPKRKPSNPYPRKMSTGVPSPSPSAEATDDKPPTPSSLQPTNQHSFRLESDAATERLAAMETVAAKENFEDGNRSEVIDINQDVPSASFFTEFIPTSEASKKEKITVDKSLLLSEVNEEAVRGRMWFLPRSCTSTQTNFAEASEHKSDQHILSSSLSTKGNPNGPNQAHFFIGKDMQNRDTDAQKNHTIVAAGAADQGGDATANPSMTGHLQHQLPWFSPLTQCQSNQDACDSFLNISSTFSTLIISTLLQNPSVHAAACLAASVWPSPEVDTSLHSSPPVHMKPSPTMTPVVAATVGAATAWWAAQGALPWFPHFTFAFTSAPPTRAQPVEMVQEPERRHDASFRKPLNPDLSDEPQCQTSPIPPSSDSDESVRDEYSNCTEVKASEINNSPPLLAGGVNDLRSKRKQHLSCGSNDTANNETVDKEAEEAFTNTSAPEINHRRLRSSASTDEPWKESEKGQLAYEAPLKRDLPRSSSPLHAKDAQTKKEEMSKEINGSTGQSSVTNDFRHGNSMSSRTGFKPYKRCSVEAKESKAVSGEETGNKKIRLPEEA >Ma04_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16420905:16426327:1 gene:Ma04_g16680 transcript:Ma04_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFLDQVERLVGYMEGGWMIHVADFKSKCPRLLSTPPFSASEVSDLEMEEKSCGENMVAKVRKPYTITKQREKWTEEEHNRFLEALKLYGRSWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALIKGVSPGQVHDIDIPPPRPKRKPSNPYPRKMSTGVPSPSPSAEATDDKPPTPSSLQPTNQHSFRLESDAATERLAAMETVAAKENFEDGNRSEVIDINQDVPSASFFTEFIPTSEASKKEKITVDKSLLLSEVNEEAVRGRMWFLPRSCTSTQTNFAEASEHKSDQHILSSSLSTKGNPNGPNQAHFFIGKDMQNRDTDAQKNHTIVAAGAADQGGDATANPSMTGHLQHQLPWFSPLTQCQSNQDACDSFLNISSTFSTLIISTLLQNPSVHAAACLAASVWPSPEVDTSLHSSPPVHMKPSPTMTPVVAATVGAATAWWAAQGALPWFPHFTFAFTSAPPTRAQPVEMVQEPERRHDASFQVKASEINNSPPLLAGGVNDLRSKRKQHLSCGSNDTANNETVDKEAEEAFTNTSAPEINHRRLRSSASTDEPWKESEKGQLAYEAPLKRDLPRSSSPLHAKDAQTKVRSDRDSSMLPANLSENAGLETDLLHPHCLKKEEMSKEINGSTGQSSVTNDFRHGNSMSSRTGFKPYKRCSVEAKESKAVSGEETGNKKIRLPEEA >Ma09_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12404315:12408953:1 gene:Ma09_g16910 transcript:Ma09_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGLLMEGMVYPGEPNYVQNISYGSLHQPLVGECNGQGHLIDPPQDNFAEVCDEESDDEDVDIEELEHRLWRDRMRLKHLKEQQQQQSRSKSKNKEQGDSAKQRQCHDQALRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPVAIAKYQVDNAIVGSNSEMSSGTASLHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTGKEEWWPQLGIPNDQRPPPYKKPHDLKKAWKVSVLTCVIKHMSPDINKIRRLVRQSKCLQDKMTAKESATWLAVIKQEEDMYMKLHPEAYFPPSSGSCISGSISFVSSCSEYDAEGVDEGKCEDVVNHKLHAEGNPFDLSGTARKEKTVKEEMNMEFTRKRNVAEPESVLNQCIYTCDNVQCPHHDPRHGFLDRNARNSHRYVCKYQGTGVAFNSFPVKENKLLSFSMSYDTQPNPTSLESGPIPANTSDLGIPSDGQRSIDELMSLYDKNLNANKSFNSRGIGMSEGRTPLQPRTRVEDNFFPQGTKAIGGMLEEVSNLVQQQQQFPVRENVMPFQQQFGNPSDEMSGDLCYGSAFSMPSMDYSDALHRLQKAEAANWFY >Ma09_p16910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12404315:12408953:1 gene:Ma09_g16910 transcript:Ma09_t16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGLLMEGMVYPGEPNYVQNISYGSLHQPLVGECNGQGHLIDPPQDNFAEVCDEESDDEDVDIEELEHRLWRDRMRLKHLKEQQQQQSRSKSKNKEQGDSAKQRQCHDQALRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPVAIAKYQVDNAIVGSNSEMSSGTASLHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTGKEEWWPQLGIPNDQRPPPYKKPHDLKKAWKVSVLTCVIKHMSPDINKIRRLVRQSKCLQDKMTAKESATWLAVIKQEEDMYMKLHPEAYFPPSSGSCISGSISFVSSCSEYDAEGVDEGKCEDVVNHKLHAEGNPFDLSGTARKEKTVKEEMNMEFTRKRNVAEPESVLNQCIYTCDNVQCPHHDPRHGFLDRNARNSHRYVCKYQGTGVAFNSFPVKENKLLSFSMSYDTQPNPTSLESGPIPANTSDLGIPSDGQRSIDELMSLYDKNLNANKSFNSRGIGMSEGRTPLQPRTRVEDNFFPQGTKAIGGMLEEVSNLVQQQQQFPVRENVMPFQQQFGNPSDEMSGDLCYGSAFSMPSMDYSDALHRLQKAEAANWFY >Ma01_p18800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14142795:14147573:-1 gene:Ma01_g18800 transcript:Ma01_t18800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQHKEKPPTIFSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLTNPPSRNRSLGAAATHSGPIMPNASGQPNYSGSSSYAAPSSGSLLVTGGSGRQKSSSGPLKHGDSVKRASGPQSGGVTQVGRQNSGPLPPVLPTTGLITSGPISSGPLNSSGVTRKLSGSLESTKSVKSHSASVIQNQAVTNLTQEDDYLFKGSLPKPIIWSVVLLFVMGFIAGGFILGAVHNVILLMVVVIIFGGVVMLSFWNTCFGRRAIIGFIARYPDVDLRTAKDGQYVKVVTCGNFPLQSSYHMVPRCVYTSTGLYEYRGWNSKTANSQHRRFTWGLRSKERHVVDFYISDFQSGLRALVKAGYGSRVAPYVDESVVIDMNPNNKDSSPEFLRWLQERNLSSDDRVMRLKEGYVKEGSTVSVMGVVRKNDNVLMIVPPPEPFSTGCQWAKCVIPASLDGIILRCEDTSDVDVIPV >Ma01_p18800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14142795:14147573:-1 gene:Ma01_g18800 transcript:Ma01_t18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQHKEKPPTIFSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLTNPPSRNRSLGAAATHSGPIMPNASGQPNYSGSSSYAAPSSGSLLVTGGSGRQKSSSGPLKHGDSVKRASGPQSGGVTQVGRQNSGPLPPVLPTTGLITSGPISSGPLNSSGVTRKLSGSLESTKSVKSHSASVIQNQAVTNLTQEDDYLFKGSLPKPIIWSVVLLFVMGFIAGGFILGAVHNVILLMVVVIIFGGVVMLSFWNTCFGRRAIIGFIARYPDVDLRTAKDGQYVKVSGVVTCGNFPLQSSYHMVPRCVYTSTGLYEYRGWNSKTANSQHRRFTWGLRSKERHVVDFYISDFQSGLRALVKAGYGSRVAPYVDESVVIDMNPNNKDSSPEFLRWLQERNLSSDDRVMRLKEGYVKEGSTVSVMGVVRKNDNVLMIVPPPEPFSTGCQWAKCVIPASLDGIILRCEDTSDVDVIPV >Ma01_p18800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14142795:14147573:-1 gene:Ma01_g18800 transcript:Ma01_t18800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQHKEKPPTIFSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLTNPPSRNRSLGAAATHSGPIMPNASGQPNYSGSSSYAAPSSGSLLVTGGSGRQKSSSGPLKHGDSVKRASGPQSGGVTQVGRQNSGPLPPVLPTTGLITSGPISSGPLNSSGVTRKLSGSLESTKSVKSHSASVIQNQAVTNLTQEDDYLFKGSLPKPIIWSVVLLFVMGFIAGGFILGAVHNVILLMVVVIIFGGVVMLSFWNTCFGRRAIIGFIARYPDVDLRTAKDGQYVKVSGVVTCGNFPLQSSYHMVPRCVYTSTGLYEYRGWNSKTANSQHRRFTWGLRSKERHVVDFYISDFQSGLRALVKAGYGSRVAPYVDESVVIDMNPNNKDSSPEFLRWLQERNLSSDDRVMRLKEGYVKEGSTVSVMGVVRKNDNVLMIVPPPEPFSTGCQWAKCVIPASLDGIILRCEDTSDVDVIPV >Ma01_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14142795:14147573:-1 gene:Ma01_g18800 transcript:Ma01_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQHKEKPPTIFSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLTNPPSRNRSLGAAATHSGPIMPNASGQPNYSGSSSYAAPSSGSLLVTGGSGRQKSSSGPLKHGDSVKRASGPQSGGVTQVGRQNSGPLPPVLPTTGLITSGPISSGPLNSSGVTRKLSGSLESTKSVKSHSASVIQNQAVTNLTQEDDYLFKGSLPKPIIWSVVLLFVMGFIAGGFILGAVHNVILLMVVVIIFGGVVMLSFWNTCFGRRAIIGFIARYPDVDLRTAKDGQYVKVSGVVTCGNFPLQSSYHMVPRCVYTSTGLYEYRGWNSKTANSQHRRFTWGLRSKERHVVDFYISDFQSGLRALVKAGYGSRVAPYVDESVVIDMNPNNKDSSPEFLRWLQERNLSSDDRVMRLKEGYVKEGSTVSVMGVVRKNDNVLMIVPPPEPFSTGCQWAKCVIPASLDGIILRCEDTSDVDVIPV >Ma02_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22057631:22057847:1 gene:Ma02_g13860 transcript:Ma02_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRCLFSRGSFLFDNVNFWWKRY >Ma06_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22597947:22598530:-1 gene:Ma06_g24160 transcript:Ma06_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCCLPGLISISTSNTSSFPASLLPISLQRGVGGGGMAHRVDHECDYLFKIVLIGDSGKGEIEHPRQFTRNEFCLDGIQVHHRRRIRH >Ma09_p31440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41174157:41177315:-1 gene:Ma09_g31440 transcript:Ma09_t31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAAAADGLGRSDIFHIVKEILGFVLYMHQQIPSLLQHLENEFDALKEEHESLEEACRTPEESKASDRRKHNLRKREIKQGIRRLDKLMCSKSSLLSALRLALEEMPDIREVTLILGASIVRPQHVYQLVFSGGNFGSGNANKCTERKISDNIARKAIRVLISAGAGSSTYTGPSKLFLLVRSSSTFSLPLHFFPKRDFRFGKKVVPLKLHINCKIPEHAMDDLHRTSLAGSSLCPNSTEYDAIWFQCKHVIRGLPSKTPAEC >Ma02_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20863050:20863833:1 gene:Ma02_g11940 transcript:Ma02_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSKQGAMEIDATGSLAITVERNPPEARLLELGIKSWPKWGCPPGKFPLKFDAQETCYLLKGRVKASVKGSSECVEFGARDLVIFPKGLSCTWDVSVAVDKHYKFDSSSS >Ma07_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6097691:6100463:1 gene:Ma07_g08180 transcript:Ma07_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSISRSLLAAARSSPPRRPSIPFRLFPPSPSVPRRRLSSAPSRSLGELGCVQLLIPLRVVAISPCLTSHLSASVRACCELSQGT >Ma07_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27682847:27684927:1 gene:Ma07_g19720 transcript:Ma07_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEKHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGEFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPCTHKAKIDTLSSANGHPKKVANLSHMAQWESARLEAEARLARESKLRAASNSTILPQQQQMGTSSSSSSTTPLPPAPVNPWLEAWSAKPATQGERIDLESPTSTLSLAENWLPIMASGTELVDGNAPAEAAATHQEGENLEPEDAGWKCVAKDRVDCFTGFSMEAFGSEAPWLSEPYTSQAGYSWGQLGAGFTAMLLGDSGGQNTSDSCVQEEEEEATGLVEREGNKTYWNTILNSVNSSASSNSPPVFYH >Ma06_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12837370:12838327:1 gene:Ma06_g18760 transcript:Ma06_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRCQEGHRPCANNCGFFGSPATLNLCSKCYRDHRLKEEEKQQPQQASSSSSSATAAEVGVEESLCASSSSSPASFVGAEAGEPSVLVASATAAAATETEKKGPNRCAKCRKRVGLTAGFRCRCGATYCGTHRHAEQHGCTFDFKAAGRAAIARANPVVRAVKLHKI >Ma11_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23356369:23357198:1 gene:Ma11_g18240 transcript:Ma11_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALLAVALTCLLLAAPHTAQAAIGCGQIISYLIPCLGYAQGTGPLTDGCCSGVRALNGAAQTTPDRQATCNCLKRSAAGVSGIQPGLIAGIPSKCGINVPYRISPSTDCSRVR >Ma05_p25620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37495417:37498650:1 gene:Ma05_g25620 transcript:Ma05_t25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITIAAPSLAMSATVEPRSRPSSSASSSPPPPPSLLVGFLMDLVGYVEDTAAASPCDLPTREYAPSSPCACQVSGGFFVRLLSKRRNSFLRLVDQLEKGKWVSCARGETSQFSNSMVLRPLVMVLMLKTSPSSPGFPACVASHGGRGSNSSSSNTALNATTATCDIPCS >Ma09_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3025115:3028596:1 gene:Ma09_g04680 transcript:Ma09_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGAPPRGSAAAAANMRRRRAAGGGAAAAGGGASTMLQFYTDDATGAKMSPNTVLFMSIGLSAVVALLHVVGKLYFVPR >Ma09_p04680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3025105:3028597:1 gene:Ma09_g04680 transcript:Ma09_t04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGAPPRGSAAAAANMRRRRAAGGGAAAAGGGASTMLQFYTDDATGAKMSPNTVLFMSIGLSAVVALLHVVGKLYFVPR >Ma01_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4657276:4660595:-1 gene:Ma01_g06480 transcript:Ma01_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGDRGGFGRGFGRGFGRGRGRGDRGRGRRGGGRRDEEEKWVPVTKLGRLVKEGKITSLEQIYLHSLPVKEHQIIDTLLGGRLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDTDGHVGLGVKCAKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWMETRFSKSPFQEYTDLLAKPTKTILLENTEVVEA >Ma04_p34300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33574944:33576372:1 gene:Ma04_g34300 transcript:Ma04_t34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQAEARGSGERGMGGGGEELKKGPWTPAEDAVLVEHVRRHGEGNWNAVRRHSGLARCGKSCRLRWANHLRPNLKKGSFAPDEELLILRLHAQLGNKWARIAAQLPGRTDNEIKNYWNTRLKRRQRAGLPIYPPDLQEAVRFDRHHQQQHQAPISPRPLTPPPLLNPVDFHPPPRISLPPLPQNPFACQLGFGFLVSPLAPPPTPNSLFQQQHQIGPPPPLSPAFAVETELPSCQSYSGLVGGDNGQSSSGLLESLLQGTGVAEDMRIGELLALQAADEQVARWAQIFGDDGDEGIKEASQGFSLGSATKLDRDMGTEIKCEPPGGGSPMKGDTSTLLDVQALTMSLVLKSNELASSLSITSADEDCNISSWPWSNMPGIR >Ma05_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9685448:9687224:-1 gene:Ma05_g13330 transcript:Ma05_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEWEMAMMGIGACYSISSAAVAPLPSTSAAPTSPAYLYGPVPPTADAGLCVDDLLDFSHHDLFPPVATDTLFYATTTVEPSLTTGGSTLSEETFSVFRSQQASSDLYIPHCEDAAELEWLSKFVEDSFSDVPYETGAGIITPRVEQSANGPGARSKRSSAAAGAVAAEAWSSMVAPLRPPAQNSPSSSSSSSSSEFSMPKPRGNGGDNINRSNRGKKGVSGGGRSAGMEGGAARRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVAEYRPAASPTFVLTQHSNSHRKVMELRRQKEQLLRRHHQENHPSSSAQPELLLGDYGAC >Ma10_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29737658:29739437:1 gene:Ma10_g19000 transcript:Ma10_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGGRGRRLSSRETSPDRARLGCSQLQHKQQQQQRSLRPLRKVQIIYYLSWNGQLEHPHFIELPYLPNQQLRLRDVIERLTLLRGRGMPALFSWSCKRSYKNGYVWNDLAENDVIFPADGVEYVLKGSEIIPGAYERFQHVAARSRRLKALPAPRKLHLELEEDEGEEGELGEDEEGAEEIRCGKRNVDGARHSRRSCGVSTEEIERTDNLTTVRSHRHHHHGPMELPLDDSSPPSSTSSDKPPAHAPGSGASQRFEDADPAPEPGLTRNSVLLQLIACGSATATAAGGGQRNTGLHRGLVSRLASRAAEEDEVVRCVQENPRFCHPLIEDKEYFSGSIVEGSRAPPEPSLKKSSSYNEERSSKLGIGEGKREVDRGGVKGKCIPGRRQASGKQQ >Ma10_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22720568:22723676:-1 gene:Ma10_g08300 transcript:Ma10_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSLLLVASTPVVQVLLIGLLGAYLASGYSNVLLPGARRDMNKVVFTVFTPALMFASLAKTVTFQEIISWWFMPVNIGITFLIGGILGWIVVKILRPPNHIEGLVIAACSAGNLGNLLLIIIPAICEEDGNPFGDYKICSARGISYVSFSMALGAFYIWTHSYSLMRNAGKMYHATRTASLGVTGANEGGHGVSVDQESVLSPPVKAVQGMAQHQIEIPLLSGGNLQDKKVNLWDKIKETLHKIVEELLAPPTVAAIVGFVVGAVPWLKTLFIGSSAPLKVVQDSMKLLGDGTVPCITLILGGNLTQGLRKSVVRPVLIVAIVCVRYVILPAFGIALVKAAYGLGFVPHDPLYRYVLMVQFGLPPAMSIGTMAQLFDVAQEECSVIFLWTYLIAAVSLTVWSTVFMWILS >Ma04_p33420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33048126:33054478:-1 gene:Ma04_g33420 transcript:Ma04_t33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSFVDSFLSARGVSVAMRRAGLLRVARFARRLERQSLQDTFRIRNLTVWQSVSSLVGTTRCSSNPCKSFELTRQPKTLKLAHGLRS >Ma01_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:313923:315583:-1 gene:Ma01_g00440 transcript:Ma01_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGRSRCKEAARIKGPWSPEEDTSLQRLVQRHGPRNWSLISRSIPGRSGKSCRLRWCNQLSPQVEHRPFTAEEDEIIIRAHRRFGNKWATIARILSGRTDNAIKNHWNSTLKRKYTPPCAGSGDGGLQHHYDAIVAAKAATAIEQDDEPEEARALERASSDGPVLLSGGAGLWMNPGSPSESDVSDSSHHSHPVISSAAEVPHIYRPVPRTGGVVLHSSPCRGPHQTEPMTAATIAATPIHDDDDPVTSLTLSLPGSDPMDTSSDHHHHHQGSPVNHIQNQLQLLPTSAPTPQPMTQRRPAVAASSAAEEERRPTPIPFSAEFLAVMQEMIRKEVRCYMAGLEQSRMLCGMQPPPEGVRNAAMNRIGVTKIE >Ma10_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28008642:28009475:1 gene:Ma10_g16220 transcript:Ma10_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASLSSFASSAPRNIVFFSVSKEEKKNLLYSYNGGGHELQALALNWLGQAKPYHSWHSHTADNMTFGFLMHGGYTFFAIDNAGSSEMLLFLERLRDAFEAAPKNDRVEGELSWVVRIGAEKACTEERKPKDVYGGGESKVDVLQEDAGGVVSSGRSSSSVLKAQQCARRLWWRHAKTVAAVDVLLCLAMFAVWLVVCEGLQCVGRR >Ma09_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8647514:8648122:-1 gene:Ma09_g12810 transcript:Ma09_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Ma06_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:47600:48989:-1 gene:Ma06_g00060 transcript:Ma06_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKFSSAQIEKVSGSPTLKLPHLFNLTPNSSGKGNQAPKQHPVGSQTNQETLPAPKTVSPPFTIDEDGEAQETDDYYAHNIRRSVREAALSSSSSNSELLQERSIDDGSEHFFIPLSTTDAASQKEIDYVPNWRNQQLVFSSPPEDQAPMNMTDLSCNANSQQSFIPDMLNKLNGLKENKNLARLFQPSTEKIQRTHPEANNTLDQVFSPPLLLESSFFQDAYEDLLAPLSETDAALMEH >Ma01_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8954708:8959348:1 gene:Ma01_g12360 transcript:Ma01_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLKLREAHKGTGSPALCSILWDADGRHLVTSNASDSSVSIHDASHPPKLAKEVRDHKDGVTALALSPGSNSLASGSIDHSVKIYSFPDGEFQSNVTRFTLPIRSLAFNKSGSLLAAAGDDDGIKLIATIDNTISKVLKGHRGPVTGLSFDPSNEFLASVDTFGTVIYWELSSGKQMHTLKAIAPNCDADASLINVLSWSPNGETLAVPGLKNDVVMYDRDTAEKMFTLKGDHERPVCFLAWSPSGKYMATAGLDKQVLIWDVDLRQDIERQKIDDRICSLAWKQNGNALAVIDVMGKIGIWESPVPSCMKSPTDGAADLQSRGTNRLLLFDEDDETPSASGSLDDAIEESHGESAPIGHKRSRKQSISDEICDEDSDGEDGLLRQIESRKRSAAKHKKHTRDWKEGNASSSNSTRPNMQEAFQPGSTPAETGKRCFLVYNMLGSITTIENDGYSHVEVDFHDTGRGPRVPAMTDYFGFTMAALNENGSVFSNPCKGEKNMSTLMYRPFSSWANNSEWLMRFEGEEVKAVALGTGWVAAITNLNLLRIFTEGGLQRHILCLNGPVVTAAGYEDKLAIVTHASECLSSGDQMLDVLVLNISEGAKLFEGRLPLSPTSCLTWFGFSEEGQLSSYDSKGVLRVFSHQYGGSWLPVFSAEKTRKSEDETYWIVGLNASKLFCIICKSPNCYPTVMPKPVLELLSLSFPLASSDLGAADLESELMMTQLHLSQTQKKIQEMAMAGLDTTALDDEAFNTEAAIDRFMLRLIASCCNGDKLVRATELAKSLSLEKSVKGAIKLVTALKLPILAERFSGILEERLFNGCRTPAAIPCVASPRTITNNVPSSSDTIRTGAPVLTPSSLSCPRFPRRDAIEEKAGGKEACKDAGDGDATAGVKPKPKPPSSDSGKHEGNDKKGQMPSSSTMEEGDLKGRTNLVQSRGPTNPFAKTASPQERASLLESIRKMKRAENEKDGKSSNKKVKVQK >Ma11_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25577718:25578170:1 gene:Ma11_g21430 transcript:Ma11_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGGVLRRSAAVVEHARDSARSFRLHYALLLWVLLLASLFPRRRATMLFLMAASKLALFYGALLKAFPNSALLRQIVDRRLVAALLMAVVGAEIVMTSAVPQFLLAMAVGVPLVLLHALFRTQDDPAASGEETTTGNGGDPGEEGDRR >Ma06_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12391481:12392129:1 gene:Ma06_g18240 transcript:Ma06_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESHQTESDRGERERERERERERGAGSIGGRSRVRV >Ma09_p04020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2644041:2649564:1 gene:Ma09_g04020 transcript:Ma09_t04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MDSHSINHFESSISWRGDGRFYATISGVYDSFSLQKLRVWERESGDLYSSSEFRKFMGTSLDWMPSGAKVATICDRKNENKCPLVVLFEKNGLERNSFPIDGPVEATVEILKWNCNSDLLSASVIGDEYDSIKIWSFSNNHWYLKKDIRYPKKDRVRYIWDPSKPLHLISWTLCGKIIAYNFLWSTAVTETSIALVIDNSNVLVTPLSLSLVPPPMSLFNLKFSCAVQDISFFFKNSKNYVAACLSNSDLCVVELPRMDLWDQFEGEVFNIEACQADSALDTFMHLTWLDSHVLLGVSSLGSHSCSASLGKYVLAQKQKQPHGYLLQEIELVCSENSVPESVSSSGWHAKISKLRSFEEPIIAIAPIPNKKFSAFIQFHGGSVVEYSSSNVMIPEHSYLHEFKSEHGFSSSCPWMKAVLVRDNGTLKHLIFGLDDNGRLHFGRRILCKNCSSFSFYSTTCSVSEQVVTHLLLTTKHDLLIIVSMDDVLHGNPETKIDGYSSSNNHAEENKDLVRIWERGAKLIGVIHGDEAAVILQTNRGSLECIYPRKLVLVSIINALVQGRFKDAMLMVRRHRIDFNVIVDYYGWKAFLKSAKEFVCQVDNLGHITEFVSSIKNENVISTLYKAYISLPASNETTSGHTESVHMESKISSVLLAVRRALEEQIPEKPARELCILTTLARSEPPALEEALNRIKVIRELELLRVDDGRRKSYPSAEESLKHLLWLTDPEAVYEAALGLYDLNLAAIVALNSQKDPKEFLPFLKGLEELPPPVMRYTIDLRLHRYESALKHIVLAGDDYYEDCMNLLKNNPELFPLGLQLFSDPVKRLQILEAWGDHLNAEKCFEDAARIYLSCSSLQKAQRAYRACADWRGVFTVAGLLKLGKGEVLLLANDLCEEFQALGKPAEAAKISLEYLKDFARAVDYLIMSREWEEALRIACMQEELDLLTPVKDACVECATALTSEYNEGFEKVGKYSARYLAVRQRRILFAAKIQSEDRSVNDADYDTLSESSTTFSEMSAYTTRTARESSASISSSKASKARAMRHQRHKGGKIRPGSPGEELALVEYLKGMSLTESSQRELKSLVVTLIMLGLEEIARKLQSAADAYQISQQAAVRLAEDTVTNDVLDENTHTLENYMKRLKALYVKALPRLSKALLPPLQVHELFEC >Ma09_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2642504:2649564:1 gene:Ma09_g04020 transcript:Ma09_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MKNLKLSSQFSRDLELQFEGETLLLSAFDIERNRVFFASSANVVYTLQLPLSHDSSSEAEPLPLEPGDHITAMDYLMEKEALILGSSGGCLLLYNVDMKTTEIVGEVKGGVKSLVSSPDGALLAVTSGSGQLLVMTYEWEVQYEIPLDPQLSDNVNVSDMDSHSINHFESSISWRGDGRFYATISGVYDSFSLQKLRVWERESGDLYSSSEFRKFMGTSLDWMPSGAKVATICDRKNENKCPLVVLFEKNGLERNSFPIDGPVEATVEILKWNCNSDLLSASVIGDEYDSIKIWSFSNNHWYLKKDIRYPKKDRVRYIWDPSKPLHLISWTLCGKIIAYNFLWSTAVTETSIALVIDNSNVLVTPLSLSLVPPPMSLFNLKFSCAVQDISFFFKNSKNYVAACLSNSDLCVVELPRMDLWDQFEGEVFNIEACQADSALDTFMHLTWLDSHVLLGVSSLGSHSCSASLGKYVLAQKQKQPHGYLLQEIELVCSENSVPESVSSSGWHAKISKLRSFEEPIIAIAPIPNKKFSAFIQFHGGSVVEYSSSNVMIPEHSYLHEFKSEHGFSSSCPWMKAVLVRDNGTLKHLIFGLDDNGRLHFGRRILCKNCSSFSFYSTTCSVSEQVVTHLLLTTKHDLLIIVSMDDVLHGNPETKIDGYSSSNNHAEENKDLVRIWERGAKLIGVIHGDEAAVILQTNRGSLECIYPRKLVLVSIINALVQGRFKDAMLMVRRHRIDFNVIVDYYGWKAFLKSAKEFVCQVDNLGHITEFVSSIKNENVISTLYKAYISLPASNETTSGHTESVHMESKISSVLLAVRRALEEQIPEKPARELCILTTLARSEPPALEEALNRIKVIRELELLRVDDGRRKSYPSAEESLKHLLWLTDPEAVYEAALGLYDLNLAAIVALNSQKDPKEFLPFLKGLEELPPPVMRYTIDLRLHRYESALKHIVLAGDDYYEDCMNLLKNNPELFPLGLQLFSDPVKRLQILEAWGDHLNAEKCFEDAARIYLSCSSLQKAQRAYRACADWRGVFTVAGLLKLGKGEVLLLANDLCEEFQALGKPAEAAKISLEYLKDFARAVDYLIMSREWEEALRIACMQEELDLLTPVKDACVECATALTSEYNEGFEKVGKYSARYLAVRQRRILFAAKIQSEDRSVNDADYDTLSESSTTFSEMSAYTTRTARESSASISSSKASKARAMRHQRHKGGKIRPGSPGEELALVEYLKGMSLTESSQRELKSLVVTLIMLGLEEIARKLQSAADAYQISQQAAVRLAEDTVTNDVLDENTHTLENYMKRLKALYVKALPRLSKALLPPLQVHELFEC >Ma11_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23611187:23617523:-1 gene:Ma11_g18590 transcript:Ma11_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVANADDVELPAGAYHAERLLGNGDFYTGEWSGNVPHGTGKYLWTDGCMYEGEWRHGKTMGKGKFSWPSGATYEGEFKSGFMDGYGTYTSSLGDTYRGTWSMNLKHGHGVKSYANGDYYDGEWRSDNQDGHGRYVWKNGNEYVGQWRAGVIDGRGTLVWANGNRYDGGWEDGLPKGNGNFRWADGGLYIGYWSKENGSLQQKGVYYSSPTASSPTSRDPYGVFLADLGDCKVSQGENVSILPSQKTLNWSGIEADFMQKQAVWKSTKSPDAHRRRRASNGEVGGANKGVDDLVGELHLDEAESRGAGRIHQPIRSPLREVKKQGEPISKGHKNYDLMLNLQLGIRQAVGKQPEPKSLDLKASAFDPKEKIWTRFPPEGTKQTPPHQSCDFKWKDYCPLVFRTLRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKVLLRMLPAYYNHVRAFENTLVTKFFGLHCVKLTGATQRKVRFVIMGNLFCSEYPIHRRFDLKGSSHGRTTDKPEAEIDENTTLKDLDLNFIFRLQKSWFQEFHRQVDRDCEFLEQERIMDYSLLVGVHFREASASHSCDVNNTNEATPRLSRADMDQFLCDPTRWASIKLGVNMPARVELIVRRNDGDPLLIIEPTGEFCDVILYFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPKQYSKRFRDFIYKVFEEET >Ma10_p21380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31211452:31219173:-1 gene:Ma10_g21380 transcript:Ma10_t21380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASAAPAADKRRHPFPWLNLMATEPFYLLHFLLFFSYLGARSSASQTLSADLVDRLFRREIQAILAFVVLVAVKMVKEETWEAFVANTLLYAKGFLLAIALVIDYHLALCYLLGFLVISIITQQPPYDGLGDCSQLTPLQLESLLTEGSTSRFWLVEFRALCSSTCVQKCRIFPDLSVIYSNKNISFGVVDIGHFPNAAEKFGISLPGQIPTYILFDNAVEVARLPEFSYTEASVPTISKKLLCQHFELDRRLIQYVSE >Ma10_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31211452:31219172:-1 gene:Ma10_g21380 transcript:Ma10_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASAAPAADKRRHPFPWLNLMATEPFYLLHFLLFFSYLGARSSASQTLSADLVDRLFRRMVKEETWEAFVANTLLYAKGFLLAIALVIDYHLALCYLLGFLVISIITQQPPYDGLGDCSQLTPLQLESLLTEGSTSRFWLVEFRALCSSTCVQKCRIFPDLSVIYSNKNISFGVVDIGHFPNAAEKFGISLPGQIPTYILFDNAVEVARLPEFSYTEASVPTISKKLLCQHFELDRRLIQYVSE >Ma09_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3371755:3380956:-1 gene:Ma09_g05240 transcript:Ma09_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLETGVPLKRTAPLLHSSSAAGRRPRLRSRVPRFLIKVHYLHWALAVAVFFLVVVLFQAFLPGSAVEGPPQQWGSVLEGVGDLDYGDGIKFVPTELVQRLERENQEANASAMAFGEPLKRFPLRNPQLAVVVPDLYPDAMQLKMVSIAAALKESGFDIQVFSFHDGPARIVWRSMGIFVKVLPIVTNPETTVDWLDYNGILVNSIQARPVFSCLLQEPFRSVPVIWTIHESSPALRLDEYSKNGQFQLVNEWKQVFSRATVIVFPTHSMPMMYSTFDTGNFMVIPGSPAEAWEADNFVAMQKDRILRESMSSTSDNFLIVVVGSQFSYSGMLLEHALVLEALRPLLQKFPSSNSFYSLLKVHILGWNFTSAYKKALEVIAEKVGYPGSIVEHIVINGDTNRYLGVADLVIYGSFLEEQSFPNILKQSMSLGKLIVAPDLNMIRKYVDNKVNGYLFPKENISMLTQILLQATSNGKLSLLAQRVASVAKGYARNLMASEAIQGYVSLLEKVLRFPSEIMPPKAVEQIPPEFKMQWQWELFANVRGEDHLNSSFRSHKYLDTLEEQWNHSQMQSSANTKSKVDEALISIEWEEEKKIEMMGAGKITEEELDRSDQPHGLWEEVYKNVKRAERASNELHERDERELERTGQPLCIYEPYFGEGTWPFLHQTSLYRGISLSSVGRRPEADDIDASSHLPILRDGYYRDVLGEYGAFFTLAYQIDSIHKNAWIAFQSWRASARKVSLSRKAETQLLEAIEHQTHGDALYFWVRMDKDPRNPQNLNFWRFCDTINAGNCRTAVSEAFRRMYGVGDDWSSLPQMPDDGDSWSVMHSWALPTRSFLEFVMFSRMFIDAMDTKIYDEHHQSASCYLSTSKDRHCYARVLELLVNVWAYHSARHMVYVDPESGSMVEYHRPKSRRGKMWIQWFSYSTLKSMDEDLAEEADADHPDRRWLWPSTGEVFWQGTYEREMNKQQRQKERKKQETKDRIQRIKKRTRQKTLGRYVKPPPERSGYRNTSGTI >Ma07_p24310.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31711721:31714699:-1 gene:Ma07_g24310 transcript:Ma07_t24310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILIWKVGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRAAKLGTVTPMDQVLWRTHLLEASLIGYTLFLALVIDRLHHYLRKLVSLKTTVGTSREEVDNLKKEQQSFKEKEKTSLSERKKLHEEVTRLKERLQKLKLDSEEHEKRAQTAEAHVAALQKQSEELLLEYDHLLEDNQILQTRALAFRN >Ma07_p24310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31711721:31714699:-1 gene:Ma07_g24310 transcript:Ma07_t24310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILIWKVGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRAAKLGTVTPMDQVLWRTHLLEASLIGYTLFLALVIDRLHHYLRKLVSLKTTVGTSREEVDNLKKEQQSFKEKEKTSLSERKKLHEEVTRLKERLQKLKLDSEEHEKRAQTAEAHVAALQKQSEELLLEYDHLLEDNQILQTRALAFRN >Ma07_p24310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31711721:31714699:-1 gene:Ma07_g24310 transcript:Ma07_t24310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILIWKVGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRAAKLGTVTPMDQVLWRTHLLEASLIGYTLFLALVIDRLHHYLRKLVSLKTTVGTSREEVDNLKKEQQSFKEKEKTSLSERKKLHEEVTRLKERLQKLKLDSEEHEKRAQTAEAHVAALQKQSEELLLEYDHLLEDNQILQTRALAFRN >Ma07_p24310.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31711721:31714718:-1 gene:Ma07_g24310 transcript:Ma07_t24310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILIWKVGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRAAKLGTVTPMDQVLWRTHLLEASLIGYTLFLALVIDRLHHYLRKLVSLKTTVGTSREEVDNLKKEQQSFKEKEKTSLSERKKLHEEVTRLKERLQKLKLDSEEHEKRAQTAEAHVAALQKQSEELLLEYDHLLEDNQILQTRALAFRN >Ma07_p24310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31711721:31714716:-1 gene:Ma07_g24310 transcript:Ma07_t24310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILIWKVGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRAAKLGTVTPMDQVLWRTHLLEASLIGYTLFLALVIDRLHHYLRKLVSLKTTVGTSREEVDNLKKEQQSFKEKEKTSLSERKKLHEEVTRLKERLQKLKLDSEEHEKRAQTAEAHVAALQKQSEELLLEYDHLLEDNQILQTRALAFRN >Ma07_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31711721:31714699:-1 gene:Ma07_g24310 transcript:Ma07_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAVALILIWKVGPLRELAMRGLDQLKTGKGPATVKTLACTMSVILASSVASILKIQNRAAKLGTVTPMDQVLWRTHLLEASLIGYTLFLALVIDRLHHYLRKLVSLKTTVGTSREEVDNLKKEQQSFKEKEKTSLSERKKLHEEVTRLKERLQKLKLDSEEHEKRAQTAEAHVAALQKQSEELLLEYDHLLEDNQILQTRALAFRN >Ma05_p25860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37683544:37687859:1 gene:Ma05_g25860 transcript:Ma05_t25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQLVNADDLEAPLISSAVSYHDEHRSRIRRSTSNTTSQVALIGSNLCPIESLDYELIENDFLKQDWRSRGPGHIVRYVILKWTLCFLVGALAGAVGFFNNLAVENIAGVKFVITSNMMLVRKFGWAFGVFAGTNFVLLMFASMITTFISPAAAGSGIPEVKAYLNGVDAPDIFSLKTFFVKVVGCIAAVSSSLYVGKAGPMIHTSACIASILGQGGSRKYKLTCKWLRYFKNDRDRRDLVTCGSGAGVAAAFRAPVGGVLFALECVSSWWRSALLWRAFFTTAVVVVILRALIDICNSGKCGLFGKGGLIMFDVTSADVTYHLIDLPPVLVLGVIGGILGSLYNFLLEKVLRVYTLINERGHVYKLLLAASVSIFTSCCLFGLPWLASCKPCPAGLSEACPSIGRSGNFKKFQCASDHYNDLASLFFNTNDDAIRNLYSAGTDNVFQKSSVFLFFIASYFLGIISYGLAVPSGLFVPVILTGATYGRLVGMLMGSHSTLNHGLFAVLGSASLLGGSMRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADVFNADVYDMLVKLKGLPYLEAHAEPYMRQLTVGDVVGGPLQIFNGVEKVSNIVHLLKTTGHHGFPVFSAEDFAKRGSGKHENIEGIDLTAEEMDMYVDLHPYTNTSPYTVVETMSLAKALILFREVGLRHLLVIPKSSSRAPVVGILTRHDFMPEHILGTHPFLLQSRWKTIRLGKSNLIEIFSGLC >Ma05_p25860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37683544:37687857:1 gene:Ma05_g25860 transcript:Ma05_t25860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQLVNADDLEAPLISSAVSYHDEHRSRIRRSTSNTTSQVALIGSNLCPIESLDYELIENDFLKQDWRSRGPGHIVRYVILKWTLCFLVGALAGAVGFFNNLAVENIAGVKFVITSNMMLVRKFGWAFGVFAGTNFVLLMFASMITTFISPAAAGSGIPEVKAYLNGVDAPDIFSLKTFFVKVVGCIAAVSSSLYVGKAGPMIHTSACIASILGQGGSRKYKLTCKWLRYFKNDRDRRDLVTCGSGAGVAAAFRAPVGGVLFALECVSSWWRSALLWRAFFTTAVVVVILRALIDICNSGKCGLFGKGGLIMFDVTSADVTYHLIDLPPVLVLGVIGGILGSLYNFLLEKVLRVYTLINERGHVYKLLLAASVSIFTSCCLFGLPWLASCKPCPAGLSEACPSIGRSGNFKKFQCASDHYNDLASLFFNTNDDAIRNLYSAGTDNVFQKSSVFLFFIASYFLGIISYGLAVPSGLFVPVILTGATYGRLVGMLMGSHSTLNHGLFAVLGSASLLGGSMRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADVFNADVYDMLVKLKGLPYLEAHAEPYMRQLTVGDVVGGPLQIFNGVEKVSNIVHLLKTTGHHGFPVVDEPPFSSSPVLFGLILRAHLLVLLKKKTFLHARTLVSIDVSKQFSAEDFAKRGSGKHENIEGIDLTAEEMDMYVDLHPYTNTSPYTVVETMSLAKALILFREVGLRHLLVIPKSSSRAPVVGILTRHDFMPEHILGTHPFLLQSRWKTIRLGKSNLIEIFSGLC >Ma03_p28050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31227606:31229651:-1 gene:Ma03_g28050 transcript:Ma03_t28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDHHRNQIAAAAAHASKWTERRPVLALLSALLVLAGLLALSCSRGAPPFSLSPLRSLPLFRPGEPLRSSSDGDTAPMTEEGRRKRELDRSRIAICLVGGARRFELTGPSIIRYLLDDFPNADLFLHTPLDKDSYKLSLLNGAPRIAAVRIFTQRPIPTTESQERVLTANGSPNGIQGLLQYFNLVEGCLRMIKSHESNGNFTYDWIVRTRVDGYWSGPVDVKAFRKGAYVVPSGSRFGGLNDRFGIGDRATSEFALSRLSLIPRLDAAGCRQLNSESAFKAQLAISKVRCEEIRVPFCVMSDRRYEFPPSRYGVPVAAMRSKGPLSGAKCRPCEPVCTGRCAAEVGAVLDRGWSWTEWRNDSMQMCDASDGWTPGWANMFDQFAGPELAAARNRVVALDMSTCVDDLETMRKKAGKWDASAADEICNLGALLTRTNSTVL >Ma04_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25289518:25292348:-1 gene:Ma04_g23110 transcript:Ma04_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTHILLVFLSFPLLLAATPFHNSSLHLHAHPDPDSVAREVHRQVESSRRRALLAAGVKDQQCLTGNPIDDCWRCSGTDWRQDRQRLADCGIGFGRDAMGGKGGPIYVVTDPSDQDPVNPALGTLRYGVIQEGPLWIIFAGDMTIHLNEELLVNSFKTIDGRGAVVHIAGGACITLQYVSNVIIHNVHVHHCVPAGEANVRSSPTHYGWRTRSDGDGISIYSGRKIWVDHCELSSCTDGLIDAIMGSTGITISNSYFSHHDEVMLLGHTDGYLPDSAMQVTIAFNRFGEQLVQRMPRCRRGYFHVVNNDFTSWEMYAIGGSANPTINSQGNRYIAPANPNAKEVTKRVETEESEWSGWNWRTEGDVLVNGAFFVPSGEGLDAKYAKAESLDPKSAALIDQLTLNAGVLGGPRDNGVGTAYSGVNYGGSAATADGGGGASGWGVGYGPLGMVFGSGAPAPSSRDSIVMITTALLSASLFVTTFFSLHPL >Ma05_p31310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41274738:41278981:1 gene:Ma05_g31310 transcript:Ma05_t31310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRFDRAVSFGISDAALERVMAYVDDPRDREAISLVCKKWYRIDALSRKHVTVAICYSTTPDRLLRRFLNLESLKLKGKPRAAMFNLIPEDWGGYAGPWIRGLVHALDCLKALHFRRMIVSDEDIDALVRARGNVLKSLKLDKCSGFSTDGLLLIARSCKNITALFLEESLIVENDDRWVHELAVNNSVLEALNFYMTELRVTPQDLELLARNCKSLVSLKISECDISDLVGFFRAATSLEEFGGGSFNDQVGEVNKYDVIRFPPRLCCVGLIFMGTNEMNLLFPFAAALKKLDLQYTFLSTEDHCQLIERCPNLEVLEVRDVIGDRGLEVVAQTCKRLRRLRIERGDDEHGLEDEQGRVTQLGLSALARGCPELEYLAVYASDITNAALESLGTYSKNLCDFRLVLLDREERITDLPLDNGVRALLIGCTKIRRFAFYLRPGGLSDVGLGYIGENSSNIRWMLLGNVGDSDAGLLMFSRGCPLLQKLELRSCCFSERALALAATQLPSLRYLWVQGYRASPGGGDLLAMARPFWNIEFIPPIQVTCDTRGVEAQAQILAYYSLVGRRTDCPESVIPLYPASSVHM >Ma05_p31310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41274695:41278981:1 gene:Ma05_g31310 transcript:Ma05_t31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRFDRAVSFGISDAALERVMAYVDDPRDREAISLVCKKWYRIDALSRKHVTVAICYSTTPDRLLRRFLNLESLKLKGKPRAAMFNLIPEDWGGYAGPWIRGLVHALDCLKALHFRRMIVSDEDIDALVRARGNVLKSLKLDKCSGFSTDGLLLIARSCKNITALFLEESLIVENDDRWVHELAVNNSVLEALNFYMTELRVTPQDLELLARNCKSLVSLKISECDISDLVGFFRAATSLEEFGGGSFNDQVGEVNKYDVIRFPPRLCCVGLIFMGTNEMNLLFPFAAALKKLDLQYTFLSTEDHCQLIERCPNLEVLEVRDVIGDRGLEVVAQTCKRLRRLRIERGDDEHGLEDEQGRVTQLGLSALARGCPELEYLAVYASDITNAALESLGTYSKNLCDFRLVLLDREERITDLPLDNGVRALLIGCTKIRRFAFYLRPGGLSDVGLGYIGENSSNIRWMLLGNVGDSDAGLLMFSRGCPLLQKLELRSCCFSERALALAATQLPSLRYLWVQGYRASPGGGDLLAMARPFWNIEFIPPIQVTCDTRGVEAQAQILAYYSLVGRRTDCPESVIPLYPASSVHM >Ma11_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22854901:22855122:-1 gene:Ma11_g17550 transcript:Ma11_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHAALLSKERRNRSYMVALKVKALPIRSFTPLCTQIDLVTMLDMSQGMTGEKFQMLKRVMRLVVSSLGPRD >Ma09_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36591689:36594510:1 gene:Ma09_g25000 transcript:Ma09_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTGGGKEYPGKLTLFVFLTCVVAATGGLIFGYDIGISGGVTSMDSFLEKFFPEVYRKEKKDTSTNQYCKFDSQLLTTFTSSLYLAALIASFLASAVTRMFGRKWSMFGGGLVFLVGAALNGAARNILMLILGRILLGIGVGFANQSVPVYLSEMAPAQLRGMLNIGFQLMITVGILAANLINYGTAKIKGGWGWRISLALAAVPAGIITLGALFLPDTPNSLIERGHPQEAKEMLRRIRGTDDIHEEYNDLVAASEESKLVKHPWANIIQRKYRPQLTMAILIPFFQQLTGINVIMFYAPVLFKTIGFGDNASLMSAVITGLVNVFSTLVSVFTVDKLGRRKLFLQGGFQMILCQIIVGTLIAIKLGTSGEGHFSKTYAAFMVFFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLFTFIIAQAFLAMLCHMKFGLFYFFAGWVVIMTTFIALFLPETKNVPIEEMILVWKAHWFWSKFISDDDVHVGNVEMSNGSKSKSVV >Ma08_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36129855:36131470:1 gene:Ma08_g22590 transcript:Ma08_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDDVFFADLSKRMALLIMEDEEESPAQCPTLPVQELPYMPQMLMLPPHTNEVAYRRRESKGTGVFIPLSAAPGRKNRSKRSTAVEDSSNPQRQQLGKSVAAVASHATDADLIYPHQCSKSSVLKRQCM >Ma03_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8059682:8063560:-1 gene:Ma03_g10690 transcript:Ma03_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIIPDKATNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDVSGESLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDTEEGKVEDVDEEKEEKEKKKKTIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEEIIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRSKLAELLRYHSTKSGDEMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYSVGQLKEFEGKKLVSATKEGLKIDESEDEKKKKETLKEKFEGLCKVIKEVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTLEINPENPIMEELRKRADADKNDKSVKDLTLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDEKVEDTDMPSLEEADAEESKMEEVD >Ma11_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24330296:24336178:-1 gene:Ma11_g19470 transcript:Ma11_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKGVLDLNHLPPPDYEDHVKEELKRAMIQHEILFKHQVRELHRLYWTQKNLMNDVRWRRSDRVSSLEAQKERKGPVEEVKAGSVRHGCSTAKSMGMQNTSFELRANDRRNFDLQLPAGHVKVDFSATEVKNKSGHRTTAKCSHSVHQTERWQELDFIHSDAETLHSPSTSSSIVHHGDDLRVSSIKSEQKDGSAKESTVTDQLAVVSPGSENSREKSADSLPHEHIDSYPSSVQASEQNNNAIENIWNYKECIVNNEDCRSGIRIPEACYKNLLERFSSAYNGGAQDSTNRTVLAGLHEPGMENIDSFISPPNLVVHGDSKKNILISSKYREHNCLHASAGSTTDLPPEAAGDVAEKETNIDGSEEDTVSSHAIVPDECQQIELKESPIGFKPNQLAGNSEWASKEKSVVDHAVISKSENSATTHIDSVMPERICGQQVSDVNGSDCICRQDGQISEQQLALVEVDHVIIKAAEILASISSEKPLCSVDQLTNNGRMEFYCEEGNDQPQSSDSFEMGTLNLEEIRDNGISTCAKQIDNETRKDVCKFKLGRGMRDFQKDILPGIISLSRHEICEDLYAIKYELGKKRSHRTSEENWVVPVRSRRSTKALNHR >Ma11_p19470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24330296:24336861:-1 gene:Ma11_g19470 transcript:Ma11_t19470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTMASTKGVLDLNHLPPPDYEDHVKEELKRAMIQHEILFKHQVRELHRLYWTQKNLMNDVRWRRSDRVSSLEAQKERKGPVEEVKAGSVRHGCSTAKSMGMQNTSFELRANDRRNFDLQLPAGHVKVDFSATEVKNKSGHRTTAKCSHSVHQTERWQELDFIHSDAGLEENGGSVSPNFPTKKKQPEYKLTHIDLNIAQDDESNTFFSNTVETLHSPSTSSSIVHHGDDLRVSSIKSEQKDGSAKESTVTDQLAVVSPGSENSREKSADSLPHEHIDSYPSSVQASEQNNNAIENIWNYKECIVNNEDCRSGIRIPEACYKNLLERFSSAYNGGAQDSTNRTVLAGLHEPGMENIDSFISPPNLVVHGDSKKNILISSKYREHNCLHASAGSTTDLPPEAAGDVAEKETNIDGSEEDTVSSHAIVPDECQQIELKESPIGFKPNQLAGNSEWASKEKSVVDHAVISKSENSATTHIDSVMPERICGQQVSDVNGSDCICRQDGQISEQQLALVEVDHVIIKAAEILASISSEKPLCSVDQLTNNGRMEFYCEEGNDQPQSSDSFEMGTLNLEEIRDNGISTCAKQIDNETRKDVCKFKLGRGMRDFQKDILPGIISLSRHEICEDLYAIKYELGKKRSHRTSEENWVVPVRSRRSTKALNHR >Ma11_p19470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24330296:24336178:-1 gene:Ma11_g19470 transcript:Ma11_t19470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKGVLDLNHLPPPDYEDHVKEELKRAMIQHEILFKHQVRELHRLYWTQKNLMNDVRWRRSDRVSSLEAQKERKGPVEEVKAGSVRHGCSTAKSMGMQNTSFELRANDRRNFDLQLPAGHVKVDFSATENKSGHRTTAKCSHSVHQTERWQELDFIHSDAGLEENGGSVSPNFPTKKKQPEYKLTHIDLNIAQDDESNTFFSNTVETLHSPSTSSSIVHHGDDLRVSSIKSEQKDGSAKESTVTDQLAVVSPGSENSREKSADSLPHEHIDSYPSSVQASEQNNNAIENIWNYKECIVNNEDCRSGIRIPEACYKNLLERFSSAYNGGAQDSTNRTVLAGLHEPGMENIDSFISPPNLVVHGDSKKNILISSKYREHNCLHASAGSTTDLPPEAAGDVAEKETNIDGSEEDTVSSHAIVPDECQQIELKESPIGFKPNQLAGNSEWASKEKSVVDHAVISKSENSATTHIDSVMPERICGQQVSDVNGSDCICRQDGQISEQQLALVEVDHVIIKAAEILASISSEKPLCSVDQLTNNGRMEFYCEEGNDQPQSSDSFEMGTLNLEEIRDNGISTCAKQIDNETRKDVCKFKLGRGMRDFQKDILPGIISLSRHEICEDLYAIKYELGKKRSHRTSEENWVVPVRSRRSTKALNHR >Ma08_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33167628:33172839:-1 gene:Ma08_g19400 transcript:Ma08_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYRVLGVDKSAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDSQKRVIYDQYGEEGLKGQVPPPGAGGFGSGSDGPTSFRFNPRSADDIFAEFFGFSSPFGGMGGMSGMSGMGGMGTRGGSRFPGGMGGMGGMFGDDIFGSAFGAGEGASMNYQQPRKAAPIENRLPCSLEDLYKGTTKKMKISREIVDASGKIMTVEEILTIDIKPGWKKGTKITFPEKGNESPNVIPADIVFIIDEKPHDVFTREGNDLIVTQKISLVEALTGYTVHLTTLDSRSLTIPINSVIHPGYEEVVSREGMPIPKDPSRKGNLRIKFDIRFPSRLTSEQKVGIKRLLAP >Ma01_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12085824:12086711:1 gene:Ma01_g16700 transcript:Ma01_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINVWKDNLVEQVDVILRLRGSFPYVAIDTEFPGFIRSTPRHASEEERYDDMKYNVDHMRLIQLGLTLFDKDGNTPWPGCCWQFNFSDFDPDTDACSEDSIELLLHSGHNLQKNQRDGVDAYRCSYLLCVKLFRHPHKSKYITFHGLYDVAFLIQMITRAPLPNTLNEFLVLTRSVFGDDLYDIKYISRFCEGLHEGKAGLLTLSKLLELEPVGIRHQAAYDSLLIRAIFNKMKQLWFDIEDERFVSVLYGLENNCMKSKKTKSSTVAVAASSPPQVQPFQPYRGLLASPFQAH >Ma07_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16138912:16141204:1 gene:Ma07_g17060 transcript:Ma07_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPSAPSPSTPPLQLPHRLDTIRSMDELKQIQAAVLKTPSLQSPPVLAKILSFCALSSSPHIAHARSLLAHIDNPSLLMYNTVFHSLSRSNRSLVSISIMFYEDMLEKDLRPDSFTFPYLLKLFANSQALQAGEALHAHAIKMGLDANVYVRNNLMSLYAVCGEVRSIQKLFDGFPEKDLVSWTTLISGYTKAGLAKKAVKVFEEMMSENLRADGVTMVAVLSACAELGDLELGRNLHRYIGARQIDMDVFVGNALVDMYSKCGDVDSAYQLFNEMPVRNVVSWNSIISGLVNNKEFKQALELFRQMQRQGIEPDPFTLVGALNSCASLGALELGQWVHAYINRNGIEADGITGNALVDMYSKCGRIDRAKEVFGGMAHKDVYTYTSMIVGLAMHGRGEEALELFAAMSSAGVKPNEVTFVGVLSACSHAGLVDVGLRHFESMSAVYGLVPQIEHYGCVVDMFGRAGRLDKAQDLISSMPMEPDAFIWGSLLGACRNHGDVALGETVAKLLLDVEPQEEGAYVLMSNLYTAGNRRSDGLRMRKAMRANKVRKTPGCSLIEIDGVIHEFRKGEELHPQAKEVYAMVDEFAHRLNIDSSQDEARHHSERLAMAFGLIGSRPDTPLRIVKNLRVCHDCHSVIKYVSRLYNREIVLRDRYRFHRFKNGWCSCNEFW >Ma11_p23480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26799896:26807303:1 gene:Ma11_g23480 transcript:Ma11_t23480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENRLENTGWMSVPQFGGWDKNTDYSMVFSRARANKKHHKAGMRPSSLGNAEELMAYQQCQNDAPTMKRRKSLHYLRCCIMPDFSLH >Ma11_p23480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26799896:26807434:1 gene:Ma11_g23480 transcript:Ma11_t23480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRLENTGWMSVPQFGGWDKNTDYSMVFSRARANKKHHKAGMRPSSLGNAEELMAYQQCQNDAPTMVNDAPTMKRRKSLHYLRCCIMPDFSLH >Ma11_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26800303:26807434:1 gene:Ma11_g23480 transcript:Ma11_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPQFGGWDKNTDYSMVFSRARANKKHHKAGMRPSSLGNAEELMAYQQCQNDAPTMVNDAPTMKRRKSLHYLRCCIMPDFSLH >Ma06_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7957938:7959410:-1 gene:Ma06_g11390 transcript:Ma06_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCVEEVSSDSEKRLQVAPQHFVTEVLQRMGSGVSVVLDPTPEGGMKAESRKLPSSRYKGVVPQLNGRWGAQIYERTRRVWLGTFSDEAEAARAYDVAAQRFRGQDATTNFHPLSETHDDEAAELTFLLAHSKAEIVDMLRKHTYGEELEQSKRDARDGRGAIAGKRRTPNDLRSVRDLLFEKVVTPSDVGKLNRLVIPKHHAEKHFPSKSSSSMACKGVLFNYEDAGGKVWRFRYSYWKSSQSYVLTKGWSRFVKEKNLKAGDVVSFWRSTGPEKRMYIDRRAGGVVCDRSAPPAIGQLPVVKLFGVDISELPASGGDAKRGREMELFPPSQFFERQFIEAL >Ma07_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6348770:6355404:1 gene:Ma07_g08530 transcript:Ma07_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRPGQTSYRDRTQEFLKAVENFKKSFASIPDATSSIAAPKFEGPKSAASIQSEFSRRASQIGLGIHQTSQKLAKLAKLAKKTSVFDDPTVQIQELTAVIKQDITALNSAVVDLQLLCNSQNESGNMSRDTTNHSTTVVDNLKTRLMSATKEFKEVLTMRTENLKVHENRRQLFSSSASKEATNPFLRQRPLVSRGSNDSASPPAPWENDSTASAPLFPRRKLNGDPSSSTQPLIQQQQQQQQMVPAQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLTNVEGAQGALLKYLNSISSNRWLMIKIFFVLMVFLMIFLFFVA >Ma06_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5394280:5397930:-1 gene:Ma06_g07590 transcript:Ma06_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Anthranilate synthase beta chain [Source: Projected from Oryza sativa (Os03g0718000)] MASRLPLLPNLSLTPTHGRRSGALPRPRPISAVCTTFASFGGGDPPAGNWARMVQRGSSMISRGCLAMGAGIADGKNKTQDARPIVVIDNYDSFTYNLCQYIGELGVDFEVYRNDEITVGEVKEKCPRGILISPGPGTPQDSGISLQTVLELGPSVPLFGVCMGLQCIGEAFGGKIVRSPSGVVHGKSSLVYYDEELDDTLFCGLPNPFTAGRYHSLVIDKDSFPNNVLDITAWTEDGQIMAARHKKYTHIQGVQFHPESIITTEGKIMVHNFIKLTGKLEVMKN >Ma02_p23610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28548091:28554544:-1 gene:Ma02_g23610 transcript:Ma02_t23610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPFWWKSSSSTIPNSLNSDNLSMHMDFLAQHGNQMKHLGDQMPDQNSSSTQSSGQAHQEVSGTSECNNHEQYISAHSATDNTHEKQVEGHMKAVLTLGTPEASSAPPRFDYNQPFACISYPYADAYYGGVLATYGPHAIIQPQMAGMASSARVLLPTEPAAEEPVYVNPKQYNAILRRRQLRARLEAQNKVIKTRKPYLHESRHLHAMKRVRGSGGRFVNTKQLQQQNSQPCSSKACRNVSCSEPCSCSGLIGSSATSTSSDMTSLSTSRRMLVQQDQSCYSLPGFRSSAAATSQGRGRKMGNGSEQRTPAVR >Ma02_p23610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28548091:28554544:-1 gene:Ma02_g23610 transcript:Ma02_t23610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPFWWKSSSSTIPNSLNSDNLSMHMDFLAQHGNQMKHLGDQMPDQNSSSTQSSGQAHQEVSGTSECNNHEQYISAHSATDNTHEKQVEGHMKAVLTLGTPEASSAPPRFDYNQPFACISYPYADAYYGGVLATYGPHAIIQPQMAGMASSARVLLPTEPAAEEPVYVNPKQYNAILRRRQLRARLEAQNKVIKTRKPYLHESRHLHAMKRVRGSGGRFVNTKQLQQQNSQPCSSKACRNVSCSEPCSCSGLIGSSATSTSSDMTSLSTSRRMLVQQDQSCYSLPGFRSSAAATSQGRGRKMGNGSEQRTPAVR >Ma02_p23610.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28548091:28554919:-1 gene:Ma02_g23610 transcript:Ma02_t23610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPFWWKSSSSTIPNSLNSDNLSMHMDFLAQHGNQMKHLGDQMPDQNSSSTQSSGQAHQEVSGTSECNNHEQYISAHSATDNTHEKQVEGHMKAVLTLGTPEASSAPPRFDYNQPFACISYPYADAYYGGVLATYGPHAIIQPQMAGMASSARVLLPTEPAAEEPVYVNPKQYNAILRRRQLRARLEAQNKVIKTRKPYLHESRHLHAMKRVRGSGGRFVNTKQLQQQNSQPCSSKACRNVSCSEPCSCSGLIGSSATSTSSDMTSLSTSRRMLVQQDQSCYSLPGFRSSAAATSQGRGRKMGNGSEQRTPAVR >Ma02_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28548091:28554919:-1 gene:Ma02_g23610 transcript:Ma02_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPFWWKSSSSTIPNSLNSDNLSMHMDFLAQHGNQMKHLGDQMPDQNSSSTQSSGQAHQEVSGTSECNNHEQYISAHSATDNTHEKQVEGHMKAVLTLGTPEASSAPPRFDYNQPFACISYPYADAYYGGVLATYGPHAIIQPQMAGMASSARVLLPTEPAAEEPVYVNPKQYNAILRRRQLRARLEAQNKVIKTRKPYLHESRHLHAMKRVRGSGGRFVNTKQLQQQNSQPCSSKACRNVSCSEPCSCSGLIGSSATSTSSDMTSLSTSRRMLVQQDQSCYSLPGFRSSAAATSQGRGRKMGNGSEQRTPAVR >Ma05_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8752674:8755481:-1 gene:Ma05_g12040 transcript:Ma05_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGAKHEAEAPLRTAVAVKDVEEEKAPIPSPAERKLDDSDAVAIDESDEDASTEKSTEVSAESVLARLETEKRLSLIKAWEDNEKTKVENKVVKKLSSIMVWEITRKAAVEAELKRKEEELEKKKAEYAEKIKNKIALLHRFAEEKRAMVEARRGEELLKVEEVAAKYRATGLAPKKLLGCFGP >Ma03_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6104976:6105668:1 gene:Ma03_g08420 transcript:Ma03_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVNLAWIANDSTRRATFKKRRKGLMKKVSELSTLCDVKACMIVYGPQEPHPEVWPSVPEATRVLARFKSMPEMEQCKKMMNQEGFLRQRVAKLQEQLRKQARENRELEVALLVHEGLAGRSLDDVSIEDATSLAWMVEMKAKVVHDRIERVRKEHVASALRTAETTVATREKTPVEAAMEALQRQDWFMEVMNPNDHNVMFGGGDETIPSYIDHSSPWLDPYYPLN >Ma09_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34177082:34181225:1 gene:Ma09_g22210 transcript:Ma09_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MAVEKALILLRARLCDPTYVHAAFKSCPQSNYSKLKLLIASSMADAYNNSALLLGPRGSGKIAVVDLVLEDLKAEHPDTISVIRLSGLLHHDDNCAHKEIARQLCLEHQLLFSKMASSDDNSQFMIDMMRECGLAHKSIVFVLDEFDLFAQGKQRLLYSLLDAMQTLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPPSREEIKRLLEYILYLPQDSGLPSKYITEFNSRVQNILNDKNFDKILDSLLDVDGTVSNLVQFLFRAVSFINLESGLLSLDNFKNAQICNQRQPKTDSLHDVSILELYILVCMNRLERKEQDLYNFNSVMKEYKAIHDAYKTSDSYELSVCLRAFEHLLERELISFVEYKGRNLSIEFRPVKLLISPRELYLGLKSNSSCPVSYFVVTHPCGCWHHTTFF >Ma08_p34790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44772284:44785393:-1 gene:Ma08_g34790 transcript:Ma08_t34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSMAGLKSFRDAEIDLEDGKVEKDRDNILCSYKPTKLRNQALLSGLAYCISSCSMILVNKFVLSGYDFSAGISLMLYQNFVSVIVVSILSSSGVISTEPLTWKLIKVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGETYLFMKHHDRKVWTALFLMIISAISGGATDLSFHAIGYAWQIINCFLTASYSLTLRRVMDTAKQATKSGNLNEFSMVLLNNTLSLPLGLLLIFVFNEVDYLCKVPLLKMPMFWLVITSSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSISGIILFKVPTSMENFLSILFGLLAGVCFAKAKMR >Ma10_p18490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29385708:29391028:-1 gene:Ma10_g18490 transcript:Ma10_t18490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTNEDRLREYFGNFGEVVEAVIMKDRTTGRARGFGFVVFADPAVAEQVVMEKHLIDGRMVEAKKAVPRDDQQILNRINNSIHGSPSPGHTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLFKSFHELNGKMVEVKRAVPKELSPGPNMRSPSAGYNYGLNRANNFPNGYTQGYNPSSISGYGMRMDSRLGPSSSASNGLPSFGPSYGMGMNFEPSLIPSFGGNSSFSNNIGYGRGLSPYFSGNSTRYTSPIGYGGGSANTSSVFNSMSRNAWGSGGLDYATNSTSSNAYIASGSGSLGGLVNSTINWSNASPIPAQVGGSTANYTSGNLNYGDRYNNFNLSGGNFGRSNSPSAVKTTLAPSSSGYEGSYSELYGGSSVYGDPTWRSSSSELGGTGLFGYGLGNAPSDVVGQSSAAYVGDYNITNRQPNRDLLHNLVL >Ma10_p18490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29386914:29391028:-1 gene:Ma10_g18490 transcript:Ma10_t18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTNEDRLREYFGNFGEVVEAVIMKDRTTGRARGFGFVVFADPAVAEQVVMEKHLIDGRMVEAKKAVPRDDQQILNRINNSIHGSPSPGHTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLFKSFHELNGKMVEVKRAVPKELSPGPNMRSPSAGYNYGLNRANNFPNGYTQGYNPSSISGYGMRMDSRLGPSSSASNGLPSFGPSYGMGMNFEPSLIPSFGGNSSFSNNIGYGRGLSPYFSGNSTRYTSPIGYGGGSANTSSVFNSMSRNAWGSGGLDYATNSTSSNAYIASGSGSLGGLVNSTINWSNASPIPAQVGGSTANYTSGNLNYGDRYNNFNLSGGNFGRSNSPSAVKTTLAPSSSGYEGSYSELYGGSSVYGDPTWRSSSSELGGTGLFGYGLGNAPSDVVGQSSAAYVGDYNITNRQPNRVFQDLSTSILKCNIYLS >Ma10_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29386915:29391819:-1 gene:Ma10_g18490 transcript:Ma10_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTNEDRLREYFGNFGEVVEAVIMKDRTTGRARGFGFVVFADPAVAEQVVMEKHLIDGRMVEAKKAVPRDDQQILNRINNSIHGSPSPGHTKKIFVGGLPSTITESDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLFKSFHELNGKMVEVKRAVPKELSPGPNMRSPSAGYNYGLNRANNFPNGYTQGYNPSSISGYGMRMDSRLGPSSSASNGLPSFGPSYGMGMNFEPSLIPSFGGNSSFSNNIGYGRGLSPYFSGNSTRYTSPIGYGGGSANTSSVFNSMSRNAWGSGGLDYATNSTSSNAYIASGSGSLGGLVNSTINWSNASPIPAQVGGSTANYTSGNLNYGDRYNNFNLSGGNFGRSNSPSAVKTTLAPSSSGYEGSYSELYGGSSVYGDPTWRSSSSELGGTGLFGYGLGNAPSDVVGQSSAAYVGDYNITNRQPNRVFQDLSTSILKCNIYLS >Ma11_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1204554:1207686:-1 gene:Ma11_g01710 transcript:Ma11_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLDVLRRIFFGGEEDKGLDRSNATSTSSTHGGYYHRADDSPTRSPALMSTRRTAQASSFPSSVTTDSFHFVKPQLPVQPRQPSLGASPSFSQPLPSEVQAARPLPSKPSPTVTDAADPVKRQQLVNPSEPSLKASFPSSGASPSSPLSSEVFQANPLPWQSPPPPAAPSQSRSAVATAKHQPPSNPSEPSCRASPSSPSSSKAATASPLRSKPPSAFATSTQSPSTTVTSATRYVDKRQLPSNPSEPSSKAAASSSLSSMVSAASAPRSKSPYEPVLTVLVDTTSQFTSKNPRESRPNTSSPSSNDSASSSPLSSKASPASPIPSKSYPFSLSSQPPEFVGRSPGTPGSNLKRTTPRYDIPEHLQVMIKRDIVPPVLKMPLSPQNYAEYFATLLYAEDYEQEKLSDYLLSEVTLDLRPKTEFRTRNAGQTGKSSKKQNDVYPFVAFEIDAVPERRPYLLSRDYVILKPSGNTDAAPFKGVIVRVVKSTTVLAEFELDFHKQHSPAKKYDVNFSFNRVCLKRSHVAVSAATDPLLCKILFPDPTPPTNSSCSPIFQASLNIRDSKIRTLRRIQNLEGLVPYLIDGPLIDHRPLSDMEAESNLSITGARSNLSITGHIIREAIVRIYRASSDCRILVCSPRNKTCDALMQSLFDEIPETKLFRAYAAFRDWDLVPEDIMPTCMYEGECFVCPPPDELQQFAVVASTFMSSFRLHSAGIRADHFTHIFLVDASSAMEPEATVALASLVSEKTVIVITGSLNDGPKWVRSGMARRKTGLKRSLFHRLREREPYRNIDPMYISILSPN >Ma01_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6530753:6533149:-1 gene:Ma01_g09080 transcript:Ma01_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFRCKMMPPPLLRFFFFLALFLFAWRAAATEGKRTTFMFLVDTSLRPSPFPSDRHWYSSLLPSTSSRLLHHYQSLLHGFSASLTPSQARAIGEHRGVVAVSPDSLLRTHTTRSPSFLGLDLHGSRLSALSHRGSAAVIGFIDTGIWPERPSFSDRGLGPPPRRWRGECEEGPGFNRSHCNRKLVGARSFSAGYAAAFDVGGQDELRSARDHDGHGTHVASIAAGASVAGAGFEGFARGLARGMAPRARIAVYKVCWAEGCMVSDVVAAIEKAVSDGVDVVSLSIGSSSPAPFYHLDPLAVATFRASLQGVFVAASAGNGGPSPGSVANSPPWITTIGAGTIDRYFPAVVRLGNGACVRGTSISMRPREPRRRHLVHLFSVGRITAADTNLTSQHINGRIVLWESGRRAARIETGAALKRAGAVGTVVYHGDVDPEGILAEPHVVPTVAVGSRGAAIIEAYIRTARNPTAVISSEGTELLPGDAPEVASFSARGPNPSVPWVLKPDILAPGVNILGAWTDAIGPSGWAADIRRPWFSVMSGTSMACPHVSGVAALLRAARPRWGPWEIRSALMTTAAASPPVGDEAGEGGPPAAGAGHLRPERAVDPGLVYDLMYDDYVGLLCGLNYTAKSIRILTGKAAAPCEPSGDKGVWGFNYPAFVAAAEEIASTGELVFHRRLKRVDGGGPCRRYRAVVAAPAGYAAVVEPERLWFSGMDGETVAFRVVLSEARGSGGRGRRHWWKGGSLTWREEEGKHAVRSPIVVLFRRYMTKEEEVVL >Ma04_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21848234:21859264:1 gene:Ma04_g19250 transcript:Ma04_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSDSEGGTNPKPGGVKDKGDGKAVPEDTTNGLGREGEEDEDEDEDEIEEDEEEDGDEEAEENVTPSSERKNLDRLLRRLSGGPVRLRVHDVIIRGNTKTKDALIESEVLDAFRTASSMQELLQAAGLANTRLRQLDIFYSVNITLDSGPSELPGTANVVIDIVEAKNPLTGDFGVYSKPEARSWSLEGSLKLKNLFGYGDILDASGAYGFDQTSEISAGVSLPRFKAISTPLMARVSLLSHDWLKFSSYKERLLGLSFGLISTRNHDLTYNLTWRNLSDPSRVSSKSIRRQLGHSLLSSVKYTFRVDHRDSHLRPTRGFAFLSTSQVGGLGPDSRILRFIRQEFDLRGAFPLGFYHAAVNFGVAAGAILPWGSGFMNSTTPLSERFYMGGQSSPVCNLGGPTSLLGFKLRGLGPSDLRRVIPPKRGEDEATTSSERDASGSITSPGRDVTGGDFAVTAFADLSFDLPLKVFRESGVHGHVFINAGNLVKLTDDEFKNFSVKRFLEMFRSSAGFGIIFPTRFFRMEINYCYILKQFQHDHGKTGIQFSFSTP >Ma11_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17875270:17875581:-1 gene:Ma11_g13490 transcript:Ma11_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFFYQHCTENMNLLYIPVSFLQTIKSFPPATTVILQWLIWRKVIERGAYGLHWYPLLGEWF >Ma07_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1401029:1404344:1 gene:Ma07_g01820 transcript:Ma07_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLPLLLLLLSSAFSLLVPRSSASAAPLCPRSDLAFVSDLTFQCPRWIDFRSPLEVDGESLDIELSHGESNGYYSVLFYASWCPFSSSIRPIFDVLSSMFPQTKHFLVEESTTMPSILSRYGIHSFPAIMLANRTAVVRYHGAKDLSALVQFYKKNTGFDPIAYLVVHRPTNSRKVRSLMHQTGSPRELITKEPFMVLGVLFMFMKIVLSSIPVIYAHLKALWVSNAWHLNLHVLSESSQLLVRVLHVVDVKRLWSELKLDNKTRNLRKKGASNARAWASTLTSVSLGESSSSRTAPSES >Ma05_p27250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38625371:38625808:-1 gene:Ma05_g27250 transcript:Ma05_t27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNU [Source:Projected from Arabidopsis thaliana (AT5G14010) UniProtKB/TrEMBL;Acc:A0A384L1F1] MSEPSSNGYYNFLKVPAEAVPVGNMAGLPPAAEAAPSRMFPCAYCSRRFHTSQALGGHQNAHRKERAAAGRKPAASYYMANLTPRTSHVPVFLEPAVSSHGGGPPYFCGLLPQRPVSVPPPSYPAAENSATAATTTADLDLSLHL >Ma02_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26047711:26051458:1 gene:Ma02_g19970 transcript:Ma02_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGSHSALATDNSDDENRRPSVFDVDHFCTVTSLIQQEKYEDLLPLAMKKDPPLNLMEDPVLNVVIEYKKTDLAKRQIQNMPAEKKPAEKPGPLWYANYHGDTALHVAATVGDLDVAKALNETPLHKAALYGHETMFWDLVEMGSHAPDERREDGATVLHCAIMGSAPALALKIAKTYPPLITSRNDDAVTPMQLTVTIPGTTLIRASVDAARWVHLLLFRILKQLFPMIERLEKQKKTHKETLELIEFLAYYPRHMEFYSKGRRKGKEESLLVGDITSSTTRQSPPSTDAGEGQGVNGGGNRDQDFDRFAEKLFMYMSHKDANHEAVAKELKKSMKESMEEVSGESTIRRWDEPPLILGAQMGLPEFVRTILLVCSQAAAYLDTKGRSVLQVAVMYRREEIVKIIMDMRNILPSWLFSEIEPKTGNTIVHLASRGSPDVAKEAQDEPDAMQLHYDLVWFETVRDMVPKELVYSRNAQTKTAEEMFTESHRAMLKSYKGQLMETGRTCSGLVAAVVFASSFSIPGDKDPATGNPVYFGRPAFKVFSHAYVIGLSCAATSLVLFLSLAMSPYNEQQFHRIIPTKYFFARSSFAMAMLSFLVAFTCNIYLQMYGWQKAKSKDLIPFVLELTVFPVICFLVLFFRGSDFVFGVESTSMIVHIVGICSFMMHVGCTYYGMPPVLVVCGGGSHWTVNNLCVRAATKACGV >Ma08_p32400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43094058:43099519:-1 gene:Ma08_g32400 transcript:Ma08_t32400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLPSSRAAEAFPAGLRVLIVDDDPTWLKILEKMLRKCSYQVTTCSLATDALHILRERKARFDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMQELKEVETHSIDEVINILRNGSEDIEDRHLIDETEMSSMRKRKDVDNKEFVDQELNDPSTVKKARVVWSVDLHQKFVNAVDQIGFDKVGPKKILDLMSVPGLTRENVASHLQKYRLYLSRLQKQNGGTCGGNMQSDHINKTIKGKFGLKSSTNVQQDWELTKYACTTENFQTHGIVANAAHVSEMNRVAPAQVIASKKALVNDAQLGLFLSFKGMLPSIREKACKPLHDERPVMQLMQYPEQENYSVLEGYSCLANPDRDHEPCFDHFPSSQPLITSATCTNGKDMKDLYEMKPLQPAMPPMACTIDSVSIQVKHGLVNLQDTGAICKLEGSPSIEDCYLDETCNQGCFPLTYEVSLKSKSDSDSMLEDLHLYSVQKLSYLENVSCTGTEIYQYIDSVPITEVQRINLCEDSEPSGEYLYDPVDYSIDEYLFS >Ma08_p32400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43094058:43099519:-1 gene:Ma08_g32400 transcript:Ma08_t32400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLPSSRAAEAFPAGLRVLIVDDDPTWLKILEKMLRKCSYQVMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMQELKEVETHSIDEVINILRNGSEDIEDRHLIDETEMSSMRKRKDVDNKEFVDQELNDPSTVKKARVVWSVDLHQKFVNAVDQIGFDKVGPKKILDLMSVPGLTRENVASHLQKYRLYLSRLQKQNGGTCGGNMQSDHINKTIKGKFGLKSSTNVQQDWELTKYACTTENFQTHGIVANAAHVSEMNRVAPAQVIASKKALVNDAQLGLFLSFKGMLPSIREKACKPLHDERPVMQLMQYPEQENYSVLEGYSCLANPDRDHEPCFDHFPSSQPLITSATCTNGKDMKDLYEMKPLQPAMPPMACTIDSVSIQVKHGLVNLQDTGAICKLEGSPSIEDCYLDETCNQGCFPLTYEVSLKSKSDSDSMLEDLHLYSVQKLSYLENVSCTGTEIYQYIDSVPITEVQRINLCEDSEPSGEYLYDPVDYSIDEYLFS >Ma08_p32400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43094043:43099519:-1 gene:Ma08_g32400 transcript:Ma08_t32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLPSSRAAEAFPAGLRVLIVDDDPTWLKILEKMLRKCSYQGLTTCSLATDALHILRERKARFDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMQELKEVETHSIDEVINILRNGSEDIEDRHLIDETEMSSMRKRKDVDNKEFVDQELNDPSTVKKARVVWSVDLHQKFVNAVDQIGFDKVGPKKILDLMSVPGLTRENVASHLQKYRLYLSRLQKQNGGTCGGNMQSDHINKTIKGKFGLKSSTNVQQDWELTKYACTTENFQTHGIVANAAHGMLPSIREKACKPLHDERPVMQLMQYPEQENYSVLEGYSCLANPDRDHEPCFDHFPSSQPLITSATCTNGKDMKDLYEMKPLQPAMPPMACTIDSVSIQVKHGLVNLQDTGAICKLEGSPSIEDCYLDETCNQGCFPLTYEVSLKSKSDSDSMLEDLHLYSVQKLSYLENVSCTGTEIYQYIDSVPITEVQRINLCEDSEPSGEYLYDPVDYSIDEYLFS >Ma03_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7966179:7971097:-1 gene:Ma03_g10570 transcript:Ma03_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14620/T5E21_15 [Source:Projected from Arabidopsis thaliana (AT1G14620) UniProtKB/TrEMBL;Acc:Q8L7U3] MRRSVAPLLLRSRRFASSTAVSGISSSSSDKIVAAVLFERLPVVIPKIEPIVYAFREFSFRWRQQYRRKYPDEVLGKADARGKGDYQTDYVPAPRITEADKTNDKRSLQRALDRRLYLLLYGSTYGTPDKEPVWHFPEKVYENEETLRLCAESALKSVIGGLNNTYFVGNAPMAQMVVEPKEDLPLFKRFFFKSQVIGASKLQIGECKDFVWVTKDELMEYFPEQASFFNKMIIS >Ma05_p27080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38516853:38517286:-1 gene:Ma05_g27080 transcript:Ma05_t27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKGGALPLASLNHISLVCRSVERSLDFYQNVLGFLPIRRPGSFDFTGAWLFNYGIGIHLLQSEDPEKMPRKKEINPKDNHISFQVIVSYYFRRLCRLFLS >Ma05_p31150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41195709:41196918:-1 gene:Ma05_g31150 transcript:Ma05_t31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATRSSDSKEEPNHSVVSVHDRDGSSPQPRTNSSGSPSSPEVDPESGLSVNPERKAARECRICYLALENSASESGPPIVLGCSCKHDLAVAHERCAQTWFEAKGNRICEICGSTAKNVVASGDAASTEQMNEGDDPAMAPAQPSSSSSSDRSLWRRQCFLNFLLTFLVFLCAFSWLYHYNLPY >Ma03_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2184502:2186310:-1 gene:Ma03_g03250 transcript:Ma03_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSLLKYWRGGGGGGRTTIADAIRFSTDTPDTVVLRPSSVTTDDDGDDDGGPFFDLEFTALPIDDGDVEEGEFNFELCSVGSGNARARGGEGDDRVIESLSPPDDYFFKGKLAPLEPTSIVITASEPDNKSQFPTVSLLKSATKFRIFLLRLRKPKSTAAAVANASPKQQPQGERQSRFFVKFKVDEVPIISLFTRDNSSRNTSSGSRTAKPQAEDSSAATTDEKRFAREVVQKYLNMIKPLYVKVSRWHVERLRLPSELAPAKAPPQEAAAIGGGAKGFPAGLRVVGKRLGKSRSASEVVAAVRSPPPQRRDDSLRELQDGIQSAIAHCKRSFTTSDQGPESPLVRSKSDPIQATGNQN >Ma01_p05630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3973299:3980682:1 gene:Ma01_g05630 transcript:Ma01_t05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSSHDERKERKSDIENSEDERRTRIGTLKKKAINASTKFRHSLKKKNRRKSDGRVVSVSIEDIRNIEELEAVDAFRQSLILDELLPAKHDDYHMMLRFLKARKFDIEKAKHMWAEMLQWRKEYGTDTIVEDFEYSELNEVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMQVTTMERYVKYHVKEFERSFLIKFPACSIAAKRHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDSDNYPETLHRMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGTKYQNKLLEIIEPSELPEFLGGSCTCADHGGCLKSEKGPWKDPNILKMVLSGEAQCARQIVAVSNGEGKIIAYAKPQNPTIRGSDTSTAESGSEAEDIASPKAARAHVSYPRLTPVHEEAKMVKAASFSSGFPQYDEYVPMVDKAVDGGWKKEVSNKRLSTSKGECSISKTHNTPQGVQARTIQFLVTIIMYLYTLVYSVIRCVSKRLKNEVSEIDEHDPSFAADPVPKEEFRPPSPAPVFTEADLFSRLLRRLEELEEKVDTLKAKPSEMPSEKDELLNAAVCRVDALEAELIVTKKALHEALMRQDELLAYIDRQEEAKMRKKKFCF >Ma01_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3973299:3980682:1 gene:Ma01_g05630 transcript:Ma01_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSSHDERKERKSDIENSEDERRTRIGTLKKKAINASTKFRHSLKKKNRRKSDGRVVSVSIEDIRNIEELEAVDAFRQSLILDELLPAKHDDYHMMLRFLKARKFDIEKAKHMWAEMLQWRKEYGTDTIVEDFEYSELNEVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMQVTTMERYVKYHVKEFERSFLIKFPACSIAAKRHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDSDNYPETLHRMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGTKYQNKLLEIIEPSELPEFLGGSCTCADHGGCLKSEKGPWKDPNILKMVLSGEAQCARQIVAVSNGEGKIIAYAKPQNPTIRGSDTSTAESGSEAEDIASPKAARAHVSYPRLTPVHEEAKMVKAASFSSGFPQYDEYVPMVDKAVDGGWKKEVSNKRLSTSKGECSISKTHNTPQGVQARTIQFLVTIIMYLYTLVYSVIRCVSKRLKNEVSEIDEHDPSFAADPVPKEEFRPPSPAPVFTEADLFSRLLRRLEELEEKVDTLKAKPSEMPSEKDELLNAAVCRVDALEAELIVTKKALHEALMRQDELLAYIDRQEEAKMRKKKFCF >Ma03_p27140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30617366:30625846:-1 gene:Ma03_g27140 transcript:Ma03_t27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTLMATAGNNIGKVLQKKGTVILPRLSFKLKVIRAYVLNKLWIIGFLMDICGAVLMLRALSQAPVSVIQPVSGCGLAILCVFSHFYLKEVMNALDWVGIALAGAGTIGVGIGGDKQNGSTVSLFHLPLLGISVALLFALLNTWLRIYKSRRQEQELMHSEVIEEIIYGLESGILFGMASVISKMGFMFSEEGFSKIMVPICISISICCSASGFVYQTQGLKHGRAIVVSTCAAVASIITGVLAGMFALGEHMPSSPVARVALSLGWIFITLGVILLVSSARLMALLPRPLRRHLRRSNLENHTLRRSGSLRIKDPSPSAVIHASTLHHLITSPSKEKA >Ma03_p27140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30617366:30625846:-1 gene:Ma03_g27140 transcript:Ma03_t27140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTLMATAGNNIGKVLQKKGTVILPRLSFKLKVSVIQPVSGCGLAILCVFSHFYLKEVMNALDWVGIALAGAGTIGVGIGGDKQNGSTVSLFHLPLLGISVALLFALLNTWLRIYKSRRQEQELMHSEVIEEIIYGLESGILFGMASVISKMGFMFSEEGFSKIMVPICISISICCSASGFVYQTQGLKHGRAIVVSTCAAVASIITGVLAGMFALGEHMPSSPVARVALSLGWIFITLGVILLVSSARLMALLPRPLRRHLRRSNLENHTLRRSGSLRIKDPSPSAVIHASTLHHLITSPSKEKA >Ma04_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16640778:16648452:1 gene:Ma04_g16810 transcript:Ma04_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPNPFDEMDVNPFATSAASGSNSRLPTLASKAFGFGNKNDATVDIPLDTVNDAKKREKELATWEENLKRREMDIKRREDALTRAGVTIEEKNWPPFFPIIHHDIAKEIPVHAQRLQYLAFASWLGILLCLSWNVIAVIVCWIKGGGVKIFFLAIIYALLGCPLSYVLWYRPLYRAMRTDSALKFGWFFLFYLIHVGFCILAAIAPPIVFHGKSLTGILAAIDTFSDHALVGRVYMYFRGHE >Ma04_p16810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16642621:16648452:1 gene:Ma04_g16810 transcript:Ma04_t16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPNPFDEMDVNPFATSAASGSNSRLPTLASKAFGFGNKNDATVDIPLDTVNDAKKREKELATWEENLKRREMDIKRREDALTRAGVTIEEKNWPPFFPIIHHDIAKEIPVHAQRLQYLAFASWLGILLCLSWNVIAVIVCWIKGGGVKIFFLAIIYALLGCPLSYVLWYRPLYRAMRTDSALKFGWFFLFYLIHVGFCILAAIAPPIVFHGKSLTGILAAIDTFSDHALVGIFYLVGFGLFCLETLISLWVLQRVYMYFRGHE >Ma04_p16810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16640778:16648452:1 gene:Ma04_g16810 transcript:Ma04_t16810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPNPFDEMDVNPFATSAASGSNSRLPTLASKAFGFGNKNDATVDIPLDTDAKKREKELATWEENLKRREMDIKRREDALTRAGVTIEEKNWPPFFPIIHHDIAKEIPVHAQRLQYLAFASWLGILLCLSWNVIAVIVCWIKGGGVKIFFLAIIYALLGCPLSYVLWYRPLYRAMRTDSALKFGWFFLFYLIHVGFCILAAIAPPIVFHGKSLTGILAAIDTFSDHALVGIFYLVGFGLFCLETLISLWVLQRVYMYFRGHE >Ma03_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:266365:278040:1 gene:Ma03_g00270 transcript:Ma03_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA box-binding protein-associated factor RNA polymerase I subunit B [Source:Projected from Arabidopsis thaliana (AT2G02955) UniProtKB/Swiss-Prot;Acc:Q5XVF0] MDDLGWGSRFPSYLDARDRRPDAVKRELPDDAGPRLFCDACGGVEFDSGDDGFYYCRLCGSQSQDVLDTGYAEEDVFGDAQGSGALYHIHRHRAQKHSQDPAAHAISKDDVLRSLSKSLAAGSGGAVKKEQEKALPYGFDDEPSEPRDFGAGPCLDAETLARGIRLRYVQGLQVILQLQCEALVEKFGVSPLICGLAGTIWLRFVASSMVFDEGWGQKVIAESEATVSQSRDDNLEVQTPAKHIKAKYMTEPRNAVGRRAIYIWLRALRKTIPVYSSLAISFLACHIAREAILPTDIVKWASEAKLPYLTAFLVLDKYLGSSSSSCSLSSRLLFRPVRVIGSWQLEAVAGSIAQKIGLNLPSVNFYAIASRYLKDLSLPTEKILPQACRLYEWSIPADLWLSCNTSRLPTRVCVMAILIVTVRILYNIHGQGFWEMTLLDASDTPRCQTRNPDVFSLSPAGKLGDAAGGAKGSCFTTNSSTVKNMSSIKSADFNTKELLDILQAAHDKISTAHDYSRDLRSYLKYCQDVVFGGMTTSYDEQTLIERFWETYDKQEDDIQQEGLKDELLDSKGKRQREVPATSFFDFKRREQSKSSQHIIRERSPDDYGGTTSRDGFANSADPNEKDPLCNSSLTPSRNAAVERMKLSMEESGFYYLPPRVQKRTDGYLHYKRKRLDGKLICVAHADYYIVLRACAKVAQVDMRMMHLGVLKFERRLAWIEQRIDSSLNTLPQLLHQMQDN >Ma03_p00270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:266365:278040:1 gene:Ma03_g00270 transcript:Ma03_t00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA box-binding protein-associated factor RNA polymerase I subunit B [Source:Projected from Arabidopsis thaliana (AT2G02955) UniProtKB/Swiss-Prot;Acc:Q5XVF0] MDDLGWGSRFPSYLDARDRRPDAVKRELPDDAGPRLFCDACGGVEFDSGDDGFYYCRLCGSQSQDVLDTGYAEEDVFGDAQGSGALYHIHRHRAQKHSQDPAAHAISKDDVLRSLSKSLAAGSGGAVKKEQEKALPYGFDDEPSEPRDFGAGPCLDAETLARGIRLRYVQGLQVILQLQCEALVEKFGVSPLICGLAGTIWLRFVASSMVFDEGWGQKVIAESEATVSQSRDDNLVAEVQTPAKHIKAKYMTEPRNAVGRRAIYIWLRALRKTIPVYSSLAISFLACHIAREAILPTDIVKWASEAKLPYLTAFLVLDKYLGSSSSSCSLSSRLLFRPVRVIGSWQLEAVAGSIAQKIGLNLPSVNFYAIASRYLKDLSLPTEKILPQACRLYEWSIPADLWLSCNTSRLPTRVCVMAILIVTVRILYNIHGQGFWEMTLLDASDTPRCQTRNPDVFSLSPAGKLGDAAGGAKGSCFTTNSSTVKNMSSIKSADFNTKELLDILQAAHDKISTAHDYSRDLRSYLKYCQDVVFGGMTTSYDEQTLIERFWETYDKQEDDIQQEGLKDELLDSKGKRQREVPATSFFDFKRREQSKSSQHIIRERSPDDYGGTTSRDGFANSADPNEKDPLCNSSLTPSRNAAVERMKLSMEESGFYYLPPRVQKRTDGYLHYKRKRLDGKLICVAHADYYIVLRACAKVAQVDMRMMHLGVLKFERRLAWIEQRIDSSLNTLPQLLHQMQDN >Ma07_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11997277:11999881:-1 gene:Ma07_g15780 transcript:Ma07_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMEAEYSHYWETKRFIDAEEFESWGFEEAISGGYYDSSSPEGTTSSATVKNIAMERNRRKKLNEKLYALRSVVPNITKMDKASIIKDAIDYIQQLQEQERKMMAEMSELEPLREEKMSMGDFEYDVVPFMQQRKKKRTPRSSSAPGSPTSLPIEVLELRVNEMGEKTMVVSITCNKKRDTMIKVCELFEFLNLKVITANITSVSENLLHTLFVETDEMDSAQLKEKIEVAIAELDVPKSPISYVTYQQEGFDEAIFLQ >Ma10_p03500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14060464:14061939:-1 gene:Ma10_g03500 transcript:Ma10_t03500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQMDSESVPVAKTSPVEVDVTGITKMESVLRLKGMENCGQAIYGQAPFVEDNDHPEVRDDAFIELIGGREVYPPTPSPDRDSSEPPSSSDQSEELDSILDCRTPSGNIFDPFAPGPEELVFAPRKKMLKGTQVPPRRQLNFDDCGDSNQQVKHNVAEDAVEEDDLLELFFRSFLELIVLNRIQETSVKNFPLHGDTSECLKTPILLPLLTGVAGTCPPAPRREAANSRTFRLGVCRKLEFGTGLT >Ma10_p03500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14060464:14061939:-1 gene:Ma10_g03500 transcript:Ma10_t03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQMDSESVPVAKTSPVEVDVTGITKMESVLRLKGMENCGQAIYGQAPFVEDNDHPEVRDDAFIELIGGREVYPPTPSPDRDSSEPPSSSDQSEELDSILDCRTPSGNIFDPFAPGPEELVFAPRKKMLKGTQVPPRRQLNFDDCGDSNQQVKHNVAEDAVEEDDLLELFFRSFLELIVLNRIQETSVKNFPLHGDTSECLKTPILLPLLTGVAGTCPPAPRREAANSRTFRLGVCRKLEFGTGLT >Ma10_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14060359:14062028:-1 gene:Ma10_g03500 transcript:Ma10_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQMDSESVPVAKTSPVEVDVTGITKMESVLRLKGMENCGQAIYGQAPFVEDNDHPEVRDDAFIELIGGREVYPPTPSPDRDSSEPPSSSDQSEELDSILDCRTPSGNIFDPFAPGPEELVFAPRKKMLKGTQVPPRRQLNFDDCGDSNQQVKHNVAEDAVEEDDLLELFFRSFLELIVLNRIQETSVKNFPLHGDTSECLKTPILLPLLTGVAGTCPPAPRREAANSRTFRLGVCRKLEFGTGLT >Ma02_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29193418:29195756:-1 gene:Ma02_g24690 transcript:Ma02_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHRTPPRFEPRRTGNAFIVATMLLSLCILSLIKARYCSVPNAKSQALAELEPTEVSGMVTLKGGEAALPEDEEDEGNTITSQKIVAEKAAIDLSKPICSETSKRSNVCEADGDIRLQGSSHTILLHPSSTDREWKTKPYCRKHDPPAMKNIKEWTIKPFPISEPPPQCTVNYTVPALVFSIGGFTGNLFHDFTDVIVPLFISSYQFRGEVQFVVADIKPWWVSKFILILKQLSNYDIIDANNDDQEAVRCFPRVIVGLSFHKELGVDPSKTPTGYSIVDFKAMLRKAYGLERPTAAPSGDPWDIRRKPRMLIISRKKTRAFLNERGMTDMAMSLGFDVRVAEPDITTDLAKFARLVNSADVMIGVHGAGLTNMVFLPEGAVLIQVVPMGGLDWLARDTFGQPSPDMQIKYMDYRIQADESTLSDQYPADHPVLKDPYSIHKQGWNALSKTYLDNQNVRPHLGRLRNTLMDALKHLPHGRKEA >Ma04_p33020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32856457:32858487:-1 gene:Ma04_g33020 transcript:Ma04_t33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGTGDCLAWAARDASGTLSPYRFTRRALRGDDVSLRITHCGVCYADVLWTRNKIGDSKYPLVPGHEIVGVVTATGSGVKDLRAGDHVGVGTYVNSCRECVYCNEFCEVFCSKGATFTFNGMDSDGTVTKGGYSSYIVVHERYCYKIPDGYPPDRAAPLLCAGITVYSPMMRHRMNQPGKSLGVIGLGGLGHLAVKFGKAFGLQVTVFSTSESKRGEALDLLGADKFVISSNKQEMESLAKSLDFIIDTASGAHPFDPYMSLLKVHGVLVLVGFPGEVRLSPLSLLHGAKTISGSATGGTKETQEMLDFCAANKVYPEIEVIKIQDINEALDRLVNKDVKYRFVIDIANSLD >Ma06_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9811475:9816894:1 gene:Ma06_g14320 transcript:Ma06_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEYYDVLGLTPSASEEEIRRAYYLKARQVHPDKNPDDPQAAEKFQALGEAYQVLSDPVQRRAYDGFGKDGVSRENMVDGTAIFTLLFGSELFEDYIGHLAVASMASTELTSENDSPEKLQDRMKAVQREREEKLARFLKDFLNQYASGDKEGFFHHAEAEAKRLANTAFGVEILQTIGYVYARQAAKELGKKVMYLGVPFVAEWVRNKGHFWRSQISAAKGAFQLLQIQEDICRQLNKDGTITEKDVELHMRMNKDLMVESLWKLNVVDIEVTLLHVCQMVLQENSVKKEELKARAVALKILGKIFQGSSLKKEKYALPAPASKEKIVQDDDTSSDSSSVEDSPRRLPYRTPFLTQGIGRLFRCLCNPAYDVDDDPEPPSK >Ma05_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6267296:6270023:1 gene:Ma05_g08510 transcript:Ma05_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQSHPPPPGEPSAAAAGTCACDCRCCGRASLDSDAWVPSLKRRMDEMEAATPSISPAAVDDGVVRVEIADEAAAVCGHLQSIQELRTELEEERSAAASAASEAMSMILRLQREKAEVQMEARQFKGFAEEKMAHDRQEIAALEDLLLKYDETILSLSYEVETYRHRLFSSGIGVSDAPASEPESPDEATTADAQFDFSCGDYPPLRSTLSADDATIDIYKYPFGETPREHLNKLEARISQLERMPSCGQMSNVVDKMVAMGHSPHRPIHIKRLSVDSCGSSLEFNKGEEFPITMDLSSDCGVQDDMSDRVYTIDTMHKAAEDYVSLARVVHNEKDTNGSDEEAEIRKLRLRLEALEADRESMRKTLISIATDKTQVVMLNDIAQHLREDASSEKIVKKQPFLKRFSLMLIIKAAVSFVFRRKRSSRIRYSFGLSANNAGLLLLLDRAARMRHQRFFTKTQGELPTFHTRPKSSSQHVNS >Ma01_p13880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10159120:10162662:1 gene:Ma01_g13880 transcript:Ma01_t13880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVRLFGSTAALHRQFRLITVPSLPLPLPNSRFPPVGSSGCGSPTPFVFHPCSRLARRSAAGLCSSPPRLASSSLSLPPLLSLSHLFASMSPQEGALASDSGFKEGFVNWGRDRDVLEGGDLGLYSEKGAIWRVVMLGWLGAEPKHLNKYAGLYTSKGIEPVKFVIPVKELLGFDLGRRVQDKIARFTTELVSWCSQTEEDGRERCLLFHTFSNTGWLTYGSILENLQTRPDIIEKIKGCVIDSGADPEISPQVWAAGFSAALLKKRSSLISSSDENNGVAKPDMKMNGTGTKDNSSSFAEILTLSLLEKFFTLVLMLPDVNQRLRKTISILWNKQPLCPQLYLYSSADMVIPVNSVENFIKEQKTSGRIVHAHDFGSSPHVDHLRSYPHMYSAKITEFMEECCTITM >Ma01_p13880.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10159120:10162406:1 gene:Ma01_g13880 transcript:Ma01_t13880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVRLFGSTAALHRQFRLITVPSLPLPLPNSRFPPVGSSGCGSPTPFVFHPCSRLARRSAAGLCSSPPRLASSSLSLPPLLSLSHLFASMSPQEGALASDSGFKEGFVNWGRDRDVLEGGDLGLYSEKGAIWRVVMLGWLGAEPKHLNKYAGLYTSKGIEPVKFVIPVKELLGFDLGRRVQDKIARFTTELVSWCSQTEEDGRERCLLFHTFSNTGWLTYGSILENLQTRPDIIEKIKGCVIDSGADPEISPQVWAAGFSAALLKKRSSLISSSDENNGVAKPDMKMNGTGTKDNSSSFAEILTLSLLEKFFTLVLMLPDVNQRLRKTISILWNKQPLCPQLYLYSSADMVIPVNSVENFIKEQKTSGRIVHAHDFGSSPHVDHLRSYPHMYSAKITEFMEECCTVCFWQDTRLERTLKERNCTCFAGGHGQTGN >Ma01_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10159120:10162406:1 gene:Ma01_g13880 transcript:Ma01_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVRLFGSTAALHRQFRLITVPSLPLPLPNSRFPPVGSSGCGSPTPFVFHPCSRLARRSAAGLCSSPPRLASSSLSLPPLLSLSHLFASMSPQEGALASDSGFKEGFVNWGRDRDVLEGGDLGLYSEKGAIWRVVMLGWLGAEPKHLNKYAGLYTSKGIEPVKFVIPVKELLGFDLGRRVQDKIARFTTELVSWCSQTEEDGRERCLLFHTFSNTGWLTYGSILENLQTRPDIIEKIKGCVIDSGADPEISPQVWAAGFSAALLKKRSSLISSSDENNGVAKPDMKMNGTGTKDNSSSFAEILTLSLLEKFFTLVLMLPDVNQRLRKTISILWNKQPLCPQLYLYSSADMVIPVNSVENFIKEQKTSGRIVHAHDFGSSPHVDHLRSYPHMYSAKITEFMEECCTDTRLERTLKERNCTCFAGGHGQTGN >Ma01_p13880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10159120:10162662:1 gene:Ma01_g13880 transcript:Ma01_t13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVRLFGSTAALHRQFRLITVPSLPLPLPNSRFPPVGSSGCGSPTPFVFHPCSRLARRSAAGLCSSPPRLASSSLSLPPLLSLSHLFASMSPQEGALASDSGFKEGFVNWGRDRDVLEGGDLGLYSEKGAIWRVVMLGWLGAEPKHLNKYAGLYTSKGIEPVKFVIPVKELLGFDLGRRVQDKIARFTTELVSWCSQTEEDGRERCLLFHTFSNTGWLTYGSILENLQTRPDIIEKIKGCVIDSGADPEISPQVWAAGFSAALLKKRSSLISSSDENNGVAKPDMKMNGTGTKDNSSSFAEILTLSLLEKFFTLVLMLPDVNQRLRKTISILWNKQPLCPQLYLYSSADMVIPVNSVENFIKEQKTSGRIVHAHDFGSSPHVDHLRSYPHMYSAKITEFMEECCTVITM >Ma01_p13880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10159120:10162406:1 gene:Ma01_g13880 transcript:Ma01_t13880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVRLFGSTAALHRQFRLITVPSLPLPLPNSRFPPVGSSGCGSPTPFVFHPCSRLARRSAAGLCSSPPRLASSSLSLPPLLSLSHLFASMSPQEGALASDSGFKEGFVNWGRDRDVLEGGDLGLYSEKGAIWRVVMLGWLGAEPKHLNKYAGLYTSKGIEPVKFVIPVKELLGFDLGRRVQDKIARFTTELVSWCSQTEEDGRERCLLFHTFSNTGWLTYGSILENLQTRPDIIEKIKGCVIDSGADPEISPQVWAAGFSAALLKKRSSLISSSDENNGVAKPDMKMNGTGTKDNSSSFAEILTLSLLEKFFTLVLMLPDVNQRLRKTISILWNKQPLCPQLYLYSSADMVIPVNSVENFIKEQKTSGRIVHAHDFGSSPHVDHLRSYPHMYSAKITEFMEECCTVDTRLERTLKERNCTCFAGGHGQTGN >Ma01_p13880.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10159120:10162140:1 gene:Ma01_g13880 transcript:Ma01_t13880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVRLFGSTAALHRQFRLITVPSLPLPLPNSRFPPVGSSGCGSPTPFVFHPCSRLARRSAAGLCSSPPRLASSSLSLPPLLSLSHLFASMSPQEGALASDSGFKEGFVNWGRDRDVLEGGDLGLYSEKGAIWRVVMLGWLGAEPKHLNKYAGLYTSKGIEPVKFVIPVKELLGFDLGRRVQDKIARFTTELVSWCSQTEEDGRERCLLFHTFSNTGWLTYGSILENLQTRPDIIEKIKGCVIDSGADPEISPQVWAAGFSAALLKKRSSLISSSDENNGVAKPDMKMNGTGTKDNSSSFAEILTLSLLEKFFTLVLMLPDVNQRLRKTISILWNKQPLCPQLYLYSSADMVIPVNSVENFIKEQKTSGRIVHAHDFGSSPHVDHLRSYPHMYSAKITEFMEECCTVVPQVISFQKKGNFY >Ma01_p16160.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11722504:11726851:1 gene:Ma01_g16160 transcript:Ma01_t16160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADDVTRVLKPPSLENLICVSLLLFRSWQKLNASIILKLILVFCWYLMHLLVCLVHIMASLKKWLLSYIISFGLLSKYQNLQLDMLNCLAVVVDSEEATDTRKIKHLLCWLLTMDIKYITLYDMQGLLKKTLGNDLMSLTKTSTRSCSVDDVKTMASVFQMEKMTVEILSLSDGKEGIAKAASFLCSKYMKDDSVSCNKSEPAFTESDVANALNAIGYCGPEPQLLLIYGPARCHLGFPPWRLTYTEIVHMGSLRFMNYGAILREIYQFSKKHQNYGT >Ma01_p16160.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11722504:11726851:1 gene:Ma01_g16160 transcript:Ma01_t16160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADDVTRVLKPPSLENLICVSLLLFRSWQKLNASIILKLILVFCWYLMHLLVCLVHIMASLKKWLLSYIISFGLLSKYQNLQLDMLNCLAVVVDSEEATDTRKIKHLLCWLLTMDIKYITLYDMQGLLKKTLGNDLMSLTKTSTRSCSVDDVKTMASVFQMEKMTVEILSLSDGKEGIAKAASFLCSKYMKDDSVSCNKSEPAFTESDVANALNAIGYCGPEPQLLLIYGPARCHLGFPPWRLTYTEIVHMGSLRFMNYGAILREIYQFSKKHQNYGT >Ma01_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11722504:11726851:1 gene:Ma01_g16160 transcript:Ma01_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVTRVLKPPSLKLNASIILKLILVFCWYLMHLLVCLVHIMASLKKWLLSYIISFGLLSKYQNLQLDMLNCLAVVVDSEEATDTRKIKHLLCWLLTMDIKYITLYDMQGLLKKTLGNDLMSLTKTSTRSCSVDDVKTMASVFQMEKMTVEILSLSDGKEGIAKAASFLCSKYMKDDSVSCNKSEPAFTESDVANALNAIGYCGPEPQLLLIYGPARCHLGFPPWRLTYTEIVHMGSLRFMNYGAILREIYQFSKKHQNYGT >Ma01_p16160.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11722491:11726851:1 gene:Ma01_g16160 transcript:Ma01_t16160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADDVTRVLKPPSLKLNASIILKLILVFCWYLMHLLVCLVHIMASLKKWLLSYIISFGLLSKYQNLQLDMLNCLAVVVDSEEATDTRKIKHLLCWLLTMDIKYITLYDMQGLLKKTLGNDLMSLTKTSTRSCSVDDVKTMASVFQMEKMTVEILSLSDGKEGIAKAASFLCSKYMKDDSVSCNKSEPAFTESDVANALNAIGYCGPEPQLLLIYGPARCHLGFPPWRLTYTEIVHMGSLRFMNYGAILREIYQFSKKHQNYGT >Ma01_p16160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11722491:11726851:1 gene:Ma01_g16160 transcript:Ma01_t16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVTRVLKPPSLENLICVSLLLFRSWQKLNASIILKLILVFCWYLMHLLVCLVHIMASLKKWLLSYIISFGLLSKYQNLQLDMLNCLAVVVDSEEATDTRKIKHLLCWLLTMDIKYITLYDMQGLLKKTLGNDLMSLTKTSTRSCSVDDVKTMASVFQMEKMTVEILSLSDGKEGIAKAASFLCSKYMKDDSVSCNKSEPAFTESDVANALNAIGYCGPEPQLLLIYGPARCHLGFPPWRLTYTEIVHMGSLRFMNYGAILREIYQFSKKHQNYGT >Ma01_p16160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11722491:11726851:1 gene:Ma01_g16160 transcript:Ma01_t16160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVTRVLKPPSLENLICVSLLLFRSWQKLNASIILKLILVFCWYLMHLLVCLVHIMASLKKWLLSYIISFGLLSKYQNLQLDMLNCLAVVVDSEEATDTRKIKHLLCWLLTMDIKYITLYDMQGLLKKTLGNDLMSLTKTSTRSCSVDDVKTMASVFQMEKMTVEILSLSDGKEGIAKAASFLCSKYMKDDSVSCNKSEPAFTESDVANALNAIGYCGPEPQLLLIYGPARCHLGFPPWRLTYTEIVHMGSLRFMNYGAILREIYQFSKKHQNYGT >Ma05_p29820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40399869:40406712:1 gene:Ma05_g29820 transcript:Ma05_t29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANLRSQRVFTFGKGKSEGNKNMKALLGGKGANLAEMASIGLSVPPGLTVSTEACHEYQEGGRELPAGLWEDMLESLSTVEEDMGSRLGDPYKPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVVAGLAVKSGERFAYDSYRRFLDMFGNVVMGIPHSLFEERLEALKTAKGVKDDTDLTAADLKELVAQYKNVYIEAKGEQFPTDPSRQLYLAVIAVFDSWNSPRAKKYRSINRIKGLRGTAVNVQCMVFGNMGDSSGTGVLFTRNPSSGEKKLYGEFLVNAQGEDVVAGIRTPRDLGAMQECMPEPYSELAENCEILERHYNEMMDIEFTVQENRLWMLQCRTGKRTGQGAVKIAVDMVKEGLVDTRSAIKMVEPCHLEQLLHPQFEDPAAYKDKVIATGLPASPGAAVGQIVFTADDAEAWHAQGKAVILVRTETSPEDVGGMHAAEGILTARGGMTSHAAVVARGWGKCCVSGCSDIHVNDAAKVLVAGDKMIHEGDWLSLNGSTGEVILGRQPLVPPAPSGDLGTFMSWVDEIRQLKVMANADTPDDALAAINNGAQGIGLCRTEHMFFSSDERIKAVREMIMAANSEQRQRALDLLLPYQKSDFEGIFHAMDGLPVTIRLLDPPLHEFLPEGNLEDIVGELASEIGIKEEEILTRVEKLSEVNPMLGFRGCRLGISYPELTEMQVRAIFEAAISVSKQGIKVFPEIMVPLIGTPQEYEHQMSLIQKIAQNVFSELGTSISYKSGAMIEVPRAALIADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSEGILQSDPFEVLDQKGVGQLIKVAVERGRRTRPDLKIGICGEHGGEASSVAFFAQAGLDYVSCSPFRVPIARLAAAQVVVT >Ma04_p18150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19749522:19753373:1 gene:Ma04_g18150 transcript:Ma04_t18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MRPNSYHRLVSYTKNLAAEAKQGRHHEALDLFAQMFASPDLALDPFVFSLALKSAAVLRLPLTVRAVHAHAVKSGLLHDPYVASSLVDSYGKCASLVHARKLFDDCTQRNAVVWNALISLYCRSGDVPSALRLFELMDVPPTASSYNSVIAAIAEFGGGSGSSRAIELCRKMQVAGIKPNLITTLALFPACVGTGALNSIKEIHGFALRNHIQPNSQFDSGLVEAYGRGGCLPYARCVFDEMEERDVVSWSSMVSVYAFHGHANRAMSVFKEMELDNIRPDGIMFLSVLKACSHAGLADDALKYFDIMTKVYGLDAGSDHYSCLVDVLSRAGWLKEAYEVIRGMPMKATPKAWGALLGACRSYGEVRLAEIAAEVLFEIEPENAGNFVLLASIYAGAGKFKEAERVRMVMEGRGVSLNPGGSWVVPRRS >Ma04_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19749522:19753575:1 gene:Ma04_g18150 transcript:Ma04_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MRPNSYHRLVSYTKNLAAEAKQGRHHEALDLFAQMFASPDLALDPFVFSLALKSAAVLRLPLTVRAVHAHAVKSGLLHDPYVASSLVDSYGKCASLVHARKLFDDCTQRNAVVWNALISLYCRSGDVPSALRLFELMDVPPTASSYNSVIAAIAEFGGGSGSSRAIELCRKMQVAGIKPNLITTLALFPACVGTGALNSIKEIHGFALRNHIQPNSQFDSGLVEAYGRGGCLPYARCVFDEMEERDVVSWSSMVSVYAFHGHANRAMSVFKEMELDNIRPDGIMFLSVLKACSHAGLADDALKYFDIMTKVYGLDAGSDHYSCLVDVLSRAGWLKEAYEVIRGMPMKATPKAWGALLGACRSYGEVRLAEIAAEVLFEIEPENAGNFVLLASIYAGAGKFKEAERVRMVMEGRGVSLNPGGSWVVPRRS >Ma09_p25910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37264996:37276900:-1 gene:Ma09_g25910 transcript:Ma09_t25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALSRPPPPRLPAMARLAPLAASFRLHHHSPGSHAGVRRGPLVAADALPSSSSSAHTFQPEAISLAPPLKSVSVDSLQYESGSLGGISEKTKLSLPPPGDVGQNGALNPMEYLTSILTSRVYDVAIESPLQLAPKLSARLEVDLWLKREDLQPVFSFKLRGAYNMMAKLPREQLDRGVICSSAGNHAQGVALAAQRLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSHAKQRGDQEGRTFIPPFDHHDVIAGQGTIGMEIIRQMSSPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLYHGERIMLEQVGGFADGVAVKVVGEETFRLCRELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCRYYGLKDENIVAITSGANMNFDRLRLVTELADVGRKREAVLATHLPEEQGSFKKFCKLVGPMNITEFKYRYDSRKEHALVLYSVGVHTDSELAAMIHRMEHAQLKTFNLTSDDLAKDHLRYFMGGRSNVQDELLCRFIFPERPGALMKFLDSFSPRWNISLFHYRAQGETGANVLVGIQVSKADMKEFKIGAQNLGYEFTYEMNNEAYRLLMQ >Ma02_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17509443:17511778:1 gene:Ma02_g06520 transcript:Ma02_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRISPATAMIRNILNTIRKGSHAMDYGDRTLSIVCRRGFHDGKVLSGPRSFFGVEDFLDDDNSRPYTYKKEKRPKSPHKHISFKQRTIAYMEPFSLDVFISKRFVSASVTHRVTCKQVAVAGTNSKDIKAVLKSRSDIPACLAVGRFLAERAKEADVYTCTYAPRERDKFEGKIRAVVQSLIDNGIDVKVYLD >Ma11_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25246104:25249795:-1 gene:Ma11_g20860 transcript:Ma11_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCYPFPQPFIGKPLIASIKLQEGQTTKDKKEKKRKRKEKIDKANVEPVNFQYLGKSQENSKYEEKSLFNQKVRDSQKTSKDAFEHMERSVLTEEHEVPCSIQDKYNSPESSQDSNKRRKVASSVSSHNKHGTILRIKLSSSRQRDAELLLSSSRQRNPHLPSTLQIAGVTTVVALNKSEQIVHKHPAADEQIVHKHPAADEQPCSSGRDIETGLNQEAAVTLHRTSGSKRIGGRTWQKEQFRDLIVNWNPPSLQLESWDAGGEDWLCGAPKRHSSLYADEGKATPEVSSVSVSYMSSSQQPRACYLPEFDMYQLPYEIPF >Ma08_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35267666:35268405:-1 gene:Ma08_g21360 transcript:Ma08_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAAEDDQEKQPLIKYEESSVDDPPNLIQKAYRSTADLANHLPTGTALAFQVLSPVLTNQGRCTDANRVMASCLLALCALSCWLLSFTDSFRDEATGRVRYGLATLKGLWVIDGLKPLPPELAAGYRLRLVDLLHAFTSLLVFAAVALLDKNVASCFYPTPSEDTRQVLSALPVGIGVIGSTLLVAFPTSRHGIGFPLSPDT >Ma06_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25215789:25237055:-1 gene:Ma06_g25020 transcript:Ma06_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRFYAIRRFQRSFQTPHLPSEYSISFGSFHKTDAIYSHFPCNLFTPRSSSHFLSSGLEGFVLRRSIVSCSCTISSILRPDASRDGSGFTSRSSTKVAEFTICFSGSRSMSSVKAPAGARQVALKVTMLSPGFVYEPYKPREPISFWRRWFTRSGWRRTKEDLIMEMKSAYAINRLRKVAGYSKKLFYQHTLRLYKEINTLLAKGDTSSLRKVVTEKMYSTLKNELKRRESMWSSVHWELVEPVVSVRTLRARMIALDKNDLDKAFIQMTLEITAKQRFEAYNAKGAVVSGDKTKEVLVRDIWVFERSLFHPGADWRLCARLSV >Ma06_p25020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25215789:25236898:-1 gene:Ma06_g25020 transcript:Ma06_t25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRFYAIRRFQRSFQTPHLPSEYSISFGSFHKTDAIYSHFPWFVLRRSIVSCSCTISSILRPDASRDGSGFTSRSSTKVAEFTICFSGSRSMSSVKAPAGARQVALKVTMLSPGFVYEPYKPREPISFWRRWFTRSGWRRTKEDLIMEMKSAYAINRLRKVAGYSKKLFYQHTLRLYKEINTLLAKGDTSSLRKVVTEKMYSTLKNELKRRESMWSSVHWELVEPVVSVRTLRARMIALDKNDLDKAFIQMTLEITAKQRFEAYNAKGAVVSGDKTKEVLVRDIWVFERSLFHPGADWRLCARLSV >Ma05_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7975525:7978280:1 gene:Ma05_g10980 transcript:Ma05_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIASFARLCVLILLPYGLQAQARSSNRTTPALIVFGDSVVDPGNNNAIVTTVKCNFPPYGKDFIDHKATGRFSNGKIPSDMIASRLGIKQLVPAYLGTELDAEDLLTGVSFASGGSGYDPLTSELVQAIPMSEQLNMFKEYMKKLEEIAGERKARDIISRSLYLVITGTDDLANTYFTTPFRRVEYDLPSYIKFVVETATSFVQELYNLGARRISVSGISPIGCLPSQRTLAGGIERECADLYNQAAVIYNSELSKELQRLNHSLPGSRILFIDMYTSLLDLMQRPEAYGFKETARGCCGTGIYEVTLTCNSFTAPPCEDVSKYLFWDTYHPTERAYNIIIKKILRQYPSSLN >Ma05_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9298334:9300972:-1 gene:Ma05_g12870 transcript:Ma05_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLHKTLLCATLLLLPLLLPRVPLVKASLGSQGRALLHWKATLKSPHSLRSWNLNSSPCNWTGIACNYSVTGRGRSAITEIHLPKMGLAGSLDALDFLTLRSLLRLNLSYNQLGGAIPSAISALSRLVFLDLTSNQFTSKIPVGMGSMKELRFLSLSQNQMVGAIPPSLSNFTNLVSLHLEDNKLVGVIPKELGRLQELRYLDIGVNRLSGSIPSSLGNLTRLYHLDLYQNQLTGVIPGELKNLINLVYLSIADNYLTGGVISSFGNLTKLQLFWLRKNKLSGSIPFEIGNLIEVTDLDFSKNLLTSSIPFSIGNMTRIERLDLSDNQLSGFIPLEIGNLIEVTNLSLLKNLLTGPIPSSIGNMTKLNILYLLDNQLSGFIPFEIGNLIEVIDLALLENLLSGPIPSSIGNMTDLRKLGLFDNQLSGHLPMEINNITGLTYLVLSNNNFVGYLPPDICNGGALQYLILSMNNFQGPIPTTLKNCTSLERVRLEHNQLTGDVSQCLGVYPHLYYMDLSFNQLSGNLSPDWARWHNLTFFRISNNNITGVIPTEFGQLTKLRELDLSSNYLQGEIPKSFGSLTLLYNLSLGDNQLVGRVPLEIGMLSNLELLDLSSNNLAGRIPDQLGNCMKLRSLKLNNNNFSGTIPLAIGNLVYLQDTFDISQNSLTGEISSQLGKLVMLQSLNLSHNSFSGHLPSSLTYMTSLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNNDLCGVVRGLPPCVSLGTPTKDDRSKRHKVVVIAIIASVVFFLLLFIFIAAALRFHKRKRPPVPVDDNHIKEVAFSILNFDGRDSLQSRVSKWGAASGEEDSPTRHRRYMRRATLSN >Ma03_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9518368:9522830:1 gene:Ma03_g12320 transcript:Ma03_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLLFSSLLLLILAAAAADVSVDSREERSALQRRGEGGAAATPGGLRFSGGRRGEFKILQVADMHYADGRSTGCLDVFPNQTATCSDLNTTAFVYRVIRAERPDLVVFTGDNIFGFDATDAAKSLDMAFAPAVTLELPWAAVLGNHDQESTLSREGVMRHIVRMRHTLSRLNPDGTDIDGFGNYNLEVYGSEGSSLANKSVLNLYFLDSGDYSTVPSIPGYGWIKPSQQLWFERTSSRLQKEYMSKPEAQKNAAPGLVYFHIPLPEYSSFDASNFTGVRQEGISSASINSGFFATMVEAGDVKAVFTGHDHLNDFCGKLTGIQLCYAGGFGYHAYGKAGWSRRARVVSAYLEKTVDGEWQGVKSIKTWKRLDDQNLSTIDSQVLWSKGNNGRRRKRSTKN >Ma05_p19180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26820953:26851690:-1 gene:Ma05_g19180 transcript:Ma05_t19180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRAITRVLSSHCRRSNKWLSLRQFPFAAFQLAYLSADSVAPAKRTYRRTAKPKPEADPLRPVATSPAFASREEESLDPRCPSEIPFQSKVANQVHLIGTVGVPVELQALPNGAYTAVSILASEKTKGFHQFWIPVKFQGDLAQVAACHLKVNDVIYVTGQLSGDAPPHAIEDAHTKLQVLAHSLNFVQSKYSDKADDNINEKDEPSLDLGKRHWDGHQRQHMAIVFNNHKNSWKSLVDNPNDWWDNRANKFNQKSPDFKHKKTGEALWLSSTTPQWVLTSLPPVNTEKKFERRMASSSPATILS >Ma05_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26820953:26851690:-1 gene:Ma05_g19180 transcript:Ma05_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRAITRVLSSHCRRSNKWLSLRQFPFAAFQLAYLSADSVAPAKRTYRRTAKPKPEADPLRPVATSPAFASREEESLDPRCPSEIPFQSKVANQVHLIGTVGVPVELQALPNGAYTAVSILASEKTKGFHQFWIPVKFQGDLAQVAACHLKVNDVIYVTGQLSGDAPPHAIEDAHTKLQVLAHSLNFVQSKYSDKADDNINEKDEPSLDLGKRHWDGHQRQHMAIVFNNHKSVKKMEKSAENLWDDLVLNPHNWLDNRLAKKKGSLHPNFPDFKHNETQKALWLNDAPSWVSAKLDGLVFRTTRMGEKSDGELPAQQKENSWKSLVDNPNDWWDNRANKFNQKSPDFKHKKTGEALWLSSTTPQWVLTSLPPVNTEKKFERRMASSSPATILS >Ma05_p19180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26820953:26851690:-1 gene:Ma05_g19180 transcript:Ma05_t19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRAITRVLSSHCRRSNKWLSLRQFPFAAFQLAYLSADSVAPAKRTYRRTAKPKPEADPLRPVATSPAFASREEESLDPRCPSEIPFQSKVANQVHLIGTVGVPVELQALPNGAYTAVSILASEKTKGFHQFWIPVKFQGDLAQVAACHLKVNDVIYVTGQLSGDAPPHAIEDAHTKLQVLAHSLNFVQSKYSDKADDNINEKDEPSLDLESVKKMEKSAENLWDDLVLNPHNWLDNRLAKKKGSLHPNFPDFKHNETQKALWLNDAPSWVSAKLDGLVFRTTRMGEKSDGELPAQQKENSWKSLVDNPNDWWDNRANKFNQKSPDFKHKKTGEALWLSSTTPQWVLTSLPPVNTEKKFERRMASSSPATILS >Ma08_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:865001:868139:-1 gene:Ma08_g00930 transcript:Ma08_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMRRMISRALLGPMAALGFRLSLLLLLSAVGYAGAAGACSSVAFSSNRVYAACSDLPRLSSSLHWSYDNASATLSLAFVAPPAKPEGWVAWAINPTGDGMTGSQALIAFHQPDGAMGVQTYNITGLGPIAKGPIDFKTWDLAAEYSGGVTRLYGKMKLAAGTTVVNQVWQVGSAVANGVPQKHDFQQDNLQSKGTVDLVKGAVSLSGGSTTRKRNVHGVLNAVSWGILLPIGAIFARYLKTFKSADPAWFYLHVSCQIIGYGVGVGGWATGLNLGSKSKGIQYTTHRNIGIALFSLATLQVFALFMRPSKDNKYRFYWNIYHYLVGYTVIVLGIVNVFKGLKILSIDHKWTVGYIIAICILGGVALFLEVVTWLIVLKRKSKNPTKPSDGSNSNGVQRPLSV >Ma03_p30700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33215402:33216480:1 gene:Ma03_g30700 transcript:Ma03_t30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLVAEPLRIPGLVARLLSDLTGAWASLALLVAGFAAFLSVLGRAKLAFLRYGRPRSSTSPPACYCSSGEDSDSDSDSDSPSDEDEKEEEEEDEAPSSSSDEHDSAPGSYWDDRGRDGGLDLTLGGAVVRTWEGLGIGFDRAGGLISLMDLDRGEVLRSFHAEFPAAAASLATPAVVVSAAEGAGAGGAAVTVWDARSAGQLTPAAAAEWWPILRRRVVGVAGLDGRVFVGDDGGVITAADLRRGRSPLVVETWRERRLPLLPSPK >Ma04_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6728987:6731241:-1 gene:Ma04_g09480 transcript:Ma04_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVGDVAYKALTAALGAATLYLGATFSVNLYRGISWHSAQSAKLEKEKSEE >Ma06_p27360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29386837:29394885:1 gene:Ma06_g27360 transcript:Ma06_t27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSWLWKRKSPEKSPGETESSGSVSSHSERYSDDQEGLRASPNDTSIHADSPEISSNNCDGEVHESVKRLTEKLSAALLNISAKEDLVKQHAKVTEEAVSGWEQAEAEVAALKQQLETAVQKNSALEDNISQLDGALKECVRQLRQLREEQEEKVCDSITKKTHEWDSEKQEFEKQLVELKAQLEDAKTEAVTLDHEFQARLEAVEKENMALKTELHSRSEDLQVLLLERELSNKAAETASKQHLESIKKVGKLEAECHQLRSINRRLSSVSNHKPIASSVCVKSLTDSQSDSSERLIGMDSEHGCSDLWASALIAELDQFKNDKGSSRNLTTSVEIELMDDFLEMERLVALPEIDHGSSGFGAEADSFQVVRRDSPPRVENEILHHRLIELEEKIERLEHEKAELEITLADSHNRLDMSCNLLTAAEDKIVELQREIDLADESKEMVRSEAMNLEGRWKELETQLESASSENVKLYARVSLLEESFEAERALSDELKSRIKISEDARQALDSQLKSAHLEARSLNEKVGLLECQVKEGRALSSELAAKEEALEATRKALESQLEHANSEVRMLQEKASFWELKAEEEMILSAEFAIKLEATEATRKKLELDLKSAHEFATEVEAAETAKKALETQLESAHIEVVKLSKKVVLLERQIDEERAMSAEFASKCHKLEDDLLRIKREADLWSVTNSNRERKIKQEKELALAAGKLEECQKTIASLNQQLKSLTTLDDLMFEAVQPEHNIGLANLSGTEADDLYHIDSSEVIDSFTISNGRERSSPQSSPRSLLSPSSSNLSEFMRTLSCSRSTTL >Ma05_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8983372:9014978:-1 gene:Ma05_g12440 transcript:Ma05_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQELEKTSGYLFWHHVGNFCIFMLLCCLKHSMVKGFTSETLRQESSGLIRNEAYGRNAVDSSEGQSCLSYLDNSGSCQDLKGFGTFDTTCLLNSSLNLDGDLCVYGSGNIEVFPHIAIICPVKGCSIVVNMSGSVKIGEYVNVMAGSVSFDARNLTLDHCATINTTSFGGSPPSQTSGTPIGHDGAGGGHGGRGASCLRSNKTNWGGDVYAWSTLSKPWSYGSKGGSTSAEKRYGGDGGGRIELKVSDTLQLDGFVTAEGGMGGLEGGGGSGGSIIIHALKLKGSGVISAAGGSGWGGGGGGRISLECYSIQDVKITAHGGWSIGCPENAGAAGTIYDNTLQSLRVSNDNFTTRTETPLLDFPMTILWSNVFVECNAKALVPLLWTRVQVRGQIKLIDGGSICFGLSDYPVSEFELVAEELLMSDSVIKVYGAFRMYVKMLLMWDSRIQIDGGGNNDVSTSMLEARNLVVLRHNSVISSNADLGVYGQGLLKLSGHGDGIKAQRLFLSLFYNIEVGPGSLLQAPLDENIGSSLATQSLCESQTCPKELLMPPDDCHVNDSLSFTLQICRVEDLTISGIIRGSIIHIHRARTVAIDADGIISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGSGFYNGLLIDGGRKYGDADLPCELGSGSSGSSESLENVAGGGMIVMGSIKWPLSTLEIYGSLKADGQSHLQSSRNYNGSLMGGVGGGSGGTILLFLQALILEENSSLSVAGGTGGPVGGGGGGGGRIHFDWSNIATGDEYVQIASVNGTIMSSGGTGSNGGYHGEEGTITGKKCPKGLYGTFCTECPVGTYKDVVGSNSSLCVPCSLDVLPRRANFIYVRGGVTQPSCPYKCLSEKYKMPNCYTPLEDLMHTFGGPWPFAILLSFLLVIIALLLSALRIKMIGSDLSYRSASSMQHDVSDSFPYLLSLAEVPGTSRAEETQSHVHRMYFMGSNTFREPWHLSYSPPNAIIGIVYEDAFNRFIDGINSVAAYEWWEGSVHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGSSPDLMVAYIDFFLGGDEKRLDIASTIQKRFPMCIIFGGDGSYMSPYYLHSDTLLTNLLGQYVSTAIWNRLVAGFNAQLRTVRQGCIRSALHPVVAWTNSHANFQLEHRGVRVELGWFQATASGYYQLGILVALNEYFFNNVHQSDMLDTSNSPRCARNMKNSSVSSKILKPLQQSQPCTSHTMSCKKLTGGVNGGIINEVTLKSLDYRRDYLFPLSLLLQNTRPVGFQETLQLLICIMLLGDFSVTLLTLVQFYWISLGAFLAVLLILPLSLLSPFPAGLNALFSRGPKRSSLARVYALWNATSIVNIMVAFVCGILYSGFSPTELGGNLDTSKLREDNGWWLLLIILLLIKSLQACLVNRHIANLEIQDLSLFSPDTEKFWAM >Ma05_p12440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8983372:9014978:-1 gene:Ma05_g12440 transcript:Ma05_t12440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFSVLKGGYLFWHHVGNFCIFMLLCCLKHSMVKGFTSETLRQESSGLIRNEAYGRNAVDSSEGQSCLSYLDNSGSCQDLKGFGTFDTTCLLNSSLNLDGDLCVYGSGNIEVFPHIAIICPVKGCSIVVNMSGSVKIGEYVNVMAGSVSFDARNLTLDHCATINTTSFGGSPPSQTSGTPIGHDGAGGGHGGRGASCLRSNKTNWGGDVYAWSTLSKPWSYGSKGGSTSAEKRYGGDGGGRIELKVSDTLQLDGFVTAEGGMGGLEGGGGSGGSIIIHALKLKGSGVISAAGGSGWGGGGGGRISLECYSIQDVKITAHGGWSIGCPENAGAAGTIYDNTLQSLRVSNDNFTTRTETPLLDFPMTILWSNVFVECNAKALVPLLWTRVQVRGQIKLIDGGSICFGLSDYPVSEFELVAEELLMSDSVIKVYGAFRMYVKMLLMWDSRIQIDGGGNNDVSTSMLEARNLVVLRHNSVISSNADLGVYGQGLLKLSGHGDGIKAQRLFLSLFYNIEVGPGSLLQAPLDENIGSSLATQSLCESQTCPKELLMPPDDCHVNDSLSFTLQICRVEDLTISGIIRGSIIHIHRARTVAIDADGIISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGSGFYNGLLIDGGRKYGDADLPCELGSGSSGSSESLENVAGGGMIVMGSIKWPLSTLEIYGSLKADGQSHLQSSRNYNGSLMGGVGGGSGGTILLFLQALILEENSSLSVAGGTGGPVGGGGGGGGRIHFDWSNIATGDEYVQIASVNGTIMSSGGTGSNGGYHGEEGTITGKKCPKGLYGTFCTECPVGTYKDVVGSNSSLCVPCSLDVLPRRANFIYVRGGVTQPSCPYKCLSEKYKMPNCYTPLEDLMHTFGGPWPFAILLSFLLVIIALLLSALRIKMIGSDLSYRSASSMQHDVSDSFPYLLSLAEVPGTSRAEETQSHVHRMYFMGSNTFREPWHLSYSPPNAIIGIVYEDAFNRFIDGINSVAAYEWWEGSVHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGSSPDLMVAYIDFFLGGDEKRLDIASTIQKRFPMCIIFGGDGSYMSPYYLHSDTLLTNLLGQYVSTAIWNRLVAGFNAQLRTVRQGCIRSALHPVVAWTNSHANFQLEHRGVRVELGWFQATASGYYQLGILVALNEYFFNNVHQSDMLDTSNSPRCARNMKNSSVSSKILKPLQQSQPCTSHTMSCKKLTGGVNGGIINEVTLKSLDYRRDYLFPLSLLLQNTRPVGFQETLQLLICIMLLGDFSVTLLTLVQFYWISLGAFLAVLLILPLSLLSPFPAGLNALFSRGPKRSSLARVYALWNATSIVNIMVAFVCGILYSGFSPTELGGNLDTSKLREDNGWWLLLIILLLIKSLQACLVNRHIANLEIQDLSLFSPDTEKFWAM >Ma05_p12440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8983372:9014978:-1 gene:Ma05_g12440 transcript:Ma05_t12440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFSVLKGGYLFWHHVGNFCIFMLLCCLKHSMVKGFTSETLRQESSGLIRNEAYGRNAVDSSEGQSCLSYLDNSGSCQDLKGFGTFDTTCLLNSSLNLDGDLCVYGSGNIEVFPHIAIICPVKGCSIVVNMSGSVKIGEYVNVMAGSVSFDARNLTLDHCATINTTSFGGSPPSQTSGTPIGHDGAGGGHGGRGASCLRSNKTNWGGDVYAWSTLSKPWSYGSKGGSTSAEKRYGGDGGGRIELKVSDTLQLDGFVTAEGGMGGLEGGGGSGGSIIIHALKLKGSGVISAAGGSGWGGGGGGRISLECYSIQDVKITAHGGWSIGCPENAGAAGTIYDNTLQSLRVSNDNFTTRTETPLLDFPMTILWSNVFVECNAKALVPLLWTRVQVRGQIKLIDGGSICFGLSDYPVSEFELVAEELLMSDSVIKVYGAFRMYVKMLLMWDSRIQIDGGGNNDVSTSMLEARNLVVLRHNSVISSNADLGVYGQGLLKLSGHGDGIKAQRLFLSLFYNIEVGPGSLLQAPLDENIGSSLATQSLCESQTCPKELLMPPDDCHVNDSLSFTLQICRVEDLTISGIIRGSIIHIHRARTVAIDADGIISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGSGFYNGLLIDGGRKYGDADLPCELGSGSSGSSESLENVAGGGMIVMGSIKWPLSTLEIYGSLKADGQSHLQSSRNYNGSLMGGVGGGSGGTILLFLQALILEENSSLSVAGGTGGPVGGGGGGGGRIHFDWSNIATGDEYVQIASVNGTIMSSGGTGSNGGYHGEEGTITGKKCPKGLYGTFCTECPVGTYKDVVGSNSSLCVPCSLDVLPRRANFIYVRGGVTQPSCPYKCLSEKYKMPNCYTPLEDLMHTFGGPWPFAILLSFLLVIIALLLSALRIKMIGSDLSYRSASSMQHDVSDSFPYLLSLAEVPGTSRAEETQSHVHRMYFMGSNTFREPWHLSYSPPNAIIGIVYEDAFNRFIDGINSVAAYEWWEGSVHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGSSPDLMVAYIDFFLGGDEKRLDIASTIQKRFPMCIIFGGDGSYMSPYYLHSDTLLTNLLGQYVSTAIWNRLVAGFNAQLRTVRQGCIRSALHPVVAWTNSHANFQLEHRGVRVELGWFQATASGYYQLGILVALNEYFFNNVHQSDMLDTSNSPRCARNMKNSSVSSKILKPLQQSQPCTSHTMSCKKLTGGVNGGIINEVTLKSLDYRRDYLFPLSLLLQNTRPVGFQETLQLLICIMLLGDFSVTLLTLVQFYWISLGAFLAVLLILPLSLLSPFPAGLNALFSRGPKRSSLARVYALWNATSIVNIMVAFVCGILYSGFSPTELGGNLDTSKLREDNGWWLLLIILLLIKSLQACLVNRHIANLEIQDLSLFSPDTEKFWAM >Ma10_p08270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22697970:22701769:1 gene:Ma10_g08270 transcript:Ma10_t08270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTHFFGTVEQDQYSHQQPLPLPLPLPQASTIPGSSAAAPQKKKRNLPGKPNPDAEVIALSPRSLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPEEVRRRVYVCPEPTCVHHDPSRALGDLTGVKKHFCRKHGEKRWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQERATLPAGINSIGSHLYANRGINLGLPLLNAQLSLQDQAYPSTDLVRLRASNGGSTQFDHLNATVFRQLQPPHPSPFYAGGSPNHGFNEDPQFASSSSSAAAAAGLFNLGFFSNSGGNTSGTQSGNLLGPDQYINNAGGVTEPTMLITGNLMSNHIDTNMSSLYNPSLHSEEPQMSATALLQKAAQMGATSSGGSRSSLLRGYSGSYSAGAGHASFESPGIHVESENHFQDIMNSLANGNNGVSGGVNQQVSGFSGFIPDSDKMDEGKLHHNLSAGGIGGPDRLTRDFLGVGSLMRSMVRERHLGMGMSIMDSEKKSGSSNRPFGGGRLP >Ma10_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22697970:22701769:1 gene:Ma10_g08270 transcript:Ma10_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTHFFGTVEQDQYSHQQPLPLPLPLPQASTIPGSSAAAPQKKKRNLPGKPNPDAEVIALSPRSLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPEEVRRRVYVCPEPTCVHHDPSRALGDLTGVKKHFCRKHGEKRWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQERATLPAGINSIGSHLYANRGINLGLPLLNAQLSLQDQAYPSTDLVRLRASNGGSTQFDHLNATVFRQLQPPHPSPFYAGGSPNHGFNEDPQFASSSSSAAAAAGLFNLGFFSNSGGNTSGTQSGNLLGPDQYINNAGGVTEPTMLITGNLMSNHIDTNMSSLYNPSLHSEEPQMSATALLQKAAQMGATSSGGSRSSLLRGYSGSYSAGAGHASFESPGIHVESENHFQDIMNSLANGNNGVSGGVNQQVSGFSGFIPDSDKMDEGKLHHNLSAGGIGGPDRLTRDFLGVGSLMRSMVRERHLGMGMSIMDSEKKSGSSNRPFGGGRLP >Ma10_p08270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22697970:22701769:1 gene:Ma10_g08270 transcript:Ma10_t08270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTHFFGTVEQDQYSHQQPLPLPLPLPQASTIPGSSAAAPQKKKRNLPGKPNPDAEVIALSPRSLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPEEVRRRVYVCPEPTCVHHDPSRALGDLTGVKKHFCRKHGEKRWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQERATLPAGINSIGSHLYANRGINLGLPLLNAQLSLQDQAYPSTDLVRLRASNGGSTQFDHLNATVFRQLQPPHPSPFYAGGSPNHGFNEDPQFASSSSSAAAAAGLFNLGFFSNSGGNTSGTQSGNLLGPDQYINNAGGVTEPTMLITGNLMSNHIDTNMSSLYNPSLHSEEPQMSATALLQKAAQMGATSSGGSRSSLLRGYSGSYSAGAGHASFESPGIHVESENHFQDIMNSLANGNNGVSGGVNQQVSGFSGFIPDSDKMDEGKLHHNLSAGGIGGPDRLTRDFLGVGSLMRSMVRERHLGMGMSIMDSEKKSGSSNRPFGGGRLP >Ma11_p21230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25474562:25475345:-1 gene:Ma11_g21230 transcript:Ma11_t21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPSTKSALTQIPLLSTRAGPRDGAAWTQRLKEEYRALIAYTTMNKSHDNDWFRISAANPEGTRWSGTCWYVHNLRRYEFALQFDIPVTYPATAPEIELPQLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGVLKHKDDESTSAES >Ma11_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25471265:25475345:-1 gene:Ma11_g21230 transcript:Ma11_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPSTKSALTQIPLLSTRAGPRDGAAWTQRLKEEYRALIAYTTMNKSHDNDWFRISAANPEGTRWSGTCWYVHNLRRYEFALQFDIPVTYPATAPEIELPQLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGVLKHKDDESTSAES >Ma04_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18609916:18610730:1 gene:Ma04_g17690 transcript:Ma04_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIRIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNFMEENCKLFLISDARAMRWVTFLESGSRW >Ma01_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10084235:10086198:-1 gene:Ma01_g13770 transcript:Ma01_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRWLVLFLSVFSFVSLRAPVAIAAASIARTIVVNLEGGGDFKSIQQAIESVPDNNNKWTKIHVAAGVYREKVNVKSTKSYVVLEGDGAQTTSIEWGDYNGDSSGHDTNTSATFTSYASNFVAKRITFKNTYNGFAKLTPAVAAWIFGDKSAFYYCSFIGFQDTLADTLGRHYFKGCYIEGVTDFIFGYGQSIYERCKISTVKSLEKPGYVTAQGRNNASDNSGFVFKWCTISGPQATYLGRAWKHYSRVIFYHTFMSAIIVPEGWYIWFSKGYEGVVTFAESGCTGPGSDLSGRVTWEKQLSDDELKKFIDISYIDGEGWLEAQPPLD >Ma01_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5081034:5083540:1 gene:Ma01_g07060 transcript:Ma01_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRSVGGDGGESIDVQGEDEGRKDGGSGGVPEESFLVRPSNFGIVDRGIYRSGFPAADNFRFLEGLKLRSIVYLCPEPYPEVNTEFVRSHGIRLFQFAIEGSKEPTVALPKDTIMMALRILLDVRNHPILIHCKKGKHRTGCLVGCFRKLQKWPLSSVFEEYNHFAASKARPSDLAFISNFDVSYKLRVLHIIYRFYGYGSRVKRLVYQDSSS >Ma10_p18290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29273706:29277108:-1 gene:Ma10_g18290 transcript:Ma10_t18290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISASRIAAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTNVEVHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGVNYQILSNPEFLAEGTAIQDLFTPDRVLIGGRETPEGSRAVQTLKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAFAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWRQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKASISIYDPQVTEEQIRRDLAMNKFDWDHPAHLQPTSPAAVKQVSVTWDAYAAAKGAHGVCILTEWDEFRELDYQRIYDNMQKPAFVFDGRNVVDAEKLRAIGFIVYSIGKPLDSWLKDMPAIA >Ma10_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29273706:29275945:-1 gene:Ma10_g18290 transcript:Ma10_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISASRIAAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTNVEVHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILTHNSKGVNYQILSNPEFLAEGTAIQDLFTPDRVLIGGRETPEGSRAVQTLKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAFAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWRQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKASISIYDPQVTEEQIRRDLAMNKFDWDHPAHLQPTSPAAVKQVSVTWDAYAAAKGAHGVCILTEWDEFRELDYQRIYDNMQKPAFVFDGRNVVDAEKLRAIGFIVYSIGKPLDSWLKDMPAIA >Ma07_p26660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33367342:33368305:-1 gene:Ma07_g26660 transcript:Ma07_t26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFILFSWPLIYIYIYIYIYIYIYIYIYIYIYIYIYNIDHLFLLSALKKEHNEIS >Ma05_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22753586:22755351:1 gene:Ma05_g18100 transcript:Ma05_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMKVKRISGIAKAVGIALCVAGVVMTALYRGSYIHPLNLHGSSGRHTSPTHQKAALPKTTWIKGTLFMITSNITWALWLVLQGMLLKEYTSKLLFTTLECLFGTFQSLFVAIAFERDSSKWKLQWDMGLLAILYSGFVVTGVCFYLQTFCVEKKGPVFSAIFTPLNIVFTMICSSVFLGEMISVGSILGGLLMVGGLYGVLWGKNKERALCQVSIEEGKAAHAGEGSNMA >Ma09_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2897620:2898958:1 gene:Ma09_g04420 transcript:Ma09_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGRCWVKGSAIGTGAFGVVHLALDESTGHAFAVKSVSLSSSPVASVQSLEREIQILRSLRSPYVVAYLGDDTSQEPRAGACRNLHLEYMPGGTVAESAAAAKAKGLPLDEIQVRAYARCVVRALRYLHDVAGVVHCDVKGRNVLLGRDRRVAKLADFGAAVRIADASRGGDGRGWVRGTPLWMAPEVARGERPTPASDVWSLGCTVIEMVTGAPPWPDMRTNDAAGAMLRIGYGGETPEFPARLSDVGQDFLARCFRRDATERWTAEQLLRHPFLAKESVITDPSPRGVLEWANTEFHDDDDDSIKERCTVSSSYDHQAEEMMNCARGRVRELALDGGAFGWCSDGWELIWCSESEEEEIVVEGMCEDRSSSGWWESGDGNGSAAAAVVVFVGAGVTAVMVVWAVSMCLEWGRVWSLGVITCRH >Ma10_p05860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17213155:17220410:-1 gene:Ma10_g05860 transcript:Ma10_t05860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKDSDWDVTLVIPWLSLKDQALVYPNKITFSSLVEHEAYVHWWLKEKADFISGFKITFYPGKFSKEKRSILPVGDITETIPDEVADIAILEEPEHLTWYHHGRRWKTKFRKVIGVVHTNYLEYVKREKNGQIQAFLLKYVNSWVIQIYCHKVVRLSAATQDLPRSIICNVHGVNPKFLEVGQMKHGLKVEGGQKAFSKGSYFIGKMVWSKAYTELLQLLSQHQNELSDLRVDLYGNGEDFDDIQKSFSKLTLGVRIYPGRDHVDSLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFRQFPNCHMYNTSNEFVQLTLRALVEEPLPLTDDLRHALSWEAATERFVEAAELNEVIREKTLLSPKPSMQMPSDDWRKSVEEASAFLHNTISGIEVARCAFGAIPETLQPDEQLCKELGLDFPEHKMFFRH >Ma10_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17213155:17220410:-1 gene:Ma10_g05860 transcript:Ma10_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKDSDWDVTLVIPWLSLKDQALVYPNKITFSSLVEHEAYVHWWLKEKADFISGFKITFYPGKFSKEKRSILPVGDITETIPDEVADIAILEEPEHLTWYHHGRRWKTKFRKVIGVVHTNYLEYVKREKNGQIQAFLLKYVNSWVIQIYCHKVVRLSAATQDLPRSIICNVHGVNPKFLEVGQMKHGLKVEGGQKAFSKGSYFIGKMVWSKAYTELLQLLSQHQNELSDLRVDLYGNGEDFDDIQKSFSKLTLGVRIYPGRDHVDSLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFRQFPNCHMYNTSNEFVQLTLRALVEEPLPLTDDLRHALSWEAATERFVEAAELNEVIREKTLLSPKPSMQMPSDDWRKSVEEASAFLHNTISGIEVARCAFGAIPETLQPDEQLCKELGLDFPEHKMFFRH >Ma10_p05860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17213155:17220406:-1 gene:Ma10_g05860 transcript:Ma10_t05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKDSDWDVTLVIPWLSLKDQALVYPNKITFSSLVEHEAYVHWWLKEKADFISGFKITFYPGKFSKEKRSILPVGDITETIPDEVADIAILEEPEHLTWYHHGRRWKTKFRKVIGVVHTNYLEYVKREKNGQIQAFLLKYVNSWVIQIYCHKVVRLSAATQDLPRSIICNVHGVNPKFLEVGQMKHGLKVEGGQKAFSKGSYFIGKMVWSKAYTELLQLLSQHQNELSDLRVDLYGNGEDFDDIQKSFSKLTLGVRIYPGRDHVDSLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFRQFPNCHMYNTSNEFVQLTLRALVEEPLPLTDDLRHALSWEAATERFVEAAELNEVIREKTLLSPKPSMQMPSDDWRKSVEEASAFLHNTISGIEVARCAFGAIPETLQPDEQLCKELGLDFPEHKMFFRH >Ma06_p25710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26189192:26189767:1 gene:Ma06_g25710 transcript:Ma06_t25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGGPIAMSHAYCLSGNTLSDNSTSLLQQGGFQLVYWSSLIVRLTNDLGTSKAEMERGDTPKSVQCSMNESGETEWAAIERIRDMLSHSWKKLSEECWRTQLSRGFADMVLNMARTSQCIFQHGDGIGTSNGVTKNKITSLFVEHFSV >Ma05_p30990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41133263:41136223:-1 gene:Ma05_g30990 transcript:Ma05_t30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDHHHHPSTLLSMDPSGISQPPLMAASVHDDHDHELPNRQHQQIHLSGPPDINLPLSDDHSPPKQSWNSCDVLDVSLETQTYDPEIMLGLPKAGTVHAAGRKCAKRGNNIWGAWFFFNFYFKPVLSEKSKGKNIRNANGILGFDKSDLHHDVFLVQHDMENMYMWVFKKRPENALGKMQLRSYMNGHSRLGVPQFPFSIDKGFVRSHRMQRKHYRGLSNPLCIHGIEVVWSPNLLVVPEVDLKKWLELTGRDLNFSIPPEAGDFGAWRNLPGTDFELERPPPPLKSTSHPNSRKLLNDTGLKLSTQPSNHACGDSMDLSPKCSKRRKEISPLDTDEDCCLSSYSYLDRPEIHPAVPSWVNEFTGAMRHACGPVTAAKTIYEDEGGYLIMVSLPFSDQQKVKVSWKNNLTHGVVKISCVSTARMPYIKRHDRTFKLTDPSPEHCPPGEFVREIPLATRIPEDAKLEAYYDETGTLLEIMIPKYRVGPEEHEVHVSMHPPHLGSNELLSSRTP >Ma07_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22617639:22618619:-1 gene:Ma07_g17950 transcript:Ma07_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPPSPVALRPATPSSAIVVPMSFSLHRLFSAPRRLCSLRLASPSFRGCRRGATRAVIMDTAASRYANALADVARSNGSLEASITDMEKVDRLFADPEVQSFFANPIVAPDRKAELVKEIAASCELRPHTANFLNILIEMRRIDIVKEIAREFEACCNRMTNTEVAIVSSVVRLEPQDLAHIAQAVQRLTGAKNVRIKTVLDPSLIAGFTIRYGSSGSKFIDMSVKKQINDIASQLDFSFTSHSLD >Ma10_p00810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3062762:3065569:1 gene:Ma10_g00810 transcript:Ma10_t00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALKSLTLDYLNLLINGQAFSDVTFSVEGRFVHAHRCILAARSLFFRRFFCGTDPPSPGLLSSPRRVAASPGTAGGGGTVIPVNSVSYEVFLLILQFLYSGQASVVPQKHQPRSGCGERSCWHTHCTAAVDLALDTLAAARSFGIKQLEQIIEKQLAVMVEKASIDDVMKVLVASRQQDLQQLWTTCSHLVAKSGLPAEVLAKHLPIDVVARIEELRFKSSLVRRSSFVAHHHHQQVDVAGSSADIDDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNRPAGPSGRTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPIDILRTLTSEFLFKGAVPALSHIEPNKLRLCLELVQSAALVMTREEANNCGAESNPSTSLFPQMSPEAGSCNASCSRSSMIDLSPDSRMVYLNLGMAEQFGCKMNDGGGDENSSSRSQGGGGGIGPSSMYPSQGFP >Ma10_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3062762:3065569:1 gene:Ma10_g00810 transcript:Ma10_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALKSLTLDYLNLLINGQAFSDVTFSVEGRFVHAHRCILAARSLFFRRFFCGTDPPSPGLLSSPRRVAASPGTAGGGGTVIPVNSVSYEVFLLILQFLYSGQASVVPQKHQPRSGCGERSCWHTHCTAAVDLALDTLAAARSFGIKQLEQIIEKQLAVMVEKASIDDVMKVLVASRQQDLQQLWTTCSHLVAKSGLPAEVLAKHLPIDVVARIEELRFKSSLVRRSSFVAHHHHQQVDVAGSSADIDDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNRPAGPSGRTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPIDILRTLTSEFLFKGAVPALSHIEPNKLRLCLELVQSAALVMTREEANNCGAESNPSTSLFPQMSPEAGSCNASCSRSSMIDLSPDSRMVYLNLGMAEQFGCKMNDGGGDENSSSRSQGGGGGIGPSSMYPSQGFP >Ma01_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11973624:11974431:-1 gene:Ma01_g16520 transcript:Ma01_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGRGKRPCQTTPPTSKPAAEADDQEEAVEEFYALLENIRAMRDLIRTSDDRKRQKTEATPPWRPTFALEDFREREEPRATAESALNPSKVEERGKGKEKREVGEEENSVDLSLSL >Ma04_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3708386:3713123:-1 gene:Ma04_g04880 transcript:Ma04_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTITLKSSDGEVFEVDEAVAMESQTIKHMIEDDCAENGIPLPNVTSKILAKVIEYCKKHVDASAAASSKSPDDASKLADDELKSWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Ma02_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14368208:14368512:1 gene:Ma02_g03000 transcript:Ma02_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDSFHAHRISRPMRWSTKTEWALYFSSVNETKNWILKASSYYISEYKFRAIQKCYLNWWHSKGSLIPTSHQS >Ma06_p37530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36547553:36550718:-1 gene:Ma06_g37530 transcript:Ma06_t37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKVCDAEGEMGPPADAAMVAAAAPPSKKMAADFLMGGAAAVVAKSGAAPVERVKLLLQNQGELMRRGYLTMPYGGIRDCFARVLREEGVLAFWRGNQANVIRYFPTQAFNFAFKGYFRSLFGRSKEKDGYIKWLAGNVASGSAAGAMTSMLLYHLDYARTRLATDAIESKANSQRQFKGLLDVYRKTVASDGVAGLYRGFGVSIMGITMYRGLYFGIYDTLKPVVLVGPLEGNFLASFILGWSVTTFSGICAYPFDTLRRRMMLTSGQPAKYKNAYHAMRMIVYREGSFALFRGAAANMLSGMAGAGVLAGYDQLQRITSGHGYGLEHKLREGLK >Ma03_p27660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30962483:30965737:-1 gene:Ma03_g27660 transcript:Ma03_t27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSNVPNPAKAEQSYPRFSLSSLGFGPPAPSKDPLPPPPPAVEVLPCEEESSEPKSKVEPIVIDDGLTLLKGRVSTFDVFGVANSDLVPGKYEGGLKLWEGSLDLVKKLHSEIEEGVLMLRGKRVLELGCGHGLPGIFAGLKGAAVIHFQDFNAEVLRSLTIPNVTVNLKKELNQQQPLLTSKTSTSITADVRFFAGDWNEIHQLLPYVCSADQRKATNGGLEEKSCDGYDVILMAETVYALSSLHCLYELIKRCMHRPSGVVYMAGKKHYFGVGGGTRQFVNLVEEDGILTACLLAEVADGSSNVREVWKFMFK >Ma03_p22730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27446725:27470595:1 gene:Ma03_g22730 transcript:Ma03_t22730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNKLNKEEIAEDYCFTCKDGGHLRVCDFKNCLKAYHPQCVGKDPSFMESDERWTCGWHSCFICQKASALQCYCCPNSVCYSCIKEAEFVQVKKRTKGFCNNCLKLAILIEENIDVDSDGGKVDFRDTETYEFLFKDYWEIIKDQEGLTLIDLQAANALLKRGENYKGGSDSDKLEEEDVESEGVKKALQTYKVGTMSTDVVLRVSNYHADVQLFFLSDDDFDEDECEDLLQLANKGLFKRPTVAELEKKIRSVHADIMNHWIDKEVLKLQKLIDRANEKGWRRELFAYIDEREKLRTSEERKRLLQEIPTVVADISQTKVKTTNGPPISSECQLPKAADVNNSGSGHVIEIEEDHGVQDSKITVNMRISDHVDDEDKTWHYVDPSGNEQGPFDMVSLRYWMREGFFDEDFKVWKTGQSREDAILLTDALRLYQ >Ma03_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27446725:27470595:1 gene:Ma03_g22730 transcript:Ma03_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNKLNKEEIAEDYCFTCKDGGHLRVCDFKNCLKAYHPQCVGKDPSFMESDERWTCGWHSCFICQKASALQCYCCPNSVCYSCIKEAEFVQVKKRTKGFCNNCLKLAILIEENIDVDSDGGKVDFRDTETYEFLFKDYWEIIKDQEGLTLIDLQAANALLKRGENYKGGSDSDKLEEEDVESEGDDLEINSDDGLSFLEDLKGRCGRMKKPIKRSRSKKKVFISWGSVELINFLISVGRDTNEPLTLLDACEIIKDYIDRNNLHDPDNKKKKNVICDERLYALFRKRKVKFHKIESLLESHFATNDDSDEEISFSSEDGDTFGRRKKQNTGYDEHKLQPKEYKEDISAAPKSCYASIVRKNINSVYLKRSLIMEFLKSPDTFEEKVTGCFVRVKVDPEDFYFVPEKRYKLGQVTGVKKALQTYKVGTMSTDVVLRVSNYHADVQLFFLSDDDFDEDECEDLLQLANKGLFKRPTVAELEKKIRSVHADIMNHWIDKEVLKLQKLIDRANEKGWRRELFAYIDEREKLRTSEERKRLLQEIPTVVADISQTKVKTTNGPPISSECQLPKAADVNNSGSGHVIEIEEDHGVQDSKITVNMRISDHVDDEDKTWHYVDPSGNEQGPFDMVSLRYWMREGFFDEDFKVWKTGQSREDAILLTDALRLYQ >Ma07_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4266460:4266636:-1 gene:Ma07_g05900 transcript:Ma07_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATRKKKCNKRKSRSKFQLLSEAFLWRTQLKQCLGILGFEIHLSKTRYMKHIFKESC >Ma07_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1699901:1701039:-1 gene:Ma07_g02190 transcript:Ma07_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRSKLWSASGAVAMAIMTVSTVALALHTSVYLWRLMVFEAVGKEIRVAAAKLLAGLSLCILMAITAAVLYIRDSRRNSRRRRRLHDVREMQPERLMNSVLPLTSLGRRGPSNH >Ma05_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31802936:31822825:-1 gene:Ma05_g20220 transcript:Ma05_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLETRVLAYYKRKPQDNVVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLCVYNKKEKYHRITMAAFNIQEALIWKEKIELVIDQQDSTTATGNKIFSSFPYKSAVDNGRNVSSSDRDSQCSPEEEEEEESHPTLSRRTTIGNGLPDSILDWTRDVDSGLSNDNNSDQVFSRKHWRLVRCQNGLRIFEELVEVDYLPRSCSKAMKAVGIVEATCESIFQLVMRMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHVNCSPQPGFVRAHVESGGFKISPLKPRDGRVRTQVQHLMQIDLKGWGVGYLPSFQQHCLIQMLNSVAGLREWFSQTDENHDVSKIPLMVNMTAQTISIKKDRKTQENSVHPNSSLYHMQAASRHSVILDEDSDEDEDYQIPEPEQEAYPVKLENDLKKAVLDEEPSESIDLSEFSGNLRHDDRDKSRNCWRISDGNNFRVRSKHFIYDKTKIPGGRHLMELVAVDWFKDAKRIDHVARRKGCAVQVASEKGLFSLVINVQVPASTHYSMVFYFVSKPLVPGSLLQLFVDGDDEFRNSRFKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCTYIRGSEYLEIDVDIGSSTVANGVLGIVFGVITTLVVDMAFLVQANTYDELPERLIGAVRVSHVELSSAIVPSFEANSPDG >Ma02_p06490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17478841:17485490:-1 gene:Ma02_g06490 transcript:Ma02_t06490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPNPAADAKPAGAGRFRGLGMHHLADEHHVLPSVILPAQIDDPSAVGRSGELIAAADAQTLQQYHEADHSSGSHGMEEDGVGAVENEGMETEEPADPSHLGDAQGLIAPQVGGNQLTLSFQGEVFVFDSVSPEKVQAVLLLLGGREMQTSLNPFPSSSMQNKRMNVPHRVASLMRFREKRKERNFEKKIRYTVRKEVALRMQRNRGQFTSSKSKTEDSTGGATTSDANQNWGSPENKIPAASACHHCGINAKSTPMMRRGPDGPRTLCNACGLVWANKGIMRDLSKNPSPAILNASPEPKEGQNNSAEAAISQSIAVAANGHDTS >Ma02_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17478841:17485490:-1 gene:Ma02_g06490 transcript:Ma02_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPNPAADAKPAGAGRFRGLGMHHLADEHHVLPSVILPAQIDDPSAVGRSGELIAAADAQTLQQYHEADHSSGSHGMEEDGVGAVENEGMETEEPADPSHLGDAQGLIAPQVGGNQLTLSFQGEVFVFDSVSPEKVQAVLLLLGGREMQTSLNPFPSSSMQNKRMNVPHRVASLMRFREKRKERNFEKKIRYTVRKEVALRMQRNRGQFTSSKSKTEDSTGGATTSDANQNWGSPENKIPAASACHHCGINAKSTPMMRRGPDGPRTLCNACGLVWANKGIMRDLSKNPSPAILNASPEPKEGQNNSAEAAISQSIAVAANGHDTS >Ma02_p06490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17478848:17485457:-1 gene:Ma02_g06490 transcript:Ma02_t06490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPNPAADAKPAGAGRFRGLGMHHLADEHHVLPSVILPAQIDDPSAVGRSGELIAAADAQTLQQYHEADHSSGSHGMEEDGVGAVENEGMETEEPADPSHLGDAQGLIAPQVGGNQLTLSFQGEVFVFDSVSPEKVQAVLLLLGGREMQTSLNPFPSSSMQNKRMNVPHRVASLMRFREKRKERNFEKKIRYTVRKEVALRMQRNRGQFTSSKSKTEDSTGGATTSDANQNWGSPENKIPAASACHHCGINAKSTPMMRRGPDGPRTLCNACGLVWANKGIMRDLSKNPSPAILNASPEPKEGNNSAEAAISQSIAVAANGHDTS >Ma06_p30050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31385563:31387517:1 gene:Ma06_g30050 transcript:Ma06_t30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSMDFLSLSSFAHPSTSILQQKRDSNTNHDSYSRSHPSADHLPVGASTRAVEDQAFSLLRSCVSFPRLTQIHARIVRHAIYSSNLVAAKLVSACFSLDRPSYADRVFAHVPRPSPFLWNSMIKGYVGLGRHCDALLVFDHMPDKDVVAWNVMLSSYACLDLSESMIAFHRMQLDGLKPDKVMAVTMLSAIADLGMLDSGKWFHEYVKKNEIAIDAYVGNALVDMYAKCGSIQDARLVFDEIIRKNISCYNSMIFGLAAHGLGEEALEIFTDAERTGIGIDDITMTAVLTACSHSGLVEEGLKYFKTMQNVYGIEPKTEYYGCIVDLLGRAGRFDEAMQIIEITEDDSFILGTLAAACRTHGNLGLANELVKNISKLDPTNCGFLVLQANAEAASGRWEEAVNVRRLIKDTRIKKRPSCCWIEVGNEVHEFVASDNSHLNSVKSARYIC >Ma10_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29174231:29175085:-1 gene:Ma10_g18070 transcript:Ma10_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGRGSAGGQVTVVLWVAVLSLLLAQVAEAATYTVGDTRGWSFNMDSWPRGKRFRAGDVLVFKYNPLVHNVVAVNAAGYNGCSTPRGSRVLTSGKDRVTLARGRNYFICNSVGHCQSGMKMAIVAA >mito3_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:728134:728463:-1 gene:mito3_g00120 transcript:mito3_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNLPLRHYYLIFHSGIPTNNHKGPGEQPCRSFSSLTLHISPTAPAAAPEQRNCYNYVITYFLLLPRNVSSYLPSALASSSCLASNSNSHRGSTTSRRTDSTRTAYHL >Ma01_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18030036:18032413:1 gene:Ma01_g20420 transcript:Ma01_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRTSASLTADEIDCQKEPSLSGKSSSSGYFSTVFPPTSTVMAKGLSQLDLYWTLNKQSTDTNTGSAQRKTTENQKKGSSSRSKSIKEGIYPSESTELAYFGSSVHYGARDFYAASSATNTSVNPINHFKTYGEDESGNSNVATRGEWWQVFYGKTLSDLILNRVARKLLMPPLLQDQLGIL >Ma11_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5700835:5708997:-1 gene:Ma11_g07120 transcript:Ma11_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MASFVSPSPPPTHSPLSFRVRSIPSPSLFLLHSLPLGPRLRSAALMLHAKIRAGPARAAADDPKAGVAVYKPRSYEVLVSDAARSLVCAVDDGKTRLEIDFPPLPSSISSYKGNSDEFIDANIQLVLAVARKLQEMKGTRTCIVFPDQPEKRRASQLFRAALDTIGSVTFGSLDDIPSGPVTSFFKSIRNTLDFDFNDDTEASLESSEPPALYIFINCSTRDLSAIEKYVEKYAASVPALLFNLELDTLRSDLGLLGFPTKDLHYRFLSQFVPVFYIRTREYSKTVPVAPFIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESATRFTLGEAKEELLRVLGLQEEQGSSLEFLRRGYKTLAISQVGLPAAHRLIEAF >Ma11_p07120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5700065:5708997:-1 gene:Ma11_g07120 transcript:Ma11_t07120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MASFVSPSPPPTHSPLSFRVRSIPSPSLFLLHSLPLGPRLRSAALMLHAKIRAGPARAAADDPKAGVAVYKPRSYEVLVSDAARSLVCAVDDGKTRLEIDFPPLPSSISSYKGNSDEFIDANIQLVLAVARKLQEMKGTRTCIVFPDQPEKRRASQLFRAALDTIGSVTFGSLDDIPSGPVTSFFKSIRNTLDFDFNDDTEASLESSEPPALYIFINCSTRDLSAIEKYVEKYAASVPALLFNLELDTLRSDLGLLGFPTKDLHYRFLSQFVPVFYIRTREYSKTVPVAPFIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESATRFTLGEAKEELLRVLGLQEEQGSSLEFLRRGYKNATWWEENTDLELSSAWRS >Ma08_p13120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10409496:10424107:-1 gene:Ma08_g13120 transcript:Ma08_t13120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNSLRSLPTSSAPPSPVPRGRSSRPPTLNLGVIRPHHLRVNVARSACSKSSASPEKTGAEVQDQKSEIYSSDMTKAMGAVLTYRHELGMNYNFIYPGLIVGSCLQTPSDVDKLRKIGVKTIFCLQKDSDLEYFGVDIGAIREYAMQCGDIEHLRAEIRDFDAFDLRMRLPAVVSKLYKAINRNGGVTYVHCTAGLGRAPAVALAYMFWVKGYELNEGHQLLQSKRACFPKLAAIKSATIDMLIGLSKNHVTLMWKGNECSSVEVSGLDIGWGQRIPLKFNEEEGVWILEKNLPEGRYEYKYIVDDKWLCNEHELLTSANNDGHVNNYVEVSSSDTSVEAMGLRKRLASKNVDLTKEERKMIRDFLESYNA >Ma08_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10409496:10424107:-1 gene:Ma08_g13120 transcript:Ma08_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNSLRSLPTSSAPPSPVPRGRSSRPPTLNLACSKSSASPEKTGAEVQDQKSEIYSSDMTKAMGAVLTYRHELGMNYNFIYPGLIVGSCLQTPSDVDKLRKIGVKTIFCLQKDSDLEYFGVDIGAIREYAMQCGDIEHLRAEIRDFDAFDLRMRLPAVVSKLYKAINRNGGVTYVHCTAGLGRAPAVALAYMFWVKGYELNEGHQLLQSKRACFPKLAAIKSATIDMLIGLSKNHVTLMWKGNECSSVEVSGLDIGWGQRIPLKFNEEEGVWILEKNLPEGRYEYKYIVDDKWLCNEHELLTSANNDGHVNNYVEVSSSDTSVEAMGLRKRLASKNVDLTKEERKMIRDFLESYNA >Ma04_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21805645:21807396:-1 gene:Ma04_g19230 transcript:Ma04_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGGLRGFMREKKRDIGKAISKWFNFHRIPANTAQGPYYHSIISSIQKSGTGIQPPTPKEIYGVYLDEEVAELKDWIKSFKRQWDEYGVTLMCDSWTGPTRMSIINFLVYCNRRVVFHKSVNASEKIQDANYIESLMDTMVEEIGPQYVVQIITDNGANFKKVGLQLMEKRKTLFWTPCTAHCIYLMLKDIDELDAVKKCVARAQSITKFIYNHHWVHALMQKYVNGEILRPGITRFATNFIALKSLQQKRHGLKAMASSQEWSESRYSKLSDGKKIEKAILSSRFWETIAEIIKGVEPLYIVLRKVDMDKRPQMPYLKYMLISTREEVRKAFKDDFKADQYVRIIDRRTEVHMDQDIHNAAYYLNPTIQYRYALGTQNNFLTTLRNVIYHLLPNTTEAADALMEGRLFRETVGSFSDIVAVSCRYTMDPVEWWLQFGGDAPHLRKVVVRVLSPTTTSSGCEQTSICPL >Ma06_p26640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28473308:28474002:1 gene:Ma06_g26640 transcript:Ma06_t26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRTVSGSYFWDLIQKLNSESRQLEAIKFVHALDLSDKYPPNEAISKELAAVKAVIKTVEECKLESEFSCEDLQKRITRLEQQKADKKRTARAATATNSRTSKQQQHPSNKRPRSSTTLSYPVRSHPLPSCAQNQSHLGLTER >Ma06_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:322813:331218:-1 gene:Ma06_g00410 transcript:Ma06_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVLVSDQWLQSQFTQVELRGLKSKFLSTKRETSHVMVGDLPPLMGKLKGLNQVVTEQEIADILAESYPDTTHELDFEAFLRVYLDLQEKAATKLGGAKNSSSFLKATTTTLLHTINESEKESYVVHINNYLGEDPFLKKYLPLDPTTNELFNLITDGVLLCKLINVAVPGTIDERAINTKEVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLNLKKTPQLMELVDDSKDVEELMSLAPEKMLLKWMNFHLKKAGYKKPITNFSSDLKDGEAYAYLLNALAPEHSSSATLEIKDPGERAKRVIEQAEKLDCKRFLNPKDIVEGSPNLNLAFVAQIFQHRNGLSADNENLTLSQMMPDDIQDSREERAFRLWINSLGIVTYVNNLFEDVRNGWVLLEVLDKVSPGSVNWKQATKPPIKMPFRKVENCNQVIEVGKQLNFSLVNVAGNDIVQGNKKLIVAYLWQLMRFNILQLLKNLRFHSQGKEISDSDILNWANNKVKDSGRSSQIESFKDKNLSSGIFFLQLLSAVQPRVVSWKLVTKGETDEEKKMNATYIISVARKLGCSVFLLPEDIMEVNQKMILTLTASIMYWSLQQPAGSSEQAESVAEASEVSADDAASQNGEDRSSVAESMSNLAVDDAASDITIGE >Ma04_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26940062:26942800:-1 gene:Ma04_g25130 transcript:Ma04_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/TrEMBL;Acc:A0A178WDP8] MKATTVLTMTTTVTVHRLSNLLPSLPFPSNATGALCLRPNSLRFSSTPTPYHPRRSRRSPLLGLQKRTLTRVSAGGWFSGFGAKKSKGTALPEIVKAGDPVLHEPADEVPPREIGSEKIQNIIDDMVSAMRKAPGVGLAAPQIGVPLKIIVLEDTKEYISYAPKNEIEAQDRRPFDLLVIVNPKLKKKSNKTAFFFEGCLSVDGFRAVVERYLEVEVTGLDRNGHPIKIDAIGWQARILQHECDHLDGTLYVDKMVPRTFRTVENLGLPLAMGCPPLSVR >Ma09_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5263594:5265690:-1 gene:Ma09_g08020 transcript:Ma09_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTVTDIEHGDHERRGTVWTATAHIVAALIGSGVLALAWSVAQLGWIVGPLVLLGFSCVTYYTAVLLANCYRFPDPVDGTINRAYIDAVRSYLGPKHVFLCGCAQYVNLWGTLVGYTITASTSMIAVKRANCFHRNGHSARCDASGNTFMVVFGLFQLVLSQFPSLENITWLSVVAVATSFGYSFIGLGLCMGKWASHGEFRGTLAGTSDVAASDKAFDVLLALGNVAFAYTFADVLIEIQDTLKSPPPENKSMKRATFNGIGLTTVFYLLLGCIGYAAFGNDAPGNILTGFGFYEPFWLVDIANICVIVHLIGAYQVYAQPIFARFENYLLFQWPDNKFIRKTYSVRVPFMEVGGSWSFTLSKLVLRTIFIMFTTLVAMLLPFFNAVLGLIGALGFWPLAVYFPVSMHMAQEKIQRGALKWFALQGLSFFCLLVSVAASIGSVADIVHNLKAAAPFKTVY >Ma03_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8393202:8396049:1 gene:Ma03_g11100 transcript:Ma03_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAGKETFLGYSQDRVNPIFCHRAIMTTSSGIWIGDNPLNFTLPTLIFQIVMVFFIYRATHAVFRLFGQPIHVSQIVAGIILGPSILSRDAKFAKSIVSQKNYESVVTISIITYMLFFFVIGVKADLGVIPKVGKKAVAIAIFSTLLPIVFIYITALCLRHKIPPRFIQGQLILLLTDTWCITSYPVLSCLLSELNLLSSKLGRLAMSATLIAAIVHVIANSAIVTYQLAIKIGNPLQGVTALVSFFALMGLILLVLRPIVLWLIRRTPEGALLDQVSFVAVVTMAMACGMLSQMIGFDLIVGPFFFGLVLPGGPPLGSTLAERMDRLVMGLFLPMSMAFVGIRTDLTSVVDIKVWWLFETFVLVITVAKFVGVILPCLYCRMPPRETVSLGLMLSAKGLSEVYSLIMWAENFLLERQEFSMVVITILILGGITTPLIKYLYRPEDRYVAHKRRTLQHANPGDELRVLTCVHLQDNVKPIITLLEASGTSPDSPICTYLIHLIQLVGRTDTVLHPHKRHNHSSSATALSETDHIVNAFRLFEQEHPDGLSVLPYVCISPYNTMHDDICSLALDKKVTLVILPFHKSALADGSISFVSPSVQAVNVNVLQYAPCSIGILVDNGFPGRWSVIRRVAVYFLGGADDREALAYAMRMAKNAAVGLTVVRFLAPKELREEGQEERMDDKMLEYFQHQTVDGKRVVYKEQVVKDGEETVAVIRETSPEFSLLIVGRREGKESLLTSGMSIWREYPELGVIGDLLASTNFGGRVSTLVVQQQVRVTGAAAQAADGPKVAPTVVQVEPEPDNY >Ma04_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24133028:24139253:1 gene:Ma04_g21620 transcript:Ma04_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAFFLLHPHLGLLSSPPNPNVAFSSSSSHLKLRVRVRTPTPRTLARCSTRESPMPPRPDVDYPAAVDCVGTGADVECYAVDAADEGDQLLPPPKNPPLSFEIARGGDEGDPVAGLLGKALDWALLVSPFFFWGTAMVAMKGVIPKTGPFFVSAVRLIPAGAVLIGFAAARGRKLPAGSLAWLSIFLFGVVDAACFQGFLAEGLQKTAAGLGSVIIDSQPLTVAILAAILFGESIGAIGVAGLVLGVIGLLLLEVPALSFEGSNSTIWGSGEWWMLLAAQSMAIGTVMVRWVSKYSDPVMATGWHMVIGGLPLLFISVLNHDPAMNGRLVDLTSSDILALCYTSLFGCAISYGVFFYNATRGSLTRLSSLTFLTPMFASIFGYLYLGETFSPLQLVGALVTILAIYMVNYRGISDKA >Ma02_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17419283:17419772:-1 gene:Ma02_g06420 transcript:Ma02_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAVPRGDAIFLKLILHDRSDENCVKLLNNCWKALPEKGKVIVVECVLPAFQGIFQLDLCMATYNIGGKERTEEELQGLARDGGFTGFKALHLFADTWVMEFTK >Ma02_p17670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24485461:24496433:1 gene:Ma02_g17670 transcript:Ma02_t17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVKKNPIVFLDVSVDGNAARRMVFELFADIVPKTAENFRALCTGEIGFGLMTRKPLHYKGSIFHRIIKGFMAQGGDFSRHNGTGGESIYGGKFADENFMLNHDGPGLLAMANAGRDTNGSQFFITFKAAPHLDGKHVVFGKLILGQETLRDMENVDVDGDRPVVPVKIVSCGELNESAAALHENEKKKNAKLKRVRDASDDDHEGRSRGQHKKSSKRRKKKKRRHYSSQSDSSSETETESSEIDSDSESDTSSASDSSGSSDDRRQKRKKYSKRDKYKRGKRKRDRKREKRHRRHDKKSRHKAKRTPDSESETESKNDSSSDAAGNDRRRERKSKVTSHKSDDKQSPVPLGRENTIECPDNGDKPDKPLGEEPKSQGENGELQSSDIREPTDRDMDRLLGSDDIPNKSRSQITRRNHSMSKSMSISPRSPTQSPSLSARRSLSRSPTTRDLSRGPVHPPRRSSTSRSPPQRSISRSPSGRNARSPIRKVVSKGPVSQTRRSKSRSPVKVDSRSVSRSSAGSLQQRNPSGNMDKAPIQRSLSRSPIMEKQRSISRSSGKLLQQRSPSKSPVRARRSVSRSPVKSNGRSKSRSPVRAHSRRSISRSPVRRAISPSSNRRRSLSRSIPPDGSPKRIRRGRGFSQQYSFARRYRTPSPDRSPNRFHRYGGRSDRDRYSSYRSYHNRSPRRYRSPQRGRTPPRYRNRRSRSVSRSPAARPRVGYSMNSAHSRSPASEKPRPHGTRDNVRSEKHQSVSRSRSPSGSRSRSRSRSRSSADTPSPKRVSKEKSRSPSSSSGGRKGLVSYGDASPDSDGK >Ma02_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24485293:24496433:1 gene:Ma02_g17670 transcript:Ma02_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVKKNPIVFLDVSVDGNAARRMVFELFADIVPKTAENFRALCTGEIGFGLMTRKPLHYKGSIFHRIIKGFMAQGGDFSRHNGTGGESIYGGKFADENFMLNHDGPGLLAMANAGRDTNGSQFFITFKAAPHLDGKHVVFGKLILGQETLRDMENVDVDGDRPVVPVKIVSCGELNESAAALHENEKKKNAKLKRVRDASDDDHEGRSRGQHKKSSKRRKKKKRRHYSSQSDSSSETETESSEIDSDSESDTSSASDSSGSSDDRRQKRKKYSKRDKYKRGKRKRDRKREKRHRRHDKKSRHKAKRTPDSESETESKNDSSSDAAGNDRRRERKSKVTSHKSDDKQSPVPLGRENTIECPDNGDKPDKPLGEEPKSQGENGELQSSDIREPTDRDMDRLLGSDDIPNKSRSQITRRNHSMSKSMSISPRSPTQSPSLSARRSLSRSPTTRDLSRGPVHPPRRSSTSRSPPQRSISRSPSGRNARSPIRKVVSKGPVSQTRRSKSRSPVKVDSRSVSRSSAGSLQQRNPSGNMDKAPIQRSLSRSPIMEKQRSISRSSGKLLQQRSPSKSPVRARRSVSRSPVKSNGRSKSRSPVRAHSRRSISRSPVRRAISPSSNRRRSLSRSIPPDGSPKRIRRGRGFSQQYSFARRYRTPSPDRSPNRFHRYGGRSDRDRYSSYRSYHNRSPRRYRSPQRGRTPPRYRNRRSRSVSRSPAARPRVGYSMNSAHSRSPASEKPRPHGTRDNVRSEKHQSVSRSRSPSGSRSRSRSRSRSSADTPSPKRVSKEKSRSPSSSSGGRKGLVSYGDASPDSDGK >Ma02_p17670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24485369:24496433:1 gene:Ma02_g17670 transcript:Ma02_t17670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVKKNPIVFLDVSVDGNAARRMVFELFADIVPKTAENFRALCTGEIGFGLMTRKPLHYKGSIFHRIIKGFMAQGGDFSRHNGTGGESIYGGKFADENFMLNHDGPGLLAMANAGRDTNGSQFFITFKAAPHLDGKHVVFGKLILGQETLRDMENVDVDGDRPVVPVKIVSCGELNESAAALHENEKKKNAKLKRVRDASDDDHEGRSRGQHKKSSKRRKKKKRRHYSSQSDSSSETETESSEIDSDSESDTSSASDSSGSSDDRRQKRKKYSKRDKYKRGKRKRDRKREKRHRRHDKKSRHKAKRTPDSESETESKNDSSSDAAGNDRRRERKSKVTSHKSDDKQSPVPLGRENTIECPDNGDKPDKPLGEEPKSQGENGELQSSDIREPTDRDMDRLLGSDDIPNKSRSQITRRNHSMSKSMSISPRSPTQSPSLSARRSLSRSPTTRDLSRGPVHPPRRSSTSRSPPQRSISRSPSGRNARSPIRKVVSKGPVSQTRRSKSRSPVKVDSRSVSRSSAGSLQQRNPSGNMDKAPIQRSLSRSPIMEKQRSISRSSGKLLQQRSPSKSPVRARRSVSRSPVKSNGRSKSRSPVRAHSRRSISRSPVRRAISPSSNRRRSLSRSIPPDGSPKRIRRGRGFSQQYSFARRYRTPSPDRSPNRFHRYGGRSDRDRYSSYRSYHNRSPRRYRSPQRGRTPPRYRNRRSRSVSRSPAARPRVGYSMNSAHSRSPASEKPRPHGTRDNVRSEKHQSVSRSRSPSGSRSRSRSRSRSSADTPSPKRVSKEKSRSPSSSSGGRKGLVSYGDASPDSDGK >Ma06_p35200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35158989:35164901:1 gene:Ma06_g35200 transcript:Ma06_t35200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQHQDSNALCDKNHTGCVWSVLQYFDYHQRLHANKSPEDTNYGDAEHAGSTEYSRLYVPSISNQHDKSYREANSRVVKRNSGKALRDLILKKLFRKLHRKQKMLPVTPRLLRTFSIHHLECNDYVLPEEMSAESEIEVLETQETDSFQSSKEAPLMANDIKCQVCGSVDIVKNVGQDQLVELGDHLTENQTILVEKLHAAREAFLKQKQLVAKGIGTDDALQCKDCTTMFELFNAHRDLFSKIMEDPNSVLEIQSQGLHRSDANKLLTKAGSFPLLRSLGRRRNGQSAQVKHNQIAGVHFVNEEVKSPLEKRSVSKSMDNDLQAVPSSAGINVDMLKSESAVLNDYGVDPDSEFVPTSHEMENCTVHGTHLNSLVDITHENRNENHRISMDGFLHKIPYGQKVPEEVLTKKLFQSASARSFRDVSSDTFGFPPKVDPHQSIKWSRSVVDSSDRYSNFLNYIPVGESKRLPETFISVKKQYSSVPDRKTPRTFGRIHSNPEFRSYHLSRDIQSGLFHASLSLKESSIIRRDDETVDMYSLTKQESVETTLHMKGKMESYKSTEQICNLNASGSTEKLSLVKISETELSESCNVTDSTTEYGGHVQLPRKDTGAAESPFLDSKFHQIDVSELLDSKQAGKGHVTGHELARIPKIDPSDEPTKQNQNSDLDLCFEEDIDSSSKYAVSKDSTLRSLHFEGLNSLPQPANSLDVDISAGVEPVESSSSNEQRDGLTSTDVSHIRVDQNDEAEFDYIRNILMEPSFSGSAWYSRYQSVDPLLFGEEACTFHVFEAASCDSDNTYLDHHLLSDLVNEVLFEIYEKSFTPGMFLHIGPRVRPIPVGYHILEEVWAKISWHLSSRRQPIHTLENVMARDFATSNGWLNLQRDAESVGMELAGLILDDLLDELMPELTVG >Ma06_p35200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35158989:35164899:1 gene:Ma06_g35200 transcript:Ma06_t35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQHQDSNALCDKNHTGCVWSVLQYFDYHQRLHANKSPEDTNYGDAEHAGSTEYSRLYVPSISNQHDKSYREANSRVVKRNSGKALRDLILKKLFRKLHRKQKMLPVTPRLLRTFSIHHLECNDYVLPEEMSAESEIEVLETQETDSFQSSKEAPLMANDIKCQVCGSVDIVKNVGQDQLVELGDHLTENQTILVEKLHAAREAFLKQKQLVAKGIGTDEVKSPLEKRSVSKSMDNDLQAVPSSAGINVDMLKSESAVLNDYGVDPDSEFVPTSHEMENCTVHGTHLNSLVDITHENRNENHRISMDGFLHKIPYGQKVPEEVLTKKLFQSASARSFRDVSSDTFGFPPKVDPHQSIKWSRSVVDSSDRYSNFLNYIPVGESKRLPETFISVKKQYSSVPDRKTPRTFGRIHSNPEFRSYHLSRDIQSGLFHASLSLKESSIIRRDDETVDMYSLTKQESVETTLHMKGKMESYKSTEQICNLNASGSTEKLSLVKISETELSESCNVTDSTTEYGGHVQLPRKDTGAAESPFLDSKFHQIDVSELLDSKQAGKGHVTGHELARIPKIDPSDEPTKQNQNSDLDLCFEEDIDSSSKYAVSKDSTLRSLHFEGLNSLPQPANSLDVDISAGVEPVESSSSNEQRDGLTSTDVSHIRVDQNDEAEFDYIRNILMEPSFSGSAWYSRYQSVDPLLFGEEACTFHVFEAASCDSDNTYLDHHLLSDLVNEVLFEIYEKSFTPGMFLHIGPRVRPIPVGYHILEEVWAKISWHLSSRRQPIHTLENVMARDFATSNGWLNLQRDAESVGMELAGLILDDLLDELMPELTVG >Ma06_p35200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35158995:35164901:1 gene:Ma06_g35200 transcript:Ma06_t35200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLQHQDSNALCDKNHTGCVWSVLQYFDYHQRLHANKSPEDTNYGDAEHAGSTEYSRLYVPSISNQHDKSYREANSRVVKRNSGKALRDLILKKLFRKLHRKQKMLPVTPRLLRTFSIHHLECNDYVLPEEMSAESEIEVLETQETDSFQSSKEAPLMANDIKCQVCGSVDIVKNVGQDQLVELGDHLTENQTILVEKLHAAREAFLKQKQLVAKGIGTDDALQCKDCTTMFELFNAHRDLFSKIMEDPNSVLEIQSQGLHRSDANKLLTKAGSFPLLRSLGRRRNGQSAQVKHNQIAGVHFVNEEVKSPLEKRSVSKSMDNDLQAVPSSAGINVDMLKSESAVLNDYGVDPDSEFVPTSHEMENCTVHGTHLNSLVDITHENRNENHRISMDGFLHKIPYGQKVPEEVLTKKLFQSASARSFRDVSSDTFGFPPKVDPHQSIKWSRSVVDSSDRYSNFLNYIPVGESKRLPETFISVKKQYSSVPDRKTPRTFGRIHSNPEFRSYHLSRDIQSGLFHASLSLKESSIIRRDDETVDMYSLTKQESVETTLHMKGKMESYKSTEQICNLNASGSTEKLSLVKISETELSESCNVTDSTTEYGGHVQLPRKDTGAAESPFLDSKFHQIDVSELLDSKQAGKGHVTGHELARIPKIDPSDEPTKQNQNSDLDLCFEEDIDSSSKYAVSKDSTLRSLHFEGLNSLPQPANSLDVDISAGVEPVESSSSNEQRDGLTSTDVSHIRVDQNDEAEFDYIRNILMEPSFSGSAWYSRYQSVDPLLFGEEACTFHVFEAASCDSDNTYLDHHLLSDLVNEVLFEIYEKSFTPGMFLHIGPRVRPIPVGYHILEEVWAKISWHLSSRRQPIHTLENVMARDFATSNGWLNLQRDAESVGMELAGLILDDLLDELMPELTVG >Ma05_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23798028:23799964:-1 gene:Ma05_g18400 transcript:Ma05_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRERTGLRKVESRASITEADDLDLSRLLQRPRLKLERKRSFDERSLSDHAAAGSLRPVDSYESMLSLGAMRSVLDTPTSSAHNSFEPHPMVAEAWDALRRSIVLFRGHPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKKIDRFMLGEGVMPASFKVSHDPIRKTDNLTADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSTLAESPECQRGMRLILALCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLRQDAEGKEFIARIVKRLHALSYHIRSYFWIDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWIFDFMPARGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSVAIMDLLEERWDQLLGEMPLKIAYPALESREWQIVTGCDPKNTRWSYHNGGSWPVLLWLFTAACIKTGRPQIARRAIDLAENRLSKDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPPIKRSASWTI >Ma04_p32130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32240107:32245384:-1 gene:Ma04_g32130 transcript:Ma04_t32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLWNAYGVPSDQEEDTGQRQRQHRPLQRPLPAPKRFRSEAYVPPQSPSPPLVFPPEPSGRYVSKRERAILAAAAAAASSTASAPLPPPHLHPSTSVASPVVGSISDSDLPSDIVTFLKCQRKGLAGRRGISTKLAVSLDGHRSAVNSLQWSKSHGHLLASAGMDQTVNVWNVWSRNQQKARVFRCHNAAVKDVRWSSDGLSLLSCGYDCSSRLVDVEKGMETQIFKEDQTVEVIRFHPDNSSLFLSGGSKGLLRLWDIRVGTVVKEYLKGLGPILDVEFSLDGKNFLCSSDTTKSRISENSIIVWDVLRQVPLSNQVYTEAYTCTCVRYHPSDCCFVAQSNGNYIAIFSARPPFKMDKCKRYEMHGVCGFPIKCNFSLDGEQIASGSSDGCIYFFSYKSSELLRKVKAFDQACIDVAFHPLMTDVVASCSWSGEISVFG >Ma01_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5860085:5864574:-1 gene:Ma01_g08190 transcript:Ma01_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKEMKGSELRLPYAAYHFTTSGAAVAVATAVTHPLDVVKVRLQMQLAGQRGHLVGMGTIFTQMIKNEGAGSLYLGLGPALTRSLLYGGLRLGLYEPFKYVCEHVVGSTNIFVKIASGAFSGAIATGVTNPTEVLKVRLQMNSSSQTGPFREMYKIVSDEGVRALWKGVGPAMARASCLTASQLATYDESKQVLLKWTPLEEGFHLHLISSCIAGTVGTLVTAPVDMIKTRLMLQQEARGVRNYRNAFHCAYQVVLTEGFGALYKGGSATFARLGPQTAITFIVCEKLRELVGIKAI >Ma01_p08190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5860085:5864545:-1 gene:Ma01_g08190 transcript:Ma01_t08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAALMGGKEMKGSELRLPYAAYHFTTSGAAVAVATAVTHPLDVVKVRLQMQLAGQRGHLVGMGTIFTQMIKNEGAGSLYLGLGPALTRSLLYGGLRLGLYEPFKYVCEHVVGSTNIFVKIASGAFSGAIATGVTNPTEVLKVRLQMNSSSQTGPFREMYKIVSDEGVRALWKGVGPAMARASCLTASQLATYDESKQVLLKWTPLEEGFHLHLISSCIAGTVGTLVTAPVDMIKTRLMLQQEARGVRNYRNAFHCAYQVVLTEGFGALYKGGSATFARLGPQTAITFIVCEKLRELVGIKAI >Ma11_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26127877:26129363:1 gene:Ma11_g22330 transcript:Ma11_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDREDGLDTVLEVPLPEEMFLSGDSSKSSKMLCINVKAWMRPHADPSPPWPVGREAELQLPLLLGILGAPLVPFPVRSHKPTLVRGMKEAPIEVSMAKYILQQYIAASGGERALNAINSMYAMGKVRMMASEFPKGNGGGKRGKKCGGAVETGGFVLWQKKPDLWCLELMVSGCKISAGSDGKVAWRQTPWHQSHASRGLPRPLRRSLQGLDPRCAANLFANSVCLGEKTINREDCFVLRLDAEAATLRARSSSGVQIIRHSLWGYFSQRTGLLVQLEDSHLLRIKSAQENVYWETTMESLMEDYRPIDGVNIAHTGRTTVSSFQLGEASEGHTRTRMEETWTVEEVDFNIRGLSKDCFLPPADLKLAGRRP >Ma09_p28480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39225246:39225896:1 gene:Ma09_g28480 transcript:Ma09_t28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCFMVRNGGMLLIKPAEDYGVDLGIAWTMSLTKDQLPLAFGCSINTTQAYRGTVLLKNAKYIVDSLIRMQLTQKLEKILLPMIDKLALSCSLEEKERMALIFPLLSVLVMVVSLISLKNTVV >Ma04_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11209432:11211794:-1 gene:Ma04_g14780 transcript:Ma04_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASALLQKLSLDSPPKTHDAVEATAPFLAQQGSSNRESSNMETPSNRSPTPLVSEFADPNMFYVASGYASPAYFMIVGYDGSVNEWDLYQGVAYTPYGTYPFNGSSIPAMAHDESTTPRFFEKFAVFNDCFPLITDVCAPRISWGFGGSSDDDYADAKLFVIKAYSEDDIHKSIKYNVWASTGYGNKKLDAGYQEAQGKAGGCPVLLFFSVNTSGQFVGVAEMDVPNSSILKHITLENNDNKPVTNSRDAQEVMLEQGLRMLKIFKEHMNNMCVLDDFDFYEKRQNLMQEETRTKQQLLRKQASLLFLKRFDTQPTRQAYMSELLRWAR >Ma03_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22481746:22489434:1 gene:Ma03_g17250 transcript:Ma03_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTGRWVVTYTKHLKQKRKTYHDGVLEISDPGNKVLLYDDSEKLIDSRFLKKDEVIGSGGTLTFEAHLVDIGNLEEKGPFKNLNSSRNDSKLSEKARNLQQKENEKSMHHNKLEPKYLSGANSKSRVNKNEPDSLGAKETHDVTHSDPKEWNVLYTTQISQKAKKYHDGVLRLSLCGSHMNQIMLLSEDGSVLSSKYLKSVECIRTGSKCELPNHLVEICEPRIRCEEGLKKLPSKQLMRSSSSSNKKSTKDICNTHLEQAGGPCSSNSVKSMDSSRAQSNKPLRDACQILRTLKKPFPIEKNDPRNSPVAHVNASPSLDFVLPGAQCQIKDLNLQGGGNHKGDFSECASQTSLPGTPDIKSTSSQQVIHTEPKFKVDSLAITASVEGHNTSDHSSLSESSQGMSADKCCSNVQDSRELAGFMNGNLPVREPCPSDGKEDTADPFANFLKENNTSDSSISGRSCNGTLNANEGKDEVTHSISSKDNEHEGRCRMVEISDASAATHMIHENFDCQNSLNESLIEDDVPTFDLGFY >Ma08_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17881793:17882937:1 gene:Ma08_g16340 transcript:Ma08_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHVNVSGKPAETSTALLETAAAAIQGFDPINKIHQHLCAFHFYGDDMTRQVEAHHFCSHQNEEMRQCLIYDGEGPRAKLIGVEYIVSEALFLALPDDEKPLWHSHEYEVKSGMLFMPQVPGAVQRPDMEQVCKTYGKTYHFWQVDRGDELPLSLPQLMMAFTRDGQLRQELAKDIERRYEISFEEEREKRRYMAGPDHGIHPLANGPGKGRRLALREVDVPPVGSVPRAFI >Ma08_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18736612:18737090:1 gene:Ma08_g16490 transcript:Ma08_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKASSTSKEAGLSGKSSSAGDFSIVFSPAPMAMAKDVPVRLVLAFEQPKN >Ma01_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1187111:1187542:1 gene:Ma01_g01770 transcript:Ma01_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLCCDNLGKRAIRNALASTYHFSLLLSPVVSFWDCIFRKIRYSFRPEWV >Ma06_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:787619:793464:-1 gene:Ma06_g00970 transcript:Ma06_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREDNAVVAAHAQVQQLQMFQLPVKNALPEKKHTRTFSDMSDPSTPRHIEDSRNIAIYNDVIAFTLFELETITKSFRPDYVLGEGGFGAVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKAAAPLSWATRMSIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRRSVDKARPSKEQSLVDWARPKLNDKRKLLQIIDPRLEDQYSVRAAQKACSLAYYCLSHNPKARPLMSDVVETLEPLQVSSGSEGSLQAVGGSGISEYKMHRRLAGSGVSCRATPNAKCPPSALPACRVR >Ma06_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2538801:2546979:1 gene:Ma06_g03470 transcript:Ma06_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEASKLLIASTVICLVFVGAWADAGAEEEVVVQHLAPDSALKLELEQLRSKISALEASILDRTRDLKSKDETITHLEMIIEEKSKTLLSLQSEIESVQKGAGDAEELVKKAHARAGELEKQMAKLRNDIELQNKRRVALDVQAGETEKKVGELNLKLENLQKINDEQKRRIQKTEHALHVAEEELMRAQLETRAKSKELSQAHGAWLPRWFAAHINRYLELAATYWKHHAKPTLDVFLHKALEKSAQAQKRMEPHLEMAKNKWIPAIKERWVILATNAEPYVHTLSAKTVEVYHTSKDAIATHVVKVQELGGPYFQAAKRFSKPYIDQVATITKPHFKKVQFALKPYKKRIVRAYGKFHKSATVYHHQVQAGIHEHLKKYELTKPLATKELVWFMASALLALPIFLVYRFLSDILCSKNTRKPTRNAHGSHTHRRPKRRHPDK >Ma06_p03470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2538801:2546979:1 gene:Ma06_g03470 transcript:Ma06_t03470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEASKLLIASTVICLVFVGAWADAGAEEEVVVQHLAPDSALKLELEQLRSKISALEASILDRTRDLKSKDETITHLEMIIEEKSKTLLSLQSEIESVQGAGDAEELVKKAHARAGELEKQMAKLRNDIELQNKRRVALDVQAGETEKKVGELNLKLENLQKINDEQKRRIQKTEHALHVAEEELMRAQLETRAKSKELSQAHGAWLPRWFAAHINRYLELAATYWKHHAKPTLDVFLHKALEKSAQAQKRMEPHLEMAKNKWIPAIKERWVILATNAEPYVHTLSAKTVEVYHTSKDAIATHVVKVQELGGPYFQAAKRFSKPYIDQVATITKPHFKKVQFALKPYKKRIVRAYGKFHKSATVYHHQVQAGIHEHLKKYELTKPLATKELVWFMASALLALPIFLVYRFLSDILCSKNTRKPTRNAHGSHTHRRPKRRHPDK >Ma06_p03470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2538794:2546979:1 gene:Ma06_g03470 transcript:Ma06_t03470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEASKLLIASTVICLVFVGAWADAGAEEEVVVQHLAPDSALKLELEQLRSKISALEASILDRTRDLKSKDETITHLEMIIEEKSKTLLSLQSEIESVQKKGAGDAEELVKKAHARAGELEKQMAKLRNDIELQNKRRVALDVQAGETEKKVGELNLKLENLQKINDEQKRRIQKTEHALHVAEEELMRAQLETRAKSKELSQAHGAWLPRWFAAHINRYLELAATYWKHHAKPTLDVFLHKALEKSAQAQKRMEPHLEMAKNKWIPAIKERWVILATNAEPYVHTLSAKTVEVYHTSKDAIATHVVKVQELGGPYFQAAKRFSKPYIDQVATITKPHFKKVQFALKPYKKRIVRAYGKFHKSATVYHHQVQAGIHEHLKKYELTKPLATKELVWFMASALLALPIFLVYRFLSDILCSKNTRKPTRNAHGSHTHRRPKRRHPDK >Ma04_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7213958:7218076:-1 gene:Ma04_g10070 transcript:Ma04_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVRDRLFFGDITAAAEVLKNGSPEITHVLSLLSSASISFFSDWRSEISIPTEEIRKVFAGADGSPRKSLAPGKLVYSLERAGPELKLVRMAVPLRDTEDENLLDHLDVCLDFIDQGRKEGGVLVHCFAGVSRSAAVIIAYLMRTEHKSMEDALESLREICESVSPNDGFLDQLSLFEEMGFKVDTENPIYKRFRLKVLGHSYKQGEKIDSSIFGADPGLPLESNSSEEVRKGNQHTTAYRCKKCRRIVVSQENVVSHVPGENETFFDWQKRKSGNWSNRFQEQECSSLFVEPLKWMTSVEDGALEGKLSCIKCDARLGYFNWSGIQCSCGSWITPAFQIHKSKVDISMV >Ma05_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6458378:6460289:1 gene:Ma05_g08760 transcript:Ma05_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNRSSIGPSSFFRLNSGLSLLHRFLFSTEQLGNKKPDGEAASHDDVAAVFRIIITSSSSEHMARSLMESKIFLSNDLIDGVLKRLRFSHGNPFRALEFFELTGKRRGFFHTDFSYDTMLFILGRSRRFEEVWRLLVEMRRKDRTLITTRTVQTVLGRIAKICSVRQTVESFRKFRKLCVEFDTNCFNALLRTMCQEKSMSDARNVYHSLKHDFKPNLQTFNILLSGWKSAEEAEGFFKEMSELGVKPDLVSYNCMVDVYCKNREMEKAYKIVDKMRKEEIYPDVITYTGLIGGLGLIGQPDKATDVLKEMRECGCYPDAAAYNAAIRNFCIAKKFRNAFSLMDEMAERGLSPNATTYNLFFRCFYWANDLVSAWSLYKRMRTEGCLPNTQSCMFLIRLFRRQEQVGMALELWNDMVQKGFGSFTLVSDVLFDLLCDAGKIDEAERCFTQMIEKGQKPSNVSFRRIKVLMELANRKESLRNLMEKMTVFQHIAPLDDRRADESPEGSPSCLLQPC >Ma11_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26548116:26551786:1 gene:Ma11_g23020 transcript:Ma11_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHIP1 [Source:Projected from Arabidopsis thaliana (AT3G55340) UniProtKB/TrEMBL;Acc:A0A384KSV0] MVLSNKKLKKKLRDLLAESQSSATESAAPGEDVSQELQTIKSVLSSKSKKRPKRRKKPSQRAEEGDENNDAGPPPGDSNEQQKSRKRKRDGGGGGVAEESVENGQEGSARKKRKERKKERRKKDQEHNKGHGEERETADVDCGEKGTVEASNLEQSGHNAKKVYVGGIPYYSSEDDIRSFFEGCGTVTEMDCMTFPESGKFRGIAILTFKTEAAAKRALALDGADMGGFYLKIQPYKSNRLHKSEFAPEIVAGYNRIYIGNLAWDITEDDLRKLFSDCKVTSIRFGTEKATGDFKGYAHVDFADNASLTVALKLDQKVVCERPVRIRCAVPKKEVESKSSSKPILKKDKDSKIAGSTKKKRRTCYVCGVPGHLSSSCPKKSASAGVEE >Ma06_p38950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37584053:37590225:1 gene:Ma06_g38950 transcript:Ma06_t38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPNGTIRNILNGTVFREPILCRNVPRIVAGWKKPTCIGRHAFGDQYCATDMIVKGPGKLKMVFVPADKGPPVEQDVYEFKGSGIALSMYNVDESILAFAESSMSMAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKSKFEEQSIWYEHRLIDDMVAYALKSSGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVT >Ma08_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38060265:38061059:-1 gene:Ma08_g25060 transcript:Ma08_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSDASEVLFLGFQPSSAATPMVDQMKKGDEDTKGSVLRRNLEVDNKNRALKLGGGAYSVVEEPTAWPYKRVRSGFQGVAAATQCVRWMIAGRICRAPRIITGEIWCARCTVRPPRHLWESGCRSFASSAADFIPFLSLTGEEKL >Ma11_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16923249:16929234:1 gene:Ma11_g12970 transcript:Ma11_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPHCYLSQLFRSFDQECDSSQKYLIGYLVLAKTGKLAKVKRDTFDTDFVVDNGYHRGLKKKRSVVKTQPGGSYTDRRSNDCLLPGLHDDIALDCLALTCRSEYPSLACLNKRFNSLIRSGYLYKLRRQLGVIEHWVYLACSLMPWEAFDPFRRRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREVIGFAIWMYSLVRHDWSRCPPMKLHRCLFGSGSSGHIAIIAGGSDMAGHVLSSAELYNSECGTWETLPDMNVPRRLCSGFFMDGKFYVIGGMSNHTDPLTCGEEYNIESRTWRRIRNMYPGGNRATQSPPLVAVVNNQLYAADQSTNEVKKYDKSNNTWNVVRSLPVRADSSNGWGLAFRSCGDKLLVVGGHRGPQGEVIVLHVWRPEDGNTGGAEWDVLSIRERAGAFVYNCAVMGC >Ma09_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6182895:6184793:1 gene:Ma09_g09380 transcript:Ma09_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNEASALENAAEDFLDTDWGPFSSLDQNVWLKETAGVFSHGGVPETTEIGGSHDGTGQIARCSSFGTEKLPDMLGSIRTPSAASSEHQTRSRCTQKRRKEVSDWFAPSPQSSFDATQNANTEWHKDVPADIVNSATKKDESKEYDCEQNADANSYNLDAKLAEESHQIVGVTKEDHVRSRAKRGQATNSHSLAERVRRERISERMRFLQNLVPGCGKITGKAVMLDEIINYVKSLQQQVEFLSMKLAAVHPEINLKVEQSLLKDCGSPAAPGYCSRLSTYQSNGWGSTPTGMIYPLAHNSGTSISQIPSMAQASILWDAELHNVLHMHFNSDPPSRTQ >Ma04_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2208593:2210935:1 gene:Ma04_g02640 transcript:Ma04_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPTLAGNKFTVTGARRSAAFCAFELVAPLSALMSKESKRAKPTPPLLHLLLLVLTFHALPSAAVHLIFNGFESSDLSLYGNATLESFPSSSPSFQETSSRHRHYLSLTDESFSLGRALLPVPIRTKSSNSTVLPFAASFLFSIAPIIETLPGHGLAFLFAPAAGTLGAAPSEHLGLFNFSANGDPASRVLAVEFDVFRNGEFHDINDNHVGVDRNSLTSVSSAAAGYWPDDDGTGAAFVGLTLNDGSNYQAWVDYDCGRLNVTMAPAALGRKPRRPLISIEMDLSDVFLDEMYVGFCAATGKLVERHHVLAWSFSNSNFSAAMVLLMSVLAAAGVWMALIRRTRKIQGNEEEVSQQEIEEWELEYWPHRIGYQEIVAITEGFSKRNLIGRGGNGSVYKGFLGGALVAVKLFSQTNEDEAKQFVAEVCTLGRLKHRNLVSLRGWCRSRRPGGGDVMILVYDYMENGSLDQRMFGNAKPLDWKSRVRILRDVSAAVLYLHEGWGEAVVIHRDVKASNVMLDGSMTGRLGDFGLAQAHPRGRALGTTRVVGSAGYLAPEVVRTGRVTAATDVYAFGVLALEVVSGRRAAEAGRPPLVAWVRQASAAGGEEAEAVVDGKARASKGYDAREAAMVVGLGLACTREDAAGRPTMRQVARMMDAEEHKAANGRDDSTSWLLDARLQAAAGTVAGAHPQPQQHLLASEPDPCKARQTQRGTDS >Ma09_p26550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37793600:37794488:1 gene:Ma09_g26550 transcript:Ma09_t26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVLLDFWASPFGQRCRIALAEKGVEYEYREENIMGDKSPLLLEYNPVYKRIPVLIVDGKPLCESLIIVQYIDKVWPDCAPLLPADPYDRAHARFWADFVDKKFHECAKRLWQLKGDAQAAAKEEFIEILKLLEGELGDKKYFGGDAFGFVDIALVPFVCWFYTYETSAGFSIEEAAPKVVSWGKRCLERESVANSLSDPDKIYEAVNVYKKRIGIE >Ma09_p27470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38461498:38466792:1 gene:Ma09_g27470 transcript:Ma09_t27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNPIFEEEAKEEAAESFLPLRSQPSGGGADGKARRTLAAYEDGSEDETTERAFESGEKVVVAWVSDEDCGDDGPPPPFSWGKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAMGLLIQMLSARLGVATGHHLAELCHEEYPRWAMLVLWFMAEVALIGADIQEVIGSAIAIKILSRGMIPLWVGVVITALDCFIFLFLENYGVRKLEAFFAFLIATMAFSFTWMFGEAKPSGKELLIGTLVPTLGSKTIKQAVGIVGCVIMPHNVFLHSALVQSRKIDPNKKSHVQEALRYYTIESTIALIISFMINLFVTAVFAKGFYGTKVADTIGLENAGKYLQEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWSRSLITRSFAIVPTIVVSLFFDTYDSALDTLNQWLNVLQSVQIPFVLIPLLTLVSKEQVMGVFKIGPIIQAVTWIVAALLLIINGYLLLDFFSSEIHGPLFGLVVCVIVVIYMAFIAYLILRGRDLLIRLTSYFTRYSLVQLVVTRCLCSPSSV >Ma06_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15194893:15195012:1 gene:Ma06_g21090 transcript:Ma06_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSSHIQRYKFNLMKQHFCLSSNDKNVIRGDVSPGYV >Ma11_p00890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:654055:658964:1 gene:Ma11_g00890 transcript:Ma11_t00890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILSFLPIPTASSRSSAPVKSISSLSPPPNHHAVRLRSFLIAIPISVAPSLLFPRPSLRSAASVVSILPTAKPERASAEKLPSNGGGNEWDRWSLRAIKAFGMAELEARKLKYPKTGTEALLMGILVEGTSETAKFLRANGITVFKLREETVKLLGKSDMYFFSPEHPPLTEPAQRALDWAVDEKLKSGEDGEITTAHLLLGIWSEKESAGHKILASLGFDDEKASELAKSADKDIVMKYR >Ma11_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:654055:658981:1 gene:Ma11_g00890 transcript:Ma11_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILSFLPIPTASSRSSAPVKSISSLSPPPNHHAVRLRSFLIAIPISVAPSLLFPRPSLRSAASVVSILPTAKPERASAEKLPSNGGGNEWDRWSLRAIKAFGMAELEARKLKYPKTGTEALLMGILVEGTSETAKFLRANGITVFKLREETVKLLGKSDMYFFSPEHPPLTEPAQRALDWAVDEKLKSGEDGEITTAHLLLGIWSEKESAGHKILASLGFDDEKASELAKLIRISS >Ma11_p00890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:654055:658964:1 gene:Ma11_g00890 transcript:Ma11_t00890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILSFLPIPTASSRSSAPVKSISSLSPPPNHHAVRLRSFLIAIPISVAPSLLFPRPSLRSAASVVSILPTAKPERASAEKLPRWSLRAIKAFGMAELEARKLKYPKTGTEALLMGILVEGTSETAKFLRANGITVFKLREETVKLLGKSDMYFFSPEHPPLTEPAQRALDWAVDEKLKSGEDGEITTAHLLLGIWSEKESAGHKILASLGFDDEKASELAKSADKDIVMKYR >Ma11_p00890.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:654055:658964:1 gene:Ma11_g00890 transcript:Ma11_t00890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILSFLPIPTASSRSSAPVKSISSLSPPPNHHAVRLRSFLIAIPISVAPSLLFPRPSLRSAASVVSILPTAKPERASAEKLPSNGGGNEWDRWSLRAIKAFGMAELEARKLKYPKTGTEALLMGILVEGTSETAKFLRANGITVFKLREETVKLLGKSDMYFFSPEHPPLTEPAQRALDWAVDEKLKSAGEDGEITTAHLLLGIWSEKESAGHKILASLGFDDEKASELAKSADKDIVMKYR >Ma11_p00890.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:654055:658981:1 gene:Ma11_g00890 transcript:Ma11_t00890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILSFLPIPTASSRSSAPVKSISSLSPPPNHHAVRLRSFLIAIPISVAPSLLFPRPSLRSAASVVSILPTAKPERASAEKLPSNGGGNEWDRWSLRAIKAFGMAELEARKLKYPKTGTEALLMGILVEGTSETAKFLRANGITVFKLREETVKLLGKSDMYFFSPEHPPLTEPAQRALDWAVDEKLKSAGEDGEITTAHLLLGIWSEKESAGHKILASLGFDDEKASELAKLIRISS >Ma11_p00890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:654055:658964:1 gene:Ma11_g00890 transcript:Ma11_t00890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILSFLPIPTASSRSSAPVKSISSLSPPPNHHAVRLRSFLIAIPISVAPSLLFPRPSLRSAASVVSILPTAKPERASAEKLPRWSLRAIKAFGMAELEARKLKYPKTGTEALLMGILVEGTSETAKFLRANGITVFKLREETVKLLGKSDMYFFSPEHPPLTEPAQRALDWAVDEKLKSAGEDGEITTAHLLLGIWSEKESAGHKILASLGFDDEKASELAKSADKDIVMKYR >Ma02_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27594026:27594712:1 gene:Ma02_g22170 transcript:Ma02_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEESSSSSSPPSSSSYSCCSSTSTASGTPITSGKRKAGRKKFRETRHPVYHGVRERNGGRWVCEVREPRKKSRIWLGTFGEPEMAALAHDAAAITLRGESALLNFPDLAGTLPRARSPAPEDVRRAATEAVEMFRPSKPAPAQLPAVSDAEGSQGRKENTATEDAAAPSAAVFVDEDELFNMPELIEDMARGMLLTPPALQRRGFDWDIAVEEEQEIDLSPLWNDE >Ma07_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27339414:27340467:1 gene:Ma07_g19290 transcript:Ma07_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMISDEMLGTFVPVLVYWAYSGLYVLVGSFDKYRLHSRKEEEAKNSASKGKVVVGVLVQQAFQIAVSLLLFTMAPKAEATTAKPSILVVGLQFAVAMLVLDTWQYFIHRYMHINRFLYRHIHYKHHSLIVPFAYGALYNHPLEGLLLDTVGGAISFLVSGMTPRTAIFFFSFATIKTVDDHCGLWLPGNPLHVLFSNNSAYHDVHHQLYGGKYNFSQPFFVVWDKILGTYMPYSLERRKDGGLEARPAKD >Ma02_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19037163:19040631:-1 gene:Ma02_g08770 transcript:Ma02_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELKKISEARDLMRIERIGAHSHIRGLGLDAGLEARAVSEGMVGQCAARKSAGLILNLIKEGKIAGRAILLAGQPGTGKTAVAMGLAKSLGPETPFATVFASEIFSLEMSKTEALTQAFRKAIGVRIKEEAEIIEGEVVEISIDRPAAGAGLSAGQSSSKTGKLTLKTTDMETVYELGGKMIEALGKDKVQNGDVIALDRASGKVTKLGRSITRSRDYDATGPSTKFVRCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDSKVSEWKEEGKAEIIPGVLFIDEVHMLDIECFSFLNRALENEMAPILVIATNRGITTIRGTNYRSPHGIPADFLDRLLIITTQPYTEEEIGKIIEIRCGEEEVELSKDAKLLLTKIGVETSLRYAIHLITVAALACQKRKGKTVEMEDISRVYQLFLDVKRSTQYLMEYQNQYMFNEVPKAGDEDETMQS >Ma05_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4855684:4860693:-1 gene:Ma05_g06560 transcript:Ma05_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRMGWDWEPWSVHQRKSNHVVVKLLVFVILVGLSFRLLFSHSTDFQAVPVSPVAVAEIAASAGAVVGLGDAKPQTDLPSTDAGAPAPKAALDVEEQVSQQDKCDLFTGEWIPNPSGPLYTNESCRFIEPPQNCMKNGRPDVGYLFWRWKPHGCHVPPFNATKFLETMQNRSWALVGDSILRNHAQSLICLLSKVGDPVEVYHDDQYKSRRWHFPSHNFTLSLIWSPFLIKAEIFENDNGESKSENRLHIDTLDKKWTSQYNSFDYIVISGGQWFLKTAIYLENNTVVGCHYCPKLNISELSLESAYSKILSSVFGFVTTSKHKPVVIYRTWTPDHFEYGEWFSGGKCNRTSPYKIREFNGKDVDHVMRKIELEEFGRAATADGSENEANLKLLDTYQLSLLRPDAHSGPYRTFHPFDKNKNAKVQNDCLHWCLPGAIDTWNELIMELITNE >Ma01_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1428462:1431535:-1 gene:Ma01_g02140 transcript:Ma01_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMNAQMSRLWNGEESKGRSVPASTSYAVKLVIAVFVALVVLVFFISNSATFGWSEQGIDRQSLNTQISKAQSKPQPKLITLSCPNITGASAVCQRSPNAAAPTPLHLTANQPSPTCPEYFRWIHEDLRPWKSTGITKEMVQRARKFATFHMVVLDGRVYVQEYFGHSLSRNVFTFWGILQLISRYPGRVPDFELIFNCMDMPSVKSADYNSSAPPPPLFHYCKDDRTLDILFPDWSFWGWPETNIKPWVPLMKEMNEGNAEVEWAEREPYAYWKGSPFMGGSRQDLVKCNVTKERDWNARIYAQDWNSEAKQGYNRSNLARQCYHRYRIYVEGLAWSVSQKYILACNSPTLFVDTRFVEFFQRGLMPGHHYWPIAADNKCRGIKFAVDWGNAHQEEAQAIGKASSGFFQQEVKMDHVYDYMLHALTEYAKLLKYKPTVPEGFTEICMESLACSASEKTKSFLLESMEKWTHDAEPCTLPPPFTPEELHQVLEKRANAVKQVEMWEQKAWEQEQGNKST >Ma04_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5780109:5782937:1 gene:Ma04_g08050 transcript:Ma04_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNLCRYLGSPDAVFSTAVTSLKPEDGLDPNRVKCVIDSHGYAIYFSRGLIPFNKSGMVNPQYPYLLHLGIQSFDSNFLRIYPSLPPTPLQLEEDIEQLKVLENGYRTKAVIKVNHDAHGVDSPEDVDKIEALMRERNIS >Ma08_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2770385:2772502:-1 gene:Ma08_g03910 transcript:Ma08_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERLLFAFSPILNFLSLTQCTAPCHRQNAPSSSTVCTGEEFLTRSSQTGGLSVDISHRTVQKFPLFVVPEDVDKMLTHDKVSHPRGLTPGTVSGSQLCKLPLPPSLH >Ma05_p26260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37933511:37936213:1 gene:Ma05_g26260 transcript:Ma05_t26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFVTIFGKPISLGLASAPPELSQPQLRLAMAVEESLEIAAAASCDDDDGHQRRTGTIWTCVAHIITAVIGSGVLSLAWSTAQLGWIAGPVSMLCFAIVTFVSAFLLSDCYRSPHPVTGTRNYSYMDAVRVTLGEKQTWICGFLQYFSMYGTGIAYTITTSISMRAIQRSDCYHREGRRAPCSYGDSFYMLMFGVVQIVFSQIPDFHDMAWLSVLAAIMSFAYSSIGFALGVAKVIGNGTIKGGVGGIGMTSRAQKVWRVSEALGDIAFAYPYSLILLEIEDTLRSPPPENQTMKKASMISIFITTFFYLCCGCFGYAAFGDGTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQVYSQPVFSFADRWVAGKFPNSRFVNEFYMIQLPFLPPYRLNLFRLCFRTAYVATTTGLAMVFPYFNQVLGVLGSLNFWPLAIYFPVEMYFAQKKIGRWTKKWMVLRVFSAGCLLVSLLALIGSVEGLVSEKLG >Ma10_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23650773:23651714:1 gene:Ma10_g09550 transcript:Ma10_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLETMSLKLPGDRTLTQGLKGDPTYLVVENDKTLATYGINAVCTHLGCVVPWNAAEKKFICPCHGSQEDNQGKVVRGPAPLVSLHSSVSLYLNCHCLL >Ma09_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11457177:11470449:1 gene:Ma09_g16140 transcript:Ma09_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRRTKEEPCRVSFRDGRLASRKAEEAALRRSEAASWLESIVGPLGLPPQPTEKEFVSCLRSGIVLCNAINRIQPGAVPKVVASQSVGTAWDIQPLPAYQYFENVRNFLVAVEDLKLPSFEASDLERDTVEAGSAVKIVDCILALKNFHELQQSNGENGPWKHVKSPIVPWSFGQLRSPVFSSGSVNARCLDMSATAEKPQLVKNMDQCKQVDSLVSMLSDLMFNSKENIDQSLIESWNKGKTDHVKLFSKIMSSCLEGQQSESVLQDLLLKGNVIDSCSTKETYKCCSFCLREGDCDHWYKLEEQEKELMELKALLSETRVQFITLQTQLQNDFTELGIQIHELSVGASGYHQAIKENRHLYNILQELRGNIRVFCRIRPILKFEAKSCIEYIGNDGSLMIFDPCKSQNTRKIFQFNKVFGPATTQGEVYKETQSLIRSVMDGYNVCIFAYGQTGAGKTYTMCGSSNGSCEELGINHMALNDLFQISSIREDIKYDIHVQMVEIYNEQVRDLLAEDGANTKLEIMNCSGNGGLSIPNAIIRGVQSTADVLNLMKLGEKNRAFSSTAMNHRSSRSHSVLTVHVHGKDISGNTIRSCLHLVDLAGSERVDKSEVTGDQLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQNSLGGNAKMLMFAHVSPESDSHGETISTLKFAQRASTVELGAPHQNKESSEIRNLKEQIDNLNKALMIKEEKFMHPYKLKENTPVSERSKQMTDHTPLCPRRLSIENGSVPKAGTTANPESKVLKSPISRLKYTTDGTLTCNRRLSSEGLSCESNQKEHESSVSHLCSNIGPEANASLNSENFVAGTKYFQQSSPSTCNTYTQSRLPRIASSCTLDKDSLNQTRKLDEATPNKINSVTKLDTRDSNKGAISKGSHIRKSLQSLGRLINGSERRNNQCQTETVSSKFVRNTDINEKSPVTADARLRRRQSLTNLETLGLSASRRSSLGGKSVDSGSKNLQTARSPPRARPSGKVTKRWL >Ma09_p16140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11457934:11470449:1 gene:Ma09_g16140 transcript:Ma09_t16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAEKPQLVKNMDQCKQVDSLVSMLSDLMFNSKENIDQSLIESWNKGKTDHVKLFSKIMSSCLEGQQSESVLQDLLLKGNVIDSCSTKETYKCCSFCLREGDCDHWYKLEEQEKELMELKALLSETRVQFITLQTQLQNDFTELGIQIHELSVGASGYHQAIKENRHLYNILQELRGNIRVFCRIRPILKFEAKSCIEYIGNDGSLMIFDPCKSQNTRKIFQFNKVFGPATTQGEVYKETQSLIRSVMDGYNVCIFAYGQTGAGKTYTMCGSSNGSCEELGINHMALNDLFQISSIREDIKYDIHVQMVEIYNEQVRDLLAEDGANTKLEIMNCSGNGGLSIPNAIIRGVQSTADVLNLMKLGEKNRAFSSTAMNHRSSRSHSVLTVHVHGKDISGNTIRSCLHLVDLAGSERVDKSEVTGDQLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQNSLGGNAKMLMFAHVSPESDSHGETISTLKFAQRASTVELGAPHQNKESSEIRNLKEQIDNLNKALMIKEEKFMHPYKLKENTPVSERSKQMTDHTPLCPRRLSIENGSVPKAGTTANPESKVLKSPISRLKYTTDGTLTCNRRLSSEGLSCESNQKEHESSVSHLCSNIGPEANASLNSENFVAGTKYFQQSSPSTCNTYTQSRLPRIASSCTLDKDSLNQTRKLDEATPNKINSVTKLDTRDSNKGAISKGSHIRKSLQSLGRLINGSERRNNQCQTETVSSKFVRNTDINEKSPVTADARLRRRQSLTNLETLGLSASRRSSLGGKSVDSGSKNLQTARSPPRARPSGKVTKRWL >Ma07_p27560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33900499:33905514:-1 gene:Ma07_g27560 transcript:Ma07_t27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSAIESVPVGIDIHSPDEELVLFLDQRKPGDATPDNVVTEVNPFNVEPWNSPENIWYLYHSGALRSPNGNSEMKVTRSGYWKPTNDLKIYTSTCAIGRKMTLEFYSGKEPFGERTGWVMYEYQAEQHMSNGYNSSKKNYGSLCRVFQQTDRRTNQEENFFSASAGDSGGEYIERILLTLLEKEEGNSSSRISANNSQTVAEKGQEQSALSSRRPDEPLPENSNENMFGTCDFSNGEFLEINDLYCPEIPSASSDNSSLMSVNSDEFFDTDALLRDIETDHGLGVEEELTYHRFSISVPTESSQVVIRPSPPGSINRTADLVIEDSNPASWLIRNGVTSHPEHSSSTDELWHDGKASTTSKSQGSQDNRIADTTHRRRTLKGNGLKSVRKIAKIGRKYCCFGSF >Ma10_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17162007:17163619:1 gene:Ma10_g05760 transcript:Ma10_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEISSRSSPASDSKSHPATIPAPPLINDASATAAYEHYLRLPELAKLWSSENFSQWSNESVIKPSLQALEITFRFISLVLSDSRPYANHREWKRRLQSLAAHQVELIATLCEEDGGGAPVADLRSCKGLLSRDRSSQEVWKTAGTTSVVSRTSEASLLPRLATWEKSADVASVILFQIESQMQRCPFTLGLGEPNLAGKPTLEYDLVVRPLALHALKRSPGGSNEPRNHEDQALCTIHQILESWLFAARLLLARIERRIQGKEWAQAASDCWLLERVWKLLSEADDLHMLIDPDDFLQLKSKLVITTDSEAYCFRSAALVQVTSSCKDLKQRVPSILGVEADPNGGPRVQDAAMRLFHGRQRGDGDNPGKIDLLQALQAVEVALRRFFFAYRQLVAAVMGSLEASGNRAVYVPWESLDPASQLFLEPPYFPSLDAAKTFLGDFWQQQQKPKRR >Ma09_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1937211:1942322:-1 gene:Ma09_g02830 transcript:Ma09_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQVSILSPNSGFSFGNLYGQGYRLDLGSLKHAINCSLPTRKTGSLHRYGRFIEYPRQVFPYPGGNLKDLILVGRGRKGSLVVVGANQGFGFNGGGGGDWDKGITTRVLGNLALAFGLTYLTMTGQLGWILDTIVSIWLLAVLLPIVGFGAFFWFAGRDIVQSGCPNCGKEFQILKSAMKDGPQLCPFCSQPFSVQGNMFVRESARFSSERSTNYGQAFNGFSSRVEKGKASSAAVVDIEAEVKDID >Ma11_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23026912:23027171:1 gene:Ma11_g17810 transcript:Ma11_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRMEKSHSDGAYVFTCGSKYTLPISDTFTCHRNTCVEFCRLHVLCGIDLYLLYLSQ >Ma07_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31339351:31341164:1 gene:Ma07_g23840 transcript:Ma07_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLGSLSLPPLKYQKVAVCCSYVNGSLRPITVSGNPPTFVSASGRRIVAVGDLHGDLSKTRLALEMAGVLSSDGQDLWIGGETVLVQLGDILDRGEDEIAILSLLRSLDIQAKSTGGAVFQVLMIKYYERILSILLCRILNS >Ma09_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2328140:2329420:1 gene:Ma09_g03500 transcript:Ma09_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQHSPLSSSSQKMPKFSKRSIENLQIYVERHLDGENQDGFLNQQGLVYCGQNGYEGGLREACATGNYKDVLRAFFNQFGGSQDPQLNLAGHCDPNTNDCTFLSNDIISCQRDYNVKVMLSLGGAIGNYLLVSEEEAWEVVHHIWNNFLGGSSSMKDSSDHHVE >Ma07_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8270484:8274359:1 gene:Ma07_g11130 transcript:Ma07_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGPSSKRVIMYRAWERRLEDVRIRDADVNKLVMNYLVTEGYAEAAEKFQIESGTEPDIDLATVFERRAVLRAVESFYLKETIEKLNRLDPMILVMNRQIDFRLQHQRMIEMIRAGEMEMALLYAVDEILPGIQNNVILFSISSCLFCLALENYVFLIDFLKEFIRTTTLFPLLGPSQRLKLVSQVNAAILTSQNHEKEPKLPILLKMLICIQNELDENAAFPLVCDLMTTELPDTACPYHLSF >Ma03_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24727653:24728558:-1 gene:Ma03_g19400 transcript:Ma03_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDVDLLNPPAELEKRRHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRAKLTEGCSFRRKGD >Ma03_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28696620:28711637:-1 gene:Ma03_g24440 transcript:Ma03_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKGVDESSLDELFLYTTVCIVGFPVEVQVKDGSLYSGILHTACFEKDQGIVLKKARKIGNGKCDTNLALGDFVDTLVILSSDLVQVAVKEFLPPADHVAQNNAEVVIESDLQTCNRDSGRVREGSTCEQISALRCLDKSEESEPLSLTNDEEHVIVNEMIDVGKFPDKALDNMHETGGARQDEISLEKVEEHSFIPAISLQQRQVIGCKPEGEKHCDPVEEMAQEVHHLSPNSNANGSRLNSSAAMPVQLMLLEGKDSTSTNISLSDARTSACTATPLVSHITTSSCPVRSALSDASIPKKSKAGSITAKESKLNPSAKIFTPSVTNLRPLPTAVPNIVSPSQMLNNLPLMPIAASQLGTEMSSLASHVTVPSKLVPYNNIIATHTGLGTHYTRPVLGHASIRQLPIRMNGQYHPLQAGHFYSNPHSQMLMVGQLSQPAYVHPVSQDVIQRTPVMPQGQPHPLLTQANAPKFQGATALAAAPPVVAGGIQTIVAPPHAPFSQPFAAIQQIVVPGGNGYFYH >Ma03_p28150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31292083:31302810:1 gene:Ma03_g28150 transcript:Ma03_t28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVKIAKLQTAIAAFDQISENEKSGFISLVSRHLSGEAEQIEWSKIQTPTDEVVVPYDTLASHPEDIEATKTLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCDVPLLLMNSFNTHDDTLKIVEKYANSNIKIHTFNQSQYPRLVVEDFMPLPSKGQSGKDGWYPPGHGDVFPSLMNSGKLDALLSQGKEYIFVANSDNLGAIVDLKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVSEFKSIEKFKIFNTNNLWVNLKAIKRLVEANALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKARTNLANPSIELGPEFKKVASFLGHFKSIPSIVELDSLKVSGDVWFGAGIVLKGNVSITAKSGVKLEIPDGAVLENKVINGPEDI >Ma04_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24024828:24026598:-1 gene:Ma04_g21450 transcript:Ma04_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPFVAAVGILLVATFSFGWALPAASELPRFREAPAFRNGPSCVSAPTIHIAVTLDAAYLRGSLAGVLSILRHSSCPESITFHFLATRPRRFRPAVAASFPSLAFDVYRFDPALVRGLISSSVRRALDQPLNYARIYLADILPRSVRRVIYFDSDLVVVDDVARLWATDLAPDHVLAAPEYCHANFTSYFTDRFWSDPVFPRSLSGRRRPPCYFNTGVMVMDLDRWRAGGYTRKLEGWMEVQKREARIYELGSLPPFLLVFAGEVKGVEHRWNQHGLGGDNVEGLCRDLHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRDGHDDLFIDI >Ma00_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17473446:17474559:-1 gene:Ma00_g02420 transcript:Ma00_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDDLKRVELKVSVNCCEGCKRKVSKALSIKGVLRTEIHPTLPKLTVIGNVDVGILIKKLSKVGKSAELLSDESQKPQGEGTCCNEASEKKTEKPSKKKKDKEGMIGCAKSNPEKEKTPNSIDGNESNNRGGGDDAGKGQESSKEGGDAGGSDAPDAAKSFYPTTATTIPQVNCMMRPALMTSEARVYYPMEPVAVPTPYYDPGPPPHYVHHHPSYYEMPAYRPPPMESQATVFGDYFNDDNAVGCRIM >Ma03_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9681234:9687016:1 gene:Ma03_g12570 transcript:Ma03_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKKKAMVLLFLVVLSGGFCSVSAASPARLVSAFVSNLLSALLRRLWSLTPSTGNAILNRSSVKFESGYNVETVFDGSKLGIEPFSVGVMPSGDLLVLDSLNSNIYRVSLPLSKYSRPKLVAGSAEGYTGHVDGKPRGARMNHPKGLAVDDKGNIYVADTKNKAIRKVSDTGVTTIAGGKSNKGGHVDGPSEDATFSSDFEVVYIGSGCSLLVVDRGNKAIREIQLHFDDCAYQYGTGFPLGIAMLFAAGFFGYMLAMLQQRWAVVVSSTNDPTSPTEASYLSSPYQQPMNSSLRPPLIPPEAEYRKHEEDEGLFTSVKKLVTGAGLSLSLMISGIFLRKRSQSYHQEQQKQQRKANSWPLQESFIVPDEEPPPVEAQTPNQQRKTYAFMSKNLEKVQQLRNDRAYFNGWDGESQPQQHLQKHRQLSSSPQTYYEPTYETTDEVVFGAVQESDSKYDIAPSYDQYGPRYRVSYLGHYNDY >Ma08_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3955404:3956465:-1 gene:Ma08_g05850 transcript:Ma08_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHRYRQGLLSFVLLVAVADNATSTSSIRVDVIRRPSSMVSSVPAFREAPAFRNGNECPSPSEAGRVDIAMTLDANYLRGTMAAVLSILQHTSCPESVTFHFLAAQFEPDVLASIRAAFPYLDFRVYRFDSGRVRGRISRSIRHALDQPLNYARIYLAEILPPEVRRVIYLDSDIVVVDDIRHLWEVELEGHVVAAPEYCHANFTKYFTDAFWSDATLSSTFDGRQPCYFNTGVMVMDVEMWRSGGYTKMVEDWMGVQKQKRIYHLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNIEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCAVDYLWAPYDLYKSSSPSLEE >Ma10_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27670338:27671659:-1 gene:Ma10_g15630 transcript:Ma10_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVEPLVVGRVIGEVLDSFRPSVRILITYSSNKLVFNGHEFYPSTVTSRPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPRPNIGIHRFVFVLFKQKRRQAVSPPASRDRFSTRRFAEENGLDLPVAAVYFNSQRETAARRR >Ma04_p30680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31268880:31271505:1 gene:Ma04_g30680 transcript:Ma04_t30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNVVMQEELAVAVPTSAAIAEPSPCFSYPPPRAAGSGTNYTRYTKLSCRLDLASCGGGGRAANSWIESMKALSPTQAKSAAPREVPSLASREEEEYDSWMMLHPSALDNFEEVMTAAKGKQIVMFLDYDGTLSPIVDDPDRAFMSDEMREAVREVASHFPTAIVSGRCTEKVSSFVKLSELHYAGSHGMDIKGPNNGPKHPKAMAQNVLFQPASEFLPMIHEVYKSLVETTKSIPGSRVENNKFCLSVHFRCVDEKKWCLLAEKVRSVIMDYPKLRLTHGRKVLEIRPTIKWDKGKALEFLLESLGFDGCHDVFPFYIGDDRTDEDAFKVLRDRGQGLGILVTKIAKETNASFSLREPAEVLKFLRRLEEWKQSSQDGEAQ >Ma11_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5686361:5689443:1 gene:Ma11_g07100 transcript:Ma11_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSQGKDPNAQLLQELDALSHSLYQSHTARRTASLVLPRSSAPAPGTGAGGDGTAAESRPRSRRMSMSPWRSRPKTQNELELDDSDDGRRGPPSKRQSLSGATTTATETSDKKGIWSWKPMRALSHIGMQRLGCLFSVEVVAIQGLPASMNGLRLSVVVRKKETKEGALQTMPARVLQGSADFEETLFIRCHVYCSGGAGTGKPLKFESRPFLISIVAIDAPELDFGKNSVDLSPLVKESMEKSLEGARVRQWDSSFPLSGKAKGGELVLKLSFQIMEDGGVGLYKKAEAGGGSSSSTTAKARESSFSSVFKKSKSSFSVTSPKITRSKPSLTPTKEASSVDLKEIDDFSLDDPAPPPSSSPPPVQKPEPELKDDLDLPEFEVVDKGIEIQHAEKQEHQEEEEEEAESVEVAAEATLAPSEVVKEVVHDSAHLSRLTELDAIAQQIKALESLMVGDVLNPTKAAQEDETPRLDAEEDAVTREFLQMLELEDKTPPIFDIGDHLSAAETGVAEGRDGDKGIYISDLGKGLGSVVQTRDGGYLSATNPFNVEVARKETPKLAMQISRPFILGEQRLTSGFEVFQRLAAIGPDELGAKLQSLTSMDELMGKTAEQIAFEGMAAAIISGRNKEGASSSAARTVALLKTMATALSEGRKERILTGIWNVAEEPVAAEEILAFALQKIEAMAVEALKIQAGMAEEEALFDVSPLAAKAADKHPLYSAIPPEDWEAACAAANSVTLLVVIQLRDPLRRYETVGAPLIAMIQAARAEGGGKEEEAKFKVASLHVGGLKLRPGGRRGVWDGEKQRLTAMQWLVAYGLGKAGKKKAGQGKGGQDALWSLSSRIMADMWLKPMRNPDVKMAEQ >Ma04_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11213820:11214731:1 gene:Ma04_g14800 transcript:Ma04_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTEHDIYSSGDSSPIDACRERERERRDENKRSMADLCPLPSPRRLNGGASILFVSAISHFAVASEISQRVILRWRT >Ma09_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32736345:32737037:-1 gene:Ma09_g21530 transcript:Ma09_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPARAWYSGLKAGTIASFDQLARDFELSFLAYARPKPTVALLLGLNQREDEPLSHFVNHFTTQIRGLSDAHPSLLMQAFMTGLRPSRFFWSLVERPPAAVPEMIQCAGQFIAAETWMAGKREEHKKVKTEPPRQQQPSASRRRLDRSDPRSPLPVLSSSQMKILLHEKGKGLLKEPHPMRSPRELADHSKYCRFHRQHGHDTEQRRELKR >Ma07_p21640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29720833:29729432:-1 gene:Ma07_g21640 transcript:Ma07_t21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAQRKAVKSASPNLMKKKKNKKSQRDEKELASKDIDALEFDPGSEEEMEEDDRSQSSDVEDDESPSDASSDGEPFTDDFLGGSDNEEAEEPGSGSDSDESDLEAKSRALDEAKSRAEEEAEEELRLNIKEESDEFRLPTKEELEDEARQPPNLQNIRRRINEIVRVLSNFNSLRQEGASRKDYVNQLKADLMSYYGYNEFLIEAFIEIFPAVELIELLEAFEKGRPECLRTNTLKTRRRDLAGVLINRGVNLDPIGKWSKVGLVVYDSQVPIGATPEYLAGHYMKQAASSFLPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNTGIIYANEFKETRLKSLSANIHRMGVTNTIICNYDGKELPKVFGLNSVDRVLLDAPCTGTGVISKEQSIKTSKTIEDVQTRAFVQKQLILAAIDLVDANSKTGGYLVYSTCSMLIHENEAIIDYALKKRDVKVVPCGLDFGRPGFIRFREHRFHPSLEKTRRFYPHVNNMEGFFVAKLKKLSNSKPVAASQPNQTSEVENEAAVIDGNKDSQETPNGKPEQLKTPKHAGKKNRESKSGVQSDGVISTSSKNQAGKKRKLSSKEKVTDSREKRRKALKKRKPKETR >Ma07_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29720833:29729432:-1 gene:Ma07_g21640 transcript:Ma07_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAQRKAVKSASPNLMKKKKNKKSQRDEKELASKDIDALEFDPGSEEEMEEDDRSQSSDVEDDESPSDASSDGEPFTDDFLGGSDNEEAEEPGSGSDSDESDLEAKSRALDEAKSRAEEEAEEELRLNIKEESDEFRLPTKEELEDEARQPPNLQNIRRRINEIVRVLSNFNSLRQEGASRKDYVNQLKIFPAVELIELLEAFEKGRPECLRTNTLKTRRRDLAGVLINRGVNLDPIGKWSKVGLVVYDSQVPIGATPEYLAGHYMKQAASSFLPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNTGIIYANEFKETRLKSLSANIHRMGVTNTIICNYDGKELPKVFGLNSVDRVLLDAPCTGTGVISKEQSIKTSKTIEDVQTRAFVQKQLILAAIDLVDANSKTGGYLVYSTCSMLIHENEAIIDYALKKRDVKVVPCGLDFGRPGFIRFREHRFHPSLEKTRRFYPHVNNMEGFFVAKLKKLSNSKPVAASQPNQTSEVENEAAVIDGNKDSQETPNGKPEQLKTPKHAGKKNRESKSGVQSDGVISTSSKNQAGKKRKLSSKEKVTDSREKRRKALKKRKPKETR >Ma07_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9712231:9713696:-1 gene:Ma07_g12890 transcript:Ma07_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDRWVPTLTPANAAGLRRLSARAAASPRASSSFRRLGLLSLRPLAEAVLSHLRAASVTFRPGLSEPELARLEADLAFSFPPDLRALLALALPSGPGFPDWRAPRPTLLLRLPLAAAAVQVARGALWPRSWGHRPADAARALRRARAALRHAPLLLPLFGRCYLPCSPSLAGNPVFYVDDARVFCCALDLTDFFQRHSAVLGHPEPSSPLRSLDAASAAGMSPRWIEFWSDAASDHRRRSSSSSSSSSSSSFSSSASETASTSSSSPPPDPKRFVEIRSPRLPDWVGSYLDRVGSVLRQGGWGESEVREMVHVPASRVFAGGDELAATAELVDADAVLDALLVKADRCSDSLRRAGWTTDEVSDALGLDLRRRRGKDHRPPVKLPPGIALKLAKLAEAVARS >Ma06_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3808449:3816271:-1 gene:Ma06_g05100 transcript:Ma06_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVHVIEARNLLAMDLNGLSDPYVKLQLGKHRAKTKVVKKNLNPVWDEEFSFRVGDLNEELTVCVLDEDKYFTDDFLGQVKVPLSIVLDAENLTLGATWYQLQPKGKKLKSKDCGEIRLTISMNQKNTEEASAGTRIISDDLASNSDRSGELTKGRHSLSLNDDTESAAAPVLNEMDSLKEEKPNAGTFVDRLFQLFGGKNVEPTCSSTRDVDYSEKMQDVPADEDCEEQMVEACADLPFDELLKTMESKVQSEMPANLPGGVLLDQSYSVAPGDLNSFLFSPSSNFWQSLAEVQGTTGFQAEPWRLENGGESLKRVVTYTKAATKLVKAVTATEEQTYLKADGKNFAVLASVSTPDVPFGSSFRTELLFCIMPGPELSSEEQSSRLVISWRTNFLQSTMMKGIIENGARQGLKDSYIQFAELLSQSIKPVDLKETASSKEQILASMQAEQESDWKLACRFFGNFTVISSVFAVLYVLAHLLLANPSTIQGLEFPGLDLPDSIGEIVVCGVLVLQGERVLNRVGRFLQARKQRGSDHGIKAQGDGWLLTVALIEGSNLAAVDSTGYSDPYVVFTCNGKTKTSSIKFQTLDPQWSEIFEFDAMDDPPSMMNVDVYDFDGPFDEATSLGHAEVNFLKSNLSDLADVWIPLQGKLAQACQSKLHLRVFLNNTRGNDVVTEYISKMEKEVGKKIILRSPQTNSAFQKLFGLPAEEFLINDFTCHLKRKLPMQGRLFLSPRIIGFYANLFGHKTKFFFLWEDIDDIQVIPPSLATMGGPSLLIILRRGRGMDAKHGAKALDPDGRLRFQFQSFVSFSVANRTIMALWKARALSPEQKVQIAEEESGARTLQGEEIGSFLVLEDANMSEVFSSAVDVDINTLMELFDGSSLERRVMEKVGCVDYSVTPWEAVKGDVYQRQIYFKFDKNLSRYGGEVTSTQQKAPLPNKNGWLVEEVMALQGVLLGDYFNLHLRYQIDDLSPKLKACDVHVSLGIAWLKSTKHQKRITKSVISNSSLRLKEMFSKLEDELTQLK >Ma11_p21020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25325667:25326905:-1 gene:Ma11_g21020 transcript:Ma11_t21020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGKMMMMTMNTVVAVVIVVLTVMSGGAEGQSSTPSCASNLVPCSSYVHSTSTPPAECCTPLKQAVDNDLQCLCAVLNDATVMKAFNISTDEGFRLARSCGISNLSDCSNASSPSATPPPPPGNKNGGDGHRANWIGMSTFISLFVFCWSVMA >Ma11_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25325634:25326905:-1 gene:Ma11_g21020 transcript:Ma11_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGKMMMMTMNTVVAVVIVVLTVMSGGAEGQSSTPSCASNLVPCSSYVHSTSTPPAECCTPLKQAVDNDLQCLCAVLNDATVMKAFNISTDEGFRLARSCGISNLSDCSNASSPSATPPPPGNKNGGDGHRANWIGMSTFISLFVFCWSVMA >Ma10_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14052115:14055759:-1 gene:Ma10_g03480 transcript:Ma10_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVEKFVRELQEALDADIQDRIMKEREMQSYIQEREREVAEREAAWKAELSRREAEIARQEARLKMERENLEKEKSVLTGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >Ma05_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6653535:6654978:1 gene:Ma05_g09080 transcript:Ma05_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLCLLSFLLLATVSHAALSPQLVYWHSVLPNTPMPSAISDFIDPDVLAEDKSGVNVYVKGKSGGTTVNVGHGGVHVGTGKPGGGGTNVNVGHGGVHVNTGHKGKPRVAVTVPSSKDFIYNYAATETQIHDDPNVALFFLEEELRSGAKMNLHFTKTTSGGASFLTQKEADAIPFSSAKLPEILDHFSVKPGSAEAEALKTTLQECEEPAVKGERKYCATSLESMVEFSMSSLGTRDVTAVSTTVAKAVTPRQQYTVTGVKALVGDRLVACHPEAYAYAVFYCHATATSKAYTVGLLGVDGVGAEAVAVCHTDTRAWNPNHVAFKVLKVKPGSVPVCHFLPEDHVVWSRSG >Ma06_p23980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22242130:22246539:1 gene:Ma06_g23980 transcript:Ma06_t23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEEERPTSKPMLKKPPGYLDPAAPYPAAPRPPPRRQPLPPAFRQPGKPLTQQRHRRPRRSCCCRVCCWASAVALVLGTLLAVAMGLAYLWFQPRLPSFRLESLNATALRVVASPDGTFLDAAIKVGILATNPNGRIVLEYGDGDARVVVADDDGDVGVGAVAITGFEQGRRNRTVVRFAAAAKGVAVDEVAGARIRAGFRSKEVRFGVELRTKVGLQVGGKSTGKVLIRVGCSPVSLKQGVSGGTLPKCLFYLFSWINLH >Ma03_p33030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34565059:34567226:1 gene:Ma03_g33030 transcript:Ma03_t33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYKPKNILITGAAGFIASHVANRLVCNYPQYKIVVLDKLDYCSNLNNLNPSRSSPNFKFVEDDIRSADLINDLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLDACNVTGRVRRFIYVSTDEVYGETDEDATVGKHEGSQLLPTNPYSATKAGAEMLVMAYGKSYGLPVITTRGNNVYGPFQFPEKLIPKFILLAMRGQPLPIYGDGSNARSFLYYPDKVVQFVENRPFNDQRYFLDYQKLKNLGWSERTAWDDGLKKTMEWYMSHPDWWGDVSGALLAHPRMLMMPGIERHIDGSEETKSMASQSMTSNSQNGMVLPASKSSVMPPKKSYLKFLIYGRTGWIGCLLGKICEKQGIPYEYGRGRLEERSHIILDIQNVKPTHVFNAAGVTGRPNVDWCESHKQETIRSIVVGTLTLADVCREHDLLLMNYATGCIFEHDAKHPEVSGTGFTVEDNPNFIGSFYSKTKAMVEELLREYDNVCTLRVQVPISSDRSNPCKFITKITCYDKVVNIPNSMMVLDELVPISIEMAKRNCRGVWNFTNPGVVSHNVMDSKLNNEMDTTKLKSESPELLSIKDSLIKCVFEPTIQVLSH >Ma03_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9457509:9458185:1 gene:Ma03_g12230 transcript:Ma03_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLHLPHKIMAGHGRRRGEEEEGIRKGWMGIRVGGEGEERQRFVVPVDYLSHPLFVGLLKEAEEEYGFNHQGAITIPCHVEHFRRVQDIIDRDCNSVAAGHHHHGHHHHHHHHFHLCFRA >Ma07_p27320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33766505:33768427:1 gene:Ma07_g27320 transcript:Ma07_t27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFSTTFKSLPPTVDSVKPSLDTIINNSNTYAGEFSPEGSVGFLDVFVHQARDIHNICIYHKQDVYAKICLTSDPDVTVSTQIINGGGRNPVFNDNLRLRVRTVESSLKCEIWMLSKVKNYLEDQLLGFALVPLADVLVANGKLVQEFSLSSTDLFHSPAGFIQLSLTYVGASPDVMALTAAPKSMAPDATLPDAENEDNIPCEYEKIEFPDLKVVNENQMMISEYYGMQCTSMETQCSESLITPENGNCSNEEAGVRLVESFSSAVTSLDPTSSHKIDTPVSNGSTTESTYVLSAISSSTSHALSATVSSPSPEHKSPQVTEGEADSSAQPNDTIMKPIININIKPEQTVVQQDIVDMYMKSMQQFTESLANMKLPMDVDDDSATAQTDETVSSDKKPPPSKGTGSRVFYGSRAFF >Ma07_p27320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33766242:33768427:1 gene:Ma07_g27320 transcript:Ma07_t27320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFSTTFKSLPPTVDSVKPSLDTIINNSNTYAGEFSPEGSVGFLDVFVHQARDIHNICIYHKQDVYAKICLTSDPDVTVSTQIINGGGRNPVFNDNLRLRVRTVESSLKCEIWMLSKVKNYLEDQLLGFALVPLADVLVANGKLVQEFSLSSTDLFHSPAGFIQLSLTYVGASPDVMALTAAPKSMAPDATLPDAENEDNIPCEYEKIEFPDLKVVNENQMMISEYYGMQCTSMETQCSESLITPENGNCSNEEAGVRLVESFSSAVTSLDPTSSHKIDTPVSNGSTTESTYVLSAISSSTSHALSATVSSPSPEHKSPQVTEGEADSSAQPNDTIMKPIININIKPEQTVVQQDIVDMYMKSMQQFTESLANMKLPMDVDDDSATAQTDETVSSDKKPPPSKGTGSRVFYGSRAFF >Ma07_p27320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33766242:33768427:1 gene:Ma07_g27320 transcript:Ma07_t27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFSTTFKSLPPTVDSVKPSLDTIINNSNTYAGEFSPEGSVGFLDVFVHQARDIHNICIYHKQDVYAKICLTSDPDVTVSTQIINGGGRNPVFNDNLRLRVRTVESSLKCEIWMLSKVKNYLEDQLLGFALVPLADVLVANGKLVQEFSLSSTDLFHSPAGFIQLSLTYVGASPDVMALTAAPKSMAPDATLPDAENEDNIPCEYEKIEFPDLKVVNENQMMISEYYGMQCTSMETQCSESLITPENGNCSNEEAGVRLVESFSSAVTSLDPTSSHKIDTPVSNGSTTESTYVLSAISSSTSHALSATVSSPSPEHKSPQVTEGEADSSAQPNDTIMKPIININIKPEQTVVQQDIVDMYMKSMQQFTESLANMKLPMDVDDDSATAQTDETVSSDKKPPPSKGTGSRVFYGSRAFF >Ma07_p27320.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33766235:33768427:1 gene:Ma07_g27320 transcript:Ma07_t27320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFSTTFKSLPPTVDSVKPSLDTIINNSNTYAGEFSPEGSVGFLDVFVHQARDIHNICIYHKQDVYAKICLTSDPDVTVSTQIINGGGRNPVFNDNLRLRVRTVESSLKCEIWMLSKVKNYLEDQLLGFALVPLADVLVANGKLVQEFSLSSTDLFHSPAGFIQLSLTYVGASPDVMALTAAPKSMAPDATLPDAENEDNIPCEYEKIEFPDLKVVNENQMMISEYYGMQCTSMETQCSESLITPENGNCSNEEAGVRLVESFSSAVTSLDPTSSHKIDTPVSNGSTTESTYVLSAISSSTSHALSATVSSPSPEHKSPQVTEGEADSSAQPNDTIMKPIININIKPEQTVVQQDIVDMYMKSMQQFTESLANMKLPMDVDDDSATAQTDETVSSDKKPPPSKGTGSRVFYGSRAFF >Ma07_p27320.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33766235:33768427:1 gene:Ma07_g27320 transcript:Ma07_t27320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFSTTFKSLPPTVDSVKPSLDTIINNSNTYAGEFSPEGSVGFLDVFVHQARDIHNICIYHKQDVYAKICLTSDPDVTVSTQIINGGGRNPVFNDNLRLRVRTVESSLKCEIWMLSKVKNYLEDQLLGFALVPLADVLVANGKLVQEFSLSSTDLFHSPAGFIQLSLTYVGASPDVMALTAAPKSMAPDATLPDAENEDNIPCEYEKIEFPDLKVVNENQMMISEYYGMQCTSMETQCSESLITPENGNCSNEEAGVRLVESFSSAVTSLDPTSSHKIDTPVSNGSTTESTYVLSAISSSTSHALSATVSSPSPEHKSPQVTEGEADSSAQPNDTIMKPIININIKPEQTVVQQDIVDMYMKSMQQFTESLANMKLPMDVDDDSATAQTDETVSSDKKPPPSKGTGSRVFYGSRAFF >Ma07_p27320.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33766184:33768427:1 gene:Ma07_g27320 transcript:Ma07_t27320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFSTTFKSLPPTVDSVKPSLDTIINNSNTYAGEFSPEGSVGFLDVFVHQARDIHNICIYHKQDVYAKICLTSDPDVTVSTQIINGGGRNPVFNDNLRLRVRTVESSLKCEIWMLSKVKNYLEDQLLGFALVPLADVLVANGKLVQEFSLSSTDLFHSPAGFIQLSLTYVGASPDVMALTAAPKSMAPDATLPDAENEDNIPCEYEKIEFPDLKVVNENQMMISEYYGMQCTSMETQCSESLITPENGNCSNEEAGVRLVESFSSAVTSLDPTSSHKIDTPVSNGSTTESTYVLSAISSSTSHALSATVSSPSPEHKSPQVTEGEADSSAQPNDTIMKPIININIKPEQTVVQQDIVDMYMKSMQQFTESLANMKLPMDVDDDSATAQTDETVSSDKKPPPSKGTGSRVFYGSRAFF >Ma01_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7865886:7870371:-1 gene:Ma01_g10950 transcript:Ma01_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLRKVSAGDGGGASVKPHPLANTVTVACPDHLNIAGLPVAKSLGAVTSSAAVRTVGRRSRRNLGERVHFCVRCDFPIAMYGRLVPCEHAFCLTCARSDASCCLCNERIQKIQSIKMMEGIFICAAPNCLKSFLKQSDFESHIREAHADLQSNTKEGGTETEASASSINSCKQLLLQETSIAGAPPMSGFSPSTNPQNHYRDERTRHQQSNDHPFSVVPLHLKPMPFNGPQQRQPGDIQADNNPHPNSWVNQPQSFLGQAGSQNRQVSDQLLSEKQGNAFQSSSSNYPPSQPPLLPNYQLSINSNQAVVPHAAFSYAHHSTKGSEQYYNAPYEIPHTQQVPTGGPAEGSVLGPSPASAGMPSFPGSALCLWGSGQMIVPLNQHFMRAQGVPERYMNVTDSQGRIQSMQGDGRQISGGWLLNHSQVGQPSQLQGVSAVHSDSKGVLAQQVPLPPPQPQPLPTSQQLNAGKVSGFSSVNQ >Ma08_p30530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41762110:41765582:1 gene:Ma08_g30530 transcript:Ma08_t30530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAVAEHMEVEYREEYIRNSRGVRLFTCRWLPTSSSSPKALVFLCHGYGMECSGFMKGCGTKLAAAGFGVFGLDYEGHGRSMGARCYIKKFDNIVADCDWFFKSVCGSSENQDKCRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVNLLTRIEEIIPKWKIVPTKDVIDSAFKDPIKREEIRNNKLIYQDKPRLKTALELLRTSMNLESSLTMVTLPFFVLHGEADTVTDPEVSRALYERAGSSDKTIKLYPGMWHGLTAGEPDDNVEAVFADIIAWLDRRSVCGAHDRVDRWQMKANGDVWNASPDSPVGGGRWSRRPGRFLCGWKGRTQHHSAM >Ma08_p30530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41762110:41765582:1 gene:Ma08_g30530 transcript:Ma08_t30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAVAEHMEVEYREEYIRNSRGVRLFTCRWLPTSSSSPKALVFLCHGRHDLFIHRLLGCLRSIHNIISFLVAGYGMECSGFMKGCGTKLAAAGFGVFGLDYEGHGRSMGARCYIKKFDNIVADCDWFFKSVCGSSENQDKCRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPVVVNLLTRIEEIIPKWKIVPTKDVIDSAFKDPIKREEIRNNKLIYQDKPRLKTALELLRTSMNLESSLTMVTLPFFVLHGEADTVTDPEVSRALYERAGSSDKTIKLYPGMWHGLTAGEPDDNVEAVFADIIAWLDRRSVCGAHDRVDRWQMKANGDVWNASPDSPVGGGRWSRRPGRFLCGWKGRTQHHSAM >Ma06_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12907432:12909962:1 gene:Ma06_g18880 transcript:Ma06_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLAEFLCSLLCSLAYLRLAVRKYFLVMAPGRASPAPHSSWKRPAELAAVANKRSKESSDLRARPVEDVVPGGSKPAGTFVEQVSSLPKQESVECEEKSGHSMVEVAEKKMPRMRRRPAKIAIPKPSADAAFGVANKEKDGVERELAVEGGAYCVVSRKGQRHMMEDGYAVIPNIHGDSKQAFFGVFDGHGGRAAVDFVSEKLGKNIVAALDEPEKEENQAAMAIKAGYLTTDRDFLSQGVRSGACAATVLLRDGELHVANVGDCRVVMSRKGVADALTDDHRAGREDERNRIENSGGYVTCRNGIWRVNDSLAVSRAIGDLNMKEWIVSEPETKSLQLTPDCEFLILGSDGLWDKVGNQEAVDVVSRQSNAVKSCRDLIEVSCRRGNRDDITVMVIDLQKFIQLRGS >Ma05_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6244972:6245760:1 gene:Ma05_g08500 transcript:Ma05_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVEPGSVGSGVRFKEPTADSLAAEATGNCDRGGAPRGLPMQRFEPREDPSFWKDHNVQVVIRIRPLSSSEITLQGHSRCIRQDSCQKHYLDWTFRVSFHL >Ma10_p27570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34802048:34803701:1 gene:Ma10_g27570 transcript:Ma10_t27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKAALWSLAAILMASPGARPPEGTPREPPAIHLLKPLFGSGGLPADGVTCASWRFGVETNNVRGWRTVPRSCEGYVGHYMLGDRYRQDSAVVTAAAAAYVEGLQIPGDGMDAWIFDIDETVLSNLPYYAHHGFGVEPYNATSFNAWVDKGIAPALPESLKLYHKLLPLGIKIVFLTGRSEERRNVTITNLKRAGYRTWEKLLLKGEGVNGTAMAFKTAEREKLEEQGYRIVGNIGDQWSDILGKPEGARTFKLPDPLYYIS >Ma06_p30490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31752701:31753589:-1 gene:Ma06_g30490 transcript:Ma06_t30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELMRVFQMFDRDGDGRITKAEMSGSLQNLGIHVPEVEVAAMMERIDANGDGCVDMEEFGTLCCSIMDERDEEEDMREAFDVFDRNGDGFITVEELRLVLASLGLKQGRTAEDCRKMINEVDDDRDGMVDFKEFKQMMKGGGFEGLS >Ma09_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11336202:11337633:1 gene:Ma09_g15990 transcript:Ma09_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSPTTVRPPPRPAARPRRSQPPLAIRLSLDSQDGGPALVSSGSSPVLVAQSGSPSLPIIRGLSREPELGLFSILFVVSMVVGSFFSLAMISLPALNAFKKLAVSADKLSKVVSEEVPGTLSSLKLSGLEINDLTFQLNILKQRISGKQHGTKQPKRRSSRVRRGNTGIN >Ma04_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1252155:1256096:1 gene:Ma04_g01370 transcript:Ma04_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGANSLGVSGSSSGSSDSLHGLAFGKKIYFEDGGGGGGGGYGGSSSNAPVAPSPAPVAAAAAAAPPQHAKKGKGVVHGGKQQPPRCQVEGCHVDLTGAKAYYCRHKVCGMHSKAPKVMVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKLPPGPFASRYGRNASSFHGPSRFRSFLMDFNYPRFSSNTGDVWPTIRPADRVVGNQISLGLLFPPSGAAMAHDGHPPLEEPLYSIPQIPPGECHAGVTDLSCALSLLSTQPPWSSNTTLRNQTSTSSASSSFDGTSTAQPAISNTCMTNQWSFIGNGGRRSNSQNVQRDMGLATVAAEDVHGQFSGELELARQGNGQCLGHVMHWSL >Ma05_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32621987:32632984:-1 gene:Ma05_g20920 transcript:Ma05_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide glucuronosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G18480) UniProtKB/Swiss-Prot;Acc:Q8GWB7] MGSPVARLGFLAMVLLVLGATGCGRAKTEDAYVTLLYGDEFLLGVRVLGKSIRDTGSTKDMVVLVSDGVSDYAMKLLHADGWIVEHISLLANPNHVRPTRFWGVYTKLKIFNMTNYRKVVYLDADTIVIKSIEDLFKCGKFCANLKHSERLNSGVMVVEPSESTFKDMMSQVNSLYSYTGGDQGFLNSYYSDFPNAHVFEPDLSSDAKNSIPVPEMQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLLKPVDVWQNVRKQLGDSLPGTGGGRNPNDQLLVKFLFAFPVFLLIFCYYRSFLQINKDFATIFSRSSLCDYARRIYYRYRSGGIPAYSGVGVASSSFVNSSQQFSTGAHSKLPAHLGAISVVICFVAAALSLGLSFILVPHQVMPWTGLLLMYEWTFVTFFIIFGSYLNFIYQWGKSTGNQTNHNRFDSSDYDSGKGSQRSSSSCDIVPWFYWLGMAFLAVIVPSMPCLLGITALFARLGLMVGGGLILAAFVTFSSEHLAIRAFTKGCEDRHQPRARNICLLC >Ma11_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6325099:6325463:-1 gene:Ma11_g07950 transcript:Ma11_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSSPYPHFQVLGFLWPLMSYIWTCQERSFFGLHSLLQLAKRKIEEKQSKGCTSLSIHHNWESLQACSETEPAMSNAISTLIE >Ma01_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5827005:5839149:1 gene:Ma01_g08130 transcript:Ma01_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MESIRSERSPSSEIGDGRRSGWPSLDRQPPNCDSSAVQDALEHLASIDLLELCNEAKVEHCRATRDLSSCGRCVQHVLNSCGHASLCEECSKRCDVCPICRTLIPSDECRLRLRLYYKCIEVGLILKQHDDRSQEREVSQKHMTVHIERLYSLFDVALKNNLVSLICHYITDVCMNENAVSSDPVFAFLLDEVVVKDWCKETFKNIVSDLCEIYSRGTEAMRSSMSLMQKFALHLSGLSNVLEVMTSSFKETFAAQVHDLHHLLENVLKAKQHLEVMIWCTRHQFLRDVQSRFYNLGNSEAWNLDVYERKSAAIKRSWPQCSSNLVDSAGQHDNTLFIEDALSNLGIEESYVQTGVEVDISCLQDDSSGLLFLSKIDIAGGNGYPFRNLQAAADILFLRGTSDMVVAKQAIFLYYLFDRHWKRPDAEWKHIVDDFAISFGIATHTVQESLVFYLLDDHTPQALQEAINLLPEVAGPEIHPKIAQVLLERQRADVALSILRCSGRDGGLSNINTDNDFPQHEFLGEAITAVRVRIECGLLTEAFMFQRMQCSKAKDKNLKYKLDIGFSNSSNTEFWIYLTEVLVTEICHLCIRRNLVDRMIEFPWNSDEEKHLHKCLFDHGSQNPSSTCGSLLVVFYLQRYRFTEAYLVNRDIQTMEQTILESANEDVASRVQLICQWREGLIDKCLDLLPEVQRQNILAENVTDYGHVSLEDVQMSLVSDLSNAQPNLSTIPNNSSVVLQTNSSSYALRKSNAPAASPMHDSNFGTASKVPSAIQRRLLVSFESPSANMNAEATDGAYSSYPFNGVIPSSNDTNVRNMMWQADMKQAFQPVDGDHSVKFHHGSKAKPKPTRGPNSSAARVLRNENPRLVFPRVTSADHCHDGSRKESVHDPIQSSRRYNLPDGSLTMISREANASSWSFGRSDIPEDKGRMVGSRWRSDESSEDEEDFESTKLTVGGASATSRRRPRLFRR >Ma09_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11405002:11406321:1 gene:Ma09_g16090 transcript:Ma09_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLTTATFLVKTSLCIATGFLPFPLASIICNKDHLFMERKDDSPSSSIDSSSHPAFSTASSVTQPRSRDFSTDLSLGLSLLTSSPPDWYSKQRNQVSLTGHPRLFVKVYMEGIPIGRKLDLYAHDSYGGLIQTLGRMFRTSIMYPETARVPCDHVLTYEDKEGDWMMVGDVPWELFLITVKRLKIMRADRC >Ma01_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3185729:3187462:-1 gene:Ma01_g04650 transcript:Ma01_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILQLFSMVLLLCSFSSLLWVVFGRWRYRNCYLLEYVCFKASDDRKISTELSGDIVERNKRLGFPEYKFLLKVIVNSGIGEDTYGPRNIVEGREECPTHDDGLSEMDDFMNTTLDELFRKTGFSPEDIDVLVVNVSMFSPAPSLASRIVRRYKMREDVKVFNLSGMGCSASLIAVDLVNSIFKTRGRTLAVVVASESIGPNWYCGSDKSMMLGNCLFRSGGCSMLLSNDPSLQHRAKMSLKCLVRTHIGANNDAHNCAAQKEDDGGLVGFYLGRDLPKAAVRAFSENLQRLLPKVLPVRELILYAARAARQRLRRSKADAAAAAARVNFKAGVDHFCLHPGGSAVIEGVGRSLGLSNYDLEPARMTLHRWGNTSASSLWYVLAYMEAKKRLKKRDRLLMVTFGAGFKCNSCMWEVSRDLQDEGVWEDCIHGYPPETLVNPYMEKYGWVNSNE >Ma11_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16358635:16363165:-1 gene:Ma11_g12430 transcript:Ma11_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSTIAPAEVLYHSRRDDAHHRVYVHRSEEAMLVTNNQEDRSFIIEESYERLQRSRMQYIHLGTLQVRLQTLHRQEEGTLALLVFRDNRWMDDRSIIATMEVDLTRGSQLVYVIPDIMMTIGDFYRNIQLSILTRGYDTWRNGEANLLVTRGMIGRLSNTPNVAFAYEVSGVVDYLTSHGVRALPGRSPTKMKIAVKEIEFLGAVLGNSRIKLQPHIIKKITEFKEEDLTTKRGLRSWLGILNYARNYIPNLGKLLGPLYSKTSPTGEKRLNEQDWKLIKEVKSKVQRLPDLEVPPLDCYIVLEVDGCMEGWGGVCKWKNKKGDPRSTERICAYASEKFSPIKSAIDAELHAVLKTLEALKIYYLDKREILIRTDCQAIISFFNKSAQNKPSRV >Ma04_p34900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33868038:33875191:-1 gene:Ma04_g34900 transcript:Ma04_t34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVSGKELGKGLQQAAAMDSGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYMRQQLHSPSVATDASCESVVTSGQHHHQQNPTSQHPERDANNPAGLLAIAEETLAEFLSKATGTAVEWVQMVGMKPGPDSIGIVAVSHNCGGVAARACGLVSLEPTKVAEVLKDRPSWYRDCRCLDVLTVIPTGNGGNIELTYMQTYAPTTLAAARDFWTLRYTTALEDGSLVICERSLTPATGGPTGPAATNFVRAEMLSSGYLIRPCEGGGSMIHIVDHVDLDPWSVPEVLRPLYESPKILAQKTTIAALRHLKQIAQETSGEVPFGGGRQPAVLRAFSQRLSRGFNDAVNGFADDGWSLLGSDGVEDVTIAINSSPNKLFGSHVNPSAIYSTLGGGVLCAKASMLLQNVPPAILVRFLREHRSEWADCGVDAYSAASLRTGSYAVPGVRPNSGFLGSQVILPLAHTAENEEFLEVIRLEGNGFNQDDVILSRDMYLLQLCSGVEENAVGACAQLVFAPIDESFDDNLPLLPSGFRVIPLDPKTDSPATTRTLDLASTLEVGSGATSRSVNETASTTYNLRSVLTIAFQFTYENHLRDSVAAMARQYVRSVVTSVQRVAMAIAPSRPGCQIGVKHPPGSPEAHTLARWISQSYRVHTGAELFQVDSQANDSSLKLLWHHSDAIMCCSLKVAPVFTFSNQAGLDMLETTLIALQDITLEKILDDNGRKVLCSEFPKIMQQGFAHLPAGICLSSMGRPASYEQAVAWKVLDEDDSPHCLAFMFVNWSFV >Ma04_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21765775:21767574:-1 gene:Ma04_g19180 transcript:Ma04_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVWCFVFLIVLLLPLVARGDCDCSADEEGRDKKKALPLKIAAIFSILVCGGIGVCIPILGKWIPALRPDKDIFFVIKAFAAGVILATGFIHILPDAFENLTSPCLASSPWQDFPFAGFGAMVAAVATLMIDTLATGYFNRLHRKNMRTTASDETTADVEKTSDGSDHVHTHATHGHAHGSDGDASAQLIRNRVISQVLELGIVVHSVIIGISLGASEVPSTIRPLVAALSFHQFFEGMGLGGCIVQAKFKAKSIVTMGLFFSLTTPVGIAIGIGIASVYDENSPTALIVEGCLNSVASGILIYMALVDLLAADFMNPRVQSKARLQFMINVSLLVGAGLMSLLAKWA >Ma02_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17964216:17968895:1 gene:Ma02_g07170 transcript:Ma02_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVVCGKRSSSIFEDLHLHTPPPASKRARCASGAFLPTAALRLSPLADRDNGENSGDGTNLFAANLAHLRSLFPEMDHQLLERALEESGNDLDSALQSLNDLHLETTKFNLDSSVSKSEIGVETNVQPSTEGVINTNGVDQVASGLSAADVLPKDGSEWVELFVREMMSATDMDDARCRASRVLESVEKWIMAHATTEALQSFHKENTNLKNQLEVLLKENTILKHAVAIQHGRQKDYNEKSQELQHLRHLVSQYQEQLKTLEFKNYALSVHLKEAQQCNSIMGRCNPDVF >Ma02_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28373347:28374595:-1 gene:Ma02_g23300 transcript:Ma02_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 4 [Source:Projected from Arabidopsis thaliana (AT4G25050) UniProtKB/TrEMBL;Acc:F4JRT7] MATVSAASVRFASLKPASRSNQANGVTSGVMFVTIGTSKLGFPSLRSSRFRVHCAAKPETVNKVIDIVKKQLALSDDTSLTPESKFSSLGADSLDTVEIVMCLEEEFDISVDEDNSQNITTVQEAADLIEKLVLQKAEAD >Ma01_p17710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12979606:12981874:1 gene:Ma01_g17710 transcript:Ma01_t17710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASALNRGRRWTVRRAGDSPAVQGKRPGRRLRLLRYEELPEYLKDNEFILDHYRSEWPIRDALLSAFAWHNETLNVWTHLGGFFLFLVLMVAESMAVIDEVTGAVVPGLSASAFLSMVRSSNATENSWSGNALLSSALQSSWLGTTFSVDQAIPRWPRLVFLLGCMGCLVVSAISHLLACHSHNLNLFFWRLDYVGISLMIVSSFVPPIYYSFLCHPIARLTYLSAIAMLGLLTILTLLAPALSSSRYRSFRAMLFLAMGFFGVVPAVHAMWLNWEHPEAHLVLGLEVAMAIAYASGAGVYVSRIPEKWRPGEFDLIGHSHQIFHVLVLVGALTHYVATTVLLNWLDRAMTSCSVFYDSRVSL >Ma01_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12979606:12981874:1 gene:Ma01_g17710 transcript:Ma01_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASALNRGRRWTVRRAGDSPAVQGKRPGRRLRLLRYEELPEYLKDNEFILDHYRSEWPIRDALLSAFAWHNETLNVWTHLGGFFLFLVLMVAESMAVIDEVTGAVVPGLSASAFLSMVRSSNATENSWSGNALLESSALQSSWLGTTFSVDQAIPRWPRLVFLLGCMGCLVVSAISHLLACHSHNLNLFFWRLDYVGISLMIVSSFVPPIYYSFLCHPIARLTYLSAIAMLGLLTILTLLAPALSSSRYRSFRAMLFLAMGFFGVVPAVHAMWLNWEHPEAHLVLGLEVAMAIAYASGAGVYVSRIPEKWRPGEFDLIGHSHQIFHVLVLVGALTHYVATTVLLNWLDRAMTSCSVFYDSRVSL >Ma01_p17710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12979669:12981874:1 gene:Ma01_g17710 transcript:Ma01_t17710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASALNRGRRWTVRRAGDSPAVQGKRPGRRLRLLRYEELPEYLKDNEFILDHYRSEWPIRDALLSAFAWHNETLNVWTHLGGFFLFLVLMVAESMAVIDEVTGAVVPGLSASMVRSSNATENSWSGNALLESSALQSSWLGTTFSVDQAIPRWPRLVFLLGCMGCLVVSAISHLLACHSHNLNLFFWRLDYVGISLMIVSSFVPPIYYSFLCHPIARLTYLSAIAMLGLLTILTLLAPALSSSRYRSFRAMLFLAMGFFGVVPAVHAMWLNWEHPEAHLVLGLEVAMAIAYASGAGVYVSRIPEKWRPGEFDLIGHSHQIFHVLVLVGALTHYVATTVLLNWLDRAMTSCSVFYDSRVSL >Ma07_p00450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:386268:395051:-1 gene:Ma07_g00450 transcript:Ma07_t00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-arabinopyranose mutase 4 [Source:Projected from Arabidopsis thaliana (AT5G50750) UniProtKB/Swiss-Prot;Acc:Q9LUE6] MRNPTHAPFPLMKDEVDIVIPTIRTLDFLESWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYDLYNRDDINRILGPKASCISYKESACRCFGFLISKKKYIYTIDDDCFVAKDPSGKEIDAVEQHIQNLITPSTPFFFNTLYDPYREGADFVRGYPFSMRSGVPTAVSHGLWLNIPDYDAPTQLVKPRERSTRYVDAVITIPKGTLYPMCGMNLAFDRELIGAAMYFGLLGDGQPFKRYDDMLAGWLSKVVCDHLGLGVKTGLPYIWHSKASDPFVNLKKEFNGLFWQEELIPFFQSVVLPKECTTAQQCYLELAKLVKENLASIDPYFIRLADAMVTWIEAWDEFNPPKPAA >Ma07_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:386268:387719:-1 gene:Ma07_g00450 transcript:Ma07_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-arabinopyranose mutase 4 [Source:Projected from Arabidopsis thaliana (AT5G50750) UniProtKB/Swiss-Prot;Acc:Q9LUE6] MALMKDEVDIVIPTIRTLDFLESWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYDLYNRDDINRILGPKASCISYKESACRCFGFLISKKKYIYTIDDDCFVAKDPSGKEIDAVEQHIQNLITPSTPFFFNTLYDPYREGADFVRGYPFSMRSGVPTAVSHGLWLNIPDYDAPTQLVKPRERSTRYVDAVITIPKGTLYPMCGMNLAFDRELIGAAMYFGLLGDGQPFKRYDDMLAGWLSKVVCDHLGLGVKTGLPYIWHSKASDPFVNLKKEFNGLFWQEELIPFFQSVVLPKECTTAQQCYLELAKLVKENLASIDPYFIRLADAMVTWIEAWDEFNPPKPAA >Ma11_p03530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2612530:2615207:-1 gene:Ma11_g03530 transcript:Ma11_t03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAVRMPSATAGGWPRPNYADALTKCLLFYEGQRSGKLPPTQRLAWRKDSALTDGQEAGVDLVGGYYDAGDNVKFGLPMAFTGTMLAWSIIEFSAELGRELVHAHEALRWLTDYLLKATAQPNRIFVQVGDPDSDHNCWERPDDMDTPRPVYQVNRTHPGSEVAGETAAALAAASIVFRSSDPAYAATLLSRAQAVYDFGNTYQGSYNSLGNAVCPFYCDYNGYQDELVWGAAWLNRATISTLYQSHIIHGIKQMELEENVSDLEFGWDAKNAGNYLLLFLQHKTDSEYGRPVEEFACAMMPQSHSNYIKYTPGGLIYATPGCNMQDVTGFSFLLLLYARYLTNVSRTLTCGGSQYPPSALIDVARSQVNYLLGQNPFNMSYMVGYGTKFPQKIHHRGSTLPSMDQHPQHLLCGDGTPYFDSNASNPNLLIGAVVGGPNDGTDNFVDSRYWPNQTEPTTYVNAPLVGLLAYFSKN >Ma09_p28240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39066397:39073182:1 gene:Ma09_g28240 transcript:Ma09_t28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTKKQVNLFYCSESEELARKVADQSDAIQLRTISWRNFDDGFPNLFINNAHDIRGQHVAFLASFSSPGVIFEQISAIFALPKLFIASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSMIPKSRGGPTSIVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRKLPDADNVTIAFPDDGAWKRFHKQLMHFPMIVCAKVREGDKRIVQIKEGNPAGRHVVIVDDLVQSGGTLIECQKVLTAHGAGKVSAYVTHGVFPKRSWERFVHIKAERSDHRFANFWITDSCPLTVKAIANEAPFEVLSLAGSIADALQV >Ma01_p22750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25854036:25860866:1 gene:Ma01_g22750 transcript:Ma01_t22750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPEEAHLAAWEATKEGIGRKDRRRRRKKKKKEGSSSKREETGCWMKFRLMGGCIPSRAKVDTSISSATTQCESKSTNDGSRDQPVAPLASGSTTTSNAESSSSASKVGEDLKVASQLRKFAFNELKSATRNFRPESLLGEGGFGCVFKGWIEENGTAPVRPGTGLTVAVKTLNHDGLQGHREWLAEVNYLGELQHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRIRIALGAAQGLAFLHEEAERPVIYRDFKSSNILLDVDYNAKLSDFGLAKDAPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGNFSVKGAQKVSQLAYACLSRDSKARPMMSEVVEALKPLVNLKDMASSSYFFQTMQTERAMAHSNSLGARNGSKLQGSFGRNGQQPMRSLSHGAHASSYHQSPKPSGR >Ma01_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25854036:25860866:1 gene:Ma01_g22750 transcript:Ma01_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPEEAHLAAWEATKEGIGRKDRRRRRKKKKKEGSSSKREETGCWMKFRLMGGCIPSRAKVDTSISSATTQCESKSTNDGSRDQPVAPLASGSTTTSNAESSSSASKVGEDLKVASQLRKFAFNELKSATRNFRPESLLGEGGFGCVFKGWIEENGTAPVRPGTGLTVAVKTLNHDGLQGHREWLAEVNYLGELQHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRIRIALGAAQGLAFLHEEAERPVIYRDFKSSNILLDVDYNAKLSDFGLAKDAPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGNFSVKGAQKVSQLAYACLSRDSKARPMMSEVVEALKPLVNLKDMASSSYFFQTMQTERAMAHSNSLGARNGSKLQGSFGRNGQQPMRSLSHGAHASSYHQSPKPSGR >Ma05_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34294142:34296937:1 gene:Ma05_g22540 transcript:Ma05_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSGLNLVTTVIGFGMSATFIVFVCTRLLCSRIRSTDSRATPLDIELRSDVGQPEHSIGGLEPVLVDAIPTMKYKLEAFSSREDNQCSICLGEYEEKEILRIMPSCHHNFHLACIDVWLQKQTTCPICRLPLNESLEAKSAASPLFDDQMDGSHEVSGDQYNQWILPSGHHAERMEITQEIHEPEYVVVGVSYHEGREART >Ma07_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12762975:12764187:1 gene:Ma07_g16140 transcript:Ma07_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAKPHPPGGTSKLVGPLAIVAYLLSLPVLAFGIWLVSTRDYDCEDLLREPNVRVSVGVGLLLVFAISNFVVYYGDRVLMPGHMVLSVALVVMLTAGLSLVGTYRMEARGLPGSPLWLRNRVMRAETWNEVKTCLYGDMICEDLAYRTIQFTSHDFSLTKLSALECVLLS >Ma02_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28710342:28710695:1 gene:Ma02_g23890 transcript:Ma02_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPHDEDKYIDQLASVIPIGNGTIRTCIRHWRWAMSFAPSDSHEAQVYLFHTRIRCSCSCRCFWIN >Ma03_p27800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31057254:31061681:1 gene:Ma03_g27800 transcript:Ma03_t27800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRSSDTHPMSTVGLIVHPLVFMFLYGFTRGDPRSETVEVMCGRQLEHNTTAYVPNFLAVMDNVGNQIRAGGFGKSTVGSGPDGNYGLGQCYGDLSSLDCALCYAEARTVLPKCFPYNSGRIFLDGCFMRSANYSFFTEYTGPEDNIKCGNATRKSRVFEQMAQQALQEATDKAPGNGGYAKASALRSGVLNESAYVLVNCWRTLNESSCRACLKNASASVVGCLPWSEGRALNTGCFLRYSDTNFLNADQSSGLGRRSIIAMVAAIGSALMVIGVGLTVGVFMWKQRKLKKKRGANNATRLASALYDSSLNFKYSTLEKATGGFSISNKLGQGGFGTVYKGTLSDGREIAVKRLFFNNKHRVSDFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFLFDRENGKALDWRKRFNIITDTAEGLSYLHENPKVKIIHRDIKASNILLDLKFRAKIADFGLARSFQGDKSHISTAIAGTLGYMAPEYIAHGQLTEKADVYSFGVLMIEIVTGISNNRSKICEYDSESSESLLTQAWKHFQSGTVEQLMDPNITLDRYADGDAVKEEVMKMFHVGLLCTQEIPTFRPSVSCALQMLLDREKQPPAPTKPPFTDDASMELNETKENVDWPRDPSSIANVSTGSYDPR >Ma03_p27800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31057254:31061681:1 gene:Ma03_g27800 transcript:Ma03_t27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRSSDTHPMSTVGLIVHPLVFMFLYGFTRGDPRSETVEVMCGRQLEHNTTAYVPNFLAVMDNVGNQIRAGGFGKSTVGSGPDGNYGLGQCYGDLSSLDCALCYAEARTVLPKCFPYNSGRIFLDGCFMRSANYSFFTEYTGPEDNIKCGNATRKSRVFEQMAQQALQEATDKAPGNGGYAKASALRSGVLNESAYVLVNCWRTLNESSCRACLKNASASVVGCLPWSEGRALNTGCFLRYSDTNFLNADQSSGLGRRSIIAMVAAIGSALMVIGVGLTVGVFMWKQRKLKKKRGANNATRLASALYDSSLNFKYSTLEKATGGFSISNKLGQGGFGTVYKGTLSDGREIAVKRLFFNNKHRVSDFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFLFDRENGKALDWRKRFNIITDTAEGLSYLHENPKVKIIHRDIKASNILLDLKFRAKIADFGLARSFQGDKSHISTAIAGTLGYMAPEYIAHGQLTEKADVYSFGVLMIEIVTGISNNRSKICEYDSESSESLLTQAWKHFQSGTVEQLMDPNITLDRYADGDAVKEEVMKMFHVGLLCTQEIPTFRPSVSCALQMLLDREKQPPAPTKPPFTDDASMELNETKENVDWPRDPSSIANVSTGSYDPR >Ma03_p27800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31057254:31061681:1 gene:Ma03_g27800 transcript:Ma03_t27800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGFANSTDRSGTCCVMKKIRSSDTHPMSTVGLIVHPLVFMFLYGFTRGDPRSETVEVMCGRQLEHNTTAYVPNFLAVMDNVGNQIRAGGFGKSTVGSGPDGNYGLGQCYGDLSSLDCALCYAEARTVLPKCFPYNSGRIFLDGCFMRSANYSFFTEYTGPEDNIKCGNATRKSRVFEQMAQQALQEATDKAPGNGGYAKASALRSGVLNESAYVLVNCWRTLNESSCRACLKNASASVVGCLPWSEGRALNTGCFLRYSDTNFLNADQSSGLGRRSIIAMVAAIGSALMVIGVGLTVGVFMWKQRKLKKKRGANNATRLASALYDSSLNFKYSTLEKATGGFSISNKLGQGGFGTVYKGTLSDGREIAVKRLFFNNKHRVSDFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFLFDRENGKALDWRKRFNIITDTAEGLSYLHENPKVKIIHRDIKASNILLDLKFRAKIADFGLARSFQGDKSHISTAIAGTLGYMAPEYIAHGQLTEKADVYSFGVLMIEIVTGISNNRSKICEYDSESSESLLTQAWKHFQSGTVEQLMDPNITLDRYADGDAVKEEVMKMFHVGLLCTQEIPTFRPSVSCALQMLLDREKQPPAPTKPPFTDDASMELNETKENVDWPRDPSSIANVSTGSYDPR >Ma03_p27800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31057254:31061681:1 gene:Ma03_g27800 transcript:Ma03_t27800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGFANSTDRSGTCCVMKKIRSSDTHPMSTVGLIVHPLVFMFLYGFTRGDPRSETVEVMCGRQLEHNTTAYVPNFLAVMDNVGNQIRAGGFGKSTVGSGPDGNYGLGQCYGDLSSLDCALCYAEARTVLPKCFPYNSGRIFLDGCFMRSANYSFFTEYTGPEDNIKCGNATRKSRVFEQMAQQALQEATDKAPGNGGYAKASALRSGVLNESAYVLVNCWRTLNESSCRACLKNASASVVGCLPWSEGRALNTGCFLRYSDTNFLNADQSSGLGRRSIIAMVAAIGSALMVIGVGLTVGVFMWKQRKLKKKRGANNATRLASALYDSSLNFKYSTLEKATGGFSISNKLGQGGFGTVYKGTLSDGREIAVKRLFFNNKHRVSDFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFLFDRENGKALDWRKRFNIITDTAEGLSYLHENPKVKIIHRDIKASNILLDLKFRAKIADFGLARSFQGDKSHISTAIAGTLGYMAPEYIAHGQLTEKADVYSFGVLMIEIVTGISNNRSKICEYDSESSESLLTQAWKHFQSGTVEQLMDPNITLDRYADGDAVKEEVMKMFHVGLLCTQEIPTFRPSVSCALQMLLDREKQPPAPTKPPFTDDASMELNETKENVDWPRDPSSIANVSTGSYDPR >Ma03_p27800.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31057254:31061681:1 gene:Ma03_g27800 transcript:Ma03_t27800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGFANSTDRSGTCCVMKKIRSSDTHPMSTVGLIVHPLVFMFLYGFTRGDPRSETVEVMCGRQLEHNTTAYVPNFLAVMDNVGNQIRAGGFGKSTVGSGPDGNYGLGQCYGDLSSLDCALCYAEARTVLPKCFPYNSGRIFLDGCFMRSANYSFFTEYTGPEDNIKCGNATRKSRVFEQMAQQALQEATDKAPGNGGYAKASALRSGVLNESAYVLVNCWRTLNESSCRACLKNASASVVGCLPWSEGRALNTGCFLRYSDTNFLNADQSSGLGRRSIIAMVAAIGSALMVIGVGLTVGVFMWKQRKLKKKRGANNATRLASALYDSSLNFKYSTLEKATGGFSISNKLGQGGFGTVYKGTLSDGREIAVKRLFFNNKHRVSDFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFLFDRENGKALDWRKRFNIITDTAEGLSYLHENPKVKIIHRDIKASNILLDLKFRAKIADFGLARSFQGDKSHISTAIAGTLGYMAPEYIAHGQLTEKADVYSFGVLMIEIVTGISNNRSKICEYDSESSESLLTQAWKHFQSGTVEQLMDPNITLDRYADGDAVKEEVMKMFHVGLLCTQEIPTFRPSVSCALQMLLDREKQPPAPTKPPFTDDASMELNETKENVDWPRDPSSIANVSTGSYDPR >Ma03_p27800.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31057254:31061681:1 gene:Ma03_g27800 transcript:Ma03_t27800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGFANSTDRSGTCCVMKKIRSSDTHPMSTVGLIVHPLVFMFLYGFTRGDPRSETVEVMCGRQLEHNTTAYVPNFLAVMDNVGNQIRAGGFGKSTVGSGPDGNYGLGQCYGDLSSLDCALCYAEARTVLPKCFPYNSGRIFLDGCFMRSANYSFFTEYTGPEDNIKCGNATRKSRVFEQMAQQALQEATDKAPGNGGYAKASALRSGVLNESAYVLVNCWRTLNESSCRACLKNASASVVGCLPWSEGRALNTGCFLRYSDTNFLNADQSSGLGRRSIIAMVAAIGSALMVIGVGLTVGVFMWKQRKLKKKRGANNATRLASALYDSSLNFKYSTLEKATGGFSISNKLGQGGFGTVYKGTLSDGREIAVKRLFFNNKHRVSDFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFLFDRENGKALDWRKRFNIITDTAEGLSYLHENPKVKIIHRDIKASNILLDLKFRAKIADFGLARSFQGDKSHISTAIAGTLGYMAPEYIAHGQLTEKADVYSFGVLMIEIVTGISNNRSKICEYDSESSESLLTQAWKHFQSGTVEQLMDPNITLDRYADGDAVKEEVMKMFHVGLLCTQEIPTFRPSVSCALQMLLDREKQPPAPTKPPFTDDASMELNETKENVDWPRDPSSIANVSTGSYDPR >Ma10_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5419328:5421255:1 gene:Ma10_g01880 transcript:Ma10_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVRSRASLLLTLVIVVFLVTARGETTPVKEANPRITYGALRPSSQPARPGGPYTRGCRNNVNCRPPSAP >Ma06_p27590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29543108:29548418:-1 gene:Ma06_g27590 transcript:Ma06_t27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAATDAIAFFLSHIEQCLATKNLKLGHCLHACLTKTALTQHTLIANRLIDIYSRCGSLPCAKSTFNDLRFKNDQSHNTMLAAYCRAGSLDVAYRFFDQISGRNLVSYNTMISSLTHHGHHEEALDLFSQMRKDIIIMDKFTVVGISVACASLLALRCLRQLHASVIVSGLELNLIMSNVMIDAYGKCGDVDASRCLFNRMKRRDVVSWTSMVSAYASACRLEEARAVFASMPERNVVSWTALISGYEQNGEEEAALELFTQMMAEGVIPTPFTLVSILSACASLGLIERGKQVHGFTFRRFIGSDSFNVFIFNALIDMYAKCGNMVSASTVFDRMPERDLVSWNSVVSGFAQNGHGKQSLDVFERMVKAGVKPNHVTFLGVLSACSHAGLASEGCRMLSVMEKEHGICPRAEHYGALIDTLGRKHQLKEAMQFIECLASGGDLASVGIWGALLGACRVHGNLEIANIAAESLFKLDPKNGARYTMLSNIYAAAGLWDDVRRVRLLMKEKRLQKDPGYSWLEVRSAKHMFVAYDKSHYQAEEIYSLLATLVDHMIDARDSVEYKQCQLWEQVKEESDKSCHPCIIKFQECSLQPRPPPSPNGMAVPPIAQPF >Ma08_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33802832:33806334:-1 gene:Ma08_g19920 transcript:Ma08_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRFRFSLPRPPKPPRGSTGATSFRLAAAAIGAGIGFAVGFSLESAADTSGSRRKPWSHASPIWASLSLADGPTGTSVEPRTGAAFPTVLDGGRRLTGIGLRKTSVLGLKNIDVYAFGVYADDSDMKGLREKYGTFSVSELEENKEFISDVLDQDLRMTVRLQIVYNRLSIGSVRNAFAKTVGSRMQKFSGSDNRELLQRFTSLFKDEYKLPRGSVIDLSREQGYVLQIRIGGKEVGEIQSKLLCKSVLDLYFGEDPFDKRAKEDIQSGLASILQERCD >Ma04_p25630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27346364:27347012:1 gene:Ma04_g25630 transcript:Ma04_t25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRERVFHWLDAKGYFAFLRSCAECGNLEASLFLGLDEIYNRRRKSLGLHHLHKAMKGGHRVAAYTLGIILLQDPETQPLGIKTLNKLAAMDLPGAPSAHESSISGDVLIATCRREAASAMRDLTWTRLHLRPRRPCTNRLCGRVERAGKANPWSGEESYRFCSQLCRWTHELYKFSELI >Ma08_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3903045:3904965:1 gene:Ma08_g05740 transcript:Ma08_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILCPQSLILFFLLFSLSALYLFFFYFRNNCRSAGGGGCAYPPHLRPYPLIGHLPHFLRNRHRMPDWIAESLAATPTNTFVLTRPGGIRGVLTANPANVEHILRSHFDNYPKGPQSISLLHDFLGDGIFNSDGDAWRVQRKTASFEFNTKSLRSFVVRCVQREILSRLLPLLERCSLKDAAFDLQDVLERFAFDNICNVAFNQDPACLAEDDDNGARNSFFSGFAPAFSDAAVISAGRFRYAVPRLWVIKKLLGVGSERRLKESIAKVHDFAMQIIRLKKKENRASSSSPQAEDLLSRFIANEDHSEEFLRDIVISFMLAGKDTTSSALTWFFWLLSSQPEVEAKILDEIRSVRARRPDTTRTVFDFEELKEMHYLHAAITESMRLYPPVPFNSVHCLSDDVLPDGTAVKKGWFMTYTSYAMGRLETVWGPDCREYKPERWLEEETRTFRAESPFKYPVFHGGPRTCLGKEMAYIQMKSVAACMVERFAVEVVDKEACPEKMRSLTLRMKRGLPVRLRSRE >Ma01_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9407009:9411727:-1 gene:Ma01_g12860 transcript:Ma01_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAAAGLHVVVARPCASSPGRMFMSRTAFSRINLKGASQGLANSSSIFSIRSPCERYSSKYCRVVTRAMSGESEGRTAYGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRRLPNGSLMHIAKVYPLDAVYDSPEDVPEDVKTNKRYAGSSNWTVQEAAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSFVSLLQHFLPIMNPGGATVSLTYIASERTIPGYGGGMSSAKAALESDTRVLAFEAGRKGQIRVNTISAGPLGSRAAKAIGFIEKMIDYSYSNAPLQKELLADEVGNTAAFLVSPLASAVTGSVVYVDNGLNTMGLAIDSRALATQN >Ma02_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14240722:14242242:1 gene:Ma02_g02820 transcript:Ma02_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHEVANPHESSVVRGDETEEKATGEAATMMMHSSAMAQAMLFTGHRYAREIPTMESALTHVIMSGEGRRLVGASSSSSAASSSSSSSSQSHVSSLYSSPCLGGEGGGGASSQKRMRLELPPETPLMYYPRVGAPLDVAEQYPQSFLPALQPFAPAAAMDEPSPASFNREEEEGTTQRRKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDAAALRFRGSRAKLNFPENVCLRPSPSAPPPYSSLLQGAEQFMLSGHASAPMAPTVRDSSSWPSGSFPAYSVPSSIVVPSSSASSAAGDQGMHRDGASEFPATYWMDSSRFPPPSSGHS >Ma07_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4386840:4388681:1 gene:Ma07_g06070 transcript:Ma07_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGLARSLLVRGFGLALIIVSAWIGAARAEIREMEVRSDARSIIPFDEFGFTRAGGLELNVTGIAFSPEAESPDLSQLGFFLSTRDAWLHVLRQLQDHDITCALQSDLVRLVYSFDGLVPPPNPSGVGVARSSTFRFRLNLTDQPGQFTLVFANCLPPLRVSMSVRSAMFNLPDPSHRAYLSAGAAVLPLVYFILFLTYSALAAAWAAVLLRRRRAAFRIHYFMLAVVVLKAFNLLCEAEDKSYIERTGSAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLQDREKKVLMVVIPLQVVSNVAQVAIDESGPYARDWVTWKQVFLLVDVICCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALTTITSYRYLWTSVVAGELATLAFYVFTGYRFRPEARNPYFAIDDDEEEAAVEALKLDDEFEL >Ma08_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34905938:34909972:1 gene:Ma08_g20830 transcript:Ma08_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCEIDGQRLTAAAILGHDGSVWAVSASFPQFKPEEITAIMTDFVDPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGSGGITVKKTNLALIIGIYDEPMTGGQCNMVVERLGDYLWDQGF >Ma01_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:733489:735598:-1 gene:Ma01_g01050 transcript:Ma01_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAGGVSVWHQRPCLRWRTPVSSASNKVAFVKKTVSSHDIVIFSKSYCPYCMTAKAVFQELKKEPCVLELDEIEDGSEIQDALSDIVGRRTVPQVFVRGKHLGGSDDTVEAYESGRLLTLLGIGSKDDP >Ma01_p15440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11174608:11183101:-1 gene:Ma01_g15440 transcript:Ma01_t15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLTRSAQLGSAPAPARSASPDGIWSKHRDSITFDRLQKFWSELPLHTRKELLRLDKQTLFEQARRNLYCSRCNGLLLEGFSQIITYGKSLQQEGASFRYFGSEGTCQSQYRNDHEVQDPSLHPWGGLTATKNGVLTVLDCFICARSLKTLQNVFDSARAREHERELLYPDACGGEGRGWISQGMANYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVVREFKELKELKRMRKQPRCTKWFCVADSAFQYEVSEDTVQADWHQSFTDTVGTYHHFEWAIGTGEGQTDILEFEDVGMNGRVQVTGLDLGGLGACFITLRAWKLDGRCTELCVKAHALKGQHCVHRRLIVGDGFVTITVGESIRRFFEHAEEAEEEEDDDVMDKDGDELDGGGSRSQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHCIFVCLALKLLEERIHVACKEIITLEKQTRLLEEEEKEKNKEEERKERRRTKEREKKLRRKERLKEKGKERKLIESKSLCDVFPATTNGSSSSTHGESINIPDSGDFVSEPRDISLLECPVSPDIADELSSCGSIYMTDALPQQTDVDEKFYNRNASECSKSLNRKLRPRKDYLFDQASNWYDRRRCYISDECVNQQEEADTRVCSSRGINGLRRPPRERFVKNNHRKCNLKFHCSHSRVRDKFDLQSCRCQQDDYSEKDGYHISMIRLGREIKTVSKTERAMDLPRCSYRNAKYGNGCYLPDNLGSMKTKHVVDTASKEILHTKQIWEPLAARKKCSTGNPDSKNTLRTVSGVEPLKEIVFDKGENGHHQPIGFESFDNMCSSECSGKVDTSISCQYHEDHDNSDKFGSNINTAFQNGFGLVKRTEYHSNNNIEEKQSPIKISCSEPVRSSSSSDNCFSCPSEGDGSTSSSSPQNAESSLTSDSEDACQQSCGGDASIYNSDSFHRYLDESPDDKIMTNGDGSLANSSAGFPAENHVECDFSGENSSSGQDSNNGRFGFVVAPPLNHMLPVPNHSIHVPFIPSPTVSYHTRNVGLWSAPPCNGFVPLPQANHCLLQSHLSYGLPANRPSDFSMHCNNVQPLTVPVFDRSKQFLYQTTDRMNVGNSKFQNKLSNSCRFQQLHTSVEPIGSQCFPGRSFSDRQLPSKPSAGKNNNAEHCVKSHNESPSFSLFHFGGPMAGTTAGFNVKLPSLKDGGMEGFISNLSTAQGQVCSKEEIKVEEYCLFSSKNDARFSFL >Ma01_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11174608:11183101:-1 gene:Ma01_g15440 transcript:Ma01_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLTRSAQLGSAPAPARSASPDGIWSKHRDSITFDRLQKFWSELPLHTRKELLRLDKQTLFEQARRNLYCSRCNGLLLEGFSQIITYGKSLQQEGASFRYFGSEGTCQSQYRNDHEVQDPSLHPWGGLTATKNGVLTVLDCFICARSLKTLQNVFDSARAREHERELLYPDACGGEGRGWISQGMANYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMYRDCRRNVVREFKELKELKRMRKQPRCTKWFCVADSAFQYEVSEDTVQADWHQSFTDTVGTYHHFEWAIGTGEGQTDILEFEDVGMNGRVQVTGLDLGGLGACFITLRAWKLDGRCTELCVKAHALKGQHCVHRRLIVGDGFVTITVGESIRRFFEHAEEAEEEEDDDVMDKDGDELDGGGSRSQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHCIFVCLALKLLEERIHVACKEIITLEKQTRLLEEEEKEKNKEEERKERRRTKEREKKLRRKERLKEKGKERKLIESKSLCDVFPATTNGSSSSTHGESINIPDSGDFVSEPRDISLLECPVSPDIADELSSCGSIYMTDALPQQTDVDEKFYNRNASECSKSLNRKLRPRKDYLFDQASNWYDRRRCYISDECVNQQEEADTRVCSSRGINGLRRPPRERFVKNNHRKCNLKFHCSHSRVRDKFDLQSCRCQQDDYSEKDGYHISMIRLGREIKTVSKTERAMDLPRCSYRNAKYGNGCYLPDNLGSMKTKHVVDTASKEILHTKQIWEPLAARKKCSTGNPDSKNTLRTVSGVEPLKEIVFDKGENGHHQPIGFESFDNMCSSECSGKVDTSISCQYHEDHDNSDKFGSNINTAFQNGFGLVKRTEYHSNNNIEEKQSPIKISCSEPVRSSSSSDNCFSCPSEGDGSTSSSSPQNAESSLTSDSEDACQQSCGGDASIYNSDSFHRYLDESPDDKIMTNGDGSLANSSAGFPAENHVECDFSGENSSSGQDSNNGRFGFVVAPPLNHMLPVPNHSIHVPFIPSPTVSYHTRNVGLWSAPPCNGFVPLPQANHCLLQSHLSYGLPANRPSDFSMHCNNVQPLTVPVFDRSKQFLYQTTDRMNVGNSKFQNKLSNSCRFQQLHTSVEPIGSQCFPGRSFSDRQLPSKPSAGKNNNAEHCVKSHNESPSFSLFHFGGPMAGTTAGFNVKLPSLKDGGMEGFISNLSTAQGQVCSKEEIKVEEYCLFSSKNDARFSFL >Ma01_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5750455:5750950:1 gene:Ma01_g08010 transcript:Ma01_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMQKQTINFSVDLGKYVFASSSFYQNCCNGNNEIRDSPEDSRTGDDGDEEDDSDGLPQKIENVSGSLDSSFKILCESIQKFDEIYEKMQNHKRQQMAELERMRKEFQKECIAAEKEDHDKDTNDREEEDVDGNDDGSAENLSG >Ma03_p13950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10985337:10986756:1 gene:Ma03_g13950 transcript:Ma03_t13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNTPCYLSFSDATISISTCLLDIGSSLGRLAPLKAVLFDVDGTLCDSDPLHYYAFREMLQEVGSSYNGVPIDEEFFLRNIAGRHSEDTAKILFPDWDHEKAMKFVDDKEAMFRRSRRFYFCIYRLVSKQLKAIGLHKLCKWVKDRGLKHAAVTNAPRPNAELMISMLGLTDFFDAAVIGSKCQRAKPFPDPYLKALEKLNVSVEHTFIFEVGLVGKKKHHNGKLPFIVHLFSKSNQFNYFH >Ma10_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30577471:30582758:-1 gene:Ma10_g20390 transcript:Ma10_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDTDINMVPAGEGSSGAVGPSAAPSSSTSKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Ma05_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2489695:2499952:-1 gene:Ma05_g03430 transcript:Ma05_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALQYLESQRDAQPELADWYNALADLYQRKLWHQLTVKLEQFVALAVVQAGDVLIQLYHNFIMEFETKINLLKLAHFAGVISRQYSEKEAAISYLEGIIEKLRATKELRIEEPILYVKMQIAAFNLEKGSQKECKKLLEEGKSMLDSMTDVDPSVHASYYWISSQYHKSRQEFAEFYKSALLYLAYTSVESLSAPFRLDLAFDLSLSALLGDNIYNFGELLAHPIINILIGTKVEWLYHILQAFNSGNLVRYQELCRIHNAELSAQPAFLQNERKLLEKINILCLMEIIFSRPSEDRTIPLSIIAEQTKLSIEDVEYLLMKALSVHLIEGIIDQVEGTVYVSWVQPRVLGITQIKSLHDRLDGWVQKVRTALSTVEAETPDLVGS >Ma11_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25383848:25384368:-1 gene:Ma11_g21120 transcript:Ma11_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDQFGKTKVHTQMCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPESNPFGARAVRLYLREVREIQSKARGVSYEKKKRKKPQQDQQHPPPPPAAA >Ma07_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1327524:1331672:-1 gene:Ma07_g01700 transcript:Ma07_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSALRQILDCMACDPLVRRGNAASGGVNDGDGDVLEPEKKGPHGWKCMPCIIGNETFEKVASFGVSANFTVYLVKRFHMKQVVAVNTINIFIGTTNFAPLLGAFVSDAYCGRFRTIAYASVVSFLGMLLLTLTAAVPQLRPPSCSMAEQQAGQCTGPSGLQLAVLWLSLVLLVIGSGGIRPCNLPFGVDQFDPTTERGRRGLNSFFNWYYATSTAAVVLALTAVVYVQDSISWPIGFGIPTALMLLSIVVFFAGVRLYVFVPPEGSVFSGIVQVFVAAFRKRRLRLPAPDDAVEQESSLYNTLARETERMTKLPLTLQFRFLNKAAIICEGETKEDGLPVNPWRLCSVQQIEEVKCLIRIVPIWASGIICFVALIQQWSLTVLQALKMDRHLGRSFQIPPGSLATIAMVALTLFIPVYDRVLVPVARRITGNESGITILQRQGAGMVIAVASMVVAGLVEQKRRNSALAHGGANGTSPLSVLWLAPQLCLVGVAEAFNAVGQIEFYNRQFPEHMQTLAGSLFYCSISGASYLSSLLVALVRRRTGGEGRTGWLDDNINVGRVDYFYYMVALLGVANLLYFLVCAHFYRYKGTPETKGRRSKDVGGTEKLEIEI >Ma01_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26243629:26247520:1 gene:Ma01_g22910 transcript:Ma01_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAAIFRTRSAIPAAAGRDARVPFAAARRPRRASVLPVVAALENGASASDSVSKKSVSGGGGGRVPNSNYVVPFDLTPSFCRPLKEILRDLNKKVPDNIINADDNSIPWYHANRMLSFYAPGWCGEVRDVIFSDNGNVTVVYRVTIRGLDGEAHRESTGTVSSSDGQFEDPIAAAEEVAFCKACARFGFGLHLYHENEAV >Ma06_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1593738:1595729:-1 gene:Ma06_g01970 transcript:Ma06_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRGKAMVNWGRRKTRRCRWEALIYLNQTKMPQRGLRSSLPGTEHESVNKKGLPLYAIFFASVWCIKGNSCKFLHEKDGVGCTCQVAKEDWATSGDFIDCKVSEYLSLWNFVLKISWRKTCQ >Ma06_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11149779:11152527:1 gene:Ma06_g16460 transcript:Ma06_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVEKCGDREQPLDYVGRERKIMLSYSTEDERCVSSSSFETSPGEDARGASGSEESLPPSVPSSWPTRKSSDSDAYADDERTDSNDAKADKLRDIELMKERFSKLLLGEDMSGCGKGVCTALAISNAITNLCATVFGQLWRLEPLPPEKKSMWRREMEWLLCVSDHIVDLMPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDSFNDPEFWYVDQGIVAPDSDGSASFRRTLFRQEEKWWLPVPHVPLDGLHENTRKQLQHKRECANQILKASIAINTDTLAEMEVPETYLSSLPKNARASLGDLMHRYVTSDQFSPDCLLDCLDLSSEHQALEIANRVEASTYIWRRRRVARPVSNRNGIATTKSSWRTAVKDMVVDAAGKRELFADRAETILLCLKQRFPGLTQTALDVCKIQFNKDLGKSILESYSRVLESLAFNIVARIDELLYVDDFSKNSDHLLDSRTGVIAHQKASFPCSAPTSDTAFASAHSAPGFSPAPLISSTREESPVFVDGKSHNHGFGVKKILTNYLRVEVKGKGSVNVGSGSRVDFMHEH >Ma06_p16460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11149779:11152527:1 gene:Ma06_g16460 transcript:Ma06_t16460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVEKCGDREQPLDYVGRERKIMLSYSTEDERCVSSSSFETSPGEDARGASGSEESLPPSVPSSWPTRKSSDSDAYADDERTDSNDAKADKLRGCASDIELMKERFSKLLLGEDMSGCGKGVCTALAISNAITNLCATVFGQLWRLEPLPPEKKSMWRREMEWLLCVSDHIVDLMPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDSFNDPEFWYVDQGIVAPDSDGSASFRRTLFRQEEKWWLPVPHVPLDGLHENTRKQLQHKRECANQILKASIAINTDTLAEMEVPETYLSSLPKNARASLGDLMHRYVTSDQFSPDCLLDCLDLSSEHQALEIANRVEASTYIWRRRRVARPVSNRNGIATTKSSWRTAVKDMVVDAAGKRELFADRAETILLCLKQRFPGLTQTALDVCKIQFNKDLGKSILESYSRVLESLAFNIVARIDELLYVDDFSKNSDHLLDSRTGVIAHQKASFPCSAPTSDTAFASAHSAPGFSPAPLISSTREESPVFVDGKSHNHGFGVKKILTNYLRVEVKGKGSVNVGSGSRVDFMHEH >Ma06_p16460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11149779:11152527:1 gene:Ma06_g16460 transcript:Ma06_t16460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVEKCGDREQPLDYVGRERKIMLSYSTEDERCVSSSSFETSPGEDARGASGSEESLPPSVPSSWPTRKSSDSDAYADDERTDSNDAKADKLRGCASDIELMKERFSKLLLGEDMSGCGKGVCTALAISNAITNLCATVFGQLWRLEPLPPEKKSMWRREMEWLLCVSDHIVDLMPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDSFNDPEFWYVDQGIVAPDSDGSASFRRTLFRQEEKWWLPVPHVPLDGLHENTRKQLQHKRECANQILKASIAINTDTLAEMEVPETYLSSLPKNARASLGDLMHRYVTSDQFSPDCLLDCLDLSSEHQALEIANRVEASTYIWRRRRVARPVSNRNGIATTKSSWRTAVKDMVVDAAGKRELFADRAETILLCLKQRFPGLTQTALDVCKIQFNKDLGKSILESYSRVLESLAFNIVARIDELLYVDDFSKNSDHLLDSRTGVIAHQKASFPCSAPTSDTAFASAHSAPGFSPAPLISSTREESPVFVDEVKGKGSVNVGSGSRVDFMHEH >Ma10_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29311384:29315278:-1 gene:Ma10_g18370 transcript:Ma10_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIDGGFWMDDGGDDDGPVAWTRGNASSSSGGGMGEPKEDELGLSGFKSMLVDDWYVGAAAHHPFEAFQTQQDLKDVAFLPNPSPHEALLLPPVDSLDQSQPFFSSRPALSSVFNASNHFGVGVDLRSDAPGFLPAVPVLNPPDLMNGGGGAIDGVFGFVGIGTSGQLGCPELNSASQFSGALLLPPAENCSVSSSGTAFCPVGFDSLENSPFLNRSKVLRPLEMFPTAGSQPTLFQKRAAAALLQNSVATAEKVGILGTWASKGSCQGSSSVAALEEEKERKRKGNEVDELDEGSIDGSGLHYDSDDFAGECAKVEENAGNVAGGGGNSSSADVMVADRGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLQNELESTPSSSSLPTTNATSLHPLTPTLPTLPCRLKDELKHCSSSLPSPNSQPARVEVKAREGRAVDIHMFCARRPGLLLSALRSLDSLGLDIQQAVISCFNGFALDIFQAEQCKDPGVLPEEIKAVLLHSAGLLNTM >Ma03_p13580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10682521:10696352:1 gene:Ma03_g13580 transcript:Ma03_t13580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGIGKNKPQAFRPYESSQSSSGPCLVFGESCATAKRSLVEKLTKGIVETYCKCNPGFKYSNASNWKRFLTNPSTGVKNDGYDNAYSDLILYVNLVLCSGSKQRYIVKDILGQGTFAQVAKCWDMETNNYVAIKIIKNQPQYYRHGVFEVHILSMLNQKLDPDDEHHIVRMLDYFLFQNHLCISFEMLGSNLYELIKEKKHNGFTLDVIQDLCKQILDALIITRYAGIIHCDLKPENILICTSEKPPKIKVIDFGSACFMSKTIYTYIQSRYYRSPEVLLGYPYTIAIDMWSLGCIVAELYLGFPLFPGESQFDVLKRMTEILGDQPPDNLLRNATKTNNFFKHVGSINQLVDDQSVKINSSAYQILNEEEFEIRGLKRPKIGKKYFSFVKLEDIVAEKAYRKNLPDEQFDKESAMCLALIDFLRGLLEFDPAKRWSPLQASHHPFVTREPFLFLYKPLPETPLTPVSHALQVEHDPRQGHQLAAGLSPEVASMNKYLPQNSSKVLLAPSSYESSYGSSESHGSYNYSASLGSGCRSYSLKNSMLDYSPVHSSLFQSAKTGGSVLGVSADARHVPFCHGNGFGVTPSYNFWPTSLGASPSQFTYPSLKVQESKMFQGEYACHSPAEGSFHDFPWGKASKFVREGKHAYNGSLGIQPHENSFKYYHGLCDDETSSSYEDSNHQRFKCHGTSLNQVTYHLVLEIGIPIIGIPLLFRACTVDMHIRCLFHILYLKMHKTHPGILDNSIFSNLITRIQCILIICGIIRRRRGDRWTIICSNITLFLNGTECFRRKRWRCLSVCLLQPISDGTGHPVCV >Ma03_p13580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10682522:10696352:1 gene:Ma03_g13580 transcript:Ma03_t13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGIGKNKPQAFRPYESSQSSSGPCLVFGESCATAKRSLVEKLTKGIVETYCKCNPGFKYSNASNWKRFLTNPSTGVKNDGYDNAYSDLILYVNLVLCSGSKQRYIVKDILGQGTFAQVAKCWDMETNNYVAIKIIKNQPQYYRHGVFEVHILSMLNQKLDPDDEHHIVRMLDYFLFQNHLCISFEMLGSNLYELIKEKKHNGFTLDVIQDLCKQILDALIITRYAGIIHCDLKPENILICTSEKPPKIKVIDFGSACFMSKTIYTYIQSRYYRSPEVLLGYPYTIAIDMWSLGCIVAELYLGFPLFPGESQFDVLKRMTEILGDQPPDNLLRNATKTNNFFKHVGSINQLVDDQSVKINSSAYQILNEEEFEIRGLKRPKIGKKYFSFVKLEDIVAEKAYRKNLPDEQFDKESAMCLALIDFLRGLLEFDPAKRWSPLQASHHPFVTREPFLFLYKPLPETPLTPVSHALQVEHDPRQGHQLAAGLSPEVASMNKYLPQNSSKVLLAPSSYESSYGSSESHGSYNYSASLGSGCRSYSLKNSMLDYSPVHSSLFQSAKTGGSVLGVSADARHVPFCHGNGFGVTPSYNFWPTSLGASPSQFTYPSLKVQESKMFQGEYACHSPAEGSFHDFPWGKASKFVREGKHAYNGSLGIQPHENSFKYYHGLCDDETSSSYEDSNHQRFVSSSTFSAFHHSNNRTQEVSWDKPESSYLPFGPGDWDPNYRNPPVIQGLHGGYAHPVSLPHSVSQNAQNSPRHFGQQYFQQFNHPHTMHTHNLWNHQKAQGR >Ma03_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10682529:10696352:1 gene:Ma03_g13580 transcript:Ma03_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGIGKNKPQAFRPYESSQSSSGPCLVFGESCATAKRSLVEKLTKGIVETYCKCNPGFKYSNASNWKRFLTNPSTGVKNDGYDNAYSDLILYVNLVLCSGSKQRYIVKDILGQGTFAQVAKCWDMETNNYVAIKIIKNQPQYYRHGVFEVHILSMLNQKLDPDDEHHIVRMLDYFLFQNHLCISFEMLGSNLYELIKEKKHNGFTLDVIQDLCKQILDALIITRYAGIIHCDLKPENILICTSEKPPKIKVIDFGSACFMSKTIYTYIQSRYYRSPEVLLGYPYTIAIDMWSLGCIVAELYLGFPLFPGESQFDVLKRMTEILGDQPPDNLLRNATKTNNFFKHVGSINQLVDDQSVKINSSAYQILNEEEFEIRGLKRPKIGKKYFSFVKLEDIVAEKAYRKNLPDEQFDKESAMCLALIDFLRGLLEFDPAKRWSPLQASHHPFVTREPFLFLYKPLPETPLTPVSHALQVEHDPRQGHQLAAGLSPEVASMNKYLPQNSSKVLLAPSSYESSYGSSESHGSYNYSASLGSGCRSYSLKNSMLDYSPVHSSLFQSAKTGGSVLGVSADARHVPFCHGNGFGVTPSYNFWPTSLGASPSQFTYPSLKVQESKMFQGEYACHSPAEGSFHDFPWGKASKFVREGKHAYNGSLGIQPHENSFKYYHGLCDDETSSSYEDSNHQRFVSSSTFSAFHHSNNRTQEVSWDKPESSYLPFGPGDWDPNYSDESLVQGDCSEINSLALGFDSVIRLGCSIDLSNQTSGIGTFPLNHQQALVGSNYMYADSRNPPVIQGLHGGYAHPVSLPHSVSQNAQNSPRHFGQQYFQQFNHPHTMHTHNLWNHQKAQGR >Ma05_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33909823:33917488:-1 gene:Ma05_g22180 transcript:Ma05_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNRAVEEQEEEEEDEEEYYESLDRILSSSCSSTSTSASDDDLSRRPSPVPSLDVWTSAPASVAERRLLLLQRLGLAGDPSLAHLVRPGFTPPPAPSDAPSTSAAGMVRSRSDGSVDPCSVNRPNPPHRPYHHQHQRSLNLPASRKPPLFSRSRPLSAGNFEVSDGDGSSVGGGDRREDGDDGAACDDPRCLIRNLDNGREFVVKEFGEDGMWNKLREVGTGRHLTMDEFEICVGRSPIVQELMRRQNVEEAGQRGGGRTDGFSKGGTRWKRKGSWLRSIRNVAGSAIAGGHHQDRRSSDEKDTSSEKGGRRSSSATDDSQDGSHGLDLRVKVWQYGKSHKELTGLYMSQAIQAHNGSIWSIKFSLDGRYLASAGEDCVIHVWEVSEIHTKGDLMKVAEENVNFKPFALAISNCPLDPRSVLSSVEGSHWDKKRRAKIPVGRKSVSSDTVMMPEHVLVLSEKPVCSFRGHVDDVLDLSWSKSQYLLSSSMDKTVRLWHMSSNSCLKTFTHSDYVTCIQFNPIDDRYFISGSLDEKVRIWSIPDRQIVDWNDLHEMVTAACYTPDGQGALVGSHKGSCHLYDTSDNKLLQKGHIDLQNKKKKSRHKKITGFQFAPGSSSKVLVTSADSRVRVIVDDELVHKFKGFRNTSSQISAYWTTNGKYVICASEDSHVYVWRYDEDSRPSRSKPAATVTQSYEHFHCQGVTMAVPWPSSGMGLMVRTDSNKQTELNGESLANSPLLVEVNGLHLSPSPGSQNIGCQQNSTSSPNSNHFNDRVSATWPEELMTNKQSPRSNSDLFNGCMPVQSTSAWGLVIVTASRCGEIRTFQNFGFQFQT >Ma06_p08930.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6253991:6262462:1 gene:Ma06_g08930 transcript:Ma06_t08930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPCQDSGTAMKAHNSMTNGCRKHGDESSSESLSLSSLSKLVLPPLGMSSCSQNPNGSRWRIFLPMDSRYRLELRAELHGDEFSLSLANESSKMLSCRCWEAFMVALVAYSAWVYPFEIAFMHAAPKGGLFLTDNVIDAFFAADIVLTFFVAYIDSRTQVLVCDPRKIATRYLSTWFIMDLTSTLPFEGLGYLITGRVKAGVSYSLLGTLRLWRLRKVKRFFTRLEKDIRFSYFCIRCVRLLFVTFFLVHCAGCLYYLLADRYPHQGKTWIGAAVPNFREANLWMRYIASIYWSISTMTTVGYGDLHAVNTREMIFNIFYMLCNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIQVASNFVCRNHLPPHLKEQILAYMCLRFKAETLNQQHLMDQLPKALCKSICQHLFLPTVKEAYLFKGVSRETLLLLVTKMKVEYIPPREDVIMQNEAPEDVYIVVSGEVEIIYSDNEMEQVVGKFSTGDIFGEFTALSERPQSFIFRTRTLSQLLKLKQSTLKEVLHAKQKDGIIIMKNFLKHQTEFKDISIDNLLGENGEFEETNKPCNLLTVAATGNSCFLDKLLKAGMDPDIGDSKGRTPLHIAASKGYEDCVLVLINHACNINIQDMDGNTPLWDAITGKHHNIFNILHRCACVSNPYTSADLLCLASKRNDLSTMRELLNHGLNIDSENHEGLTALQIASAENHEEMVTFLVMNGASIVKSNPNGSIERWMKKEILEEMIQQRDVGYPTMALEPYGAFKKTEVLREQDNSLKLEANEHRPRISVFNGHPLLRNSHSETGKLISLPSTMEELRTIIGKKFEVDARYKILTNEDAAEVDSIDVLRDNDKLFIVGEDELLKLDIKC >Ma06_p08930.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6253001:6262462:1 gene:Ma06_g08930 transcript:Ma06_t08930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPCQDSGTAMKAHNSMTNGCRKHGDESSSESLSLSSLSKLVLPPLGMSSCSQNPNGSRWRIFLPMDSRYRLELRAELHGDEFSLSLANESSKMLSCRCWEAFMVALVAYSAWVYPFEIAFMHAAPKGGLFLTDNVIDAFFAADIVLTFFVAYIDSRTQVLVCDPRKIATRYLSTWFIMDLTSTLPFEGLGYLITGRVKAGVSYSLLGTLRLWRLRKVKRFFTRLEKDIRFSYFCIRCVRLLFVTFFLVHCAGCLYYLLADRYPHQGKTWIGAAVPNFREANLWMRYIASIYWSISTMTTVGYGDLHAVNTREMIFNIFYMLCNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIQVASNFVCRNHLPPHLKEQILAYMCLRFKAETLNQQHLMDQLPKALCKSICQHLFLPTVKEAYLFKGVSRETLLLLVTKMKVEYIPPREDVIMQNEAPEDVYIVVSGEVEIIYSDNEMEQVVGKFSTGDIFGEFTALSERPQSFIFRTRTLSQLLKLKQSTLKEVLHAKQKDGIIIMKNFLKHQTEFKDISIDNLLGENGEFEETNKPCNLLTVAATGNSCFLDKLLKAGMDPDIGDSKGRTPLHIAASKGYEDCVLVLINHACNINIQDMDGNTPLWDAITGKHHNIFNILHRCACVSNPYTSADLLCLASKRNDLSTMRELLNHGLNIDSENHEGLTALQIASAENHEEMVTFLVMNGASIVKSNPNGSIERWMKKEILEEMIQQRDVGYPTMALEPYGAFKKTEVLREQDNSLKLEANEHRPRISVFNGHPLLRNSHSETGKLISLPSTMEELRTIIGKKFEVDARYKILTNEDAAEVDSIDVLRDNDKLFIVGEDELLKLDIKC >Ma06_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6253991:6262462:1 gene:Ma06_g08930 transcript:Ma06_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPCQDSGTAMKAHNSMTNGCRKHGDESSSESLSLSSLSKLVLPPLGMSSCSQNPNGSRWRIFLPMDSRYRLELRAELHGDEFSLSLANESSKMLSCRCWEAFMVALVAYSAWVYPFEIAFMHAAPKGGLFLTDNVIDAFFAADIVLTFFVAYIDSRTQVLVCDPRKIATRYLSTWFIMDLTSTLPFEGLGYLITGRVKAGVSYSLLGTLRLWRLRKVKRFFTRLEKDIRFSYFCIRCVRLLFVTFFLVHCAGCLYYLLADRYPHQGKTWIGAAVPNFREANLWMRYIASIYWSISTMTTVGYGDLHAVNTREMIFNIFYMLCNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIQVASNFVCRNHLPPHLKEQILAYMCLRFKAETLNQQHLMDQLPKALCKSICQHLFLPTVKEAYLFKGVSRETLLLLVTKMKVEYIPPREDVIMQNEAPEDVYIVVSGEVEIIYSDNEMEQVVGKFSTGDIFGEFTALSERPQSFIFRTRTLSQLLKLKQSTLKEVLHAKQKDGIIIMKNFLKHQTEFKDISIDNLLGENGEFEETNKPCNLLTVAATGNSCFLDKLLKAGMDPDIGDSKGRTPLHIAASKGYEDCVLVLINHACNINIQDMDGNTPLWDAITGKHHNIFNILHRCACVSNPYTSADLLCLASKRNDLSTMRELLNHGLNIDSENHEGLTALQIASAENHEEMVTFLVMNGASIVKSNPNGSIERWMKKEILEEMIQQRDVGYPTMALEPYGAFKKTEVLREQDNSLKLEANEHRPRISVFNGHPLLRNSHSETGKLISLPSTMEELRTIIGKKFEVDARYKILTNEDAAEVDSIDVLRDNDKLFIVGEDELLKLDIKC >Ma06_p08930.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6255121:6262462:1 gene:Ma06_g08930 transcript:Ma06_t08930.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSTLPFEGLGYLITGRVKAGVSYSLLGTLRLWRLRKVKRFFTRLEKDIRFSYFCIRCVRLLFVTFFLVHCAGCLYYLLADRYPHQGKTWIGAAVPNFREANLWMRYIASIYWSISTMTTVGYGDLHAVNTREMIFNIFYMLCNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIQVASNFVCRNHLPPHLKEQILAYMCLRFKAETLNQQHLMDQLPKALCKSICQHLFLPTVKEAYLFKGVSRETLLLLVTKMKVEYIPPREDVIMQNEAPEDVYIVVSGEVEIIYSDNEMEQVVGKFSTGDIFGEFTALSERPQSFIFRTRTLSQLLKLKQSTLKEVLHAKQKDGIIIMKNFLKHQTEFKDISIDNLLGENGEFEETNKPCNLLTVAATGNSCFLDKLLKAGMDPDIGDSKGRTPLHIAASKGYEDCVLVLINHACNINIQDMDGNTPLWDAITGKHHNIFNILHRCACVSNPYTSADLLCLASKRNDLSTMRELLNHGLNIDSENHEGLTALQIASAENHEEMVTFLVMNGASIVKSNPNGSIERWMKKEILEEMIQQRDVGYPTMALEPYGAFKKTEVLREQDNSLKLEANEHRPRISVFNGHPLLRNSHSETGKLISLPSTMEELRTIIGKKFEVDARYKILTNEDAAEVDSIDVLRDNDKLFIVGEDELLKLDIKC >Ma06_p08930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6253001:6262462:1 gene:Ma06_g08930 transcript:Ma06_t08930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLAHGLEIQMLSCRCWEAFMVALVAYSAWVYPFEIAFMHAAPKGGLFLTDNVIDAFFAADIVLTFFVAYIDSRTQVLVCDPRKIATRYLSTWFIMDLTSTLPFEGLGYLITGRVKAGVSYSLLGTLRLWRLRKVKRFFTRLEKDIRFSYFCIRCVRLLFVTFFLVHCAGCLYYLLADRYPHQGKTWIGAAVPNFREANLWMRYIASIYWSISTMTTVGYGDLHAVNTREMIFNIFYMLCNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIQVASNFVCRNHLPPHLKEQILAYMCLRFKAETLNQQHLMDQLPKALCKSICQHLFLPTVKEAYLFKGVSRETLLLLVTKMKVEYIPPREDVIMQNEAPEDVYIVVSGEVEIIYSDNEMEQVVGKFSTGDIFGEFTALSERPQSFIFRTRTLSQLLKLKQSTLKEVLHAKQKDGIIIMKNFLKHQTEFKDISIDNLLGENGEFEETNKPCNLLTVAATGNSCFLDKLLKAGMDPDIGDSKGRTPLHIAASKGYEDCVLVLINHACNINIQDMDGNTPLWDAITGKHHNIFNILHRCACVSNPYTSADLLCLASKRNDLSTMRELLNHGLNIDSENHEGLTALQIASAENHEEMVTFLVMNGASIVKSNPNGSIERWMKKEILEEMIQQRDVGYPTMALEPYGAFKKTEVLREQDNSLKLEANEHRPRISVFNGHPLLRNSHSETGKLISLPSTMEELRTIIGKKFEVDARYKILTNEDAAEVDSIDVLRDNDKLFIVGEDELLKLDIKC >Ma06_p08930.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6253001:6262462:1 gene:Ma06_g08930 transcript:Ma06_t08930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPCQDSGTAMKAHNSMTNGCRKHGDESSSESLSLSSLSKLVLPPLGMSSCSQNPNGSRWRIFLPMDSRYRLELRAELHGDEFSLSLANESSKMLSCRCWEAFMVALVAYSAWVYPFEIAFMHAAPKGGLFLTDNVIDAFFAADIVLTFFVAYIDSRTQVLVCDPRKIATRYLSTWFIMDLTSTLPFEGLGYLITGRVKAGVSYSLLGTLRLWRLRKVKRFFTRLEKDIRFSYFCIRCVRLLFVTFFLVHCAGCLYYLLADRYPHQGKTWIGAAVPNFREANLWMRYIASIYWSISTMTTVGYGDLHAVNTREMIFNIFYMLCNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIQVASNFVCRNHLPPHLKEQILAYMCLRFKAETLNQQHLMDQLPKALCKSICQHLFLPTVKEAYLFKGVSRETLLLLVTKMKVEYIPPREDVIMQNEAPEDVYIVVSGEVEIIYSDNEMEQVVGKFSTGDIFGEFTALSERPQSFIFRTRTLSQLLKLKQSTLKEVLHAKQKDGIIIMKNFLKHQTEFKDISIDNLLGENGEFEETNKPCNLLTVAATGNSCFLDKLLKAGMDPDIGDSKGRTPLHIAASKGYEDCVLVLINHACNINIQDMDGNTPLWDAITGKHHNIFNILHRCACVSNPYTSADLLCLASKRNDLSTMRELLNHGLNIDSENHEGLTALQIASAENHEEMVTFLVMNGASIVKSNPNGSIERWMKKEILEEMIQQRDVGYPTMALEPYGAFKKTEVLREQDNSLKLEANEHRPRISVFNGHPLLRNSHSETGKLISLPSTMEELRTIIGKKFEVDARYKILTNEDAAEVDSIDVLRDNDKLFIVGEDELLKLDIKC >Ma06_p08930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6253001:6262462:1 gene:Ma06_g08930 transcript:Ma06_t08930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPCQDSGTAMKAHNSMTNGCRKHGDESSSESLSLSSLSKLVLPPLGMSSCSQNPNGSRWRIFLPMDSRYRCWEAFMVALVAYSAWVYPFEIAFMHAAPKGGLFLTDNVIDAFFAADIVLTFFVAYIDSRTQVLVCDPRKIATRYLSTWFIMDLTSTLPFEGLGYLITGRVKAGVSYSLLGTLRLWRLRKVKRFFTRLEKDIRFSYFCIRCVRLLFVTFFLVHCAGCLYYLLADRYPHQGKTWIGAAVPNFREANLWMRYIASIYWSISTMTTVGYGDLHAVNTREMIFNIFYMLCNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIQVASNFVCRNHLPPHLKEQILAYMCLRFKAETLNQQHLMDQLPKALCKSICQHLFLPTVKEAYLFKGVSRETLLLLVTKMKVEYIPPREDVIMQNEAPEDVYIVVSGEVEIIYSDNEMEQVVGKFSTGDIFGEFTALSERPQSFIFRTRTLSQLLKLKQSTLKEVLHAKQKDGIIIMKNFLKHQTEFKDISIDNLLGENGEFEETNKPCNLLTVAATGNSCFLDKLLKAGMDPDIGDSKGRTPLHIAASKGYEDCVLVLINHACNINIQDMDGNTPLWDAITGKHHNIFNILHRCACVSNPYTSADLLCLASKRNDLSTMRELLNHGLNIDSENHEGLTALQIASAENHEEMVTFLVMNGASIVKSNPNGSIERWMKKEILEEMIQQRDVGYPTMALEPYGAFKKTEVLREQDNSLKLEANEHRPRISVFNGHPLLRNSHSETGKLISLPSTMEELRTIIGKKFEVDARYKILTNEDAAEVDSIDVLRDNDKLFIVGEDELLKLDIKC >Ma06_p08930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6253965:6262462:1 gene:Ma06_g08930 transcript:Ma06_t08930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPCQDSGTAMKAHNSMTNGCRKHGDESSSESLSLSSLSKLVLPPLGMSSCSQNPNGSRWRIFLPMDSRYRLELRAELHGDEFSLSLANESSKMLSCRCWEAFMVALVAYSAWVYPFEIAFMHAAPKGGLFLTDNVIDAFFAADIVLTFFVAYIDSRTQVLVCDPRKIATRYLSTWFIMDLTSTLPFEGLGYLITGRVKAGVSYSLLGTLRLWRLRKVKRFFTRLEKDIRFSYFCIRCVRLLFVTFFLVHCAGCLYYLLADRYPHQGKTWIGAAVPNFREANLWMRYIASIYWSISTMTTVGYGDLHAVNTREMIFNIFYMLCNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIQVASNFVCRNHLPPHLKEQILAYMCLRFKAETLNQQHLMDQLPKALCKSICQHLFLPTVKEAYLFKGVSRETLLLLVTKMKVEYIPPREDVIMQNEAPEDVYIVVSGEVEIIYSDNEMEQVVGKFSTGDIFGEFTALSERPQSFIFRTRTLSQLLKLKQSTLKEVLHAKQKDGIIIMKNFLKHQTEFKDISIDNLLGENGEFEETNKPCNLLTVAATGNSCFLDKLLKAGMDPDIGDSKGRTPLHIAASKGYEDCVLVLINHACNINIQDMDGNTPLWDAITGKHHNIFNILHRCACVSNPYTSADLLCLASKRNDLSTMRELLNHGLNIDSENHEGLTALQIASAENHEEMVTFLVMNGASIVKSNPNGSIERWMKKEILEEMIQQRDVGYPTMALEPYGAFKKTEVLREQDNSLKLEANEHRPRISVFNGHPLLRNSHSETGKLISLPSTMEELRTIIGKKFEVDARYKILTNEDAAEVDSIDVLRDNDKLFIVGEDELLKLDIKC >Ma08_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34965880:34968078:1 gene:Ma08_g20920 transcript:Ma08_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGDDELGLVLTSVVRWRDRKSCARVCRRWRRVEALTRSALRVLDPHYLPRFLPRFPNLTALEAATPISDADLDLIARSCPDLESLNLNAHRSLIELDEEPQGVEPPDVTDAGLCAISICCRKLKNISLRRRKGVGDVGAIELAKHAKGLSSLDLSWCSKVTDRAVGAFCALNSLMVLCLRGCPLVTDSGLACLANGPLSRSLRVLDVSECDQITDLGVCLLRHIPCLEELSLADCGPMITDVGGIAIASIVGLQSLDLSWLINLSDATLIALARNCRNMVEINVTGCELVTGVGILSYSNHPALKILVLASCYGVSANDVERMMLASPSLRYVGLDKSLRGWMPSSVQEKLSHICRIDWL >Ma01_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8310119:8312823:-1 gene:Ma01_g11460 transcript:Ma01_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G27160) UniProtKB/TrEMBL;Acc:A0A1I9LRJ3] MAASTANLIQFPFAKTLPIPSLRPSPRFPPHLPTPSRRPLHLSSSRRLVAASAYGSGGQVDPAVAAVVDPALHNANVLFFGSGYNVQIVVDEGESEEALLRRFRREVSKAGVIQECKRRRFFENKQEEKKRKAREASRRNRRRRSGPRFSSSSSSGDNDSAPKQSRDDLDDNWEMPEGDLPY >Ma07_p21430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29542427:29549616:1 gene:Ma07_g21430 transcript:Ma07_t21430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPQFTITLGRSGQVVKRARPISDGSHCDDDMPSLASKLSVRERLENNMANSSCYGSQYENKRQRTDDHDSRFMNKNPRDKHIKSNHQVRRDDLRWKLINKSLSRRRHPVAEGQYDVDLREKLSQNTRASRVSDPRQHTIESRTSGFGRRIPSTRSADDLLELDSHRKSYSWTLDQQRRNSPDRLISAPRRISPSRRYEELKHTSVIRSVDASRTSFLTNSGVGDSSRSFAFMTKNTSADAVKPVVRAPVPGDIGQRIVLKPEEPLTVSGLLHSLGLGKYAVLFQAEEVDMTALRQMGDNDLKELGIPMGPRKKILLAVLSQARHPQRQR >Ma07_p21430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29542427:29549616:1 gene:Ma07_g21430 transcript:Ma07_t21430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPQFTITLGRSGQVVKRARPISDGSHCDDDMPSLASKLSVRERLENNMANSSCYGSQYENKRQRTDDHDSRFMNKNPRDKHIKSNHQVRRDDLRWKLINKSLSRRRHPVAEGQYDVDLREKLSQNTRASRVSDPRQHTIESRTSGFGRRIPSTRSADDLLELDSHRKSYSWTLDQQRRNSPDRLISAPRRISPSRRYEELKHTSVIRSVDASRTSFLTNSGVGDSSRSFAFMTKNTSADAVKPVVRAPVPGDIGQRIVLKPEEPLTVSGLLHSLGLGKYAVLFQAEEVDMTALRQMGDNDLKELGIPMGPRKKILLAVLSQARHPQRQR >Ma07_p21430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29542416:29549616:1 gene:Ma07_g21430 transcript:Ma07_t21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASKLSVRERLENNMANSSCYGSQYENKRQRTDDHDSRFMNKNPRDKHIKSNHQVRRDDLRWKLINKSLSRRRHPVAEGQYDVDLREKLSQNTRASRVSDPRQHTIESRTSGFGRRIPSTRSADDLLELDSHRKSYSWTLDQQRRNSPDRLISAPRRISPSRRYEELKHTSVIRSVDASRTSFLTNSGVGDSSRSFAFMTKNTSADAVKPVVRAPVPGDIGQRIVLKPEEPLTVSGLLHSLGLGKYAVLFQAEEVDMTALRQMGDNDLKELGIPMGPRKKILLAVLSQARHPQRQR >Ma07_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29542501:29549616:1 gene:Ma07_g21430 transcript:Ma07_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPQFTITLGRSGQVVKRARPISDGSHCDDDMPSLASKLSVRERLENNMANSSCYGSQYENKRQRTDDHDSRFMNKNPRDKHIKSNHQVRRDDLRWKLINKSLSRRRHPVAEGQYDVDLREKLSQNTRASRVSDPRQHTIESRTSGFGRRIPSTRSADDLLELDSHRKSYSWTLDQQRRNSPDRLISAPRRISPSRRYEELKHTSVIRSVDASRTSFLTNSGVGDSSRSFAFMTKNTSADAVKPVVRAPVPGDIGQRIVLKPEEPLTVSGLLHSLGLGKYAVLFQAEEVDMTALRQMGDNDLKELGIPMGPRKKILLAVLSQARHPQRQR >Ma08_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1883965:1885386:-1 gene:Ma08_g02400 transcript:Ma08_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPTYLDPPERNQSFQFLAAAGGGSEASQLFPRLEAQGTEAGVAAAGSARPISMAERALLAKIPHPEQPHKCPRCDSSDTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGACRRNKRNKSSGSSSKSTATTSTADRRAGTSSSSSTAAGGGNAERPFFSAMHTLVDPAGYQVGRSSLGVGLERWRKPQIQQFPFLGGLEPRPEPPAYRLNGEGVVSAKIEDNSHGLRLPRQCSDLLGTEQYWSGGGGEGGSTNSGGWATQLSDVT >Ma06_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8213575:8214292:-1 gene:Ma06_g11780 transcript:Ma06_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRFAVLAAALALLAVTSLAQGPSPAPVKPPSPAPTTPPAVAPVTPPAVAPVPPPPAVTPTAPPPASPPASTPRSEAPATSPPAPPPATPSPSSPTSPISTPPTSSPTSTPSGNVAASTTVGWIAVVCAVVATFAL >Ma02_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28439967:28440434:-1 gene:Ma02_g23440 transcript:Ma02_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADSVRDAGHALGILCDRNAEQRKQLEEVRAGAAPEAVAAAEQRSSELEAEVTRLKSEAVIADQRTSAQEAEVLRLRSEAKAAEEEKEGLQGLLRVTQTEARLARNEVVTLTQKLDGALAETKGASEALAAERDQRLEKDKEIIEDYKQSSGF >Ma09_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5972747:5974397:-1 gene:Ma09_g08970 transcript:Ma09_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGRESGRNQRTTSKKSRLSSRGDEFSHAVAKIAVGQICESVGFHGSNRTAINAMADIMVRYICDLGKSANFYANLAGRTSCNVFDIIQGLEDLSSSRGFSGASDVHHCLVGSGVVREITQFVTTEEVPFAQPISGYPVCTTPKPTPSFAQVGETSSGKHIPDWVPRLPDPRTYVRMEEWNKGVTDTKMDKVEETRQRTKAESSLLSLQQRLAYNSTTGFQPTNDASYGKERQMVVSNPFLSPPLPFGEKEVSVIANPWERDADAGNRLCVLETSVPATESVKVGPVDLETNEKKVLPGRRPIVHFKLKVDKKSIAASFSSDALDAKNDSWPLRHDEKDDKKRRAEIILKEAMEKPHDLAQL >Ma08_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7711070:7712061:-1 gene:Ma08_g10540 transcript:Ma08_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIFSSPPFKPLAVALSITETLGESKSPAATTAMSNSTRCAACRYLRRRCCEDCVLAPYFPSVNPERFACVHRIFGASNAARMLQQIPVEQRRQAADAIALEAYWRVQDPVYGSVGVISMLQREISVAQRELAETQAQVSIYTAQGQSQSNQITQVQYLVDNAHLIPNQPPIPGLCQPPDIP >Ma08_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11361591:11377025:1 gene:Ma08_g14250 transcript:Ma08_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MESGSKTEENVGYSSITAKKAQLQSMLSALLDDPILFDVPKKPTLADVDTLINLELGSAMKISVTKVDNTSFDVAVLNSATVKDLKLAIRKKINEIEQAKMGHRHISWRHVWANFCLAHHNEKLIDDNSMLTEYGIHNNSKVHFFPCVVSRASHKHSKRKKHRFFHGLSKRSGLV >Ma07_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4663729:4668201:-1 gene:Ma07_g06500 transcript:Ma07_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDFPIIFAGALPLKEGLPYAQCYGGHQFGRWAGQLGDGRAITLGEILNSQDERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMNGLGIPTTRALCLVTTGKFVTRDMFYDGNPRDEPGAIVCRVAQSFLRFGSYQIHASRGKEDLDIVRTLADYTIRHHFPQIGTMKKSDKLSLEVGVEGSSVVDLTSNKYAAWSVEVAERTASLIASWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPRYTPNTTDLPWRRYCFANQPDIGLWNIGQFTATLSAAQLINNEEANYAMERYANKFMDEYQSIMTRKLGLSKYSQQLISDLLNNMATDKVDYTNFFRLLSSLKADTTIPNNELLVPLKAVLPDINQERKEAWSSWVKTYIEELVSNGILDEGRKAAMDSVNPKYVLRNYLCQSAIDAAEQGDYSEVRRLLKLVEDPYDEQPRMEKYAGLPPEWSSRPGVCMLSCSS >Ma07_p06500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4663729:4668201:-1 gene:Ma07_g06500 transcript:Ma07_t06500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFASVPLQCLPRTPSPSSSSPSSSSISSPLFVSISRSTHLWWRPNRLSDARRCVLLHRGRPDRQIGDGAGGRRYSIVCLMESKTEARVPGADGSKRGRLKLEALNWDHSFVRELPGDPRTDTIPRQVLHACYSRVSPSAEVENPELVAWSESVAELLDLDQKEFMRPDFPIIFAGALPLKEGLPYAQCYGGHQFGRWAGQLGDGRAITLGEILNSQDERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMNGLGIPTTRALCLVTTGKFVTRDMFYDGNPRDEPGAIVCRVAQSFLRFGSYQIHASRGKEDLDIVRTLADYTIRHHFPQIGTMKKSDKLSLEVGVEGSSVVDLTSNKYAAWSVEVAERTASLIASWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPRYTPNTTDLPWRRYCFANQPDIGLWNIGQFTATLSAAQLINNEEANYAMERYANKFMDEYQSIMTRKLGLSKYSQQLISDLLNNMATDKVDYTNFFRLLSSLKADTTIPNNELLVPLKAVLPDINQERKEAWSSWVKTYIEELVSNGILDEGRKAAMDSVNPKYVLRNYLCQSAIDAAEQGDYSEVRRLLKLVEDPYDEQPRMEKYAGLPPEWSSRPGVCMLSCSS >Ma11_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24984344:24985156:-1 gene:Ma11_g20530 transcript:Ma11_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVALAVAFLLVSAAGAVQYEATNNAAGTPGGTRFDNEIGLEYSKQVLATASSFIWTTFDQQSEADRKDVSLITLIVETMDGVAYTSSDTIHLSADYVAGYNGDVRTEIVGVLYHEDTHVWQWDGRGAANGGLIEGIADYVRLKAGYAPSHWVQPGGGDRWDQGYDVTARFLDYCNDLKSGFVAQLNAKMKDGYSDDFFVDLLGKTVDQLWSDYKAKYGG >Ma08_p09570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6925877:6928332:-1 gene:Ma08_g09570 transcript:Ma08_t09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCIENLTNEGNPLEDLEKEKIKVCYQSHHGNLSPPKARPGDAYPPLCHSPPQPPPPPLIRNRGLGERRCYLLNLFISTREALMCTPSILDALAIDTLKALFDLALYPLNCIVLVELGTVSPLFALVVKDGQRRAVADATTVVTQVARCNENVEPFRRVDSFNVLVDLMNDGDKAMRDVKEVDGVEAIMRALAGNDNKEELEAPR >Ma00_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12354463:12354996:1 gene:Ma00_g01780 transcript:Ma00_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWILHDWSDEHCAKLLKNCWKALPEDGKVIAVESILPVVPEPSPLAQSVCIGDLVMLAYNPGGRERTQKELQHLAREAGFSGFNFTYVFAATWVIEFTK >Ma05_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4205787:4206161:1 gene:Ma05_g05530 transcript:Ma05_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLAPKATEKTTSQLIPYCGEEMQEDKKTKVKKGSLTVRVGLEDGEDGGGTRKFVIPISYLYHPLFKRLLESAQEVYGYHSSGPLKLPCSVDDFLHLRWLIERESSHRSHHGVHHSFSLHSC >Ma06_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12279642:12280576:-1 gene:Ma06_g18110 transcript:Ma06_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold domain containing protein [Source: Projected from Oryza sativa (Os03g0251350)] MDNQQLHSYPQAPFHHLLQQLQMFWNYQRQEIEQATDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAVSQTEIFDFLVDIVPREEVKEEGLGLAGGGGVGGAAAGVPYYYPPMGQPAPPRVMMGQPAVAGIDPSMYAQQPAQAWQPMWHQGLSEDGSGQSLDGPGYAAPPPPGSQ >Ma05_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3573964:3576574:-1 gene:Ma05_g04710 transcript:Ma05_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MLKHKVIPKLKLPSPPQTPLPPAPPSNHFPSPAEANPQNHILSLLKRCASLRCFKQIHSHMLTLGVHKPNHLLSKLLLLNDLPYSLLLFSRNPRPNDYSFNVMIRALTTIYADYPLALEFYLRMVRSGERPNHYTFPFVLVASANLESLCCGLTAHAPIFKLGLDGNDHVQHSLITMYSRCGEVALARQVFDEIGVRDPVSWNSMLSGYAKMGHAGEAVELFRRMRSEGSIVPDEVTLVCVLAACGDLGDSSLGTWLEGLVEEHGLVLDSFLGSALIDMYGKCGDLGSARRIFDGLANKDLVAWNAMITGYAQNGLSDKAIELFHTMREARVEPDKITIVGVLSACAAVGALELGRSLDAYASGNGLYHNVFVGTALVDMYAKCGNLGRAMEVFDIMPHKNIVSWNAMISALAFNGQGEEAISLFTRMINVNQGFRPNDITFIGVLSACVHSGLVNEGRRWFDVMQSAYGIIPKIEHYSCMVDLLARGGLLEEAWEFTEKMPQKPDAVVLGALLSACRYQKNMEVGERVVKRILELEPSNSGNYVISSKIFANSKRWEDSARIRGLMRERGVTKTPGCSWIEVGKQVHEFHAGDGLRLRAAEIYEMINLLVDEMKMEGYTPLMTE >Ma03_p29440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32350884:32355881:1 gene:Ma03_g29440 transcript:Ma03_t29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAERMAALKRAFADVILNTAKESAVRILASERRVLQLQQSLSLAREESLDMLLRLKSIMDSKINESEKSNLSQVRKIQKLEVQLGEAKGTINFLRSELKRLNSELDQRMDIQAEFPDGKSTGHNATSEKYNCKENIHNSDSHLYSKRGAKSVPNSDCCFTKGVTQDEPLNNLIAVDNYAGNPDLASIILSNKEPELYRNGCTQRIRAFERNQVSGKEFSTQIHDQVSDIKSETMMCENGKPERSHTKDFAMVKKPVCHRKRRVHCKKHIMKSTECGYHDMRHCRSLESSHSKFSMATDHMSNIKNETNMCENKKAERPWAIDFGMAEKIVRRKMRRQKRMRPAKCITYHDMQHYGLLGSNHSKVGENDKDRSAGNSQRASGEAPLKTLDSCSPQMSGENQETTKDQKTKLNKFSNHRISGLLDGNRIMTRRSVLKLCNTCEDHTGGSHTSGSGATFQENSLKESLVLGTSEVIDDAVSDAVKNGTLLHEIMLSKADDKLAEDLGTSITKEGHDDCKDEPFKPCGPAAEDRSDKILKYTFQRKRKRVSIDSKVDSTSLEGSMSKQTVEKDNAVLDPQKSSLLVESSRESRRLAQVARQVGISQAQQKRR >Ma03_p29440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32350884:32358811:1 gene:Ma03_g29440 transcript:Ma03_t29440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAERMAALKRAFADVILNTAKESAVRILASERRVLQLQQSLSLAREESLDMLLRLKSIMDSKINESEKSNLSQVRKIQKLEVQLGEAKGTINFLRSELKRLNSELDQRMDIQAEFPDGKSTGHNATSEKYNCKENIHNSDSHLYSKRGAKSVPNSDCCFTKGVTQDEPLNNLIAVDNYAGNPDLASIILSNKEPELYRNGCTQRIRAFERNQVSGKEFSTQIHDQVSDIKSETMMCENGKPERSHTKDFAMVKKPVCHRKRRVHCKKHIMKSTECGYHDMRHCRSLESSHSKFSMATDHMSNIKNETNMCENKKAERPWAIDFGMAEKIVRRKMRRQKRMRPAKCITYHDMQHYGLLGSNHSKVGENDKDRSAGNSQRASGEAPLKTLDSCSPQMSGENQETTKDQKTKLNKFSNHRISGLLDGNRIMTRRSVLKLCNTCEDHTGGSHTSGSGATFQENSLKESLVLGTSEVIDDAVSDAVKNGTLLHEIMLSKADDKLAEDLGTSITKEGHDDCKDEPFKPCGPAAEDRSDKILKYTFQRKRKRVSIDSKVDSTSLEGSMSKQTVEKDNAVLDPQKSSLLVESSRESRRLAQVARQLISLSERRW >Ma03_p29440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32350884:32355881:1 gene:Ma03_g29440 transcript:Ma03_t29440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAERMAALKRAFADVILNTAKESAVRILASERRVLQLQQSLSLAREESLDMLLRLKSIMDSKVRKIQKLEVQLGEAKGTINFLRSELKRLNSELDQRMDIQAEFPDGKSTGHNATSEKYNCKENIHNSDSHLYSKRGAKSVPNSDCCFTKGVTQDEPLNNLIAVDNYAGNPDLASIILSNKEPELYRNGCTQRIRAFERNQVSGKEFSTQIHDQVSDIKSETMMCENGKPERSHTKDFAMVKKPVCHRKRRVHCKKHIMKSTECGYHDMRHCRSLESSHSKFSMATDHMSNIKNETNMCENKKAERPWAIDFGMAEKIVRRKMRRQKRMRPAKCITYHDMQHYGLLGSNHSKVGENDKDRSAGNSQRASGEAPLKTLDSCSPQMSGENQETTKDQKTKLNKFSNHRISGLLDGNRIMTRRSVLKLCNTCEDHTGGSHTSGSGATFQENSLKESLVLGTSEVIDDAVSDAVKNGTLLHEIMLSKADDKLAEDLGTSITKEGHDDCKDEPFKPCGPAAEDRSDKILKYTFQRKRKRVSIDSKVDSTSLEGSMSKQTVEKDNAVLDPQKSSLLVESSRESRRLAQVARQVGISQAQQKRR >Ma06_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19315466:19315831:-1 gene:Ma06_g22700 transcript:Ma06_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLAFLSFLLVMAIVAAGATYAGDEWGMARLASEGGGLTACDGREGECVGDEEEMTMESVSARRSLASRTKFVSYGALTKNRVPCNRRGQSYYNCHRQKKVNPYRRGCSSITKCARILQ >Ma04_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3117656:3120604:1 gene:Ma04_g04060 transcript:Ma04_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWISVSPSAASRSSRARRRTVTRPPALRGHRPSTTSPSAQKTLSSSDGKLSAFCAAVAESARRRIAAPLLSPGSSPSRPSPSAYNALMKSFSRCGDADEVLRLFHELRRSHRTPNALCYNTLLNSLVVANRHGHAEALFDEMIASGVAPTTSSYTILIKLLSFYPNLIDSAYEVIRFMVRSGCQPDAITYSTLVAGLCRAGRIEEAWGVLDQMMEDKLLPTVQSYTCIVQCYCSEGRIEEAKRLVGVMESVGCQPDVVTYSVLIGALCQAGKFGEVEKVLKESEEKGWKPNEFTYNIYMNGLCKAGKFDEAFQLLEVMRASGLHPTIDTLNILFDCLCQDSKVWEAKCLLERSSELEWDVDVFFYNTLMSRFFEIGELGTVLKLLSEMLKKGIDPDTCTFTIVIRSLCKAGMLQQVKCIINNKGFVADVVAFNTVLHEFYMLEELNDVRKVYSYMIAENVTPNKFTYCIMIDTLCSEGNYLDAIDLLLGSLRFGFFPDLVIRLNNWLVKSGKLREILNLAEEILSRGLVINVSIITSLVRVFCREGYCKSNNVYRVCLVLDKVLGMR >Ma05_p24000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36180105:36198529:-1 gene:Ma05_g24000 transcript:Ma05_t24000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQGFHRPAFRIESKMIRSSSFPFCFLILASLLRRSESACRRGCDLALASYHVSPKDNLTYISSLFGVKYTDLGPYNPNIKNLNSIRTGSRVNVFFRCDCIDGGFLGHNFSYVVLKGDTYNTIARDVYANLTTVDLLSTFNSYPVTNVPDGAIIGVVINCSCGDASVSKDYGLFVTYPLQPGENLSSVAAANGFPSQEDLLLKYNPGVNFSSGSGVVFIPAKDPNGSYHPFSSSAEHDVKTTGISDGAISGISIAGVVLLILATYLYFHLRRRKKAKNASLLLSPCEAYMYKPGVVTSRAERSSTIAGITVDKSVEFSYEELARATDDFSLAYKIGGGGFGVVYYAELRGEKAAIKKMDVQATKEFLAELKVLTNVHHLNLVRLIGYCTEVSLFLVYEFIENGNLSEHLRGLGRNPLPWVARVQIALDSARGLEYLHEHTVPVYTHRDIKSANILIDKNFHAKVADFGLAKLTVFGASLQTQLVGTFGYMPPEYAQYGEISPKVDVYAFGVVLYELISAKGAIVKTGGSVTQSKGLIALFEEALSKPDLKEELRKLIDPRLGQDYPIDSVIAMAWLAKSCTEENRQQRPTMRQIVVSLMTLSAGKEDWDVGSYEKQALVNLMSGR >Ma05_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36180106:36198529:-1 gene:Ma05_g24000 transcript:Ma05_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQGFHRPAFRIESKMIRSSSFPFCFLILASLLRRSESACRRGCDLALASYHVSPKDNLTYISSLFGVKYTDLGPYNPNIKNLNSIRTGSRVNVFFRCDCIDGGFLGHNFSYVVLKGDTYNTIARDVYANLTTVDLLSTFNSYPVTNVPDGAIIGVVINCSCGDASVSKDYGLFVTYPLQPGENLSSVAAANGFPSQEDLLLKYNPGVNFSSGSGVVFIPAKDPNGSYHPFSSSAGISDGAISGISIAGVVLLILATYLYFHLRRRKKAKNASLLLSPCEAYMYKPGVVTSRAERSSTIAGITVDKSVEFSYEELARATDDFSLAYKIGGGGFGVVYYAELRGEKAAIKKMDVQATKEFLAELKVLTNVHHLNLVRLIGYCTEVSLFLVYEFIENGNLSEHLRGLGRNPLPWVARVQIALDSARGLEYLHEHTVPVYTHRDIKSANILIDKNFHAKVADFGLAKLTVFGASLQTQLVGTFGYMPPEYAQYGEISPKVDVYAFGVVLYELISAKGAIVKTGGSVTQSKGLIALFEEALSKPDLKEELRKLIDPRLGQDYPIDSVIAMAWLAKSCTEENRQQRPTMRQIVVSLMTLSAGKEDWDVGSYEKQALVNLMSGR >Ma10_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3873577:3875723:-1 gene:Ma10_g00950 transcript:Ma10_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLEACTVYLGEQYVNSSTLSYITFLVEGCKCFYAHRIALLTSSDAFRAMFDGGYGEKDARDVEIPNIRWEVFELMMRFIYIGSVEITNDIA >Ma04_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7254262:7261792:-1 gene:Ma04_g10150 transcript:Ma04_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRATQFYATPTSIIHSNRSPPLPFRRATDRAKSHAGVTMTDGSGSGSGKVVCVTGASGYIASWLVKLLLQRGYTVRASVRDAADPKKTEHLRALEGASERLLFFKANLLDEGSFDAVVNGCDGVFHTASPFHFAAADPQADIIEPAVKGTLNVLASCKKFSVKRVVVTSSLAAVVYNDKPKNPDVVVDETWFSDPEVCKRDEQWYVLSKTLAEEAAWKFAKENAIDIVTINPGMVVGPLLQSTLNTSSALILNLINGSSTFLNATFGWVNVQDVAKAHILAFELPSASGRYCLAERVSHFSDIVKIIRELYPALPLPEKCADDKPFVPVYQISKEKYKSLGLDYIPLETSIKETIESLKEKNFVNF >Ma11_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21192930:21194837:-1 gene:Ma11_g15490 transcript:Ma11_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G22250) UniProtKB/TrEMBL;Acc:W8QNM9] MWYCRHLQGTNRVSNAAPAIINESERNGEEGNRSEEWGMRPRVVLVPFPAQGHVSPMLDLARVLHARGFEVTVAAPDFIHRRLAGRADDGIRFASVSSGLMADDGAAPPDFAAIERAMESHMPAHLERLLSPPDAEAIACVVVDLVASWAIPVARRCGLPVAGFWTAMLATYRVISAIPELIRRGFISEFGSPLSHQPRCQHDGQEQAAQELTLEGQAKLSASDLPWLVGNPTSQRSRFAFWLRVIERAKSVRWLLVNSFPEEGGRRGDHHPPLPSSQPQEHDAPRTLPVGPLEAHGGDEKTRGNSRCNLSMWDEDQSCLEWLEKHPPNSVVYASFGSWVAPISPEKIAEFALGLEAAAQPFLWVLKDEKPWRAGLPRGFLDRVAGYGKVVAWAPQEEVLRSPAIGCYLTHCGWNSTLEAIRHEKRLLCYPIAGDQFVNAVYIVKVWGIGIKLDGCHRHAIENGIGRIMTGDEGAKAQASVLQLKKRVMGEEGSSAAVASLQCFIDTIKKSM >Ma08_p31640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42490865:42492845:-1 gene:Ma08_g31640 transcript:Ma08_t31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEVGVSPGTVPVYYGGGKLMAVERRMKVAEVVLRCLSCAFGVIAAVLVGSDTQVREFFSVEKKAKFTDMKALVFLVVANGIAAGYSLLMVVRSVVSMMKGSVLFNKALAWAIFSCDQVVAYLTLAAVSAAAQAAELSQFGQTELQWMKVCNLYHKFCNQSGGGMVSAFLGSLCMVAVSSMSAFNLFRLYGKNKGKRSGNF >Ma07_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7270845:7276300:-1 gene:Ma07_g09690 transcript:Ma07_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETETEQRVGFGVQADRHSLLMDRAANLNGHEHAIDISQRNDASTSVSARNDHGDSDGAYNEDRPSTSTLAPVSQLAPTSPNISNSINFSLPRRADNYGRRNRSPLNSGLWISVELVVNVSQIIAAIIVLSLSRHEHPRTPLFAWIIGYTTGCFATLPHLYWRYIHRNSLISEQEPALYGQGTSRNSPPESSAYADISVTQDPEQENGHNSVPETRQTTVTSQRIHSLVDHFKMALDFFFAVWFVVGNVWVFGGHSSSHDAPNLYRLCIVFLAFSCIGYALPFILCAIICCCLPCIISIMGFREDINHGRGATQESINALPTYKFKTKRRKNRGDKEINLDNQGIGGILAAGTDKERIVSAEDAVCCICLAKYVDNEELRELPCTHFFHKECVDKWLKINALCPLCKTEVGDTTTSSRSSGIHITGNWGLV >Ma07_p09690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7270845:7276300:-1 gene:Ma07_g09690 transcript:Ma07_t09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAANLNGHEHAIDISQRNDASTSVSARNDHGDSDGAYNEDRPSTSTLAPVSQLAPTSPNISNSINFSLPRRADNYGRRNRSPLNSGLWISVELVVNVSQIIAAIIVLSLSRHEHPRTPLFAWIIGYTTGCFATLPHLYWRYIHRNSLISEQEPALYGQGTSRNSPPESSAYADISVTQDPEQENGHNSVPETRQTTVTSQRIHSLVDHFKMALDFFFAVWFVVGNVWVFGGHSSSHDAPNLYRLCIVFLAFSCIGYALPFILCAIICCCLPCIISIMGFREDINHGRGATQESINALPTYKFKTKRRKNRGDKEINLDNQGIGGILAAGTDKERIVSAEDAVCCICLAKYVDNEELRELPCTHFFHKECVDKWLKINALCPLCKTEVGDTTTSSRSSGIHITGNWGLV >Ma03_p17330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22581449:22588112:-1 gene:Ma03_g17330 transcript:Ma03_t17330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVQDKGGAIALMLAALLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVIIALTLGQIGDSTPEMPNFITQLGQDNWPSTLFAMAGGIVLSLGNLSTQYAWAYVGLSVTEVISSSITVVIGTTLNYFLDDRINRAEILFPGAACFLVAVCLGSAVHSSNAADNTKKLSGSSSNSTSQTCNSRDQEVSKNLPDKDEPRDLEDGGLVSGSNINSEKAKVGTAEFLIQLENKRSIKVIGSNTFLGLSIVFFAGICFSLFSPAFNLATNDQWHTLNQGVPHLVVYTAFFYFSSSCFIVGIGLNIYFLYKPVLGLPRSSFTAYLKDWKGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADSVQALPLVSTFWGIVLFGEYRRSSRRTYVLLVSMLFMFVVAVGVLMASSGHRKS >Ma03_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22581449:22588217:-1 gene:Ma03_g17330 transcript:Ma03_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVQDKGGAIALMLAALLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVIIALTLGQIGDSTPEMPNFITQLGQDNWPSTLFAMAGGIVLSLGNLSTQYAWAYVGLSVTEVISSSITVVIGTTLNYFLDDRINRAEILFPGAACFLVAVCLGSAVHSSNAADNTKKLSGSSSNSTSQTCNSRDQEVSKNLPDKDEPRDLEDGGLVSGSNINSEKAKVGTAEFLIQLENKRSIKVIGSNTFLGLSIVFFAGICFSLFSPAFNLATNDQWHTLNQGVPHLVVYTAFFYFSSSCFIVGIGLNIYFLYKPVLGLPRSSFTAYLKDWKGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADSVQALPLVSTFWGIVLFGEYRRSSRRTYVLLVSMLFMFVVAVGVLMASSGHRKS >Ma03_p17330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22581449:22588128:-1 gene:Ma03_g17330 transcript:Ma03_t17330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVQDKGGAIALMLAALLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVIIALTLGQIGDSTPEMPNFITQLGQDNWPSTLFAMAGGIVLSLGNLSTQYAWAYVGLSVTEVISSSITVVIGTTLNYFLDDRINRAEILFPGAACFLVAVCLGSAVHSSNAADNTKKLSGSSNEPRDLEDGGLVSGSNINSEKAKVGTAEFLIQLENKRSIKVIGSNTFLGLSIVFFAGICFSLFSPAFNLATNDQWHTLNQGVPHLVVYTAFFYFSSSCFIVGIGLNIYFLYKPVLGLPRSSFTAYLKDWKGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADSVQALPLVSTFWGIVLFGEYRRSSRRTYVLLVSMLFMFVVAVGVLMASSGHRKS >Ma06_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14916137:14916997:1 gene:Ma06_g20760 transcript:Ma06_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVQVPVPVPVPVPVPVQVHIRSVWADNLEEEFYYIRNVVEHYPFAAMDTEFPGVIHLPQKHHTQLTNAERYALLKANVDDLHPIQLGLTLSDAAGNLPDLGTGGAVRYIWEFNFRDFDIYRDRYAPQSINLLIANGIDFEKNRTQGIDSRMFGALLMSSGLVCNNSAMTWMTFHSAYDFGYLVKILTGRRLPNYLDEFTALVRVFFGYNMFDMKHMIKFCHGLYGGLDRVAGTLQVNRVVGLSHQAGSDSLLTMQAFNRMKEIFFLDDADKDYAGIIFGLESN >Ma02_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25415661:25417754:-1 gene:Ma02_g19130 transcript:Ma02_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCRNLEQDRERAMISSWPFVICLVLLVSMPVVFVLAHRILMPTTLPGIPDMDEADDVALFRRATLASAGGGGSAGLRRRPPSAPKIAFLFLTNSDLTFAPLWERFFRGHERLFNVYVHADPAARLLLSPTPSFLGRFIPAKATKRASPTLISAARRLLAAALVDDPANAFFALLSQHCVPLHSFRFTYRALLADPGAPPTPTGFRRHRRSYIEILSGEPGLRDRYVARGDDVMIHEVPFEQFRVGSQFFVLARRHAVLVVRDRRLWKKFKMPCLKSMADSCYPEEHYFPTLLEMQDPQGCTRYTLTRVNWTDSVGGHPHTYRPPEISASLINRLRRSNSTYSYLFARKFSPDCLDPLLKLADSVIFQD >Ma11_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3005977:3011470:-1 gene:Ma11_g03930 transcript:Ma11_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFDTFSNDGDDAAAAAAGGSVATRPFDDGYLGYDPRLPSQRFDAFSSFSPSEDVDPTVDHLTPSSPGFPIDVASGAGFGFHHDDVPVHRQHSDVGGGDSIPASPEGYGFSSAASPFTMPQANGTAAYGVEENGEIFTSDGPILPDPSNMQREEGFILREWRRQNAILLEEKERKEKERQNEIIAEAEEFKQAFYEKRKLNCETNKLQNREREKLYLANQEKFHANADKQYWKAISELIPHEIASIKKRGKKEQEKKPSVVVIQGPKPGKPTDLSRMRQILVKLKHTPPPHMKPPTPPTSAPAKDGAAAAAAGKKPSSPTKDAKANGPADSPKVDISVTEGQTVKAPELVRTA >Ma11_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10837318:10840531:1 gene:Ma11_g10880 transcript:Ma11_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWWHTSLLLFIFLLLPHGEADVQAVNPMECPKPSPVPACSAFLYVVPRGHNASDTAARYSADASLVEPIRRPSGATDFLVAVPCACEAAGNATALFHDTVYLVQPHDTASGVTDVVFSGLAWRVPENITDFASITVRLPCGCSETTVVSYAVQSGDTLTSISELLDSDVEAIKAMNPELMPNPDFLNPGQLLFVPMGVHGSPPAPPPPPPPPPPPKESGMQKTAIIILGVSLSVLFMVFGGLSIWLYRRRRRDESTAESLNVTVSKNSSARFITALQSQLLPSKSGEGTATFMSERPVTFSLEEVDRATASFHDSRKIGEGGYGSVYLGILGTQEVAIKKMKSSKSKEFFAELNVLCKVHHRNVVELIGYAAGDDHLYLVYEYLQNGSLSDHLHDPLLKGHQPISWTARTQIGLDAARGIEYIHEHTKATCVHRDIKTSNILLDSVLRAKVADFGLAKLVEQSDEDWCFATRLVGTPGYLPPESVRELQMSTKSDVFAFGVVLAELVTGERALIPDKKDACKMRSLVTAMKEVSASDDPNAALEEIIDRNLDHVYPTEEVRKMVDVAMWCLSDDPVSRPEMREITTNLSQIVMASIEWEASLGGNSQVFSGIFTGR >Ma07_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2604774:2605663:1 gene:Ma07_g03380 transcript:Ma07_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGCSHHHGHSYWHWKKRYRYIFFGALSFIILVLLAIFIIWLVLRPSKPSFYLQDASIAQFNFTAGTNLLTAIMQVTVSSRNPNDRIGIYYDKLDAFAVYQEQRVTTSTELPTGYQGHNDVVVWSPYLYGAAVPVTPYLSVALDQANAAGLLLVDINVEGRLRWKVGTWTSGHYHIHVSCPAFMAIDHGSGSNGDAPSFHFQHATWCSVDV >Ma02_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21903185:21903517:-1 gene:Ma02_g13520 transcript:Ma02_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQLRRGGFTWPSRTYCCSFCRREFKSAQALGGHMNVHRGDKARPRQSPPSNPKLGSKAVPCSNDAFSSMISPSIHLSCSPPLASSVDDLKTMEEDRGNEVLKTSKGRR >Ma10_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31430292:31432681:1 gene:Ma10_g21830 transcript:Ma10_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPKPKSVVEPSPPSVPVRGGEEAAGWKGARYPNPPDPVNPDVATLRDQWRFAIRQYSRWYSHAWGTAILAGVSFFAIGWLIKGSNPLPSRAPEHADDRRSREATSTER >Ma09_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3787033:3789067:1 gene:Ma09_g05860 transcript:Ma09_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGLASQGGSKLKILISYVDNLPPGYEPSFPLWLWTPWCLIKHGFLGVHSRLDQYKFNQFSAMTFILFGEADLYSMVISGPLEHNFYKDETEFLHFFCLLILVTFACITMSSFLVDLLPSTLSFQVQKQVGEDVVSELMRAIEGQGLNMQVSALVNYTIGTLAGARCYDNDVVAAVILGAGTNAAYVEHAHAIPKWHSLLPKSGEMVINVE >Ma09_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3604577:3605811:1 gene:Ma09_g05610 transcript:Ma09_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRTEIKRIENPIQRQSTFYKRRDGLFKKARELSVLCDADLLLLLFSSSGKLYQYHSPSVASAKELIERYEVAAQTQIRGDRRLERNAEMEMVEKLCDTLERELRFMKVDEGQQYSLPDLGLLEHNLETAIGKVRVEMDRKIGAQIHKLETMVRDRQQERYGLCDKIARVQTLRGMEADGSASSTPGLDLRLGLN >Ma06_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9882161:9882316:1 gene:Ma06_g14440 transcript:Ma06_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKRKDFGFVTFDTHDNVVACAEGINNAELLEGNDEVKVRARLSRQVNK >Ma08_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9218244:9218872:-1 gene:Ma08_g12230 transcript:Ma08_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCAPLSVVLIAAAFFASAGALTAAPVGAPSDFIRSSCRVTQYPRLCERCLSTYKLPIRRSPRGVALAALSVSVDKARAALAFARRTSAGARSREAGAVKDCVETMQDSVDQLRQSVAEMGRLGRARSPRFAWHLSNVQTWVSAALTDADTCLDSVSQNAGPVIRAAIRKRVVEVAQVTSVALALVNRIDPKT >Ma08_p25870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38569752:38569988:-1 gene:Ma08_g25870 transcript:Ma08_t25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEVSEGDMFWIPRSYPVCQIASHRGADGVLRLHNIIEEEPSTVPCRCKLSSKEDEGARALDSFWDERGAAFATRCS >Ma07_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8641972:8643611:1 gene:Ma07_g11600 transcript:Ma07_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAPNNDGRPLFAAKDIIQFFLDNSPKFFPQKKAGIVWNLFDAATGPKYDGKYLHSKIQELLGDTKLSQTLTNIVIPTFDIKLLQPIVFSTFETKYTPLKDALLSDICIGTSAAPTYLPGHYFQTEDHQGGTREFNLVDGGVAANNPTLTAMSQVTKEILMENADFFPIKPADSGRFLVISLGTGSNKQEGKFSAQESAKWGLLEWLYNKGSTPIIDIFFQASADMVDIHVPVLFRALHSEEHYLRIQDDTLVGDASSVDISTRENLEKLVEMGNELLKKPASRANLENGTFEPCEAEETNEEALFRFARRLSSERKLRNSFKLLR >Ma06_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4058011:4058400:-1 gene:Ma06_g05450 transcript:Ma06_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGFELHHEAPKRRCGTGAAAQPSRAPTGKNNLTLSSLDDDVASAVVPRVTVFLEGRSICHLIRLDKHTSYASLAKALRRMFVDVDEGDGRREDGDEVLHLSDAMPGHIVAYEDMEDDLLLVGDLNWK >Ma08_p08700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6148202:6151900:1 gene:Ma08_g08700 transcript:Ma08_t08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGQYHDELIANATYIGTPGKGILAADESTGTIGKRLASINVENVEENRRALRELLFCTPGALQYLSGAILFEETLYQKTANGKPFVEVLKEGGVLPGIKVDKGTIELAGTNGETTTQGHDDLGKRCKKYYEAGARFAKWRAVLKIGPNEPSQLSINANADGLARYAIICQENGLVPIVEPEILVDGPHDIKKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSESAKVAPEVVAEHTVRALQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNKLKGKKPWSLSFSFGRALQQSTLKAWAGKEGNIDKARTAFLARCKANSEATLGTYKGDAAGGEGVSESLHVKDYKY >Ma04_p28080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29223338:29223463:1 gene:Ma04_g28080 transcript:Ma04_t28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWFLFLMIKSRFQLIGSFHVTAANKVSDLQSELSTSGII >Ma06_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3570843:3576088:1 gene:Ma06_g04810 transcript:Ma06_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKFMDKQIMELSGSSAPADKFFDLLNPQEDHQIDGAASGGGSSVKKEQQQQGEKAEIFPSYEFHPIRAVGSSSPPTTGGGLGGSWPTWGSVDSKLASFNLQNAGILEPHEPTKASHQKEKSTYDVAMVAEIDTTVKRYADNLMNALEGVNSRLLQLENRTHHLENSVDELKVAIGNNNGSTDGRLRQFDNILREVQSGVQILRDKQDIVEAQLHLAQLKASKGEQQQQQNSKTVQPDSRQHEVPASQQPIQQSYQQPVPPAQPTVLPAPQVPNAPPPAPQQIPPLHMHPQLPQPQVPSVPSLPRESGFPPAAQHAEATHQQYQVPVQHPQAAPPPPPSGPQHYQPPHLPQYSQPPQSQHSVNPTQQQPPPIPQHAEESAPYAPPPQSYPPSIYQPATFPQPLSGPPQQFFVPNSSMYGPPTSKPNSGPPPSSGYGPPTGPSYSDSAYGGLPSGQSSSPMKHFTPSVPSGGSSNYPRLPTARILPQAPQTASGSSSPSGTRLPIDDVVEKVATMGFSRDQVRATVRKLTENGQSVDLNVVLDRLMNDG >Ma03_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11431258:11436104:1 gene:Ma03_g14290 transcript:Ma03_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGASSSAALAPGFRFHPTDEELVGYYLQRKICGRPLRVDAIAEVDLYKCEPWDLPGRSRIQSRDLEWYFFSALDRKYSNRSRTNRATGHGFWKMTGKDRPVRHRARVVGMKKTLVFHAGRAPHGSRTNWVMHEYRLEDEELAHSGVLQDAYVVCRIFQKSGSGPQNGAQYGALFVEEEWEEEADGLVVVRDGSDHVREQEHFQFTDILQNQELGNQHEDAPTIVEAPDGQDGGDHTDDPTMLLEMLADPTSIESMVDCVNEPKLQINPTHVNGLGEQGSMENCGHSSCHNNGYVELNDILNASNVGCHCTFEDSTGDLLGTFCEQNTVEGVENSSLQGMTNTETFSDPENHYSNHPELLHLSPLLDHFYLQFSDPGQRAEDYTMFYDACSNDLPITPDDCVLPNELLHSPTADPSGFKFNDLMGFSDTTDYLYDDTTDFSEKVECINSPILGPSNFAEEVNHVYNATTNNGGASSSDVPVAKNQFENFTAAPDVQKNEGRGKTITELLVTMLGSISAPPAFAVEFSEGPGKHLGQICATESTSSIHIMARMIHMRSLTVTGSSDNCSLQKIRNMGLFLPCNKADCVVGEPTGCGRKASVSMFFRNVLNLFLLSGFVLAVSYKVGMCICFR >Ma10_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23812708:23815064:1 gene:Ma10_g09710 transcript:Ma10_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLGLEETELRLGLPGGGGGEAEAGKNCGKRGFAETIDLKLQLQTPADAEEAAVEAAETMKRSLSHKNVVSCGSDPEKPPAPKAQVVGWPPVRSFRKNVLSVHSVKGGKEEGEKSGTSAAFVKVSMDGAPYLRKVDLKMYRSYHELSMALQKMFSTFTGGNCGSQGMSGRDFMNESKVMDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKNRS >Ma08_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37950191:37953083:1 gene:Ma08_g24910 transcript:Ma08_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSRHLQCLLSSLSHCRSLVHLQQIHALASKTGLDTDPLVAGKLLLLSAAILPDALDYARRLFSVVPSPDPFMYNTLIRGVSDSDEPPHHAFLLYSRMCRQSVAPDSFTFAFLLKAAANSKSLVLGRQVHSHSVRHGLDAHLFVGTTLVSMYAVCGRVASARKAFDDIPQPNVVAWNAIITAHFRVDDVTNAERLFDQMPWRNLTSWNVMLAGYTGAGELEAARTLFRDMPQKDPVSWSTMIIGFASHGHFDDAFGFFRQLLREGLRPNEVSLTGVLSACSQAGAFETGKILHGHMKKSGLSTVTAVANALLNVYARCGRIQMACQVFDREMGKKGIVSWTSMIAALAMHGHGDKAIKLFNEMEEHGLKPDGVIFISLLYACSHSGLVEQGYHFFHRMEDVYGIKHSIEHYGCMVDLYGRAGLLDAAYNFVMRMPIEPNAIIWRTLLGACSIHGNVGLAELVKKKLSELEPRDSGDYVLLSNIYAVAGKWKDVANIRRSMNEESVRKRPGWSSIEVDKVLYMFVANNECCSVKEEAYGKLMEILSRLRKEGYIPEVTSVLHDIEEEEKEDAIAQHSEKLAVAFGMARMSTGSVIMIVKNLRICRDCHLVMKLISKVYEREIVVRDRSRFHSFREGFCSCRDYW >Ma04_p29400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30367292:30369489:-1 gene:Ma04_g29400 transcript:Ma04_t29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATSAMTSTVRSAANPVKLIRKHAAPATLRIRCSASNSLLNLTSDASPAYYLAPCHKEVAPTTVAMPASKPDQSTQSCGARPRWNLVQRMAAAALDTIEDAFVSNVLERPRPLPKTADPAVQIAGNFAPVDEQAPCHDLPVEGRIPSFISGVYVRNGANPLFEPVAGHHFFDGDGMVHAVHLRNGAATYACRYTETERLRQERAIGKPVFPKAIGELHGHSGIARLLLFYARSLFGLVNGSRGMGVANAGLVYFNDRLLAMSEDDIPYHVRITPSGDLETVERYDFGGQLRSSMIAHPKLDPFSRELFALSYDVIQKPYLKYFYFSPDGKKSPDVEIPLEQPTMMHDFAITENYVVVPDQQVVFKLQEMIRGGSPVVYDQAKTARFGVLPKYAADASEMRWVDVPDCFCFHLWNAWEEPATGEVVVIGSCMTPPDSVFNECEERLNSVLSEIRLDLNTGKSTRRSILSPADQLNLEAGMVNRNMLGRKTRYAYLAIAEPWPKVSGFAKVDLFTGEISKFIFGDSRYGGEPYFLPRDSNSLREDDGYVLTFMHDEKTSASELLIVNAVDMRLEASVKLPSRVPYGFHGTFVGSKDLESQA >Ma06_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7600445:7610604:-1 gene:Ma06_g10890 transcript:Ma06_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQNKGWSLSPRIRGGADDGSGSTANPRGGLGGLASTKGKGKSVVEAAPPPQALLGDDGEDAFGGSTEVEAWRRFREAGLLDQSVLQRKDREALVQRITELEKELHEYQYNMGLLLIEKKESIARYEEVRQALAEAEEILKREQTAHLIAISEYEKREETWLKDLGVEKQKVSALEKDLREVRFEISEVKFSSERKLSEAHALETGLEEKYLEIEARMHAADAKLAEAGRRNSETNRKLEDIEAHERKLQRDCLSLTSERKAHEKDLLEQREHLFDWEKRLQESQRRLVEEQRLLNEREDSANEADHILKKKETELEETREAIEASKRSLKLEEDDITIRLSSLASKEKEAEIKMGSLERKERELFAREEKLNSRERVEIQKLLDDHNAMLDSKKHEFELEMENQRKSFEEEMKAKIDEVEEIKKELDHKEEQILEREHALEINMQKLKEMEKNLESKSQALKRWEESVQIYEKKLEEDKQQLDRDRADIVKSISELESLKVTIEAAKEQIIKEEEKLRLTKEEREEHNLQKSKLKQEIEDYMIMKDSLCRDSEDLRQQREKFEEEWQLLDEKQLALELETKQINDERVRFGKWQYDEEERIRNEEKAKRISIATELEDLRMKKQAFEKTMEHERLNVHEMLTRERSAVAREFELRKDELEMDMRKRQEAMEKDLQDRESEFQRKMTIELDEIRSVSSDFELKSRNLEMEQDRLEREKEDLSAFRESLKTDQLEIQKDIDTLRVLSRELKDQREKFVEERDRFLGLANQFKICKNCGSSVCNLDLLGLQNTDVVQLPSLTFEDRLEAKDSETSPRHMVSPSVSSGGRLSWLRKCSGFFSFSPKGSEDTAQNQVKNPISLDVRLAREALDGEASDEPAPSQGIFAKSFDTQRTQSDSGIRDNEVSKRLGRAREELESSFGVADNSADIVGIQTDNAIKEVAVHLTHPINENERGGLSVPPRNESQPEPSNEKPRQPKRSGRPRKISRTRTVKAVVEEAQAILGETSMGKNGQPNGLAKRSLNIQESTEGNLVHAGQKRGLTHISVAAASELDGEDSETRSESISLGGRRKRRQINIPETQTPGEKRYNFRHSTIAAAARSISDQTKGHKRGGHQQPSGDESLRGDGDGEGTSKLRLDVEPASSFAAESLKSVDMQKMAAENVLDVQEIFQKPVSHEIEECHADDAGKSVEFSKQTGIEGVMADGATAVEREPATPDDGCSEDDDSDEAEENSDDQNGSIGKKLWTFFTT >Ma11_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6490612:6493540:-1 gene:Ma11_g08130 transcript:Ma11_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHRLWGHLPLLVQSSSKESVEYILQALWRTRKTGLDAADRAIIRDMLHLPSDSDLDPLLVCLRMLIRRCVYENISKDEIQKLFPPEVLPELQRLLTLLLQKFQREWREDVLKDQVSLPRLKAMTCNVVNQNQDSVEHVAVMNLKLQGDTQSSSDETQVKFQLARDTLETMLKSMYFIRDQMSSGDATSNGPGQEQGAAETALT >Ma11_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2291227:2292990:-1 gene:Ma11_g03110 transcript:Ma11_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAEPCQCDHHHDPQGLLTAPFVVAEKQPAKRQSEVAPAVPVGTRKPLRSASLAFVELSSTLSLALPMALTGLLLYCRSMVSMLFLGRLGDLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAVGARRHHLVGLALHRTVLLLLAASVPIALLWYYIRPLLLLAGQQPALAAAASSYLHACLPDLIFLSFLHPLRIYLRTQSITLPLTLCAGLAVVFHLPINYLLVSVLHLGVRGVALASVWFNFNVVLFLIVYICFSGIHRSTGGLSFSSECFKEWRSLLHLAVPSCISVCLEWWWYEIMIILCGLLINPEATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGANSPDRAQRAATVGLSCSFVLGLLAFGFAFSVRHMWARMFTGDPAIFELTASVLPILGMCEFGNCPQTTGCGVLRGTARPKLGADINLGSFYVVGMPVAVGLAFWTLLDFKGLWLGLLSAQATCVVLMLVVIQRTDWDSQAKRAQQLTGAAIDEADKEALPKTINATEGDEADTLVVCVKIEQ >Ma08_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37322483:37323561:-1 gene:Ma08_g24010 transcript:Ma08_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQHHQRERVKTASEGNKGGVVPPPPPPSSAAASPSHEFSFTISFHPPLSSAPKTLKSGKATATSAVDLAPADDIFLHGHLLPLHLLPSPTSPPRPSDITFENFGLTVDHADADRSQKHEAGVDHEDGGGKNREKAKGRSLSSFFGFGWLRRRNGDGEKEEDFKKKPQKKKRGFDVGRLLRKYARIVEPLFFLRAEKEKPDLRRRPYSFSGHSTGREREGWRSKSQLSAPDSTTTSRTNSGLLSATSMAFSSSDNSTMEELQSAIQAAIAHCKNSIASKQEMHVNAATR >Ma05_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5503124:5504069:1 gene:Ma05_g07570 transcript:Ma05_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMAASMVSHRPVPAPFLTKTYQIVDDPDTDHIVSWGEDETTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIAADRWEFANEFFRKGGKHLLSEIHRRKASQASQSYNHLQHQLLNHSPPPHHLPDEASSWIEPPPTAAGGDADFLSALSQDNQRLRRRNSLLLSELTHMKKLYNDIMHFLQNHVASVSTDQSCADPRGHRLVMDSQSGSQWHRDEGSIKLFGVPLHDNKKRVHLETESETSEK >Ma06_p23140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20375307:20380053:-1 gene:Ma06_g23140 transcript:Ma06_t23140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKHKLQQRLQMEDAASPFGVTSRPEESTAPANPSFGPDGVATKDIHIDPLTALSIRIFLPDPALDRVGTKPRQAPDQDRRNSYGGACATPPPDHTRRSSYDGPGSQNGNGAAAAETAATGTYRGYLPSVVDARHRTGRSKKLPIVVQFHGGGFIAGSNTSTANDFFCRRIAKLCDAVVISVGYRLAPESRYPAAFEDGLKVLYWLGKQAKLVECRMSMGTARGLGVGEVRRSQIVDTFGASTVEPWLAAHGDPSRCVLLGVSCGANIADHVARKVVDAGKLAEPVRVVAQVLMCPFFIGCVSTRSEIKLANSYFYDKSSCVLAWKLFLPEDEFSLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACTEDIAIWVKKYISIRGHEFSY >Ma06_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20375307:20380053:-1 gene:Ma06_g23140 transcript:Ma06_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKHKLQQRLQMEDAASPFGVTSRPEESTAPANPSFGPDGVATKDIHIDPLTALSIRIFLPDPALDRVGTKPRQAPDQDRRNSYGGACATPPPDHTRRSSYDGPGSQNGNGAAAAETAATGTYRGYLPSVVDARHRTGRSKKLPIVVQFHGGGFIAGSNTSTANDFFCRRIAKLCDAVVISVGYRLAPESRYPAAFEDGLKVLYWLGKQAKLVECRMSMGTARGLGVGEVRRSQIVDTFGASTVEPWLAAHGDPSRCVLLGVSCGANIADHVARKVVDAGKLAEPVRVVAQVLMCPFFIGCVSTRSEIKLANSYFYDKSSCVLAWKLFLPEDEFSLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDRAIAYSEELRKAQACTEDIAIWVKKYISIRGHEFSY >Ma08_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35022125:35026723:-1 gene:Ma08_g21010 transcript:Ma08_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGDRESFVFIARLAEQAERYDDMVDAMKKVAKLDVELTVEERNLLSVGYKNVIAARRASWRILSSIEQKEEARGNEQHVKNIKEYRHKVEVELSGVCSDIMTLIDEHLIPSSSAGESSVFYYKMKGDYYRYLAEFMTGNERKEAADNSLKAYEAATSTAEADLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTADIPEDGEEYAKSGAGEDAQRV >Ma01_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6278902:6280030:-1 gene:Ma01_g08760 transcript:Ma01_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFEQQMDAQRRDLLYHLAVLNEKVQQVQSLVGLVVSPELLRQEPLDAVVSGARSLVQEIIVAASSMMHALQQQQQQEEEKEEEEEEENEAVGYEATGAALDHDLHRLLDGVLECSVGGEGGSRCEIVEVDAADLVAKYTHYCQVCGKGFKRDANLRMHMRAHGEEYKTITALAKGAPPPVAGAARRYSCPQEGCRWNRKHAKFQPLKSMVCVKNHYRRSHCPKMYVCNRCNRRQFAVLSDLRTHEKHCGDLRWMCSCGTTFTRKDKLMGHVSLFLGHTPLPNCLAK >Ma09_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36692095:36693695:1 gene:Ma09_g25110 transcript:Ma09_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMSPVAALMPCIARLSFLSLVFFVFFSSSLADSSGGDVASIQELLRGHGLPAGLLPKSVESFVHDSSSGLLEVRIDRPCYARYDDGLAYFDREVRGNLSYGALRGVVGWSQEELFLWLPVKGIVVTDPASGVILFDIGLARKRLALSTFEDPPDCLPEGEGAAAGLGLFGRRGYQQQR >Ma04_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23879357:23899575:1 gene:Ma04_g21280 transcript:Ma04_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHSAVSCGNGVSNNAVNGASSRDSARVESSFSAPNFSLNLRRQSQLAPYKIKCDKEPLNCRLGPPDFYPQTPNCPEETLTREYLQTGYKETIEGIEEAREIALCQGSSFSKPEYIVKYKEALRKRLRAINESRALKRKAGQVYGVPLSGPLVTKAGAFPEQRASNEDSRRKWIEALSQQHKRLHSLAEHVPHGYRRKALFEVLIRYNVPLLRATWFIKVTYLNQVRLASSSVSSGTPDKAQFARSDLWSKDVVEYLQQLLDEIFPKDGSNVPTPVRNQSSQNTMAMSYRAPQKNESFSSPDAEEPSLHFKWWYMLRLVQWHLAEGLLLPSPIIELVYNQIQEKESPEVLELLFPVLFDVIESIALSQTYIRMFVELFVRRIHDLSSSCSSSVDTSQKSFLVDAMVEIVRYLVIVVPDTFVALDCFPLPSCVVPDLRCRNAFLKLHDSADNVQFDTEDAYLRYLSCGYVTSSIQERASNLSKNVNPILQAHGAAEVLQALDKALMTGDVILAYNSLFEYLSDVAIEERWLEEVSPCLRSSLKWIGTIGSSVICSIFFLCEWATCNYRDFRTSMPHNLKLTGRNDFSQIYFAVLLLKLKMEDLYNFAQSRDGNVMALGTNGKTTSDNDSLLDGTAVENAFVLRNNLSSIYYRKSRRDIFRSPGLLHDVIVCWLDQHEIGKAGSFRGVEIFLLELISNGIFYPPAYVRQLIVSGIMDKNENSLDLQRQRRHRRILQQLPGSCLFDLLEEARIAEVPLLHEIVHAYSSERQLLLRGFLSSKSNHLNSRGDICSIFSLQKDTDHSSSLGDDNHGKVKGQVTEMKALLSCFLHFPHPFSMPIETSADESQGILKSTLDSLESKVDLTEGTSGCEEFRKRKRKKLIDDRSSALQGFSFNHSDDEDNWWAKKGPKFQESLKVELPSKLTKQTSRGRQKIVRKTQSLAQLAATRIDSSLGASTSHVCDNKVSCPHHRSVTEGEVPKDVNHMISGRRSDIGKALKQLRLLERRSISIWLLKSIRQLVGGNERTPSKASNFTDVYSAPVPDDRNTVRWRIGEDELLSILYILDISGDLLSAVKFLIWLLPKVLCGSRTLVQGVRNSMLPKNRDQVCQVGEAFLLSSLQRYENVLRAADVLPEVLTALVHRSLTMMTSNGRPSGAVTFAYARYLLKKYKNVTNVLRWEKKFRATCDQRLLAELETGRSVDDEFMYFSGVPAGITETDEHIRQKINARMSRTGTNMKELVQRHVEGAVHYFYGKERKLSAVATPRNHSLEKWDDSYQIAHDIVLGLVDCIRQNGGATPDGDPCVVASAVSAIVGSIGLAIAKLPDSTASSNYQSFSSSLNSLNCFRHILQIHIFSLCLLKEALGNRLSRVFEIALAAEASSVISTAFAPGKAHRNQFQLSSEIHDIYQDHSNELLNSSTKLIVGRTAKAAAAVSALVIGAIVHGVSSLDRMITAFRLKEGLDVLQFIRSARSSSNGISCSIGTMKLDHCIDVYVHWFRLLVGNCRTVFDGLVAEMLGESYIVALSRLQRVLSLSVVFSPAYSIFAMVIWRPYIFNSNIANHEDFQLYRSFLVAIGDAIRHKPFRDLFFRNTHGFYDILATDSGDSEFAAMLESHNPDKHLKTMAFVPLRARLFLNTLIDCKMPAFSVMREDGTWVAGPAEPRSYSEVEGKLLDQLVHVLDTLQPAKFHWQWVELRLLLNEQALIEKFETHNMSFAEAIRSLSPSADNFVLSESEKKFTEIILSRIIVRPEAAPLYSEVVHLLGKLLQESLVMDTKWILAGPDVLLGRKSIRQQLIFVAQRKGFPIKARFWKPWGWSSSLSDATTNRGDKRKFEAISVEEGEVVEESIDVKMFSKVIHNMDAEGFSPSQQHKTEKALAELILPCIDRSSSELRNLFANELIKQMGAIDQQINTVTPNGFKSSLNSEASSNKGNSRKGIRGGSPVLGRRPIDSVPPSAAALKISLSLRLQFLLRLLPIIYEDRNMRQMLAPIILRLLGKRLVYEDADVCPSTIHMDPLKRELDSPIEASLLDHSSDSLFDRLLAILHGLLSSYKASWLKSKSVTKSAIKARDISAFDREAAENLQNDLDRMELPASIRWRIQTAMPFLSPSLSFMAPCHLPVLPSVAHTLLQPSNLNPGTHQRSIPAWTYNFPGKSKSSALQDMDMEIDPWTLLEDGTNSASASNNSSNMGSTNGDHSNLKACCWLKGTVRVRRTDLTYIGPLDEDS >Ma06_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8359537:8360183:-1 gene:Ma06_g12020 transcript:Ma06_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVAVAHCKRGRGLIKVNGVPIELVKPEILCLKAFEPILLLGRQRFAGVDIRIRVRGGGKTSQIYAIRQSIAKALVAFHQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Ma06_p12020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8359537:8360207:-1 gene:Ma06_g12020 transcript:Ma06_t12020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATDSVQCFGRKKTAVAVAHCKRGRGLIKVNGVPIELVKPEILCLKAFEPILLLGRQRFAGVDIRIRVRGGGKTSQIYAIRQSIAKALVAFHQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Ma04_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9271692:9275329:-1 gene:Ma04_g12300 transcript:Ma04_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSCVPTAGSHGFEDAIEFKPVEHPSEPLVRDQPVTCPQPERSILNDGRIWKERITSATTRAMADLPVLENGSCLQSQDGGEESLLNPAKCPISSSLSAPKHNIDGLLGECIAPEDQSLN >Ma04_p12300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9271692:9275335:-1 gene:Ma04_g12300 transcript:Ma04_t12300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRISVGWGHRRAQSAIDVATPLPPNMEESSCVPTAGSHGFEDAIEFKPVEHPSEPLVRDQPVTCPQPERSILNDGRIWKERITSATTRAMADLPVLENGSCLQSQDGGEESLLNPAKCPISSSLSAPKHNIDGLLGECIAPEDQSLN >Ma09_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18749218:18754541:-1 gene:Ma09_g18720 transcript:Ma09_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGLAGSSSMARAVVKTEPGTAAESSGEPSGTHGGAVRRSLRSSYLAVKNLISDDKDHPGCADSDKFTSIFTHVENLHELVQRPREQVTDAEALLDITNSLLVMVKSHSNDVISPSEFVNGLLRNSGQKERDPNINNSYNMICWNDVGLAVSHVFRKVPEFHTMIGPMDITLKQRKAAVNRKRKKPTEVTCPEELSQTDTDGKNDIDKDMSTMFNILRKMRCVRFESLILNRTSFAQTVENIFALSFLVKGGRAEIVVGDDGQHLVSPRNSPVGKPGSSRDVAYHHFVFRFDFKDWKLMLDFVAPGEELMPDRGARHP >Ma06_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8555127:8559873:-1 gene:Ma06_g12320 transcript:Ma06_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRPIRTCRSRATMCLLEKCVLLACVRGSHVRGAHRELCSPAAADPKSTLKKRRSPPPVFASLSLSHRILEMFLTRTEYYRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLRTNEGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEYARNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSQPFGVSLLIAGHDENGPSLYYTDPSGTFWQCSAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSISKQVMEEKVTPNNLDIARVAPTYHLYTPTEVEAVIARL >Ma08_p24680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37768787:37774752:-1 gene:Ma08_g24680 transcript:Ma08_t24680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERHSNCTPSSSENGVLDVKPLRSLAPMFPAPFGFNAITQSIVPPFICVTPFGSSPAGSDSSYPSGVPPAFPPFSFHEAPNQKPSNPDPVSFATRVVDAHVAMTPGANGSLRASPFSTSAKTPTSGTFWTPSATNVSIDEDEDPSLDDNMPASGRKTKRAGRRSSNQAGSSGTDVKRKRPNKSLNTELPLLSSSSNHPRESVEIVLMTYDALRRRLLQLDEAKDVNRRQDLKAGAIMSGKDLKANAGKRIGLVPGVEIGDIFYFRFEMCLIGLHAPSMAGIDYMTASFSDKDEPVAICIVSAGGYENEDDDVDVLIYSGQGGSGKHDKKQPDDQKLERGNLALERSLHRKNQIRVVRSTKDVSCPTGKIYIYDGLYKIDDSWVEKGKTGFNIFKYKLLREPGQPDGIVVWKMIQKWKENPSSRGRVILPDISSGAENIPVCLINDVDDERGPNHFVYVTTVKHLCHTISKKPLEGCMCLSVCLPGDTNCFCAQQNDGDLPYNSMGLLVRRKPLIYECSVSCQCSFNCRNRVTQKGIRLHFEVFRTKDRGWGLRSWDPIRAGTFICEYAGEVISKTRVEDDGEEDEYIFQATYLGEKASRWNCGPELLEDPDINNSNEVFRPFPITISAKNSGNIARFMNHSCSPNVFWQPVLYDHGDEDYPHIMFFAIKHIPPMTELTYDYGLHGDEAGQQKIGTGFISQRAKKCLCGSPNCQGYFG >Ma08_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37768787:37774776:-1 gene:Ma08_g24680 transcript:Ma08_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGNRATKPRSQTSRCTRRRERCEQEEPRPPEVCEDCCISTRSLRSLTLLLVVIASSVLFAMERHSNCTPSSSENGVLDVKPLRSLAPMFPAPFGFNAITQSIVPPFICVTPFGSSPAGSDSSYPSGVPPAFPPFSFHEAPNQKPSNPDPVSFATRVVDAHVAMTPGANGSLRASPFSTSAKTPTSGTFWTPSATNVSIDEDEDPSLDDNMPASGRKTKRAGRRSSNQAGSSGTDVKRKRPNKSLNTELPLLSSSSNHPRESVEIVLMTYDALRRRLLQLDEAKDVNRRQDLKAGAIMSGKDLKANAGKRIGLVPGVEIGDIFYFRFEMCLIGLHAPSMAGIDYMTASFSDKDEPVAICIVSAGGYENEDDDVDVLIYSGQGGSGKHDKKQPDDQKLERGNLALERSLHRKNQIRVVRSTKDVSCPTGKIYIYDGLYKIDDSWVEKGKTGFNIFKYKLLREPGQPDGIVVWKMIQKWKENPSSRGRVILPDISSGAENIPVCLINDVDDERGPNHFVYVTTVKHLCHTISKKPLEGCMCLSVCLPGDTNCFCAQQNDGDLPYNSMGLLVRRKPLIYECSVSCQCSFNCRNRVTQKGIRLHFEVFRTKDRGWGLRSWDPIRAGTFICEYAGEVISKTRVEDDGEEDEYIFQATYLGEKASRWNCGPELLEDPDINNSNEVFRPFPITISAKNSGNIARFMNHSCSPNVFWQPVLYDHGDEDYPHIMFFAIKHIPPMTELTYDYGLHGDEAGQQKIGTGFISQRAKKCLCGSPNCQGYFG >Ma03_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:343991:346346:1 gene:Ma03_g00380 transcript:Ma03_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLASSNEPCWGEPKVYMRKNPISNPNPRPCPNLCTVDYAGDRTARFRTMEQEEAPPVATAVVSDDSSSFNRRPADLNHRRDPAAGGSGSYVTFNISAYSKTELRELKRRLVSELDQVRSLMIRIQSREIQSSTRSAGFGASGIYPGGGREVTSSAGLPPLDPWASRPSGTFSAKTNKESESDKLLAAMMKKCGQILSKLMKHKKSIWFNTPVDVIGMGLHDYFQIIKTPMDLGTVKKNLHKGLYPSPAEFASDVRLTFNNALLYNPKGHEVHKLAEQFLRHFEGLFGPAFHKYEKQEEQCRVSTEAAPSPGPLLPIPASPPVQSPAPFPVAPQEQPRQQYPNVARATLVKQPKPKAKDPNKRPMSMEEKQKLSEGLQNLPPEKMSHVLHIVRKGNVSTTQNGDEIELDIDTMDTETLWALDRFLCNCKKMMSKMKRQEAIANGLLLHAGHSAAVADAQLPAEGGGEMSPVLVDASEVVAAKKSKKGDTAEEDVDIGDELPITNYPPVEIQKDTGYATSSSSSDSDSSSSSGSDSGSSSEGDSDEEENEARSPAAGVRSQRD >Ma10_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17187443:17190190:-1 gene:Ma10_g05810 transcript:Ma10_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYGDGDIWLPPEFLCADFFREGGERCEAGFAGACIPCEFGLGSNPESPVESATTGTESDEEVYMKAITQQMARFFLRNDDKDASVTAPSRAKAMARSPQSAPCTRSSSNKGGSVNGPTLVSSPPLEQRSEETCDLLDEAPGQMMRLRRFGDLALCDRRILGPLMKQSPAMSTACKSANAGYYDLGPVLTHRQLQAAHFHHLKRQQAAKQRLSAAWGRQCKARDSSVGYAESRCGRPPDPSATACPPLRKPQHPPPGSGMRAVFLNSSGSRKESAGTGVFLPGTASNKLAPRKKTGCSTVLVPDRVVRVLNLRLEDFAAQPRFPGGFVLTHEALLGRSSAALSHQKKNRHLNCQPPTAVAEAAADEIRLPRDWSY >Ma05_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3516509:3517394:1 gene:Ma05_g04630 transcript:Ma05_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVVPNAGLKNHHVGLPVYLHGEEQVEKKRKEEAEVVRRRNGFCLGRKEVAAESSESSSIGAASSEDEGSRQEGREAKEEEVESKRKDGAALGSLDSLEDSLPIKRGLSNFFSGKSKSFASLSDAATASAKDMAKPENPFNKRRRLLMMSNIRSASYTSLSSRDHTVKEADEEEEE >Ma03_p28750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31848585:31854134:1 gene:Ma03_g28750 transcript:Ma03_t28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIATKLKTSWSRLLSFCPSLRIPRIHLLPPFSTHPPFPIHTTTFAFRSVRPFSTNPVMGDRVVQELLAAVEREKQMAREERRKAGVALDGEDEDEEDYMGVTPLIEKLEKKKAKDLDGTDRFWVPTDSESDDDERFSPDEVKKRLDEFEKKCKRHAELLKNFAEAETLDEAHKWMTKIDKFEQRHLKLPLEYRVIGDLMNRLKESTGKERFILLQKLNRAVRLMDCKEAYDPNNPANFGVIQHRQIASPEDIVDSAGFDKEKSMIHGSLEEDDEDFSERKEQDDVLIEKLNAIEKKLEEKLAELDHTFGKKSRVLEEEIKDLVEERNSLTEKKRRPLYRKGFDVKVIDVNRPCKVTKGGRIAKYTALLATGNYHGVVGFAKARGPTANIAIQRAYEKCFQNLHYVERYEEHTIAHAIQAKYEKSKIYLWPGPMRSGMSAAGRTVETVLYLAGFRNVKSKIIGSRNPLNVIKALFKALNAIETPKDVQEKFGRTVVETYLL >Ma04_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16002931:16005493:-1 gene:Ma04_g16600 transcript:Ma04_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSSFHRPKKPHSNMLSLIPSFHIILLFLLTLSAVAILFLHSPSLSPCSTAAASSSSRRAFSGDLRHVDFSWNSLRLTSDRPPPTVLRIAVFSRKWPVATAPGGMERHALTLHSAIARRGHRVHVFTSAPSSGERLPEGGEEEEEPGRPRLHFLSDARWRCDEAWGLYEAEAARGGPFDVVHSESVALFHRWARDVPNLVVSWHGISLEALHSGIYQDLARGPDEPMTPAFNRSLAESIYKVLNEIRFFRSYAHHVAISDSTGEMLRDVYQIPSGRVHVILNGVDEDKFAPDTRLGDAFRAEIGLPGGAALVMGVAGRLVKDKGHPLLFEAFSKLLSRHPNVYLVVAGSGPWAQRYADLGVNVIALGPVPPSKLNGFYNSLDVFLNPTLRPQGLDLTLMEAMQCGKPVVATRFPSIKGTIVVEEEFGYTFSPNVEALLEVLEKLVGEGKARLAQRGRACGEYAKSMFTASKMASAYERLFLCIKNETYCRYPLAFD >Ma03_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10916118:10917496:1 gene:Ma03_g13830 transcript:Ma03_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSTFFLFFFSCKMIEKKERERERERGLWMRVWIPFHPTKQHSNLCCTARQWQLWDVYRITNPLPTTQNREKRASHFRPTFRQLHTDVCISISLTPPQENPFSITCYSLQHRPSHQPNTKPCMPGAAAASAAAVRTSYTACFGSTLQVRSTSISWHR >Ma10_p26920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34388955:34392494:1 gene:Ma10_g26920 transcript:Ma10_t26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLGNQIEDALPEWRDKFLSYKDLKKRLKLISGGGGERLAKRPKVADDVGPGDRDPSSAPESAAMPVVEEEDDFMRLLEAELDKFNTFFVEKEEEYIIRQKDLQDRVVEAISKDSKEELMKVRKEIVDLHGEIVLLENYSALNYTGLAKILKKYDKRTGALIRQPFIQKVLQQPFFTTDVLYKLVKECEAMLDRLFPKIETSTSVEDCDGQNREQKPAKPRSSLVGGVPESEEIEYMESSYMKSTIAALRAMKEIRGGSSTVSFFSLPPLKSNGLEERWNNVPVLEQAAK >Ma04_p38960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36255445:36255579:1 gene:Ma04_g38960 transcript:Ma04_t38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNCDSSIQYFLDLTTDIVEESKYLQSTKLALDSAFLITMSRY >Ma01_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12729971:12730207:-1 gene:Ma01_g17360 transcript:Ma01_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPLFNHLLIYMISTHICIIFLIAFAKVLYPCIEVVDTCVLSINKCTEVTFRAARWNANKRQEHGNISPAIITCSF >Ma11_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22669737:22674615:1 gene:Ma11_g17350 transcript:Ma11_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAREARRRRILERGTDRLAFIAGQARSIPDSSPPPSPSPPPPKEAHPKTSVAPLSEDDGATISRFSDQYRSQASGPAGERTEPAGERTESERSHVKALASDEMHGDKKEDDICTCESGNEAKAKTVQSGRDSELLTSAMAPRSSDEVVDAALPTAPRVKKQLMFSSKQVSRSIAASENIRLIGAVVIALLVILSNHGYAFGGAVMGSILNFRPLFLVMLTDVTVVLGLLITNHGTDAKENEKARTGKQEHGWPSNIGDALEAWLMIRTVSSAVFMDCSICAVIMIAGLCV >Ma09_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11534397:11536378:1 gene:Ma09_g16170 transcript:Ma09_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVLASAASFHQQHHHESLLASAAAEQHPIIPLLAAAPCLVEDDNPAARAKPGGIHLWQPVLPQPPHHLPPAHGSNPNPNVTLSNYLRKPVPMLDPTGILAGAGAVAAGGGTSTCQDCGNQAKKDCSHRRCRTCCKSRGFECSTHVKSTWVPAARRRERQVSAAAAGSSASTSAPKKPRLVASQTGTASHTSTSNTTPPRSFDTTSSHQDANVKESLPAHVRAPAVFKCVRVTSIDDGEDEYAYHAMVKIGGRVFKGFLYDQGLDDGGSHADDAKDAIPNISELHLGNRNGGASSSSPMLPSDVFGGSGGLMGGTNYGNQMN >Ma05_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4417031:4418738:-1 gene:Ma05_g05820 transcript:Ma05_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEQATSSLPSSSERSSSSAPQMEIKEGMESDEDVRRVPEFGLELAGPSSSERGHGSAVGQDQARVGQRRRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEAKVKDLEAKNSELEERMSTLQNENNMLRQILKNTTVSRRGSSGSATADSQ >Ma02_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12663967:12665397:-1 gene:Ma02_g01890 transcript:Ma02_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTSLPPGFRFHPTDEELILHYLRNRASSMPCPVSIIAEVDIYKFDPWELPAKALFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIVASKGMSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLAEALRSNNYQTIKLRGSSMRLDDWVLCRIYKKSNHQLPLDAEQEDTGVEAASPHSMQQNGFLKLPKSYSLTELLDAADYSTLARLLENPPDVLGSQNCSINYPDSNPSFVPHCNQAELPVPIKDNVLKRKQIVNSSCFEEDDEFSFPAKKPTVPRTFDNTAFDSLPYSLLLSSDLGLQLGHSAQQAKAAYEM >Ma01_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11503603:11527066:1 gene:Ma01_g15820 transcript:Ma01_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAELCDLVAQNPDLFADKLSWICSRCPPSLNRSTPGGASAVPPRVSRSQLHALVALVRLLSRCATAPASARAPLLDFLRVAPSVAFRPSFWPQAFSFDQISLFFSDLLRYTAQAADLSPDLSADLSAFFGGTVVAVVSILSGGGDGDPAIARTFLVAISRSCPPIGPAESERLVGCLLDQFASRGAEEATSVSSLSENSSSWSSSVQSTPSKGKTKDEDRETADDAASEVSSVTPMGNGISGGIAGSGADQLISNEGPGVVRQDMVVFEEETVDRLEKQEIAFRLFGQMMDRNGAINSEHLEQVRKVATKQIKSLPAFLKVRKRDWREQGPQLKVRINTKLSCCQAAIVVQIKSLISLDSDGKSSKDLLRRTLALLLDAAEACIVSLWRKLKKCEELFSTLLSGISQIAVSRGGQLLRVLLIPLKPLVLTTCAQADMSGNNQGTMFETVTKLCCEIIEFGWSKDRALVDTFIMGLASCIRERNDYEEQDGKEKQAVPVVQLNVICLLADLSSSANKWEIVDMILPLFIESLEEGDASTPSLLRLRLLDAVSRIACLGFEKSYRETVVLMTRSYLDKLKNIGLTENKTLPSEATTERVETLPAGFLLVASRLTAAKLRSDYRHRLLSLCSDVGLAAESQSGRSGADFLGPLLPAIAEICSDFDPAANVEPSLLKLFRNLWFYIVLFGLAPPIQQNQIQTKPVSTSLNTVGSVSTIPLQAVAGPYMWNEEWSMAVRRIAKGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVAQRAALSAALGGRVEVAAMGTISGVKATYLLAVAFLEIIRFSCNGGLLSADPSSTTSKSAFSCVFEYLLTPNLMPAVFQCLTAIVHRAFEAAVSWLEEKISDIGQEAEIRESVLSAHACYLIKNLSQRDEHVRDISVNLLTQLREKFPQVLWNSLCLDSLLFSGHNELPSIQVHDPAWLATIRSLYQKIAREWITTALSYAPCTTQGLLQENLCKPNALQRTQHASDVVSLLSEIRICTGKNDSWTGIRTANTPAVIYSAAAASGAKKEVSDGFILEVLSTAVVSATVKCNHAGEIAGMKRLYESIGGFQMGMSPGSLGLGLGQPMNVGLPSPQLNLKKESFSEILLSKFVHLLQQFVGTAEKGLVMDKTLFRETCSQATALLLSYLDAESKLNMEGFSQLLRLLCWCPAYISTPDAMETGIFIWTWLVSAAPSLGSLVLAELVDAWLWTIDTKRGLFASEMRNSGPAAKLRPHLVPGEPEAPEEKDPVEGLIAHRLWLGFFIDRFEVVRHDSMEQLLLLGRMLQGTMKSPSHFSHHPAAAGTFFTAMLLGLKFCSCQSQKNLQNSKMGLQLLEDRVFRASLSWFAYGPEWYETNSKSFAQSEAQSVSLFVHHLLNECVDSIPTDSSLKGRGRENELLNMTELSHPVWGHMDNYATGREKRKQLLLMLCQHECDRLEVWAQPLNMKDNISRPKIGSDKWIEHVRTAFSVDPRIAFSLTLRFPTNSHVMSEVTQLVQVHISEIRTIPEALPFFVTPKAIEENSVLLQQLPHWASCSITQALEFFSPPYKGHPRVMAYAMRVLESYPPERVTFFMPQLVQALRYDEGRLVESYLLRATQRSNIFAHILIWHLQGESCSQESGKDVDVVKSNSFQAILPVVRQKIIDGFTSEALDMFRREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKISIDGDDLYLPTAPNKIVRGIQLDSGIPLQSAAKVPIMITFNVVDKDGDPNDVMPQACIFKVGDDCRQDVLALQVISLLRDVFEAVGLNLYLFPYGVLPTDYERGIIEVVPNTRSRNQMGETTDGGLYEIFQQDYGPVGSPTFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDNKGRLVHIDFGFILETSPGNNMRFESAQFKLSHEMTQLLDPSGSMKSDTWSQFVSLCVKGYLAARRHMHGIVTTVLLMVDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRTCNDAYNKWTTAGYDLIQYLQQGIEK >Ma06_p35770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35515940:35519926:-1 gene:Ma06_g35770 transcript:Ma06_t35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPPACASRLRHLVELIELKAHKDPVAALSHLDSLAAHHPFSCPSPSLLFRLLRAVSASAPSHLPRLLRFLRHHPRCPRFSEAAALVALKAFSRALMPDEALRTFRSLPDIFRCTPGVRSHNALLDAFVRARRWDEAESFFAFFSARARVRPNLQTYNILIRGLCAREQLDRALELLQTIRSGGIEPNRVTYSTLMCALIKRGDLDKALEVFDEMCDRKVAADVVCYNVLIDGFLKNDELDKAMEMWNRMVSDRAVSPTVATYNVMLNGLCKLGKFNEVMELWGRMVANSHRPDSFTYGILIHGLCESGNVDGASRVYTEMMKNGLVLDTVTCNSLLNGFCRAGRLEESSKLWESMQSAGHCNTVSYNILIRGLFENGRVEDAIILWEQLQQQQHKALRPDSVTYGVLIHGLCENGYINKALQVLKKAEEGEDKILDVFAYTSMLDGLCKDKRIDEAICVYNQMAKCGCRPNSQTHNALISGFCRVSKISEAIQFFNQMQSSGCSPTIVTYNALIGGLCKAERFFEASVFTREMMEKGFKPDTITYSSLIDGLCRDKKLDAALDIWNRVFNMGDGADVIMHNIIIHGLCSAGKVEEALRVHSEMKRRNCMPTLVTHNTLMDGLYESGDCEKASTVWIEMLEVGLEPDIISYNIALKGLCSYNRTSEAVQLLHDALSHGIIPSTITWSILLRAVMKEGPAQT >Ma06_p35770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35516843:35519926:-1 gene:Ma06_g35770 transcript:Ma06_t35770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPPACASRLRHLVELIELKAHKDPVAALSHLDSLAAHHPFSCPSPSLLFRLLRAVSASAPSHLPRLLRFLRHHPRCPRFSEAAALVALKAFSRALMPDEALRTFRSLPDIFRCTPGVRSHNALLDAFVRARRWDEAESFFAFFSARARVRPNLQTYNILIRGLCAREQLDRALELLQTIRSGGIEPNRVTYSTLMCALIKRGDLDKALEVFDEMCDRKVAADVVCYNVLIDGFLKNDELDKAMEMWNRMVSDRAVSPTVATYNVMLNGLCKLGKFNEVMELWGRMVANSHRPDSFTYGILIHGLCESGNVDGASRVYTEMMKNGLVLDTVTCNSLLNGFCRAGRLEESSKLWESMQSAGHCNTVSYNILIRGLFENGRVEDAIILWEQLQQQQHKALRPDSVTYGVLIHGLCENGYINKALQVLKKAEEGEDKILDVFAYTSMLDGLCKDKRIDEAICVYNQMAKCGCRPNSQTHNALISGFCRVSKISEAIQFFNQMQSSGCSPTIVTYNALIGGLCKAERFFEASVFTREMMEKGFKPDTITYSSLIDGLCRDKKLDAALDIWNRVFNMGDGADVIMHNIIIHGLCSAGKVEEALRVHSEMKRRNCMPTLVTHNTLMDGLYESGDCEKASTVWIEMLEVGLEPDIISYNIALKGLCSYNRTSEAVQLLHDALSHGIIPSTITWSILLRAVMKEGPAQT >Ma04_p25250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27035588:27041088:1 gene:Ma04_g25250 transcript:Ma04_t25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRQSGIQQLLAAEQEAQRIVNEARNAKMARLKQAKEEADKEIAAYRAQMEAEFQRKVAQSSGDSGANVKRLELETEEKIQHLKSQAANISQDVVQMLLKHVTTVNN >Ma02_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17720268:17726851:-1 gene:Ma02_g06820 transcript:Ma02_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKAISLEEIKNETVDLERIPVDEVFEQLKCTREGLSSAEGANRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAVMAIALANGGGKPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEEDAAILVPGDIISIKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMIVEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKEYVVLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDANGSWHRVSKGAPEQIVTLCNCKEDVRKKVHAIIDKFAERGLRSLAVARQEVPERHKESPGAPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQNKDASIAALPVDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFATGIVFGSYLALMTVIFFWAMKETDFFSDKFKVRSLRHSEDEMMSALYLQVSIVSQALIFVTRSRGWCFIERPGLLLVTAFIIAQLVATLLAVYANWGFARIKGIGWGWAGVIWLYSIVFFFPLDWFKFAIRYVLSGKAWDNLLENKTAFTTKKDYGREEREAQWAMAQRTLHGLQPPDTANLFPEKSSYRELSEIAEQAKRRAEIARLRELHTLKGHVESVFKLKGLDIDNIQQHYTV >Ma09_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3452762:3455159:-1 gene:Ma09_g05350 transcript:Ma09_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWREAEGKTLHDPLFHLCPPLAFHQPSDGFHEVATRDEAERSIWVPGSIIVGAGPSGLAVAACLEAKGVPSMILERSNCIASLWQLKTYDRLRLHLPKRFCQLPLAPFPACFPTYPTKQQFVAYLEAYARRFHIRPCFNQTVVSAEYDGRVKLWRVRAVRAGNDKAAEYVSRWLVVATGENAEAAVPDIDGMSIFKGPIIHTSSYKSGDEFQGKRVLVIGCGNSGMEVCLDLCNHSVRPRIVVRESVHILPREMLGRSTFGLCMWLLKWLPMRTVDRILLLVSRVMLGDTQRYGLRRPRLGPLELKSLSGKTPVLDVGALAKIKSGDIKVCPAVKRLTGHGAEFVDGRSEDFDAIILATGYKSNVASWLKEREFFSDKDGFPRKVFPDSWKGEQGLYAVGFTRQGLMGTSVDAKRIAHDIKQCWMAEPKQRMLPSQT >Ma06_p30130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31466540:31469483:1 gene:Ma06_g30130 transcript:Ma06_t30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVESKGTCTFDSAQEDNPGSNACNGDVGHKARHYRKALSSRSKPAPSKWDDAQKWLVGLSGRGAHQHAVSKPRNSNADDRRLLASLSQRGRDSCSSADGVLEGGVVLAITACDEGETKKMDYNEAMWRTNKPVQDSSMVVRSVCLRDAGTEMTPIASKEPSRTGTPLGASTPVLKSPVCSRSSTPVRCRQGAQQNDSYKEGMRSLERSEAVPFGAAGGSNWPSREESGTDGARSYETSGSARDRNPSSLESRATAWDEAERAKYLARYKREEVKIQAWENHEKRKAELEMRRMEVKAERIKSRAQEKYANKSAAAGRIAEEKRASAAAKLNDRAARTSDRTDYIRRTGHLPSSFFSFKLPSLCS >Ma02_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23231967:23233032:-1 gene:Ma02_g15580 transcript:Ma02_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFAAMASSFRRLSSLLVFVGFLSLLRPCACYNRMNSSDTDLAMSPAVATWYGEAEGPGSTGGACGYGDGVAKAPFGSKIAAGGTSLYKSGKGCGACYQVACTANPACSGRPVTVVITDQCPGGPCASDAVHFDLSGAAFGAMAKPGQAAALRSAGSIHIQYARVPCSYPGFHVTFRVDNGSNPNYFAVLPEFVNGDGEISAAEVGQGSSWTPMQSSYGAVWKLNAPVHGPASIRLTSAVSRKTIVATNVIPAGWKPGATYSSNVNF >Ma01_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3269751:3270500:-1 gene:Ma01_g04770 transcript:Ma01_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQMFQSGKAHGEGEAQAGQWTRSVQDAASSVRDMASDTMQSAKESQQHAAGFLQQTGVQVKQMAQDAAGAVKNAVGMGNADAGSGGGGATTTTSTNTNQAPQDLLL >Ma01_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13999621:14002134:1 gene:Ma01_g18700 transcript:Ma01_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLVWNPGFEFDEKIIGFIKDYRRVFDLACIDHRGTPEFPARTCTLEPEKGAICWGVAYCVRGGAEKERAAVKYLERRECEYDKKISVDFYREGETSDPAATGVIVFISTMDKEANKYYLGPAPFDEIARQIATASGPCGNNREYLFLLEKAMSDIGHEDGFVIELANKVRKVLGGLKQKASVVHIPLQPQASFLHIKALPEASVGVDS >Ma05_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33040912:33059145:-1 gene:Ma05_g21390 transcript:Ma05_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQGNCSVQGIGESSDSTIELNVKMLDSRVYTFRVNKDTPVPSLKAKVASATGVPVELQRLIFRGKVLKDDHHLSDYHLDDGHTLHLVARQPVQAQTQPGTVAGDSSRVNDNQGSDSTSNAPHNRIGQVSHSVVLGTVNIGDQGEGVISDIGRVVGAVLQSLGGGILTPGVGASNTPSAANQVSPGGETEGSRNVNGRNQLGNQAQPAVTVVNQPFQVQLASPGSVPRNMVIPDSMTTLLDFINRMELILQNSGSQSSSPSNLRDPPRSDNASLNLNRLPTPDVLASVIEHARLLLSGNAVAAFSRMVERLRRETTSADPMIRSQIQSDAMHMGLVMQHLGAMLLELGRTTMMLRMGPSPDGSFVNSGPAVYISSTGPNPIMVQPFPPQMSPLFTASSPLVNGPSMPLAGGDPLRNINIHILSGTSLAPGVLSSGGRANTGDANRSERQNVEQPRQNGSGSGNADPMRGIPARTVVAAIPARPSGETASQVLGVVYPVHVRSQQSGPPHSGSFQGSHPPVGSGMEPNTSVIVPHPSESGSIPAIVAQVNAHIDGAFAGNALGQSSSFTSQQSAAAQGSHPAMNSESQSDMNVQLPQGSSGMDSISAIVRQINEQIANVISGNSPNQSSSSTAGQQQVAAGSLSTDTDDNFASTHDDNDPDSNNKLFESQISDTTTRGDGKQLEGNGFSTTGDHQRSPNLEESSTAGLIGLTSCGSDTNSATLGKFAEKTLMSGVASHDSSSSQASGSGRSTPLGLGLGGLQPKRRSKSTKPVGKDRVSCDATSVNQNQESISRGQEILRSIVSRSSDVNRVNVNSPSTSLPSVLGQFVDGMSLGGQGSQEQVDAGGMISHVLQSPVFNNLLANVAGQTGLGSPADLRSMMEQCTQSPAMRSALNNIVQQVEGEGQDHGSMLLGSGSSEGGIDFSRMIQQMMPMVSQALGRVSSRSPPVTVMGSEHQPQSNNVTHNVERMQDRNVQIDLREARERIEQCDSSGNIFRAMLEGAGNLYGEETSYEDLQELGDDAELGNEYLEMLQRHIRERLRKQSNSSEKS >Ma05_p21390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33040912:33059184:-1 gene:Ma05_g21390 transcript:Ma05_t21390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQGNCSVQGIGESSDSTIELNVKMLDSRVYTFRVNKDTPVPSLKAKVASATGVPVELQRLIFRGKVLKDDHHLSDYHLDDGHTLHLVARQPVQAQTQPGTVAGDSSRVNDNQGSDSTSNAPHNRIGQVSHSVVLGTVNIGDQGEGVISDIGRVVGAVLQSLGGGILTPGVGASNTPSAANQVSPGGETEGSRNVNGRNQLGNQAQPAVTVVNQPFQVQLASPGSVPRNMVIPDSMTTLLDFINRMELILQNSGSQSSSPSNLRDPPRSDNASLNLNRLPTPDVLASVIEHARLLLSGNAVAAFSRMVERLRRETTSADPMIRSQIQSDAMHMGLVMQHLGAMLLELGRTTMMLRMGPSPDGSFVNSGPAVYISSTGPNPIMVQPFPPQMSPLFTASSPLVNGPSMPLAGGDPLRNINIHILSGTSLAPGVLSSGGRANTGDANRSERQNVEQPRQNGSGSGNADPMRGIPARTVVAAIPARPSGETASQVLGVVYPVHVRSQQSGPPHSGSFQGSHPPVGSGMEPNTSVIVPHPSESGSIPAIVAQVNAHIDGAFAGNALGQSSSFTSQQSAAAQGSHPAMNSESQSDMNVQLPQGSSGMDSISAIVRQINEQIANVISGNSPNQSSSSTAGQQQVAAGSLSTDTDDNFASTHDDNDPDSNNKLFESQISDTTTRGDGKQPQLEGNGFSTTGDHQRSPNLEESSTAGLIGLTSCGSDTNSATLGKFAEKTLMSGVASHDSSSSQASGSGRSTPLGLGLGGLQPKRRSKSTKPVGKDRVSCDATSVNQNQESISRGQEILRSIVSRSSDVNRVNVNSPSTSLPSVLGQFVDGMSLGGQGSQEQVDAGGMISHVLQSPVFNNLLANVAGQTGLGSPADLRSMMEQCTQSPAMRSALNNIVQQVEGEGQDHGSMLLGSGSSEGGIDFSRMIQQMMPMVSQALGRVSSRSPPVTVMGSEHQPQSNNVTHNVERMQDRNVQIDLREARERIEQCDSSGNIFRAMLEGAGNLYGEETSYEDLQELGDDAELGNEYLEMLQRHIRERLRKQSNSSEKS >Ma06_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14880146:14880496:1 gene:Ma06_g20730 transcript:Ma06_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNHGKNPKLNFTGKQMRARGGPSTRAVVEEEEEEEEDSSSSSSSSRSPPSSCVSSEGEQKLGSPEATSMVLAGCPRCLMYVMLSEKDPKCPKCNSTVLLDFHRGNTSAGKNRKS >Ma10_p24410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33017631:33020114:-1 gene:Ma10_g24410 transcript:Ma10_t24410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSVPANKSRAGENSETPRGDANKRLRMSCYCDDKPRLIASLPDEISMQILARLPRIYYLNVKLVSQRWKAAIISDELFRLRAELGVTEEWLYMMTKTGTDKFSWHALDPRLSKWQRLPPMPCLANEEERQRVLPRLRMWSVVGSSIKLADLIRGWLGRRDNLDQMSFCGCAVGAVGGCLYVLGGFSRASAIDCVWRYDPCLNLWEEVNSMISARAFCKTCLLNDKLYAVGGVIRGRNGLTPLHSAEAFDPATGLWTELPSMPFLKTRVFPLAFLADMVKPIATGMASYRGRLCVPQSLYSWPFFFDIGGEVYDPETGSWVDMPPGMGDGWPARQAGTKLGAVVNGDLYALDPSRYLDSAQIKVYDEEEDAWRVVAERVPLHCFSDSESPYLLAGFLGKLHVITKDASDHIVIMEADSRRCRGSNVSTSSTAPVDAIADSSEEEEPQILKVIAAKDFGAAELVGCQVLDL >Ma10_p24410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33017631:33020114:-1 gene:Ma10_g24410 transcript:Ma10_t24410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSVPANKSRAGENSETPRGDANKRLRMSCYCDDKPRLIASLPDEISMQILARLPRIYYLNVKLVSQRWKAAIISDELFRLRAELGVTEEWLYMMTKTGTDKFSWHALDPRLSKWQRLPPMPCLANEEERQRVLPRLRMWSVVGSSIKLADLIRGWLGRRDNLDQMSFCGCAVGAVGGCLYVLGGFSRASAIDCVWRYDPCLNLWEEVNSMISARAFCKTCLLNDKLYAVGGVIRGRNGLTPLHSAEAFDPATGLWTELPSMPFLKTRVFPLAFLADMVKPIATGMASYRGRLCVPQSLYSWPFFFDIGGEVYDPETGSWVDMPPGMGDGWPARQAGTKLGAVVNGDLYALDPSRYLDSAQIKVYDEEEDAWRVVAERVPLHCFSDSESPYLLAGFLGKLHVITKDASDHIVIMEADSRRCRGSNVSTSSTAPVDAIADSSEEEEPQILKVIAAKDFGAAELVGCQVLDL >Ma10_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33017631:33020114:-1 gene:Ma10_g24410 transcript:Ma10_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSVPANKSRAGENSETPRGDANKRLRMSCYCDDKPRLIASLPDEISMQILARLPRIYYLNVKLVSQRWKAAIISDELFRLRAELGVTEEWLYMMTKTGTDKFSWHALDPRLSKWQRLPPMPCLANEEERQRVLPRLRMWSVVGSSIKLADLIRGWLGRRDNLDQMSFCGCAVGAVGGCLYVLGGFSRASAIDCVWRYDPCLNLWEEVNSMISARAFCKTCLLNDKLYAVGGVIRGRNGLTPLHSAEAFDPATGLWTELPSMPFLKTRVFPLAFLADMVKPIATGMASYRGRLCVPQSLYSWPFFFDIGGEVYDPETGSWVDMPPGMGDGWPARQAGTKLGAVVNGDLYALDPSRYLDSAQIKVYDEEEDAWRVVAERVPLHCFSDSESPYLLAGFLGKLHVITKDASDHIVIMEADSRRCRGSNVSTSSTAPVDAIADSSEEEEPQILKVIAAKDFGAAELVGCQVLDL >Ma02_p06310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17322273:17331549:1 gene:Ma02_g06310 transcript:Ma02_t06310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMRRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVIVFSPRGKLYEFSSSSVERTIDRYKMHTKDANIMDKGTDSDMQQWISEATDIAKKIEVIEAQKRKLLGDGLESCSLKELCELEAQMERSLHKIRGRKQYVLTEQIAQLKDKVRVLLEENVKLHRERHIEPQLQLASTRDVGPKNHGNQEITEVETELVIGRPGIREDK >Ma02_p06310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17322127:17331549:1 gene:Ma02_g06310 transcript:Ma02_t06310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMRRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVIVFSPRGKLYEFSSSSVERTIDRYKMHTKDANIMDKGTDSDMQQWISEATDIAKKIEVIEAQKRKLLGDGLESCSLKELCELEAQMERSLHKIRGRKQYVLTEQIAQLKDKVRVLLEENVKLHRERHIEPQLQLASTRDVGPKNHGNQEITEVETELVIGRPGIREDK >Ma02_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17322273:17331549:1 gene:Ma02_g06310 transcript:Ma02_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMRRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVIVFSPRGKLYEFSSSSVERTIDRYKMHTKDANIMDKGTDSDMQWISEATDIAKKIEVIEAQKRKLLGDGLESCSLKELCELEAQMERSLHKIRGRKQYVLTEQIAQLKDKVRVLLEENVKLHRERHIEPQLQLASTRDVGPKNHGNQEITEVETELVIGRPGIREDK >Ma02_p06310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17322273:17331549:1 gene:Ma02_g06310 transcript:Ma02_t06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMRRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVIVFSPRGKLYEFSSSSVERTIDRYKMHTKDANIMDKGTDSDMQQWISEATDIAKKIEVIEAQKRKLLGDGLESCSLKELCELEAQMERSLHKIRGRKQYVLTEQIAQLKDKRHIEPQLQLASTRDVGPKNHGNQEITEVETELVIGRPGIREDK >Ma04_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3308760:3313998:-1 gene:Ma04_g04370 transcript:Ma04_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITARKHSSNLQSFLAHTTPSVPVYSLSKPNTRDNNFWQPTGKDRSEYFTLGDLWDQYSEWSAYGAGVPIVPDNYENVVQYYVPYLSAIQIYTNKSLPCSRISLEESESESFSDDSESEKMSKSSSDALSEDSDVSQESSLHSKETLGQLYLHYIEYGSPYRRIPLVDKVNELAEHFPGLMSFKSVEMSPASWMSVAWYPIYHIPICRNAKDLSACFLTYHTISASFQDNVGHVESAKDFCSTISRTNRREQKIGNNSITLSPFGLSTYRMQGSLWRNSGTSDNEMIGTLYNAAQSWLNQLRVKHHDFDFFTTHSM >Ma05_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32984061:32992507:-1 gene:Ma05_g21340 transcript:Ma05_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAASSSSSVDADPSSTSASPRAARTVRQPWSHVVRGEPETSAAAALPPPSSPPPQPQAATIPSPDPSDRSPRKSPPEDVAPSSSEAARGKKPAWKRPINGEIEAGPAVMGGAASWPALSESAKSASGTRSSSSDASKPLSDGPLAVPRPNLNPSSTPNHVASERHQSMNQGGGSGNGALAVREAALPSPPPTSAPLPQVNLANQTPQAPPELSTQDQAASTLDHGSWGLGLGSQTYNGGDHHRSYGGNRRWNNGGGSGSHNSNYGNRRDQERGGYDGYRRNTGGRDFNMQQRGARPYFRPPHVVNPYLRPLPQVRPFGNPMMYPDMSSPVIYVATQPPPGVPFVPHPVVPPAMYIPAIDPQRAALLKQIDYYFSSDNLCKDIYLRQNMDDQGWVPLSVIAQFNRVRQLTNSTDYILDTVRLSTEVEVQGEKIRKRNDWMNWILPSGQSTGTSNSDNLSARLRTVDLEGNTLRGNTGVPNYSEVVLSRSASGNLRNQLQMAVNRNDANRRATGLADSHPTNSGRSLGRSDTF >Ma05_p21340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32984061:32992507:-1 gene:Ma05_g21340 transcript:Ma05_t21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAASSSSSVDADPSSTSASPRAARTVRQPWSHVVRGEPETSAAAALPPPSSPPPQPQAATIPSPDPSDRSPRKSPPEDVAPSSSEAARGKKPAWKRPINGEIEAGPAVMGGAASWPALSESAKSASGTRSSSSDASKPLSDGPLAVPREPAISTASPKPNSNPSLTPKPNLNPSSTPNHVASERHQSMNQGGGSGNGALAVREAALPSPPPTSAPLPQVNLANQTPQAPPELSTQDQAASTLDHGSWGLGLGSQTYNGGDHHRSYGGNRRWNNGGGSGSHNSNYGNRRDQERGGYDGYRRNTGGRDFNMQQRGARPYFRPPHVVNPYLRPLPQVRPFGNPMMYPDMSSPVIYVATQPPPGVPFVPHPVVPPAMYIPAIDPQRAALLKQIDYYFSSDNLCKDIYLRQNMDDQGWVPLSVIAQFNRVRQLTNSTDYILDTVRLSTEVEVQGEKIRKRNDWMNWILPSGQSTGTSNSDNLSARLRTVDLEGNTLRGNTGVPNYSEVVLSRSASGNLRNQLQMAVNRNDANRRATGLADSHPTNSGRSLGRSDTF >Ma04_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3228429:3233092:-1 gene:Ma04_g04210 transcript:Ma04_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G60430) UniProtKB/Swiss-Prot;Acc:Q1ECJ7] MVYHSSFVDEEGITKACGCPLLPLKTHIKGPAPVSDQDKIDIVDEAITFFRANVFFKNFDIKSPADKLLIYLTFYINVALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFSVPQSQDEAELFRNYLKQIREETSGRLLNCAYRPNGTPNKWWLAFAKRKFMNIVVL >Ma04_p04210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3228429:3233110:-1 gene:Ma04_g04210 transcript:Ma04_t04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G60430) UniProtKB/Swiss-Prot;Acc:Q1ECJ7] MVYHSSFVDEEGITKACGCPLLPLKTHIKGPAPVSDQDKIDIVDEAITFFRANVFFKNFDIKSPADKLLIYLTFYINVALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFSVPQSQDEAELFRNYLKQIREETSGRLLNCAYRPNGTPNKWWLAFAKRKFMNIVVL >Ma10_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22463732:22467205:1 gene:Ma10_g08180 transcript:Ma10_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSFVSRYIEEVTGFVEGEICKVLGVKKEIKTLQEKLEMIKCYLESAERKSRGDPGIEAWVRKLKAIMYDADDIIDLCMMEGGKLLEARGSASASGVSFAFSFVSSCFRCTKHRHEIAGEIEAINGRLKQIAEDTSILSHLQSSGSHQPQPEKPTVPETPLEVEEDIVGGQIEADADTLINAMLEDTKQKCRIFGIVGMGGIGKSTLARKILNDERIRVNYPIQIWLYISKNYSETKLLGELIRCASNKSEGGEAKQESFEGQSKSELEIKLASLLTKNLFLVLDDVWSTNLWNDFLRKPLSKAVGCTILVTTRKETVLKGMRPSYTHPVEKMDVNSGWMLLRNLVFGAEEEDDERRLKEVGMKIVRKCDGLPLAIKAIAGVLVCNDRSIGKWEEVLGSDAWNMNQIDDEVPGALNLSYVDLPSHLKQCFLYCSLHPQKSDMYYKEIIRFWVAEGLIAQQGNRLLEDIAEEYYHELICRNLLQVDAGTLGKKNFSMHDHLRSLSACLMKDEGKLIRHGQRLDFRANEKIRRLSVSKMGNKLVLPDKIMKDKCLRTLILIDSPRTKIIEDNVLRMLPHLRVLDLSYTSIKTLPGCIGELLQLRYIDLDGTNIYEIPESIGRLANLQTLNLCNCEYLHRLPKAITMLHSLRCLDIENVPLTHVPKGIGKLMDLNHLEGFVVGHNDPTNKLHEEGCDLEELQALSKLRCLGLYRLERADDDREAEEEEGNEDDNGDENEGQGVRWNDEQFQAVEKVCDELSPPSSLEDLVFQRFPGRQFPGWLMSTSLDKSFPNLAYLRIWYLKSCTELPPLGMLPLLKHLDVTGGEAIKTIGPGFLGRKLPGASAFPKLEYLEFYEMPNWEEWSLWGMEENGQGPHLKLFPNLKICKIIDCPKLRALPEGLSHATKLKELYLESTQDLREITNIRVNYKLEVKDNTMLNRISNLSMKYLKVEDCPNLEYVENLDRLQQLVLICPRQMKQLPQWLSTLIQQRQSIPSAQWSFRKLELQCNIVLLKSCLEGNENWHIIQQIPDVIIQTYSRKKYIRYSKHPRMYDANV >Ma07_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8044614:8050520:-1 gene:Ma07_g10790 transcript:Ma07_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNIIEEEEEEEEEEERKVAAAACCGGRGSVCMELWHACAGPQIWLPRKGSLVVYFPQGHLEQLGAAGCGGGLVAPRDVPPHVLCRVLDVKLRAEEETDEVYAQLYLVAENKELEKQLQDDEAGERRHVEESDDFSKAFTTHMFCKTLTASDTSSHGGFSIPRRAAEDCFPPLDYKQPRPSQELAAKDLHGTEWRFRHIYRGQPRRHLLTTGWTAFINKKKLVSGDSVLFLRGNDGELRLGIRRSDQLTRVIHSPFNKKNLGILTDLANAVSARMVFCINYNPREGKSEFIIPYWKFTKSFNHSFSIGTRFKMTIDCEYAADRRCTGLITGTGELDPLRWPGSKWKCLLVRWDDEVDITSDNRVSPWDIEPTDSISLSYVPPTTGPKRTKKFHPWDHLDHPIPNGVAYPDLGESARFLEVLQGQEVMGSRTLYEGVDISNTDIRNNVGIPVGHLNFPDKGFGESVPLGKVLQGQELYPTVPAFQSTPMNALPESGARLLSRLLGIGSSWPRSFQDSSFLGKSTPTFVPMFPQESAQFSHPGAVSTTDEHDKSSFGTEKQMPCVPCNMVDEFAKGHYSSADPKNDENALPHGIKSCRLFGFSLTETIPAANISAGSVTDMHYEESESPFGSRKPSSAGRAVDHLKLGPRDDLMLQLGAV >Ma09_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27229683:27243304:1 gene:Ma09_g20030 transcript:Ma09_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSENKKSVERKKPMAVAPIAKPLAGKNLCKRTLKLVRRAAEAKSLKRGLKEVVKSVRRGHKGLCVIAGNISPIDVITHVPILLEEADIPYIYVPSKEDLAVAGVTKRPTCCVLVLTKPTKGELVQEVQEKLQADYNQVVADVKELTTSLF >Ma07_p28910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34802393:34804725:1 gene:Ma07_g28910 transcript:Ma07_t28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDTEQEAAQSVTEGEHRWEALKQPLRDALERGRSLEDRLMGFILLPTVDRRERRRSFSFAELWAGPSFSNSPAPSSLPIPKFSVPEKPK >Ma04_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:244708:249026:1 gene:Ma04_g00190 transcript:Ma04_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MGTIFNGSLCSVLPSPRRSLEGISFFRGSNLRNLDSSSRKNSGIRCKISEGGPVEQGSRNNREVEDYNTVMKRMMRNPYEYHHDLGMNYTIINDSLIVGSQPQKPKDIDHLKEAENVAYILCLQQDKDIEYWGINFQDILNRCKELGIHHMRRPARDFDPDSLRSQLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAISYLFWFCDMDLNTAYNTVTSKRPCGPNKRAIRGATYDLAKNDPWKEPFESLPEHAFGGIADWERKLIRDRVRGLGGT >Ma02_p08370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18770848:18776500:-1 gene:Ma02_g08370 transcript:Ma02_t08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHKVEKPRQESAINENEIRITSQGIIRNYVSYATSLLLEKHVGEIVLKAMGQAISKAVAIAEIVKKRIPGLYQDTAISSVSITDVWEPIEEGLVPLETTRHVSMISISLSARELNKNSPGYQVPLEQPKHQQRYQQPQQFQWQQQIQPKQPQTQLNEDFYARGHGRGRGRGRGRGRGWGRRYGGYGGYDNNQGGYGNNQGGYGGYGRYDNNGGYGNYQYNGGWNSNWGRGGGRGRGGWNYRGGAYGGGRGDGGRAAGRGYGGGRGRMVNRARENQV >Ma02_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18770874:18776500:-1 gene:Ma02_g08370 transcript:Ma02_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYHKVEKPRQESAINENEIRITSQGIIRNYVSYATSLLLEKHVGEIVLKAMGQAISKAVAIAEIVKKRIPGLYQDTAISSVSITDVWEPIEEGLVPLETTRHVSMISISLSARELNKNSPGYQVPLEQPKHQQRYQQPQQFQWQQQIQPKQPQTQLNEDFYARGHGRGRGRGRGRGRGWGRRYGGYGGYDNNQGGYGNNQGGYGGYGRYDNNGGYGNYQCGYSHDQDNGGWNSNWGRGGGRGRGGWNYRGGAYGGGRGDGGRAAGRGYGGGRGRMVNRARENQV >Ma04_p34510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33669202:33670330:1 gene:Ma04_g34510 transcript:Ma04_t34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVISVVVVMSFALMAMAVMAQGPWDTADATFYGDMSGNATMGGTCGYDNLFEHGYGLSNTALSTVLFNDGEMCGACFELKCVAGPDRCKEGSTIVTATSFCPPAPVSLCNPPQKHFDLSMAMYMKIAKTNYSGSIPVQFRRVPCVREGDIGFEFVGNPFWISVLVYNVAGSGDVAKLSVRGSNTTWVPMTRLWGQRWQLSFRPEMVGQSLSFNVTTGDGKTVESVDVAPANWQFGQRYRGGQF >Ma07_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28503717:28505566:-1 gene:Ma07_g20610 transcript:Ma07_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKRKVDQEDELLSGALNHAADPLSQAHHQPVVYTPAPGSDDDDGGEDDDDDVGTLLEPLSRDQLVGLLRTAAASNPATLAEIRRVADLDPAHRKLFVHGLGWDTTAEGLRAAFASYGDIDDCRVIVDKASGRSKGYGFVLFRHRSSARRALRCPQKVIENRMTSCQLASSGPSAPSVHHHNPGPHHHQNPNRSPGPASHQDNVSRKIYVGNVHSDVDGGRLLAFFSQYGEIEEGPIGFDRHTGKPKGYALFVYKTVEGALRALEEPNKNFEGHLLYCQRATDNKSKAAPIQTPAAPPNVVSSTGTLNGSGYAGTPDMGLAQQAAMLGQGLLGMGGTQAFGQGMQQNAAVLALLAAAGQNPAAFGITPAMITSLNPAFAAAFGAAGSQQAVTAPTGPQVAQVPTYGMGNAAYQGPPGYHAPTGFQGSPGLQGPPGFQGAQSVAQQGGGGASSYQGAPTGQGPISRPPTGPMGGYGPL >Ma03_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28438526:28439794:1 gene:Ma03_g24060 transcript:Ma03_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELHFSGNDPLGLRRLRCDALAQVLDAVQCTVVSAVGNRYFDAYILSESSLFVYPQKMVLKTCGTTQLLRSVPCLLRHTADLGLRLRACRFSRGSFIFPRAQPFPHTSFAEEALYLEERLPPSLCFRRGCVLPSASSHSWHVYAAADDDEYVAAASPSTFTVEVCMTELDRSLARGFFRRKGDERSGDAAGVDMTDLTGIGGISPRALLCGFAFDPCGYSMNGLDRDRYSTIHVTPEDGHSYASFECTGGGEVIEWLRRAIGVFRPGEVSVSFCMAEGGDEKQVWSATSAALEPLGLSCRSQAAEGLPGAGIVTYQTFTARGK >Ma01_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9447753:9448457:-1 gene:Ma01_g12920 transcript:Ma01_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEADIDRPALLTPSKDSHVMSKLKPKIRIVHLFTPEIIKTDAENFRELVQQLTGKPTKAIASKKKKKKAKASPPTTIDEADRRSVRGGHRDLMHERLKEETSIELSEDDIAGFFSELGAAERFFGDPIGDSPLLPVGASFA >Ma08_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7758560:7759645:-1 gene:Ma08_g10600 transcript:Ma08_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHGRTCGSFMAQSEEEVDLRRGPWTAEEDLVLMNYVAAHGEGRWNSLARCSGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILQLHTRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSKQFKDAMTYVWMPRLVERIRAASGVSTTAPAGPVAEVELVMKPSPEESSTPSSSFGAEFPPAPPVFDTFPAAAAAATQSGEGELPGWWSESGDTDLGLPDFDHSVWGDDLWSAEDIWLQQQL >Ma08_p25290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38200928:38204315:-1 gene:Ma08_g25290 transcript:Ma08_t25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNERDECSSEKNEHHLTYSNAGFSSGWQFRNPSVGIVPGDQRGSMEDSFNPSLWKLCESDMPAIAKPVPATSRFDDGWTPSDSSSRGGTFLPSGPPILTPTLSHFPADSAFIKRAARFSCFSAASLGSMATPFGASHLAGAQIHNIDINMAKDASLHDVEPGEAAVPSGVGGGHENADSSSKDLAAKKRRRSSEELEAERGDPQMAAEAKTESVDTDHKAEQSSSTAKPNGKHDKDASEAPKEDYIHVRARRGQATNSHSLAERVRREKISQRMKLLQELVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMKLAAVNPRLDFNIESLLSKDFLQSHGGTSSAIGFSPDAVHPQMHLSQQGLVQAEISGNLNHPNAFRRAVNSMPNAWDEQLQNVMQMAAFSSNSQQPRTQ >Ma08_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2734995:2737567:1 gene:Ma08_g03830 transcript:Ma08_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLKHRAPVSRGVGFLLRSFDAIRSLGAASLPPSGAEEAEKRPKRKKKKNLFEVAQFLPDWGIGYKMAKTHWRDVCYEITKINLYKDGRHGKAWGIRYKAGLPAADAPVKLSGVNKRGWKYILESKKKMEKSTPKIEDQISA >Ma02_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14471581:14476361:1 gene:Ma02_g03110 transcript:Ma02_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATFFLDGAFRRSPAFSKLVLIFAASGGGLVAYADARTDPAAEPSQTAPKKKMVVLGTGWAGTTFVRNVDSSLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSIVEPIRKIIRKKGGEIKFWEAECFKIDPDNKKVHCRTNIGTNLEGNGEFLVDYDYLVIAVGARVNTFNTSGVVQHCHFLKEVEDAQKIRKSVIDSFERAILPDLDEEERKRTLHFVIVGGGPTGVEFAAELHDFISEDLAKLYPTVCNLVKISVIEHGGHILTMFDKRIGKFAEEKFRRDGIELRTGYRVVKVSDNIITMEDKLHVETSVSYGMAVWSAGVGARPIILDFMKQIGQGNRRALATDEWLRVRECDGVYAIGDCATMSQRKVMEDILEIFKFADKDNSGTLTVKEINDALEDICIRYPQVELYLKSNQMSNIVDLIKASKGDVGKESVELDIEEFKNALADVDSEVKNLPATAQVAAQQGNYLASCFNRMKRCEKKPEGPLRIRELGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWISIGHSSQWLWYSVYASKQVSWRTRALVISDWTRRFIHGRDSSCI >Ma05_p26790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38316709:38320079:-1 gene:Ma05_g26790 transcript:Ma05_t26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASVKPEYPVVDRNPPFTKTVGNFNTLDYLRLSTITGISVTVGYLSGIKPNIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNEGEVERYKKA >Ma05_p26790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38319338:38320093:-1 gene:Ma05_g26790 transcript:Ma05_t26790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASVKPEYPVVDRNPPFTKTVGNFNTLDYLRLSTITGISVTVGYLSGIKPNIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNEGEVERYKKA >Ma06_p31170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32341076:32344141:1 gene:Ma06_g31170 transcript:Ma06_t31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSKSKHSVATREINGHSYQEPTPLPPQYQPPPPPQAQPQAQPPPASKGVVAPNAILGKPFADVRSLYSLGKELGRGQFGVTYLCTETATGAQYACKSVSKRKLATKSDREDIKREIQIMQHLTGQANIVEFKGAYEDRNSVNLVMELCAGGELFDRIIAKGHYSERAAAAICRAIVNVVNICHFMGVMHRDLKPENFLLATNEEDAMLKATDFGLSVFIEEGKVYKDIVGSAYYIAPEVLKQRYGKEIDIWSAGVILYILLSGVPPFWAETERGIFDAILKGEIDFESAPWPSISSSAKDLVMKMLKQDPKKRITAAQVLQHPWMVEGGEASDNPIDSAVLSRMKQFRAMNKMKKMALKVIAENLSEEEIKGLKQMFTNMDTDKSGTITYDELKTGLARLGSKLSEAEIKQLMDAADVDGNGSIDYIEFITATMHRHKLEREEHLYRAFQYFDKDRSGFITREELESAMKQHGVGDADTIKEIISEVDADHDGRINYEEFCSMMRSGMQQPVLLV >Ma11_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27802837:27804653:1 gene:Ma11_g25050 transcript:Ma11_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSHPSVTITISFLLLVLPPTSFCFVTGSATGATQRRRHFSKIYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGTTFFRHSTNRYSDGRLVVDFLASMLSLPFLPPYLYRAADFSHGVNFAVAGSTAIEHDFFVKNNVTIDITPQSLMTQLMWFDKYLEEKGCKTKGSRRCRAATADALFWVGEIGANDYAYGFTSTLSPTVIQQLAVKKVFDFVEALLIRGAKYIVVQGLPLTGCLPLTLTLAPSDDRDDIGCVASVNRQSYNHNAIVQNELQELRDRFPEAIISYADFLGAHHNVMKHPAAYGFTEKLKVCCGSGGDPYNFDLFATCGSPNVTTACPEPNKFINWDGVHLTEAMYKVVADVFFHHGYCKPSFDVLLTAKSLES >Ma09_p27960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38835346:38837035:-1 gene:Ma09_g27960 transcript:Ma09_t27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSQMWSLLGLLTILQNILPSQLLSLLHSMWQSLQDSITPYSYFDVPEFLGSSAVEPNDLYRHVHLYLHQSLLSSAAVSVSAPPRLTLSLPRGSAAAPSLSLSPNQSLEDSFAGHRLLWTHQADTLQDSLEERRSFSLRLPKRAAAALLGSYLSHLSDKADHLERSSRPRRLYTNSPRGGPPSWSSVPFRHPATFATLALDPPVKTSLLADLDAFAAGREFYRRTGRAWKRGYLLHGPPGTGKSSLIAAIANHLRYDVYDLELTRVSHNSDLRSLLIQTSNRSVIVIEDIDCSLDLTGDRRGTAKSSAAALAASRKRAARKRPQPSISNDSSDSEDECCGNSNDGRVTLSGLLNFIDGLWSCCGEERVIVFTTNYAEAVDPALLRPGRMDVHVRLGACGAHAMRELVERYVGATEHDMLRVAESCIRAGAEMTPAEVGEVLLRHREEPEEAVRKMVAELQARVGSSGDSGGGGGGEEEEEGSAAWSWEESPEKARGRKRRAGWERRVRFLRRLRSLTKSDSESRGV >Ma05_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35188417:35194498:-1 gene:Ma05_g23170 transcript:Ma05_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVCRVCNSGAPMLLRQRWFGSQLVAPASVLKSTEELPPLPKGWHDCLYNRVAASGDPRRSIVPLLERWVQEGRPVDQSDLREMVKKMRSFRRNFHALEISTWMSDRRYFCLSPGDVADRLDLIKKVHGLEQAESFFGNLPKQLKVYQVHGALLSCYVQDKCVEKAEAFFHRMEEANMLDSFAFNMLMKMYNDIGQLALVDKIFQEMKNKGFAPDIFTYNILIEAYATGANVEGVKKVLGSMKHSEIAASCYTYAIAAKGYVKSGLIDEALVLLKESKKLMPRRKGNDAYGFLILIYSDIGDKSEMYRVWNMYKSSDKVATSMYMCMMGALLKLDDVEGAEAILKEWESVTYFHDFRLPNLLLGAYCTRGLLEKAELLVNKAIESGLAPYANAWDRLASLYFDCGQNLKAVEAMKKALAEGQAPWKPNPASVMRTLEYMKEQKGVEEAEGIVKLLKRHGPLTQEIYNCLLTIYMRAGKSTTDLLEQMAEDGFRADEDTFKILEEKSEKVLPQRTRKKKKNVEQKAIKGTETDRKSAKLAALFSC >Ma07_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7672627:7673711:-1 gene:Ma07_g10260 transcript:Ma07_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNGEPLTVQAPGTRPEVSVMFLTWLMGLIRLMEGDNTGPINLGNPGEFTMMERAEIVKEVILIKMIENARDDPRQRKPDITKAKYLLGWNLKIILR >Ma07_p25450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32507665:32510412:1 gene:Ma07_g25450 transcript:Ma07_t25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLLLEMEMEDRRPLLPRLGVALAVHLSSYLVSLLKFPTSAAAGDESKKGRKYSMAAHICFGSGLKDGLLFLQNKDALANIIHGTSTKPSSVTKTALTATTSDDDTEQSATSSRDEEGLPLQEAEQESESSCPKKPVSIELENEKAKMDAEIVYLSNLAQALWDRNRSLEMEMLEYYGLKELQEAAVKELENQLKLNSVEAKCLSLKVESLMDENLRLKLEASEFSGTMRELGLARARVKHLKRRQKYIHVQAREKISSLEKRISLMHAIKRRESRDEAEEQNKLKRVKELEDEAGVLRKENSMLTQENLDLARRLESAESSISTTLDRVQAKAVEEVNLLREANEKLKNEIEQLQTDRCTDVEELVYLRWLNAYLRYDQRDHHPLPGKTIAKDLSNSLSPESEEKAKRLILEYACAGLDDRGVSFRDLEADYSSLESTGEGDDTPPFVIKDIITSRKPKFLGKLKKLVLRKGSKNNKAASVDGTPAINPSSCATPERRSSLDEATGRNSFDSIASCFTEEHSLTGHLAKTDDTAWSRAAFRSPFNLPGERRPRLEEEGKSTRCKSDLGTSFEYRRRVTGDGNTITIGQDDSFHQGEPETVRITKYAEALMSSRASSEEPKQR >Ma10_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31402950:31409138:-1 gene:Ma10_g21760 transcript:Ma10_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQDPQCTTEVQPSSEASHVLQGDHQNSPVVETPKVDSGSVSIASSNTRKVSRDDIELVQNLIERCLQLYMNRGEVVQTLSNRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQIILFNHLLEHQYHLMKYPIQPKVPLPPIQNGIHPVPVSLPMGYPILPQHLMPATGQPHVDPMGCGLSSCHVVNGIPAPGSFHPIRMNSGTNGTTEAAHTAPPAISSMSEMAVSPASAASSNHFPFSSEISGIGLDVTALDTTFPPDVVNSGGLQLGSDGVGTSRDSIRSSGQLWNFSLSDLTADLTNLGDLGVLGDYDGSPFLPSDSDILLDSPEPDDIVEEYFADVATGSCPHQSDEEKS >Ma11_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7272857:7275871:-1 gene:Ma11_g09100 transcript:Ma11_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEPVELFQKLCSSGAVQKGRLLGLDVGQRYVGLAVSDVANRIASPGSVLVRKKTNIDIMAKVFQKLISQHSLVGFVVGYPFCLWGQSSVEAVQVRLFMEDLRNTGRLNGLTYTYWDENYTSKCVEALLEPLDLNPVKSKTIKDKFAAVGILQGYLDNMNRKLKSGNLARE >Ma11_p09100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7272856:7275871:-1 gene:Ma11_g09100 transcript:Ma11_t09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEPVELFQKLCSSGAVQKGRLLGLDVGQRYVGLAVSDVANRIASPGSVLVRKKTNIDIMAKVFQKLISQHSLVGFVVGYPFCLWGQSSVEAVQVRLFMEDLRNTGRLNGLTYTYWDENYTSKCVEALLEPLDLNPVKSKTIKDKFAAVGILQEIEIRKLG >Ma11_p09100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7272856:7275707:-1 gene:Ma11_g09100 transcript:Ma11_t09100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEPVELFQKLCSSGAVQKGRLLGLDVGQRYVGLAVSDVANRIASPGSVLVRKKTNIDIMAKVFQKLISQHSLVGFVVGYPFCLWGQSSVEAVQVRLFMEDLRNTGRLNGLTYTYWDENYTSKCVEALLEPLDLNPVKSKTIKDKFAAVGILQGYLDNMNRKLKSGNLARE >Ma11_p09100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7272857:7276136:-1 gene:Ma11_g09100 transcript:Ma11_t09100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEPVELFQKLCSSGAVQKGRLLGLDVGQRYVGLAVSDVANRIASPGSVLVRKKTNIDIMAKVFQKLISQHSLVGFVVGYPFCLWGQSSVEAVQVRLFMEDLRNTGRLNGLTYTYWDENYTSKCVEALLEPLDLNPVKSKTIKDKFAAVGILQGYLDNMNRKLKSGNLARE >Ma08_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33100314:33102527:1 gene:Ma08_g19310 transcript:Ma08_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGSLGRRCLASVAVARRSPCDVLPAAAFSSSSTSTPRQAADSLEQDADAIAASVRCCRWPALDPLLPRLSHPLLSAVLLRLRPSPDLIPRFLHRVGLHRLDLRSLSTAAAILSSLRPPNPALQLLKKAISARRSDLPKHLFDALVEAHQELELSDPPVVFDLLLKAYADAGEPERALQVFYLMKDANFVPRIESCNALLSAFLKSDHKDRAWVLYAEMFRMRIPSTVVTFNIMINVLCKEGKLKKAKAFMQHMESFGVWPTVITYNTIIHGHCSRGKVQMGIEMLDIMREKAIEPDSYTYGTLISGLCKEGRLQDASMMFVEMQDRGLVPTAVTYNALIDGCCNKGNLDDAFRYRDEMVEKGIEPTVCTYNLLVHALFMEGRNEEAHTLIKEMEQKGMVPDAITFNILINGYCKEGNADKAFKMFEEMKGKGIRPTRVTYTSLIYGFCKRNRLAEADGLFKEAMHKGLLPDLVMYNALIDGHCGGGKMERAFELLKELERKKIAPDEVTFNSLMRGLCLLGKVEEARGLIDEMTRRGIIPDLVSYNTLISGYSRRGDVKDALSVRDLMLSRGFNPTLITYNALIQGLCKNGEGDHAEQLLKEMVSKGITPVDSTYMALIEGLGDGKKTIKNE >Ma01_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12029533:12036030:1 gene:Ma01_g16610 transcript:Ma01_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARPPNTPTSKIERIPIYTPGRSRIKEEKIFVTVRVRPLSKKELSLKDQEAWTCVDDNKIVFKMPAQDRSNSSSSYTFDKVFGAACLTERVYEEGAKDVALSALTGINATIFAYGQTSSGKTFTMRGITENAVNDIYKHIDNTPERDFTIKISAMEIYNEIVRDLLKPDSGPLRLLDDPERGTIVDKLEEETAKDSQHLRHLIGLCEEQRQVGETALNDNSSRSHQIIRLIVESTVRENSDCVKSFVASLNFVDLAGSERAGQTHASGARLKEGCHINRSLLNLTTVIRTLSQGKQGVHIPYRNSKLTRILQSSLGGNARTAIICTMSPALSHVEQSRNTLFFATCAKEVTNTAQVNVVVSDKQLVKQLQKEVARLEAELRTPEPSACSEALLMEKELKINQLEIEMERLKRERDLAQSQLDELRQKFGDGQIVGLNPFDSSPCRVVKSLTFSGVSPNAGDKSHGKVERARNPMRQSSTVPSMLVHEIRKLEQLQEQLGEEANRALEFLQKEVACHRLGNQDAAETIAKLQAEIREMRVFRSLSKDDDICNVMTSQNAGANLKEEITRLHSQGSSIASLEEKLENVQKSIDKLVMSLPNNNHCVETNPKSSKSQIKKKKMLPLTVSSNVNRPNLIRAPCSPLSSSRQVMESEVENKVPENDTESHENISVSEKVTPSKSEDGGDISSREGTPGYRRSSSVNMRKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYDAAEGDESITDAGNSPDAWKSRFKEQMQQIIQLWDVCHVSIIHRTQFYLLFRGDPADQIYIEVEVRRLTWLQQHFAEVGNASPAQMGDESISLSSSIKALRHEREFLARRLQSRLTEDERERLYIKWQVPLEGKQRKLQLVYKLWADPNDPAHIEESADIVARLVGFCEGGNMAKEMFELNFTLPASKKPWLLGWQPISNLLRL >Ma04_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26607875:26608504:-1 gene:Ma04_g24640 transcript:Ma04_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRCFFFFLLLSIPRASQQHRLLHHHHAINRLVPHHAISRLAPHPAISPLAPQPAATAPSGEGQDPDTAHEFLSSHNQVRATIGESPFVWDEAVAEYARNWSDLRRSDCAMEHSQGPYGENLFWGSGQDWKATDAVESWAAERDNYNSSDNSCAPGKMCGHFTQIVWNSTARVGCARVECDAGAGVIIVCDYDPPGNWVGEMPFNVNT >Ma06_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22427454:22432318:1 gene:Ma06_g24060 transcript:Ma06_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACEAAEAAVLCCADEAALCWACDEKVHAANRLAGKHQRVPLLSDDGGGPVSGSSSSTASGVPKCDICQEASGYFFCLEDRALLCRKCDLSIHTANSYVSAHQRFLLTGVRVGLESAESVPVANQQLHAAGKAVLSPSKQLRLMSSTETNAVSSSQIARVGGSVASMAPASGFSMSGSAPGWPFDEFFGFPDINQNGFAEHNASKADSGKLGSHGSSPPYHSVDSDLDAYECVGQHPEYYWAVPEIPSPPTASGLGWQRNLLHPASDDAAFVPDLCSSSHHVTTSFRSHRHHRRC >Ma03_p31490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33694003:33702229:-1 gene:Ma03_g31490 transcript:Ma03_t31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNVVSVTPAVDSSGILRDHRERSGPISTSSQRYQTVQVTRPACLLRKEEKEGNCLELEEHGKASAGCTTSQSFRLENLNKYIEGEQVAAGWPSWLSAVAGDAIQGWVPLKADSFEKLEKIGQGTYSSVFRARDLDTGKIVALKKVRFDNFERESVRFMAREIKILRWLDHPNIIKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPNIKFSESQVKCYMQQLLSGLEHCHSRGIIHRDIKGANLLVNNEGVVKIADFGLANFFSPGHSQPLTSRVVTLWYRPPELLLGSTNYGTTVDLWSIGCVFAEMFVGRPILQGRTEVEQLHKIFKLCGSPPDEYWNKSKLPHATIFRPYHLYESSLLETFKSLPESAISLLETFLSIEPFKRGTATSALEVEYFKTKPYACEPSSLPKYPPTKEIDTKSRDETRRRRVTGRGQGSEATKRTSRTHRSSWASSGLSKIADSKEESEISAGNVKKDLPRVDSETRLFVDLQPMPSVKCPEEGHRAKCNSQEELAFPGPLLVSASSGFAWAGQRVGDAYGRSDSRSSSKSHFSCERNSSNIENIKSKLDYKGQENVDLHVGSKGHVPYELAKCAMLNRWTQLDHPESFDSSDAYYSQEMSEALCKGDPSSMRHNNLGYHDQDRVEFSGPLLSQSCKVDELLQKHERHIRQAVRRSWFQKVAGRKQGK >Ma08_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23456958:23459916:-1 gene:Ma08_g17190 transcript:Ma08_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGINKQQEVVVAKHRARRRRKMVAKQHGRKPRCRVAAADADAASQAVGGLRFLLQKELRNSDVSQLGRIVLPKKEAEAHLPCLTSREGIMINMDDPDNLQAWTFKYRFWPNNRSRMYVLENTGDYVKKNCLQLGDFILIYKDDSKDRYVIRAKKTGSAELCVAPADEGIFDSIVPDIVVGSARYSDLFLPLAEGINIAYGYGLSCAFDEDFPMTFLDESTGSASIASHKSSDH >Ma05_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6314393:6314998:-1 gene:Ma05_g08550 transcript:Ma05_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKHAASLLLAFLLLASLTQAARPHPADSRNDQGEVADEAGFDQTTDEECGGIGEDECLMRRTLVARTDYIYTQEKNP >Ma03_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8560692:8561745:-1 gene:Ma03_g11320 transcript:Ma03_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGELACCYAALILHDDGIPITSEKILTLVKAAKLTIDSYWAPLFAKLLEKRSIDDLILSVGSGGGGAAVAVSAAPAAGDGAAAPAAPAAEEKKEEPKEESDDDMGFSLFD >Ma08_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12823702:12825045:1 gene:Ma08_g14530 transcript:Ma08_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASSEAAVTVDVHAGRGLVDAGHKYLDVRTPEEFKRGHLQNAINVPYVFFTPQGELRSPFPLFLPRRIVSYTNINPL >Ma01_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1852273:1855030:1 gene:Ma01_g02810 transcript:Ma01_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIASVLDTIKGFVQSGEQFVKGAFQRCFDPHRKNPIEILKRLQRETFSDLMKIRDRQDKVERIISSFMSGKGSPFHEASTQLKGIINVDGALLFQDDQQAYHALDSSRINTGIDARFTFKINLRQKDALFAEFASHQNTYHGNGVTGSPLVLSKVMYLASISDSLSVISIPYGATCNDFLYDSDHTQGECLTVRPPLFNLYHACAVGLSVKTSNFAAGFAELFSGWRTEMGPASHSNKLSTFGQISFQNFGETRLTLSGVWHMPRSFSLPIRLCRCGSCSKPDEMELAFPVTGRRSTGSSGGSIYMSIDIDESSKFGVWFEVQKLNPTITKWALSLSDMPESEIGWGITVEGSSKGQSNSVLLESYLNFSVGKKASLQPGLAFIIDERSRAPALVFRSTWSF >Ma05_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4114432:4115955:1 gene:Ma05_g05430 transcript:Ma05_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLTPAHAAGLRRLSARATATTASSPSCSAISHRGGLLSFLPLAESVLACLRAASVPVVPGLSHAELARLEADLDVSFPPDLRAVLALGLPSAPGFPDWRSPGRRAAFDLPLAAASLQVARGALWPRSWGPRPADPDRAIRLARAALRRAPLLIPVFDRCYIPCRPCLAGNPVFYVDEHRVFCCGFDLADFFQREPAFHAAASASPDPHPICCPRPPGRRSLDAVAGKTPRWIEFWSDAASNRRRRNSSSSSSSSFSSSSSSSCGSASPPRPDPQQFVEIRSPRRLPGWVDGYLDRVGWVLRSAGWDESDVKEIVRVPPSAVFDGEDEATAATIDSEAALDALLVKADHCSDSLRRAGWSSDDVSDALGFDFRRRPQERPAVKLPPTIAFKIEKLAAEAVSQR >Ma07_p19520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27502948:27506050:1 gene:Ma07_g19520 transcript:Ma07_t19520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKWFGAVKKVFSPESREKKKERLKKKLGTRTFKAADPCPADSLECAVPREVLPPPQTHPEEDEVVEGETEQSNPDPPVALATPAATEPVATVEAATEIIQLAATTKFPGKTREEIAAIEIQTAFRAYMARRELRAMKGLVRLKSLMHGNSVKRQATTTLRSMQTLARVQSQILSRRMRLMEENQVLQRQMLLKHERDLENLKMGEEWDDSLQSREQIEASLLGKQEAAVRRERALAYAFSHQWKSTSKSTNPMFLDPNNLQWGWSWVERWTAAKPWETRGTTDKELSNGRASAKSATESDACAEANPDKLSPAARKPSTVPPPTRTPRLTGKTKSASPKLGSASSIISMQSERSRRRSLETAAAAPARDDESLAGSLAVRSYMAPTESARAKSRFQSLSNDDAEAPDKASIAPAKKRLSLPTGDRHNAAPPAAMRRKSGPPKVDVASAKDGAGPDLTTIESTGAITSF >Ma07_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27502947:27506050:1 gene:Ma07_g19520 transcript:Ma07_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKWFGAVKKVFSPESREKKKERLKKKLGTRTFKAADPCPADSLECAVPREVLPPPQTHPEEDEVVEGETEQSNPDPPVALATPAATEPVATVEAATEIIQLAATTKFPGKTREEIAAIEIQTAFRAYMARRELRAMKGLVRLKSLMHGNSVKRQATTTLRSMQTLARVQSQILSRRMRLMEENQVLQRQMLLKHERDLENLKMGEEWDDSLQSREQIEASLLGKQEAAVRRERALAYAFSHQWKSTSKSTNPMFLDPNNLQWGWSWVERWTAAKPWETRGTTDKELSNGRASAKSATESDACAEANPDKLSPAARKPSTVPPPTRTPRLTGKTKSASPKLGSASSIISMQSERSRRRSLETAAAAPARDDESLAGSLAVRSYMAPTESARAKSRFQSLSNDDAEAPDKASIAPAKKRLSLPTGDRHNAAPPAAMRRKSGPPKVDVASAKDGAGPDLTTIESTGAITSF >Ma05_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22106777:22108023:-1 gene:Ma05_g17970 transcript:Ma05_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIHGVVWAEDGGEEEDAASWTRANTPSASGEAMGESKDELGLPSFKSMLDDDWYLGGGATSNPATSTAAHYPFEVFQAHRDVAFPSNPKPHEALLLPVVDDLDQSQPFFTAKSAFASLFGAVCSNPFDAGLDLGCDAPGFLPAPQVSNTRVLMNRGGGGGGGGILGFAGMVAGEQLGCPDLSSGAEFAGSRLLLPSENCDGSISGVAVGSMGFDNFDNSPFLNRSKLLRPLEIFPPVGAQPTLFQKRAAAALRQNPAAAGKKGGFLGRWGSEGAGQGNGSKSALEEENEKKRKGNEDEEMDDGSVDASGLNYDTDEVAVENAKDEDNATGGGGGSNSIANSTVTARGGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKVKSSSPTVLC >Ma09_p30170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40429860:40435183:-1 gene:Ma09_g30170 transcript:Ma09_t30170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRIVRDVRDSFGSLSRRSFEVRLSGVLGHHKGKSDSSENEPHDFSQITQQSHWATLPPELLRDVIRRLEENEATWPSRKHVVDCAGVCRAWREMCKEIVRSPEFCGKLTFPISLKQPGPRDGMIQCFIKRDKSKLTYHLYLCLSPAVLVENGKFLLSAKRNPRTTCTEYVISTDAGNISRSSSTYVGKLRSNFIGTKFRIYDTQPPYNGAALCPPGRTSRRFYSKKVSPKVPSGSYPIAQVTYELNVLGTRGPRRMHCIMHSVPASALEPGGVVPGQPDNLVTCSLEDSFRSMSSLSSVMDRSLDFSSSRFSATSAGGAQEGFEATTDTKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATQPAAVGPPTTTTAQPSGSGHDKIILQFGKVAKDTFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma09_p30170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40429860:40434824:-1 gene:Ma09_g30170 transcript:Ma09_t30170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCFIKRDKSKLTYHLYLCLSPAVLVENGKFLLSAKRNPRTTCTEYVISTDAGNISRSSSTYVGKLRSNFIGTKFRIYDTQPPYNGAALCPPGRTSRRFYSKKVSPKVPSGSYPIAQVTYELNVLGTRGPRRMHCIMHSVPASALEPGGVVPGQPDNLVTCSLEDSFRSMSSLSSVMDRSLDFSSSRFSATSAGGAQEGFEATTDTKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATQPAAVGPPTTTTAQPSGSGHDKIILQFGKVAKDTFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma09_p30170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40429860:40434823:-1 gene:Ma09_g30170 transcript:Ma09_t30170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRIVRDVRDSFGSLSRRSFEVRLSGVLGHHKGKSDSSENEPHDFSQITQQSHWATLPPELLRDVIRRLEENEATWPSRKHVVDCAGVCRAWREMCKEIVRSPEFCGKLTFPISLKQPGPRDGMIQCFIKRDKSKLTYHLYLCLSPAVLVENGKFLLSAKRNPRTTCTEYVISTDAGNISRSSSTYVGKLRSNFIGTKFRIYDTQPPYNGAALCPPGRTSRRFYSKKVSPKVPSGSYPIAQVTYELNVLGTRGPRRMHCIMHSVPASALEPGGVVPGQPDNLVTCSLEDSFRSMSSLSSVMDRSLDFSSSRFSATSAGGAQEGFEATTDTKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATQPAAVGPPTTTTAQPSGSGHDKIILQFGKVAKDTFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma09_p30170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40429860:40434823:-1 gene:Ma09_g30170 transcript:Ma09_t30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRIVRDVRDSFGSLSRRSFEVRLSGVLGHHKGKSDSSENEPHDFSQITQQSHWATLPPELLRDVIRRLEENEATWPSRKHVVDCAGVCRAWREMCKEIVRSPEFCGKLTFPISLKQPGPRDGMIQCFIKRDKSKLTYHLYLCLSPAVLVENGKFLLSAKRNPRTTCTEYVISTDAGNISRSSSTYVGKLRSNFIGTKFRIYDTQPPYNGAALCPPGRTSRRFYSKKVSPKVPSGSYPIAQVTYELNVLGTRGPRRMHCIMHSVPASALEPGGVVPGQPDNLVTCSLEDSFRSMSSLSSVMDRSLDFSSSRFSATSAGGAQEGFEATTDTKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATQPAAVGPPTTTTAQPSGSGHDKIILQFGKVAKDTFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma11_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7047594:7049701:-1 gene:Ma11_g08910 transcript:Ma11_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSWVQNKFNSSQERKRFDAGSSSTSRASIPDVRKELGNCLHTLLAIGTLGDAHTKEDPQRHDDPYLDTSEDLPCFTIEEAKELHKELAKLLTRKLKSSAHGSEIANDDRANRFLGRSLRPEADKATSFLLENSEDVNCGDLSPDTRIILHKLEDALSCNYTAIKKESLSFLLKKRFVCGRGFAQQTRSFKGGLIAEPSVEKILKAILTKKIYPQSSAPTLMKKRNRGFDLGAVWPVLVAALSVAIGLGL >Ma06_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3019085:3023423:1 gene:Ma06_g04140 transcript:Ma06_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRRAKPSLASALRARFASKTPNATRSKAPDPEHVSSFPRHLSAPSSFSSSAFAPLFFVRAKPLLSPSSASTAAAVVVPRRSYYYAARPEVLHFRRKPRWYQNPRAALIVVVVGGTAAVTIYYGNLETVPYTKRRHFVLVSPAVERQLGESQFEQLKAALRGKILPALHPDSIRVRLISKEIIQALQRGLRHDDRRWSDLEYASEGPRGEFDTPERGRDTIWALSGKEEGKWSREDEVLDDRWVHQSRREGEARKSQPVTKHLEGLNWEILVVRDDTVNAFCLPGGKIVVFTGLLDNFRSDAEIATVIGHEVAHAIARHSAEMITKNLWFAILQLILLQFFAMPDLVNAMSNLLLRLPFSRRMEMEADYIGLLLLASAGYDPRVAPRVYEKLGQITGDSALRDYLSTHPSSKKRAKLLTQAQVMDEALEIYRDSMAGRGIEGFL >Ma07_p28500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34517680:34520219:1 gene:Ma07_g28500 transcript:Ma07_t28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAAEWYSDAGMGGNFFDQWDVIDQFTAQEIAAALEQDLQRSFSSESYNSLPADQPPASAERPKKTLKAGSWSSCTTEQNSALVPNASSPSILSFGNPDSPSDQNNIYGGLVRVVKPEKEMDALVHHGSKRNYEATVGQGSKGVNTGTRSTYHKEHIIAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYLKQLEEKVKILEDQAAKRTVESAVLVKKSQLCADDDSSSCDENFDGQQCGDSLQEIEAKMSEKAVLVKIHCENRKGVLVKALSEIEKLHLSVVNTSVIPFAGSSLDITVMAQIEEGFDMTVTDLVKKLNSAFRKLT >Ma04_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19167608:19169785:-1 gene:Ma04_g17910 transcript:Ma04_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKNCTGLSRLYLHHNQFTGDISHNLGVYPHLWYVDLSFNSLSGTLSPDWGSWHNLTCLKISNNNITGVIRPELGHLSNLQKLDLSSNYLQGEIPKSLGNLAHLYNLSLSNNRLVGEVPMELGRMSNLQLLDLSRNGLTGRIPYQIGTCMKLQLLKLNNNNLSGSIPLEIGNLVHLQEALDLGHNSLTGEIPSQLGKLSMLQYLNLSQNGFTGGLPSSLKDMVSLSVIDVSHNELEGPVPDSPFFRKAPLDWFLHNKGLCGVVKGLPPCVSSATRRNDESKHHKVVVILASMVFILLSLMIVGAALQFRKRKKQSLPVQDNGNREGAFCILSFDGRYAYKDIIEATEDFNDKYCIGTGACGSVYRAELTSGKVLAVKKIHLQEIEDTSNEIPFQNEIQTLTRIRHRNIVKLYGFCSSTRHKFLVYEYMERGSLGSILRSEAAAAELDWVKRVDVVKDVARALSYMHHDCDQPIVHRDITSNNILLDSEFKACVSDFGIARLLQPDSSNWSMIAGTHGYLAPELAYRMRVTTQCDVYSFGVVTLELLMGTYPGEFISVLPSSAAQSSSVKTILDQRVPLPTAEAADEVVAVLRLAIRCVDDNPETRPTMKHIFYKLSTPKTHPNLPSLDSLKLSDLRNGER >Ma02_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26680799:26688129:-1 gene:Ma02_g20870 transcript:Ma02_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MAAPPLRVGVAQMTSTNDLEANYNTCSRLVKEAAAAGVNLLCLPESFSFVAAKDGESLKIAQPLDGPIMQRYSSLARESNIWLSLGGFQEKGPDDSHLYNTHVLLDSSGNIRKTYRKIHLFDVDVPGSMVYKESDSTAAGESIVVAESPVGLLGLTVCYDLRFPELYQQLRFQHHAQILLVPSAFTKVTGEAHWEILLRARAIETQCYVVAAAQAGRHGEKRESYGDSLIIDPWGVVIARLPDRLATGVAVADIDLSRIDSVRRRMPIAQHQRIGSCLKTQSV >Ma03_p26630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30304356:30305764:-1 gene:Ma03_g26630 transcript:Ma03_t26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G43860) UniProtKB/Swiss-Prot;Acc:Q9M7I7] MSDARQVFEHGEHNVRLLSLEPKAATGSSPSPPPKPLIVASPTEEGEYPTLVFLHGYLLYNSFYSQLLRHVASHGFIIVAPQLYSVAGPDCGAEIQSAAAVVEWLADGLIHVLPEHVRSDLSKLAIGGHSRGGKVAFALALGHAKTTLEFSALIGVGPVDGMEKGKQTRPPILTYVPHSFDLKMAALVIGSGLGELKKSPLFPACAPKGVNHQDFFDECRPPAYHFVAKEYGHQDMLDDETSGVRGKATYCLCKKGPSRKPMRAFVGGAMVAFMKSYLEGDTQFLTAIVDDPQISPVELSTATSLELGN >Ma07_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29992033:29998065:1 gene:Ma07_g21900 transcript:Ma07_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIEGILHMVGGAGDTSYASNSTFPEKVLHMAKPILEEAIGGVYMSLLPERMAVVDLGCSSGPNTLEVVSEVLDVIGKLRRSLGRQEMPEILFFLNDLPGNDFNHVFRSLGDYKRKVEEEKGKLLVPYYVVGVPGSFYGRLFPCQSVHFFNASCCLNWLSQVPEGELGVLLNNKNIYIAETSPLEVVKAYQDQHERDLSEFLRCRHAELSYGARMVLSYLGRKGSYPPWGDMGYLFGLLAEALSALVSQGIIEEDKLVTFNLPYYVLSMEEVKAVIHREDLFDLEQAQIFEANWDPFDDSDDDSAAFDSIVSGKNVAGYMRAAFQPLIAEHFGDAIMDELFSIYAANVSRHLLQQKSKHYVFVISLKKK >Ma08_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2807336:2809957:1 gene:Ma08_g03970 transcript:Ma08_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLYLGLPRSPRPLSFDLGSDLALSSLPLSSSFTSVEAREVPILMSGVVEPSDSHPPYSPSDAEYTPDLPAVLPSDRVDSPEYTPYFPSFARYGPSILPTPPVVEDLEGPHAPDSPIFLPLLAVQEPDETAAQDLMLYDPASPPILADEAMVYSRPSPPRLTDEPIIYVPYSPPRPADQTIPYSPPFVTGSMNPQDHEAASLSFYPSVNAQTGEFLCPENGPSARPDTRYRESRFRRLIDPSNQWRNRRFRSLLPHAGERFSSGSPSLPNPEQLVHDVLNSHRLPECNGKHIATAEDNAGETSKEGRDEKGSNAANFECNICFDMAAEPVVTPCGHLFCWPCLYQWLHVHSDHKECPVCKGEVTDSNITPIYGRGSLQPHAKKKNEEDGQSTLKIPPRPSGNRFESFRQQLCPVPRRLDEGIATSWRRFLDPHMRSGYRYETFAELSFQEIFDNVRRSAEVSFQEILDNVSQSALNSRRQRRLPREVNYDSVSITGEARLPENNMPSPMRNYVNYIFRDGVDLWHDIGSERLPAAVMTTSIGSAGEHLASSSHGFDASTSSLDPPNHDPPVSGIRVEVAFAADQAPTSSTIAVIQGDVATDAVAEPNSVGSSRSARRRGRSSISGSCDADGGTIGSRKRRLN >Ma04_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11604896:11607912:-1 gene:Ma04_g15300 transcript:Ma04_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGATPRKRPLPIRIGRRSAASGAAEEETVAGKARAVEEEEEEPLSPAAQLFHQPGFDCCIVAIMGLGKPADVDVIRSGLAATLVRHPRFSSVPVLEESGGAEPRWVRTKVVVENHIVIPALEDQRRAAASPDQVVEDYVASLTSAPLDRSRPLWDLHVLNFPTSEAAAVAVLRVHHSLGDGTSLMSLLLACTRKASDPHSLPTIPHQSRRPLVSRKLHAGGAFTLLLWLWAFLILAWNTLLDVLRFTATSAFSKDTTTPLTGPKGVEYHPKRIVHRTVSLDDIKDVKNAMHCTVNDVLVGVTSAGLSRYLSRRYGQNVDEHGKKTQLPSNIRLQSTLLVNIRPSPGVHALAELMEGRHSGTKWGNYIGYIILPFSIFKYKDPMDYIRKGKEIAGRKKNSLEAIFTYKSGELIVKCLGIKAGAALCHRVLSNTTLSFSNMIGPVEEVAFYDHPIVYLAPSVYGHPQALTVHFQSYMNMMKMVVTVDDKVIPDAHQLLDDFAESLKLIKEAIPTKP >Ma11_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21686089:21692673:-1 gene:Ma11_g16110 transcript:Ma11_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDFIIKIRDSITCTLQTSIFVMISPHFESKKQISCSLQLTNRSDDHVAFKVKTTSPKKYCVRPNAGIILPRSTCDVIVTMQTQREAPPDIQCEDRFLVQGVVLDEGFTANDITQEMFAQESGNVVDERKLLVAHVSHHLNHPLRFSRSLRRATRAYAEDIQQERSSENLALISRLTEEKNAAIQQNNKLRQELVVQQL >Ma09_p27410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38397005:38397268:1 gene:Ma09_g27410 transcript:Ma09_t27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPCFPYVTLWALILVAFFLSPASGTRLPKEANFCDRVVSSSSSAHRLPSRCIQSPPSPPAVGEEIDPRYGVEKWLVPAGPNPLHH >Ma08_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7431676:7432428:1 gene:Ma08_g10200 transcript:Ma08_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTSFLPRDSGDITIAYDVIQSYPSSYLAQVTIENRNPLGRLDHWQLSWEWERHEFIHSMKGAYPAVIDASDCIFGRQGQFYTDSLDFSKALSCKRKPTIVDLPPWRFNDTDLGGIPHCCRNGTILSPEMDLEKVVSSFQLQVSKIPRDLNRSILFPPGQLEHLWHAQAGLSVRPAHPRQPLGVPRPERPLLQQPGARELAGGLQHLPPQGVPRTYHCLYGPAPDCFGSCALRPVVVLLQGESAFIPS >Ma03_p31180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33487154:33488816:-1 gene:Ma03_g31180 transcript:Ma03_t31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKAVVLVRVILLCALAAINSEEWPGFSPLVTKESRRTLTLTESGGITAVDVHVGCRGSYHLQFISMEPGSLFLPVLLHTDMLFYVHTGRGTVTYIGEDETDEIDVVRGDVYRLQQGTAFYVRSHPDPTREKLRVHAIFDKTDIEDPLGFSVSAYSNLSDMVRGFDEKVLQSGFGVSEETIRGLKWVPTPPSIVPTTRGNETEKPNWKEGIVEAVLGVGGPTDVMNKKTTKTKTYNFFEAKPDVANRNGWSTAVTREDLRALEGSNLAPFMVNLSRGSMMGPHWNPRATEIAVVIQGQGMVQMVCPSDPSGKVDDDIACRNTKFKVEEGDAFVVPRFHPMTQVSYNNDTFVFVGFSSTAGNNHPQFLAGRSSVLQALDRDVVAASFNAPNASTIEGLLASQGESIILACTSCAEELERTMEEDIERRKREEEEAKKREAAATKREEEEVK >Ma07_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10382230:10384027:1 gene:Ma07_g13830 transcript:Ma07_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPLFRHVSTCIVKPPPRPRTRCHLAVWDLSMFNASYIQKGHLFSTHLLSRLTIADVVDRLKTSLSTALLHFYPLAGRLVTEEAVDEQGNVTGIYVSIDCDGQGAELTHVVADGITVADVLAPSSDVPSFVRSFFRLDGVINYDGHSEPLLAVQLTELADGFFVGCSFNHVVGDGTSYWQFFNAWAEIARADGAAAEMSHPPVHDRWFIDGSGGLPIKLPFTRPDEFIDRPTQPPLYRERIFHLSAESIARLKARANLECGTSSISSFQSVASLLWRCITRARGLPAEQTTHCRVATQNRARLQPPMSPDYFGNSIYPLPAAATAGELLAHGLGWAARLIHETVAGHTDTAIREKVEAWMAAPVVYKMNMFDKFSVMMGSSPRFDVYGCNFGLGKAVAVRSGTAHKFDGKVTFYPGWEGGGSMDLEICLEPPFMSAFEADEEFMSAVSPSPELQWLQDLADSRDA >Ma09_p06610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4224572:4239361:-1 gene:Ma09_g06610 transcript:Ma09_t06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MEASDVKGIEERDTLMEDGAQKSSEMVSALLGDIPNDFESWTSLIAHIEKAYPNDLERICLVYDAFLSEYPLCYGYWNKYASNRARLCTLHEVEEIYERAVQAIPYSVNLWVSYCTFGALSFEDPADVRRLYERALSFVKKDYLCYQLWDKYIEFEYSLKQWSQLAHLYIRTLVFPTKKLQSYYERFKRLIDMWSKEMGCQHDLDFPRENTHSHGLIDIKDSEYVEISNLIREFMDQKASKYAFKKFLSIGQQLYQRSRQIDEKISCFEAHIRRNYFHVKPLDSGQLENWHHYLDFVETQGDFDWIVKLYERCLIACANYSEFWIRYVEFVEAKGGRELANHALTRAVTVFLKKVPAFCIYSAMFKEKIGDVSGARALFLQRDMDLASNLVETVYREANMEKRMGNTDVAYLIYEKAIELAKEKGNLKIIPNLYTNFARFTFVASGSFEAARGVFVKGIQQLPCKSILEGLIHFATIHGGASEIPVLDTIIANVIEPESNVYGALGLQDREDISRSFLEFMDLYGNIHEIRKAWDRHRKLFSHIMRVPLDRTFVEKSSIHKSKDGRKGTVSAVSRSGCFDNSNPALGMHQVKHSPAQYNVDILSERDATSNLHLEIDKNSAKEIQGQDAKLPEQPMMEQSGPHVITNEQVHEAPATAQVTDVPLECSKIENDKPEHNSDDNIRPPSRDNLQMNSPDSDSRQVTLNTLVETELSEQVITVPCGSKVHDGMDTVEASGCDEPDPLDMKLSTSSQADFQKDESHSHQATEPPAIAAELKLQQQQSAQSKSKLKHDLPVSIASPQLDSSGGSWTQMSYGRQAPEEVTSSHQHSQASQPQQGQVPAQIQNPSAGTSSQLLMDQSHPCQSQVWTSTQAQQANQIQLLYQMAAAQAYQNGNYAWAGQNMQHQGLVYVQPQPSPQPASQAQTLVYQQLHQNSEQYGYSQSGQVFAPHIWHYYQQQLYYLQQQQQQQQQQQQSSQISQAQQPQESLSQQLSLETQQLLLQLQQQQYQQLNQQEPQQNLSSPTQNQNHQHQPQQKPSHLQQQNEQANQTQEQQQLLYLQQQQQQMYLHQQLYLQQQQQLLQQQMLQQQQQQAPQQFFQQQQLLQQQQQQLLLLQQQHQQQQLLQVQQLEHQQQQRFDASEIQVQPTSSHLQAEEANLMKVEQTTGSQSSTPQRTPTKQ >Ma11_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23783580:23788261:1 gene:Ma11_g18760 transcript:Ma11_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSRLDDLEKRLTSLQEEIRGGLQYLDWRLDRFSHKMRICFADVVQTQDLEEEQPTTDVEAQKEDAGSVDWREELYQKIQSMNELYFEPLNELYQDFAQTVQKEYDFIPPENLQGVENFKITMEYSLELLQYSRDDIYPVLKATLPWHEKHIVAILKGNNKLPLGPSQPMPQQGNSQDPQSKQHDSCADHQMQSSGTSVQPRKELPLLAARKETAPDNEYEKSMRSYIIPPNEITVTFEDIGALDEIKELLQELIILPLKRPELFKGLLKPCRGILLFGPPGTGKTMLAKALAHEAGATFINVSMSAIASKWFGDSESNTKALFTLAAKVAPAIIFIDEVDNILGQGTGDRDKVASVRNEFMIHWDGLLTKSEERILVLAATNRPFNLDEAIIRRFERRIMVGFPSLESRELILRTLLSKENAEQLDVKELATMTVGYSSSDLKNLCVAAAYRPLRELIQREKSMRLKKQSTTEEQSIESATACRSLNMEDFREAMNQIAASCTSESSPSKQLEQWNELYGDGGSRKKQQLSYFF >Ma01_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21735061:21737055:-1 gene:Ma01_g21750 transcript:Ma01_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFACPQFQSLGERYPVLEKLQKVSIGPLVFLIFYEVSGGPFGIEDSVQAAGPLLAILGFLVFPVIWSIPEALITAELGTMFPENGGYVVWVSSALGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPQVGGGLPRASAVLGLTLVLTYMNYRGLTIVGWLAVLLGVFSILPFIVMGLVSMPELRPSRWLEVDLHDVDWNLYLNTLFWNLNYWDSVSTLAGEVDDPAKTLPRALFYAVILVVVGYLYPLLTGTGAIPVDRESWTDGYFSHIATTLGGVWLTWWVQGASALSNMGMFVAEMSSDSYQLLGMAERGMLPEFFSRRSRFGTPLIGILFSASGVLLLSWLSFQEIVAAENFLYCFGMLIEFLAFVTLRMKYPTASRPYKVPLGTVGSILMLVPPTLLILGVLALSSLKVAMVSIVALLMGFALQPCLKYVEKKRWLRFSVSPHLPHLGATTQDENAVEALMPVLLADSRI >Ma06_p30880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32101120:32108568:1 gene:Ma06_g30880 transcript:Ma06_t30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRQKKAQGFPPPYDLNAKWDACLDLTARRLAYSSLAGAFTALLFFRSPVTRWASVAFGAGLGIGSAYTDCSYIFGASPPKVSSSKISAIFTGPPPREDDE >Ma09_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7894688:7895641:-1 gene:Ma09_g11660 transcript:Ma09_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNAKALLFSPSLTLSSVVLGSSEERRGTASKIFPLTSSIAAGWHPFCSSHDDVGHSHILLSPAAGSNHLTCVPERCPKLTTTKIEPLESEAPDSILVDGGSPGFHRLPMLRSLEPLPLAIKMPSVPLGIPSGDLISVIEPSHKRFRGSSASFSWSPPPCAPPPTAPTTPRHRRPLPSSELSRQRRKKISDRTRIIESLMPWERRMDTGSMLEEAYKYIRFLQTQVAALRSMPTAAEFSAAPVSSGRGGGLGGLERLNRQQMLQVVVNSRRVQEKLYERGLCVFSVEQVASLQKEVERRPPFVSAVNASAVNASD >Ma07_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31863527:31869185:-1 gene:Ma07_g24520 transcript:Ma07_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MHCFKLHSHHRHPLLLPPPFRHRPLHLLRSITLAPFPSPCPLPVSPAIRLLAPVRSSASPYAADEVPVGDDDEAFLESFRPREKETEEEARRRNWVERGWAPWEEILTPEADFARKSLNEGEEVPLQSPEAIEAFRMLTPSYRRKKLEESGLSEDEYLDQQFAFKGEIPDPLETLWEGPLAVRMVPPRDWPPPGWEVDQAELEFIREAHKLQAERVDPGEEVSTDTDRMCLERYKVFLRQYKEWVAANRDRLEEESYKFDQDYYPGRRKRGKDYKDDMLELPFIYPGQICRGKVTTLHLHQGAFVDIGCVHDGWVPIKGNDWYWIRHHIKVGMHVFVEVLAKRDPYRFRFPIEMRFVNPNIDHLIFNRFDYPPIFHREEDTNPEQLWRESGRPPIPRKRPSIRMEDQSLLSDHPYVDKLWQLHNAEQMILDYEEENPDKFKTTTYESTVNEHFDEENSVEYTQAHFKGALLPKTILNTNIKELDMDSARAERQLNNKLKKEAEDREEEYKIPMLRRNVEMDEYDLMHWQRSLEEREALIRDISCRRALGLPVEEPGRYVDDKEVWGKDRYDPTSAMYRYDYWGEPKNSEKSKQERMTEDHNRSIVGRGAVWYEMSYEEAIKQRMHREAQMKAAPEQVDEKEDEDDDDDDLDIDPRILGDSNSSSAENKPVVNGTESPSMSDEGMFDD >Ma05_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9879433:9881398:-1 gene:Ma05_g13590 transcript:Ma05_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRWRPQAEGLVEPLLQDFAFSTDKGDTCTATRPQAAERRPRPHTEQALGCPRCNSTNTKFCYYNNYSLAQPRYYCRTCRRYWTEGGSLRNVPVGGVSRKNKRSSPSTTAAAANSSSSASMTAALATVSASKKLHADRVPPSISLSTSSEATKFHEGHDRNLAFRQHGLPEHSDFPTLESSSGRAAVGALSAIELLLVPNARGIGPFMPMPMPLSDYSTGFGLEEFRPPTLSFPYGISGGSSGGSESLRGVQESDDGKLLLPFEDLKPVVDSNNVADRGQAAGDPPRFWNGNIVVGGGGGSW >Ma06_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10005837:10010845:1 gene:Ma06_g14610 transcript:Ma06_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRDWSEVAQGLKPAAAMVLIQTSFAGVNILYKLALNDGMDVKVLVAYRYIFAAAFISPVAFFIERKRRPKITWKILGLTFLCGLFGATFAQNLYVSSMKLTSATFTSAMANLVPAVTFILAVSFRLERLGIRTNYGRAKVLGTLLGLGGAMLLTFYKGAGIELWSTNINLSEQQDHGGHHLAAPHSESGNRVMGSFLAVASCLCYALWLIIQAKLAEAYPCHYSNAALMCLMGSIQASILALCVERHRIQWRLGFDIRLLTAAYSGIFASGMSFTLLGWCIKKKGPVYASVFNPLMLLLVAILSSLLLNEKLYLGCLLGAALIVIGLYIVLWGKGREASKIDEESPAEATRESIDVAVAQEAATDPGSGNVCDPNIRKGEEVKQKANKPGCSGF >Ma05_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28295822:28304277:-1 gene:Ma05_g19750 transcript:Ma05_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDDDRGAASEVLAEFRALVDGADRKFALVRDLPPGARGPLQLLYFRKAFKAYTRLWQLQQRRRSELVSEGLCRWEIGEVASRIGQLFYGQYQRTSEVRFLLEAYVFYEAIMSRGYFQAAWGSVAPDLKLRYKELRFHVRFLIVALLLNRTDEAVKLADRFRALVDESKAAFSATNFREWKQVVQEISRFLKADAYFKIARPLRYNVIFDAHPSSFPYISRFYTSRVLRLKDALLTSYHRNEIKLAEVTLDTFRMIQCLEWEPSGSFYQVPVKQPSENGALNDQNEASGLIDINLAVDMTDPDLPPNPCKAIIYHPSVSKLIAATATICDELPSDGILLIYISSSGKTDCSVDFQKDVHGNSLNFSKAKHTTQASQKRENSLSHPAADNNPNLSSKIGHYLCLGSQGNGASNNLYPEDLVPFTRKPLFLVIDSDNSRAFKTIHGAERGEASALLLSHEKPLFLSDTNVTSGGSQFTYFLTAPLQAFYQLIGLSSDIEPDVYSNAESLLSSALVEWEVLLCTSNSLDQVWAQILTDPFLRRLILRFIFCRAVLCLLRSTTGDSTVHLPECLPSLPDSVSPKSAVTRNHIHQLAERLGVLNHFHFLDSIRESVQSR >Ma05_p19750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28297317:28304277:-1 gene:Ma05_g19750 transcript:Ma05_t19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDDDRGAASEVLAEFRALVDGADRKFALVRDLPPGARGPLQLLYFRKAFKAYTRLWQLQQRRRSELVSEGLCRWEIGEVASRIGQLFYGQYQRTSEVRFLLEAYVFYEAIMSRGYFQAAWGSVAPDLKLRYKELRFHVRFLIVALLLNRTDEAVKLADRFRALVDESKAAFSATNFREWKQVVQEISRFLKADAYFKIARPLRYNVIFDAHPSSFPYISRFYTSRVLRLKDALLTSYHRNEIKLAEVTLDTFRMIQCLEWEPSGSFYQVPVKQPSENGALNDQNEASGLIDINLAVDMTDPDLPPNPCKAIIYHPSVSKLIAATATICDELPSDGILLIYISSSGKTDCSVDFQKDVHGNSLNFSKAKHTTQASQKRENSLSHPAADNNPNLSSKIGHYLCLGSQGNGASNNLYPEDLVPFTRKPLFLVIDSDNSRAFKADYTWCRERRSICIASFA >Ma01_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10624922:10625487:1 gene:Ma01_g14520 transcript:Ma01_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLCLREKHGHQQKGSSSGDGDFGHFRGHLLFEFLEQDPPFIREPLSDKISDLARCFPALKSLRSCDLLPSSWISVAWYPIYRIPNGPTLKDLDACFLTFHSLSTLMKGNYHLLHCLLFLSLLDEHVQNIMLEINMV >Ma04_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8331948:8349497:-1 gene:Ma04_g11730 transcript:Ma04_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPNQVADMRVFDAYFMRADLDRDGRISGHEAVAFFQGANLPRQVLAQVWMYADQKRAGFLGREEFYNALRLITVAQRGIELTPEIVQAALKSPDAAKIPAPKINAVPDPASQMKFGAPNTHSSQMSPMGPTNQNPGFRPTNQNPGFGPNIQNPGFGPTNQNPGFIGQPPHQNTGMNQHAFPTGNNLMRPPQATPVAVRPFHKEVGQVLPGGSSTASPYPPGSSSSNVSTDWFSGRNSGALGQGTPQTSFPGVSSVNQDGFGVSNSGSAPGTGSKPQILASTATSVPSKPGDAILPSLQSDSRALVLAGNGFSSDSTFGGDSFSATQAKQEKNVSSFSSSTTPKSSSIVPSISGSQNSSKPQNVDTWQSMALVPSSDNQLQQTQSPVKQSQSGMKQSTPAMTGLSGPVGSVGSTSSQPQLSWPKFTQSDIQRYLVIFIKVDNDRDGKITGEEARNLFLSWRLPREVLKQVWDLSDQDNDGMLSLREFCIALYLMERHREKCPLPAVLPESVRSDQTLLLATNQPLTGYGGPVSQSTPVQGTALSRPAVPAVSVKPQIPKPIPSQTDDTIQSVKKSKVPVLEKHLVDQLSKDEQSTLHSKFQEASDADKKVQELEKEILDSKEKTEFYRSKMQELVLYKSRCDNRFNEITERASADKREVESLMKKYEEKYKQAGDVASKLTIEEATFRDIQEKKLEIYDAILKIEQGGTADGLLQARAAHIQKDLEELVKVLNERCKQYGLRSKPTSLIELPFGWQPGIQEGAADWDEDWDKFEEDGFVAVKELTIEVEKVVPTEKSKPEEVKKEKLSTEEVSRASSSNGENKTEKHSNGENETEKRSSDEDETDKRLNDENKTEKPSTATAPLTENGSTNLDGDDSLAKSPSSPGTSALESPKDIHHVSVRHDGSPHANENSSDHDAAESFILEDKHAGEPSWGPTFDRTDDTDSVWSFNPKESDHQKRRQNFSFGSDDFGLFPPIKTDSPSAASVGGKEKGPFFDSVPSTPLFNSGFSPRFTEGPDDHSFDSFSQHDFFGTNDTGAFAQREGLSRFDSFRSTTDYGRGESLVRFDSIRSTADYNSSRGESFARFDSMRSTTDYNSSRGESFARFDSMRSTTDHSRGYSSFDGGDLFGSEPFKSSESHSPKKGTTNWDAF >Ma04_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5765801:5767330:-1 gene:Ma04_g08010 transcript:Ma04_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRGSIDLDRDLVLVPAGLAILFGYHLLLLYRLFRFPHTTVIGYENHNKRAWVERMMQTTPEETAIALQVISSSISASTNLASLSIALSSLIGTWIGSSSKVLMSEVIYGDTSQPTSSVKYVSLLTCFLAAFTCFIHSARYLVHASFMLSTLDSDIPISYVQNAVIRGSNFWSMGLRAMYFATALLLWIFGPIPMFVCSVFMVMILHLLDTNSTPLHHFRYHPNKGLEKRINARHASSILENPMYPPLP >Ma04_p35400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34198574:34199470:1 gene:Ma04_g35400 transcript:Ma04_t35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKAVRVQRPREPQCPREAPAVKACEEQEKEWVAEPESGVLITLVSLPDGGNNIKKIRFSQKMFDDLGAQRWWSENYDKIMELYSIGPGHSSPTPPPSDNEPTTDAVGAEESIVPLRSSGSSAASSSKVEELATTEAAVEIQDKVLEWVVEDEPGVFVTLRSTPSGSREILRIEFRRERFGEVKARVWWEENKARLWKQYA >Ma04_p26910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28207084:28213225:-1 gene:Ma04_g26910 transcript:Ma04_t26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVPLQPQAAVPGPAEGGGGAQFSSTSLYVGDLDPGVTDAQLYDVFSQIGAVVSVRVCRDMNTRFSLGYAYVNYNDAADAARALEVLNFMPLNGKTIRIMHSNRDPSTRRSGTANIFIKNLDKCIDNKALYDTFSAFGNILSCKVATDASGQSKGYGFVQFEQDDAAQNAIENLNGMLLNDKKVFVGPFIRKQERENAASNITFSNVFVKNLSESTTEDTLQEVFGEFGKITSCIVMRGEDGKSKCFGFVNFENPDEAAQAVQELNGKKFDDKEWYVGRAQKKSEREQELKEKFDQSKQESSEKLEGVNLYLKNLDDNIGDDNLRELFSGFGAIASCKVVRDKNGVSKGSGFVVFQSPDHASQALLEMNGKMIGNKPLYVALAQRKEDRRARLQAQFSQMRPVVIPPSVAPRVPLYPPGAPGLGQPLFYGQPPALVPPQPGFGFPHPLVTGMRPGAAPFPNFFIPMAQQGQQAQRPGGRRAGAGPLQLTQQHPMQMIQQQMLPRGGRPYRYPPGRGMPEVGNPEGMFSPPYDMGGMPARHAGMSQPVPVGELTSALANATPEQQRLMLGESLYPLVDQLEHGYAAKVTGMLLEMDQNEVLHLLESPEALQAKVVEAMGVLRSVVQQQQVANRLAGLSLNDVS >Ma11_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3080656:3080748:1 gene:Ma11_g03990 transcript:Ma11_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPLSSFKVTLSYFQNVICFSVFFSTVYS >Ma09_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2214906:2216767:1 gene:Ma09_g03260 transcript:Ma09_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPVYLDPPNWNQQRSIQRPAAAGGGGEVPQLPHMQSAQRPEAGTAAAGSARPISMTERARLAKIVPQPEQQLRCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRNKSTGSSSKSAATTFTADRQPGPSSSSPDVVTGGGGAILPSMPQPAQLPFLASMHTLADYGASNLGLNFEGIPTIDAADYHVGSSSGIGLEQWRLPQIQQFSLLGGLEPPQPAGVQPVSGLYNFYGEGGSGGGAGRDLARVPGSSLITQLASVKVEDKSQGRNLPREYLGLPGSEQYWHGGDGGGNSSGGWATDLAGFNSSSAGNIL >Ma02_p03820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15275146:15290166:1 gene:Ma02_g03820 transcript:Ma02_t03820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLLSLPASDLPTTVATASVVVSITVFVALLCACLVIGHLLEGSRWANESIASLLLGLCAGLVMLLVTKWKRSRILTFDEELFFIYLLPPIIFNAGFQVKKKQFFRNFSTILLFGVLGTLISFCIISTGSYFLFKQIGITSLKIQQYLATGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVANDATSIVLFNAVQSLNLSHVKAMTAIKLFGTFLYLFFTSTALGVMIGLLSAYIIKKLYFGRHSTNREVAIMLLLAYLSYMIAELLSLSGILTIFFCGIIMSHYTWHNVTERSRITTSVGTTVAASGTLFALVMVGRAIFVFSLANFANFFRHASDAKIDLRQQFVIWWAGLMRGSVTIALSYNQFSTSSSKEGAFMITNSIVVVLFSTVVFGSVTKPLIKAALCHNWTSTNGEDAHLSSLEDINILFPDNCRTSGNDTSHANPRSSLGMLIMHPTSTIHYLWRRFDDRHMRPLFGGRGFVPLLSSSTLTSSEGADGV >Ma02_p03820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15275146:15289673:1 gene:Ma02_g03820 transcript:Ma02_t03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLLSLPASDLPTTVATASVVVSITVFVALLCACLVIGHLLEGSRWANESIASLLLGLCAGLVMLLVTKWKRSRILTFDEELFFIYLLPPIIFNAGFQVKKKQFFRNFSTILLFGVLGTLISFCIISTGSYFLFKQIGITSLKIQQYLATGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVANDATSIVLFNAVQSLNLSHVKAMTAIKLFGTFLYLFFTSTALGVMIGLLSAYIIKKLYFGRHSTNREVAIMLLLAYLSYMIAELLSLSGILTIFFCGIIMSHYTWHNVTERSRITTRHAFAAMSFISETFIFLYVGMDALDIDKWKRSDASVGTTVAASGTLFALVMVGRAIFVFSLANFANFFRHASDAKIDLRQQFVIWWAGLMRGSVTIALSYNQFSTSSSKEGAFMITNSIVVVLFSTV >Ma02_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15275146:15290166:1 gene:Ma02_g03820 transcript:Ma02_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLLSLPASDLPTTVATASVVVSITVFVALLCACLVIGHLLEGSRWANESIASLLLGLCAGLVMLLVTKWKRSRILTFDEELFFIYLLPPIIFNAGFQVKKKQFFRNFSTILLFGVLGTLISFCIISTGSYFLFKQIGITSLKIQQYLATGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVANDATSIVLFNAVQSLNLSHVKAMTAIKLFGTFLYLFFTSTALGVMIGLLSAYIIKKLYFGRHSTNREVAIMLLLAYLSYMIAELLSLSGILTIFFCGIIMSHYTWHNVTERSRITTRHAFAAMSFISETFIFLYVGMDALDIDKWKRSDASVGTTVAASGTLFALVMVGRAIFVFSLANFANFFRHASDAKIDLRQQFVIWWAGLMRGSVTIALSYNQFSTSSSKEGAFMITNSIVVVLFSTVVFGSVTKPLIKAALCHNWTSTNGEDAHLSSLEDINILFPDNCRTSGNDTSHANPRSSLGMLIMHPTSTIHYLWRRFDDRHMRPLFGGRGFVPLLSSSTLTSSEGADGV >Ma11_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3900703:3902437:1 gene:Ma11_g05060 transcript:Ma11_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLILQCASAPSGELPFSPPLASHWPALPTSLNPLRAIAMAIFSTESSLYSHKDRAWQENAEPEETFLSMDFSVTKLTEGLVAPAGPTPAGTLPLSVMDKTPGSVGLVDSIHVFRHGRQPAKIIREALSRALVFYYPVAGRFAMSDQDELEVACTADGVYFIDASASCSLEDVNYLQLPLSVPKESILPYPSPDAGQTEGIVILLMQVTEFTCGGFTVGIRSSHAIFDGIGAGQFFQAIADIARGCTEPLVKPIWCRDAIPSPPKLQRGPPPPLLTFKFVDTILDVSSDHINRIKDEFIKKTGTFCSTFDVLTAKVWQSRTRAIQLEEHSTVRIGFAANARHLLYKSLLKEEGYYGNCAYPMTITAPRQKVADGSLLEVISLIKDAKQCLPIRFSKWMMGDPQEDPFKEPIDYGFLSISDWSRVGFSEVDYGWGTPIHFCPVNDYNFFGSCIFLRPPAPKQGLRLMARCVVKEESASFRDQLMEFATER >Ma06_p37080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36256068:36257003:1 gene:Ma06_g37080 transcript:Ma06_t37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSRRSNSAVPPVSRPLLRSASPAAVAGAAPFSSSYRSSASSPFASSSSANSSTFFHHRSASPTRVYLVGSTPSPRTPSVHFSLDRSTSPGRSLAVVDRRRPASASAAAPARRTCMCSPTTHPGSFRCSLHKGLRFPPHHNQHAASSSPSNRLNARRSAMTNSLVRIGAVEGEWVKRALASLIRPSSHQQRRRADFRPRLSRLSVMSRADDV >Ma06_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2719601:2720407:1 gene:Ma06_g03750 transcript:Ma06_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKSSSLQPSGGGDDEFGSPAAPLSAFFRSRTNTSDAATLQPSPYYYGDYHFDSLSTRPFTSFPSTVTFSSSPPSASVSHGAGVGASPAQQLPDQSNVAAATPATERSSKKRCRASRRAPTTVLTTDASNFRAMVQELTGIQSFAASPSPCAGARLGIFHSAAASRSYSDPLLPASSFLLPRPFMQKVQSPSFLPISSTSPAHSSSAVTAINSISNATTSSDGHRSASSKSYQLRSSSHILLQREVYMKEQLQGEDMMEEIKKRCR >mito6_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000017.1:92905:95232:1 gene:mito6_g00050 transcript:mito6_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVQHLRFSNPLPSSTLPALSLFFDEVGQRNVSTCSAANCIYSELYGTAPLCMF >Ma01_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13958854:13959453:-1 gene:Ma01_g18640 transcript:Ma01_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLITRQGRRTNPLIWMLAIVYAILATAVIITGIVVFVIYMVYKPKIPYIKVAYAQLNHLDYDPSGLLKIQMALDVVAENDNNKARAGFSDLSFLLRFHGIDVAELRANPLDVAKNSSSELNYRFQSSPIPLDKKAMEAMKVALRRGVVPFDLDGHASTRWRAGRLLSVRFRTHLSCPLNFSARNGSAIDLDCSSMSR >Ma01_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4460084:4461918:-1 gene:Ma01_g06230 transcript:Ma01_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFFYSIDPLCAAGRRSRHIENPFFYSADPWSAAGVPRSRHIESPSFYSADPWSTAGVPRSRHIESPSFYSADPWSAAGGPRFRHIESPFFYSADPWSAAGRRHHPHCPAPVFTAKPRVVYMPDHFDGSDEVPAVTKPARAPPSEAVRSSAAVAIQRIFRGHMVRKNVRVVSRIATEVEEIERRVCSEMERLRVDPKELLLVGEMLMALLLRLDSVRGVREYRKKTIRRVIALQEALDSISAQTLESSDPIDSEPPISAEMQPDNREEVEGTPQEDDSDGANTEEASAQECQDPDSNAAHESASQASQDPEPEAKDEGFVLVTVEEATGTPLSKANWGAKCVDGDVVTDKAALSDSMEQEKREPTTAPAEEAPEISTAGWHMTEDSVQAMAEPRKDSKDASGMGEAAKKVMAESERLQGLVAALFERSSQQCRLMAGLVERVEQLESAVHRMDKKKAKMMRANCHPPSPVDKKGNQTRQ >Ma09_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7102532:7103009:1 gene:Ma09_g10420 transcript:Ma09_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISIGTYGGNRWSTFDMRPAYRIISVKIGAGDVIDCMNVTFTYYRKMKTRHYSGTSHEIVLEEDEYVVGMEREVSTYHGVVIVGKLVFIITKKAYGPLGNMGGTPFALPIAAGKISGLFGRGCMFLDATPLGVYLEP >Ma08_p26940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39358680:39358930:-1 gene:Ma08_g26940 transcript:Ma08_t26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWMPLYLHFPAFLLPHQEYILRQLICKPRREDILLPTAFILDFRFLQVSFF >Ma07_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9134253:9138006:-1 gene:Ma07_g12240 transcript:Ma07_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MRPDTADNSHHQHHHRNDRRPASGSSGGRIHHRPIVSGGNRQEWVPRGSAPSVAASTAAPAAANPIRPTAPAAAGPAHRHRPRQAHIPRPSLPPTVAAPSDDASDPSLPRLVQEIQDKLARGVVECMICYDMVRRSAPIWSCSSCYSIFHLHCIRKWARSPTSSDAPSASVDGSGDRAGWRCPGCQSVQSVLAKDLTYSCFCGSRGDPPNDLYLTPHSCGGPCRKPLDRTPAVPDADNDEFRCPHVCVLQCHPGPCPPCKAFAPRRPCPCGKKTIVRRCSDRESPLTCRQQCDRLLSCGRHRCERICHTGACSPCRVLVTASCFCNKKIEIVLCGDMVVKGDVQTIGDGVFSCNSICGRVLSCGNHFCGEKCHPGPCGECELLPEKIKSCYCGKTKLENGRKSCLDPIPTCSGLCEKVLSCGIHRCREICHEGDCPPCMVLVDQRCQCRSSNRTVECYKVSRDAEIFVCDKSCGKKKNCGRHRCNERCCPLSRPGGELLGSDWDPHLCSMPCGKRLRCGQHSCQLLCHSGHCPPCLETTFTDLMCACGKTSIPPPVPCGTPAPSCPHPCLVPQPCGHPASHTCHFGDCPPCSVPVAKECVGGHVLLRNIPCGSKDIRCNQLCGKTRQCGIHACGRTCHPPPCDASFASGSGSGAKSSCGQVCGAPRRDCKHTCSAPCHPSEPCPDLRCDFPVTITCSCGRITASVPCSAGGSSSGFHVDTVLEASILQKLHVPLQPVEANVKKIPLGQRKLSCDDECAKMERKRVLAEAFDITPPNLDALHFGENSTASELLSDLFRREPKWVLAVEERFKFLVLGKTKGASGGLKVHVFCPMLKEKRDAIRHIAERWKLAVQAAGWEPKRFLVVHVTPKSRPPARILGFKPGVPITAQPPAFDPLVDMEPRLVVSMLDLPRDADISALVLRFGGECELVWLNDKNALAVFGDPARAATALRRLDHGSVYQGAAVVSSLPVLNAWGTGPKEGGVASKGSNSWKKVVSSESDSWGGEWSSSGADATVPSWKPTEAAPISTSSNPWSVLDSETTMNSVSTESAADRIMVSGVKGGSPETDGVVSTEQGAAVGNVEMHEEVDDWEEAYE >Ma10_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32932343:32933551:1 gene:Ma10_g24240 transcript:Ma10_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSMFPGVAHWLVNLGRTVNPGVAHPPRGAAKFSKPLAHLRSTEKPDAVLNFMRSQGFGGAAIRKVISWEPNYLCYNVETNLAPKFQFLRDLGLSESDIVDVIRNNDDILRRNVHRSLAPKLEIWESLLGSRELVLKHLKKKMWFLSSSKPESLRVLVARADELGMPRLSRMFVWTLDVLQMKMEFFINIVGYTPSFIASQPTILLYGLQKRIVLPYKEKVPELLDILRAGAESSDSAHFSLTTSCLTSTTSLGY >Ma10_p24160.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32859837:32862151:1 gene:Ma10_g24160 transcript:Ma10_t24160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLPLFETCRLRDRLFFSSSVDAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKSRWFFFSSVEKTLQPNLKFLRDECGIPEERVSVVLRSDPKFISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSKERFEAKVELMRSFGWSESEFSSAVRKSPTFLDTKFMEKFVLPHKENVPELLDILRFAGTCKGNDTLHLASEDEEGLS >Ma10_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32859837:32862155:1 gene:Ma10_g24160 transcript:Ma10_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLPLFETCRLRDRLFFSSSVDAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKSRWFFFSSVEKTLQPNLKFLRDECGIPEERVSVVLRSDPKFISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSKERFEAKVELMRSFGWSESEFSSAVRKSPTFLGISLDMFRRKVEFFINVVGYTPSFIASQPSILLFSLQKRVILRFRVTEMLKSKGLWTGQAKFTSILFFSDTKFMEKFVLPHKENVPELLDILRFAGTCKGNDTLHLASEDEEGLS >Ma10_p24160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32859837:32862152:1 gene:Ma10_g24160 transcript:Ma10_t24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLPLFETCRLRDRLFFSSSVDAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKSRWFFFSSVEKTLQPNLKFLRDECGIPEERVSVVLRSDPKFISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSKERFEAKVELMRSFGWSESEFSSAVRKSPTFLGISLDMFRRKVEFFINVVGYTPSFIASQPSILLFSLQKRVILRFRVTEMLKSKGLWTGQAKFTSILFFSDTKFMEKFVLPHKENVPELLDILRFAGTCKGNDTLHLASEDEEGLS >Ma10_p24160.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32859837:32861012:1 gene:Ma10_g24160 transcript:Ma10_t24160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLPLFETCRLRDRLFFSSSVDAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKSRWFFFSSVEKTLQPNLKFLRDECGIPEERVSVVLRSDPKFISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSKERFEAKVELMRSFGWSESEFSSAVRKSPTFLGISLDMFRRKVEFFINVVGYTPSFIASQPSILLFSLQKRIPNSWRSLFSHTKKMFLSCLIF >Ma10_p24160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32859837:32862151:1 gene:Ma10_g24160 transcript:Ma10_t24160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLPLFETCRLRDRLFFSSSVDAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKSRWFFFSSVEKTLQPNLKFLRDECGIPEERVSVVLRSDPKFISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSKERFEAKVELMRSFGWSESEFSSAVRKSPTFLEMLKSKGLWTGQAKFTSILFFSDTKFMEKFVLPHKENVPELLDILRFAGTCKGNDTLHLASEDEEGLS >Ma10_p24160.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32859837:32888459:1 gene:Ma10_g24160 transcript:Ma10_t24160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLPLFETCRLRDRLFFSSSVDAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEAAKFSKSLAHLRSTEKPDDVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNNGILHYNVHRYLVPKLEMWESLLGSRELVLKHLKKSRWFFFSSVEKTLQPNLKFLRDECGIPEERVSVVLRSDPKFISQKPESLRALVARADELGMPRQSRMFVRTLVALHNVSKERFEAKVELMRSFGWSESEFSSAVRKSPTFLGISLDMFRRKVEFFINVVGYTPSFIASQPSILLFSLQKRVILRFRVTEMLKSKGLWTGQAKFTSILFFSDTKFMEKFVLPHKENVPELLDILRFAGTCKGNDTLHLASEDEEGLS >Ma01_p22940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26289270:26293700:-1 gene:Ma01_g22940 transcript:Ma01_t22940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLGIGSKVKRFFFFPSPAKEKPLCWDNPLGRFGPENPDFGCEEEIFFDSKESLDSECEDDFFSVIGDLTPSRVSTPDHQTNVRLISGLDNTIFVDKYPDSKSEPSPAGRKKLGDILHETIQGERAVDATNAAEEEVGINGKPDVLKTDTDQAPGSLNGTPYCSGATSVCASEMTPSQDRKNRKGKIWKAGHCCLPSLQSFGFDEKRQKLNPGAYNS >Ma01_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26289270:26293662:-1 gene:Ma01_g22940 transcript:Ma01_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLGIGSKVKRFFFFPSPAKEKPLCWDNPLGRFGPENPDFGCEEEIFFDSKESLDSECEDDFFSVIGDLTPSRVSTPDHQTNVRLISGLDNTIFVDKYPDSKSEPSPAGRKKLGDILHETIQGERAVDATNAAEEEVGINGKPDVLKTDTDQAPGSLNGTPYCSGATSVCASEMTPSQDRKNRKGKIWKAGHCCLPSLQSFGFDEKRQKLNPGAYNS >Ma10_p28140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35144241:35145321:-1 gene:Ma10_g28140 transcript:Ma10_t28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLVALIIGLFDYVEASTSDGIKVRVYCQVGKSSQGKFALDVAVKTLDLYKTYFAVPYSLPKLDMVAIPDFAAGAMENYGLVTYRETALLFDDRHSAGSNKQRVDSMHFINSYTVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADSLFPEWKVWTQFS >Ma08_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1452637:1456604:-1 gene:Ma08_g01740 transcript:Ma08_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEITQVLLSAQSPDGHTRTLAEANLKQFQEQNLPLFLLSLSVELSSEQKPPESRRLAGIILKNSLDAKDAVRKEELTQRWVSVDPSIKSQIKDSLLRTLGSSVSDARQTSSQVIAKVASIEVPRREWQELIGLLLNNMTQPDAPAPLKQSTLEALGYVCEEVSPQDLEQDQVNAILTAVVQGMNQTEHSSEVRLAAVKALYNALDFAQTNFENEVERNFIMKVVCETTMSKESEIRQAAIECLVSIASTYYEYLEPYMQTLFNLTANAVRGDEEPVALQAIEFWSSICDEEIQIQEEFGEDNGESSSPHSNFIKQALPTLVPLMLETLLKQEEDQDQEDGVWNLSMAGGTCLGLIARTVGDAVVPLAMPFVESNITKGDWRSREAATFAFGSILEGPSIEKLAPLVHSGLQFLLNAMKDQNSHVKDTTAWTLGRIFEFLHSAGGEYPILTATNLPHIMSVLLESIRDAPNVAEKVCGAIYFLAQGFEDAGSSSSILSPYLGDIVSALLSTADRTDPSNVRLRSSAYETLNEIVRCSSIPETSNMVAHLLHEIMNRLSKTLELHIASSEDREKQGDLQALLCGVLQVILQKLSNSNETKPIILQSADQMMILFLQVFACRSSTVHEEAMLAIGALAYATGPEFAKYMQEFYKYLEMGLQNFEEYQVCSISVGVVGDICRAVDDKVLPYCDGIMSQLLKDLSSPMLHRSVKPPIFSCFGDIALAIGEHFEKYVPYVIPMLQGAAELCSHLDVNDDDMQEYGNQLRRGIFEAYSGILQGFKGPKAALMVPCASPLLSFIEAVVRDTNRDEEVTKAAVAVLGDLADTLGPNTKILFKDCTFHMELLGECFRSDNDQLKETATWTQGMIQRVLVS >Ma03_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17649773:17654838:-1 gene:Ma03_g16060 transcript:Ma03_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRALVPASSSMLYRLAGRRSFASRSASSLQQACLDTIRSDEEDADINWDDLGFGLVPTDYMYVMRCGRDEKFSSGVLNRYGNIELSPSSGVLNYGQGLFEGLKAYRKVDNGGFLLFRPEENARRMQMGAERLCMPSPSVEQFIHAIKETIFANKRWVPPQGKGSLYIRPLLIGSGPVLGLAPAPDYMFLVYAAPVGTYFKEGLAPINLVIDDNTHRATPGGTGGVKTITNYAPVLKAQTQAKAKGFTDVLYLDSIKKKYLEEASSCNLFIVKNDVISTPVTMGTILPGITRKSIIEIARDYGYQVEEQLVSVEDLMDADEVFCTGTAVVVAPVGSITYQGQRFEYKTGAATVTRKLYDILTAIQMGRAEDKKRWTLEID >Ma10_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30206746:30207136:1 gene:Ma10_g19790 transcript:Ma10_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLHQLNYSASLPTRKTILSEAPSRFQAMPAREFDSWNHEFCSHTFL >Ma11_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23898904:23900429:1 gene:Ma11_g18850 transcript:Ma11_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVYGMPMSTNTVRVLAALNEKGVEFELVIVDLRTGAHKKPDFLALNPFGQIPALEDGDVVLFESRAINRYIATKYAEAGPDLLLSSGTPAERAVVDLWLEVESQQFGPPIAALVYEALIKPMLGGATDVTVVEAQATKLEKVLDVYEARLAQSKYLAGAEFTLVDLNHIPYTNYLMKTPKASLVTSRPHLLAWWQDVSSRPAWQKTAAAIPF >Ma09_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:335501:345084:-1 gene:Ma09_g00400 transcript:Ma09_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIVDYRGRPANRSTSGGWTSALFIIGVEIAERFAFYGISSNLISYLTGPLGESMAMAAAALNAWNGVASMLPLLGAFVADSYLGRYRTIVIASLLYILGLGTLTLSSMLPIFHPAKCGTACPPPRLQVALFYVSLYLVAIAQGGHKPCVQAFGADQFDQNDPQERVARSSFFNWWYFGICCGVVVTLVILSYVQDNIGWGLGFGIPCIVMLFALVLFVLGTRTYRFCLLQEESPFARIGRTLVALARKRLGKLPITELQSDVERVEETKGLFRLFPIWTTCLIYAVVFAQSSTLFTKQGGTLDRRISSKLQVPPAALQSFISASIIVSIPIYDRILVPVARKFSGLPSGITMLQRIGTGMALSLISMVIAALVEMKRLKTAREFGLVDQPNARIPMSLWWLVPQYVLFGVADVFTMVGLQEFFYDQVPDALRTLGLALYISIFGIGSFISGFLISVINKTTSRGGESWFSNNLNRAHLDYFYWLLAGLSMIELVLYVYFTQAYVYKVDIVDYRGRPANRSTSGGWTSALFIIVVEIAERFAFYGISSNLISYLTGPLGESTAMAAAALNAWNGVASMLPLLGAFVADSYLGRYRTIVIATLLYILGLGTLTLSSMLRIVHPAKCGTACPPPPLQVALFYVSLYLVAIAQGGHKPCVQAFGADQFDQNDPQERVARSSFFNWWYFGICCGVVVTLVILSYVQDNIGWGLGFGIPCIVMLFALVLFVLGTRTYRFCLLQEENPFARIGKTLVALTRKRLGKLPITELQSDIERVEETKGLVRLFPIWTTCLIYAVVFAQSSTLFTKQGGTLDRRISSKLQVPPAALQSFISTSIIVSIPIYDRILVPVARKFSGLPSGITMLQRIGTGMALSLISMVIAALVEMKRLKTAREFGLVDLPNARIPMSLWWLVPQYVLFGVADVFTMVGLQEFFYDQVPDALRSLGLALYMSIFGIGSFISGFLISVINKTTSRGGESWFSNNLNRAHLDYFYWLLAGLSMIELVLYVYFTQAYVYKKKQDTAVM >Ma10_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26528040:26529240:-1 gene:Ma10_g13910 transcript:Ma10_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPASSSATALVLLLSQFLLLLPSATAGVICEDLPQDLCAFAVSSASKRCLLENTQLGGGRTDYQCRTSEAVAEGISDWIETDECVRACGVARGNVGISSDSLLEPDFVQKICSAECYSNCPNIVDLYFNLASGEGVFLPDLCEAQRGDPRRAMAEFLSSGAAPGPGAPPPVST >Ma02_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22080012:22081950:1 gene:Ma02_g13900 transcript:Ma02_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQTSRTRRQSGKASALVPEEEDEGGEVFASTSVLHHSASSSSSGVALHLRHHWRRKRRQVSTSVGIRKSISVLSYISFLRWEDSLNERYPHIVYEEYSAGSINDKCVTDSLTKCDCDMIEEMLVMGLTRLSWERVDVSIQRFTAHSVIQVKDPFEHSEGADVIQHMIDHFLI >Ma05_p26540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38115116:38115931:1 gene:Ma05_g26540 transcript:Ma05_t26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAHGFLVFLPYLLLISSSAVAALDIVGILQPFDEFSTFTKYLTQTKVADEINRRQTITVLAVDNSAISALSSLSADTLKNVISVHVILDYYDPYKLDKVPKKTALLTTLFQASGLAANNMGFLNYTELPGEQMMFGSAAPGAPLNSNLQKVVAARPYNVSVLQVSTAIMPPGIASAPAQAPATAPGKKTSPSAPAAAPKSSPAAPESSPASPIEAPAGSAAAPAAHSKNADAESPAESADAPVGSDSARAVVSATIGIAMGAVAWATL >Ma03_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28348833:28350271:-1 gene:Ma03_g23960 transcript:Ma03_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLSSPPKVIPTTAPPVAAAGSALLLRSRHALAPVRSILRPDSSAPFNRADWQASCAILSSKAAAATGSSSDKPPSPSGDPPAADTEPDNDGGGNNHRPPSAIYGARTSLDPVSNLPRPLTISDLSPTPMHGSRLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLTRVMSHPQALAQCELTLTRLGLNVTREAFDDTAGAAEHVASKGLRDTAAIASARAAELYGLQVLADGIQDDGCNVTRFVMLAREPIIPRTDRPFKTSIVFAHDGEGTSVLFKVLSAFAFRNICLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAETRAQNALAEIQEFTSFLRLLGSYPTDMTPWGPTSSSNSPPSPSSSSSLD >Ma09_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2065210:2068141:-1 gene:Ma09_g03010 transcript:Ma09_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYLWKRMENDHGDLGDIVRAGGRIGPQNMEIEPAVERELPTEAVAFHTRTESPTDGFGHPLVDLRDSLLGRNTGTEFLDGAEAMLAPSKMAMESSSDPGGGGRFILARKVLSGKQEVEISCSIFSSKPSLSSPGEMLQLSGGSTAGPMDHGDDVQIWSPRSSQEIKRRFEIHKKSQAKKVVCVPAPVAASSRPSSEAVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNILVITYTSEHNHPWPTQRNALAGTARSHPSKNGPKCSSDQGVLKSRTAPKEDPMERLVKHEVAEMEKAIEPAAEDDEFHQSHTRMLPEEDQPDDFFEDLSELETDPLSLIFSSEGFMESKPDEERANKALDPFSTFDWAGSS >Ma09_p03010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2065210:2068141:-1 gene:Ma09_g03010 transcript:Ma09_t03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYLWKRMENDHGDLGDIVRAGGRIGPQNMEIEPAVERELPTEAVAFHTRTESPTDGFGHPLVDLRDSLLGRNTGTEFLDGAEAMLAPSKMAMESSSDPGGGGRFILARKVLSGKQEVEISCSIFSSKPSLSSPGEMLQLSGGSTAGPMDHGDDVQIWSPRSSQEIKRRKSQAKKVVCVPAPVAASSRPSSEAVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNILVITYTSEHNHPWPTQRNALAGTARSHPSKNGPKCSSDQGVLKSRTAPKEDPMERLVKHEVAEMEKAIEPAAEDDEFHQSHTRMLPEEDQPDDFFEDLSELETDPLSLIFSSEGFMESKPDEERANKALDPFSTFDWAGSS >Ma10_p29020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35716455:35722270:1 gene:Ma10_g29020 transcript:Ma10_t29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATHPSGPRLCSCANYRAAAFLCMVFIIGTSFVEFDYIMKLWTGISTDFVATTQANSWHRESSLVNVINPTLKVNVINPTLKTDISDLPPQANSWHRESSLESTRSNSCKSPCTSLGSEALPKGIVSPKSDLEMVPLWGSRRGKDGVSLQKSLLAIPVGINQKAMVNQIVMKFVSSDFTVMLFHYDGVVDEWKDLQWSDSALHISAINQTKWWFAKRFLHPDIVAPYKYIFLWDEDIEVENFHPKRYLMIVEREGLEISQPALDLVKSRIHHQITARLSKRVVHRRMYKFSGDKKCDDNSSQPPCTGWVEMMAPVFSRAAWRCAWHMIQNDLIHAWGLDMKLGYCAQGDQSKNIGVVDSEYIVHKGLPTLGGFDEKMGSTGSPAAKDRSAVRRRSSVELEIFRKRWQKAVTEDNCWIDPYPEELETNSRKQ >Ma07_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30519460:30520439:-1 gene:Ma07_g22650 transcript:Ma07_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKVLRTLTPSFYIKRGDQASIIGGAIEFIKELQQVLHSLEAKKKRKSLSPSPTPSPRPLLQLTPSPTSSSPDTVKELGACCNSPVADVEAKLSGSNVLLRTLSKRIPGQVVKIITVLERQAFEILHLNISSMEDTVLYSFVIKIGLECQLSVEELALEVQRSFCEEIGYPRSLGE >Ma05_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30694928:30705348:-1 gene:Ma05_g19980 transcript:Ma05_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MEDLNKPLLDPENFTQDSMDLERMPLEEVFEQLRTSRDGLSSADAESRLQLFGLNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIALANGGSEGPDWQDFVGIICLLILNSTISFIEENNAGNAAAALMAHLAPKCKVLRDDQWQEKDAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKMTGDVVFSGSICKQGEIQAVVIATGIHSFFGKAALLVDSTEVVGHFQKVLTSIGNFCICSIAVGMILEIIVMFPIQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEELAGMDVLCSDKTGTLTLNRLTVDRNLIEVFSEGMDKDIIVLLAARASRLENQDAIDTAIISMLADPKEARANITEVHFFPFNPVDKRTAITYIDSEGNWYRTSKGAPEQILDLCYNKDKISGKVHAIIDKFAERGLRSLGVAYQAIPEKTKESSGGPWVFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLSIAKETGRRLGMGTNMYPSSSLFSHDKDENEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVCGMTGDGVNDAPALKKADIGIAVSDATDAARSAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPHPDSWKLNEIFATGVVIGAYLALVTVLFYWAIIKTNFFETHFKVRTLSSSIEEVSSAVYLQVSIISQALIFVTRSQSWSFLERPGTLLMCAFVIAQLVATLIAVYAHINFASIRGIGWGWAGVIWIYSLIFYIPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGKEDRKAQWVISQQSLQGLIPSDIIANGRRSSLIAEQAKRRAEIARLGEIHTLRGHVESVVRLKKLDINVIQTAHTV >Ma07_p02290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1813679:1815574:1 gene:Ma07_g02290 transcript:Ma07_t02290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISSSYPFTPSQWQELEQQRLFYRYMVSGIPNPWDLSLRIRRSFLLEPTTMISPTVAYPPHLHLCWGRVRLGVGREGEDTEPGRCRRTDGKKWRCSKEAFPGSKYCEKHMHRGKNRSRKPVEMSLADNLSTTAQPPSSFSSSTTTKSSTSNTPCHHHPYHLPVPAPEVSSFLTCPSSKSQHNTPTYNSADIAYRFALAPLSLFTQYVSNNCNNRYQHGMKSVDEHFSETAGGERKNSSRFRPLGMNSLVGKGHMAFVPQQSTSSHSRIDLPREEKPQHCFVLGTDLKLEKPATVESKQKPLLHFLDERPTTSNTLCLDSKADHSKTQLSISIPVAYHDFPAFKSGFNRGACTCHC >Ma07_p02290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1813679:1815574:1 gene:Ma07_g02290 transcript:Ma07_t02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISSSYPFTPSQWQELEQQRLFYRYMVSGIPNPWDLSLRIRRSFLLEPTTMISPTVAYPPHLHLCWGRVRLGVGREGEDTEPGRCRRTDGKKWRCSKEAFPGSKYCEKHMHRGKNRSRKPVEMSLADNLSTTAQPPSSFSSSTTTKSSTSNTPCHHHPYHLPVPAPEVSSFLTCPSSKSQHNTPTYNSADIAYRFALAPLSLFTQYVSNNCNNRYQHGMKSVDEHFSETAGGERKNSSRFRPLGMNSLVGKGHMAFVPQQSTSSHSRIDLPREEKPQHCFVLGTDLKLEKPATVESKQKPLLHFLDERPTTSNTLCLDSKADHSKTQLSISIPVAYHDFPAFKSGFNREWT >Ma07_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1813679:1815574:1 gene:Ma07_g02290 transcript:Ma07_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISSSYPFTPSQWQELEQQRLFYRYMVSGIPNPWDLSLRIRRSFLLEPTTMISPTVAYPPHLHLCWGRVRLGVGREGEDTEPGRCRRTDGKKWRCSKEAFPGSKYCEKHMHRGKNRSRKPVEMSLADNLSTTAQPPSSFSSSTTTKSSTSNTPCHHHPYHLPVPAPEVSSFLTCPSSKSQHNTPTYNSADIAYRYQHGMKSVDEHFSETAGGERKNSSRFRPLGMNSLVGKGHMAFVPQQSTSSHSRIDLPREEKPQHCFVLGTDLKLEKPATVESKQKPLLHFLDERPTTSNTLCLDSKADHSKTQLSISIPVAYHDFPAFKSGFNRGACTCHC >Ma07_p27870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34066477:34070703:1 gene:Ma07_g27870 transcript:Ma07_t27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASITFPMAFFSSDRVWAVSLPRRAVHLPGCLPPRRWLAAAASRVAAEAAPDASGKRRPRGITKSRPVSPELQAVVGEAEIPRTQALKKIWAYIKENNLQDPDNKRIIVCDEKLKKIFGGRDRVGFLEITGLLNPHFGK >Ma03_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2510796:2511775:1 gene:Ma03_g03790 transcript:Ma03_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKYKRATAPLDETARARLWQEVSSCVSVESTTSDTAELADLIDSFYNEEEIDERKGKYGMGSEKGGYLTRRSLDSVLAESEADMVGHRIRVAAERGVSAVSPAGDGFKRRVIGWLRDKGFDAGLCKSSWERAERIQAGTHEYIDVIDRGGGTRYILEIDLAAEFEIARPTQDYTALLRALPSVFVGRPGALESIVSLMCVAMEESIRSSGMHLPPWRRKEYVRAKWFSSFGRNSAAGGEADRGAASRSGTATTKPCRIELRCREVKVREGMLAEEFRGTLNGYGF >Ma08_p33680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43937617:43938362:-1 gene:Ma08_g33680 transcript:Ma08_t33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYGILLLAALLALVSSPSMARDPGALQDLCVADNTSNVFVNGFVCKDPKLVKAEDFFFSGLDQPRNTTNKVGSNVTLLNANRIPGLNTLGISMARVDYAPFGLNPPHIHPRATEIQTVLEGSLYVGFVTSNPDNRLVTKVLRKGDVFVFPQGLIHFQFNYGTNKAVALSGLSSQNPGVITIANSVFGSKPAISDDILAKALGVDKKIVDLIQAHF >Ma06_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7827947:7829034:1 gene:Ma06_g11140 transcript:Ma06_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPCCEKQGSNRRAWIKEEDKKVVDCIRVHGGASWRSVPRGAGPLRRGQSCRLRWSNCLRPDVGGGCFEEDEEDLIIKLHALLGNRWSLIAGRLPGRSDDEIKNYWNSHLRKKLRSMGVDPDNHRVTQNVLLRRSQSSHSATLSSTNDATNERVECCWRFNPATEGHRVCYADGRPEENCNGGLPDLNLDLTISLPSSLVEKRPGSEDSTDPARLENPTLLLFP >Ma01_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25967000:25967582:-1 gene:Ma01_g22830 transcript:Ma01_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISITTLIMIYSSNIEIKQRKIESLCAFGICFDTLSRRELHPLQSQREGSVWAGFYPLLEGITNKNKLPVI >Ma01_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21009585:21018484:-1 gene:Ma01_g21380 transcript:Ma01_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGRGCLAIPLLLSILLLPLGFPTTGAADALIRIGLRKKPLGENGRIAARLLDKEGKDLMARRHGLRGGVGSNEEDEDIVSLKNYLNAQYFGEIGIGSPAQKFTVIFDTGSSNLWIPSSKCILSVACYFHSKYKSTASSTYQKNGKSAEIHYGSGSISGFFSEDHVTIGNLIVKNQDFIEATSEPSVTFLVAKFDGILGLGFQEISVGDAVPVWYNMVNQGLIKDPVFSFWFNRNAEEGEGGEIVFGGADPNHYKGEHVYVPVSQKGYWQFNMGDLFIGDEATGLCSGGCAVIADSGTSLIAGPTAAIAEINNRIGVSGVVSQECKAVVAQYGQRIFEMLISQTQPTKMCSQIGLCASDGTGAVSIAIESVVSENKDVSSSLHNDAMCNACEMALVWMQNQLSQNQTQEEMLNHINELCERLPSPMGESSVDCAAIPSMPSVSFTIGDRIFELQPEQYILKVGEGAEEQCISGFTALDVPPPRGPLWILGDVFMGVYHTVFDYGNSRVGFAQAA >Ma10_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22736522:22737236:-1 gene:Ma10_g08320 transcript:Ma10_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma01_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8654805:8655421:1 gene:Ma01_g11940 transcript:Ma01_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVCAQIAPRLVLFRPSPPRLRFPLRQHRPPRFPIVSPFPPPPPRSCSTMESARVDNDGDKAEKMEEKPKPEAEPSPPEKPLPGDCCGSGCVRCVWDIYYEELEAYNQMLASRSKGSQS >Ma02_p04200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15681973:15690255:-1 gene:Ma02_g04200 transcript:Ma02_t04200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSSWLSTVPPWITSRTCSPSSLGHPLQSSASGFLQWLRVIFLSPCPQRALFAVADVVFLFVLLVFAIQKLSSRFRRRRRDGAGTAEEEAEKPLLSESRVIVRVGLGYKLALAISFLLAASYAVLLVLDLARARLPFSRWMVAESVFLLLQFLSQLAAAALVAHEKRFRAAVHPTTLRIYWIASFLLAALFAASAAVRFAGGASIPVDDVASVVVLAVSVPLVFLAISGSTGVSVVARQEEEEEPARSSDAAAAKPNVTPYATASILSRLTWAWMNPLIQKGYRSPLNLNDVPSLALDHRAERMYELFRSKWPEQAVRSEHPVRATLLRCFWPRLLLTASLSIIRLFVMYVGPTLIQRFVDYTSGKRTSAYEGYYLCCILLFAKLVEVLCSHQYNFQSQKLGMLIRSTLITSLYRKGLRLSCSARQSHGVGMIVNYMAVDAQQLSDMMLQIHYIWLMPLQVGAALALLYNYLGPSVTSAVIGVAAIIVFVLLGTRRNNRYQFQLMGMRDKRMKATNEMLSYMRVIKFQAWEEHFSRRINKFRDGEYGFLSKFMYSISGNIIVLWSAPLLVSTLVFATCVLVGRVRLTAGLVFTATTFFRILQEPMRNFPQALISASQAVISLERLDSFMTSGELEETAVQRSEGCDGDDGVAVEVAGGAFSWDDEDTDESSAVLRGIDVRIRRGALAAVVGTVGSGKSSFLSCIIGEMRKISGEVKVCGSTAYVAQTAWIQNGTIQDNILFGQPMNRKRYEEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAQTGSEIFKECIRGVLKGKTIVLVTHQVDFLHNVDLILVMRDGAIVQSGKYDELLQPGTDFAALVAAHDSSMELVEQSSSAQDHHDHQPAALSREQSNGENGSIISPKPEKSKGTSKLVEEEERETGHVSWNVYMVYITHAWGWWGAVIVLLVAAAWQGSLLASDYWLAYETSADISSSFRPSLFIQVYAAIAMVSVVLITARSFLIAYLGLKTAQIFFRQILNSILHAPMSFFDTTPSGRILSRASSDQTNIDLFLPFFVGLTASMYITLLSIIIVTCQVAWPTVILILPLIWLNIWYRGYYLATSRELTRLDSITKAPVIHHFSETILGVTTIRCFRKEDRFSQENLNRVNSSLRMDFHNNGSNEWLGFRLELIGSFVLCISALLMIMLPSNFIKPEYVGLSLSYGLTLNAVLFWATWVSCFIENRMVSVERIRQFTNIPSEAAWEIKNCLPSPNWPTHGDIEIKNLKVKYRPNTPFVLHGITVSIRGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGQIIIDGVDISTLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGLYSDDEIWQALERCQLKDAVSLKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKRSRILFMDEATASVDSQTDAVIQKIIREDFSACTIISIAHRIPTVMDCDRVLVIDAGLASEFDKPSNLIERPSLFGALVQEYAHRSTDL >Ma02_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15681973:15690224:-1 gene:Ma02_g04200 transcript:Ma02_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSSWLSTVPPWITSRTCSPSSLGHPLQSSASGFLQWLRVIFLSPCPQRALFAVADVVFLFVLLVFAIQKLSSRFRRRRRDGAGTAEEEAEKPLLSESRVIVRVGLGYKLALAISFLLAASYAVLLVLDLARARLPFSRWMVAESVFLLLQFLSQLAAAALVAHEKRFRAAVHPTTLRIYWIASFLLAALFAASAAVRFAGGASIPVDDVASVVVLAVSVPLVFLAISGSTGVSVVARQEEEEEPARSSDAAAAKPNVTPYATASILSRLTWAWMNPLIQKGYRSPLNLNDVPSLALDHRAERMYELFRSKWPEQAVRSEHPVRATLLRCFWPRLLLTASLSIIRLFVMYVGPTLIQRFVDYTSGKRTSAYEGYYLCCILLFAKLVEVLCSHQYNFQSQKLGMLIRSTLITSLYRKGLRLSCSARQSHGVGMIVNYMAVDAQQLSDMMLQIHYIWLMPLQVGAALALLYNYLGPSVTSAVIGVAAIIVFVLLGTRRNNRYQFQLMGMRDKRMKATNEMLSYMRVIKFQAWEEHFSRRINKFRDGEYGFLSKFMYSISGNIIVLWSAPLLVSTLVFATCVLVGRVRLTAGLVFTATTFFRILQEPMRNFPQALISASQAVISLERLDSFMTSGELEETAVQRSEGCDGDDGVAVEVAGGAFSWDDEDTDESSAVLRGIDVRIRRGALAAVVGTVGSGKSSFLSCIIGEMRKISGEVKVCGSTAYVAQTAWIQNGTIQDNILFGQPMNRKRYEEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAQTGSEIFKECIRGVLKGKTIVLVTHQVDFLHNVDLILVMRDGAIVQSGKYDELLQPGTDFAALVAAHDSSMELVEQSSSAQDHHDHQPAALSREQSNGENGSIISPKPEKSKGTSKLVEEEERETGHVSWNVYMVYITHAWGWWGAVIVLLVAAAWQGSLLASDYWLAYETSADISSSFRPSLFIQVYAAIAMVSVVLITARSFLIAYLGLKTAQIFFRQILNSILHAPMSFFDTTPSGRILSRASSDQTNIDLFLPFFVGLTASMYITLLSIIIVTCQVAWPTVILILPLIWLNIWYRGYYLATSRELTRLDSITKAPVIHHFSETILGVTTIRCFRKEDRFSQENLNRVNSSLRMDFHNNGSNEWLGFRLELIGSFVLCISALLMIMLPSNFIKPEYVGLSLSYGLTLNAVLFWATWVSCFIENRMVSVERIRQFTNIPSEAAWEIKNCLPSPNWPTHGDIEIKNLKVKYRPNTPFVLHGITVSIRGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGQIIIDGVDISTLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGLYSDDEIWQALERCQLKDAVSLKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKRSRILFMDEATASVDSQTDAVIQKIIREDFSACTIISIAHRIPTVMDCDRVLVIDAGLASEFDKPSNLIERPSLFGALVQEYAHRSTDL >Ma06_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7329824:7331058:1 gene:Ma06_g10620 transcript:Ma06_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLDEEVEEYSWRDVVLPALIPVVQEPVELERESRQRRRGRDILVAVDHGPNSQHALHWALAHLCRLADTLHFVHVVHSRLFYPCVQDEVVYEVSKQRMDELAVEALQIAMVRSQGRIVEGEAGKMICREAERLKPAALVMGTRGRGRIQSVLQGSVSEYCFRHCKAAPVIIVPGKEAGDRSVI >Ma06_p10620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7329824:7331058:1 gene:Ma06_g10620 transcript:Ma06_t10620.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLDEEVEEYSWRDVVLPALIPVVQEPVELERESRQRRRGRDILVAVDHGPNSQHALHWALAHLCRLADTLHFVHVVHSVQDEVVYEVSKQRMDELAVEALQIAMVRSQGRIVEGEAGKMICREAERLKPAALVMGTRGRGRIQSVLQGSVSEYCFRHCKAAPVIIVPGKEAGDRSVI >Ma10_p27820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34903800:34905310:1 gene:Ma10_g27820 transcript:Ma10_t27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLDRLFPFFLFCLLACPAAHGQLSPTFYAGSCPNLESIVSSAMSQAVATENRMGASILRLFFHDCFVNGCDASVLLDDTATFTGEKNAIPNNGSLRGYEVIDAIKTSVEAACAATVSCADILALAARDGVVLLGGPSWTVQLGRRDATTASQSAANTDIPAPFHDLSKLISLFVSKGFSAQDMTTLSGAHTIGQARCLNFRPHVYNDTNVDGSFAALRRQGCPSVGGDDNLAPLDLQSPEAFDNLYYQNLMLNKGLLHSDQELFNGGSQDSVVMTYSTNAAAFQSDFAAAMVKMGNMSPLTGTIGEIRLNCRTVN >Ma08_p06610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4473651:4482142:1 gene:Ma08_g06610 transcript:Ma08_t06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGWGAVMMKLGLSRLEASDHASVVSINIFVTLICACIVIGHLLEENRWMNESITALIIGLCTGFIIRLATRGKNNSHIMVFSEDLFFIYVLPPIIFNAGFQVKKKQFFRNFITIMLFGAVGTLISFVIISLGAVEFFRKMDIGALEVGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLVHVDATVLLKFVANFFYLFASSTLLGALTGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFIAEVFLFLYVGTDALDIEKWKFVSDSPGKSLGVSSILLGLVLVGRAAFVFPLSFISNLFKKSQNDKITFKQQVIIWWAGLMRGAVSIALAYNQFTRSGHTQQRGNAFMITSTITVVLFSTMVFGLMTKPLIYFLLPPNSKHLTRSLSVSSEPSSPKSFLSPLLGNGQGSELEAAQCIPRPTNLRMLLTTPSRSVHHYWRKFDDAFMRPMFGGRGFVPFVPGSPVERSVPEWE >Ma08_p06610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4474235:4482142:1 gene:Ma08_g06610 transcript:Ma08_t06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQNDLFLRFQVKKKQFFRNFITIMLFGAVGTLISFVIISLGAVEFFRKMDIGALEVGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLVHVDATVLLKFVANFFYLFASSTLLGALTGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFIAEVFLFLYVGTDALDIEKWKFVSDSPGKSLGVSSILLGLVLVGRAAFVFPLSFISNLFKKSQNDKITFKQQVIIWWAGLMRGAVSIALAYNQFTRSGHTQQRGNAFMITSTITVVLFSTMVFGLMTKPLIYFLLPPNSKHLTRSLSVSSEPSSPKSFLSPLLGNGQGSELEAAQCIPRPTNLRMLLTTPSRSVHHYWRKFDDAFMRPMFGGRGFVPFVPGSPVERSVPEWE >Ma08_p34870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44869173:44871591:-1 gene:Ma08_g34870 transcript:Ma08_t34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLTTIHYLFPSVRVLTFLSLASLSASLENGDDFVRWVSWNVENYKQQSAATFRPSFVFGEPGVVGPRAIDLKLSKAEAAAVRYIVSQDGTGNYRSIREAIGTIPLHNTRRVILEIRPGIYREKIVIPKSMPFITLLGDPASPPIISGNDTAAKMGDNGRALKTFRSPTVAVNSNFFLAAYIQFENTAPVPDVGQRGGQAVAVRVSGDKAAFYNCSFYGEQDTLYDHKGLHYFKNCFIQGSVDFIFGYGRSLYENCYLNSVAKKVAALTAQKRNMASMESGFSFVRSTITGSGLVYLGRAWGDHSRVVFSYTFMDKVVIPQGWNSWRIHRPEARSGVYYGEFQCGGPGANWTGRVHWARLLTHEEAQPFLGTYYVNGDSWLLGPPST >Ma08_p34870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44869173:44871591:-1 gene:Ma08_g34870 transcript:Ma08_t34870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLTTIHYLFPSVRVLTFLSLASLSASLENGDDFVRWVSWNVENYKQQSAATFRPSFVFGEPGVVGPRAIDLKLSKAEAAAVREKIVIPKSMPFITLLGDPASPPIISGNDTAAKMGDNGRALKTFRSPTVAVNSNFFLAAYIQFENTAPVPDVGQRGGQAVAVRVSGDKAAFYNCSFYGEQDTLYDHKGLHYFKNCFIQGSVDFIFGYGRSLYENCYLNSVAKKVAALTAQKRNMASMESGFSFVRSTITGSGLVYLGRAWGDHSRVVFSYTFMDKVVIPQGWNSWRIHRPEARSGVYYGEFQCGGPGANWTGRVHWARLLTHEEAQPFLGTYYVNGDSWLLGPPST >Ma08_p34870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44869173:44871591:-1 gene:Ma08_g34870 transcript:Ma08_t34870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLTTIHYLFPSVRVLTFLSLASLSASLENGDDFVRWVSWNVENYKQQSAATFRPSFVFGEPGVVGPRAIDLKLSKAEAAAVRYIVSQDGTGNYRSIREAIGTIPLHNTRRVILEIRPGIYREKIVIPKSMPFITLLGDPASPPIISGNDTAAKMGDNGRALKTFRSPTVAVNSNFFLAAYIQFENTAPVPDVGQRGGQAVAVRVSGDKAAFYNCSFYGEQDTLYDHKGLHYFKNCFIQGSVDFIFGYGRSLYENCYLNSVAKKVAALTAQKRNMASMESGFSFVRSTITGSGLVYLGRAWGDHSRVVFSYTFMDKVVIPQGWNSWRIHRPEASGVYYGEFQCGGPGANWTGRVHWARLLTHEEAQPFLGTYYVNGDSWLLGPPST >Ma05_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5634647:5638131:1 gene:Ma05_g07730 transcript:Ma05_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U3-1 [Source:Projected from Arabidopsis thaliana (AT3G63120) UniProtKB/Swiss-Prot;Acc:Q8LB60] MKSMTPDTEAVNPEVYQALGLSTSSKRVAEFPRVLTLLSSILEATVQKNEKKLDSLEIKEFVTLFDGMRAPTLSIKKYMERIFKYSKCSPSCFVLAYIYIERFLQQPNICLTSLNVHRLLIASVVVAAKFIDDAFFSNAYYAKVGGISTMEMNRLEINFLFSVDFRLQVTVGTFEAYCLRLEKENKVYQVERPIKTCGLNEWSNIEDSKCQSAVQRCSCGTV >Ma08_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7863604:7868250:-1 gene:Ma08_g10720 transcript:Ma08_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASRLENEDCVRRCKERRRLMKEAVHSRHHLASAHSDYLCSLRLTGSALTRFALGEPLAVSEQTPPILLRSSFSASAASSFPPSKPQPPPAPSPPAPRPRRRPRRHHDFSPSPSPSVVSSSTSFRKPSTSAASDAGHPGFPPRNSTYATTPSQSSSAWDWTKCCPPSPPNSEFFERRKTELEEKNRLRHHLPPEEEVDESEEEHVAPAEEGRGGREEVYCREWGEHCTSPTSSSTRSDGEPQREEGREDERDTVSTSESTATRSEYAPSQAAPSEIASSAAAELKTRLRPRSEAGSSSARWKAGVQETTSSFAAAAELPMVVRHHNLAEIAAAIEEYFIKAADAGSAVSDLLEIGRAQFDGSFRQLKKTVYHSNSVLSALSSSWTSKPPLAIRYRLDTAALEESGGGKSHLSTLERLLAWEKKLYEEVKAREGVKIEHEKKLSTLQSQEYSGKEDAKLDKTKASIKKLQSLIIVTSQAVTTTSSAITKVRDDELAPQLVQICYALLNMWSQMNWFHDVQNHVVQQVRGLVNRASSGESTSDLHRLATRDLEAAVSAWHSSFNRLIKYYRDYVRSLYGWLNLTLLQISSDAPQKDHSSHIVIELTAFCDEWKQALDRLPNTVASEAVKSFMNVIHVIYTKQAEELKIKKRAEDYSKELEKKSRALRRIEKRYYQSSMVGMVLPGGGRDNDGQVFDTRDPLAEKKSEITACRIKVEDEMMRHAKAVEVTRSMTLNNIQTGLPGVFQALTGFSAMFVEAMEGVCRRGVSV >Ma06_p18920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12953106:12956757:1 gene:Ma06_g18920 transcript:Ma06_t18920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTNVAGQFGDTTYTKVFVGGLAWETQRDTMSKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACIDPSPVIDGRRANCNLASLGLQRSKPTTPLHGGSRNFRVVKSFQAGLQGGMGTAFASAAAAAATAFPHYAIQQGLPYYVYGFSPYSAEYNYATSDHQSYYNMYGGAATQYPLYGGAATGVAAGTTGYYPYFQFGQGGAAAAYPHQGQGYGMQCPQMLQYSAMTTTAGVTGFPSSLLHGAPVSLAMSPAAQAGMTMALTAPTLPNPTPHHYRHIPAHFAAPTAPEQPLA >Ma06_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12953106:12956757:1 gene:Ma06_g18920 transcript:Ma06_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTNVAGQFGDTTYTKVFVGGLAWETQRDTMSKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACIDPSPVIDGRRANCNLASLGLQRSKPTTPLHGGSRNFRVVKSFQAGLQGGMGTAFASAAAAAATAFPHYAIQQGLPYYVYGFSPYSAEYNYATSYYNMYGGAATQYPLYGGAATGVAAGTTGYYPYFQFGQGGAAAAYPHQGQGYGMQCPQMLQYSAMTTTAGVTGFPSSLLHGAPVSLAMSPAAQAGMTMALTAPTLPNPTPHHYRHIPAHFAAPTAPEQPLA >Ma06_p18920.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12953106:12956757:1 gene:Ma06_g18920 transcript:Ma06_t18920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTNVAGQFGDTTYTKVFVGGLAWETQRDTMSKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACIDPSPVIDGRRANCNLASLGLQRSKPTTPLHGGSRNFRVVKSFQAGLQGGMGTAFASAAAAAATAFPHYAIQQGLPYYVYGFSPYSAEYNYATSDHQSYYNMYGGAATQYPLYGGAATGVAAGTTGYYPYFQFGQGGAAAAYPHQGQGYGMQCPQMLQYSAMTTTAGVTGFPSSLLHGAPVSLAMSPAAQA >Ma06_p18920.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12953106:12956757:1 gene:Ma06_g18920 transcript:Ma06_t18920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTNVAGQFGDTTYTKVFVGGLAWETQRDTMSKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACIDPSPVIDGRRANCNLASLGLQRSKPTTPLHGGSRNFRVVKSFQAGLQGGMGTAFASAAAAAATAFPHYAIQQGLPYYVYGFSPYSAEYNYATSYYNMYGGAATQYPLYGGAATGVAAGTTGYYPYFQFGQGGAAAAYPHQGQGYGMQCPQMLQYSAMTTTAGVTGFPSSLLHGAPVSLAMSPAAQAVSSALKQA >Ma06_p18920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12953106:12955879:1 gene:Ma06_g18920 transcript:Ma06_t18920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTNVAGQFGDTTYTKVFVGGLAWETQRDTMSKYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAMRACIDPSPVIDGRRANCNLASLGLQRSKPTTPLHGGSRNFRVVKSFQAGLQGGMGTAFASAAAAAATAFPHYAIQQGLPYYVYGFSPYSAEYNYATSDHQSYYNMYGGAATQYPLYGGAATGVAAGTTGYYPYFQFGQGGAAAAYPHQGQGYGMQCPQMLQYSAMTTTAGVTGFPSSLLHGAPVSLAMSPAAQAVSSALKQA >Ma03_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5291318:5298915:-1 gene:Ma03_g07530 transcript:Ma03_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D5 [Source:Projected from Arabidopsis thaliana (AT1G68090) UniProtKB/Swiss-Prot;Acc:Q9C9X3] MSTLSVPPFLTSPRQDAIDLYKAFKGFGCDSAAVVNILAHRDATQRALIQQEYKTMYSEELTSRLSSELSGNLKKAMLLWILDPAGRDATVLRQAFTGDAVDLQAATEVICSRTTSQIQTIKQIYHMRFGVQLEHDIHYHTSGDHQKLLLAYVGTLRHEGPEVDHNMVSKLAKDLYKAGEKRLGTDENTFIRIFSGCSWAHLAAVASSYDHTYGKKLEKAVKSETSGYFELALVTILRCAENPGKYFAKVLRKAMKGLGTNDVTLIRVVVTRCEIDMQYIKAEYHKKYKKPLRDAIHSETSGHYRTFLLALVGSSP >Ma01_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3197473:3200783:-1 gene:Ma01_g04680 transcript:Ma01_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFIGGISWETSEEKLREHFGNYGEVLDATIMRDKTTGRPRGFGFVVFADPSVLDRVLQDKHNIDGRNVEAKRALSREEQQTSVRSGNPPVGRSVGAGAGSSIRSKKIFVGGLPPTLTEDGFRQYFEAYGTVTDAVVMYDQNTHRPRGFGFISFDSEDTADEVLLKPFHDMNGKLVEVKRALPKDANPNTGSGRSMAGGSYPSYGGSSGNSSSYDGRPDANRYMQPQSGGGGYPAYGSSGYGAPGYGFGAANNGVGYAGYGVGGYGNAAGGYGGPPGTYANPNAPNAGYVSGTPGGQRNLWGNQAPAGYGSASYGGSTAYGPAPSWNSSAASGGSGAAPTGQNGSSGYMNQGYGYGAYGGSEGPYGNQGGYGSVGARGSGGSVTNPSGNSGEQGPGSAYMGGGYGDANAGSGYPNAWRSDPSQGGPYGASHVNGPPGGPVSYGGGYGGPQGRQAQQQ >Ma06_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:156981:167898:-1 gene:Ma06_g00220 transcript:Ma06_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAICSFNPSPSLSPAAPNPSRRLPLVPLPLRLKFSRNRIGGRRPLAAVVARYGGGGGYRPGPSRRRPRDGRAAADPDYDPALDLDRIKSSSVRLLDEQQNMLGVVSIDEAVRMADDADLILAILSIDADPPVLRLFESTDYKKHKYEQQKKKRVQQKRSAANRMDIKELKMGYNIDSHDYSVRLKAAQKFLKDGDKVKVIVHLKGRENEFRNIAIELLQRFQSDIGELATEESKNFSERNIFMVLMPNKAVLQKNQEQPKKKEKPVTEVSASV >Ma08_p06750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4563733:4575462:-1 gene:Ma08_g06750 transcript:Ma08_t06750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MGKGLIPADLDTWKQRRKVITPGFHSLFLEAMVKVFTDCSERSILKFEKLLEIQNSETKEIELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPFARWIVPRQRKFHNDLKIINDCLDGLIKNAKETRQETDVEKLQQRDYSSLKDISLLRFLVDMRGVDVDDHQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPSKMRKAQLEVDSVLGEKKITLECLKKLEYIRLIVVEALRLYPQPPLLIRRALKADKLPGGYMGCDDGYAIPAGADIFISVFNLHRSPYFWDRPNEFEPERFLAPKPSEGIAGWAGYDPGRSPGAMYPNEITSDFAFIPFGGGPRKCVGDQFALLESTIALALLLQKFDVLLRGSPDEVELVTGATIHTKNGLWCKLKKRT >Ma08_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4563733:4575511:-1 gene:Ma08_g06750 transcript:Ma08_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MNTLALSQLPTVINGGFQRVPLPRSTFPTPVPFFESNGTKPSLIRCQSTSMEKPKTSKRNLLDNASNLLTNFLSGGRLGDMPTAEGAVSDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPVVARHILRENAFSYDKGVLAEILEPIMGKGLIPADLDTWKQRRKVITPGFHSLFLEAMVKVFTDCSERSILKFEKLLEIQNSETKEIELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPFARWIVPRQRKFHNDLKIINDCLDGLIKNAKETRQETDVEKLQQRDYSSLKDISLLRFLVDMRGVDVDDHQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPSKMRKAQLEVDSVLGEKKITLECLKKLEYIRLIVVEALRLYPQPPLLIRRALKADKLPGGYMGCDDGYAIPAGADIFISVFNLHRSPYFWDRPNEFEPERFLAPKPSEGIAGWAGYDPGRSPGAMYPNEITSDFAFIPFGGGPRKCVGDQFALLESTIALALLLQKFDVLLRGSPDEVELVTGATIHTKNGLWCKLKKRT >mito5_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:439225:439721:-1 gene:mito5_g00100 transcript:mito5_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFTIQSPYLDIEWQGIIDL >Ma10_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31073277:31079489:1 gene:Ma10_g21120 transcript:Ma10_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRAADPGSYRDRRSDAGFGGSSQSYSRGFEPSGKRDAGATGDLDGLLTPFEKNFYVEAPSVAAMTEEEVEAYRRKREITVEGRDVPKPVREFQDIGFPEYVMQEIEKAGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIIHVNAQPVLAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESHHTNLRRVTYLVLDEADRMLDMGFEPQMRKIISQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSIDLKANHAIHQRVEIVSENQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRTDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLIKILEEAGQKVNPELAKMGRGPPPPAGHGGFRDRYGSSRQWS >Ma07_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26891360:26901110:-1 gene:Ma07_g18940 transcript:Ma07_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLVYAAACLLAALWYAAWFRRHSRPRSAPLPPGPIGLPLVGSLPFLEPDLHSYFARLARAHGPIFSLRLGAKLAVVVTSPSLAREVLKDQDAAFANRDVPAAARVITYGGGTEIVWNPNGPVWRMLRRACVREMLCPAGLDAIYDLRRREVRAMVRSLRARAGSPVDVGAEMFLAMMNVITSTLWGGTLEDGEERSVVGKEFQELVAEITELLGRPNVSDFFPGLARFDLHGIQKQMHVLLERFDRIFESIIERRRRKLEEGGGKKQGGDDFLEFMLRLETEGGDGKTPFTMTNVKALLMDMVVGGTETTSNTMEWTLAEMMQKPDVMKRAKEELDHVVGKDGIVEESHVPKLPYLGLVIKEVLRLHPALPLLVPHCPSSTRVVGAYTVPEGSRVFVNVWAIQRDPAIWKDPLEFRPERFADADRWDFSGKDFSYFPFGSGRRICAGIAMAERTVMYMVASLLHSFDWELPKGTTLELEEKFGIVMKKARPLVAIPTPRLASADHYC >Ma04_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25299425:25332689:-1 gene:Ma04_g23130 transcript:Ma04_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQIHLAVGSHVWTEDSDVAWIDGEVLEVNGDEVNICCTDEKVVTAKVMNVHPKDTEAPACGVDDMTKLSYLHEPGVLHNLRSRFMMNEIYTYTGNILIAVNPFQRLPHLYNSHMMEEYKGADFGELAPHPFAIADAAYRRMMIDETSQSILVSGESGAGKTESTKMLMRFLAYMGGKAATEGRSVEQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDLKGRITGGAVRTYLLERSRVCQISDPERNYHCFYMICAAPSEDIERYKVGNPRTFHYLNQSNCYEIDGLDESEEYLATRRAMDVVGISSDEQDAIFRVVAAILHLGNVEFAEGEEEDSSVPKDEKSWYHLRTAAELFMCNEKALEDSLCKRIIVTRGENITKNLNPEAAALSRDALAKVVYSRLFDWLVNRINCSIGQDPDSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMLPRSTHETFAEKLYQTFKNHQRFSKPKFSRSDFTIRHYAGDVTYQTELFLDKNKDYVVAEHQELLGASGCTFVADLFPPLSEDSSKSSKFSSIGLRFKQQLQTLLETLSATQPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVLEAIRISCAGFPTRRTFDEFIDRFGIFASELLDESSDEAAVTKRLMEKVDLKGFQIGKTKVFLRAGQMAELDARRNEVLGLSAKKIQRKFRSYLARKNFISLRKSAISIQATCRGQIARQHYQNMQRQAASLIIQMYFRMHLARKAYTDLCSASVIIQSGLRGMVARKELHFRQETRAAVIIQSYFRRHLARSHYARLKMAAITMQCAWRARVARWELRKLKLAAKETGALQEAKNKLEKQVEELTWRLQLEKRMRLDLEESKSQEITKLQVALQDTQGQFKETKALLVKEKEATKRAAEDAPVIHEVQVTDTDLIDKVTAENEKLKALVSSLETRIHETEKKYEETTKISEERLKKSLEAESKITELKNSVKSLQEKLSNMESENQVLRQQALLHSSVKSPPEHSIDGVSELNKETKDFETVPPAIKNLARFDSRIRKSYIDRQHENVDTLIKCVVQNIGFDLGKPAAALTIYKCLLHWKLFEAEKTSVFDRLIQMIGSAIEDDESNDHLAYWLSNTSTLLCLLQKSLKASGAVVGTPRRKPPPPTSLFGRMALGFRSSSSLPVDGLAFVRQVEAKYPALLFKQQLTAYVEKIFGIIRENTKKELTSLISLCLQAPKTAKTKSMVRGRSFGNSTQNSTWQSIIDNLDNLLITLQENYVPAVLIRNIFTQVFSFINVQLFNSLLLRRECCSFSNGEYMKSGLSELELWFAKAKPEHVGSSWDELKHTRQAVGFLVIFQKYRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNSQSVSPTVLSDMNTLMIKDSADADSDSAFLLEDDPSIPFSLDEVSSSIQDKDLHGVKPPEDLLKNPAFQFLND >Ma09_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1374918:1381327:1 gene:Ma09_g01830 transcript:Ma09_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCDGFFALDEFRLDPKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQNVAVKVMHKGDTPEEVAKKEARFMREVAMLARVQHKNLVKFIGACKEPVMVVVTDLLPGGSLRKYLVNLRPRSLEPRVAVGFALDIARAMECLHSHGIIHRDLKPDQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNTRPSADNLPEELALILTSCWKEDPNSRPNFTQIIQMLLDYLTMLLPPERVVRSRAFSSENVVLPPESPGTSSLMAARDELGDTTKPDKFTDNKRYFCFGQCF >Ma09_p01830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1374918:1381327:1 gene:Ma09_g01830 transcript:Ma09_t01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCDGFFALDEFRLDPKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQNVAVKVMHKGDTPEEVAKKEARFMREVAMLARVQHKNLVKFIGACKEPVMVVVTDLLPGGSLRKYLVNLRPRSLEPRVAVGFALDIARAMECLHSHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNTRPSADNLPEELALILTSCWKEDPNSRPNFTQIIQMLLDYLTMLLPPERVVRSRAFSSENVVLPPESPGTSSLMAARDELGDTTKPDKFTDNKRYFCFGQCF >Ma06_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5555401:5555951:1 gene:Ma06_g07820 transcript:Ma06_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKTLPVFYAEYERTHNIISNLHRFEAETPLTAMSQVAKEIFMENTDFFPIKPVDYGRFLVISLGTGSNQQEGRFTAQESSKRGVLSGCTTRAPPPSSTSSRNGRPRLCSLSSAVIGEAVPSHTGTCMQDDTLTGDAASLDIAGKENLEKLVKEPGERQL >Ma11_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21929663:21932082:-1 gene:Ma11_g16400 transcript:Ma11_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWADDNASLMEAFMGTTDLQGFPWATATAPPTPTPPAAAALGPATALASAAPAYFSQETLQQRLQALIEGARESWTYGIFWQTSVDGATGAYFLGWGDGYYKGCEEDKRKQRVANAVSAVEQEHRRRVLRELHSLISGGGSSAPDETVDEEVTDTEWFFLVSMTQSFVNGGGFPGQALFAGAPVWVAGADRLASAPCERARQAQLFGLQTMVCVPVGSGVLELGSTDVVSHSHEIMGKIRVLFLFSSPDLPSSSTAAAVSWITPQPAAATPATDQGETDPSVLWLTDPSAVEIKDSVSPVTTAADISVTKPRTQCENNPSSRILTENPSSSRRIQKPHHHHHQQQHQGSGSNPQNQTFYSKLASNGPVPPQSTEPCSKRNPSPAPVTGGLFSDHQVATAVAEDMKNKGCTGATSRVSNQEAGMLCFSSAPARAPSNGQMKSSGGGVGGILDGPDSDQSDLEASAREVESNRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAVSYINELRSNLQTLEADKEELRDRVEALEKKLPVQPPPDHNLRTTTNGRCHGVEMEVKILGSEAMIRLQCQKRNHPAASLMAALKDLDLELHYASVSVVKDLMIQQVTVKMSPGRVMTQEQLCASLYSRVAAEAPISSSSR >Ma05_p28660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39599259:39603436:1 gene:Ma05_g28660 transcript:Ma05_t28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEMGSNEAGFSSKDSDVMKEKARSESREFGHGIKSSLGGPVDEKGGHNDYVKREALNKDQHQVHSSSLEELEKLVLEIKAEVKQKEEENVALSQQIQQYEKKWSLCEEKMKSMEEMYKKQIETLTMNVAAVEKSLAANGTNKQPGNFDTSSYTHGHDSKGAIPADARTPDGTPPKHLTKEFEQQKQVFPVDAHVPTEVKSGQSGSVAKPIEELQKLKVAYAAWKKDYKARLHDTKAALRKLQKLEGEKPRRRWWGKKRKKHDK >Ma07_p01880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1436265:1441360:-1 gene:Ma07_g01880 transcript:Ma07_t01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSGLFMFLMLLMLMIKVSFSDEESFTPDESRLWFDGDGESELEKGELSYEMDSVLMRGSERVARIPVNVDSFGAVGDGVADDTQAFRDAWETACSLKNAVFLVPERRHYKVNATKFRGPCQKNLIVQVSGTIVAPDEPKDWDLEHPRMWLFFSKLKGVKFQGGGIIDGSGSKWWAASCKITTTNPCKGAPTALTIDSSSAIRVKGLTVQSAQQMHFTISRSDAVRISGVRILSPEDSPNTDGIHITESSNVAIQNCHIGTGDDCVSIVNASSNIKMKNIECGPGHGISIGSLGKDNSTAIVTGVVLDTATLTGTENGLRIKTWQGGSGYVKSVRFENVKMNDVKNPIIIDQFYCDSPKSCKNQTSAVKISQVIYRNISGTSRTPKAMKFACSDSVPCSNIVLNNINLETENGTAETFCNCAMGFDYGIVQPKADCLKSSSCGGVEEDNQAQTHDPIHTEL >Ma07_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1436265:1441907:-1 gene:Ma07_g01880 transcript:Ma07_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSGLFMFLMLLMLMIKVSFSDEESFTPDESRLWFDGDGESELEKGELSYEMDSVLMRGSERVARIPVNVDSFGAVGDGVADDTQAFRDAWETACSLKNAVFLVPERRHYKVNATKFRGPCQKNLIVQVSGTIVAPDEPKDWDLEHPRMWLFFSKLKGVKFQGGGIIDGSGSKWWAASCKITTTNPCKGAPTALTIDSSSAIRVKGLTVQSAQQMHFTISRSDAVRISGVRILSPEDSPNTDGIHITESSNVAIQNCHIGTGDDCVSIVNASSNIKMKNIECGPGHGISIGSLGKDNSTAIVTGVVLDTATLTGTENGLRIKTWQGGSGYVKSVRFENVKMNDVKNPIIIDQFYCDSPKSCKNQTSAVKISQVIYRNISGTSRTPKAMKFACSDSVPCSNIVLNNINLETENGTAETFCNCAMGFDYGIVQPKADCLKSSSCGGVEEDNQAQTHDPIHTEL >Ma03_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7942948:7946897:1 gene:Ma03_g10540 transcript:Ma03_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSNIRAIYRSPTIFTSLILLLTASSPFFFSFCLAIDEQGLALLSWKRSLNSSTDALSSWKPSDPDPCKWFGVSCNSKLEVISLSITSVDLQGSLPSNLQPLKSLKTLVLSVTNITGPIPREFGDYRELAIVDLSRNQITGEIPAEVCKLSKLESLVLNSNSLQGAIPADIGNLSSLTYLTLYDNFLSSEIPASIGKLQKLEVFRSGGNQNLKGSLPPEIGNCSNLVMLGLAETGISGKLPSTIGLLQRLQTIAIYTAMISGTIPEEIGNCTELTSLYLYQNSLSGPIPFKLGKLENLRSLLLWQNSLVGSIPPELGRCKQLVLVDLSMNLLTGSIPRSIGNLTNLQQLQLSTNQLSGLIPQEISSCAALTDLEVDNNELSGEIQIDFAKLENLTLFYAWQNRLTGSIPASLAQCPNLQSVDLSYNNLTGPIPKDLFGLQNLTKLLLLWNELSGFVPPDIGNCTNLFRLRLNGNRLAGTMPAEIGNLKSLNFLDMSNNLLIGPIPTAISGCESLEFLDLHSNALTGGLPESLPKSLQFIDVSDNRLSGPLSAAIGSLPELTKLIAGRNQLSGGIPAELGSCSKLQLLDVGDNSFSGRIPGELGQLPALEISLNLSCNHLSGDIPARLSALEKLGCLDISHNELSGNLDVLAVLQNLVTLNVSFNAFSGELPDTPFFRKLPLSDLEGNHGLFIANGPATQEPSSRAAISALKLAMSVLISVSALLLLTAVYVMVRSRTAPRRHADDTWEITLYQKLDFSADDVVRGLTSGNVIGTGSSGVVYKVGTPSGDTLAVKKMWSSDESGAFRNEIAALSSIRHRNIVRLLGWGANRSTKLLFYNYLPNGSLSGFLHRSGKEQVEWETRYEIMIGLAHAIAYLHHDCVPAILHGDVKAMNVLLGPRFEPYLADFGLARVLTGGDTTHKLESKACPRIAGSYGYIAPEYASTQRITEKSDVYSYGVVLLEVLTGRHPLDPSLPGGMHLVQWAREHLQSKRDPVELLDARLRGRPDHQTQEIQQALAIASLCVGARAEDRPTMKDVAALLKEIRRPANEEPKESAAVSAAAAAAAAAASPVRNVDLVGSSNCSFAMSDYSS >Ma03_p10540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7942948:7946897:1 gene:Ma03_g10540 transcript:Ma03_t10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSNIRAIYRSPTIFTSLILLLTASSPFFFSFCLAIDEQGLALLSWKRSLNSSTDALSSWKPSDPDPCKWFGVSCNSKLEVISLSITSVDLQGSLPSNLQPLKSLKTLVLSVTNITGPIPREFGDYRELAIVDLSRNQITGEIPAEVCKLSKLESLVLNSNSLQGAIPADIGNLSSLTYLTLYDNFLSSEIPASIGKLQKLEVFRSGGNQNLKGSLPPEIGNCSNLVMLGLAETGISGKLPSTIGLLQRLQTIAIYTAMISGTIPEEIGNCTELTSLYLYQNSLSGPIPFKLGKLENLRSLLLWQNSLVGSIPPELGRCKQLVLVDLSMNLLTGSIPRSIGNLTNLQQLQLSTNQLSGLIPQEISSCAALTDLEVDNNELSGEIQIDFAKLENLTLFYAWQNRLTGSIPASLAQCPNLQSVDLSYNNLTGPIPKDLFGLQNLTKLLLLWNELSGFVPPDIGNCTNLFRLRLNGNRLAGTMPAEIGNLKSLNFLDMSNNLLIGPIPTAISGCESLEFLDLHSNALTGGLPESLPKSLQFIDVSDNRLSGPLSAAIGSLPELTKLIAGRNQLSGGIPAELGSCSKLQLLDVGDNSFSGRIPGELGQLPALEISLNLSCNHLSGDIPARLSALEKLGCLDISHNELSGNLDVLAVLQNLVTLNVSFNAFSGELPDTPFFRKLPLSDLEGNHGLFIANGPATQEPSSRAAISALKLAMSVLISVSALLLLTAVYVMVRSRTAPRRHADDTWEITLYQKLDFSADDVVRGLTSGNVIGTGSSGVVYKVGTPSGDTLAVKKMWSSDESGAFRNEIAALSSIRHRNIVRLLGWGANRSTKLLFYNYLPNGSLSGFLHRSGKEQVEWETRYEIMIGLAHAIAYLHHDCVPAILHGDVKAMNVLLGPRFEPYLADFGLARVLTGGDTTHKLESKACPRIAGSYGYIAPEYASTQRITEKSDVYSYGVVLLEVLTGRHPLDPSLPGGMHLVQWAREHLQSKRDPVELLDARLRGRPDHQTQEIQQALAIASLCVGARAEDRPTMKDVAALLKEIRRPANEEPKESAAVSAAAAAAAAAASPVRNVDLVGSSNCSFAMSDYSS >Ma01_p13990.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10229501:10237281:-1 gene:Ma01_g13990 transcript:Ma01_t13990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRRRRRRKLHLSKLYSFATCGRLRFNEDHAQIGSPGFSRVVFANDPDCFEATNLNYGSNYVSTTKYTLATFLPKSLFEQFRRVANMYFLVSGCLSFTPLAPYTPLSAVAPLVLVIGATMLKEGIEDWRRYQQDIEVNNRKVKIHHGNGNFDYTEWKSLRVGDIVKVEKDEFFPADLILLSSSYDDAICYVETTNLDGETNLKLKQSLEVTSDLQADSDFHNYKAIIKCEDPNANLYSFVGSMDYEDQQYPLTPQQLLLRDSKLRNTDYIYGAVVFTGHDTKVMQNATSPPSKRSKIERKLDKIIYLLLSSLVLISLIGSIFFGISTNNDLDGEMKRWYLRPDDSVIYFDAKKAPTAAVLHFLTAMMLYGYFIPISLYVSIEIVKILQSIFMNQDIKMYHEESDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGHGATEVERAMARRKGSPMISRLDAAQHDEENHVDTKPAIKGFNFSDERIMNGSWISEPQSDIIQKFFQLLAICHTVIPDVDEETGKISYEAESPDEAAFVVAAREIGFEFYRRTQTSIYLHEMDPLSGKQIDRTYRLLNILEFSSSRKRMSVIVQDEEGKLLLFSKGADSVMFERLAKDGREFEERTKEQINEYADAGLRTLVLAYREIDEEEYTNFNKKVTAAKNLVSADRDERIEEAADLIERNLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIITLETPEIVRLEKDGNKEAIVKALKDSIIHQINEGKKLLSSSSTESFALIIDGKSLGYALEDDVKDMFLQLAIGCGSVICCRSSPKQKALVTRLVKAGTRKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNITFGVTLFLFEAYASFSGEPAYNDWVLSLYNVIFTSLPVIALGVLDQDVSARFCLKFPMLYQEGVQNVIFSWLRIFGWMFNGICSASIIFFFCTSALQHQAFRESGEVIDLGILGATMYTCVVWVVNCQMALYITYFTLIQHILIWGSIAVWYLFLLVYGAITPTITTTAFMFRCDSSRCTTT >Ma01_p13990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10229501:10237281:-1 gene:Ma01_g13990 transcript:Ma01_t13990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRRRRRRKLHLSKLYSFATCGRLRFNEDHAQIGSPGFSRVVFANDPDCFEATNLNYGSNYVSTTKYTLATFLPKSLFEQFRRVANMYFLVSGCLSFTPLAPYTPLSAVAPLVLVIGATMLKEGIEDWRRYQQDIEVNNRKVKIHHGNGNFDYTEWKSLRVGDIVKVEKDEFFPADLILLSSSYDDAICYVETTNLDGETNLKLKQSLEVTSDLQADSDFHNYKAIIKCEDPNANLYSFVGSMDYEDQQYPLTPQQLLLRDSKLRNTDYIYGAVVFTGHDTKVMQNATSPPSKRSKIERKLDKIIYLLLSSLVLISLIGSIFFGISTNNDLDGEMKRWYLRPDDSVIYFDAKKAPTAAVLHFLTAMMLYGYFIPISLYVSIEIVKILQSIFMNQDIKMYHEESDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGHGATEVERAMARRKGSPMISRLDAAQHDEENHVDTKPAIKGFNFSDERIMNGSWISEPQSDIIQKFFQLLAICHTVIPDVDEETGKISYEAESPDEAAFVVAAREIGFEFYRRTQTSIYLHEMDPLSGKQIDRTYRLLNILEFSSSRKRMSVIVQDEEGKLLLFSKGADSVMFERLAKDGREFEERTKEQINEYADAGLRTLVLAYREIDEEEYTNFNKKVTAAKNLVSADRDERIEEAADLIERNLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIITLETPEIVRLEKDGNKEAIVKALKDSIIHQINEGKKLLSSSSTESFALIIDGKSLGYALEDDVKDMFLQLAIGCGSVICCRSSPKQKALVTRLVKAGTRKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNITFGVTLFLFEAYASFSGEPAYNDWVLSLYNVIFTSLPVIALGVLDQDVSARFCLKFPMLYQEGVQNVIFSWLRIFGWMFNGICSASIIFFFCTSALQHQAFRESGEVIDLGILGATMYTCVVWVVNCQMALYITYFTLIQHILIWGSIAVWYLFLLVYGAITPTITTTAFMVFIEGLVPAPSYWIVTLFVVVAALIPYFTYSAVQMRFFPMYHNMIQWIRYEGWADDPEYCQAIRQRSVRPTTVGVSARLDVKVSQLTRKVHHEVHSQ >Ma01_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10229503:10237281:-1 gene:Ma01_g13990 transcript:Ma01_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRRRRRRKLHLSKLYSFATCGRLRFNEDHAQIGSPGFSRVVFANDPDCFEATNLNYGSNYVSTTKYTLATFLPKSLFEQFRRVANMYFLVSGCLSFTPLAPYTPLSAVAPLVLVIGATMLKEGIEDWRRYQQDIEVNNRKVKIHHGNGNFDYTEWKSLRVGDIVKVEKDEFFPADLILLSSSYDDAICYVETTNLDGETNLKLKQSLEVTSDLQADSDFHNYKAIIKCEDPNANLYSFVGSMDYEDQQYPLTPQQLLLRDSKLRNTDYIYGAVVFTGHDTKVMQNATSPPSKRSKIERKLDKIIYLLLSSLVLISLIGSIFFGISTNNDLDGEMKRWYLRPDDSVIYFDAKKAPTAAVLHFLTAMMLYGYFIPISLYVSIEIVKILQSIFMNQDIKMYHEESDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGHGATEVERAMARRKGSPMISRLDAAQHDEENHVDTKPAIKGFNFSDERIMNGSWISEPQSDIIQKFFQLLAICHTVIPDVDEETGKISYEAESPDEAAFVVAAREIGFEFYRRTQTSIYLHEMDPLSGKQIDRTYRLLNILEFSSSRKRMSVIVQDEEGKLLLFSKGADSVMFERLAKDGREFEERTKEQINEYADAGLRTLVLAYREIDEEEYTNFNKKVTAAKNLVSADRDERIEEAADLIERNLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIITLETPEIVRLEKDGNKEAIVKALKDSIIHQINEGKKLLSSSSTESFALIIDGKSLGYALEDDVKDMFLQLAIGCGSVICCRSSPKQKALVTRLVKAGTRKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNITFGVTLFLFEAYASFSGEPAYNDWVLSLYNVIFTSLPVIALGVLDQDVSARFCLKFPMLYQEGVQNVIFSWLRIFGWMFNGICSASIIFFFCTSALQHQAFRESGEVIDLGILGATMYTCVVWVVNCQMALYITYFTLIQHILIWGSIAVWYLFLLVYGAITPTITTTAFMVFIEGLVPAPSYWIVTLFVVVAALIPYFTYSAVQMRFFPMYHNMIQWIRYEGWADDPEYCQAIRQRSVRPTTVGVSARLDVKP >Ma01_p13990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10229501:10237281:-1 gene:Ma01_g13990 transcript:Ma01_t13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRRRRRRKLHLSKLYSFATCGRLRFNEDHAQIGSPGFSRVVFANDPDCFEATNLNYGSNYVSTTKYTLATFLPKSLFEQFRRVANMYFLVSGCLSFTPLAPYTPLSAVAPLVLVIGATMLKEGIEDWRRYQQDIEVNNRKVKIHHGNGNFDYTEWKSLRVGDIVKVEKDEFFPADLILLSSSYDDAICYVETTNLDGETNLKLKQSLEVTSDLQADSDFHNYKAIIKCEDPNANLYSFVGSMDYEDQQYPLTPQQLLLRDSKLRNTDYIYGAVVFTGHDTKVMQNATSPPSKRSKIERKLDKIIYLLLSSLVLISLIGSIFFGISTNNDLDGEMKRWYLRPDDSVIYFDAKKAPTAAVLHFLTAMMLYGYFIPISLYVSIEIVKILQSIFMNQDIKMYHEESDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGHGATEVERAMARRKGSPMISRLDAAQHDEENHVDTKPAIKGFNFSDERIMNGSWISEPQSDIIQKFFQLLAICHTVIPDVDEETGKISYEAESPDEAAFVVAAREIGFEFYRRTQTSIYLHEMDPLSGKQIDRTYRLLNILEFSSSRKRMSVIVQDEEGKLLLFSKGADSVMFERLAKDGREFEERTKEQINEYADAGLRTLVLAYREIDEEEYTNFNKKVTAAKNLVSADRDERIEEAADLIERNLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIITLETPEIVRLEKDGNKEAIVKALKDSIIHQINEGKKLLSSSSTESFALIIDGKSLGYALEDDVKDMFLQLAIGCGSVICCRSSPKQKALVTRLVKAGTRKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNITFGVTLFLFEAYASFSGEPAYNDWVLSLYNVIFTSLPVIALGVLDQDVSARFCLKFPMLYQEGVQNVIFSWLRIFGWMFNGICSASIIFFFCTSALQHQAFRESGEVIDLGILGATMYTCVVWVVNCQMALYITYFTLIQHILIWGSIAVWYLFLLVYGAITPTITTTAFMVFIEGLVPAPSYWIVTLFVVVAALIPYFTYSAVQMRFFPMYHNMIQWIRYEGWADDPEYCQAIRQRSVRPTTVGVSARLDVKVSQLTRKVHHEVHSQ >mito5_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:224242:225791:-1 gene:mito5_g00050 transcript:mito5_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEFLRYLIKPRLENNMVAKRLQLLRTKLGLIRLWTTNI >Ma03_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3624958:3643954:1 gene:Ma03_g05550 transcript:Ma03_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNTRNIDLVGMKKIESRSCPLPDEVLVKIISYLPAKAFFKFLPVCKTIYHLSSDSQFLLSQSYNNKAISGFFIHSDNILRSFILIDSYAGVPRSNLEFLSDSGAKILGSAGGLVFVLLNKNGWFDASTSGICVYNPARGTRCWLPSPPDEESSISSHSKESSSFSLTSLYNGHSYSFKSLIAGMDGTLNNTHSINVGSSRRPLPDDLLAEILSYLPAKTFFRLLSVCKTFRQLSSDSHFLLSQSNHNNVISGFFPHRRNISGPFFLIDPYAGVPRSSLQFLCSSKAIILGSEGGLVFVLHRKGGALCVYNPARGTRCQLPSPPSEYCTWGGIAVRFMNDGDGVTKGYKLVYLSRTPEGSSLHRCQVYDSFARVWTMDKELDFGRKELHLEHPVVCDDVVFWASSHSESYERIDHYVVAFDVRKERTQIIPLPKEAAVACFDTIGIAKWEGKSLCLIHYDMYTWVFALWLLRKTNDGPPGWAKAHEVSLELMGFREPSYVSFIMLSEVATTTLLVFTIQSEAYSYDIKDGELKKLASSEIYFAKLIPYSNTLRPCGEQEELNVPGSFFLVDPYAGVPRSSLEFLSYRKVVILGSAGGLVFVLRYKDHALCVYNPARGTRCQLPSPPSKYWTWGGIGIAVRFMNDGDGVTKGYKLVYLLRTPAGSSFHRCQVYDSSARVWTMDKELDFGRMELHLEHPVVCDDVVFWASSHSLSNERIDHYVVAFDVRKERTQIIPLPKEAAVACFDTIGIGKWEGKSLCLIHYKMCTRVFGLWLLRKTNDGPPGWVRVHEVSLGQIGFRELPIVSSVILSEVATTTLLVFTIQNEAYSYDIKDGKLKKMASLGCHYPPLIPYSNTLRPCGEEEELLETTR >Ma01_p22650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25618297:25631221:1 gene:Ma01_g22650 transcript:Ma01_t22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDSSDSHDRRSRRRHRHRESRRFGGAAAANRGSPNGFGTSLSRGANSRVSPVERRGRRGSESESSDSDSREKVRRSTTERRHRHLRDEEGKSRNHISDRRRRDSDEEDDQGKKERRLSGSGSEDDGEARRKKRRRGSDEDAGKGKEKRRQRSDEEDSRRKRMRDDNELRDRDSHLHKDRRDKFEESPPRRREARSSGRKGGRRHEEWRRDGEEQSSDDEESPRRRERNNRNSDRRSDDRHRDYDSENHRDRTAEEGKGRDQEIKKVESQPPPAPASDSNIGRTGGIYIPPHRMAQMMREVQDKSSEAYQRLSWDALRKSINGLVNKVNATNIKNIIPELFMENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPLIGRLLVVRIVLQLKRAYKRNDKPQLLAATKFIAHLVNQLVAHEVIALELLTVLLENPTDDSVEVAVGFVKECGALLQDISPQGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEEQLTHEISLDEEIDPETHLDVFKPNLNFLDDEKAYEDLKKRILDDESSEDEEGSDVVSDDEEEEESEEDETEEMKIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPTMHDSFDSIFPKDNPKNTRFSINFFTSIGLGGITENLREHLKNMPRLIMQQQKPVTDSEDESGSSSSSSSDSDSGSESESESSSDEGERGRRHSRKHKRN >Ma08_p27380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39627914:39635246:-1 gene:Ma08_g27380 transcript:Ma08_t27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVEGCLHGEMDKVYDTIRHMEKVENIKIDLLICCGDFQAVRNENDLESLSCPPKYRSMNSFWKYYSGQEVAPVPTIFIGGNHEASNYLWELYYGGWAAPNVYFLGFSGVIKFGNIRIGGVSGIYKQGHYHLGHFERPPYNESDLRSVYHVREYDVMKLKDIKEPIDIFISHDWPVGIYEYGNSKRLVQRKPHFEEEIRKRTLGSLPAAELLNQLKPHYWFSGHLHCNFAAVVQHREDGSVTKFLALDKCLPGRKFLQIVDVNSDPGPYEIQYDEEWLAITRKFNSIFPLSRKTVHLRPEQLDKQDYREWVRNKLITRGARPFDFVQTVSPFDPSRPITRSSTSGHCRNPQTESLLQLLELPYLLDNVAEAGMPSQNPNFFNTKDAWNQLSDDGNSAEVGDVDELEELAEDEDGDA >Ma11_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15015302:15017973:-1 gene:Ma11_g11820 transcript:Ma11_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSATLPSCGDYVRALEEERKKIQVFQRELPLCLQIVTHAIDSARRQMNGHATMSEDGPILEEFIPLKPSSSSSEDRSVDGAAKKSGSAATRADEKPDWLRSVQLWNQEADAFGKVEPPTKPIAVNARRIGGAFHPFEREKHVAAPIPASSSTTSGKGGVGGSGVGGEEKEKEGQSQPHRKARRCWSPELHQRFLHALKQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRPSTAVHSSSSNTTNPPIPQFVVVSGIWVPPPDYTVAAPPADAPCTPAVGVFAPAASLPSDSRVRPQQSKQSDRSPTGPQLEKGGRSEESNSSGNAAAFNSLSPAASSSSQTTTASHRLHRS >Ma11_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25928732:25930602:-1 gene:Ma11_g21990 transcript:Ma11_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFKLSDMMPNAWFYKLKDMSHRANKNRSTPHPTGKGQPSRAATSATPKPFPPPQTKLLPYRASCYYSSRTEAERFSFSPTRTKALDTNFPVEPPRKSKKRSRKKPIRAPTKPKLVTSSVSAGCSCRAWKTESVPDFPEVESPLRQRDYYIDGEDLEFQKSNFDDDYGFQCNDLSSRSNSCSCRFISSPTDIIIDMDTKTSITPKPEKLDEFDSVSELRLPPIITKPATKEPEAVKFEDKDVEDKKQSMKPSPGFHRLRMRTNSPRLSSKKVQAHRSRRCTGSTTTSATAMQKRKGLSESFAVVKSSSNPHRDFKDSMLEMIVENNIRASKDLEELLACYLSLNSREYHDVIVKVFEQIWFDLTNIKL >Ma00_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13813376:13815563:1 gene:Ma00_g01970 transcript:Ma00_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTSFWVVLLLHQCQYTCSKVVAPPLRRLPIPSASQLKWQRREVIVFFHFGMNTFTDSEWGTGEESPALFDPAALDTGQWVDAAAGAGASLVILTAKHHDGFCLWPSRYTDHSVARSPWKGGRGDVVRELVDAASARGIDVGLYLSPWDRHEKSYGLEVEYNEYYLAQLQELLTRYGRISEIWFDGAKGEKAKKMNYYFKDWFETVKQLQSSINIFSDAGPDIRWVGDESGSAGSTSWSTVNRTVLRIGDASLESYLNTGDPRGTDWVPPECDVSIRPGWFWHKNETAKPVSQLMEIYYNSVGHNCVLLLNVPPNSTGLVSDDDMQRLRDFRAAINSVFSTDLAAGSAAKASTQRGGEGGGFAPDNVLDCDDRTYWAAEDGDRGRGHWIELALPRANASFNVVRIQEAIAMGQRIMKHEVFADRKLVAKGTTVGYKRLHRLPSPVKARRVKIRITKSRGPPLLSAVGLHYDPFNKSSSVGKHNIGTEA >Ma10_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23147453:23150602:-1 gene:Ma10_g08900 transcript:Ma10_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGVEDVADAVKVTIGLKCAGSSKYTFFNIFSDIAPVPYSLLFEAKLDSRAKPSNSCLSSELLDDRFVLHCHHWTAYLCYLSSMNTMNLMLLFLRLCFPGNG >Ma07_p23740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31271978:31273810:-1 gene:Ma07_g23740 transcript:Ma07_t23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALLRCLRGDCGESTPPHRPQTHQGVPHGVAALAHDLLDYEITAKVPEGLSRHVVSSRRAQAIWYKKLLEAWKEAKPTPKTPATAAILVVQTLRGNQKADLEGLLAYYNLPVPSTPEIVDAPPSSSSTWNDGVQFELRTLPIDPRDVVDGDGITAYVDTATPEESADVPMEVQQAAIQRTAARAARNYREADALKKSITAAGYGFKAGPNGDEILARKYRIRLRGIDAPESEMPYGKEAKAELLKLVEGKPLKIHVYGVDQYGRSVGDVYCGGIFVQERMLKGGFAWHYATYDQRPEFARWEKEARAGRRGLWTSSHPEKPWEWRKSRRNAGWREGKVPIEVF >Ma07_p26350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33185746:33185838:-1 gene:Ma07_g26350 transcript:Ma07_t26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRLTRLFCCYVDHLFSMDKFTAVSCHPL >Ma02_p20760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26596115:26611511:-1 gene:Ma02_g20760 transcript:Ma02_t20760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFDYSTSPDGSTYSNGQRGPYTTSSMERLGSFHEVIDVCIPSPLTSMPRSTSIPSQGEASNLCQSLISDLKVSLFDHKSPRPGELKREISSIFGISSAESLAKTISARHLPSSSVEDIKRMKINLHESSVKARNRVKAFSDAALKIEKHRHNLSRKCSQQNISSSERPMALTPGGNISKTTPQGLPTAASLEPGLLKSDERTKSALSNRRIRTTLMKDARDNSIGRSSGLVDRDKDVTKFANGNSALPEEKAQVLRCADGLAKSKMKKKRSIVKSDISTSIVLARPPNGDCEPKQRGIQQKLDGKPKMNNHTFSFRSSECEENCSSSPTSNAKIPPRGPRSTSGSLSKASRNFPQNFKNSDGWEPQCISKLNSVNGAINRKRSISEQSSSPPVAQWVGQRPQKLSRGARRSNLSPLTSNHLDTPSSDTVDDSISIEGSSGFTRRLSSNTVQVKSKGEKIPSELLSESEETAVAVNKRKEKIKKSEVEENVSQTLQKVVTLASTSKKRKLAAHRDLGFGVHRQGRVVRGLMPKGSGIRALMEKADNAASLSQARTLRVGSERIESKTGRPPIKKLSEQRGRSYPRHLMNDASLDLFGKPINDHEVLLAAASAALDTRGACPSTFWKTIEPIFRFLSLQDVTFLNEQIHLINESTSVGHVAENDDHILKGDLKYVPLQSTPINRDCYGIATNGFGINEYEKDLGFIWPEEQVEPFLEQLFDGIGKQRGISICQTLLSAIIEEEEIENINIGNFEVSFFNSYGSCFELEVETKHNGLDLQTSRTMESAERGVANGLKVNAVWRCYDQLAHQKLGGNGTFLEASTLCTQFQYNQMCINDRILLELSEIGLYPDPVPDLAQSEDDLSRGINNLEKKLHEQVLKKKNILRKLEKAVVEAKVSQKRELEYIAFDRLVAIAYEKYMACKGANVSGSKNVNELSKHAVLSFVRQTLTRCQKFEDTGISCFSGPAFRDIFSSASSHCSDSECTDFNGDGEPANHYTTVPQLQNNFIDCNSSLTPKKGQRVANDNKYSDVFDSVNHLSEDSPCKEEPWSNKIKKRELLLDSVVGSSAHCSFRTPSGNGNSLVNSTKGKRSERDREGKALNKDISTRNSSARIGRPALFNLKGERKNKTKPKQKMTQLSASINDPCKAAYLSGTVLTSTKPFEVVGGSTKKNDLALHSHSLRMQDKSNDCEVIDLSSLQLPELDVGDFGGNGQDIGSWLNIDDDGLQDHDFMGLEIPMDDLSEVNMMI >Ma02_p20760.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26596115:26611511:-1 gene:Ma02_g20760 transcript:Ma02_t20760.8 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFDYSTSPDGSTYSNGQRGPYTTSSMERLGSFHEVIDVCIPSPLTSMPRSTSIPSQGEASNLCQSLISDLKVSLFDHKSPRPGELKREISSIFGISSAESLAKTISARHLPSSSVEDIKRMKINLHESSVKARNRVKAFSDAALKIEKHRHNLSRKCSQQNISSSERPMALTPGGNISKTTPQGLPTAASLEPGLLKSDERTKSALSNRRIRTTLMDARDNSIGRSSGLVDRDKDVTKFANGNSALPEEKAQVLRCADGLAKSKMKKKRSIVKSDISTSIVLARPPNGDCEPKQRGIQQKLDGKPKMNNHTFSFRSSECEENCSSSPTSNAKIPPRGPRSTSGSLSKASRNFPQNFKNSDGWEPQCISKLNSVNGAINRKRSISEQSSSPPVAQWVGQRPQKLSRGARRSNLSPLTSNHLDTPSSDTVDDSISIEGSSGFTRRLSSNTVQVKSKGEKIPSELLSESEETAVAVNKRKEKIKKSEVEENVSQTLQKVVTLASTSKKRKLAAHRDLGFGVHRQGRVVRGLMPKGSGIRALMEKADNAASLSQARTLRVGSERIESKTGRPPIKKLSEQRGRSYPRHLMNDASLDLFGKPINDHEVLLAAASAALDTRGACPSTFWKTIEPIFRFLSLQDVTFLNEQIHLINESTSVGHVAENDDHILKGDLKYVPLQSTPINRDCYGIATNGFGINEYEKDLGFIWPEEQVEPFLEQLFDGIGKQRGISICQTLLSAIIEEEEIENINIGNFEVSFFNSYGSCFELEVETKHNGLDLQTSRTMESAERGVANGLKVNAVWRCYDQLAHQKLGGNGTFLEASTLCTQFQYNQMCINDRILLELSEIGLYPDPVPDLAQSEDDLSRGINNLEKKLHEQVLKKKNILRKLEKAVVEAKVSQKRELEYIAFDRLVAIAYEKYMACKGANVSGSKNVNELSKHAVLSFVRQTLTRCQKFEDTGISCFSGPAFRDIFSSASSHCSDSECTDFNGDGEPANHYTTVPQLQNNFIDCNSSLTPKKGQRVANDNKYSDVFDSVNHLSEDSPCKEEPWSNKIKKRELLLDSVVGSSAHCSFRTPSGNGNSLVNSTKGKRSERDREGKALNKDISTRNSSARIGRPALFNLKGERKNKTKPKQKMTQLSASINDPCKAAYLSGTVLTSTKPFEVVGGSTKKNDLALHSHSLRMQDKSNDCEVIDLSSLQLPELDVGDFGGNGQDIGSWLNIDDDGLQDHDFMGLEIPMDDLSEVNMMI >Ma02_p20760.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26596115:26611511:-1 gene:Ma02_g20760 transcript:Ma02_t20760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGSFHEVIDVCIPSPLTSMPRSTSIPSQGEASNLCQSLISDLKVSLFDHKSPRPGELKREISSIFGISSAESLAKTISARHLPSSSVEDIKRMKINLHESSVKARNRVKAFSDAALKIEKHRHNLSRKCSQQNISSSERPMALTPGGNISKTTPQGLPTAASLEPGLLKSDERTKSALSNRRIRTTLMKDARDNSIGRSSGLVDRDKDVTKFANGNSALPEEKAQVLRCADGLAKSKMKKKRSIVKSDISTSIVLARPPNGDCEPKQRGIQQKLDGKPKMNNHTFSFRSSECEENCSSSPTSNAKIPPRGPRSTSGSLSKASRNFPQNFKNSDGWEPQCISKLNSVNGAINRKRSISEQSSSPPVAQWVGQRPQKLSRGARRSNLSPLTSNHLDTPSSDTVDDSISIEGSSGFTRRLSSNTVQVKSKGEKIPSELLSESEETAVAVNKRKEKIKKSEVEENVSQTLQKVVTLASTSKKRKLAAHRDLGFGVHRQGRVVRGLMPKGSGIRALMEKADNAASLSQARTLRVGSERIESKTGRPPIKKLSEQRGRSYPRHLMNDASLDLFGKPINDHEVLLAAASAALDTRGACPSTFWKTIEPIFRFLSLQDVTFLNEQIHLINESTSVGHVAENDDHILKGDLKYVPLQSTPINRDCYGIATNGFGINEYEKDLGFIWPEEQVEPFLEQLFDGIGKQRGISICQTLLSAIIEEEEIENINIGNFETSRTMESAERGVANGLKVNAVWRCYDQLAHQKLGGNGTFLEASTLCTQFQYNQMCINDRILLELSEIGLYPDPVPDLAQSEDDLSRGINNLEKKLHEQVLKKKNILRKLEKAVVEAKVSQKRELEYIAFDRLVAIAYEKYMACKGANVSGSKNVNELSKHAVLSFVRQTLTRCQKFEDTGISCFSGPAFRDIFSSASSHCSDSECTDFNGDGEPANHYTTVPQLQNNFIDCNSSLTPKKGQRVANDNKYSDVFDSVNHLSEDSPCKEEPWSNKIKKRELLLDSVVGSSAHCSFRTPSGNGNSLVNSTKGKRSERDREGKALNKDISTRNSSARIGRPALFNLKGERKNKTKPKQKMTQLSASINDPCKAAYLSGTVLTSTKPFEVVGGSTKKNDLALHSHSLRMQDKSNDCEVIDLSSLQLPELDVGDFGGNGQDIGSWLNIDDDGLQDHDFMGLEIPMDDLSEVNMMI >Ma02_p20760.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26596115:26611511:-1 gene:Ma02_g20760 transcript:Ma02_t20760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFDYSTSPDGSTYSNGQRGPYTTSSMERLGSFHEVIDVCIPSPLTSMPRSTSIPSQGEASNLCQSLISDLKVSLFDHKSPRPGELKREISSIFGISSAESLAKTISARHLPSSSVEDIKRMKINLHESSVKARNRVKAFSDAALKIEKHRHNLSRKCSQQNISSSERPMALTPGGNISKTTPQGLPTAASLEPGLLKSDERTKSALSNRRIRTTLMKDARDNSIGRSSGLVDRDKDVTKFANGNSALPEEKAQVLRCADGLAKSKMKKKRSIVKSDISTSIVLARPPNGDCEPKQRGIQQKLDGKPKMNNHTFSFRSSECEENCSSSPTSNAKIPPRGPRSTSGSLSKASRNFPQNFKNSDGWEPQCISKLNSVNGAINRKRSISEQSSSPPVAQWVGQRPQKLSRGARRSNLSPLTSNHLDTPSSDTVDDSISIEGSSGFTRRLSSNTVQVKSKGEKIPSELLSESEETAVAVNKRKEKIKKSEVEENVSQTLQKVVTLASTSKKRKLAAHRDLGFGVHRQGRVVRGLMPKGSGIRALMEKADNAASLSQARTLRVGSERIESKTGRPPIKKLSEQRGRSYPRHLMNDASLDLFGKPINDHEVLLAAASAALDTRGACPSTFWKTIEPIFRFLSLQDVTFLNEQIHLINESTSVGHVAENDDHILKGDLKYVPLQSTPINRDCYGIATNGFGINEYEKDLGFIWPEEQVEPFLEQLFDGIGKQRGISICQTLLSAIIEEEEIENINIGNFETSRTMESAERGVANGLKVNAVWRCYDQLAHQKLGGNGTFLEASTLCTQFQYNQMCINDRILLELSEIGLYPDPVPDLAQSEDDLSRGINNLEKKLHEQVLKKKNILRKLEKAVVEAKVSQKRELEYIAFDRLVAIAYEKYMACKGANVSGSKNVNELSKHAVLSFVRQTLTRCQKFEDTGISCFSGPAFRDIFSSASSHCSDSECTDFNGDGEPANHYTTVPQLQNNFIDCNSSLTPKKGQRVANDNKYSDVFDSVNHLSEDSPCKEEPWSNKIKKRELLLDSVVGSSAHCSFRTPSGNGNSLVNSTKGKRSERDREGKALNKDISTRNSSARIGRPALFNLKGERKNKTKPKQKMTQLSASINDPCKAAYLSGTVLTSTKPFEVVGGSTKKNDLALHSHSLRMQDKSNDCEVIDLSSLQLPELDVGDFGGNGQDIGSWLNIDDDGLQDHDFMGLEIPMDDLSEVNMMI >Ma02_p20760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26596115:26611511:-1 gene:Ma02_g20760 transcript:Ma02_t20760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGSFHEVIDVCIPSPLTSMPRSTSIPSQESLAKTISARHLPSSSVEDIKRMKINLHESSVKARNRVKAFSDAALKIEKHRHNLSRKCSQQNISSSERPMALTPGGNISKTTPQGLPTAASLEPGLLKSDERTKSALSNRRIRTTLMKDARDNSIGRSSGLVDRDKDVTKFANGNSALPEEKAQVLRCADGLAKSKMKKKRSIVKSDISTSIVLARPPNGDCEPKQRGIQQKLDGKPKMNNHTFSFRSSECEENCSSSPTSNAKIPPRGPRSTSGSLSKASRNFPQNFKNSDGWEPQCISKLNSVNGAINRKRSISEQSSSPPVAQWVGQRPQKLSRGARRSNLSPLTSNHLDTPSSDTVDDSISIEGSSGFTRRLSSNTVQVKSKGEKIPSELLSESEETAVAVNKRKEKIKKSEVEENVSQTLQKVVTLASTSKKRKLAAHRDLGFGVHRQGRVVRGLMPKGSGIRALMEKADNAASLSQARTLRVGSERIESKTGRPPIKKLSEQRGRSYPRHLMNDASLDLFGKPINDHEVLLAAASAALDTRGACPSTFWKTIEPIFRFLSLQDVTFLNEQIHLINESTSVGHVAENDDHILKGDLKYVPLQSTPINRDCYGIATNGFGINEYEKDLGFIWPEEQVEPFLEQLFDGIGKQRGISICQTLLSAIIEEEEIENINIGNFETSRTMESAERGVANGLKVNAVWRCYDQLAHQKLGGNGTFLEASTLCTQFQYNQMCINDRILLELSEIGLYPDPVPDLAQSEDDLSRGINNLEKKLHEQVLKKKNILRKLEKAVVEAKVSQKRELEYIAFDRLVAIAYEKYMACKGANVSGSKNVNELSKHAVLSFVRQTLTRCQKFEDTGISCFSGPAFRDIFSSASSHCSDSECTDFNGDGEPANHYTTVPQLQNNFIDCNSSLTPKKGQRVANDNKYSDVFDSVNHLSEDSPCKEEPWSNKIKKRELLLDSVVGSSAHCSFRTPSGNGNSLVNSTKGKRSERDREGKALNKDISTRNSSARIGRPALFNLKGERKNKTKPKQKMTQLSASINDPCKAAYLSGTVLTSTKPFEVVGGSTKKNDLALHSHSLRMQDKSNDCEVIDLSSLQLPELDVGDFGGNGQDIGSWLNIDDDGLQDHDFMGLEIPMDDLSEVNMMI >Ma02_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26596115:26611511:-1 gene:Ma02_g20760 transcript:Ma02_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFDYSTSPDGSTYSNGQRGPYTTSSMERLGSFHEVIDVCIPSPLTSMPRSTSIPSQGEASNLCQSLISDLKVSLFDHKSPRPGELKREISSIFGISSAESLAKTISARHLPSSSVEDIKRMKINLHESSVKARNRVKAFSDAALKIEKHRHNLSRKCSQQNISSSERPMALTPGGNISKTTPQGLPTAASLEPGLLKSDERTKSALSNRRIRTTLMKDARDNSIGRSSGLVDRDKDVTKFANGNSALPEEKAQVLRCADGLAKSKMKKKRSIVKSDISTSIVLARPPNGDCEPKQRGIQQKLDGKPKMNNHTFRSSECEENCSSSPTSNAKIPPRGPRSTSGSLSKASRNFPQNFKNSDGWEPQCISKLNSVNGAINRKRSISEQSSSPPVAQWVGQRPQKLSRGARRSNLSPLTSNHLDTPSSDTVDDSISIEGSSGFTRRLSSNTVQVKSKGEKIPSELLSESEETAVAVNKRKEKIKKSEVEENVSQTLQKVVTLASTSKKRKLAAHRDLGFGVHRQGRVVRGLMPKGSGIRALMEKADNAASLSQARTLRVGSERIESKTGRPPIKKLSEQRGRSYPRHLMNDASLDLFGKPINDHEVLLAAASAALDTRGACPSTFWKTIEPIFRFLSLQDVTFLNEQIHLINESTSVGHVAENDDHILKGDLKYVPLQSTPINRDCYGIATNGFGINEYEKDLGFIWPEEQVEPFLEQLFDGIGKQRGISICQTLLSAIIEEEEIENINIGNFEVSFFNSYGSCFELEVETKHNGLDLQTSRTMESAERGVANGLKVNAVWRCYDQLAHQKLGGNGTFLEASTLCTQFQYNQMCINDRILLELSEIGLYPDPVPDLAQSEDDLSRGINNLEKKLHEQVLKKKNILRKLEKAVVEAKVSQKRELEYIAFDRLVAIAYEKYMACKGANVSGSKNVNELSKHAVLSFVRQTLTRCQKFEDTGISCFSGPAFRDIFSSASSHCSDSECTDFNGDGEPANHYTTVPQLQNNFIDCNSSLTPKKGQRVANDNKYSDVFDSVNHLSEDSPCKEEPWSNKIKKRELLLDSVVGSSAHCSFRTPSGNGNSLVNSTKGKRSERDREGKALNKDISTRNSSARIGRPALFNLKGERKNKTKPKQKMTQLSASINDPCKAAYLSGTVLTSTKPFEVVGGSTKKNDLALHSHSLRMQDKSNDCEVIDLSSLQLPELDVGDFGGNGQDIGSWLNIDDDGLQDHDFMGLEIPMDDLSEVNMMI >Ma02_p20760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26596115:26611511:-1 gene:Ma02_g20760 transcript:Ma02_t20760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGSFHEVIDVCIPSPLTSMPRSTSIPSQGEASNLCQSLISDLKVSLFDHKSPRPGELKREISSIFGISSAESLAKTISARHLPSSSVEDIKRMKINLHESSVKARNRVKAFSDAALKIEKHRHNLSRKCSQQNISSSERPMALTPGGNISKTTPQGLPTAASLEPGLLKSDERTKSALSNRRIRTTLMKDARDNSIGRSSGLVDRDKDVTKFANGNSALPEEKAQVLRCADGLAKSKMKKKRSIVKSDISTSIVLARPPNGDCEPKQRGIQQKLDGKPKMNNHTFSFRSSECEENCSSSPTSNAKIPPRGPRSTSGSLSKASRNFPQNFKNSDGWEPQCISKLNSVNGAINRKRSISEQSSSPPVAQWVGQRPQKLSRGARRSNLSPLTSNHLDTPSSDTVDDSISIEGSSGFTRRLSSNTVQVKSKGEKIPSELLSESEETAVAVNKRKEKIKKSEVEENVSQTLQKVVTLASTSKKRKLAAHRDLGFGVHRQGRVVRGLMPKGSGIRALMEKADNAASLSQARTLRVGSERIESKTGRPPIKKLSEQRGRSYPRHLMNDASLDLFGKPINDHEVLLAAASAALDTRGACPSTFWKTIEPIFRFLSLQDVTFLNEQIHLINESTSVGHVAENDDHILKGDLKYVPLQSTPINRDCYGIATNGFGINEYEKDLGFIWPEEQVEPFLEQLFDGIGKQRGISICQTLLSAIIEEEEIENINIGNFEVSFFNSYGSCFELEVETKHNGLDLQTSRTMESAERGVANGLKVNAVWRCYDQLAHQKLGGNGTFLEASTLCTQFQYNQMCINDRILLELSEIGLYPDPVPDLAQSEDDLSRGINNLEKKLHEQVLKKKNILRKLEKAVVEAKVSQKRELEYIAFDRLVAIAYEKYMACKGANVSGSKNVNELSKHAVLSFVRQTLTRCQKFEDTGISCFSGPAFRDIFSSASSHCSDSECTDFNGDGEPANHYTTVPQLQNNFIDCNSSLTPKKGQRVANDNKYSDVFDSVNHLSEDSPCKEEPWSNKIKKRELLLDSVVGSSAHCSFRTPSGNGNSLVNSTKGKRSERDREGKALNKDISTRNSSARIGRPALFNLKGERKNKTKPKQKMTQLSASINDPCKAAYLSGTVLTSTKPFEVVGGSTKKNDLALHSHSLRMQDKSNDCEVIDLSSLQLPELDVGDFGGNGQDIGSWLNIDDDGLQDHDFMGLEIPMDDLSEVNMMI >Ma02_p20760.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26596115:26611511:-1 gene:Ma02_g20760 transcript:Ma02_t20760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFDYSTSPDGSTYSNGQRGPYTTSSMERLGSFHEVIDVCIPSPLTSMPRSTSIPSQESLAKTISARHLPSSSVEDIKRMKINLHESSVKARNRVKAFSDAALKIEKHRHNLSRKCSQQNISSSERPMALTPGGNISKTTPQGLPTAASLEPGLLKSDERTKSALSNRRIRTTLMKDARDNSIGRSSGLVDRDKDVTKFANGNSALPEEKAQVLRCADGLAKSKMKKKRSIVKSDISTSIVLARPPNGDCEPKQRGIQQKLDGKPKMNNHTFSFRSSECEENCSSSPTSNAKIPPRGPRSTSGSLSKASRNFPQNFKNSDGWEPQCISKLNSVNGAINRKRSISEQSSSPPVAQWVGQRPQKLSRGARRSNLSPLTSNHLDTPSSDTVDDSISIEGSSGFTRRLSSNTVQVKSKGEKIPSELLSESEETAVAVNKRKEKIKKSEVEENVSQTLQKVVTLASTSKKRKLAAHRDLGFGVHRQGRVVRGLMPKGSGIRALMEKADNAASLSQARTLRVGSERIESKTGRPPIKKLSEQRGRSYPRHLMNDASLDLFGKPINDHEVLLAAASAALDTRGACPSTFWKTIEPIFRFLSLQDVTFLNEQIHLINESTSVGHVAENDDHILKGDLKYVPLQSTPINRDCYGIATNGFGINEYEKDLGFIWPEEQVEPFLEQLFDGIGKQRGISICQTLLSAIIEEEEIENINIGNFEVSFFNSYGSCFELEVETKHNGLDLQTSRTMESAERGVANGLKVNAVWRCYDQLAHQKLGGNGTFLEASTLCTQFQYNQMCINDRILLELSEIGLYPDPVPDLAQSEDDLSRGINNLEKKLHEQVLKKKNILRKLEKAVVEAKVSQKRELEYIAFDRLVAIAYEKYMACKGANVSGSKNVNELSKHAVLSFVRQTLTRCQKFEDTGISCFSGPAFRDIFSSASSHCSDSECTDFNGDGEPANHYTTVPQLQNNFIDCNSSLTPKKGQRVANDNKYSDVFDSVNHLSEDSPCKEEPWSNKIKKRELLLDSVVGSSAHCSFRTPSGNGNSLVNSTKGKRSERDREGKALNKDISTRNSSARIGRPALFNLKGERKNKTKPKQKMTQLSASINDPCKAAYLSGTVLTSTKPFEVVGGSTKKNDLALHSHSLRMQDKSNDCEVIDLSSLQLPELDVGDFGGNGQDIGSWLNIDDDGLQDHDFMGLEIPMDDLSEVNMMI >Ma07_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6092074:6093357:-1 gene:Ma07_g08170 transcript:Ma07_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKEATCIPSFSTKLLHLLHSDAIVPSEEELRWVGSDAAACYVTRPWTSSYNISLWNPAAASPLVGSPWTKQLRADPHVTGLVGSLVRREGHVYSLAAAGGLLYTGSETRNIRVWKGRQELSSFRSSSGFVKAIVVAGDRIFTSHQDGKIRVWRSSSKDPSVHKRVMTLPTLGDFLRSSMNPSRYVEQRRHHSSLWLRHLDTISCLSLDEDAGVLYSGSWDKTVKVWRISDCKCLESFNAHDDAVNAVATGFDGLVFTGSADGTVKAWRREVAAGVKDGIGGATRHVSAQTLLQQEGAVTALAVVAAVGTVYCGSSDGVVLYWQREGGGGPLARGGALRGHGMAVLCLAAAGTILASGSADRTIRVWRREEGGGAHVVVATLVGHAAPIKCVAVEADAEEDRGDRRRYVVYSGSLDNSVKIWRVG >Ma07_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11567641:11572645:1 gene:Ma07_g15420 transcript:Ma07_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGPISSAPRTVEEIFKDYSSRRAGIVRALTHDVDEFYAQCDPEKENLCLYGHPNDSWEVNLPAEEVPPELPEPALGINFARDGMNRRDWLSLIAVHSDSWLLSVAFYLGARLNRNERKRLFSMINELPTVFEVVSDRRQSKEKSSMDSASKSKLATKRSSDGQIKSNSRTADEGYGEEDDEHSETLCGTCGGSYSADEFWIACDVCERWFHGKCVKITPAKAESIKQYKCPSCSSKKGRQ >Ma03_p15960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17540380:17541505:-1 gene:Ma03_g15960 transcript:Ma03_t15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLLFCVVTAATCLLLACPVHTNQIEYCDKKASYQVTVKGVEINPNPIERGQPATFKISAITGGDINKGKLVIDVKYFGMHIHQETLDLCKETSCPVSTGDFRLSHQQTLPSFTPPGSYTLIMKILGEEDKQLTCFNFDFSIGFTEPDWILVY >Ma03_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17540380:17541505:-1 gene:Ma03_g15960 transcript:Ma03_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLLFCVVTAATCLLLACPVHTNQIEYCDKKASYQVTVKGVEINPNPIERGQPATFKISAITGGDINKGKLVIDVKYFGMHIHQETLDLCKETSCPVSTGDFRLSHQQTLPSFTPPKDQPTQHVSFFLSSSTSQGSYTLIMKILGEEDKQLTCFNFDFSIGFTEPDWILVY >Ma03_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25621705:25623088:-1 gene:Ma03_g20450 transcript:Ma03_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENPAGDRPDFFDGLPDDLVVSILCKLSASAASPSDLVSVLITCKRLHGLGTNPLVLSKASAKSLAIRAKNWSESTDRFFKRCADAGNLEARYILGMIRFYCLQDRASGASLMAQAAMGSHAAALYSLAVIQFNGSGGSKGDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRRNVAEGRRFLVQANARELAAVLSASSAPPSAWHHHHRHLLASGCSLLSDFGCSLPAPEAHPANRFMVQWFASNGTGGGSGDEGLRLCSHRGCGRPETRRQEFRRCSVCGAVNYCSRACQALHWKLAHKAECAPTERWLDAGGAGEAVVMD >Ma11_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11033522:11040148:1 gene:Ma11_g10950 transcript:Ma11_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGSGSAPIKNLCLLYRTELVHHFSGWRLSSASRSLSTQAATTGNTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPLLKGAMKRGDWYRTKDLVIKGSDWIVNEIKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMKATAAYIYIRGEYVNERLTLEKARKEAYQAGLLGRNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLRMIMEKLKVGNAMLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIKERAQKELLEAAAA >Ma05_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5029400:5033893:1 gene:Ma05_g06860 transcript:Ma05_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FIZZY-RELATED 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) UniProtKB/Swiss-Prot;Acc:Q8LPL5] MESRKSRLNLPAGMESSLRLDSIPAAHFPAIPKTPSPSKTTYGDRFIPCRSSSRLQNFALAEKPSPAKEGGNDAYSRLLRAELFGHDPAPYSPGAQGSPISPNKNLFRFKTDHSGPSSPFSVTTVAAHDMAVEVSTPPKVPRKIPKTPHKVLDAPSLQDDFYLNLVDWSSQNALAVGLGTCVYLWSATTSKVTKLCDLGNRDGVSAIQWSREGSYIAIGTSLGDVQIWDGTKCKKIRSMGGHLTRTGVLAWNSCILSSGSRDKNILHHDLRVPGDFVSKLVGHRSEVCGLKWSHDDRELASGGNDNQLLIWNQRSQSPLLKLTEHTAAVKAITWSPHQHSLVASGGGTADRCIRFWNTCNGNMLNCVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSLSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSMKTPAPVRDTGVWSLGRTHIR >Ma04_p03630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2801188:2814581:1 gene:Ma04_g03630 transcript:Ma04_t03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGILLSSGSRYDEMDGNRQMEVHYINTGFPYTVTESFMDLFEGLTYAQADTALAEVLHDQGNTYWSMMYANPYKYEFSGSSTNSYYDFGHTYEINDHTQRLDEGRRAWHNPTVLNNIDLPQQAQHGNEVHHASRNPGAEERTRVHRNSSGSQVIWHDNIDPDNMTYEELLELGEAIGTHSRGLTQERIASLPVKKYKCSLFSKKKTRHERCVICQMKYRRGDRQMILPCKHSYHSVCVTRWLNINKACPICFVEVPAEELKP >Ma04_p03630.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2801188:2814581:1 gene:Ma04_g03630 transcript:Ma04_t03630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGILLSSGSRYDEMDGNRQMEVHYINTGFPYTVTESFMDLFEGLTYAQADTALAEVLHDQGNTYWSMMYANPYKYEFSGSSTNSYYDFGHTYEINDHTQRLDEGRRAWHNPTVLNNIDLPQQAQHGNEVHHASRNPGAEERTRVHRNSSGSQVIWHDNIDPDNMTYEELLELGEAIGTHSRGLTQERIASLPVKKYKCSLFSKKKTRHERCVICQMKYRRGDRQMILPCKHSYHSVCVTRWLNINKACPICFVEVPAEELKP >Ma04_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2801878:2814581:1 gene:Ma04_g03630 transcript:Ma04_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRQMEVHYINTGFPYTVTESFMDLFEGLTYAQADTALAEVLHDQGNTYWSMMYANPYKYEFSGSSTNSYYDFGHTYEINDHTQRLDEGRRAWHNPTVLNNIDLPQQAQHGNEVHHASRNPGAEERTRVHRNSSGSQVIWHDNIDPDNMTYEELLELGEAIGTHSRGLTQERIASLPVKKYKCSLFSKKKTRHERCVICQMKYRRGDRQMILPCKHSYHSVCVTRWLNINKACPICFVEVPAEELKP >Ma04_p03630.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2801188:2814581:1 gene:Ma04_g03630 transcript:Ma04_t03630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRQMEVHYINTGFPYTVTESFMDLFEGLTYAQADTALAEVLHDQGNTYWSMMYANPYKYEFSGSSTNSYYDFGHTYEINDHTQRLDEGRRAWHNPTVLNNIDLPQQAQHGNEVHHASRNPGAEERTRVHRNSSGSQVIWHDNIDPDNMTYEELLELGEAIGTHSRGLTQERIASLPVKKYKCSLFSKKKTRHERCVICQMKYRRGDRQMILPCKHSYHSVCVTRWLNINKACPICFVEVPAEELKP >Ma04_p03630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2801188:2814581:1 gene:Ma04_g03630 transcript:Ma04_t03630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGILLSSGYDEMDGNRQMEVHYINTGFPYTVTESFMDLFEGLTYAQADTALAEVLHDQGNTYWSMMYANPYKYEFSGSSTNSYYDFGHTYEINDHTQRLDEGRRAWHNPTVLNNIDLPQQAQHGNEVHHASRNPGAEERTRVHRNSSGSQVIWHDNIDPDNMTYEELLELGEAIGTHSRGLTQERIASLPVKKYKCSLFSKKKTRHERCVICQMKYRRGDRQMILPCKHSYHSVCVTRWLNINKACPICFVEVPAEELKP >Ma04_p03630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2801188:2814581:1 gene:Ma04_g03630 transcript:Ma04_t03630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRQMEVHYINTGFPYTVTESFMDLFEGLTYAQADTALAEVLHDQGNTYWSMMYANPYKYEFSGSSTNSYYDFGHTYEINDHTQRLDEGRRAWHNPTVLNNIDLPQQAQHGNEVHHASRNPGAEERTRVHRNSSGSQVIWHDNIDPDNMTYEELLELGEAIGTHSRGLTQERIASLPVKKYKCSLFSKKKTRHERCVICQMKYRRGDRQMILPCKHSYHSVCVTRWLNINKACPICFVEVPAEELKP >Ma11_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1095780:1096626:1 gene:Ma11_g01550 transcript:Ma11_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQNRCSNPNGVHFCHHPTHPTLGRWYILQNVPKKVTLQLLFIRSGIQRQILAEEFPDIGSRFL >Ma01_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6851046:6852775:1 gene:Ma01_g09520 transcript:Ma01_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTEPLIKREWPSPTESPPRLKPEWPKHVSAALVEAKSILSLASPMALTGLLLYSRSLVSMLFLGRLGRLPLAGGALAIGFANITGYSVLSGLAMGMEPICGQAFGARRPALLGPVLHRAVLLLLAASLPIAALWASMRSLLLLSGQDDDIAAVAQAYVLASLPDLLLQSFLHPIRIYLRSQFITLPFTYCAAAAALLHLPVNYVLVSVLRLGIRGVALASVCTNLNLLFLLLVYICSSGVHQHTGALNFTAECLGNWRSLLNLAIPSCIGVCLEWWWYEIMVLLCGLLLDPKSTVASMGILIQTTSLIYIFPSSLSFGVSTRVGNELGANRPDRARRAATVGVACGAALGVLAFAFAVAVRNAWARMFTADASILALTAAVLPIVGMCELGNCPQTAGCGVLRGSARPRTGANINMWSFYGVGMPVAAGLAFWGRLDFPGLWLGMLAAQGTCVALMLVVVRRTDWNLQAERAQRLTGGPVETDTAVVVVVVTNEFDEKQAADGDNAEMCDSYDSLKIDQSTASS >Ma10_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27437890:27439438:1 gene:Ma10_g15250 transcript:Ma10_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAVSKASQPLNPCPDPNLPPVVKKKRNLPGTPDPEAEVIALSPGTLLATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRSGKEPRKRVYVCPEESCIHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGVLFSRRDSFITHRAFCDALAEETARVSAAYTMTNTTSIGSVDSLLQDGAMTPNIWKHLSPNLKPNIMDREVGQQDRHGCSSWMGHGGQLDNAFDGTTAMSEINQAGLVDSQLSWIHFNKLSSLPTSNTLKPLTGSVPSLFTSLPHRPAPDISATALLQKAAQVGAISTTPFSGSFGLPECQNTSAGDGSVFDGLLIHEFAASNTDEVNYITRSRSMLRDCLGGETRDFLGVGVQTLCQPSANGWI >Ma06_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:402180:403652:1 gene:Ma06_g00510 transcript:Ma06_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVESSVKHRRKGHAGWQKWVKCFGKLLKRKERSLFMPIRVLLTGKLQGPDMGGSILLI >Ma06_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2644486:2644759:1 gene:Ma06_g03650 transcript:Ma06_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPSVESGNAPCPLVMVQVILFKCGGMC >Ma08_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9181038:9182384:-1 gene:Ma08_g12160 transcript:Ma08_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTHGRNRLHINDQEEQGQACKHAPLVPYSSSSSSPSASSSHFRRNEGSSVIGDNLFEKEHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDASANEKGLLLSFEDRTGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGVGDSGRHSLYIDWKRRPENHDPARMPRIPLPGVSFARSVGPWAGHLLMPSGAAMATAYDHRLAGYGCSVPSTTAVKGQLLLFPSPMTGPPPTEVQPGGSGGLSMVLESVPAAHSQAIAKRVRLFGVNLVSPESQVHADGNRSQETSAVPLLQLQHSSVESSSPPWSSTSKEQHSSLDLDL >Ma05_p17700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21135543:21147774:1 gene:Ma05_g17700 transcript:Ma05_t17700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIRTGKRLRSAEAEDGGFPSRTRSGGRRPPPVQSSPQLDFPDKCRSKHLDANGNPVESTMCHQCQRNDKGRVVRCTKCRSKRYCIPCITRWYSQLTEEAISEACPVCRGNCNCKACLRMDGSLKDLLKFGVEFSDDEKLQYSAYLLQLLLPVAQKINQEQVLEKELEAKNQGLSLSDFKLQEACWNADERAYCNNCRTSIYDYHRSCPNCSYDLCLTCCRELREGHLQGGGVEEVEYADNGFDYLHGKYSCAHFPEGRKDLEGISEDKFRSISEWKTQSDGSIPCPPQSMGGCGSGILELRTLFPDGWVSDLVLKAEELVHTYRCMNITRVLEQGCSCFTSEGVVDHGNDSARKAASRDDMSDNYLYSPNALDIQNEDLKHFQCHWVKGEPIIVTNVLETTSGLSWEPMVMWRAFRQITNIKHGQHLDVIAIDCLDLSEVDVNIHQFFKGYSEGRFDSYGWPQILKLKDWPPSNSFEERLPRHGAEFVKLLPFKEYTHPFIKKEKHKKKKKPKEQKHNNKKTQKEHKHYRYGFLNLAVKLPKDGLKPDLGPKTYIAYGFIQELGRGDSITKLHCDMSDAVNILTHTEEVTLTAEQLKCVNELKKQHAAQDQVELYNNFQRDNDVTGMQQTAPSKKFKPDPDCIAFDNDEARLMLENHDHLNGSYNDQKTRMTDNTVADNLDVFMDQDEKSEPCCPTKEKSHCNLASECGTTVDKKTMLQYERKGRKPCGGKSRKGHINDQPDQTDPEEVAIRVDAIAGTNVRESPSGVPQNGKESLLNRVTPLNSSVPSLTSDFEGLEYAEGGALWDIFRRQDVPKLHEFLMKHFREFRHIHCSPLHQVTHPIHDQTFYLTLEHKRKLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENIKECVHLADEIRVLPTNHRGKEDKLEVKKMVIYAVQQVIKDLEELGSL >Ma05_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21135543:21146105:1 gene:Ma05_g17700 transcript:Ma05_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIRTGKRLRSAEAEDGGFPSRTRSGGRRPPPVQSSPQLDFPDKCRSKHLDANGNPVESTMCHQCQRNDKGRVVRCTKCRSKRYCIPCITRWYSQLTEEAISEACPVCRGNCNCKACLRMDGSLKDLLKFGVEFSDDEKLQYSAYLLQLLLPVAQKINQEQVLEKELEAKNQGLSLSDFKLQEACWNADERAYCNNCRTSIYDYHRSCPNCSYDLCLTCCRELREGHLQGGGVEEVEYADNGFDYLHGKYSCAHFPEGRKDLEGISEDKFRSISEWKTQSDGSIPCPPQSMGGCGSGILELRTLFPDGWVSDLVLKAEELVHTYRCMNITRVLEQGCSCFTSEGVVDHGNDSARKAASRDDMSDNYLYSPNALDIQNEDLKHFQCHWVKGEPIIVTNVLETTSGLSWEPMVMWRAFRQITNIKHGQHLDVIAIDCLDLSEVDVNIHQFFKGYSEGRFDSYGWPQILKLKDWPPSNSFEERLPRHGAEFVKLLPFKEYTHPFIKKEKHKKKKKPKEQKHNNKKTQKEHKHYRYGFLNLAVKLPKDGLKPDLGPKTYIAYGFIQELGRGDSITKLHCDMSDAVNILTHTEEVTLTAEQLKCVNELKKQHAAQDQVELYNNFQRDNDVTGMQQTAPSKKFKPDPDCIAFDNDEARLMLENHDHLNGSYNDQKTRMTDNTVADNLDVFMDQDEKSEPCCPTKEKSHCNLASECGTTVDKKTMLQYERKGRKPCGGKSRKGHINDQPDQTDPEEVAIRVDAIAGTNVRESPSGVPQNGKESLLNRVTPLNSSVPSLTSDFEGLEYAEGGALWDIFRRQDVPKLHEFLMKHFREFRHIHCSPLHQCRCGLFPEG >Ma01_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27719858:27721872:1 gene:Ma01_g23350 transcript:Ma01_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMVDRTLETPLPAEFPREFTSSPLDILLCGTTPLRAARSAAALSIGSSVYHRQAELLRRFSTHYHHCGASKDAVASAAETGEKRKVYRGVRQRQWGKWVAEIRLPQNRTRIWLGTYYSPESAAYAYDRAAYKLRGEYARLNFPALQDAGDCPERLRPLQSAVDSKIQAIYQRLGRRRGAMRDAAVGKKEKSAEEKNRRKKKKNRVTSPTTSSSCAPASSESLAGEMDGECSFSLLPSYDPELIWEVLAN >Ma06_p30750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31981790:31982866:1 gene:Ma06_g30750 transcript:Ma06_t30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAVAPPLSRTKRFVIAAVSAINDAACRSDGTVNRCLVSFLDARVAASAEPFRGVRTADVPVDLSRDLWFRLFVPSSVSDGERLPVIVFFHGGGFAFLSPDSYLFDDVCRRICRTVHALVVSVNYRLAPEHRCPAQYEDGVHVLRFLDGGGLLYADPSAADLADLSSCFLVGDSAGGNIVHHVARRWAADADGGWKRLRLAGMVLIQPYFGGEERTEAELRLVGAPLVTVERTDWLWRAFLPEGADRDHEASNVFGPRAMGELEEALPAAMVVVGGFDPLQDWQRRYYKGLRARGKSARLVEYPEAFHSFYSFPDLKQSTVLMEEIKSFVDSHRPRKEEDRERSGGGDKHSNIEEW >Ma01_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2581797:2585904:-1 gene:Ma01_g03910 transcript:Ma01_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFAPRLFLRRLRMRRRAQPISSLHEASAESAGVIGDRIFSPSGTWSPPDFQCVFRVFFSARPSSLDEGKGPAAIDYPSLLPEEEFHRLADETIHDLLEKFEEYGDAIQIDDYEVDYGNHVLTLKLGSLGTYVINKQTPNRQIWLSSPVSGPSRFDWDAMSKTWVYRRTMANLFQLLEEEVGQLCGQPISLR >Ma03_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7851476:7859183:-1 gene:Ma03_g10450 transcript:Ma03_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MRNLPLFWARSQTLILWLIPGLRRFSSSASGGGGGGGADVAVKKVTRSNFEPALEDLRALVREADFVAVDLEMTGVTSAPWRDSFEFDRSDVRYLKLKDSAEKFAVVQFGVCPFRWEPSRGSFVAYPHNFYVFPRKELPVHGPSYEFLCQTTSIDFLAKYQFDFNACIREGISYLSRAQEAEALKYLSSKYLDGLANSFCNLEEHVDIPLVRTADLFFSERMKIKFQEWRDAILKGSDKGCVNKENADGNEMQFQTVFFKMRPAVFLNGFSSHQLNLIQLVVRKHFKDLVYVCVVDEDNSWQRRVVYVETEEDKASFMKEVQQDVMRVEKRVESAVGFRHVVDLLASDGKLIVGHNCLLDLAHIYSKFFGPLPSSMMEFILAVHEKFPYIIDTKHLLNSSQVIQFLMKRSSKSLSSAFSLLCPKVSSVSQNSTSSTYVRFEIQADETGSSCFNSGAKHEAGYDAFMTGCVFAQACSHLGIKFEVHTPLYDLARNGKIKNYINVLYPSWNSGTVLHLNTGTESPESGYKRKYPAVVFANIVLIWGFPSKFKPKDLKDCVCRVFGPDSITSIFFIDRTAALIQFSKEEFVNEILVLKDTLERVNDPISMLHPLSKLLEGGNTRAANYDTYRDICAASASKVLFADQADSLGIHWKTKLGTGSQETWEASCNETTTEVTSLVEHSSGVSADSIRQFKHQISFVDILDSLHVKGMTST >Ma03_p28800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31879932:31885727:-1 gene:Ma03_g28800 transcript:Ma03_t28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACMSLEEQIEIGKATASLYPDENNDTSQNNVISALAPPKDVDDLRLISGYGNVNIFTYSELRAATKNFRPDQVLGEGGFGVVYKGVIDESVRPGSETIQVAVKELKSDGLQGDKEWLAEVNYLGQLSHPNLVKLIGYCCEGDHRLLVYEYMASGSLDKHLFRRVCLTMPWSTRMKIALGAAKGLAFLHAAERSIIYRDFKTSNILLDEDYDAKLSDFGLAKEGPTGDQTHVSTRVVGTYGYAAPEYIMTGHLTARSDVYGFGVVLLEMLLGRRAMDKSRPSRHQNLVEWARPLLINGRKLLKILDPRMEGQYSNRVATDVASLAYRCLSQNPKGRPTMNQVVESLESLQDLPENWEGILFQSSEAAVTLYEAPKEIASDHLEKNSSENGENGSNVHAKGRKKLGNGRSNSEPPPVEFSQYSPSPESERHEPSRRSIDHDRIPRPPAY >Ma03_p28920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31965726:31967582:-1 gene:Ma03_g28920 transcript:Ma03_t28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQLASVSPGEKLGLYLAASQHALSSVLVKENSNQQLPIYYVSHVLSGPEEHYPPIEKLALALVLSAWKLRPYFQAHPVEVITNQPLRQLGEHDIRYSPRTAVKAQVVADFIAELTHLEDADLKQSPEAWTLHVDSSANSRGTGAGLVLAPDGRSFERSLRFGFKATNNEAEYEALLAGLRLALEMQVVAIRVLTELQLVAEQLNGGYEARDATMAKYLARPTSEAWPEVEELPARAVEIAITAPGGAPTTWVQELLRFKRDGTLPLDEVAARRLRRTHSWYTVECGRLYKRSFTYPLLRCLEPDEAQMVLTETHEGVCGEHIGGRTLAHKILRQGYYWPTMCRDAKAYVQRCSSCQQHARAPRQLAVPLSPIDCAWPFAQWGLDLLGPFPPAYGQRKYIIVGVDYFTKWVEAEPLATITEHQMEKFVWKNLVTRFGLPKAIITDNGPQFAGRRFREFCADHGIQLRFSSVAHPQTNGLAEVTNRSILDGLKRRVSAAQSTWTDELPSVLWSLRTTPNTATGESPYSLAFGTEAILPPEVAITTLRTRSYDEKASEKDFEQPSIC >Ma04_p38300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35890810:35894364:-1 gene:Ma04_g38300 transcript:Ma04_t38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYVPDWAMDDDSGCLTDLLPMTNQKKPMGPDNELIELLWRNGHVVMHSQSHRRPPANVDELKQAQKPDQVQKHEQQPLGGSGNLMQDADTGSWFQYPLDDSFEKEFCSEFFPEITGGADAAADSDKISKDLVAEEDRLVRFGSSNALAASAPKDNNTMPPPKSHLMGTTTPQSSCLENAGALNFSHFSKQAKADLGSSRCPQGHKGGGSSSTKAGAQESSMMTVGSSTCGSNQIHAHTDPSNNLSHDAADIVTGLEEDTRMRVLSEGMQSKANECTLTSTSGGSGSSYGRTGQQNASDRSHKRKARDDVDDSGCQSEEVEYESIEEKKPAQRPISKRRSRAAEVHNLSERRRRDRINEKMKALQDLIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGMASMMFPGVQQYISSMGMGMGMGMGHASVPAIHGAVQLPRVPFVNQSVATASGTNQTSFFPSPAMNAVNFPNQMQNIHLPESYARYLGMPIMPSHQATNFCTYGSQPVQQNQSAGAPGGSLRPGAGGPNCASTENNRSG >Ma04_p38300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35890810:35894364:-1 gene:Ma04_g38300 transcript:Ma04_t38300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYVPDWAMDDDSGCLTDLLPMTNQKKPMGPDNELIELLWRNGHVVMHSQSHRRPPANVDELKQAQKPDQVQKHEQQPLGGSGNLMQDADTGSWFQYPLDDSFEKEFCSEFFPEITGGADAAADSDKISKDLVAEEDRLVRFGSSNALAASAPKDNNTMPPPKSHLMGTTTPQSSCLENAGALNFSHFSKQAKADLGSSRCPQGHKGGGSSSTKAGAQESSMMTVGSSTCGSNQIHAHTDPSNNLSHDAADIVTGLEEDTRMRVLSEGMQSKANECTLTSTSGGSGSSYGRTGQQNASDRSHKRKARDDVDDSGCQSEEVEYESIEEKKPAQRPISKRRSRAAEVHNLSERRRRDRINEKMKALQDLIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGMASMMFPGVQQYISSMGMGMGMGMGHASVPAIHGAVQLPRVPFVNQSVATASGTNQTSFFPSPAMNAVNFPNQMQNIHLPESYARYLGMPIMPSHQATNFCTYGSQPVQQNQSAGAPGGSLRPGAGGPNCASTENNRSG >Ma04_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26274307:26279157:1 gene:Ma04_g24220 transcript:Ma04_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQAADSGASPAAAPVQKERESIFGQVDPFLIEALENPRHRLTVLRMELDIQRFMQNPDQQQFEFQHLPTSYLRCAAHRVAQHFGLQTMALEDAVDGLGNRVVAKKTPDSKFPYVCLSELPAKVPENEIIEQVKIAIRPRSKIASPGDADHLGAKRSATRTVEERKEEYDKARARIFSGSSSPEVGGPSPPISVDGRSLFLSRDVEYQKTVEEIEKTNSKDGASRMAIFRDREKDRIDPDYDRSYERYVRGLPLSQNFSMPVYSIFQPAYLYYDGGISLFGHLPMDQNNLNYNMHDPTVNAYVVGCNQKINDVVYVQWPSPTMMNSNSMYQAPFYQQHGSFQHTQNC >Ma02_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25444569:25444754:-1 gene:Ma02_g19170 transcript:Ma02_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDTIDNLKAEIQNVEGIPTDQQRLIFSGKQLEDGRTLADYRIQKESTHFLVLRLRGGM >Ma00_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35544742:35547182:1 gene:Ma00_g04160 transcript:Ma00_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKWDYQAVRSYLMLNWRRKLAGLLLEFRKDSQKTLPPVVQKLDGKKNWMVCDPVPINNFCC >Ma10_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25138485:25138601:1 gene:Ma10_g11720 transcript:Ma10_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVINYKMYTVKDNTDLYLMVNFSSKCCLVFYAAIPEY >Ma07_p15680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11835009:11838851:-1 gene:Ma07_g15680 transcript:Ma07_t15680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSKFSGFIIGGGSGGNIVGNGFYDMGFYRKLDEGSNMSMDSVGSLQTSTCDGSVAMSLENSSVGSNNSRTGILHHNGLRLFPGANFSVGHSVLRPGRVSHAMNEDALAQALMDPRYSTESLENYDEWTIDLRKLNMGVAFAQGAFGKLYRGTYDGEDVAIKLLEKPENDPERAQLMEQQFGQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMRRQNRSVPLKLAVKQALDIAKGMEYVHGLGFIHRDLKSDNLLIFADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGMLPFPNMTAVQAAFAVVNKGVRPVIPQDCLPALNEIMTRCWDANPDVRPSFSEIITMLRSAQEDVMNTVRKARFRCCVQPMAID >Ma07_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11835009:11838851:-1 gene:Ma07_g15680 transcript:Ma07_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSKFSGFIIGGGSGGNIVGNGFYDMGFYRKLDEGSNMSMDSVGSLQTSTCDGSVAMSLENSSVGSNNSRTGILHHNGLRLFPGANFSVGHSVLRPGRVSHAMNEDALAQALMDPRYSTESLENYDEWTIDLRKLNMGVAFAQGAFGKLYRGTYDGEDVAIKLLEKPENDPERAQLMEQQFGQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMRRQNRSVPLKLAVKQALDIAKGMEYVHGLGFIHRDLKSDNLLIFADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGMLPFPNMTAVQAAFAVVNKGVRPVIPQDCLPALNEIMTRCWDANPDVRPSFSEIITMLRSAQEDVMNTVRKARFRCCVQPMAID >Ma06_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5725824:5726260:1 gene:Ma06_g08070 transcript:Ma06_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLPLSLLVLAMVAAFLLISPAQAQICGANLSKLVKECSKYVRIKGPQIKPSKTCCAEIKKVDVPCLCKNIPPGIEKKISLKKAVYVAERCGKPVPKGKCGKVAGL >Ma00_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:38444656:38445628:-1 gene:Ma00_g04450 transcript:Ma00_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNFSKLLLLLPLADRSEAAVTISYEAQARLQDPVYGCVAHIFALQQQVVNLQAQLASLKAQAAQGLGSGSATNQTPQEDKHSPFQQDGQRFFQTGDGRMLPPFSSISSMSVENMNNYSSGPSDPNYLQSSQEYDRHYEISDDHMSFGTEGGGFAMASPDMQASTWRSAYHDMEDLQSIALAYLGRP >Ma08_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36961675:36972296:-1 gene:Ma08_g23630 transcript:Ma08_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGKRRWWWKRRAAVVAGVTIAVSVWLGSHCYFRRENMAKAEEALVSMCEERARMIQDQFAVSVNHVHALAILISTFYYQKQPPALDQETFAYYTAKTAFERPLLNGVAYAERIIHSQREIFETRQGWTIMTMKREPSPMQDEYAPVIYSQETVSYIEAIDMMSGEEDRENILRARATGKAVLTKPFRLLESNHLGVVLTFPVYRSGLPEDATVEQRVEATAGYLGGAFDVESLVENLLRQLAGNQDITINVYDITNASEPLIMYGPQIPEGYMPLSHVSMLDFGDPFRKHQMHCRYREKPPLPLSAITTPFGIFVIIMLGGYIVFAAKNHYDNVKEDCRKMEELKVQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLNLTQKDYAQTAQVCGKALISLINEVLDRAKIEAGKLEIEAVPFDLRSILDDVISLFSSKSREKGIELAVFVSDKVPEVVTGDPGRFRQIITNLVGNSVKFTERGHIFVQVHLADNSDLGADEKVYSGLNGLSQSEKVQIMSDCTFDTLSGFEAADTRNNWENFKLLLSDEMILPEASTSDKEPDIVTLIVRVEDTGIGIPLCAQDRVFTPFMQADSSTSRNYGGTGIGLSITKCLVELMGGQINFISRPNVGSTFTFTAVFKRCNKDAIADTKRTLSEALPTGFRGMKAFIVDGKPVRNAVTRYHLKRLGIAVEVANTVKMMLNSLTRQNSNFRTGRQPYIILIEKDSWYTGMDVYLHNQLLLLKQNDCVLELPKIILLVTCEYDKMSTGSLVDTVINKPLRASTVAACLQQVLGMEKQQKKELSNGPTNLRNLLAGKNILVIDDNKVNLRVAASALKKYGARVECAESGKDALSLLQLPHKFDACFMDVQMPEMDGFEATRQIRLMESKENEQISIGGASRGEDSMIVEWHLPILAMTADVIQATYEECLKCGMDGYVSKPFEEQQLFQAVAKFLVSKPSSDS >Ma08_p23630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36961675:36970966:-1 gene:Ma08_g23630 transcript:Ma08_t23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKREPSPMQDEYAPVIYSQETVSYIEAIDMMSGEEDRENILRARATGKAVLTKPFRLLESNHLGVVLTFPVYRSGLPEDATVEQRVEATAGYLGGAFDVESLVENLLRQLAGNQDITINVYDITNASEPLIMYGPQIPEGYMPLSHVSMLDFGDPFRKHQMHCRYREKPPLPLSAITTPFGIFVIIMLGGYIVFAAKNHYDNVKEDCRKMEELKVQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLNLTQKDYAQTAQVCGKALISLINEVLDRAKIEAGKLEIEAVPFDLRSILDDVISLFSSKSREKGIELAVFVSDKVPEVVTGDPGRFRQIITNLVGNSVKFTERGHIFVQVHLADNSDLGADEKVYSGLNGLSQSEKVQIMSDCTFDTLSGFEAADTRNNWENFKLLLSDEMILPEASTSDKEPDIVTLIVRVEDTGIGIPLCAQDRVFTPFMQADSSTSRNYGGTGIGLSITKCLVELMGGQINFISRPNVGSTFTFTAVFKRCNKDAIADTKRTLSEALPTGFRGMKAFIVDGKPVRNAVTRYHLKRLGIAVEVANTVKMMLNSLTRQNSNFRTGRQPYIILIEKDSWYTGMDVYLHNQLLLLKQNDCVLELPKIILLVTCEYDKMSTGSLVDTVINKPLRASTVAACLQQVLGMEKQQKKELSNGPTNLRNLLAGKNILVIDDNKVNLRVAASALKKYGARVECAESGKDALSLLQLPHKFDACFMDVQMPEMDGFEATRQIRLMESKENEQISIGGASRGEDSMIVEWHLPILAMTADVIQATYEECLKCGMDGYVSKPFEEQQLFQAVAKFLVSKPSSDS >Ma08_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1276630:1277386:1 gene:Ma08_g01450 transcript:Ma08_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKPTQTLGRPSTSFRLRSESLNALRLRRVFDLFDHNGDGEITVEELALALDRLGLGASPDELRPTVAAYIQPGRAGLAFEEFEALHRDLGDSLFGASDAIGETHGEGEEDMREAFRVFDEDGDGFISASELQAVLVKLGLAEGRSIVRVQEMICSVDQDSDGRVDFGEFKHMMQGITVRGA >Ma01_p00210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:175981:183238:-1 gene:Ma01_g00210 transcript:Ma01_t00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLIQPRDVCVVGVARTPMGSFLGALSSLSATKLGSVAIECALKRAGIDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTINKVCASGMKATMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARKGSRFGHDTLVDGMLKDGLWDVYNNYAMGMCAELCADHHLITREEQDDYAIQSNERGITAKTSGAFAWEIAPVEVSAGRGKPSVIIDKDESLEKFDPVKLRKLRPSFKDNGGTVTAGNASSISDGAAALVLVSGKKALELGLQVIAKIRGYADAAHAPELFTTAPALAIPKAISNAGLEASQVDYYEINEAFSVVALANQKLLSLPSDKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQRNSKIGVAGVCNGGGGASALVLELL >Ma01_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:175981:183238:-1 gene:Ma01_g00210 transcript:Ma01_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLIQPRDVCVVGVARTPMGSFLGALSSLSATKLGSVAIECALKRAGIDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTINKVCASGMKATMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARKGSRFGHDTLVDGMLKDGLWDVYNNYAMGMCAELCADHHLITREEQDDYAIQSNERGITAKTSGAFAWEIAPVEVSAGRGKPSVIIDKDESLEKFDPVKLRKLRPSFKDNGGTVTAGNASSISDGAAALVLVSGKKALELGLQVIAKIRGYADAAHAPELFTTAPALAIPKAISNAGLEASQVDYYEINEAFSVVALANQKLLSLPSDKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQRNSKIGVAGVCNGGGGASALVLELL >Ma03_p20520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25683330:25686992:1 gene:Ma03_g20520 transcript:Ma03_t20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMGFAARDELLKRVADSVMLFCADARHVDLEQESFRRFSEYMGLLHALVRELTARRVERTPEWGTTTAALQQLEAEINKVRETLKTYGARSRLSRLLVCRDVLSKLQRSAHEICRAIESLSLGNLDSTLRLKSKAEEIVHGLESVEFKSAAATEAIVTEIANSAAEDGRSRDYTSRLLHQISDAIGATTSASLGREMALLKQEKEEMEARKQHAEALQLAQLIHLLSRTEMIPIPRGEGATPPTSDNFIGSFTCPISGELMQDPVAVVCGHSFERKAILEYFELGQRTCPTCGELLSSQELTRNISLQNSIQEWQKRSLTIKLQNAMSDLASSEPDTLNQALETLLAAMEVPGYIAEISQLNPVTKLVTMLKNNAVNSKSTLKCLCYLANHSAENKEAIAAAGAVRCIVKMFCRGETEPEALQVLLDLSENEKLADLIGNTKDCIPSLVSLAQNSVPAISEKALHVLSRLSSKTHFVIQMARAGHVRPFLTSFQQVNTEGRAQMATALTGMQLLENTARHLESEQFIGTLTKSLYSCVCKPACLGCIKRLTAFPGVVQKLVLDRDIIPALLGLVHSTTSEPQWKQDAVEILISLVGASQPQDYCNNPSLQELHSLHNIHVFLHAASASSPQTKCSCLRLLVLMATKSSDARDLMRCDQSMISRLFSTLSGDHRSGVRLQVLRLIHSIAEEHPGGVPLPPSPEKEGAVNTLINVFTSSPDMEERSAAAGIIGRLPSDDADIDEMLYRSEILKAIHEVICATESSSNHHHVTMNEPTPWQPTMEINCLQENVLASLLRCIEPKRTELQRQALKLDLSTSLIRVLSTASSLAKKQAIIALCHLSHSSDQTMTGSAIDLKDQKDGFFPVSQLQWIFRMKSWCGFSSELSQSLCSVHGSACSRHAFCLVKAGAVGPLVQIVDEAESVACEAALVALETLIREERTACSASMAIAESQGVAAILRVLQHNSSLPTKEKALDLLHSIVKHSEISVKQSLRSKEVLINLLKVEELRKKAALILSQMHDIPQMSSYF >Ma03_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25683330:25686992:1 gene:Ma03_g20520 transcript:Ma03_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMGFAARDELLKRVADSVMLFCADARHVDLEQESFRRFSEYMGLLHALVRELTARRVERTPEWGTTTAALQQLEAEINKVRETLKTYGARSRLSRLLVCRDVLSKLQRSAHEICRAIESLSLGNLDSTLRLKSKAEEIVHGLESVEFKSAAATEAIVTEIANSAAEDGRSRDYTSRLLHQISDAIGATTSASLGREMALLKQEKEEMEARKQHAEALQLAQLIHLLSRTEMIPIPRGEGATPPTSDNFIGSFTCPISGELMQDPVAVVCGHSFERKAILEYFELGQRTCPTCGELLSSQELTRNISLQNSIQEWQKRSLTIKLQNAMSDLASSEPDTLNQALETLLAAMEVPGYIAEISQLNPVTKLVTMLKNNAVNSKSTLKCLCYLANHSAENKARSKGNSFKSRHLLYFSFFLCGLTCDSLMQEAIAAAGAVRCIVKMFCRGETEPEALQVLLDLSENEKLADLIGNTKDCIPSLVSLAQNSVPAISEKALHVLSRLSSKTHFVIQMARAGHVRPFLTSFQQVNTEGRAQMATALTGMQLLENTARHLESEQFIGTLTKSLYSCVCKPACLGCIKRLTAFPGVVQKLVLDRDIIPALLGLVHSTTSEPQWKQDAVEILISLVGASQPQDYCNNPSLQELHSLHNIHVFLHAASASSPQTKCSCLRLLVLMATKSSDARDLMRCDQSMISRLFSTLSGDHRSGVRLQVLRLIHSIAEEHPGGVPLPPSPEKEGAVNTLINVFTSSPDMEERSAAAGIIGRLPSDDADIDEMLYRSEILKAIHEVICATESSSNHHHVTMNEPTPWQPTMEINCLQENVLASLLRCIEPKRTELQRQALKLDLSTSLIRVLSTASSLAKKQAIIALCHLSHSSDQTMTGSAIDLKDQKDGFFPVSQLQWIFRMKSWCGFSSELSQSLCSVHGSACSRHAFCLVKAGAVGPLVQIVDEAESVACEAALVALETLIREERTACSASMAIAESQGVAAILRVLQHNSSLPTKEKALDLLHSIVKHSEISVKQSLRSKEVLINLLKVEELRKKAALILSQMHDIPQMSSYF >Ma08_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36492765:36496299:1 gene:Ma08_g23050 transcript:Ma08_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCNQGNRASQGEEVILEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQGTSPKAKTAIESLKPKLKRTPHHQLPPRRPTCTSGVEDAD >Ma03_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4400646:4400786:-1 gene:Ma03_g06340 transcript:Ma03_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLRLNCAPRSDLMSESSERKGKRNPPTYTDPPNLSTDAFSFIHC >Ma08_p26480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39041645:39044309:-1 gene:Ma08_g26480 transcript:Ma08_t26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPNISLPAVLIMVLAGLAAGSTFISGDVFESRGSAGRSLLQEKSSCPISFENMNYTIITSKCKGPQYPAKLCCGAFKEFACPYADQLNDETNDCASTMFSYINLYGKYPPGLFASECREGKEGLACPANPPESENDTASAGIIIIQSFTSLILICGMVLTFLCC >Ma06_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12703679:12707406:1 gene:Ma06_g18580 transcript:Ma06_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEVEPRKGDTRQATQEKHGVANPPPPVAMVTFPDAARRRAQSFPPPLKLECEDSTEDMNPRNLWQVYALGGFMISKWIWARWRERNNRGTSDEDSST >Ma08_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8918826:8935158:1 gene:Ma08_g11900 transcript:Ma08_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MDELSESQPISGPNGRAAAPPAAEGVGLRIGQRVHAVGNPRRIGTVRYVGAVEGYAGEWVGVDWDDGEGKHDGSHGGIRYFTARGERSGSFVRPKNLSTGISFLEGLHRRYRGNSTKEEEDEMYVFSTSQKHVSIQLVGKNKIQEKLNHFKELLGASVSYLGVSSAETSNEINAIVPNLEELDLTGNLLSRWQDIGTLCEALPSLKILNLTNNLMDCEIPKLSSLVSIRVLVLNNCGITWNQVEKFKESLPAIEELHLMANNLSMIGPTSSSYAQGFDTLRILNLEENCIKSWDEILKLSYLRSLEQLHLNRNRLKHISYPLDHQRPELLSDCDMQDTVIRPFENLQCLLLGSNEIDDLASIDSLNIFPRLMDIRLSENPIVDPSKGGLPRFVLIARLAKIKMLNGSEVSQRERKESEIRYVRLVMAKMQSDDLEDLRHFHPRFAELKALHGIEYERPSSGIAGPQKMSSGLISVTLKCIGASMGEKQPLTKKLPPTTTVGKLKVLCESFFKLKDIRLRLYIQEEGLPLPLLLEDDMTAIVDLGVGTGTTVLVDEEG >Ma04_p26630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28020601:28023640:1 gene:Ma04_g26630 transcript:Ma04_t26630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVELLVTALISVLLAFIISKIAAAAAADDADLPEDKSEVVPLAVDVGPRLQEASYVGRGFDLDPIEEETEEWEERSGVALAENNRLELVVADAEEQRLGQEVSGFVLEKEIIEEESTKKKEDDEAEIRMIVDGLVKQEERFDKDEANVGGAVEKVVELGGEYAAEVEDVKVLNEEKGTSFLDGEDDWEGIERSELEKLFGEATEFVHIKKGGDAVLKLNNEAQMQLYGLQKIATEGPCNAQQPMALRVSARSKWHAWQRLGNMNPEVAMEQYVCLLTENIPGWMAERHNEETKGCDGNSPLAAEASGTGLHDSKAYVHHKSETERLFFHSRCHSQCRYWPLTFQTRFHTLYISTAKVY >Ma04_p26630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28020601:28023784:1 gene:Ma04_g26630 transcript:Ma04_t26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVELLVTALISVLLAFIISKIAAAAAADDADLPEDKSEVVPLAVDVGPRLQEASYVGRGFDLDPIEEETEEWEERSGVALAENNRLELVVADAEEQRLGQEVSGFVLEKEIIEEESTKKKEDDEAEIRMIVDGLVKQEERFDKDEANVGGAVEKVVELGGEYAAEVEDVKVLNEEKGTSFLDGEDDWEGIERSELEKLFGEATEFVHIKKGGDAVLKLNNEAQMQLYGLQKIATEGPCNAQQPMALRVSARSKWHAWQRLGNMNPEVAMEQYVCLLTENIPGWMAERHNEETKGCDGNSPLAAEASGTGLHDSKAYVHHKSETERLFFHSRCHSQCRYWPLTFQTRTSKNSPSQSPLAQSDILKLYH >Ma04_p26630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28020602:28023563:1 gene:Ma04_g26630 transcript:Ma04_t26630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVELLVTALISVLLAFIISKIAAAAAADDADLPEDKSEVVPLAVDVGPRLQEASYVGRGFDLDPIEEETEEWEERSGVALAENNRLELVVADAEEQRLGQEVSGFVLEKEIIEEESTKKKEDDEAEIRMIVDGLVKQEERFDKDEANVGGAVEKVVELGGEYAAEVEDVKVLNEEKGTSFLDGEDDWEGIERSELEKLFGEATEFVHIKKGGDAVLKLNNEAQMQLYGLQKIATEGPCNAQQPMALRVSARSKWHAWQRLGNMNPEVAMEQYVCLLTENIPGWMAERHNEETKGCDGNSPLAAEASGTGLHDSKAYVHHKSETERLPTDSSSIRGATHNVDTGP >Ma04_p26630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28020601:28023872:1 gene:Ma04_g26630 transcript:Ma04_t26630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVELLVTALISVLLAFIISKIAAAAAADDADLPEDKSEVVPLAVDVGPRLQEASYVGRGFDLDPIEEETEEWEERSGVALAENNRLELVVADAEEQRLGQEVSGFVLEKEIIEEESTKKKEDDEAEIRMIVDGLVKQEERFDKDEANVGGAVEKVVELGGEYAAEVEDVKVLNEEKGTSFLDGEDDWEGIERSELEKLFGEATEFVHIKKGGDAVLKLNNEAQMQLYGLQKIATEGPCNAQQPMALRVSARSKWHAWQRLGNMNPEVAMEQYVCLLTENIPGWMAERHNEETKGCDGNSPLAAEASGTGLHDSKAYVHHKSETERLFFHSRCHSQCRYWPLTFQTRTSKNSPSQSPLAQSDILKLYHYSCVASQIPYPVYIDSKGVLMEHVSSMPRLLSLLRLDRETRLGMIPVSLGAM >Ma08_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7713474:7717849:1 gene:Ma08_g10550 transcript:Ma08_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQISVDRDVLVDLESGMNTMINQQEEAKDVGCGAGQGRRVLDKEWSGFLGIDGFMKHEEAVKLENGMPGCAELPIRNAEASVDKRDGGEEWVRLLEEKVGAEKMKKKGCKKHPKPPRPPRSPSLDAADQKLIREISELSMMKRARIEQMKKKMRNTKSTSSTGNFFALIVTILFCLVIIWQEAFSQRSSIVRSHGSESSVRGGLISVHFHKNASVNHLNMSSSGYPSNL >Ma08_p10550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7713474:7717848:1 gene:Ma08_g10550 transcript:Ma08_t10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQISVDRDVLVDLESGMNTMINQQEEAKDVGCGAGQGRRVLDKEWSGFLGIDGFMKHEEAVKLENGMPGCAELPIRNAEASVDKRDGGEEWVRLLEEKVGAEKMKKKGCKKHPKPPRPPRSPSLDAADQKLIREISELSMMKRARIEQMKKKMRNTKSTSSTEAFSQRSSIVRSHGSESSVRGGLISVHFHKNASVNHLNMSSSGYPSNL >Ma09_p07940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5210823:5213979:1 gene:Ma09_g07940 transcript:Ma09_t07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWGVGLTAWLKTKVVDPLMQIIRRGAEPKQLAFSTALGVTLGVFPICGTTVLLCGAAIGLIGNRCHAPSVMLANFVATPIELSLVVPFLRLGEVISGGPHFPLTSDALMKVVTGEASRDVLISVLHALLGWIVAAPFILGALYVVFIPCFKFLISRFNAAPSSPKKQRAI >Ma09_p07940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5210823:5213979:1 gene:Ma09_g07940 transcript:Ma09_t07940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWGVGLTAWLKTKVVDPLMQIIRRGAEPKQLAFSTALGVTLGVFPICGTTVLLCGAAIGLIGNRCHAPSVMLANFVATPIELSLVVPFLRLGEVISGGPHFPLTSDALMKVVTGEASRDVLISVLHALLGWIVAAPFILGALYVVFIPCFKFLISRFNAAPSSPKKQRAI >Ma09_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5210824:5213979:1 gene:Ma09_g07940 transcript:Ma09_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWGVGLTAWLKTKVVDPLMQIIRRGAEPKQLAFSTALGVTLGVFPICGTTVLLCGAAIGLIGNRCHAPSVMLANFVATPIELSLVVPFLRLGEVISGGPHFPLTSDALMKVVTGEASRDVLISVLHALLGWIVAAPFILGALYVVFIPCFKFLISRFNAAPSSPKKQRAI >Ma07_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27463221:27472143:1 gene:Ma07_g19460 transcript:Ma07_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] MSVTPNRRFGFPLPPTPSPFLTPRPEKRQLDLRWADGGPTSNRHDKDKEVNVQVVLRCRPLNDDEQRLNVQKVISCNEQKNEVTVIQGMINKQVDKTFTFDKVFGPKTQQGMTYVHAVAPLVNDVLEGFNCTVFAYGQTGTGKTYTMEGSAKPKGQHLSVDAGVIPRAVRHIFDTLEGRKADYSMKVTFLELYNEEITDLLATEEQTRLAEDRQRKQISLMEDGKGGAVIRGLEEVAVYSVDEIYSLLERGSAKRRTADTLLNKQSSRSHSVFSITIHVKEATIGNDELIKCGRLNLVDLAGSENISRSGAREGRAREAGEVNKSLLTLGRVITSLAEHSSHIPYRDSKLTRLLRESLGGRAKTCIIATISPSAHSLEETLNTLDYACRAKNIKNKPEANKKFSKSVLLKDLFLEIEKLKQDVRAAREKNGIYIPQERFLQDEAEKKATHEKLDVLEFDLDHARKQADRFQELYHAEQERNLDLESKLKECKTNLEENKKAYLELQEILMRSNLMLKEREYIISNLLDSENTILTRAKVLRSDLEIASEDMSLLFAKIERQSQVEAKNHGLVVDFGSELDENLKTLHRMVIGSICENHESLKSMEEYVSSFVAAKCEAAKCLDLKHERLKSIYSSGILHMKELAGALQHKAFSDLEETRSTMFAQMIAVENFLVTVASEAEQVLHDIQISLSEQKDLLDFFVQQQATGLQRNLVSTEAISKTTIHFFHELQRQVSKLLKNLEHDQLEKSRRLAAFEKNFVDLSAREDKAAFEKISLIFTNLMKLKLQMVSEVLGNVDDTNAEDSRRQQVEVANMQLTSDNAKKSWINYIERVESQIQEDAASITKIRTTMDNVFQNCSIKVGQSLHHWKNIQSTIDHMHKDSAAEVDCFVVSRNQERKNIFEEFTSVVSENNVQFDSETSDLQAAAKMSLVLDHEVMERIESTSTMCFDHLKGLQDTHSENVKDIRSLADKCLLRKYTVDNPTSLTPTKRSLNVPSLASIEELRTQLEDPIKHDRRDSNLREAEEKQHVCAPMPTPRSPLTPINSL >Ma04_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24887796:24890202:1 gene:Ma04_g22620 transcript:Ma04_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVTAAVSLPSSKPSLSPASLERISFHKTCLHPRSTAAAKAGRLVTVRAQVTTEAPAKVAKVSKKDDEGVVTNKYKPKEPYIGRCLINTKITGDDAPGETNHIVFSTEGEIPYREGQSIGVIADGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGAAVKITGPVGKEMLMPKDPNATVVMLGTGTGIAPFRAFLWKMFFEKHENYKFNGLAWLFLGVPTSSSLLYKEEFEKMKELAPENFRLDFAVSREQTNEKGEKMYIQTRMAQYASELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAAKDGIDWLTYKRELKKAEQWNVEVY >Ma06_p37580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36585560:36587436:-1 gene:Ma06_g37580 transcript:Ma06_t37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMASPASGFRLRLRLRPHLLLLAICLASLNVMLVAGCYSAIFSFGDSLADTGNRLHSHRFDPVGRFPYGETFFHHPTGRYSDGRVMLDFIAQAIGLPMVQPYLAGTHDGEDFRYGANFAVGGATALDNEFFRSKGMEVSWTNDSLSVQLEWFKHLLPSLCATNCSDLMSESLFSVGEIGGNDYNHAFFQGRGVDEIKTFVPGVVSAIGSAIEELIKLGARTMIVPGNFPIGCVAVYLTMFQSDRREDYESGTGCIEWLNQFSMYHNRHLLDELTQLRRRYPQATIIYANYYEAAMAIFRSPQEYGFGKFPLAACCGGGGPYNYNHSTKCGKDAGVCSSPSSQISWDGIHLTEAAYRVIARGLLGPYTIPSLSRSCPTIKWNVLDSDDEYSAQS >Ma06_p37560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36570910:36573430:-1 gene:Ma06_g37560 transcript:Ma06_t37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSNLVLQEPWWMRTPLPSVFFISILVFVVVVLFISLSYDKVEYLSPKIFDRQQVNLMSVSADETRKQKCDLFSGRWVYDYSTQPLYSGVGCSYMNDEVACEKFGRKDLEHQRWRWQPHGCRVPRFDPEKLLNRLTGKRMVFVGDSLNRNQWVSMVCMLESAVSSDGHSMSQNGSLRSFKAKDFNASIDFYWSPLLVESNCDDPVHHRLSDRMMRANSIDAHAQRWADADILVFNSYLWWKKPGMKMKVIYDGSFEDEDNNMEEMEMIDGFGLALKAWSDWLESHRNRSTQLFFVSLSPTHAWGYEWGAEGNQNCYNQTQPIEEEGYTGKGSDYKMMRMVEETVERLSNKGVDVQILNITQLSEYRKDGHPSIYRKFWDDLGKERVANPSGYSDCTHWCLPGVPDVWNELLYAFIVSQEQV >Ma04_p39960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36921012:36924579:-1 gene:Ma04_g39960 transcript:Ma04_t39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDERSRRWPLSRRRRPSTISSSVHLLWWTVDQMRIFHDKPIAVGMLSELGFNGPALSEDLIIEVCRCGGAELYPFAVGSRENNLSYRTEHSFDGIDQMSQVSVIQLLASRKGGILLMNTDDRV >Ma10_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29126908:29129422:1 gene:Ma10_g17960 transcript:Ma10_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLAVFSLVLLVISNHSPATAERLSSFSAADSPWFPSQDRILVSQNQTFAAGFLSPPSSSGRFIFAVWVQKSIDRTIVWSLGGDIVNSSALAISSLGVLSLNDSSGRNLWPAAGGASNSSQLVVHDDGSLVLGNWSSFDFPTDTILANQMAPSNGNGTTLRSGNFQLVGAKSLVFNGTDNYWNASDIILNLTSDGQLVMENGKGVIAADKGKIVLRRLTLDPDGNFRIYSLDASSGRWQVVWQAVLELCTIHGTCGTNEICMPDGFNATKCVCPPGYQNSTRSNSCERKIKLLSPSKFLRLDFVSFYQGSNTADLTPRNLDDCRSTCANNGSCIGFSYKFDGRQTCINHFNRFANGYWSPGSEMSTFLRVARSETDQSNFTVMTSSIDTVCPVQISLPLPPKESKTTLRNAAIISTLFALELLAGVLSFWAFLRKYSKYRDMAHTLGLEYMPGGGPKRFSYAELKAATNDFSNVVGHGGYGVVYKGELPDRRVIAVKRLKNIGGAEAEFWAEVTIIARMHHLNLVRLWGFCAEKEQRMLVYEYIPNGSLDKYLFSPSTGVDEGSGEDKIDDPRRELVPPRPLLDWNIRYRIAMGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTNKKDKVTMSRIRGTRGYLAPEWVIQREPITAKADVYSFGVVLLEIVSGVRSSEFQRSSLESEDWYMPKWAFEKVYVEQRIEDILDRHIMDSYDNRAHFELVDRMLKTAMWCLQDRAEMRPSMGKVTKMLEGTVEITEPGKPTIFTC >Ma05_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2063745:2067293:1 gene:Ma05_g02980 transcript:Ma05_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G28750) UniProtKB/Swiss-Prot;Acc:Q9LKU2] MAAMTFSSASLMASTTRLPMKAFSLGSPNSAFFAGGGERVALARSTLAFGPHPRLRRHRGLGCRCMFGLGVPELVVIAGVTALVFGPKKLPEIGRSFGKTVKSFQQAAKEFETELKKGPEDSSKSPPAESLDKAMSSEEEKELETSGGKESSF >Ma05_p02980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2063745:2066281:1 gene:Ma05_g02980 transcript:Ma05_t02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent protein translocase protein TATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G28750) UniProtKB/Swiss-Prot;Acc:Q9LKU2] MAAMTFSSASLMASTTRLPMKAFSLGSPNSAFFAGGGERVALARSTLAFGPHPRLRRHRGLGCRCMFGLGVPELVVIAGVTALVFGPKKLPEIGRSFGKTVKSFQQAAKEFETELKKGPEDSSKSPPAESLDKAMSSEEEKELETSGGKESSF >Ma06_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6267673:6293024:-1 gene:Ma06_g08960 transcript:Ma06_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLPLTEHGRSLLVSRRRTLAAVSAVLVAGGTFAYMQSRQSRISLNSHDSLNHITSRENEESLSQNSVNDQLVRPARQRRKGMRSLHALAAILLSRMGPNGMRNLLFLVTTAVLRTALSHRLAKVQGFLFRAAFLQRVPTFLRLIAENLLLCFLQSTLYSTSKYLTGALGLRFRKILTELIHSDYFENMVYYKISHVSNRTSSPEQRIASDIPKFCSELSDLIQEDLTAVADGLIYTWRLCSYASPKYVLWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIKEKFEKLIKHLNIVLHDRWWFGMIQDFLLKYLGATVGVVLIIEPFFAGNLRPDASTLGRAEMLSNLRYHTSVIMSLFQSLGTLSISSARLNRLSGYADRIHDLMIVAKELSATYNRSVIQRSTSGNYISEANYIEFAGVQVVTPTGNVLVDDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGYIVKPGIGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTVDQETEPLTHEGMVELLRNVDLEYLLDRYPLEREINWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTNMEERFCKMVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWRVQFKRKTQSLPSETIPDLPNSSEINRQNDALAVQRAFSTSGKGNTLSESEVHPYSSRVIISSPEIDKKVPLPIAPQLQKPPRVLPHRVAAMFNVLVPSLFDRQGMKLFAVALLVVSRTWISDRIASLNGTSVKYVLEQDKAAFIRLTGLSVLQSAANSFVAPTLRHLTARLALGWRIRLTHHLLKNYLKRNTFYKVFHMSGKRIDADQRITHDVEKLTSDLSGLVTGMVKPSVDIIWFTWRMKLLSGRRGVAILYAYMLLGLGLLRSVAPEFGDLASKEQQLEGTFRYMHSRLRTHAESIAFFGGGSREKAMLDSRFRELLQHCEIHLRNKWLYGILDDFITKQLPHNVTWVLSLLYAVEHKGDRALTSTQGELAHALRFLASVVSQSFLAFGDILQLHKKFLELSGGINRIFELEELLDAAQNEGSLPDASLCSDANDTHAQDIISFRKVDIITPSQKLLAKQLTCDIVHGKSLLVTGPNGSGKSSLFRALRGLWPIVSGSLVKPCHNMFYVPQQPYTSLGTLRDQVIYPLSREEAELRMISMVRTGSGSDATLLLDAHLKTILEGVRLVYLLEREGWDATANWEDVLSLGEQQRLGMARLFFHHPKYGVLDECTNATSVDVEEHLYRLANEMGITVITSSQRPALIPFHSMELKLIDGEGKWELCAIDQ >Ma02_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28293723:28294070:1 gene:Ma02_g23170 transcript:Ma02_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFVANGDEINEQHHDYHHHHGHHHHQAPSPRGQGPSPRGVRRALSSNSAACGQGAAGGSIPKCVCAPATHAGSFKCRLHRTNSHGHSPPSPTSIPPPPAPVNSSRTPTVEAQ >Ma01_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9649174:9652686:-1 gene:Ma01_g13190 transcript:Ma01_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLGYRLFQLLMLPLVGAAASAAAAPPGCRTRCGEVDVPYPFGIGHNCAMEGFSLDCNTTDDGLEKLFFSNVEITNISLPLGQARMLNEISWQCYNVSNNSLDYNFWSLNLVGTPYRFSDVHNRFTVIGCETLAYIGDFQSADSYQSGCVSVCHNEASLVNSSCSGIGCCQTSIPKDLTYYEVWFDSNFNSSSIWNFSDCSYAVLLEANQFEFLTSYITTNQFLSNSNSKAPLVVDWAIGNETCEVAQRDTTSYACISEHSECLNSSNGPGYLCNCSSGYHGNPYVAHGCQDIDECSDKDQNPCHGICQNLPGSYNCFCPRGTYGDAFNGTCTQHQKLPSSAKVAIGIGSGLIFLLISGMCIYVIFQRRKFIKIKERYFREHGGWILLEEIKAKERYFREQGLAFKIFAKEELEKATEKYDQNRVLGRGGHGTVYKGVLEDSRVVAIKKPKIIDESQKNEFGKELLILSQINHKNIVKLLGCCLEVEVPMLVYEFVSNGTLFQLIHDNNSASPFSLATRLRIAHESAEALAYLHSSASPPIIHGDVKSSNILLDENYTAKVSDFGASKLVPKDEDQFATLVQGTCGYLDPEYLQTCQLTEKSDVYSFGVVLLELMTRKKPIYFEASEEERSLASSFILASKENRLMEILDDQVRNEGDTELIQEMTSLAKQCLNFRGEERPTMKQVAEELDRLRKFKHPWVPQNTEEIESLLSQPSVDHETYYHGIETTTSYNPEKRLALDIEYGR >Ma05_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24432333:24467511:1 gene:Ma05_g18680 transcript:Ma05_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSLAILMRARMASPTHPVHRQQLLHPDRPPLPPQGATCSGDADDDGSSTGARRVSLKDVKELMRASFSIPTDKTEPMDANLEEFSQAYLGLSQEGRRELLLGLARDYDVNRARVCELMRQYLSVELPHSIDSNAADVNQENRVLEDGGILAVFYRMERNLRDALKPMYAGFFERLNAHPGGLKLLTVLRADLLSQLAKENVPSLRALETYLKEKLITWLSPASLELHQITWDDSASLLEKIVAYEAVHPIRNLLDLKRRLSEGHRCFGYLHPAIPGEPLIFIEVALLKDVATSIQEVLWDSPPTPEFEATCALLYSISATQPGLSGINLGKFLIKRVIHLLRRDMPHISIFATLSPIPGYMHWLLPKFASQIKLSKLETEDMKHFSEKGSGSNFIEDLLLPEEKEMILSGYLKWLQSGTASEIEFDKSECMDTREHDSGKDVMEIMYKLLTSREWNKSDILSEALKPPLLRLCARYILKEKKRGKALDVVANFHLQNGSMIERLNWMADSSDKGIEQSGGIMVNYVYRLDRIDEYAQSYLNTGHIHASSSLHQYLEDMFFLAATLTDECDHGSNL >Ma05_p18680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24432333:24467511:1 gene:Ma05_g18680 transcript:Ma05_t18680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSLAILMRARMASPTHPVHRQQLLHPDRPPLPPQVPIPICGFQGATCSGDADDDGSSTGARRVSLKDVKELMRASFSIPTDKTEPMDANLEEFSQAYLGLSQEGRRELLLGLARDYDVNRARVCELMRQYLSVELPHSIDSNAADVNQENRVLEDGGILAVFYRMERNLRDALKPMYAGFFERLNAHPGGLKLLTVLRADLLSQLAKENVPSLRALETYLKEKLITWLSPASLELHQITWDDSASLLEKIVAYEAVHPIRNLLDLKRRLSEGHRCFGYLHPAIPGEPLIFIEVALLKDVATSIQEVLWDSPPTPEFEATCALLYSISATQPGLSGINLGKFLIKRVIHLLRRDMPHISIFATLSPIPGYMHWLLPKFASQIKLSKLETEDMKHFSEKGSGSNFIEDLLLPEEKEMILSGYLKWLQSGTASEIEFDKSECMDTREHDSGKDVMEIMYKLLTSREWNKSDILSEALKPPLLRLCARYILKEKKRGKALDVVANFHLQNGSMIERLNWMADSSDKGIEQSGGIMVNYVYRLDRIDEYAQSYLNTGHIHASSSLHQYLEDMFFLAATLTDECDHGSNL >Ma05_p18680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24432333:24467760:1 gene:Ma05_g18680 transcript:Ma05_t18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSLAILMRARMASPTHPVHRQQLLHPDRPPLPPQVPIPICGFQGATCSGDADDDGSSTGARRVSLKDVKELMRASFSIPTDKTEPMDANLEEFSQAYLGLSQEGRRELLLGLARDYDVNRARVCELMRQYLSVELPHSIDSNAADVNQENRVLEDGGILAVFYRMERNLRDALKPMYAGFFERLNAHPGGLKLLTVLRADLLSQLAKENVPSLRALETYLKEKLITWLSPASLELHQITWDDSASLLEKIVAYEAVHPIRNLLDLKRRLSEGHRCFGYLHPAIPGEPLIFIEVALLKDVATSIQEVLWDSPPTPEFEATCALLYSISATQPGLSGINLGKFLIKRVIHLLRRDMPHISIFATLSPIPGYMHWLLPKFASQIKLSKLETEDMKHFSEKGSGSNFIEDLLLPEEKEMILSGYLKWLQSGTASEIEFDKSECMDTREHDSGKDVMEIMYKLLTSREWNKSDILSEALKPPLLRLCARYILKEKKRGKALDVVANFHLQNGSMIERLNWMADSSDKGIEQSGGIMVNYVYRLDRIDEYAQSYLNTGHIHASSSLHQYLELH >Ma08_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34774860:34776644:-1 gene:Ma08_g20660 transcript:Ma08_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPCRIRAMAFNGLYLNCSFLLLLTLLVLAAHLGSSPRSLRQPIKQDGCEGLRRINDHEAICSYLKTHEQACVPQGFIDYLRIFYCASGGCPPLGYALLLLWLLLLFYLLGNTASHYFCSSLEGLSGVLRLPPTIAGVTLLSLGNGAPDVFSSIVSFAGSGVGDVGLSSVLGGAFFVSSVVAGIISIAVGSRAVAIDRSSFIRDLCFFLLVLSSLLAILIVGKIGVWGSMAFASLYIAYVVLVWAGHYCKEKQSELVVPILDGLMVEEEEDTVAKDVEEGVRPNEKSQVSQYLSWFLYLLEMPLNLPRRLTIPDVSEERWSKPFAVASVSLSPLFLATLWISQRGEVGSEERITTYVLGSVAGMVLGIIAIGTTEKSAPPKACLLPWLAGGFLMSVIWSYMIAGELVALLVAIGDIAGISPLVLGFTVLAWGNSLGDLIANVALAVSGRGDGVQIAISGCYAGPIFNTLVGLGVSLVLASGASHPSPFVVPQDRAIFETLGFLIGGLLWALLMLPRRKMKPDRVLGIGLLAIYLTFLCWRLFESLQLVKLGMPLNV >Ma01_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12080708:12084391:1 gene:Ma01_g16690 transcript:Ma01_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPSKKRNVVENGDEGIDVVLVRSIINGEHENVGPIVRYAFERGKPEALLHQLVNLVGKKEVEIEELCRLHYEEFILAVDELRDVLVDADELKSSLSNQNFQLQEVASTLLPKFDELLELYSIKKNVTKAIETLEVCLQLSKLCLTCNMHVSNNRFYPAVRILDLIEKDYIQKTPFQALRKAIEKQIPVIKLHIEKKVCSEFDDWLVHIRSMAVEIGQLAIGHASSARQREDEKRARREEAEKQSRFGVGDPVYTLDVEHVDEDSVLEFDLAPVYRAHHIHSCLSLEDKFRKNYYKNRLMQLNLDLQMPPVQSFLESHRPFFAQIAGFFIVENRVLQTAGGLVSESQVETLWNTAISKMTSVLEDQFSRMDTANHLLLIKDFVTLVADTLMHHGYGLTPLLEVLDNNRDKYHELLLSECRKQIGDTLASDTFEQMVIKKEYEYNMNVVSFHLQSSDTLPDFPYIAPFSSSVPDICRVVRSFIEDSVNYLSYGGPVNFDDVLKKYLNKLMIDDLNKALLKVIHTGNLDVSQAMQIAANIAVLECTCDLFLCQTAQLCSVPLHLVERPHVGLTAKAVFKASQNAAYDALLNVVDSKLDEYLALMNNIEWTADKAPEHANDYIQETVIYLDSLISTAQQILPLDGIYKVGVGALNHISDSIMAALLSDRLKRFNLNSVIGIDNDLKMLESFADERFQSTGLSDLRKDCSFRDCLIESRQLVNLVLSNQPENFKNPVMREKSYGALDYKKVAIISEKFKDSSEKLFGSLSNWSTEPKSRKKSMDMLKRKLKEFS >Ma03_p27700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30997474:31004854:-1 gene:Ma03_g27700 transcript:Ma03_t27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRRQPKNQQMKVRMMLPMSIRCNTCGTYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAEITFKTDPQNTDYTVESGASRNFEPWRDEDEVVEKEKRKRAEEEMGDAMKSLENRALDSKQDMDILAALEEMRSMKSRHSTVSVDLMLETLKRSAYEKEKKIMEELDEADEALIKSITFHGSKDFVRRIHDDEDDDIEELGQPSSSLSETSDNSLKRKLPMETSVKVEKPTDSLTKATMSDGSKDRGNQGGSLLPKFILKSRSVTEGPKKPRTASTDCDPSKAEEEGKSNNTTGEGITNGLQSLCQNYDSDESD >Ma07_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1367205:1368887:1 gene:Ma07_g01760 transcript:Ma07_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFGGLACLFCIGLLVSMAKPGAATRIIAPPVAAPTVPSPMAWPLHHMKLDPFYSSKRRVPNGPDPIHNRRSGKSGRPPGRA >Ma03_p30170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32919043:32919168:-1 gene:Ma03_g30170 transcript:Ma03_t30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRSHGKVPQRGGLSRALRQQKARLYIIQRCVVMLLCWHD >Ma08_p28900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40700744:40702493:1 gene:Ma08_g28900 transcript:Ma08_t28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKYHPDVSPPDCAEYTRRFIEVQEAYETLSDPRRGCVSLSPPGGGLMRLSSADEYGKLDDQSYIELEERPGWKIHQQDQLAELKRRSVNKDSGGNLSWGARARRQRAES >Ma03_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27353094:27361411:1 gene:Ma03_g22610 transcript:Ma03_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGREMRLRAAVVSPCCSSPSSPHVVMASCKKSQPKSNNHKNPDQAPPRITSNVKQNLQILRLWKDLQSHKSSTPRPATSYRKKKVVKEELPQDTELYKDPTTNLYYTNQGLDTSVPVLLVDGYNVCGYWAKLKKHFMKGRLDIARQKLIDELIAFSVIKEVKVVVVFDAMTSGLPTHKESFAGIDVIFSSDTCADAWIEKEGAFVWSCKALVSEIKASQREFEQILNEHRSTSVQGKLLQHNLGPEIVDALKDLKRKLSENEST >Ma03_p22610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27353094:27361411:1 gene:Ma03_g22610 transcript:Ma03_t22610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGREMRLRAAVVSPCCSSPSSPHVVMASCKKSQPKSNNHKNPDQAPPRITSNVKQNLQILRLWKDLQSHKSSTPRPATSYRKKKVVKEELPQDTELYKDPTTNLYYTNQGLDTSVPVLLVDGYNVCGYWAKLKKHFMKGRLDIARQKLIDELIAFSVIKEVKVVVVFDAMTSGLPTHKESFAGIDVIFSSDTCADAWIEKEVVALKVDGCPKVWVVTSDVCQQQAAHGAGAFVWSCKALVSEIKASQREFEQILNEHRSTSVQGKLLQHNLGPEIVDALKDLKRKLSENEST >Ma01_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12302549:12303322:-1 gene:Ma01_g16810 transcript:Ma01_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEVRLIGAWPSPFVLRPRVALNLKWVEYEFLQEKFGEKSELLLRSNPVYKKIPVLLHHDKPVCESMIIVEYVDGAWANSGHAILPADTYERALHRFWAFYIDDKWFPSMFGIAKAETEEAKAESAEQAWAGLKLLEEAFEKLSKGKAFFGGDTIGYVDIALGSYLGWAKVIERMTGLKLFDEEKTPLLAVWAGRFCAHEAVKEVMPETEKLME >Ma06_p13400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9177261:9199324:1 gene:Ma06_g13400 transcript:Ma06_t13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKLRNVNKRFAKVFEDWSEKDETPPKKSRARKRKLSDMLGSQWSKEEIERFYEAYRKYGKDWRKVAGTLRNRSSETVEALYNMNKAYLSLPEGTATVAGLIAMMTDHYNILQEGSDSDRESNDVAKTYQKPQKRGRGKFRLMPKGSDGCSPDQSQYQSVSSRYGCLSLLKKKRSGDLFSGNQPRAVGKRTPRIPVSNMYSKYDKEKATCLNKQSSKSEVNAVDDEGAHVAALALAEVLQRGGSPQISRTPGSGVDHVRSSPVRSSEQKSVEQETDRSKLIIQMDDDCHEASLGSREAENGVFARDVKEGAGAVEAPKRMKKRQGKRPKTFDTENFQIDDDREACSGTEEGSSVRKIKDENDLEVRDNKAARGSNGSRKRSRQLFFGDENSALDALQTLADLSVNILLPSSAVESESSAQVKEQTNIDTDEKPDIPESLPLNYKRDKSKVSGKKERRHSAGVGSDTLSRRSSKVVKGLQRDSKVIAEMNQQACACINMTEKRKGKTFSGKIPKSEFSSESQKSELQKMEVSAEEGKRSVAKVRRVSQVSPLLRQGKFVKPPENSSSVADSGRTVTDLSKTTRLAIENQVNLLTKHRSRRKIGLQKAPAWKDFKSNDMGDNCPHKYSYAVNRIVEPKENLSHCLSSKLLRRWCMFEWFYSAIDHPWFAKSEFVEYLNHVRLGHIPRLTRVEWGVIRSSLGKPRRLSKQFLKEEREKLEQYRESVRTHYAELQAGLKEGLPTDLARPLSVGQRVIACHPKTRELHDGSVLTVERSRCRVQFDRPELGVKFVMDIDCMPLNPFDNIPETLRPQNIVINRHCNTFKDMKLEDPPKDWRTGSFDIADGRTHTSATSYQMNTLMKQAKGDTIDAIVQAKATVNQVAVAAQQAMYNQPCTLSQIQEREADIRALAELSRALDKKEALLIELRNMNEEVSEKQKDGDTIKDLDHFRKQYAMVLVQLRDANDQVASALLSLRQRNTYHGNSTPPWTRPVENAGSVGSPEPFNPSAFPNQDMGSHVREIVETSTQKARTMVDAALQAMCTLKEGEDAFTKIGQALDLTNNRNTGSGILGVHGPPNPGHSNTTNHDHPASTFDITTVHALSPKTNNSSDADLQLPSELISSCVSTLLMIQTCTERQYPPAEIAQILDSAVTSLHPYSPHNLPIYREIETCMGIIKNQILALIPTPTTAAPEITT >Ma06_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9177261:9199324:1 gene:Ma06_g13400 transcript:Ma06_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRKLRNVNKRFAKVFEDWSEKDETPPKKSRARKRKLSDMLGSQWSKEEIERFYEAYRKYGKDWRKVAGTLRNRSSETVEALYNMNKAYLSLPEGTATVAGLIAMMTDHYNILEGSDSDRESNDVAKTYQKPQKRGRGKFRLMPKGSDGCSPDQSQYQSVSSRYGCLSLLKKKRSGDLFSGNQPRAVGKRTPRIPVSNMYSKYDKEKATCLNKQSSKSEVNAVDDEGAHVAALALAEVLQRGGSPQISRTPGSGVDHVRSSPVRSSEQKSVEQETDRSKLIIQMDDDCHEASLGSREAENGVFARDVKEGAGAVEAPKRMKKRQGKRPKTFDTENFQIDDDREACSGTEEGSSVRKIKDENDLEVRDNKAARGSNGSRKRSRQLFFGDENSALDALQTLADLSVNILLPSSAVESESSAQVKEQTNIDTDEKPDIPESLPLNYKRDKSKVSGKKERRHSAGVGSDTLSRRSSKVVKGLQRDSKVIAEMNQQACACINMTEKRKGKTFSGKIPKSEFSSESQKSELQKMEVSAEEGKRSVAKVRRVSQVSPLLRQGKFVKPPENSSSVADSGRTVTDLSKTTRLAIENQVNLLTKHRSRRKIGLQKAPAWKDFKSNDMGDNCPHKYSYAVNRIVEPKENLSHCLSSKLLRRWCMFEWFYSAIDHPWFAKSEFVEYLNHVRLGHIPRLTRVEWGVIRSSLGKPRRLSKQFLKEEREKLEQYRESVRTHYAELQAGLKEGLPTDLARPLSVGQRVIACHPKTRELHDGSVLTVERSRCRVQFDRPELGVKFVMDIDCMPLNPFDNIPETLRPQNIVINRHCNTFKDMKLEDPPKDWRTGSFDIADGRTHTSATSYQMNTLMKQAKGDTIDAIVQAKATVNQVAVAAQQAMYNQPCTLSQIQEREADIRALAELSRALDKKEALLIELRNMNEEVSEKQKDGDTIKDLDHFRKQYAMVLVQLRDANDQVASALLSLRQRNTYHGNSTPPWTRPVENAGSVGSPEPFNPSAFPNQDMGSHVREIVETSTQKARTMVDAALQAMCTLKEGEDAFTKIGQALDLTNNRNTGSGILGVHGPPNPGHSNTTNHDHPASTFDITTVHALSPKTNNSSDADLQLPSELISSCVSTLLMIQTCTERQYPPAEIAQILDSAVTSLHPYSPHNLPIYREIETCMGIIKNQILALIPTPTTAAPEITT >Ma06_p30190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31533625:31533864:-1 gene:Ma06_g30190 transcript:Ma06_t30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGRLSKLKTR >Ma05_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35494003:35504985:-1 gene:Ma05_g23450 transcript:Ma05_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDRLLHNSVTTVALAINGTSNSRHIVQWALNKFISGGGVMFKLLHVRSRIKMVPSPIGNLPVEQVQDDVASAYKKEEEWKTEVMLRPYKNMCSAKQIETEVVILEADDVAEAISKEVTENSISMLVIGGSSKNAIIRKLWGGNLSSRIAECTPSFCTVYVVSRGKLSSVRASAFGTDEIAPTSVTKDSIKEENDSSAVFYSSSNNSPILKSEMIDLDTEVNFPSQLPCLPQLQQKLAYASKDYESSNSANSLLTRNTSLFEDYRVSSSNTSETQYSNSIASLRSYQTDKSWSSNMSFYRSTEYSQSGNEGDIHLELERLRTEINHFMRIYKLAPDESISASQQLNDIHTHHTEEILKLQEIWSRLEKTTKMAQQEKERREATEKEAEYVNGCTEIEVLLGKDVEKSASHEVSEKQSPEKELACSSEPYVKYTWEEIVAATLSFSDALKIGVGASGTVYWGSFHHTVGAIKVLNSNEGYTTRQFKQELEILSRVRHPHVLLLLGACTEKGCLVYEYMENGSLEDRLQCKNNTLPLPWFCRFRIAWEVASALIFLHNSKPEPIVHRDLKPANILLDNNFVSKIGDAGLSTLFPTLNMPLSTMYKDTDPVGTFFYMDPEYQRTGLVSPKSDTYALGMVILQLLTAKSPMGLALIVETALERDCLMDILDSKAGQWPKAEAKELAILGLSCLELRRKDRPDLKDQVLPVLERLKAIAEQAYDSALHDSSVPPNHFICPILKFVQVVMDDPCIAADGYTYNRNAIETWLSRNDTSPMTNLLLPTQEIICNNSLLSAIKDWKARTQ >Ma05_p23450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35494003:35504985:-1 gene:Ma05_g23450 transcript:Ma05_t23450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDRLLHNSVTTVALAINGTSNSRHIVQWALNKFISGGGVMFKLLHVRSRIKMVPSPIGNLPVEQVQDDVASAYKKEEEWKTEVMLRPYKNMCSAKQIETEVVILEADDVAEAISKEVTENSISMLVIGGSSKNAIIRKLWGGNLSSRIAECTPSFCTVYVVSRGKLSSVRASAFGTDEIAPTSVTKDSIKEENDSSAVFYSSSNNSPILKSEMIDLDTEVNFPSQLPCLPQLQQKLAYASKDYESSNSANSLLTRNTSLFEDYRVSSSNTSETQYSNSIASLRSYQTDKSWSSNMSFYRSTEYSQSGNEGDIHLELERLRTEINHFMRIYKLAPDESISASQQLNDIHTHHTEEILKLQEIWSRLEKTTKMAQQEKERREATEKEAEYVNGCTEIEVLLGKDVEKSASHEVSEKQSPEKELACSSEPYVKYTWEEIVAATLSFSDALKIGVGASGTVYWGSFHHTVGAIKVLNSNEGYTTRQFKQELEILSRVRHPHVLLLLGACTEKGCLVYEYMENGSLEDRLQCKNNTLPLPWFCRFRIAWEVASALIFLHNSKPEPIVHRDLKPANILLDNNFVSKIGDAGLSTLFPTLNMPLSTMYKDTDPVGTFFYMDPEYQRTGLVSPKSDTYALGMVILQLLTAKSPMGLALIVETALERDCLMDILDSKAGQWPKAEAKELAILGLSCLELRRKDRPDLKDQVLPVLERLKAIAEQAYDSALHDSSVPPNHFICPILKFVQVVMDDPCIAADGYTYNRNAIETWLSRNDTSPMTNLLLPTQEIICNNSLLSAIKDWKARTQ >Ma05_p23450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35494003:35505042:-1 gene:Ma05_g23450 transcript:Ma05_t23450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDRLLHNSVTTVALAINGTSNSRHIVQWALNKFISGGGVMFKLLHVRSRIKMVPSPIGNLPVEQVQDDVASAYKKEEEWKTEVMLRPYKNMCSAKQIETEVVILEADDVAEAISKEVTENSISMLVIGGSSKNAIIRKLWGGNLSSRIAECTPSFCTVYVVSRGKLSSVRASAFGTDEIAPTSVTKDSIKEENDSSAVFYSSSNNSPILKSEMIDLDTEVNFPSQLPCLPQLQQKLAYASKDYESSNSANSLLTRNTSLFEDYRVSSSNTSETQYSNSIASLRSYQTDKSWSSNMSFYRSTEYSQSGNEGDIHLELERLRTEINHFMRIYKLAPDESISASQQLNDIHTHHTEEILKLQEIWSRLEKTTKMAQQEKERREATEKEAEYVNGCTEIEVLLGKDVEKSASHEVSEKQSPEKELACSSEPYVKYTWEEIVAATLSFSDALKIGVGASGTVYWGSFHHTVGAIKVLNSNEGYTTRQFKQELEILSRVRHPHVLLLLGACTEKGCLVYEYMENGSLEDRLQCKNNTLPLPWFCRFRIAWEVASALIFLHNSKPEPIVHRDLKPANILLDNNFVSKIGDAGLSTLFPTLNMPLSTMYKDTDPVGTFFYMDPEYQRTGLVSPKSDTYALGMVILQLLTAKSPMGLALIVETALERDCLMDILDSKAGQWPKAEAKELAILGLSCLELRRKDRPDLKDQVLPVLERLKAIAEQAYDSALHDSSVPPNHFICPILKVVMDDPCIAADGYTYNRNAIETWLSRNDTSPMTNLLLPTQEIICNNSLLSAIKDWKARTQ >Ma05_p23450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35494003:35505042:-1 gene:Ma05_g23450 transcript:Ma05_t23450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDRLLHNSVTTVALAINGTSNSRHIVQWALNKFISGGGVMFKLLHVRSRIKMVPSPIGNLPVEQVQDDVASAYKKEEEWKTEVMLRPYKNMCSAKQIETEVVILEADDVAEAISKEVTENSISMLVIGGSSKNAIIRKLWGGNLSSRIAECTPSFCTVYVVSRGKLSSVRASAFGTDEIAPTSVTKDSIKEENDSSAVFYSSSNNSPILKSEMIDLDTEVNFPSQLPCLPQLQQKLAYASKDYESSNSANSLLTRNTSLFEDYRVSSSNTSETQYSNSIASLRSYQTDKSWSSNMSFYRSTEYSQSGNEGDIHLELERLRTEINHFMRIYKLAPDESISASQQLNDIHTHHTEEILKLQEIWSRLEKTTKMAQQEKERREATEKEAEYVNGCTEIEVLLGKDVEKSASHEVSEKQSPEKELACSSEPYVKYTWEEIVAATLSFSDALKIGVGASGTVYWGSFHHTVGAIKVLNSNEGYTTRQFKQELEILSRVRHPHVLLLLGACTEKGCLVYEYMENGSLEDRLQCKNNTLPLPWFCRFRIAWEVASALIFLHNSKPEPIVHRDLKPANILLDNNFVSKIGDAGLSTLFPTLNMPLSTMYKDTDPVGTFFYMDPEYQRTGLVSPKSDTYALGMVILQLLTAKSPMGLALIVETALERDCLMDILDSKAGQWPKAEAKELAILGLSCLELRRKDRPDLKDQVLPVLERLKAIAEQAYDSALHDSSVPPNHFICPILKFVQVVMDDPCIAADGYTYNRNAIETWLSRNDTSPMTNLLLPTQEIICNNSLLSAIKDWKARTQ >Ma03_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28168235:28175539:-1 gene:Ma03_g23650 transcript:Ma03_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLLWKEHSHKVLVAGIVMWGVTLIEIVAVAVAVVGDLVVVDEIRREQRADGPAAIMAIGTANPPNVIDQVNFADFYFRMTSSEDKQELKEKFKLICDRTTVKNRYAHLTEEIVEQNPNLRNPTAPSLETRLRIMIEAVPNLGKEAAAKALKEWGQPKSMITHLVFCSGAGVDMPGADYQLVKLLGLSPSVKRVMLYHLGCYGGGTVLRVAKDLAENNRGARVLVVNVEITTVSAFRAPDEAHLDSLVGQALFGDGAAALIVGADPIQGVEKPIFEMAIATQTLLPESEGKIGGQLKESGLAIHLHRDVPKIISKNIEDALMDAFKQLGISDWNSLFWVAHPGGPAILNHVETKLKLEPKKLQVTRRVLREFGNMSSATVFFVLDETRKQSATEGWATTGQGLEWGVLCGLGPGITVETVVLRSVPL >Ma03_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4420715:4427505:1 gene:Ma03_g06370 transcript:Ma03_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIPPDCPYPGCFFCVMKEGNPSKRRTSILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAIHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYSSTFPAVASHGEVLELAIQLASSSLEIVYSHFYQSVDRRISYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLFDICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICQHKLGRGPVANCPGIIEALCNIARSSDDWQYMATDCLLWLLQDQSTSNKVIDKAAPALTDLAEISTLGDHKRLGDTIVSVLQERCQAQGTTRNYVSSHTKEQIDELLGSRQRLKWEKSMPKEDLQIKQAAALVVKLEGNSLFSSGDISGAASKYSEALALCPMKSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPPNRHAKSLWRRAQAYDMLGLVKESLLDAILFINECSQSTDPDLSLRHNKVPDYAERLVKKQMHAAWLFREAAVKHGGIHTEEGAGDTYGPEADDSEWETASESDIENDGRDADDDEENDNIRKDMYDKSTTKDLVHGYNMLLAEDEST >Ma08_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7067436:7070351:-1 gene:Ma08_g09710 transcript:Ma08_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g19220, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G19220) UniProtKB/Swiss-Prot;Acc:O49680] MIRGLRRFVLPTILPSRSLTSTAGPRRSKYLFRTPIANGSSISSLSTHYLLDEMSQTTMFAFRALSFLKGTFVEPDLVSSVHCLSLKANALSDLAARTSLLTAYARAQDLRSAMAIFDETAARDVIFWNAIISAYVLNCHYKSSFLLFQEMVESFGEFDSTTLLIMLMASSRMHYMNYGTTLHGTIMKRNFLMDGNLCNALIDMYAKCDNMSSSELVFEEMEVKDISSWNSMISGCTFNGFPERSVYYFREMRRSNVDADAVTLSSAVTACSLAEEYWGHGESVHALVIKMGYEANTYSSLSNCLILFYFRCENVEAADAVFKGLANKNVVSWNAMIGGLLDDGRYDEFLNHFWEMQSKHMIQPDDTTLITIIPACRELNLLREGKSIHAFAIKKETDPLDSSVENALLDMYLECGDLNSSFLLFRKMTNKDIISWNTMIFGFSRNDSSKEEARALFCELLQSGLRCSVVTFLAILPSCTGAEDLRFGKALHACLIRYGLMISMSAMNALMLMYINCGDLSASILLFERLLPISDIVSWNTMIVGCVQNGYYKEALGMLTSMCSLLLPIPDSITFVSAVSACGNLGLLSYGQCLHGLALKSLVNFDIRVKNALLTMYFHCNDSKSAETLFKLNGDRNLCSWNCMISGFAQNKEGDKSLQLFQNMEGLRPNEFSIVGVICACTQLVDLSHGKEVNGYVFRFCLQRNTFILSALVDMYSKCGRLDIATRIFTSSNEKSIASWNSMISAYGFHGQGRRSIELFSWMCGLGVKATKSTFIALLSACSHCGLVDEGWKYYDAMSEKFGIEPTAEHHVCMIDMLGRAGRLGEAFEYLKRLPVEAKPGVWGALLSACHDHGDLDIGKTVAGKLFCLEPENTGYYVTLSNLFAYYEMWSEALRTRGMILDKGLLKPPGCSAIDVLSS >Ma08_p27770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39913982:39914557:1 gene:Ma08_g27770 transcript:Ma08_t27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEPSSRLARCMASPSWFPLQRGHSRFHLLRRAVGLERSRSWRDLLKRLLKEGRSMYGSKQLNFGYDADSYSKNFDDGRWNERSDRFVEDRLWQLKAR >Ma05_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3082126:3083839:-1 gene:Ma05_g04100 transcript:Ma05_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANGLLCRSRSATAVCVPDDPRLMIVPRQLDRTLVDVKCSRLGDPRRFSSGDGRSVTLPMVMKKERVPRKPSSGSNLISSFPPSNHHVFQVVVMRVSLHCQACAGKVRKHISKMEVNMAGVTSFSIELESKRVTVMGYVSPEGVLEKISKVKKAEFWPC >Ma05_p04100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3082126:3083839:-1 gene:Ma05_g04100 transcript:Ma05_t04100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKANGLLCRSRSATAVCVPDDPRLMIVPRQLDRTLVDVKCSRLGDPRRFSSGDGRSVTLPMVMKKERVPRKPSSGSNLISSFPPSNHHVFQVVVMRVSLHCQACAGKVRKHISKMEGVTSFSIELESKRVTVMGYVSPEGVLEKISKVKKAEFWPC >Ma05_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6856270:6859323:1 gene:Ma05_g09480 transcript:Ma05_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLDVQRNKVNFSSGRTFLSSFRDGLKETLLPDDPFRYLKGKSACTVAWGYLKYFVPILEWAPRYTFAKFRFDLLAGITITSVAIPQGISYARLANLPPIIGLYSSFIPPLVYAVFGSSTNLAVGTVAGASLFMGSVIGTAVSATADPQLYIHLFFTAAFFTGIIEAALGIFRLGILVDFLSRSTITGFMGGTAVIVITQQFKGFLGLKHLTTKTDVVSAVRALLANRNQWRWESAALGLCFFGFLLFCKHLRTRVPKLFWLSVISPLLVVVSGCVFAYLVKAEDHGIQIVGPLNKGLNPVSITDLKFQSNYIGTIMKASLISAFLALSEGIAVGRSLGMLKNEQIDGNKEMIAFGLMNIVGSCFSCYLTTGPFSKSAVNYHAGCKTSMSNVVMSICMMLVLLFLAPLFRYTPLVALSAIIAVAMIGLVEYEEAYRLFKVDKFDFLICMSAFFGVIFYSMTVGLLISVCLAVVRSLLYIARPSTCKLGSIRGTEMYYDVEQYPDSYVHPDILILNLGSPIYYANAGYLKERILRWVEEEENTKKKDADLQYVILDMGGVTSIDNTGIGMLFDVHKNLGRKGIKIALTNPRLEVAEKLMLSRYIELIGGEDWVFLSVKEAVAACRFSLRELRCEEESSL >Ma07_p11180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8322542:8342309:1 gene:Ma07_g11180 transcript:Ma07_t11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRMKAVADDDERRDGDDEVGRQDDDEAEEFEELELGLSLGRWGWNSGLTNGGRDDGGERDCVLPVERGIGCSWFYERQSATRHFIARQTNLRTWGEELVGAVAMPQERDVSLPDEAFFEMERTGSDIDRDTQHKRPKVFASLEFPSSSDAEVAGQPAVVDFMSQNSSALSENEVAYYLNLFPNDDAVGRTSDFNNGGSSYEDMSSMRNTEDLEIRMDLSDDLLHLIFSFLGQKDLCRAGATCKQWLVASTHEDFWRCLEFTSTAISPQNFIAICRRYPNAMALTMADILNSNALVIEAMASLRHLGTLILKKGQFGDRFFHALTDCPALTKLRIHDASLGNNIQEITVYHDRLHDLQFEKCRVFRISIRCPQLQILSLKRTSMAHVSLSCPQLRELDLTACHKLSDAAIRSAVTTCPLLGSLNMSSCSCVTDETLREIAFACPNLCILDASYCPNVSFESVRLPMLIDLKLDSCEGITSTSMSALSYSHMLEALLLDNCGLLTSVSLDLPHLQSISLVHLRKFVDLNLRSPVLQSVKVSRCSALHRISITSRAIDKLVLQKQESLATLSLQCHNLQEVDLSDCESLMNSVCEVFSDGGGCPMLRSLVLDNCERISDVGLNNSSLLTLSLAGCHAMTNLDLSCPKLQKVNLDGCDHLERASFCPVGLESLNLGICPKLNVLVIKAPKMSLLELKGCGVLSQASIHCPSLTSLDASFCRQFMDESLSTTAASCPHIESLILSSCLSIGPVGLSSLHWLHHLTLLDLSYTFLMNLQPVFDTCSRLVILKLSACKFLTDSSLYALYKKGALPTLRELDLSYSSIGQSAITELLAYCTNLVHVNLNGCVNMVELVWRSRHNSSNMAVDTFSSNSISVQNDGEGFKKPEHLLEFLSCTGCPRIKRVYVPSTANCSHLSKINLNLCTYLKEVDLSCTNLSILNLSYCSSLEILKLNCPRLNNLQLLACSMLVEEELEAAISHCSMLEILNIHSCPKIYIEDFERLRIVCPSLKRIHCSPLM >Ma07_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8328900:8342309:1 gene:Ma07_g11180 transcript:Ma07_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRMKAVADDDERRDGDDEVGRQDDDEAEEFEELELGLSLGRWGWNSGLTNGGRDDGGERDCVLPVERGIGCSWFYERQSATRHFIARQTNLRTWGEELVGAVAMPQERDVSLPDEAFFEMERTGSDIDRDTQHKRPKVFASLEFPSSSDAEVAGQPAVVDFMSQNSSALSENEVAYYLNLFPNDDAVGRTSDFNNGGSSYEDMSSMRNTEDLEIRMDLSDDLLHLIFSFLGQKDLCRAGATCKQWLVASTHEDFWRCLEFTSTAISPQNFIAICRRYPNAMALTMADILNSNALVIEAMASLRHLGTLILKKGQFGDRFFHALTDCPALTKLRIHDASLGNNIQEITVYHDRLHDLQFEKCRVFRISIRCPQLQILSLKRTSMAHVSLSCPQLRELDLTACHKLSDAAIRSAVTTCPLLGSLNMSSCSCVTDETLREIAFACPNLCILDASYCPNVSFESVRLPMLIDLKLDSCEGITSTSMSALSYSHMLEALLLDNCGLLTSVSLDLPHLQSISLVHLRKFVDLNLRSPVLQSVKVSRCSALHRISITSRAIDKLVLQKQESLATLSLQCHNLQEVDLSDCESLMNSVCEVFSDGGGCPMLRSLVLDNCERISDVGLNNSSLLTLSLAGCHAMTNLDLSCPKLQKVNLDGCDHLERASFCPVGLESLNLGICPKLNVLVIKAPKMSLLELKGCGVLSQASIHCPSLTSLDASFCRQFMDESLSTTAASCPHIESLILSSCLSIGPVGLSSLHWLHHLTLLDLSYTFLMNLQPVFDTCSRLVILKLSACKFLTDSSLYALYKKGALPTLRELDLSYSSIGQSAITELLAYCTNLVHVNLNGCVNMVELVWRSRHNSSNMAVDTFSSNSISVQNDGEGFKKPEHLLEFLSCTGCPRIKRVYVPSTANCSHLSKINLNLCTYLKEVDLSCTNLSILNLSYCSSLEILKLNCPRLNNLQLLACSMLVEEELEAAISHCSMLEILNIHSCPKIYIEDFERLRIVCPSLKRIHCSPLM >Ma07_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1855112:1856919:-1 gene:Ma07_g02340 transcript:Ma07_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLRLGEAPEKRGRGLGLMLGMRLVVGRGGKDEDEERRVEEEEEETEEGRGLAEAPLQLSLLPLLPVPPQQPSSPQLRLPWTTETKNLDASMRGFDMNHAPSAVAADEAASSSSSPKSIPSSFQMDFSAQRGGDEPGGGGEATTVEIGSLRVSDEEENGLGRKKLRLTKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLRRCCQTLTEENRRLQKEVAELRALKTSRPFYMHVPATTLSMCPACERVASTTTIPTAPATPSADHRPNSFAALFSKPVALQSGSRTAPSAPRLPSPAS >Ma00_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17037777:17041475:1 gene:Ma00_g02270 transcript:Ma00_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRRRYSRSPSPYKGGSKTRSRSMGLSHSRSQSPRPRNHTRSRSRSHDRNETANHGNTLYVTGLSSRVTERDLEDHFSREGKVVGCHLVVEPRTRASRGFAFVTMDTTEDADRCIKYLNQSILEGRCITVEKSRRGRPRTPTPGKYLGVVSTRDSYRSDRGRYYGGYSRDDYGGGGGGGGYRRSPKHSPYWGGGSPRRSPYGGRSRREQSRSPYYAYRSPERAAGYGRRPNGYGR >Ma01_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12342286:12349795:-1 gene:Ma01_g16880 transcript:Ma01_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSPPAAPPDPFDEFFPQQQSQGSDDDDADSNYSSCDGEVSELERYCSANSVLGSASLCSSVGNYGDLLDFSDLSGGIENSLRARPNGGAAAPWDRFDPPSDEGGVASPREVGPSWSRRLMAFSDRTGSLHPASVDPPGDGTPVRELEGRQEIVSSNRKVVDFLARDEISAGHNDRCSCAVEVYSSGNFDSYPDAESRMMMDADEDTYSRDEHSDGEDSLLEYSSDCHNSSGRYEKRKSLCIDEIKRDNPNPLLMNSSIAFGSDDLDELVRECDGLGLQCPSLYQDQPTFQSVVPSKGSIHDVDKEEDVIDDVSAPSCQLYGTDQPNQNVRLSPVKNPLDDHEISKKGKSLPGEDTIEDQIKSMHKGLRGDICSIYNGIISDIDVDEAPEKQVFSESTPADHDTMAYSSVSAGAFQREEFLCQEHDKPSLSPPVVLNGQGSSFQIELNRTVNLTDLAEEDIFTDQNKKQDAGDAYDEMVLEMEEILLDTGESNGIRSMANQGYLNHQSHHFRDGSSTASTSGTDDVYPPAQYPSRIDWVEVIGAKQKIGDVSFGERLVGVKEYTVYVLKVWSANDQWEVERRYRDFFALYQHLRTLFSNHDLSLPSQWSFVERESMKIFGNASPDVVSKRSVLIQECLHSVLNSRYPFGFPSPLLCFLSPGKMAYNSSLLKTLVPQSLQKLGKGWNSKFSTYKDSPEDHSELGKTIPLVVDIKPRKSIQQLLELQHYTCAGCHKQLDVTKTLLGELVQTLGWRRPRFCEYTGQLFCASCHTSDTSVLPAKVLHHWDFSLYPVSQLAKAYLESIYDQPMLCVSAMNPFLLSKVPALLHVMGIRKKISAMFPYIRCPFRTSIQRGLGFRRHLLESNDFFALRDLVDLSKGAFAALPIMLETVSNKILEHITQQCLVCYDTGVPCAARQVCDDPMSLIFPFQEAEAARCGSCGSIFHKPCLAKVIICPCRKPTGATKNLSIQGHVESEEPLDELILPSNSNSASNLFSNALLKAKPDRIWRPKNRSPVILMGSLPSS >Ma10_p28900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35648500:35649301:-1 gene:Ma10_g28900 transcript:Ma10_t28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMKGYKVSAFGDWNFYDEKPIAQGLQSIMDTDFVQAHFFVGDGEDLFKVLSPYGHQPKTTGKRRGIEGEGKKVYYERKRRKPKAVDEDLYKIPPELLYQIPKKKKLLWKFWAGCLCLNCIA >Ma06_p38000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36903758:36907539:-1 gene:Ma06_g38000 transcript:Ma06_t38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVAEGITVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELHHYAPGVPVVLVGTKLDLREDKYYLADHPGVVPVTTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDAAIKVVIQPPTKRKDKKKKKSRHGCLILNFLRGRKLK >Ma07_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32030448:32031625:-1 gene:Ma07_g24810 transcript:Ma07_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKLLHLSLAAVLLFGCQSCDGKVMMEYIGATGNPVRLSDVPVDPNIDFHFILSFAIDADPSGAPQNGVFSPYWVSTLTPTAVRAMKADHPNAKFLASLSGWCLGDKVLRWYNPTDPSSWISNAFASLKSLAAEYHLDGIDVDYEVFPKNDTTFTFCIGELLTQLKEQGVITVATIAPYHDIAWRYAELFRRYGGVIDYVNYQFYTERVSRPSGYQEAFALRAKQFDATKLLPSYEVNGRGIQGDSFFDALRLLECKGFEVNGVMVFSADASSSNGYYYERKSQAFLLDNSTNCDARL >Ma08_p12680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9666615:9669713:1 gene:Ma08_g12680 transcript:Ma08_t12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RZFP34 [Source:Projected from Arabidopsis thaliana (AT5G22920) UniProtKB/Swiss-Prot;Acc:Q9FFB6] MELGAGQYGCSHYKRRCKIRAPCCGEVFDCRHCHNDAKNLLKVDLRDRHEIPRHQVQKVICSLCNTEQDVQQYCTECGGCMGKYFCAKCKFFDDNVSKKQYHCDGCGICRTGGEENFFHCDRCGCCYSNSLMDSHRCVERAMHHNCPVCFEYLFDSTKDISVLPCGHTIHLECVKEMRQHSQYSCPVCSRSVCDMSSVWKKLDQEVASIPMPEIYHNKMVPILCNDCGKKSSVRYHVLAHKCPGCSSYNTRQTRDGPSTCVTV >Ma08_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9666768:9669713:1 gene:Ma08_g12680 transcript:Ma08_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RZFP34 [Source:Projected from Arabidopsis thaliana (AT5G22920) UniProtKB/Swiss-Prot;Acc:Q9FFB6] MELGAGQYGCSHYKRRCKIRAPCCGEVFDCRHCHNDAKNLLKVDLRDRHEIPRHQVQKVICSLCNTEQDVQQYCTECGGCMGKYFCAKCKFFDDNVSKKQYHCDGCGICRTGGEENFFHCDRCGCCYSNSLMDSHRCVERAMHHNCPVCFEYLFDSTKDISVLPCGHTIHLECVKEMRQHSQYSCPVCSRSVCDMSSVWKKLDQEVASIPMPEIYHNKMVPILCNDCGKKSSVRYHVLAHKCPGCSSYNTRQTRDGPSTCVTV >Ma03_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9353376:9355136:-1 gene:Ma03_g12110 transcript:Ma03_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTGGRTVAFSPIKESPAAAEKAAGWEVRPCGMLVQKRGADADATAAPVPTIRVKVKHGSVYHEIYVSSQATFGELKKALSAKTGLHPLDMKLLYKDKERESTAFLDTAGVKDKSKVVLEEDPTAQAKRLLEMRKTDKMEKATKSISAISLEVDRLASKVSALEAIVNRGGRVVEHDVTNLIESLMNELIKLDAVVADGDVKLQRRMQIKRVQKYVETLDAVKIKNAMPRAKVQPSKEQPQQHPTQPQNHQRHPIQQQKRDSQPTRRPYQQQNLEQPQVVVTTKWETFDSLLFVPSTSTSTAAASSAPHARFDWEPF >Ma10_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25105025:25107287:-1 gene:Ma10_g11660 transcript:Ma10_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Ma10_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26972082:26974326:1 gene:Ma10_g14590 transcript:Ma10_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLNGVGGGGAGVRVPGMWRLADEMNPPGIGCRGMEAEYVRRFHLHEPTENQCSSAVFKHIKAPVHLVWSLVRRFDQPQNYKPFVSRCIVQGGVGVGSLREVNIKSGLPATTSFERLEHLDDNEHILSIKIVGGDHRLKNYSSIVTAHPETIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSERLALQDRTEPIDG >Ma01_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11009614:11011943:-1 gene:Ma01_g15200 transcript:Ma01_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSEERVSYTSPSEEDKVSHHDREEVGFFVDHHKSYVPKVSIPDNLYQSSPEHDFPEQDDPAQDPGYSSHLRANPEVNLKNVLSGLVAILTGRNRVNGSIQSRQLNSTSDVSFLSSELNGDSFLHPSVYYVPSAPPFEVEAIACNAHKEVLVADPPEWLPDSYSRVCMQCNSPFTAIIHGRHHCRFCGRIFCRNCTMRRCLLPVKFRERNPQRVCDTCYDRLEPLQRILIISNSNCVQSAKHDVTDWTCMRGWLNLPVGLSMEYEIYKATNILRSYCQVDRFDPEKSIPWAVLKRAKGLAILTVVKVGALLTYKVGTGLVISRRIDRSWSAPSAILSIGLGWGAQ >Ma07_p25240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32333850:32340024:-1 gene:Ma07_g25240 transcript:Ma07_t25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT2G43560) UniProtKB/TrEMBL;Acc:A0A178VVY6] MHVLHFTAMASSSALLLPSGPSFVKKAWCNPRILSTERIPILCVHCSASVSGPKTLSKRIYDDFTLCGRRTAIGMVLVTSVFGLPIVGSHGAGLPPEEKPRLCNEECEKELENIPMVTTESGLQYKDIKIGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGLPYIFRVGAGQVVKGLDEGILGMKVGGKRRLYIPGSLSFPKGLTSAPGRPRVAPNSPVIFDVSLEYVPGLDDE >Ma02_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26591032:26591901:-1 gene:Ma02_g20750 transcript:Ma02_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSLDGVDTSAILSEASKTVARLIGKPEAYVMIVLKGSAPMSFGGTEQPAAYGELVSIGGLNADVNKKLSAAVAAILETKLSVPKSRFFLKFYDTKGSNFGWNGSTF >Ma11_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22709598:22714006:-1 gene:Ma11_g17430 transcript:Ma11_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSADGSGAPDSWEMVDLDASMSRLLLSSKKSPASSPPPDALEEEEEEEAVAALGLSRSSSDTSERVAGVPVDAVSQVDQFLREALEKPRERLAILRMEQDIVKFIHDPTQQQLEFQTLPNSYLRLAAHRVAQHYYLQSFAILDNSLPDGSGSRILLRKTSTNCRMPPVRLADIPVNLPQEDGSVVLKVAIKQRPQKHSQNMGNANIHSSKTNYQKSVEERKEEYNRARARIFNSKDSSSSISDPEDEVKLPDTLQECSFISERTDEKSVMKASENYLGRSFSDSSSCSSRFNKSKIEKGPVVGRHKANSRVAIFRDREIDCKDPDYDRSYERYMQRFDPGFGFNGGPYTMPPLYSPAVNYNTEFPQLGSGHRAQVPVDHQPRPIPPHLHGPWLPPSAPTAMNYGPPEGMIPAFSSNHVRGHSNTPVYVHSSQFSVPPRPGMSFPPPDGHIQNFAQTHQQQRDACFGLARPR >Ma07_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11044885:11049110:1 gene:Ma07_g14690 transcript:Ma07_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKATAGDWSLTASTSGTQLPPPSDDMQGGSWANSLVILSTV >Ma10_p30650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36950935:36951183:-1 gene:Ma10_g30650 transcript:Ma10_t30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYGTSIDDACKKLQEMVEDAWKDINQECLNPTTFLAPLLQTSLYFTRILENVYKYIDAYTESNTTMRECISLLLVQPVPI >Ma08_p32930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43480229:43482289:1 gene:Ma08_g32930 transcript:Ma08_t32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQGCEIEAKGISYQISVPGRSHPLKKIWSREEEEQGGGALSHHPGPFGEVENAPANDKACEKFRVRFVLKNVSCRAKPSEILAIVGPSGAGKSTLLEILAGKITPPAPPTILINQRPVDKSDFRRISGYVTQQDTLFPLLTVRETLMFSARLRLGCGGDRGLSRSQLSARVESLLRELGLGRVADARVGDDARVRGISGGERRRVSIGVDVVHDPRILILDEPTSGLDSTSALQIVDMLKVMAETRGRTIILSIHQPGFRIVKLFGSVLLLADGAVLHHGTIDQLHSHLRSVSLELPHHANVLEFAIDSIETLRRSQSQHHQQQEPPANRAPPFQLPKKIVEGAEGKRDRCTLQQLFQQHKVVDEESLAGLDLDDLSYDYANSRLREIAILTHRFSKNVLRTKQLFACRTIQMLVSGLVLGSIFYHLMDENIRERVGLFAFILTFLLSCTTEALPIFLEEREILMKETSNGSYRVSSYVIANGLVFLPFLFVLAVLFSVPVYWLAGLRPSFSAFMYFLLLIWLILYTANSVVVCFSALAPNFIIGNSIIAGLMGTFFLFSGYFISKQWMPSYWVFMHYISLFKYPFEGFLINEFSGSDHCLANGFGVCLLRGDDVLREEGLRKECRWKNVMMMVCFILAYRFFSYLILRCRCRCVHKGGLRRALI >Ma09_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10961809:10964379:-1 gene:Ma09_g15650 transcript:Ma09_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENASGLQRQLARMKKDLFDQGYLDEQFDQLEELQDESSPNFVEEVVTLFFRDSSRLMANIDDALQQCPRDFHRLDNFLHRLKGSASSIGAAKMKNECTSFREFCSEGNLDGCLRSFQKVKNDHTILRQKLENYLQLLRQVGPVDKASRSGS >Ma09_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3169653:3174987:1 gene:Ma09_g04940 transcript:Ma09_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCCSSSSKLSLPLLHPMASTTTAADPQDARLIFVGDPDRSASPGSGFGASNAIRTTKYSLLTFVPRNLFEQFRRVAYIYFLALALLNQIPQLTVFGRQTAFVPLGTVLVLTAIKDAYEDYQRHRSDIAENNRLAAILPLHRPQATATVKKKWKEVRVGELVRVEANETLPCDMVLLGTSDPTGAAYVQTINLDGESNLKTRYAKVQTATLDPEALAGAILRCEPPDLNIYGFQATIELGDGPRIPLGPTNIVLRGCEIKNTSWVVGVAVYIGMETKVMLNSSGAPSKRSRLETRMNREIIVLAVIMIVLCSVIAICTVTWLHRNRDTLDTLPFYRKMDYSKVPPRVYLYNGLGLEMLFAFLKSIFSFQNFIPISLYISMEMSRVMQSFMMTRDKSMCHEATGNKLQCRALNINEDLGQIKYVFSDKTGTLTENKMVFQCASIYGVDYNDGVPLSPGEIAPHPAIGVGGEVLRPKVIVDTDRNLLRLLETGRDTEAGLHALDFFLALATCNTIVPQVVDTSDPGVKSIDYQGESPDEQALVYAAAAYGFVLIEKTSGHITIDVLGERQRFEVLGLHEFDSDRKRMSAVICCPDKSIKLFVKGADSAMFSILDKSFDSEIIQATEMHLHAYSSLGLRTLVIGMRDLSGTEFENWKLSYDKASTSLAGRADLLRDVAIKVESDIRILGATGIEDKLQQGVPEAIESLREAGIKVWVLTGDKQETAISIGYSCKLLSDDMTKIIINSHSKESCKQSLEDATSNCNQLTKLRTRTDEGGNDSARVLVALIIDGPTLFHILETELEDELYRLATSCDVVLCCRVAPLQKAGIVALMKKRTNDMTLSIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRIGYMILYNFYRNAVFVFMIYWYTFFSAVSLMNPINEISGLLFSAVYTALPTVMVGIYDQDLSRRTLLAYPELYGPGLRDEHYNLKLFILIMMDSIWQSLVIVFVPFYFYSESSLDEASLGDIWIISVVLLVNIHLAMDVFRWNWILIVTFLGVTSIAMGCIIAIDASPSAPGYWAINNLMATELFWLCLLCVVVFALLPRIVVKVFAAYIWPDDIQIAREIEKFAKQNNDSALEAPLQSSTDPQQENT >Ma01_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12024339:12025165:1 gene:Ma01_g16590 transcript:Ma01_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRILPSHKVIFTVFFFFFWILCLVVGSPVLAATIGSGDCQPQRCGNHTIKYPFWLSNKQPSYCGVSPFNVTCISSGGQAETLSLNVFDGLYHVKNIFYENKSVQLNAAGFDDDRCPLPTFNITSGLYPFDLSSANKRIFFLSNCSSPMNLSAFQNISCAADGGLAYFGGEYNGSGKLNFSGGVCKLFVVPVVGYIDVGIDVNYSALLRTGWLLNWTAPDCTVCSDSGGQCGFNDTTSKFMCICPDRVHLRKCG >Ma08_p32150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42856709:42859264:-1 gene:Ma08_g32150 transcript:Ma08_t32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROT3 [Source:Projected from Arabidopsis thaliana (AT4G36380) UniProtKB/TrEMBL;Acc:A0A178V4B0] MSTDPEVNKIVLQDDGRTFVPSYPRTVVEVMGETSILSMTGDEHKKYHGFVAKFLKAVPLMESVAKEIEQSMELASRRWKDKPQIYFQDEINQITFEILVRMLLGIEPGREMDLIRTEFYELIKAIICIPVKFPGTTLYKSVKSKEKMVELIKEIIRYKVEKGEHRALSSFVDVLIDEFHDASDQKTIEFICGAIIEMMIPGQDSVPMTTTLAIKYLTDNPAAIKQLREENMELKRKKIQSGEPYTWSEYTSLPFTLNVINETLRMANIVNAVWRRCLKDVEIKGYLIPKGWCIMTSFSYVHMDEEIYEDPFKFNPWRWEGKGQLNQNNFTPFGGGQRLCPGYHLAKMEIAVILHHFITSFSWVEAEPDTVTTFPRVRMKKKFPVIVSPISD >Ma10_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31352720:31356261:-1 gene:Ma10_g21660 transcript:Ma10_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSPRVFHTSPLSRFRHLASTSAFSLVCLVFGITGILFGVISVSLPVRRCLDMEPRSVSVVWDHGGGTGGGGTAERRKVMAFVGIQTGFGSVGRRRSIRRTWLPSHRQGLLRLEEATGLAFRFVVGKTKDKSKMAALQLEVKEYDDFMLLDIEEEYSNLPHKTLAFFKAAFALYDSDFYVKADDDIYLRPDRLSLLLAKERQNPQTYLGCMKKGPVFTDPKLKWYEPLAYLLGEEYFLHAYGPIYALSADVVSSLVALRNNSIRMFSNEDVTVGAWMLGMNVNHENVHSLCEPDCTSSSIAVWDIPNCSGLCNPEVKMLEHHKREICSGGSTTSNG >Ma01_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:471953:486269:1 gene:Ma01_g00620 transcript:Ma01_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MAPHVVLQSDLCLPLRHRSPPLPIAPRTAPSHRLRLLSLPYRRLAVRVSSSGSKNKGAEQQRGGLQTGFQRDSDGERLTVEVEQEEVEGRGGSDERYQGSEWKWPPWKHLPQRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEVGVLAWSLATILVPFVAGFMPGLVLSRVLVGVGEGVSPSAATDLIARSIPLQERSRAVAVVFGGLSVGSILGLLLAPPVIQNFGWESVFYFFGLLGILWCLGFEFIKDGQSLFRHEDIFGSGENLFRISNFFSASSYENYSWNDSFKELGDSLKDVPWKAFFKSEAVWAMIYAHFCGSWGHYTCLSWLPTYFSEELDLNLTEAAWVSILPSLGSILITSLAAPLADNLISNGVETTRVRKICQTIAFMSPAICMTLSSLDLGLPPWEVVAILTGGLALSSFALSGLYCTHQDISPKYASILLGITNTVGAVPGIVGVALTGNLLDSTHSWSLSLFAPSIFFYLTGTVVWLTFASSKPQNFSE >Ma02_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22931013:22932025:-1 gene:Ma02_g15090 transcript:Ma02_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKRWWRVATYWLAEHPAIVGFRWSHAESWGSTWPFLICSVATYTLAVAALRVVLWLVRQRRPVPLGPLPALHSLAMAFASTVIFLGLLLSVATEIRDARLFWQHGRARTTPLQWLLCFPLGTRPFGRIFFWSYAFYLSRFLHLLRTFLAILRLRPGPRAAVLRHSALLCMSFLWLEFSQSFQVVAILSATAAQVVVFGYRFWVGVRRGSGGGGDDAAAVVLPCQAALMGCNLACHLAMLLLHFAKGGCNGIQAWVVNLVLNAALLLLFVYCYVHTGTKTKKGWAWRSTTAISTALTPKVRSRRIRT >Ma09_p08680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5754806:5759745:-1 gene:Ma09_g08680 transcript:Ma09_t08680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRKVPTLVELCLQTAISNLRYIGDVGEVDLYLLKDILPHCNIDQLTHIEDSTQGRDLSPVTDALWKRFYEQQFGVESANTVIKRMKQKKIVFKWRQLFEAKTKEREEAQNKMGEKLKQRYAETQAKKQSRQIQICSKIPPSAGKRSYWGGSGPSGMSNVKGNLMKKAKLEYLNSHEAKVHALMRRNASQQNSLSQTSLPRSTRQNNFLQSNSASSLKNGKPVARK >Ma09_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5754806:5759667:-1 gene:Ma09_g08680 transcript:Ma09_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRKVPTLVELCLQTAISNLRYIGDVGEVDLYLLKDILPHCNIDQLTHIEDSTQGRDLSPVTDALWKRFYEQQFGVESANTVIKRMKQKKIVFKWRQLFEAKTKEREEAQNKMGEKLKQRYAETQAKKQSRQIQICSKIPPSAGKRSYWGGSGPSGMSNVKGNLMKKAKLEYLNSHEAKVHALMRRNASQQNSLSQTSLPRSTRQNNFLQSNSASSLKNGKPVARK >Ma09_p08680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5754806:5759667:-1 gene:Ma09_g08680 transcript:Ma09_t08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRKVPTLVELCLQTAISNLRYIGDVGEVDLYLLKDILPHCNIDQLTHIEDSTQGRDLSPVTDALWKRFYEQQFGVESANTVIKRMKQKKIVFKWRQLFEAKTKEREEAQNKMGEKLKQRYAETQAKKQSRQIQICSKIPPSAGKRSYWGGSGPSGMSNVKGNLMKKAKLEYLNSHEAKVHALMRRNASQQNSLSQTSLPRSTRQNNFLQSNSASSLKNGKPVARK >Ma03_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6138009:6139286:1 gene:Ma03_g08460 transcript:Ma03_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRMERTRNGDGPWGSGGSAAPALSSFPSPSPSSSSSSDFEFTVSLSPSSKRSCAQLCPADELFYKGQLLPLHLSPRISMVRTLLASTSASSSSTDTTATASRDSNGSSSSSSFSAADLILPEFDSSRPSSITEDEIRRFSTAKRPGGSKYLSSLATRFSVFLHRGSKKLDPSSVPNSSVPAPPPPPPPLPTKRANSLSSSSAKEVIRKYVKKVKPIYEKLSALQHRNNQQPLQQQRKKTFSFSIRKDRVLAGSGKKNVLGDDRNHARRKGLNCSSSSSFSGNLLAHPTRKKPWAASCPSSMRSSPSHSGLLYIGGGGFPEPPPALSLSASSMEELQSAIQGAIAHCKSSMIQANEKKAPFLSSDEEEFAGS >Ma11_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9805617:9813855:-1 gene:Ma11_g10420 transcript:Ma11_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIGSTTSWVLPFRRRPAVTPPPASVLLHLRRRPWQPRRILDPGGDAVLRWNRIFLVSCLVGLFVDPLYFYLLYIGGPACVRIDVNLGIIVTFFRTVADLFYLGHMLLKFRIAFVAPSSRVFGRGELVTDPHQIAMRYLKGDFVIDLIAMLPIPQIIIWFVIPAVSSSSANHTNNTLSLIVFIQYIPRLFLIFPLNARIVKATGVVTKTAWAGAAYNLLLYMLASHVLGALWYLLSIERQYTCWITECIKENATMTMPMCNPRFLDCSSLELPERKAWRNSTLLLSNCDATSDSAKFNFGMFADALTSEIVAATFIEKYLYCLWWGLKNLSSYGQNLATTTYVGETTFAILICIVGLVLFSHLIGNMQTYLQSITVRLEEWRVKQRDTEEWMRHRQLPPDLQERVRRFVQYKWLATRGVDEESILQSLPLDLRREIQRHLCLGLVRRVPFFSQMDDQLLDAICERLVSSLSTKDTYIVREGDPVNEMLFIIRGQLESSTTNGGRSGFFNSITLRPGDFCGEELLTWALMPNPSMNFPSSTRTVRALSEVEAFALRAEDLKFVANQFKRLHSKKLQHAFRFYSYQWRTWGACFIQVAWRRYKKRKLARELAEQEYPDYDPVLEDDESQDMEHDGAPLLADYADGGEVSSGETETGSNFQHLGATILASKFAKNTKRGANQKINQLSKAATSIKLPKLLKPDEPDFSMNNLDDK >Ma02_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21821456:21828202:-1 gene:Ma02_g13430 transcript:Ma02_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVNAEGGVPGAKSGPGDFRLELDWIKAPRIQRFVYNPFVFILMRSLKYATELILQDNYLYYFDIMFQDASKEVTNVQVNDISAAAPDEEDEGSPISSSSLTSSNEEDGKTSIADEKKAVKNTVEDTKTEVDCSGPEKVLKKPDKILPCPRCNSMGTKFFYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPFGAGRRKRKSITRHADSGSTICQESREEPSRASSAAASNILENGSAENAIHKQQSGMHVYCNGLAPLTQLQCYPESSWSYPWRPAWSNVAAMEAGRFWGFTTWSNGTWNIPWVGSNSGFISSSSSSSGSGCSGNDSPMLGKHSRDATLQSEEKTEKSIWVPKTLRIGDPEFNMGCPRYQALYRRFLREKLTAKVACASHEAIRGKDQDCQHHLRLFRNPCCKYEKKVFVSKFLLQRKFIISSAVTGLANQQRKIQLINVEQKEWLRNSSTES >Ma10_p30670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36955999:36965142:-1 gene:Ma10_g30670 transcript:Ma10_t30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERVIGGKFKLGKKIGSGSFGELYLGINVQSGEEVAVKLESVKAKHPQLHYESKLYMHLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRRFSLKTVLMLADQLINRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEALCKSYPSEFISYFHYCRSLRFEDKPDYSYMKRLFRDLFIREGYQFDYFFDWTIVKHPQIGASPRIRQSSDRTNGAIGPSFDRGERTSELRERFSGAVEAFARRNASGSAHHCDHSKHKTLDDALMSSKDAVDSEKTRPMYRHGSTSKMAVLSSFRPGSTEPSEPQNSRTSRIFSSSSRPLSGQRIQQPVVDSRSSSMSRAAVVRGTRNESLLRSLDLLSLGAEKRK >Ma05_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:593799:597714:1 gene:Ma05_g01000 transcript:Ma05_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPRDDPTKSCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKNWCKSKKKAFTTYSKKFDSEEGKKEVQVQLEKMKKYGSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTVAQKVDFAYSFFEKQVPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYKIGKSGDESHTAITEFDRTEKDITPMGGFPHYGIVNHDYLLIKGCCVGPKKRVVTLRQSLLKQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >Ma11_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24472903:24474319:-1 gene:Ma11_g19720 transcript:Ma11_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLRSFKPYVYCLLFFSLVPLSLSEIKHIHIVSDSRPLILFEQFGYSRGGHAVVSVHGASWKPPPQAKDYNVDLSTMGFFLVPVTHFPLLANESEHAVRYCPISSHFVTSVLRFKDLGTNASLSQSVDIENPDEYSLLFGSCNAGVETTMDVRTEMYNMDGSTKDYLPAGRKPLPKMYFTFSVVYLVFLLAWAVVCIKERATVDKIHVAMGALLLFKGLKLLCAAEDLWYVKKTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKNVLKIVIPMQVIENIASVVIGETGPTKKDWLTWNQIFLLVDIICCCAVFFPIIWSIRSLREASKTDGKAARNLEKLNLFKQFYLVVVSYLYFTRIIVPASGRLLNYRYHWCAYAAMEGASFAFYVFVFHNFRPVEKNPYLFVGDEEEEAAAGVLEMEEEAFEL >Ma05_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31104093:31106918:1 gene:Ma05_g20060 transcript:Ma05_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPSLPPPPKSDLRQLFALLFLFHSLRSRLAAAHQGSSSYAAAALTEWRSAHASYYAASDPRDTFGGACGYGDLGKSGYGMATAGLSDALFEKGAACGGCYEVRCVEELRYCLPGTSIVLTATNFCAPNYGLPADAGGICNHPNHHLLMPIQAFEKIAIWKAGVMPIQYRRVKCIREDGVRFTIDGKGFFYTVLISNVAGAGDVTAVKIKGSVTGWLPMGRTWGQNWHISADLKGQALSFEVTSSDGVTLTSYNVAPKDWDFGKTYVGKQFPF >Ma08_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10521701:10523605:-1 gene:Ma08_g13300 transcript:Ma08_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRSPSLRHLERAHARLLKLDPSLSSSTAGTLLSLYPLAAHGGASYAKSLFSQFLRASVLHWNTFIRGLSSGENPHEAIRVFRSMLRGGFLPNNFTYPFLLKACAACASESYGCAAHARIVKTGLELDPYIQSALIHAYSECKDLGAARRVFDQCDDRETVCRNAMIDGYVKAGELALARGVFDRMERKDVVSWNTMINGCAILGDLSEARKLFAGMPQRNVVSWNSMLAAHAKCGDVEGARTVFAEMPKRDIISWNTMLACLAQSGCSEEALALFDEMRRTDEKPTDATMVSLLSACAHSGALDQGEQLHASMAEHKIKLNTILSTALVDMYAKCGSISRAAEIFYAIEQKDLLAWNAIIGGMAIHGRADEALQLFDEMTKSGVRPDDITFVMVLTACSHAGMVKEGRRMLSCMKDSHGIDPKLEHYGCVIDLLARAGLFEEAAELTRAMPMEPSAPALGALLGGCRIHRNCEVADGVGRHLLHLQPGHSGRYVLLSNIYATAGRWDDAKEVRGRMLINGVAKTPGMSMIEPNGTPSSASS >Ma07_p17630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20905026:20922010:-1 gene:Ma07_g17630 transcript:Ma07_t17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MPIKNFRKRTLEHDPRPDDTEEDEEERRLVLEEVKLLQKQRERKPGIPALPTVPQHSPTGPGGAFRRSSSSSGAAGVGDKGDGDGGKEDLVLQDTFAQETAVTIEDPNMLKYVEQELAKRRGKKIDSSEKEEKDPMDELYVVPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRFVGKTKSELNIPSSYSADYFQRGRDYAEKLRRGKEAAKGAFFTNGEILHQESSRFVMKQN >Ma07_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20905041:20922010:-1 gene:Ma07_g17630 transcript:Ma07_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MPIKNFRKRTLEHDPRPDDTEEDEEERRLVLEEVKLLQKQRERKPGIPALPTVPQHSPTGPGGAFRRSSSSSGAAGVGDKGDGDGGKEDLVLQDTFAQETAVTIEDPNMLKYVEQELAKRRGKKIDSSEKEEKDPMDELYVVPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRFVGKTKSELNIPSSYSADYFQRGRDYAEKLRREHPELYKIRGAQVSDMGGKSTETNNSDVAGRRQAATDEFMLERFRKRERNRVMRR >Ma09_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4397180:4397583:1 gene:Ma09_g06910 transcript:Ma09_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVAVAVLLFVLLTPGVLFQLPGNERAVEFGSFKTNGVAIIVHAVLYFALITIFVVAVGVHIHIR >Ma11_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6621235:6626442:1 gene:Ma11_g08320 transcript:Ma11_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRVLLRRGAAAARGLLPTHAPETTAARFLQAQHHSSQSDSAASKPKRTKTFSIYRWNPDQPEKPQLQSYEIDLGECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKIPSSSAAATTITPLPHMYVVKDLVVDMTNFYSQYKSVEPWLKRKDPPPIPGKEVPQSKKDRAKLDGMYECILCACCSTACPSYWWNPETYLGPAALLHAHRWIQDSRDQYTKERLDAINDEFKLYRCHAIMNCAHACPKGLNPAKQIESIKKLQLQ >Ma08_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9011721:9014501:-1 gene:Ma08_g12020 transcript:Ma08_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLGVVFLGWLMIWGMLPTHTFGERWLPMLLSKTKTTYFGRLGTNVLIFTFPILFIAVVGCVYLHIVRKSDRNKSSSGALKAWRRPLLIKQPLGIVSGTELAFCLMFLAFIVWFFSCYLSNALANFKGEGGEKESNGLWLAKLEIAALRLGLLGSLCFAFLFFPVTRASSLLPLVGLTSECSIKYHVWLGHIVMLVFTAHGVCYIIVWAATNQLSEMLSWARVGVSNVAGEIALLSGLLMWATTFSRIRRRMFELFFYSHQLYILFLIFYLLHVGISFFCLVLPGVYLFMVDRFLRFLQSRNKVRLVSARILPSETVELNFSKDPSLHYNPLSILFINVPSISSLQWHPFTVSSNSNLESDRLSIVIKKEGSWTEKLCHKVSSSSLDRLEVSVEGPYGPNAMAFLRYDSIVMVSGGSGITPFMSIIRELIFRSTTDSPTPSVLLICAFKTSADLTMLDLLLPVSSTVSDFSRLRLRIEAFVTRESVPPNEPSKLIRTAWFISDPSDLPLAPVLGRDSWLWLGAIISSSFVAFLVLVGIVTRYYLYPIENGTNGFFSSWKSLLNLLFICICIVVTSTAAVLWNKKRSTMKAKIQNTEALTDRELESVPHQFIVGATTVHFGERPDFKKMLLESEGSNIGVMASGPSSLRHAVANICSSGPGKRLHFESISFSW >Ma06_p27770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29667764:29676097:1 gene:Ma06_g27770 transcript:Ma06_t27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRAAAAAGESDALIATLTNAVQALGRGFDVTSDARLLYCKGAPGSRLVLLDDTRTRSLVIADDGGSGSGSSIGQIVLPDVLLDVKICRERDRREPSRVCNFQQMAEHFNKKSGLSGTVPLGSFNSMFSFTGSWKVDAAATKALAMDGFYFPLYKAKLISDDLLLRDDVKRAVPHSWDPPLLASFIENFGTHIITSVTIGGKDELYIKQHHSSQLSESEIEKYVKDIGDQRFLNMEHQTLNAPLNYKEKDVTVIFRRRGGDDLVQNHAEWVHTISSAPDVINMTFLPIVSLLNGLPGIQNLSRAVDLYLEYKPPIEELQYFLEFQVQRVWAPAPVNIPGHQRKEPVCPSLQFSLMGPKLYISTEQVSVGRKPVTGLRLSLEGSKQNRLAIHLQHLATLPKIFLPHWDSHVAIGPPKWHGPEEQDCRWFEPIKWKNFAHVSTAPIESTETNIGDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSKVPGCTIRRSVWDHNPCPTFPQKLDDTSSSTSGDNSKLAKIVDMTEMLKGPQDVPGHWLVTGAKLGVEKGKIVVRVKYSLLNY >Ma09_p30960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40840445:40841636:1 gene:Ma09_g30960 transcript:Ma09_t30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSTSDLRSTVRDGTVWWMESVLDLVPPSFHGEARPE >Ma07_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24881716:24885681:1 gene:Ma07_g18240 transcript:Ma07_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLACLLPLFFIPVVNALPLLFYFIVGKIYWLFGWEYRKPERVPPSCPYKPAVKKIVDGSSETVSSVEPQRSGTEGDKNE >Ma09_p30650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40687904:40688384:-1 gene:Ma09_g30650 transcript:Ma09_t30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRACFVVAASMSAVEALKDQAGLCRWNYVLRSLQQRTKNNTVGSLSRAKRTSSSIDTRREWQAADAKAKQAEEALRTVVYLSCWGPN >Ma03_p26620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30298233:30300081:-1 gene:Ma03_g26620 transcript:Ma03_t26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGRRVTVCAKTTVVSMTPVRQGKTYPLSALDHAMGRHTLRLVFYYRPGPTMDKFKLKESLSEVLSQYPAVTGRLAREEEEGGGHGGWVVKCNDAGVRLAEARASVTLDEWLRSADDEEEMELAYWEPMDADPFIWSPFYVQITEFEDKAIAIGLSCPHMHADPTCAILLVRAWSDAHRRACVVYPPFLHAPAFFPRPQPNPSSPLLSFKSSSTPATHTKGRMSSATFVFSDAAVKSCLADAGLPLDSSPFDALAALFWTRIARASTPEPDAPLGLADLTLCIDFRKHMHAPLPHGFYGNAFHFYRTRADLGSGLEHVAAELRRHAAAIREEDFWEAVEWVHERRPGRREADPPFQMYGPELTCMQMDHQPFAYGAAFEKDGGRPAHVTCRVRGVEGAGLVLVMTAAEEGAARQVVVTLPEEVADRICQDDAIMKYVPVVMFAASAISRS >Ma03_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7369635:7372948:1 gene:Ma03_g09900 transcript:Ma03_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPAARSRLVTDADLLWPNPKKAKSTKKKGSRRRLAQETEDDFEADFREFNDESGESEEDDVVEIVDVKLPAFAPKDGQIALGPVEFDGPAARSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPEEAPTGLQKHLTKLTAPKAPTSDRPQESLNFNQSFGCLNDIDHDIYSTFDLFEEKVPIKQPMSQNSTEIEPAPPTDGPGMMYSDQGSNSFGYSEFGWEHEVKTPEITTSLDPTITEVQNFTCLENGGPLKKLKNNAGETVPAEENDGVKFSEDLSTFESLMKFLQTPYLDGGSDDSIDSFLNYDMTQDESGVDLWSFDDLPPVASSVY >Ma05_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4641000:4642436:1 gene:Ma05_g06240 transcript:Ma05_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSEDGDSVRIDWDSSTVIGRRLGLGLRRSSSADRTVSRRHLSLRLAGYDREVGGSVDGGRVFFEVIGRNPVLVCSGGGGETTRVYRNSEKGELRSGDRFSLSLTNPSFWVLKRREEGEEAVDQRVLDAVERRERRTSERKAKVEARGSEGNDEAVGGELELELGALDVSQIDPIKEFGFLVEGHEFDHYPRHKIRPAKEWNWLLEEQGGNSDDDDRLTDQGSSPKRNKGGKKNRRGGDYEDEEWTGEIEEENDTVGIGNARRSRHSTRSKDPKRPRNDDLAGTKKPVKGRDVNCRKDEDDDEEDDTLGGFIVNDGDDDEAYEEESEEEEEFDDVDEDADE >Ma07_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10671489:10680253:-1 gene:Ma07_g14230 transcript:Ma07_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMR domain-containing protein At5g58720 [Source:Projected from Arabidopsis thaliana (AT5G58720) UniProtKB/Swiss-Prot;Acc:O65573] MKPSTKKRSRKKKRKPAPAPGSDPAKEEEAAASAAEILVNGGSDDEQKRALNWLIDAFTSVSVDQIASAYREAGGDPFKAAGILGARLDDPAVGPAGGREAMGSGNGFGGSRRHKRVAAATGMVSDVIGKGYSGCGRRNKDRIVTNLQSKGCMNRMYKVEEAEQFLCSMLGDESELGMGVVTDVLDQCGCDIEKALEVLLDISASSCNKLKEKESQNRGIPRTNYSYTCPETSSNDHPKNTINSFQLRDRTSDSAYHLSEKEHVFQPFVGYSNREHGLVLADNEVPLPSKSEQSKPALQQKVLESLFNIPNSPKHESNCMNWKKVVTKVESFGQGLEFCSSSTEDTQSNAAYGKEDDYQVFRGVSKKHWDKMRTYYQEAAMAYSRGERAHASYLSEKGKLYRDIAREADEKASREIFETRNKHIKNMVTIDLHGQHVKQAIGLLKLHLLLFTYIPSVPYLKVITGCGADGVGKGKLKHAVLGLVEKEGVKWREENAGTLILSLDEPKEYSFVKCDTDSE >Ma07_p14230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10671489:10680253:-1 gene:Ma07_g14230 transcript:Ma07_t14230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMR domain-containing protein At5g58720 [Source:Projected from Arabidopsis thaliana (AT5G58720) UniProtKB/Swiss-Prot;Acc:O65573] MKPSTKKRSRKKKRKPAPAPGSDPAKEEEAAASAAEILVNGGSDDEQKRALNWLIDAFTSVSVDQIASAYREAGGDPFKAAGILGARLDDPAVGPAGGREAMGSGNGFGGSRRHKRVAAATGMVSDVIGKGYSGCGRRNKDRIVTNLQSKGCMNRMYKVEEAEQFLCSMLGDESELGMGVVTDVLDQCGCDIEKLRDRTSDSAYHLSEKEHVFQPFVGYSNREHGLVLADNEVPLPSKSEQSKPALQQKVLESLFNIPNSPKHESNCMNWKKVVTKVESFGQGLEFCSSSTEDTQSNAAYGKEDDYQVFRGVSKKHWDKMRTYYQEAAMAYSRGERAHASYLSEKGKLYRDIAREADEKASREIFETRNKHIKNMVTIDLHGQHVKQAIGLLKLHLLLFTYIPSVPYLKVITGCGADGVGKGKLKHAVLGLVEKEGVKWREENAGTLILSLDEPKEYSFVKCDTDSE >Ma05_p28000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39100840:39107757:-1 gene:Ma05_g28000 transcript:Ma05_t28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSPVPDGGGDGGNGVGLPPHSSRYPASGSPTASSSSQPPSDSDHFGHPAPSEGRLFSHDVSRMPDFPPRNPGHRRAHSEILSLPDDISFDSDLGVVGSHDGPSLSDEAEEDLVSMYMDGEKFGSVAATAGLSNGESSRSALSPVQAPPQGEIAASGSSEKLRIRHHHSQSLDGSTAIKSELLMSGGEGPSTAEAKKAMSAAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERQVHTFQTEATTLSAQLTMLQRDNNGLTAENSELKLRLQTMEQQVHLQDALNEALREEVQHLKLATGQMLPNGGPMMNLVSSPLGANHQFYHHNQAMQSLLAAHQLQRLHIQAQHPQQLPSHQNQQQRQLQQHPAQLLHQHPAQLLQQQQQQLLLPHLPGSDSSSNHLQT >Ma05_p28000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39100840:39107757:-1 gene:Ma05_g28000 transcript:Ma05_t28000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSPVPDGGGDGGNGVGLPPHSSRYPASGSPTASSSSQPPSDSDHFGHPAPSEGRLFSHDVSRMPDFPPRNPGHRRAHSEILSLPDDISFDSDLGVVGSHDGPSLSDEAEEDLVSMYMDGEKFGSVAATAGLSNGESSRSALSPVQAPPQGEIAASGSSEKLRIRHHHSQSLDGSTAIKSELLMSGGEGPSTAEAKKAMSAAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERQVHTFQTEATTLSAQLTMLQRDNNGLTAENSELKLRLQTMEQQVHLQDALNEALREEVQHLKLATGQMLPNGGPMMNLVSSPLGANHQFYHHNQAMQSLLAAHQLQRLHIQAQHPQQLPSHQNQQQRQLQQHPAQLLHQHPAQLLQQQQQQLLLPHLPGSDSSSNHLQT >Ma05_p28000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39100840:39107757:-1 gene:Ma05_g28000 transcript:Ma05_t28000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSPVPDGGGDGGNGVGLPPHSSRYPASGSPTASSSSQPPSDSDHFGHPAPSEGRLFSHDVSRMPDFPPRNPGHRRAHSEILSLPDDISFDSDLGVVGSHDGPSLSDEAEEDLVSMYMDGEKFGSVAATAGLSNGESSRSALSPVQAPPQGEIAASGSSEKLRIRHHHSQSLDGSTAIKSELLMSGGEGPSTAEAKKAMSAAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERQVHTFQTEATTLSAQLTMLQRDNNGLTAENSELKLRLQTMEQQVHLQDALNEALREEVQHLKLATGQMLPNGGPMMNLVSSPLGANHQFYHHNQAMQSLLAAHQLQRLHIQAQHPQQLPSHQNQQQRQLQQHPAQLLHQHPAQLLQQQQQQLLLPHLPGSDSSSNHLQT >Ma02_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26059686:26063818:1 gene:Ma02_g19990 transcript:Ma02_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPAALANTDSIHDGTEDADPLHVLNRRHFLAVKSHFRQRKHEALLALAVEDPGRPVNLMNDTLLHVVIACNKTDLAKSIILQMPVETLAAKNLYGDTALHVAAAVGNSEVARELFGKREDLIGEQNLKQETPLHKAAFYGHHDMFWCLVDEGNGSPYERREDGATMLHCAIMGNEPGLALEIAEHFPLLITSRNTMAVTPLQLMVTVPGLFRSQMELGGFESILHDFIPLEKDSHRTRRRDEEEAGGSSNDAGQAEAAEQDDHEYFGRHRTIRSRFPSCCCTLVDILVFPVKWVRLFLFFIIRTLYPRTRHLEKIKRTHRKALELIEFLARDPRNMEFYVLGRMQGDGGAPAAGFRERGGRQDQLNAPAASTRRWNEPPLILGAQMGIPEFVSTILRVCPEAATYLDTRGRSVLQVAIEHGNREIVRTIREMTQGKNPILPSWLLSRVDKGTGRTILHFASANAPEHNQDALQMQDELRWFETVRDMVPKELVYSRNAQEMTAEEMFTKSHQALLKSCKVQLMETGRLCSGLIAAVVFASSFSIPGDKDPATGNPVYFGRAAFKVFSHAYVIGLSCAATSLVLFLSLAMSPNKEQQFRRIIPTKYFFARSSFGFAMLSFLVAFTCNIYLQLYGWQKTKSKDLIPFVLELTVFPVNCFLVLFFRGFTFDIPFLFRSWR >Ma05_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11247435:11282163:-1 gene:Ma05_g15180 transcript:Ma05_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGMRGLSVFISDVRNCQNKEQERLRVDKELGNIRTRFKNEKALTHYKKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLTENHEFLRMVINTVRNDIIGRNETFQCLALTMVGNIGGKEFSESLATDVQKLLVSSSCRPLVRKKAALCLLRLYRKNPDVVNIDGWSDRMSQLLDERDLGVLTSVMSLFVALVSNNVEAYWNCLPKCVKILERLGRNQDVPQEYTYHGIPSPWIQVKTMRALQYFPTIEDPNTKRALFEVLQRILMGTDVVKNVNKNNAAHAILFEALALVVHLDAEKEMMSQCVALLGKFVAVREPNIRYLGLENMTRMLLVSDVQDIIKSHQAQIIISLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLNTADFAMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAATKAREYLEKPVLHETMVKVSAYLLGEYNHLLARRTGCTPKEIFIIINEKLPTVTTSTVAIVLSTYAKILMHNHPPDPELQEQIWSIFRKYESYIDVEIQQRAVEYIALCNKGAALVDVLAEMPKFPERQSALLKKAEVAEVDTAEQSAIRIRSQQQTSNALVVTDQRPTEGSLPVSQLGVVRMPSGKMEASTQDQTSFQDQGMTKENGVITEVVPQDVPPADLLGDLLGPLAINGSPATAVPVEQRNQNLLSVVEATPEAAGSLALTTVDNQPNSIQPIVNIAERFNELCLKDSGVLYEDLHIQIGIKAEWQAHHGHIVLFLGNKNTSPLVSVQALILPPTHLKMELSLVPETVPPRAQVQCPLEFVNLRASRDVAVLDFSYKFGTAMVYVKLRLPAVINKFLQPISVTAEEFFAQWKSLSGPPLKLQEVLRGVKPLSLPEMANLFTSLHLAVNPGIDTNPNNLIACTTFYSESTRATLCLIRVETDPSDRTQLRITIASGDPTLTFELKESIKEYLVNIPTQTSSAVVAPSQPQSPVTPVAYNDPGAMLAGLL >Ma05_p15180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11247434:11282163:-1 gene:Ma05_g15180 transcript:Ma05_t15180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGMRGLSVFISDVRNCQNKEQERLRVDKELGNIRTRFKNEKALTHYKKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLTENHEFLRMVINTVRNDIIGRNETFQCLALTMVGNIGGKEFSESLATDVQKLLVSSSCRPLVRKKAALCLLRLYRKNPDVVNIDGWSDRMSQLLDERDLGVLTSVMSLFVALVSNNVEAYWNCLPKCVKILERLGRNQDVPQEYTYHGIPSPWIQVKTMRALQYFPTIEDPNTKRALFEVLQRILMGTDVVKNVNKNNAAHAILFEALALVVHLDAEKEMMSQCVALLGKFVAVREPNIRYLGLENMTRMLLVSDVQDIIKSHQAQIIISLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLNTADFAMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAATKAREYLEKPVLHETMVKVSAYLLGEYNHLLARRTGCTPKEIFIIINEKLPTVTTSTVAIVLSTYAKILMHNHPPDPELQEQIWSIFRKYESYIDVEIQQRAVEYIALCNKGAALVDVLAEMPKFPERQSALLKKAEVAEVDTAEQSAIRIRSQQQTSNALVVTDQRPTEGSLPVSQLGVVRMPSGKMDQTSFQDQGMTKENGVITEVVPQDVPPADLLGDLLGPLAINGSPATAVPVEQRNQNLLSVVEATPEAAGSLALTTVDNQPNSIQPIVNIAERFNELCLKDSGVLYEDLHIQIGIKAEWQAHHGHIVLFLGNKNTSPLVSVQALILPPTHLKMELSLVPETVPPRAQVQCPLEFVNLRASRDVAVLDFSYKFGTAMVYVKLRLPAVINKFLQPISVTAEEFFAQWKSLSGPPLKLQEVLRGVKPLSLPEMANLFTSLHLAVNPGIDTNPNNLIACTTFYSESTRATLCLIRVETDPSDRTQLRITIASGDPTLTFELKESIKEYLVNIPTQTSSAVVAPSQPQSPVTPVAYNDPGAMLAGLL >Ma08_p32210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42918178:42924235:-1 gene:Ma08_g32210 transcript:Ma08_t32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAALSPSYTPLLRNSKNPPTPRRNLLLLPRITCRSRLQPLAAAASSPQGLPGALRPLVSLPSLSDRRPDDRFAARAASVPDSASAGDGATASGGILQTVQLGSLFGLWYLFNIYFNIYNKQVLKVFQFPLTITTVQFAVGTCLVLFMWTTNLYKRPKISASQLAAILPLAAVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGEMPTIWVLASLVPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKVMVKKEESLDNINLFSMITIMSFFLLAPATLFVEGIKFTPSYLQSTGLNLKEIYMRCCLAALCFHAYQQVSYMILARVSPVSHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGIALAGVFLYSRVKKIKPKTA >Ma07_p26830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33447655:33455815:1 gene:Ma07_g26830 transcript:Ma07_t26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTIEEEAEEEEDEESEQQPAHHVASAVAAEEEACRAASVCLELWHACAGPRIWLPKKGSLVVYLPQGHLEHLRDGGGGRGRGGIGGYDVPPHVLCRVVDVKLHADAATDDVYAQLSLVAENEEYEARLKKGEVEQNAEEENDESISKSLIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELITKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNRKKLISGDAVLFLRGNDGMLRLGVRRAAQFKNICPVSEHQSGNMNLAAFAVVANAVSDKNVFDIYYNPRVSSSEFIIPYRKFVKSLSNSISVGMRFKLLYEGDDATDRRSTGLITGISDMDPVRWPGSKWRCLLVNWDDVVNANQQTRLSPWEIKPTCSVLSSGSLSTTGCKRAKVTLPSVNMDFPIPNGNQCLDLRESASFHKVLQGQEFSRFRIPSSVGVLASHVSEVEKCQHSEGFSKSLGLHKVFQGQEVFSNHPPILGAHSDADARNGVYGLFDGLHTFHAVSRLSTASLGYGTIVQPSSPSIQASSPSSVLMFQEASSKTSMVQPVPCRNGQDGGDGGSCFANLTGMEALHRKEATLPIWPPIMGFHFANQQHKMIEVHAPILDNKLDTQNDQNVSRNGCRLFGFSLTEKIPVADSVGKPLPVSSTSTQVKLDAAFSTSVAQTPAKPVGCSCNGISAAYTMCTAPF >Ma10_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26763007:26765890:1 gene:Ma10_g14250 transcript:Ma10_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTPKNILITGAAGFIASHVANRLVRNYPDYKVVVLDKLDYCSNLKNLHPSLCSPNFKFVKGDIASADLVHFLLLTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLQLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGEVGHVYNIGTKKERRVIDVAKDICGLFNLDPDSVIKCVDNRPFNDQRYFLDDQKLKNLGWSERTTWEEGLRKTMEWYTSNPGWWGDVSGALVPHPRMLMMPGIERHFDASEATKDMASHFTNNLSQTRMVVPAPRNAAASQKPPLKFLIYGRTGWIGGLLGKICTKQGIPFEYGKGRLEERSQLISDIQSVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVVGTLTLADVCREHGLLMMNYATGCIFEYNDAHPEGSGIGFKEEDKPNFTGSYYSKTKAMVEELLREYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNCRGIWNFTNPGVVSHNEILEMYKKYIDPSFKWANFTLEEQAKVIVAPRSNNEMDASKLKKEFPELCSIKESLIKYVFEPNRKVPLGGETK >Ma03_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3289196:3291626:-1 gene:Ma03_g05000 transcript:Ma03_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRKHIGFSPRYNFWRLQNGLEENEESQRKVLKPAREQEGESDQTSADLRLSSLSTALSNPFTPLQISAGGFSSDIAHIPVTGNPNAFALAPSFSRLASSSSSEPSKQAGNGEDSSQKRTFDSESTSHTYKCLPI >Ma02_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22256477:22262047:-1 gene:Ma02_g14120 transcript:Ma02_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGAVVMAWNVFRFCTALRGLGSLMILIVLGIVGVSYYAVVVAIYGPALLTAGWLDSLLAVGVLVPFHLLLGLLLWAYFSVVITDPGSVPPHWKPVIDEEEGETAPLANSEFTSHILNLQQPGPVADTGSPRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKFFLLFLFYTFLETTLVTVSLFPHFIAFFKDVEIPGTPGTLAATFITFVLNLAFALSVLGFLVMHISLVMKNTTTIEAYEKKTTPKWKYDLGRKKNFEQVFGTDKKYWFIPAYSEEDLRRMPALQGLKYPTKPNLDVQ >Ma07_p09760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7317806:7321856:1 gene:Ma07_g09760 transcript:Ma07_t09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVEKKQPMEAEGEMCAPPSRPRGGGGEGLRQYYLQHIHDLHLQIRQKTNNLQRLEAQRNDLNSRVRALREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKLTPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >Ma04_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23923398:23930460:-1 gene:Ma04_g21360 transcript:Ma04_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGVLMSCLGGGEGFASRSLSPRPHYPSMPRYPKRKPTAAGKEESGEADLEATSKSVAEEKRVALFSVVGMTCAACAGSVEKAIKRLPGIHDAAVDVLNDRAQVIFYPTFVSEYTIRETIEDVGFGAALIKVEMKEKSALTHGLHIKGTTSSSSNIEYVLQAIPSVQKTSVVLATKEAEVFHDPRFVSADQLNKEVEDTGCGSIFVTTGEDMNRIELKVDGTFSISLVTSSLQSLPGVDAIDIDPALHKITISYKPDQTGPRNFIEMIESIGSGHLKASIYPQVRRKELHRYDEIKQYYRSFLWSLVFTIPVFLTSMVFTYIPVFKQVLDERLVNKLSIGELLRWILSTPVQFIIGRRFYVGSYKALRHGSANMDVLIALGTNAAYFYSLYSVLRAATSATFMATDFFETSSMLISFILLGKYLEILAKGKTSMAIAKLMDLTPENAILLSYDNEGNVVNEREIDSRLIQKDDVIKVMPGGKVASDGFVLWGQSHVNESMITGESKPVAKRKGDTVIGGTVNENGVLHVRATNVGSESALSQIVRLIESAQMAKAPVQKFADRISKYFVPLVIVLATFTWLIWFLAGKFNSYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCVVFDKTGTLTTGKPVVVSTRLLKNMVLRDFYEYVAAAEVNSEHPLAKAIVQYANKFGRDEVNHVWPEAQDFTAITGHGVKASVGNKQVIVGNKNLMVESGIDIPAEASEILAETERMAQTGIIASIDREITGIIAISDPLKPGAREVISLLSSMKVKSIMVTGDNWGTANAIAKEVGIDTVMAEAKPDQKAEKVKQLQMSGFTVAMIGDGINDSPALVSADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRISTNYVWALGYNIVGIPIAAGVLFPFTGFRLPPWVAGAAMAASSVSVVCCSLLLRNYRRPTKLDTLVMSKVVVD >Ma05_p31530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41405704:41406496:-1 gene:Ma05_g31530 transcript:Ma05_t31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCRFGGGEGEKEVACINMANVLLLLSRGRSGGGTQESDYLVQSSSERVFECRTCNRQFPSFQALGGHRASHKKPRLDGHGHGQAQAGAAAKRRVHECSICGVEFAIGQALGGHMRRHRATTTGGSGLILAAEKPVERRGKLLDLNLPPLENDIKLGPGSEVMDEIPMVDCWH >Ma04_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6278368:6282014:1 gene:Ma04_g08790 transcript:Ma04_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 9 [Source:Projected from Arabidopsis thaliana (AT1G55080) UniProtKB/Swiss-Prot;Acc:Q8RWA2] MDHQYNGGSWMMIPNQNPLNQDFHSLQPQYPPPPLLSQPQQQPQQQQQQHHHHPSLASHFHLLSLVERLADAIDSGGRDQQYEALVTELTNQFKRCQQLLDSISETISTKSLTVEGQKRRLEETMQQLNQRRDLVVKYRSHVEELVKPERNR >Ma05_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3737366:3742270:1 gene:Ma05_g04860 transcript:Ma05_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKKSTLRLRLRVTARKKGRELIPGECSDRKRRERECPNSVRKLQRREIGGFPRLARGTATGAPEKFRNIQLQEEFDTYDHNVHWFLKLQFLKKRSKIIEIVAAKDVIFALAQSGLCAAFSRTTNKRICFLNISPDEVIRSLFYNKNNDSLITVSVYASDHFSSLKCRTTPIEYIRRNQLDAGYPLFESESLKWPGFVEFDDVNGKVLTYSAQDGTYKVFDLKNYSFLYSICDKDIQEIKISPGIMLLIYQRTQSHVPLKILSIEDGKVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSNLIEVSRTEFMTPSAFIFLYENHLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAEDGCDEEGEVPTVGSINMSNILTGKCIAKICPLDPALQITPRKRGDNSRSTIRSTIREALEDVTALFYDEDRNEIYTGNKQGLIHVWSN >Ma04_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11532306:11537319:1 gene:Ma04_g15210 transcript:Ma04_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEVKPEEITHPPMDQLHGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPTLLVHLMGGTDDDKVKVVQTLLFVSGINTLLQTLFGTRLPIVIGGSYAFVVPIISIIHDSSLAQITDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGICSRFFSPLGMVPVVSLVGFGLFDRGFPVVGRCVEIGIPMLILFIASSQYLKHLHIRRWPILERFSLLITIVIIWIYAYLLTVGGAYKHRPERTQVNCRTDRANLISSAPWIKIPYPLQWGAPTFNAGHSFGMMAAVLVSLIESTGAFKAAARLASATPPPAHVLSRGIGWQGIGILLDGLFGTASGSTVSVENVGLLGSTRVGSRRVTQISACFMIFFSIMGKFGAFFASIPFTIFAAVYCVLFGLVAAVGLSLLQFTNMNSMRNLFIVGVSIFLGLSVPQYFFRYTLSAQHGPAHTNAGWFNDYINTIFSSPPTVALIVAVFLDNTLDFEDAAADRGMPWWTRFRTFKGDSRNEEFYTLPFNLNRFFAPS >Ma03_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26232334:26233664:-1 gene:Ma03_g21220 transcript:Ma03_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYRRILSGDDHPAPPPLSRDGHSGLPFTHIILIAAAVFAGVLLFFTYYAVLRRRRRPFGAATAGVGPEYDDAASLDDGEPFHHVWYIRTVGLDESTIGSIAVAEFKAGDGLLDGASDCSVCLGEFRDGELVRLLPKCGHAFHISCIDTWLRDHANCPLCRSHIVDPDAEPAIPAAAPALPTAAAGESVDLDSGSFAPVETSQMGIQALEEEEDGGGPGSVIEIGIPVNSLQVFDSWSESSVSRVQSDLVECGLQPVWRSVSMDTPSMDAVIFRVRPEEGPIDEEGEDLNFEKDSMPNNRGKQGNSSNGVEDQKDDSGIGRSLSSSGRGFFFSRHGRVNRIHSMPL >Ma07_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30121943:30122182:1 gene:Ma07_g22040 transcript:Ma07_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTNIACIVLVAAASAATALAAEAPAPGPASASFAITPSMGAAVGAAALSFFAFYLQ >Ma06_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18254883:18255862:-1 gene:Ma06_g22470 transcript:Ma06_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKQVEQAHKGIDALGLCQKTINQIRENFLSIEKFITNRLCQEYQTLIENDDKIKLLSNARNNLSTTLQDVGGMMSISLEAAAAHDSLRDDKELIHTFERLTALDGKRRFALAAAASHKE >Ma01_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3968573:3969065:1 gene:Ma01_g05610 transcript:Ma01_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIGCFSWERGSSGDTCTIASQDKADISVASV >Ma10_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25183346:25189994:1 gene:Ma10_g11790 transcript:Ma10_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAEEVDWVWVGRPGEADAAEWRAAAAAGLQDEVERPLKVVFTSPAAHWTDAAPLGNGRLGAMVWGGVASETIQLNDDTLWTGVPGDYTNPDAPAVLAKVRKLVDSGDYAAATAAAFGLSGLHSGVYQPLGDINLVFGDSDTRYSAYYRDIDLKTATVNVKYTIEDVEYTREHFSSNPHQVVVTKFSADKAGMLSFIVYLDSKLQHHSSVSGTSLIVMEGSCPGQIISSGEIKSEKSSGIKFSAILDLQCGGVGSKVQVLDEGKLKVEGADWVILLLAASSSFEGPFTKPSDSKKDPTSAALNTINSIRNMSYTQLYAYHLDDYQSLFNRVTLQLSKESKNALEEENFVAVQKGHKTHSDAPKVEKGNSSRFASSTISTAERVKSFKNDEDPSLVDLLFHYGRYLLISCSRPGTQIANLQGIWNKDTEPAWDGAPHLNINLQMNYWPSLPCNLSECQEPLFDFIASLVRNGSKTAKVNYEASGWVAHQVTDIWAKTSPDRGDPLWALWPMGGAWLCTHLWEHYSFSMDKDFLQNTAYPLLKGCASFLLDWLIEGRGGYLETNPSTSPEHSFIAPDGKTASVSYSTTMDMAIIKEVFSAVISSDKVLGNSDSEFVQRINKALSRLPPTRIARDGSIMEWAQDFEDPDVHHRHVSHLFGLFPGHTITIGKTPDLCKAAANSLYKRGDAGPGWSTTWKMALWARLHNSEHAYSMIKQLIILVDPDHEANYEGGLYSNLFTAHPPFQIDANFGFAAAIAEMLIQSTDHDLYLLPALPRDKWPTGYVRGLKARGSTTVNIRWKEGELHETWFWTRNKNSIRRLHYGGHVTTVTLSCGNIYRFNKHLKCVKTYPFG >Ma01_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6153076:6157233:1 gene:Ma01_g08570 transcript:Ma01_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRELLDLNLTETTEKIIAEYIWIGGSGMDMRSKARTLPGPVSDPSKLPKWNYDGSSTGQAPGDNSEVIVHPQAIFKDPFRRGNNILVVCDAYTPAGDPIPTNKRFNAQKIFSHPDVIAEEPWYGIEQEYTLFQRDVPWPLGWPVGGFPGPQGPYYCGTGADKAFGRDIVNSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGITAGDQLWVARYILERITEIAGAVLSFDPKPMQGDWNGAGAHTNYSTKSMRNDGGIEVIKKAIEKLSKKHKEHIAAYGEGNDRRLTGRHETADISSFSWGVANRGASVRVGRETEKDGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Ma06_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10151573:10154783:1 gene:Ma06_g14880 transcript:Ma06_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTRSAVSTMFIVFVCTRLICARMHLRDTRMAFLAATRSDLGILEHGVNGLEPVVVANFPIKKFGDQSLALGQEAH >Ma03_p09110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6718262:6722941:1 gene:Ma03_g09110 transcript:Ma03_t09110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRAHRGKHRPRALVVPLLAVSAAAALAVIWFLFFPPMLTSGFSLAPPAAAYASESEGSSGLERKYLYWGSRIDCPGKHCDYCAGLGHQESSLRCALEEALFLQRVFVMPSRMCINPLHNKKGILHQHSNTSSKERWAANSCPMDSLYDFDLFSSKVQVILDNSKMWYRILSTSMKLEESGVAHVEGVSRVDLKENTHYSNVLLINRTTNPLAWFMECKDRTNRSSVLLPYSFLPMLAARKLRDAADKIKGLLGDYDAIHVRRGDKIKTRKDQFGVIRTLHPHLDRDTRPEFIQQRIEKWIPAGRTLFIASNERSPGFFSPLSARYKLAYSSNFSDILDPVIENNYQLFMVERLVLVGARTFVKTFKVDEKDLSLTDDPKKNAKHWQVPIYTMEREGR >Ma03_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6718262:6722941:1 gene:Ma03_g09110 transcript:Ma03_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRAHRGKHRPRALVVPLLAVSAAAALAVIWFLFFPPMLTSGFSLAPPAAAYASESEGSSGLERKYLYWGSRIDCPGKHCDYCAGLGHQESSLRCALEEALFLQRVFVMPSRMCINPLHNKKGILHQHSNTSSKERWAANSCPMDSLYDFDLFSSKVQVILDNSKMWYRILSTSMKLEESGVAHVEGVSRVDLKENTHYSNVLLINRTTNPLAWFMECKDRTNRSSVLLPYSFLPMLAARKLRDAADKIKGLLGDYDAIHVRRGDKIKTRKDQFGVIRTLHPHLDRDTRPEFIQQRIEKWIPAGRTLFIASNERSPGFFSPLSASDILDPVIENNYQLFMVERLVLVGARTFVKTFKVDEKDLSLTDDPKKNAKHWQVPIYTMEREGR >Ma11_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5341738:5341947:1 gene:Ma11_g06620 transcript:Ma11_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAEGGGRRWRPRRRCSPGPRRIRPRGTGRPGCRPHWGGGRRPSGGGKRRRISRRCGASFGPWRRCGG >Ma10_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28149290:28150498:1 gene:Ma10_g16450 transcript:Ma10_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTDPCHHHPSISCLLEPKDPREEEKWAAELIQECAKAIAEKDSSKIHHLLWMLNELATPYGDCDQKLASYFLQALLCRATEAGELCYTTLISAAEKQQSFVTARKVMLKFQEVSPWTTFGHVASNGAIMEAMEGESKLHIVDISNTYCTQWPTLMEALASRGSDTPHLRLTVVAMVSMGGSVMDEIGRRMVKFARLMGVPFEFRVVSIASTLGQLTEEELGLRKDEAVVVNCVGALRRVRVEERDAFVRMLCALRPRVVTVVEEEADFTTSKGDLVACFEQCVKFYSIFLGMLEESFSPTSNERFLLEKECSRSILGVLACNGGGVSERREKASQWCERLTEAFAPTAFNDDVIGDLEALLERYREGWSLVPAKGNAAGLYLTWKAEPVVWASAWKPIKP >Ma03_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9752645:9754329:-1 gene:Ma03_g12660 transcript:Ma03_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLLSMRAWLLFVSVSATGDQNGSHQYEHLIRQVVASDPPSPADGACKSIMGTYVYKCEEHEVTTKDGYILGVQRIPVGRSPARNGGSGTPILLQHGLFMEYWDWSWDELAEYDLPATVEYVYGHTGKQKLHYVGHSLGTLMALASFCHSELQEMVRSAVLLSPIAYLNKIGPAFVRIGVELFVPEVNIWGSQIFIQGCIRKNCCLSSSAAHRYLELGLQPTATKNIIHLSQMIRRGTLANVDYDDCDKNTKHYGQEVPPAYDISSFPHDLPLLLGYGGVDGLSDGGDGLRLMDGLRFHDQHKLTVLYRLDYGHDDFIMAVNAKQLVYDRVIGFLKLH >Ma07_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6188674:6194512:-1 gene:Ma07_g08300 transcript:Ma07_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQLCYKAAEQLQNPLCFLIQQRGIHSRNKKAMELVAKGWSALQEVDRVIDYADRNDHRLIPLLRGAKENFELALEIDNMNTHARYWLGRMHLKYHVPGACKAIGAALLVEAANMGDPDAQYELGCRLRVENDHVQSDQQAFYYIEKAVDQLHPRALYLLGAVYLAGDCVKKDVASAIWCFHRASEKGHAGAAIAYGSLLLQGYEVPEVITRFNSDRSPSTGALRKKGKKVRHDPLVLAKEQFQIAADAGCDLGLRWLKTISDDEKLQQQTTQ >Ma07_p08300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6188674:6193776:-1 gene:Ma07_g08300 transcript:Ma07_t08300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQLCYKAAEQLQNPLCFLIQQRGIHSRNKKAMELVAKGWSALQEVDRVIDYADRNDHRLIPLLRGAKENFELALEIDNMNTHARYWLGRMHLKYHVPGACKAIGAALLVEAANMGDPDAQYELGCRLRVENDHVQSDQQAFYYIEKAVDQLHPRALYLLGAVYLAGDCVKKDVASAIWCFHRASEKGHAGAAIAYGSLLLQGYEVPEVITRFNSDRSPSTGALRKKGKKVRHDPLVLAKEQFQIAADAGCDLGLRWLKTISDDEKLQQQTTQ >Ma06_p27450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29456931:29459603:1 gene:Ma06_g27450 transcript:Ma06_t27450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRLLLQLQLLLLLLRLSVLPSPATAAQLGDICVSNENCDAGLHCETCVASGNGLFRCTRIQPSDPKSKGTGLPFNRYSWLTTHNSFAKLGQKSRTGTPVITLENQQDSITDQLNNGVRGLMLDMYDFLDDIWLCHSTGGKCYNFTAFVSAATVALPSRIIRVFFFFVESLLFLVLQQPAIDVLKEIQVFLEANPSEVVTIIIEDYVTSPMGLTKVFNASGLLKYWFPVSRMPKNGRDWPLLSDMISRNQRLLVFTSKSAKEASEGIAYEWTYIVENQYGDGGMEAGACPNRAESSPMNTTSRSLVLMNYFPTIPNLATACKHNSAPLLSMLNTCHNLSANRWPNFIAVDFYKRSNGGGAPEATDVANGHLVCGCDNIAYCKVNASFGVCEVPPPPAPTTNLSGANGNSSGSSIALLLAHQLRYLWLAVIMSICISIR >Ma06_p27450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29456931:29459603:1 gene:Ma06_g27450 transcript:Ma06_t27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRLLLQLQLLLLLLRLSVLPSPATAAQLGDICVSNENCDAGLHCETCVASGNGLFRCTRIQPSDPKSKGTGLPFNRYSWLTTHNSFAKLGQKSRTGTPVITLENQQDSITDQLNNGVRGLMLDMYDFLDDIWLCHSTGGKCYNFTAFQPAIDVLKEIQVFLEANPSEVVTIIIEDYVTSPMGLTKVFNASGLLKYWFPVSRMPKNGRDWPLLSDMISRNQRLLVFTSKSAKEASEGIAYEWTYIVENQYGDGGMEAGACPNRAESSPMNTTSRSLVLMNYFPTIPNLATACKHNSAPLLSMLNTCHNLSANRWPNFIAVDFYKRSNGGGAPEATDVANGHLVCGCDNIAYCKVNASFGVCEVPPPPAPTTNLSGANGNSSGSSIALLLAHQLRYLWLAVIMSICISIR >Ma04_p37350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35309260:35310426:-1 gene:Ma04_g37350 transcript:Ma04_t37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDLNQVCVIPIEEGSHLPPYAIANPSSSFSCPHLFGVRHDERGGYCIGHHLHQPQQEPEEEFQIAGSSVEYMTQRPADPSDGITEEEEPARPGRWMSPKMCFVRKTMNSTHIVVSEPRGNRLVSEFSTYYRSSSSPGGIIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAALNGGLVPADAPSQVQKEKELDVDRTLPFKKRCKVDTASSMTATKLCFGEAKLSSNRSSAIKKVFPQEERDAAILLMALSCGLIRS >Ma01_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6378250:6384342:1 gene:Ma01_g08890 transcript:Ma01_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRGYSDLLRNSSEEMILKSLMENPIGSSAPTMEMPGFRNTPQTFRGDSEELFNSWLMNGEIPGFSSPNGVHCPWQLSRKMSTEITAFANQQNGTVQRQNTEDKSFNVLDDQSSDVECSIRRTAEKSMQASNLLLAKAWFHSSQPMTRSRSSELRRRYAAMQNSQMQAIAEAQNNIIVAGIEKGRQQLTSDLCNISMGETASQLQTFMSPSNSATSPFDAPLATVDMVSSVVSMLKDALETKKLGRQVDGETLEGSSYGLLNVQPEGNRICNQDATDQVLWPPNTFDFVSSIHEQNSRNSKFEKPLELNMDGFVPPANQFRTATISQEPSQSGSSTAVPTLSTGFEVCDDLSNSTQTISVCESSKKHTGNGNLNHKTRENREKMLQSNFKDDRKKGNPVLMGSVSSGVLEDKGDSTKKRRVERSRKMAEAKERNLAPMLPSDMQSVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKENEDLKDEKRRLVEEIERIYPESGSW >Ma10_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7990494:7991160:1 gene:Ma10_g02530 transcript:Ma10_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNKADLRHIRVVSIEEAQAFASKEKAFFMETSTLESINVENTFTEVLMQIYHKAFFMETSTLESINVEKAFTEVLTQIYHVVSKKVLAIGDDPSALPKDKPLT >Ma06_p22750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19498955:19526958:-1 gene:Ma06_g22750 transcript:Ma06_t22750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIESADEEITSRSGRQYRPVVSHDPPVVQMTSMDSGPLTEIQLKNIRMSSQSENGPNATEGPSHGHDGSNNSQRESKLELFGFDSLVNKLGLKSMTGEQIPTPSSPRDGEDVSITLGGPKVAGPKLGTMMGVFIPCLQNILGIIYYIRFSWIVGMAGIGEAFLLVAFCGCCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLGAVPGAGFFRGGITGLRSQTLKDNWSSAYQRTTNAGIPEPDGPVYWSFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLAATLTTSFLYLISVLLFGSLATREELLTNRLLTAEIAWPLPAIIYVGIVLSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVTEGGEPHLATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGALMCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQMSTYIDYKRCEGVAEIIVAPTMSDGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPGEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQAEVIVITMKSWEAHVDAGDQQDESAEAFTGAQRRIASYLAEMKETARNEGKPLMADGKPVVVNEQQVDKFLYTTLKLNSTILRYSRMSAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTLFT >Ma06_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19498955:19526958:-1 gene:Ma06_g22750 transcript:Ma06_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIESADEEITSRSGRQYRPVVSHDPPVVQMTSMDSGPLTEIQLKNIRMSSQSENGPNATEGPSHGHDGSNNSQRESKLELFGFDSLVNKLGLKSMTGEQIPTPSSPRDGEDVSITLGGPKVAGPKLGTMMGVFIPCLQNILGIIYYIRFSWIVGMAGIGEAFLLVAFCGCCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLGAVPGAGFFRESVTVVSNTTAANGTISEVVTTVSTPSLHDLQVYGVIVVILLCFIVFGGVKIINRVAPAFLIPVLFSLFCIFVGTFTAPRSNASSGITGLRSQTLKDNWSSAYQRTTNAGIPEPDGPVYWSFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLAATLTTSFLYLISVLLFGSLATREELLTNRLLTAEIAWPLPAIIYVGIVLSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVTEGGEPHLATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGALMCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQMSTYIDYKRCEGVAEIIVAPTMSDGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPGEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQAEVIVITMKSWEAHVDAGDQQDESAEAFTGAQRRIASYLAEMKETARNEGKPLMADGKPVVVNEQQVDKFLYTTLKLNSTILRYSRMSAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTLFT >Ma06_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3499923:3509238:-1 gene:Ma06_g04700 transcript:Ma06_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTQQSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPAKEKYIRAIFYAISAARPRADVAYCIHALARRLAKTHNWAVALKTLIVIHRALREVDPTFREELINYGRSRNHMLNLAHFKDDSSAYAWDYSAWVRTYALYLEERLECFHVLKYDVETDPPRTKDLETAELLEQLPSLQQLQHRLLGCQPQGAACHNVIIHLALSMVAGESIKIYNSISDGIINMVDKFFEMQRHDAVRALDIYRRAGQQAERLSEFYEVCKSMDIRRGEKFIKIEQPPASFLTAMEDYVRDAPRASTVRKDQSRDDKDAASKVVLAIEYKKTPEEEEAPPPPPPQPEPVKVEAPVSVVSEQTDLLGLNGATPVASELDNKNAMALAIVPVDNVPSSATSSSLNPENGTTGWELALVTTPSSNESAVTSSKLGGGFDKLTLDSLYDDAERRANQNVSYNPWEMGRMAGPMMQPVVHDPFYASNAVAAPHFVQMAAMAQQQQAFLLQQQMMMSGQQPQQTPLNPFGNPYADVSYASGVPLQPSNAYSRLI >Ma06_p04700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3499923:3509242:-1 gene:Ma06_g04700 transcript:Ma06_t04700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTQQSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPAKEKYIRAIFYAISAARPRADVAYCIHALARRLAKTHNWAVALKTLIVIHRALREVDPTFREELINYGRSRNHMLNLAHFKDDSSAYAWDYSAWVRTYALYLEERLECFHVLKYDVETDPPRTKDLETAELLEQLPSLQQLQHRLLGCQPQGAACHNVIIHLALSMVAGESIKIYNSISDGIINMVDKFFEMQRHDAVRALDIYRRAGQQAERLSEFYEVCKSMDIRRGEKFIKIEQPPASFLTAMEDYVRDAPRASTVRKDQSRDDKDAASKVVLAIEYKKTPEEEEAPPPPPPQPEPVKVEAPVSVVSEQTDLLGLNGATPVASELDNKNAMALAIVPVDNVPSSATSSSLNPENGTTGWELALVTTPSSNESAVTSSKLGGGFDKLTLDSLYDDAERRANQNVSYNPWEMGRMAGPMMQPVVHDPFYASNAVAAPHFVQMAAMAQQQQAFLLQQQMMMSGQQPQQTPLNPFGNPYADVSYASGVPLQPSNAYSRLI >Ma06_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7166429:7168658:-1 gene:Ma06_g10390 transcript:Ma06_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKEVNLTPFSSPSPLLGHQYCHHPLATAAAVDPCHFVLSFTDLSYSVKEPRSFPFFRKKNNETRPEALRGTRKLLDSISGEVRTGEILAVLGASGSGKTTLIDALANRIERASLRGCITLNGDRLEGRLLKAISAYVMQDDLMFPMLTVEETLMFAAKFRIPRSVSASKMKERVQALVDQLDLRSAAKTIIGDEGHRGVSGGERRRVSIGTDIVHDPIILFLDEPTSGLDSTSAFMVVQVLQRIARSGSMVIMSVHQPSYRILGLLDRLIFLSRGQTVYSGPPQGLPEFFAVFGNPIPDGGNPAEFALDLVRELEDTAPDGAKDLVTLNALNHQARARTSATAATGSCLPLQEAVRNSIATGKLVSGAMIDGAALASYANPFWVEVSALTKRAIINMWRMPEILAFRLGDMLVTGFLLATIFWRLRDTPKDVRERIGFFAITMTTIFFTSGDTLAVFIQERYIYMRETAYNTYRRSSYVVANAVTTFLPLVFLTIPLAFITFFGVGLSGGMDGLGFFFLTILATFWAGSGFVTFLSGILSHVVLGYTVAAAVISYFLLLSGFFINRNRIPDYWIWLHYMSLVKYPYEAVMQNEFSKDLSKCFARGVEMFDGSALGSLPTAKKVEVLTVIGQTLGMNITNDTCIITGSDVLQEQSINQLNKWSCLLVIVAWGFFFRLLFYISLLLGSRNKRK >Ma05_p25750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37592724:37595478:-1 gene:Ma05_g25750 transcript:Ma05_t25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPFLPFLLFVASALLSTSCSAAPRKKVAFYELKKGDFSVKVTNWGAIITSVVLPDSKGNLGDVVLGYDGLGPYINNSANFGAVVGRVANRISGARFVLNGKVYRLSKNSGNNTLHGGHRGFSHVIWTVKEKVDGEFPYITLYYHSFDGEQGFPGALDVFVTYKISAPYELSIAMCAKSLNKATPVNLAQHSYWNLGGHGSGTILSNMVQIFSSKITPVDANLIPIGTIVPVSGTPYDFLKPTTVGSRIDKLSGGYDINYVLDQPIGSNGMRKVAVVKDGDGSGRAFELWANQPGVQFYTGNFLNNVKGKGGQIYGKHAGLCLETQGFPDSVNHPNFPSQIVNPGKDYKHNMLFKFSF >Ma10_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26835532:26836378:1 gene:Ma10_g14340 transcript:Ma10_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEVGTKLVRLLYFVGAGIICTKGINLWRDYERKAAATSMAEMATQTPTKMVNGPDAAASNS >Ma04_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5689401:5692446:-1 gene:Ma04_g07860 transcript:Ma04_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSGKDLGTAERRMASIVHHLLPLPPPRLPPIRRSVTSANDNYRRVHREVSTREAPWIPACDESGKEYTDTIYEKAVGEGIAKFRSCSHISINHPERRNAFRPNTIKELICAIWDDNTIGVIILRGKGNEAFCSGGDQALRSSDGYADSESFGHLNVLDLQVQIRRLPKLVIAMVAAGYAVGGGHILHMVCDFYYCF >Ma08_p29280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40932771:40933791:-1 gene:Ma08_g29280 transcript:Ma08_t29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEQFTLQANGSPYRLARCCSLYWVERGKGDKLMVLFQNLPKGKRSRDSAMSGLASCESLVEDARVSVPRLPKNTYSWVTLPSVHQALLWQNLSHF >Ma08_p29620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41152359:41153274:1 gene:Ma08_g29620 transcript:Ma08_t29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:22.0 kDa heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G10250) UniProtKB/Swiss-Prot;Acc:Q38806] MATMRPPFSAALLLPLLLLLPVWLGATPSSGSLLPWLDRAGSPLSDRSPDPFRILEHIPFGLDRDDMAVVTHARVDWKETPTHHHIMIDVPGLKKEELKIEVEENRILRVSGERQREEEKKDEHWHRVERSYGKFWRQFRLPDNADLDSVSAKLEDGVLTVALPKLAPEKIRGPRVVSIAGGDDAGDKEKLQGSSSEAKKVDL >Ma11_p25190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27909898:27911273:-1 gene:Ma11_g25190 transcript:Ma11_t25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQCLRPQPQMGDRGVRRAERKRTPTGQGEAGSCCRKRPRVAATELPAKEERVDYFKRLPDDIIVSVLSKLSSSADSPSDLFSSMLTCKRFHVLGQHPLVLSMASSRCLAVSAKTWSDSSHGYLKRCVDCGNLDACYMLGMIRFYALEKRGSGASLMARAAIGSNPAALYSLAVIQFNGSGGSKNDKDPRAGVALCARAAFHGHVDALRELGHCLQDGYGVRKNVSEGRRLLVQANARELAAAISFLPAWQKQRRPPTAAGVTVAGETLPGCCPLLSDYGWNLPAPEPHPANQFLKEWFEARAGSAAEGLRLCSHRGCGRPETRRHEFRRCSVCGLVNYCSRACQALDWKLSHKAECFPMNPQAFDGGVGAGAAAGDDDAVVIEEA >Ma09_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9933080:9937203:1 gene:Ma09_g14540 transcript:Ma09_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRSSLSFAAFDASSAEASSQFFWKPLEPPKIGNLPLNLVRTSNARLRIRGSVEEKHTPARKASKEPFRLTREEEGQVLDPFQELEYRFKRFKRKNYVENLVDYQNLAERQSPKFMVIACADSRVCPSNILGFQPGESFTVRNVANLVPPFQHGASETSAALEFAVNSLEVENILIVGHSRCGGIQALMSMKDNADSRSFIKDWVSIGKSARLSTKAAAGNLSFEMQCRHCEKESINGSLLNLLTYPWIEKRVSEGTLSLHGGYYDFIDCTFEKWTLVYREGLEGGSKYAIKNRALWS >Ma05_p31270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41263904:41266188:-1 gene:Ma05_g31270 transcript:Ma05_t31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g29760, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29760) UniProtKB/Swiss-Prot;Acc:O82380] MATRILPSHPSALPRTHDPNNNGSGCSRSNNLPVRRKAEDHPVLALLDDHRRLDADGIRRIHARMLRLGLLGHPYSASRLLAACSLSPSADLRYARRVFDHIPAPNLFTWNIIIRAHASAPDPRLALLLFSSLLRHSPHSPDKFTFPFAIKAAAELAALREGAALHAMVAKSPLLRSDVFVLNSLVHLYAACGDSNLSLRVFEKIPERDVVSWNSAITALAQGGRWDEALQLFEEMDGENVRPNDVTMVSVASVCGKKGDLELGKRIHSYIERNDIKQSLILDNALLDMFVKCGSLADAESLFDGMTTKDSISWTTMLVGYAKSGQFDAARRVFDEMPRRDIASWNALISCYEQSGRPKEALDLFHESQHADATPDQVTLVAALSACSQSGALELGCWIHAYMEKNNLELNFHLTTSLIDMYSKCGDVDKALHVFGCVSRRDVFVWSAMIAGLAMHGRGREALDLFEQMQEAKVEPNTVTFTNILCACSHAGLVEEGRLYFSQMLPVYGISPTADHYSCMVDILGRAGRLEEARGYVENMPMPPGASAWGALLGACAVHKNVELGELACKQLLELEPRNHGAYVILSNLYARSGRWDAVAMLRKWMKDSSLKKEAGCSSIEVHGVVHEFLVGDISHPMKEKIYLQLEDMASRLKAAGYVPNRKLVLQDIEDDAKEKALYLHSEKLAIAFGLLRSCSPAPIRITKNLRVCDDCHSVAKLVSGIYNRNIILRDRYRFHHFTGGSCSCMDYW >Ma03_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10733686:10734633:1 gene:Ma03_g13630 transcript:Ma03_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIFLIALLAMVSSLAMASDPSPLQDFCVADKDSKVLVNGFVCKDPKHVTAEDFFFMGLDKAGNTVNKLGSMVTAVNVNKLVGLNTLGISMVRIDYGPKGLNPPHTHPRATEILTVIEGQLLVGFVTSNTDDGNRLFTKMLKKGDVFVFPEGLIHFQFNPGHTKTIAIGALSSQNPGTITIANAVFGSKPPISDDVLAKAFQVDKKTIDWLQAQFWTDNNN >Ma04_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26335379:26340744:1 gene:Ma04_g24290 transcript:Ma04_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPMEHLCYVRCTYCNTVLAVGVPSKPMMDTVTVRCGHCNHVSFLSPRPMEQSHSPTNHQLGLQGGCMDCLRSQPSPSSSSSSSSSNSNEPTIQKPSFVVKPPEKKHRMPSAYNRFMREEIQRIKASKPDIPHREAFSMASKNWAKCDPRCSIIDDKLAPVPQVERSCSTMENSNFNEQTEQKD >Ma11_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2527652:2530248:-1 gene:Ma11_g03420 transcript:Ma11_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRRLGITGLVVAVGLLLLGSATEADRGSNSAAAFVQNVVYSNRIAIFSKSYCPYSLRAKRVFSELQEKPFVIELDLRDDGREIQNVLLDLVGRYTVPQVFINGQHVGGSDETLDALANGQLQKLLGKSSI >Ma04_p26090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27683203:27684181:-1 gene:Ma04_g26090 transcript:Ma04_t26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRLLEQVEVQKHLQIREVHRFLASKGI >Ma01_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8660059:8661906:1 gene:Ma01_g11960 transcript:Ma01_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSHRRPGKRRKIGSPAKESRDDRALCQDLIDDLPDECLLLIFSLLPAPRDRCRCAAVSRKWLALQASMRRSEFGANAVLLPEARQEMSRCIKGSEANDWRLAAMAIGIDACEVLTHLSVMETLPSCPLPPLQHAGNHRHISDVGLFVIAQACTDLRSLALHNCIKVSDRGLAAIAQNCSALKNLELTNAFSVTDRGLMLIASGCPNLASLRLTACPSVTDRSLVAFSRHSTLLNSFAVAQCPLITDHGILSIVVLQTKLETLKISSMKLGDKVVQAIACHRKEIKLLSLEKVWGSSVIGYSWIAEASGLRALSLDACEGLTDGCFVRASPSISFAGLTKVSLKSCPSLTDLSLLALTKLAVKLENLHLENFRGVFSYRGLVFALENCSHTLKELNLVNCGFYGHGTEQQEGEPCFLLPQRCPALQTVKLEECEGLGDGFVVWVGQACKSVADVSFVRMGSITDRGITSFLKQLKGWNEITRVDLSGCTRLGDRSVWAVTRECKGRLRSLALKGCGRVTDRGASVITRRCGRLVELDLGGCNIGDEAVEKLVEGDPSDLESLSLAGCAEITDRTLQALDEYGGLCLNRLNLTGCPRLSRSRIHLIKPYIDEVEY >Ma04_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14687297:14689385:1 gene:Ma04_g16030 transcript:Ma04_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERLIVECERKIQRALKRLEEEDAKAAIAISVSNVTQTPEVMELSRHIKEKLKEADAFDFEGKADSKIRVLEVVEELKAQRADKQAILLLDAFNKDRASLPQPNQNPPQLATLSVLNPPDPRTQEMINEKLKKAEDLGKSLFSY >Ma09_p26690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37906315:37907664:1 gene:Ma09_g26690 transcript:Ma09_t26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFLHHHAPSTTNRIQSTRLVPINKPNLIVCKAQKQAADDENSAAVSRRMALTVLLGAAALGAKVAPADAAYGEAANVFGKPKTNTDFLPYAGDGFKLMIPSKWNPSKEVEYPGQVLRYEDNFDSNSNVTVMVTPTTKSTITGYGTPEEFLSQVDYLLGKQAYSGKTDSEGGFDSDAVATANILETTTQESGGKKYYFVSVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGARKFVESAASSFNVA >Ma03_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25259530:25260060:-1 gene:Ma03_g20050 transcript:Ma03_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTKLGFALALVFSISLLGLAAELLYLLHCRRRRRRSGQPELGDGVGVPRHGPPPRELLHHVLFFKHRSRVEPACAAPRPHADAPKPATEEAEEECDLARWRAMCLGPSRALYTINEDGEEEEVVEEETPCASPVFYTPSSSPPQGATEDGGDAGGPSPAMRPSGRQCVVVLRR >Ma03_p29640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32477241:32486849:1 gene:Ma03_g29640 transcript:Ma03_t29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEGASGQSDASRNHGSQSTRTLVFETVNIGQGDHRTQLSQIISSILNAVATRNTGSQTSGPNLRNLSAGASVDYPGIELGSGQVPNQFHSAVPLVSEQPTVIPDSLTTIHQYLGFMRDEFTREGLSANGGEHRNEASAAYMNNDSLQFHQSFSPGGLPSPASLVEVLLSTRQLLMEQADGYISQFARGLEDQVNLTDPLVRLRLQNSVFRSGVLLQNLGSLLLELGRTTMTLRLGQTPSEAVINAGPAVFISASGPNPVMVQPVPFYPGSSFSPRVGATYAGHGSQGEPLGPSLVPGNISIRFRAGRPVPVSPHNQTEQGGQQQQETTNPTRNSSAANAAPQAFSGVSNNASLSEESGVRVLPIRTVVAVPGGVNRSTSDPSGSSAVGLIYPLLARVQHVATGSLDDARGTESSNEINHDGHNAEEQANIGSTMHAQNLESTIGNFINDIDSTPANAVPLFSEFNPSVNESASYQGSLRDFISAGQQGPPSSNSTSNTEELGHISQLASRLDQWLQSIFPGEQVVVGSSSHQEMTRSSVTDQTDIGRNSQPEEHTGVGEDEGVFFSRLVRNLMPFISQATSAGQDGSPTSHGSSTAHVAGENLNDLSNSQSRRDPPEAPSSKRTRRD >Ma03_p29640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32471093:32486849:1 gene:Ma03_g29640 transcript:Ma03_t29640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDPSEATTSCIDVAQDSESTVEIKIKTLDSQTYTLRVDKSVPIPKLKEQIATVTGVISEQQRLICRGKVLKDDEILSAYHVEDGHTLHLVVRQPHQSTPSPSTGQMGHEGASGQSDASRNHGSQSTRTLVFETVNIGQGDHRTQLSQIISSILNAVATRNTGSQTSGPNLRNLSAGASVDYPGIELGSGQVPNQFHSAVPLVSEQPTVIPDSLTTIHQYLGFMRDEFTREGLSANGGEHRNEASAAYMNNDSLQFHQSFSPGGLPSPASLVEVLLSTRQLLMEQADGYISQFARGLEDQVNLTDPLVRLRLQNSVFRSGVLLQNLGSLLLELGRTTMTLRLGQTPSEAVINAGPAVFISASGPNPVMVQPVPFYPGSSFSPRVGATYAGHGSQGEPLGPSLVPGNISIRFRAGRPVPVSPHNQTEQGGQQQQETTNPTRNSSAANAAPQAFSGVSNNASLSEESGVRVLPIRTVVAVPGGVNRSTSDPSGSSAVGLIYPLLARVQHVATGSLDDARGTESSNEINHDGHNAEEQANIGSTMHAQNLESTIGNFINDIDSTPANAVPLFSEFNPSVNESASYQGSLRDFISAGQQGPPSSNSTSNTEELGHISQLASRLDQWLQSIFPGEQVVVGSSSHQEMTRSSVTDQTDIGRNSQPEEHTGVGEDEGVFFSRLVRNLMPFISQATSAGQDGSPTSHGSSTAHVAGENLNDLSNSQSRRDPPEAPSSKRTRRD >Ma07_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27860002:27862425:1 gene:Ma07_g19940 transcript:Ma07_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPSPAPPPLLGGTTVSSPAPQPFSSPHNATNTKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREASEVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSSHRQWVCAKCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCTAGRARAELHMSQPPACLSTTASSPSPSSDTNFSPTMWPGLRTPNPAAALFLTRPDQPSSSQQDQHRTQNIELQLLPPSNNQQSALHSAVLSPITDEAKVTKLQLSLGPAARRAPNSEDTQLASTRLKDETMEQLKLAMAEKALADEARQQARRQLELAEREFENAKRIRQQAQMELNRAHAIRERAVKQINSTLLQITCFACRQQFRAKPGMASEENSFVVSYMSSVVTEGEEENEEHNHPEKVSKS >Ma11_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:356177:356443:-1 gene:Ma11_g00540 transcript:Ma11_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLATLFSVSSSVDWQQQNMQMLQTGACNHSQDDAVETEACFSHSAVTFTYNYNTASSV >Ma08_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36411943:36418373:-1 gene:Ma08_g22930 transcript:Ma08_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLSTAKPYHRRLFLLLLRCTRTAEPSPKCPHHRPLSCSSPAAAAEATHLRRIFRPGDPNRFAPGILDAFWGVRRFSTSVDPISGGDKNLERIFIQNMSVKPIVVDGVDAVPIEDERKEEVDTVVADVEEGKEELCGGKVGDLDQLEGGLEVRQEKEQSEVEKEAWRLLDRAVVSYCGRPIGTVAANDVTAANQAVNYDQVFIRDFVPSALAFLLKGESEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGSNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMITFNDGSKNLVRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLVEWMPDKGGYFIGNLQPAHMDFRFFSLGNFWAIVSSLATPRQAEGILNLIEDKWDDIVGRMPLKICYPSLEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRSELAQRAVAVAEKRLPIDKWPEYYDTRSGRFIGKQSRLYQTWTIAGFLTAKMLLENPAAAAVLTCDEDLELLQGCACSLSKNARIKCSRLAAKSQDMK >Ma08_p30480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41730080:41733085:1 gene:Ma08_g30480 transcript:Ma08_t30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCSSPPVASGRGLRLLVVLFLLFTGTAVGCYTSIFSFGDSIADTGNAINSGIIVESVRHLPYGQTYFGHATGRFSDGRLIVDFIAEAMGLPMLRPYLAGGNAEDFRYGANFAFAGATALNASFFEDKGFQFSPMEYFLGVQLEWFKQLLPILCSESNSKDILSNSLILLGEIGGNDYNYAFAQKQSIQEIRTYVPSVIDAIRQAVDVLIQLGATTLVVPGNFPIGCVPAYLSDYQSTVAEEYDPQTGCISWLNELSEYHNSMLLDELNQLRKVYPHATIIYADYYEAVLNILRSPQQFGFKTPLAACCGSDGLYNFNWSKMCGTQMSKVCSDPSDSLSWDGIHFTDAAYSTIALSLLDGTYAYPSFTEACTNFQQNAALSQ >Ma07_p25880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32838233:32840517:-1 gene:Ma07_g25880 transcript:Ma07_t25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSVRSFNDDNEKKKSKFVPLRVAARIFDFLASSPCSSLTTNSEKSPAAPGPAVLSPVMAESAGLPAAAAEDGMVEVAAMQKAVKQLHFGEREEIKVAAVAEIKKLAAGDPGRKRLLAALGVIPPLVSMLVELQDDQHRQLVVETLIVLAHGSFKNKALIVEAGLLVKLQQVTNTEDVPRNQRLAPLLLSLSFLAKTQSPVNPIRMLPFLVKISTATETTDETKLTCLATLYNLSTKLDNVRAIVSSGAVHILLKLIQNRKASEGALATLGNLMLSEAGKRVIEEDSMVPEALMESMAWEDEPKCQELAAYLLMVLAHRSRTQRQKMTELGIVSLVLEVALLGSPIAQKRALKILQWFKDEGRARTGGHSGPQADQISLPSSSAGKQHIRECRRAVKKMVKQSLDRNMQVITRRAHASEDFSCFKSLAGSSSSKSLPY >Ma02_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13602456:13602703:-1 gene:Ma02_g02350 transcript:Ma02_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASGRLSAPTQQSRATPALPLVAPPPSRLSWPCLRPATSCSKLVAF >Ma09_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6238879:6242545:1 gene:Ma09_g09490 transcript:Ma09_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRHHHHHHYLFLLPLLSLLFLCLLPGGTSTPEEELQILLQFKASLKASTNATVFQSWDAGSSACSFAGIKCDSNGSVSEIDLTETGISGEIAFDSLCRLPSLSALSLGSNSLTGSVSADVSNCTGLRHLDLAFNYLGGAVPDLARLNKLQVLNLSDNAFTGVFPWSSLGGLTELEQLSLGDNPFDPNPFPEVVVSLTKLNWLFLSDSNIHGEIPASIGNLAELVDLELADNFLTGGIPSEITRLSKLWQLELYNNSLTGRIPAGFGNLSELAFFDASMNQLEGDLSELRSLTNLVSLQLFTNDLSGQVPPEFGEFRHLVNLSIYSNRLNGSLPAKLGSWTEFIFIDVSTNFFTGGIPPDMCRKGTMKKLLMLENKFTGEIPASYTNCSSLIRFRVNNNSLSGNVPAGLWSLPNLQLIDLSINQFEGPIGAGIGKAKSLYQLSLDNNRFSGQLPSEIGDMESIVKIYLSNNEFSGEIPASIGGLKNLAYLYLESNSFSGAIPDAIGSCVSLNSVSLAKNKLSGPIPASLGALTRLNSLDLSNNQLSGEIPASLTTLKLSALDLSNNSLTGAVPAGLAIPAYSSSFAGNPGLCIDGSGDNSLSSLRRCSSVRRASSDELRIILTCFLAGAAVLLAYLGFYIVLKKRRADARGCGRAVVKDPSWDMKSFRILTFDEQEIVDGIKPDNFIGKGGSGEVYRVELGSGEVVAVKQIWRDAAGGTKEWSTAAMLAARRRVRRRSAAREFEAEVGTLSAVRHVNVVKLYCSITSEEWSLLVYEHLPNGSLWDRLHGPVSAAAVGKVELGWEERYEIAVGAARGLEYLHHGWDRPILHRDVKSSNILLDDCLKPRIADFGLAKILHSVPAGRGAGEASAAHVIAGTHGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGRQPIEAEYGENKDIVCWVAGRMISRESVMALVDGRTPEWRREEAVKVLRVAVLCTARLPTMRPSMRTVVQMLQEAGSGREFVAIGSGKNEKVENGGYVAGGKEGKAQTISLLL >Ma10_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31268090:31269693:1 gene:Ma10_g21460 transcript:Ma10_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATKISPFRLSTLLRLETNPQIALQLFRNPTPTPNPSKPFRRSARSYDLIVCKLGKARMFAEMENVLHEMNRETRFAAKEALFCRVISFYGRARMPAAARRTFDRIPSFRCRRTIRSFNSLLHVTLGCGDLEGVRSMCRDLDDYGLTPDACTYNVLIRAQVLAGCIEGAWELFDEMRSKGIAPTVSTFGTLVSALCANSMLDDAFRLKERMLTEFKVKPNAYIYTPLIKGLCKSGELDLALQLKEEMLSDKDLVMDSAVYSTLIRALFRVNRKGEVVGILEEMKRIGIKPDVVTYNAMISGFCEDEKDFDAAFETLNEMVRQRCKPDVVSYNTIIAGLCKAGRWSDASELFDDMPRRECCPDVVSHRILFEGLCDAGEFRKARMVLDEMMFKGYKLGAVNMRKLLEGLLSGEKDRILVDSTLFSVAKANGMGLEDWETVVGNIVTEPEKLKVAKLLACLSMRSVVATGTKLAAALVAHNN >Ma04_p35020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33988887:33991960:-1 gene:Ma04_g35020 transcript:Ma04_t35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEALEPADLYDPGLKVVDVGGGTGFTTLGIVKHVYPKNVTILDQSPHQLAKARQKEELKECTIIEGDAEELPFPTDSVDRYISAGSIEYWPDPQRGIREAYRVLKLGGLACVIELDRNGTAVSVVMASSCSVTGAKRESGDSPLQLGPKAEDVKKPVNPFSFLIRFILGTIAATYYVYMWIKDQIVPKGQPI >Ma08_p31610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42462165:42463250:1 gene:Ma08_g31610 transcript:Ma08_t31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTRLSLGPHASILSQKTHSLSSESDGNVGRKRKQICHEVSSPTSIELQLNDPLPLEWEQCLDLQSGRMYYLDRKTLKKSWIRPKEHELDLDLNISSFSSSEEQSNLTNSDEAKKQPNSCGSMVAVVCINCHLLVMLCESSPSCPNCKFMHSPLPSAMLQAPPRKLKAVKPLETLHLLH >Ma08_p33550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43819303:43829912:-1 gene:Ma08_g33550 transcript:Ma08_t33550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVGTGGATSDPDGPDGVRMSWNNWPRSKIEASKCVIPVAASITPIRASPSVLVLPYQPLRCKPPCSAVLSPFARVDFAAKIWICPLCFSRNHFPPHYAGISEANVPGELYPQCTTVEYAPPPLDHHSAPSSAPPPPPVFLFVIDTCLIEEELGFLKSAMRRAIGLLPDNALVGLLTFGTQVHLYELGFADVSKIYVFRGIKEISKDHILDQLGLSASSVRHGAAVGMPGYTKAPQTNGFHPSGSVHRFLLPAADCEYALSSLLDELQTDQWPVEAGSRALRCTGVALSVAAGLLGACVPGTGARIIALVGGPCTQGPGMIVSKDLSEPVRSHKDLDKDAAPHFHKAVKFYENLAKQLVSQGHVLDLFASALDQVGVAEMKVSVERTGGLVVLAESFGHPVFKDSFKRIFENGQQSLGLSFNGTLEINCSKDIRIEGIIGPCTSLEKKGTLCADVVVGQGNTTSWKMCGLDRTTCLTVFFEIVPSERSNQSGIPNPQLYIQFLTNYQGPEGQMRLRVTTITRRWVDGSNAEELIEGFDQETAAVVLARYVSLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPASFTLNPNFSILPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVVMIQPSLLSYSFNSPPVPALLDVASISSDRILLLDAYFSVVIFHGMTIAQWRNMGYQIQPEHQAFAQLLQAPQDDARVIIRDRFPVPRLVICDQHGSQARFLLAKLNPSATYNSAHEVVPGSDVIFTDDVSLQVFCEHLRRLVVQS >Ma08_p33550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43819282:43829912:-1 gene:Ma08_g33550 transcript:Ma08_t33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVGTGGATSDPDGPDGVRMSWNNWPRSKIEASKCVIPVAASITPIRASPSVLVLPYQPLRCKPPCSAVLSPFARVDFAAKIWICPLCFSRNHFPPHYAGISEANVPGELYPQCTTVEYAPPPLDHHSAPSSAPPPPPVFLFVIDTCLIEEELGFLKSAMRRAIGLLPDNALVGLLTFGTQVHLYELGFADVSKIYVFRGIKEISKDHILDQLGLSASSVRHGAAVGMPGYTKAPQTNGFHPSGSVHRFLLPAADCEYALSSLLDELQTDQWPVEAGSRALRCTGVALSVAAGLLGACVPGTGARIIALVGGPCTQGPGMIVSKDLSEPVRSHKDLDKDAAPHFHKAVKFYENLAKQLVSQGHVLDLFASALDQVGVAEMKVSVERTGGLVVLAESFGHPVFKDSFKRIFENGQQSLGLSFNGTLEINCSKDIRIEGIIGPCTSLEKKGTLCADVVVGQGNTTSWKMCGLDRTTCLTVFFEIVPSERSNQSGIPNPQLYIQFLTNYQGPEGQMRLRVTTITRRWVDGSNAEELIEGFDQETAAVVLARYVSLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPASFTLNPNFSILPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVVMIQPSLLSYSFNSPPVPALLDVASISSDRILLLDAYFSVVIFHGMTIAQWRNMGYQIQPEHQAFAQLLQAPQDDARVIIRDRFPVPRLVICDQHGSQARFLLAKLNPSATYNSAHEVVPGSDVIFTDDVSLQVFCEHLRRLVVQS >Ma05_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7163682:7165164:-1 gene:Ma05_g09980 transcript:Ma05_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLLSTAPDYHSLPENYIRPEDQRPVLTEVVSDAHIPAIDMGAPDRSHVVSQIGHACRSYGFFQVVNHGVPVELMLRLLVVAREFFHLPPTEKAKLYSDDPTKKVRLSTSSNIRKETIRNWRDYLRIHCYPLEEYVPEWPCDPSSFKEVVSAYCKEVRQLGLRLLEAISLSLGLEEDCLVKALGEQGQHMAINYYPRCPQPQLTYGLPAHTDPNALTILLPDPDVAGLQVLKDGRWIAVDPLAHAFVINIGDQLQALTNGKYKSVLHRAVVNPEKERISVASFLCPCNYAIISPPERLISEGSPAMYRSYTYEEYYKKFWSRNLDEEPCLELFQS >Ma06_p15540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10541252:10545690:1 gene:Ma06_g15540 transcript:Ma06_t15540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNKSDSDVTSLAASSPPRSPKRAVYYVQSPSRDSHDGDKSSSMQATPVYNSPMESPSHPSFGRHSRTSSASRFSGPFRSSSGRKGNRKRVNDKGWPECNVIQEEGSYDDLDEDRGLSRRCQIILALLSFVLLFTVFSLIIWGAARPYKAKVVVKSLAMDDFYAGEGSDTTGVPTKMVTVNCSLKISIYNPASMFGIHVTSSSINLIFSEITIATGELKKYYQPRKSRRTLSLILHGEKVPLYGAGAGLALSSAGGAVPLTLDLEIISRGYVIGKLVKVKHRKHVSCPLVVDSSNTKPIRFSQNACSYE >Ma06_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10541284:10545690:1 gene:Ma06_g15540 transcript:Ma06_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNKSDSDVTSLAASSPPRSPKRAVYYVQSPSRDSHDGDKSSSMQATPVYNSPMESPSHPSFGRHSRTSSASRFSGPFRSSSGRKGNRKRVNDKGWPECNVIQEEGSYDDLDEDRGLSRRCQIILALLSFVLLFTVFSLIIWGAARPYKAKVVVKSLAMDDFYAGEGSDTTGVPTKMVTVNCSLKISIYNPASMFGIHVTSSSINLIFSEITIATGELKKYYQPRKSRRTLSLILHGEKVPLYGAGAGLALSSAGGAVPLTLDLEIISRGYVIGKLVKVKHRKHVSCPLVVDSSNTKPIRFSQNACSYE >Ma06_p37500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36527888:36531079:-1 gene:Ma06_g37500 transcript:Ma06_t37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small RNA-binding protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06210) UniProtKB/Swiss-Prot;Acc:Q9FFZ6] MAALRRGMMQFIRSTARFKDHHIHGNSNLDNCGLLRPFQLVSARGIVSKLFVGGLSSSTTEDCLSEAFSQFGQVIEAKIIMDKVSKRSKGFGFVTFASEEEAQKALEEMDRKVLNGRVVFIEHAKVKRRFDDAGPIAIGLSKPPGCQ >Ma02_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15881329:15884279:1 gene:Ma02_g04450 transcript:Ma02_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGGAQLSCCVLMIVSLVIAAAPLGLSGGGERSHRDRMARRLETLIAPSSAADAPSISQAKSGSRVYHVIDYGADPKGVADSFAAISKAISDAFADAASGLSLIAGISNLGGVEVHLDGGVYVVTSPLTLPANGGNVKIHGGSLRAADDFPADRYLIELWAAHDSTAGGGASSSGYDYEYVTLRDLMLDSNYRGGGVAVVNSLRTSIDNCYIVHFTSDGVSVRSGHETFIRNSFIGQHITAGNDPGEKNFTGTGINLAGNDNAVTDVVIFSAAIGILVSGQANTLTGVHCYNKAAGWGGTGIYLKLPGLTQTRITNCYLDYTGIVSEDPVQLHVSGSFFLGDANVVLKSVKGVVKGVDIVDNMFSGGGKGVDIVRLDESNGKFVNVDQVTVRRNSVNGMTARSTAARATVEGNGTTWTVDFSPVLLFPGRIGHVQYTLLADSAFPSHALRNVSGNTVVIESDVAVQATLHVEVDQGLGSMDGV >Ma01_p05460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3830834:3834171:1 gene:Ma01_g05460 transcript:Ma01_t05460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFQVNGSTTLSLALFTDVTNSRQLLDSVQTGKLEPEVALLNASLIPDVFPILAAAHKALVSKSRESLTTRTLHSELIYNYSGSKHITESLKRCGISDDTTYVLAARFAASHDEMKDVVKLIKGKEIDLLELEGRANNAQIQKHYKITPQELAISSLSDAIVCRIAARDAL >Ma01_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3830726:3834482:1 gene:Ma01_g05460 transcript:Ma01_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFQVNGSTTLSLALFTDVTNSRQLLDSVQTGKLEPEVALLNASLIPDVFPILAAAHKALVSKSRESLTTRTLHSELIYNYSGSKHITESLKRCGISDDTTYVLAARFAASHDEMKDVVKLIKGKEIDLLELEGRANNAQIQKHYKITPQELAISSLSDAIVCRIAARDAL >Ma10_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21935087:21938282:-1 gene:Ma10_g07600 transcript:Ma10_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMETAWGVVLTVTAAAMGLVAVCGGLRRLHEWVQEVGLGEKRRHLPPGDMGWPIIGNMWAFLRSFKSGQPDSFIASFVRRFNGVGMYKGYMFGSPTIMIHTPEGCRHVLMDDEHFIPGWPKSTRLLMGEKSFVGIVREEHKRLRRLTAAPINGHEALNDYLQFIEHTVVTTLERWAGMGQIEFLTEMRRLTFRIIMQIFLSSGSEDVIRSLEKVYTDLNYGVRAMAINLPGFAFHRALKARKSLVATLQSVVDERRALKARNLSPAKKDMMDNLIDVEDENGRRLNDEEIIDILIMYLNAGHESSGHITMWATVFLQENPDIFEKAKAEQEEIRRSMPPAQKGLTLTEVRKMKYLSKVTDETLRMVNISFVTFRQATKDVYLNGYLIPKDWKVQLWFRNVHLDPQVYTDPKKFDPSRWDDFTPKAGTFLPFGGGSRLCPGNELAKLEIAVFLHHFLLGYELKRLNPKCPIRYLPHPRPTDNCMAKITKLSAISM >Ma01_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15700304:15701014:1 gene:Ma01_g19810 transcript:Ma01_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIKKVQRVGYWFNCETLESLEGVSVGSNGMKKRMLKVLHISDFLSAVRERDLESHLSKGKVGLSFFTL >Ma10_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29927600:29928953:1 gene:Ma10_g19350 transcript:Ma10_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSRKCGGVGKREVRTRAAQKLALAVAASSQSPKREKAVVREERQISNLELRNRSVILKPRIARSTATSASRRRSCPELGSIFRCPSDVSCEPAVPARSAEVSGTTVSSFLASCEAIILTFLSLLGQELDVTTFYSCNVERGEMMASNNPDEGASDPESAAERESRRRSMVVATPSETELEDFFAAAEIDLRRRFTQKYNFDVVEDVPLAGRYDWIPLTP >Ma08_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35117452:35120284:1 gene:Ma08_g21140 transcript:Ma08_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPPSKRRRTNGSDGGATEGSPGKEGAPLVVLAHGAGAPSTSEWMLRWKEKLGEELDAVEVVTFDYPYISGGKRKAPPKAEKLVDHHLDVVKRAIKEYPGHPLILVGKSMGSRVSCMVAGKEDINVSAIVCLGYPLKGMNGVVRDETLLQLKAPTMFVQGSKDSLCPLDKLESTRKKMKCVNELHVIDGGDHSFKIGKKHQQSTGIDQDEAEERSLKAIADFVNRSILEQW >Ma02_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17224931:17226388:1 gene:Ma02_g06190 transcript:Ma02_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDKQLKEYMEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGDFSDEEDRIICSLFANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKRLMGLPTSHRKPHHQQQKKHQLQEQQQQQQQQFFPSSFAANAPFSSSAPDLEGIPISHLLNSLVAHPTHQSGNLIVFGGDHHSCSSSDGSSIQIGYGRDFNHGLYNGRENMGFESHLYGGLETEKLLLVGGGGGGCEASSIGYSFGEVKPTIACPTDACHGLYLDGRSGAATDTSFRNIASAMYHC >Ma04_p36250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34682672:34711327:-1 gene:Ma04_g36250 transcript:Ma04_t36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRTESRSTNTTFPLPFFCPKSCGSISLEYPFGIGDGCFRPGFNLTCRNHSTSAPRLFLGDGTIEVTRIDMNQGLAYIKPPTVVMGVDDEFKRASLIDLENWPYSLYLEEQMIRNAYQGLTSNRVYVVGCSAMASLVDLTTNKSISTRFSFCAASDPNQYSEWSDSNEEYFTLNLYSVSTTAMEVQLTRFSQTELHSVNTSSIKATIFNGDNDSDLEGVLNGSRTNVEATLVWYMNDHLSCEEAMNTDTYACLSQNSFCHGAFLDPFHSINSVGYLCRCSLGYQGNPYVLNGCQDINECNSTEKRLCYGICTNTEGGYTCTCAAGTSGDPKLAPCIPNNKNQTLLLGVIIGASTGIGLLLLCSSLLILRRKWKKRKQKKTREKYFHQNHGLLLQQLISTSEDFSERTKIFSLEEMEKATNNFDETRVLGRGGHGTVYKGILSDQRVVAVKKSKYVKQSEIDQFINEVAVLSQINHRNIVKLFGCCLETEVPLLIYEFISNGTLLDHLHIPDGNSILSWDDRLRIAVEAAGALAYLHSAASISIFHRDVKSSNVLLDDHLTAKISDFGSSRLIPLDQTHLLTAVQGTFGYLDPEYYQTSQLTEKSDVYSFGVILLELLTGKKPIFSTEYEHRLNLSMHFLQTVRANRPFDLIDDLVMKEATEEELIDMIGLVEMCLRLKGVKRPTMKEVEYKLQNLRRIRLKKTGHCLVKSDEETEHPPRDSPYGFSEVVDQANQGTSRNYTLEKEFMWSHHHPR >Ma04_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15390509:15391281:1 gene:Ma04_g16370 transcript:Ma04_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHFTYSLLVKLRHPPSPFPSLSKMKKAVSFLKQIGTVLAALLKAKTLGVKSKARLMFFDLLRNKKLLMSAISGKIQALKGQVKGGHGGEGYGKAIVMYDAAREEVPPSPGLMEPLEYVEEEDYSDLTHCLFDIDEDDSTSSVVDLVPSPRDDGLYFNIEDEIDHVADVFIRRFRREMRLQMQDSPRRYQEMFDTST >Ma05_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32138374:32146262:-1 gene:Ma05_g20450 transcript:Ma05_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTNVEEMQNCTTDQNNLNCIRLSFSYQAAKVCCLLLPVTNLFGLVFLLLKGSQGNCKYITSVLAETTQLISWIILLVITLNLPRTRSEKLSWVIRTWLISSTLQSATFTVIDIQSILQEKSFLRIEQYTDIISLFPCILLFVIAVRGTTGISSDSGSLREPLLQVQTSKAKHVESQRSSLYGSANLLQLMTFSWLNPLFATGRRKPLEQNEVPDVDKNSSAEFVSHSFDSCLNNVKERYGLRTSSIYRAIFVFIRKKAAINASFAVVAAGASYVGPSLIVNFVKFLGGERQHGLRSGYVLVLTFLGAKVVESVCQRQWNFGAQQLAMRVRAALISHIYKKGLELSSESRQSHTSGEIINYISVDIQRITDLMWHSNIIWMLPVQISLAIYVLHKNLGVGSFAGLAATTMVMACNIPITRAQKRFQSSIMKAKDERMKATAEVLRNMKILKLQAWDIQYLHKLEALRNTEYNWLWRSERVQLISSFIFWGAPMFISAATFGTCILIGIPLTTGRVLSALATFRMLQEPIFTIPDLLSVLAQGKVSADRIAKYLQEDEMKSDVVEIVPRTETEIDVEIDHGIFCWKKDSVYPTLENIQLKVHRGMKVAICGTVGSGKSSLLSCILGELPKMGGKVKISGSKAYVSQSPWIISGNVRENIIFGNPFDSEKYEKTVEVCALKKDFELFPNGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSALDAHTGTQLFKDCLMGTLRDKTILYVTHQVEFLPVADLILVMQNGKIDQAGAFDELLRQNTGFEVLVGAHSDALELILNTEASSKLPRDAEINLLQASSSHNSIEEKKSSRRLIKDEEREKGSVSKDVYWAYLTAVQGGAMVPIIVLAHTIFQILQVASNYWMAWASPSSATTESAVGLKFLFLVYVLLSVGCSLCSLIRATILIKVGLLTSQKFFQKMLHSVLRAPMSFFDSTPSGRILNRASTDQSVLDLELAARLAWCAFSVIQILGTIAVMSQVAWPTFAILIPVSAICIWYQQYYIPTARELARLSEIQRSPILHHFAESFSGATTIRAFGQKDRFSNTNLDLIDNYSRPWFHDFSAVEWFSFRLDLLSNFVFAFSLILLVNLPEGFFSPSIAGLAVTYGLNLNSQLATIIWFICNIETTMISVERILQYSRIPSEAPVLIEGCRPPTNWPQVGTICFKNLEVRYAEHLPSVLKNITCTVPGRKQVGIVGRTGSGKSTLIQALFRIVEPREGTIQIDDVDICKIGLHDLRSKLSIIPQDPILFEGTVRGNLDPLEEYSDSRIWEVLDKCQLGDLMRQDSKKLDSTVTENGENWSVGQRQLFCLGRALLKRSNILVLDEATASIDSATDAIIQATICHEFRDCTILIIAHRIHTVIDSDLILVLSEGSIVEYDKPSKLLEREDSSFAKLIKEYSTRSQSIHNPTHTATKTS >Ma11_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24630251:24630355:-1 gene:Ma11_g20000 transcript:Ma11_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRITPGVGANLLGQHSAERNRNATTCVGNLDP >Ma04_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26898464:26900817:-1 gene:Ma04_g25030 transcript:Ma04_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPRGVTTINRGVAMEKAKVEGAGDRRDFDVEAEIWNSNPSKPTSPCSSQEDYTEFSTKEKIFLEPSLTNCNHNNQIHFFGVAKQEEVKETFELSSHPDAEDSDLVSLSLGTSVSCRLREEEKAKDVHSHCYKFCGELEEGLSLGLDCNLEGVIGRQAKPPPAVDPYNSFEEVSPLPTAKRARVSVRARCDGPTMIDGCQWRKYGQKIAKGNPCPRAYYRCTVSPGCPVRKQVQRCADDMAILITTYEGTHNHPLPASATAMASTTSAAASMLISGSSFSSSPTISMSQFCSPNLPFYSSTSRPTITLDLTAPATAPQVELSPSCSLNSSYSPWSSGCTSHGAQPKTTSNSAPSLPNQQHHSLTQMIAGAITTHPSFQSAVAAAIASYLGGTDGDREGSTHDLQPQEQLAATAASIMSNSSNPDQQRQ >Ma09_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:352771:353967:1 gene:Ma09_g00420 transcript:Ma09_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPSAAALPHKLRGHLSGAISFLLVFSLGYILGFLSAPSNSSPLAPLSPTAPTKTAKRIVKAPPPPPPPHDLLRFRTQCADPIPQSEVLKTILERVHDGKSPFDGFPSPETSEHLLPAAARPRGWGSTMPVFRDLIESIRPLTIIELGTFLGASALHMATVAANLSLPAVILCVDDFRGWPGARARFPRDLPRPRHGDALLLHQFMAGVAAAGTAAAGRVIPVPFSTASALAALCEWGVYGDLIEVDAGHDFHSAWADINMAWAVLRPGGVLFGHDYHTAADDHGVRRAVTLFAGVKGVQVRPHGQHWVLSPKPPISY >Ma09_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:611558:620161:-1 gene:Ma09_g00920 transcript:Ma09_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKVKDVGILAMDIYFPSTCVQQEALEAHDGASKGKYTIGLGQECMAFCTEVEDVISMSLTVVTSLLEKYQVDPKQIGRLEVGSETVIDKSKSIKTWIMQIFEESGNTDVEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKFRGTHMTHVYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKRFCSKFEKFEGKQFSISDADYFVFHSPYNKLVQKSFARLYFNDYLRNSSVVEKDAREKLEQFSNLTGDESYQSRELEKVSQQVSKPLYDAKIQPSTLLPKQIGNMYTASIYAAFASVIHNKYSTLMGQRIVMFSYGSGLSSTMFSFKLQDGQHPFNISNIATVLNVSEKLEKRHVIPPENFVETLKLMEHRYGAKDFETSKDTSLLSPGTFYLVNVDSMYRRYYAKKGTEEATSAKSFSVKNGSLANGH >Ma04_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:93899:108229:-1 gene:Ma04_g00060 transcript:Ma04_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREVAMERRGAGSPVYGRQWSGGSSSTGSSSPGISPAHHRSTSASGLSGVRRTQNVAARAAAARLAQVMASQSATAEEDEDEDEIPVAGGVGFRHGASRLAAGSNGTALGASLMTKANRSPSPALVRNLVEHTPSVRSSSAGRPSISVRSAPLAPPSKTTLLTPSPIPPIEPPVDKRREKRFPSDIKHLNSGDSGIQRQASALQDELDMLQEENESILEKLRLAEERREEAEARARELEKQVAALGEGVSLEARLLSRKEAALRQREAALEAARQTKDGKDEEIATLQKEVESAKEEAVAAVEQLRQAESDAKALQSMTQRMILSEEEMEEVVLKRCWLARYWGLAVHHGIYPEIAVTKHEHWSSLAPLPLEVVISAGQKAKEESRNRGNHESERRNKFVRDLSDITGEGNIESMLSVEKGLRELASLKVEDAVVLALAQHWRPNFVRQFSSELSQEEIEDVTFKQAWLIYFWRRAKAHGVEEDIADERLQSWIGRIGQPPTSHDVVDVERGLIELKKLGIEQQLWEASRREIELDT >Ma03_p25880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29815804:29819540:1 gene:Ma03_g25880 transcript:Ma03_t25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAAMALERLLEPNGGQSAVAFSASDRDLQAEDDDNSKKYLSARQQLFLFSPELAFPNSFPPSRDSSPSAFPRVLNGNICSLSSNNTGAWPVSSGCGGFRFQRKRDAHRGVEFCLAAGKLPMDRRKGNEESGRLDASCRGEEKGTNGLEREVLDRDVGEDDDEDGFWSPRETRSVTSCCSGESSAGFGSRTPNHTEFYDAIEDFISDGSASQSSLSSSIKYEKELCTLRVDLIEEIERRKTTEMALLHMQTQWGRLAESLSQIGVTYPVSQQAGGFQLEIDPAELCQEILVTRYVSEAVERGLARAETEAAAQAVVDMKNHEISRLRERIHYYEAVNREMSLRNQEIIKLARQNRVRRRRQRRWIWSGLGLAVLVGASMLASSHLGYTVDDLLTLVYHNSEDASCDGSD >Ma04_p25750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27416635:27417691:1 gene:Ma04_g25750 transcript:Ma04_t25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAISVLGKLGLLGVDSLSTDQVYKKYFGPKNIMKYEDFHLAFVDLCSDFNLVMPGKHFTIQATEIQKFFEKWPKASADPGTESERKALLVEFMKEQVKEYKTSSRAMMLTGVTAPPAAILIKKAGKKVPPLKMLHIDLIPNVVFVPTVTVLFLIGVRILNVTRAAP >Ma06_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8008093:8008527:-1 gene:Ma06_g11460 transcript:Ma06_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGGGGPGPGQNPRGWDFRWGSDRAGGRGGGWEYFWGVGSAPGGRGGGGGAAAGFGFWRGSYGRPENGAHQFGFGWSGRSDEGRVGGGGGGGGGFGFGFGGGGGGGGGGGTSSVGGFGFGGARGGGAGSNSAPPRGTGP >Ma05_p26940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38449273:38450127:-1 gene:Ma05_g26940 transcript:Ma05_t26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHPQQQCPDLAVLQRIRSHLLDEDLFTAAGATMIDFHYPTLDANGDGSEEPITAAGTTMIDFHYPTSDANGDGSEELFPVAAQGAPPLPMPPPPAPFPARERGRNYRGVRQRPWGKFAAEIRDPGRNGARVWLGTFSTAEEAAIAYDRAAFRIRGSRALLNFPLLIGSQGTPPPPSTLPPLSGNKRRKRVAALASSTGTAEPERLVRA >Ma05_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1339938:1348507:1 gene:Ma05_g02110 transcript:Ma05_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGSSARVESTESSLERIKRQLTSGSGRYLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEAVMKGTIIFDLNSTITISPVNFHGLQKYDGCCFYIGTPQKKEYFLCAETPGAARAWVSTLHATQLVLRAHREAVNNLTGNGPTKLGTVATVVAAANSTAMEASKEIEAAMKISMRAALGLVANKPNEGHLDDLTIMKETLRVKDEELQHLAKDIRARDSTIKEIADKLTETAEAAEAAASAAHAMDEERRLACMEIERLTRDAEKKLGISQLKISEYEEKVVALSKEKELLLKQRDSALQEAHLWRSELAKAREQAVVLEAAVVRAEERARILEADAEARIKDASEKALAAAKEKEDLLALVNILQSQVERSQSNTKQVCEERSESCSGADDTLPLTKHVDSSEDDVDKACLSDSRVVPVSGDSVVQLAEDGVEIHSIGDAEWSGFRPTDPRIADVREISPQAEGSSLDISVVDPTVDAHQLGVNTHQP >Ma05_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8431194:8432256:1 gene:Ma05_g11600 transcript:Ma05_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVYCFVVVVVLLWESWVTADPQTTLLNSGCSQYNASDTSAFVTTFNETLTDLRYSLSSKAADSSAARFATAQRPRTAEPVYALFQCRAYLSSADCLDCLSVAEVGIRRCGNAKGARVIYDGCILRYEGSIFFDQTTDIGNAGVCNGSAASDAGFSEAAKALVTDLTIATPRISGFFAAAERGGVFAVAQCVETVNEEGCAQCLTVAGANIDGCPPDTDGRAVDAGCFMRYSSKSFFPANQTVDLSQFLSSGKSNNKGAIIGGGVGGICGLLLLAIIALLWVKRSRKRQGVRT >Ma03_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23622608:23643639:1 gene:Ma03_g18020 transcript:Ma03_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDDMLDANDVQSVDDDFYGGETGIGSDDEDFDYDFVDNESDDYDDVTSRQQQNYTILSETDIRQHQWEDISTVSTVLSIPRYAASILLRHYNWSISRVHDEWFVDEEHVRKAVGFLEKPVEMMNARELTCGICFENYPRDSMSSASCGHPFCRACWRGYISTSISDGPGCLMLRCPDPSCGAAVGQNMVDVLAPEEDKDKYSRYLLRSYVEDNRKIKWCPAPGCEFAVKFVMGSGSYDICCFCSYSFCWNCTEEAHRPVDCDTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSDHGERTGGFYACNRYEAAKQEGVYDESERRKEMAKNSLERYTHYYERWATNQSSRQKALADLHTMQTEKLEKLSNGQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRRFFEYLQGEAESGLERLHQCVEKELQVYLDAESPVDNFNEFRTKLAGLTSVTRNYFENLVRALETGLKDVGSSNSQTTCTQGLSSKILGNKSKGGKIKTAGTSSGSGAPTHNLDNINFWSCDHCTYANPRSTNTCHMCEHRR >Ma10_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3896508:3896748:-1 gene:Ma10_g00990 transcript:Ma10_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIHIDPAVLASLPPSMQLDLLVQMRESIIAENRQKYQKIKKV >Ma05_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30109674:30110330:-1 gene:Ma05_g19900 transcript:Ma05_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPLDPSAGAVGCCAFLRRPASFSRHLGYRPLAPLEEPPPPVRVVVGKERRVFLVDPFVLDSNPFRILMEAAGDERSRRKGAVFVDVDAILFEHMLWLAYNEPSSSSSSASLFQLNLKEIIQFYSQDN >Ma04_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25885313:25885938:1 gene:Ma04_g23780 transcript:Ma04_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding protein KIC [Source:Projected from Arabidopsis thaliana (AT2G46600) UniProtKB/Swiss-Prot;Acc:Q9ZPX9] MEEEKHAAATESEEYEDLLPVMAERLEAEQFVSELCGGFRLLAEPGRGVITPESLRRNAAALGMAGMTAEDAAAMVREGDMDGDGALDEKEFCVLMVRLSPEMMADAEAWLEKAIEREVLRPTA >Ma09_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36174267:36176331:1 gene:Ma09_g24510 transcript:Ma09_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVPLMASATDYEVAASQQQSDIFLILSLIIVVSSAVFLLRWTRRQGRPPLPPGPVGLPLLGSLLSLEPDLHRYFARLARAYGPDFSLRLGTRLYVVLSSPAAVREVLKDHDVIFANRDPAATATAVPGTQHGLLWSPHGTLWSTLRKVTVREFIGGGGCNEAVRSLLRREVRRAIARLRAREGEPVEVWELVFSTALNVLTSMLWGGLSEDGDVSMKFRAVVEGVVELLGAPNVSDLFPVLAALDLQGMGRRMKRLWDRYDVLWKKFVEDSGRRGEEDEGKGGKAFLQVMVEVLERRDQKDPLTMDHVKALFMELILGGTDTTSTTIEWAMAELMRNPDIMRKIQDELDAVVGKERAVEESDVPKLEYLRAVTKETLRLHPVAPLLVPRSPSSPCTVGGYTIPKGSKVFVNVWMIQRDAAIWGEDAVEFRPERFLTAADDKYGFRGTNFAYLPFGSGRRMCAGISLAEKMVTHMLASFLHSFRWQLPEGEKLELGERFGIALTKAEPLVLVPTARFDDPDLYS >Ma11_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6151546:6154793:1 gene:Ma11_g07720 transcript:Ma11_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRWSQCYAVFSQMKKNTSAPLLAKKSKYSGQSIRAIPIRILTVGKRRSPGVQLLVEEYMEKLRYYCSVEDVHVKSNPKSSSNVKAQIDAEDMVIMQQIRHEDWVVVLDEHGLDVGSEQLADLLGDAGRTGSTRLAFCIGGPYGHGPQLRNRADVTIRLSSMVLNHQIALIVLLEQLYRSWTIIKGQKYHH >Ma03_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2735653:2736582:-1 gene:Ma03_g04180 transcript:Ma03_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEFGIASNSNLHCQPKVNTSLSSSVKTSTFGSQRHGKVIFWISYTDFLLPFLFFFWGKGEGSPSYRLRHCLRNRRLGESNLAKGWIGCLTDRIQSNLI >Ma09_p20860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30313546:30317854:1 gene:Ma09_g20860 transcript:Ma09_t20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGSSSSIPFHTIVDPSLSLMPPLQQTFQRVQRHCFGDLTPGEFPLAASPSIVLHVLTACNLDPQDLANLEVTCTFFRKPANFTPDFQLSITELAALDMCQKRAIFKPMTSEERGFLKQRCGGSWKLVLRYILAGEACCRRENSQAIAGPGHSIAVTSSGSVYSFGSNSSGQLGHGTLEEEWRPRLIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYAVQGSKVVTTPQMVESLRDIYVVQAAIGNFFTAVLSREGRVYTFCWGNDSKLGHHTEPNDLEPRLLLGPLENVPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKHPKLIEQFQALNLQPTVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVESLDNIKAIHVATGDYTTFVVSDTGDVYSFGCGESSSLGHSTAIDGQGNRHANVLSPRLVTSLKDINERVVQISLTNSIYWNAHTFALTDSGRLYAFGAGDKGQLGVELVAQQTERETPECVEIDLS >Ma09_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30313537:30317854:1 gene:Ma09_g20860 transcript:Ma09_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGSSSSIPFHTIVDPSLSLMPPLQQTFQRVQRHCFGDLTPGEFPLAASPSIVLHVLTACNLDPQDLANLEVTCTFFRKPANFTPDFQLSITELAALDMCQKRAIFKPMTSEERGFLKQRCGGSWKLVLRYILAGEACCRRENSQAIAGPGHSIAVTSSGSVYSFGSNSSGQLGHGTLEEEWRPRLIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYAVQGSKVVTTPQMVESLRDIYVVQAAIGNFFTAVLSREGRVYTFCWGNDSKLGHHTEPNDLEPRLLLGPLENVPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKHPKLIEQFQALNLQPTVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEDCESVPKVVESLDNIKAIHVATGDYTTFVVSDTGDVYSFGCGESSSLGHSTAIDGQGNRHANVLSPRLVTSLKDINERVVQISLTNSIYWNAHTFALTDSGRLYAFGAGDKGQLGVELVAQQTERETPECVEIDLS >Ma11_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3168829:3172421:-1 gene:Ma11_g04070 transcript:Ma11_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQSNFKQQHEFEQRKTESQWIKDKYPGRFPVIVEKADTSDVPNIDKQKYLVPGEMTFGQFVHIIHKSINTGAEKAIFMFVDNALPPTGILMSRLYDDKKDEDGFLYFVYSGENTFGCQNMQ >Ma11_p04070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3168829:3172394:-1 gene:Ma11_g04070 transcript:Ma11_t04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQSNFKQQHEFEQRKTESQWIKDKYPGRFPVIVEKADTSDVPNIDKQKYLVPGEMTFGQFVHIIHKSINTGAEKAIFMFVDNALPPTGILMSRLYDDKKDEDGFLYFVYSGENTFGCQNMQ >Ma11_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16099668:16103197:1 gene:Ma11_g12210 transcript:Ma11_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGRMLGGPGKSREGAMGSHDSAVVVRRWPAIGVLVLTLSWCSIPTARGEAADGEGGDGSAAEGGVRGCRLLLSVQEAKGNASFRCSPSGLCLPCQYSEKNDDKYRCSETGYRVPLKCVQIKDGTEEASRLRTRRSLLYLQEHASGVQKRLLITISNYKWRKLLAESSKLENEEENYITYRSCVPVDSNEKLSVLGFEVIMLGLLLISGSVVYLRQKRTAFMSGVARMRVSTSSPRF >Ma06_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4830869:4836376:1 gene:Ma06_g06710 transcript:Ma06_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAANGNNHVVTKKPPTPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLRKWIGHPRFELIRHDITEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVMGTMNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINLGNPGEFTMTELAEVVKELIEPSISIKIVENTPDDPRQRKPNIAKAKQLLGWEPKITLRQGLPLMEEDFKQRLGVSKKA >Ma06_p06710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4830860:4836376:1 gene:Ma06_g06710 transcript:Ma06_t06710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAANGNNHVVTKKPPTPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLRKWIGHPRFELIRHDITEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVMGTMNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINLGNPGEFTMTELAEVVKELIEPSISIKIVENTPDDPRQRKPNIAKAKQLLGWEPKITLRQGLPLMEEDFKQRLGVSKKA >Ma06_p06710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4830860:4836376:1 gene:Ma06_g06710 transcript:Ma06_t06710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAANGNNHVVTKKPPTPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLRKWIGHPRFELIRHDITEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVMGTMNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINLGNPGEFTMTELAEVVKELIEPSISIKIVENTPDDPRQRKPNIAKAKQLLGWEPKITLRQGLPLMEEDFKQRLGVSKKA >Ma02_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24611680:24612707:1 gene:Ma02_g17860 transcript:Ma02_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLPPNDQLAEEDYIDMDFSSANFFCSSPPHPLIEFEFQMSANPQQSLPMSSPADELFYKGKLLPLHLPPRLQMVEELIQSAVTRTPSTAAATPYESCNASPAASRYVSGELNPEDYFHECSEELIESHPRKSWTRKLKFIKEAKAYFKSLFGKSRCSDEKCAASADRSPVYQKAARKNPFGRIQIGSHTALHAMKCKEEEKMMEETNLDHRRSFSSANYSQSSTKSFSVSSSCTSSKSSSFSSVNSKESQGQPMLKRSSSVNSDIESSIQGAIAYCKKSQQKDSARKSASDAGFCLLSVSKIAPDSEHEKPGFCWE >Ma10_p29830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36280245:36287542:1 gene:Ma10_g29830 transcript:Ma10_t29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVMELHDVKRQKKPNKKRKNRPIPQVDADATGAEGGGLAVDEEDHHEEKSLKGLGEEQEVVKEEMDGDQVGDGAEMTAPAVKKKKKDYGILTSESFSRLPISELTMKAIQEMGFENMTQIQSRAIPPLLEGKEVMGAARTGSGKTLAFLIPAVELLHNIHFMPRNGTGIIIICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGAGRKGEAEHLAKGVNLLVATPGRLLDHLQNTKGFIYKNLMFLIIDEADRILEANFEEDMKQIFKRLPKARQTALFTATQTKQVEDFACLSFKEKPIYVGVDDGRSKVTVEGLQQGYCVVPSNKRFMVLYAFLKRNLSKKIMVFFSSCNSVKYHSELLRYIQIDCLDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGGKGNALLFLLPEELQFLLYLKEAKVPVKEYEFNEKKVPNLQSHLEKIVGENYYLSQSAKDAYRSYILAYNSHSMKNVFNVHHLNLKDVAASFCFSSPPKVNLDLESSASKFRKKMRKTEGSRHGISASNPYGKQKGDDKRQFARY >Ma07_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15929141:15929867:-1 gene:Ma07_g16920 transcript:Ma07_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYTVILPRPVALVVHLLDRIKLAVSMALFHLGLISSYEDHFLYPPPLPDFNPPSPSFSLPLPASAIKTGLPVVRFSNHRKTRLHRCEPVCAVCLGALEARHEVRQLGNCSHAFHRACIDKWVDIGHVTCPLCRAQLLPSRREEEYGVDAD >Ma04_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8058126:8059279:-1 gene:Ma04_g11420 transcript:Ma04_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAEAAAVEIRDVWAENLEAEFAVIREVVDDFPFVAMDTEFPGVAIRPLGDFKTVADSNYHILRANVDLLHLIQLGLTFSDADGNFPASPDSARPIVWQFNFREFDVDGDISNPDSIDLLQKSGIDFARNREHGVDANHFAELLMSSGVVLNDSVRWVTFHCAYDFGYLLKILTCRRLPDNREGFFELARTFFPVVYDIKHLMRFSNSLHGGLNKVAEQLEVDRVGICHQAGSDSLLTAQTFRKLTDKYFDGSIERYAGVMYGLDIE >Ma01_p05710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4038924:4044216:-1 gene:Ma01_g05710 transcript:Ma01_t05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MEGDFPAGDLSFQLGHVTFPPFQGFSSASTGSPFPFEKLLEGEGDGDDAPPVGAEEGGEDKDCILSQDFFCTPDYITPDCQQIDNGFEVDKENIPCPNSPEKTTNGRNKRHKSGCSPSKYLSTIFPCHQQVAEVQLDDCDSDQIVEGKSVQIGPQKRRSYVSKSAVALRCRITPPLCFRNPYIKTVASEVQGIFDDRRFKSTVFPSIGGVGLSRYHTDFHEIEQIGCGNFSRVFKVLKRLDGCLYAVKQIIRQLRHDIERRYALMEVQTLAALGSHENIVGYYTSWFENEQLYIQMELCDRSLSISKGQTLKGGEAFKIIYQISKSLHFMHERGIAHLDVKPENIYVKDGVYKLGDFGCATLIDKSLPIEEGDVRYMPQEMLNDKYEHLDKVDIFSLGASVYELVKGSRLPDSGPQFSNLREGKIPLLPGYTVQLQNLLKAMMDPDPIKRPSAKELMEHPTFEKSRGFSCKQPHRQLTKL >Ma01_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4038923:4044187:-1 gene:Ma01_g05710 transcript:Ma01_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MEGDFPAGDLSFQLGHVTFPPFQGFSSASTGSPFPFEKLLEGEGDGDDAPPVGAEEGGEDKDCILSQDFFCTPDYITPDCQQIDNGFEVDKENIPCPNSPEKTTNGRNKRHKSGCSPSKYLSTIFPCHQQVAEVQLDDCDSDQIVEGKSVQIGPQKRRSYVSKSAVALRCRITPPLCFRNPYIKTVASEVQVFPSIGGVGLSRYHTDFHEIEQIGCGNFSRVFKVLKRLDGCLYAVKQIIRQLRHDIERRYALMEVQTLAALGSHENIVGYYTSWFENEQLYIQMELCDRSLSISKGQTLKGGEAFKIIYQISKSLHFMHERGIAHLDVKPENIYVKDGVYKLGDFGCATLIDKSLPIEEGDVRYMPQEMLNDKYEHLDKVDIFSLGASVYELVKGSRLPDSGPQFSNLREGKIPLLPGYTVQLQNLLKAMMDPDPIKRPSAKELMEHPTFEKSRGFSCKQPHRQLTKL >Ma09_p01940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1439524:1445996:1 gene:Ma09_g01940 transcript:Ma09_t01940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCISRVISRFRSLSRSPPFPTPHHASSLHSYGELSIRWMEPEEGLSSSGDTSDEIIDEQEMESSAENKNEKGMENILEDNAIMTVDKNEHEAEHRLEDYATMTLEKNEQEAENQFKNDATATLHNKEQGIQNRLEDDTIVPLKKNEQETLLEDNAVINPPVRGMTFYSLDALVEYYNNYAKQEGFGIMRRAISFSADGKSKFVTIACSRVGKSYSSKRNILNPNPLKKTGCKARVNATVFESGSCRVNSVVLEHNHVLFPSKSCFFLCNRKINYDVKTMLEINNVEGVDISKSSQSVIAQSKGSENVSTLGKDCRNTVEKAKRLRLEVGDAESMYDYFVRMQAKNSNFFYVMDIDCKSHIRNVFWADARCRAAYEEFGDVVMFDTSYLTNKYNMPLSTFVGVNHHGQAILFGCGLLLDEEVETFIWLFKTWLSCMSGCAPIAIITTQSEAIRKAVEMVFPDTRHSWCLWHILKTVPEKLGSYEMCEPITNGIQHAVYDASTKKEFEDSWADIIKAFKELESNEWLTNLYEERNYWVPAFGKDTFWAGMLATQHGETMNPFFDGNVSSTTTIKQFLEQYNDIFKSKVQKENQADIQSFNSQIPCVTHFPIEKQFQQVYTIEKFKEFQQEVIAKLYCEVCLVREMDGVLEFSVSEILAVGEENNQHHRTLDYKVYFNKEEKEINCSCCLFEFRGILCRHIVSVLIKIQSDITVSSKYVLSRWRKDLSRHHTRVKVCHDDWSSNFEGQRYHYLLKKFDDAADLAVESDDACKILWNCIDDFQQKLKVNDAVNGNNKPSLTSGAKSAGCEDTGESFGSVIDKSKLFSPIPITVRWQGCPSTKRKVSTVEQAAKKLTCTRTNGQCGTSKEKGNKFQASEMKQVADDEGDGIDMLRSHVVVDIDSQESINIQANSQVGPIFDQYYGQATATKQEAKAGLIIEPFMDHPRNMKNTG >Ma09_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1439735:1445996:1 gene:Ma09_g01940 transcript:Ma09_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEGLSSSGDTSDEIIDEQEMESSAENKNEKGMENILEDNAIMTVDKNEHEAEHRLEDYATMTLEKNEQEAENQFKNDATATLHNKEQGIQNRLEDDTIVPLKKNEQETLLEDNAVINPPVRGMTFYSLDALVEYYNNYAKQEGFGIMRRAISFSADGKSKFVTIACSRVGKSYSSKRNILNPNPLKKTGCKARVNATVFESGSCRVNSVVLEHNHVLFPSKSCFFLCNRKINYDVKTMLEINNVEGVDISKSSQSVIAQSKGSENVSTLGKDCRNTVEKAKRLRLEVGDAESMYDYFVRMQAKNSNFFYVMDIDCKSHIRNVFWADARCRAAYEEFGDVVMFDTSYLTNKYNMPLSTFVGVNHHGQAILFGCGLLLDEEVETFIWLFKTWLSCMSGCAPIAIITTQSEAIRKAVEMVFPDTRHSWCLWHILKTVPEKLGSYEMCEPITNGIQHAVYDASTKKEFEDSWADIIKAFKELESNEWLTNLYEERNYWVPAFGKDTFWAGMLATQHGETMNPFFDGNVSSTTTIKQFLEQYNDIFKSKVQKENQADIQSFNSQIPCVTHFPIEKQFQQVYTIEKFKEFQQEVIAKLYCEVCLVREMDGVLEFSVSEILAVGEENNQHHRTLDYKVYFNKEEKEINCSCCLFEFRGILCRHIVSVLIKIQSDITVSSKYVLSRWRKDLSRHHTRVKVCHDDWSSNFEGQRYHYLLKKFDDAADLAVESDDACKILWNCIDDFQQKLKVNDAVNGNNKPSLTSGAKSAGCEDTGESFGSVIDKSKLFSPIPITVRWQGCPSTKRKVSTVEQAAKKLTCTRTNGQCGTSKEKGNKFQASEMKQVADDEGDGIDMLRSHVVVDIDSQESINIQANSQVGPIFDQYYGQATATKQEAKAGLIIEPFMDHPRNMKNTG >Ma09_p01940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1439524:1445996:1 gene:Ma09_g01940 transcript:Ma09_t01940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCISRVISRFRSLSRSPPFPTPHHASSLHSYGELSIRWMEPEEGLSSSGDTSDEIIDEQEMESSAENKNEKGMENILEDNAIMTVDKNEHEAEHRLEDYATMTLEKNEQEAENQFKNDATATLHNKEQGIQNRLEDDTIVPLKKNEQETLLEDNAVINPPVRGMTFYSLDALVEYYNNYAKQEGFGIMRRAISFSADGKSKFVTIACSRVGKSYSSKRNILNPNPLKKTGCKARVNATVFESGSCRVNSVVLEHNHVLFPSKSCFFLCNRKINYDVKTMLEINNVEGVDISKSSQSVIAQSKGSENVSTLGKDCRNTVEKAKRLRLEVGDAESMYDYFVRMQAKNSNFFYVMDIDCKSHIRNVFWADARCRAAYEEFGDVVMFDTSYLTNKYNMPLSTFVGVNHHGQAILFGCGLLLDEEVETFIWLFKTWLSCMSGCAPIAIITTQSEAIRKAVEMVFPDTRHSWCLWHILKTVPEKLGSYEMCEPITNGIQHAVYDASTKKEFEDSWADIIKAFKELESNEWLTNLYEERNYWVPAFGKDTFWAGMLATQHGETMNPFFDGNVSSTTTIKQFLEQYNDIFKSKVQKENQADIQSFNSQIPCVTHFPIEKQFQQVYTIEKFKEFQQEVIAKLYCEVCLVREMDGVLEFSVSEILAVGEENNQHHRTLDYKVYFNKEEKEINCSCCLFEFRGILCRHIVSVLIKIQSDITVSSKYVLSRWRKDLSRHHTRVKVCHDDWSSNFEGQRYHYLLKKFDDAADLAVESDDACKILWNCIDDFQQKLKVNDAVNGNNKPSLTSGAKSAGCEDTGESFGSVIDKSKLFSPIPITVRWQGCPSTKRKVSTVEQAAKKLTCTRTNGQCGTSKEKGNKASEMKQVADDEGDGIDMLRSHVVVDIDSQESINIQANSQVGPIFDQYYGQATATKQEAKAGLIIEPFMDHPRNMKNTG >Ma09_p01940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1439524:1445904:1 gene:Ma09_g01940 transcript:Ma09_t01940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCISRVISRFRSLSRSPPFPTPHHASSLHSYGELSIRWMEPEEGLSSSGDTSDEIIDEQEMESSAENKNEKGMENILEDNAIMTVDKNEHEAEHRLEDYATMTLEKNEQEAENQFKNDATATLHNKEQGIQNRLEDDTIVPLKKNEQETLLEDNAVINPPVRGMTFYSLDALVEYYNNYAKQEGFGIMRRAISFSADGKSKFVTIACSRVGKSYSSKRNILNPNPLKKTGCKARVNATVFESGSCRVNSVVLEHNHVLFPSKSCFFLCNRKINYDVKTMLEINNVEGVDISKSSQSVIAQSKGSENVSTLGKDCRNTVEKAKRLRLEVGDAESMYDYFVRMQAKNSNFFYVMDIDCKSHIRNVFWADARCRAAYEEFGDVVMFDTSYLTNKYNMPLSTFVGVNHHGQAILFGCGLLLDEEVETFIWLFKTWLSCMSGCAPIAIITTQSEAIRKAVEMVFPDTRHSWCLWHILKTVPEKLGSYEMCEPITNGIQHAVYDASTKKEFEDSWADIIKAFKELESNEWLTNLYEERNYWVPAFGKDTFWAGMLATQHGETMNPFFDGNVSSTTTIKQFLEQYNDIFKSKVQKENQADIQSFNSQIPCVTHFPIEKQFQQVYTIEKFKEFQQEVIAKLYCEVCLVREMDGVLEFSVSEILAVGEENNQHHRTLDYKVYFNKEEKEINCSCCLFEFRGILCRHIVSVLIKIQSDITVSSKYVLSRWRKDLSRHHTRVKVCHDDWSSNFEGQRYHYLLKKFDDAADLAVESDDACKILWNCIDDFQQKLKVNDAVNGNNKPSLTSGAKSAGCEDTGESFGSVIDKSKLFSPIPITVRWQGCPSTKRKVSTVEQAAKKLTCTRTNGQCGTSKEKGNKFQASEMKQVADDEGDGIDMLRSHVVVDIDSQESINIQANSQVGPIFDQYYGQATATKQEIKKPRLDSS >Ma04_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5925865:5928864:-1 gene:Ma04_g08230 transcript:Ma04_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSKDGTVLRKRPLSIRTGRKSGAHRSAEEESGGALNSEIMVVEDEPVSPLTRLSTSRASTAKPLDVYVVKSSLEATLVRHPRFSSMPSTPHVIDEAGGKKAKWVRTEVVVENHIPPSLATPWATPAPSGISTSSTSPPPTPPPFQCSASTTPLPRLQPQGLRPRLPSHNPQTLPPAAARLQEAPCGCFYGPLVAVDIKDVKNAMHCTVNDVLVGVTSAGFSRSLSRRYNQLPSNSRLHSTLLVNIRPIPGIHALAELTEGRDGETKWGNFIGYLILPFSIMRCKNPLDYIRRAKATADRKKNSLGAIFTYKGAELIVKCFGIKAAAALCHRVLSNTTLSISNMIGPVEEIVFYDHPLVYLALSVFGHPQALTVHFQSYVNTMKIVLTVDEAVIPDPY >Ma10_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28917567:28920386:1 gene:Ma10_g17570 transcript:Ma10_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTALVMLFLACAGGLVCGNQTYIVYMNPAHRPAVHPTHADWYAAHLQSLDIDPGRHLLYTYSDALHGFAASLLPHHLDLLRRSPAVVQLHPDPVLTLHTTRSPQFLGLAPDASSSAAVPRPIQAVEAASRDVFIAVLDTGVWPEVPSFSAAAGLPEVPSRWHGACEAGVDFSPSLCNRKLVGARSFSRGFRAAAAVAGDGTVRGKPNEYDSPRDRDGHGTHTASTAAGSAVANASLLGYATGTARGMAIAARVAAYKVCWASGCFGSDILAGIDTAISDGADILSLSLGGGSTPYFRDTIAIGAFAAAERGIFVSCSAGNSGPGPATLANGAPWIATVGAGTLDRDFPATARLGNGARYTGVSLYSGKGMGKKLVPAVYGGGRSNASKLCLAGTLDPARVRGKLVLCDRGVSARVEKGAVVKAAGGAGMILANTAANGEELVADSHLLPAVAVGKKEGDLIRQYVTTNPRPRGALSFGGTVLGVRPSPVVAAFSSRGPNPVSPQILKPDFIGPGVNILAGWSGSIGPTGLLKDGRRTQFNIMSGTSMSCPHISGVAALLKGAHPNWSPAAIKSALMTTSYVLDNTNSPLRDAAGGSYATPFAYGAGHVDPQRALSPGLVYDITADDYIAFLCSLNYTIPHIQAITKRPNVTTCSRRFSDPGNLNYPSFSVVFGKKWRVVKYRRELTNVGSASSTYEAKVSGPGGVAVTVKPAKLMFKHVNQKLKYSVTFASKERGRSAGTAFGWITWSNKQHKVRSPVAYTWKM >Ma10_p17570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28917566:28920386:1 gene:Ma10_g17570 transcript:Ma10_t17570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTALVMLFLACAGGLVCGNQTYIVYMNPAHRPAVHPTHADWYAAHLQSLDIDPGRHLLYTYSDALHGFAASLLPHHLDLLRRSPAVVQLHPDPVLTLHTTRSPQFLGLAPDASSSAAVPRPIQAVEAASRDVFIAVLDTGVWPEVPSFSAAAGLPEVPSRWHGACEAGVDFSPSLCNRKLVGARSFSRGFRAAAAVAGDGTVRGKPNEYDSPRDRDGHGTHTASTAAGSAVANASLLGYATGTARGMAIAARVAAYKVCWASGCFGSDILAGIDTAISDGADILSLSLGGGSTPYFRDTIAIGAFAAAERGIFVSCSAGNSGPGPATLANGAPWIATVGAGTLDRDFPATARLGNGARYTGVSLYSGKGMGKKLVPAVYGGGRSNASKLCLAGTLDPARVRGKLVLCDRGVSARVEKGAVVKAAGGAGMILANTAANGEELVADSHLLPAVAVGKKEGDLIRQYVTTNPRPRGALSFGGTVLGVRPSPVVAAFSSRGPNPVSPQILKPDFIGPGVNILAGWSGSIGPTGLLKDGRRTQFNIMSGTSMSCPHISGVAALLKGAHPNWSPAAIKSALMTTSYVLDNTNSPLRDAAGGSYATPFAYGAGHVDPQRALSPGLVYDITADDYIAFLCSLNYTIPHIQAITKRPNVTTCSRRFSDPGNLNYPSFSVVFGKKWRVVKYRRELTNVGSASSTYEAKVSGPGGVAVTVKPAKLMFKHVNQKLKYSVTFASKERGRSAGTAFGWITWSNKQHKVRSPVAYTWKM >Ma10_p17570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28917566:28920386:1 gene:Ma10_g17570 transcript:Ma10_t17570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTALVMLFLACAGGLVCGNQTYIVYMNPAHRPAVHPTHADWYAAHLQSLDIDPGRHLLYTYSDALHGFAASLLPHHLDLLRRSPAVVQLHPDPVLTLHTTRSPQFLGLAPDASSSAAVPRPIQAVEAASRDVFIAVLDTGVWPEVPSFSAAAGLPEVPSRWHGACEAGVDFSPSLCNRKLVGARSFSRGFRAAAAVAGDGTVRGKPNEYDSPRDRDGHGTHTASTAAGSAVANASLLGYATGTARGMAIAARVAAYKVCWASGCFGSDILAGIDTAISDGADILSLSLGGGSTPYFRDTIAIGAFAAAERGIFVSCSAGNSGPGPATLANGAPWIATVGAGTLDRDFPATARLGNGARYTGVSLYSGKGMGKKLVPAVYGGGRSNASKLCLAGTLDPARVRGKLVLCDRGVSARVEKGAVVKAAGGAGMILANTAANGEELVADSHLLPAVAVGKKEGDLIRQYVTTNPRPRGALSFGGTVLGVRPSPVVAAFSSRGPNPVSPQILKPDFIGPGVNILAGWSGSIGPTGLLKDGRRTQFNIMSGTSMSCPHISGVAALLKGAHPNWSPAAIKSALMTTSYVLDNTNSPLRDAAGGSYATPFAYGAGHVDPQRALSPGLVYDITADDYIAFLCSLNYTIPHIQAITKRPNVTTCSRRFSDPGNLNYPSFSVVFGKKWRVVKYRRELTNVGSASSTYEAKVSGPGGVAVTVKPAKLMFKHVNQKLKYSVTFASKERGRSAGTAFGWITWSNKQHKVRSPVAYTWKM >Ma02_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24841825:24844674:-1 gene:Ma02_g18320 transcript:Ma02_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPNAGGGWIINYGGFMEDVHASDFIWAPQVVDDPSASSAMLGFDALRKEDNRPNNGNLRKRPRAESCAVPGTKACREKIRRDRLNCRFTELCSILDPGKPPKTDKFAILGDATRLLNHLRNEAKKTKESNEALHDAIKNMKAEKVELRDERTRLKAEKARIEEMLKVISTTPQFITQPAAATFHETSAAAHSKTIPYPNYLPMGMWQWTAPAAIDTSQDHVLRPPVA >Ma09_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32771107:32773491:-1 gene:Ma09_g21540 transcript:Ma09_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYDVSPRKFCWFMDDEEMLIHIEENYTQFLVAMTGVYRAAHFMFPREVELHNAKLFSEKILQKSLSGSDTRNNPAIMTDLQKQIEHELGLPWLSRMDHLEHRMYLERSKGYNLQMGKTSSCSLLDSKFAIQLAAELFTNRQMLYESELEELKKWSKDSGLSSMGFGREKTTSCYFLTATAVTLPLQSDLRKVVARCAILVTVIDDFFDEKGSEDELESLTKAVQGWEGEGLSGHCKVIFDALDNLVRDISFKALSQHGYDAKSLLQDMWRETFESWLKESDWSRKRHAPTITEYLEVAAISIAIQVMTLPACFLVIPQVPKHILTSRYSPITKLTMITSRLLNDIHSYQKEIHGGKFNMVLLYVKENQGATNEDSIEHISKIIERKEREFLEIYMDDAYAGFPNEWKELHLGTFKSFRMLFDTTNAFDSPTALVQSISDAFYNPLVMDSRSTFSSREETLLKPMKERWSHPRKKYTDDDLLIKSGNRSERKHGTIPQNSRMLTMNINRRWSSKTWSISRRRSSTIALMCPSINSRVLSKI >Ma08_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7804594:7809999:1 gene:Ma08_g10650 transcript:Ma08_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCEEDNIHRTTVSGGPYVTNYTTGNDGPYHAANPPPKGVQTVRPQPIAVPAIPVEEIREVTKNFGDEALIGEGSFGRVYFAVLRNGRSTAIKKLDSSKQPDQEFLAQVSMVSRLKHENVVELVGYCVDGNLRLLAYEFATMGSLHDILHGRKGVKGAQPGPVLSWQQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKTSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCIDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNTRSGHPGEGPGL >Ma11_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26269697:26275202:1 gene:Ma11_g22510 transcript:Ma11_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPGIGTVWSNLESARSSMWLLRGKKDGGARSGRGARLGGYDRIFDGVLRWRSVALNLARILHNRSISGGGSRPDPSDG >Ma03_p14870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14680072:14687254:1 gene:Ma03_g14870 transcript:Ma03_t14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEPPDDRRCLWRCKNLRMEGAEFCSDHRGLQSAEKGRWGSSSSRSRKTRVRARPEEGPPGEEEEAEREKLRKKNESRAFRPAGSAARAAERDGPKSIPVRERRRLIVVDESDSDEEEDALVKEDDDDWKQENVKAGNKRKRMHLDMQKRKNRKTEKEASITDKDGQGAGSSAASEKSSSEKTAQNENYPEVPKKKKLTGDDALMCHQCQRNDKGRVVCCTSCKKKRFCIPCITRWYPHLSEADFAARCPFCRNNCNCKACLRMLGLAKPPGKLIEKTDKIKFCCYTLRLLLPWLKELIQERNAEKGIEAKIQGAASSKIKIQRATCEKDERIFCNVCRTSIVDFHRSCPSCLYDLCLGCCRELREGCIPGGLGKIILPYQDRGNDYIHGGNQHRQSNVSEGSSTRQASSHVTQLNEWRANEDGSIPCPPKEIGGCGSSLLELRCMFKESLLSALEEKAEAIVKESQFLECIGNSDRCPCFSATGQTDNSSRMLRKAACRDNSDDNCLYCPTANDIQQGELDHFQKHWLKGEPVIVRDVLELTSGLSWEPMVMWRALREKKLAEKASERLTVKAIDCLDWCEVEINIHQFFTGYTEGRKHNNGWPEMLKLKDWPPANSFEERLPRHGAEFITALPFPEYTDPRYGPLNLVVKLPKDVLKPDLGPKTYIAYGLAEELGRGDSITKLHCDMSDAVNVLTHTAEMTLSSHQLSKIEKLKKKHLKQDIQEQLYAEQEDKGKVVSSAEKNIMERTDNISNVALDDEKIMPMQSSSHVDKMSADVLDDQKSECISTTDIDVNTSNVQVRDAMHVEKVPETCVTGSEDEKTESSQLCDLNHEEVTKNKQMDQINVEQNGFDNVRMDEKAVDKTHPSAIPISKDTSVQVHIESHSVVHSRLFVSGPSIKHSGQSGNDDKKEASDQDIVASVGCNSGYCCTKIENTEAHDEGTTMDKSAAGIVQRNGGPGNTLGNVVNDSNLGEMQSEAAETVYSDKQENPEHLEGRAETTRGVDEEKCDKQPAAAGMEAEQKHPDGGALWDIFRRKDVVKLEEYIRKHSREFRHVHCSPVEQVIHPIHDQSFYLTMEHKRKLKAEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENIRECIRLTEEFRTLPDEHRAKEDKLEVKKMALLALKQVIKELNDLKYKPRPASNHKSPCRSLKLKKWQ >Ma03_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14680072:14687268:1 gene:Ma03_g14870 transcript:Ma03_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEPPDDRRCLWRCKNLRMEGAEFCSDHRGLQSAEKGRWGSSSSRSRKTRVRARPEEGPPGEEEEAEREKLRKKNESRAFRPAGSAARAAERDGPKSIPVRERRRLIVVDESDSDEEEDALVKEDDDDWKQENVKAGNKRKRMHLDMQKRKNRKTEKEASITDKDGQGAGSSAASEKSSSEKTAQNENYPEVPKKKKLTGDDALMCHQCQRNDKGRVVCCTSCKKKRFCIPCITRWYPHLSEADFAARCPFCRNNCNCKACLRMLGLAKPPGKLIEKTDKIKFCCYTLRLLLPWLKELIQERNAEKGIEAKIQGAASSKIKIQRATCEKDERIFCNVCRTSIVDFHRSCPSCLYDLCLGCCRELREGCIPGGLGKIILPYQDRGNDYIHGGNQHRQSNVSEGSSTRQASSHVTQLNEWRANEDGSIPCPPKEIGGCGSSLLELRCMFKESLLSALEEKAEAIVKESQFLECIGNSDRCPCFSATGQTDNSSRMLRKAACRDNSDDNCLYCPTANDIQQGELDHFQKHWLKGEPVIVRDVLELTSGLSWEPMVMWRALREKKLAEKASERLTVKAIDCLDWCEVEINIHQFFTGYTEGRKHNNGWPEMLKLKDWPPANSFEERLPRHGAEFITALPFPEYTDPRYGPLNLVVKLPKDVLKPDLGPKTYIAYGLAEELGRGDSITKLHCDMSDAVNVLTHTAEMTLSSHQLSKIEKLKKKHLKQDIQEQLYAEQEDKGKVVSSAEKNIMERTDNISNVALDDEKIMPMQSSSHVDKMSADVLDDQKSECISTTDIDVNTSNVQVRDAMHVEKVPETCVTGSEDEKTESSQLCDLNHEEVTKNKQMDQINVEQNGFDNVRMDEKAVDKTHPSAIPISKDTSVQVHIESHSVVHSRLFVSGPSIKHSGQSGNDDKKEASDQDIVASVGCNSGYCCTKIENTEAHDEGTTMDKSAAGIVQRNGGPGNTLGNVVNDSNLGEMQSEAAETVYSDKQENPEHLEGRAETTRGVDEEKCDKQPAAAGMEAEQKHPDGGALWDIFRRKDVVKLEEYIRKHSREFRHVHCSPVEQVIHPIHDQSFYLTMEHKRKLKAEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENIRECIRLTEEFRTLPDEHRAKEDKLEVKKMALLALKQVIKELNDLKYKPS >Ma11_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25677725:25678412:1 gene:Ma11_g21580 transcript:Ma11_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLEHHWKIAKCVALGAVVLEALVFLLALITKAVNMPAEYDSDDEYIAPRYGIREPLIIGQGIPATGVPVHSTLDQHPSSTVAYIHRLREKYGLDSSMFTYNSSGPGRYQQAMVAPAEESGRCTML >Ma07_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5259756:5262518:-1 gene:Ma07_g07210 transcript:Ma07_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTCVATVVPNASLTIMLLPSLTLCFLFLCLIYYLLSRAFLTGSRSLPLPPGSMGWPYIGETLQLYSNNPNAFFALKQKRYGPIFKTHILGCPCVMVSSPEAARFVLVTRAHLFKPTFPASKERMLGPQAIFFQQGDYHARLRRLVLRALMPDAIRGSVAGIEATALRALRSWDGRFVNTFLEMKTYAFNVALLSIFGNDEICYIEDLKQCYYTLEKGYNSMPVNLPGTLFYRAMKARKQLAQIVAKIVSSRRTQSKTEANGLLGSFMEAKEALTDDQIADNIIGVIFAARDTTASVLTWIVKYLGDNPGILRAVTEEQEEITRSKDGKSLTWADTKRMPLTSRVIQETMRVASVLSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPDNFADPEKFDPSRFEVAPKPNTFMPFGNGSHSCPGNELAKLEMLVLLHHLTTKYRWSMVGCQSGIQFGPFVLPLNGLPLRFSLKSPVEDHTA >Ma10_p28730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35515109:35516940:1 gene:Ma10_g28730 transcript:Ma10_t28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQMGVISPSKLRIKLLGSHGGRRKEGGNSSSRTSPSKLEEMEHSKHSLLAGDLDEEVSLKDSKDASSLNVGASSEVPRLELSVKEVLGYGQGDQTPSFRKEFLPKGKADICCNRAQDTVKSVSSLAEPTSNLSMVHPMRLPDEESFDYDSGHDNGSARSFEFHKGERPSQQASSPFVRNLPSKWNDAERWIVHRQITHVKSNVSKKTAALNLGSLQVISNRVVFVPESASADHRHSLMQEPDAKTSSSTKSTSQNVAEKFSFAPNSSQSSLDSTSGLTDLSSVNSTYGGCFKKEFNHAVSEKSTAKATGFTHLNDNELLANRRNLTLDSGHTNMRILLGY >Ma10_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4495863:4499352:-1 gene:Ma10_g01350 transcript:Ma10_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQFSDATRNMMREKATNGLNPGLVVLLVIGGLVLLFLVGNFALYTYAQKNLPPKKKKLVSKKKMKRERLKQGIAAPGE >Ma01_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19320078:19327545:-1 gene:Ma01_g20670 transcript:Ma01_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITQVLATAVVLGLLWWHSSSATPRGLEDQAGLLFFISVFWGFFPVFTAVFTFPQERAMLNKERLVDMYKLSAYFTATTTSDLLLNLILPIIFLLIVYFMAGLRQSIKHFFFSMLIVFLCIIAAQVWLLIGASLMDIKKATTLALVTVMTFMLAGGFFVQVSSAAPFLQRTYNYALGYRIISSNHNKRRKKNYSDKH >Ma09_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:871328:874823:-1 gene:Ma09_g01190 transcript:Ma09_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFESEEEAQLSHGNECDRTREERPMVAPRVEKLSSGDDRMKTARDLSTKRESLGAIEGSDFSISAQTFTFSELSAATSNFRTESLLGEGGFGRVYKGRLETTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDFPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDKGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAVESTKSHSEQNLVSWETLVLFYLQCLSGFYFHKQQSGI >Ma04_p37730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35490298:35495310:-1 gene:Ma04_g37730 transcript:Ma04_t37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSVPFLFIASCSSYLQVLEFQPRRGAGKMYAETGLLLPCMQGFPQVVSGADQHLLPFTFHEDLVSTVFPGAAPTLGNLVQISFTDYDLGGDGDLFEAPKPILEESLDPITATMSVMPGYGSTITEETMKTTDAELIQDEDLSCEVFFDCKRDILTKSTTIVPSPGTVAAVQTEEDTAGAKDNSFAEGSLQKSGSFGCLGSVDYSKVLSVEPCFLGVSDMNLEVAFQMRRAYSEGDIQILGNNNSVHGNMNIIPAFKVLASFEDIKIEDKIKERRIKLSRYRKKRTKRNYGRKIKYACRKALAESQPRIHGRFAKMEDTYGCNDRAIGGRKLQQ >Ma04_p37730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35490298:35495404:-1 gene:Ma04_g37730 transcript:Ma04_t37730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAETGLLLPCMQGFPQVVSGADQHLLPFTFHEDLVSTVFPGAAPTLGNLVQISFTDYDLGGDGDLFEAPKPILEESLDPITATMSVMPGYGSTITEETMKTTDAELIQDEDLSCEVFFDCKRDILTKSTTIVPSPGTVAAVQTEEDTAGAKDNSFAEGSLQKSGSFGCLGSVDYSKVLSVEPCFLGVSDMNLEVAFQMRRAYSEGDIQILGNNNSVHGNMNIIPAFKVLASFEDIKIEDKIKERRIKLSRYRKKRTKRNYGRKIKYACRKALAESQPRIHGRFAKMEDTYGCNDRAIGGRKLQQ >Ma07_p24770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32016854:32022186:1 gene:Ma07_g24770 transcript:Ma07_t24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGIAWRPKCRAVLHLSCRRSTFSTSDALVDDICRILSDHRAPHHDLAAALRPFAPALTPGVAEGVLIRCRHLPSPAHRFFLWSAAIPGFHHSPAAHLALVHALGAARQFPLLWSLLSELRDAGRGSDVARPETFWLLFRFYARASLPDDAIRAFRRMPDFGIQPGLEDFHHLLSSLCRNGLVAAAQSFFDQSKSLFNVNHKTFSILINGWGDGGGPKEALRLFDEMLHRRCLVDVAAYNSLILTLCQGGELGEAHVQLQEMQKTHRLKPDAGTYAAFVRAACKANDVHAAVGVLDRIRRHNLVPNVFTYNCIIRLFCGNEMVDEAYELLDEMIERGAKPDAWSYNSILAIHCRLHEVNKSLRLLARMDKDACSPDRHTYNMLLKMLIGVGRIDRAMEVWDGMQRRGFYPAASSYAVMIHGLCKKKGKVEEAFRYFEMMVDEGIPPYLSTCEMLRDKLFEIGLREQVGVLADKMRRSTSCTIQELVIAMEGRKRIGHTREE >Ma11_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22101061:22107534:1 gene:Ma11_g16630 transcript:Ma11_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRSASLKRAFFSSEKYGPEIRGANIQFYNFSSKGKSKSKPVGTETGENNLSKKDLALQQALDQILTSYGKGSIMWLGRSAVPKEVPVVSTGSFTLDMALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKNGGYCAFIDAEHSLDPALAKVIGVNTDNFLVSQPDCGEQALSLVDTLVRSGSVDVVVVDSVAALVPKTELDGEMGDSHVALQARLMSQALRKLTHSLSQSQTLLLFINQVRATMKTFGFGGSTEVTSGGNALKFYSSVRLNVRKFGLVKKGDETLGSNVLVNIVKNKHAPPFKTAHFELEFGKGISRESEMVELGCKHDFITKRGAVYGFDGKSFRGKDALKRYLMENQGVQEELTMKLREKLWNNNADNTDGASDAADDTVAIV >Ma11_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:44449:45212:-1 gene:Ma11_g00100 transcript:Ma11_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRRNLVGPFFFVKKKHFLIFLFIKNKE >Ma10_p17670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28973577:28975192:-1 gene:Ma10_g17670 transcript:Ma10_t17670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDSFSGLGNGTQVDSKVLQTFQKSFLQVQRILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSLSFTRSMEASSEGDSEGKPGNKRNRPG >Ma10_p17670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28973577:28975189:-1 gene:Ma10_g17670 transcript:Ma10_t17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDSFSGLGNGTQVDSKVLQTFQKSFLQVQRILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSLSFTRSMEASSEGDSEGKPGNKRNRPG >Ma10_p17670.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28973577:28975192:-1 gene:Ma10_g17670 transcript:Ma10_t17670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDSFSGLGNGTQVDSKVLQTFQKSFLQVQRILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSLSFTRSMEASSEGDSEGKPGNKRNRPG >Ma10_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28973577:28975191:-1 gene:Ma10_g17670 transcript:Ma10_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDSFSGLGNGTQVDSKVLQTFQKSFLQVQRILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSLSFTRSMEASSEGDSEGKPGNKRNRPG >Ma01_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5092307:5097099:1 gene:Ma01_g07080 transcript:Ma01_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLRSRFLLPKPLTPAPFIRSISAFPFLSQEPQLEEPPSSPTASASPLPPSPSTGSPFYQENWRSPNPAPGVGPLVGGQSLVPMGPSATARMMAFSQTLDVSSLMNVFADWMTSQRWSDVKQLFEFWIRSLDASGKPNKPDVHLFNHYLRANLMMGATAGELLDLVAQMQEYQIAPNTASYNLVLKAMFQARESEAAEKLVDRMLQTGTTPDDESYNLVIGLLILMNQIDSALKYMDLTIKSGYMISSSVFMDCVRSCVNAGKLDTLASIIEKCKTTDQNKALCPSWNLCNYIADVALQADHSKLAYFSLEFLARWIARGEIARPPVLLSAEEGLVVSALGAAGRTYNSTLLDAAWSILRRSLRQKRAPSPETYLAKIYAHSSLGQLQRAFASLNEFETVYGNSEEVDQELFSPFTSLYPLVVACCTNGFSTLDSVYIQLENLSRADPPYKSVAALNCVILGCANIWDLDRAYETFEAISEKIGMTPDVHSYNALMSAFGKLKKTAEASKVFEHLLSLGVKPNATTYSLLVDTHLVNRDQKAALSVIDAMVEAGFTPSKETLKRVRRRCSRELDFDSDERLQSLAQRFKYRMGNESRREMLYNLEYSTNY >Ma09_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7007143:7010168:1 gene:Ma09_g10280 transcript:Ma09_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANGVNAAPATDRGAPAPSDAKGKHRISAELKRLEQEARFLEEEISELEKIEKVSASLHELLLQVESRRDPLLPETTGSTSTSWDRWFEGPQDMPGCRCWVFWF >Ma02_p11070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20410993:20417284:1 gene:Ma02_g11070 transcript:Ma02_t11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVKELNAEGKESALVTHGKHDGDECNHFTIRGYVAGVRKRDAKICWPLYMAGNESSDALSSMLPPLHVSKFKRWSCLNCLHTICASADVTGSANFTNSFETVILEERPVSDCIIKVSHGEHSPVPYHGNKNNESKTDDAAKEGYHVFTYRNFRTEEKENHSCKSITAVAEGEEFQAGQSQKRNTTNKENKFKAALLFDDASPKVGAEPNGGMRIGLFDATIIFRGTDLAACENKDDEGITAKGNINIVPDGMTKESRKLVGVDLCIPEDDVLTTTAANLPNYDLMTLDQNNDEASYGNKNLSDGMYFSRNQKCVSSSSHRKVTQKRVLKLRLLEDIMKNEELHISKKIHTFKGSADTCEKSHKRSDEWKCEIHPEDYKKGNFFRQNCKVIPAKPINATEATHSKDEEISLMHWLKKVSKKIVTDDSQRKKNIVGKGYAEIKCIENKVVTSPSTHKKKDADPLSKGSRASKYSKSCTVEKKSKFTRLKPSAHCLKPQLENLISKDASVKHVPPENVYPQLRNIISEDILPPCLENLERSCEQKSEFNRRKRKAFQVKDRNPSQINCSKKQVIKKQRNMMPLEKKTVDDIPMDIVELLAKNQHERSMMNAEVSNMNKHELSMMNGELRHGIYSNVTGYCGSKFPKAIYQRQANDTVLCIPTAPHGNQNDVYGPEACKAIEFQKHALIDLNQQAADFLAIPQYDGYQPCTTHHSAVDSKKTSSFQISSWDRMRMQDSGLYQKNQGVSAQRSCGGPIHDMSSLSLNGRIFGVNKRKVDTCHNHGKMVPFDSFLDTTQKIVPQKTGYQESVNLTSSNLPYGEGKSEQTTNGIALPGRSYLMERASRCHPGRAGTIDMHNNETVSALHLLRLVDQAAQSGPSWDINCTGITQDSRLNYNRQSSEMHGAEVGVKNRKTQEIPSTTGYCAHDQTEGGFSSPCHPFPRIGALGSLLQNENMTLSYKSLAPLGSKAVCSAELPSFCIYDMDKIDAPSASSTKYRDNKNPPSVTTNTKQIVSADQVGINRKGEQVQPLSYDPMTITCVINRNPADFSQPDEDNIYMRGND >Ma02_p11070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20410993:20417284:1 gene:Ma02_g11070 transcript:Ma02_t11070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVKELNAEGKESALVTHGKHDGDECNHFTIRGYVAGVRKRDAKICWPLYMAGNESSDALSSMLPPLHVSKFKRWSCLNCLHTICASADVTGSANFTNVCNEDMKTNNSIFLFDLNAKRLFFSGPKQSFETVILEERPVSDCIIKVSHGEHSPVPYHGNKNNESKTDDAAKEGYHVFTYRNFRTEEKENHSCKSITAVAEGEEFQAGQSQKRNTTNKENKFKAALLFDDASPKVGAEPNGGMRIGLFDATIIFRGTDLAACENKDDEGITAKGNINIVPDGMTKESRKLVGVDLCIPEDDVLTTTAANLPNYDLMTLDQNNDEASYGNKNLSDGMYFSRNQKCVSSSSHRKVTQKRVLKLRLLEDIMKNEELHISKKIHTFKGSADTCEKSHKRSDEWKCEIHPEDYKKGNFFRQNCKVIPAKPINATEATHSKDEEISLMHWLKKVSKKIVTDDSQRKKNIVGKGYAEIKCIENKVVTSPSTHKKKDADPLSKGSRASKYSKSCTVEKKSKFTRLKPSAHCLKPQLENLISKDASVKHVPPENVYPQLRNIISEDILPPCLENLERSCEQKSEFNRRKRKAFQVKDRNPSQINCSKKQVIKKQRNMMPLEKKTVDDIPMDIVELLAKNQHERSMMNAEVSNMNKHELSMMNGELRHGIYSNVTGYCGSKFPKAIYQRQANDTVLCIPTAPHGNQNDVYGPEACKAIEFQKHALIDLNQQAADFLAIPQYDGYQPCTTHHSAVDSKKTSSFQISSWDRMRMQDSGLYQKNQGVSAQRSCGGPIHDMSSLSLNGRIFGVNKRKVDTCHNHGKMVPFDSFLDTTQKIVPQKTGYQESVNLTSSNLPYGEGKSEQTTNGIALPGRSYLMERASRCHPGRAGTIDMHNNETVSALHLLRLVDQAAQSGPSWDINCTGITQDSRLNYNRQSSEMHGAEVGVKNRKTQEIPSTTGYCAHDQTEGGFSSPCHPFPRIGALGSLLQNENMTLSYKSLAPLGSKAVCSAELPSFCIYDMDKIDAPSASSTKYRDNKNPPSVTTNTKQIVSADQVGINRKGEQVQPLSYDPMTITCVINRNPADFSQPDEDNIYMRGND >Ma02_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20410993:20417284:1 gene:Ma02_g11070 transcript:Ma02_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVKELNAEGKESALVTHGKHDGDECNHFTIRGYVAGVRKRDAKICWPLYMAGNESSDALSSMLPPLHVSKFKRWSCLNCLHTICASADVTGSANFTNVCNEDMKTNNSIFLFDLNAKRLFFSGPKQSFETVILEERPVSDCIIKVSHGEHSPVPYHGNKNNESKTDDAAKEGYHVFTYRNFRTEEKENHSCKSITAVAEGEEFQAGQSQKRNTTNKENKFKAALLFDDASPKVGAEPNGGMRIGLFDATIIFRGTDLAACENKDDEGITAKGNINIVPDGMTKESRKLVGVDLCIPEDDVLTTTAANLPNYDLMTLDQNNDEASYGNKNLSDGMYFSRNQKCVSSSSHRKVTQKRVLKLRLLEDIMKNEELHISKKIHTFKGSADTCEKSHKRSDEWKCEIHPEDYKKGNFFRQNCKVIPAKPINATEATHSKDEEISLMHWLKKVSKKIVTDDSQRKKNIVGKGYAEIKCIENKVVTSPSTHKKKDADPLSKGSRASKYSKSCTVEKKSKFTRLKPSAHCLKPQLENLISKDASVKHVPPENVYPQLRNIISEDILPPCLENLERSCEQKSEFNRRKRKAFQVKDRNPSQINCSKKQVIKKQRNMMPLEKKTVDDIPMDIVELLAKNQHERSMMNAEVSNMNKHELSMMNGELRHGIYSNVTGYCGSKFPKAIYQRQANDTVLCIPTAPHGNQNDVYGPEACKAIEFQKHALIDLNQQAADFLAIPQYDGYQPCTTHHSAVDSKKTSSFQISSWDRMRMQDSGLYQKNQGVSAQRSCGGPIHDMSSLSLNGRIFGVNKRKVDTCHNHGKMVPFDSFLDTTQKIVPQKTGYQESVNLTSSNLPYGEGKSEQTTNGIALPGRSYLMERASRCHPGRAGTIDMHNNETVSALHLLRLVDQAAQSGPSWDINCTGITQDSRLNYNRQSSEMHGAEVGVKNRKTQEIPSTTGYCAHDQTEGGFSSPCHPFPRIGALGSLLQNENMTLSYKSLAPLGSKAVCSAELPSFCIYDMDKIDAPSASSTKYRDNKNPPSVTTNTKQIVSADQVGINRKGEQVQPLSYDPMTITCVINRNPADFSQPDEDNIYMRGND >Ma11_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22922069:22923762:-1 gene:Ma11_g17630 transcript:Ma11_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGLDYDSKKSDDTSDEYCTQVSRAIRTMSKLRCAPRDSNFGAVVLLFLVVPTFVVGIYLHGQKITYFLRPLWESPPRPFKDIPHYYDENVSMENLCKLHGWGVRDVPRRVFDAVLFSNELDILAIRWHELYPYVSEFVLLESNSTFTGLQKPFFFAENRGQFEFVEPRLNYGTVGGRFVKGENPFVEESYQRVALDHLIRASGIGDDDLLIMSDVDEIPSGHTINLLRWCDDIPEKLHLRLRNYLYSFEFLLDNKSWRASVHRYRAGKTRYAHYRQTDDILSDSGWHCSFCFRYIGEFVFKMKAYSHVDRVRFAYYLNPSRIQDVICRGADLYDMLPEEYTFKEIVGKLGPIPHTYSAVHLPAYLLQNFDKYKYLLPGNCKREHG >Ma01_p03620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2404892:2417447:1 gene:Ma01_g03620 transcript:Ma01_t03620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLEKFEVQNDYLMGNNKQSMSPLLDTLKMERVSTILNPAYPYPHEHSRHTIMAVVVGCLFFISSDNMHTLIQKLDSNVKWWSMYLCLIGFFYFFSSPFIGKTIKPSYSNFSRWYVVWTFVAALYHLPSFQSMGVDLRMNLSLFLTIYVSSVVFLTAFHIIFLGLWYVGIVARVAGKRPEFFTIIQNCAVISIACCVFYSHCGNRAIQRDKYLDQRNSLYSMLFMKSEDGNSWLSNFLHIYELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPLSLSEYERLKKQMKPDFLDMVPWYSGTSADLFKTMFDLLVSVTLFVGRFDMRMMQAAMNKVPDEAKDGDLLYDHLNERDELWFDFVADTGDGGNSSYAVARLLAQPSIQLKTDSPMYALPRGDLLLIGGDLAYPNPSMFTYEKRFFCPFESALQPPPWYKADHIALIKPEIPFGITDLHQYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWLLPQKKSYFALQLPKGWWIFGLDLALHCDIDVYQFKFFAELCKDKIGENDSVIVMTHEPNWLLDWYWNETTGNNASHLICDYLKGRCKLRIAGDLHHYMRHSVVPSEKPVHVQHLLVNGCGGAFLHPTHVFKNFSKFHGAAYDSKATYPSFDDSSKCNVIRILQEDSWLGRLKSFLTTLCNAFVYMLQYSYVSSAGTLVLIMSSYSFVPSKLSRKRRALIGVLHVLAHMVASFILMLLLELGIEICIRNNLLATSGYHTLYEWYRAMEIEHFPDPTGLRARMEKWTFGLYPACIKYLMSAFDIPEVMAVTRGNICNSGMESLSRGSAIIYYASVFLYFWVFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHITVDGDLEVFTLAVDKVPKSWKLDPEWDAEVRLPQQLSHHRRFPSKWKAASGPEPINSVRVVDHFVIERTKPNQSPRRPT >Ma01_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2404892:2417447:1 gene:Ma01_g03620 transcript:Ma01_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLEKFEVQNDYLMGNNKQSMSPLLDTLKMERVSTILNPAYPYPHEHSRHTIMAVVVGCLFFISSDNMHTLIQKLDSNVKWWSMYLCLIGFFYFFSSPFIGKTIKPSYSNFSRWYVVWTFVAALYHLPSFQSMGVDLRMNLSLFLTIYVSSVVFLTAFHIIFLGLWYVGIVARVAGKRPEFFTIIQNCAVISIACCVFYSHCGNRAIQRDKYLDQRNSLYSMLFMKSEDGNSWLSNFLHIYELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPLSLSEYERLKKQMKPDFLDMVPWYSGTSADLFKTMFDLLVSVTLFVGRFDMRMMQAAMNKVPDEAKDGDLLYDHLNERDELWFDFVADTGDGGNSSYAVARLLAQPSIQLKTDSPMYALPRGDLLLIGGDLAYPNPSMFTYEKRFFCPFESALQPPPWYKADHIALIKPEIPFGITDLHQYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWLLPQKKSYFALQLPKGWWIFGLDLALHCDIDVYQFKFFAELCKDKIGENDSVIVMTHEPNWLLDWYWNETTGNNASHLICDYLKGRCKLRIAGDLHHYMRHSVVPSEKPVHVQHLLVNGCGGAFLHPTHVFKNFSKFHGAAYDSKATYPSFDDSSKIALGNILKFRKKNWQFDIIGGVIYFVLVFSMFPQCNVIRILQEDSWLGRLKSFLTTLCNAFVYMLQYSYVSSAGTLVLIMSSYSFVPSKLSRKRRALIGVLHVLAHMVASFILMLLLELGIEICIRNNLLATSGYHTLYEWYRAMEIEHFPDPTGLRARMEKWTFGLYPACIKYLMSAFDIPEVMAVTRGNICNSGMESLSRGSAIIYYASVFLYFWVFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHITVDGDLEVFTLAVDKVPKSWKLDPEWDAEVRLPQQLSHHRRFPSKWKAASGPEPINSVRVVDHFVIERTKPNQSPRRPT >Ma06_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7947435:7949868:1 gene:Ma06_g11360 transcript:Ma06_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAALAAVSVGGSGHGYPDSVDSSPRSRGGDSWDEPFTSSAAASSRLRVMCSYGGRIVPRPTDNSLCYLGGETRMVIVDRHSSLADLSAKLSRDLLGGRPFSLKYQLPNEDLDSLISVTTDEDLENMIEELDRISAATAALASSIGGGSARSPRLRLFLFPSISDSAPSSAIGSLLDDSKSETWFVDALNSAIGGMGVDALSTDSASVNCLLGLEDDSSVHSRSGGGGGGGHSEAEQLVLPRPDSSGKLVRHGQDVPGSPMLDQASSFGSTSSAPSLSNLPPIPVPTDGRAAAEQRISGIDDHFAHMGLSPDSATRPDEGFKEPRYAHHHQPPPPIPLPTASPPSSTTSPIENLNRDFSDDDRSDHGGVLRPSQATEPTQVDAPTPDPVSRPTYLNPSSDAMYRGPSPVRDASGYVMASPQLEQLQQQQSHPHLNQQQQPQLTSANPHYIHHHPAAGTVVPMPSYYSIAAHSMQQSPQTYQIDPQIPMYYMPVRHTSPYTLGTVQPNLMDPNYISSTAAPASGIVTKPDLTSYYKTAATAPAPAAMQPQLIRVAANQTHPYAGTGYNVIQHPHLSQTPATMANFGYEAAAGPVHPQMYYSQVSSQAALSPQYQTVSSTTTIPDAAALGDSNTSRTS >Ma04_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3800149:3803233:-1 gene:Ma04_g05030 transcript:Ma04_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTAAIACRRAGAALRLSRRSGWSTSTGGGAPFGCSARSISSSQLVKTNGKRAFLVDTLALVRGLEAQGIPSKHAEAITSSITEVLNHSLESVAQSFVSKAEMQKSELIQDANLSKFKSEIQSSQEHHFSSLQRETEKLHADIEKMHSELRYEIDKVTAGQRLDLNLERGRIRDEQAKQNAETTLITTKLDREIHALRAQLEAAKYEVIKYCIGTVVSISAVGLAVLRILM >Ma09_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5287517:5302313:1 gene:Ma09_g08060 transcript:Ma09_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINQEPAGESIDLFRLASRWRSGAEWKKIVEEMDSEPVPSHLNTINSSGLFQIVSTDKMSVQYVGSPQHGHDVGVVQADCPAPTRRLAYYFEMTVKNAGQKGQVAIGFTMQHFKMRRQPGWEANSCGYHGDDGYLYRGQGKGESFGPTFTTNDTVGAGINYASQEFFFTKNAKLVGTVPKDIKGPLYPSIAVHSQNEEVTVNFGKKPFLFDIEGFILEERLKQQAMIEKLSLQPNISHWIVRSYLLHYGYQDTLKSFDLASENTYPSESMAQENGFDDQGDMYALDHRNILRQLIKNGNIDSAFQKLREWYPQVLQDDKSLVCFLLHSQRFIEYIRVGQLAEAVSYAQSELQKFFSVKSYVDLLQDVSGLLAYKVPVESSVGYLLDGPQREFVADAVNAVVLSTNPNLKDPDRCLYSCLEKLLRQLTLCSLERRASSEDQGEAFCLHRELQSGGRAKCS >Ma09_p08060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5287538:5302313:1 gene:Ma09_g08060 transcript:Ma09_t08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMINQEPAGESIDLFRLASRWRSGAEWKKIVEEMDSEPVPSHLNTINSSGLFQIVSTDKMSVQYVGSPQHGHDVGVVQADCPAPTRRLAYYFEMTVKNAGQKGQVAIGFTMQHFKMRRQPGWEANSCGYHGDDGYLYRGQGKGESFGPTFTTNDTVGAGINYASQEFFFTKNAKLVGTVPKDIKGPLYPSIAVHSQNEEVTVNFGKKPFLFDIEGFILEERLKQQAMIEKLSLQPNISHWIVRSYLLHYGYQDTLKSFDLASENTYPSESMAQENGFDDQGDMYALDHRNILRQLIKNGNIDSAFQKLREWYPQVLQDDKSLVCFLLHSQRFIEYIRVGQLAEAVSYAQSELQKFFSVKSYVDLLQDVSGLLAYKVPVESSVGYLLDGPQREFVADAVNAVVLSTNPNLKDPDRCLYSCLEKLLRQLTLCSLERRASSEDQGEAFCLHRELQSGGRAKCS >Ma10_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30143984:30144239:-1 gene:Ma10_g19650 transcript:Ma10_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLVQQCCRVQWFKAAMSITSDKRRRLPWDRVAFIGRRKKRIFLSYTSRLV >Ma11_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:113990:116592:1 gene:Ma11_g00210 transcript:Ma11_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLARPHHLSFSTILHSLSQTPHRLRKRMFVTWSPDQEMNQVRQRSGADMKRKLEWYDLVALGVAGMLGAGVFVTTGRVAHKVSGPAVFISYIVAGVSALLSSMCYTEFSVEMPVAGGAFSYLRVTFGEFVGYFGGANILMEYVLSNAAVARTFTEYLSHAFGVDDPKSWRVQVDGLAQGYNALDFPAVALIILITLCLCHSTKESSIVNVVMTVFHVIFFGVVIVACFANGNPKNLIEPKGLAPFGARGVLDGAAIVYVSYIGYDSVSTMAEEIRNPSKSLPIGIAGSVLIVSALYCLMALALCSMIPYDEILDDASFSSAFKNMVGWRWMGNVVGVGASLGIVASLLVAMLGQARYLCVVGRARLVPFWLAKVHPSTGTPINATIFLGICTASIALFTDLEIVIEMISIGTLLVFYLVAGALIYRRYVKLGGSGGVSSPLPTLLFLLLLTSTSIGFSLSWKLNGGYWWGLAMFGTAAIAITGIFHRKVPCNRSTAEWSVPLMPWPAAASIFLSVFLMASLKKRSFLRFVLWSCFITLFYALYGVHSTFHAEEMEMERGASEGNHGEPSPPQQGKLEVQGP >Ma04_p28010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29159949:29163517:1 gene:Ma04_g28010 transcript:Ma04_t28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAKPSSIPSSCPLVPRSGGRTLRFWIKGNEFAEKFRSFSGHPFILRCDFARIKSSSVHDLQRCKSNLESLFCYDKSFTEEDIEQPVGLSVERKEIGNNPPCTICEVKGVVLCATCAGSGLYVDSILESQGIIVKVKCLGCGGTGNIMCSKCGGRGHVEIH >Ma04_p28010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29159986:29164028:1 gene:Ma04_g28010 transcript:Ma04_t28010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAKPSSIPSSCPLVPRSGGRTLRFWIKGNEFAEKFRSFSGHPFILRCDFARIKSSSVHDLQRCKSNLESLFCYDKSFTEEDIEQPVGLSVERKEIGNNPPCTICEVKGVVLCATCAGSGLYVDSILESQGIIVKVKCLGCGGTGNIMCSKCGGRGHVEIH >Ma09_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6346565:6350721:-1 gene:Ma09_g09650 transcript:Ma09_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVESQKLEWFSQMKLRHEFYIRYAGTVGFSVRKGWWDKSARNVTRSIVYVCSREGYRPTNITNEAKKTGSETRTGCPARLAIKIIPDGKYCVIEFVADHNHQLTAPLDIQMLRSQRLLAKFQTGGRQGASQIPASYKNYLRSKRMKNLQSGDAGALMEYLQKMKGDNPSFFYAIQVDEAEQLTNVFWADPKSIMDYHYFGDIICFDTAYQINDYGRPLVLFTGVNHHKQIIIFGSAFLYDVSLESFKWLLETFKNTTGGEQPKTILTYQFSAISDAIAAAWLGTTHRLCAWHIY >Ma08_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17764012:17765377:-1 gene:Ma08_g16290 transcript:Ma08_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPKRRRNLGLSLEASDSERTSEEDELLQPAAPRRRPSSSRISAGGIRQGHVCAARVPTAGLPAAELSAARLPAAGLPAAGLPSALRPGAATAAPEAEQRPLLRRGMPGCSLLLLPSGSLLLRGASKNLGNMV >Ma11_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19000953:19004902:1 gene:Ma11_g13860 transcript:Ma11_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQQDVKLFNRWSFDDVEVSDISLADYIAVTPPKHATYLPHTAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKLMAVRIVKHTMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Ma08_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1598814:1600618:1 gene:Ma08_g01940 transcript:Ma08_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGGQQSIRRKKMTKQLTGKRDDTPLHSAARAGNLVVAKEILSGTNDGELKDLLCKQNQVGETALFVAAEYGYVDVVHEMMKHYDVAMAEIRAKNGYDALHIAAKQGDVDVVKELLKALPELSLTVDLSNTTALNTAATQGHIDVVNLLLETDKSLALIARSNGKTALHSAARNGHLEVVKALLRKESAIATRTDKKGQTALHMAVKATSLELVVELLESEPSLLNLVDTKGNTALHIAARKGRAQIVKRLLEIKDLEIKAINKSGETALDTAEKMGHMEVASVLLEHGVPSARTMKPPPANPARELKQTVSDIKHEVHSQLEHTRQTRRRVQGIAKRLNKLHQEGLNNAINSNTVVAVLIASVAFAAIFTVPGEYVESDNLAPGLTLGEANVAHQTPFMIFFVFDSVALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWIACVLISVAFLALCFIVVGRTQKWLAIGVTVIGTVILATTLGTMLYWVIAHRIEAKKMREIRRATLGRSRSWSVSGMSDSEWVNKEYKKMYAI >Ma08_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37959604:37966142:1 gene:Ma08_g24920 transcript:Ma08_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSVGAVVHGSAMPVFFLLFGDLVNGFGKNQHHLMVMTHEVSKYALYFVYLGLVVCLSSYAEIACWMYTGERQASALRRKYLEAVLRQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLLEIIRQKPSIVQDQSDGKCLAEVHGNIELKDVTFSYPSRPDSTVVALIERFYDPNQGLILLDNVDIKTLQLKWLREQIGLVNQEPALFATTILENILYGKPDATIAEVEAAASAANAHSFISQLPNAYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDMIAVIQQGQVVETGTHEELLAKGSSGAYASLIRFQEMARNRDFGGSLRNLSYQYSTGADGRIEMVSNADNVLKYPAPRGYFFKLLKLNAPEWPYTIMGAIGSVLSGFIGPTFAIVMSNMIEHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLANDAADVKSAIAERISVILQNMTSLLTSFIVGFIVEWRVALLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQSKILSLFCSELRVPQRRSLRRSQTSGILYGISQLSLYASEALILWYGAHLVRTGASTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSVFAILNRGTRIDPDDPEAEPVDSVRGEIELRHVEFAYPSRPDVTIFKDFNLRIRAGQSQALVGASGSGKSTVIALIERFYDPTAGKVLIDGKDIKRLNLKSLRLKIGLVQQEPVLFAASIMENIAYGKDGATEEEVIEAARAANVHGFVSALPDGYKTTVGERGVQLSGGQKQRIAIARAVLKDPALLLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDCIGVVQDGRVAEQGSHSDLVARPDGAYSRLLQLQHYHV >Ma01_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21632867:21635354:-1 gene:Ma01_g21700 transcript:Ma01_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASASASASASPASLEARDKESALENLWSELLALKELYGLLQHTEAQDVPQGEMKEGLGEKSRNLMRRLLDGATQQALQHHHAKIMPSEAPYQDHTSPGTAQQEHRLLLPPRDEGHPIDSKREENLEECHQAPEKSGTCEPPPPPPPRQTIVFRAVSTAVPQTEQIRRRSCDLKRLNSCRSSRSLILRDQKKKRLHATSSAHGLPRRHLPVHHDHFDRVSNHRSSKGNENPCHLHAERSTKASHQSHPLPVGTSPSNAATSGSQKRNCVETASDRPVTRPDRTSVITYRFDADSAEEKLPGCGSAKDVRSKIEPLTVPVKSKELQWSNRQPGPVDVMQSPVPGIKRCVTPDETAHKFEANTKMEQREQVQLEKQRSHRSNRGQRTPGVMRRNQPCHTWTKVDQQQQQLTLRSTRHAEKVHKMKRSGEAELGVSHRRKLPPRRASSRRTCRQSSDHSPSSTSRSSASAKSSESSSGTGRVASREGSTVSSRTVPGRSRRPIKLSAPVTDKHPHHRALSRHHQSAVSTPAAVGTTRSSHPKETKTQEGRLRRIRNKLSIIFHHHHHHHLNQGQVGGDDSSEDGDGVERRHRSSREHLWNIIRRTSQADQVGRTGRRAPTQRQHGHLYAFLEGVIRQVLILARRKRKAVLPNKPVRAGKAKRVRWWQRLRRRGRRARLALPRLRLGFGRATRKT >Ma06_p32870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33705495:33707090:-1 gene:Ma06_g32870 transcript:Ma06_t32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLSTAWLSVLHRSRPSIIAMASVSSAPSAAIAGGVESPEGKRVVVCGGGVIGACTAYFLATKGTARVTVVEKSAVACAASGKAGGFLALDWCDGSPLGGLARASFHLHRSLAAALDGPTNYGYRPLDTLSLSLLPDASSAAAARASPSLPPWVDGPAARPPRTIGTTDTTAQVHPQLFTRTLLSAAAAEHGVEVVIGELDRVEVEDGRAVGVALKGGGGPFIQADAVVLALGPWSNRSPIVSSLFNVSSLKAHSIVLRPKSPAAITPHALFLTYQPAPGAKTLDPEVYPRPTGEVYICGMSKEYEVPDDPEEIVGERESIAMLHKIAGTVSCHLKEGEVDVVAEQACCLPCTDDGLPVIGEIPEVKGCYIATGHSCWGILNGPATGASLAELILEGHSTTADLKPFSPSRFLRRRTRQGV >Ma04_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5151111:5155968:-1 gene:Ma04_g07100 transcript:Ma04_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATSVLGNPNLGLTKPPPSAFFGVRVPPSLERPRRFSSAPRPALALAVSCTMTNGATVVEAAENRTPGVGLRPDPFGRFGRFGGKYVPETLMYALSELEAAFWAVSKDEDFQKELDGILKDYVGRESPLYFAERLTDHYRRPNGEGPHIYLKREDLNHTGAHKINNAVAQALLAKKLGKKRIIAETGAGQHGVATATVCARFGLECIVYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFIDDTDVRLVGVEAAGFGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSYLKDLGRAEYNSVTDQEALEAFKRVSRLEGIIPALETSHALAHLEVLCPTLPNGTKVVVCCSGRGDKDVQTAIKHLQL >Ma04_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15996571:16000631:1 gene:Ma04_g16590 transcript:Ma04_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGETGRPDHRIGSDSGAPGRLDPVADRFKTVLALEENKPDFPDLGSPVSPLGQHASTQTSSCSSSSGSAGKAVPTAAIVARKPGAGAPDAGRLCHSGELFVESSPTAADGRDLKTATHRRSGSGPLIFSGGSGGGGSSSTASSPVINALPTGNICPSGKIGKTGMMHRTSPRSDVLGSGTGNYGHGSIIRGGMGGGGGVASRPGGVVGIWNSGGSNARMATGRMDPLEATRAGNEHYKKGQYGEALAFYDKAVAMCPENAACRGNRAAALMGLGRLEEAARECEEAVRLDPTNGRAHQRLACLKLRLGLVEDARKHHFLAGQPPDPVELQKLQSVERHWLKCGDARKVGDWKSVLREADAAIAAGADSSPLLVASRAEALFHLHQLDEADSILTRSLKFEDSFSFFSAAKIFGMLSHSYFYIVKAQVDMALGRFENAVMAAEKAKQMDGRNIGVTTVLNNIRSVARARAQGNELFKSGNFAEACTAYGEGLKYDPSNPVLLCNRAACRSKLGQWVKSVEDCNEALRIQPNYTKALLRRADSYAKLERWSESVRDYEVLRKELPGDNEVAEALFHAQIALKTSRGEEISNLKFGGEVEEVTSAEQFRAAVSLPDNPFC >Ma04_p16590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15996571:16001094:1 gene:Ma04_g16590 transcript:Ma04_t16590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGETGRPDHRIGSDSGAPGRLDPVADRFKTVLALEENKPDFPDLGSPVSPLGQHASTQTSSCSSSSGSAGKAVPTAAIVARKPGAGAPDAGRLCHSGELFVESSPTAADGRDLKTATHRRSGSGPLIFSGGSGGGGSSSTASSPVINALPTGNICPSGKIGKTGMMHRTSPRSDVLGSGTGNYGHGSIIRGGMGGGGGVASRPGGVVGIWNSGGSNARMATGRMDPLEATRAGNEHYKKGQYGEALAFYDKAVAMCPENAACRGNRAAALMGLGRLEEAARECEEAVRLDPTNGRAHQRLACLKLRLGLVEDARKHHFLAGQPPDPVELQKLQSVERHWLKCGDARKVGDWKSVLREADAAIAAGADSSPLLVASRAEALFHLHQLDEADSILTRSLKFEDSFSFFSAAKIFGMLSHSYFYIVKAQVDMALGRFENAVMAAEKAKQMDGRNIGVTTVLNNIRSVARARAQGNELFKSGNFAEACTAYGEGLKYDPSNPVLLCNRAACRSKLGQWVKSVEDCNEALRIQPNYTKALLRRADSYAKLERWSESVRDYEVLRKELPGDNEVAEALFHAQIALKTSRGEEISNLKFGGEVEEVTSAEQFRAAVSLPGASVVYFMTSSNLQCIQITPFVDALCTRYPSANFLKVDVKRSPGIAVAENVRVVPTFKIYKNGKRVKEMICPSQQVLENSVRHYSL >Ma02_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28386195:28386386:-1 gene:Ma02_g23330 transcript:Ma02_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLATIHGVIVALAVAVLWAGASAAFFEPFNVSYDHRAIITGGKRRMLISAEIHYPRATPHV >Ma01_p11060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7962655:7971046:-1 gene:Ma01_g11060 transcript:Ma01_t11060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQDSLGLVLPQVCFGARFVCPWRIGYAGRGRFRPRWSNRRTKRLYVGVVSDRTRRWRCGASIPSAGNNNPSLSSAKRTAEAQKILVSGRLAEELPNEDSESQTMRLSSSFTNYQEDPLVDKLRTQLGVIHPIPSPPINRNIVGFFVFFFFVGVAFDKVWTTRKRNKSDRNVKNDTWPQVPSSFSIFFEKDLQRKESVEWVNMVLGKLWKVYRSGIENWIIGLLQPVIDNLKKPSYVQRVEIKQFSLGDEPLSVRNVERRTSRCVNDLQYQIGLRYTGGARMLLSLSLKFGIVPIVVPVGIRDFDIDGELWVKLRLIPTEPWIGAVSWAFVSLPKIKFELAPFRLFNLMAIPVLSLFLTKLLTEDLPRLFVRPKKIVLDFQKGKALGPVPDYFKTEDIQEGNKDFVGELSVTLVDARKLTYVIFGKTDPYVVLSLGDQAIRSKKNSQTTVIGPPGEPIWNQDFHLLVANPRKQKLYIQVKDSFGFADITIGTGEVELGLLQDTVPTDKIVTLQGGWSLFGKQLSGELLLRLTYKAYVEDEEDGTEKELVDSDASDDESTEYEQADESYVQSFRDYPIGGERESFMDVLAALLVSEEFLGIVSSETGSSKVSEQSAYPESSVSKTFGRDAEISVFDADSASGGSRDSPLVWLAIITSIAVLIAINVGSSGFFNP >Ma01_p11060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7962963:7971046:-1 gene:Ma01_g11060 transcript:Ma01_t11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQDSLGLVLPQVCFGARFVCPWRIGYAGRGRFRPRWSNRRTKRLYVGVVSDRTRRWRCGASIPSAGNNNPSLSSAKRTAEAQKILVSGRLAEELPNEDSESQTMRLSSSFTNYQEDPLVDKLRTQLGVIHPIPSPPINRNIVGFFVFFFFVGVAFDKVWTTRKRNKSDRNVKNDTWPQVPSSFSIFFEKDLQRKESVEWVNMVLGKLWKVYRSGIENWIIGLLQPVIDNLKKPSYVQRVEIKQFSLGDEPLSVRNVERRTSRCVNDLQYQIGLRYTGGARMLLSLSLKFGIVPIVVPVGIRDFDIDGELWVKLRLIPTEPWIGAVSWAFVSLPKIKFELAPFRLFNLMAIPVLSLFLTKLLTEDLPRLFVRPKKIVLDFQKGKALGPVPDYFKTEDIQEGNKDFVGELSVTLVDARKLTYVIFGKTDPYVVLSLGDQAIRSKKNSQTTVIGPPGEPIWNQDFHLLVANPRKQKLYIQVKDSFGFADITIGTGEVELGLLQDTVPTDKIVTLQGGWSLFGKQLSGELLLRLTYKAYVEDEEDGTEKELVDSDASDDESTEYEQADESYVQSFRDYPIGGERESFMDVLAALLVSEEFLGIVSSETGSSKVSEQSAYPESSVSKTFGRDAEISVFDADSASGGSRDSPLVWLAIITSIAVLIAINVGSSGFFNP >Ma01_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7962067:7971046:-1 gene:Ma01_g11060 transcript:Ma01_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQDSLGLVLPQVCFGARFVCPWRIGYAGRGRFRPRWSNRRTKRLYVGVVSDRTRRWRCGASIPSAGNNNPSLSSAKRTAEAQKILVSGRLAEELPNEDSESQTMRLSSSFTNYQEDPLVDKLRTQLGVIHPIPSPPINRNIVGFFVFFFFVGVAFDKVWTTRKRNKSDRNVKNDTWPQVPSSFSIFFEKDLQRKESVEWVNMVLGKLWKVYRSGIENWIIGLLQPVIDNLKKPSYVQRVEIKQFSLGDEPLSVRNVERRTSRCVNDLQYQIGLRYTGGARMLLSLSLKFGIVPIVVPVGIRDFDIDGELWVKLRLIPTEPWIGAVSWAFVSLPKIKFELAPFRLFNLMAIPVLSLFLTKLLTEDLPRLFVRPKKIVLDFQKGKALGPVPDYFKTEDIQEGNKDFVGELSVTLVDARKLTYVIFGKTDPYVVLSLGDQAIRSKKNSQTTVIGPPGEPIWNQDFHLLVANPRKQKLYIQVKDSFGFADITIGTGEVELGLLQDTVPTDKIVTLQGGWSLFGKQLSGELLLRLTYKAYVEDEEDGTEKELVDSDASDDESTEYEQADESYVQSFRDYPIGGERESFMDVLAALLVSEEFLGIVSSETGSSKVSEQSAYPESSVSKTFGRDAEISVFDADSASGGSRDSPLVWLAIITSIAVLIAINVGSSGFFNP >Ma05_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19801910:19803428:-1 gene:Ma05_g17280 transcript:Ma05_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRGLLFALLLSLVAAGTLPSSSLATRAFFVFGDSLVDNGNNNYLATTARADEPPYGIDTPSHRATGRFSNAKNVPDIVSEYLGAEPTLPYLSPQLDGEKLLVGANFASAGIGILNDTGFQFANIIRINKQLHYFEQYQGRLSSLIGAERTKKLVNEGLVLITLGGNDFVNNYYLVPYSVRSREFSLPDYIRYLISEYKKILMKLYDLGCRRFLVTGIGPLGCVPAILATRSRSGACDPEMQRVPDLYNPQLVQLMSELNSQYGDDVFVAVNAYKMHMDFISDPAAYGFVTSKVACCGQGPYNGLGLCTVLSNLCPNRNIYAFWDAYHPTEKANRIIVGQFMTGSNEYMNPMNLSTILAMDART >Ma10_p31460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37583842:37586573:-1 gene:Ma10_g31460 transcript:Ma10_t31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLSLTFFLVVLTAAAAAAATSEDVSHSSCSSKFSGELHLLDGSGLRLTLHHPRSPCSPAPLPDLPFSTILSHDEARATYFAARLTKTVPRAASPLLQSAAVSVPLSPGNSIGVGNYVTRINLGTPGKSYSVVADTGSSLSWLQCSPCKVECHPQEGPLFNPSSSATYRSVPCSASECDSLESATLNPAACTLSNVCVYEATYGDGSFSVGYLSKDTLSLGSGRRLADFVYGCGQDNEGLFGRSAGLIGLARDRLSMPSQLGPTLGYGFSYCLPTTASSGYLSIGSNNPGRFSYTPMVSSSLDDSLYFIRLTGITVGGRGLPVSASAYTSTPTIIDSGTVITRLPVDVYDALSSAVAAALRGYRRAPAYSILDTCFRGSLSRLAVPAVDMVFQGGATLRLAARNVMIDVDSSTTCLAFAPSGSVAIIGNKQQQTFSVVYDVGRSRIGFAAGGCG >Ma06_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4438399:4439405:1 gene:Ma06_g06020 transcript:Ma06_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDILVLLVVAAAGVGLSQAVVYKVGDAVGWTTMGSPNYTAWAISKTFHKGDTVVFEYNNTYHNVLEVRREDYKACKAASPIATYTSGNDSIKLKRHGHHFFICGKPGHCEAGQKVDIRIPKPTSSAAPSGSPAGSPRPATGGKGDVSSPVASPGPSGVATAAPGGLAFALTLLHLAALAGGLLPQ >Ma01_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5619610:5624510:-1 gene:Ma01_g07750 transcript:Ma01_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRVSSYEDVKESAQARAAQPRASRRLLLKVLLPLLALGVGFSVFGMYLTRLQVAAPVVAAFRPCVEKPAGLERWIQPPSDLMHSMSDEELFWRASWVPLVKKYPFKWVPKVAFMFLTRGPLPLSPLWERFFRGNEGRYSIYVHTHPSYKINFTSDSVFFKRQIPSKVSEWGQMSMCDAERRLLANALLDLSNERSIWKGKI >Ma01_p07750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5619160:5624524:-1 gene:Ma01_g07750 transcript:Ma01_t07750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRVSSYEDVKESAQARAAQPRASRRLLLKVLLPLLALGVGFSVFGMYLTRLQVAAPVVAAFRPCVEKPAGLERWIQPPSDLMHSMSDEELFWRASWVPLVKKYPFKWVPKVAFMFLTRGPLPLSPLWERFFRGNEGRYSIYVHTHPSYKINFTSDSVFFKRQIPSKVSEWGQMSMCDAERRLLANALLDLSNERFVLLSESCIPLSNFNITYQYLIRSRYSFVGLIDDPGPYGRGRYNPNMAPEVNIDQWRKASQWFEVNRKLALSIVKDATYYPKFKRFCKPHCYVDEHYFPTMLFIESANLLANRSITWVDWSRGGAHPATFGGVDVTEAFLKKILGGQNCSYNNQPSSLCHLFARKFAPSTLEPLLQLAPTLLGFGS >Ma01_p07750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5619160:5624510:-1 gene:Ma01_g07750 transcript:Ma01_t07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRVSSYEDVKESAQARAAQPRASRRLLLKVLLPLLALGVGFSVFGMYLTRLQVAAPVVAAFRPCVEKPAGLERWIQPPSDLMHSMSDEELFWRASWVPLVKKYPFKWVPKVAFMFLTRGPLPLSPLWERFFRGNEGRYSIYVHTHPSYKINFTSDSVFFKRQIPSKVSEWGQMSMCDAERRLLANALLDLSNERFVLLSESCIPLSNFNITYQYLIRSRYSFVGLIDDPGPYGRGRYNPNMAPEVNIDQWRKASQWFEVNRKLALSIVKDATYYPKFKRFCKPHCYVDEHYFPTMLFIESANLLANRSITWVDWSRGGAHPATFGGVDVTEAFLKKILGGQNCSYNNQPSSLCHLFARKFAPSTLEPLLQLAPTLLGFGS >Ma04_p34560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33692163:33693144:1 gene:Ma04_g34560 transcript:Ma04_t34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALVWCILTFGAHLKPICMHWFGFSGTKLHFNLFFRLYIKQPSSSTSIAGYDDEPEWAKYKIKETNMFTIDKYQQIGFFPREKAFSLRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSKWPSVVCENCLYSLENKSFPHNGSKGHS >Ma08_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2119744:2121849:-1 gene:Ma08_g02820 transcript:Ma08_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSCQSLCHEILMSKTTGRLSWFRHYLPELQKRFCIYLHWFLSLLSLIPTKPS >Ma08_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7779474:7780685:1 gene:Ma08_g10630 transcript:Ma08_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRGRSVLFVVLILAMASSTYASLAVDFYKERCPFAESIVRATVQMAVAVNPGLAAGLIRMQFHDCFVRGCDASVLLDSTPWSKAEKESPANKPSLRGFEVIYAAKAALEARCPSTVSCADIIAFAARDSALLAGGIHYSVPAGRRDGRVSLESEVLGNIPLPNFTVTDLLSSFAKKRLSLDDMVTLSGAHSIGRSHCPSFAGRLYNFSPSQPQDPSMDPALAAYLKSQCSPATVNINSTDPTTVHLDGVTPKRLDNQYYKNLLTHRGVLFSDQTLQASPLTAGTVSCNAENGPAWAAKFAAAMVKMASIDVLTGSHGEIRKQCWVVNR >Ma01_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4473838:4475309:-1 gene:Ma01_g06260 transcript:Ma01_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSELAKVILSRIQKLDPENALKIMGCIFLKEPTNQELVQLALGSDNMLVSRISDAKSMLSNSDMQFGSNHHGSYNSHVPKPYLNLQHVSGQCSPYKDLIVDEYSFDEHTNFLGLKEQLEIANQMENDCLPEVSHHGKLTLRTRRRSCSPSKSSKVCHFFNKGYCKHGRSCGFLHEQSLSDGYPWNFIRDMNNAANCDEGATPRSLEKIEREIVELLRLEGPISIALLPKLYYDRYGKHLLTEGYLTESQRHDKTGFSLIKLLINFKDSIIVIARAHGEHYIILAEDAPRYKSTNERTNPGTASSSSHQIYLTFPAESTFTVDGVSNYFSKYGPVKDVRIPYQDKRMFGFVSFVRPETVGAVLMKANPHFIDGARVLVKPYKIKSRTTGRLKPSIIVCS >Ma11_p10690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10292558:10295515:1 gene:Ma11_g10690 transcript:Ma11_t10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEAIPIGAVEQLHFVPSIRSGSFAAIGPRRYMEDEHIRIDDLSTHLGSMLRCPTPSAFYGVFDGHGGPDAAAFFKRHAIRFLFEDAEFPQAFEPNRDFLNSVEDSVKMSYLAADLALGDDSTISSSSGTTALTALVFGRHLVVANAGDCRAVLCRKGEAVEMSQDHRPIYAAERLRVEASGGYIDDGYLNGVLSVTRALGDWDIKTSRDSLSPLIAEPEFKRVMLTEDDEFLIIACDGIWDVMSSQHAVGIVRRGLGRHDNPEQCARELVLEALRLKTFDNLTVIVVCFSDESRNSSPTLRFGSRKSLSVDALCNLKSWLDKDGYK >Ma05_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34620596:34624160:1 gene:Ma05_g22860 transcript:Ma05_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKVSGEVAVMEVSHQSTLGVRTRARALAAAAAQDSSRTYLELRSRRLEKPLPPSPACKPCKDAPRPNPRGSSHKSGPAPRANSGSVGSVSTSRCPAAAIMLAPADAEVSYGENILDADARERETTPCSLIRDTESIGTLGSANRPTISTRMQTSHQNIPTAYEMEEFFAGAEQLQQQTFIERYNFDPVNDHPLPGRYEWIKIDFK >Ma03_p29580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32439342:32440191:1 gene:Ma03_g29580 transcript:Ma03_t29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSTSTTRVSEEFSMNTAAQAMGGGIANKGGGTYYHDDHYHHHHSLPTYDPQSDAAKKEASRAKVAENMVHVIPFVLVLCTIILWFFSHPSGIDTMSKEETVVAKVKNMTTDGYKNWNGSSMTIGMEDLDPIDGISNEEDHTGTKGSRH >Ma05_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35114136:35119232:1 gene:Ma05_g23110 transcript:Ma05_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKFIGKRWEEMETDVLVKIFKELNMIQLAPVSRVCRSWRLACSDPFIWNTLDLGLLQSNFIQTRASPYIWVDERSDKRLTRILRVAMALSRGNITSMIFHFNLYMKDDHLSYISEWSPHLRRLVMPAWNRITKVGICQAIRRWENLESLTMPSIAHPPYIMEEISRSCKNFSQLKVMGTFDMHFASAIATNLQKLKVLSLRCSIITKEALLYILNRMDNLEVLNISHCLLLEALATSGRKQVRSELDQSILQKASRIREFFHCQSSSCTTCKRMIEDEGLMRWYRYEDWFWRRDEVSTLDLGDYGKLFGKNCVNSLTA >Ma09_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:216342:217251:1 gene:Ma09_g00260 transcript:Ma09_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMPPLMSLNHVSFMCRSVSQSVKFYQEVLGFVSIKRPSSFSFKGAWLFNYGIGIHLLQCNTSEENLPKRKGVINPKDNHISFQCSNMKLLMLKLKKMGVEYVTAKVEEEGIQVDQLFFHDPDGNMIEICNCDNLPVLPLSPCRLRR >Ma09_p28840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39484242:39485926:-1 gene:Ma09_g28840 transcript:Ma09_t28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQASEVATVVIQHPGGRVERLYWPTSAADVMKTNPGYHVALVSLYVSEEKQDGSSVRFTRVKLLKPKDMLLLGQVYRLITSQEVTKALRQRKYEKIRKSQAELIRKQQQEHRTKDQDGEANLEDSRSRHQATKQGSDRQKSSIQMAVRGRQWRPSLQSISEMGS >Ma06_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:925420:927440:1 gene:Ma06_g01140 transcript:Ma06_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSANTSGDDELESCTAQTAPQLGEYRLGIPPLQETLLDPRKSSSASMDHWLKQLKLSSPLGILRRTLDQREEISLSVPSPAGIRRRFHVRFFHKIDWRSLFATCRDWIRNPMNIALLIWLICVGISATMLGLLLLGLLNDAFPTKSLRNHWIEINNQVLNALFTLMSVYQHPTLFHQLVMLCRWSSEDVTELRKVYCKDGGYRPHEWAHMVVVLLLLHTTCFAQYILCGLYWGYARRQRPEWLEDFFFGLGLAAPVFAALYTVYSPLGSESNSNSMSDEESQCGKHGLKMHDQGSVVSKPKWVGGLLDVWDDMAACYLSFFCTCCVFGWNMERLGFGNMYVHTVTFLLLCAAPFWIFNIAALNIHDYVIGDVVGIAGVVLCAFGLLYGGYWRIQMRRRFKLPGDRFCLGSASLTDYVKWMLCWSCSLAQEVRTGNLYEVGDDSLFSKHHCEAETQNSEGGCDGAAVPIEEMTPPIPPLIQLQDVVGEGDDGDTVRPPPTLSSSSAQEEDEEESMLHTEFARDFIVV >Ma06_p23130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20342509:20370819:-1 gene:Ma06_g23130 transcript:Ma06_t23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPESSSVTQNELGPDYFGYFKHLFFELFPQSGDRASPSLPSNLESLLGYPSGQVEGIVSPNNVVEDKSCYHSASFFTRVIGEELSEYKKERLKAILHHATVCLNQEADEVFGCILTTLLIELDLQDKRQLSCHSSFSNEEICEPLCNKKRKSSSLDNFGGFSNPFGVNTQFRTKVYNEIQAVKGDGAICMEAVEKYSDKINEKLMEMEENIDEYLDMVVSKCRPMTNAEKQQLGRRIQKLPGEALGGVVDIFRQRNSPATDFPDDVFVNLDELDNVTLWRLYFHVQAVVKSKEL >Ma06_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20342509:20370754:-1 gene:Ma06_g23130 transcript:Ma06_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPESSSVTQNELGPDYFGYFKHLFFELFPQSGDRASPSLPSNLESLLGYPSGQVEGIVSPNNVVEDKSCYHSASFFTRVIGEELSEYKKERLKAILHHATVCLNQEADEVFGCILTTLLIELDLQDKRQLSCHSSFSNEEICEPLCNKKRKSSSLDNFGGFSNPFGVNTQFRTKLMEMEENIDEYLDMVVSKCRPMTNAEKQQLGRRIQKLPGEALGGVVDIFRQRNSPATDFPDDVFVNLDELDNVTLWRLYFHVQAVVKSKEL >Ma03_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28989338:28989628:-1 gene:Ma03_g24750 transcript:Ma03_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYTASTWHWTSLGMLTMSIPAEHYYDSSSSDTAGCSLSLSLSIS >Ma11_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1843596:1845375:1 gene:Ma11_g02530 transcript:Ma11_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGEPVASPNTFPVRPSPFRYNSPLAQVSLIGLVCFCCPGMFNALSGMGGGGQVDHTAANNANTALYTTFAVFGVLGGGFYNLLGPRLTLFAGCSTYALYAGSFLYYNHYQHQLFAILAGAILGIGAGLLWAGQGAIMTSYPPPERKGTYISLFWAIFNMGGVFGGLIPFFLNYHRTDARSVNDGTYIAFMCFMAAGALLSLGILPPSRVIRDNGTRATAVAYSDVSTEAVEILKLFADRRMLLIVPAAWASNFFYSYQFNNVNGLLFTLRTKGLNNVFYWGAQMVGSLAIGYVLDFSFRSRRTRGYVGITVVAVLGTAIWAGGLANQLRYTDGVWQDELDFKTSGADYAGPFVLYFSYGLLDAMFQSLCYWMIGALADDSQTLSRYSGFYKGVQSAGAAVAWQVDTHKVPLLSQLIVNWSLTTVSYPLLAVLVMLFVKDGNTAEDGESDHPKVMSS >Ma05_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35527091:35528767:-1 gene:Ma05_g23460 transcript:Ma05_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWQGNPLSLLLLPSQVVLALLLLLLSLRSAVESKCVLFNFGDSNSDTGGFAAGLGFYLGPPSGRQFFHKTTGRFSDGRLYVDFICEGLKMSYLSHYLESSGSNFSHGVNFAVVGAAVDLPGNPFPLSTQVLQFLHFKNRTRELMTEGSGSLVTEKEFKHAVYSIDIGQNDLAKAFYLNLSYPQVLLKIPTMLNKIEDAIKSMFENGGRKFWIYNTGPLGCLPQTLALHRKNDSQLDAFGCLSAYNDAAKAYNYGLSDLCEKMRQELKNAVIVYVDMFSIKYDLIANHTQYSIEKPLMACCGHGGPPYNYKERMTCGQPTATACPEGSRYVSWDGVHYTETANGIIASKILSAKYSQPQTDLRSLCEEQTTD >Ma04_p28390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29443487:29462255:1 gene:Ma04_g28390 transcript:Ma04_t28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MFSALKPRRWAACLFVYPSRAFSASNSFYASSMATAETAGAETGLYGFDVLKTPKGFRRFVDEAIQKSGELVTYISRLPPSMEIIRAMDEISDTVCSVIDSAELCRNTHPDREYVEEANKASMRINEYLHFLNTNGSLYTAIVKAESERVLHTEEAQRAAHALRVDFENGGIHLSDDKLQRVNQLNVEIARLGNKFNENIMFDPGFVDIFPASRIPKNMQYHFDAIYRPISTASNEISKVGDTRREKGYKIPTDSSTLSSILKWVSDAEVRKQAYIKGNSTPRANLIVLDKLIGARHELAQIMGCKSYAEFAIRPNMAASPDVAMSFLLNLSKSVRCKADEEFNIIREFKRQYLNQRGADLEPWDETFFTGMMKSSAYGLESSVLSSYFSLSGCLEGLKVLVQALFGATFYQVPMSSGESWHPDVVKLSLHHRQEGDLGFLYLDLYSRKGKYPGCAHFAVRGGRRASETEYQLPVVALVCNFVGSPLSSTSRLNHFDVETLFHEFGHALHSLLSRTDYQHFSGTRVVLDIAEIPSNLFEYYAWDYRVLKTFARHETTGDAIPEKLVKAMNDSRKRFSATELQRQIFYSLIDLKLFGAQNNDSMDTVSMVADLKLQHTSWNHVEGTHWHTRFSHLINYGAGYYSYLYARCFAATIWWDTCHEDPLSPDTGTALREKFLKHGGAKDPLNLLKDFIGDGILSCNGGSIPDASSLCREMDL >Ma04_p28390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29443488:29462254:1 gene:Ma04_g28390 transcript:Ma04_t28390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MFSALKPRRWAACLFVYPSRAFSASNSFYASSMATAETAGAETGLYGFDVLKTPKGFRRFVDEAIQKSGELVTYISRLPPSMEIIRAMDEISDTVCSVIDSAELCRNTHPDREYVEEANKASMRINEYLHFLNTNGSLYTAIVKAESERVLHTEEAQRAAHALRVDFENGGIHLSDGFVDIFPASRIPKNMQYHFDAIYRPISTASNEISKVGDTRREKGYKIPTDSSTLSSILKWVSDAEVRKQAYIKGNSTPRANLIVLDKLIGARHELAQIMGCKSYAEFAIRPNMAASPDVAMSFLLNLSKSVRCKADEEFNIIREFKRQYLNQRGADLEPWDETFFTGMMKSSAYGLESSVLSSYFSLSGCLEGLKVLVQALFGATFYQVPMSSGESWHPDVVKLSLHHRQEGDLGFLYLDLYSRKGKYPGCAHFAVRGGRRASETEYQLPVVALVCNFVGSPLSSTSRLNHFDVETLFHEFGHALHSLLSRTDYQHFSGTRVVLDIAEIPSNLFEYYAWDYRVLKTFARHETTGDAIPEKLVKAMNDSRKRFSATELQRQIFYSLIDLKLFGAQNNDSMDTVSMVADLKLQHTSWNHVEGTHWHTRFSHLINYGAGYYSYLYARCFAATIWWDTCHEDPLSPDTGTALREKFLKHGGAKDPLNLLKDFIGDGILSCNGGSIPDASSLCREMDL >Ma04_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1274725:1277446:1 gene:Ma04_g01400 transcript:Ma04_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKTKQLSYIAVPSQFIHSLHGLHLSPKKAVRFPGHRLPSLARNPKFLLLLLFFLLALLRTLRIGSELHRLLPFPSVPCSHSSSTESAWAADKAAVVSVGVDGGDVGEVVGEFWRQPDGMGYSPCLNFSEEFRMESEAARRVRRRKYLLVVVSGGLNQQRNQIVDAVVIARILGAALVVPVLQVNVIWGDESEFSDIFDLEHFKRVLADDVKVVSSLPSTHIRTRPVDGKQTPLNVPPSWIRNRYLKKLNREGVLLLRGLDSRLSKDLPRDLQKLRCKVAFHALRFAAPIQELGNKLAMRMRSKGPYVALHLRLEKDVWVRTGCLPGLSSEYDEIVQEERKLRPKLLTGRSNMTYHERKLAGFCPLNALDVTRLLKALGAPPDAMIYWAGGEPFGGPEALLPLTREFPHLYNKDNLSLPGELEPFAKKASLLAAIDYIVCEQSDVFMPSHGGNMGHLMQGHRAFAGHRKFITPNKRQMLPYFLDASLPELEFHRIIKELHRGSLGQPEWRSDKVDKDVTAFPVPECMCNGTSRSSAL >Ma08_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33789592:33794190:1 gene:Ma08_g19910 transcript:Ma08_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEKTGGPAAPLLPNPPPPSPTPPCYGVPVAASAAFQEPYFPESPAYVVLPVYSRRRRRRCGCFRCCGSFLSSSTLLSAAFLLVLLLSAAFFLWPSDPELTVARLRLDDIHITPPPEAAFDISLGVDLRVRNPDFFALDYRSIVVTIGYRGRPLGSVTAEGGHIRARGVSYVRAKLKLDGIRVLNDAISLIEDLARGSLPLNTVTEVDGRMRLFFIDVPVQGKISCAVTVNPNTQEVISQDCYPK >Ma01_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22181049:22181533:-1 gene:Ma01_g21910 transcript:Ma01_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVAEEKNSMARNPITTSSTHATAVSLAPTDHKAPSLRDGSQSNSSRGGKRNNSAANFVAGGGDAGLQPRDIAVNKVRRGERFAAEEEEEDINEVADAFIRRFREQLQLQRLQSIENYNQMLARGL >Ma04_p38170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35808209:35808953:-1 gene:Ma04_g38170 transcript:Ma04_t38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGKCEAERGGEGKAEREREREKTKLRERRRRSITSKIFEGLRKHGGYDLPARADINDVLRALAREAGWVVEPDGTTYRAGQRVSPVWTTINARGRSIGGKGGGGGVESSVATSSRPPPAPAAPRAMSLSPLGPYVAFGGARFPGLCVGAAGGVAAAAAAADAWPPEGWAWGQASQLGAPQQNV >Ma06_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10930590:10932985:-1 gene:Ma06_g16140 transcript:Ma06_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTNKRASGPKCPVTGKRIQGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >Ma08_p15740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15925270:15942088:-1 gene:Ma08_g15740 transcript:Ma08_t15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYMLQSRQTKIHNIGATVVGVDKFGNKYYEKLDVQYGRHRWVEYAEKGRYNASQVPPEWHGWLHYITDHTGDELLMLKPRRYGVEHRENFSGEGDEYIYHSKGHALNPGQRDWTRYQPWLPVKS >Ma08_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15925270:15943593:-1 gene:Ma08_g15740 transcript:Ma08_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVRGVLRTIKEKGIGGFFIHLRDEGYTNCLLDGNLLQTKIHNIGATVVGVDKFGNKYYEKLDVQYGRHRWVEYAEKGRYNASQVPPEWHGWLHYITDHTGDELLMLKPRRYGVEHRENFSGEGDEYIYHSKGHALNPGQRDWTRYQPWLPVKS >Ma01_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14554453:14557531:1 gene:Ma01_g19120 transcript:Ma01_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVHSDSLVSGNSGGDGSPLVARLRKLLFRRMLVGVSDGRFFLGVFHCIDKQGNIILQDAVEYRSVRQSSSSPMEQRCLGLILIPARCRSSCHVECSIDEQMSLLSLNK >Ma08_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:760992:765410:1 gene:Ma08_g00820 transcript:Ma08_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPDVYPLTGLQIGDIQSYVSQAFLYVAPLSRRLLVMVDNRPWSENKQSSSTRIWQFMVTKYRMSPFMNTRVAQNTLDIGSRCDSHDGHQSYHDKSKKFYRWLRLMDSSKLQEKYLHAVMNLSKSLHGFIVFEVAWKDVHGINYLNELQTDTSLALEVRTMKKWEFFDPEHASSCISHWFSDCISETQSLKDYLKKLTDLDLQNSSSRSLSGLEVSSLDVPHEKEFSDAQETPFKPKDMDTDGKGLCNKESKVVQYENDYIPDQKLCTLVPSDEKKSLMDIDAKDDALISPTNYADTLILFRFNDSLLPFKLKQIIMSDIRLLTLLESGLPSWVIFFHSYPLFCHFYRPWMRLLVKTLHVLVSLVTVIIGFYDLYKNVPLLKATAARLCGPLFDWIEAWDMVTRIQYLGTIFLLQNVQKSINWLLVMARTIKAILTVISKPLFGPLAEITSFIAPLWNVFADIGGLFSSTIWSSMESLYNVITALAEVFLWPFEVAYIYVCTTATLVYPIFCCIWELCILPARCGMTLASCVLSLLSKSYYLLKDIWETISSTFEFSYLSETEQSTFDSSVLQELWNDLFSQVFRAIRSIINGLLAFFISCNRHRLSLYNHMSAFLHHLAGLIRMTPHKRHCGEARQLEHSHQENHHECDLCK >Ma09_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8981279:8984568:1 gene:Ma09_g13310 transcript:Ma09_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAGDGSSRSRVVVVGGGVAGAFLAKPLQFVADVVVIDSKEYFEMPWTELRSMVEPSFSGRTLIKHTDYLSNARIITSSAVGITEDQVLTADGDSITFDYLVIATGHAYSTPKSRDRRLEQFEQDSQKIKSSQSILIVGGGPTGVELAGEIAVDYPEKKVTIVHKGSRLLEFLGPKASKKALHWLTSKKVDVLLGQSVDLNSISEADGAYMTSAGEKIAADCHFVCIGKPLGSSWLQNSVLKDCLNEKGRLMVDECFRVRGRSNIFAIGDITDVPEIKEGYIAQKHSAVVSKNLQLLMKGGKESKLVKYKAASATTIVSLGRKEGVGQFPFGTVIGCLPGRIKSKDLFVEKTRKNLGLDS >Ma10_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1766856:1768436:-1 gene:Ma10_g00520 transcript:Ma10_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGPVTAAPSDSLSICLANIGSGTPFISLLELRHIDNHLAYQDANQSAALLFYSRYNLGSLTNDTVRYPQDKYDRTWRPCNGYIDCGTWNFTSSSLGIKTTRGDAYEVPGVVMGTATVAADNFTLQHSLGYGLNSSVQTTFYIYLHFADFDYLSGNGSRIFQVRADGEPDSDNISPAYLLATHVHFIHQLAYPGLYGYFNLTRVAGSTLPPILNAAEVYIPINLSVLATDAADGMLSHH >Ma04_p06380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4700786:4712996:1 gene:Ma04_g06380 transcript:Ma04_t06380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDKSSLFVNDGSFMEKFKQLQQEKVPAAAADRSKSSTSATPSVALKPSVIVNKRPLEVKVKDTKKGSTLTSGGKLAFSLKQKSKVAAAPIKFVTDEEEEEAAETEAVSGDEPIKRQKLGQRDIIQSLSQQQDVAPSPPTDPAVKKVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDSSCSDYKYYEYQLFEEEKALAQLKDSKASDSANGSTSTSRVASGSERSNIQQRPNYQTPASALYGSYEESGSSGRSSSYGESSGSSPADPIAMMEFYMKKAAQEERIRQPKQSKDEMPPPASLQAPPKKGHHMGDFIPPEELEKFLFSCNDASAQKGAREAAEKARIQADNIGHRLLSKMGWKEGEGLGSDKRGRADPVMAGEVKKDNLGVGAQKPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKSYY >Ma04_p06380.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4700785:4712996:1 gene:Ma04_g06380 transcript:Ma04_t06380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDKSSLFVNDGSFMEKFKQLQQEKVPAAAADRSKSSTSATPSVALKPSVIVNKRPLEVKVKDTKKGSTLTSGGKLAFSLKQKSKVAAAPIKFVTDEEEEEAAETEAVSGDEPIKRQKLGQRDIIQSLSQQQDVAPSPPTDPAVKKVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDSSCSDYKYYEYQLFEEEKALAQLKDSKASDSANGSTSTSRVASGSERSNIQQRPNYQTPASALYGSYEESGSSGRSSSESSGSSPADPIAMMEFYMKKAAQEERIRQPKQSKDEMPPPASLQAPPKKGHHMGDFIPPEELEKFLFSCNDASAQKGAREAAEKARIQADNIGHRLLSKMGWKEGEGLGSDKRGRADPVMAGEVKKDNLGVGAQKPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKSYY >Ma04_p06380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4700785:4712996:1 gene:Ma04_g06380 transcript:Ma04_t06380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDKSSLFVNDGSFMEKFKQLQQEKVPAAAADRSKSSTSATPSVALKPSVIVNKRPLEVKVKDTKKGSTLTSGGKLAFSLKQKSKVAAAPIKFVTDEEEEEAAETEAVSGDEPIKRQKLGQRDIIQSLSQQQDVAPSPPTDPAVKKVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDSSCSDYKYYEYQLFEEEKALAQLKDSKASDSANGSTSTSRVASGSERSNIQQRPNYQTPASALYGSYEESGSSGRSSSYGESSGSSPADPIAMMEFYMKKAAQEERIRQPKQSKDEMPPPASLQAPPKKGHHMGDFIPPEELEKFLFSCNDASAQKGAREAAEKARIQADNIGHRLLSKMGWKEGEGLGSDKRGRADPVMAGEVKKDNLGVGAQKPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKSYY >Ma04_p06380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4700785:4712996:1 gene:Ma04_g06380 transcript:Ma04_t06380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDKSSLFVNDGSFMEKFKQLQQEKVPAAAADRSKSSTSATPSVALKPSVIVNKRPLEVKVKDTKKGSTLTSGGKLAFSLKQKSKVAAAPIKFVTDEEEEEAAETEAVSGDEPIKRQKLGQRDIIQSLSQQQDVAPSPPTDPAVKKVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDSSCSDYKYYEYQLFEEEKALAQLKDSKASDSANGSTSTSRVASGSERSNIQQRPNYQTPASALYGSYEESGSSGRSSSYGESSGSSPADPIAMMEFYMKKAAQEERIRQPKQSKDEMPPPASLQAPPKKGHHMGDFIPPEELEKFLFSCNDASAQKGAREAAEKARIQADNIGHRLLSKMGWKEGEGLGSDKRGRADPVMAGEVKKDNLGVGAQKPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKSYY >Ma04_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4700785:4712996:1 gene:Ma04_g06380 transcript:Ma04_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDKSSLFVNDGSFMEKFKQLQQEKVPAAAADRSKSSTSATPSVALKPSVIVNKRPLEVKVKDTKKGSTLTSGGKLAFSLKQKSKVAAAPIKFVTDEEEEEAAETEAVSGDEPIKRQKLGQRDIIQSLSQQQDVAPSPPTDPAVKKVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDSSCSDYKYYEYQLFEEEKALAQLKDSKASDSANGSTSTSRVASGSERSNIQQRPNYQTPASALYGSYEESGSSGRSSSYGESSGSSPADPIAMMEFYMKKAAQEERIRQPKQSKDEMPPPASLQAPPKKGHHMGDFIPPEELEKFLFSCNDASAQKGAREAAEKARIQADNIGHRLLSKMGWKEGEGLGSDKRGRADPVMAGEVKKDNLGVGAQKPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKSYY >Ma04_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16465625:16467446:-1 gene:Ma04_g16700 transcript:Ma04_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCDASILLDGSGTEKGYDLIDQAKAALESKCPGVVSCADIIVVATRDAVVLGGGTQYTYAVQTGRRDGNISLASDATRNLPGDSFSASQATDAFRAKGLSASDMVLLLGGHTVGLTHCSFILNRLYNYNGSGKPDPAMDPAFVTMLKSRCPQTSTVDNTVLLDHGNPTTVDNSYYEQLLARRGVLTVDQNIASDAATNATVRALAGGSSSFPALFGGAMVRRMGGIQVLTGTQGQIRKSCRVVLWFGSSFCHL >Ma06_p38570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37302550:37303363:1 gene:Ma06_g38570 transcript:Ma06_t38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTAAGCRALPSSFHGSWTSSFAGEDRAALARHVTVVLVRAARPRRSSPRMGNVNEGKGLFAPVVVLVRNIIGRKRFNQLRGKAIALHSQVITEFCRTIGADGKQRQGLIRLAKKNGEKLGFLA >Ma06_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10808094:10810125:-1 gene:Ma06_g15910 transcript:Ma06_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWLKTIINRKKAKQDGAKKLTGSSNQQSNGFELKGQSRKDPGKLFGGADGMASEDIAATRIQTAFRGFKARKAFRSLKRVQRLQAFTHRKSVQKQASNTWSHVQSWSKMQAEIRARRANMVAEGRFRQKKHDNRSKLEAKLHDLALEWNGGSETKEEIVARIQQREEAAVKRERAMAYAFSHQWRVNSGVSQGPFVYELAKGNWEWSWVDRWIAARPWETRPSSHSMAKTASKAGKNASPSGQKAQGSTKKPSKPSEEAAASKESNTTNVASSARPRTRSTKATKQEQQP >Ma06_p15910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10808094:10810135:-1 gene:Ma06_g15910 transcript:Ma06_t15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWLKTIINRKKAKQDGAKKLTGSSNQQSNGFELKGQSRKDPGKLFGGADGMASEDIAATRIQTAFRGFKARKAFRSLKRVQRLQAFTHRKSVQKQASNTWSHVQSWSKMQAEIRARRANMVAEGRFRQKKHDNRSKLEAKLHDLALEWNGGSETKEEIVARIQQREEAAVKRERAMAYAFSHQWRVNSGVSQGPFVYELAKGNWEWSWVDRWIAARPWETRPSSHSMAKTASKAGKNASPSGQKAQGSTKKPSKPSEEAAASKESNTTNVASSARPRTRSTKATKQEQQP >Ma01_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15567098:15577886:-1 gene:Ma01_g19780 transcript:Ma01_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNGPDAIDSAPNASCFDVASRSKVGKPVETGELNDAQAKDIFVYRQDVVKCCKRDGLLGIVVQVAGDSDSEGSISDDDGSEEDGNKVDESNGGDNGVINNNIEGGDNIGSLQDGQVRVTWSDGSETMENTSDITVVDRSFLHGDIVASATDPTGQLGLIVDVDITVDLLSPNGEVIRNVSSKDLKRIREFSVGDFVVLGPWLGRVDEVLDNVTVLFDDGSVCKVVKADPMRLKPVLKPIVDDADCPYYPGQRVRAVSSSVFKSSRWLSGLWKANRLEGAVIKVQAGSVVVYWIASAYLGVGTNSATVPSEEQNPKNLTLLSCFSHTNWQLADWCLLSSYPQPSTLVDSIPGNSKLKEPGSHYISHVQNNHTSSCILGEGSSTDAPETEDTVDCFHVKSSHAISSDAHDAQCTSEQVTDGLSEGILLDGNCQSQADDYSNHDKTAKLGETNGAESNDLPENCSCSSSSSVSKEPAHESWPAYRKKLRKVFFKRDKKARRRDENFEKALLIVNTVTKVNVAWQNGEKEFGLQSTSLIPIHTPNDHEFFPEQYVIDKASNEGDGASETNRLGVVRSVNSQEQTVCVRWLKPVSRPEDLKEFDHEEVVSAYELDGHPDYDYCYGDVVVRLPPVSDDTTKSEVPTETQEYQRRTQDTADDSSREHKDNSEENQTQNDEICGNFTSLSWVGNIVGLQDGDIEVTWADGMVSKVGPQEIYVVGRDDDDDGLSDDGASWETVDENEMDIFDDTEKEVDPQNPTDNTVQREKSATTSVEDGTGGRSGPLAVPLAALDFVTKLATGLFSRTRKQSDSSGSDQIIANEDGHKADIDLSGSTLDEAYENRGFDVSDGLIEESMDETVEVENQVAATEEAELKIEDSIVKPTLMGLYDGNQRDYSMDDLCNFSHFDVTENPLDHHFHGDAEMSNGGRKWVKKVQQEWTILEKNLPDAIYVRVFEDRMDLIRAVIIGACGTPYQDGLFFFDFQLPPEYPQVPPSVYYHSGGLRVNPNLYVDGKVCLSLLNTWTGKGNEVWDPSSSSILQVLVSLQGLVLNDKPYFNEAGYEKQIGTVEGEKNALPYNENTYLLNLKSMLYLLRRPPVHFEVFVKDQFRRRGHYILKACEAYMDGCLIGSLTKDACLTETSRKHSCSVGFKLTLAKILPRLIPALKEVGADCHQFDYLLKVENLSES >Ma11_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5820473:5821231:-1 gene:Ma11_g07310 transcript:Ma11_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLILISYASVSFDSSTEKPMVPKEQKILQLNILFFHCYSIVLKGTYTERERERDERERERERETEMQMHLAGTQHHSEYITRGLVFVVLHPSLHMGNI >Ma08_p05230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3587563:3594741:-1 gene:Ma08_g05230 transcript:Ma08_t05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVHIMKHKDILKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKRFMQDPMEIYVDDEAKLTLHGLVQHYIKLTELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGLKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Ma08_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3587563:3594741:-1 gene:Ma08_g05230 transcript:Ma08_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARDNDAYEEELLDYEEEEEKAPDSAAAKASGEAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVHIMKHKDILKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKRFMQDPMEIYVDDEAKLTLHGLVQHYIKLTELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKNFKEGLKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Ma02_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6819502:6835708:-1 gene:Ma02_g00840 transcript:Ma02_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIGSILHLHAISNGLYHGSLSFPTSSAAKIQRRRGSLRVMGDQCRGGPNGRGEYRHGETLLCSVAPFPLLLAATLPGAEAVRSAFEPFVELVKTWNLPDWLVHWGHPGNMAVVLFAMGGYGSYLGLRIRLSNDAEEKAKAKDLHPKLLGGMFLFFALGATGGITALLTSDKPIFESPHAVTGFIGLALLTIQSLLPTLFEENPGMRTVHGLLGSSIMTLFVLHAALGLQLGLSF >Ma05_p25890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37711041:37716871:1 gene:Ma05_g25890 transcript:Ma05_t25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGKTSIVLRFVKGQYFDCQESTIGAAFFSQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISSMDSFIRAKKWVQELQRQGNPYLIMALVANKVDLESKRKVGSEEGLQYAQENGLFFMETSAKTAENINELFYEIAKRLAKARPSRSSGMRLSSETQDRGRRLFCCSG >Ma05_p25890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37711036:37716871:1 gene:Ma05_g25890 transcript:Ma05_t25890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGSGNIQAKLVLLGDMGTGKTSIVLRFVKGQYFDCQESTIGAAFFSQVLSLNEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVVYDISSMDSFIRAKKWVQELQRQGNPYLIMALVANKVDLESKRKVGSEEGLQYAQENGLFFMETSAKTAENINELFYEIAKRLAKARPSRSSGMRLSSETQDRGRRLFCCSG >Ma08_p31150.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42153016:42160059:1 gene:Ma08_g31150 transcript:Ma08_t31150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDARYSEMQDIGMRGSQNRPYSKSTCVNNPTWWNSNSATIPESSYSKNLNMNMDFLGKDGNKVKLLNHSVSEHDSSTQSTGQSHQEISGTSEDNVHEQHISVQSGIDSTLTKSAKDHLKPVISLGASDAALAPPKWDYSQSFAPVPYPYADPYYGGIFAVCGPHAVIQPQMTGIASPARVPLPLQPAAEEPIYVNAKQYNAILRRRQLRAKLEAQNKLIKNRKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQQQTQASAMSGRKQLTGSELRPIGLAATLIDSETASVSTNRSMLAQRDRLGFPLPNLLHSSMGTTSNQGGSSMMSSNSELQVPPMR >Ma08_p31150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42153033:42160059:1 gene:Ma08_g31150 transcript:Ma08_t31150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDARYSEMQDIGMRGSQNRPYSKSTCVNNPTWWNSNSATIPESSYSKNLNMNMDFLGKDGNKVKLLNHSVSEHDSSTQSTGQSHQEISGTSEDNVHEQHISVQSGIDSTLTKSAKDHLKPVISLGASDAALAPPKWDYSQSFAPVPYPYADPYYGGIFAVCGPHAVIQPQMTGIASPARVPLPLQPAAEEPIYVNAKQYNAILRRRQLRAKLEAQNKLIKNRKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQQQTQASAMSGRKQLTGSELRPIGLAATLIDSETASVSTNRSMLAQRDRLGFPLPNLLHSSMGTTSNQGGSSMMSSNSELQVPPMR >Ma08_p31150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42153032:42160059:1 gene:Ma08_g31150 transcript:Ma08_t31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDARYSEMQDIGMRGSQNRPYSKSTCVNNPTWWNSNSATIPESSYSKNLNMNMDFLGKDGNKVKLLNHSVSEHDSSTQSTGQSHQEISGTSEDNVHEQHISVQSGIDSTLTKSAKDHLKPVISLGASDAALAPPKWDYSQSFAPVPYPYADPYYGGIFAVCGPHAVIQPQMTGIASPARVPLPLQPAAEEPIYVNAKQYNAILRRRQLRAKLEAQNKLIKNRKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQQQTQASAMSGRKQLTGSELRPIGLAATLIDSETASVSTNRSMLAQRDRLGFPLPNLLHSSMGTTSNQGGSSMMSSNSELQVPPMR >Ma08_p31150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42153024:42160059:1 gene:Ma08_g31150 transcript:Ma08_t31150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDARYSEMQDIGMRGSQNRPYSKSTCVNNPTWWNSNSATIPESSYSKNLNMNMDFLGKDGNKVKLLNHSVSEHDSSTQSTGQSHQEISGTSEDNVHEQHISVQSGIDSTLTKSAKDHLKPVISLGASDAALAPPKWDYSQSFAPVPYPYADPYYGGIFAVCGPHAVIQPQMTGIASPARVPLPLQPAAEEPIYVNAKQYNAILRRRQLRAKLEAQNKLIKNRKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQQQTQASAMSGRKQLTGSELRPIGLAATLIDSETASVSTNRSMLAQRDRLGFPLPNLLHSSMGTTSNQGGSSMMSSNSELQVPPMR >Ma10_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1618250:1621530:-1 gene:Ma10_g00410 transcript:Ma10_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSTRVETSESLKGTSRILQRFHRSKLSRGNSQLLHLSRVERRGQVSRKGLLRSRQLRRPSQAFGDSVGPPLLFDLYVGVNLWQTINITEVYALYGAEIITAAPSDSLSICLANIGSGTPFVSSLELRHIANHLAYQHTNQSAALVFHNWLTNFMLYWYPEDKYDRTWDPCNSYIIGCETWKFTSSTLGIKTTRGDAYEVPGVVMGTATVAADNFTLQHSLGYGLNSSVQTTFYIYLHFADFDCLSGNGSRIFQVRADGEPDSDNISPAYLLATHVHFVHRLAYPGLYGYFNLTRVAGSTLPPILNAAEVYIPINLSVLATDRADADAMMGIKKLYQVKIWQGDPCAPQQFIWSGVNCTYSSSGTPRVTSLNLSYHGLNGAIPNTFANLKALNYL >Ma03_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6227958:6250497:1 gene:Ma03_g08500 transcript:Ma03_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAARSALVAFAPDAPYLAAGTMAGAVDLSFSSSATLEIFKLDFQSDAHELPVAGACPSAERFNRLSWGKPPGSASEEYALGLVAGGLGDGSIGVWNPHKLISSEDQSGAFVAKLENHVGPVRGLEFSSLSSNLLASGADEGELCIWDLAKPSEPSHFPSLRSVGSGAQTEVSFVSWNPKFQHILASTSVNGMTVVWDLRQQKPITSFADVNRRRCSVLQWNPDVSTQLIIASDDDSSPALRVWDVRKTISPLREFVGHTKGVIAMSWCPYDSSLLLTCAKDNRTICWDTTSGEIISELPASTNWNFDIHWYPKIPGVISASSFDVKVGIYNIEACSRYAAVEGEFGVPVRLRAPKWLKCPTGVSFGFGGKLISFKTSQTSPGVPPSASEVYVYNLVTEHSLVSRSTEFEAAIQNGEKASLRALCEKKLHDSILEDDKETWNFLKIMFEEEGTARTKLLSHLGFSIPDESTDITYDDLGKQLENTLGRDNNLLVEGEAIDNGEEFFNNPQIVEDSLANEDSSVPNGKEVQGEPEEPMGTHGASFDDTIQRALVVGDYKGAVLQCITANRMADALVIAHAGGSSLWESTRDQYLRNSLTPYLKVVSAMVNNDLMNLINTRPLNSWKETLALLCTFAQKEEWTVLCDNLASRLVTVGNMLAATLCYICAGNIDRTVEIWSHSLKPDCEGRTYVDLLQDLMEKTIVLTLATGHKRFSASLSKLVENYAELLANQGLLTTAMEYLKLLGSEESSHELAILRDRISLSAEEREAPKNLCYESTTSQANSGYGADHTGFGTIDQSQNYYQDKSLPQPHHSVAGNPHAEGYHQSPGSAYGGYQHVLQKPQFHDFSNPMPFQPAQPGQMFIPSQTSHVPEQNFNPPAAAVQPTIKPFVPTTPAALRNVEHYQQPSLGSQLYPGVANSVYQPGPPIPASHGVGASQPAAGTGHRFSQPAGPVSAPRGFMPVPNSNFTQMPGMSPAQPSSPTKPPQPQSVTVPSAPPPTVQTVDTSNVPAELKPVIATLTRLYDETSAALGGPHANPSKKREIEDNSRRIGSLFGKLNGGDISPDAAAKLVQLCQALDAGDFAGALHIQVELTTRYWDECNFWLAPLKRMIKTRQSIRF >Ma04_p10500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7470400:7472373:-1 gene:Ma04_g10500 transcript:Ma04_t10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-gulonolactone oxidase 3 [Source:Projected from Arabidopsis thaliana (AT5G11540) UniProtKB/Swiss-Prot;Acc:Q9LYD8] MASPIRALLLLLLLVVIGSNPYFTSAKPPAPPVRCNVTGCVLSNAYGAWGDRSECWVRSVVYPTNEEALRSAVAEANRNNLKAKVVSGFSHAIPKLACPPPENSVLISTAKYNTRIEVDVAGRTVTADSGVGLRDLIDKVEAAGLSLVASTYWEGVSVGGIISTGSHGSSWWGKGGAVHDHVVSLSMVIPAGESEGYAKVVRLLRGDPLFNAASVSLGLLGIISQVTLSLEPSFKRSITYSFHDDASFQDRLLDVARKHEFPDLTWFPSQHTVAFRFDDRVPSNASGDGINDFIGFQPNLMAVCAAIRATEKRYDESRNRRGKCVTAAAELEYRRLAGNGLKNNGIFTGYPVVGRQGKMQTSGSCQHSPEADSLRTCPWDPRGKGLFFYETTAIFSPPKFMDFIHDVKQLRDLKPENFCGVDNYNGFLIRFIKKSDALLGQPEDSIVLDFNYYRADDPSTPRLNQDVWEEVEQMAFMKHGARPHWAKNRRVAFLGVHRKYPGFSNFLAAKNQSDPGGMFQSPWWDEVVSGQGSNTGNGCALEGQCICAEDEHCSPSKGYLCRPGLVYKEARVCRYTTG >Ma09_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32008914:32010847:1 gene:Ma09_g21210 transcript:Ma09_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDILHSHDSRRQALITFSIATLFTTILCFVCLRKKRSNLPPGPRGLPVLGSLPFLDPDLHRWFADLGRVHGPVMRVRLGAKPCVVLSSASVAREVFRNHDVTFANHDVPAAARTASYGGSDLAWAAYGPHWRALRKVCVRDLLSARRVDAVGPLRRREVRRMVAEVHSRAGAAVEVREVMFVASLNLLMSMVWGVSLEGEERERVGREFRRVSDAFMELMSRPNVSDFFPALERFDLQGVERRMRGLVKWLDRVFDPIIDSKLREMKESGGGEGCKDFLQVLLELLEKEDTEVPLTLVNIKALIMDLLGGGTDTTSATVEWAMAELLHNPALMAKAQHELDEVVGKEGRVEESHITHLSYLHAVVKEALRLHPPLPLLVPHSPSQTTTVGGFTIPRGTAVFVNVWAIQRDPSNWTNPLEFIPERFLGGDGEADYGGNNFGYIPFGSGRRICVGISLAEKMLMNTLASLLHSFDWHLPQEAKIGLEEKFGLVLRKSEPLVAIPKPRLSSQHLYT >Ma06_p38370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37152481:37153919:-1 gene:Ma06_g38370 transcript:Ma06_t38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNGMPPFFSASLLSRIPYEESHHAPHNSTNPLMLPTYPQDLRGRRSTSFSGMETCEELNLEDDISDDGLQPPGEKKKRLNLEQVRTLEKSFVMGKKLEPERKMELARSLGLQPRQIAIWFQNRRARWKIKQLEKDYDELKRQFEMMKAQNDALHAHNKELLSQILSLKGKDVSESINLNKETEGSCSMRSENSPDISLEISREPMNHNPSDLHQQSRTFFPSASQLLHSSSKSETPKVESSVQRDNLCNMFYSTDDQSAFWAWSEQHNFHQ >Ma06_p38370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37152481:37153842:-1 gene:Ma06_g38370 transcript:Ma06_t38370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNGMPPFFSASLLSRIPYEESHHAPHNSTNPLMLPTYPQDLRGRRSTSFSGMETCEELNLEDDISDDGLQPPGEKKKRLNLEQVRTLEKSFVMGKKLEPERKMELARSLGLQPRQIAIWFQNRRARWKIKQLEKDYDELKRQFEMMKAQNDALHAHNKELLSQILSLKGKDVSESINLNKETEGSCSMRSENSPDISLEISREPMNHNPSDLHQQSRTFFPSASQLLHSSSKSETPKVESSVQRDNLCNMFYSTDDQSAFWAWSEQHNFHQ >Ma11_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24173950:24178595:-1 gene:Ma11_g19230 transcript:Ma11_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPHSFSAGLRWPLVSSSPLSRPSSAAIPSKPPVRRSYCHAAVVAGTYALTPAQKERQKLRELFEEASDRCRTAPMEGVAFTVEDFHAALDKYDFDSEIGAKVKGTVLLTDANGALVDITAKSSAYLPLQEACIHKIKHVAEAGIYPGLVEEFVIIGEKTADDSLVLSLRSIQYGLAWERCRQLQAEDVVVKGQVVGGNKGGVVAIVEGLRGFVPFSHISTKSTAEELIGKELPLKFVEVDEEQSRLVLSNRKAMAESQVQLGIGSVVLGSVQSLKPYGAFVDIGGISGLLHVSQISHDRITDISTVLQPGDFLKVMILSHDRERGRVSLSTKKLESTPGDMIRNPKLVFEKADEMAQAFRQRIAQAEAMARADILRFQPESGLTLNSEGILSTLTSDLPAEGLDLSDIPAAEV >Ma03_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24722575:24725956:-1 gene:Ma03_g19390 transcript:Ma03_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKTENFHVRLNSTDQIYQDSMYDRKSIFWQVDDQPCPRAEVICPQPRRATRIPYFMHSLNRINGKPKGLLPIHRVDCASEILDLLLSKDASEGDSDSSSQVGFFCGSPPARTNNPVVHDSEFGKQSPFLDSPKGISPSMKQAGRVERGSPTCGSSPKVRIEGFACSNSESQCIVPAFA >Ma06_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6801465:6803004:-1 gene:Ma06_g09780 transcript:Ma06_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEEIRRSQRAEGPATVLAIGTANPANVVYQADYPDYYFRITRSNHLPELKQKFKRMCDKTMIRKRYMFLNEEILKAYPNIGAYMAPSLDVRRDIMAVEVPKLAMQAAVKAIEEWGHPKSRITHLVFCTTVSFDLPGHDYQLVKLLGLNLSINRFTLSQHGCFAGGTVLRLAKDIAENNRGARVLVVCSELTTVTFRGAAETHLDNLVGQALFGDGAAAVIIGADPDPATERPLFQLVSASQTLLPDSDGAIEGHLKEVGLTFHLLRDVPRIIAKNIEQNLVEAFEPLGISDWNSIFWIAHPGGPAILDAMEAKLGLGKAKLKATRQVMTEYGNMSSACVLFILDEMRKRSAEDGKATTGEGLEWGVLYGFGPGLTVETVVLRSVAISSR >Ma06_p02930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2196263:2199397:1 gene:Ma06_g02930 transcript:Ma06_t02930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFLRIAPTLHLRRCCRKFWCEEPKEGTTNGWTRRRSTSAAQRSPDVVELGHIRIRQHVNPLSSSFATPVEIPVWKEVFRDPSLPLMVDIGSGSGRFLIWLAKNCPERRNYLGLEIRQKLVERSQFWVEELGLANIHFMFANATVSFEPLVSTYPGPLMLVSILCPDPHFKKRHHKRRVVQASLVDSISKRLSIGGQVFVQSDVLELAFDMRNQFDACLDVFEHVDTIDNNFLCDTQGWVLHNPMGIRTEREIHAELEGARIYRRIYQKQKHCI >Ma06_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2196253:2199397:1 gene:Ma06_g02930 transcript:Ma06_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGCTGGGWCATHALGSAASAMASSPFLRIAPTLHLRRCCRKFWCEEPKEGTTNGWTRRRSTSAAQRSPDVVEVEYADLNLKNFYGAAPNLGHIRIRQHVNPLSSSFATPVEIPVWKEVFRDPSLPLMVDIGSGSGRFLIWLAKNCPERRNYLGLEIRQKLVERSQFWVEELGLANIHFMFANATVSFEPLVSTYPGPLMLVSILCPDPHFKKRHHKRRVVQASLVDSISKRLSIGGQVFVQSDVLELAFDMRNQFDACLDVFEHVDTIDNNFLCDTQGWVLHNPMGIRTEREIHAELEGARIYRRIYQKQKHCI >Ma03_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24095371:24096271:-1 gene:Ma03_g18470 transcript:Ma03_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGPPGPGPGPGWGPGPGGPGPCGPCCDLLSSCFYFLCCCCLLRDCCGPLFGRPPGPPGPPGPPF >Ma01_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22039717:22040226:-1 gene:Ma01_g21850 transcript:Ma01_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALTLAHDANSRKVPEQTSVRTVRAATSPAASAVDEQKNFVAFGGVGGFAGAGGIAGVGLPFYNGVAGGVGGSGGIGGLGGWAGLGGVGGAAGLGGGGLGGVGSLGGVGGGGGGGFGGGGGLGHRGVGGGVGGGGGLGHHRSVGGGGNLGHGGAGNGHGGGAGCGIP >Ma01_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13687092:13692578:-1 gene:Ma01_g18440 transcript:Ma01_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGRDKSLRGGRRARRAAKHPLESAPPEAPCAPAAAPSFPVHPAASAADPDPGLAGSNSSPIPAPAGYDDAGWGYCTEEQLEEILLKNLDFIYKEALSRLVSLGYDEETALRAILCSGHCYGSMDVLSNILHNALAHLKSPLPQSAAVRAEAPQQDSSAVPGNGFTDLRHLQEYSLAGMVCLLQQVRPTLTRGGAMWCLLMSELHVGRASTIEIPPLPPSAATYPAPTLAPLGVPAAAPATADGDAGEFTQTASLCKFHDAAATAAATTGVSDVADPRCYDLLHSLKSTLRQNACTFPPGSGFRPFIKPSPQPETSDLATGQQQPKEVNAVASAARVENDSPDIGVVDSVLKALESMSLDDNGTEDPKKEMILDVIRQIRELESQVKERKEWAQQKALQAARKLSNDLTELRLLRMEREENQRLKKGKQALEDTTMKRLTEMENALKKVSGQVDRANAVVRRLETENAEIRAEIEASKLSASESSRTCTEVTRREKKCLKKLVAWEKQREKMQEEIAEEKKKIRLMQQELDEVKAATKEYEMKWKQEIKAKEEAIALAEEERRLKEAAKVNANRRHEALRRKREIDFQRLKDDVQRLDEELARLKASAGSNSLIAPSANASRTADAADIKNLKEPNMKALTGLKKQQESSNKLNRSRACIICKKDEVSVVFLPCSHQVVCGSCNEEHEKEGKSSCPCCSVRIEERIRVYGASS >Ma09_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19589250:19589381:1 gene:Ma09_g19000 transcript:Ma09_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAFYNEIKGMKVREIPSNLKPKLSWDHIKKSADQAVDRYI >Ma05_p28990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39803000:39804503:1 gene:Ma05_g28990 transcript:Ma05_t28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAWMEPRLEVDKLSYEIFSMLESEFLYDDHKLLLRSTPAAPAASCAVASRVRVLSVDAAEGVITGATLARLEASLRKQSGDPDACVADFFDLAAGSGSGGVLVALLFTRGPDGRPLFSAAEALRLLAKHRRHLVSGARRKGILGGLLCRSGGLLRRVFGNTTLRDTLKPVLIPCYDLATGATIVFSRADAVEADGYDFRMEEVCAATCAGPTAVEVRSVDGRTMIRAVGGRLAMGNPTAAAVTHVLNNRHEFPTAGGVEDLLVVSIGGAEAPAPHGKARALLSEAELVRIASSVHADVVDQAVATAFGECRATNYVRIEGNGAVPGTTTAAAAGEGMLRERGVESVLFRERKLSERTNEEKLNLFAAELIKEQERRKQSTVPAVALKTSVTPFESSSSSTATSA >Ma03_p21090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26139142:26143940:-1 gene:Ma03_g21090 transcript:Ma03_t21090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIVIRRIDNSTSRQVTFSKRRNGLMKKAKELAILCDAEVGLVIFSSTGRLYDFASTSMKSLIERYKKAKEDHHFSMTATSEVKFWQREVASLRQQLRNLQDNHRHLMGEELSGLSIKDLQDLEKQLEMSLHVVRTKKDQLLTDQIQELKWKANLIYQENAELHKKVTLLSEENMELHEKVKATRGEIGDSGDSAVPYGCLSIREEADAPNHLQLSRPLEQANGVQIGAPQLRLGLH >Ma03_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26139132:26143913:-1 gene:Ma03_g21090 transcript:Ma03_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIVIRRIDNSTSRQVTFSKRRNGLMKKAKELAILCDAEVGLVIFSSTGRLYDFASTSMKSLIERYKKAKEDHHFSMTATSEVKFWQREVASLRQQLRNLQDNHRHLMGEELSGLSIKDLQDLEKQLEMSLHVVRTKKDQLLTDQIQELKWKANLIYQENAELHKKVTLLSEENMELHEKVKATRGEIGDSGDSAVPYGCLSIREEADAPNHLQLSRPLEQANGVQIGAPQLRLGLH >Ma05_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32669041:32676339:-1 gene:Ma05_g20980 transcript:Ma05_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDVCGPINLVSLGGSRYFLTFTDDHSGKTCVYMLEEKIEERERERERERESEIDRSGRAVASDLQQESKSQLIALITQLQTRASRTDVLFLVAYC >Ma06_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4594609:4596565:1 gene:Ma06_g06300 transcript:Ma06_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPRQVAPPVVVLDWGEEVDPYVHVYVQLASDCRSSSTSKRTSSALLSAPRFHCSQLVLVSLHLIVEKQRRREMLGAKGGALPLASLNHISIVCRSVETSLDFYQNVLGFLPIRRPGSFKFDGAWLFNYGIGIHLLQSEDPEKMPRKREINPKDNHISFQCESLALVEKKLKEMVIPYIQNRVEEGGIYVDQLFFHDPDGFMIEICNCENLPVISLSGEPIMACKRVVSFTPQQQQQQQYLPQAIHVKEEPCA >Ma11_p08400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6687215:6691866:-1 gene:Ma11_g08400 transcript:Ma11_t08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICRLGTAATRSLARRMIAAPPHRRSFSAVALADYWTEWEDEEEDKERCRHAMTAAEACGEREPGGVQWVFMGSPAAQRHVYATRVAKLLDVPYISMGSLVRQELNPSSCLYNQIVNAMNGGRLVPQEIVFGLLSKRLEEGYQRGETGFILDGIPRTRIQAEILDQIADIDLVVNLKCTHCLVKQHFGTNICSHCGKAFDAINSESTSLSPCLATRTRHDQLKSSAAVDMKDLRMEKFRLYSEQIQLLEEYYRKQKKLLDVQVTGGPAETWRGLLAALHLQHMDTATAQKLTV >Ma11_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6687215:6691866:-1 gene:Ma11_g08400 transcript:Ma11_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICRLGTAATRSLARRMIAAPPHRRSFSAVALADYWTEWEDEEEDKERCRHAMTAAEACGEREPGGVQWVFMGSPAAQRHVYATRVAKLLDVPYISMGSLVRQELNPSSCLYNQIVNAMNGGRLVPQEIVFGLLSKRLEEGYQRGETGFILDGIPRTRIQAAFDAINSESTSLSPCLATRTRHDQLKSSAAVDMKDLRMEKFRLYSEQIQLLEEYYRKQKKLLDVQVTGGPAETWRGLLAALHLQHMDTATAQKLTV >Ma09_p27790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38698955:38703130:-1 gene:Ma09_g27790 transcript:Ma09_t27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANGAYVAWQEVVVSNDKGRRVVHYYLKGAGGGADLAVVGREKSLRHMSYAVPSQFVRSLKARPHLLPSLPSSSAPHLPSASAFPFKWRSRREVIDWLSSLVQEPTAYESPTTVIRYGDGEEAETADLPNFKVSSSGKTGYVSKEFSWLGASWLCRKRRKHYRSFCKNGVTISVHDFVFVMAEENKRLVAYVEDLYEDLRANNMVVVRWFHKVDEVGIVLPPDTSDREIFFSLCLQDLSVECIDGLAAVLSAQHFDKFLNEARYSNWRPYVCHRQIDNDDVKPFDITQLQGYWSQELLRSMFTSPLKLRLKITRGGSDISGGKNGDISIDGSKRKHLLNDGDICVAETKTRVKIKSRSLPVSSKTGKNITSTRSDSLTSKELYLQKLQQQLYPGCHVEVLSQDSGIRGCWFQCVIIRRHQDKVKVRYLDVQDPDESGNLQEWVLLSRVAAPDKLGIRLCGRPVVRPYPAQRVKKCSFNVGASVDAWWHDGWWEGIVIRRESEGQIHVYFPGEKRTNVFSESDLRQSQDWIDNKWNSIQDQMDIANSLLSDVMNDSKDLSNDHGRFLLKTLVTEDHHRKEPYDSISPSDTDQDEITPSGGYTSTDGEGDIPDLTKDPQFKWNSLKKKKRRRELTEDSFSHKKQRREASNSSSQDLEDSDACGGFVLPKSLTVDHENCKIGGDPMFNAPMTLTNLVMSQ >Ma09_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6154379:6155982:1 gene:Ma09_g09300 transcript:Ma09_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRKVSTGSPPRGSKLFDLFFHTDTMIQSEDELPTDSGSIALSTTASPGYYSDRNPTSTGASPYFLSPWNPVAVSPLAKSPWAYLPLLSDEAADPSATGLVGSLVREEGHVYSLASAGDILYTGSDSRNIRVWKGRRELSGFKSSSGLVKAIVVAGDRIFTGHQDGKIRIWKTSSKNPAVHRRVGTLPRLKDLLKSSINPSNYVEVRRHRNVVWLRHFDAVSCLSLDEEAGILYSGSWDKTVKVWRISDSKCLESIKAHDDAVNAVATGFGGLLFTGSADGTAKVWRREAAGKGGATRHVLVQMLLRQESAVTSVAMSEAAGVVYCGSSDGTVNYWRWQGWWRQLEHGGKLRGHRMAVLCLAAAGRLVVSGSADKTLCVWRREATGGDGWDHTKLAVLAGHQGPIKCLAVEEEDDSQGGAVIAFPGGPRYVVYSGSLDKSVKVWRVLEREATAGATPVRGLAEAETRDGKLGRSPLRAGGGGAGAPTSDRARGLPREHT >Ma04_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3702337:3702564:1 gene:Ma04_g04860 transcript:Ma04_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLSVDVSVIGRLLLSATASNASSTGRIFLLFPPFPLSSNPNVHFFHPSDKRKREAKDQKKKRSLSSYLLLSS >Ma01_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:892615:915190:1 gene:Ma01_g01280 transcript:Ma01_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPQSSGTQPFRPAVVGPAGPPQNFVPPMPMQFRTLVPPTHQFVPAACQPFRPVAQGMPGPNVAMPTGQTQMPHFPQSQHLPPISGQPGQVLPSSQAIPLPYVQASRPIISGSLPPQQNAQVTSNVPNFPSLGMPLSSSYTFMTSYGQAPNSINAPSQFQPASMMQTPAPLVAQPWSMPGTQSMPLVAPLIQTAQLPAAAAVMAPAQTVQPSSTEQMSSDWQEHTSADGKRYYYNKKTRQSVWEKPLELMTPTERADASTDWKEFTAADGRKYYYNKVTKQSKWTMPDELKLAREQAEKSATQPAPKETGTTVVSVASSVPSVETPSASALALNGPAAVSNAMQLPVDSGTQPPATSVAPSSIAVVTSAGINSSSSVGTENTVGVSNHHAPLTPVITPASASSEFPSLSVDAPTAAINNQDSSSLTDSASVPDEISAQDLEEAKRTIPVVEKTNFTQLEDKMVDEGQFVYANKLEAKNAFRALLESANVESDWTWEQAMRVIINDKRYGALKTLGERKQAFNEYLGQRKKQEAEERRIKQKKAKEDFTRMLEECKELSSMTRWSKAITMFEDDERFTAVERSREREDLFEGYIAELQKKERAKAAEAHKRNIMEYRAFLESCDFVKANSQWRKVQDRLESDERCSRLEKIVRLENFQEYVRDLEREEEEQRKMQKEQLRRVERKNRDEFRKLMEEHVAAGVLTAKTHWRDYFTQVKDLSPYLAISSNTSGATPKDLFEDVTEELEKQYHEDKAQIKDAMKIGKITLASSWTFEDLKTAVAGIDSLRGISEINLKLVFDELLERVREKEDKDAKKRQRLADNFSDLLYSIKEITAFSNWEQCRSLFEDSQEYRSIGDDNFGREVFDGYVAHLQEKLKEKERKREDEKAKKEKEREEKEKRKEKEKKEKEREREKEKGKDRARKDEIESDIIDVMDSHSSKDKKRDKDKERKHRKHHHTKADDISSDKDQKEESKKSRRHSSDRKKSRKHAYASDSDTENRHKRHKKDRDGSRSNDGYEELEDGELGEDGEIR >Ma01_p01280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:892615:915173:1 gene:Ma01_g01280 transcript:Ma01_t01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPQSSGTQPFRPAVVGPAGPPQNFVPPMPMQFRTLVPPTHQFVPAACQPFRPVAQGMPGPNVAMPTGQTQMPHFPQSQHLPPISGQPGQVLPSSQAIPLPYVQASRPIISGSLPPQQNAQVTSNVPNFPSLGMPLSSSYTFMTSYGQAPNSINAPSQFQPASMMQTPAPLVAQPWSMPGTQSMPLVAPLIQTAQLPAAAAVMAPAQTVQPSSTEQMSSDWQEHTSADGKRYYYNKKTRQSVWEKPLELMTPTERADASTDWKEFTAADGRKYYYNKVTKQSKWTMPDELKLAREQAEKSATQPAPKETGTTVVSVASSVPSVETPSASALALNGPAAVSNAMQLPVDSGTQPPATSVAPSSIAVVTSAGINSSSSVGTENTVGVSNHHAPLTPVITPASASSEFPSLSVDAPTAAINSNQDSSSLTDSASVPDEISAQDLEEAKRTIPVVEKTNFTQLEDKMVDEGQFVYANKLEAKNAFRALLESANVESDWTWEQAMRVIINDKRYGALKTLGERKQAFNEYLGQRKKQEAEERRIKQKKAKEDFTRMLEECKELSSMTRWSKAITMFEDDERFTAVERSREREDLFEGYIAELQKKERAKAAEAHKRNIMEYRAFLESCDFVKANSQWRKVQDRLESDERCSRLEKIVRLENFQEYVRDLEREEEEQRKMQKEQLRRVERKNRDEFRKLMEEHVAAGVLTAKTHWRDYFTQVKDLSPYLAISSNTSGATPKDLFEDVTEELEKQYHEDKAQIKDAMKIGKITLASSWTFEDLKTAVAGIDSLRGISEINLKLVFDELLERVREKEDKDAKKRQRLADNFSDLLYSIKEITAFSNWEQCRSLFEDSQEYRSIGDDNFGREVFDGYVAHLQEKLKEKERKREDEKAKKEKEREEKEKRKEKEKKEKEREREKEKGKDRARKDEIESDIIDVMDSHSSKDKKRDKDKERKHRKHHHTKADDISSDKDQKEESKKSRRHSSDRKKSRKHAYASDSDTENRHKRHKKDRDGSRSNDGYEELEDGELGEDGEIR >Ma05_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3757437:3759032:-1 gene:Ma05_g04890 transcript:Ma05_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQKMGSKGEKTNFSLACRLLSQYLKEKRGVGGLGLEMAPPKPLDQQAQDQSRAPVTMSLLPGLDVPGDDRAENDHEKNPTKSMDLLPRLSGFDSCFLPEEEESVKTAETQKMEKSQLTIFYGGKVLVFDKFPADKAMDLMGMATNESMAAQSHSFSEPLTSTPGADSSSGKLKANTSDMPIPRRNSLRRFLEKRKDRVNAKAPYQVDGSSEVKPECPGSDWLNLGRQVSQPQHSSASGK >Ma10_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29099781:29104999:-1 gene:Ma10_g17900 transcript:Ma10_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPESDPRKDSSPSPQLPHPSPDPLDLEDPPSKPYLFRDAAASASPPTTHGAATAPQELTLSYLCDNMKPGASAAYEKEGLGSDLLVSLEKSRLKGKEVAPNISAVDDRRWIERDFLQLAGGKPSAKRETPEDADEADDRKKKVKIETLNLSLSPPNLSLSLNSSIPATNVAGVATTPSVPVLVVPPKNSYSNSMRAANSEDFAPSLSYSCSVPFSHNPSCSLTRNSTDNYDFSRGDNDKIWFAGEGTNGSVHSKFRPVGDGNTIAFANHNKELNNSLYKANSSGNVSFFPSELPARPAKVNGVVSSDSGRNSMLSRLDKVLRELVSESVPVIAQLLYESHSESLEALRECLRNLMEVPDKKHEFASLQRKLERRSDLTFEILSKAHRTHLEVLVAIKTGTVSYVSGKSRIPNNELVEIFLLMRCRNVNCKNVLPVDDCVCKICSTRKGFCSACTCPICFKFDCALNTCSWVGCDNCSHWCHAICGIEKNLIRPGPNSKGPRGMTEMQYQCLGCGHASEMFGFVKDVFNECAKNWGPEALMKELDCVRMIFQASEDFEGKGLHAKAVEVLNMLIKKVISPEDACACMILFFKYGVTEFSVTGSSSKNILAQATQHADTPLPSAAAINVSKSAISFSPTASILDKQIDALKADAKPVALEPHFTSLKDDGFKSLETIVKCKEAEAKLFQKLADDARREVESYRHIVRGKSEKLEEDYGNKIAKLLLQETEDRRRKKLEELKFLENSHCDYQNMKMRMQAEIAGLLERMEATKKMWV >Ma10_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29770252:29773472:1 gene:Ma10_g19060 transcript:Ma10_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEERRSEAAKMGRQEEREMELLLDEIPHATSTHRRLFHCGDDCCVDGLDELPPLWGPGCGAGLDLGPSSEGSYSSSSSSASGSGFLSGRLPAPSAAEESALLDKLHDLHLGVGPREPIGPPNDRCGLVMESLVPRGYGAFWDPVLPNSLSFNVDKNPSLDHLLQHYSVDSCSADPWIESWPCGAKELGTNGVLGRSSHHRGNYGGAFASCIDQSYPIPDTFLPSEKIGVDSTWNRKPSDASKSYHDSPSLNGRCTDSPSVKRPQPVRTQRNIEAFGSFGFGDSSIVQGKGLCYMSNRRCDDPRTEIRIPQFDEELHAQGISVKLPAFPLKYDHLMGVRGCMCDIAKDQRGCRFLQRKLDEGKHQVDLIFNGVIDHAVELMVDPFGNYLMQKLLEVCSEEQLMEILLVLKEDPADFVKISLNIHGTRAVQKLIDTLKTRQQIVLVISAIQPGFLDLIKDLNGSHVLQHCLESFAAEDNKFIFDAAMEHCVGIATHRHGCCVLQKYIAHSTGDHLAKLVAEISANGYELARDPFGNYVIQYVLDMKNPLAVANLVAQFEGKYVQLSTQKFSSNVVEKCLKTFGEDDQATIIVELLSVSHFEQLLQDPYANYVIQSALENSKGHLRAALEEAICPHAGALRTSPFCKRIFSRALLKKCCRIGS >Ma01_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11717618:11719652:1 gene:Ma01_g16150 transcript:Ma01_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEKPKRNMYALGCSCLASMTSIVSGYDVAVMSGANLFIKNDLKIDDTKIEILAGIINVYSIIGSIAAGRTSDWIGRRYTMILACAIFFTGALVMALAPNYAILMAGRFVAGVGVGYAFMIAPVYAAEVAPASSRGFLTSFPEVFINFGVLLGYVSNFAFAGLPEHLSWRMMFGVGAVPPVFLALGVLAMPESPRWLVMQGRLGEAKAILAKTSDTPAEAELRLSEIKEAAGIPEGCTDEVVPVPERSHGEGVWKELLITPTPPVRRTLLAALGLQFFQQASGIDSVVLYGPTIFEKAGLKGKTERLGATVAVGFTKTSFILVATFLLDRVGRRPLLLSSAAGMIASLITLASVLLTIDHHPGEKLTWAVALCIATVLTFVGSFSIGLGPIAWVYSSEIFPLRLRAQGASLGASMNRLMSGAVTMSFLSLYKAISISGSFYLYAGIAAAGWVFFYVFLPETRGRNLEEMEELFGKASKSSEKAEEKGGIELVKGDGGESHDGRKD >Ma02_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26379264:26380967:1 gene:Ma02_g20370 transcript:Ma02_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLVSNEVSDLCIGKPALRSLPLSAAVVGDALFALRGSGEPHLAVVSADRDAPDKKAVTGKLCVADVICYLCSDGNLESPTVALGRPVSVLLSKGAGLVRRVERQFSVLEALDLILDGAQNLVVPIGSVGRKKLHHGGGGATVEYCWLTHEDFVRYFLNSIAVFSPVATLSIDAVGLVRSATALTVRHDEPGLALLPVLRRALSEQAAVAVVTDDGRLLGEISPLALSACDLMAVTLAAGVATLTAGDLMAFVDYYGSPPESLVRAIRAGLKEKGLQEMLELMEDVLSSFSHSSSSPSASSSSSSSDEESSGGRLPRRLRSRSFSMGRRSEEPEVCHPGNSLVAVMVQALAHRVSYLWVVDEDDYSLIGIVTFADMLRVFREQLQPSL >Ma06_p15420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10458510:10469041:-1 gene:Ma06_g15420 transcript:Ma06_t15420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFLPSKRPYERNPLEPNGRGKWQKTGPSITQQNQLKVPPGAIIFRVLCPASKSGSVIGKGGGIVARIRQETGAKIRLEETVPGCDERVIVVTGLEKDAELGNEQGKEDDEETGTDDGGDNSQEITENIEGAKDSSPVESSKFDGVPSSVVKALLLVFERIIEGEAENDDEDEANKKLSNVSARLLVLSNQVGCLLGKGGSVIKQMSADSGAQIRILPRDKLPLCASQQDEIVQLTGGVESVKKALHLVAQQLLDNPPRERDLFPPMGSSGPSSHPFASGPRPEGVPPLNYHHPHQGPPFSNRPHHIADFHPSIGPPFPKFHESGPPLQPQLSPELITYRLFCSSDKVGSVIGKGGNIVKALQNDTGCEIKVLETTPESDDRIIVISGLALPSDRIAPVQDAVLRVQHRLVMAVPDAKDSTVLSRLLVASNQTGCLLGKGGAIIAEMRKLSGAHIRILGKDQIPKGVPENDEVVQISGEFGAVQEALLQITSRLKHHVFRDKLSAMNPNAPPAFIEQLPPYGLYMGRRESSPSRLYPNLPPFQKDPVGRLFEERSAFAHPVHGLGIPPLGIERPAPWLPQGMRDVGGPMPLPDYPGGVPQRRMGGFASGSQPVPITSVDVVVPRSLVPSIYGEDGGCLRHIREISEAKITITEPRPEATETVIIISGTPEQTHAAQSLIQAFVLSETGSLDSTKP >Ma06_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10458807:10469020:-1 gene:Ma06_g15420 transcript:Ma06_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFLPSKRPYERNPLEPNGRGKWQKTGPSITQQNQLKVPPGAIIFRVLCPASKSGSVIGKGGGIVARIRQETGAKIRLEETVPGCDERVIVVTGLEKDAELGNEQGKEDDEETGTDDGGDNSQEITENIEGAKDSSPVESSKFDGVPSSVVKALLLVFERIIEGEAENDDEDEANKKLSNVSARLLVLSNQVGCLLGKGGSVIKQMSADSGAQIRILPRDKLPLCASQQDEIVQLTGGVESVKKALHLVAQQLLDNPPRERDLFPPMGSSGPSSHPFASGPRPEGVPPLNYHHPHQGPPFSNRPHHIADFHPSIGPPFPKFHESGPPLQPQLSPELITYRLFCSSDKVGSVIGKGGNIVKALQNDTGCEIKVLETTPESDDRIIVISGLALPSDRIAPVQDAVLRVQHRLVMAVPDAKDSTVLSRLLVASNQTGCLLGKGGAIIAEMRKLSGAHIRILGKDQIPKGVPENDEVVQISGEFGAVQEALLQITSRLKHHVFRDKLSAMNPNAPPAFIEQLPPYGLYMGRRESSPSRLYPNLPPFQKDPVGRLFEERSAFAHPVHGLGIPPLGIERPAPWLPQGMRDVGGPMPLPDYPGGVPQRRMGGFASGSQPVPITSVDVVVPRSLVPSIYGEDGGCLRHIREISEAKITITEPRPEATETVIIISGTPEQTHAAQSLIQAFVLSETGSLDSTKP >Ma06_p15420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10458510:10469028:-1 gene:Ma06_g15420 transcript:Ma06_t15420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFLPSKRPYERNPLEPNGRGKWQKTGPSITQQNQLKVPPGAIIFRVLCPASKSGSVIGKGGGIVARIRQETGAKIRLEETVPGCDERVIVVTGLEKDAELGNEQGKEDDEETGTDDGGDNSQEITENIEGAKDSSPVESSKFDGVPSSVVKALLLVFERIIEGEAENDDEDEANKKLSNVSARLLVLSNQVGCLLGKGGSVIKQMSADSGAQIRILPRDKLPLCASQQDEIVQLTGGVESVKKALHLVAQQLLDNPPRERDLFPPMGSSGPSSHPFASGPRPEGVPPLNYHHPHQGPPFSNRPHHIADFHPSIGPPFPKFHESGPPLQPQLSPELITYRLFCSSDKVGSVIGKGGNIVKALQNDTGCEIKVLETTPESDDRIIVISGLALPSDRIAPVQDAVLRVQHRLVMAVPDAKDSTVLSRLLVASNQTGCLLGKGGAIIAEMRKLSGAHIRILGKDQIPKGVPENDEVVQISGEFGAVQEALLQITSRLKHHVFRDKLSAMNPNAPPAFIEQLPPYGLYMGRRESSPSRLYPNLPPFQKDPVGRLFEERSAFAHPVHGLGIPPLGIERPAPWLPQGMRDVGGPMPLPDYPGGVPQRRMGGFASGSQPVPITSVDVVVPRSLVPSIYGEDGGCLRHIREISEAKITITEPRPEATETVIIISGTPEQTHAAQSLIQAFVLSETGSLDSTKP >Ma06_p15420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10457915:10469026:-1 gene:Ma06_g15420 transcript:Ma06_t15420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFLPSKRPYERNPLEPNGRGKWQKTGPSITQQNQLKVPPGAIIFRVLCPASKSGSVIGKGGGIVARIRQETGAKIRLEETVPGCDERVIVVTGLEKDAELGNEQGKEDDEETGTDDGGDNSQEITENIEGAKDSSPVESSKFDGVPSSVVKALLLVFERIIEGEAENDDEDEANKKLSNVSARLLVLSNQVGCLLGKGGSVIKQMSADSGAQIRILPRDKLPLCASQQDEIVQLTGGVESVKKALHLVAQQLLDNPPRERDLFPPMGSSGPSSHPFASGPRPEGVPPLNYHHPHQGPPFSNRPHHIADFHPSIGPPFPKFHESGPPLQPQLSPELITYRLFCSSDKVGSVIGKGGNIVKALQNDTGCEIKVLETTPESDDRIIVISGLALPSDRIAPVQDAVLRVQHRLVMAVPDAKDSTVLSRLLVASNQTGCLLGKGGAIIAEMRKLSGAHIRILGKDQIPKGVPENDEVVQISGEFGAVQEALLQITSRLKHHVFRDKLSAMNPNAPPAFIEQLPPYGLYMGRRESSPSRLYPNLPPFQKDPVGRLFEERSAFAHPVHGLGIPPLGIERPAPWLPQGMRDVGGPMPLPDYPGGVPQRRMGGFASGSQPVPITSVDVVVPRSLVPSIYGEDGGCLRHIREISEAKITITEPRPEATETVIIISGTPEQTHAAQSLIQAFVLSETGSLDSTKP >Ma06_p27980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29803901:29804800:-1 gene:Ma06_g27980 transcript:Ma06_t27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKDSNMLVLGTTSEMEFLESLGILCDAFSVTYHVPKLNKEDAKKVLQGLFVFAEDDVE >Ma11_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26715264:26716165:1 gene:Ma11_g23330 transcript:Ma11_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVAISTTPPAKRRWRAPAAVVLVLVFFSLLVPLDFLLGLHDRFPSGYLTDDRRPPETSSWNFGRLGGVGSSSEGDVSSIERLVKRFEPTFSKVTFAFLKCHPPS >Ma01_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2497396:2498134:-1 gene:Ma01_g03740 transcript:Ma01_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGCPSSPSLPPHSFLSHHSAKPRRRSAQLLLLTKSPKHRHNLLFLAVSPTQQALSNSLNAAATSPAAAGDLSVLVPISALLLFIYWVTNFIVPGMITKELQSATSEQEAGTEEEKEEGTVEPPNLKIKKSRGMRKTTTLL >Ma11_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9253955:9254407:1 gene:Ma11_g10000 transcript:Ma11_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPTQALLFFLFAVAAFVGLCATARKKRNQSVESAGSKATKQLAETAVSAWDALEKDREGDSSMALPLWQRRILMGERCELPKFSGLVLYDELGRPVRSSSSHDSLLKVISKRKLNHPTLYISLMFASTACMQVKPTPAVTTLRDLLV >Ma11_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25254665:25255905:-1 gene:Ma11_g20870 transcript:Ma11_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATEGMRVKQECLSSFMEMKWKRVSRYVVYKIDEKTREVMVDKVGRPGEGYDGLAASLPNDDCRYAVFDFDFVSVDNCPKRKMFFITWSPAASRIRSKILYATSKQGLRRLLDGIHYEVQATDPTEMGFDVIKERAN >Ma04_p12950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9790723:9803907:-1 gene:Ma04_g12950 transcript:Ma04_t12950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG2 [Source:Projected from Arabidopsis thaliana (AT1G02740) UniProtKB/Swiss-Prot;Acc:Q4V3E2] MGSANSGAGVKEETSTEGDGGGGDAATAAAPDASDPSADGAFVEGEKVLAYHGPLIYEAKVQKAELRKDEWRYFVHYLGWSKKYVLVQLWDEWVGTDRLLKLTDENIHKQQALEKNQNTDKNPKSGRSTQNKPKDSKLDKEDPRSTVSKGKKRKAQSGVEDDKRTSENLVKLQFPLTLKKQLVDDWEFVTQLGKLVKLPRSPNVDDILKKYLDYRTKKDGMIAESVGEILKGLRCYFDKALPAMLLYKKERQQYHEAVRDDVSPSTVYGAEHLLRLFVKLPELLAYVNMEEEALVKLQQKLLDFLKFLQKNQSVFFLSTYDGSAGMDESDTK >Ma04_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9790723:9803907:-1 gene:Ma04_g12950 transcript:Ma04_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG2 [Source:Projected from Arabidopsis thaliana (AT1G02740) UniProtKB/Swiss-Prot;Acc:Q4V3E2] MGSANSGAGVKEETSTEGDGGGGDAATAAAPDASDPSADGAFVEGEKVLAYHGPLIYEAKVQKAELRKDEWRYFVHYLGWSKNWDEWVGTDRLLKLTDENIHKQQALEKNQNTDKNPKSGRSTQNKPKDSKLDKEDPRSTVSKGKKRKAQSGVEDDKRTSENLVKLQFPLTLKKQLVDDWEFVTQLGKLVKLPRSPNVDDILKKYLDYRTKKDGMIAESVGEILKGLRCYFDKALPAMLLYKKERQQYHEAVRDDVSPSTVYGAEHLLRLFVKLPELLAYVNMEEEALVKLQQKLLDFLKFLQKNQSVFFLSTYDGSAGMDESDTK >Ma08_p30580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41790017:41791622:1 gene:Ma08_g30580 transcript:Ma08_t30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVTLGLLLSSLAAAGVWSPHHEPKPSHHQQRHPADDHVLREGHRVVVVEYERQVPPDFAEQVLHPAATHRLIEEAKDKIQEAASVLPNLGQGVSTPPARPDEAQAAGSAKSMICDAYGVCKEKVAALIGKSKEKTTEIEDISKDAVKKAGEKPSQLKEGAKGAARDAEERASQLKESAKDTVKDTIDAAKEKASMARDKVESTIDKASQIKEDTKDAMEKVVESAKETGQDIARNISGIAKRAEHKAEKKARETRGNLTDIIRRAKEVAFDAAVYVWAPETGQAAAAILHLLGFATAYGASVWVTFVSGNVLATALPRQQFGLVQSKLYPVYFRLVAYSTALTLVAHFFGRDRRILAERVQGYNLFGALVLVLINMFFLEPKATKVMFERMKIEKEEGRGRDMADVMAEPPVMASAPVGTTTTATKTARATSASVEQDGVKCGLVHLNRRLKKLNTYSSLLNLLTLMSLSWHLVHLARQSQVSC >Ma04_p28130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29251293:29251772:-1 gene:Ma04_g28130 transcript:Ma04_t28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTLEIKKLRTEWRCEEEEGKVGGTGGWETPKRAECRIPAPVRCPAPPKKKSPAVAFGKRRDPPKNGYFNPPDLEALFALAPRREACA >Ma09_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13056319:13062087:-1 gene:Ma09_g17480 transcript:Ma09_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLNSFISSPTWSDRNVSSKASWNNTSIPQTNVLLADYVESYGEVKNPSVCSVSSSNIVGNADAEDLNMHGHERTSSILLDGKINYGILKDLYSGERLYHQILHCQTSNSVSLDNETQVTTFSLEGVTDSNPTSASFESALPHTTLAISSSIGSTSSELSAFPQSLVDAHSINSVPIVWPSSYSSVSSFIGHGNLPAFSYQGHENHDDILGKISLENGKFRDVKDQNEQHNFSSFSAGQQINLIPSALQPQKEQNGLHSPCFPSGSSMMVLSKMAGMQSPLQPSLLSERHNASHHQINTSTGAQSQAVFANASGCNGAAKPRARARRGQATDPHSIAERLRREKIAERMKNLQELVPSSNKTDKASMLDEIIEYVKFLQLQVKVLSMSRLGATGAVVPLLTDTQTEGSGSLLLWSSSVGQGGSDISESGDSLAFEKEVVKLMETNVTTAMQYLQHKGLCLMPIALATAISTQKGSSSTSTPPD >Ma04_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25062929:25063821:-1 gene:Ma04_g22830 transcript:Ma04_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKVCSCWRLLYQWTTSWASNNSESDGTHHPDSDETSDAMRPSVYVSGPCGGAGGSERDMGVGPDTRILKVMLRHGLAVNAIRIMYHHDGCNGWTGWWGGTGGQLSEINLDVDGDESLTWISGRYGFFRGEMVIRSLTFGSDKKTYGPYGVEDGFTFDLDAGSQRIVGFFARSGQFLNAIGVYTA >Ma05_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8520740:8520823:-1 gene:Ma05_g11650 transcript:Ma05_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELYDENHDGNIDFVEFVRSMEISFC >Ma03_p28770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31862115:31867524:1 gene:Ma03_g28770 transcript:Ma03_t28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWNANASLLWDWDNHAPFGGNCKLFGGGASSGSEFGNGSSSKSTISASFDSSSKAGKEPEADANPKTHEKNKFLQESGSSLLPAAAAGLEESQIGLKLGKRTYFEDVSAESNSKNHPLSSSLDSASVPTAVLKKSRVSHQSAQSTCCQVEGCNIDLSVAKDYHRKHRVCEMHSKWPKVIVGGQERRFCQQCSRFHELSEFDQKKRSCRRRLSDHNARRRKPRTNLISFNSTNFSSSLYDDKHQMNLLWNKAPFGHMKPVASTNIEGLPSFKLTQKGSWEKSPKDGGTDAQLHLPNAQLSNGFFTLYPDVDKLLPLKGTATEVLNQGSEASAGALNLGGAPDLRRALSLLSTNSWGSPDPGQTSSIVEFVDASHTSTAQPMVPTINSSSHWIHGQPLAQQPQLLPFTMHRSGNQPQELLLQNTPYRDNLFDPSQIH >Ma03_p28770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31862115:31867524:1 gene:Ma03_g28770 transcript:Ma03_t28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWNANASLLWDWDNHAPFGGNCKLFGGGASSGSEFGNGSSSKSTISASFDSSSKAGKEPEADANPKTHEKNKFLQESGSSLLPAAAAGLEESQIGLKLGKRTYFEDVSAESNSKNHPLSSSLDSASVPTAVLKKSRVSHQSAQSTCCQVEGCNIDLSVAKDYHRKHRVCEMHSKWPKVIVGGQERRFCQQCSRFHELSEFDQKKRSCRRRLSDHNARRRKPRTNLISFNSTNFSSSLYDDKHQMNLLWNKAPFGHMKPVASTNIEGLPSFKLTQKGSWEKSPKDGGTDAQLHLPNAQLSNGFFTLYPDVDKLLPLKGTATEVLNQGSEASAGALNLGGAPDLRRALSLLSTNSWGSPDPGQTSSIVEFVDASHTSTAQPMVPTINSSSHWIHGQPLAQQPQLLPFTMHRSGNQPQELLLQNTPYRDNLFDPSQIH >Ma06_p18670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12760703:12763241:1 gene:Ma06_g18670 transcript:Ma06_t18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILTPATTGPHSTRLALPIAKPKIPLQRTHLVRCVHRPEISPFFHGAVGANRADWQSACAILSSKVATTSQNHQQTPQHHEAGDDTNHSLVPVVNGHGSAAAALDLVPVQLPQPLTIADLSPAPKHGSQLRVAYQGVPGAYSEAAAAKAYPNCDAIPCDQFEAAFQAVELWVADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEQLTRVISHPQALSQCEHTLTAMGLNVAREAFDDTAGAAEYVALNGLQDTAAIASARAAELYGMQVLADGIQDDSGNVTRFVMLAREPIVPRVDRPFKTSIVFAAHDSEGTSVLFKVLSAFAFRDIGLTKIESRPHRQRPIRLEDEASSGGGGAAGTAKHFEYTFYVDFQASLAERRAQNALAEVQEFTSFLRVLGSYPMDMTPWSITSSSSNSSSSSSSSSPGSQQ >Ma06_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10390338:10391831:1 gene:Ma06_g15280 transcript:Ma06_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNIFPTDYVPTVFDNFSANVIVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVYKKWIPELRHYAPNVPIVLVGTKIDLREDRQFIIDHPGTTPITIAQGEELKKMIGAAVYIECSSKTQQNVKAVFDAAIKVVIYPPKPKKKSRKQRTCLIL >Ma06_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8609868:8613840:-1 gene:Ma06_g12400 transcript:Ma06_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAWNMETLWSTWACQLDLSSQSVDFDYSCCECWTNK >Ma06_p28140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29903686:29906776:-1 gene:Ma06_g28140 transcript:Ma06_t28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFQSVAFIIILLVLVDSGQVHCHTKGLRPGRRAPPPVNSTSAGMVEQQFMRWVRLVGGLRHSVFGRALNKAFPSYTLTVDKNPSVGDFTTIQEAVDSLPLINLVRVVIKVNAGTYTEKVNVSPMRAFITIQGAGADKTVVQWGDTAETLGPKKQPIGTFNSATFAVNAPYFIARNITFKNTTPVPPPGAMGKQAVSLRISGDTAAFVGCKFLGAQDTLYDHVGRHYYKDCYIEGSVDFIFGNALSLYEGCHVHAIAQNYGALTAQNRMSLLEDTGFSFVNCKVTGSGALYLGRAWGTFSRVIFAYTYMDDIILPRGWYNWGDPNREMTVFYGQYKCTGPGASYAGRVSWSRELTDEEAKPFISMSFIDGSEWIKL >Ma06_p28140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29903686:29906776:-1 gene:Ma06_g28140 transcript:Ma06_t28140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFQSVAFIIILLVLVDSGQVHCHTKGLRPGRRAPPPVNSTSAGMVEQQFMRWVRLVGGLRHSVFGRALNKAFPSYTLTVDKNPSVGDFTTIQEAVDSLPLINLVRVVIKVNAGTYTEKVNVSPMRAFITIQGAGADKTVVQWGDTAETLGPKKQPIGTFNSATFAVNAPYFIARNITFKVCVSCSIRMEFVWRPDPVLLLALLGFQNTTPVPPPGAMGKQAVSLRISGDTAAFVGCKFLGAQDTLYDHVGRHYYKDCYIEGSVDFIFGNALSLYEGCHVHAIAQNYGALTAQNRMSLLEDTGFSFVNCKVTGSGALYLGRAWGTFSRVIFAYTYMDDIILPRGWYNWGDPNREMTVFYGQYKCTGPGASYAGRVSWSRELTDEEAKPFISMSFIDGSEWIKL >Ma06_p28140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29903978:29906776:-1 gene:Ma06_g28140 transcript:Ma06_t28140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFQSVAFIIILLVLVDSGQVHCHTKGLRPGRRAPPPVNSTSAGMVEQQFMRWVRLVGGLRHSVFGRALNKAFPSYTLTVDKNPSVGDFTTIQEAVDSLPLINLVRVVIKVNAGTYTEKVNVSPMRAFITIQGAGADKTVVQWGDTAETLGPKKQPIGTFNSATFAVNAPYFIARNITFKNTTPVPPPGAMGKQAVSLRISGDTAAFVGCKFLGAQDTLYDHVGRHYYKDCYIEGSVDFIFGNALSLYEGCHVHAIAQNYGALTAQNRMSLLEDTGFSFVNCKVTGSGALYLGRAWGTFSRVIFAYTYMDDIILPRGWYNWGDPNREMVFDVKHLHILGQN >Ma05_p28270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39331253:39339341:1 gene:Ma05_g28270 transcript:Ma05_t28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGAPRPGKRSRSIPPSPLRRNSGRPVGNHAESAPSRQHTSSLVTPSPNLDTSSNMSFLNDTSTVSSLPDHSSGGGRPVAAGGLMATSRNFQQQSGGGGALDVLGPAKFDPRAFTSTSPTAQGQQPHNLYSSRMSLDHSQPDQLNMMQNYQGSSSMPHMQRQTELREGLGNFGSAAFVNMEPQNGPLQHSQSPCHTGTVNLEPHQVHSGRGLGPGKMESEHSYSALFLQQQQEQQLFKKPRPRPKAAYEHISMQQQQLLQMPGPPSQAASAQISPQQQQILRSIPQQQDQLQAVEPKVKSTLYEPGICARHLTHYMYRKQHRPHDNNIGFWRELVAEYFTPNAKRRWCVSLYENGPQTTGVFPQDIWQCEICNRKPARGVVTNVEVLPRLLQIKYASGILEELLYVDMPQEYQNAAGQIVLQYAKAVQESVFEQLRVAREGHLRIVFSPELKICSWEFCARHHEEFIPRRLFMPQVNRLNALVQEYQNSVQNSTFGLSAWELERTCKLLMETAGQLVKALEVPVVNDLANKKCYLRCLQILEVLDSMKDLIDYSIQTGTGPIDSLINFPKRTASSSVLHSQQVQQPDVQQTIPQYTSQCDQTSAYATGVQLLSASGSLTSVANTLNNLPSTCTTTTTAGLRQNSLNSRQDNRSSNVNGPHTGNTVPIPPASSISLRQSQPNVFPRTPSSIPSTSNNFLTSSDNIGHLDSVNSPVIASTMKQPLTESQEDDPTESPSVDRTLQEMMTSQRTGVSSLGHQGVSGTGSGITGEIRPLKTAGTSRGEMAKNTVAMNEQAGINYRSNDPSDVIHQQQEDMNRLFDGLEPLDNFDILQLIQELSQ >Ma07_p26860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33463708:33469763:1 gene:Ma07_g26860 transcript:Ma07_t26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGTSASPSPSRVLLRQLFEKESSTYTYLLADLAHPDKPAVLVDPVDRTVDRDISLVKELGLKLIYAVNTHVHADHVTGTGLIKKKMPEVKSVISKVSNAKADHVVEHGDKIYFGDLFLEVRATPGHTQGCVTYVTGNGPDQPYPRMAFTGDALLIHGCGRTDFQAGNSRQLYQSVHSQIFTLPKETLIYPAHDYKGFTVSTVGEEMLYNPRLTKDEEAFKSIMENLKLDYPKMMDVAVPANMVCGLQDLPTKA >Ma02_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17711419:17713002:1 gene:Ma02_g06800 transcript:Ma02_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKDVLQLTAEEEEEEARRFAMRLAMGYCLPLTLKVAIELELLETIVKAGPGTMLSPADIAAGLPTENPQATDMVDRMLRLLAANGILSWSVEASGVDGRPACKYGAAPVCKYLTTNEDGVSMAAFTLLMHDKITMESCYYLKDAVLEGGIPFKKAHGMTAFEHHGKDPRYNKLFNDSMRNHSTILIKQLLETYRGFDDVKVLVDVGGGTGATLHLITSGHQHIKGINFDLPHVISGAPPYPGVEHVSGDMFESVPSGGDAIFMKWILHDWTDEQCARILKNCWKALPKKGKVILVEYLLPMSPEQYSNSQGIFELDMGMMTYTGGRERTQSELEALAKEAGFVGFKATYISIYAWLIEFTK >Ma09_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5523745:5529610:1 gene:Ma09_g08340 transcript:Ma09_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASNPPPNRPSKPSSVLPYKTPALRDHYRIGKKLGQGQFGTTYLCVDKSDDKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIKGTYEDALFVHLVMELCAGGELFDRIIQKGHYSERKAAQLIKTIVGVVEACHSLGVMHRDLKPENFLFASAGEDAALKATDFGLSMFYKPGDIFSDVVGSPYYVAPEVLHKLYGPEADVWSAGVILYILLSGVPPFWAETEAGIFRQILKGHLDFESEPWPGISDSAKDLIRNMLNRDPRKRFTAHQVLCHPWIVDDKVAPDRPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIGGLKELFNMIDTDKSGTITFDELKDGLKRVGSEMMESEIQALMDAADIDKSGTIDYGEFLAATVHINKLEREENLISAFSYFDKDGSGYITIDELSQACREFGLDDVHLDEMIKEIDQDNDGQIDYSEFAAMMRKGNGGIGRRTMRNSFIFNPADARKTEER >Ma04_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23862850:23864248:-1 gene:Ma04_g21270 transcript:Ma04_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVKIGANKFSERQPIGTAAQDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVVKSSSKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMVMQCLGAICGAGVVKGFQKGVYQSNGGGANVVASGYSKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNKDHAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKSRS >Ma10_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21618148:21620339:-1 gene:Ma10_g07280 transcript:Ma10_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGEEKLIAAVRHIAKSLGRTDTMADDILQVFSAFDGRFSLDKLASDRSVPVHPAAAPAAAGREADDPRPPLERTIRTLDRQISRFVASERLIWSDAADATAFLEAVDDLLATIHDLDAPPDKPLLDRAEDLLQRCILRLEEELRAILRRPVGCGPAVGTPPSDGSDSDAAEGSEDRVPVAAPVDDYNLVIDALPSGSVADLHAIARRMVAAGFGRECAEAYGVSRRGFVDASVARLGIRPRPAEEVQASTWIELEDEIARWVKAVNMAFLILVPSERRLCDRVFASLPPFADIAFAAACRPAAAGLLSFAYVVAAGPRDPVRLFRLIDMYEALRDLLPELDHLLSEQCSAALRADVAAGRRALGAAIRGIFVELENLIQRDPAKATVPGGGLHPITRYVMNYLQAACSSRRTLEEVMDEGAAIPPDPHHPSSSPSLSLQVAWLMDVLHSNLENKSKIYPEPPLSYIFLMNNGRYMTQKARDSELGALLGEDWIRRQMAMVRRWGNAYQRATWTKVVAVLRMDVIGGAVASASPAAGKAMRERLRVFNSYLEDIWKVQAGWVVADEQLRTELKLAVGALVLPAYRNFVARLRLAGEGGKQAERYLKYTVEEVEARINQLYDGGRRRC >Ma07_p07650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5688547:5692402:1 gene:Ma07_g07650 transcript:Ma07_t07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGHEGTAYGAYTYENLEREPYWPYEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTSGADHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMVEIVLSFEDKKLPIHHIPGPEGVRGRNSDNNLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKSQGLDTAIYGSSKVVGTQAPVQLGSLRAADGKE >Ma08_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3333105:3335716:1 gene:Ma08_g04800 transcript:Ma08_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQQQASWRLEGGGQIIDLETAIKDGILGGGGGGLPAGKDGTLGATAEKLDLKKMIDELDSAAPEDVPSVFICPISLEPMVDPVTLCTGQTYERANILKWFSLGHLTCPTTMQELWDDAVTPNRTLHQLINAWFSQRYLLLKKRSEDVQGRAAELVQSLKKVKGQARVQALKDLQKIVVAHPSVKKTVADSGGVSLLSSLLGPFTSHAVGSEVIAILVNLCLDSDAKTSLMQPARISLVVDMLNEGTIDTKINCTRFIEMLMEEKSFRWEVVSSLSLLVALLRLVKDKRHPNGMAAGLSLLKAICSHEQVRSLIVSVGAVPQLVELLPNLSPECSEPAWHILDDLSTTPEGLSALKDCPQTIPNAVRLLMRVSEACTQYALSILWAVCKLAPDECASLAVEAGLAAKLLLVIQSGCSPELKQRAAELLKLCSLNYTTTLFISKCKLTRTIQ >Ma02_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16126572:16137200:-1 gene:Ma02_g04740 transcript:Ma02_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLSYLVERIKDEMFSPSADMLSFLPLSPYDTAWVAMVAGPQSPHSPMFPKCLEWILRHQRDEGYWGELGTPMDSLTSTLACVVALNAWDTGHANIEKGLGFLRANMVKLLMEHRGGIPRWFSIVFPGMLELALAKGLPVLPDGGSMPAVNNVFNRRETILAMEKSSGNDRHPPLTSFLETLPISCRPNHEVILGLQMEDGSLFHSPSATACAFMITGDRNCLEYLQTMMKRCSNVVPSVFPVDEDLIKLCLVDHLRRLWCGEHFAEEIRGVMDHTYRLSCSPFVVKLAIESFLNRQFLYKNELEELKRSSEESGLSKMGIGQERTTYCFAECGVIVTIADDLFDEKGSLNEVERLTESIHRWNGENL >Ma09_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33788007:33789116:-1 gene:Ma09_g21840 transcript:Ma09_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCGENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAVPDHLQPAIFRSLLYEACGRIVNPIYGSVGLLWSGNWQLCQTAVEAVLKGAPIVQIPSETAASTPAPPLQACDIRHVFKKPRTAAELHNVNKPRSLFKRTGSKAARTATTRPEFPRARSHESEASHPTEPAAAVVERNRKGGECRENECMSLADTAEEASHVSQGEPDETEEDELGLELTLGFKPVSWWSRLVLSDRDTKACTTDLNLAPPSATS >Ma06_p36080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35683881:35685908:-1 gene:Ma06_g36080 transcript:Ma06_t36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTHNPFLLIQKIIKTQPIHHPATTSLIFRLLIDAHIRSQKPCPAALYLDRMLRCGLTPPITTFNRLLHLLLASDLLHTASSLFLDAKNRVPLDAYSFGIMIRGLCDAGRLDEASNLMEEFEAGGSPPSVVMYTTLIDGCCRRGSFDAARRLFDRMKGQGVAPNEFTYTVMISGCFRNGFSNAGFELYDEMKRAGVFPNLYTYNVLMSQCCKSQDLVFAFQLFDEMTEKGVLPNVVTYNTLIGGFCRQSMVKDATKLLVRMKDAGLRPSLVTYNVLIDGYCRAGKMVKASRVLNQMKQNGHSPSTITYNVLIDGFSRAGDLVGAANAYREMQDRGLTPTNVTYTILIDAFAREDDMDRAFEMHRSMERAGLAADAHTYGVLMRGLCMQGEMKDARKLFDAMKDKGLKPTDVINNMMIYGYCREGSSYRALRLLREMIGNGMVPNAASYGLTIRVLCKDGKRQEAEVLLSKVIHSGLQSCESINGALFDMQ >Ma07_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22553203:22560688:-1 gene:Ma07_g17920 transcript:Ma07_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MGDDDYGHRTPLSKAPRAAAPKTPMASRLQSPPFPLGSNDDQLERAQARAAARAASVRRRSSAPNASFSSGVASDFMDRSQIMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIRVSPEDDDETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGINRAGREEDNDNMETVDTIDTAQADGLSKKDLERKMSPLLTLESSFDVLNLKKFDVAFVVDPLYHQTSAQFDEGGAKGLLLNNLGVYGGCRVLFDSFEYPEKCMSSGIENDQPEFIDLSFAKDYIEKMMIYMPATNDISPTLRDIVNQFDEDNRRPPDINCSAQVPLVDDYVPENNHIELGEIASDDDCGPWNLDHDHQLSIVDDNSTSTNLNFTSHQEEDFDCTFGGPIVEEKFEKIADFLSLGLGFTSKSNAWAGPDHWKYRKVKGTEQVQPPDTNSEIVNRKPRNRKEARDVDFTKSLENDISDIFAPPKNPKSLTLPVNKATVVITLPEDCHYRPESLVKLFLLPNVMCLGKKGRKFSDEPRQDDESSAQLTSWDNDSTTYDNFDDGTACSDADDPGSLVCQPRQVRKVDIQYDKVSKQVDVHALKDMLWNHIQDSVQTSNVKQDCEATVSLRQVLQHFPTDCAAAAAKDISPHLIFICLLHLANEYSLSIHDHPSLDELDIHIPSSALVK >Ma06_p31130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32313417:32313883:1 gene:Ma06_g31130 transcript:Ma06_t31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRSYSYTRLEKEDPDERQHRRAQFLIYKVLKQAECRRRQSLPRMVISRFKIKVGPRLKKLRRSGVCVNEKFMKQLKHWKQAVALIPVFS >Ma05_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2320943:2327169:1 gene:Ma05_g03260 transcript:Ma05_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAKDLTAGTVGGAAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYSGAMDAVKQTMVAEGPRGLYKGMGVPLATVAAFNAVLFTVRGQMEGLLRSEPGAPLTVNQQMVCGAGAGVAVSILACPTELIKCRLQAQSALAGSAASSAAAKYGGPIDVAKHVVREAGVRGLFKGMVPTLAREVPGNAVLFGVYEALKQYFAGGKDTSGLGRWPLMVAGGLGGASFWLSVYPTDVVKSVIQVDDYKNPKYSGSVGALRKIAAAEGIKGLYRGFGPAMARSVPANAACFLAYEMIRSSLG >Ma06_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:645851:650023:1 gene:Ma06_g00790 transcript:Ma06_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIRMVVSRKETGMSASNAAVPNPAANASLTLELNATPESRRRVAVTEKKSSVDNDGNMNDLNRKIRGQSLHERPKNITEVKRGLVASPIGPRRKKGIPKPEKLKWQTFVSVVTKICLLLVAVLWLGQLIWRWEAMIRYKRDFSSLDSESRLFEVETSMKSVAKMLQVQVDVVEKKIFTEVGIAKRELKKQVEEKDLTFEEELKRLVSQTDNLDKSLAELKGMGFLLKEEFRTFLDKSKGRNLGSSNHDVTLDDVRNFAKEIVKKEIEKHAADGLGRVDYALSSGGARVISHSEPFVVGKFSNWLSLGKGRNRVHSNAQKMLEPSFGEPGQCFALQGNNGFVEIRLRTGIVAEAVTLEHVSKSIAYDRSSAPKDCMVSAWFEEPGSDPSKRAEKTFILTKFSYDLEKSNAQTFKVETAISGIINIVRLDFSSNHGSSTLTCIYRFRVHGYEPNFTSKNTSE >Ma06_p00790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:645828:650023:1 gene:Ma06_g00790 transcript:Ma06_t00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNAAVPNPAANASLTLELNATPESRRRVAVTEKKSSVDNDGNMNDLNRKIRGQSLHERPKNITEVKRGLVASPIGPRRKKGIPKPEKLKWQTFVSVVTKICLLLVAVLWLGQLIWRWEAMIRYKRDFSSLDSESRLFEVETSMKSVAKMLQVQVDVVEKKIFTEVGIAKRELKKQVEEKDLTFEEELKRLVSQTDNLDKSLAELKGMGFLLKEEFRTFLDKSKGRNLGSSNHDVTLDDVRNFAKEIVKKEIEKHAADGLGRVDYALSSGGARVISHSEPFVVGKFSNWLSLGKGRNRVHSNAQKMLEPSFGEPGQCFALQGNNGFVEIRLRTGIVAEAVTLEHVSKSIAYDRSSAPKDCMVSAWFEEPGSDPSKRAEKTFILTKFSYDLEKSNAQTFKVETAISGIINIVRLDFSSNHGSSTLTCIYRFRVHGYEPNFTSKNTSE >Ma09_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35136856:35138892:1 gene:Ma09_g23230 transcript:Ma09_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSWLFGKRKEQPNTLATLEELNEKLKMLEKREQFLLKKMAAEVEKAKEFTRENNKRAAIQCLRRKKLHEQEVERLGNYQLRIHDQIILLEGAKSTTETVDALRTGAAAMKAMLKDTNIDDVDKTLDEINEQTQEMKQIQEALSAPIGAAADFDEDELEAELEELEADELEELLLQPATTAPAPSVHVPVHRQPTQPDPQKTPPEEELSELQAEMAI >Ma10_p30450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36751582:36753489:1 gene:Ma10_g30450 transcript:Ma10_t30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKRKVDQEDELLISAAPNHAGELLPQAPHQPVVYTPAPASDEEEGGEDDDDDDVGKLLEPLSRDQLVAILRTTAAADPATLVEIRRVADLDPAHRKLFVHGLGWETTAEGLRAAFGSYGDIDDCRVIVDKGSGRSKGYGFVLFRHRVSARRALRRPQKLIDNRMTSCQLASSGPSAPSSHHHNSAPQHHQNLNPSPGPASHQDNISRKIYVGNVHSDVDGGRLLAFFSQYGEIEEGPIGFDRHTGKPKGYALFVYKTVDGALRALEEPNKNFEGHLLHCQRATDNKSKAASLQNAATPPSVASSTGALNGSGYAGTTSDMGLAQQAAMLGQGFMGMAGAQAYAPGMQPNAALLTLLAAAGQNPAAFGVTPAMLASLNPAFAAAFGVPGSQQAVPSSVIPQAAQVPNYGMGSVAYQGPPGFQGSTGFQGASGFQGSPGLQGPPGFQGTQPVSQQGGGGTSYQGVAAVQGPMSRPPTGPMGGY >Ma04_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26604972:26607281:-1 gene:Ma04_g24630 transcript:Ma04_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEG >Ma05_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9269090:9271659:-1 gene:Ma05_g12830 transcript:Ma05_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSLKLNDNNLSGTIPFELGNLVDLQDTFDNSQNSLTGEIYHLNMANWLCCKIHLPKMGLAGSLDALDFLTLRSLLRLNLSYNQLGGAIPPAISALSRLVSLDLTSNHQNQLIGSFPRSVAKLSKLRYLGVFDNQLSGPLSTEIINITYLVLSNNSFSGYLPPDICKGGALQYLILYMNNFEGPIPTTLKNCTTLKRVRLEHNQLTGDVSQCLGVYPHLYYMDLSFNHLSGTLSPDWVRWHNLTRLRISNNNITGVIPTEFGQLTKLQDLDLSSNYLQGEIPKSFGSLTLLYNLSLGNNQLVGHVPPEFGMLSNLELLDLSSNNLAGRIPDQLGKCTKLRSLKLNNNNFSGTIPLAIGNLVYLQVTFDISQNSLTGEIPFQLSKSLVYRRCILQ >Ma07_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6284041:6289065:-1 gene:Ma07_g08460 transcript:Ma07_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFGGGGDDGIGKNGGPSDGRPPNPFAGAYRQFLGGAHGESPCKSALGRHDSLAKTKLLDVTIEVEKSSEGCETDFLPILRSGAWTDIGFRHTMEDAYVCSDNFILDYGLQNYGEGPSAFYGVFDGHGGRHAADFACNNLPRFLLEDEDFPKDIERAVASAFLQTDTAFAEVCSVNSSLASGTTALAALVIGRSLVVGNAGDCRAVLCRRGKAIEMSHDHKPACSKERKRIEALGGHVYDGYLNGLLNVARAIGDWHINGMKDHDGLGPLSAEPEVMKMRLTEDDEFLILGCDGIWDVFLSQNAVDFARRKLQEHNDPAACCKELVDEALKRKSSDNLAVVLVCFQSKPPPVLTAPRPRVQRSISAEGLRELQSFLDSLAD >Ma04_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2280800:2283089:1 gene:Ma04_g02750 transcript:Ma04_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCSSCGNDRMFDRNLASSVALVGVGKRLKANSIKACPSCGHRIELVESEKPPSAIQDLPGLPAGVKFDPTDQELLEHLEGKAMPESEKFHPLIDEFIPTIEGESGICYTHPEKLPGVRRDGLVRHFFHRPSKAYTTGTRKRRKVHTDEHGGETRWHKTGKTRPVFVGGSLKGYKKILVLYTNYGNQRKPEKTNWVMHQYHLGSDEEEKEGELVVSKVFYQTQPRQCGLGAKDAVQTRLNGYGGGGNAILKDGSISNYCSSSLISYDQTRNSQAKFAMQATGASFLP >Ma06_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10337319:10341830:-1 gene:Ma06_g15190 transcript:Ma06_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8A [Source:Projected from Arabidopsis thaliana (AT1G18550) UniProtKB/Swiss-Prot;Acc:F4ICA0] MPVSTRSQISIGRNEPASADHDREGQRARARLLRDPHHGLREKMRALTLLYEQHRSQLASRTGSADPRVLGTHHPSVELADSSRRRRQQEQEKQGEEDERDPIQKENANRGLFPMADATKENQEVWDQNRMAVNSAACPKKAVATAPGVGRAATTGKLSLRELISDGAQSDGWVGRSKVGGDQLGAVAAENREAPGSRILVFVRLRPMAKKEKDAGSRCCVKIVNRKDVYLTEFASETDYLRLKRVRGRHFCFDSSFPDSTPQQEVYATTTADLVEGVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFSKIRQRSYDGDHSVQLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYTTDEVMTLLQQGNQNRTTEPTRANETSSRSHAILQVVAEYKCNDSGTIVRRIGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSSCINALVEGKKHIPFRNSKLTQLLKDSLGGQCNTVMIANISPSNLSFGETQNTLHWADRAKEIRTKGCAANEESSIQVPDSEVDQAKLILELQKENSELRQQLVRHQQKMLTIQAQSLAASPAPSIAPPSSVLSTPCSTQRKVKRSILSANCFSTPESKNRANDDTVVQGLKKTVKALKDEIEKLKKEHVLQLKQKDDFIRDLITKYGLKPSEGQREKRVGTRSSLRRGEKSAAETGELKSPNHRFTSPVPTAKKRSFWDITTGNSPSVVALNGRKTRSHVAAEAHATPSMLLQPGFARHHLKN >Ma01_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1288957:1289769:1 gene:Ma01_g01910 transcript:Ma01_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNASKLLLQLPVSDRPEAALTISYEAQARLQDPVYGCVSHIFALQQQILTLEAQLASLKAQTARGFGNEDEFSEKLPRHRQGDSFLRPLGSDAATNPQLMPYPDDAVLSSQQCHHHHSSYVTDDGSSCAMANSLDVQDNAWRPACHDMEELLQSVAFGHLNCA >Ma02_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20733710:20736017:-1 gene:Ma02_g11660 transcript:Ma02_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLSISPFLSPSPLSHSKNLFFDSAASVRYLSFSAAQQNLGFTASLHSGLLKSGFHSTLFVANSLLDAYSKCGRMDSALKLFDRMPLRDVVSWTAVISGHCHTGAAAAAILVFLNMLTEGTAPPPNEFTVSAVLRACGMLRDEKMGRMVHGHLVAAGFSHDAFVSNSLIDMYGKVGSIVDAEKLVSRLSCRDVVSWSAIISGSVLHGMFDKALILFTRMLEDGILPNTATMLSITQACSLMGEPSLFACVHAWLVKLELHDCVPVVKSLVMMYAKNGFLDEAIEAFLQFDFPECHDPDLIAALIHGCALSGSLEHGKVIHGCSIKMGFFPCTIVENSLLDLYAKHRYVDSAHLIFKRMGNRDIVSWNSMISCFAKNDRVEEALQHLGQVHDASGGELKLDFVTVLSSVQACSTISSLERGQILHGFVIKAGFDSDSFVCNALIDMYGKSGRVGLAEQLFQEMEDTRDVGSWNSLIAAYGIHGDGDSALRVFEELRSGGRRNPNAVSFVNVISACGHSGLTMEGYECFKIMQRDYGFEPAMEHYAAMVDLLGRSGKLGEAEEFIRAMPIKPGPSIWGSLLGACRLHGSVEIAERAAAELSVMEPDCGVWRVTLSNVYASAGLWEEAAEVRAEMRRKGSRKEAGWSYVESRGMDKFKFVVGDTRHPETDTIYEVWRSINEHLADAFVESF >Ma08_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1925336:1926685:1 gene:Ma08_g02500 transcript:Ma08_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLRPHIVLLVFLTVLASISSCMAEIKNLKISSDFRAIILFEKFGFTRRGEVAVAVSDVSISSTLGAPDHSLLGFFLPSDESLIQAAYDSQHGRSSYSDTKPSPNTGCVLHSSYSPVPSLYTFFAAAFFAVWIHLALFKNRLAAHRLHHLMAGLLFTKALNLIFAAEDQHYIRQTGTPHGWDVLFYLFQFLKGVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQVVANIASVVIGETGPFIRDWTSKTDGKAARNVAKLTLFRQFYTVVIGYLYFTRVVVYALKTITSYKYRWVSVAAEETASLAFYIFMFYMFRPVEKNHYFALDDEEEEAAEMVLRDEEFEL >Ma05_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9944771:9948919:1 gene:Ma05_g13700 transcript:Ma05_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPSKKSADAAAAAATATQARSSATGLGGGGGGAGMRLIVPLQGVVQGRGGLVLGTLIPCALFYFLQFYLRRNRSPPPTSPQPPAANGPDLPGIVRSSSRNFLSARGSSGHAAVSSRAASIARSGDSPYFVGTKKCSEDPYHPVDNPDGVIQLGLAENRLSLDLIGDWLARNVTDTLLDERQGGLSISGLATYQPFDGLVELKMAVAEFMGQVMQGSVSFDPSQIIMTAGATPAIEILSFCLADAGNAFLVPSPYYPGYDRNIRWRAGIELIPVPCRSTDNFGLSIPALERAYNQAKMRGVKVRAVLFSNPSNPVGNLLHRETLRDLLDFVTEKGIHVIADEVFAGSTHGTEDFVSMAEVLNTDEFDRSRVHIVYGLSKDLSVPGFRIGLIYSFNEHVIAAASKLARFSSVSVPTQHLLISMLNDTKFITQYIKTNRERLRVMYALLVNGLKQLGVESVKSSGGFYCWTDMSKFMKSYSEKGELELWKEMLNVAKIHLTPGTACHCIEPGWFRLCFTTLTEKDVPVVLERIKRVVDNH >Ma11_p12600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16490097:16493207:1 gene:Ma11_g12600 transcript:Ma11_t12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLAKSCFFTILLGLHTVCSSGTLVGFSYDAREESTAPWSTKGALFKILVANKGDYISMHLCVNQFEAKKLLKLKVTASSWLKTHILGALPKLNIDSIMVSISGHHSLLSTLASINASRKTFGVESSMRISVMFSLSNLKTLHRTHPKTLHRLMHILKNLESPIVVEALVDGEQSSGEDFVQSTIERAFSVCTDLASLDVPIILIVRSSVTPSEVEIGKFFDTVMKIFKNDSVRRRIVGLFICISHLRQHGQKTLDWQEQLFPPLHRELLNHGRELVTAPKVTLHDTLNPITNPFTSPITIPSTNPTPAVVTVPSTNPITVFPTNPTMSPVTIPPMNPVSTPITVPATNPFLTPATTPVVPVTNPTTTPVTYPMNPPMTNPVSTYPFTPPVSTPSITPPVTVPSTVPITPAVTGQTWCVAKTGTTDAALQLALDYACGIGGADCTAIQSTGSCFNPDSLQAHASYAFNSYYQKNPVGTSCDFGGAAMLVTVNPSSATCIYPSSSSSSSSSSSSIPSYNPASSSSGSTPGSGSGSSVLNANNPAGSNSVFGSNNPTGTVSNALCLTVTWTFLLVLLTVTCISHTIV >Ma03_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1939934:1941236:1 gene:Ma03_g02870 transcript:Ma03_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRTKRSLLPRNHLFLLPAMKDLASCFGEHAVKVSDTSCSGSSSSGNSSVIDNATSVLSAVTCVYRTRLSAQQELLIRVTWSKGHVSPTLSVGVDDDPSNPMVHELPLRKKKGSRTCIAGDFVVAVHWDISSAKYGSGPEPSDGFYIVMVVNSELALLLGDMSKDYMRMSEETLPVAEFSMISRREQVIGHAIHSTRARFRDDGSDHEITIKCKGDGWDSRDSELSVSVDKKKVVHVRSLRWNFRGNHTIFVDGSPVDMMWDVHDWWFSSSSGSAVFMFRARSTLDSRLWLEEMLHKEQGTSRFSLLIQAFKG >Ma06_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12305890:12315294:1 gene:Ma06_g18160 transcript:Ma06_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G15450) UniProtKB/Swiss-Prot;Acc:Q9LF37] MAAVFCAPHHHLPVLPLPSRKSGIRSFSGSLALPSPSKGRASVRPLRVLNWSETLGRSDLFVSKSAGRVGNRSRSVVVRCEANKDGRITQQEFTEMSWQAIVSAPEIAKESKHQIVETEHLMKSLLEQKNGLARRIFSKAGVDNTKLLEATDRFIQRQPKVLGETAGSMLGRDIETLIQRAREYKKEYGDSFVSVEHIVLSYADDRRFGRQLFKDFQISINTLKSAVQAIRGRQNVIDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLREVTDSEGQIVLFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTVSILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASKDRLNRLEAELSLLKEKQAELTEQWEHEKSVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLQQAEKELTEYQNSGKSMLREEVTGNDIAEIVSKWTGIPVSKLQQSEREKLLHLEEELHKRVVGQDPAVRAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKTLASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNMNGETESRDSTYESIKQRVMDAARSLFRPEFMNRVDEYIVFQPLDREQINSIVKLQLERVQKRVADRKIKIEVTDAAVEFLGNLGYDPNYGARPVKRVIQQNVENELAKGILRGDFKDEDTVLVDTEVTVFSNGQRPQQKLVFRKLLDADSSDKPSSEDQKAFLPSR >Ma06_p02980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2218939:2228911:-1 gene:Ma06_g02980 transcript:Ma06_t02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G31440) UniProtKB/Swiss-Prot;Acc:Q9C865] MEAIRKQASKLREQVAKQQQAVFKQISVRFSHDSSLVDEAELQCQQKLQMLYASTKAAKHLQRDIVRGVEAFIAISSKQMEIVNKLAEDCCKYGTKYQDFGFPLASGALNFGTSHSMMEKERANLLTILGDQVYEPIRAMIIGAPLEDARFLTYRYDRIRQDVEAQTAEVLRRQLKSKEVVETTDVSAKLQHAESKLQELRTTLSALGREATAAMMAVEDQQQQNTFNHLLAMVDAERAYYQSVANILDKLHDEMVQMKDNHGSVRQAATIETIQNDDSKTSQSHYVSVTQAATTEIMQSQTGNEDSNMSPSHQESVTQAATTETVQRQTGNEDSKRSQSHHVSVTQTATTETMQSQTGNEDVKTSRYHHESVTQTATTEMQSQKDDNDSKASRSGDFQVNGQNPMYFVAQVVHSFDAQADGELSLSVGDYVVVRQVAPNGWSEGECKEKAGWFPSAYVERRDKAPASKVIKTH >Ma06_p02980.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2218939:2228885:-1 gene:Ma06_g02980 transcript:Ma06_t02980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G31440) UniProtKB/Swiss-Prot;Acc:Q9C865] MLYASTKAAKHLQRDIVRGVEAFIAISSKQMEIVNKLAEDCCKYGTKYQDFGFPLASGALNFGTSHSMMEKERANLLTILGDQVYEPIRAMIIGAPLEDARFLTYRYDRIRQDVEAQTAEVLRRQLKSKEVVETTDVSAKLQHAESKLQELRTTLSALGREATAAMMAVEDQQQQNTFNHLLAMVDAERAYYQSVANILDKLHDEMVQMKDNHGSVRQAATIETIQNDDSKTSQSHYVSVTQAATTEIMQSQTGNEDSNMSPSHQESVTQAATTETVQRQTGNEDSKRSQSHHVSVTQTATTETMQSQTGNEDVKTSRYHHESVTQTATTEMQSQKDDNDSKASRSGDFQVNGQNPMYFVAQVVHSFDAQADGELSLSVGDYVVVRQVAPNGWSEGECKEKAGWFPSAYVERRDKAPASKVIKTH >Ma06_p02980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2218939:2228944:-1 gene:Ma06_g02980 transcript:Ma06_t02980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G31440) UniProtKB/Swiss-Prot;Acc:Q9C865] MEAIRKQASKLREQVAKQQQAVFKQISVRFSHDSSLVDEAELQCQQKLQMLYASTKAAKHLQRDIVRGVEAFIAISSKQMEIVNKLAEDCCKYGTKYQDFGFPLASGALNFGTSHSMMEKERANLLTILGDQVYEPIRAMIIGAPLEDARFLTYRYDRIRQDVEAQTAEVLRRQLKSKEVVETTDVSAKLQHAESKLQELRTTLSALGREATAAMMAVEDQQQQNTFNHLLAMVDAERAYYQSVANILDKLHDEMVQMKDNHGSVRQAATIETIQNDDSKTSQSHYVSVTQAATTEIMQSQTGNEDSNMSPSHQESVTQAATTETVQRQTGNEDSKRSQSHHVSVTQTATTETMQSQTGNEDVKTSRYHHESVTQTATTEMQSQKDDNDSKASRSGDFQVNGQNPMYFVAQVVHSFDAQADGELSLSVGDYVVVRQVAPNGWSEGECKEKAGWFPSAYVERRDKAPASKVIKTH >Ma06_p02980.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2218939:2228885:-1 gene:Ma06_g02980 transcript:Ma06_t02980.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G31440) UniProtKB/Swiss-Prot;Acc:Q9C865] MEAIRKQASKLREQVAKQQQAVFKQISVRFSHDSSLVDEAELQCQQKLQMLYASTKAAKHLQRDIVRGVEAFIAISSKQMEIVNKLAEDCCKYGTKYQDFGFPLASGALNFGTSHSMMEKERANLLTILGDQVYEPIRAMIIGAPLEDARFLTYRYDRIRQDVEAQTAEVLRRQLKSKEVVETTDVSAKLQHAESKLQELRTTLSALGREATAAMMAVEDQQQQNTFNHLLAMVDAERAYYQSVANILDKLHDEMVQMKDNHGSVRQAATIETIQNDDSKTSQSHYVSVTQAATTEIMQSQTGNEDSNMSPSHQESVTQAATTETVQRQTGNEDSKRSQSHHVSVTQTATTETMQSQTGNEDVKTSRYHHESVTQTATTEMQSQKDDNDSKASRSGDFQVNGQNPMYFVAQVVHSFDAQADGELSLSVGDYVVVRQVAPNGWSEGECKEKAGWFPSAYVERRDKAPASKVIKTH >Ma06_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2218939:2228243:-1 gene:Ma06_g02980 transcript:Ma06_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G31440) UniProtKB/Swiss-Prot;Acc:Q9C865] MLYASTKAAKHLQRDIVRGVEAFIAISSKQMEIVNKLAEDCCKYGTKYQDFGFPLASGALNFGTSHSMMEKERANLLTILGDQVYEPIRAMIIGAPLEDARFLTYRYDRIRQDVEAQTAEVLRRQLKSKEVVETTDVSAKLQHAESKLQELRTTLSALGREATAAMMAVEDQQQQNTFNHLLAMVDAERAYYQSVANILDKLHDEMVQMKDNHGSVRQAATIETIQNDDSKTSQSHYVSVTQAATTEIMQSQTGNEDSNMSPSHQESVTQAATTETVQRQTGNEDSKRSQSHHVSVTQTATTETMQSQTGNEDVKTSRYHHESVTQTATTEMQSQKDDNDSKASRSGDFQVNGQNPMYFVAQVVHSFDAQADGELSLSVGDYVVVRQVAPNGWSEGECKEKAGWFPSAYVERRDKAPASKVIKTH >Ma05_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19005428:19006637:-1 gene:Ma05_g16820 transcript:Ma05_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVALLVLLVAAAPAASATDYTVGDLQGWVSGVDYTAWASGKTFNVDETLFFQYNGLHTLAEVGEADYKACSASNSIQTYTDQSTKITLTRPGSRYFICGTPGHCSSGMKLAVTVDGASSSTPAGSPPSTPSGLPASDPTTESSTKSSGGRSRGFHSGNALLLLGLVLAGSTRGSAFNIWTVGLRHLGYFL >Ma01_p17140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12531272:12536566:1 gene:Ma01_g17140 transcript:Ma01_t17140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHWKKEMASFHYASPPPPPPPDVIPPPQTQPSRYCSPSPTWTPSPSSIADPPSSPPLPPPLPTAPPPASEPPSAPLDAFSPPPKALSPPPPESHPPPPPPLSSPPPPVLPLSPSPAVMPPILPIYYQSPPPPPSHVPPLTSLAPPPKVLPPSPFPSISNYTPPLSSPVPHGNLPSLPSATPVKPNTTKSSNPTKNAGSIKRSSHFPRGTISTAESVATFAVVAGLVMLTFVGAAVWLVKKRKKPVEPPRHGGNLAMASTVSSHMSELSRSRSSLNPLVRHGSGVSYGFPYSALEPGLGHAKLWFTLEELSLITNDFSTQNLLGRGGSCCVYKGLLLDGREVAVKQLKVDGAQGEREFKAEVEIISRVHHRHLVSLVGYCISENQRLLVYDYVPNRTLYHHLHGKGRPVMDWTIRLKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDYNFEAQVSDFGLAKSAMDTNTHVTTRVMGTFGYLAPEYVRSGKLTAKSDVYSFGVVLLELVTGRKPVDTSQPLGDESLVEWARPLLIQALENEDLGDLPDPRLDGNYNKDEMFRMIEVAAACTRHSSDMRPRMGQVARALDGLSDLDINNGIQPGHSETFNSSPQSEEIRIFQRMGFASKDYSGDCSRTS >Ma01_p17140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12531272:12536566:1 gene:Ma01_g17140 transcript:Ma01_t17140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHWKKEMASFHYASPPPPPPPDVIPPPQTQPSRYCSPSPTWTPSPSSIADPPSSPPLPPPLPTAPPPASEPPSAPLDAFSPPPKALSPPPPESHPPPPPPLSSPPPPVLPLSPSPAVMPPILPIYYQSPPPPPSHVPPLTSLAPPPKVLPPSPFPSISNYTPPLSSPVPHGNLPSLPSATPVKPNTTKSSNPTKNAGSIKRSSHFPRGTISTAESVATFAVVAGLVMLTFVGAAVWLVKKRKKPVEPPRHGGNLAMASTVSSHMSELSRSRSSLNPLVRHGSGVSYGFPYSALEPGLGHAKLWFTLEELSLITNDFSTQNLLGRGGSCCVYKGLLLDGREVAVKQLKVDGAQGEREFKAEVEIISRVHHRHLVSLVGYCISENQRLLVYDYVPNRTLYHHLHGKGRPVMDWTIRLKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDYNFEAQVSDFGLAKSAMDTNTHVTTRVMGTFGYLAPEYVRSGKLTAKSDVYSFGVVLLELVTGRKPVDTSQPLGDESLVEWARPLLIQALENEDLGDLPDPRLDGNYNKDEMFRMIEVAAACTRHSSDMRPRMGQVARALDGLSDLDINNGIQPGHSETFNSSPQSEEIRIFQRMGFASKDYSGDCSRTS >Ma01_p17140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12531272:12536566:1 gene:Ma01_g17140 transcript:Ma01_t17140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHWKKEMASFHYASPPPPPPPDVIPPPQTQPSRYCSPSPTWTPSPSSIADPPSSPPLPPPLPTAPPPASEPPSAPLDAFSPPPKALSPPPPESHPPPPPPLSSPPPPVLPLSPSPAVMPPILPIYYQSPPPPPSHVPPLTSLAPPPKVLPPSPFPSISNYTPPLSSPVPHGNLPSLPSATPVKPNTTKSSNPTKNAGSIKRSSHFPRGTISTAESVATFAVVAGLVMLTFVGAAVWLVKKRKKPVEPPRHGGNLAMASTVSSHMSELSRSRSSLNPLVRHGSGVSYGFPYSALEPGLGHAKLWFTLEELSLITNDFSTQNLLGRGGSCCVYKGLLLDGREVAVKQLKVDGAQGEREFKAEVEIISRVHHRHLVSLVGYCISENQRLLVYDYVPNRTLYHHLHGKGRPVMDWTIRLKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDYNFEAQVSDFGLAKSAMDTNTHVTTRVMGTFGYLAPEYVRSGKLTAKSDVYSFGVVLLELVTGRKPVDTSQPLGDESLVEWARPLLIQALENEDLGDLPDPRLDGNYNKDEMFRMIEVAAACTRHSSDMRPRMGQVARALDGLSDLDINNGIQPGHSETFNSSPQSEEIRIFQRMGFASKDYSGDCSRTS >Ma01_p17140.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12531272:12536566:1 gene:Ma01_g17140 transcript:Ma01_t17140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHWKKEMASFHYASPPPPPPPDVIPPPQTQPSRYCSPSPTWTPSPSSIADPPSSPPLPPPLPTAPPPASEPPSAPLDAFSPPPKALSPPPPESHPPPPPPLSSPPPPVLPLSPSPAVMPPILPIYYQSPPPPPSHVPPLTSLAPPPKVLPPSPFPSISNYTPPLSSPVPHGNLPSLPSATPVKPNTTKSSNPTKNAGSIKRSSHFPRGTISTAESVATFAVVAGLVMLTFVGAAVWLVKKRKKPVEPPRHGGNLAMASTVSSHMSELSRSRSSLNPLVRHGSGVSYGFPYSALEPGLGHAKLWFTLEELSLITNDFSTQNLLGRGGSCCVYKGLLLDGREVAVKQLKVDGAQGEREFKAEVEIISRVHHRHLVSLVGYCISENQRLLVYDYVPNRTLYHHLHGKGRPVMDWTIRLKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDYNFEAQVSDFGLAKSAMDTNTHVTTRVMGTFGYLAPEYVRSGKLTAKSDVYSFGVVLLELVTGRKPVDTSQPLGDESLVEWARPLLIQALENEDLGDLPDPRLDGNYNKDEMFRMIEVAAACTRHSSDMRPRMGQVARALDGLSDLDINNGIQPGHSETFNSSPQSEEIRIFQRMGFASKDYSGDCSRTS >Ma01_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12531272:12536566:1 gene:Ma01_g17140 transcript:Ma01_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHWKKEMASFHYASPPPPPPPDVIPPPQTQPSRYCSPSPTWTPSPSSIADPPSSPPLPPPLPTAPPPASEPPSAPLDAFSPPPKALSPPPPESHPPPPPPLSSPPPPVLPLSPSPAVMPPILPIYYQSPPPPPSHVPPLTSLAPPPKVLPPSPFPSISNYTPPLSSPVPHGNLPSLPSATPVKPNTTKSSNPTKNAGSIKRSSHFPRGTISTAESVATFAVVAGLVMLTFVGAAVWLVKKRKKPVEPPRHGGNLAMASTVSSHMSELSRSRSSLNPLVRHGSGVSYGFPYSALEPGLGHAKLWFTLEELSLITNDFSTQNLLGRGGSCCVYKGLLLDGREVAVKQLKVDGAQGEREFKAEVEIISRVHHRHLVSLVGYCISENQRLLVYDYVPNRTLYHHLHGKGRPVMDWTIRLKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDYNFEAQVSDFGLAKSAMDTNTHVTTRVMGTFGYLAPEYVRSGKLTAKSDVYSFGVVLLELVTGRKPVDTSQPLGDESLVEWARPLLIQALENEDLGDLPDPRLDGNYNKDEMFRMIEVAAACTRHSSDMRPRMGQVARALDGLSDLDINNGIQPGHSETFNSSPQSEEIRIFQRMGFASKDYSGDCSRTS >Ma01_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10156331:10158182:1 gene:Ma01_g13870 transcript:Ma01_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIQATLPPGFRFCPSDEELVCYYLYKKVANERVLGGTLVEVDLHTREPWELPEVAKLSTNEWYFFSFRDRKYATGLRMNRATKSGYWKATGKDRTVCEPTTLAVVGMRKTLVFYSGRAPNGVKSNWVMHEFRLEAPYSPPKEDWVLCRVFQKNKGKPMGDSLENEHGCLGSSPPPVLDHTMGDECYETMITSFNIVTQESREEDDLNFAAPRGNYVDFPGALDSSMMMGVGSGSRSDEEDDCELLLDMSCLQDHDPVGGCFRFQATSTDQLFF >Ma10_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22339015:22339431:-1 gene:Ma10_g08050 transcript:Ma10_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIDEMSSAYEDFVAAAADVVREREASGGRRTAAMDVALENFRHRWELFRASCDRAEEVIDMARRRITTEYVADAASAAARPAEAGLPPVSVLRLEQALHAVNSLAADLRRGSGGGAVSSPPTSPSGVTPQGDKAD >Ma02_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23853489:23855458:1 gene:Ma02_g16540 transcript:Ma02_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLNSSHSFNGLQGKPGFVPVSLSVEEKGKYEAVLDGTEELDGCEEEEPCGTGLSGLGEKKRRLSVEQVKALEKNFEVENKLDPERKLRLAQDLGLQPRQVAVWFQNRRARWKTKQLERDYGALKARHDALKLDCDALSRDNEALLAEIAELKAKLADSGMDAMESETKAVEEERPPLIHKDGASDSDSSVVFNDETSPHAGTVLHQHFLMGFRSQCPFLPFEDKAQAEKGYLDEELLAGEELCSSLFSEQQAPNFSWYCSDEWD >Ma05_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4110133:4111217:-1 gene:Ma05_g05420 transcript:Ma05_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKQRSWSPDSDREEAWLRRQSIHRGRRGRLALARARSVTDEDLDELRGCIDLGFGFDADFPLADARRLSDTLPALDLYYTVHRGSGSPPSESSSSDGSAASPDLGSPVSFFSPGDSPEERKARLKQWAQVVACSLRQRC >Ma05_p28850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39696188:39699404:-1 gene:Ma05_g28850 transcript:Ma05_t28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCCCFKSYNVRDEPQEEKAEKGGSKLSSQVNNLSTESDTLKLRSVAEVILRIGQGNNSARVFAFDELSTATKNFKVECLLGEGGFGKVYKGYLVDTNQDIAVKQLQRNGSQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRILVYEYMPLGSLEDHLLHLSPSKKPLDWTTRMRIAEGAAKGLEYLHDTANPPVIYRDLKASNILLDKDYNPKLSDFGLAKVGPIGDKSHVSTRVMGTYGYCAPEYALTGQLTKMSDVYSFGVVLLEIITGRRAIDASRPSNEQNLVHWAKPLFKDKKRYVEMADPLLEGKYPLKGLYQAIAVAAMCLQEQASGRPLVSDIVTALEYLATSSNDHFQDSKKEKYTAKEIGEGDKSKSQDERTRNSLRCKEDNIERV >Ma10_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29129495:29131173:-1 gene:Ma10_g17970 transcript:Ma10_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSHHRFSNLLCLFVLLFSNLLTFLLFSSSSSSCPPCPSSTTTTVAACATTAASASSSASSSNADASLPAEFVAFTSAQPLPFGHNPNMDSAEIHPPAGLACPLFPDDLAAFMSYPVNGSCPDDEPLAQRLLLRGCEPLPRRRCRPAAPPDPASPLPHPAALWSLPPDRSIHWSAYSCKSFRCLVDRKRSPSFDDCKDCFDILDGSRERLRWVAPSDNPLDFSIDEVLQAADPVGSVRIGLDIGGGSGTFAVRMRERNVTIVSTTMNLNGPFSSFMAARGVVPLYLSVSQRLPFFDNTLDLVHSMHVLSNWIPTTLLHFILFDIYRVLRPGGLFWLDHFFFVEPQMGEYVPVIDRVGFKKLRWEVGRKLDRGLELREMYISALLQKPLKNSW >Ma06_p28930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30487299:30488165:-1 gene:Ma06_g28930 transcript:Ma06_t28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIQAEKETAMRRYRRLQKIGTLLRYLEAVAGLLLFSWLSARLPAAARLSVDFLRRLAAVLLSPRFVFLLGNAIVLLLFAKSGHLSPSPSSSSATTTSSASSSSSSSSAAVGDLFDEFLESRGLRFSFSLPPPQEEVVVYEDKAVCVETRAFRKSRSQRMERRRGPPPELRRAETVVAGRKRDMFPPTTTEASEEEVQAEDAEEFRRAVEAFIAKQTRFHREERMAIASPPFLICSAALPDH >Ma07_p05850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4244724:4250290:-1 gene:Ma07_g05850 transcript:Ma07_t05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYFTLPFRLLLHLLLLGLFSASNVYIVYMGEKAQDEPAAATELHHATLSTVLGSKQAATSSILYSYKHGFSGFAAVLTESEAARVADLAGVAHVVPNRILDLHTTRSWDFLHLKSNPSGGLLEMSRSGDGSIIGVLDTGIWPESESFSDRDMGEIPSRWRGVCQKGEKFHVSDCNRKIIGARWYIKGYEAEFGKLNTSDILEFLSARDAVGHGTHTSSTAAGAFVGNASFMGIARGIARGGALRARLAIYKVCWATGGCSSADILAAFDDAIHDGVDVLSVSLGQSPPLPTYIEDVLAIGSFHAVARGITVVCSAGNSGPFSQTVINTAPWVITVAASTIDRTFVTFISLGNNVTKAGQALYLGEHVDKFYGIVYAEDIASDNADSTDARGCGAGSLNATLARGKVVLCFQTRDQRSPLVASDTVRRAHGVAVIFAQFLTKDITFAFDFPCVQVDLEIGTSILTYLGSTRKPIVKFSTTKTVLGTVIAPEVAYFSSRGPSSLSPFVLKPDIAAPGVNILASWSPASPPRNMPPLNFKIESGTSMSCPHISAIAALLKSIHPNWSPAAIKSAIVTTASTIDEYSLGVVAEGAPHKQANPYDFGGGHVDPNKAIDPGLVYDMRVSAYVHFLCSVGYNNSAVSSLTQHPTICHDIYQSHKDLNLPSITIPQLKESFTVTRTVTNVGPATSTYTAHVEAPRGVSVRVRPSILAFNSTVQKLKFKVTFGSRLKVQSGYLFGSLTWKDGVHHLVRIPLAIRIVIDEFDIYT >Ma07_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4244724:4250686:-1 gene:Ma07_g05850 transcript:Ma07_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYFTLPFRLLLHLLLLGLFSASNVYIVYMGEKAQDEPAAATELHHATLSTVLGSKQAATSSILYSYKHGFSGFAAVLTESEAARVADLAGVAHVVPNRILDLHTTRSWDFLHLKSNPSGGLLEMSRSGDGSIIGVLDTGIWPESESFSDRDMGEIPSRWRGVCQKGEKFHVSDCNRKIIGARWYIKGYEAEFGKLNTSDILEFLSARDAVGHGTHTSSTAAGAFVGNASFMGIARGIARGGALRARLAIYKVCWATGGCSSADILAAFDDAIHDGVDVLSVSLGQSPPLPTYIEDVLAIGSFHAVARGITVVCSAGNSGPFSQTVINTAPWVITVAASTIDRTFVTFISLGNNVTKAGQALYLGEHVDKFYGIVYAEDIASDNADSTDARGCGAGSLNATLARGKVVLCFQTRDQRSPLVASDTVRRAHGVAVIFAQFLTKDITFAFDFPCVQVDLEIGTSILTYLGSTRKPIVKFSTTKTVLGTVIAPEVAYFSSRGPSSLSPFVLKPDIAAPGVNILASWSPASPPRNMPPLNFKIESGTSMSCPHISAIAALLKSIHPNWSPAAIKSAIVTTASTIDEYSLGVVAEGAPHKQANPYDFGGGHVDPNKAIDPGLVYDMRVSAYVHFLCSVGYNNSAVSSLTQHPTICHDIYQSHKDLNLPSITIPQLKESFTVTRTVTNVGPATSTYTAHVEAPRGVSVRVRPSILAFNSTVQKLKFKVTFGSRLKVQSGYLFGSLTWKDGVHHLVRIPLAIRIVIDEFDIYT >Ma04_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6938223:6951478:1 gene:Ma04_g09770 transcript:Ma04_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MQAQGSAAPRPEAILEWLQKEMGYPSPPPSPDQLRKICRGNMLPVWSFLLQRVRSERTVATVRRNMMVHGVAAGEAGRGRRREEEKGRAAFKDGSSAEAREVAVRERELAEEEADRLRNVVRRQRKELKARMVEVAREESERKRMLDERSNARHKQVILEAYDQQCDEAAKIFAEYQRRIHQYVDQARDIRRLITGSANDVVDDLHAPGEKAVYSAIKGLRSSDDSVLIEMSREKNTRKACETLAAHMTEKIRTTFPAFEGSGISMNSQIDAAKLSLDLDGEIPEDIKVIIRDALKNPPLLLQSITTYALRSSALIHRETEKIEIRAVAESLRYKYENDKVSDAASPDSGSPFPYQAYGNGKTGTELSSNGNYDQLLERQKAHVQQFVATEDALNKAAEAKALSHKLLIRLPGSSDVGALQMLPTGDTSQNVASTRHFELEVLAREREVAGLRASLSTLTSEVQRLNKLCAEWKEAEVSLKKKWKKIEGFDTRRSELETIYTALLRANLEASAFWEQQPLAAREHAARTIIPACTTVVNISNSAKDLIERELSCFYQSLDNTIYMLPATPQALVECFSAPGAIGPEALAVAEKNAAMLTARAGARDPSAIPSICRVSAALQYRSGVENLDAGLASVLESLEFCLKLRGSEASILEDLSKAINLVHTRRNLVENDRILLNHAHRVQRDYERMANYCLKLAGEHEKIVAERWLSELRKAVLDGQRCLDSCQHVRGLVDEWWEQPAATAVDWVTIDGQNIGAWLNLVKQLQMALYDHKLL >Ma05_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6726397:6728757:1 gene:Ma05_g09270 transcript:Ma05_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGPLIWEIVKKNNSFLVKQFGNSTAMVQFSKEPNNLYNVNSYKHSGLANKKSVTIQGGGKDLSVVLATTKTKKQNKPGSLHHRSAMKKEFRKMAKVVINQVTDNYYRPDLTRAALARLSAVHRSLKVAKSGAKKRNRQAVKVGK >Ma05_p09270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6726378:6728757:1 gene:Ma05_g09270 transcript:Ma05_t09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGPLIWEIVKKNNSFLVKQFGNSTAMVQFSKEPNNLYNVNSYKHSGLANKKSVTIQGGGKDLSVVLATTKTKKQNKPGSLHHRSAMKKEFRKMAKVVINQVTDNYYRPDLTRAALARLSAVHRSLKVAKSGAKKRNRQAVKVGK >Ma04_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22514981:22517175:-1 gene:Ma04_g19850 transcript:Ma04_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPEPISDVNSASHLLSQARQLVPTALDKARAAAGFPARWKYIISKLERVSPCLSDFSSHPCFAKNALCKELLLSVTNTLVEAIELAGRCCPAEPLCLGKLQMQSDLDALSGELDLVLNDCALLVKTGVLGDAAMSPILADSQNATPMHDSVHELLARLQIGHAEAKHRAVDRLLEVMMEGEKGVLAAFGRSSIGALIRLLSATSSKTREKTATMICLLTESGSCEKLLVSEGVLPPLIRLAESGSLFCREKSVVSLQRLSMAADTARSIVGHGGVPVLIEICQTGDSICQSAAAGTLKNLSAVPEVRQTLVEEGLIRVMIDLLDSGIVLGSKEHAAECLQHVTASNDSLRTSVVSEGGVRSLLSYLDGPFPQESAVGALRNLMGSVAADSLVSLGVLPRLVHVLKDGSLGAQQAAASIICRLSNSSEMKKVIGEFGCVALLARMLDAKTNKAREAAAQAIAGLMSCPQNSRELKKDEKSVADLVRLLDSNPHNTAKKYAVSCLLSLSSSKRCKKLMNSCGAVGCLKKLADMDVTGAKKLLERLEKGKLKNLFIRR >Ma11_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21434388:21436306:1 gene:Ma11_g15780 transcript:Ma11_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSSELTPLLGGAANSSAAADYICNQFTDAGFAIDTTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGGPSNGFIGKHFFGLKEVPQSNFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPIVSHWFWSGDGWAAAGRNPGESLLFKSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHTGRSVALRGHSATLVVLGTFLLWFGWYGFNPGSFNVIFKTYGPSGSIHGQWSAVGRTAVTTTLAGCTAALTTLFGKRLQTGHWNAVDVCNGLLGGFAAITSGCSVVDPWAAIICGFVSAWVLIGLNKLAATLKFDDPLEAAQLHGGCGAWGIIFTALFAREKYVNEVYQGRPGRPYGLFMGGGGRLLAAHVIQILVILGWVSCTMGPLFFALHKLGLLRISAEDEMAGMDLTRHGGFAYVYHDEDSSAHDGGGGFMLKSAAARVEPRPTPATTNQV >Ma05_p27200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38591766:38608994:-1 gene:Ma05_g27200 transcript:Ma05_t27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPPPPPPQGSLTKNRRMSSKNGAERSAYFARREAARVLRRVLQGDDARRATASIKSLVYSPSVRNKRATFALVCQTLKYLPILKDVLAATSILNRKWKKQEELIYVTAYDILFGQQTAVMGDVENFLILHKDSLQTALARNSARHKVKKIEDMLQKNDVRVTKPRYIRVNTLKVDAESVIRELEKSNFAVSRDDTIPDVLVLPSGIDLHDHPLVLNGSIFLQGKASSMVAIALSPKPGWKVLDACAAPGNKTVHLAALMRGKGKIIACEFNAERVRILEKTIRRSGAPNVDIVNGDFLDINTSDPVFKEVHAILLDPSCSGSGISAERLDYLLPSYKKGDDADACNSERVKKLAAFQRKALLHALSFPAVERVVYSTCSVHQTENEDVIKSVLPLATSLNFELATPFPLWPHRGRPVFEGAEHLLRTDPAEDTEGFFIALFVKKCNSANNKLSRRTVPGKSMPSLSTKFMRGARCKPYPFCKMSAMWLYKHLAKQRTRKSGHLRRMTQKG >Ma05_p27200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38591766:38608994:-1 gene:Ma05_g27200 transcript:Ma05_t27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPPPPPPQGSLTKNRRMSSKNGAERSAYFARREAARVLRRVLQGDDARRATASIKSLVYSPSVRNKRATFALVCQTLKYLPILKDVLAATSILNRKWKKQEELIYVTAYDILFGQQTAVMGDVENFLILHKDSLQTALARNSARHKVKKIEDMLQKNDVRVTKPRYIRVNTLKVDAESVIRELEKSNFAVSRDDTIPDVLVLPSGIDLHDHPLVLNGSIFLQGKASSMVAIALSPKPGWKVLDACAAPGNKTVHLAALMRGKGKIIACEFNAERVRILEKTIRRSGAPNVDIVNGDFLDINTSDPVFKEVHAILLDPSCSGSGISAERLDYLLPSYKKVPAVERVVYSTCSVHQTENEDVIKSVLPLATSLNFELATPFPLWPHRGRPVFEGAEHLLRTDPAEDTEGFFIALFVKKCNSANNKLSRRTVPGKSMPSLSTKFMRGARCKPYPFCKMSAMWLYKHLAKQRTRKSGHLRRMTQKG >Ma02_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18369706:18373711:1 gene:Ma02_g07870 transcript:Ma02_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCSFHHHFQTLPTWLLLAAAVGVLALLRLSFATLSWAFVTFLRPGKDLRRYGSWAVVTGSTDGIGRAFALQLARRGLNLVLVGRSPDKLRDVADAVRAARDPPIRVETVVVDLAGDLADGVARLRTAIQGLDVGILVNNAGVSYPYARFFHEVDEELMGNLIKVNAEGVTRMTQAVLPGMLERKRGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKGKGIDVQCQVPLYVATKMASIRKSSFFVPSADTYARAALRWIGYEPRCTPYWPHSLIWCLLSVIPESAIDQWRLGFCINVRKRGQLKDAKKKEN >Ma07_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31663164:31664789:-1 gene:Ma07_g24240 transcript:Ma07_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQIRAKRVTGPLDDEARARLRGDDPGRAASCASSGSDHGGATCLSGLVHDFLETGGNYDTPSAAADDGGGGGSDRDDSVEGVDADEDRDRVAAETVCELMRAVAELDSFRVRLAADVSRATEGLAWLSSSCGASVFRRTVMAQLRAAGYNAGICKARWEASGGLAAGNHEYIDVVAARGNGHGQGRRYIVDLGFAAEFEVARATEAYKGVVAAVPRVAVAGEEAVRQVVRAVADAARRSLRAQGLHVPPWRKSRYMLAKWLGPYRRTTNPLPASLVAAATAAATVPEGDIKCRAVGFPATALPATPAARTR >Ma11_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7276760:7279378:1 gene:Ma11_g09110 transcript:Ma11_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPERYGASTATIAGTPFFSLFFLLSLFSSACARSGGGSASAPVRFSPSDSYLLNCGAPKSTQLDDGRVFRSDPQSSSFLSTDEDVKVAADNSTANTSVSPLYLTARVFPAESTFSFFISKPGRHWVRFHFYPFPAADYNLMSAAFTVSTDDIVLLHEFSPPAPPSPFLKEYLIPIDRDRVSLVFSPRKGRIAFINAIEVVSAPDNLIVDAATGINPQGQFTGVSKYSLEVMHRVNVGGPVIGSSNDTLSRTWQTDAEFLKVAAAAQNVSVPTRTVKYPDDGSVTPLIAPSAVYTSAREMADSNTVDQNFNLTWQFGADSAFSYLIRMHFCDIVSKSLNELYFNVFLNGLTGVSSLDLSTATAALAVPYYKDFVINGTTIINETITVQVGPTADSGTGSSNAILNGIEVMKMSNSAGSLDGQFAVDGSYHGGSASGSLARRIVSGVGLALGAMAMALVAVMFFRWRRRPADWEKTNSFSSWLLPLHMSHSTFMSSNSSYRGSSRNRYGSHKSKSGYSSFFASGAIGLGKIYSLAEMQEATNNFDEKEVIGVGGFGKVYIGALEGGTKLAIKRGNPSSEQGINEFQTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEYMAKGPLRDHLYGGTCHTPLSWKQRLEVCIGAARGLHYLHTGASEGIIHRDVKTTNILLDDNLVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPALPREQVNLAEWAMQWHRKGQLEKIIDPHLVGTISSASLKKYVEAAEKCLAEHGVDRPSMGDVLWNLEYALQLQEASMGQPSDDPAEKSAANIPLESPARNGDDVYALTDDDSTLMANPLCQGR >Ma10_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33063366:33066517:-1 gene:Ma10_g24480 transcript:Ma10_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTPVRKSHTSTADLLTWSETQPTAADQATPPSRRTLKPAGGITPVMFGAQMSAEEAESLNKRKPCSSSKMREMTGSGIFAAESESDTMESGDAVSNPISKTSVRICQQAVSAISQISFSAEETVSTKKPTSIAEVAKQRELSGTTESELDAKVKKQLSEAKNKELSGHDIFGPPPEVPARPLAARNLELRGHLDFVLPQPRNIHTSVKVTNPAGGPSDIIFSEETITKTTKKIHTQKFQELTGNDIFKEDAPPGSAEKPLSEAKLREMSGSDIFADGKAASRDYFGGVRKPPGGESSIALV >Ma01_p01190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:817279:822829:-1 gene:Ma01_g01190 transcript:Ma01_t01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MTSIIRASSSSPSFFPSKLPSFHSKPVPNPPLFGLRLLMSIVADPSPRGTDDRRLAARCHAVPGLSADEVVAASDEAFGRHSSPSLKRSGSGVAIMWFRNDLRLMDNEALVRAWAASESVLPVYCVDPRNFGATHYFGFPKTGALRAQFLIECLEDLKKNLMKRGLNLLVRFGKPEDILPSIARVFSAHTVYAQKETCSEELLVEKLVLKGLQQVVLPQGGTSNQKSVGPKLVLVWGSTMYHIDDIPFSTKNLPDVYTQFRKAVESKCSIRGCFKLPVSLGPPPNTGLDQIDGWGTIPTLEQLGLKESKHEQGIHFLGGENAALGRISEYFWKKDLLRVYKETRNGMMGPDYSTKFSPWLASGSLSPRYIYEEVRRYEKQRVANDSTYWVLFELIWRDYFKFISMKHGNSIFHLGGPRNVVSKWSQDRSLFESWRDGRTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPEGEYVAYWLPELRQLPKERRNFPGQSYIKQIVPLKFGNTHQSTHASNGRSENTHHSHVRKGRRQNKV >Ma01_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:817279:822829:-1 gene:Ma01_g01190 transcript:Ma01_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MTSIIRASSSSPSFFPSKLPSFHSKPVPNPPLFGLRLLMSIVADPSPRGTDDRRLAARCHAVPGLSADEVVAASDEAFGRHSSPSLKRSGSGVAIMWFRNDLRLMDNEALVRAWAASESVLPVYCVDPRNFGATHYFGFPKTGALRAQFLIECLEDLKKNLMKRGLNLLVRFGKPEDILPSIARVFSAHTKETCSEELLVEKLVLKGLQQVVLPQGGTSNQKSVGPKLVLVWGSTMYHIDDIPFSTKNLPDVYTQFRKAVESKCSIRGCFKLPVSLGPPPNTGLDQIDGWGTIPTLEQLGLKESKHEQGIHFLGGENAALGRISEYFWKKDLLRVYKETRNGMMGPDYSTKFSPWLASGSLSPRYIYEEVRRYEKQRVANDSTYWVLFELIWRDYFKFISMKHGNSIFHLGGPRNVVSKWSQDRSLFESWRDGRTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPEGEYVAYWLPELRQLPKERRNFPGQSYIKQIVPLKFGNTHQSTHASNGRSENTHHSHVRKGRRQNKV >Ma04_p36150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34631136:34633800:-1 gene:Ma04_g36150 transcript:Ma04_t36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGNNSMNAKLVLLGDMGTGKSSLVLRFVKGQFLEFQESTIGAAFFSQTLAVSDATVKLEIWDTAGQERYHSLAPMYYRGAAAAIIVYDICRMESFERAKKWVQELQKQGNTNMVTALAGNKCDLADKREVLTEEAQAYAEENGLFFMETSAKAAINVNDIFYEIAKRLPRAQPIQQPAGMVLADRPAERSQSSACCS >Ma04_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7543373:7545115:1 gene:Ma04_g10620 transcript:Ma04_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVVVTGASGYVGSWLVMKLLQNGYIVRATVRDPSNQKKVKPLLDLPGSAERLSIWRADLDEEGSFAEVIKGCEGVFHVATPMDFESKDPENEIIKPTVNGVLSIMRACKEAGTVKRVVFTSSAGTVNVQEHQQPEYDESSWSNMEFCRRVKMTGWMYFVSKTLAEKAAWEFAKENGIHFISIIPTLVVGPFITTTMPPSMITALSLITGNEAHYSILRQVQLVHLDDLCDTHIFLYEHPNAQGRYICSSHDATIYDLAKMFKERYPQYIIPQKFEGIDEDILRVHFSSKKLMELGYKFQYTMEDMFDEAIRSCCEKKLIPFRTAEGHGSEMVKKKPVNSASERVSEFSEKEVLIA >Ma10_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22428173:22430557:1 gene:Ma10_g08170 transcript:Ma10_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDTFVSRYVNDVAAFVEGEICKVLGVKKEIKALQETLETIRCFLQDAEKKSRSGDPVMELWVRKLKEVMYDADDVIDLCVMEGGKPLEVRASASASGVVSLPFSFVSSCFRCTKYRHEIAGQIEAINDGLKRIAADNSILGNLQPASQQLHPKKPPPPRETSPLEVEEDIVGEQIEEAADDLINRMLENTEQKCRVFGIVGMGGIGKTTLASKIYNDGRIKENFPIQKWLYISKDYTEIKFLRELIRCAGDETKAGSFEGESRAELELKLASLLTENLFLVLDDVWSPNVWTDFLRKPLSKGEGSRTILVTTRIETVLSGMKASYMHHAEKMDDNSGWMLLGKTVFEAGEEDDMRRLEEVGRKIVRKCDGLPLAIKAIAGVLISKDRSTAEWEQVLENDAWSTNRQIDEEVPRALHLSYEDLPSHLKQCFLYCSFFVWEFFHYNDIIRFWVAEGLIVEAGGRLMEDVAEEYYWELVSRNLLQVDPSYINRSMFCIHDHLRALATYLMKEEGFSITVGQRLDIKANMKIRRLSISNMGIKLVLPDHIIEEKCLRTLMIRDSLSSKIIEDNLLKGLSNLRVLDLCDTSVERIPNCIGDLLHLRYLDLDRTKIHEIPESIGCLVNLQTLNISGCKHLYKLPMTITRLYNLRSLRVEDTPLTHVPKGIGKLININNLKGFVVGHDNPTNEVDEAGCGLEELQPLSKLRYLSIYRLERAVTAASALAEKRSLKELILSWMPPEDGEDGDGTDRGEDRRATTWRKEEQIQTGAGKICNELSPPSSLRTLFIEKFPGR >Ma10_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27016886:27017523:1 gene:Ma10_g14670 transcript:Ma10_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSWVGLALVAFVAILLGILLPAAQAQAPAPAPAPTSDGTSIDQGVAYALMLVALTVTYLIHAADASSTWGLF >Ma11_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25956869:25957700:-1 gene:Ma11_g22040 transcript:Ma11_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVIRIVHLNGRVEEYSRQVSAGEILAANPNHIISKPCSQGVTRKILIVSPDSDLKRGHIYFLIPESAFPGPAGKKRKKKRHQKTGGDVAAKESDHDNDRLSAIVSEKKACHRRRRSGRVGVWRPHLESISEV >Ma11_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23789756:23796625:1 gene:Ma11_g18770 transcript:Ma11_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNARIDDLEKRLTGLEKELRGGLEDLNSRMLSRFTDVDRRVEVVLHKLEGLTGRERGIVRRSDDALLKRIRDVIWSYFFSSTWTIGAIVKTEDARSADWREKVYREIQDIKKHYFEELNEIHQKLTLSRQQLQSLLSAKANRSLGHIMNKIDHILKALQYSKSDIQPDLKDMLPVYEKIIILYLTLCKKCIKPLLSYLPQQEQPQGPQLRMLDGCTDNQMNMQSSETSVQPAKNLPCLAACEEATSDDENEERMRSDIVPPKEDTSDNECEKTMRSDIAPLKEATPDNEYKKRMRSDIVLPKKATPDNEHEKKMRSYIVPPKKIRVTFDDIGALDETKKLLHELIILPLQRPELFKGLLEPSRGILLFGPPGTGKTMLAKALAHEAGATFINVSMSAIASKWFGHSESNTRALFTLAAKVAPTIIFIDEVDCILGQKTRERDTIASVTNEFMIHWDGLLTKSEDRILVLAATNRPFNLDEAIIRRFDHRIMVGLPSQEGRESILRTLLSKEKTEQLDFKELATMTVGYSGSDLKNLCVAAAYRPLRELVQREQPTRLKQSNKQGSVEPTTACRSINMEDLRKAINQVAKSCATKSYLVEQLEEWNKSFGDGGSRKQQQLSYYI >Ma09_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8679615:8680923:-1 gene:Ma09_g12870 transcript:Ma09_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILPKNETVQIREVWSNNLEQEFALIREIVDDFPFVAMDTEFPGIVCRPLGNFRTSSDFNYATLKANVDMLKLIQLGLTLSDEHGSLPTCGTDRGCVWQFNFREFDIQRDVFASDSIELLRQSGIDFKKNNEKGIDAQRFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPETQAGFFNLIKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTSCAFRKLKESFFNGSTEKYAGVLYGLGVENGQTAH >Ma07_p14870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11168087:11170539:1 gene:Ma07_g14870 transcript:Ma07_t14870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMGGKSSGDIEEGTHHSHQTQSDEDSLCFSDAEAHSWQSPYGSNGGGSTYDEGRVSAASCLEIDGSREHGRKSCVSESSLDDDDDDDTEMGASEVKVNIDKVERDCRICHLSLEKAASESGVTIVLGCSCKGDLAAAHKQCAETWFKIKGNKGFLLAIPSCTYCDFWWTPSSFSITFLKDIQIEKNMKRIHPMLEF >Ma07_p14870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11168227:11171060:1 gene:Ma07_g14870 transcript:Ma07_t14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMGGKSSGDIEEGTHHSHQTQSDEDSLCFSDAEAHSWQSPYGSNGGGSTYDEGRVSAASCLEIDGSREHGRKSCVSESSLDDDDDDDTEMGASEVKVNIDKVERDCRICHLSLEKAASESGVTIVLGCSCKGDLAAAHKQCAETWFKIKGNKICEICGSTALNVVGVSDTEPIEQWSEANTSQAPPAMPPSETRSFWQGHRLLKFLVACLVLAFVVSWLFHFNAPG >Ma07_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11168087:11171060:1 gene:Ma07_g14870 transcript:Ma07_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMGGKSSGDIEEGTHHSHQTQSDEDSLCFSDAEAHSWQSPYGSNGGGSTYDEGRVSAASCLEIDGSREHGRKSCVSESSLDDDDDDDTEMGASEVKVNIDKVERDCRICHLSLEKAASESGVTIVLGCSCKGDLAAAHKQCAETWFKIKGNKICEICGSTALNVVGVSDTEPIEQWSEANTSQAPPAMPPSETRSFWQGHRLLKFLVACLVLAFVVSWLFHFNAPG >Ma09_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7489524:7492872:-1 gene:Ma09_g11060 transcript:Ma09_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAVLVGCNYPGTKAELRGCINDVKRMRRCLVKRFGFADDDIAVLIDTDDAYPRPTGANIRRALTRLVSSAEPGDFLFVHYSGHGTRLPAETGDDDDTGYDECIVPCDMNLITDDDFRDFVNKVPKGCRITIVSDSCHSGGLIDESKEQIGESTKHQDDSSDSGFGFGSFLKQTISDAIDSRGIHLPHERHHHHDEAGSNANRADGAQSYIKSRSLPLSTLIEILKQQTGKQDIDVGKIRPTLFDVFGEDASPKVKKFMNFLMNKLQHGESGGGGGFMGMVGSLAQEFLKHKLEENDEEYVKPASGTEVRSKQEVYAGAKWGCLPDNGILISGCQTDQTSADATPPGSPDGAYGALSNAIQTILEEMDGEVSNQQLVLRARRMLVKQGFTQRPGLYCSDHHVAAPFIC >Ma01_p12970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9485877:9499516:-1 gene:Ma01_g12970 transcript:Ma01_t12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVQSSLRLLMYSNAIFLCERLCAEFPSELNLQLLATCYLLNNQAYCAYQILKGTKMPQSRYLFAVSCFRMNLLHEAEAALCPINEPNAEVPNGAAGHYLLGLIYRFTGRRAYAIDHFTQALAIDPLLWAAYEELCALGAVEESNEYFNDVSAQRIQQHLSDSSSHNSSTGSEYCSLPPSAAVNSVDSIPRQSKQFLANSTREISTLQQGVVFAKVQTTNSGTSNLSQFNTPSPAATQLSGVAPPPLCRNAQVFLNAASSDGSMKSNLNLAVQAPRRKFMDEGKLRKVSGRLFSDSGPRRSTRLSAEASIIANSNAPQVGGNGSNHSAKFLGGLSSSSSAKANLASSRSLTFKKGQSWIAESFEEGRRPEIFDDSRTENMATTSSSISMSADGRCLEQGKATGDLAHDSRLMSGTQELLGLLRIFGEGYRLSCLYRCQEALEVYLQLSQKQFNTGWVLSQVGRAHFELVDYFEADHFFELARRVSPCTLEGMDTYSTVLYHLKEEMKLSYLAQELISVDRLSPQAWCALGNCYSLQKDHETALKNFQRAVHLDPRFAYAHTLCGHEYVTLEDFENGIKCYQSALQADDRHYNSWYGLGVVYLRQEKFEFAEHHLRRAYNINPRSSVLMCYLGMALHSLKRNQEALEIMEQAISADKQNPLPVYQKANILVSLERYDEALKELDQLSESAPHESSIYALMGKIYKRLEMHEKAMFYFGLALDLKPPAADVATIKAAMEKLYLPDEMDDNL >Ma09_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19152370:19160287:1 gene:Ma09_g18820 transcript:Ma09_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELLDHALRLRHNRIDRLSFWPLPACLPRSVSSFMASSSSEAGFDEAINGSLFDGMVLFVPSSVDISLPQVGASLPPPPDAAATSQPQSKPLPVDEDLFSDLTLQNLPSPTPSPLDPPTPSPLPTPTPTPSPPSSHLQPPAFPSRQSSRKKKRAVRIGYARETAGPAAALSEDVHHLSDPLHEPPTFSYDLGVGSAPHPDTAISVVENDPTPGPPPSKSLEQLREVTDYGSSCEAVVKEDKGHQPPMEEGEDEYICSEESAAREGAFDSAEEGLQVVRAQISKNLESIQQRAASAYAERKELERRRRTAVETVNSASAKHKDLERELELACEAEDFERAERVSQNLMTMEEEKNKLLLSLREAEADCEVAESKMLEVLELQIAAEEEGVFLLQQFSKDAANSAESVLKNAEKESCEELEEWQSSVELLEVKKLEMDIESLLVSEACSGLENAIEDLVKNDREEKEMLTRKGVVLAKELDELRELVILKETEIADNKCQIQDVEKRISNVVFKGIVKKKKEIDENISLSEEKRKKLMELSEISSAEAKTCKDLVGLKKHLSSLVLKSREDRVKFSKTEEKILEDIQILREEILAARTALQDLSSGRASIQQEVALYKQRIDFIEKRGPELEAEKKVAAAARNFKEAGRVATEAKALHNAKEDLQHKKEKSILHLEKLEEEIKSNVDKIQENEGLILLKEKEAALAGCNRLQLVAAAARAERLAALKMGDLEEGNMLLQEAEAAESEAREIQEVYNFDVEVDGKNFVSVAFITNLNGDHLTEVASLNLPAVAGTQS >Ma07_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5391038:5404031:-1 gene:Ma07_g07350 transcript:Ma07_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated protein phosphatase [Source:Projected from Arabidopsis thaliana (AT5G19280) UniProtKB/TrEMBL;Acc:F4K124] MGMLTMTTATNATNPTTVSSMRSEMAASLAGVFILMFLFLLLLFILVCRPWRFFLSPSTTVSSPRLPASAVKADNLVRPLLSDNVDGNSGQSYDMPEIFLEASRIQINENTTWSKKQGLVSKEQVQSTDFCASQSDSLVLDVSYDASQDIEVGHTLKRSVTSSWPIDDKKHIREDSNFDIRIINEKPRSPVSSFTDKKILRSSLTLEVIAGPSHGLCCSRESASTSVLPLTLGRVSPSDLLLKDSEVSGKHANINWNVNSLKWELVDMGSLNGTYLNSLAIHHPDYGSRNWSEPVQLADGDIITLGTSSKISVKLSQYFEHHIPCGVGMVCDPMSARRGGKVLPMEDISFCQCPLPGVEQFGIFGICDGHGGAGAAKAASQMLPDNVASILSRPERRSNVLSLCDASDILRDAYARTEADMSHEYEGCTATLLLIWFDNNRKLFAQCANLGDSACVMNVNGKLIPMTEDHRVTSTTERARFAKLGKPLKESETRLCGLNISRMLGDKFLKEQDDHFSSEPYISQVVAIENSCTAFALIASDGLWDVISMKKTVQLVLQMKQKSNNDDQNSADMIANNVLSEARTLRTKDNTSIIFLDFDALRTDSCITKA >Ma10_p30610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36905441:36907844:1 gene:Ma10_g30610 transcript:Ma10_t30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLVQKRLFCLFPCNNSSIYLSSYQLCGLFNFSTATYESSEHSSNLIVVNPLQSCELSSEKAAKTAKYHTCRKNSSSLSIEFFKQNGWSDAQVMKVTQKLPKLLRANVETTLKPRMRSLQDMGFSVTEIVQLVSKCPTILFQNVQPKLNFLKSLLGSNERLLKACSRNRFLLTSSLARTIKPNISLLRECGISDEHIARMVVMIPGFVIRKNKFIKEVIEHVEELGVPHDSGMFPYALLAVLNISRSNCDATFATLKSFGWSEPDIFAILRNNPFVWKLSKKNISDKMTFLIKEAGCEMQDIIRQPGILSRSLEKRMRPRYEVMNFLEHNKLLDKGHSLMSVMLLTEGKFINKYLFPYKEKFTALYSSYVAAVQGKHHVVPEN >Ma04_p31850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32068128:32073025:-1 gene:Ma04_g31850 transcript:Ma04_t31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANPPPSAPQPPSVPAPEAAAAAEAPEARSKKRKLNAAEFRESDYYKLRLAVKNLRPLFVEVLRTPDFRSSKVAHDLQNQMKTVLELTQKLRGDVTSYEKCKKPSEAVHSLKVENEEPLEKPPENVTLNAHPQAGDFPTAPEKASQPEKATSISDSFQGTTAPEIKEKAKQLDEAFQGTYVIGGSKLGWNFLVYPGSEPVYYGVTKASVLARRAAE >Ma04_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19947301:19956355:-1 gene:Ma04_g18230 transcript:Ma04_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPPTNGGAARDAESLFRSKPIPEIRAVEAATRRDIKAKEEELRQLVGESYRDLIDSADSILLIRSSCESIDSNLAAVDDALRSLSTPVTAPAALALVPNPARARVYGIASRVKYLVDTPENIWGCLDESMLLEASGRYLRAKEVHGLLASDAADGEMLAKFPLLRHQWQIVEGFKVQISQRSRERLTDQGLTVAGYADALAAAATIDDLDPKQVLGLFLDSRRSWIAQRLTDNSLVPDSFSSLLCDAVRIIRSSLGQVGELFLLALNEMPLFYKMVLGSPPGTQLFGAIPHPEEEVRLWKSHREKLEAMMALLEPEFIAQTCSSWLRNCCNEIFGVLVSGKRIIDAIGSGEGLAAAEKLVHETLDGRGGLEESLEQWLKSVFGSEIESPWNQIRGLILKDGKDILEDRLEEAFAKRMKEIVHTEFENLIADINLRNSIHSIVNAKGTRDQDDFQAYLKKPSTGGGIWFSEPIQKKTGLFYALKPTVYENDFRNSLNAYLGPEVSRIRDAVDSKSQSILEDLLCFVESQNSVFRLKELAPFLQEKCYKTISVLLKELEVDVAEFAASLTSNKQDKDSLPHSVLVGRSLFVGRLLFALRNHSSHIPLILGSPRQWIKDMIGAVSASLPSSPLPGQSKVVFNSPISSSLKRPTFDISKSARSQFLDNPRRQTFSAAAALFSLDDNTCPKLDELNKTFRELCIKAHSLWTIWVSNELALILSKDLNRDDTLSASTPLQGWEVTIIKQDQSKEDPLEMTIALPSMPSLYITSFLFQACVEIHKIGGHVLERFTLQIFAWKLLEKVIKIYETLLLAVESGESRVSEKGILQILLDLKFIADILSGGRDFASSNPEQDSSRIVALKPSLRRKQPQVHLDCANAETIIRLINSFSQRLDPIDWATYEPYLWENEKQSYKRFAVLFGFLVQLNRMYTDTIQKLPTKSNTGSNIMRCSTVPRFKYLPISAPALSSRGAHKSALQAADDTTARSPWKAYSNGGQSSKPEFDDSPNFGAAAPLLKSIMTQVGSKFGESTSRWGSMLSDSQVGRLKDRSAAAMSTFGDILPGPAAGLLSSLTSGTAMFDS >Ma09_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33753380:33757130:1 gene:Ma09_g21790 transcript:Ma09_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATQAKQIIEQQVLTVAKAVEDKLDDEIAAIDRLDLDDLEALRERRLQQLKKMAEKRSRWISLGHGEYSEIPEKEFFAAVKASDRVVCHFYRENWPCKVMDKHLSVLAKQHIETRFLKIHAEKSPFLTEKLRIAVLPTLALVKNAKVEDYVVGFDELGGTDDFSTEELEERLARSQVILFDGEASSNPVKSSRTKRSVRQSETADSSDSE >Ma07_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13767728:13768000:-1 gene:Ma07_g16430 transcript:Ma07_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLGEEKGRGEEEKEKKREKRGKTERSERWQQLGLQHLCFLQRKQRRGCV >Ma04_p34480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33662646:33663224:-1 gene:Ma04_g34480 transcript:Ma04_t34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYLIRMWQLQPWDQSRAFQTTTGSGAAHICSAISCALVLQYFPNHQSVIVLGPFYPVGDYDYMQVSDV >Ma07_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1109141:1110488:1 gene:Ma07_g01410 transcript:Ma07_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWKTHVYKRSQSLRQASYLLHRGTMSSTGFHGCLLCILFLSLPLLSLAENYPPPNPRLEKAYVALQAWKHAITADPKNLTHDWCGPHVCNYTGVYCAPALDNPHEFTVAGIDLNHGTLEGTLPEELGLLSDLALFHLNSNKFRGALPSSFKCLKLLYELDVSNNQLEGSFPSVVLELPSLKYLDIRYNRFCGDVPSCVYNLKLDALFINNNDFTFSIPDNIGNSPVSVLVFANNQISGCFPKSIGNMHETLRELIILNTGLRACIPPEIGMLRKLRVLDLSYNHLVGPLPESIGEMKKLEQLDVAHNKLSGKIPCSICDLPRLKNFTYSYNYFCEEPPSCLKIKTHDDRKNCFPFRPDQRPEEQCMAFLSKPRYCDSNGCIAHPPPPPPPPPPPPPPPVHHHY >Ma03_p25120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29245490:29248923:-1 gene:Ma03_g25120 transcript:Ma03_t25120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLEDFGLDPSDGPATESEPVELPSTPSVVYMDVSVVPEHDKSGLASTISSLQAEMWQLRSRQRNLDAKRREALDRILDIKGSIRVFCRVKSADERKVATVPISIEAEKITIRSVGTRKDFVVDRVFSPESTQDDVFREVQPILRSALDGHNVCILAYGQTGTGKTHTMEGISDQPGIVPRTIEELFHQISQDKSASFTLSMSMLEVYMGSLRDLLVHRHSSARSFHWIPKCNLSILSSSDGVVEIEGLTDVPVTDAKQAYRWYARGKHARSTSWTNVNDASSRSHCLTRITILRSNDAVGGGKPVSKLWLVDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVIAALRMRRSHIPYRNSKLTQILSDSLGNNSKVLMILHISLGDDDAAETVCSLSFAKRVRAVEANRELSEETKKRKQQSITELEQHIQEAEEELQRVSKQMEMAEKLIQEKTEILRVAHQLPDEMAPETQAAEKPIRATHAVPVPRFMASTECSRLRQKTAELTGRSRAMSVVDRRPIDLFGSQSLSCSVHDHVMPTKKKRTWPCKRSDPSPHCNTPNESHNIMDSKGSSLPRSKKVVSASNPNLRVTLHRQHRRRMSDLI >Ma03_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29245490:29248923:-1 gene:Ma03_g25120 transcript:Ma03_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLEDFGLDPSDGPATESEPVELPSTPSVVYMDVSVVPEHDKSGLASTISSLQAEMWQLRSRQRNLDAKRREALDRILDIKGSIRVFCRVKSADERKVATVPISIEAEKITIRSVGTRKDFVVDRVFSPESTQDDVFREVQPILRSALDGHNVCILAYGQTGTGKTHTMEGISDQPGIVPRTIEELFHQISQDKSASFTLSMSMLEVYMGSLRDLLVHRHSSARSFHWIPKCSNLSILSSSDGVVEIEGLTDVPVTDAKQAYRWYARGKHARSTSWTNVNDASSRSHCLTRITILRSNDAVGGGKPVSKLWLVDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVIAALRMRRSHIPYRNSKLTQILSDSLGNNSKVLMILHISLGDDDAAETVCSLSFAKRVRAVEANRELSEETKKRKQQSITELEQHIQEAEEELQRVSKQMEMAEKLIQEKTEILRVAHQLPDEMAPETQAAEKPIRATHAVPVPRFMASTECSRLRQKTAELTGRSRAMSVVDRRPIDLFGSQSLSCSVHDHVMPTKKKRTWPCKRSDPSPHCNTPNESHNIMDSKGSSLPRSKKVVSASNPNLRVTLHRQHRRRMSDLI >Ma03_p25120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29245490:29248924:-1 gene:Ma03_g25120 transcript:Ma03_t25120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPSRSRWSCRQHPRLCTWTSASSLSTTNQDWHPRSPASKAVLFPRRELAFVARWTKDSGSASAAEMWQLRSRQRNLDAKRREALDRILDIKGSIRVFCRVKSADERKVATVPISIEAEKITIRSVGTRKDFVVDRVFSPESTQDDVFREVQPILRSALDGHNVCILAYGQTGTGKTHTMEGISDQPGIVPRTIEELFHQISQDKSASFTLSMSMLEVYMGSLRDLLVHRHSSARSFHWIPKCSNLSILSSSDGVVEIEGLTDVPVTDAKQAYRWYARGKHARSTSWTNVNDASSRSHCLTRITILRSNDAVGGGKPVSKLWLVDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVIAALRMRRSHIPYRNSKLTQILSDSLGNNSKVLMILHISLGDDDAAETVCSLSFAKRVRAVEANRELSEETKKRKQQSITELEQHIQEAEEELQRVSKQMEMAEKLIQEKTEILRVAHQLPDEMAPETQAAEKPIRATHAVPVPRFMASTECSRLRQKTAELTGRSRAMSVVDRRPIDLFGSQSLSCSVHDHVMPTKKKRTWPCKRSDPSPHCNTPNESHNIMDSKGSSLPRSKKVVSASNPNLRVTLHRQHRRRMSDLI >Ma09_p30900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40806168:40806345:-1 gene:Ma09_g30900 transcript:Ma09_t30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSILLTLFCFLGIIWMGLSDNRKGVARRFARCS >Ma10_p14860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27162487:27168930:1 gene:Ma10_g14860 transcript:Ma10_t14860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVFLSLPLLRPPSREAVPYGTKTENPFCRTRLYNKSFICRKSIHVHGSFAAVASMLGRNAKKRDIVIPEPDYRIPVVLLGIAGGLVYQDNLLPAATIGLLGFFLLIQATRVRFVFDEEALEVKIGNQLEESGENVFVGGKNRWRYSTFVNWEFWWPQFPILIYFKETQTKPEGQIHFFPVIFNGKQLYDVMVERAGPSKTSGPK >Ma10_p14860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27162487:27168930:1 gene:Ma10_g14860 transcript:Ma10_t14860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVFLSLPLLRPPSREAVPYGTKTENPFCRTRLYNKSFICRKSIHVHGSFAAVASMLGRNAKKRDIVIPEPDYRIPVVLLGIAGGLVYQDNLLPAATIGLLGFFLLIQATRVRFVFDEEALEVKIGNQLEESGENVFVGGKNRWRYSTFVNWEFWWPQFPILIYFKETQTKPEGQIHFFPVIFLYDVMVERAGPSKTSGPK >Ma10_p14860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27162487:27168893:1 gene:Ma10_g14860 transcript:Ma10_t14860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVFLSLPLLRPPSREAVPYGTKTENPFCRTRLYNKSFICRKSIHVHGSFAAVASMLGRNAKKRDIVIPEPDYRIPVVLLGIAGGLVYQDNLLPAATIGLLGFFLLIQEVKIGNQLEESGENVFVGGKNRWRYSTFVNWEFWWPQFPILIYFKETQTKPEGQIHFFPVIFNGKQLYDVMVERAGPSKTSGPK >Ma10_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27162487:27168893:1 gene:Ma10_g14860 transcript:Ma10_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVFLSLPLLRPPSREAVPYGTKTENPFCRTRLYNKSFICRKSIHVHGSFAAVASMLGRNAKKRDIVIPEPDYRIPVVLLGIAGGLVYQDNLLPAATIGLLGFFLLIQATRVRFVFDEEALEVKIGNQLEESGENVFVGGKNRWRYSTFVNWEFWWPQFPILIYFKETQTKPEGQIHFFPVIFNGKQLYDVMVERAGPSKTSGPK >Ma06_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4663944:4670292:1 gene:Ma06_g06440 transcript:Ma06_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHENNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPSVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >Ma09_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9196906:9206614:1 gene:Ma09_g13560 transcript:Ma09_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADASGAAAPSPCEGERKTINPELWYACAGPLVTVPPVGSLVVYFPQGHSEQVAASMQKDINAHIPNYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVNSYDKEALQASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPSLDFSMQPPAQELQARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDKKQQLLLGIRRANRQPTNLSSSVLSTDSMHIGILAAAAHAAANHSPFTVFYNPRASPSEFVIPFAKYQKAVYSNQVSLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVVAPFFICPPPFFGKRPRQPGMQDDESSEMENLFKRAMPWLGEEICIKDSQTQSTIMPGLSLVQWMNMQQNPSLGNQTLQTEYLRSLAGPVMQNLGPPDLSRQLGMQAQMLHQHNVQFNASRLPQQGQQVDELAKVSIPLNQVGAFSRPPQQVQDLAMQQKQQLVNQALPLNQTHNNIIQPQVLVQTQVQPQLQQQPIIQNNQLLQTALQQSQQQHPQQLLQPHQNQQQLQQQQQQQHQQLQQQYQQAQSRMPVKLPVQVNQQLSDQQIQLQLLQKLQQEQQQQALFSQPRVQQPQIHQIQEFQRTIPDVQQQLCNSNSLVQQPLIPQQCAKTTSQAVRLPQILQNQSQQKPQQQQIPSSDFPEAVLSTTPVTNLIPASGSSLLAAGGTQSGVTDDIPSCSTSPSANNGSILPHSILNRNGHHNLISTEKTSQSVITMLNPSSFEAATVNPNISKELPKVVHNVKPSIPIPKVQNQGLVAPQTYLSNTAQMDYLDTTSSATSVCLSHTDGSLNQALPLLSFNQPSMLRYAPPESDIPGTDPRNNVLFGVNIDGSMGIPLTADALLVNNIDSGKFQNHIPGDAVANYSTSKDAQQELSSSMVSQSFGVPDVAFNSMDSTINENGLLNRNSWAPAPPPTIQRMRTYTKVYKRGAVGRSMDITRYSGYDELKHDLARMFSIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVSCVRCIKILSPQEVQQMSLDVDLGNNSLPNQACSSSDGGNAWRGQCDQNSGNPSAGSYDHFE >Ma07_p25750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32736623:32745951:1 gene:Ma07_g25750 transcript:Ma07_t25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSPFSSCSLLIAAGATALCCIFALWALRSNAEGVFRRPRRKKLCGCSCSCGVAGSTGGGDCDARGGDGDMAVRDNKVGTAERQAGGSMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQDTVNPSNGWKAYYAATKAIVNVNAEFYNIIRERSLPAMSRFWLNADYVKCIHASGELFTGYSAVIDSWALLFNWGQGGGQGIDFQIRDVRARILGDVAWVTMNAYVDIDSGPFHVTNIYELHDGRWHMVHHHSSVMLH >Ma07_p25750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32736623:32738097:1 gene:Ma07_g25750 transcript:Ma07_t25750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSPFSSCSLLIAAGATALCCIFALWALRSNAEGVFRRPRRKKLCGCSCSCGVAGSTGGGDCDARGGDGDMAVRDNKVGTAERQAGGSMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKVLDFF >Ma06_p34640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34781826:34783933:-1 gene:Ma06_g34640 transcript:Ma06_t34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLPWITREGVGGATDPDAEVVALQPEDLLATGRYICEVCGKGFQRDQNLQLHMRTHNINWELKKSGGTPARRKAYICPVPTCVYNDRSRALSDITGIKKHFNRKHGTKNLICPQCSKPYAVEADLKAHLKNHILRDHQCECGSTFSRKNSYEAHRAFCCKLLEESMKLPSSVAGEPSTRADVDVFGGNFELPDMNEQLVYTNIGFTSPQEAPLRVEATAEQPNAVLNDDRDAPSAGQLNRSVAMLPDDPDFVASLPVCLTRLLKSRYDEVLCMYSSSGTYGGMAQVASPPLAANHSFSNASLDELREENGLNGKSSTFDFFGGSSSSFMDHGAPSTEESQGLGPHLSLSNVCAYGKREQNSVAANSTVYNDFLSLSESLTLSASFFDAGKESYYAGIDEPMLASLVCMNSIHQPHLASTFTESSATALLQKAGVMNATMSMPASTCFPGQAMSTESILNMEQFQIQQEKNFPPGDSSTSHFLTLTPNHQTDPRKSSIHSYQQQENWISDPSRSAFQLYQHDRSTASNKPASSSFFCDAVKSMDSYSKLKDLQWSTQEKAPTDFTDFFTSSTGKKPTEEDGGAGNAVLGFPAAEPIMAPYALPSETAPENRGTETGWNRRVEAVAPPPSSSMNQNGDGVTMDLLGMGGEGAEAGGYSEDQMGFFFGNGGCFW >Ma11_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2486545:2486883:1 gene:Ma11_g03340 transcript:Ma11_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEAELHAQRDQDRKALIDVRNAADTTIYSIEKSLDEYRSKIPAAVASEIGSALADLRKEMTGDDIERIKSKLDAANKAVSRIGQQMAGGSAGSQGGGDQTPEAEYEEVKK >Ma09_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13374857:13375175:1 gene:Ma09_g17790 transcript:Ma09_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRGSSKSFGCLCFGRSRNRDEEVDWEQRYTRRISSSDEDRGRWIGEPDVDKKASDFIARFYASRFMDSEHQAIMV >Ma07_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29159287:29163718:1 gene:Ma07_g21070 transcript:Ma07_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVAKIGAVLSAKTLALERFLSSPSYSSSTRGVGLRVACRSSPSPSTETRISRAQRRMVEAFNPDIPLAEAITPPSSWCTDPSFLALEFDRVFFRGWQAVGYTEQIEQPRDFFTGRLGNVEFIVCRDTNGTLRAFHNVCRHHASLVASGSGQKSCFVCPYHGWTYGLDGTLLKATRITGIKNLKKDEFGLIPLAVATWGPFVLIRFDRHPVPQQNCCTKTVENEWLGSASNILSTNGIDSSLKHVCRREYTVQCNWKVFCDNYLDGGYHVPYAHGDLASGLNLDSYSTLIFEKVSIQRCEGGPTDKDGFDRLGSKALYAFVYPNFMINRYGPWMDTNLVVPVTTTKCQVIFDYFLDVSLVDDKVFIERSLEESERVQLQDIVLCEGVQRGLESPAYCSGRYAPTVEMAMHHFHCRLHECLGNI >Ma09_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10294408:10299482:-1 gene:Ma09_g14990 transcript:Ma09_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDPQQRQQTVFSGADLSSSASIDDEEDENGGSSSNGSDASLPSAPAPRPAAEQARRWRDVFWLCVFVLHLLALGVGLTILGINRFSRADRLNIDRFTNITGRINSSQAAFFEQQQRSGRVELTETYWPFYGVAGGAAVLLAWAWLSLLGSRASQMMKVSIHGLTTYLAVISVLCFWTEHFFWGVAFAVGAALQFLYVMSVLDRFPFTMLVLQKAVKMVWDLPEVMHVAYAFMIIMLCWMALWSFGISGVIASSMDDSGRWWLLVVFSVSLFWTGAVFCNTVHVIVSGMVFLVLMHGSRSAASMPAKPLLKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGFRSKIGSNECLLCCVDFLFHLVETLVRFFNKYAYVEIAVSGKGFNPSARDAWELFQSTGIEALVAYDCSGAVLLMVIVLGGLISGTCTGVWTWFKRSDKVIMVGSTAILMGMILLGLAVVVVESAVTSIYVCYAEDPSLIQRWDAEFFDQMSEALHQRLQYRSARAREVMNHSLDQLSDTPPPI >Ma00_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22509652:22510532:-1 gene:Ma00_g02880 transcript:Ma00_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKATNGFSETLVRKADGEDVYIVRLRDGAEIEVHVQRGNAFRRTFGEDCRILAQLRHKNVAKVIGWCDRRDMQAVVTEGVHVRSVEEWLVVAPPWKQRLKVMMGVMDGICYLDEHWPRVGYDLRTRSALLREDIEPSICKFKVRNPDSESTNVYWLGMFVLEVVANKRPREALEASETGFIDWVRLKCPAQIKKVVDEKMKKLTAASFEQIKQVTAIALECTDVSAEGSPTMKHASRMLRRACVPSSAQAVHGHHHHHHHHHQQA >Ma06_p28000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29806024:29806185:-1 gene:Ma06_g28000 transcript:Ma06_t28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHERAKVAHLLHAFWKVQVAVAKP >Ma08_p16590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19546670:19547110:1 gene:Ma08_g16590 transcript:Ma08_t16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSMEDCGMFAVDCVVKRSKKDDRTNETVLDAEKAKFGAPCCDFRPCDRSSSCLEAEKVLKELMKGEGNSWMEAEMVWEELIAREGLFWFGSFWGIAD >Ma08_p25180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38121238:38122095:-1 gene:Ma08_g25180 transcript:Ma08_t25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLVEGGSYCDTEDAALGADNSLGNRVECAEEPGKAVAQEVASSRCGDDEESVSEAGAAAAEDDGSSRRRHATCPECGKSFPSDKSLFGHLRCHPERDYRGVNPPPEARRKSGASPAAKRPSAKRGRQRKAVTADPEAITAARILLHLADAEHRDARSDDTVEDEPLSSYKQMIKRRKTEQVVLANEPGTSDRGRRYRCSVCSKTFSSHQALGGHRASHNKIRSHHHPEEEEEKEEEQEEAAAATTTSNHKREQQEAEADKGGSHGLLDFDLNEAPRLGEEES >Ma05_p26950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38450859:38452521:-1 gene:Ma05_g26950 transcript:Ma05_t26950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQVSKESSSGAGKTAQNLVTCLYQAQLLGRRRVINVTWSKNLMGQGLSVGIDDLGNQCPPCRVEIKPWLFSKRKGSRTLDMEGSKIDIFWDLSAAKFGPGPEPLEGFYVALVFDHQMVLLLGNLIKEAYQRTNARPPPSSAVLVAKTEHIYGKKLYSTKAQFCDNGQFHDVAIECDTVGLKDPCLEICIDKKRVMQIKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSPTGNAVFMFQTCLSAEKLLSWSTSSQVLREPQLQGLGFSLILHAWKIE >Ma05_p26950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38450859:38452521:-1 gene:Ma05_g26950 transcript:Ma05_t26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQVSKESSSGAGKTAQNLVTCLYQAQLLGRRRVINVTWSKNLMGQGLSVGIDDLGNQCPPCRVEIKPWLFSKRKGSRTLDMEGSKIDIFWDLSAAKFGPGPEPLEGFYVALVFDHQMVLLLGNLIKEAYQRTNARPPPSSAVLVAKTEHIYGKKLYSTKAQFCDNGQFHDVAIECDTVGLKDPCLEICIDKKRVMQIKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSPTGNAVFMFQTCLSAEKLLSWSTSSQVLREPQLQGLGFSLILHAWKIE >Ma05_p26950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38450859:38452520:-1 gene:Ma05_g26950 transcript:Ma05_t26950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQVSKESSSGAGKTAQNLVTCLYQAQLLGRRRVINVTWSKNLMGQGLSVGIDDLGNQCPPCRVEIKPWLFSKRKGSRTLDMEGSKIDIFWDLSAAKFGPGPEPLEGFYVALVFDHQMVLLLGNLIKEAYQRTNARPPPSSAVLVAKTEHIYGKKLYSTKAQFCDNGQFHDVAIECDTVGLKDPCLEICIDKKRVMQIKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSPTGNAVFMFQTCLSAEKLLSWSTSSQVLREPQLQGLGFSLILHAWKIE >Ma04_p37950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35648707:35649565:-1 gene:Ma04_g37950 transcript:Ma04_t37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITECNPNESSKEWSSRALNSSLGISRVHLCTTSSAGAIFGFTYSIRNQMESSIKTLHHLSVMPKYVTRIIFACQMKCNLFKKSTILSPFSSHTCFLFWYV >Ma10_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25935095:25937068:-1 gene:Ma10_g12990 transcript:Ma10_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRNSPNRSSTAAEAVRSRWTPKPEQILILESIFNSGMVNPPKEETVRIRKLLERFGSVGDANVFYWFQNRRSRSRRRQRQLQASGGAVLYEPASSSSSSTSSNNSSGGGSFFPCSSSASSSFSSLVVHDGGGDDLFSISRQMGFMGSGQNPYVCPSLDHQPGTITVFINGIPSEVPRGPIDLKATFGQNVTLVHSSGELLPINEYGILLQSLQMGESYFLVSQST >Ma02_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19432136:19434070:1 gene:Ma02_g09470 transcript:Ma02_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVDMHNTMQVFSSSSSDPLSEALEAIQGAPSPYFPSSSPSESSPFSCYLHQNPIFDSYSGSFSTTIDMAAMSFLSRIQDDYALGRQARIGLNYLPAVQFQHPLSAAAEQQQMAYAGFLGPRPQSMKRSGCSASPPKPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAAMAYDRAAFKLRGDAARLNFPELRRNGAHFGAPLHSSVDAKLQAICESMVSSQKQGKTRPNVAAANEIISSGSEDNKSESSSSLEGDETSSGTTAASQIQYLDFTEAPWDESESFKLHKYPSWEIDWDSILSSDQ >Ma06_p31550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32665317:32666787:-1 gene:Ma06_g31550 transcript:Ma06_t31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLFVFVFWFEVPEMQDVTVLHFYDNVEQVNFVILLVSEGAHKLSYKRSSWSFGEAEGADVFSVQAIC >Ma05_p30730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40999737:41001351:1 gene:Ma05_g30730 transcript:Ma05_t30730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFALGSAMELAARLGIEDLKHKLLCATSELEALRANAKEEIRKSEENIEQLIHHLQVITHERDVARDQLQLVLNKITLPDSPQMRQARGSSSLTESDSLSGAPNHHSYGASPVDSFFDNVGSPELSSMGRPQRQASQSSPNYDRASAVVDGLAMRKPLPRKGKLLQAVLEAGPLLQTLLLAGPLPQWRNPPPLQPFQVPPMAVKLHNASPPNQKPAVNASSNLAYASPPNAFYRDTHVYGLAVSNTTPKRPTTSQCLKGFEEPRMKRQKTQCM >Ma05_p30730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40999589:41001351:1 gene:Ma05_g30730 transcript:Ma05_t30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDHWTLEEVTMDSGFPSVWGFHEGIEDLKHKLLCATSELEALRANAKEEIRKSEENIEQLIHHLQVITHERDVARDQLQLVLNKITLPDSPQMRQARGSSSLTESDSLSGAPNHHSYGASPVDSFFDNVGSPELSSMGRPQRQASQSSPNYDRASAVVDGLAMRKPLPRKGKLLQAVLEAGPLLQTLLLAGPLPQWRNPPPLQPFQVPPMAVKLHNASPPNQKPAVNASSNLAYASPPNAFYRDTHVYGLAVSNTTPKRPTTSQCLKGFEEPRMKRQKTQCM >Ma02_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27430687:27439458:-1 gene:Ma02_g21960 transcript:Ma02_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPREADIPALFIFLVVLPLITYVLLGIWNESAKKKARIGLLAQLAAEEACQAEATTTAHVPLILPSSRSIFHECSRCSAPATTRCSRCKSVRYCSGKCQIIHWRQGHKHECRQWSDNSLNVTASLPLNVTVQHKPLIDNIKSPFLGNGVEESIRCNIHYTMDDPSSMIINTSQNSETGRKPSEKLVLNKLGGANLNDNDSATCVCDEDSSYGFSAQASLTSYRNVIPSVDAPLASGNLTASSGQVHSNQETNSSSNSRNVMFYHDTSADETRRGLEQNGSSVLSSPFHLDQDVITECQNGGNISSAKVDTYGAELSSATEAAHVRYPDEHSAKQSIMYRKPPYTLGHSSFLSQNLAENGSRENHSQGLERKSDEERGTTIPQKNLSSNIHLQDLNKNPSIEGRLTSSKKISKVIKWNLVGLRNDNKKTKVCQVLFPYEDVVKFFQCEEEYIYPRGLFNCGNSCYANAVLQCLTGTKPLRIFLLRRLHSKSCNFDSKWCLICELEQYVSMLREGGGPLSPSRILSNMKNIGCRMGGGDQEDAHEFLRLLVMSMQSVFLQGMGGEKEVDPRLQDTTLIQQIFGGRLKSKVKCLRCHIESERYESIMDLTLEIHGWVESLEDALTQFTAPEDLDGDNMYRCGRCSAYVKARKQLSVHEVPNILTIVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGTVDNPPIYLLYAVVVHLDAQNASFSGHYISYIKDLEGTWFRIDDSQVQAVTLSQVMSEGAYMLFYSRSFPRPPRGYAEKRLLRPPTSIPKSQKTSKHAQQRRNETLFARENSSHQRNGFGKENEHLTEDADEFFPRPTSRNFLPNGRYPDTSGTEFSDATSSDWTFFTSSDDSSFTTEGTRDSFSMTDYGDNTSLDGTISSLFGTFYEQEHVDGNNISWAKFTPSRLQRRFFPESTGCVMDRSMLP >Ma10_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29162234:29172638:1 gene:Ma10_g18050 transcript:Ma10_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVIPFALLHLLSLALLASSQRRLVILREDYADFFSTPSALGTADDSHGSEDSAKWDEFGDLESSLTAAGDYDPGSWLPIIESASSPLSFVNSSSDPREALYYSGVRHMIFASAAFSDPADMEAAAAEIEAAASAGLPHAQSALGFLYGTGLMRPQSRPKSFLYHHFAAKGGNMQSKMVLAYTYFRQDMHEEAVKLYAELAEVAIASFRISKEPPVIEPIRIHSGTEENKEALRKSRGEADENFQIIEYQALKGNSFAMYQIGLLYYYGLRGVRRDNTKALHWLLKAVEKGDPRAMEFLGEMYTRGAGVERNYTKAFELLTLASKHKLYSAYNGLGYLYAKGYGVEKKNYTKAKEYFEKAVENKEPGGYYNLGVLYLKGIGVKRDLETACKLFLVAANAGQPKAVYQVARLFQTGIGLKKNLQIATHLYKTVAERGPWSSLSSCALESYLKGDVGKSLLLYSRMAELGYEVAQSNAAWILDKYGEQSICMGESGFCTNTERHLRAHTLWWQASEQGNEHAALLIGDAYYYGRGIARDYERAAEAYMHARSQSNAQAIFNIGYMHEHGQGLPLDLHLAKRYYDQALAVDPAAQLPVKLALMGLWIRMNYADSSLVKVIDSLPKLFPRLEAWVDEVLMDEGNATILTLFACLLAVLYLRERQRRQAEAPQRDNAPN >Ma01_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28008079:28009869:-1 gene:Ma01_g23440 transcript:Ma01_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDPLTGKRNYTYMDAVQANLNGIKVKICGYLQYLNIVGVAIGYTIAASISMVAIKRSNCFHKIGDDGLCPVNSNPYMIMFGVAEVFLSQIPEFDQLWWLSIVAAIMSFTYSSIGLALGIVQVIQNGGFRGRLTGVSIGTVSQMDKIWRILQALGNIAFAYSYSIILIEIQDTIKAPPPSEAKVMKKATLVSVAVTTIFYMLCGFMGYAAFGDLAPGNLLTGFGFYEPYWLLDIANTAIVIHLVGAYQVFCQPLFAFVEKWAARAWPNSEFVTREIQVPIASGTSYKLNLFRLTWRAVFVIVTTVISMLLPFFNDVVGFLGAIGFWPLTVYFPIEMYIVQTKVPKWSTRWVCLQLLSFACLIITIASAAGSIAGVVSDLKVYRPFN >Ma01_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15827244:15827654:1 gene:Ma01_g19940 transcript:Ma01_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma07_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13882977:13889749:1 gene:Ma07_g16440 transcript:Ma07_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWPKIVLKKWLNISSRDSDFSADEGDTTESEFEYEEMCGWERQLRDEERNLGGFEAVTNDNRIGGIPCRSRRRKSETLRAQYINTKELRHISVIQDNLIHMICVGTWNVGGRLPPDDLNIKDWLDMGEPVDIYVLGFQEVVPLNAGNVFGAEDSRPVQRWERIIRETLNKIQPVKAKYKCYSDPPSPSRFKPSDDALGIEDEMLSESDSESDQEIQFHEQSFNFQLNKDQIDARGDDPKCNLAPQSPLIADQSAQGENSTKAAYQALSSSEMIGLIWPEQPLDMLSQHNYNDSSDPFVIPVLDLETVVNRKKRSSFVRIISKQMVGIYLSVWVRRSLRRRIQNLKVSTAGVGVMGYIGNKGSISVSMSIYQTLFCFICSHLTSGENNGDELRRNADVQEIQRKTLFSSVPSVGMPKTIFDHERIIWLGDLNYRINLSYDRTHKLISGKEWSKLFEKDQLRLELKKGRAFDGWSEGVINFPPTYKYELNSEKYIGEDPKSGRRTPAWCDRILSFGKGMRLLDYRRFELRLSDHRPVTAVFMAEVEVFCHRKLQRALTFTDAEVEEQLNSEADNGETGWA >Ma09_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5234621:5243906:1 gene:Ma09_g07980 transcript:Ma09_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKKYGGKNSTASATGGNPSRGRVAEQQGSGKGNPTINQLNKDMANVGLESQEDGWEVYAKKSRNRAGGAPSKPSDSSNASSKAWAHSEGVSRQGWGGDGGAGRTSGNNYAQANDSRRPVGRGNSKQQPPTKSWESQYMAPPSAIPPPLQHGWQWPIKGYSSGSQPKAEELISKDLPRDGSFGGDDSDADTVPKQHDDDDLDDDEDDLLDDSDDFSDDYDSDASQISHETRKKNKWFRRFFEDLDKLTAEAINEPTRQWHCPACHNAPGAIDWYKGLQPLMTHAKTKGATRVKLHRELASLLEEELRRRGTSVVPAGEVFGKWKGLKETSTDHEIVWPPMVVVMNTLLEQDETERWVGMGNQELLDYFSSYAAVKARHSYGPNGHRGMSVLVFEATAMGYLEAERLHKHFAEQCTDRDAWERPRRNLFSAGGKRQLYGYLASKEDMDVFNHHCQGKSRLKYDLRSYQEMVVIPMKQMSEDNQQLVWLKNKVIKQEQRSKALEETFGVVTQKLRETMEENRIVRLRTKIQHEENKEEMDYQEKFFKEQMDKIHKATEEKERTYEKLLQEERAKAKHSDVNCGTNEEQRLRKEEIARFIDSQEKGVEEFEAEREKLIFAHEEHNAELRRKYLADEVELEKKFDAALTKLMEKYAPSSFHASCSNS >Ma09_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:109682:112341:-1 gene:Ma09_g00120 transcript:Ma09_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCEIEGHHLTAAAIVGQDGSVWAQSASFPQVKPEEMTNIINDFNEPGTLAPIGLFLGSTKYMVIQGEPGAVIRGKKGSGGITVKKTNQALIFGIYDEPMTPGQCNMVVERLGDYLIDQGL >Ma10_p23810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32589022:32592411:1 gene:Ma10_g23810 transcript:Ma10_t23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPFAMFYWKAKIMSFMVVKHVFFFLLHLLLRHVVTAHSYPLLAGQISQDKAALLAFKNTLTLQSQRFLSNWNETTEMCEFVNVTCDRRQGRYVVYLQLRSRNLSGHLSPVLANLTRLRRLDLSGNELSGHIPREFSSLRRLKYLDLSYNNLFGQIPASIFYNCTRLGQIDLSANELCGHIPSAAGIGLPVLSALNLYQNYFTGRLPIWLSNSSLLRQLDVSNNNLSDELPTAIIQDKTKLKVLQLSLNNLSSHDNNTNLEPFFLTLSNCSELVELEIAGAGIGGFLPHAIGRGPRNLSIIHLEDNLISGAIPPDIANLTKLTLLNLSCNHLNGAIPKEIFRISNLQRLILSNNVLTGSIPPEIGNAVSVDLLDLSSNKLSGEIPSSIGNLVRISYLYLHSNELFGSIPATLGRYKSLNDLDLSFNRLTGKLPGEVSGIAKVMLNLSNNQLEGSLPKELSNMDHVQMIDLSANNFTGVIPVLSTCVELMLINLSHNHLEGQLPTELGSLRNLETLDVSFNGLGGEIPSSLNKCTHLSFFNLSHNDFTGWIPTGGVFSRFTNLSYLENPRLCGLVLQRACRRRPPQWRHSPKFLIAISVGGSVVAFLLTLCCVKLVRKMEGMGIPRRGDIFGGSSPVVKSSYPRITYRELVEATEEFSQGRLVGSGSYGRVYRGVLRDGTVVAVKVLNLHTGNSTKSFNRECQVLKRIRHRNLMRIITACSLPDFKALVLPFMANGSLDSYLYSPSSDLSLIQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMNVGVGSEVENVGNSTANMLCGSIGYIAPEYGYGARASTKGDVYSFGVLVLEVVTRKRPTDEVFEGGNSLQQWVKSHYHGGAETVVDSALGSEARKQTPEVRRTWEVAIGELLELGVVCTQESPSSRPTMEDTADDLDRLKKYLAGDTTATFGSSLGMSSSIFGETSLSNVDD >Ma10_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32589022:32592411:1 gene:Ma10_g23810 transcript:Ma10_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPFAMFYWKAKIMSFMVVKHVFFFLLHLLLRHVVTAHSYPLLAGQISQDKAALLAFKNTLTLQSQRFLSNWNETTEMCEFVNVTCDRRQGRYVVYLQLRSRNLSGHLSPVLANLTRLRRLDLSGNELSGHIPREFSSLRRLKYLDLSYNNLFGAIPPSLAYLTSLGYLYLANNYFAGQIPASIFYNCTRLGQIDLSANELCGHIPSAAGIGLPVLSALNLYQNYFTGRLPIWLSNSSLLRQLDVSNNNLSDELPTAIIQDKTKLKVLQLSLNNLSSHDNNTNLEPFFLTLSNCSELVELEIAGAGIGGFLPHAIGRGPRNLSIIHLEDNLISGAIPPDIANLTKLTLLNLSCNHLNGAIPKEIFRISNLQRLILSNNVLTGSIPPEIGNAVSVDLLDLSSNKLSGEIPSSIGNLVRISYLYLHSNELFGSIPATLGRYKSLNDLDLSFNRLTGKLPGEVSGIAKVMLNLSNNQLEGSLPKELSNMDHVQMIDLSANNFTGVIPVLSTCVELMLINLSHNHLEGQLPTELGSLRNLETLDVSFNGLGGEIPSSLNKCTHLSFFNLSHNDFTGWIPTGGVFSRFTNLSYLENPRLCGLVLQRACRRRPPQWRHSPKFLIAISVGGSVVAFLLTLCCVKLVRKMEGMGIPRRGDIFGGSSPVVKSSYPRITYRELVEATEEFSQGRLVGSGSYGRVYRGVLRDGTVVAVKVLNLHTGNSTKSFNRECQVLKRIRHRNLMRIITACSLPDFKALVLPFMANGSLDSYLYSPSSDLSLIQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMNVGVGSEVENVGNSTANMLCGSIGYIAPEYGYGARASTKGDVYSFGVLVLEVVTRKRPTDEVFEGGNSLQQWVKSHYHGGAETVVDSALGSEARKQTPEVRRTWEVAIGELLELGVVCTQESPSSRPTMEDTADDLDRLKKYLAGDTTATFGSSLGMSSSIFGETSLSNVDD >Ma03_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:430650:432071:1 gene:Ma03_g00500 transcript:Ma03_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAASAAIPSSPSHLSFFSPKRIVPRRQSLVSIASKNREDEADRSSGSSPSPLSFFSPKRSTPRRQSLLPIASKSRENDADLNSVSESTSLVPFLGNRARAPLSPFPNDTAMGLVLSAAAGRGWTTGSGMEGPRIPAYSDSADQTVLTFPWSLYTRSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVNPSFRYKGDVSFNYMDADDDQNIFPIL >Ma03_p00500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:430650:432071:1 gene:Ma03_g00500 transcript:Ma03_t00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAASAAIPSSPSHLSFFSPKRIVPRRQSLVSIASKSRENDADLNSVSESTSLVPFLGNRARAPLSPFPNDTAMGLVLSAAAGRGWTTGSGMEGPRIPAYSDSADQTVLTFPWSLYTRSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVNPSFRYKGDVSFNYMDADDDQNIFPIL >Ma03_p00500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:430650:432071:1 gene:Ma03_g00500 transcript:Ma03_t00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAASAAIPSSPSHLSFFSPKRIVPRRQSLVSIASKNREDEADRSSGSSPSPLSFFSPKRSTPRRQSLLPIASKSSRENDADLNSVSESTSLVPFLGNRARAPLSPFPNDTAMGLVLSAAAGRGWTTGSGMEGPRIPAYSDSADQTVLTFPWSLYTRSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVNPSFRYKGDVSFNYMDADDDQNIFPIL >Ma06_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19216839:19217325:1 gene:Ma06_g22670 transcript:Ma06_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWIHQNISIPFTSHLRVIKVDEKITVRWALSKYNEGNEREILYRWLRAVVEAEVLKKIITLSFRCAAPTRRDRPVTREVVDRRWGIMSRTVISRIYKI >Ma06_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21665612:21669828:1 gene:Ma06_g23590 transcript:Ma06_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAGKRLLGLGHRVSAAAVAGRGYHERVVDHYDNPRNVGSFDKNDPSVGTGLVGAPACGDVMKLQIRVDEESGKIVDACFKTFGCGSAIASSSVATEWVKGKKMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKEGNADAAAQAEKA >Ma10_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17363170:17366983:-1 gene:Ma10_g05960 transcript:Ma10_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g02130 [Source:Projected from Arabidopsis thaliana (AT5G02130) UniProtKB/TrEMBL;Acc:Q9LZL9] MLGRLVARRTATKALLQCPKTIPLPVSAARCFGTGGGDASSSQERLALDMIRYALGHARSQKSGDSYAHAMLVLEQGLSNLRGVVGAGGAVDGAGSSDNAMVMLMLAMSTLHYERGELRDAAEKLEMVGQLGRASLDLRVAAWESRVGLNLETSEDVTSKLVEDDCSRLLRTSTESGLPVSEVTKLRAKYIKGLVDLVNGDVKSAELSFGGSKDCGLEEGNGLLSRGEFSHCTGNFSFAKELYEKALLTSEARDTSSITYLAAANMVPEEVIIGATCALGQLLSHSGKFEEAEELLTKALVKAEEHFGSTHPKVGVVLTCIAIMYKHKAQMEASSSILIQEGLYRKATDLLKAPSLDGEVDVQVDGCDIVALARGGYADLLCIQQNRKQEGERMKKWAESMWRNRRLSLAEALEFSEPSKSAVVDTRICRVL >Ma01_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5065987:5066352:1 gene:Ma01_g07020 transcript:Ma01_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5b [Source:Projected from Arabidopsis thaliana (AT3G07410) UniProtKB/Swiss-Prot;Acc:Q9SRS5] MHLNSDSKHDAVHSDATVAKMLVDNKCDLENIRNISVDEGKSSAEAEGLFLIETSALDSTNVKKAFEIVIEDMYNNLSRKALNSDSYKPELSLNSVSLTGMGMMRQSRLQASSPAAETIFW >Ma05_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3975602:3985379:-1 gene:Ma05_g05210 transcript:Ma05_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVSIGQSLMSKHLHRSNEKYNVLRAFISRFTKFLVGKENNAGPRSEAEERVHSWIQALARSEKNLTFEYVQSTERGLSFKEAERRLLEGGQNIPIDHNFPSWWQLWCNAFIHPFNIILIIMATLSFLASDNANGIIMLILVMLSVGIRFHQDYNSSRAAMKLSELLRSQIRVQRCAGKVIQTELVVQIDYRDIVPGDIIHFSPGDLFPGDVRLVTSKDLIVSQSSLTGESGTTEKVADIIEDPSTPLLELKNICFMGTSVVSGCGTGLVISTGSRTYMSTIFSTMGQEKHTDAFENGLRCVSYALVCIMVLVVPIISLSDYYASHNLGESVIFGISVAVALTPQMLPLIVNTNLAKGAIAMAKDRCIVKRLSTIQHMGAMDILCIDKTGTLTTNRIIMVHHMDSWGFPNERVLRFAFLNSYFKTEANSPIDDAILAYAYTNGYRFQASKWRMIEEIPFDFVRRRMSVIIERDLDSIWDEQGSYFDTTKYVITKGALEEVLSISTLIEDIDKGVNLTLTPKDREVVLQKSEELSNDGLRVLGVAMKRENTIIKSGPTKYPALESDMVFLGLISFFDPPKNSAKQALWQLAEKGVKAKVLTGDSLSLTIKVCKEVGIRTTHVTTGPDLDILEHTEFHEAVRRATVLARLTPTQKLRVVQSLQKVGNHVVGFLGDGINDSLALEAADVGISVDSGASVAKDLADIILLEKDLNVLVSGVEHGRLTYGNTMKYIKMSLVANIGSIISLFIATMFIQFEPLSPRQLLTQNFLYNLGQIAIPWDKVDDGYAKVPQGWSATELPVFILWNGPVCSIFDIGTFLFLRFYYEADQVSDSEFFHSAWFIEGLLMQALIIHMIRTEKIPFIQDMATWPVVFSTITISAIGIIIPFSPIGKLMGLMNLPLSYFGFLVVLFLGYFSLGQVVKRIYILIYKRWL >Ma04_p34370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33600997:33601383:-1 gene:Ma04_g34370 transcript:Ma04_t34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEGEHGGGGAEVDEGRDAELAGYVDQDGGKEVQDQGGHVGGRGEGRHGDPGARLVVLDVTGDEVVGAVGVGRKLHGRKLLEANVEAGGGGGAEFGGCACGRWSSEENGGRWSLSLSLYMLRCCVRV >Ma04_p31700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31933397:31934022:1 gene:Ma04_g31700 transcript:Ma04_t31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSFLKDKAKAEGKGEGTYLAYAAQKQRRNEDKAQSQGRWCHSRPAYPPEDAIPHVYICPPEETSRSSSVSPPT >Ma04_p38760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36164056:36168517:1 gene:Ma04_g38760 transcript:Ma04_t38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGWWDREAHRGTPVIVKMENPNWSIAEISSPDDDEYGAGEEFAVPGGGARKGARGKNAKQITWVLLLKAHRAAGCLTYLASAAVGLASAVRRRVVSGRTDSDAASSLPEESPVLRSRFYSCIKVFLWLSVVLLGFEFAAYLKGWHLSAVEMHRLVLPSSLGVRGLLESLYTGWVRFRVEYIAPPLQFLTDACVILFLIQSADRLILCLGCFWIRFKGIEPLPKRSIGASEDPESGGEDYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILIQVLDDSDDPTTQALIKEEVEKWQQNGAHIVYRHRVIRDGYKAGNLKSAMNCSYVKDYELVAIFDADFQPTPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLKGWKFIYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKIGFWKKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPAWVVCYIPAAMSFLNILPALRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDRISLMKKEPKQQRGASAPNLDAVAKDELEPKKESKKKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQID >Ma07_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26790384:26795747:-1 gene:Ma07_g18860 transcript:Ma07_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISGEEIAPWGKAEGVAKEERILVSVRVRPLNAKEIEKNDPSDWECVNDTTIMFKNSLPERSAFPTAYTFDKVFGHQSNTRHVYDEGAKEVALSVVNGINASIFAYGQTSSGKTYTMTSITEYTMEDIYDYIKRHEEREFVLKFSAMEIYNEAVRDLLSTDSSPLRLLDDPERGTVVEKLTEETLRDQRHLKELVFTCAAQRQVGETSLNEMSSRSHQILRLTIESSAREFMGKDSSTTLLAAVNFIDLAGSERASQVSSASNRLKEGCHINRSLLTLGTVIRKLSKGRTGHIPYRDSKLTRILQPFLGGNARTAIICTMSPARSHIEQSRNTLSFASCAKQVATNAQVNVVVSDKALVKHLQRELARLENELRYTESATCTHHSDALRDKDAKIKKMEREIMDLMQQRDLAQSRLEDLLRAVVDERASRQWEESSHSSVSHARSECEDGVSIYDTSNIAYQIADLDSSRFDMPEERNNYEYNIEIPSKMKSHLRSISSPTLSEQILQQGWEEIVEATHEDSEDHCKEVQCIEIHAISTSRSDEFNLLISDGSDSLLALTDEDRLGDPAPQSLGDTHLKPAMEQSIDIATRTTDNIVKPCPDSSSPLPSVSKVMNSGELVLARSRSCKASLMNTSILSLLENVEQGKETPQETFLKESPGRPRLSALRYDVENEKHSVEGSQASEKLTSNDTIQTEDIKTVHGEADANLSTSFSGTNEMDECHCHKQLPSYQTWWEAHGAEKNVKDVGVEAVLSPYESPSRRPLEFERKRQEIIELWHACNVPLVHRTCFFLVFKGDPADSIYMEVECRRLSFLRNAFSHGKAGGVVAEDGHRVSLASSSRYLRREREMLCRQMQKKLSPDDRVRLYAKWGVALNSKQRKLQLGQRLWTKTDLEHVKESASLVAKLIGFVEQGRAMKEMFGLSFTPQQTHKRSFSWMQG >Ma06_p34570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34749518:34751126:1 gene:Ma06_g34570 transcript:Ma06_t34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPRSHSFPNHTWLVIPSPIHCSFKCKARPGSPAGLSFHSNPSLTSFPDLRDHKILQRTLVRALLALSLMKTPRRPPLDFNSDAAGCLSGVIRRLLCASLRHNVHSQGVAMQKKKPPASPCVVARLMGLDSMPVFPYTPPDSVKGSRSANSAESWPGVSCSEGSSGVQIKTSLSFRGAPTYLRQENEEFLVLSFAPESKAETTMAKGRKDKASTGDSKEREANRTERRVAEKKTRNHQKNSHKQQQNLPEREHKNGRDSVVPSPKEKLRRDSCTVTKLGGGNAKAAEQKKMQKLAAAQAKNETGCSSQDSSPVSVLDYGFMDGEYSISISPPTSGNADDERRQQSPRRRLSSKFENLNSTSPCSHTETISGITKSSRAEQVVRRDWSHIWEKICTLTEEDLKSTTWPSGDVWRSEEVGEIAAAVAQEMLDVVIMETASELSSYATRSRP >Ma05_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5919696:5921319:-1 gene:Ma05_g07980 transcript:Ma05_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDEVRWNRWCRIAMGTREDRCFFPLTSLQIGPQIRCLSYCVAWRPFECQGKGVLTNPFANAGLSWLIWISC >Ma10_p23210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32254630:32259919:-1 gene:Ma10_g23210 transcript:Ma10_t23210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSKFAGFMGGGGGGNVVGNGFYDMGFYRKLDEVSNMSVDSVGSLQTSTGGGSVAMSLENSSVGSNNSRTGILHHTGLRLFSVGHSVLRPGRVSHAMNEDALAQTLIDPRYPTESLENYDEWTIDLRKLSMGVAFAQGAFGKLYRGTYDGEDVAIKLLEKPENDPERAQLMEQQFGQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNREMIQHRPYNQKVDVYSFGIVLWELITGMLPFQNMSAVQAAFAVVNKGVRPVVPQDCLPALGEIMTRCWDADPDVRPSFSEIVRMLESAQEEIMNTVRKARFRCCMQPMTID >Ma10_p23210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32254630:32259919:-1 gene:Ma10_g23210 transcript:Ma10_t23210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSKFAGFMGGGGGGNVVGNGFYDMGFYRKLDEVSNMSVDSVGSLQTSTGGGSVAMSLENSSVGSNNSRTGILHHTGLRLFSVGHSVLRPGRVSHAMNEDALAQTLIDPRYPTESLENYDEWTIDLRKLSMGVAFAQGAFGKLYRGTYDGEDVAIKLLEKPENDPERAQLMEQQFGQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDIAKGMEYVHGLGFIHRDLKSDNLLIFADKSIKIADFGVARIEVKPEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGMLPFQNMSAVQAAFAVVNKGVRPVVPQDCLPALGEIMTRCWDADPDVRPSFSEIVRMLESAQEEIMNTVRKARFRCCMQPMTID >Ma10_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32254630:32259934:-1 gene:Ma10_g23210 transcript:Ma10_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSKFAGFMGGGGGGNVVGNGFYDMGFYRKLDEVSNMSVDSVGSLQTSTGGGSVAMSLENSSVGSNNSRTGILHHTGLRLFSVGHSVLRPGRVSHAMNEDALAQTLIDPRYPTESLENYDEWTIDLRKLSMGVAFAQGAFGKLYRGTYDGEDVAIKLLEKPENDPERAQLMEQQFGQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDIAKGMEYVHGLGFIHRDLKSDNLLIFADKSIKIADFGVARIEVKPEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGMLPFQNMSAVQAAFAVVNKGVRPVVPQDCLPALGEIMTRCWDADPDVRPSFSEIVRMLESAQEEIMNTVRKARFRCCMQPMTID >Ma06_p30900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32120391:32130157:1 gene:Ma06_g30900 transcript:Ma06_t30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MELYYSTKLSISSDASCHFLPGWGSHVSNSSSLNLSRRRGRGRRNRRTSSLRFSIAAAAATETATRKLVPISRRNEPAERVTSAMEQLDIERGVCIPFRKYTPETVRNKVLESRGSILSLIGRGVEIVWNLGLYWSALTYDCLVGRDEEVVPYRARQLRKLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPSQVAFAIIEEDLGQPLEQVFSRISSQTIAAASLGQVYRATLRETGEDVAIKVQRPEIEPIIYRDLFLFRNLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPRVYKQFSGPRVLVMEWIDGIRCTNPQAIKAAGIDVNGFLTIGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAELSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSVGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIILAKENVAKMNSNPALQQKSSTRSSRSLQVEKKLDLTETIKDGARMFLIDAGIRRQLIMALTEDSKLHIQELVDVYRLVEDQIDIPSVALEVLQDLPNVTRDFMLAWSDSVLSDRW >Ma01_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10514175:10517205:-1 gene:Ma01_g14390 transcript:Ma01_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMCGCWKRSGKGKGGGGGGDEEQQQSSPWNLFIDLKVLEAATDNFSEANLLGQGGFGPVYKGVMKNELEIAVKKLSLHSRQGVREFTNEVSLLLKVQHRNLVSLLGCCVASDQKMLVYPYFPNRSLDHFLFDKRRTASLDWSKRFEIIVGVAKGLLYLHEESPVKIIHRDIKASNILLDDRLNPKIADFGMARLFQGDDTHVNTFKVSGTYGYMAPEYALSGYLSAKADVFSFGVLMLEIVSGRKNIDKKLDEERIDLLSYVSSSTCHLRNRVLGAKMLLWLRCLQTWKLFEEGKALEIVDPSISTWDRDEAALCIQVALLCCQAVVSVRPEMHGVRLMLSSESFSLPKPGRPGTRGREGRWTSTATSTLTRTSANSTAASTATDATKSSSIFYGIAEDFSRNSISVSFTTEGR >Ma01_p14390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10514175:10517205:-1 gene:Ma01_g14390 transcript:Ma01_t14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMCGCWKRSGKGKGGGGGGDEEQQQSSPWNLFIDLKVLEAATDNFSEANLLGQGGFGPVYKGVMKNELEIAVKKLSLHSRQGVREFTNEVSLLLKVQHRNLVSLLGCCVASDQKMLVYPYFPNRSLDHFLFDKRRTASLDWSKRFEIIVGVAKGLLYLHEESPVKIIHRDIKASNILLDDRLNPKIADFGMARLFQGDDTHVNTFKVSGTYGYMAPEYALSGYLSAKADVFSFGVLMLEIVSGRKNIDKKLDEERIDLLSYTWKLFEEGKALEIVDPSISTWDRDEAALCIQVALLCCQAVVSVRPEMHGVRLMLSSESFSLPKPGRPGTRGREGRWTSTATSTLTRTSANSTAASTATDATKSSSIFYGIAEDFSRNSISVSFTTEGR >Ma07_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2753954:2754697:1 gene:Ma07_g03580 transcript:Ma07_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMRFSRRISITNRAAQLVGVRGFAIASGKGKKGPKGGANDAPKASLMSHEVKSTTVVGANILKDGTDPKIMPDSEYPDWLWRLLDKRPTLSELQRKDSESLPFEDLKRFVKLDNRARIEGNNAARAKN >Ma08_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3813597:3823768:1 gene:Ma08_g05600 transcript:Ma08_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEATDTEISKEAALLKTENDRSPREPVTGSAVETYSLLASHDSDWPEHLSLLRSPEVVIESVAGRNFNYNVGTQAGSQPLCASLHSLNNPAVSVEELTLKNYKNPYLSLDGSSSLSLGGSSSSGEKPLVQTSSWPNFTRIAGRPKQTAPKDYQLLGRKDAGGSALPPYGSQTLLPLLQSQPKTSRVDEHVAGVGNHRVSSNLSARSPHEIRPKSLSSSGFQQFFIRSSSNGKAVACKHQKGHDVLDSAISALTIEKSNVDKRISSNLSHAPGEEADRMHLLGGGELVSHHGDITLREWLKPKRQRISKAQRMHIFKQILGLVDACHTKGLALQHLRPSYFLVLPVDQIKYIGSFVPREQVEQAPNIHHEQHPLKKKRHREPDEAVNEFLKLKHQKLADDGSVTYLCKIGCIGNDQGEENEVDTSKAGNSRCDFRKLTEGKPFKAYGTSHPPSSDAIRQHPMCESVMLEEGWYVSPEELNGQVASCSTNIYSLGVLFFELFCCSETWEVHCTAMSDLRHRILPPSLLSESPKESGFCLWLLHPEPYSRPKSRDIILSDLVSEGRNLSAIDHTSASTEEEDAETDLLLHFLLSLKEHKEKQAADLVAGLECIRMDFEEGKRRHLARSELVLSGKVPSSKFGEISEFHSLEKPVTHVETMTRLSMSNLLDERLNKNINQLENAYFTMRSKIEIPKDNSVTRSDTDLLKMRDRSFQVQNADAEMEVDHLGIFFEGLCKFARYSKFEVCGGLKNDDILNCANVICSLSFDGDEDYFAAAGVSKKIKIFEFSSLLNDTVDIHYPLIEMSSRSRLSCVCWNSYIKNYLASTDYEGVVQLWDASTGQGFTQFRGHQKRAWSVNFSQVDPAKLASGSDDFSVKLWSTNEEYGQCMLCPVLSIFLPSVVFWNCRLQNPLL >Ma08_p05600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3813597:3826172:1 gene:Ma08_g05600 transcript:Ma08_t05600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEATDTEISKEAALLKTENDRSPREPVTGSAVETYSLLASHDSDWPEHLSLLRSPEVVIESVAGRNFNYNVGTQAGSQPLCASLHSLNNPAVSVEELTLKNYKNPYLSLDGSSSLSLGGSSSSGEKPLVQTSSWPNFTRIAGRPKQTAPKDYQLLGRKDAGGSALPPYGSQTLLPLLQSQPKTSRVDEHVAGVGNHRVSSNLSARSPHEIRPKSLSSSGFQQFFIRSSSNGKAVACKHQKGHDVLDSAISALTIEKSNVDKRISSNLSHAPGEEADRMHLLGGGELVSHHGDITLREWLKPKRQRISKAQRMHIFKQILGLVDACHTKGLALQHLRPSYFLVLPVDQIKYIGSFVPREQVEQAPNIHHEQHPLKKKRHREPDEAVNEFLKLKHQKLADDGSVTYLCKIGCIGNDQGEENEVDTSKAGNSRCDFRKLTEGKPFKAYGTSHPPSSDAIRQHPMCESVMLEEGWYVSPEELNGQVASCSTNIYSLGVLFFELFCCSETWEVHCTAMSDLRHRILPPSLLSESPKESGFCLWLLHPEPYSRPKSRDIILSDLVSEGRNLSAIDHTSASTEEEDAETDLLLHFLLSLKEHKEKQAADLVAGLECIRMDFEEGKRRHLARSELVLSGKVPSSKFGEISEFHSLEKPVTHVETMTRLSMSNLLDERLNKNINQLENAYFTMRSKIEIPKDNSVTRSDTDLLKMRDRSFQVQNADAEMEVDHLGIFFEGLCKFARYSKFEVCGGLKNDDILNCANVICSLSFDGDEDYFAAAGVSKKIKIFEFSSLLNDTVDIHYPLIEMSSRSRLSCVCWNSYIKNYLASTDYEGVVQLWDASTGQGFTQFRGHQKRAWSVNFSQVDPAKLASGSDDFSVKLWSTNEKNCIDTIRNTANVCCVQFSPYSSHLLSFGTADYRIHCYDLRNTRIPWCTLGGHGKAVSYVKFLDAETLVSASTDNTLKIWDLKRTSGSGSSCNSCSLTLQGHTNEKNFVGLSVYDGYIACGSETNEVYAYYRTFPMPMTCHKFGSIDPITAQETSNDGGQFVSSVCWRGKSNMVVAANSTGSIKVMQLV >Ma04_p25850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27479245:27480389:-1 gene:Ma04_g25850 transcript:Ma04_t25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIGELACTYAALILNDDDIAITSEKISTLVKAANVTIDSYWAPLFAKLLEKRSVDDLILSVGSGGGGAPIGVSAAPAAGDGGSVPAAAPAAEEKKEEPKEESDDDMGFSLFD >Ma01_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9626147:9632238:1 gene:Ma01_g13160 transcript:Ma01_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPASSCFKIIGCGSSSDAVDNDDLAPEEAKASADKRMWSFRKRLSKHQVLCNNVISEPLSVCSSKENQDVSAANFHSPNFSFPERTQEQEKSIGISPVPTEIVNTESPVSKSCTTPVGPTLNESDALVIQAAIRGYMAKKKLHKLKSVVKLQAAVRGHLVRSQAIGTLRCIQAIIRMQAFVRAHHARQLVEKLPSLEDKKFQEKGVTFEKSTKTSIKKLLSNGFARQLLETTPRTKIIYIKCDPSKSDSAWKWLERWMVVTSSGVGQQHGQDFNHGNCRLEEIVNMTYSEPAKEISISVSSELSDLECAATKSVMADDGKNSSTIENVGNFEFPTSLVAPNNFSNSLPKNDMEKPEVRNGLLNTTMRDCTDMDMINKESLDDKHLQPNLCLNNVLVDADKLEPGKDGSNNNTEGASSETLENEEKKSVVGSRKSCNPAFVAAQSKFEELSLTSTVVQSVNSAYTTAASNTKTESHNIEVNSLANGKEAISAEKIFPDVRVEAAVSECGTEISISSTLDSPDRSDMEGGAIVLEIGALEKENHAIVAVAENASDLSNSGGNARPCGGDLTMANSNASVDLVQVDQHLAEPTTSDVQYDLEGTVEQTRSPEGTPRSHATVPDLHGTPSSDVSVNTKKGRMDPCMPTRRKGSQLVGKKSPSNPNNDSGGSTTDNLTKDSRFPRRRNSFGITKTESVEQEPRHSSSSSLPGYMQATASARAKAHGNTSQKSSPDLHDNQPKKRHSLPIENGKQSSSPRMQRSTSRAHQSVKGIEAHSPHDSAERRWQR >Ma05_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:597936:599324:1 gene:Ma05_g01010 transcript:Ma05_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYIYTKSGLAIIPLFFFSYSVPFLDAFHFLKEGGERRAPNKPSCALYRMRRCLRLLPLAMGSFCVLLSVLMDAMITRWCGTDEKDASCFSLHVWLVHRERATTNLRWMTKIDHECIVVVERNKTFLL >Ma11_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22576920:22578378:1 gene:Ma11_g17210 transcript:Ma11_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPTSVLLCPPLDISHGNAGGVIFDSSSLRQQTTIPKAFIWPSTDRPAATEELDAPVVDLGGFFHGDEESTMRAAKLVANACERHGFFQVVNHGVGELLARDALDCTDEFFRLPLCDKLRARRKPGGVWGYSGAHADRFSSKLPWKETLSFGYREAAGSERIVIDYFVSVLGEEFERMGLAYQMYCEAMTGLSLAIMELLAISLGADRMTYREFFDDNRSIMRCNYYPPCQEPELTLGTGPHCDPTALTILQQDHVGGLEVFSGRAWRYVRPVHNALVVNIGDTFMALSNGRYKSCLHRAVVNRHRERRSLAFFVCPREDKVIRPPPPPPLSDDVGGGPRLYPDFTWAQFMDFTQRHYRADMRTLRSFAKWLESSSSATAT >Ma07_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11020283:11020940:1 gene:Ma07_g14650 transcript:Ma07_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVGHSLLTFLLLFAVFSPHVQARESRAFSKVTRDETVVVPEETPAVQVEKAARLGKETYGYGHNSNGDAHYYDADGFSTSFPNTKPNAKYGSYKNRESMYRPNYGYRKDQYGMSDTRFLENGRYFYDVNAERGYGAYAGIGVGGGDHHGYGAYNSEGNRYEGNQEGEEYVP >Ma08_p33970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44101430:44107089:1 gene:Ma08_g33970 transcript:Ma08_t33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPRSSSCCARALRLSTHHHAIHMAVPSLLSSFCHLFPRGHGFKPFPQFLSSSCSASPLSSLSSVPSPKPNKRVKTLVAAALLEIGGVKIAKDDVVRESDPTNNVPDNIFSKIGLQLHRRDTHPIGILKNAIYDYFDTNYASKFVKFDDLCPIVSVQQNFDEVLVPADHVSRSYNDTYYVNADTVLRCHTSAHQAELLREGHSHFLVTGDVYRRDSIDSTHYPVFHQMEGVRIFSPDEWKDSGTDATSYAAMDLKKCLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIYFQENWMEVLGCGVTEQDILTRNGRKDSVAWAFGLGLERLAMVLFDIPDIRLFWSTDQRFTSQFSEGKLGVKFKPFSKFPPCYKDISFWISDSFTENNLCEVVRGVAGDLVEEVKLIDNFTNKKGMTSQCYRITYRSMERSLTDEEINQLQWNVREAVQGKLYVTLR >Ma03_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5642814:5650697:-1 gene:Ma03_g07890 transcript:Ma03_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDEKKRKKKSPQLRPDGDAIDEDVKIKKKNNKHKKPRLPTPPPVTVAVEEEDLGDNKKGKKKKNKRKARQENCNAREIDDVEHMGEKESKESKSEKNSLITDARFAAAHFDPRFQRMPKRESKVVIDSRFTRMFSDKNFDTSAAPVDKRGKRKKGKAVNPLLHYYLQQEDEEENHQVNEGSAKVQREESSPRRLMEGSGSESEVEFSAEEEEKGSDDDEEEQSSASSSDESGSTDDDHSVNSDICRYLLASHEDTPVIDSETHRLAVVNMDWDHIKAVDIYVVMSSCLPKGGNILSVSIYPSEFGLKCMEIEAVNGPSGLFDDSDDHSEDDSDIDNEKLRNYELNKLRYCYAVVICDSSATASHIYKTLDGTELLKTSNVFDLRFIPDSMEFKHPPRDVTTEAPTSYKEPAFQTRALQHSKVKLTWEEDEPERKKVLRQKFNPNQLDELNEYLGSSGDSDEDDENDQVDDDENNDPSALPNGEVKKRKGIEELRALLLSQNDSDGDKSDDKDLEITFNTELEDLSKRIFEKKDKKSETVWEAVLRKRSEKKKARKSRSKYSEDDSSDYDAEEAPDQTDDFFIDEPGDTEPKVGKKRSKAPSNKRGKDDRGRDDLRDLDREREASRAELELLLTDDQGPNTGPKGYNLKPKKVKGKKGKQVHEEGKLPDVDVSNDPRFSALLASHLYSLDPTDPQYKRSAAFVRQRVEKQKKGAGKVGTRHEDFSVSGQDIDVVPGKEEAVSSESLPQEKNDFLSTVRSLKRNASSLKNQSKVRMR >Ma01_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2544119:2546071:-1 gene:Ma01_g03850 transcript:Ma01_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSWWKGKGAQPPRPSAAKGDSEKKPGAVVQEVPGMHGAVEVRRPADVTVFEFGSVAASGDRMTLAGCCPVSDELEPCRWEIITAAGSDAPQFRIVF >Ma03_p25680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29645813:29657952:1 gene:Ma03_g25680 transcript:Ma03_t25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb [Source:Projected from Arabidopsis thaliana (AT5G49930) UniProtKB/TrEMBL;Acc:Q9LTX7] MVKVRMNTADVAAELKCLRKLIGMRCANVYDISPKTYLFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKNTTPSGFTLKLRKHIRTRRLEDVRQLGYDRIVLFQFGLGSNAHYVILELYAQGNILLTDSDFTVMTLLRSHRDDDKGLVIMSRHRYPVESCRLFERTNLMKLKSALIPFKSADENKISEATEGTSDKSNDQSGCKSKNLPASNKEASSKNQSNKTTLKTVLGEALSYGPALSEHIILDAGLLPNMKVGKDIDGKINEDNFEVLAQAVTRFEDWLADVIYGPTVPEGYILMQSKTSGKKDLVVPQESAMDKVYDEFCPILLNQFKSRECMKFETFDGALDEFYSKIESQRGEQQRKAKEESAMQKLDKIRLDQENRVHALKKEVDYSVKMAELIEYNLEDVDAAIIAVRVALANGMNWEDLARMVKEERKSGNPVAGLIDKLRLERNCITLLLSNNLDEMDDDEKTAPVEKVEVDLALSAHANACRWYELKKRQENKQDKTIKAHEKAFKAAEKKTRHQLAQEKTVAAISHMRKVHWFEKFNWFISSENYLIISGRDAQQNEMIVKRYMSKGDLYVHADLHGASSTVIKNHKPDNPIPPLTLNQAGCFTVCHSQAWESKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHINERRVRGEDEGLHEMEVASRREQNNSDSDEEIISEGDTNKESENYLNSGGDHPSVEVDSASGVDATSIALTTDFRAPNPSEEFSQDDAGNQRDLNAGSLSGINDSSSSQLDLLLDKALGLGPSPAKLSSKSSGLDSYESTPVEAHINDDKKAAGREKPYISKAERRKLKKGQKSTADIVDVSEVKENNVDLQLDSSEKLRPANLKFARGQRGKHKKIKEKYAEQDEEERRIRMALLASVGKAPQKEKESDNHVAVAGKLTRSSTDEHDSSKICYKCKKAGHLSKDCQEYTYQAKQANGNVSGDPLSAEPDKANIEMDVAAMEEDGIHDIGEEEREKLNDLDYLTGNPLPGDILLYAVPVCGPYSALQTYKYRVKITPGTAKKGKAAKTAMNLFNHMPEVTNREKELMKACTDPELVAAIVSNVKITAPGLTQLKQKQKKGKKSGKDN >Ma06_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5684807:5688554:-1 gene:Ma06_g08010 transcript:Ma06_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPLSPTVNHSFARVFKNCCEANGSGKKNISEMARRNGTAPLRCSRGDAPSAASPQDEQGPPQEAVLKAISGESLKSPILVAQTTNVVIGGMVIDDSTNEWLVLDKKVNLYPTVRRFTAIGTGDDEFVQSMVVAVESVIQKSIPKGRVSQEVSSRDKYVSANIGPIRVISSEQVQAVYNAMRRYDTMKYL >Ma04_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20612877:20614931:-1 gene:Ma04_g18570 transcript:Ma04_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVWCFVFLIVLLLPLVARGDCDCSADEESRDKKKALPLKIAAIFSILVCGGFGVCIPILGKWIPALRPDKDIFFVIKAFAAGVILATGFIHILPDAFENLTSPCLPSSPWQDFPFAGFGAMVAAVGTLMIDTIATGYFNRLHGNKMRTTVSDETNADVEKTSDGLDHVHTHATHGHAHGSTMMDSADASAQLIRNRVISQVLELGIIVHSVIIGISLGASEVPSTIRPLVAALSFHQFFEGMGLGGCIVQAKFKAKSIVTMGLFFSLTTPVGIAIGIGIASVYDENSPTALIVEGCLNSVASGILIYMALVDLLAADFMNPRVQSKARLQFMINVSLLVGAGLMSLLAKWA >Ma03_p29510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32402433:32403599:-1 gene:Ma03_g29510 transcript:Ma03_t29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTKGSWSTEEDEALRRLVERHGPRNWTLIGRSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDETIIRAHRRFGNKWATIARLLSGRTDNAIKNHWNSTLRRRCAPSVPAASVVDREGETTAQPPKRSSGEGPVLASAGAGLCLSLASPAGSELSDSSQHSAATATHVDRPVPITGDIRVRPSPSSSYPTSQQHDLRLEAQSSVAEDDLLTSLTLSLPGSDRIGASNRHHTSSEHQLEALPPTWRSENPGDDRARHPPFQFSAELLAVMQDMIRSEVSSYMSARSRSGGDHSRPALERIGLVKDRLD >Ma01_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11616184:11621625:-1 gene:Ma01_g15980 transcript:Ma01_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSVRPPLSCPFLFLITVFLFLISASSSVSVSVAVADDDVSVVAHPSKIVLPPGRTVEGSPGALLSCNRVHIHGFSRLRHPSKYPRALKVRVSVDEGDTLFRIQTVEICFHKNMSIGLGMCPSGQWQKLSKTAWVKSMSPYEHRILDMRMPPDPSRSIEVSTEEEILVHRLVFLVLGMVMMAAAHSLSESVVFYYGGAMTLGIIVVMLVILFQGMRLLPTGRRSSLAICLYSSIVGVGAFLLGYLSGLLRTILVEIGISEDMHYPLVILLLVCLVLAGAWFGYWGVRKFVLTEEGSVDSSVAYFIEWATLILSAVLILQSSLDALFAAEILGLTISILAITKRKRLRYLRRIFRRMTRPIRRRGDELWPSDHPRDDFELVSSSGRSSTPSPEILLKDNYCSTFHRIPGRRRYTREEWDAFTKEQTRKGLMELASTDDFQRWALQNVERLHLTPSPQDNRRERRRRLFPWF >Ma03_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19937746:19950189:1 gene:Ma03_g16770 transcript:Ma03_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVDGIPLSTSQVQMVRGSEILAALLDHPAVLSSSDRLKGTPEKKVSSAERPPPGRLVYIFQSEYATVDPALVQLVGTDEATTCVGLVIRSRNTGITSVSHMDFPGVVDNGLAQMLSSIADNDEDSDEASFDVHLIGGFDDGPKRHPINATVSERKQKKEGFSLPLCSKLIEALHNSQQKFHLQTLCVLRHNTKLDSCGNACPIVSGFLVDTSSDSIMPASFDRSSRGPDEIVRRIRVSLSSDDSNWKGRLLDTYDTCHDRFEIAPCTWMSEWKSYASSLQQLSDSEFLLRCSTSPYAEAPDFVESQRRKWNYLIENPDWRHTFTGKKPRIFQRTDDGGWSKCA >Ma08_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:877201:879548:-1 gene:Ma08_g00950 transcript:Ma08_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRASSTRLPSRRRRTPASMRPSRPSHASKQMKLYLVHVAHAVWIPRVRRLVDTVNCAHSLTNHVTLRFTAAAANNLVESRNLLSTWACIQKLDEEAATREEEKLLALSWIDSRNKVCTRSDADHPVRAAMRATLRTGDRTKRADPSAGCSTVIDESTPSVVGDPSMLWLHGAATGREVYRSSKFFDDHSFSFSFSKDLLQRHLIQKFYCRLCRTSSSHKFPSTKAWQQITGRTEMATTEGSWRWRDRSTRRLMIGSTAPTCTYNECKGCRLKCRAEQVPVDAGDPMNSAYRYRCVCHG >Ma08_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:869163:872929:-1 gene:Ma08_g00940 transcript:Ma08_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQDVKLFNRWSFDDVEVSDISLADYIAVTPPKHATYLPHTAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKLMAVRIIKHTMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Ma08_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36133066:36139925:-1 gene:Ma08_g22600 transcript:Ma08_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPSSAAVALLNPSSLPRLQLAGGGFRYRRNLVAIRRNSRQSCCCVFKERKEIPDGEATMWTVVLKTGKEFTSLSSNDQTRSNTNLLQWLCALSCGATSWLSSVEVAQSSEGTKMDMIYEIGELFELGIQLSYLLVLLGLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDATATEYFELGAVMLRRKFYPAATKYLLQAIEKWDREDQDLAQVYNALGVSYIRDDKLDKGIAQFEKAVKLQPGYATAWNNLGDAYEKKKDLRAALKAFEEVLLLDPNNKIARPRRDALRERVRLYKGVSIKSEER >Ma11_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21640430:21648493:1 gene:Ma11_g16050 transcript:Ma11_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACRPSCPSSLQIRFGLRCRESPPVLLRVRFRPLDRRFGLVFAAGEAAGGERRGEPWSGSNGSPDSFAGWSAEENGGGKPPEEENFGGVLGAALAGLLFAAGVAFATLSLTRAKQEMQPLSMEQERLINSDTNENLDQDFILPSTINEEASKSISDAEHHIEASLVHNTKSADVGSDATERASDQGDLEMVEDIDKIPVPAGFSASTPELDAHIIDFSPDRSNLKDLVGTTYSETQESVTGDLGITIAHDDLIHLDTVSTNHITNYHDGNTKPAETSNSEVQLDLLVGSDSQFKTDSVDNLNTQTKDVVLNPVSVQEDQDFAQNDMQFPIEVSTSDPPSCNTHESGPLETSLSLDFDQIEQLMLQPDSISFPDEHKLSKTGSSVSHFVSISADPNLKEPDTEFDGQIDRSPLSEPQLNKALSHSGIPAPCHISATQQLSPGKVLVPALVDQVQGQALAALQVLKVIEADVQPGDICTRREYARWLVTASSNLSRKTVSKIYPAMYIENVTELAFDDVTPEDPDFPCIQGLAEAGLISSKLSRTDLGHTVSDQQDYILFSPESPVSRQDLISWKMATERRQLPEVDINDIYQCCGYIDIHRINPDAWPALVADLSSGGQSITALAFGCTRLFQPDKPITKAQAAIALATGDAAEIVSEELARIEAESLAETAVSADAALLAQVEKDVNANFEKELAKEREKAKALEKLAEEAKLELDRLQAEREEEHIALIKGHAVVESEMEVLSRLRHEAEEQLQSLMSNQLEISFEKDRINKLRKEAESQNQVIAQLQSELEVERKALFMARSWAEEEAKRAREQAKALEEAKERWEGHGTVVVDADLVRDADVGTALEQPQVDETIQRGESLVEKLKTMAAELRIRSAAVIEKIIEKIISLIAALKWQASAASDHTMKLWDNVFSKAKSSVDVFHESASGFGSVAADKARRIVEDCKEGVEKITHKFKT >Ma11_p16050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21640430:21648493:1 gene:Ma11_g16050 transcript:Ma11_t16050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASACRPSCPSSLQIRFGLRCRESPPVLLRVRFRPLDRRFGLVFAAGEAAGGERRGEPWSGSNGSPDSFAGWSAEENGGGKPPEEENFGGVLGAALAGLLFAAGVAFATLSLTSKSSSGAKQEMQPLSMEQERLINSDTNENLDQDFILPSTINEEASKSISDAEHHIEASLVHNTKSADVGSDATERASDQGDLEMVEDIDKIPVPAGFSASTPELDAHIIDFSPDRSNLKDLVGTTYSETQESVTGDLGITIAHDDLIHLDTVSTNHITNYHDGNTKPAETSNSEVQLDLLVGSDSQFKTDSVDNLNTQTKDVVLNPVSVQEDQDFAQNDMQFPIEVSTSDPPSCNTHESGPLETSLSLDFDQIEQLMLQPDSISFPDEHKLSKTGSSVSHFVSISADPNLKEPDTEFDGQIDRSPLSEPQLNKALSHSGIPAPCHISATQQLSPGKVLVPALVDQVQGQALAALQVLKVIEADVQPGDICTRREYARWLVTASSNLSRKTVSKIYPAMYIENVTELAFDDVTPEDPDFPCIQGLAEAGLISSKLSRTDLGHTVSDQQDYILFSPESPVSRQDLISWKMATERRQLPEVDINDIYQCCGYIDIHRINPDAWPALVADLSSGGQSITALAFGCTRLFQPDKPITKAQAAIALATGDAAEIVSEELARIEAESLAETAVSADAALLAQVEKDVNANFEKELAKEREKAKALEKLAEEAKLELDRLQAEREEEHIALIKGHAVVESEMEVLSRLRHEAEEQLQSLMSNQLEISFEKDRINKLRKEAESQNQVIAQLQSELEVERKALFMARSWAEEEAKRAREQAKALEEAKERWEGHGTVVVDADLVRDADVGTALEQPQVDETIQRGESLVEKLKTMAAELRIRSAAVIEKIIEKIISLIAALKWQASAASDHTMKLWDNVFSKAKSSVDVFHESASGFGSVAADKARRIVEDCKEGVEKITHKFKT >Ma11_p16050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21640430:21647825:1 gene:Ma11_g16050 transcript:Ma11_t16050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASACRPSCPSSLQIRFGLRCRESPPVLLRVRFRPLDRRFGLVFAAGEAAGGERRGEPWSGSNGSPDSFAGWSAEENGGGKPPEEENFGGVLGAALAGLLFAAGVAFATLSLTSKSSSGAKQEMQPLSMEQERLINSDTNENLDQDFILPSTINEEASKSISDAEHHIEASLVHNTKSADVGSDATERASDQGDLEMVEDIDKIPVPAGFSASTPELDAHIIDFSPDRSNLKDLVGTTYSETQESVTGDLGITIAHDDLIHLDTVSTNHITNYHDGNTKPAETSNSEVQLDLLVGSDSQFKTDSVDNLNTQTKDVVLNPVSVQEDQDFAQNDMQFPIEVSTSDPPSCNTHESGPLETSLSLDFDQIEQLMLQPDSISFPDEHKLSKTGSSVSHFVSISADPNLKEPDTEFDGQIDRSPLSEPQLNKALSHSGIPAPCHISATQQLSPGKVLVPALVDQVQGQALAALQVLKVIEADVQPGDICTRREYARWLVTASSNLSRKTVSKIYPAMYIENVTELAFDDVTPEDPDFPCIQGLAEAGLISSKLSRTDLGHTVSDQQDYILFSPESPVSRQDLISWKMATERRQLPEVDINDIYQCCGYIDIHRINPDAWPALVADLSSGGQSITALAFGCTRLFQPDKPITKAQAAIALATGDAAEIVSEELARIEAESLAETAVSADAALLAQVEKDVNANFEKELAKEREKAKALEKLAEEAKLELDRLQAEREEEHIALIKGHAVVESEMEVLSRLRHEAEEQLQSLMSNQLEISFEKDRINKLRKEAESQNQVIAQLQSELEVERKALFMASTF >Ma09_p26940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38071228:38072237:1 gene:Ma09_g26940 transcript:Ma09_t26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTTLSFVCIYIASLNPQTMAMATMSSKLSKSLSPKRSKLSLPLCCSQADAAAPVASPPPSSPRRDRIDELLQVFRHIDQDRDGKISGVELLGFFGSIGEEMPMEEAEAAIALLDSDGDRLLDFGDFLRMMEREEEDDLRRAFEMFEVVKGSGRITPKGLQRMMSRLGEERSVEDCKAMIRAYDLDGDGELDFHEFHQMMS >Ma04_p35660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34334624:34338594:-1 gene:Ma04_g35660 transcript:Ma04_t35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSVESLPLGFRFRPTDAELVNHYLKGKITGRIKSEIEVIPEIDVCKCEPWDVPDKSLIKSIDPEWFFFSPKDRKYPSGNRSNRATEAGYWKATGKDRTIKSRSPGSMILGMKKTLVFHRGRAPKGVRTNWIMHEYRTTEPEYESGEQGGYVLCRLFRKPEEQTLVSEINDCMEKNVDEMESTGLSPSPTIVSPGETQHGSEAAEEVLTSLNQNLTGLDLQENLQPLPRIFEMPPSAINELVADKMAFANSCLLKPEESYCNATIASDVADQGTDVATEVDSLLDDFAQYLGQECDKLGPDDYHISSPIVSPMGHSFYDGVNQGLLQELNQFDNIEQDSVTEFLDAVLFNQEECSHEASSACRGLIMEFEAEDRNCLIMDDSHWDTLSGKDSRTGSDEDTEVTTFEDGIGFYEEICRLPVGSSHLSQENSYNVPTEQDTWQISVFPNQKFSRNISSMDSSAGSLHQESTNTDNSDRAEIQIRVRKNLTDSDYLIKQQGSAMRRLRLQKFIHNGSAPRTVHALSSNNDDSDKSGVTEASEVLEHQFDEEKLVSGLTAEDTHDDANSSEIKSSSPEIYDSKPKLRSRARQTDKNADKTTGQSSDPMSTGAASHSPLTVILFLSAMLLLALLGLFWCLSS >Ma02_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17903968:17906811:-1 gene:Ma02_g07080 transcript:Ma02_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPLPLPCPIWILGFFLLAALVDAQMPFMASPFPSPNASSWNRSISLSPSSLTPPPAAMQIIVRIQHHHYHKELIVAIILASVAVVAIVSSTVCAWIFWQRSRKTLNSEDIESSDAGRGTPFGPILGKFNSSKVSKKELMSVIDYESLELATNKFSESNILGEGGFSFVYKACFDGEVFAAVKRLSGGGQDCEREFENELDLLRRICHPNIVSLLGYCVHEDARFLVYELMQKGSLEAQLHGPTHGSALTWHIRVKIALDIARGLEYLHEHCNPPVIHRDLKCSNILLDSDFNAKISDFGLAVTVGNHNKGGIKLSGTVGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKTAPSQCQSLVTWAMPQLTDRSRLPNIVDPVIRNKMDLKHLYQVAAVAVLCVQREPSYRPLITDVLHSLIPLVPTELGGTLRVTEPLSCVNQKSSAH >Ma11_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21019430:21024051:1 gene:Ma11_g15340 transcript:Ma11_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLPALLLLILTHLPVAIKDLVAFDVKDYGAAGDGITDDTQAFADAWEATCRKATGLPIMFIPGKNKFLVSPIIFKGPCKASNVLVQVEGTLVAPDSPSTWNFTDASLWIQFKSVDGLRLTSSGFGLFDGRGSNWWRQSCKLDPRKGCTSLAPTAVKFVQCNDLAVSSLRFINSPQTHILIIDSNRVYVTNLNITAPGTSPNTDGIHIHASRHVYIQDTIIGTGDDCISIGDRTSDIVVTRITCGPGHGISVGSLGRGGSNVSVERIQVSYVNFFNTTNGARIKTWQGATGYAKSMSFEKIKFNNVQNPIIIDQNYGAKANNYTIQQNAVQISNVRYAYCAGTAKTDIAINLNCSQTVPCTDIQFDNVNIPVTSRGGTTRAYCNNAHVTTSGYINPPVMPCFPLKQSFDNIIS >Ma03_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25131550:25133860:-1 gene:Ma03_g19870 transcript:Ma03_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWKAARRGLLFASLVFTAVIASVSAAVTTPSPKKAKALDASSAVFPIHGDVYPRGLYYVEMNIGNPPKPYFLDVDTGSDLTWIQCDAPCVRCSKGPHPWYRPKRTNLVPCRNPFCAALHSGTAQDQNCGQCDYEIEYADSGSSLGVLVADAFSLGRTLARPILAFGCGYNQQLTSPNTPALTDGVLGLGTGKVSVLSQLSDQGVTKNVVGHCLSAKGGGYLFFGDDLVPSSRMTWAPMSRIGSRNYYSPGPANLQWGTRSLGVKQKEVVFDTGSTYTYFGFQPYQAFLSAVKSDLSKTPLKEVSDDPSLSVCWRGQKPFKSVNDVKQYFKTLALSFVNAKRTLLEVPPENYLIITQHGNACLGILDGTEVGLGNLNVIGDISLQDLTVVYDNERQQIGWVRAACDRPPKSGTSSSP >Ma08_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4389583:4396124:-1 gene:Ma08_g06500 transcript:Ma08_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVRGVTRCRHGVHGNDGLFETLPSSQEQATSVAWTTQAAAPSPRRWPFSVVGNRVICRKGGSFISYLCHRFCRRFTSDRPSPPAGEGSRFVDVGGNHSVNAGKEQTRATVDEVCRVLDGSPWGPEAERLLSEIHAKPNREVIFGVLKRQTDLNLALKYFRWVEKITAEPHSVEAYNSVLMILADTGKYDCLEKLLEEMSILGYGLSNNVCIELVKSFIKSRRLRVAVDSMQMLRKFKFRPAFSAYTTLIGALANAREPDLALTMFHQMQEVGYELNIQLFTTMVRVFARDGRLDAALSVLEEMKSNSFEADLVLYNVCIDCFGKVGKVDMAWKFFHELKAQGLRPDDVSYTSMIGVLWKANRLSEAVGLFEEMEQDRKVPCAFAYNTMIMGYGSAGLFSDAYKFLDRLREKGCIPSVVAYNSILTCLGRKGKVDEALKLFEEMKKDAEPNLSTYNIIIDMLCTSGQVEAAYQIQHTMENAGLFPNVLTVNIMVDRLCKSKKLDEAWKIFEGISQKGCTPDAVTYCSLIDGLGRTGKVDEAYRLFERMLDAGHNPNAVVYTSLIRNFFRHDRKEDGHKIFKDMNRRGCLPDLILLNTYMDCAFKAGEVEKGRSIFEGIRAHGFPPDARSYSILIHGLTKAGHAQETYKLFYDMKEQGCVLDTLAYNTVIDGFCKAGKVDKAYQLLEEMKVQGHPPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSHGTVLNVVVYSNLIDGFGKVGRIDEAYLIMEEMMQKGITPNVYTWNCLIDALVKAEEISEALVCLQSMKEMKCAPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLVPGVVTYTTMISGLAKVGNVTEANRLFEKFKASGGIPDSVSFNALIVGMSNANRAMDAYRIFEETRLRGCKLSAKTCIVLLDSLHKAECLEQAAIVGAVLREMAKSQHAARSL >Ma04_p26080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27678652:27682250:-1 gene:Ma04_g26080 transcript:Ma04_t26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFCTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEMTAQAWTQKHAMG >Ma11_p14520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20191162:20210739:1 gene:Ma11_g14520 transcript:Ma11_t14520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT ROOT IN SALT MEDIUM 1 [Source:Projected from Arabidopsis thaliana (AT2G03150) UniProtKB/Swiss-Prot;Acc:F4IS91] MYPSKGNNPYGQQPYGSSQAYGHIPGSGYTGNPVGGSDTDMNSYRTYSSQAPQYGGPYASVYGSSGLSNVQQVGGVSGKEAVPSTLQGRSAYPSVLKGDQFSAIKNPDYALTDRRHYGEHQGAFVGRELQSDSARRYLDSVSLGSRHQAELHDQMDQASLFRQQQMLKAQSLQSGSDMRQDEYFAARTVPSHHGSQEISSYGARTDADPRGLSVYGATSYGGQVAASILGGAPRRNVDDLMYVQGSSNAAYGVGLPPGRDYAAGKGILGPPHESNYQANVLSRTHPTLGVSMVDERNDDRNAYRRELEIREEERRRELMREREKERERDRERERERERERERERERERLRERRDKERERDRKHGPDSRRERTPPRTTRDRRGSSLIKDEKAVRRVTPRRVSPHREAVHRHRSPVKEKKREYICKVYPFCLVDVERDYLSLSKRYPRLAIAPDFSKAIVNWPRETLNLSLYTPVSFEHDFLDVEDKSEEKGSVLLDEPLKPKGVKTLWNTKVILMSGISCEALNELCLEKDTDERIVHFNNILKFAVLKKDRSFLAIGGPSHVTLDGDPQVNDSSLIQTAIRHVKHATQLDLHKCLHWNRFLEMHYNRVGKDGLFSHKEVTVLFVPNLSECLPSLDLWQSQWLAHKKETTERERQLALKQEKKSGEKKEADKGDNSHGKTVNDSPDKSLKGEDYLVKDDMGDIKTNYQTKDEVDGSKKVVAEDEGKGPILDDKQTEHKDDALVVGESKTNEKLLNDEGSLELGTEIKKTTKKKIVKKVVKGKTVAKKVIATTVQDTCAKQDEKMDMSDDKTEYKDGNASQEGENLGDPLNPKTSAEKKIVTKVAVSTSPQKEETTYSSEFQTDMKLDDESVPKEEAKKEQGGDAIVQDSEIKTTGKKKVIRRVIKRKVPATKVKDANSSKDAEETKVKEVKDHSEKKELDVAEGIFSENKIMEESNAPSVEKVDLNEKTVTNEKLDKKETCTVDSHSTVEKGGSKCSNDSEDTMQKESKEGGEDGKKERKKDEKEKSKGAKHEPNPKSHKEKEKGGSREHPMHPGLILQTHRVKGSKLRSMSLSLGGLLDYNDKDTEECTFELSLFAESFNEMLQFEMGCRLLSFLEKLRERYVVKRNNRKRQRDDKSEKGTVKEKSPVKRPKTSDASQVSKSTRPEKEDTSSKISDEDMSVISESVKLEKEGGSDRTNDEHKGGDDTAAGLGEIKMEEKTVDDDMVDDDEDPEEIIEEEADDDAGSNRVGEDAKADTTEAEPEIAMSKDDESKPTSESGTDKVAMVNEKSDKEEDKQIAEEKKDSTKDEKDSVEDENKDSSKDVNEVHVKDAVVDKQLLQAFRFFDQNRVGYIKVQDLRCILHNLGKFLSHRDVKELAQSALLESNSARDDRIFYKKLVRLTFADR >Ma11_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20191162:20210739:1 gene:Ma11_g14520 transcript:Ma11_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT ROOT IN SALT MEDIUM 1 [Source:Projected from Arabidopsis thaliana (AT2G03150) UniProtKB/Swiss-Prot;Acc:F4IS91] MYPSKGNNPYGQQPYGSSQAYGHIPGSGYTGNPVGGSDTDMNSYRTYSSQAPQYGGPYASVYGSSGLSNVQQVGGVSGKEAVPSTLQGRSAYPSVLVESSKFSSANLGSSMGITTDDYVSATNRAYSQKGDQFSAIKNPDYALTDRRHYGEHQGAFVGRELQSDSARRYLDSVSLGSRHQAELHDQMDQASLFRQQQMLKAQSLQSGSDMRQDEYFAARTVPSHHGSQEISSYGARTDADPRGLSVYGATSYGGQVAASILGGAPRRNVDDLMYVQGSSNAAYGVGLPPGRDYAAGKGILGPPHESNYQANVLSRTHPTLGVSMVDERNDDRNAYRRELEIREEERRRELMREREKERERDRERERERERERERERERERLRERRDKERERDRKHGPDSRRERTPPRTTRDRRGSSLIKDEKAVRRVTPRRVSPHREAVHRHRSPVKEKKREYICKVYPFCLVDVERDYLSLSKRYPRLAIAPDFSKAIVNWPRETLNLSLYTPVSFEHDFLDVEDKSEEKGSVLLDEPLKPKGVKTLWNTKVILMSGISCEALNELCLEKDTDERIVHFNNILKFAVLKKDRSFLAIGGPSHVTLDGDPQVNDSSLIQTAIRHVKHATQLDLHKCLHWNRFLEMHYNRVGKDGLFSHKEVTVLFVPNLSECLPSLDLWQSQWLAHKKETTERERQLALKQEKKSGEKKEADKGDNSHGKTVNDSPDKSLKGEDYLVKDDMGDIKTNYQTKDEVDGSKKVVAEDEGKGPILDDKQTEHKDDALVVGESKTNEKLLNDEGSLELGTEIKKTTKKKIVKKVVKGKTVAKKVIATTVQDTCAKQDEKMDMSDDKTEYKDGNASQEGENLGDPLNPKTSAEKKIVTKVAVSTSPQKEETTYSSEFQTDMKLDDESVPKEEAKKEQGGDAIVQDSEIKTTGKKKVIRRVIKRKVPATKVKDANSSKDAEETKVKEVKDHSEKKELDVAEGIFSENKIMEESNAPSVEKVDLNEKTVTNEKLDKKETCTVDSHSTVEKGGSKCSNDSEDTMQKESKEGGEDGKKERKKDEKEKSKGAKHEPNPKSHKEKEKGGSREHPMHPGLILQTHRVKGSKLRSMSLSLGGLLDYNDKDTEECTFELSLFAESFNEMLQFEMGCRLLSFLEKLRERYVVKRNNRKRQRDDKSEKGTVKEKSPVKRPKTSDASQVSKSTRPEKEDTSSKISDEDMSVISESVKLEKEGGSDRTNDEHKGGDDTAAGLGEIKMEEKTVDDDMVDDDEDPEEIIEEEADDDAGSNRVGEDAKADTTEAEPEIAMSKDDESKPTSESGTDKVAMVNEKSDKEEDKQIAEEKKDSTKDEKDSVEDENKDSSKDVNEVHVKDAVVDKQLLQAFRFFDQNRVGYIKVQDLRCILHNLGKFLSHRDVKELAQSALLESNSARDDRIFYKKLVRLTFADR >Ma08_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3103393:3107143:1 gene:Ma08_g04450 transcript:Ma08_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEVEIAPFSLSHAHAGTHRGTGFGARLMREFLREAGGCAVIDGGLATELEAHGADLKDPLWSAKYLFTSPDLIKKVHLDYLEAGANILITASYQATIQGFESRGFSTEESEALLRRSVELACEAREIFQGRRLRASDRCSKDGISSKQHPVLIAASIGSYGAYLADGSEYSGNYGLEVTLETLKDFHRRRLQVLSEAGADIIAFETIPCKIEAQAYIELLQECNIKIPVWFSFTSNDGINIVSGDSLMDCASIADSCDNVVAIGFNCTSPRYIHSLILSIRKLTEKLIVIYPNSGESYDPDKKEWVASNGVSGEDFVSYVSKWHEAGASLIGGCCRTTPDTIRAIAKALRKDFYLLHNDTERNILKDSFLIDSA >Ma10_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5102443:5104125:-1 gene:Ma10_g01730 transcript:Ma10_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPFCDNIGIKKGPWTPEEDIVLVSYIQEHGPGNWRSVPTSTGLMRCSKSCRLRWTNYLRPGIKRGNFTPYEERVIIRLQSLLGNRWASIASHLPRRTDNDIKNYWNTHLKKKINKIQGAADADGKKPSSDARPVCHDYVSQSYDMMESRKQDLTDALPMYHQNSRYASSSENISRLLQGWMQSSPTVDAPGKLKQSFFTADDDDDETSNIISALAAASLTEKSQAESDRRCGATKTHDDFVLLHSFENMDIVPWENTGEETRPFQHARADDAEAQLGAESEQPPLFLSENWLLDEALVQVDELMELNADSCSYSSF >Ma01_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2533505:2535357:-1 gene:Ma01_g03830 transcript:Ma01_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLVLLLLAHAPTIVVSLQVHYYRNSCPRAEIIVKQVVQKHFLQDPSVPAGLLRLHFHDCFVRGCDASVLLDSTADNVAEKTAAPNLTLRTFDVIDDAKAELEKVCSRVVSCADVLALAARDGVALSGGAAYALPTGRRDGAVSKASDVRLPSPYFSIQAAEAAFRNISLDLVDLTTLLGAHGVGFCHCGFVIDRLYNFQATGLSDPRIDPAMLATLKQQCPPEVVLPSNVTKDPKIFLNQATTSPPFVLDTSFYHGLLNGKAVLQLDQDLAFTDVTSRLAARFVSNPKRFIHQFSKSMIKLGSVGVLTGGEGEIRLNCRKVNGKT >Ma06_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8601439:8608573:1 gene:Ma06_g12390 transcript:Ma06_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLLIRFSDPYVGTFGVDLSDEESERRLRSGRSLRSSRKREFSFELDLIVGREEDSCHGFLGWWCCAGSWSWEDNLLSAKLFDALPSFIRMCPRCCKELDF >Ma08_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7046490:7053106:-1 gene:Ma08_g09670 transcript:Ma08_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTGRGRGLGLRPARKALDPSSSIWKPMRSCPARDPKETSAEAFRERSNPHLDDDDNLRSPNSPYLVGTCPDMCPAKERAQRESLRDLSVFERLNGNPARTSPHLAVKKFCRTISTVDIQESDIRSPPVLQRTLKHLINLVNSEEHPFEVVHDFVFDRTRSIRQDLSMQNIIDDQAISMYEEMVKFHIVSHNRLAMHWGKSDLSSLCHLNIEQLMKCLLTLFKLYDLNRLSRSLHTNEAEFYSFFVLLHLGGKIPKMGDSLSLWYRQLSLPILQSKKMHFARALMRYSQMGNYKRFFCSLEAEASDLQLCLVEPFLDEIRAQAISHVNYSGYKLHPYPLMHLSKILMIKESELEDLCHVCGLETSIDEAGMKALPVKQTKFSLPKSGFRSYCLSAIDDVKRRKDL >Ma04_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21624174:21626317:1 gene:Ma04_g19060 transcript:Ma04_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKFPALAFQRRYIHGLHEQKQAGCGY >Ma03_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29162942:29164780:1 gene:Ma03_g25010 transcript:Ma03_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSSTSGVASSPPAEKSPNYADNLKALNRILLDEMMMRRKQVNDLHARLDRLSLASDLERGVTRLVISSRLAEFAAEMAAAKEKEKENDLLISRLKLETAMGDDNSIKQALNEAILDRNSALLKLEETQLQVVMAVSTGREIIAKLRSDLEERKAQILALEADNASMVERIGSMKKAPRSANDQLQLIKGEKGEIEKDLERAILESDACKRDLNAMPTALQKTDGSQVSIDAFDENMVKMQHDFEDKSKGFILSSDQCKMEEVREERGILETEIANLQGRESELQATGQEKIALEEKLRLAEEPLMKSSESLGFVTGEKDYLKKALDRAILERDSNQRNATVTGEAEKLTMEIDSSGNEKKILQLDNEGQIGDHVKEVDSTVNSLKKIIEEKDAIDGSRAMEEDEMADCPCCFSAHQDLCGANTESNARLQSQNDPRGLDPDKAEVNGPRGQLEKDDDHGELREMKAFPKSLMAENKDSKKNLYSPSGRRLSLDERSRALTEKYELAYNLINGVMKLSFAIEDLEENANRKRHGLDYDSDETTENISRELAVFKMTFRRKMAMIHNMSQELELLRDAVAEAKNKGGMRTWLYPAAATLLAAISLAYAAKR >Ma08_p32430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43125425:43141322:1 gene:Ma08_g32430 transcript:Ma08_t32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70610) UniProtKB/Swiss-Prot;Acc:Q8RY46] MATAAAAAAAVASPTDSNSIINRRRRIHSRRCSSILRSNNISCPPLTLALKLPSSPFLLLLNSNRCRRRFAPPLRAASSPSSSSSSFPLGANLGRRIGVPDVENNGNVGFLGRLRSGLLLLRYVFPGGSWWKLEQEEGMKVNAEEQDRGKKGLSVITALRRMWELVAKDRLVIFLAFASLLFAALSEVSIPHFLTASIFSAQTHESMMFYRNARLLVLLCFISGICSGLRGCCFGIANMMLVRRMREMLYDSLLFQDVSFLDNETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQATGALIYLFILSWRLTLSTLLICTALLTIVLFYGRYQKKAAKLTQELTASANEVAQEALSLFRTVRVYGTEKQEFGRYVNWLERLSEVSLRQSVAYGYCSLSFNFLYHSTQVIAVLVGGISILSGQMTAEQLTKFILYSEWMIYSTWWVGDNWSSLMQSIGASEKVFELMDLLPSNQFLSEGLKLQKLVGHIDFVDVSFSYPSRSMVPVLKQVNLSVHPNEVVAIVGLSGSGKSTLLNLLLRLFEPTNGQILVDGVPLSDLDIKWLRQNIGYVGQEPRLFRMDISSNIRYGCPREVGREDVEWAAKQAYAHEFISALPNGYGTLVDDTLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEYYVKEVLRTMQNSSSKKRTIFVIAHRLSTIQAADRIIVMDGGRIVEMGKHMELIQRDGLYARLVRRQADAFAY >Ma10_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29999153:30003236:-1 gene:Ma10_g19450 transcript:Ma10_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPQHHHHLQQPQQQQQAIPFSCRNFVPIDGQISAPIDLAAAAAFPDPSFVRVMGLTPGAVPAADGGSSGWEPRRKRLKEQDLLENSQISSIDFLHAGSVSTGLGLSLDDRRVAASSGESPLVLLPTVGEDIDCEVQRMDAEMDRFIKLEGERMRKSILEKVQMKQFQTLASVEEKIFRKIREKESEVEGINKKNLELEEQMKQLAMEVSTWQQRAKYNESMINSLKYNLEQLYAQSKDNKEGCGDNGVDDTASCCNGDTDLQLTFKQNKDIESMACKVCRVNETCMLLLPCRHLCLCKECESKLSFCPLCHSSKFIGMEIYMP >Ma10_p19450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29999153:30003234:-1 gene:Ma10_g19450 transcript:Ma10_t19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPQHHHHLQQPQQQQQAIPFRNFVPIDGQISAPIDLAAAAAFPDPSFVRVMGLTPGAVPAADGGSSGWEPRRKRLKEQDLLENSQISSIDFLHAGSVSTGLGLSLDDRRVAASSGESPLVLLPTVGEDIDCEVQRMDAEMDRFIKLEGERMRKSILEKVQMKQFQTLASVEEKIFRKIREKESEVEGINKKNLELEEQMKQLAMEVSTWQQRAKYNESMINSLKYNLEQLYAQSKDNKEGCGDNGVDDTASCCNGDTDLQLTFKQNKDIESMACKVCRVNETCMLLLPCRHLCLCKECESKLSFCPLCHSSKFIGMEIYMP >Ma09_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1100249:1109190:1 gene:Ma09_g01510 transcript:Ma09_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGDIWGRRGGGGVGGQRPLKHGMKGMAARLSVALIVLVICTLSLFSTIGVTRPSNRVEVVDVNKLWRSSNPGGWRPSSAPRSYWPPPPKESNGFLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDESGFPGIYDVEHFIEALRYDIHIVRSLPEVSSKGKTKKMKSYQIRPPRDAPLSWYTTFALEKMKEHRAIYLTPFSHRLAEDIDAPELQRLRCRVNYHALRFKPHIMKLSTEIVDKLRSQGHFMSIHLRFEMDMLAFAGCVDIFTPKEQKILIKYRKENFAEKELVYRERRLIGKCPLTPEEVGLILRSMGFDNTTRIYIAAGELFGGDRFMKPFRTMFPHLENHSTVGPSEKLEENARGLVGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIQPNRKALAPIFMDREEGRAADFEDRIRQVMFNSKFGGPHKRVHPESFYTNSWPECFCQMSPKDPADKCPPDNIMETLDGQLQNEESDDLDPSNMSNKSNNSASRSTGD >Ma02_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29269078:29271148:-1 gene:Ma02_g24810 transcript:Ma02_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGLPPHPRFRILRISRRGKRASASDDTGKTRGMGSGRKLKTHRRRQRWADKAYEKSHLGNEWKKTLCWFFACQWYCPIVLEKIGIEAKQPNSAIQKCARVQLIKNGKKITAFVPNDGCLNFIEENDEVLIAGFGRKGHADGDIPGVRFKVVKVCGVSLLALFKEKEKPRC >Ma04_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1262031:1268864:-1 gene:Ma04_g01390 transcript:Ma04_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGAETRNLPIDIAFARLGEWLVDRKRIPQDWRKRLTAIRARISSALPSLPRDLDPFLQTLDPEEIGYLEAKNIYSILLNSTTESRNIFGRLSGSAGEWESIVRSFEKDHIFLGEAAQIMVQNVNYEIPYQKKQMQKVQQQLAELERKETDIKRNAALSAAKYSEACQELGLQGNNVRLELLEAAKTLPSTFSKILEVLNSDSMFKAMEYYQNFVKDVHTEKEKSPGSVLENLRHLHENPPSLYVSMSAEVQNSLSDMSMSDVHVSAGTPMDTILPMGGIDWNISVDDTQIDWDVDAVEQLEESGNSFGSYEIIDYNVDLKDSENGKDLLYDNTSSKKTEGVVPEASESEICWDISLENPQVDMLEDAVVPVVGIDKPNPTETSQSESFEERSQLLETEFRNKILDDLFEVKSFLNQRSIEMRSEETSSLQHQVQAVAPSVLQQYSPDVVQLMISEISSALSMLTDRKMRDLIMILNSKRFLDRLVLTLEEKKNHEVKLRESLNDLSVRRVELQNTLSSSWPKHEAAIAKTRELKTLCETTLSSLFDGRPVNIIGEINTLLTASISS >Ma05_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5078905:5082174:1 gene:Ma05_g06950 transcript:Ma05_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNITSIKTASNGVWQGDNPLHFAFPLLIVQTTLVLLLGRSLAFLLKPLRQPKVIAEIVGGILLGPSALGRNKTYLHKLFPSWSMPILETVASIGLLFFLFLVGLELDLRSILRSGRRAFAIAAAGISLPFSCGVGVAFVLRSTVPGADVAGYGPFLVFMGVALSITAFPVLARILAELKLLTTPVGETAMAAAAFNDVAAWVLLALAVALSGTSGSGSHRSPVVSLWVLLCGMAFVAVQMIAVRPAMSWVAKRAESEGGESEVWIALTLAGVLVSGFFTDFIGIHSIFGAFVFGLTVPKDGEFAGILIERIEDFVSGLLLPLYFASSGLKTNVASIKGGKAWGLLALVISTACAGKIVGTFVVAVACRMVARDALALGVLMNTKGLVELIVLNIGKERKVLNDETFAVMVLMALFTTFITTPTVMAIYKPARAHEHRKLHRSASSSSPPSAASDPKELRVLACAHSPRDAPSLITLIEAIRGGARPRPSPLKLYVLHLVELTERSSSIVMVRRARRNGLPFLNPLRRRQPQDQVALAFDAYGQLSHVRLRPMTAVSALPTMHEDVCSVAEDKRVSLLIVPFHKRQHRSRGDDGAAAIENVGHGWRSVNQRVLREAPCSVAVLVDRGFGEGEQVGPTEVTREVCVLFFGGPDDREAVELASRMAEHPGIRVTVVRFITQKSGNEDRQNVTLRPSPLKSAEKSYTFSTAVMDRERENEKDDAAVEAFRSKTDETEGTARYEEKTVGNVIEAVLAIGRSGAFDLVVVGKGRFPTSMVAELAGRPAEHPELGPIGDALASSSNVVSSVLVVQQHDVVHSDETPVSMVLGDGADAVVLEVDGSKH >Ma03_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2648162:2650104:-1 gene:Ma03_g04050 transcript:Ma03_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRAYRVETAPRLAQWRIDTLSACTYRKSDPFKIGLWNWYLAVEKNKQLYVKLYPEVSSLTRERPPIASFVIKIVSPSAPDRKTLTHPGICDMQLKNSDEFVWATDTLFTGRIIIDVEFLDLKIVPPSGGEPSSIWDSSEVKQHSETTALAPLSRMLADGIHTDITVNAAEGSIGAHRAVLATRSPVFRSMFSHDLKEKELSTVNISDMSFGACQAFLNYIYGSFRADEFLTHRLALLRAADKYDISDMKEACHESLLEDIDTKNVMERLQTAHLYRLPKLKSSCMRYLVSFGKIYEIPEDFNAFLQSADRELIAEIFQEVLAAWKGL >Ma09_p28340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39130601:39134227:-1 gene:Ma09_g28340 transcript:Ma09_t28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQVQPSRAEEYKLKDTSPQLGERWPHARGGGWMGLVYGGDKLTSTYDLVEQMHYLYVRVVKAKNLPTNAVSGSCDPYVEVKLGNYHGTTKHFDKRVNPEWNQVFAFSKERIQSTILEVYVKDREMVARDDYVGRVAFDLNEVPTRLPPDSPLAPQWYRLEDHRGESKVRGEVMLAVWIGTQADEAFPDAWHSDAASVQGEGVFNIRSKVYVSPKLWYLRVIVIEAQDVQPNDKSRLPEVFVKAQVGNQVLKTKVCPTKTMNPMWNEDLVFVAAEPFEEHLVLTTEDRLNQTKDELLGRIVLPLTLFEKRLDHRPVHSRWFNMERFGFGVLEGELRKELKFSSRIHLRVCLEGAYHVMDESTMYISDNRPTARQLWKQPVGVLEVGILSAQGLAPMKKTDGRGTTDAYCVAKYGQKWVRTRTIIDSFSPKWNEQYTWEVYDPCTVITLGVFDNGHVGGNEKPGGGGAARDMRIGKVRIRLSTLEMDRIYTHAYPLVVLQPSGVKKMGELHLAVRFTCLSLASMIYLYGHPLLPKMHYIHPFTINQVDSLRYQAMSIVASRLGRAEPPLRKEAVEYMLDVDSHMWSMRRSKANFFRITSLLSGTIGMFRWLDDVRRWKNPITTLLVHVLFLILICYPELILPTMFLYMFLIGLWSYRFRPRHPPHMDTKLSAAEVIHPDELDEEFDTFPTTKSHDIVRMRYDRLRSVAGRIQTVVGDLAMQGERLQSLLSWRDPRATSLFVVFCLVAAVMLYVTPFQMVALLAGLYMLRHPRFRSKLPSVPNNFFKRLPSRIDSML >Ma09_p28340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39130601:39134227:-1 gene:Ma09_g28340 transcript:Ma09_t28340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQVQPSRAEEYKLKDTSPQLGERWPHARGGGWMGLVYGGDKLTSTYDLVEQMHYLYVRVVKAKNLPTNAVSGSCDPYVEVKLGNYHGTTKHFDKRVNPEWNQVFAFSKERIQSTILEVYVKDREMVARDDYVGRVAFDLNEVPTRLPPDSPLAPQWYRLEDHRGESKVRGEVMLAVWIGTQADEAFPDAWHSDAASVQGEGVFNIRSKVYVSPKLWYLRVIVIEAQDVQPNDKSRLPEVFVKAQVGNQVLKTKVCPTKTMNPMWNEDLVFVAAEPFEEHLVLTTEDRLNQTKDELLGRIVLPLTLFEKRLDHRPVHSRWFNMERFGFGVLEGELRKELKFSSRIHLRVCLEGAYHVMDESTMYISDNRPTARQLWKQPVGVLEVGILSAQGLAPMKKTDGRGTTDAYCVAKYGQKWVRTRTIIDSFSPKWNEQYTWEVYDPCTVITLGVFDNGHVGGNEKPGGGGAARDMRIGKVRIRLSTLEMDRIYTHAYPLVVLQPSGVKKMGELHLAVRFTCLSLASMIYLYGHPLLPKMHYIHPFTINQVDSLRYQAMSIVASRLGRAEPPLRKEAVEYMLDVDSHMWSMRRSKANFFRITSLLSGTIGMFRWLDDVRRWKNPITTLLVHVLFLILICYPELILPTMFLYMFLIGLWSYRFRPRHPPHMDTKLSAAEVIHPDELDEEFDTFPTTKSHDIVRMRYDRLRSVAGRIQTVVGDLAMQGERLQSLLSWRDPRATSLFVVFCLVAAVMLYVTPFQMVALLAGLYMLRHPRFRSKLPSVPNNFFKRLPSRIDSML >Ma09_p28340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39130601:39134227:-1 gene:Ma09_g28340 transcript:Ma09_t28340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQVQPSRAEEYKLKDTSPQLGERWPHARGGGWMGLVYGGDKLTSTYDLVEQMHYLYVRVVKAKNLPTNAVSGSCDPYVEVKLGNYHGTTKHFDKRVNPEWNQVFAFSKERIQSTILEVYVKDREMVARDDYVGRVAFDLNEVPTRLPPDSPLAPQWYRLEDHRGESKVRGEVMLAVWIGTQADEAFPDAWHSDAASVQGEGVFNIRSKVYVSPKLWYLRVIVIEAQDVQPNDKSRLPEVFVKAQVGNQVLKTKVCPTKTMNPMWNEDLVFVAAEPFEEHLVLTTEDRLNQTKDELLGRIVLPLTLFEKRLDHRPVHSRWFNMERFGFGVLEGELRKELKFSSRIHLRVCLEGAYHVMDESTMYISDNRPTARQLWKQPVGVLEVGILSAQGLAPMKKTDGRGTTDAYCVAKYGQKWVRTRTIIDSFSPKWNEQYTWEVYDPCTVITLGVFDNGHVGGNEKPGGGGAARDMRIGKVRIRLSTLEMDRIYTHAYPLVVLQPSGVKKMGELHLAVRFTCLSLASMIYLYGHPLLPKMHYIHPFTINQVDSLRYQAMSIVASRLGRAEPPLRKEAVEYMLDVDSHMWSMRRSKANFFRITSLLSGTIGMFRWLDDVRRWKNPITTLLVHVLFLILICYPELILPTMFLYMFLIGLWSYRFRPRHPPHMDTKLSAAEVIHPDELDEEFDTFPTTKSHDIVRMRYDRLRSVAGRIQTVVGDLAMQGERLQSLLSWRDPRATSLFVVFCLVAAVMLYVTPFQMVALLAGLYMLRHPRFRSKLPSVPNNFFKRLPSRIDSML >Ma02_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19573162:19574110:-1 gene:Ma02_g09700 transcript:Ma02_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MAALIPIYTTQNPRLLFLRPCNSTFVRGTTIISTSPKPPLRSTPAALAPSAKFDLSELLGGRGLCSGEQGIKKELQRSPAEAPPPPLSSPPPTAAPISALGTGDDAFEKELAGLTGGFPGGEKGLKKFIERNPPPPKQRPAGEDLATVLSGPKPNPPVLPLFLPGMIVIVKNPKSPFYMYSGVVQRVTDGKAGVLFEGGNWDKLLTFDLSELEQREKGPPMVNPKSAMLESIVQKLG >Ma03_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2580144:2587282:-1 gene:Ma03_g03960 transcript:Ma03_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEKMKECSEGSFTYDERKETRPDVENYEDERRRTRIASLRKKALHASTKFSHSLKKRGKRKVDFRVPSFSIEDVRDAEEEQAVHAFRQELIAKELLPDKHDDYHTILRFLKARRFDFEKTIQMWAEMLQWREEFGTDSILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKVEPNKLMHITTIDRYLKYHVQEFERALHEKFPACSIAAKRHIGSATTILDVHGVGLKNFSKTARDLLLNMHKIDGDYYPETLHQMFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTRYQCKLLEAIDSSQLPEFLGGSCTCYSEGGCLRSNKGPWNDPVIMKIVNSVGAACIREIRHVSDGEQANASYRMRHQLKRRNSDTSTAESGSDADDLGSPVIFSTAEYTHLAPVNEEVRAADSTGYYFSRDEHLVSVFKPVESGGKGAGSALKSSTEIKDDGCAFATGTSNSLGGLSTDRHNTIKDDAEEGKLQYISRALMKLLVKVLSFLRIFRRRPERRLENVHPSDVSSLIPDNHTVSEAVKEDKVTPYIERLEKLELILNELSRKPAEIPQEKEHAILDSMNRIKNVEFDLHKTNKVLQSTVMKQLEIEATLESLNDTSVRTLTLHQTILQTFKFVK >Ma03_p03960.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2580143:2587282:-1 gene:Ma03_g03960 transcript:Ma03_t03960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEKMKECSEGSFTYDERKETRPDVENYEDERRRTRIASLRKKALHASTKFSHSLKKRGKRKVDFRVPSFSIEDVRDAEEEQAVHAFRQELIAKELLPDKHDDYHTILRFLKARRFDFEKTIQMWAEMLQWREEFGTDSILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKVEPNKLMHITTIDRYLKYHVQEFERALHEKFPACSIAAKRHIGSATTILDVHGVGLKNFSKTARDLLLNMHKIDGDYYPETLHQMFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTRYQCKLLEAIDSSQLPEFLGGSCTCYSEGGCLRSNKGPWNDPVIMKIVNSVGAACIREIRHVSDGEQANASYRMRHQLKRRNSDTSTAESGSDADDLGSPVIFSTAEYTHLAPVNEEVRAADSTGYYFSRDEHLVSVFKPVESGGKGAGSALKSSTEIKDDGCAFATGTSNSLGGLSTDRHNTIKDDAEEGKLQYISRALMKLLVKVLSFLRIFRRRPERRLENVHPSDVSSLIPDNHTVSEAVKEDKVTPYIERLEKLELILNELSRKPAEIPQEKEHAILDSMNRIKNVEFDLHKTNKVLQSTVMKQLEIEATLESLNDTSVRTLTLHQTILQTFKFVK >Ma03_p03960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2579143:2587281:-1 gene:Ma03_g03960 transcript:Ma03_t03960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEKMKECSEGSFTYDERKETRPDVENYEDERRRTRIASLRKKALHASTKFSHSLKKRGKRKVDFRVPSFSIEDVRDAEEEQAVHAFRQELIAKELLPDKHDDYHTILRFLKARRFDFEKTIQMWAEMLQWREEFGTDSILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKVEPNKLMHITTIDRYLKYHVQEFERALHEKFPACSIAAKRHIGSATTILDVHGVGLKNFSKTARDLLLNMHKIDGDYYPETLHQMFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTRYQCKLLEAIDSSQLPEFLGGSCTCYSEGGCLRSNKGPWNDPVIMKIVNSVGAACIREIRHVSDGEQANASYRMRHQLKRRNSDTSTAESGSDADDLGSPVIFSTAEYTHLAPVNEEVRAADSTGYYFSRDEHLVSVFKPVESGGKGAGSALKSSTEIKDDGCAFATGTSNSLGGLSTDRHNTIKDDAEEGKLQYISRALMKLLVKVLSFLRIFRRRPERRLENVHPSDVSSLIPDNHTVSEAVKEDKVTPYIERLEKLELILNELSRKPAEIPQEKEHAILDSMNRIKNVEFDLHKTNKVLQSTVMKQLEIEATLESLNDTSVRRRKFC >Ma03_p03960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2580143:2587282:-1 gene:Ma03_g03960 transcript:Ma03_t03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEKMKECSEGSFTYDERKETRPDVENYEDERRRTRIASLRKKALHASTKFSHSLKKRGKRKVDFRVPSFSIEDVRDAEEEQAVHAFRQELIAKELLPDKHDDYHTILRFLKARRFDFEKTIQMWAEMLQWREEFGTDSILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKVEPNKLMHITTIDRYLKYHVQEFERALHEKFPACSIAAKRHIGSATTILDVHGVGLKNFSKTARDLLLNMHKIDGDYYPETLHQMFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTRYQCKLLEAIDSSQLPEFLGGSCTCYSEGGCLRSNKGPWNDPVIMKIVNSVGAACIREIRHVSDGEQANASYRMRHQLKRRNSDTSTAESGSDADDLGSPVIFSTAEYTHLAPVNEEVRAADSTGYYFSRDEHLVSVFKPVESGGKGAGSALKSSTEIKDDGCAFATGTSNSLGGLSTDRHNTIKDDAEEGKLQYISRALMKLLVKVLSFLRIFRRRPERRLENVHPSDVSSLIPDNHTVSEAVKEDKVTPYIERLEKLELILNELSRKPAEIPQEKEHAILDSMNRIKNVEFDLHKTNKVLQSTVMKQLEIEATLESLNDTSVRTLTLHQTILQTFKFVK >Ma09_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34306905:34307501:-1 gene:Ma09_g22360 transcript:Ma09_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGARPNKFTFTFLLRAASAAAAAPALLHARLTVLGLHADPFLRSALIAAYSSSGRRSPAALFVRLAGPHPDVVLRTALVSALARCGLPDAARDAFDTIPVSFADLISAYAASGRHPDALATLRRTRRAGVPPTEAALVSALSSAAYLGAITDGDLAHRDALVLLSHRPWHRALNHVLQVRPLGVREAGVRRNTPQ >Ma05_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11557896:11559733:-1 gene:Ma05_g15480 transcript:Ma05_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQGAPEPQTPNGARPVSSEEREASSMAPRPPTRWTKHRPPERRIWLPLLLLSYLLLAVASLHAVAAFLRRANDLGRRCAPQPAECTAGDVASPRPRIAIVSFSDESEGGGRRSFRGVMAAVEGNKRAYAEQMGYGYVDARNLVDRSRPPNWSKILAVRSQLPLYDWVFWNDADTVVTNPNTSLESILQAELEHGDFESSPDLVVTEDFNGVNSGLFFVRRSKWSENFLDAWWNQTSFVQFGSTKSGDNAAMKYLINSLSAEELSVHVHISRMQCLFNSYPWVPSWKSVYRLISSPLVTWQGVYSDGDFMVHLAGLDEKKKWIEVILQQLRASE >Ma04_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:303306:305103:1 gene:Ma04_g00270 transcript:Ma04_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSSLYHVVESMAPLYVAMGLGYASVRFRAFTPEQCAGINHFVALFAMPLLIFRMISSNDPYAMNLRFIAADTIQKAAILAALALWARLSARGSLAWVVTLFSLATLPNTIIMGVPILRGMYGSMSGTLMVQIVVLQFAFWYVLVVFLFEYMAAQRALVAKQQQAAAPAAVVLTVLDGDQQINASAVVPVTEGDRNADTNTEAAMPPPPAEAEAAMAAPSAKLILLMAAKKILKIPSIHASMLGLVWSFIAYREGIKLPTIIDDSVAIISVSATGLATFSVGTFMAQQSRFISCGCSVASLAMAMRFLVGPAVMAASSLAVGLHGDLLHVGIVQAALPLAVISFVYAKEYNVHPEIMSTGVIVGTFASFPITIIYYILLGL >Ma11_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1043966:1056579:1 gene:Ma11_g01470 transcript:Ma11_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MASPAKKRKQPQGNEERRKRRSGGTLALDDLKALGHQLLSSRAHINNLPVLLSFLSPSSPVALALESLISIQSFFVPLFPEIPSSLSVPNKRSEAPTDDGEEKDVELVFKDWLRERFGEFLNGLIEITVSEQSVDALRDVALDAIMDFVKLGKDGRFHSAIYHRFLHRIVRATSAVDPLLDLLGPKYFKYIDVRYFTYTSMDKIIKSFESKIGKATLHSDEDSSKLSSMEFPVRIMYNILSRCPPSEAEKEQSYEMWSQLGISSKVGKLSSNDPVSDAEPENSRKVDTDVSSSSHITKKIKLKFAKAWISFLKLPLPVDVYKEVLASIHQTIIPYLANPSILCDFLTRSYDIGGVVSVMALNGLFILMTQHGLEYPKFYEKLYALLTPAIFMAKHRAGFFQLLDTCLKSSYLPAYLAATFAKKLSRLALAVPPSGALIIIAVIHNLLRRHPAINFLVHQPIGDENDRGTSVEDNRSGEDARESDNGNINLSAKLGTDPFNIQECDPAKSNAMRSSLWEIETLRHHYTPAVSRFVASLENDLTVRAKTSEVTVADFSSGSYATVFREEVRRRIKQVPIAFYKVIPSSLFDNSEFPGWTFGNQQNDKQELNARQNEVTV >Ma08_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9212665:9216117:-1 gene:Ma08_g12220 transcript:Ma08_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELQTLAAASVSRKRKPDHKTGKKPSKRVQQKASSMAAAKPRKPSRKMRKLFRKRAREYHSDEEEESDEEPSSEEEESDRDLDVVDDDGSGGDDEDDGEGAQHGITRFVEGCRAFRVAFMKIMKKHLPDDPLGPILSAHKKLVAEKLAEEVSEHKTTAEMKKEKQMEAEKGHVKPANFLDAREKLLVSVATKGVVKLFNAVSKAQNSQSGLNPSGSKNAKVLAKQRKQAFFSELQKSTTQSCDSKSMDKNNELGWAPLRNNYMLTSSKLKDWDKMPEAAAVVDHKQVSSESSSDEE >Ma07_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32184347:32186209:-1 gene:Ma07_g25050 transcript:Ma07_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFQQLQPPPPPLYVETYRSHSGSVGPVIAVLAVIMVLGVIAGIVGRLCSGRTIMGYGHYDLEGWFERRCAPCIDGRLEAPTPRPRAPGTGGGAAAEAGGAAGPETPAEGRGIVN >Ma06_p36320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35778573:35780757:-1 gene:Ma06_g36320 transcript:Ma06_t36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDADVRYEEEYIDNPRGVKLFTCRWLPENKDPKALIFLCHGYAMECSISMRDTATRCAKAGYAVYGIDYEGHGKSSGLQGYVPSFQHIVNDCSDFFVGVCERPENKKKARYLLGESMGGATALLLHRKEPSYWNGAVLVAPMCKIADELKPHPFVVSILKKLSYIIPTWKIVPTRDIIDIAIKTPEKREEVRSNQYCYKGKPRLKTAHELLMASLDIEQNLHQVSLPFLVVHGGDDIVTDPSVSKLLYETASSEDKTFKLYPGMWHALTSGEPPESIDLVFSDIIAWLDQRTTTQDPASEMELKAKHDEDARSKTSQIDG >Ma02_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15480575:15485224:-1 gene:Ma02_g04000 transcript:Ma02_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) UniProtKB/Swiss-Prot;Acc:P57741] MAAAASASSPTSPAAVTERRGIPGASFVEDVETYLKQSGLDANSSLAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDVVATLEAKKGTGEALIADFEVSEGIYSQAKIEDSDSVCLWLGANVMLEYSLEEAKALLEKNLENAKASLEVLVADLQFLRDQVTITQVTVARVYNWDVHQRRIKQATKEE >Ma05_p25200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37208097:37209336:1 gene:Ma05_g25200 transcript:Ma05_t25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGFTTETTLSQTTSTLSETPHVVAKQECMMVLEEAEEKQQEEGGEQPKFNEELLDLSLSNKDAGEKSPSLSTSTPVLELNLIESLGGAEPSTASGALPHRGSPESEPRVFSCNYCRRKFYSSQALGGHQNAHKRERSLTKNGARPGARLGDHPSHRFPPSMAALPLHGMYGGRPLGIQVHSMIHKPCYGSSLNERQPGVGRLMAREDFCARTSALAARFDEPQAVIGGHRWIDAGDQVIVGKKEVQKLDLTLKL >Ma10_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32963909:32968899:1 gene:Ma10_g24290 transcript:Ma10_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLPLFETCHLRDRLFFSSSVDAAAVTVGGTMSPDPHFMVEYLVNSWGFSPSEAAKVSKPLAHLRATKKPDAVLNFMRSQGFDGAGIRKVISAYPRYLCYNVEKNLAPKFQFLRDLDLSESDIVDAIMNNHAILRLNVHRSIVPKLEMWESLLGSRELVLKHLKKPGWFFYSSVEKTLHPNLKFLRDECGIPEERLSLALRSRPQLISRKPESLRALVARAEELGTPRLSRMFVWTLGVLQMVSKEKFEAKAELMRSFGWSESEFSSAFSSAVRKAPTFLRMSLDMMRRKMEFFINIVGYTPSFIAFQPTILLYGLQKRVIPRFRVLEMLNTKGLWTRRGKFLCYVKLSNTKFREKIVLPYKEKVPELLDILRAGECEGK >Ma05_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:673359:683447:-1 gene:Ma05_g01140 transcript:Ma05_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGGKFFKLEESPGEGLITYRRRKRAMPDADALQVLDTSHRNRDIGWNHGEIVDGNRFHWMCNWCGLIRYGGGVSRLKKHLAGACHVKKCPNVPDDIAKSIMHHLMEKQKNRAKRSIRCSGIDGTELNNSHNNAIDHRDRAKSSMEMQVACAGRFSKKSTNRSKNIKIGTQFSNSASQHSKTTAQLPRTGMTKGMMEPCETVSYAGTSRPEWHDRIIRHWKNVLEHQFKLSYMKPGHGIWNVLYDALSLGHSQLLRKLMMIDAIRNHEQLNGRYLVDSEVKIQGQWPDCFETAKEQKLVELRASYYKEDTNTRKCEKAFLEILISEKFAILCDFLWDTFEENKAKTFLDFRLIDSKLKNGDYERSLELYNQDVQQIWDNMQKIGQEMIILANSLSSLSRASCRKQVIEDLINAADDPKPEEACQIGVVQTNTAGSYPAKQLTCCGSDCSTKPDQTEAADVYKAFTCKQCGMKANEECSLFCDGCEAVYHFSCIKPAIEEISTPSWFCAACSKNNKDSADQACAESTKGSLHQNCVVCDRLEVSESLEDLDENGSRTRLATDSGESSVSSMESEEPPEPSRTAVSSLCKICGTCEDEEKKFLVCGHLQCPYKFYHIRCLKSSQIASPQQQNRPCWYCPSCLCRACLCDKDDDKIVLCDGCDEAYHTYCMKPPRTLVPKGQWYCVPCNVARAREGMRRYEQWILRQHGKNEGRQSNEAIGSMDLLLSAAEKLSSEEKLASGQ >Ma05_p01140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:673359:683447:-1 gene:Ma05_g01140 transcript:Ma05_t01140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGGKFFKLEESPGEGLITYRRRKRAMPDADALQGMMEPCETVSYAGTSRPEWHDRIIRHWKNVLEHQFKLSYMKPGHGIWNVLYDALSLGHSQLLRKLMMIDAIRNHEQLNGRYLVDSEVKIQGQWPDCFETAKEQKLVELRASYYKEDTNTRKCEKAFLEILISEKFAILCDFLWDTFEENKAKTFLDFRLIDSKLKNGDYERSLELYNQDVQQIWDNMQKIGQEMIILANSLSSLSRASCRKQVIEDLINAADDPKPEEACQIGVVQTNTAGSYPAKQLTCCGSDCSTKPDQTEAADVYKAFTCKQCGMKANEECSLFCDGCEAVYHFSCIKPAIEEISTPSWFCAACSKNNKDSADQACAESTKGSLHQNCVVCDRLEVSESLEDLDENGSRTRLATDSGESSVSSMESEEPPEPSRTAVSSLCKICGTCEDEEKKFLVCGHLQCPYKFYHIRCLKSSQIASPQQQNRPCWYCPSCLCRACLCDKDDDKIVLCDGCDEAYHTYCMKPPRTLVPKGQWYCVPCNVARAREGMRRYEQWILRQHGKNEGRQSNEAIGSMDLLLSAAEKLSSEEKLASGQ >Ma05_p01140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:673359:683447:-1 gene:Ma05_g01140 transcript:Ma05_t01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGGKFFKLEESPGEGLITYRRRKRAMPDADALQVLDTSHRNRDIGWNHGEIVDGNRFHWMCNWCGLIRYGGGVSRLKKHLAGACHVKKCPNVPDDIAKSIMHHLMEKQKNRAKRSIRCSGIDGTELNNSHNNAIDHRDRAKSSMEMQVACAGRFSKKSTNRSKNIKIGTQFSNSASQHSKTTAQLPRTGMTKGMMEPCETVSYAGTSRPEWHDRIIRHWKNVLEHQFKLSYMKPGHGIWNVLYDALSLGHSQLLRKLMMIDAIRNHEQLNGRYLVDSEVKIQGQWPDCFETAKEQKLVELRASYYKEDTNTRKCEKAFLEILISEKFAILCDFLWDTFEENKAKTFLDFRLIDSKLKNGDYERSLELYNQDVQQIWDNMQKIGQEMIILANSLSSLSRASCRKQEACQIGVVQTNTAGSYPAKQLTCCGSDCSTKPDQTEAADVYKAFTCKQCGMKANEECSLFCDGCEAVYHFSCIKPAIEEISTPSWFCAACSKNNKDSADQACAESTKGSLHQNCVVCDRLEVSESLEDLDENGSRTRLATDSGESSVSSMESEEPPEPSRTAVSSLCKICGTCEDEEKKFLVCGHLQCPYKFYHIRCLKSSQIASPQQQNRPCWYCPSCLCRACLCDKDDDKIVLCDGCDEAYHTYCMKPPRTLVPKGQWYCVPCNVARAREGMRRYEQWILRQHGKNEGRQSNEAIGSMDLLLSAAEKLSSEEKLASGQ >Ma07_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10053359:10053463:1 gene:Ma07_g13330 transcript:Ma07_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYLKEKKTQQIYHLERQLNWPPPIWLTPSLF >Ma08_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2865852:2870053:1 gene:Ma08_g04080 transcript:Ma08_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVVERIERLLNENASSSLDGSLDISFSEDGRSGTFMIGDERFPASLLDLPCIVESYKTYDDNVLIKTADVGQMIMVRDEGDAAVEGVEYKHGLTPPMRDARRRRFRREPDLNPEVVQRVEKDLLNIMSGGTVENVETVVPEAGSQRRKNAPVAAPKPDEVHVKSAGGEPERSDSDDSLEPES >Ma08_p04080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2865848:2870053:1 gene:Ma08_g04080 transcript:Ma08_t04080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVVERIERLLNENASSSLDGSLDISFSEDGRSGTFMIGDERFPASLLDLPCIVESYKTYDDNVLIKTADVGQMIMVRDEGDAAVEGVEYKHGLTPPMRDARRRRFRREPDLNPEVVQRVEKDLLNIMSGGTVERLHVETVVPEAGSQRRKNAPVAAPKPDEVHVKSAGGEPERSDSDDSLEPES >Ma08_p04080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2865847:2870053:1 gene:Ma08_g04080 transcript:Ma08_t04080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVVERIERLLNENASSSLDGSLDISFSEDGRSGTFMIGDERFPASLLDLPCIVESYKTYDDNVLIKTADVGQMIMVRDEGDAAVEGVEYKHGLTPPMRDARRRRFRREPDLNPEVVQRVEKDLLNIMSGGTVERLHVETVVPEAGSQRRKNAPVAAPKPDEVHVKSAGGEPERSDSDDSLEPES >Ma08_p04080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2865848:2870053:1 gene:Ma08_g04080 transcript:Ma08_t04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVVERIERLLNENASSSLDGSLDISFSEDGRSGTFMIGDERFPASLLDLPCIVESYKTYDDNVLIKTADVGQMIMVRDEGDAAVEGVEYKHGLTPPMRDARRRRFRREPDLNPEVVQRVEKDLLNIMSGGTVERLHVETVVPEAGSQRRKNAPVAAPKPDEVHVKSAGGEPERSDSDDSLEPES >Ma04_p38410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35963357:35970252:-1 gene:Ma04_g38410 transcript:Ma04_t38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGFEGEGGAPAGNPNRPPDDPRELRDAFADNTVFDASQYAFFGMAVMDEVELGGLKDNDNDHDGFSGIKDEYHFSPPGDREDFFPSGIQDVYEAEGLGSLSDIDDLTSTFAKLNRVVNDPKGAGVIGDRGSFSRESSSTADWTLEGDYSNWIDQRILDAENIQEGKRWWSQPRPSYSQLSESKPLYRTSSYPQQQQQQQQQQQQQQRQRQQYTQEPILIPDPFFTSYSLSSGGSLPLTNLTRHLSIPSLAAGLQLPGPSLTPYSDSQQQLGGLTHGLHYGADISQIIPPGPAISSRSMNNLLNQSSLLSSDSLLPNLLQQQLSLPSNLRTSQILSQHQLQRMQQVQPSLPHFSHLQTKFNPHGSPPQLRNKFDLAFPMSDMRDHRSKASQRGKQNMRFSRQSSDTGNVRTDNKWPQIRSRYMSPEEIENILRMQNASSHTSDPYIDDYYHQACLAKKSSARLKHNFCPTGTKDPPSRSRGGNISHTHVQIDALGRVILSSIRRPRPLVEVDISSSLGDGIHEQMSSMKPLECEPMLAARITIEDAICLLLSVDDIDRLLQFNPPQDGGLQLRRRRQIFLEGLAASLNLVDPLAPSKAGHSVGLGPKDDIVFLRIVSLAKGRKLLSRYLRLLKSGSDLARVVCMAIFRHLRFLFGGLPSDSSAAETLANLVKAVSLCVCNMELSALSACLAAVVCSPEQPPLRSVGSSAGDGATILIISVLDQATNLLTDPHSANSCSISNRSLWQASFDAFFGLLTKYCWSKYDSILQMLLIQAPNDTVIGSDASRAISREMPIDLLRASLPHTNEHQREVLLDFAQTSMPITGFRAHGSSSGPATSESVPG >Ma09_p28670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39320301:39325248:1 gene:Ma09_g28670 transcript:Ma09_t28670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLLSLFGTERAKGRTAYKLFASSMLVNICLVLFYRATNIPGRGEQGRWAWMGMLAAELWFTFYWIITQSVRWNPIYRHTFKERLSQIDASELPGLDIFVCTADPVAEPPALVISTVLSVMAYDYPPEKLSVYLSDDAGSELTFYALWEAAQFARHWLPFCRRHKVEPRSPAAYFSSRSHPCDTCNDTERSYMKNLYKEMESRIDAVVMLGKVHEDLRSRKGFSEWKSGMTSRNHQPIVQILTDSSDKSSVDDDGTVLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPVILNVDCDMYSNNVESIRDALCFFLDEEKGHDIGFVQFPQNFENSTKNDLYGNAFKVINAVELAGLDSWGGPLYIGTGCFHRREVLCGRKYSKDYKEDWKRETKRKKEDTAFILEERAKSLATCTYEHNTPWGNEMGLQYGCPVEDVITGLAIQCRGWRSVYFNPPRKGFLGVAPSTLAETLVQHKRWSEGNFQIFLSKYCSFLQGHGKLKLGLQMAYCIYGLWAPNSIPTLYYVTIPSLSLLKGISLFPKVSSPWIIPFAYVAIAKNAYGLVESLACGDTLIGWWNIQRMWLLKRITSYLYGTVDAILKVMGFPRTGFTITAKMADADASKRYEQEIMEFGSTSSSPMFVVIATTALLNLLCLVVGLQRLVADWDFGDQFLIQLLLCGVVVALNLPIYEALFLRKDKGRMAPAVTYISLGFTALAYLLPIV >Ma09_p28670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39320301:39325310:1 gene:Ma09_g28670 transcript:Ma09_t28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLLSLFGTERAKGRTAYKLFASSMLVNICLVLFYRATNIPGRGEQGRWAWMGMLAAELWFTFYWIITQSVRWNPIYRHTFKERLSQIDASELPGLDIFVCTADPVAEPPALVISTVLSVMAYDYPPEKLSVYLSDDAGSELTFYALWEAAQFARHWLPFCRRHKVEPRSPAAYFSSRSHPCDTCNDTERSYMKNLYKEMESRIDAVVMLGKVHEDLRSRKGFSEWKSGMTSRNHQPIVQILTDSSDKSSVDDDGTVLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPVILNVDCDMYSNNVESIRDALCFFLDEEKGHDIGFVQFPQNFENSTKNDLYGNAFKVINAVELAGLDSWGGPLYIGTGCFHRREVLCGRKYSKDYKEDWKRETKRKKEDTAFILEERAKSLATCTYEHNTPWGNEMGLQYGCPVEDVITGLAIQCRGWRSVYFNPPRKGFLGVAPSTLAETLVQHKRWSEGNFQIFLSKYCSFLQGHGKLKLGLQMAYCIYGLWAPNSIPTLYYVTIPSLSLLKGISLFPKVSSPWIIPFAYVAIAKNAYGLVESLACGDTLIGWWNIQRMWLLKRITSYLYGTVDAILKVMGFPRTGFTITAKMADADASKRYEQEIMEFGSTSSSPMFVVIATTALLNLLCLVVGLQRLVADWDFGDQFLIQLLLCGVVVALNLPIYEALFLRKDKGRMAPAVTYISLGFTALAYLLPIV >Ma02_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29314709:29321395:-1 gene:Ma02_g24930 transcript:Ma02_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFGFCLQHYLCVLVELGEGTREREREREREMTPMNIFYTFYQTSLGQVYEITESCSQETHCIFLLGKTKPYDRINQTEKTRSTRIHSVRRTWYIASQAVDTCKRISGPRTSTSEHDQIRTLTLVLNLKKPFLSREKQIAESNDVREDPTKIGP >Ma04_p35600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34293975:34297449:1 gene:Ma04_g35600 transcript:Ma04_t35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMVLNGFPKDLGIGGNGGGMLHPDCVEADPTGRYFRHDEVLGRGAFKTVYKGFDEVYGIEVAWNQVKIDEVLQSPDNLERLYSEVHLLKSLKHVNIIKFCNSWVDEQNKTINIITELFNSGSLRQYRKKHKHVDLKAIKSWARQILRGLEYLHSHKPPILHRDLKCDNIFVNGNHGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTLEYPYSECKNPAQIYKKVMSGIKPAALAKVSDPQVRQFIEKCLVSASERLPAKELLKDPFLQINSAKEPLPDPVRSSNDILNMMNPSSRPLSMDIDSDYRPLPISTGTENSNLTAVTPALEFQRTNRNNEFRLKGEKNDDNSVSLILRIADTYGRVRNIHFLFYLDSDTALAVAAEMVEQLDLSDYDVVFIADFIDFLIMRLIPGWRPADHCSSDNISSCKEYGAYDNNELSSELSPQSSAYFELGYDHADQSQINVGTSEGEFAENDDVASYKKMDEAVSPIDNNSIWSGVNGADKRSQHSATSVMVVGSSKSLSGYNTDADSKGDVGACDIVEVLSLKDSSLLSLNNKDQNELRSEIDMIEAQYQRWFFELSRMREEALQNARKRWITRKSSG >Ma03_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9508947:9514505:1 gene:Ma03_g12300 transcript:Ma03_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVEAQNRQARPGPLPQPRGPPPTARSREPIDREKTCPLLLRVFTKVGGHHPAEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVSQEARKRDAKLSFAFVYPDKNGRFVVRPVGMTYSHGNGRRLDDAKTLAELGFQIGDYLSVAIL >Ma02_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15254833:15274322:-1 gene:Ma02_g03800 transcript:Ma02_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSWSSAIRISLLVLLVAAVVAAFFTLPVQKILNDFLVWIKQNVGPWGPVVLAVAYIPLTILAVPASILSVLLGQASFKAVVTWFNSYPHKLRDWSDVSLVPSSSSWTGQSAQPITLALVYVGTTFKDLADVTHRWNEVSSTHWVLMVTGLAISAILMVCVTRETHAYLDKALVENTVVENILIITTPPSSPPPPPPVSPESPLHLQQPLMIKMDTSNVDRVK >Ma09_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:713987:715125:1 gene:Ma09_g01000 transcript:Ma09_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPICPQHLGEIDCYPWFEANPKISATDARTQVTGSSTSDDCSRAPCIGRSPVLDEPQGDEIPRSPPGCAERKRKPSTAGARLITGKSQVRRKKISERMDVLQGLVPGCHQMKGKALILDEIINYVRSLQNQVEFLSTKLALLSPVLHDLGYLSGQPEDDSSFRRQDLEQEQRCLDEMEFDDMLLFSVENIEDPL >Ma06_p35080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35089792:35090672:-1 gene:Ma06_g35080 transcript:Ma06_t35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLHPLRVMIANRGPDTELLVANPAELSGKRRPHVFYDAIHALKSARNLHFSAEIGRHSSSDRQWEVYRFILDDSRDFPLASSQATRQIVDRVRRTLMANTLQSCPPNPFMVPCIAFDLAALPRSNWDYRRSQAASEDYRSPLKLLMLLFAKKKNIS >Ma07_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2802485:2805936:1 gene:Ma07_g03660 transcript:Ma07_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKGQPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTGANSGATATPPRNDAGGFQQDDTYQHPRKSRKWFCCG >Ma10_p24330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32988563:32989625:1 gene:Ma10_g24330 transcript:Ma10_t24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRPSPIALAPAALSSVAAVPVSSSLRRVRLPSAPRRLPSLRVAYPSSRRPRRGAVMMDTAASSYANALAEVARSNGTLEVTVTDMEKVERVFADPNVQSFFANPTVARERKAEVVKEIAASSELCPHTANFLNILVDMRRIDIIEDIVKEFEVCYNRITNTEVAVVSSVVRLESQDLTQIAQTVQRLTGAKNVRIKTVLDPSLVAGFTIRYGPSGSKFIDMSVKKQLDEIASQLDFSSITLA >Ma09_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19105853:19106659:1 gene:Ma09_g18780 transcript:Ma09_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYSLPSLSWYFDEELILIHKEEKKYSALTGFSQHKEMEIPGFSTKNQENVISGFIDTGSPSFSDVGMPEVPSRWKGQCQVGESFTQSSCNKKVIGARYYLSGYEAEERSHGDYMNADKAADFKSPRDSSGHGSHTASIAAGRYVSDMNYNGLGAGGARGGAPMSRISVYKTCWDSGCYDADLLAAFDDAIICVFRPQLS >Ma08_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16753758:16762188:-1 gene:Ma08_g16010 transcript:Ma08_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGQPSKSELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLQQSVQPKPDSSKIAAKKKKEEDKAREKELNDLFKIAVSQPKVPVGVDPKSILCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDLYSDQRDRDQGTMEDWDQEMLEKVVETKNKEYNQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEETEKITIEEEIENQRAKVSTSTPLTPELFTQWKRKKMEEREADLAAMRADRAKNDRMSGRELFLSDSSLFVDDDEAYEKYNREEQPESTEKLQVKSEPGVEESSSMPSVDMNSEIPDDDDDDDDDDELDIDELNELEASLSRTSIQIHEPGIESQS >Ma08_p16010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16753758:16762171:-1 gene:Ma08_g16010 transcript:Ma08_t16010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGQPSKSELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLQQSVQPKPDSSKIAAKKKKEEDKAREKELNDLFKIAVSQPKVPVGVDPKSILCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDLYSDQRDRDQGTMEDWDQEMLEKVVETKNKEYNQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEETEKITIEEEIENQRAKVSTSTPLTPELFTQWKRKKMEEREADLAAMRADRAKNDRMSGRELFLSDSSLFVDDDEAYEKYNREEQPESTEKLQVKSEPGVEESSSMPSVDMNSEIPDDDDDDDDDDELDIDELNELEASLSRTSIQIHEPGIESQS >Ma08_p16010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16753759:16762171:-1 gene:Ma08_g16010 transcript:Ma08_t16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGQPSKSELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLQQSVQPKPDSSKIAAKKKKEEDKAREKELNDLFKIAVSQPKVPVGVDPKSILCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDLYSDQRDRDQGTMEDWDQEMLEKVVETKNKEYNQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEETEKITIEEEIENQRAKVSTSTPLTPELFTQWKRKKMEEREADLAAMRADRAKNDRMSGRELFLSDSSLFVDDDEAYEKYNREEQPESTEKVKSEPGVEESSSMPSVDMNSEIPDDDDDDDDDDELDIDELNELEASLSRTSIQIHEPGIESQS >Ma07_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2955936:2956898:1 gene:Ma07_g03910 transcript:Ma07_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRSDMASCDANPRSSDSQIKSCTDCRATKTPLWRAGPTGPKSLCNACGIRYRKNVKEAGMKVKKEKREIGDGGGRKRFGVYLKMQMSGLGLWKQISMIRKRRRWRRRRREVLGEEEQAAVLLMALSSGLR >Ma06_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1725467:1730293:-1 gene:Ma06_g02190 transcript:Ma06_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQMPMATSLLILLLVMLGGAASSPSGEDLVAELETLRSQSQSGVIHLDDRLVSRFLTSAAAPRPYSLLIFFDAAQLRSKPELHLPHLHSEFALLSTSFAAHHRKDDASSSSSSTHRLFFCDVEFGESQHSFGLFGVSSLPHARLVPASARSLRDDSIPMDQSDFSRGAESMADFVEAKAKIPLGGPILRPPPISPRQALFLLAALLISAPFLIRRVLAGDTLIHDRRLWMALALFVYFFGVSGTMHNIIRNMPMFLPDRSNPDRLIFFFQGSGMQLGAEGFAVGFLYMVVGLVLAFATHALAGWKSVSAQRGFMLVGMLVAYWAVSKVIYLDNWKTGYSIHAFWPNSWR >Ma02_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28021962:28022425:-1 gene:Ma02_g22800 transcript:Ma02_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSQGTLLHQKVYKSSQMLILQTEHIKTKAMEAQTKTKLLLAYSRSLFEKIEILEATAMWLKQIYIPR >Ma11_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3226923:3233061:-1 gene:Ma11_g04130 transcript:Ma11_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHKNSFLCRFSSLFSGGIDGGRRQWFLATLVLTLTAAVAAAEEAPASRRHAYAAMMYMGTPRDYEFYVATRVMMRSLAKLNVDADLVVIASVDVPARWVQTLQEEDGVKVVAVENLKNPYENQGNFNTRFKLTLNKLYAWSLVSYDRVVMLDSDNIFLQRTDELFQCGQFCAVFINPCIFHTGLFVLQPSMNVFKNMLHELEIGRENPDGADQGFLASYFPDLLDQPMFHPPTNGTKLDGAYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWLKPWYWWSWPVLPLGLSWHEQRRKNLGYGSEVPVLLIQAVIYFGIIAITRLARPSLSKLCYNRRPEKSIVILHTTLKVAAMWSIFAAYTVPFFLIPRTVHPLLGWPLYVLGVASLSSIVINVFLLPPLPVLTVLLGISGSLFVMAFPWYLDGVIRALAVFTYAFCCAPVAWASLMKVSSSLQNLLEREAFFPRLGESTQLSELNKLY >Ma03_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28916823:28918288:1 gene:Ma03_g24620 transcript:Ma03_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAMVTDVAISTEAFLDRPAALSAFCRLGSRISLGRDLIAADKAGEPADVGPDGEDSGGDFVDFEFRLNHPVAMLPADELFADGKLVPLQLAATKPVSMHPVAEIRSPEQPKSLRVAQVSGSDPYVFSPRAPSCTSRWRELLGLKRAAITKADHPENVSPAPAAAKSNNPNPDARSLKHFFHRNHKSSPLEPSLSLPLLRDSDSESVSISARLSLSSSSSSGPDHEDLPRFSLDSDKPNHHIPMVRLVRPLPAATELGRSRIRRTGSSEMATPPPLTVPVDSPRMNPSGKVVFHGLERSSSSPGSFTGGPRPRPRGMERSYSANVVRVAPVLNVPVCSLRGSAKSSPVFGFGQLFSPPKKEKAGPGASAARSGGSAKTKSDKASNSWN >Ma01_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11581769:11583243:-1 gene:Ma01_g15890 transcript:Ma01_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEADDPSPLPLLPLRLPLVCKEDIPFGSKMGHLAASLCVSVSRLQSVKIQLVLSLDNTPIGLNKYLNQSTTLNKLQTADRAYPSSFKLLHHYKRIMKIRISRLKIVPAKDPKITFKADTFRFCN >Ma10_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4605590:4606537:1 gene:Ma10_g01400 transcript:Ma10_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKYFKARPTDVLVATIPKSGTTWMKALVFSTIHRGSGVDSRRALESCNPHECIPFLELQIYTNNRVPDLSKLPPPRLFSTHIPFHSLPASVVDSDCRVVYVCRNPKDNFVSLWHHKNRQATEANEEPWQLEKALDSFCKGFSFYGPYWDHVLGYWKAHLERPKNILFVKYEELLQDTVAQLKRLAEFLGCPFSEDEEKEGVIDGIVRLCAMDSLSNLEVNRSGTMDLGGWTVENSFLFRRGVVGDWLNHLTPEMADRLDEMTKEKFAGSGLMF >Ma02_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21527197:21528588:-1 gene:Ma02_g12950 transcript:Ma02_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKSRAAASSKDDTKVAGKRKAADNQGKRAKRGKAGKDPNKPKRPPSAFFVFMEEFRKEFQEKHPENKKVSVVSKACGDKWKSMSEADKAPYVAKAAKRKAEYEKIIASYNKKQSEGSSGNASPAEEVVEEGSKSEVDDEEDGNGEEEEDEE >Ma02_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28016167:28020288:-1 gene:Ma02_g22790 transcript:Ma02_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKKYGLKIHVPVRALRSLVGNLKERYRRERRSTRIYRWFSCARNTRKKDSLPHTENEFQEEPKQQKKKKDDDDGTEDSVEASSTPRSSELEDHPPTPIAAVPELSLHELMENTDNFGLRSMVGEGSHARVYYAVLNGKEIAIKKLDMPQKHETNSGFMSQLSFASRLKHRNFVQLLGYYVEGNLRLLAYEFAAMGSLQDILHGRRGALGTQQRPFLGWEQRVRIAIDAAKGLEYLHELVQPPVVHRNIRSSNILIFDDCRAKIADFDLLNQAPDMATRLLSSRVLGTFGYHAPEYAMTGELTQKSDVYSFGVVLLELLTGRKPVDHSMPRGQQSLVTWATPRLGDKNKVKQCVDPRLKGLYPPAAAAQFAQVAAECVEYEADHRPDMTSVVKKLRKIAVQLSLP >Ma08_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17106871:17115833:-1 gene:Ma08_g16150 transcript:Ma08_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTFPGSLPSPADDCEQLHKAFQGWGTNEGLIISVLAHRPAAHRREIRRAYAEIYGEDLLKALDKELTRDFERAVLLWVLDAAERDAVLANEVVRKWSPGNRVLIEIAVARTADELFAAKRAYQARFKRSLEEDVAAHTNGDFRKLLVPLVSSYRYEGSEVNASLAKSEAKMLHEKIKGKDYNHEEVIRILTTRSKAQLLATFNDYKNQFGNPINKDLKSDPKNEFLSVLRAIIRCITCPERYLEKVIRLAINKMGTDEGSLTRVITTRAEVDMKQIKELYHKRNSVTLYRAVKKDTTGDYEDFLLALIGHDDA >Ma08_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3476768:3485441:-1 gene:Ma08_g05080 transcript:Ma08_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPFVKKDDDLDEEEEYSPFYGIEKGAVLQEARVFHDPQLDARRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDTVLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDSTLLTQIERYLKQAIVDKNPVVASAALVSGVHLLQTNSEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTKGSVRSPLAQCLLIRYTSQVIRESSMNTQAGERPFFDYLESCLRHKSEMVVFEAARAITELSGVTSRELTPAITVLQLFLSSSKPVLRFAAIRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNILREEGGFDYKKAIVDSIVILIRDIPDAKEIGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGAMVDSLKPRIFVLLRRCLFDTDDEVRDRATLYLNTLGSDASVGGTDEDVKDFLFGPLDVPLVNLETSLQNYEASDIPFDINSVPKEVKSQPLAEKKAPGKKAIGLGAPPSGPTSVVDAYEKLLSSIPEFSSFGNLFKSCSPMELTEPETEYAVNVVKHIFDGHVVFQYNCTNTIPEQLLENVTVFVDASEAEEFSEVLTKPLRSLPYDSPGQTFVAFEKPEGVPAIGKFSNLLKFVVKEVDPATGEADEEGVEDEYQLEDLEIVAADYMLKVGVSNFKNAWENLDPDNERIDEYGLGVKESLAETVSAVIDILGMQPCEGTEVVPNNSRSHTCLLSGIFIGNVKVLVRVSFGIDGSKQVAMKLAVRSEDPDISEKIHEIVAEG >Ma09_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33517803:33534410:-1 gene:Ma09_g21680 transcript:Ma09_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPNAIMEEAARLAEAARELDDAAAALISRTWNEEQSLRQRALALESDLRRLQSSLDSAAKKKGAIDSKIAEKVDEELYRVRCVINDGDVASLLPSKAHGRFLKMFLGPVNVRATRKEVQLKVKEEYNSYRDRTAFLFLIFPSTLLLLRSWVWDGCLPALPVQLYQVWLLYLYTTLALRENILSVNGSDIRPWWIYHHYCAMLMALISLTWEIRRQPDCAYKQRGVQLFLVWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPILFILQGFEAFIGLLLLRTAFVGVTCEWQVIVCGILLVLMAIGNFANTMQTLIAKSRFKAKMKRTRSKQDIDQCISSVDPSLMGSKSSKAS >Ma03_p28320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31442341:31451491:-1 gene:Ma03_g28320 transcript:Ma03_t28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRLMARAGGRPCPRVFLSRKSSSPYALALLMLLMLSLVVLLLLALGIFSLPVSSDSGPESEADLRHHARSLRDADGLGQRGQQWTEVISWEPRAFVYHNFLSKEECEYLIELAKPHMQKSSVVDSTTGKSKDSRVRTSTGMFLPRGQDKIIRVIEKRISDYTFIPVENGEGLQVLHYEVGQKYEPHFDYFLDEFNTMNGGQRMATLLMYLSDVEEGGETIFPNAKINSSSLPLYNELSECGKKGISVRPKMGDALLFWSMKPDATLDPLSLHGGCPVIRGNKWSSTKWMHVHEYKV >Ma10_p29800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36257256:36262239:-1 gene:Ma10_g29800 transcript:Ma10_t29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANVPSDPLVDEQLSRNHNLILPLLNEGSELVVCSDRDGGQAAAEAPEAAELEADSAAIDTHHKNSDPVTEEKPNTVGEAVGKGSDDSEAISSCNIDADVGIVYEGTTIVEESHGLEVAPLNTGDFSIIVGVVDDETDIRKESDDLEVTPIGTGVVDAIVGFAAGGTTARKEPSGPVIPSRCCDDSHVTDSVEAMTNGAGKHDPNMLSTSCEAAKATNYVADRETEFEEATDGSTMVPLPEKALEKKVGTLVTAAMKKYAVPRSSSYHGVTKLKWSGKFEAHLWDNTSRVEGRKRKGKHVYLGSYVSEEMAARAHDLAALKYWGPGPTTKLNFPVSDYEKELETMKTMSQEEFVAYVRRKSSCFSRGASIYRGVTRRRKDGKWQARIGRVGDLKDAKDIYLGTFDTEEEAAEAYDIAAIELRGVHAVTNFDLSNYDEGGIKRSEDPCTLEM >Ma10_p29800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36257256:36262239:-1 gene:Ma10_g29800 transcript:Ma10_t29800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANVPSDPLVDEQLSRNHNLILPLLNEGSELVVCSDRDGGQAAAEAPEAAELEADSAAIDTHHKNSDPVTEEKPNTVGEAVGKGSDDSEAISSCNIDADVGIVYEGTTIVEESHGLEVAPLNTGDFSIIVGVVDDETDIRKESDDLEVTPIGTGVVDAIVGFAAGGTTARKEPSGPVIPSRCCDDSHVTDSVEAMTNGAGKHDPNMLSTSCEAAKATNYVADRETEFEEATDGSTMVPLPEKALEKKVGTLVTAAMKKYAVPRSSSYHGVTKLKWSGKFEAHLWDNTSRVEGRKRKGKHVYLGSYVSEEMAARAHDLAALKYWGPGPTTKLNFPVSDYEKELETMKTMSQEEFVAYVRRKSSCFSRGASIYRGVTRRKDGKWQARIGRVGDLKDAKDIYLGTFDTEEEAAEAYDIAAIELRGVHAVTNFDLSNYDEGGIKRSEDPCTLEM >Ma06_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12867112:12869249:-1 gene:Ma06_g18810 transcript:Ma06_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNASVGHLRPAVSPDHLLHRLRSSPSLSPKHFLQIQAQLFANPTLRSHHRLLPAFLSRFAPSHALSRTSLLLRHFPGCDPSSLWSHLVRSSAHSDPDARSVLLLYRAMAREGVLPDRATFVLLLRFCAAIPEAFDVARAVHCQSLILGLASDRILMTGLLILYSKCGCLRSAEQVFAGIPERDVIACNAMIAALSCHGRVEDARRLFEQMPTRSSASWNSMITCYCKLNDLDSAREIFDRNPIKDVVSWNAMIDGYCKMGQLARARELFDRLGLAKNSVTWNTMISGYLHRREFGTAVSMFRSMQMENVRPTEVTMASLLSACAHLGALNMGRWIHAYIRNHQLKMDVVLGNALIDMYFKCGSVETALEAFRGMPTRNIFCWNSVIAGLGMNGYGDRAVAVFHEIEKMERIKPDGVTFVGLLSACSHSGLVTQGKKYFSQMLDLYGVEPKIEHYGCMVDLLGRAGFLEEVLHLLETMPVRPNAIVWGSLLRACHIHKDSEVSEKVTQRLMELDPNDGANYVFLSNIYASSNRWDDVERCRSMMLRSGVRKVPGCSSIEVNNMIHEFLVGDESHPQYEEIYAFMVGIEKELRKLGYRPSTDCVHHDIEDEEKESAVMYHSEKIAIAFGIMSTREKEPIRVVKNLRICSDCHGAAKLIAKLFDRVIIVRDRNRFHHFKNGTCSCNDYW >Ma04_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11106650:11112372:1 gene:Ma04_g14640 transcript:Ma04_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVGEKSPRKNQEDKPEGGGGGGWGGWGISSFSMFSDLHKAAEEISKNAVEVVKNAAKGITELEIADSDSESADEVAKKGPEGGEVEEKEEEESEEDRLRKSALDKLEKASEDSLFGQGLKVLDSSVETFASGAWSALGGAWKGGSTLVSRLEHSAVSLADAIQHGNLPGQTPSIIETGRTFTTKGMEVLERVGKEAIELLIAETGLEVEKDPGEVDPQDDEEQFEEVTFDRCFYIYGGPDLLEELEALSSHHALLFNRKKAKLLAEQKSLYDAKLQQIQQIFSLGTDVEENEVDSDKGKNIESLGGDNDVEMIRLRDSSVRRAAEIASGFTSALGGLSANDTIQRATDRLETIHSECIHRLSELCCSAVSQLLFLGKSVISSANKGRSEEIDGDIPKIDWPEDPLSKAKIIRYKAKSMSADMETISKSFITGTSDIVEAFLATIQSVSSDKQDGVPRAVVQEKANAITDHLRADGTSAVEKIQDAVQFLAYVLLSTSMPTV >Ma04_p39390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36557141:36570454:-1 gene:Ma04_g39390 transcript:Ma04_t39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKYTNSDTAEMSVTVPEDSSNFIILEGTSTKRCRKNKKRLKNKFSNTNSATSLSGTSEIVSVPKGDEVSHPSNQAALMEKETDAMTDAFADSKMEEVIPQTDFMHKAGRRRRRRRKKRKPDNSQNVDTIKNNVMSNIDVEDTDSNVCANQASRNKTTVDVSLNLLKKEGTSSIHGRKGRKKERSTSCASDKQVCTAGPCTSHPFAASVNANGSSRISASDECKKRNMEVKCDINAEAASKEIAQNTGNLLDYPGEGQQCYTAAEEGSLQLLPAGMKEGLSVPPSPIRGVIEKLNSPNLPMKQHNQLGSCNEECASFSFQMDNKVFMDDPSMNHSVEAIQSRTRIGSERVKLATDENNAADYKHKHTDASTGQEKEFARSSMSNVSKDVVLLMAETSLRSRKKLLVLDLNGLLADITTEYHGAHMRVAGKSVFKRPFCDDFLKFCFERFHVGVWSSRKSDNARKVVDYLMGDLKHKLLFCWGQSKCTDTGYRTIENMHKPLVLKELKKLWNKEDHDLPWEKGEYSPSNTLLVDDSPYKAICNPPHTAIFPYPYRFTEKKDNSLGPGGNLRVYLEGLAVCHDVQLYVQDHPFGQKAIADSNPSWKFYLRIIDRIQQSSSLTS >Ma04_p39390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36557141:36570447:-1 gene:Ma04_g39390 transcript:Ma04_t39390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVPEDSSNFIILEGTSTKRCRKNKKRLKNKFSNTNSATSLSGTSEIVSVPKGDEVSHPSNQAALMEKETDAMTDAFADSKMEEVIPQTDFMHKAGRRRRRRRKKRKPDNSQNVDTIKNNVMSNIDVEDTDSNVCANQASRNKTTVDVSLNLLKKEGTSSIHGRKGRKKERSTSCASDKQVCTAGPCTSHPFAASVNANGSSRISASDECKKRNMEVKCDINAEAASKEIAQNTGNLLDYPGEGQQCYTAAEEGSLQLLPAGMKEGLSVPPSPIRGVIEKLNSPNLPMKQHNQLGSCNEECASFSFQMDNKVFMDDPSMNHSVEAIQSRTRIGSERVKLATDENNAADYKHKHTDASTGQEKEFARSSMSNVSKDVVLLMAETSLRSRKKLLVLDLNGLLADITTEYHGAHMRVAGKSVFKRPFCDDFLKFCFERFHVGVWSSRKSDNARKVVDYLMGDLKHKLLFCWGQSKCTDTGYRTIENMHKPLVLKELKKLWNKEDHDLPWEKGEYSPSNTLLVDDSPYKAICNPPHTAIFPYPYRFTEKKDNSLGPGGNLRVYLEGLAVCHDVQLYVQDHPFGQKAIADSNPSWKFYLRIIDRIQQSSSLTS >Ma04_p39390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36557141:36570454:-1 gene:Ma04_g39390 transcript:Ma04_t39390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVPEDSSNFIILEGTSTKRCRKNKKRLKNKFSNTNSATSLSGTSEIVSVPKGDEVSHPSNQAALMEKETDAMTDAFADSKMEEVIPQTDFMHKAGRRRRRRRKKRKPDNSQNVDTIKNNVMSNIDVEDTDSNVCANQASRNKTTVDVSLNLLKKEGTSSIHGRKGRKKERSTSCASDKQVCTAGPCTSHPFAASVNANGSSRISASDECKKRNMEVKCDINAEAASKEIAQNTGNLLDYPGEGQQCYTAAEEGSLQLLPAGMKEGLSVPPSPIRGVIEKLNSPNLPMKQHNQLGSCNEECASFSFQMDNKVFMDDPSMNHSVEAIQSRTRIGSERVKLATDENNAADYKHKHTDASTGQEKEFARSSMSNVSKDVVLLMAETSLRSRKKLLVLDLNGLLADITTEYHGAHMRVAGKSVFKRPFCDDFLKFCFERFHVGVWSSRKSDNARKVVDYLMGDLKHKLLFCWGQSKCTDTGYRTIENMHKPLVLKELKKLWNKEDHDLPWEKGEYSPSNTLLVDDSPYKAICNPPHTAIFPYPYRFTEKKDNSLGPGGNLRVYLEGLAVCHDVQLYVQDHPFGQKAIADSNPSWKFYLRIIDRIQQSSSLTS >Ma11_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19651510:19651986:1 gene:Ma11_g14350 transcript:Ma11_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFKNGVVRLVENPGDEQASTVRRKALLHTPTNEVITSYATLERELLGLGWERYYEEPDLLQFHKRSSIDLISLPKDFSRFKSIHMYDIVVKNRHSFKVIDL >Ma09_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3276828:3278774:-1 gene:Ma09_g05090 transcript:Ma09_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRAVCYFLPSDLIFRRKRIQGCIGVVCPCFLFGKNAEFLGSGTLAGSCMTHFILWGLVNSLCCLFTRGLLDGVPGSVVACYVRGYRKSLHTKYNLQEALCGDLATYLFCHLCAICQECREIYERSNGFSPTLAFSEVASPPIQTMDLAPHE >Ma10_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32077226:32083397:1 gene:Ma10_g22930 transcript:Ma10_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKCCFCFTRKFRWSEAQPPADVRAAFDAHSEGGTHMTADQFRRFLAEAQGDAAVADVERVMEQALELGHRQLFHRKHFKPVFTVDDFHHYLFSEELNPPLRSQVHQDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIKALQNGVRVIELDMWPNATKDNIDILHGRTLTSPVELIKCLRSINEYAFSASPYPVIITLEDHLTPDLQAKVAEMVIETFGDMLYYPDSESPKEFLSPEALKKRIIISTKPPKEYLEAKNAKENDGDTKKVQESNEAWGMEVPDLQTELECADKDEDVVDDGGGSDDDDDDNDDQKVRQSSPLEYKRIITIRAGKPKGHITEALKVDQEKVRRLSLSEQELAKATASYGADLIRFTQRNLLRIYPKGTRFTSSNYNPFVGWIHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYVKKPDFLLSTGSNNEVFDPKAILPVKKTLKVKVYMGDGWSMDFKKTHFDPYSPPDFYTRVGIAGVPADATMKKTKAIEDNWIPVWDEEFVFPLTVPELAVLRIEVHEYDMSEKDDFAGQNCLPVSELKPGIRAVPLLDRKGMKFKSVKLLMRFEFA >Ma03_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:426304:428252:1 gene:Ma03_g00490 transcript:Ma03_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEMEEVQEKVYPAPQHSHEEVVRERLVFMDSLRRFHSSMATKFMIPVIGGKELDLHLLYVEVTRRGGLAKVIEEKKWREVIAAFKFPPTTTSASFVLRRYYLSLLHHYEQAYYFRTQGPLIPPAASSQTRTPPSKLDHSVVVSDSTMQTPKSRKRCLPEPQNKGPYNFTVTGSIDGKFEYGYMVTVKIGSEILRGVLYHVQQPSAAASSSLAAVVSAAHEASNERCTAAMARTRRRRRRGWRSRDPAHPKPNRSAYNFFFAEKHSKLKVLYPHREREFSKMIGESWNKLNEEERMVYQNYGLKDKERYKREMQEYKERLKLVQPKEMAGAEPSKAASEEVKGVSADGH >Ma09_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9966825:9971200:-1 gene:Ma09_g14610 transcript:Ma09_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKARAPSLVIKASPKRDKGIDKEKKTEMQGNGSVVIANGPNRCQSGRERKIALQQDVDKLRKKLRNEENIHRALERAFTRPLGALPRLPPYLPSHTLELLAEIAVLEEEVVRLEEQVVNFRQGLYQEAIYISSCKKTKELGYDVDSLSQNSKTLGQRKSYSNSAYTEDLAFTKQLTSLQWSSNTDRNVPSSNQFVDDKLSPKKLKSSLAITEKQQGKENQIGANCPRNCRQSPVRRASKVGTAANGNKQADARPKCNSVDNERTGINLRSTSSEAKLDESNAPNESESSGPSKLSEDILRCLMNIFSRLSSPGNTKELLEASPSVSGSSGSSEETDSLDPYGICAEFGIRDIGPYKYFRAVEASSNFPNLPMGCSFLTWRLKRLLRELASVDLSGLTHQQKIAFWINIYNSCMMNAFLEQGIPASPEMIVALMLKAMINVGGHMLSAMTIEHFILRLPYSSKHVFPKGSKSDDVTMRGIFGLEWPEPLVTFALSCGSWSSPAVRVYTAAKIEKELERAKRDYLQASIGISTRNKLAIPKLLDWYLRDFAKDVESLMDWICLQLPNELRTEATKCLEAARGSPIQQPIQVLPYEFRFRYLFAT >Ma09_p14610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9966825:9970674:-1 gene:Ma09_g14610 transcript:Ma09_t14610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVISLGIDKEKKTEMQGNGSVVIANGPNRCQSGRERKIALQQDVDKLRKKLRNEENIHRALERAFTRPLGALPRLPPYLPSHTLELLAEIAVLEEEVVRLEEQVVNFRQGLYQEAIYISSCKKTKELGYDVDSLSQNSKTLGQRKSYSNSAYTEDLAFTKQLTSLQWSSNTDRNVPSSNQFVDDKLSPKKLKSSLAITEKQQGKENQIGANCPRNCRQSPVRRASKVGTAANGNKQADARPKCNSVDNERTGINLRSTSSEAKLDESNAPNESESSGPSKLSEDILRCLMNIFSRLSSPGNTKELLEASPSVSGSSGSSEETDSLDPYGICAEFGIRDIGPYKYFRAVEASSNFPNLPMGCSFLTWRLKRLLRELASVDLSGLTHQQKIAFWINIYNSCMMNAFLEQGIPASPEMIVALMLKAMINVGGHMLSAMTIEHFILRLPYSSKHVFPKGSKSDDVTMRGIFGLEWPEPLVTFALSCGSWSSPAVRVYTAAKIEKELERAKRDYLQASIGISTRNKLAIPKLLDWYLRDFAKDVESLMDWICLQLPNELRTEATKCLEAARGSPIQQPIQVLPYEFRFRYLFAT >Ma04_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10043376:10046144:1 gene:Ma04_g13260 transcript:Ma04_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLKQKIRKGLWSPEEDEKLYDHIIRCGVGYTFLLSGLERCGKSCRLRWINYLRPDLKRGNFSQQEEDTIIRLHEIMGNRWSQIASQLPGRTDNEIKNYWNSCLKKKLRQRGIDPSSHKPLSEIAAQEEGTRTHCSNTGAAFEQLQLHPVFDTFPLIEIQTCLDSVETNVSIYGQFHQTFEPVGQDECLVNLELCDHGSALDNIGHGDSSINSSNWNCNIGSEMKSVFGDEDLNWVSQSKVETPAHMQMNEEKTHEHKFNHWQEKNTYPIPVRSLSHDLSETCFSVSRDAMESEFNVDFC >Ma02_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15927200:15929703:-1 gene:Ma02_g04550 transcript:Ma02_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPVNEGTNRGSMWELDQNLDQPMDEEAGRLRSMYREKKSSAILVMRLAFQSLGVVFGDLGTSPLYVFYNTFPHGVEDAEDVIGALSLIIYSLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHARVSTIPNQHRTDEQLTTYSRHTYDESSLAATVKRWLESHAYKKNALLILVLIGTCMAIGDGILTPVISGELFSFSIFLFVKVTFLIFLYSFFSLIICSILVLSKQCFIP >Ma09_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4780998:4781580:-1 gene:Ma09_g07310 transcript:Ma09_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEHRENPSPCASGCGFFGSPATRGLCSRCYRDVCLMERLEKMRPPSAAAESDAGSRKVAAAPSSSYPGEEPPKAADRCGKCKKKVRLCARFECRCGSTFCAAHRLPETHECAFDYKAHGRAAIAEANPVVVKDKLRRI >Ma01_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12612471:12613201:-1 gene:Ma01_g17250 transcript:Ma01_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVLKVSVMCTKCKICIMTIISKFDGIVSIAMDVEKSTVTIVGEVDAVGVVKALRKAKKPAEIVSVGDPDKKEEDKKKPEDCKLPPCCNTCRTAVVWLDEPSACTIS >Ma07_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27018538:27023947:-1 gene:Ma07_g19020 transcript:Ma07_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWALPSALLLLLLLSTIPDRGRKLHANAEDSGDSDELVDPPKVEDKLGAVPHGLSTDSEVAKREAESISRRTLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDNTKLDILIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKELVKKYSEFINFPIYLWASKEVDVEVPSDEEESTDEEETSETSPPEEEETEEDASEKKPKTKTVKETTYDWEVLNDMKAIWLRNSKEVSEEEYTKFYHSLAKDFADEKPLAWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSQKSNLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIADEDPDEFNNKDKTDSEKESEENEKKGQYTKFWNEFGKSIKLGIIEDAHNRNRLAKLLRFESTKSDGKLASLDEYISRMKPGQKDIFYITGTSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDRKFQNVSKEGLKLGKDSKLKDLKESFKELTNWWKDALSSENVDSVKISNRLDNTPCVVVTSKYGWSANMEKIMQSQTLSDATKQAYMRGKRVLEINPRHPIIKELRDRVAQDSKDEGLKHTARLIYQTALMESGFILNDPKDFASSIYKSVQKSLDISPDATVEEEDEVEEVEVEEKESTAKAEPEDADESFVKDEL >Ma04_p34940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33895741:33899820:1 gene:Ma04_g34940 transcript:Ma04_t34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCSYKAQYMEGQKEKFVRLEESSPRLSFASDAGRMNKCGFHVQGAARKSNNPSKSFRKGMKKGSEGLISLGRSLRSGVSRAVFSEELKATEKKIFDPQDVLLLRMNRLFVASCILSIAVDPLFFYLPVIDQDSNCVGIDRKLAATSTTLRTLIDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPTQIAIRYLRRYFIIDFLAVLPLPQFVVWSFLHRSTDSDVLDTKNSLLFIVMLQYIPRLVRTFPLTAELRRTAGIFAETAWAGAAYYLLWYMLASHIVGAFWYLLSVDRDDDCWQLACKNFDGCNVKYLYCGNAHLDGYDIWQNVSAGVLEQYCSVADDNTEFNFGIYTQALTSGIIASNKFFSKLCYCLWWGLQNLSTLGQGLVTSTYPGEVIFSIALAIFGLILMALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEESLVQTLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLKPSLYTENTYIVREGDPVDEMLFIIRGRLESITTDGGRSGFFNRSILKEGDFCGEELLTWALDPKSGANLPSSTRTVKALTEVEAFALFADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKAAELRRREEMADLDDNGGWSSSLGATIYASRFAANALRGLHRQRSKSVRELVALQKPPEPDFAVDDG >Ma10_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4966814:4968926:1 gene:Ma10_g01640 transcript:Ma10_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSLLCASVAFSLLAATLVVVAVDNSTYYVPRDDILLNCGASGQASSFDGRSWTGDTGTRYAPSLNGDGFDALRQDPSVSTVPYSTARVFTSPFTYRFPLSAGRKFIRLHFYPSDYSNHAVSDAFFSVTSGPYTLLHNFSSYLTADALNFAYLIREYSVNVSTGGLNLTFIPSTTHPNSYAFINGIEIVSIPDLFSSATPLLVDGDDGHIVYTIDPDQALETVYRLNVGGQAIPPIEDSGLFRSWDDDSPYIYGAAFGVTYSNDPNVTITYPTSVPSYIAPPDVYSTARSMGPNAQVNLNYNLTWILPVDAGFYFLVRLHFCEIQYPIVKINQRVFDIYLNNQTATEEADVIGWSGGIGIPVYRDYVVMTMGRGQMDLWVALHPDTLSKPEYYDSILNGLEVFKLQNSNNSLAGLNPGARSQLYVDPRDVRKGSAKHKSEVPVIVGVIGAFAVLLAVICLIGMCKCQKNKKMKKGKGAVTSNGPYGLSPLSLYGNARSAVSAESNLCRYFYIAGIKAATSDFDESTVGR >Ma10_p01640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4966814:4968926:1 gene:Ma10_g01640 transcript:Ma10_t01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFLFLDQSWSLMQLRSLLCASVAFSLLAATLVVVAVDNSTYYVPRDDILLNCGASGQASSFDGRSWTGDTGTRYAPSLNGDGFDALRQDPSVSTVPYSTARVFTSPFTYRFPLSAGRKFIRLHFYPSDYSNHAVSDAFFSVTSGPYTLLHNFSSYLTADALNFAYLIREYSVNVSTGGLNLTFIPSTTHPNSYAFINGIEIVSIPDLFSSATPLLVDGDDGHIVYTIDPDQALETVYRLNVGGQAIPPIEDSGLFRSWDDDSPYIYGAAFGVTYSNDPNVTITYPTSVPSYIAPPDVYSTARSMGPNAQVNLNYNLTWILPVDAGFYFLVRLHFCEIQYPIVKINQRVFDIYLNNQTATEEADVIGWSGGIGIPVYRDYVVMTMGRGQMDLWVALHPDTLSKPEYYDSILNGLEVFKLQNSNNSLAGLNPGARSQLYVDPRDVRKGSAKHKSEVPVIVGVIGAFAVLLAVICLIGMCKCQKNKKMKKGKGAVTSNGPYGLSPLSLYGNARSAVSAESNLCRYFYIAGIKAATSDFDESTVGR >Ma11_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2324700:2330005:1 gene:Ma11_g03160 transcript:Ma11_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGGLFDDGSGGGVARLVADIAYGGTADSVSHPRRLVSPSLHESMFASPGLSLALQTNLGAHGERNLARVGGVGGELDSVRRSKEDENESRAGSDNFEGGSGDDLEQEHPRKRKRYHRHTPQQIQELEALFKECPHPDDKQRMELSNRLCLEARQVKFWFQNRRTQMKTHLERHENTILRQENDKLRAENLSIRDAMRNPICCNCGSPAVLGEISLEEQHLRIENARLKDELDRVCALAGKFLGKPASPLASPLPLPMLPNSSLELAVGTNGFAGLGSVATSTLPPFTDFTPAASSPVGTFVTPAQVVGAGATGAVDKAQERFVFLELALAAMDELVKMAQMEEPLWIPGLEAGSDALNYDEYYRCFSGCIGARPTGFVSEATRETAVIVINSPALVETLMDAARWADMFPSVIARTTTTDVISSGMGGTRNGALQLMQAELQVLSPLVPVRDVSFLRFCKHLSEGAWAVVDVSVDGVRDNRPAPPATVKCRRLPSGCVVQDMSNGYSKVTWVEHSEYDEATVHPLYRPLLRSGSALGARRWVASLQRQSLAVLVPPSLSPGGDSTITPSGRRSMLKLAQRMTDNFCAGVCASSAREWSKLGGAINIGEDVRVMTRQSVADPGVPPGVVLSAATSVWLPASPQRLFDFLRNEQLRSQWDILSNGGPMQEIAHIAKGQNTGNAVSLLRASAANATQSSMLILQETCTDASGSLVVYAPVDIPAMHLVMSGGDSAYVSLLPSGFAILPDGGTHKAPGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTVQKIKAALHCET >Ma11_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27681548:27706268:-1 gene:Ma11_g24920 transcript:Ma11_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQLPSVPIFLCSLLFFLVLTKKCLAKYKGPNTRLPPGPWNLPLIGGMHHLVGQLPFRALRHLARKHGPLMLVRIGQVDVAVASSREAAEEILQKHGDNFASRPALAASQIVLYGASDIAWSPFGPYWKQMRRICFTEQLGGKRTRFFSSIRMELTHELMRDISKSETAPVNLSDKLFRLANAIICRAAFGKHREHRECFVSIVKDTYQVLGGFCLADTFPSLKFLDVLTGVMSHMHRLHHQIDEVLDEILKEHRDDAVTGNDGGRVEDLVDVLLRLKDDPQLEIPLTMDNIKAAILDMFVAGTETSSTVVEWAMSELIRHPWIMERAHKEVREALKGKNRVEESDMDKLNYMKLIIKETLRLHPSVSLLPRLCRETCEVMGYKIEAGTRVFVNAWAICRDPQYWDDAESFKPERFEGSSIDFKGFDFEYLPFGAGRRICPAIDFGLTVVELVLANLLFHFDWKMPNGMKPEELDMREKMALLVPRQTELKLLATSRIPVPATI >Ma01_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7427862:7433987:-1 gene:Ma01_g10310 transcript:Ma01_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] MAEKHFKYVILGGGVAAGYAAREFAKHGLNPGELAIISKEAVAPYERPALSKGYLFPQGAARLPGFHVCVGSGGERLLPEWYSENGIELILSTEIVKADLASKTLTSAAGATFTYDILIIATGSTVINLSDFGTPGANANNIFYLREIDDADKLVAAIETKKNGKVVIVGGGYIGLELSAVMKMNNFDVTMVYPEPWCMPRLFTSDIAAFYEGYYANKGVKIDKGTLVVGFDSDANGDVTSVKLKDGRVLEADIVVVGVGGRPLTKLFKAQVEEEKGGIKTDWFFQTSVPGVYAVGDVATFPMKLYNDIRRVEHVDHARKSAEQAVKAIKASEEGKVIDEYDYLPYFYSRSFDLSWQFYGDNVGETVMFGDNDPASAKPKFGSYWIKDGKLLGAFLEGGSPDETKTIAKLARLQPQVTDLEQLAKEGLSFASKI >Ma05_p26570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38140978:38143480:1 gene:Ma05_g26570 transcript:Ma05_t26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMGGSPHPPPVSPIGFEGYEKRLEITFSEAPIFVDPQGRGLRAISRAQIDSILDLARCTIVSQLSNKDFDSYVLSESSLFVYPYKIILKTCGTTKLLLSIPRILDLAADLSLSVLSAKYSRGTFIFPGAQPSPHRSFSEEVSVLNGFFGSLKSGGNAYVIGDPSMPNRKWHIYYATQKPELPLVTLEMCMTGLDAERASIFFKNSVDGHNSSANEMTKLSGISDIIPEMEICDFDFEPCGYSMNGIHGPALSTIHVTPEDGFSYASYEAMGFNPHSLKYHDLVERVLRCFGPSEFSVAVTIFGGRGLAATWAKKVDLHGYACNDMVEQELPGDGLLVYQTFAASTATAESPRSILHHWEGVTLENAGKDGKGGVFSEREVLVEKGGKDEKGRL >Ma05_p26570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38140978:38143480:1 gene:Ma05_g26570 transcript:Ma05_t26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSSSSLQYEAPLGYSIEDIRPHGGIEKFQSAAYSNCVRKPSYPPPVSPIGFEGYEKRLEITFSEAPIFVDPQGRGLRAISRAQIDSILDLARCTIVSQLSNKDFDSYVLSESSLFVYPYKIILKTCGTTKLLLSIPRILDLAADLSLSVLSAKYSRGTFIFPGAQPSPHRSFSEEVSVLNGFFGSLKSGGNAYVIGDPSMPNRKWHIYYATQKPELPLVTLEMCMTGLDAERASIFFKNSVDGHNSSANEMTKLSGISDIIPEMEICDFDFEPCGYSMNGIHGPALSTIHVTPEDGFSYASYEAMGFNPHSLKYHDLVERVLRCFGPSEFSVAVTIFGGRGLAATWAKKVDLHGYACNDMVEQELPGDGLLVYQTFAASTATAESPRSILHHWEGVTLENAGKDGKGGVFSEREVLVEKGGKDEKGRL >Ma01_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6146449:6152291:1 gene:Ma01_g08560 transcript:Ma01_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G23660) UniProtKB/TrEMBL;Acc:F4JPA9] MALWLLRAARKRHRWAPPADLSSLFPFSSPRQNPNKPFTGPRELGAPIPPRRHPRSWPPRPPTLLDGLPRSLSLASIYGASGSTPEDGGPRSPDGEAGTAAPPSWVDAYLPVSVRPYALLARLDKPIGTWLLAWPCGWSITLAATPGNLPDIKMLALFGCGAVLLRGAGCTVNDLLDRDIDIKVERTKYRPIACGVLTPFRGLCFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRLTFWPQAYLGLTFNWGALLGWAAVKESLDPAIVLPMYGAGVCWTLVYDTIYAHQDKEDDQKVGVKSTALRFGSSTKYWITGFGIACISNLALSGYNAELAWPFYPFLVAAAGQLGWQILTVDLSNRADCNRKFVSNKWFGALVFSGILFGRLAS >Ma03_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4356313:4360383:-1 gene:Ma03_g06270 transcript:Ma03_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVATEDAEHNNSMELGSPPHVTSPPISESKQAGNTPPSRSDFLLSLSTKVDIATELVAKCSNGAQAVPDDEVKSFIKQLEGVIRSMADDLRDIPLSMESLSGEMIHVGLQINGQCDTDQNKLENASTPRMEMREGTSSVSESQRENKSGDMPPMANYLLGMYDGTQRNDRQSSNILPQLADTLQPAYQSFFCPLTKKIMDDPVTIESGLTYDREAIAEWFERSIDVSENIVCPVTRMDVKEAALSSNVALRNTIKEWKERNEATRIRIASSALSLATSEAMILDGIKELQFLSQSRRFNKEQMHTIGITGLLTQFLQHESMTVRCEALELLRVLAEDEDGKVIIARTRALTRTIKMMSSYSSPERHAAVSFLLELSKSELFLEKIGRTPGGILILITMKYNKDADPFAAERAEEALKNLEKLPKNIKCMAENGFVEPLLDHLIDGTEEVQTEMVSYLGEIVLEHDMKTYVAERASNALIKMVNGGSSVIRKEAFRALVQISSHPPNSKMLLDAGIVPIMIEEIFARRIQNEPLESKEEAAAILANILESDLDMEKIQVNKHGHTITSHYSIYNIVHLLKYSTQQELNVNIVKILLFLTKLPKPLATVVSVIKEIEVHQGIIEFLNSPMEELATVAAKMLIVLASHMGHTIAAGLCKIQGQPEGLVKNYDTDRMTERQAVSVNLIAKIPHQNAPLNLALLHQGTVPIILSRIQEILRGEVRATGSRYTGYYLEGLVGVLVRFTTSLFDQEILYMALSRNLTSVFTDLLVRTGGSSEVQRLAAVGLQNLSSQSARLSRPPADIKKSTKMSFFAKSVSGSQRDGRMTLLCCPTHRGVCSSSTTFCLLESRAAERLLGCLESESPEVVEAALSAIITLLDDGVDAEGSVRALSELGAVRSVLGVLKVHREEGVLQRALWLVERFLEKGGDKLSREVSHDKVLTTVLVSAFHRGDGNTKKMAENILRHLHRILNFSTNSFVM >Ma07_p01740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1355043:1359802:-1 gene:Ma07_g01740 transcript:Ma07_t01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSLMPRLPEMCRFPMLGMPPPELVPRVGRIGGDASVEESTVTEQCGGSKGRRRRRDPPSSGGATEDESSKFVSTSSGNELAEAEAKRLKAIKSTDGNDNIKSEAEASSGICNKLAAQNPQTTEPPKQDYIHVRARRGQATDSHSLSERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQALQHQVEFLSMKLEAVNSPMNTTIEVFPSKDFSSQRYDTTSSLAYSSQTREYEQGSGTEWLHMQVGGAFERVT >Ma07_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1355078:1359802:-1 gene:Ma07_g01740 transcript:Ma07_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSLMPRLPEMCRFPMLGMPPPELVPRVGRIGGDASVEESTVTEQCGGSKGRRRRRDPPSSGGATEDESSKFVSTSSGNELAEAEAKRLKAIKSTDGNDNIKSEAEASSGICNKLAAQNPQTTEPPKQDYIHVRARRGQATDSHSLSERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQALQHQVEFLSMKLEAVNSPMNTTIEVFPSKDFTEIRYHL >Ma04_p30200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30914464:30936180:1 gene:Ma04_g30200 transcript:Ma04_t30200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MEAAIGIETINALVAIVCVAAFFVAASSVRRWRRRRRQRTFGGNCCSELEDKPQNRFKRVLADNSYSPFKHFKREGKEKEISLRMHPFADEIASLLEHPPLTTFMTNHEYSDMSNSYVWIDTEHQLDLLARLLSKERVFAVDTEQHSLRSFLGFTALMQISTQKEDYLIDTIALHDAMGILQSVFADSSICKVFHGADNDVLWLQRDFHIYVVNMFDTAKACEILSKPQKSLAYLLETYCGVSTDKTLQREDWRLRPLSAEMIEYARNDVHYLLYIADCLVSELKRKTPDLSASLDDKLNFFFEASHRSNMVCMQLYAKEVESTPGASAAASILSRNLNIVGITSWRRLEMKDLIWIFCAWRDLMARIHDESLRYVLSDQAIAALAVKAPKSPTEIYDVILHVDISNGLNAHPALPSPSPIAKNHVEDLCILLQEMNANIDDVFRRFWDKHLDHAQCSPLSAYNYGLLSEISLKHAGMSFSKPSVEKFTPMVGKRASRELFIQKFSCKSPVYHNCRIYASDGRLLCYCDRRKLEWYVQRDLARIVEDDPPAIMLLFEPKGRPEDEDNEFYIQSKKNMCVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEVAHSAAEKYKKKVAQEFGIPLFVQKIVNLGESTVATVASTSVNPTEETGVAPLQLRTAAMALLRHGSNMPSGRLEELTQIVKTYFGRQEISAEDLEAALLVGMSPHERRRFEKKRGLSFRHNEQNIIYKNDTSSVAQTAEDNDKNNGSMYVAEGSSEYKFKDTDSRRRSLSNSSMIDTSSALLLNNNTTVANSIQILDRVQELEQENVSSMNEGNKNENPSDGARRNLIPSMSEVASSGTTKKVSLLGHGPHGKHVVEHLLCKYGEDGIQQFCQRWRKVFVDAIHPRFLPYGWDIMHSGRRDFGEYSVYSPAKRVVQDANVLD >Ma04_p30200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30914464:30936180:1 gene:Ma04_g30200 transcript:Ma04_t30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MEAAIGIETINALVAIVCVAAFFVAASSVRRWRRRRRQRTFGGNCCSELEDKPQNRFKRVLADNSYSPFKHFKREGKEKEISLRMHPFADEIASLLEHPPLTTFMTNHEYSDMSNSYVWIDTEHQLDLLARLLSKERVFAVDTEQHSLRSFLGFTALMQISTQKEDYLIDTIALHDAMGILQSVFADSSICKVFHGADNDVLWLQRDFHIYVVNMFDTAKACEILSKPQKSLAYLLETYCGVSTDKTLQREDWRLRPLSAEMIEYARNDVHYLLYIADCLVSELKRKTPASLDDKLNFFFEASHRSNMVCMQLYAKEVESTPGASAAASILSRNLNIVGITSWRRLEMKDLIWIFCAWRDLMARIHDESLRYVLSDQAIAALAVKAPKSPTEIYDVILHVDISNGLNAHPALPSPSPIAKNHVEDLCILLQEMNANIDDVFRRFWDKHLDHAQCSPLSAYNYGLLSEISLKHAGMSFSKPSVEKFTPMVGKRASRELFIQKFSCKSPVYHNCRIYASDGRLLCYCDRRKLEWYVQRDLARIVEDDPPAIMLLFEPKGRPEDEDNEFYIQSKKNMCVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEVAHSAAEKYKKKVAQEFGIPLFVQKIVNLGESTVATVASTSVNPTEETGVAPLQLRTAAMALLRHGSNMPSGRLEELTQIVKTYFGRQEISAEDLEAALLVGMSPHERRRFEKKRGLSFRHNEQNIIYKNDTSSVAQTAEDNDKNNGSMYVAEGSSEYKFKDTDSRRRSLSNSSMIDTSSALLLNNNTTVANSIQILDRVQELEQENVSSMNEGNKNENPSDGARRNLIPSMSEVASSGTTKKVSLLGHGPHGKHVVEHLLCKYGEDGIQQFCQRWRKVFVDAIHPRFLPYGWDIMHSGRRDFGEYSVYSPAKRVVQDANVLD >Ma09_p25270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36803044:36803533:-1 gene:Ma09_g25270 transcript:Ma09_t25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTSFSSPPSRRHQLLQLLQEVRDHPSLGLLDLDLLGPLPPDPEVGRLRSLQGDFHRFSISKELVMAAGGSSGNSSDWLVSRVGFETETGSRFPMEGMGVRREARKEVAGSFWREEMEGGGGHLEERRVREAPCNWKWR >Ma07_p10630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7916070:7925725:-1 gene:Ma07_g10630 transcript:Ma07_t10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLEMRAKEAFVDDNFDLAVDLYTQALDVDPKNADLYADRAQANIKLESFTEAVADANKAIELDPSMTKAYLRKGTACIKLEEYQTAKAALEAGVLLAPGDSRFTKLIKECDERIAEETVSLSKKVMPNAPPTTMSHSSDTTIEEVNSSHSTHGLPNQTKETPNKPKFRHDYYNTPTEVVLTIFAKGIPAENVTVEFGEQTLSVTIDSLGEEYHFQRRLFAKIVPANCRYQVLSTKVEIRLLKAETITWTSLEYSGKAVPQKINTFSDAATMQQRPSYPSSKSKVDWDKLEAEVKKEEKDEKLDGDAALNRFFQDIYRDADEDTRRAMSKSFVESNGTVLSTNWGEVGSKKVEGSAPDGMEIKKWEY >Ma07_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7916070:7925725:-1 gene:Ma07_g10630 transcript:Ma07_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLEMRAKEAFVDDNFDLAVDLYTQALDVDPKNADLYADRAQANIKLESFTEAVADANKAIELDPSMTKAYLRKGTACIKLEEYQTAKAALEAGVLLAPGDSRFTKLIKECDERIAEETVSLSKKVMPNAPPTTMSHSSDTTIEEVNSSHSTHGLPNQTKETPNKPKFRHDYYNTPTEVVLTIFAKGIPAENVTVEFGEQTLSVTIDSLGEEYHFQRRLFAKIVPANCRYQVLSTKVEIRLLKAETITWTSLEYSGKAVPQKINTFSATMQQRPSYPSSKSKVDWDKLEAEVKKEEKDEKLDGDAALNRFFQDIYRDADEDTRRAMSKSFVESNGTVLSTNWGEVGSKKVEGSAPDGMEIKKWEY >Ma06_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10983150:10985919:-1 gene:Ma06_g16230 transcript:Ma06_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDLRGAAAAKSKRMTVQQLMEQLNEEERAWETSEVSASEEAEAEAPQLQRPATDVASPSASRRRVIVVVHQLPFHATSDPDIPGMCKFVPEEDCPLLHLGEGVPAGTELVLVGTLPGAADLSQELRDRLARGRHLLGFLILPVFLPPNTDSRLSRLCKVYLHHALHSVIPYGVGAPIYDGSSSAAWDEATAIFANVVDDAIRTHDDLVWLHDYHLFLLPSLIRHRHPGIRLGFSLHAPFPSREVFLSLPPATQILNSLLCCDLLGFHALDHARHFLSCCRRALGLHHRPRRSPSSHGFLGVDHLGRTIGIHVLAPGIHLPSTVAQVAAFSSPEGTDTLADLRCKYRDMTVLLSIDEPDVFRSIHLKLLAFNMVLRKLQGTRFQGRVALIQMLNCRGRPYARENSALRQNLKAHCDTINRVFGSEHFKPVEMVEQDVIRPRAKAAFYVIADCLLDTAIRGGVNLIPYEYVVSRQVDRSRHSSWKKSRVVLSEFTGSLQALSRATKVNPLDPPKTAEALVEVISMSEEEKQEQHEKHCADIRNYDVAYWSRSFIDDLRSREAAHFNNGDAVSEDGFTELQEQTVTSAYTKASRRAIFLDYDGTLESESSDAKARKAWVTDVLSSLCADPRNVVFIVSGRTKKDLGRWFGKCWGLGIAAERGYFSRWGGQAEWESMEEAVNLDWVGVAGPLMKRYMEATKHPTLEIKHSAIVWRYEEVDPARAKEMVDLMKDLLLHEPVVIKSGHRSIEVHPQGINKGSVVRKVLSTMADDQNRADFVLFIGDDSSDQDMFEFFATDKHKDVVAPRATVITCTVANKASKAKYYLENMDRVKSLLVSLAERARSLSRSKLLTLTEQQ >Ma05_p04410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3378055:3380797:1 gene:Ma05_g04410 transcript:Ma05_t04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIQKNNSGNQKNVDKNDSSSSMKMKRTRRSVPRDSPSQRSSVFRGVTRHRWTGRYEAHLWDKNCWNESQNKKGKQGAYDDEEAAAHAYDLAALKYWGHDTILNFPTSAYQEELKDMENQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVSGNKYLYLGTYATQEEAATAYDIAAIEYRGLNAVTNFDLSRYIKWLQPKGLDAEPGSHGGAAQSMQQQDGISVRGISQFPEPREAGVATSSALDLLLQSSKFKEMLEKTSAESSSTSSTPGCDDKPTGCSFPDYIQTYFECQDNGGFIEEEDSIFGDLSTLISPITEFELDI >Ma05_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3378055:3380797:1 gene:Ma05_g04410 transcript:Ma05_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIQKNNSGNQKNVDKNDSSSSMKMKRTRRSVPRDSPSQRSSVFRGVTRHRWTGRYEAHLWDKNCWNESQNKKGKQVYLGAYDDEEAAAHAYDLAALKYWGHDTILNFPTSAYQEELKDMENQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVSGNKYLYLGTYATQEEAATAYDIAAIEYRGLNAVTNFDLSRYIKWLQPKGLDAEPGSHGGAAQSMQQQDGISVRGISQFPEPREAGVATSSALDLLLQSSKFKEMLEKTSAESSSTSSTPGCDDKPTGCSFPDYIQTYFECQDNGGFIEEEDSIFGDLSTLISPITEFELDI >Ma07_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8018654:8019933:1 gene:Ma07_g10730 transcript:Ma07_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIYPKPSQVRYRKQEEAPPAGCRSAMADARILIVALVALFAFDRRLVHANFANDTVPSWGAQNVRVSADGENLTLTLTNQTGCRIDTVNRFMLGSVEMNIKLVAGNSAGTVTSYYMSSDGSAHDEIDFEFLGNSTGQPYIIHTNIYTQGMGKKEQQFYPWFDPTSSFHNYTIHWNPSEIVWFVDGTPIRVFRNYESLGIPYPSKQAMKAYSSIWNGEAWATRGGRVKIDWGSAPFVAGYARLGLRACVWDDAQCVSTYPASTLTPDQKTQMANIRGSYMIYDYCKDTSRFNGTMPPECSQPQD >Ma05_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9147307:9151419:-1 gene:Ma05_g12670 transcript:Ma05_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGYKVKLHVYDLSQGLARQLSMTFLGKVIEAIWHTGLVVYGKEYYFSGGIQQDPAGKTPYGTPIRVVELGVTHVPEEVFEEYLQEISERYTAETYNLLSHNCNNFSNEVAQFLVSTTIPDYILQLPNEVMSSPMGALILPMIQRLETTLKSGAVPQAPQFNPVSMTQTIAPTSVSSSPTKRDASAESGGNNADSGSSRAKVVGDANSTPPAVKLATVSEEKQPQLAEDPLGDARNKVQEEITREFAAIMATGTIRASEAAALATRRVMERHGRLNVPMQRG >Ma02_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20695375:20696230:-1 gene:Ma02_g11610 transcript:Ma02_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMKQIQKTSDPISKFPNDPTDQRTRINHDSKVSYIMNQRQPSHHSAAVKFSNDVNGVGEDETTGWKCWKHPSQPRDGVCPDCLRDRLLRLCPDCANVRPCRCFPSSSSSSFSSLSSTEPARSRGRGGDGAGVGAVGPVSQLIESEPAFRRSRSVAFQFLRSRSVASSVSDVAPLPRPGGGKRSALLRAFSRVPAREEPADGKLCRSRSVAAGCSQDAGSGEYGGRGKGWRWHFRNPIKAFRHRKSTTKVVQERSPLWRG >Ma09_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35044479:35045931:1 gene:Ma09_g23120 transcript:Ma09_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGDGGGDSAFLLQSAKSLPLCGEPEDEDVELRGLRTFLRWMCLDQSDRCRAAVSWSVFLLLAVAVPALSHFALSYSPERRPYDLVAQVSLTSAAALSFFTLSELTRRYGLRRFLFLNKLPAESGRVRLAYAAQLRRSSRLLACFVAPCFAAEFAYKLSWYAVGAGRVPFVGNQTVSGCVACALELASWIYRTANFFLVCVLFRLICHLHILRLQDFAAVFQEESDVEVVLKEHLRVRRQLKVISHRFRSFILLGMILVTASQFAATLVTLRPHSDDNLFNTGELALCSVVLVTGLFVCLRSAAKITHKAQALTSHATKWHVCATIDSFTVEPETSHEVAFAVGEGAADSSYEEESSEEDDLEGTNVLRPHVRTITFQKRQALVTYLENNRAGITIFGFTLDRAWLHTIFMLEVVLFLWLLGKTIGIS >Ma00_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16989168:16991474:-1 gene:Ma00_g02260 transcript:Ma00_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVRDGRRLVALRLVLAAAILVEADAVGLELHHRFSIRVREWAEAQAVAGAWWPEKGTAEYYTSLAHHDRALRGRFLAAANYSQLAFAAEGNVTFQISSLGFLYYAFVELGTPKQEFLVALDTGSHLLWVPCDCLQCAPTYDPNAYGVNMTFNIYSPSNSSTSRKLLCSDSMCQTTCTGAGSNCPYGVTYADNSSTSGVLVEDTLYLVAEDTSARVVEAPIVFGCGRNQTGSFLGGGAPDGLLGLAMGKIAVPSVLASRGLIPDSFSMCFGDDGIGRLDFGDKGSKQQQETPLHRSDLYVIGLTGMAVGNSAMAASFRAFVDSGTSFTYLSEPMYTSLAQTFDSQVPEKRRPPNPNLPFDYCYDLSPSQKSVSFPEIYFTTQGGSRFPASDPLIMIYVQGVPSMYCLAVINSTFGFNIIGENFMAGLRVVFDRERLVLGWENSDCYSSTNNMSRSSPVSLRSPTSAPVAAPPAEPGGSPPRRSDSSRLSAMRSPFLVVMSLLSMAIL >Ma05_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10595097:10596227:-1 gene:Ma05_g14510 transcript:Ma05_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCGIGVKKGPWSPEEDEALVGYIQRCGHGNWRALPKQAGLSRCGKSCRLRWMNYLRPDIKRGNFSLEEQETIIRLHETFGNRWSSIAASLPGRTDNEIKNVWHTHLKKLVSPNTSSREPKKKKKAGGSRSKKASETITMQLDTDSENAMFVSVVSKAQSNSDFSSCGTDSPTVSREISDDARGSFSSDAFPEIDESFWLDAFSMDDSASSVDLPPVSELSNLLVSSDSDDMDFWLQVFEGVGDLQELSRI >Ma10_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27878927:27884238:-1 gene:Ma10_g16000 transcript:Ma10_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSSLPPHHSSKPSSVLPYKTPNVRDHYRVGKKLGQGQFGTTYLCVDKASGKEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIKDTYEDPLFVHLVMELCAGGELFDRIIQKGHYSERKAAQLIKTIVGVVEGCHSLGVMHRDLKPENFLFASQDEDAALRATDFGLSVFYKPGDTFSDVVGSPYYVAPEVLRKYYGPEADVWSAGVILYILLSGVPPFWAETEAGIFREILQGHLDFESEPWPCISDSAKDLIRNMLNRNPKKRFTAHQVLCHPWIVDDRVAPDKPLDSAVLSRLKQFSAMNKLKKMALKVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGMRRVGSELMESEIQALMNAADIDNSGTIDYGEFLAATLHMNKLEREENLVSAFSFFDKDGSGYITVDELSQACREFGLDDVHLDDMIKEIDQDNDGQIDYNEFAAMMRKGNGEIGRRTMRNSLRINLGDALKITER >Ma04_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9164813:9166371:-1 gene:Ma04_g12160 transcript:Ma04_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRCKLCFRRFANGRALAGHMRSHHVVPARAPRPLPSPSPSASSSSPLAATAAEAEDEVKPSAMYALRENRRESFFSSAAAAFQDRGSDTESSIRRWPKRRRRLPAEPPAADEPVSSVSDASPDEDVARCLMLLSRDAWSKCKAEGRHTNGWDEIEGEEYEEEEEEEDDEDGIRSRSRRPKSRFQCGMCRKVFRSYQALGGHRASHKRVGANCVPASAGMRIHGEDSSGTTAAHHDAKLWECPYCYRVFVSGQALGGHKRSHLSSSAAATASTSPAVPLLRPPSPFTSAANNDTNSGIDLNLPAPDDEAELSVVSIATESARK >Ma07_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29296310:29324517:-1 gene:Ma07_g21240 transcript:Ma07_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHAHLSGQISTQMSTQVSTQVSVPSQQLGSYMMPQMQSLGPRPMDSELQNVRSSMRQKIYKILQRRTQALSEEWVRRLPEVVRRLEERIFKDSTRDVYLNLALEPVEHHLFSVIKNVLNNNRPLSHHITSSASSTTSPTPGTLNNGSTNAATSVQLENPTITATGTIVSTQTTANMGNLISTTIGPTDAGNNASLNTSDGTVSNGYQHQAANFGLGSCTSSVMSSTSIQRQFSQMIPTPAIDNQKAVSANYEFSDGAGFNSTESTVALRSFQQKKFFASQSSHILHSLGAQIGAEMRSNVLHKSSPYGFSNGLVNGALGLIGNNMQLSRPAASESFLSPNIYASSPKPLQQNIERQHHHSRMPTSLSQQISPMITDDYTVKTTDEILHRSDSSGLSVMNNMNSVSLHLQSRANSGLLNHHASLQSMQLPLNTRPQLLDHSEKTNYRSSQSTTELLLQSQQHLQQSPQQPNQAYAQFVHNQLQLPQRHQQSMQNQQLTLKNGPLRKSSMTSNVGEQLVAAHANVACSESLSLSAAQQVQSSELQTRHRPNTFAEGHSKSAQFLGYLPSCQDFQVSVSEDSQQLLHPHLQSDGVLDNFGWISSGSQAELMQFQWHPQSLQRAQMPDKPSCQLQEELHQRRTGQDKAPQSHLSAREVNYRRDKSMRQHNYLKQMRWLLFLHHARRCPSIKGLCKEANCTKAQELVVHMDICNSEQCKFPRCFQSRKLVEHIRNCQAADCPVCIPVRDRIAANYKAHACALSDTVLMSEIKICANGIKTDTIPPEYSKDSQPASKRVKIQNISLFPNSEALQVCVPSGNEQYDFQEAQAPECKQTIVKISANSEVIVKMEEPSGPGQEKLPIFGSDINENMSFTSCEKDNSVSNPVNSHVKQETMVVDMLLDQVASGVKQNPDNQSTDQVTVSKSGKPKIKGVSLIELFTPEQIKEHIIGLRQWIGQSKAKAERNQAMERLMTENSCQLCAVEKLIFEPPPIYCSRCGARIKRNAFYYTIGSGETRLYVCTPCYNEARGDTIEAEGSTFLKTKLEKKRNDEETEEWWVQCDKCETWQHQICALFNGRRNDGEAEYTCPSCYMQEIESGERKPLPQSAVLGAKDLPRTFLSDHIEQRLFKRLKQERQERSRHLGKTFDEVPSAEGLVVRVVSSVDKKLEVKQQFLEIFEEENYPKEFPYKSKAILLFQKIEGVEVCLFGMYVQEFSSECSFPNQRRVYLSYLDSVKYFRPEIKTVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLTMLRKAAKENIAVDLTNLYDHFFVTMGESKAKVTAARLPYFDGDYWPGAAEDMITQLRHEEDCRKQLKKGKTKKTIMKRALKAVGQTDLSGNASKDALLMQKLGETICPMKEDFIMVHLQYACTHCCILMVSGTRWVCSKCNNFQLCNKCHEAEQRVDERDRHPTNSREKHTLYPVEINDVAQDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCLVCHHDIESGHGWRCEICPDFDVCNACYQKGGIDHPHMLTNHPSMVDHDAQNKEARAKRVLQLRKMLDLLVHASQCRSPQCQYPNCRKVKGLFRHGIQCKIRASGGCVLCKKMWYLLQIHSRACKESDCGVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVSANTN >Ma01_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14355962:14357726:1 gene:Ma01_g18960 transcript:Ma01_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKGGYWSGIGARRCDSCKSGPALVFCRADEAYLCGACDARVHGTGARHERVWVCEVCEQAPASVTCKADAAALCVTCDADIHSANPLALRHERAPVVPFLEPLRPRSAATGFPFGVAAADEEASEAPSWLLPIPCAKGKSMDHFFSDVDPYLNLEYATSIDARLQQTDGVVPVDAKDASRDGGAPPPGPFLPIDGTFELDFVGSKPSYSPYTADSLSHSVSSLEFGVVPDGSGGIAKGTKADATGPNYGGGGGRAADREARVMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFVKRSEIEPEEVVRTYSPAVVAALMADPGYGVVPSF >Ma04_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19807730:19811460:-1 gene:Ma04_g18160 transcript:Ma04_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYGEGEAEGRRFAVLLCAEDSEYVKKVHGGYFKVFVSLLGEEGETWHVYRAARGELPPAEDVDAYDGFVISGSCSDAHGDDQWIRDLLSLLETLVSKKKQLLGVCFGHQILSRALGGKTGRAKRGWDIGVTCIHPSHSTIKQFSSLHIPSHLPIIECHRDEVWELPPNAEVMAQSEKTGIEIFRYGYHVMGIQGHPEYTKDILMHLIDRLLQLNLIQNCHAEAAKASVEAGEPNREAWKKLCKAFLKSQL >Ma10_p26090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33952602:33959929:-1 gene:Ma10_g26090 transcript:Ma10_t26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSVNAALLPPLEIMGIDLNTIEEEAEEAEGKMAAAEGRGGESVCLELWHACAGPQIWLPRKTSLVVYFPQGHIEQLGGAGGDGDWLGPSDVPPHVLCRVVDVKLQAAADTDEVYAQLSLVADCKELEQHLRDDETVESRRVEEADGFSKSHTTHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQLRPLQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFINKKKLVSGDAVLFLRANDGELRLGIRRADQLKRSIHSAAFSSQKNLGKLTDVANAVSARTVFCINYDPRASRLGFIIPYWKFTKSFSHSFSTGTRFKMQIDSEDAADKRYTGLITGIGELDPLRWPGSKWKCLSVRWDGEVDMAGANRVSPWDIEPTGSASVSDVPPPTAPKKSKISHPPDHLDHTIPNGVGYPDLEEPARFLGVLQGQEIMGLRTLYQGIDVTQFKVFGEYVRLQKVFQGQETHPPMSTRVLSHLLGTRSSWVRSIHEFSGLGTPCTSSTQVSSPSSVLMFQQASAQLPCPPALHGMDMYDMEKQMPSYDLARKLCGSAEVHETDHVWKKDSKGDHNVLPGGKRNCRLFGFPLTEMITVPNGIDGCETAGSSAKQT >Ma10_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22831106:22834366:1 gene:Ma10_g08480 transcript:Ma10_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACVAVVGHQNNPLYLQSFTEADDALKLHHIVHCSLDVIDERVNNPKRSVLTLNETFLGLLFPTESYKVFGYLTNTKVKFIMVTTDLDVKDADVRSFFRRFHAAYVDAVSNPFHVPGKKIASRIFAGRVSGIVKTFALGTNG >Ma04_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2295016:2299234:-1 gene:Ma04_g02780 transcript:Ma04_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRADDDYDYLFKVVLVGDSGVGKSNLLSRFTRNEFSNESKATIGVEFATRSIRIDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDITRNVTFENVERWLKELRDHTDTSIVIMLVGNKADVRHLRAATTEDATAFAERENTFFMETSALESTNVEAAFNEVLTQIYQVVSRKALEAGNDSTSLPKGQTINVGKDDVSAIKKVRCCST >Ma10_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17450653:17461912:1 gene:Ma10_g06030 transcript:Ma10_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHAYNRLGSGGGGGGGGGGTPSPPASPRRSPRIHRRAGKGGGGGRAAQGAPRTIAQRMAWMLLSFLLRRQAIFLFAPLLYVAAMLFYMGTVPIDSVPRIISRSAPGSVYRSPKLYERLRPVMDADNSSDGLATVWKHSFKGGGWRPCIHTSNDALPDSNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPYFHYHSIWRDPSKFNDIYDEDHFVNTLKSEVQVVHQVPEYIMERFGHNLSNVFNFKIKAWSSIQYYKDAVLPKLVEEKFIRISPFANRLSFDAPSTVQRLRCLANFEALQFSNPIATLAENLVSRMKEHSKDNDGKYIAVHLRFEEDMVAFSCCVFDGGEEEKQEMNAARERGWRGKFTKRGRVIRPGTIRINGKCPLTPLEVGLMLRGMGFGNNTAIYLASGKIYKAEKTMVPLLEMFPLLQTKETLASTEELAPFKNYSSRMAAIDYSVCLHSEVFVTTQGGNFPHFLIGHRRYLYGGHSKTIKPDKRKLALLFDNPNIGWKSLKRQLLNMRAHSDAKGMEMKRPSDSLYTFPCPDCMCRLNKTEVSKSSSAR >Ma08_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38082680:38082877:-1 gene:Ma08_g25120 transcript:Ma08_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFCVEQHILYNMNPKVHLELFLFCCISRTCSSIEKTLQKFGDYKRLFFLYIMRIWLQSGSFML >Ma01_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8055673:8061336:1 gene:Ma01_g11180 transcript:Ma01_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37250) UniProtKB/Swiss-Prot;Acc:Q9ZUU1] MTALRGLLRGNAAVNTRTAGRWISSVAAESGFSFPASQARKGVAPRRDPGSRNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELAATSPLSKQLTEIVNRGHLVSDEIIIDLLSKRLEDGVAKGESGFILDGFPRTRRQAEILDGVTEIELVVNLKLREDVLLAKCLGRRICSQCGGNFNVASIDIKGENGRPGIAMPPLLPPEHCMSKLITRADDTEDVVKERLRLYHELSQPVEEFYRIRGKLLEFDLPGGIPESWPKLLRALNLDDHNEKQSAAA >Ma06_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9154978:9159159:-1 gene:Ma06_g13370 transcript:Ma06_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTGSTSRDKAARSNANANPSMGSAMETISKASLKVPLPNAGSKKRSDGASTSVLLKSKEVKLKNSKPTPELAEIGSEETDLSTSEGYDSSWISWFCGLRGNELLCEIDEDYIQDDFNLCGLQGLVPYYDYALDVILDNDSLSGDIDGEEHSQVESAAEQLYGLIHARYIVTSRGLNAMHEKYKKADFGCCPRVFCGGQPCLPVGTSDIPHNGSVKIYCPKCEDVYFPRCKYQSNMDGAYIGTTFPHLYLMTYPTSKPPAEVQHYVPKVFGFKLHKGTKQLFDTSTNTL >Ma07_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9679957:9680217:1 gene:Ma07_g12820 transcript:Ma07_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMIHKPMGLDEFKQLLRGADANKDGGLSQAELWKALKVTGIGFAWFRAWLSLRKFDLNRNGVIDGDREQEKLLRYAEQNWNIIVK >Ma09_p25380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36863089:36865344:-1 gene:Ma09_g25380 transcript:Ma09_t25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCGGSSVEVVSRLLKRLEETKGLLVPDPKGASSQVVATKIDDIKKKMEELRKELRESKGKEDAAINKFALVARQVDELLGPEITFKTSNSSKTESPLEKLDKIIAILESSEEKSKDSSGEQESKAEGKEGEEEKVLPLEWQIQESSAWAHLLLVVDSFETQLKHCLFCLTVFPAKAILKKRLLIHWWMGETIVTSSREGKKCFDQLVSKGLIITIKKKHCDKVHYFRIQSWIRRLLITVAKSNGFLDFDRDGRPSNDYSWSRRACLRLEQNPIGDDAGRRLLTIYNVDKRYVDFEPTWLVNKGEMTTMQLGRWQDSDQKNDIVVKNEEFLKGLHNCKSLRYMSLRGVSRVETLPDSIGKLTKLVVLDLRACHNLEKLPEAIGSAKKLQYLDVSECFRLNKMPKSIANLSDLEVLKGFLLISGPDDKHVCHLHQLAKLTKLRKLSINIASRIAEKELENVGELKKITTLIITWAKVQPISDHEARPTTDGQASGVKLLTKRETKKIAADRFLDPAKVTLPSTIEKLELRCFTEEEFPQWIDPSKLENVKKLYLRGGRLRSLGDGRGWKVEVLRLRLLNYLDHPSWENLTTSFPGLRFVEKLNCGKKSSSHKELSSWPCNKEGFWCKEDAKKSAEDEPKAINLPPPETD >Ma04_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4831139:4838153:1 gene:Ma04_g06620 transcript:Ma04_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQSSLLLLLFLLPVAYSGVTYDRKAIIIDGQRRILISGSIHYPRSTPEMWEGLIQKAKDGGLDVIQTYVFWNGHEPSPGTYNFEGRYDLVRFIKTVQKVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKMAMQGFTQKIVQMMKSESLFGSQGGPIILSQIENEYGPESKAFGSAGHSYVNWAAEMAVGLKTGVPWVMCKEDDAPDPVINACNGFYCDSFTPNKPYKPTMWTEAWSGWFTEFGGTIHHRPVEDLAFAVARFIQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVREPKYGHLKELHRAIKLCERALVSADPTVISLGSLQQAHVFSSQSGGCAAFLSNHNPNSFARVMFNNMHYNIPPWSISILPDCRNVVFNTAKVGVQTSQMQMYPINVQSLMWERYDEEVASLEENSLITTTGLLEQINVTRDTSDYLWYITSVDVSPAEGFLRGRKRPVLMVESAGHALHIFVNGQLSGSAYGSREDRRIKFSGNVNLRAGTNRIALLSVAVGLPNAGVHYELWSTGVLGPVVLHGLDEGSRDLTWQKWSYQLGLKGEAMNLNSLEGASSVEWMQGSLVAQNQQPLTWYRAYFDAPDGNDPLALDMGSMGKGHVWINGQSIGRYWTAYAPSEYCNSCSYRGTYRSPKCQSGCGQPTQRWYHVPRSWLQPTRNLLIVFEELGGDATKISLAKRSVSSVCADVSEWHPTIKNWHIENYGRPEEHHKPKVHLRCAQGQFISAIKFASYGTPIGTCGNFQQGACHSPNSHTILEKMCIGKEKCMVAISQNIFGGDPCRNVMKRVAVEAICSSAAQPTS >Ma09_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34894352:34895390:-1 gene:Ma09_g22990 transcript:Ma09_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDNRFHDSSPLSEPPSFIQRLRSSLCSSCCFGGSAGDDEVDERPASVIRSSTIWLRSRGQELQEVGGRCRNLVARIAPRHPHHHARRGSGDFGYDPLSYALNFDEGPDDDDDDRHPGGGDAYRYRNFSSRLPPSPPRPVAS >Ma08_p32990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43520475:43523201:1 gene:Ma08_g32990 transcript:Ma08_t32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDAHRRLPLHGRLRLPELPWRILLVVKDLKAVVKQSGQGNPVGGVNLTIHEGKVYAIMGKNGSGKLTFSKVLVSHPNYEVTGSSVLFKDQNLLEMEPEDRSHVGLFMSFQTPVEIAGVSDFGFLLMAFTSQRSNHGLPLVEPLEFYSLVTPKVAASNMNPKFVDRNVNEIFNGGEMKWNEILQLAVCILTLLLYDKINPSQ >Ma08_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1986606:1994759:-1 gene:Ma08_g02660 transcript:Ma08_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASANSLLPPPTSFLPSVGNSPNFSRATRRFLRIKAASASSDENRSPSAKGKSPLAAVLEVPKTLWRQTMQPLGDFGFGWRSVWEGGVGLFIVSGAALFALAMVWLRGIQLRSRFRKYQVVFEFSQACGICVGTPVRIRGVNVGNVVRVDSTLRSIDAIAEVDDDKIIVPRNSLVEVNQSGLLMETLIDITPRDPLPEPSAGPLDPDCAEQGLIVCDKEKIRGQQGVSLDALVGVFTRLGQEMDEIGISRSYRLAEKVASVVEEAQPLLAKVEGLAESIQPLLAEVRDSTLLQDVESLTKSLAEATDGLRKVQSAILTPENVDLIRQSVYTLIFTLKNIESISSDISGFTGDETTRRNLKLLIKSLSRLL >Ma03_p32510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34273739:34275369:-1 gene:Ma03_g32510 transcript:Ma03_t32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQEREHHPFPYHEELSAVFSGKPAGSVAEAGQRGFDPQAVSTPFESFTELLCGTPTDDGSLARAFGFSCLAPADAVGSGGAALRELMADGNDNFSCSLTSTSWCGGGTTPVTPNSSASSSSTEAAGEEGGARRKKDQLKREEDGDDMSNKVKKPKKERRQREPRFAFVTRSEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCPVKKRVERSYEDPTIVVTTYEGKHTHQSPHTVRANTHQLAHPLPMMSTSFSQLPQLGCTTQQGNINPNAFPSNLSSALQPSRFPDCGLLQDILSSFLHGSQP >Ma06_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6577251:6578981:-1 gene:Ma06_g09370 transcript:Ma06_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIVNAAAAAAAEAGGGGRSSGKLLELLNVRVVGSGERVVVLSHGFGTDQSAWNRVLPYFQRDYRVVLYDLVCAGSVNPDHFDFRRYTTLDAYVDDLLDILDALHIDRCFFVGHSVSAMIGIIAAIRRPELFLKLILVGASPRFLNDGDYHGGFEREEFEKVFAAMEANYEAWVQGFAPLAVGADVPAAVREFSRTLFNMRPDISLFVSRTVVNSDLRGVLGLVRTPCVVVQTAKDVSVPASVAAYLKAHLGGRTTIELLPIEGHLPHLSSPAVLVQVLRRAIASHR >Ma06_p09370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6577251:6579074:-1 gene:Ma06_g09370 transcript:Ma06_t09370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSIVNAAAAAAAEAGGGGRSSGKLLELLNVRVVGSGERVVVLSHGFGTDQSAWNRVLPYFQRDYRVVLYDLVCAGSVNPDHFDFRRYTTLDAYVDDLLDILDALHIDRCFFVGHSVSAMIGIIAAIRRPELFLKLILVGASPRFLNDGDYHGGFEREEFEKVFAAMEANYEAWVQGFAPLAVGADVPAAVREFSRTLFNMRPDISLFVSRTVVNSDLRGVLGLVRTPCVVVQTAKDVSVPASVAAYLKAHLGGRTTIELLPIEGHLPHLSSPAVLVQVLRRAIASHR >Ma03_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23573842:23581619:-1 gene:Ma03_g17970 transcript:Ma03_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHLLGPCVSSPFLSPSPRPFSGLPGLRIQRRRAPFRTTRRLNQCLACGADTLVAGSEGSSQALRCEKAAEAGDLKSWLHRHGLPPCKVVLKERHSHDGKHRPIHYVAASENLQAGDVAYLVPNSLVVTLDRVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSFWYPFIRELDRQRGRGQVAVESPLLWSESELAYLDGSHTRAEVLEREEGIKREYNELDTVWFMAGSLFKQYPFDIPTEAFPYEIFKQAFVAVQSCVVHLQNVSLARRFALVPLGPPLLAYKSNCKAMLTAVDDAVQLVVDRPYKAGEPIVVWCGPQPNSRLLLNYGFVDEDNPYDRIVIEASLNTEDPQYQEKRMVAQRNGKLAVQVFHVYVGREKEAISEMLPYLRLGYISDTAEMNSVISSQGPTCPLSPCMEQAVLDQLAAYFEARLAAYPTTLSEDEAMLADRNLNPKKQVAVQLVKLEKKILHACLRAIFDFTDQLPDNTISPCPAPFAPHLKF >Ma01_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5892602:5905042:-1 gene:Ma01_g08230 transcript:Ma01_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHERKTIDLEQGWEFMQKGITKLKNILEGLPEQQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWLNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRNLVYQEIKGKVKDAVISLIEQEREGEQIDRALLKNVLDIFVEIGLGNMDCYENDFEAYFLKDTAAYYSRKASIWILEDSCPDYMLKVEECLKREKDRVAHYLHSSSEQKLLEKVQHELLFIYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFCKIPHGLDPVSQIFKLHVTAEGTALVKQAEDAASNKKAEKRDVVGLQEQVFVRKVIELHDKYLAYVNDCFLNHSLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQANFEDYLNNNPHANPGMDLTVTVLTTGFWPSYRTSDLNLSAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNITGKFESKTIELIVTTYQAAALLLFNASDRLSYSEIMTQLNLTDDDVIRLLHSLSCAKYKILSKEPNTKSISSSDIFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVLECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNLFRYLA >Ma09_p14370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9810992:9813073:-1 gene:Ma09_g14370 transcript:Ma09_t14370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIADWGCLMPICPSEENSESMVEDDEAAVQGEKKRRLSAAQLRALERSFEVENKLEPERKLRLAQQLGLQPRQVAVWFQNRRARCKTKQLERDYAALEANYDALRLDYDSLRRDKESLLTQIGELKAKLSSGEQRLSFSSVKKEPVVSEAEAKAAPPSSSEKDPAALFYKDGSSESDSSAVLNDENIPRRGKPSSMDAVRPLPTAAETISAPLFLDPDHLSGAIKEEGSLHHQHHHMLMMEEEEPFISFFSDDQPPILNWCSDDWN >Ma09_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9810992:9812418:-1 gene:Ma09_g14370 transcript:Ma09_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIADWGCLMPICPSEENSESMVEDDEAAVQGEKKRRLSAAQLRALERSFEVENKLEPERKLRLAQQLGLQPRQVAVWFQNRRARCKTKQLERDYAALEANYDALRLDYDSLRRDKESLLTQIGELKAKLSSGEQRLSFSSVKKEPVVSEAEAKAAPPSSSEKDPAALFYKDGSSESDSSAVLNDENIPRRGKPSSMDAVRPLPTAAETISAPLFLDPDHLSGAIKEEGSLHHQHHHMLMMEEEEPFISFFSDDQPPILNWCSDDWN >Ma02_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18831747:18832768:-1 gene:Ma02_g08520 transcript:Ma02_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQTELSLGPSSSTLSKKTQSSSSESEGCGRRKRKQIWDANPRQTSIELQLNDPLPLDWERCLDLQTGRIYYMNRKTLKRSWSRPKEQNLDLELNISTFSSSEEAPNSRSTTPEEAKKQHSSCGSMAAVVCVNCHLLVMLCKSSPSCPNCKCMQTPLPSAPQAPPPKLQSSKSPETLSLLH >Ma02_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23597652:23599256:-1 gene:Ma02_g16120 transcript:Ma02_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTNGFRTTQSADGPATILAIGTANPSNVIDQSAYPDFYFRVTNSEHLQDLKAKFRRICEKAAIKKRHLYLTEEILRENPSLLAPMAPSFDARQEIVVTAVPELAKEAAAKAIKEWGRPKSDITHLVFCSASGVDMPGSDLQLLKLLGLPMSVSRVMLYNVGCHAGGTALRVAKDLAENNRGARVLAVCSEITVLSYRGPDAAHMESLIVQALFGDGAAALVVGADPVEGVERPIFEVASASQVMLPESAEAVGGHLREVGLTFHLKSQLPAIIASNIEQSLAAALAPLGLSDWNQLFWVVHPGGRAILDQVEARLELHKDRLGATRHVLSEYGNMQSATVLFILDEMRKRSAAAGQATTGDGLDWGVLLGFGPGLSIETVVLHSVQI >Ma03_p32370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34190330:34194867:1 gene:Ma03_g32370 transcript:Ma03_t32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-4-alpha-carbinolamine dehydratase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G29810) UniProtKB/Swiss-Prot;Acc:Q6QJ72] MIRGMQTRLLALANVPLLRAKAPFQGHGCSDCWIVKLHTGHVNVSSNRRFTYGFCSCSLPQDLAGKKCVPCNSKDIRAMSEQSANELLVQVQGWGLVSEGGILKLHRSWKVKSFTKGLEFFQLVANTAEAEGHHPDLHLVGWNNVKIDLWTHSAGGLTENDFILAAKINTLSFDHLLRKKASA >Ma07_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28099518:28104548:1 gene:Ma07_g20170 transcript:Ma07_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGPSNTSGILDGIHGVRLVGRSAFEVEKALHCEGVDRSTCRGVDIAETSQILLIRRIWQQRPSCLRPIRCTLHGDRNITESVANVLTSLPFVVLGLQVPRKNINTALYANSLIGVGIASSLYHSSRGVLRKYLRWADYTMIAATTLCLSRSLRNENPKLLMAASTLLLPIQPLMVSAVHTGLMEVAFAKQALTRPNLRMAHNLHKMSSLLGAALFIADDCFPQTPYLHAAWHLAAAVGVGTCNKLLE >Ma07_p20170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28099518:28104548:1 gene:Ma07_g20170 transcript:Ma07_t20170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGPSNTSGILDGIHGVRLVGRSAFEVEKALHCEGVDRSTCRGVDIAETSQILLIRIWQQRPSCLRPIRCTLHGDRNITESVANVLTSLPFVVLGLQVPRKNINTALYANSLIGVGIASSLYHSSRGVLRKYLRWADYTMIAATTLCLSRSLRNENPKLLMAASTLLLPIQPLMVSAVHTGLMEVAFAKQALTRPNLRMAHNLHKMSSLLGAALFIADDCFPQTPYLHAAWHLAAAVGVGTCNKLLE >Ma07_p20170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28099518:28104548:1 gene:Ma07_g20170 transcript:Ma07_t20170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGPSNTSGILDGIHGVRLVGRSAFEVEKALHCEGVDRSTCRGVDIAETSQILLIRRIWQQRPSCLRPIRCTLHGDRNITESVANVLTSLPFVVLGLQVPRKNINTALYANSLIGVGIASSLYHSSRGVLRKYLRWADYTMIAATTLVAFAKQALTRPNLRMAHNLHKMSSLLGAALFIADDCFPQTPYLHAAWHLAAAVGVGTCNKLLE >Ma11_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10232187:10232712:-1 gene:Ma11_g10650 transcript:Ma11_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLAGISISIPRVLVSTKAELPKPPLSRTRLPPWTSGHRVNSRRAGLVASAPSMPPNISEKVAESIKNAEATCAEDAASGECAAAWDEVEELSAAASHARDKLKVDDPLESFCKDSPDNEECRTYED >Ma02_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22474273:22475342:-1 gene:Ma02_g14380 transcript:Ma02_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTLPGLVLRPSPLLSGQALRRKQRQPSTVASPSPSCRRRLSVSASAAPQSKETSSSIDVHVNKDAKAGNGAAIEQRRRRSGFDVSPFGLVDAVSPMRTMKMMLDTMDRLFEDAMSFPGSSTGEMRPPWEIKEEDNEITMRFDVPGLSKEEVKVSVEDDVLVIKGEHKEQEATAAGEESKWRGWSSSSYDSRFLLPDNCDKEKVKAELKNGVLLVVIPKTKTDRKVIDVEIQ >Ma04_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2370371:2372852:-1 gene:Ma04_g02940 transcript:Ma04_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSLLAGLFLLLLAPRYHVEAGGGFIKTRGLNFVLDGNPFFANGFNAYWLMTLASDPSQRSKVSSAFGDASSHGLYVARTWAFSDGGSNALQYSPGSYNEQTFRGLDFVISEARRYRIRLILSLANNYDTFGGKKQYVQWARNQGQYIASDDGFFTDSVVKGYYKNHIKTMLTRVNTITGVAYKDDPTIFAWELMNEPRCQSDLSGSTIQGWITEMAAYVKSIDGNHLLEAGLEGFYGSSSPHKRFNPGLEIGTDFIANNEIPNIDFATIHSYPDQWLSNADEQSQLAFLSNWLGVHIRDARNVIRKPLLITEFGKSWKDPGFSIYQRDTLFKTVYSKIYWSARTGGSAAGGLFWQLLSQGMDSYGDGYQIVMSEGTSTAQIITQQSRQLRYLGKLYARLRNIARLNKAKAVREEQSRGNGGRN >Ma07_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20194126:20203203:-1 gene:Ma07_g17500 transcript:Ma07_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQSSFTIPAPAVCLPAFSRSQRLLEENRLHISRYGQWHSISFARQIPSSARLFAASLNARCSATQTESQTVRSKSATITGTPTKEIAFQNHGSCQNLTMGTQGSPHGVVAATVAEVVVVEVLLVDFSCLPSSYFWIT >Ma07_p17500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20194126:20203200:-1 gene:Ma07_g17500 transcript:Ma07_t17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITQSSFTIPAPAVCLPAFSRSQRLLEENRLHISRYGQWHSISFARQIPSSARLFAASLNARCSATQTESQTVRSKSATITGTPTKESRKLPKLDDGNTGFPPRSGGGDGGGGGGGGSSSGGFFLFAFLVFLDYLKELEGDESLPG >Ma03_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25459927:25461603:-1 gene:Ma03_g20300 transcript:Ma03_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISDRGLSTVMSGVGRLGLVLLLVPWCVVWSARAGGSGRRGSWELLLDSTGAVAMHMALTYRNTVVMFDQVSAGPSGLRMPGCGPDDDGKRSCWVHSVEYDIAANAVRPLALETDPWCSSGAFLSDGVFAQTGGYGDGVRRVRYFDPSDPLPRWSESSELLVDKRWYSSDHVLPEKDRVIVVGGLNVFTYEFIPKTAQKEGAFELPFLRQTRDKKESGDNLYPFIHLSSDGNLFIFANHDSILFDYNRNQVVKTFPTMPGGGPRNYPSTGSSVMLPLDFSDGFLKVEVMICGGAATGAYRAWRRGKFYRALSTCGRMVITDDEPNWAMEDMPGPRLMNDMLLLPTGDVLLINGATHGCAGWRRAKNPSYAPYLYKPNNEAGERFSLLNPSSIARMYHSTAIVLPDGRILVGGSNPYKNYTFGVPYPTELRLEAFTPYYMDTFFDSRRPSNLSVQCGEGRDRIGYGEEFIVRFELGRKPGKLEFVVYAPPFATHSQSMNQRMLKLGCSGLVRETNGAVHAVLKAPPSSTVAPAGFYLLSVVNGGIPSKFEWLRFAHE >Ma10_p25530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33615765:33618437:-1 gene:Ma10_g25530 transcript:Ma10_t25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPIQAQHMWPIINRVAFFSFIKRDSISVKLFKSLLFAYFCLSIRYLMADGHETDKNIEIWKIKKLIKALESTRGNGTSMISLVMPPRDQISRVTKMLADEYGTASNIKSRVNPQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTHEVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKAFEMGAVETLIVWENLDINRYVLKNSTSGEIIIKHLNKDQEANQSNFRDPANNAELEVQEKVSLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGVLRYQLGILQLDMRMLDELSDDEVYEDSD >Ma02_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24030351:24030776:1 gene:Ma02_g16870 transcript:Ma02_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARESHCTLSENMNRRLKMSLGLKALQHQEKKILEKCLCCFDDNSKTSGT >Ma10_p25260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33501515:33502078:-1 gene:Ma10_g25260 transcript:Ma10_t25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLSSCGLIALIVLMSAMIRTANPLANPVALRKDLDSLCGGGVDCHSIQPDGACYEPNTLFEHVSVVYNLYYKSFQSQPLACNFGSNSMTTVSDPCK >Ma09_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2062588:2063977:-1 gene:Ma09_g03000 transcript:Ma09_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDDESRRRLMMTKRNATRRRRRSGGRRSWNRAVVAVGGSPGEGDGQKFGRKLEEIKDKNQVDDEDKKRCTMIDRFQENGRGRHRRKLRDPKDERAWVHDGLKEMNLHETHNSETHF >Ma02_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15680537:15681257:-1 gene:Ma02_g04190 transcript:Ma02_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFPGILVRDFGFRPQGKSAPMAASSGGGSANLGFGSGRSSGANGSSGNSRSGWNSNSAGDPWIGVEVSESRNSPAYDDVFGGPTRPSNASSRGPSASSSPPPAFDSIFDGYDKGAGAEPSSSSSLPVYDKPVFDDYIFSGIPGVKSSSSFNKKKVGVIGRSAEEKDRDLSDFDDLIPGFGGCSPPKKTYVFIFLGDLARFMHAA >Ma09_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8197043:8200386:1 gene:Ma09_g12140 transcript:Ma09_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKNQGISSIRLRWVSALFRVVITCAAFICRERQQPSHFSPSTRCSVLLLSQPRGEGVGV >Ma07_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5471191:5482741:1 gene:Ma07_g07400 transcript:Ma07_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MEGEGEGEAGPVTCASWIRRSEKQLLVVMGRARNRSCPPLLDIFEFNPKTASLSPDPLVRIVMRDEDGDPLGFAVHPSGDEFVCSLATGCRLYEFVSQDLGIKLLSKDLEPLHSIGPQKCLSFSTDGLKFAVGGEDGHLRIFHWPTLNILLDEPKAHKSFRDMDISLDSEFLVSTFTDGSARIWKINDGVPLVSLTRTADEKIECCRFSRDGTKPFLFCTVQKGRKVVTAVWDISTWNRIGYKRLQGKPVSVLSISLDGKYLGLGCEDGDMCVVEVKTMTISQWSKKLHLGTRIKLLEFCPKERVVLSTSNQWGVVVTKLNVPAEWKEWQIYLLLLGFFMASLLVFYILYENSDSFWNFPQGRNQPARPSATAFTDPQSSDDSPW >Ma07_p07400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5471197:5483136:1 gene:Ma07_g07400 transcript:Ma07_t07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC12-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G52190) UniProtKB/Swiss-Prot;Acc:Q8GYE0] MEGEGEGEAGPVTCASWIRRSEKQLLVVMGRARNRSCPPLLDIFEFNPKTASLSPDPLVRIVMRDEDGDPLGFAVHPSGDEFVCSLATGCRLYEFVSQDLGIKLLSKDLEPLHSIGPQKCLSFSTDGLKFAVGGEDGHLRIFHWPTLNILLDEPKAHKSFRDMDISLDSEFLVSTFTDGSARIWKINDGVPLVSLTRTADEKIECCRFSRDGTKPFLFCTVQKGRKVVTAVWDISTWNRIGYKRLQGKPVSVLSISLDGKYLGLGCEDGDMCVVEVKTMTISQWSKKLHLGTRIKLLEFCPKERVVLSTSNQWGVVVTKLNVPAEWKEWQIYLLLLGFFMASLLVFYILYENSDSFWNFPQGRNQPARPSATAFTDPQSSDDSPW >Ma03_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25805523:25813677:-1 gene:Ma03_g20650 transcript:Ma03_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGFRGVKDDLSELGRCVLDIACFLGPIAPPPRQESPPSSPRLATPPPPPQKPRPSARALSGILSDLGDDGGVFRSDPSRLSRSSPDRDRRSTDRAGGVRTIRVSAEVLEFVGHIIKRPELWLDFPVSVDEEFHMSHTQREHVSTVEDCIPDLLSLRISLCPTYMSEESFWRIYFALLHPRLSKHDSELLATHRIFDSFCTMEKERHSGLVTRWQNLYSESISSLKSETCVSIQQEDINETWEDASITRTGSQQSIYQWCEVPEAKNTPTDATRLGFNDISVDASEGNVFVMVNHMDSFLAAEQVVHSPCSSRRKHVSSGEEEMAKSKMPSDEEYDDWQAVEDSDFTTFSSPNILSNFDIPSFTALCDSIQIESRW >Ma02_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23523705:23527943:-1 gene:Ma02_g16050 transcript:Ma02_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10700) UniProtKB/Swiss-Prot;Acc:Q93Z66] MAIAAAAAGAVSSPNLYYRPGNPRLPHQQETAASATLIVKPKSAIFGRRALSSFLCDSQRNDDGRTGVLPIRSEMTTESATSDDPGFADRGAGLHHIPRISPLMAASPAVASSVALPPKRYKKSVCLFYCEEMRELAERVALESDSIYLRSISWRKFEDGFPNLFIPNAHGIRGQHVAFLASFSSPGVIFEQLSVIFALPRLFISSFTLVLPFFPTGSYERMEDEGDVATAFTLARTLSNIPISRGGPTSLVIFDIHALQERFYFGDSVLPCFESGVPLLKNRLQQLPDSENISIAFPDDGAWKRFHKQLQHFPMIICNKVREGDQRIVRLKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAAHGAERVSAYVTHGIFPNRSWERFNNDNGVGPSNGLSYFWITDSCPLTVKEVKNRPPFEILSLAGEIAAALQI >Ma01_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4525801:4530810:-1 gene:Ma01_g06350 transcript:Ma01_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYCCSYDLLRPVLFPFFAALLLVGSSLGRADSDFFDPTRVTQLSWRPRAFLYKRFLSYDECDHLMTLAKDKLEKSMVADNESGKSVMSEVRTSSGMFLEKRQDEVIARIEKRIAAWTFLPEENGESMQILHYELGEKYEPHFDYFHDQTNQQLGGHRVATVLMYLSNVQKGGETIFPNSEGKLSQLKNDTWSDCAKNGYAVKPEKGDALLFFSLHLDATTDPKSLHGSCPVIEGEKWSATKWIHVRSFDKPEKNRSSDACEDENVLCPQWAAAGECAKNPLYMVGSKDSLGFCRKSCNVCSL >Ma11_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23465329:23466022:-1 gene:Ma11_g18390 transcript:Ma11_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSAQFASSRRPWLCCWLFVIPILSSAMVARGEPAEAPDDGSLLCIGECSTCPVTCAPPSPPPPPDDRSLLCISKCDTCPVICTPPPPPSSSSPPPPSRATPSPSKPAPPAPEEGPKGGLSYPYYYFYTSEGTRCRVLHGILGLLPLLLTSLSLFLR >Ma05_p31400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41326754:41334679:-1 gene:Ma05_g31400 transcript:Ma05_t31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSVIGSGGDGFGGDDLEELGMLLREQRRQEAIDRERELNIFRSGSAPPTVEGSLSAVGGLFGLEVGAGVPNMSEPKNGDSFLSEEELRSNPTYLSYYYTHVNLNPRLPPPVLSKEDWRSTHRLQAGRSVLDGIGDRRKSNRWGEQVDMSLFSQQPLFNSQEHAVESRKAPCSGNWRDNGGDGLIGLSLSRQKSFADVLQDDFGSRTPISNHPSRPQSRNAYANSLEALSSADSQFSLNNQVLALGRQQTGEYVQCINGLPHGFAAVEGPSLEKSTTPDPQLVARAPSPCSSPFGLSVGADGHKDKVNLSATVESDDLIAALSHFSLSTDGAVTAANVSQSELQSELDDHRKFFFDSLANQETRSIMKNSDPHSFRVSSPPHSLKSSYTDSTAGCKMEARNSSLRVNDPSEPHRSTMSSANSYVKAPLPLVASPGGSSGYYQNLESVDTAFSGSGLSAYAVNPSFPSILQNQIGTGTMNPLLGSAASASAIASLAMDTGAFGCGIFAPPSLAGLTDLQNISQIGNQSAVAAVRAQLNDPLYVQHIRAAEYTAQVAATYGDSSMERGYTGNSCADLPGIQKAHIESLLQSQKQYGIPLLGKSGSLNQGYYANPAFALGLAYPGSPLAGQIDSPVGPGSPLRLGERSMQFPYGLRNLNGGIMGAWHFDPTRNMDEHFPSSLLEEFKNNKTRCFELAEIAGHVVEFSGDQYGSRFIQQKLETATREEKNMVFEEIMPGALSLMTDVFGNYVVQKFLEHGSAAQRRELADQLNGHVLALSLQMYGCRVIQKAIEVADLDQKKKMVLELDGHIMRCVRDQNGNHVIQKCIECVPQDAIQFIISTFYDQVVTLSTHPYGCRVIQRVLEYCDDNKTQQIVMGEILQSVCLLAQNQYGNYVVQHVLEHGKPSEKSCIIKKLAGQIVQMSLQKFASNVVEKCLTFGSLEERQILVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRLGLQSQQHASSMARG >Ma02_p02730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14059233:14063791:1 gene:Ma02_g02730 transcript:Ma02_t02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASSGQPEFDYLFKLLLIGDSGVGKSSLLLRFTSDSFEDLSPTIGVDFKVKIVNIGGKRLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRDTFTNLSDIWAKEIELYSTNQDCIKMLVGNKVDKEGERAVTKKEGIDFAREYGCLFLECSAKTRVNVEQCFEELVLKILETPSLCAEGSSGLKKNIFKQKPPQADASTSSCC >Ma02_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14059203:14063791:1 gene:Ma02_g02730 transcript:Ma02_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASSGQPEFDYLFKLLLIGDSGVGKSSLLLRFTSDSFEDLSPTIGVDFKVKIVNIGGKRLKLAIWDTAGQERFRTLTSSYYRGAQGVIMVYDVTRRDTFTNLSDIWAKEIELYSTNQDCIKMLVGNKVDKEGERAVTKKEGIDFAREYGCLFLECSAKTRVNVEQCFEELVLKILETPSLCAEGSSGLKKNIFKQKPPQADASTSSCC >Ma10_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18262714:18264658:-1 gene:Ma10_g06290 transcript:Ma10_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRDDHRLSENRNGNGCGEADGRLSKRPRPHPAPISAAEIVEEFSHNDPAVARVNNGSFGCCPASVLAAQLRWQRLFLRQPDEFYFSSLHPSLTRSRSLVLELINAAHLDEVSLVDNATTAAAIIFQHVAWSFIEGAFNPGDAVVMLHYAYGAVKRSIHAYVTRAGGHVVEVPLPFPLSSPDEVVAEFRRTLGLCRAGGRRVRLAVIDHITSMPCVVIPVKELTRICREEGVDQVFVDGAHSIGNVEVDVQDIGADFYTSNLHKWLFCPSSVAFLHTRGSSAAAPRLHHPVVSHEYGNGLPLESGWIGNRDYTPQLVVPAVVEFVERFEGGLEGIRRRNHEKVVEMGKMLAEFWGTFLGCPPEMSCSMIMVGLPGCLGISSETDAMKLRALLRDEFKIEVPIHYQSPPKDGEAAGATDESGATVTGYARISHQVYNVENDYCRLRDAVHKLVRDGFSCIQSTERVPSKVKDG >Ma11_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9598915:9601433:-1 gene:Ma11_g10240 transcript:Ma11_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWREAECKRVHDPFYAGDGRKVAVNPVEIDGKCIWVLGPIIVGGGPSGLAVAACLKKKGIPCVVLERANCVASLWQLKAYDRLRLHLPKKFCELPLMPFPSWFPTYPTKQQFVAYLDAYAREFGIRPRFDEAVVAAEYDAVVGFWRVKTAVVNQGREEGGREYMCRWLVVATGENAEAVVPGFDGAGDFKGPILHTSLYKSGDAFRGKRVLVVGCGNSGMEVCLDLCHHGAHPTIVVRDSVHILPREILGRSTFGLSMWLLRWLPVRAVDRLLLLAARLLLGDTAKLGLPRPQLGPLELKLRSGKTPVLDVGTLEKIKSGAIKVRPAIKKLMEHGAEFTDGRWEGYDAVVLATGYKSNVPYWLKEQEFFSEKDGLPRRAFPYGWKGERGIYAVGFTKRGLMGASLDATRIAEDIERCWKAEVKTTMAFACAPTRQAQE >Ma07_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28881288:28881897:1 gene:Ma07_g20870 transcript:Ma07_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCCRVLEILCAILLPPLGVCFRHGCCSLEFFICLVLTILGYIPGVIYALYVILCVQPVDYYVLV >Ma10_p25920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33829238:33830811:-1 gene:Ma10_g25920 transcript:Ma10_t25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFFNELRVVPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYQQEMEAAKTASAVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Ma06_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4658836:4659759:1 gene:Ma06_g06430 transcript:Ma06_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSTTSFKQYTNLKHQVQMDQMEAGMSGPGADVGANLERFFEEVENVKNDLRGLESLYRRLQEANEESKTAHSAKTMKAVRARMDADIGQVLRQAKAVKAKLEALDQANAQHRNIPGCGPGSSADRTRTSVVSGLGKKLKDLMDDFQGLRTRIAAEYKETVGRRYYTVTGTHADDDTIETLISSGASEKFMQKAIQEQGRGQVMDTISEIQERHDAVKEIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELETAREYQKSSRKWFCIGIIAAALLITFLLLPVLTTMIR >Ma06_p28180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29928573:29929265:-1 gene:Ma06_g28180 transcript:Ma06_t28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQSFPDGTRNCYTIKPGAPSTCCGRGSCTETTMASTTSRSASTSTSESTFGRHNITDPGSFVTLEAITVASADFLWVCLVNTGYGTPFISALEARPLKDNLYPAANASRSLVPFKRINLRAGDAYIRYPDDPHDCRDPRNSTKIDFSWDPYPGDVNEYYLILHFSELSILTGTDTSRQFNVYVKGYRWLRSSRDPALPEIRLRV >Ma06_p21540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15760582:15763703:-1 gene:Ma06_g21540 transcript:Ma06_t21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAGIAIPVSARPLRQSLQVFNGLSALKSVSFSSQRRSFRSIRLNPAPFRVSCAAKPETLDKVCGIVKKQLALADDVSITGDSKFAELGADSLDTVEIVMGLEEAFGITVEEESAQSIVTVRDAANMIEELVEAKTA >Ma09_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36022085:36025083:-1 gene:Ma09_g24350 transcript:Ma09_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVSGADLTPSLSIMNGGSTPDAEDPEAPEGSRSTRAVRPPRSVIRHCASAARLDIAAESEFNVGGLGLTSSCSEFSPVLRSGSWTEIGLKQCMEDEHICIDDLVDHIGENANISLPGAFYGVFDGHGGTDAAIFVRSNILKFIIEDGYFPSCMEEAIKSAFLRADYAFADACALDITSGTTVLTALIFGRTMYVANAGDCRAVLGRRGRAVELSRDHKPNCSIEKLRIENLGGVVYDGYLNGQISVARALGDWHMKGPKGCACPLSAEPELKETELTEEDEFLIMGCDGLWDVMSSQCAVTTARKELMAHNDPERCSQELVREALKRNSCDNLTVIVVCFSPDPPPQISIQKTTVRRTISVEGLNFLKGVLDNNV >Ma06_p35300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35212386:35213551:1 gene:Ma06_g35300 transcript:Ma06_t35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAGSDDEFDRLSAADDVSSGGDDDDGRVLEWELGLPSGEELTPLSQPLVPPQLASAFSVKPEPARTALDVHRASQSTIFGLRRVAPSSDASAAFRSFSPFPPAAADETVAFEGDDPVVAGESSGKIPLFGPISPCAESPEAEPSTPQPDDSSDDHSARALKRPRLVWTPQLHKRFIDVVTHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGIPDEGPSPSDHLFTSTPPATQSLHEQHVHTPMRYAMPAMFSMPVFGMAPPHGHGRPITMVPAVHNHGNGAHHAFEPQHPYGAFSERHKDWYSGNSFGSIASYPHVTPKNK >Ma06_p36070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35674162:35683169:1 gene:Ma06_g36070 transcript:Ma06_t36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESGASEIEIKVQGLQGDNDLNPAVSPFPASQPPGCRGLPPPEHRPFRRWTPWLVPAFVLANLVVFAVIMYENDCPKTYMGDCVAVFLGRFAFQPLKENPLFGPSSSTLEKMGALDVRKVVHEHQGWRLISCIWLHAGVIHVLANMLSLLLIGIRLEQEFGFARIGLLYAISGFGGSLMSALFIKSSISVGASGALFGLLGGMLSELLTNWTIYTNKCAALFTLVLIIAINLAVGVLPHVDNFAHIGGFVSGFLLGFVLLIRPHFGWVAQKNVPPGYLATPVKHKHKLYQYILWIIAAILLIVGFTIGILMLFRGVNANDYCSWCHYLSCVPTSIRSCSSSTISCLSSQEGNSLNLMCGGNRRARSYLLPNATEAQIEELCSQLCS >Ma02_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28695717:28696778:-1 gene:Ma02_g23850 transcript:Ma02_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRSWFFTIFIISALLSLSMSQGYGRRTILVRSSEAVRSPSEHEEGIWEVREMVETTMDYKEPGANTNPRSGLSPPPPT >Ma08_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35958594:35959438:1 gene:Ma08_g22340 transcript:Ma08_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNCSVLDPWMYRFESAWINEAFARDNDALTRALRTSISDTSSSGAGAPSASPDTPSAATSPNPLLLSRRHQLAPRNPLGAAPAGRVSKRKSRSSKRSPTTYINADPAHFREMVQRITGVRLDGELAEPLVKPEPVRPAVGARAALQHLCLPTLDTSAFLLDQRTADVGDGGSLGPPPDAPAFDLAALLSPVFPTLESWGVM >Ma04_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3257276:3257743:1 gene:Ma04_g04280 transcript:Ma04_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDKEGIAPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLIDFDPTDTIDRIKERIEEKEGTPPPQQRLIYLGKQLADDKTARESNIEDGSVLHLVLALKGGT >Ma03_p32770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34420124:34423504:1 gene:Ma03_g32770 transcript:Ma03_t32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGAIKLSGGGAAKEKGAAVAEVKKKVDGSGKPVSIVKKKPVNVVIKPEGKKITSSSNTVTKTSRVKAQKKVYSLPGQKYDIPEEREPLRMFYESLLEQIPSSEMAESWMMEHGLLSPERAKKAYERKQKRQQQLRRRTPIKSTRQERPATSQELKASKSVDPRSKKRINYSNDEKLEVKLKKSKA >Ma11_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6992562:6992936:-1 gene:Ma11_g08820 transcript:Ma11_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIEIVPLSKDTNMFLLYQLDKRRLVLKIQLVELFHELFLCHVSLRYRTLLRKMVRYKGGEQYSRGISPQTDIHLFGEAFDLSFASATVKLERAARPLSFAVQHSFFQRHCVWSIYGPLLLPI >Ma10_p23930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32674083:32676878:-1 gene:Ma10_g23930 transcript:Ma10_t23930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELELPPESEGKGVLRGRYELGRVLGHGTFAKVYAARDLRTGASVAMKVVAKEKVLRAGMAEQVKREIAVMRVVRHPNIVELHEVMATRSRIFFAMELVRGGELFARIARTGRLREDAARRYFRQLVYAVDFCHGRGVYHRDLKPENLLLDDAGDLKVADFGLSALSDHARADGLLHTACGTPAYVAPEVIGKRGYDGAKADLWSCGVILFVLLAGYLPFQDDNLVTMYRKIHRGDFRCPPWFSSDARRLVTKLLDPNPSTRITVAKLVEMTWFKKTPIPRPTSATEEAGEKGKKQEATADEPETLNAFHLISLSQGFDLSPLFEKDGRGRRDDGMRFATRETAEGVVARLEGVAARAGGSFRVTRSGAAAVRLEGPESGRKGRLAVAAEILAVAPSVRMVEVRKAGGDTLEYQSFCCDQLRPALKDIEWAAPAATVDSRSTAS >Ma10_p23930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32674082:32676878:-1 gene:Ma10_g23930 transcript:Ma10_t23930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELELPPESEGKGVLRGRYELGRVLGHGTFAKVYAARDLRTGASVAMKVVAKEKVLRAGMAEQVKREIAVMRVVRHPNIVELHEVMATRSRIFFAMELVRGGELFARIARTGRLREDAARRYFRQLVYAVDFCHGRGVYHRDLKPENLLLDDAGDLKVADFGLSALSDHARADGLLHTACGTPAYVAPEVIGKRGYDGAKADLWSCGVILFVLLAGYLPFQDDNLVTMYRKIHRGDFRCPPWFSSDARRLVTKLLDPNPSTRITVAKLVEMTWFKKTPIPRPTSATEEAGEKGKKQEATADEPETLNAFHLISLSQGFDLSPLFEKDGRGRRDDGMRFATRETAEGVVARLEGVAARAGGSFRVTRSGAAAVRLEGPESGRKGRLAVAAEILAVAPSVRMVEVRKAGGDTLEYQSFCCDQLRPALKDIEWAAPAATVDSRSTAS >Ma10_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32674082:32676878:-1 gene:Ma10_g23930 transcript:Ma10_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELELPPESEGKGVLRGRYELGRVLGHGTFAKVYAARDLRTGASVAMKVVAKEKVLRAGMAEQVKREIAVMRVVRHPNIVELHEVMATRSRIFFAMELVRGGELFARIARTGRLREDAARRYFRQLVYAVDFCHGRGVYHRDLKPENLLLDDAGDLKVADFGLSALSDHARADGLLHTACGTPAYVAPEVIGKRGYDGAKADLWSCGVILFVLLAGYLPFQDDNLVTMYRKIHRGDFRCPPWFSSDARRLVTKLLDPNPSTRITVAKLVEMTWFKKTPIPRPTSATEEAGEKGKKQEATADEPETLNAFHLISLSQGFDLSPLFEKDGRGRRDDGMRFATRETAEGVVARLEGVAARAGGSFRVTRSGAAAVRLEGPESGRKGRLAVAAEILAVAPSVRMVEVRKAGGDTLEYQSFCCDQLRPALKDIEWAAPAATVDSRSTAS >Ma09_p27880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38749850:38755142:1 gene:Ma09_g27880 transcript:Ma09_t27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQLLQYTERQLEMEKQPQVAFGWAARDASGVLAPFSFSRRATGDDDVTLKILYCGICHTDLHTIENDWGNAMYPVVPGHEIVGTVIDVGRNVQKFKVGDKVGVGYMVGSCGSCESCSEEYENHCFKMITTSNGVYHDGATTYGGFSDMIVVNEHFAVHIPDNLPLEKTAPLLCAGVTVYSPMKHFGLNEPGKHLGVVGLGGLGHVAVKFGKAYGMKVTVISTSRNKEQEAIQHLGADSFLVSSDPDQMKAATGTMDGIIDTVSAFHPIAPLLFLLKARGRMILVGAPNKPLELPSFCLIQGGRMLAGGSVGGMKDTQEMIDFAGKHNITAEVEVVGMDYVNTAMVRLAKGDVRYRFVIDVANTLTTA >Ma03_p28990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32026695:32030354:-1 gene:Ma03_g28990 transcript:Ma03_t28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSRSFQDGCIASKRLMMLVALLLVSCSLGHGFGDEVHVEVEGISSSCMESERRALLAIKSDMYDPDNWFSTWTGKDCCGWRGVACDHTTGHVTKLDLHYSYTYYVWDISDIRETMGGSKVNPSLQELKHLKYLDLSMNNFSYAPVPKMIASLVHLEYLNLSYAMFDGLIPPQLGNLSNLHYLDLQGWYGYHLHVDNLDWLSRIPSLKYLDMSLVDLSRATNWFHIVNSISTLEVLHLSSTGLPYAPSPLPPFNLTAIATLDLSGNSNITSAMLRWLSNATSLENLLLSGCGSLTIESLQVALGALLNLKELDLSDNSLEGEILEILNNVSSRGLKHLDLSFNQLSGDIPPGSLRDLEYLDLSTNLNVDVHILASLGNLTNLRHLGLGSNSISGEIPPTVGKFVRLEYLDLSNNGIIGKIPQVIGNLSNLLELHLSGNKIVGWIPPSIGNLTNLVHLDLSSNNIVGWIPPRMGNLTNLVYLYLSYNNIVGWIPPSMGNLTNLVHLDLSTNNIIGYIPETLGTLIHMEVLYLFNNCISGQIPEIIGDLQNLCVLCLSNNLIYGQIPKTIGKLHYLQDLDISYNNLSGQIPKTIGNLHYLQILDMSYNNLSGQIPTTLGDLCNLTMLDLSHNNIGGELINPFYGLSTCSQGASLSSLALKGNNLSGIIPSNMGQLSQLHEVDLSSNSLAGNITEAHFLNLTSLSELIIASNSLIVMLPNDWRPPFSASIIDMSFCHLGGKFPDWIQTQQQLQSLYLYGVGVSGSLPVWFLDFSKGLNNLNFSSNHLTGQLPSAPLFTLDLSNNSFVGPIPLSFEEATSLRLLSLSHNHINGGFPPFFCNMYSLGILDLSNNYLIGKVPDCHSSFPTSLQSFHLNNNNLSGTIPSFLKYCDQLITLDLGENKLFDKIPKWIGRNLSSLKVLRLRSNLLYGVIPENIVNLTSLRVLDLSSNNLFGSLPSSLGNFTAMIEVQNKTWSRLQENYSYIESRLFASNGSMVEEYYYYSESILLTTKGEIADYTTILWLVTCIDLSNNHLSGEIPKELTKLLGLRFLNLSNNHLTGRIPEKMGDMKLLESLDLSVNSLTGEIPSSFSAMHFLERLNLSYNNLSGKIPTSGQLSTFDSWTYVGNKDLCGTPLPACPVYQTPPDARVKDDEKLDKLLEYTSIVVGFVVGFWLFIGTLIMKQAIRFAFFRWIDKANDWIYVQFAVNLAKLKSKWQTTT >Ma06_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4287599:4288858:-1 gene:Ma06_g05800 transcript:Ma06_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEEASKTYPEMITEAIEALNDKNGSNKSAISKYMESKYGELPPAHSSLLTAHLARMKESGELLFVKNNYIRPGADAPPKRGRGRPPKPKPALPPVADLPSPRPRGRPPKPKDPLAAAVAKATAGLPRPRGRPPKVARPAVSVTAAPAAAAAAAGGVVKRGRGRPPKVKPSLVTEAA >Ma06_p05800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4287600:4288858:-1 gene:Ma06_g05800 transcript:Ma06_t05800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEEASKTYPEMITEAIEALNDKNGSNKSAISKYMESKYGELPPAHSSLLTAHLARMKESGELLFVKNNYIRPGADAPPKRGRGRPPKPKPALPPVADLPSPRPRGRPPKPKDPLAAAVAKATAGLPRPRGRPPKVARPAVSVTAAPAAAAAAAGGVVKRGRGRPPKVKPSLVTEAA >Ma04_p31960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32132296:32135673:-1 gene:Ma04_g31960 transcript:Ma04_t31960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGTTVAKNYFVLNTGNKIPAIGIGTWQYGGDLCVEAVATALTVGYRHIDCAHLYGNEVEVGKALAVAFKGGIKRDDLFLTSKLYCATNSHKRVENSVRVSLKSLGVSYLDLYLVHWPECSAFGDATDPSWKSASEYRQFSQRLKPTWEAMEGLVQTGLVRAIGVSNFDIHQIRELLQFAKIVPAVNQVELHPFWRQDELVKFCREKCIHVSAHTPLGVPTSRVVPMTSSGLSDSGSEDESGTPRITFRRSRSVHGPMMQLSVVADIAEQHNKTPEQVILRWGLQRGTSVLPCSINSDRIRENIDIFNWSLTDEEWNRMNGIEPQVCLFGNGPVNTSETGFVPATGPLQAVHEIEDDTE >Ma04_p31960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32132296:32135198:-1 gene:Ma04_g31960 transcript:Ma04_t31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGTTVAKNYFVLNTGNKIPAIGIGTWQYGGDLCVEAVATALTVGYRHIDCAHLYGNEVEVGKALAVAFKGGIKRDDLFLTSKLYCATNSHKRVENSVRVSLKSLGVSYLDLYLVHWPECSAFGDATDPSWKSASEYRQFSQRLKPTWEAMEGLVQTGLVRAIGVSNFDIHQIRELLQFAKIVPAVNQVELHPFWRQDELVKFCREKCIHVSAHTPLGVPTSRVVPMTSSGLSDSGSEDESGTPRITFRRSRSVHGPMMQLSVVADIAEQHNKTPEQVILRWGLQRGTSVLPCSINSDRIRENIDIFNWSLTDEEWNRMNGIEPQVCLFGNGPVNTSETGFVPATGPLQAVHEIEDDTE >Ma03_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9325828:9327719:1 gene:Ma03_g12070 transcript:Ma03_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGPNSPISLESLYGGTHMAHVCDFCKPNLASEYLVLLMGKYHKHAISWDF >Ma03_p25490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29511298:29512796:1 gene:Ma03_g25490 transcript:Ma03_t25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENPNSGETEGSSYSPNPGNSEEFESACSTPFASAPSSPGRGGSVGGGFFFFSAPSSPMHYVLSSSPFSAPDSPSEPASGDFSFEFEFSARFPSHSIAASADELFLNGQIRPMKLSSHLQRPQALAPLPDIADEEEDEDEREGARGNREAADIGVRGRDLKLRSRSVHRRSRSLSPLRNLPFRWRLQGADREEKGKDLDKTPDPEHIEAEEAPPPPVSASSRSSSSSSTSSSSSSGRSSKRWIFLKDLLHRSKSEGSGHGKDKFWHGISFSASKDRTKPTPISKPEKNPPPAPPLKPQAPLPRPANGLGRRRRAAPSPHERHYTTNRAQTEEMRRRTFLPYRQGLLGCLWFSSRSYGAIHGFARSLNPVPSR >Ma11_p06940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5547590:5555932:1 gene:Ma11_g06940 transcript:Ma11_t06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASTGIVYGGLKYQARCIADVKADTDHTSFLAGTLSLKEENEVHLIRLSPPGSELVCEGLFYHPNEIWDLKSCPFNPRIFSTVFTLGETYGASVWQIPELNGQSNAPQLEQLVSLNEHSFKIKCVLWWPSGKYDKLISIDEGNLFLWSIDASNKMAKVISQESVGMLHNLSGGAWDPHDRNAVAGICDSSLEFWDLRTMKKTSSIEQAHARDVEYNPKKQHLLVTAEDVSGIRLWDLRRPKFPVKELPGHAHWTWVIRHNPEYNELILSAGTDSTVNLWLAHPPGADDSISESPVDSPKRPKDSLLNSYTDYEDSVYGLAWSTREPSVFASLSYDGRVVVESVKSYIRK >Ma11_p06940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5547590:5555681:1 gene:Ma11_g06940 transcript:Ma11_t06940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASTGIVYGGLKYQARCIADVKADTDHTSFLAGTLSLKEENEVHLIRLSPPGSELVCEGLFYHPNEIWDLKSCPFNPRIFSTVFTLGETYGASVWQIPELNGQSNAPQLEQLVSLNEHSFKIKCVLWWPSGKYDKLISIDEGNLFLWSIDASNKMAKVISQESVGMLHNLSGGAWDPHDRNAVAGICDSSLEFWDLRTMKKTSSIEQAHARDVEYNPKKQHLLVTAEDVSGIRLWDLRRPKFPVKELPGHAHWTWVIRHNPEYNELILSAGTDSTVNLWLAHPPGADDSISESPVDSPKRPKDSLLNSYTDYEDSVYGLAWSTREPSVFASLSYDGRVVVESVKSYIRK >Ma11_p06940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5547590:5555681:1 gene:Ma11_g06940 transcript:Ma11_t06940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASTGIVYGGLKYQARCIADVKADTDHTSFLAGTLSLKEENEVHLIRLSPPGSELVCEGLFYHPNEIWDLKSCPFNPRIFSTVFTLGETYGASVWQIPELNGQSNAPQLEQLVSLNEHSFKIKCVLWWPSGKYDKLISIDEGNLFLWSIDASNKMAKVISQESVGMLHNLSGGAWDPHDRNAVAGICDSSLEFWDLRTMKKTSSIEQAHARDVEYNPKKQHLLVTAEDVSGIRLWDLRRPKFPVKELPGHAHWTWVIRHNPEYNELILSAGTDSTVNLWLAHPPGADDSIPVDSPKRPKDSLLNSYTDYEDSVYGLAWSTREPSVFASLSYDGRVVVESVKSYIRK >Ma11_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5547590:5555947:1 gene:Ma11_g06940 transcript:Ma11_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASTGIVYGGLKYQARCIADVKADTDHTSFLAGTLSLKEENEVHLIRLSPPGSELVCEGLFYHPNEIWDLKSCPFNPRIFSTVFTLGETYGASVWQIPELNGQSNAPQLEQLVSLNEHSFKIKCVLWWPSGKYDKLISIDEGNLFLWSIDASNKMAKVISQESVGMLHNLSGGAWDPHDRNAVAGICDSSLEFWDLRTMKKTSSIEQAHARDVEYNPKKQHLLVTAEDVSGIRLWDLRRPKFPVKELPGHAHWTWVIRHNPEYNELILSAGTDSTVNLWLAHPPGADDSISESPVDSPKRPKDSLLNSYTDYEDSVYGLAWSTREPSVFASLSYDGRVVVESVKSYIRK >Ma06_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12494351:12500330:-1 gene:Ma06_g18390 transcript:Ma06_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNQNFGGVKPKHSSEEALQRWRKLCGVVKNPKRRFRFTANLSKRSEASAMRKSNQEKLRVAVLVSKAALQFVHGVTLPSEYSVPEEVKAAGFRICADELGSIVEGHDVKKLKIHGGVDGIADKLSTSIDNGLIASEDKMMCRQEIYGINKFTECPVQSFWVFIWEALQDTTLIILAVCAFVSLIVGVSAEGWPKGSHDGLGIAASILLVVFVTATSDYRQSLQFKDLDKEKKKISVQVTRDGFRQKISIYDILPGDIVHLAIGDQVPADGLFISGFSLLIDESSLTGESEPVVVNSDNPFLLSGTKVRDGSCKMLVTTVGMRTQWGKLMATLGEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLAEGLIRHKIQDGLYLSWSIDDALELLDYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKTCICGNINEVSDREEVRNFTQIPDNAIKILLEAIFNNTSGEVALNQERKFEILGTPTETALLEFGLSLGGDFQAVRQETNLVKVEPFNSVKKRMGVVLQLPGGGYRAHCKGASEIILAACSNVLDPAGTAVPLDEVTVGHLKSTIDTFANEALRTLCLAYMEIDKSFSADEQIPVDGYTCIGIVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGVAIEGPDFRKKSLEEMNRLIPKLQVMARSSPLDKYTLVKHLQTMFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIAIVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGHAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKQAPVGREDNFISNAMWRNIFGQAFYQFIVIWYLQTEGKELFQLVGPDSDLTLNTLIFNSFVFCQVFNEISSREIENIDVLHGILENYIFVSVITCTVIFQFIIVQFLGDFADTTPLTLSEWVVSALIGFLGMPIAAAIKMNPVDSK >Ma06_p18390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12494351:12501092:-1 gene:Ma06_g18390 transcript:Ma06_t18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAATGVSSSPPPLVLPSPASRFSAGGRCLSRRRRTLDRPFCCRKKERKQEKLRVAVLVSKAALQFVHGVTLPSEYSVPEEVKAAGFRICADELGSIVEGHDVKKLKIHGGVDGIADKLSTSIDNGLIASEDKMMCRQEIYGINKFTECPVQSFWVFIWEALQDTTLIILAVCAFVSLIVGVSAEGWPKGSHDGLGIAASILLVVFVTATSDYRQSLQFKDLDKEKKKISVQVTRDGFRQKISIYDILPGDIVHLAIGDQVPADGLFISGFSLLIDESSLTGESEPVVVNSDNPFLLSGTKVRDGSCKMLVTTVGMRTQWGKLMATLGEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLAEGLIRHKIQDGLYLSWSIDDALELLDYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKTCICGNINEVSDREEVRNFTQIPDNAIKILLEAIFNNTSGEVALNQERKFEILGTPTETALLEFGLSLGGDFQAVRQETNLVKVEPFNSVKKRMGVVLQLPGGGYRAHCKGASEIILAACSNVLDPAGTAVPLDEVTVGHLKSTIDTFANEALRTLCLAYMEIDKSFSADEQIPVDGYTCIGIVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGVAIEGPDFRKKSLEEMNRLIPKLQVMARSSPLDKYTLVKHLQTMFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIAIVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGHAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKQAPVGREDNFISNAMWRNIFGQAFYQFIVIWYLQTEGKELFQLVGPDSDLTLNTLIFNSFVFCQVFNEISSREIENIDVLHGILENYIFVSVITCTVIFQFIIVQFLGDFADTTPLTLSEWVVSALIGFLGMPIAAAIKMNPVDSK >Ma11_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24946233:24947358:1 gene:Ma11_g20470 transcript:Ma11_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSAFILVCVKFYLYTAVIPIRAKELGEKGLHLVAMASRKNPMSYACLWGFIVQSRLYESSVMMLNLRCLGIVFDLDETLIVPNTMWSFKDQDQCSSMKISNETDPPHITSMLAEIKHSQDDKLIMKQYADSDQVVDNGKVFKVQSEVVLPLSDSHQLITRPIIRLHAKYHHSDMRDTSVLV >Ma03_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5566056:5567272:-1 gene:Ma03_g07840 transcript:Ma03_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCSKEGLNRGAWSAREDNILVDYIRTHGEGKWRDLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNITQDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEIKNYWNTNLSKKTMPPLCTKGSSKEKEEKKVGSSEELKAAGEQLPAHVIQTKAVRCTKVYLPSPRDDPLTTGPSSELTHGESPSSSVPRDADPASFLEDFDMDEFMSSFQEDGLLQLCVDEAHEDANYAREDADDDSLWFCDPMQMDLSDGNSCTESQVAAEVERLTYLIDCEEEAQDK >Ma02_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21084996:21091764:1 gene:Ma02_g12310 transcript:Ma02_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDVRLEVEAVQAVYGADCIVIRDFPPHVSVHIRPRTAEDSSQQFVEVILGIKASKQYPSTPPRVYIVEAKGLDESRQTYLITIIQSKALELSSCLMLVALCEEAVEVLSNMNHPEGNCPLCLYPLVAKDKTGSSLPFMKLMSCYHCFHSECIIRFWKWVQEERESKATETATATNLESTRDQQKGNCPVCRKVFDEKDIEHAHEYLEPDTSCMGLSGIDEGEDDELLLSKSEKNRRQHFEALLKLQQDNNGLIEPRKDLAILPGMFLPEPINPPTVSSATSSESVIPPTTSAGTSENDADQAVSRHASEETNASNSTNKATMSNNRKNNMRRKGRAHTPRVQQHGQSTRKQWIRKEPNTSHQ >Ma02_p12310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21084996:21090745:1 gene:Ma02_g12310 transcript:Ma02_t12310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDVRLEVEAVQAVYGADCIVIRDFPPHVSVHIRPRTAEDSSQQFVEVILGIKASKQYPSTPPRVYIVEAKGLDESRQTYLITIIQSKALELSSCLMLVALCEEAVEVLSNMNHPEGNCPLCLYPLVAKDKTGSSLPFMKLMSCYHCFHSECIIRFWKWVQEERESKATETATATNLESTRDQQKGNCPVCRKVFDEKDIEHAHEYLEPDTSCMASPLYGESFSLVLGFD >Ma09_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3914913:3916263:-1 gene:Ma09_g06080 transcript:Ma09_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKLGSRKEMTDPEFARSVLTELLLTFLFVFVGVAASMTAGKMAGGQDSIMGLTAVAVAQAMLVAVMVAVGLDVSAGHLNPAVTIGFAAGGYVTVFRCVLYVIVQLLGSSMACLLLQYIAGGQAVPVHALGVGIGPLQGAIMEVVLTFSMVFSIYAIIVDPKKGIVSVLAPLLIGLIVGANTLAGGPFSGASMNPARSFGPALATWDWTNHWVYWAGPFVGSGLAGFVYDHLYLMRPRDDLPGDEESITKPLC >Ma08_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14778441:14781410:-1 gene:Ma08_g14850 transcript:Ma08_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEEEMLVPTHQWRRYGDEAATAAEEDPSIDTDKLSYEIFSILESKFLFGYDDHKLWIPTAPPPAATVTDAPPAQAAEASSFKNQRGKVCVLCLDGGGGCGMRGILPGKALAYLEQALKTKSGDHDARISDYFDVAAGAGVGGVFAAMLFATRDGARPLFHADDTWRFLADQGKRLFRKGSPSSSSSSTPGMFLRCLFPGGEGSTTAAIERAMKEAFGESLTLRDTVKPVLIPCYDLRSSAPFVFSRADALESESFDFRLWEVCRATWAEPGRFEPAEISSVDGATACVGVDGGLAMSNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGEAGGAAAAPLVSEAENRKFRRWGPKEWARPISRIAADGSADLVDQAVALAFGQCRSSNYVRVQADASSMGRCSAEVDYDARPVTVKVLSETAEEMLRQKNVESVLFGGKRVRGQTNMEKLDWLAGELVLEHRRRSCRIAPTVALKRAHPPKSATTSKQS >Ma03_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5517881:5539118:-1 gene:Ma03_g07800 transcript:Ma03_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESRPEVVSQPPAVADDWSKEDDEPIEEDRPPAVADKTDDPPSQDLKEEINSNLQSLQLEARVKEKETVTTEVLEELDELDEEEDKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERTKGITVEVGRAHFETESTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGFEKGGQTREHVLLAKTLGVVKLIVVVNKMDDPTVGWSKERFDEIESKMVPFLRSSGYNVKKDVQFLPISGLVGSNMKTRVEKSICGWWDGPCLFEVFNSVEVPPRDPNGPFRLPIIDKYKDMGIVVMGKVESGSIREGDSLLVMPNKASVKVLAIYCDENKVKRAGPGENVRVRLSGIEEEDILAGFVLSSVANPVGAVFEFKAQLQILELLDNAIFTAGYKAVLHIHAIVEECEIVELIEEIDTKKKKDTDPKKRKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFAQLGRFTLRTEGKTVAVGKVVSLPAAGNSTFV >Ma09_p28690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39346596:39349427:-1 gene:Ma09_g28690 transcript:Ma09_t28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTFAVLSNYLACLSKDLTSAAVRGAITAVNKSQGSKSIDIEHEFDNLRKELRSMQCLLRDEEQRKQDSESQANWVEEVKETAQDVEDMMDLIVYFGHCQEWDKSWNSHASDYIGTRLTKVKEEFENIKQRRDRYLPQLLMRREEQSYHGGCQMWQPHEELPHETTGTDVVGMEQNEARVIAWLLGETDDAPRNMVISICGMGGLGKTCLARRVYNDQHVRGHFDCFAWVSISKTYNAEEPLRSIVRQIIGNREVQGTPDELDECLHQKRYVIVLDDVWSRNACNDFSYLLQNGKVGSRVIVTTRDHHVAASLCIDSHILNLQPLPESEAWSLFCKKAFWIDPNKSCPKDLEDWARKIVAKCEGLPLAVLTLGSLLSSKDRSPLTWKRFYNGIGSELSNNEMLVTMSRILMLSYADLPNHLKQCYLHCGSLFPENHVIKKNWLLRLWVAEGLVEDIHGMTSEEVAEGYFDELILRSMLQVARKDESGKVKACRMHILMREVTLCVSKGHKLCAVLDEQGAKVDDVKARRLSVQIGIEKAPAVPPNNKKEEAPLSRLRSLLFFVDDQASAASFLTMSPNLMLLKVLELRNVPIDHVPGEVFDLFNLRYLSLRDTNVEVLPKYVKRLKMLETLDLRGTKVICLPHEVAKLKELRHLLMDCKIDDILNQKAPRIKTDTISWIRDMKGLLTLKTVEADERLIAEIAALVRMRRLGLTNVHAEDGIQLCDSISKMGQLLSLTIDAASDEALMLDYLPSPPPHLRKLVLDGQLWKVPPWFNLLSSLTHLYLLDSQLKATCNPIPHLEKLDSLVHLTLRRAYNGEQLRFRANMFLRLKSLNIAELKRLSQLDMEEKALQSLTLLHLSRCRDLQGEGLCGIDNLPALRHLYLQDMPESLMSSLEGDHRLKASTGWESISKSMSWL >Ma03_p31250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33521445:33522547:1 gene:Ma03_g31250 transcript:Ma03_t31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPAQGYPPAGYPQQGYPPPYAQPPPQKQSSGPSFMEGCLAALCCCCLLDACF >Ma10_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19028028:19032619:1 gene:Ma10_g06390 transcript:Ma10_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGETEVELRGDLAEEPSRIDSPIETGGADRVPEERGSVSPAEAVNFSPGGGKANGDLVGGEFSVDSPLNPSGSPSSVAASAKGYGLKKWRRIRRDTSKDVTGNADRTSKSSTAASAPRLQHEVIGFLRDRSRARNIGGKGPGHDVQKRGQWAKRGKTDAGKKIREDQVKNETENFYSSIESDLMSSNTAFLHMDSMASNGKQSEKYVNYDGDDAQPSEEVRSCFYKDNGGVGALLREDLETNRSGEKNYNKFENIHPGSDLDPFLESIVSLQATKEALENEIRNFGEIGKVMIFDDANGQYEETEGISSPAVEANFVDLNQKIEQLECKLGEALTSVKAKESKVLELKAILSRTGWPNKDIDSTNISSLQEKCKEMEIELENLLVKKIEAEIEYLVLTITTQSWKVVAEDHIASLEQQRTLSGDKPKATHKVKYAENETVIFRGVTEELEKDLSETKEVLRLQGRVFKYSVCCFVQMVILCIAFELFLLHLLPSSSGVTPT >Ma01_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27947563:27960899:-1 gene:Ma01_g23420 transcript:Ma01_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPECPVCLEPYDERSTVPRVLACGHSVCEQCIAALPTPLSALPDAVRCPACNQVVPFPRSLGYDALPKNIDLLRLISSSSSHPLFPSSASDAKSKPSSALEFSPLSGDQRLLYSTWKYTILPPDAISPVPAEGTTAELLVATMSSPLGGPWFSSTNQLVSLLPIVTASSSSKEPGWFRLSYTASVVEAMHELGDRVRDELRFLAEATWKERRGLCRVYGFWMNPEKERSNLYLVSESFDRTLSDVLKKKRKLILSGGSVENFLAFGKTSLDLCEAVMGLHSQGIICGCLMPSCICFDESGHCLIDLNKVLLTGRQIWQAVSSCAPNGGGDNYGEAENQVFISPEVLLQVYDEDASNCGFKGALGYGSDVWSLACILVILVTGDELPATQVLNGWFCVFDKGKHENFVESYDAWKVIVVSKLEMFLLGTQFEPLLHILTSCLSYEVQNRPQVYDIWHCIQRPFVENFLYDLHPWDGLVAKDTFLCCLVLGNLFSLHKNSPNVSPREVNSSVSKNVSDVAMIGSNVSDGEHLQQEKIDGDFVKGLYGGHLKSVSLQGHKDCVTGLAIGGGFLFSSSFDKTINVWSLQDFSHFQSLKGHEHRVTALLVSDDGNKPFCISGDSGSGIFLWSIGPSLGQEPWKKWYEHNDWRYSGIHCLAVSGTGYLYSGSGDKSIKAWSMQDYSLSFTMVGHKSTVSSLAVANGFLYSGSWDGTIRLWWLHDHSPLAVLGDEAPGNSTPILSLSVKSNLLISSHENGVLKVWSNDVLVKSEQIQGGAIFALYIDRGSIFAGGWDKTIHIQELSENELEADIGTIASINCDSVITSLLYWHGRLFAGFSNKEIKVYYNPI >Ma01_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1897660:1903847:1 gene:Ma01_g02910 transcript:Ma01_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWALPSALLLLLLLSTVPDRGRNLHANAEDSGDSDELVDPPKVEEKLGAVPNGLSTDAEVAKREAESISRKTLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKDVLGEGDDTKLDILIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEQDKLKELVKKYSEFINFPIYLWASKEVDVEVPSDEEESTEEEETSETTSEDEETEEDASEKKPKTKTVKETTYDWEVLNDVKAIWLRNPKEVTDEEYSKFYHSLAKDFSDEKPLAWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNTNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHNSLKTIKKKLIRKALDMIRRIADEDPDEFHNKDKTEKESEENEKKGQYTKFWNEFGKSIKLGIIEDAHNRNRLAKLLRFESTKSEGKLASLDEYISRMKPGQKDIFYITGTSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTNWWNDALSSENVDSVKISNRLDNTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPVIKELRDRVAQDSKDESLKHTARLIYQTALMESGFILNDPKEFASSIYKSVQKSLDISPDATVEEEDDVEEAEEEEKGTTSNTESDEIKEDIDESSLKDEL >Ma03_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8976870:8977104:1 gene:Ma03_g11580 transcript:Ma03_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERMVAFTRRCQGSGLLKGGGPRDFDLTSGDNEFCCPHLGKPLSLSLSLSLLKH >Ma06_p24320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22798453:22807305:-1 gene:Ma06_g24320 transcript:Ma06_t24320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSSSSFTAAVAAPALCARRQARRFFSSDSRLRPRTDRRRGQWPLALAFTAQGPCCLYVGPVETASKEMLEALYQQARDSYYSGNPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLRQHSTYSDAEEDPSQAFALASVWMLLLAFGTSAILVPTIYSLSLALSDIFNLRYFFYGGRSPFELFMMVNGFLIMGLGCLIGYPIASASVQALQGLWRKELVALKGSCPNCGEEVFAFVKSKTSYRHPHRAECHVCECTLEFRTKVEETFSTPGRRWVYGRVYLVQ >Ma06_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22798453:22807305:-1 gene:Ma06_g24320 transcript:Ma06_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSSSSFTAAVAAPALCARRQARRFFSSDSRLRPRTDRRRGQWPLALAFTAQGPCCLYVGPVETASKEMLEALYQQARDSYYSGNPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLRQHSTYSDAEEDPSQAFALASVWMLLLAFGTSAILVPTIYSLSLALSDIFNLRYFFYGGRSPFELFMMVNGFLIMGLGCLIGYPIASASVQALQGLWRKELVALKGSCPNCGEEVFAFVKSKTSYRHPHRAECHVCECTLEFRTKETFSTPGRRWVYGRVYLVQ >Ma05_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19238654:19249343:-1 gene:Ma05_g17060 transcript:Ma05_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMTTTSSGSPQEEEEEEFYESLDRILSSSCSTSASASDDESSVVGHRRRGRLRLPPLRSLDVWFSEPASVEERRRRLLRHLGLAGDPALSRPGPTGAIAPIRDPGMGRSASSPDPIPAFPSGNARSRSDCSVVPGPRSKPLTQQQFSRKPPLDARFRILEVSQSNRRVDGENLCDDPRYLIKSLDDGREFLVKEFREDGMWNTLSEVGTGRQLTVEEFELWVGKSPIVQELMRRQNLEDSTNSSGHPGGPGGGGSGGGSRWKKRGSWLRSIKNVAGTMVGRGHNRDRRSSDEKDSSSEKGGRRPSSATDDSLDGSHCLRHDLKKIKVRQYGKSQKDLSGLFMSQELQAHNGSIWSIKFSLDGRYLASAGEDRVIHVSEVLEIDSMGDLLNDKASWGNDSCNPSIVSVIEGLPERLSLANPERNHWEKERRAKVVGSRKSLCLDPLVVPDYLFSLSEKPVHSFRGHLDDVLDLSWSKSQYLLSSSMDKTVRLWHMSSNSCLKVFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPERQVVDWNDLHEMVTAACYTPDGQGALVGSHKGTCHLYDTSDNRLLQKTQVDLQSRKGKSKHKKITGFQFAPGNSSKVLVTSADSRIRVLDGDELVHKFKGFRNTSSQISACLTTNGKHVICASEDSHVYVWRYDDSRISKSKDATTMTQSYEYFHTKGVTVAAPWPNTSTRKMVRACPNKQDELDGECQTNAPSMAGTNRPQLSPSLVCRCIDSHQHSTLRNTNLNRFSDRASATWPEELMTANKQSPQCNGDFCSAGTTAQSMSAWGLAIVTAGWGGEIRTFQNFGFPVQT >Ma11_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6234195:6234494:-1 gene:Ma11_g07810 transcript:Ma11_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMAWRVVVMVAALLQICSSRELESNLSHPNRIIKLPGQPQVSFQQFSSYVIMDEPKHRALFYYFIEAEIGSVLKASGSLVEWSCNVRGRGGQQRITV >Ma05_p00150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:102867:118280:-1 gene:Ma05_g00150 transcript:Ma05_t00150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) UniProtKB/Swiss-Prot;Acc:O22252] MNVAGNLGFLNTSSHERCESYIVFHAETSLSNGIRAFLYFVALAYCFIGLSSITARFFKSMENIVKHTRQIVEIDPLTGTELIKYEKVWNYTIADITLLAFGTSFPQISLAIIDSIQNIGQLNAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGTLKKISDIGVWLVELFWSFWAYVWLYIILEVWTPTMITLSEAVLTVLQFGLLLVHAYVQDKQWPYLSIPLGRSDRPEEWVPENETLFINGHDTRESNDNCCEILQVSEGQQSNVVDIFSIHSSKDTDLVYKIIPDNDTEEPSELYCNKMVDNKRDVLSVWMQQFLDALRLESTESKKMVSFYLWIGRVLWKLILMPWRLLFAFVPPYQIAHGWIAFIGSLSFISGIAYVVTKLTEGISCVTGINPYVIAFTALASGTSWPDLVASKIAAERQITADSAIANIICSNSVNIYIGIGIPWLIDTTYNFFVYQEPLYIQNAGGLSFSLLVFFTTSAGCIAVLLLRRITLGAELGGPKLWAWLTATYFMFLWLVFVVLSSLKVSGKI >Ma05_p00150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:102867:118280:-1 gene:Ma05_g00150 transcript:Ma05_t00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) UniProtKB/Swiss-Prot;Acc:O22252] MNVAGNLGFLNTSSHERCESYIVFHAETSLSNGIRAFLYFVALAYCFIGLSSITARFFKSMENIVKHTRQIVEIDPLTGTELIKYEKVWNYTIADITLLAFGTSFPQISLAIIDSIQNIGQLNAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGTLKKISDIGVWLVELFWSFWAYVWLYIILEVWTPTMITLSEAVLTVLQFGLLLVHAYVQDKQWPYLSIPLGRSDRPEEWVPENETLFINGHDTRESNDNCCEILQVSEGQQSNVVDIFSIHSSKDTDLVYKIIPDNDTEEPSELYCNKMVDNKRDVLSVWMQQFLDALRLESTESKKMVSFYLWIGRVLWKLILMPWRLLFAFVPPYQIAHGWIAFIGSLSFISGIAYVVTKLTEGISCVTGINPYVIAFTALASGTSWPDLVASKIAAERQITADSAIANIICSNSVNIYIGIGIPWLIDTTYNFFVYQEPLYIQNAGGLSFSLLVSFFSLGCCIEQIIRY >Ma05_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:102867:115152:-1 gene:Ma05_g00150 transcript:Ma05_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) UniProtKB/Swiss-Prot;Acc:O22252] MMPYSGCWTQHEWGKAVGKSQLLLQPSWLLRGLGPGTLVGSAAFDLFPIHAVCVVVPKAGTLKKISDIGVWLVELFWSFWAYVWLYIILEVWTPTMITLSEAVLTVLQFGLLLVHAYVQDKQWPYLSIPLGRSDRPEEWVPENETLFINGHDTRESNDNCCEILQVSEGQQSNVVDIFSIHSSKDTDLVYKIIPDNDTEEPSELYCNKMVDNKRDVLSVWMQQFLDALRLESTESKKMVSFYLWIGRVLWKLILMPWRLLFAFVPPYQIAHGWIAFIGSLSFISGIAYVVTKLTEGISCVTGINPYVIAFTALASGTSWPDLVASKIAAERQITADSAIANIICSNSVNIYIGIGIPWLIDTTYNFFVYQEPLYIQNAGGLSFSLLVFFTTSAGCIAVLLLRRITLGAELGGPKLWAWLTATYFMFLWLVFVVLSSLKVSGKI >Ma08_p30350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41646824:41650011:1 gene:Ma08_g30350 transcript:Ma08_t30350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCLQPSGENYANPRTCFFHVLFKAAALAFYVLSALFVSSFVIIFVVTVFLAALDFWVVKNVSGRILVGLRWWNEIDEQGESVWKFQCLDQESLARMNKKDSWLFWWTLYLTAVSWIFLGVFSLIRFQADYLLVVGVCLSLSIANIVGFTKCRKDAKKQIQQFATKTIASQFTSSLQSAFSVV >Ma08_p30350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41646435:41650011:1 gene:Ma08_g30350 transcript:Ma08_t30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQPSGENYANPRTCFFHVLFKAAALAFYVLSALFVSSFVIIFVVTVFLAALDFWVVKNVSGRILVGLRWWNEIDEQGESVWKFQCLDQESLARMNKKDSWLFWWTLYLTAVSWIFLGVFSLIRFQADYLLVVGVCLSLSIANIVGFTKCRKDAKKQIQQFATKTIASQFTSSLQSAFSVV >Ma02_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11597425:11674242:-1 gene:Ma02_g01740 transcript:Ma02_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQLPPEGGIRYVKMHPEPAIAVSSSHSFRLQEQPRIFDELPKADIVSVSRPDAGDISPMLLSYTIEFRYKQVKEWLQNLGLGEHAPIVQDDDEADDEPVPLLQEEHLSAKNRNVPSSAALPIIRPALGRQHSISDRAKVAMRGYLNHFLGNLDIVNSQEVCKFLEVSSLSFLPEYGPKLKEDYVTVRHLPKLQKDDDDRSCCPCHFFSCCDGSWQKVWAVLKPGFLALLEDPFDTNLLDIIVFDVLPSSDGNGEGRVLLAKETKERNPLRFGFQISCGNRTVKIRTRSNAKVKDWVAAINDAGLRPPEGWCYPHRFGSFAPPRGLTDDDSFVQWFIDGEAAFGAIASSIEEAKSEIFITGWWLCPELYLRRPFSVHGSSRLDAMLEAKAKQGVQIHILLYKEVALALKINSEYSKRRLLNIHENVKVLRYPDHFSTGVYLWSHHEKIVIVDNQICFIGGLDLCFGRYDNHEHKVGDFPPLIWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVQCALWGPPCRDVARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKGREINVQSSEQDVSQKDTKKLGSLSSRSSCQDIPLLLPQEPDGLAVPNGSANNELDNTCDLLDHPNRTSQNQPFSFRKTKVEHPVQDMQMKGFVDDIDSHQSQRDRHFNVIAEPLTQNMDEWWETQERGSQVVSTDEARQVGPRTQCRCQVLRSVGQWSAGTSQTEESIHNAYTSLIEKAEHFIYIENQFFISGLSGDVIIRNRVLEALCQRIMRAEKEKKCFRVIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDIMGPKVHEFISFYGLRSYGRLCDGGHLVTNQIYVHSKLMIVDDRVALVGSANINDRSLLGSRDSEIGVLIEDKEFVESYMNGNPWKAGKFSLSLRLSLWQEHLGLRAEEISQIRDPVTNATYRDIWTATAKTNTMIYQDVFSCVPSDLIHSRAAFRQNTNIWKEKLGHTTIDLGITPEKLETYQNGNVKHTDPMERLQSIRGHLVSFPLDFMCNEDLRPGFSEGEFYASSQVFH >Ma02_p01740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11597425:11674242:-1 gene:Ma02_g01740 transcript:Ma02_t01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQLPPEGGIRYVKMHPEPAIAVSSSHSFRLQEQPRIFDELPKADIVSVSRPDAGDISPMLLSYTIEFRYKQFKWRLVKKASQVLYLHLNLKKRAFIEEFHEKQEQVKEWLQNLGLGEHAPIVQDDDEADDEPVPLLQEEHLSAKNRNVPSSAALPIIRPALGRQHSISDRAKVAMRGYLNHFLGNLDIVNSQEVCKFLEVSSLSFLPEYGPKLKEDYVTVRHLPKLQKDDDDRSCCPCHFFSCCDGSWQKVWAVLKPGFLALLEDPFDTNLLDIIVFDVLPSSDGNGEGRVLLAKETKERNPLRFGFQISCGNRTVKIRTRSNAKVKDWVAAINDAGLRPPEGWCYPHRFGSFAPPRGLTDDDSFVQWFIDGEAAFGAIASSIEEAKSEIFITGWWLCPELYLRRPFSVHGSSRLDAMLEAKAKQGVQIHILLYKEVALALKINSEYSKRRLLNIHENVKVLRYPDHFSTGVYLWSHHEKIVIVDNQICFIGGLDLCFGRYDNHEHKVGDFPPLIWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVQCALWGPPCRDVARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKGREINVQSSEQDVSQKDTKKLGSLSSRSSCQDIPLLLPQEPDGLAVPNGSANNELDNTCDLLDHPNRTSQNQPFSFRKTKVEHPVQDMQMKGFVDDIDSHQSQRDRHFNVIAEPLTQNMDEWWETQERGSQVVSTDEARQVGPRTQCRCQVLRSVGQWSAGTSQTEESIHNAYTSLIEKAEHFIYIENQFFISGLSGDVIIRNRVLEALCQRIMRAEKEKKCFRVIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDIMGPKVHEFISFYGLRSYGRLCDGGHLVTNQIYVHSKLMIVDDRVALVGSANINDRSLLGSRDSEIGVLIEDKEFVESYMNGNPWKAGKFSLSLRLSLWQEHLGLRAEEISQIRDPVTNATYRDIWTATAKTNTMIYQDVFSCVPSDLIHSRAAFRQNTNIWKEKLGHTTIDLGITPEKLETYQNGNVKHTDPMERLQSIRGHLVSFPLDFMCNEDLRPGFSEGEFYASSQVFH >Ma04_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8040640:8041799:1 gene:Ma04_g11390 transcript:Ma04_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTVPFNAFPTSNRGGGSGEESTGGGAMKRRSIDGSDGGGDPRVAAEEEKSKKPRSDGGKAEVTAEEEEEEEVNVAELGEDLVLEVLKRADARTLGRAACVSRRWRRLAEDERLWEAVCTRDWVRVPYGERQLRSVVLALGGFRRLHSLYILPFLGTSGPRTAASSPALALPSAAATSPSPMTRRAHLQPRWGKDEVQLSLALLSIGFFEKMNPNNNHRGGGGGCG >Ma02_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13802317:13804825:1 gene:Ma02_g02560 transcript:Ma02_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MGIGDVDSTYKELDFVRMKQRLKLRKSSNDAIKSKLLFVIRIHGSKDMHPRTRQILNKLRLRHILSGVFLKANEANLRMLLTVEPFITYGYPTLKSVRELVYKKGCGNIEKERTPLTDNNVIEQALGKYGIICLEDVVHEIATVGSHFKEVTSFLWSFKLKCPERRLQMKKKLYKEGGDAGNREDHINELIDKLN >Ma06_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3370:6282:1 gene:Ma06_g00010 transcript:Ma06_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTSALECPGCPPLRALTTDVLGLVKVVEAHGKTGIPKVVETWGQPNASSCVLAASYSDHKSDLLLAVARKNGLVQCLNPINGEALGITKIDQPLSLNGSLNDDHVVGLHLFKTKGIDVPSRSVSLLTCLEKGNACLRSIPVSDAPENSTTASHITWNVCSSGKIICSSVDKSENYALFGGKGIELNMWDLGKCSKIWSAKSPPSNRLGIFSPTWFTAATFLSEDDHRKVVAGTINHQMAVDFLVFKKTDRMLYW >Ma06_p00010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3367:14476:1 gene:Ma06_g00010 transcript:Ma06_t00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTSALECPGCPPLRALTTDVLGLVKVVEAHGKTGIPKVVETWGQPNASSCVLAASYSDHKSDLLLAVARKNGLVQCLNPINGEALGITKIDQPLSLNGSLNDDHVVGLHLFKTKGIDVPSRSVSLLTCLEKGNACLRSIPVSDAPENSTTASHITWNVCSSGKIICSSVDKSENYALFGGKGIELNMWDLGKCSKIWSAKSPPSNRLGIFSPTWFTAATFLSEDDHRKVVAGTINHQCSGSIRSIARHPELPMMASCGLDSYLHVWDAKTRQLLSAVFLKQHLTNVVIDSHFSDEGES >Ma06_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1366385:1367648:1 gene:Ma06_g01670 transcript:Ma06_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESQGYKYFVLRAQKIALSHGYEVINWEETFNNFGSQLSPKTVVHNWLGGGVAQKVVAAGLRCIVSNQDKWYLDHLDVPWQKFYMNEPLTNISTPEQQKLVIGGEVCMWGESIDASDIEQTIWPRAAAAAGNTGKN >Ma04_p11490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8106934:8110212:-1 gene:Ma04_g11490 transcript:Ma04_t11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVRWWEREVAGFNLEEKNADDDLNLELGLQPWSSLPEPVMMFTCSYCPRKFHSSQALGGHQNAHKLERSLAMQRRELALAIREKTDGFGSTSNGGVDDRKKLAVEIDLSLRL >Ma07_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31954139:31958967:1 gene:Ma07_g24670 transcript:Ma07_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MATTSAYAASSSVVRILCSNPARDPPSGFKGCRGFSGSLRFSSKRSTAPLAMMSRRSDTSLGDGDREKDTSTSAVAEGFADRLDDLSSESELEEREPSVATILTSFGNSFDPYGAMSTPLYQTSTFKQPSATTYGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALATVTHLVEAGQEIVAGDDIYGGSDRLLSRVVPKSGVIVKRVNTSDINEVASAIGPSTKLVWLESPTNPRQQITDIRKISEIAHSFGALVMVDNSILSPVLSQPLQLGADIVMHSATKFISGHSDLMAGILAVKGDSLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKLAEFLSSHPRVKQVNYAGLPGHPGRSLHYSQAKGAGSVLSFLTGSLALSEHIVQSTKYFSVTVSFGSVKSLISLPCFMSHASIPASVREARGLTDDLVRISVGIEDIEDLMADLDYAIRSGPG >Ma04_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11741425:11742763:-1 gene:Ma04_g15460 transcript:Ma04_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSKLSGGEQEPRLIHSKLTQQKDEALLRVSSDVSIMNTNKIVEELKEQANGSDVQSVECECCGISEDCTPRYIKRIKEFFHGIWICGLCSEAVKEQMKRTPAVTKEQALETHMSLCKKFNRTTRLNPKLSLAVSMRDIARKSSERRTIKDVPGSKIVRAMSCGPRLDVNIKQSQVQ >Ma03_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28121537:28123335:1 gene:Ma03_g23580 transcript:Ma03_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHNVANPHQLPDDSFAAEGSDGAPLSSYHRAQEISTIVSALAHVMASERRPRPVGMAVDSVSVVSSSSSSSSSSSSSLSCISSSYSSPSLGGQGGGARSQNRTRRVPSPPDLALRHHQGLGEFARYRGDASPDVAATEQYPQGGPLPILGYPVPAAAMEEPSPASSNPEEAERSEPRRKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEEAARAYDAAALRFRGRRAKLNFPENVRLQPSLSVPLATSNSPATTSDTITDYLAYTRLLQGGEEHPRIPPTSLLDQYMYSNYASPMCSTVNDGSSLPAPSIPTYSSVVSSSSTPYSPFYASSTTEQQTNWSGVSDIPETSWMGSSQFPPSSSGS >Ma08_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1917854:1920373:-1 gene:Ma08_g02480 transcript:Ma08_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGVDGIMYEEEFVNNSRGLKLFTCRWLPEKKEPKALVFLCHGYAMECSISMKDTGTRLAKAGYAVHGIDYEGHGKSSGLQGYIPSFKNLVEDCSEHFTSVCERSENKKKARYLLGESMGGAVVLLLHRREPPYWNGAVLVAPMCKIADEMKPHPVVISTLTKLCNVIPTWRIVPTKDIIDIGFKVPEKREEIRSNPYCYKGRPRLKTAHEVLMVSLDIEKNLNQVSLPFLVVHGGDDIVTDPSVSRLLYETASSEDKTLKLYPGMWHALTSAEPPANIDLVFADIIAWLDRRTAAEGSRSEMEQKSKHEERQLFHAQAKQSPIASL >Ma07_p26630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33346690:33349955:1 gene:Ma07_g26630 transcript:Ma07_t26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRNIPGARRGGAVHIGEESIDADAFTKLSHSETYLAARRLLKSFLNSLVNRISDGVAGLWLRPSSRFVDRSKAKGIRWKKVLLHISIFFMIGIFIGFTPFQSVDVSKNFSSRHQLFSFKDDLAALDTHRKIYMMQKEVQKTAKAERKKNESHDTMDALPAALSVELESHKLLIIVTPTYVRPFQAYHLNRLAHTLRNVPPPLLWLVVETFTRSSETAKILRGSGVMYRHLVCKQNVTYIKDTSLNIALSHIEEHRLDGIVHFADDNGIYSIHLFDQMRQIRLFGTWPVAIVTGAGKQVSIEGPVCNGSQVIGWHRNLSKISQRLHTTMATFAFNSTVLWEPNRWLRLNPEPRRKHDLIEEDSEETAFIEELFEDESQMEGLANNCSLIMVWHLRVEASETRYPKGWLMQKNLDVVIPLA >Ma04_p38440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35982272:35990102:1 gene:Ma04_g38440 transcript:Ma04_t38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARNWFHKLQPRGEKLKPGTAKKEAGSAKDMQKPPVDEAPSNITKQKVAAAKQYIENHYKAQMKSLQDRKERRWILERKLATAEVSEEEQHNLLKNLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRLCKEKTTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSSYIVKLYFSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDVARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDSSSFPNLNEPDYSTGRNVKHVLDDKRSNVSPAPRRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRNHLKFPEEAKLSPEAKDLISRLLCNVEHRLGTKGAHEIKAHPWFKGIHWERLYQMEAAFKPEVNDELDTQNFEKFEETSGSVQTSSKSGPWRKMLPSKDVNFVGYTYKNFEIVNDDEVSGIAELKKKSNKPKRPTIKSLFDMDTSATPNQPVQGSFLKLLPTQMEVPESLESSSQSSSSSLDQPQSRNR >Ma08_p29150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40853517:40855141:-1 gene:Ma08_g29150 transcript:Ma08_t29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKQGSYFKRLPKSSAIKVIDFGSTTYDRHDNSYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGETLFQTHENLEHLAMMERVLGPLPSNMLRLAARDAERYVRRGHLNWPEGATSRESMKAVLKLPRLQNLVMQHSDHSAGDFIDLLQGLLRYDPADRLAANAALLHPFFTRNR >Ma10_p19280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29870273:29876869:1 gene:Ma10_g19280 transcript:Ma10_t19280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSCSRAFWAFSSSTTPTRRTPSAAPKLLRRRAPLFGALSSLCLGSIYAIACRSAARFRFVAQNPNSPIWDPIPGEWSKFVNQPSTRGLHSTGMEGALTTVPSIVVYVTVPNKEAGMKLAESIIKEKLAACVNRVPGIESVYWWDGKVETDAEELLIIKTRESLLGALTQHVKSNHEYDVPEVIALPITGGNEKYLEWIKDSTRDD >Ma10_p19280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29870273:29876883:1 gene:Ma10_g19280 transcript:Ma10_t19280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSCSRAFWAFSSSTTPTRRTPSAAPKLLRRRAPLFGALSSLCLGSIYAIACRSKFVNQPSTRGLHSTGMEGALTTVPSIVVYVTVPNKEAGMKLAESIIKEKLAACVNRVPGIESVYWWDGKVETDAEELLIIKTRESLLGALTQHVKSNHEYDVPEVIALPITGGNEKYLEWIKDSTRDD >Ma10_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29870273:29876869:1 gene:Ma10_g19280 transcript:Ma10_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALTTVPSIVVYVTVPNKEAGMKLAESIIKEKLAACVNRVPGIESVYWWDGKVETDAEELLIIKTRESLLGALTQHVKSNHEYDVPEVIALPITGGNEKYLEWIKDSTRDD >Ma04_p29150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30092412:30098290:-1 gene:Ma04_g29150 transcript:Ma04_t29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGSFGSALLVRHKIEKKKYVLKKIRLARQTDRCRRSAHQEMELISKVNNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEVIKKVNGALFPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEKNIRLGDFGLAKILTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQGLINKINKSIVAPLPSTYSGAFRGLIKSMLRKNPEHRPSAAELLKHPHLQPYVLEVNLKSPTWNRLPIMQTKNNHLKKITFLDASNDSMCNDMEKRRSFSSEKILKLNKPVAEHDSFDSTQTIKVYPNYPNQRLKGQVGDIDVYKANSEKHSPTVKTPRYTPKSFTTPRNVVEPSKSSHTGGDHELLPSRITTDKSGQHTGRTSLPSSKSETPYRHKVGIINPMESPDISVNSPRIDRIAEFPLASFKEPLFTIQKLPSDHDSSLIPHCGDCSITKDKCTVQIFRSKGNNRNYSPDRSPVAADVSSRGSSESMQRRFDTSSYEQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPEKVSPRETAIWLTKSFKETAVC >Ma05_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15692382:15695935:1 gene:Ma05_g16230 transcript:Ma05_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLEERERVMGGRTRRGRRCGLLGSYMLTLALASFLAVAPPARALRFELQSGHTKCISEDIKIHAMAVGKYAVVNPSDSAPLPDSHKITVRVTSPYGNSIHYADQVESGNFAFTSSEEGDYLACLWAPDHNPPATMAVEFEWQTGVTAKDWTNVAKKGQIDVMELELKKLEDTVKSIHDEMFYLRESGELAVMASEDIF >Ma05_p16230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15692382:15695935:1 gene:Ma05_g16230 transcript:Ma05_t16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLEERERVMGGRTRRGRRCGLLGSYMLTLALASFLAVAPPARALRFELQSGHTKCISEDIKIHAMAVGKYAVVNPSDSAPLPDSHKITVRVTSPYGNSIHYADQVESGNFAFTSSEEGDYLACLWAPDHNPPATMAVEFEWQTGVTAKDWTNVAKKGQIDVMELELKKLEDTVKSIHDEMFYLREREEQMLDMNRSTNSRMAWLSFLSLAVCLSVASLQLWHLKTFFERKKLL >Ma06_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14887171:14887838:-1 gene:Ma06_g20740 transcript:Ma06_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTRIPILDRCKKWFKARGFVSKISVDRCCCCCNCCCYDGIGVPFILLKVKLHFSQRRWSLAEGKSEASLFSTTGSDTMIEIIEDKNNN >Ma06_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7106111:7109408:1 gene:Ma06_g10260 transcript:Ma06_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESKGSVLMQKYEFGRFLGKGTFAKVYYARNLKTSQGVAVKVIDKEKVMKIGLTDQIKREIAVMRLVSHPNVVQLYEVMATKSKIYFILEYVKGGELFNKIAKGRLKENVARKYFQQLISVVDFCHSKGVYHRDLKLENLLIDENGNLKVSDFGLSALAESKRQDGLLHTACGTPAYVAPEVISRKGYDGAKADIWSCGVILFVLMAGYLPFLDSNLMEMYRKIVKADFKCMGLFPLDVRRLLVRILDPNPNTRMVIAKIMENSWFRKGLDGKLLNDKKATQEIDPSDDVDEVFDSCGSNGVEAKEEMGKLANLNAFDIISHSAGFDLSGLFEETDHRREVRFASDQPASSIISKLEEIAKRLKLKVKKKDYGVLRMEGSKVGRRGVLGIDAEIFEIAPKFHIVEIKKTQGDALEYEEMWTQDIRPALKHIVWTWQCDQQQQNL >Ma01_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3017329:3019930:-1 gene:Ma01_g04490 transcript:Ma01_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLPLFAFLLLLLLTLLQAATTAAASAPPSPNVVSPHCNETCGSISIPYPFGIGHGCFREGFEVTCEVVKGSATPRAFLGGSEGNITVQSISLPQGQASMLNDIAWLCFNRTGGVVDYQKSSFNLSGLPFRVSNTGNKFTTLGCNVVGILLGLKNNTYGTGCASFCFEESSIASGSCHGTGCCESTIPQELEYVTAGLDYFNNLSSYKDYSPCAYAFIADQDWFSFDKSDLSNHTFRGKYKHGVPLVLDWVAGKQTCEEAKRNPSSYACRSTNSECFNSKSLQGYICNCSTGFHGNPYLPDGCKDIDECSLPTQYPCHGKCSNTFGTYSCSCPKGQSSKDPKSETCVPRQRIPTSTKIVIGSCVGLVSFITCIFCIILAFQRRKLFREKDKFFQQNGGLRLYEEIRSKQIDTVKIYTKEDLEKATDNFNKSRELGRGGHGTVYKGNLDGGREVAIKRSKVVTEDQSEEFVREMIILSQINHKNIVRLLGCCLEVEIPMLVYEFIPNGTLYEFIHDNDGKLIPLTTRLRIARESAEALAYLHSSASPPIVHGDVKSLNILLDHNYVPKVSDFGASRMMSIDETQFITMVQGTLGYLDPEYLLIRQLTTKSDVYSFGVVLVELITMKKAIYYDGSSQGKALASSFIEAMKDSRLEEILDDQIMGRENTNVIQEIAKLAKECLNMKGDERPTMREVAEKLHMLGGFLQVSSTHHAPEECEALLGEASMNSILDSVVYHSLENKLGFDVKAGR >Ma02_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26712266:26712906:1 gene:Ma02_g20900 transcript:Ma02_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAEKKPAEKKPAAAEEKEKRAEKQPKAGKHLPSKDGASIDKKKKKAKKGSETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >Ma04_p32290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32326649:32328448:1 gene:Ma04_g32290 transcript:Ma04_t32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPTYPCNCILLPLLFLFYLETGATNVHDQSCSPSDLRALYAFARSLDRGIRDWPAANSTRCCGWPGVRCALFSLSAVRVVGLDLSGKGLEGVLSPSLAGLDKLTFLNLSSNSFRGSIPPELLRLKFLEVLDLSSNHLSGELPPGMGNLSNLSRLVVSSNGFTGNIPDVFHDLRKLEVLSAKSNGFIGRLPSSLSSCSMLTVLDLFNNSLGGRIDLDFRRLDRLTTLNLGWNRLQGLIPQALSSCKALKILNLSRNNLSGQVPEKLCRLRSLSSLNLDSNSLSNLSQALGVLQGCHNLRVLGLASNFQGEEMPTTGIRGFQRLRAMSIGYCALTGRIPSWLRNCEELRVLGLPWNRLTGEIPSWFGRFDHLFLLDLANNSLYGEIPASLAELKSLTSEIPPQDGVDFSIEFPFFGWSSNQQILEPLKNQQMYKHYTDFPPAVILSYNRLNGSILKEFGNLRYLHRLDLSRNNLSGSIPEELSSMVNLERLDLSFNNLSGSIPSSLTGLSFLSFFSVAFNHMRGLIPIGGQFSTFPCSSFEGNPGLYSDSLHFCEPVKETYPKEGDVDEDKIAFMGLPFAIGMASGFVFIVYVLMCCW >Ma06_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5031301:5036877:1 gene:Ma06_g07060 transcript:Ma06_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G26100) UniProtKB/TrEMBL;Acc:W8PV11] MPQYHHSSPYFDDDDDEELIKKRRKPPPRPSPFLTPSSPHPPRRALLILVILSAVCLIVGIAGIAFAAAAIRRPPRIVTVFRCGRAEDTLRTFRSKSLAATGRPEEGVAARPKVIGVVGVLTGFSSSDRRAALRDTWFPRDPDALSRLDHATGLVFRFVIGQTKDPKKKAVLQKEVETHNDFMFVDADEDNYKLPYKTVAFFKAAFNLFDADFYVKADDDIYLRPDRLATLLAKDRAHRLTYIGCMKKGPVITDRNMKWFESSGHLIGNEYFLHAHGPIYALSADIVAALASARNDSLRMFNNEDVTIGSWMLAMNVNHEDTKALCEPICTSTSIAVWSNPRCLDPCDLKEKLMELQNVSMCSNSSTLPPEDDEDD >Ma04_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26646506:26655536:1 gene:Ma04_g24690 transcript:Ma04_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin interactor EPSIN 1 [Source:Projected from Arabidopsis thaliana (AT5G11710) UniProtKB/Swiss-Prot;Acc:Q8VY07] MDFMKVLDQTVREIKREVNLKVLKVPEIEQKVLDATNDEAWGPHGTALSEIAQATKKFTECQLIMNVLWTRLTDTGPNWRHVYKALTVIEYLVANGSERAVDDIIEHTFQISANSGFEYVEPNGKDVGINVRKKVETILALLNDREKIKAVRDKASANRDKYIGLSSTGITLKSSSASYGSGGFRNSDRYGSGSREGDSFRDSYKGEQYGKESKWRHEKQDGQKSQIVAEENEGIKSKKQVSHHSRNTLNKSRDVPSSKSPNAQPNSEEDDFDDFDPRGSSLSGSSNAGTNQVDLFGQSLVGDLMDAAPSFSPQATVDNNSVNSEVDLFADATFQSASHADAISDSHTQGNFDPFASQPAFPAAISSNVDLFDVPNTGLPSETKASNTVNNESFDPFAAIPINSFDGSDPFGTFAYAKPVTTEPAQDYTNTTKNNLDEDSAFGDFTSHTEQTLPEPSQHSSKGSLNNLKAPLTVSAPAARKDNFQVKSGVWADCLSRGLIDLNISAPKKVNLADIGIVGGLDDAPIEKEKTTPATAAYMGRAMGAGSGLGRSGPTGGGGSPATFGQQQVWKF >Ma02_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15663169:15668894:-1 gene:Ma02_g04170 transcript:Ma02_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFPGPSARVRSGVGFHRFRVSSFSCWRVEGYRRLGHERMGLGKSEKYAGEGEEVVTCSICFETVMSGGARSMALLQCGHQFHLDCIGSAFNAKGVMQCPNCRKVEKGDWLYASGPHCLPDVSADEWRHDEDLYNLSHSETTFGVHWHPFSRLARIPSSFAARASSATAQSLVPPYLRAQGSVHQHNQYHNLQSVHGSLMTGMPQVRGLRSLGPPPPLSLPDQGGYFLFPPSASSGHSAVGGENIGRHHFFAWECERFAPYPVMPAQMDSSGWRPFLHLTGEGQSEGSFHLSIHLA >Ma07_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:925290:926448:1 gene:Ma07_g01210 transcript:Ma07_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLILMFLLFYFKMSCRFTLDLGKMDKHIMNSNSIRLQTDLMPTGCEVVADNHYHAHFCERSPHCRRQQPLQTCHTSWCSRCHCLQPWSWSARLCSSHHRCTGRGCQGCTGSCSRLLEGGVCGGTDVFEALALGASGVFASKNDTGRPVVFALAAEGEAGVRNALQMLRDEFELTMALSGCTSEELAAVAW >Ma08_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1906398:1912140:-1 gene:Ma08_g02460 transcript:Ma08_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53580) UniProtKB/Swiss-Prot;Acc:Q9LFG2] MAASISLTQSFRLRDPLVAAHPFRLASPFRFGRSFPLSRNLSSRSPSASMSVQAPKKSPDVEFLDRRESGILHFVKYQGLGNDFILVDNRNSSEPKVTPEQAVKLCDRNIGVGADGVIFVLPGVSDTDYTMRIFNSDGSEPEMCGNGIRCLARFITELENLHGMQSFRIHTGAGLIVPEIQSDGKVKVDMGQPILHAPDIPTTIPANKDGSVIKAELVVDGISWNVTCVSMGNPHCVTFGSKKCEALHVDDIKLEDIGPKFEHHEMFPSRTNTEFVQVISRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGHTERHCIVELPGGPLEIEWREEDNHVYMTGPAEVVFYGYVPL >Ma08_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5338311:5346797:1 gene:Ma08_g07800 transcript:Ma08_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGRRLVAGSRNRNEFVVINADDFGKSKSAHDSNGQICQICGDDIEILEEEKELFVACNECAFPVCRTCYEYERREGSQACPRCKTRYKRHKGSARVEGDEDEDGDDDIYKELNYYNFNGKETVSVPDPKLYGYPYVGQGSLSGLGIPSNNVQQNGSNIPLLTYGEEVDGISCDDHALIIPPYGGFGGQVHQGAASGTFASTQSRPINPNKDISVYGYGTVAWKNRIDEWKRNQLSRMQQHQLEGGDGGYIDGYDPANSDLSMSDESRQPLSRKMPITSSMISPYRIIILLRLVILGFFFQYRLLHPVPDAYGLWLTSVICEIWFAVSWILDQFPKWFPIERETYLDRLSLRYEKEGKPSELADVDIFVSTVDPTKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFVQKIDYLKDKVHPDFVRERRAMKREYEEFKVHINALVAKAQKVPEEGWTMQDGTLWPGNNVRDHPGMIQVFLGHNGVLDEAGNELPRLVYVSREKRSGYDHHKKAGAMNALVRVSAVISNAPYILNVDCDHYINNSKALREAMCFLMDPISGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPVKEKPPGKTCNCWPKWCCSCCGSKRNKRGKIKQEKKKAKWAKHREASIQVHALESIDKVKGQENESSSLVPREKLEKKFGQSPVFVASTLLENGGMAQGVGFASCIGEAIHVISCGYEDRTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSKHCPIWYGYRSGLKWLERFSYINSVVYPWTSIPLIAYCTLPAICLLSGKFIVPEISSYASIVFMALFISIAATGILEMQWGGVSIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVETNFTVTSKGGDDGEFAELYLFKWTSLLIPPMTLLILNIIGVVAGISNAISNGYESWGPLFGKLFFAFWVIVHLYPFLKGMMGKQDRVPTIVIVWSILLASICSLLWVRVNPFIAKYDGPVLEVCGLDCN >Ma04_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15247051:15262109:-1 gene:Ma04_g16340 transcript:Ma04_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEGEGRLPSRGDGTGSGDTEEKKVALMRIFVEGKDPAAKEVDNFMLRRFLRARDLDIEKASFLFLKYLKWRRTAVPNGFISEAEIRNDLSLKKVFMQGFDKTGQPIVVAFGAKHYHSKRNMNEFSSFVIYVLDRICARMPTGQEKFTCIGDLKGWGLSNCDIRACIAALDIMQNYYPERLGRVFLVHVPYLFMKAWKIIYPFIDKNTRKKFVFVEDKNLRATLLEDIDDNQLPEIYGGKLPLVPIQDPDPLDPTHVALRDDN >Ma08_p26190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38801662:38802252:1 gene:Ma08_g26190 transcript:Ma08_t26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSSEAVTSTMSSSFVQVPKKNEHSAAFSAPRTWFGSTVMPPKDHAKPEASTWRSTGIELHRVFCFFDEDCDGKISATELQSCMRAMGEELSHEDAVAVVESIDSDGDGLLGFDDIVRLVDGEGENEKEQNMREAFRMYEMEGEGCITPKSLRSALERLGESKSMEECRNMIRRFDTNDDGVISFDEFRIMML >Ma09_p28030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38876560:38877120:1 gene:Ma09_g28030 transcript:Ma09_t28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNGKFYCITVEAEVFAFDLSGVSPTVTVVGESTSLGLTHFDCHIPCSRVRHIHSKYLACSSTGELFLILRRTVLSYESLGWKAIMVWRYNPQRQPCWEAVKNLGNKSLLIGINNAISISTENFRDARRDCVYFTEALIRTIVDGRPEFIPSIVVSDVRRGKWARANSQLQPLLLPPIWFTPSMP >Ma02_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22743387:22744294:1 gene:Ma02_g14790 transcript:Ma02_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSFSSHILVGETQRHTPNSSPLPSEEGKMESESRSPPPPASSVDLSLTLASSSSPSAGRRGARDARLFPCLFCNKKFLKSQALGGHQNAHKKERSTGWNSYLYLPPAAGGHHLKPYPFSLASHSCRPITSSYPLGHLSGSFSSYCTDAVDLLNWQRASHPQQPHAQDLLGSAAAAATAAAGTTTTVGEDRTKLDLSLRLWS >Ma06_p26660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28498148:28498330:-1 gene:Ma06_g26660 transcript:Ma06_t26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKSMQEFTESLAKMKLLMDMETSSSPSVENGSSHHSERKQTTPKGIGSKVFYESRAFF >Ma04_p27860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29033917:29035955:1 gene:Ma04_g27860 transcript:Ma04_t27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNIFLWKRSRAVGAKQGLMSSFHGGSSCGKPAASSLLPSARFSEAAMSPTSTPETRNLSSIGNHIPPFDAITTSSASVSDTKQHPWRNRGSRPTGLGIIDALSDEQFDTKPQRSMVLLGTKLKIQIPSLCPTSNSPVGSPIEFGIKNRDSQLAHLSPAQSSPGSEMPASSPRVFAGSNFSMSEMELSEDYTCVIFHGPNPRTTHIFDSCAVEQCGDGFTRSTKSRSFAADSRGYPLNESLSFCCACKKNVEQGKETFMYKGEKAFCSSECCIKGMLDEYGIETSAQRSHHSRCDPCF >Ma08_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22174155:22177061:1 gene:Ma08_g17030 transcript:Ma08_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWSSLYHVVEAMMPLYAAMALGYASVRKKAFTPEQCAGINHFVALLAVPLLIFRMISSNNPYTMNIRFLAADTLQKVIILAALAAWARFSNNGSIAWVITLFSLATLPNTVLMGVPVLRGMYGPMSENLMVQIVVLQFVVWYVLVVFLFEYMAAQNAFMEQQQMPPPQANGSHSVDIPAVNADGSDPDVSIAQEETIRPSGMLILVMAVKKILKIPSTYASLLGLFWSLIAFRFGIKMPAIIDNSLSIISVSAIGLGMFSVGAFMAQRKKFITCGYYLAVLAMVMRFLIGPIVMLVSSFAVGLQGVFLNVGVVQAALPLAVLSFVYAEEYNVHPDIMSTGVIVGIFISVPLTILYYVLLGLER >Ma08_p26660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39168227:39172875:1 gene:Ma08_g26660 transcript:Ma08_t26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFPRFSFWIWGKKNHESSNSSLSSSPESPSEFKETDYLKFPPVNEPRTRTNSRKNKKKWQSRQERRFDKEYDIEVVPSDGGCMSGSESDDSDWSIGWLEHLSPDFRSNNESEDSFAVLVPCYARGRSEHVESSKSHALGAVDRTGDDLSDGKKHIEEWLSSLQDN >Ma04_p36490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34844584:34847780:-1 gene:Ma04_g36490 transcript:Ma04_t36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVILEPLAVPCPSRGVALASARSPRTSRRSGLLLPRFSGLRCSPLPSRVRAAAPARPRAVGSGAVVCEAQDTAVQLPEVGKATWQSLVLDSDIPVLVDFWAPWCGPCRMIEPLVIKLSKVYEGKLKCYKLNTDENPDIASQYGIRSIPTMMIFKNGEKKDAVIGAVPESTLITSIEKFI >Ma10_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23103266:23105789:1 gene:Ma10_g08830 transcript:Ma10_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g28690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28690) UniProtKB/Swiss-Prot;Acc:Q1PFQ9] MRDGRLLSPFTRRFHADSFFPAKVNSLASILQHFIESSCPFKGQALHAQIIKFDLQANTNLSIKLLILYLKCGSLVHARNVFDRMPRRTLSAYNYMIAGYFREGRSEESLDLVRKLSFSSEKPDGFTLSMALKLSAGLASCRFPRQVHNQIVRLGIDSDEVVFAALIDSYVKNGNLGYARSVYDAMSVRNLVCSTALIVGYMNQRAFGKAEEIFQNITEKDAVVFNAMIEGYSKELDAAGSSIEVYKMMQQLNYRPTISTFVSVIGACSLLSALDVGQQIHGRMIKMEIFSHVKCGSALLDMYSKCGEVEDARKMFDHMPDRNVFTWTSIIDGYGKNGIPNEALNLFYEMKSENVGPNYATFLSVLSACGHAGLVSSGQKIFESMERDYKLKPRMEHYACMVDLLGRHGNLSDAYNFIQQIPEKPNSDVWTALLGASRLHGDLEMADAAAKEVFKLSQNGRPGAYIALSNTFAAAEKWQGVSEVRDLMKARGVSKGIGQSWMEMDKGL >Ma10_p08830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23103266:23105614:1 gene:Ma10_g08830 transcript:Ma10_t08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g28690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28690) UniProtKB/Swiss-Prot;Acc:Q1PFQ9] MRDGRLLSPFTRRFHADSFFPAKVNSLASILQHFIESSCPFKGQALHAQIIKFDLQANTNLSIKLLILYLKCGSLVHARNVFDRMPRRTLSAYNYMIAGYFREGRSEESLDLVRKLSFSSEKPDGFTLSMALKLSAGLASCRFPRQVHNQIVRLGIDSDEVVFAALIDSYVKNGNLGYARSVYDAMSVRNLVCSTALIVGYMNQRAFGKAEEIFQNITEKDAVVFNAMIEGYSKELDAAGSSIEVYKMMQQLNYRPTISTFVSVIGACSLLSALDVGQQIHGRMIKMEIFSHVKCGSALLDMYSKCGEVEDARKMFDHMPDRNVFTWTSIIDGYGKNGIPNEALNLFYEMKSENVGPNYATFLSVLSACGHAGLVSSGQKIFESMERDYKLKPRMEHYACMVDLLGRHGNLSDAYNFIQQIPEKPNSDVWTALLGASRLHGDLEMADAAAKEVFKLSQNGRPGAYIALSNTFAAAEKWQGVSEVRDLMKARGVSKGIGQSWMEMDKGL >Ma07_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31374447:31376757:-1 gene:Ma07_g23880 transcript:Ma07_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAMAVEKLLEVAESEVVIEFKPGTKCRSTLHLRSLHPSSAVAFKVQTSSPAKFHVNPPAGVLPPHASAALHVILRPQPHPPTAFPRSPSDRFLIKASLSSDRGDSATPSHSHDVKLKVAYVGPFLLRHAAALGDAAAVRHLLRRQPHLLPLLPPEAPATPAAAATPKGWTGVHAAAAAGEWEELRRMLEEEEEEAAVAEVVEARDAEGRTPVMVAAGKGHLRCVRELVEKWGAEKDARSRDGRTALYRAASNGDADTVAALLEMGADAGIATARGRTPLDVARDKGHQEVVELLERGEMVLTASRKGDLRRLECLLRKRVGVHSRDQYGLTALHAAAIKGHRDAVALLADFGMDLECQDVEGHTPLHLAVEGGCLETVETLIDMGANVNAKTNRGATPLVMARSMGYEAIAQLLATRGAVSSSCIASSSSSSTS >Ma03_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8917003:8922546:1 gene:Ma03_g11480 transcript:Ma03_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCHLAFLPLVRHVPPLAAFTRTFFSSPISRSALRWGWHLNRRPLERRGSRRPDRQIGGSRSHSMESKTEARDPAAESPAALADGGKGVRLKLEEFNWDHSFVRELPGDPRSDTIPRQVLHACYSKVSPSAEVESPELVAWSESVAELLDLDPKEFERPDFPLIFSGALPLKGGLPYAQCYGGHQFGVWAGQLGDGRAITLGELLNSRGKRWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMIGLGIPTTRALSLVTTGKFVSRDMFYDGNPKDEPGAIVCRVAQSFLRFGSYQIHASRGKEDLDLVRTLADYTICHHFPHIEKMSKSDDLSFEVGVEGSLIVDLTSNKYAAWSVEVAERTASLIASWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFTATLSAAQLISKEEANYAMERYGDKFMDEYQSIMTRKLGLSKYNKQLISTLLNNMAVDKVDYTNFFRLLSNIKADTGTPKNELLVPLKAALLDIGQERKEAWTTWVQTYIEELVASGTSDDERKAAMNSVNPKYILRNYLCQSAIDAAEQGDYGEVRRLLKLMEHPYDDQPGMEKYARLPPAWAYRPGVCMLSCSS >Ma03_p28200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31338129:31344458:-1 gene:Ma03_g28200 transcript:Ma03_t28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog D [Source:Projected from Arabidopsis thaliana (AT3G02720) UniProtKB/Swiss-Prot;Acc:Q9M8R4] MAKKVLLLCGDYAEDYEVMVPFQALQAYGVAVDAACPGKKAGDVCRTAVHQVSGHQTYSESRGHNFMLNASFGEIEVSKYDGLVIPGGRAPEYLAMNESVVELVKKFSDSAKPIASVCHGQLILAAANLVKDRKCTAYPPVKPALIAAGAHWIEPETMSKCVSDGNLITGATYDSHPEFIRLFVEALGGSITGSDKRILFICGDYMEDYEVMVPFQSLQALGCHVDAVCPKKGAGEKCPTAIHDFEGDQTYSEKPGHDFTLTASFEGLDASIYDALVIPGGRAPEYLALDEEVITLVKKFMNGGKPVASICHGQQILSAAGVLKGKKCTAYPAVKLNVVLGGATWLEPDPIDRCFTDGNLVTGAAWPGHPEFISQLMALLGIKVSF >Ma08_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8796449:8798202:-1 gene:Ma08_g11790 transcript:Ma08_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPQESNLKETKKLLPPPSGAACSPHHPPLKSPAFLPCCQLLTVVCFLLVPLRFFLYARNLSSPRLLLPRPDNSSRPPPPPSANTVTDSCSSGLIFVYDLPTAFNADVLAACDELNPWQSLCVALSNGGFGPPAGELAGVVPANLLPYWYSTDQFSLELIFHRRILAHRCRTVDPSAAAAFYIPFYAGLSVGKHLWSGDAASRDRDSALLLRWIKEQSPWKRSNGSDHFITLGRISWDFHRSGNDGWGGSFLNMAGMEKVTRLIIERNPSDKKDVGVPYPTGFHPRTAAEVRQWQRFVLNRNRSTLFGFAGAPRPQVKDDFRDFLFKECKRAGKGKCRSLDWWKVRRQNRSAAAMRLFLDSTFCLQPKGDSYTRRSMFDCMLVGAVPVVFWRRSAYGQYEWYLPGSGEEREGEWSVFIDSREVKNGTVSVKEVLEGIGEKRARKMRERVVEMIPRLLYAAVEEGLGEGMQDAFDVAVKGVLQRFRGQ >Ma02_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16769642:16772864:-1 gene:Ma02_g05490 transcript:Ma02_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGSRSRPLIRLWVVLAAALGPAASSATGLGFEFHHRFSDRVRQWAEARAIPGVWWPEKGAAEYYAALAHHDRALRGRSLADAGGSDLTFVDGNATVRLSSLGFLHYAIVALGTPNVTFLVALDTGSDLFWVPCDCLQCAPTEYGNLMFDIYSPSRSSTSQSILCNNSLCDLQNSCPGATSSCPYIVQYLSENTSSSGVLVEDILYLTIEDTTARVVEAPIVFGCGVVQTGSFLRSAAPNGLFGLGMEKISVPSILSSKGLTSNSFSMCFGRDGFGRITFGHKGSSDQQETALIIDSRHPSYKININGIIVGNSSTDMVFSAIVDSGTSFTYLADPAYTNLAESFDEQVQEKRYKADANAGFPFDYCYELSPTQTTISGPKINLKTDGGSALPVNDPIIVIEIQKMQSVYCLAVLKSTGLNIIGQNFLTGLRVVFDRERLILGWKNFDCYSLENSGNLSVHNSSTTHPAAPAPSTYTQEATKVRSNTAQAPAAVAPSIHSSHFFTSLFLTSLIFSLVSL >Ma08_p27860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39993055:39997025:1 gene:Ma08_g27860 transcript:Ma08_t27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLWISRLEAAKRHLSLQLRHNSRSDRLSVDDFAMEEEIWPDLPCPYCYEDHDLASLCSHLEEEHPFESKVAVCPICSVKIMKDMLNHIIVQHGHLLKRSRPLSGYTIPSSQALSRLRKDLREAHLQLLLGNGVYRSSNTTLNAAADSFLSSFALDFPTSEAEKHSRSSISAGDDLCSNNESALPTWNLSFDSSLTHEEREQKRKHATTRATFVQDLLLSTLFTN >Ma11_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23333812:23353017:-1 gene:Ma11_g18230 transcript:Ma11_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSVARGGSSEAKPKEKPSPVSSHKDEACADAVIQKRTPLPESIEAQQKAERLKHDGEPIRSTSINSTSKGGGNIRSTSINGVKLYSLSGQRSVATWLPPKKLRALRKDKDYMQRVDLIQDLRFETATTKIKVTPDGDYVIASGIYPPQVKVFELRELSLKFERHLVSEIINFQVLADDYSKIAFLCADRSVCLHAKYGSHYSLRIPRMGRDIAYDSWSCDLLCAASSPDLYRINLEQGRFWLSLNSQSPAINVVSRSTVHGLVACGGEDGAVECFDLRKKSSVGRINAVAPIGDFGHEVTALQFDHAQGYLMAVGNSTGKVSIYDLRMSDPVRVKDHMYGSPILNIKWHQTLNSAEPKIISADSHIVRVWDPNTGENMTSIEPNSGAINDICVFNESGLMLLALDSSQIPAYFVPALGPAPKWCSYLENLTEEMEEESQMTIYDDFKFLTKEEVERLKLTNLIGTNLLRAYMHGFFIDYRLYKKAKALVDPFAYNEYREKQKKEKMEAKQASRITIQKRLPKVNRLLAARLHIAEESEMENMDDTVGKKKSKKNRRLTSDILRDTRFTAMFENKDFEVDELSQEFLSLHPQSSKKHPSLIEEHFEAVKEDWEEQGTSDSDASIASQESEDELDTDENTVKKSKRMRLYEVKDERHAEAFLRSVSLAKEDALPLGERVAALEKQQSVGTLNDVKFGPGGSRQISFTSKSSKRQKVEQPEEGKRRGIQSLGLKRSKSEFYAMGKQGGRGQRGHGRGRGRGRR >Ma10_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24555220:24556151:-1 gene:Ma10_g10780 transcript:Ma10_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLYIPSATLFFFLSFTAVLFTVACAAEEEAHGFLQSQRAVKKPVREKLSHLRFYWHDVISGPSPSAVRVAEAASSNASTTAFGVVVMMDDPVTLGPELTSRLVGRAQGFYAFASKEESALLMAMNFAFVEGKYNGSTIAVLGRNAVFSGVREMPVVGGSGLFRLARGYAQARTYSFDTNTGDTVVEYNLFVTHY >Ma05_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6694132:6695720:1 gene:Ma05_g09180 transcript:Ma05_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAKDKEKSSLFFFSSSSISSFYREIKPSSLLPRIRFVVFVLLCYVLWLRLLFFSFPLDSSSPASYSAAAGHRHHQLSRSPETCDPDIAPFYIHTIHPRFNAALVRRCLSRLACCDVCPHVGHLGLGRPLLRLPDAADAADAVPGTAWYATHQSTAEMLFHARVQRHPCRTMVPEAAVLFYVPFYAGLHAAANFRQANHTRRDALAVDLATHLSSLPSFRRRAGRDHFLAVGRASWDFMRSPAGPDLGANRLLLLPEVANMTVLTVERHPWEGHNQFGIPYPSYFHPRTAADVAEWQAELRRLGRTHLFAFVGGVGSDSENADTRSSILNQCRMSDRCLAVECKPDRHKCDDPDLIMDVMRRADFCLQPPGESFTRRSTFDSVLAGCIPVFFSEHTAHTQYRWYLPSRLGDWSVMLEPSQRNQIEDELAQIPRAEVGRMREVVIGLIPRMTYAHPDANRSQLGFRDAVDVALVELTRRVRSIRNIETNDR >Ma10_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23479799:23493322:1 gene:Ma10_g09280 transcript:Ma10_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFYISSNHRWAVSSSQSFISKSNGSNFIVNTDEPMPKLYQTARISTRSLRYYVVGLQNGTYKVELLFAEIVMDDTPSWTGLGRRIFNIYIQNQLVEQDFNIIAEAKGSKKPYNKTYKITVANNILDIHLLWSGRGTCCIPAEGTYGPLVSAINVTREDHFTPSPTSSSNNERRAGIIVGIAAGCAAAVIILSSIVYLWWKRIDLDHVQDVHNGRE >Ma10_p09280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23479799:23492869:1 gene:Ma10_g09280 transcript:Ma10_t09280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFYISSNHRWAVSSSQSFISKSNGSNFIVNTDEPMPKLYQTARISTRSLRYYVVGLQNGTYKVELLFAEIVMDDTPSWTGLGRRIFNIYIQNQLVEQDFNIIAEAKGSKKPYNKTYKITVANNILDIHLLWSGRGTCCIPAEGTYGPLVSAINVTREDHFTPSPTSSSNNERRAGIIVGIAAGCAAAVIILSSIVYLWWKRIDLDHVQVRTNTSKQS >Ma06_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4440701:4444872:1 gene:Ma06_g06030 transcript:Ma06_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSSSSSSPSSVQLLLLLFSLLLASLTAQSPGSPPTGTTIYDLLPEYGLPSGLLPDTVKSFSLAENGSFAVELSGPCYIDFEYLVYYEPKISGVVKYGGIEDLKGVKVRRFLIWFDVDAIKVDLPPSEYIYFQVGWITRKLHVDQFQTVHSCKANQGFLESAEEVFDWSI >Ma01_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7138714:7145296:-1 gene:Ma01_g09960 transcript:Ma01_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MVNTFFVFCACIDQASIGVVEKWGRFLSLAGPGLHFFNPFAGECLAGVLSTRVSSLDVRVETKTKDNVFVQLVCSIQYRVVKENADDAFYELQNPQEQIQAYVFDVVRAHVPRMTLDELFEQKGDVAKAVLEELEKVMGGYGYNIEQILMVDIIPDASVRRAMNEINAAQRLQLASVYKGEAEKVLMVKKAEAEAEAKYLSGVGIAKQRQAITEGLRDNILNFSNTVSGTSAKEVMDLIMVTQYFDTIKELGNSSKNTTVFIPHGPGHVRDVTDQIRNGVMEASSNLVGN >Ma05_p00660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:398694:404836:1 gene:Ma05_g00660 transcript:Ma05_t00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIVVRRVIPSDNSCLFNAVGYVMEHDKTKAPYLRQVIAAVVASDPGKYTEAFLGKPNNEYCAWIQDPDKWGGAIELSILSEYYGREIAAYDIQSTRCDLYGQGKSYQERVMLIYDGLHYDALAMSPFEGAPEEFDQTVFSIRSDRSIGPVENLSLNLVKDAHRKRSYTDTANFTLRCGVCQVGLTGQKEAIAHAQATGHVNFQEYR >Ma05_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:398694:404836:1 gene:Ma05_g00660 transcript:Ma05_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIVVRRVIPSDNSCLFNAVGYVMEHDKTKAPYLRQVIAAVVASDPGKYTEAFLGKPNNEYCAWIQDPDKWGGAIELSILSEYYGREIAAYDIQSTRCDLYGQGKSYQERVMLIYDGLHYDALAMSPFEGAPEEFDQTVFSIRSDRSIGPVENLSLNLVKDAHRKRSYTDTANFTLRCGVCQVGLTGQKEAIAHAQATGHVNFQEYR >Ma07_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6112213:6113581:1 gene:Ma07_g08210 transcript:Ma07_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEFDPAFIQAPEHRPKPAVTEAGGIPLIDLSPLHLLEQHGGPLVAGLEVLVAQVEAACRDWGFFQVINHGVPLAVVERAWAASRGFFALPPEERRRVRRNEVNPLGYYEAENTKNVRDWKEVFDYVVHEPADAGGGVDRLIELRNQWPQFPHGFREALVEYAQATEELAFKLLELISLTLSLPPKRLHGFFKDQTSLIRLNHYPPCPSPHLALGVGRHKDPGALTILAQDDVGGLDVRRRSDGEWIRVKPIPNSFIINVGDIVQVWSNDKYESTEHRVSVNSERERFSIPFFFNPAHDVMVKPLAELVDEKNPANYEEYNWGEFLKTRADGNFKKLDVENIQIYHFKKAK >Ma08_p27760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39902264:39910625:-1 gene:Ma08_g27760 transcript:Ma08_t27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFRIKSKSNSEYLRLHDLHTCRKSAKTSKSSQTQVKTFQLETELDTFFQTQQNASSNKEVSVCNSTLAGFDGPYIQLLNASPFSLDPVSIRRSDILSETNPSNLETIFSPDLEHRASLLKSANYIDEAKYEGTRLPHLVADGADNATCISSEYQTCGLSEFYISDSIHEGAKLPHLVADETDDTTCVSSEYQTCGLSDFITDCIHEGTKLPHLVADVIDDATCVSSEYQTCSLSDFYISDSISGCPFDNSVEVTDVITATCPINEYMNSDIMIDMGEGYMILPFLERTVETGDDDDSVQETMMNFNEASLYLAVHPENENKCNFGNLEEIECFDQLLVFNRLPDLPQAVSSILSPQKAQERMPVTLVLDLDETLVHSTMEQCDDADFTFPVFFNMKHHTVYVRQRPFLQMFLDSVAQMFEIVIFTAAQSIYAGELLDILDPDRKIISKRIYRESCIFSDGTYTKDLTILGVDLAKVVIIDNTPQVFRLQVNNGIPIESWFGDPLDHALVQLLPFLETLVYVEDVRPIIAKKFSNNE >Ma02_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25709388:25710108:1 gene:Ma02_g19520 transcript:Ma02_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHPDLAYLEIIRRYLLEEELTTTTTTSGADGGSSNEPPNAVTKVAPPQQQMPPQRTARERGRNYRGVRQRPWGKYAAEIRDPGRNGARLWLGTFETAEAAAMAYDRAAFRIRGSRALLNFPLLVSSQDAAAKRAATETPLVGTNKRRKGVAVSVSSAGSELTVQKNRSGLETSSGLLILGSELATTVGHI >Ma04_p26900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28204530:28206714:1 gene:Ma04_g26900 transcript:Ma04_t26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASKYLLAAALLFLSGLAAGLAAPDMSIVSYNEEHGVRGLERSEDEMRRIYEGWLARHGRAYNALGEKEARFEVFKDNLHFVDGHNAAADAGRQRFRLGLNRFADLTNEEFRALYLGAKGRGVARRSRVAADRYRYEGAGDVLPDSVDWRAKGAVAAVKNQGSCGSCWAFSTVAAVEGINQIVTGELISLSEQELVDCDTAYNQGCNGGLMDYAFDFIVNNGGIDTEDDYPYRARDGSCDHNRKNAKVVTIDGYEDVPENDEKALQKAVASQPVSVAIEAGGREFQFYQSGIFTGRCGTELDHGVAAVGYGTENGTDYWIVRNSWGGDWGEAGYIRMERNVNASTGKCGIAMEASYPVKKSQNPPNPGPSPPSPVNPPTVCDNYYSCPSSTTCCCVYEYNGYCFAWGCCPLEAATCCDDHSSCCPRDYPVCNTEAGTCQMSKENPLGVKALVRTPAKPYWAYSGRVEKKINV >Ma01_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3805353:3813355:-1 gene:Ma01_g05410 transcript:Ma01_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTLRRSCVTVLTLALLAASFLAPLLLFLRVPAADISLALARKEFYREGTGFVKNLSSGHSSNGMRLNAIEQEADERVRLPRGVVYQNEESINVQSSSSENATVSGNVGDGGHQNKHEPGSAGREDKDLKSFNRPTSGETTSSRSRSSTTEKIREMEDQIIMAKAYLQFSLPNSNSQLVRELKLRIKEIERVLGRANKDSDLSRSHLQKMKAMDVTLSKAHKAYPDCSALASKLRAQLYNAEEQVRAHQNQASYLVHLTARTFPKGLHCLSMKLTTEFFTLRPEDQQLPNRQNVYKPDLYHFAIFSDNVLACAVAVNSTVTTSMEPEKIVFHVVTDSFNFPAMVMWFLSNPPGNVTIQIQSLDDFGFFPADFSTMFMHPAKADPRYTSPLNHLRFYLPEIFPSLNKILLLDHDVVVQRDLGQLWSVDMKGKVNGAVEICKNNKSSLKLEMLINFSDPIIASTFDAEACVWAFGMNMFDLQEWRKQGLKGVHHNLTQLGQSRQLWKAGSLPLGQLLFYNHTVVLDRRWHVLGLGRESGMGRAEIERAAVIHYDGSRKPWLDIAIPKYRRYWTKFLDYGNPYFQQCNIHE >Ma03_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26827699:26828820:1 gene:Ma03_g21970 transcript:Ma03_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKRGSSGKHEEMELRRGPWTLEEDTLLMHYITCHGEGRWNLLAKCSGLKRTGKSCRLRWLNYLKPDVKRGNLSPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDPNSSMFRDALQCYWTPRLREQIIGSSQTLRSVDANANTTTATDEAHRVLQSCGSYELPEPESRSLSTSSCSVVLSQLPVDLSDFPSGPSDELSGVTFDPFSSVCSINDFYDLDTWDIAPVSASAPSHSASDHSNNVGDSLWSMDDLYDMSKTYESAAETKVPFADNSQVVKYISPSK >Ma10_p26660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34247913:34248867:1 gene:Ma10_g26660 transcript:Ma10_t26660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMAMRKGPWTEQEDLQLVCFVGLFGDRRWDSIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMTPQEERLILELHSHWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKRKASPSSSSSSSWSLTDNSAAPGKPPSEDAPGGGQELNCSGTTTALGGIGDDDDALNGCYSMDEIWDEISELSFQEREDERVSCPPMPSPVWEHFSYSLWKMDDEDLGFQAP >Ma10_p26660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34247740:34248867:1 gene:Ma10_g26660 transcript:Ma10_t26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEERLILELHSHWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKRKASPSSSSSSSWSLTDNSAAPGKPPSEDAPGGGQELNCSGTTTALGGIGDDDDALNGCYSMDEIWDEISELSFQEREDERVSCPPMPSPVWEHFSYSLWKMDDEDLGFQAP >Ma05_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3128856:3131574:1 gene:Ma05_g04170 transcript:Ma05_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLMEDLPEELLVNIVRRVDQTADRNSISLVSKRLYAIDGEQRDFLRVGCGLHPAIEALTSLCIRFPNIKKLEIVYSGWMSNLGKQLDNQGLFVLSSNCHSLTELTLSFCSFINDSGLAYLSSCRNLRSLKLNFAPAISSNGILSLVVGCKNLSALHLIRCMKVSSVEWLEYLGKLGKLEDLSIKNCRAISEHDLTKLGPGWNNLKRLEFEMDAYYRYPKIYDSSSVEKWLMHQGSYKNLRELSLVNCIIAPGRGLSYLLGRCEALERLHLDMCIAVEDTDMIALSQKSRNLTSMSIRLPSQFLAPVFLSSPLRLTDESLKAFAINCSMLEVFELSFSDGEFPSFNCFSQSGILALVQTCPIRVLVLDSACFFNDSGMEALSSSPYLQTLKLIKCQEVTDKGMQLIQRCPLLTDLNLRKCLGVTDSGLKPLIGLRKLKYLKVEDCPQISEKGVQGTAMFISYKQESSWLY >Ma06_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:58250:64820:-1 gene:Ma06_g00080 transcript:Ma06_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASELDNKHRSSLGGAAKLAMAAAFLVGLASWYYAVEIRPPPPTPCGSEDGPPVTAPRIRLRDGRFLAYSETGVPRERAAYKIVHCHGFGSSRLDSPRASPELIEELGIYIVGFDRAGYGESDPNPSRSLRSEASDIAELADALELGPRFYLIGFSLGGHAVWASIKYIPDRIAGAAMMAPVINYRWPGFPRNLSEEAYRKQQPGDQWALRVAYYAPWLLHWWMKQSWLPSSTVIKGTTNLPNRLDAQVREYAMKNSGMFEERRKLATQQGMLESFYRDMMVMFGKWEFDPMDLSQPPFPVHLWHGDEDGLVPVTLQRYICSRLSWINYHELKETGHYLGGVQSLVDVVLKTLLVVSVSA >Ma04_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10107999:10131037:-1 gene:Ma04_g13380 transcript:Ma04_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITDIGLAAAINLLSALVFLVAFAVLRLQPINDRVYFSKWYLKGARSSPNHSGTFVHKFVNLNLRSYLRFLEWMPAALKMPELELIDHAGLDSAVFLRIYLIGLKIFIPITILAFSVLVPVNWTNSTLKNSNKVYSDIDDLSISNVPTGSQRFLAHVVMAYVFTFWSCYVLHKEYEIVAAMRLHFLASMKSRPDQFTVLVRNVPPDPDESVGELVEHFFLVNHPDHYLTHQVVYNANKLAKLVEEKKQMENWRDYYQLKYVRNPLKRPTRKTGFWGLYGHKVDAIDFYTSKIDKLSKEEAAEHENIIKNPKYIMPAAFVSFRTRWGAAVCAQTQQTRNPTLWLTEWAPEPRDIYWQNLSIPFVSITIRKLIVVVSFFFLTFFFMIPIALVQSLANIEGIEKAAPFLKPLIEIPVIKSVMQGFLPGIVLKIFLILLPMILMLMSKFEGFVSLSALQRRSASKYYIFLLVNVFLASIIIGTAFEQLNSFIHQSANEIPKTIGVSIPMKATFFITYIMIDGWAGIAGEILRLKPLIIYHLKNLFLVKTEKDRDEAMDPGSIEFAISEPQIQLYFLLGLVYAAVTPFLLPFILVFFGLAYVVFRHQIINVYNQEYESAAAFWPDVNRRIITALIISQLLLLGLLSTKHAAISTPLLIPLPVLTIWFHRFCKNRYEPAFVKYPLQEAIMKDTLDHVREPNLDMKAYLLNAYTHPVFKNGETEDKVPGDEEFENILVPTKRQSRKGTSVPSKFGCSSSPSLPDVVQ >Ma06_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:517390:518407:-1 gene:Ma06_g00660 transcript:Ma06_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLSTRFYDRTCPKLRGIVRSVMAQAVFRDRRMGASILRLFFHDCFVNGCDASVLLDDTRTFTGEKNSNGNQNSLRGFEVIDAIKARVEAACNATVSCADILALAARDGVVLLGGPTWLVPLGRRDARTASIFAANANLPLASSSLSDLISLFARKGLDARDLTALSGAHTVGQARCTCPAFGGDGNLAPLDLQTPLFNGGSQDSLVRLYSSSTSAFFNDFVVAMVKMGNISPLTGWPGEIRLNCRKVN >Ma04_p34170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33479508:33483831:-1 gene:Ma04_g34170 transcript:Ma04_t34170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPTLRLRPSLFSFRLSPPYVCPPLIPPPPSSQLVRAQTFALVPLRSCRITLNQFFSDPPHRRSPLSASSSDTVHPVDKKKRNFPLQGSKFHEWDSISAKFAGAANVPFLLLQLPQIVLNARNLLSGNNAALFAVPWLGMLTGLLGNLSLLSYFAKKKETEAVVVQTLGVVSTYAVIAQLAMAEAMPLPQFLATSIVVASGLILNCLNYFGWLHEAIWSPWEDFITIGGLAVLPQVMWSTFVPLVPNSILPGAVSFTLATAIVAMARSGKLSEKGTKFVSSMSGWTATLLFMWMPIAQMWTNYLNPDNIRGLSAFTMLLAMMGNGLMIPRALFIRDFMWFTGSAWASFLQGWGNLFCMYCFNTISREFFFGTTIGLLLWIGMVLWRDAVAYGYSSPLKSIKELIFAH >Ma04_p34170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33479508:33483831:-1 gene:Ma04_g34170 transcript:Ma04_t34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPTLRLRPSLFSFRLSPPYVCPPLIPPPPSSQLVRAQTFALVPLRSCRITLNQFFSDPPHRRSPLSASSSDTVHPVDKGSKFHEWDSISAKFAGAANVPFLLLQLPQIVLNARNLLSGNNAALFAVPWLGMLTGLLGNLSLLSYFAKKKETEAVVVQTLGVVSTYAVIAQLAMAEAMPLPQFLATSIVVASGLILNCLNYFGWLHEAIWSPWEDFITIGGLAVLPQVMWSTFVPLVPNSILPGAVSFTLATAIVAMARSGKLSEKGTKFVSSMSGWTATLLFMWMPIAQMWTNYLNPDNIRGLSAFTMLLAMMGNGLMIPRALFIRDFMWFTGSAWASFLQGWGNLFCMYCFNTISREFFFGTTIGLLLWIGMVLWRDAVAYGYSSPLKSIKELIFAH >Ma06_p09790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6807830:6811170:1 gene:Ma06_g09790 transcript:Ma06_t09790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLLSRKGRQQQRYDNQRRLVAGCIPYKLNREDDTQSDDLLDRVEVLMISSPGRYDLIFPKGGWETDETAGEAACREALEEAGVRGILNDTVLGVWEFRSKSTQDTCSLEGACRGYMFALEVTEELECYPEKDCHERKWVHLAEAYKRCRYDWMREALNSFKNLLTGKPVSTVPELSESSSLWIVKPNAIALC >Ma06_p09790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6807824:6811170:1 gene:Ma06_g09790 transcript:Ma06_t09790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGGGFEVKSFSFRCARMSSSPLLSRKGRQQQRYDNQRRLVAGCIPYKLNREDDTQSDDLLDRVEVLMISSPGRYDLIFPKGGWETDETAGEAACREALEEAGVRGILNDTVLGVWEFRSKSTQDTCSLEGACRGYMFALEVTEELECYPEKDCHERKWENLYLLFLSYLSHQASGL >Ma06_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6807831:6811170:1 gene:Ma06_g09790 transcript:Ma06_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLLSRKGRQQQRYDNQRRLVAGCIPYKLNREDDTQSDDLLDRVEVLMISSPGRYDLIFPKGGWETDETAGEAACREALEEAGVRGILNDTVLGVWEFRSKSTQDTCSLEGACRGYMFALEVTEELECYPEKDCHERKWVHLAEAYKRCRYDWMREALNSFKNLLTGKPVSTVPELSESSSLWIVKPNAIALC >Ma06_p09790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6807829:6811170:1 gene:Ma06_g09790 transcript:Ma06_t09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGGGFEVKSFSFRCARMSSSPLLSRKGRQQQRYDNQRRLVAGCIPYKLNREDDTQSDDLLDRVEVLMISSPGRYDLIFPKGGWETDETAGEAACREALEEAGVRGILNDTVLGVWEFRSKSTQDTCSLEGACRGYMFALEVTEELECYPEKDCHERKWVHLAEAYKRCRYDWMREALNSFKNLLTGKPVSTVPELSESSSLWIVKPNAIALC >Ma08_p30370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41655103:41662310:1 gene:Ma08_g30370 transcript:Ma08_t30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) UniProtKB/Swiss-Prot;Acc:Q56XM9] MAYALPGERRITVLPPPPPVCLVDPGTLILPPPPPVAYLVPLGAVPRPGHQGPVFVQNPVLVPRNHSLPYPPVILRLNPAFLMQMDEQRTRSLFQFMAQEGLEPSPEEEMKRKTAIDQLKQIVLAWIKKVAWQCRLPKDVIPDAGATVLTYGSYGLGVHGPESDIDALCVGPYFATLEEDFFIILHSMLESQTEVSEIHCVKSAKVPLMRFKFNGISIDFPYARIPAISVTESVNVFDPSVLAQVDDTSWRSLSGVRANKRILQLVPNLKNFQSMLRCVKLWARRRGVYSHLFGFFGGIHLAILAAYVCQRYPNASASALLCLFFETFSKWPWPEPVVLNDPSIPYRHPDGRSVMPIMMPCSPFEWCHSNITRSTYKKIVSEFQYGYVLTRLQDPGRMEFRWNNLFEAYPYTTKHTHFLQILLTADNDDELQEWVGWVKSRIRGLLLKLEMVQEYCDPNPTENVDHNITEPNIVFYWGLSPKGNTINIGSLKKDFMKSINTDQFADENHTHCKLALSIVQYSQLPKSLRLDAGSAKGSKPCGKILDNNYLPKPVYSQYLPQDFVGYDVANEQHRAAAG >Ma10_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25526699:25526770:-1 gene:Ma10_g12430 transcript:Ma10_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLMLLVNVMKLSVKQANGTMN >Ma03_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15316032:15320733:1 gene:Ma03_g15430 transcript:Ma03_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLLSARAEAFPAGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCSLATDALSMLRERKDKFDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELKNIWQHVYRKKMHELKEIQTHYNNEDINILRYGSEDLDDRNLTDGITNISSARKRKDVDYKEFGDQEFGDSSRLKKARVVWSVDLHQKFVNAVNQIGLDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQSEDSTSGGNMPSDLVNNDNVANFELTSSTNMQQCSEHTKFVGTSENLVQGIIDDAHIGDIKKIVPVQVIESEKGLISDIPSSQNVNSVPQLSALFSFKGMTPGVEEKAREPTTPKHQTLHDGAPRMQFMHYPGQGNCTMLDDYSYLSSSDQDHQVSFSLSSSSPVISATVSNEKDMKDLSEMKPVPTDQRNAHFAVIPPVACMTGSVSLQIKHGMVHSQDAGAICKLDGSPNTKGFSTEQIDNQECLPLTCEFSPKSEAELDSLPADLHLCSIQKFGCFEDVGFGGAENFQDIISTPDTQVQNDWYISSELSSDYLYETVDYPLIDECLFA >Ma03_p15430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15316032:15320733:1 gene:Ma03_g15430 transcript:Ma03_t15430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLLSARAEAFPAGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCSLATDALSMLRERKDKFDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELKNIWQHVYRKKMHELKEIQTHYNNEDINILRYGSEDLDDRNLTDGITNISSARKRKDVDYKEFGDQEFGDSSRLKKARVVWSVDLHQKFVNAVNQIGLDKVGPKKILDLMNVPGLTRENVASHLQYRLYLSRLQKQSEDSTSGGNMPSDLVNNDNVANFELTSSTNMQQCSEHTKFVGTSENLVQGIIDDAHIGDIKKIVPVQVIESEKGLISDIPSSQNVNSVPQLSALFSFKGMTPGVEEKAREPTTPKHQTLHDGAPRMQFMHYPGQGNCTMLDDYSYLSSSDQDHQVSFSLSSSSPVISATVSNEKDMKDLSEMKPVPTDQRNAHFAVIPPVACMTGSVSLQIKHGMVHSQDAGAICKLDGSPNTKGFSTEQIDNQECLPLTCEFSPKSEAELDSLPADLHLCSIQKFGCFEDVGFGGAENFQDIISTPDTQVQNDWYISSELSSDYLYETVDYPLIDECLFA >Ma09_p29920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40263688:40264589:1 gene:Ma09_g29920 transcript:Ma09_t29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPRRRSSASSSPEFEFLAISNSPAQLLSADELFADGVLLPLHLLSLRQPHPGRETGTGTEPEPEPDPDPSLPPPPPPPSPTDDITASISCSPTSGSKRWKDIFRVGEKKAAEAKERKGSSGGAAEPSISLWPFSRSRSAGNPAAGGGRPRATAYGRKVSSTPCSRSNSSGEYSAWPAPAAGGRRWAASPGRAGGVPVGRTSPVWQIRRPEHRDKITGSKTGSVGAGIRVLNLNVNTLVGFRRGEGSCRGDDKVGLTARARTAGHSGGSLFSLRALFSKKVY >Ma02_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28975324:28980038:1 gene:Ma02_g24300 transcript:Ma02_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSHLAPPSASLPAPRSLHLVRPQLPFPSSSRWPRKTGAAAPPEVASLRWRAGVSFFPSFLKKKARSPEEIKEELLEAIAPLDRGADATPDDQERIDQIARELEAVNTVKEPFKSDLINGKWELIYTTSRSILQVQRPKFLRPNGEIYQAINADTLRAQNMETWPYFNQVTANLVPLNAKRVNVQFDTFKIFGLIPIKAPGRGRGELEITYLDEEIRISRGDKGNLFILKMVDPSYRVPVRG >Ma11_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2714905:2717973:-1 gene:Ma11_g03640 transcript:Ma11_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMERRRSFPAVALPLLAVWALVMVVPCFAADPYAYFDWDVSFINAAPLGVKQQVIGINGQFPGPVVNVTTNWNVVVNVLNDLDEPLLITWNGIQQRKNSWQDGVLGTNCPIPSGWNWTYQFQVKDQIGSFFYFPSIGFQRAAGGYGGFIINNRDVIAVPFDKPHGDITLFVGDWYNKDYKDLRKALDDGKDLGMPDGVLMNGKGPYRYNKTLVPDGIDYETIHVHPGKTYRFRVHNVGISTSLNFRIQNHNMLLVETEGSYTVQQNYTNLDVHVGQSYSFLVTMDQNASSDYYIVASARFVNESRWSRVTGVAILHYSDSKGKASGPLPDPPNDFYDKTFSMNQARSIRWNLSAGAARPNPQGSFRYGSINVSQVYVLKNKPPVVINGKRRATLNGISYSPPETPLRLADEYKLKGVYTLDFPSRPLKGAPKTGRSLINGTYRGFMEIIFQNNDTKVQTYHMDGYAFFVVGMDYGEWTEESRGTYNKGDGVARCSTQVFPGAWTAILVSLDNVGIWNVRAQNLDSWYLGQEVYVRVINPENTNKTELPIPDNALYCGRLQKYQNEQTPHHKQASSASATYRTSELLVLMLLLVGIA >Ma06_p24210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22661797:22668663:-1 gene:Ma06_g24210 transcript:Ma06_t24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MSCAVDLFRGSQCFHCCSLRAGPYLCTPVFASRSVFFWRSSPSTSRPPCLLGLKLRRHQIVCQAMTETEPDSNDEKKVAPVEHTSTPSTADLIQNNGHADSIIDQDKDEPCDDELLNQDITLQKNEDDLVANNNNQEKDDNLEVASGSPLPGMKQQLDETVKIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRMQGKFGDQYKLFLLINPEDDKPVAVVVPKRTLQPETTAVPEWFAAGAFGLVTIFTLLLRNVPALQSNLLSTFDNLALLNDGLPGAIVTVLIVGFHEIGHILVARDAGIKLGVPYFVPSWQIGSFGAITRILSIVANREDLLKLSAAGPLAGFTMGLILLLLGFVLPPVDGTGIVIDPAVFHESFLAGGIAKLFLGNALKEGAPLSINPLVLWAWSGLLVNALNSIPAGELDGGRISFAIWGRKASTRLSGIALALLGISSLFNDVAFYWTVLIFFLQRGPIAPLSEEITEPENRYVGLGIAVLLLGLLVCLPYPFPFNTEVTNFDF >Ma05_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26514130:26514628:-1 gene:Ma05_g19130 transcript:Ma05_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLFYNQSSFVKDTKCFKNKKITKKSLTVPYKTSQVCQQPLRTYTKVQKLGSVGRSIDMTRFSNYHELRSAVACMLGLEGQLDDPRGSEWKFVYVDYESNVLLVGDDPWE >Ma05_p27680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38875788:38876808:-1 gene:Ma05_g27680 transcript:Ma05_t27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQAQSRLSAVSSHPFGAPIGGVRVAHLLSPPFVPTQVVRASSQFQRLLSASINSLLHIPKLVSGCHPAPHLSDGFVVFPSRFTDGCPTGPRCRHSFLPSPSEVQSNLCQGCWRIR >Ma06_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7083542:7085153:1 gene:Ma06_g10230 transcript:Ma06_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAAATPTPRRKPLQPRNFNLSTTAGLQPKPKPIALRIPPPIKGSPGKENCPILPPEPEPEARPREASLAEELAAVRQMRDRLRADREKTEEVLRERDAVMQRWAVELEKRAEEQRNLELELRLLIKLQDLISCSMIPSSVQSLRHQEHQQSAEVQSQMTSPVRSLREKERQKSMEAQLQAPQSAEENSEADKPSATQENETE >Ma10_p13980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26570045:26575449:1 gene:Ma10_g13980 transcript:Ma10_t13980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDKRYTEDGTTDVHGRPAVRKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLNQGNAKAANNVTNWSGTCYITPLLGAFIADAYLGRYRTIASFMIVYIIGLILLTMTASVEGLKAPCNHGVCDPTTAQTAVVFVALYLIALGTGGIKPCVSSFGADQFDESDESEKKRKSSFFNWFYFSINIGALIASSVLVWIQNNVGWGWGFGIPAVVMSIAVVSFFLGTPLYRHQKPGGSPITRVAQVIVASLRKSGMDVPDDKSLLYEVADKESVIQGSRKLGHTDEFKFLDKAAIVTQEDKNPVSPWKLCTVTQVEELKSVVRLLPIWATGIVFSTVYGQMGTMFVLQGNTLDPYMGPHFKIPAASLSIFDTISVIVWVPIYDRIIVPLARRSTGRERGFTQLTRMGIGLVISIFSMVAAGILEVVRLRIVANHNMYDSQAYLPISIFWQIPQYFIVGAAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTVVTGITTRNGKLGWIPDNLNRGHLDYFFWLLAVLSLVNFVAYLLIAKWYTYKKTTDEEFDYGSSSELGTQG >Ma10_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26570045:26575449:1 gene:Ma10_g13980 transcript:Ma10_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDKRYTEDGTTDVHGRPAVRKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLNQGNAKAANNVTNWSGTCYITPLLGAFIADAYLGRYRTIASFMIVYIIGLILLTMTASVEGLKAPCNHGVCDPTTAQTAVVFVALYLIALGTGGIKPCVSSFGADQFDESDESEKKRKSSFFNWFYFSINIGALIASSVLVWIQNNVGWGWGFGIPAVVMSIAVVSFFLGTPLYRHQKPGGSPITRVAQVIVASLRKSGMDVPDDKSLLYEVADKESVIQGSRKLGHTDEFKFLDKAAIVTQEDKNPVSPWKLCTVTQVEELKSVVRLLPIWATGIVFSTVYGQMGTMFVLQGNTLDPYMGPHFKIPAASLSIFDTISVIVWVPIYDRIIVPLARRSTGRERGFTQLTRMGIGLVISIFSMVAAGILEVVRLRIVANHNMYDSQAYLPISIFWQIPQYFIVGAAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTVVTGITTRNGKLGWIPDNLNRGHLDYFFWLLAVLSLVNFVAYLLIAKWYTYKKTTDEEFDYGSSSELGTQG >Ma10_p13980.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26570046:26575449:1 gene:Ma10_g13980 transcript:Ma10_t13980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDKRYTEDGTTDVHGRPAVRKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLNQGNAKAANNVTNWSGTCYITPLLGAFIADAYLGRYRTIASFMIVYIIGLILLTMTASVEGLKAPCNHGVCDPTTAQTAVVFVALYLIALGTGGIKPCVSSFGADQFDESDESEKKRKSSFFNWFYFSINIGALIASSVLVWIQNNVGWGWGFGIPAVVMSIAVVSFFLGTPLYRHQKPGGSPITRVAQVIVASLRKSGMDVPDDKSLLYEVADKESVIQGSRKLGHTDEFKFLDKAAIVTQEDKNPVSPWKLCTVTQVEELKSVVRLLPIWATGIVFSTVYGQMGTMFVLQGNTLDPYMGPHFKIPAASLSIFDTISVIVWVPIYDRIIVPLARRSTGRERGFTQLTRMGIGLVISIFSMVAAGILEVVRLRIVANHNMYDSQAYLPISIFWQIPQYFIVGAAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTVVTGITTRNGKLGWIPDNLNRGHLDYFFWLLAVLSLVNFVAYLLIAKWYTYKKTTDEEFDYGSSSELGTQG >Ma10_p13980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26570045:26575449:1 gene:Ma10_g13980 transcript:Ma10_t13980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDKRYTEDGTTDVHGRPAVRKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKDRLNQGNAKAANNVTNWSGTCYITPLLGAFIADAYLGRYRTIASFMIVYIIGLILLTMTASVEGLKAPCNHGVCDPTTAQTAVVFVALYLIALGTGGIKPCVSSFGADQFDESDESEKKRKSSFFNWFYFSINIGALIASSVLVWIQNNVGWGWGFGIPAVVMSIAVVSFFLGTPLYRHQKPGGSPITRVAQVIVASLRKSGMDVPDDKSLLYEVADKESVIQGSRKLGHTDEFKFLDKAAIVTQEDKNPVSPWKLCTVTQVEELKSVVRLLPIWATGIVFSTVYGQMGTMFVLQGNTLDPYMGPHFKIPAASLSIFDTISVIVWVPIYDRIIVPLARRSTGRERGFTQLTRMGIGLVISIFSMVAAGILEVVRLRIVANHNMYDSQAYLPISIFWQIPQYFIVGAAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTVVTGITTRNGKLGWIPDNLNRGHLDYFFWLLAVLSLVNFVAYLLIAKWYTYKKTTDEEFDYGSSSELGTQG >Ma07_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1950947:1952524:1 gene:Ma07_g02440 transcript:Ma07_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADACNSRSLSWLVKPCRPDPRREIIAHPSLEIVHHHHNLRPSAVASASAAAPIMSLPDDLLLECLSRVPSSSLPALPLVCRRFSLLLDSPAFLRLRCAHGRLRRTLHALALSDLGLLSSTSLPVDAPLASAWAPSSASAVLPLEALDGSFSFSHARVAAVGRSIYIIGRGATLRYDTWTGAVAPRAPTIFPRKKFAAAAIGGKIYVAGGVARASAVEEYDPTADAWRVVAEAPRQRYGCVGAVAGGVFYIAGGLRVGGVGGEGGRLDAHVCAGSMDAYNVEAGAWVRGRPGAGTVAGAAAAVPGGGCVVGACGTGVHVYVVASHAVELSFWRWEARARRSGGGEWARLEPPPISARAGLGGALRFSCAAVGEEKVVALVHVSVGCGGGGRRGSDAVAVEGAVLVYDIKGGEWTRGPDLPPGLRRAAVACVEF >Ma05_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36267122:36268228:-1 gene:Ma05_g24050 transcript:Ma05_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTLEITLISAKGLKDVNLFSKMAVYAVVCLSDDPRTRQSTPPDREGGCNPSWNSTFRLTVPTDANLARVHFLRILLRTERALGDRDVGDVRIPLTELISRAGDGPQPVQFVSYQVRRTTSGKPKGVLNFSYKLGERVAAPAAPAPSAAPPAGYPPSVTAYPASAAAPYPFPPSSKADEPVMAYPLGTSYGAAQPVPPPSVYHQPPPPTYQQRPPYGYGYGYGYGAARPPVAQPQRKNNFGMGLGAGLLGGALGGLLVGDMVSDAAAYDDGYDAGFDDAAGFDF >Ma05_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31801301:31802254:-1 gene:Ma05_g20210 transcript:Ma05_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMKVVVVAAQASDLGIMATSTIPDDGASSSPEEVLDHPFNMYSRCTSAPASSASAGSTYAHLSHLICPSPSESPATFGVPFNWEEKPGTPKCEFSFRNDNRCSEFDFDLGFRGNQYEQPAVAATDEVFENKIILPLKPPPRLYFQSVDHGGGATSSQRPPRPPRTRRLWSFRHQSKRSDDDEEEEDPFTVAMVEATRESSGKDKDPISFLAKGVMRRKWRLRALLPLRCASNKHPAKYTLLSSSSSATSNQKVGAMETRNEGFGSTQSHDPVHKTHCTAEGEASKELKKKSCKDLLDFIGFNAGGRKYCLGGGRQ >Ma09_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15933909:15936250:-1 gene:Ma09_g18140 transcript:Ma09_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKNIQQLTLSEEEAGACALRLATSCALPFTFKAAIELRLLDIIVEAGPGAMLSPVEIVARLPTENPQEATMLDRMLRLLAANTVVSCTVQTGADGRPTRKYGAAPICKYLTKNEDGVCMAALALLLQDKIFVDTWHHLKDSVLEGGIPMKTAHGMFLFDYMSSDPRFSTVFNEGMRGHSSIIIKNLLRVYSGFDGMEGLVDVGGNDGATLQMITSRHPHIKGINYDLPHVFSGVEHISGDMFEAVPSGDAIFLKWVLHDWSDEDCVKILKNCWKALTENGKVIVVECILPIVPEPTAKAQAVFQLDLYLIVLTNGGRERSEEEFKDLAREAGFPGFKDAHVFADTWVMEFTK >Ma09_p18140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15933892:15936346:-1 gene:Ma09_g18140 transcript:Ma09_t18140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKNIQQLTLSEEEAGACALRLATSCALPFTFKAAIELRLLDIIVEAGPGAMLSPVEIVARLPTENPQEATMLDRMLRLLAANTVVSCTVQTGADGRPTRKYGAAPICKYLTKNEDGVCMAALALLLQDKIFVDTWHHLKDSVLEGGIPMKTAHGMFLFDYMSSDPRFSTVFNEGMRGHSSIIIKNLLRVYSGFDGMEGLVDVGGNDGATLQMITSRHPHIKGINYDLPHVFSGAQPMPRVEHISGDMFEAVPSGDAIFLKWVLHDWSDEDCVKILKNCWKALTENGKVIVVECILPIVPEPTAKAQAVFQLDLYLIVLTNGGRERSEEEFKDLAREAGFPGFKDAHVFADTWVMEFTK >Ma09_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36463279:36472675:-1 gene:Ma09_g24850 transcript:Ma09_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPVAVARMAEEIGRTASAESSSSTTAWRRWAWPTVLRWIPTSTDRIIAAEKRLLSLVKTGYEQEQVNIGPGPPGSKVRWFRSSSDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALATHFRVIAIDQLGWGGSSRPDFTCKSTEETETWFIDSFEEWRKAKNLNKFILLGHSFGGYVAAKYALKHPEHVQHLILVGPAGFSSETEQKSEWLTKLRATWKGVILNHLWESNVTPQKVIRGLGPWGPDLVRRYTSARFGSYSTGDTLTEEESRLLTDYVYHTLAAKASGELCLKYIFSFGAFARKPLLQSASEWKIPTTFVYGYQDWMNYQGAQQARKDMKVPCEIIRVPQAGHFVFIDNPAGFHSAVLYACRRILSPDHAETQSLPEGLTSA >Ma02_p11780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20795448:20796693:1 gene:Ma02_g11780 transcript:Ma02_t11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMPMVDFAPSATTATAASNTCTGTRAQLTESTRPRSHKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSHSSATASTTTTTKSTSSIASALTTASTSKKFPSDLIPPSISLSASSEAPKYYERQDLSLAFRQHGLPEYNDYPNLETSSANSSSGALSAMDLLRGGMTTRGFGSFMPMPEYPTGFGLQEFRPPTLNFDLDGTAGESRSLPGMQVSTSGKLLFPFGDVKPAVPSNMFAEQFEKNKGQASDPPAFWNGIIGGGRGGGSW >Ma02_p11780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20794815:20796693:1 gene:Ma02_g11780 transcript:Ma02_t11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSHCMRVQAAMDKMASLMCHRRITHHHFSLLSTSSHVPVLVLGLLPPLLPVVLWCLGSSVELCMDTTQWPQGVGMMMMMPMVDFAPSATTATAASNTCTGTRAQLTESTRPRSHKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSHSSATASTTTTTKSTSSIASALTTASTSKKFPSDLIPPSISLSASSEAPKYYERQDLSLAFRQHGLPEYNDYPNLETSSANSSSGALSAMDLLRGGMTTRGFGSFMPMPEYPTGFGLQEFRPPTLNFDLDGTAGESRSLPGMQVSTSGKLLFPFGDVKPAVPSNMFAEQFEKNKGQASDPPAFWNGIIGGGRGGGSW >Ma05_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8816779:8821440:1 gene:Ma05_g12140 transcript:Ma05_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFPPLPLLSFPHCFPSTLLSSKPRVPLLSLLRRPRDLPPLAAVGGGGNKFNPLPSDDDPPEAPEDSSHGVPRINQFERQVARARRRQEEQFKKDQPLFLQALAEEETPQDPADPSSPSSGNDLFGDIDRAIALKRQEFVKQGLLPSKSPKKEPPLEESLEGIDELTPEEVVDLEEIQDLQGLTVISNGEEEESNEGNPFNSPDEEAAEPGSNGAASQSSFDLDVDAFGRSPARILEPKFEMTLAELLDESRVVPVSVYGDLEVSITGIQHDSREVTAGDLFVCCNGSKIDGHDYLTEADKRGAVALVADKEINIEETLGCKALVIVEDTSLALRILAASFYMHSSKSLSVIGVTGTSGITATTHLVKAMYEAMGLRTGMLGTLGCYVHGENRLDSPNTTTDVVVTQKLMAKMVHNGTEAVVMEASADGLAHQRYDEIDFDIAVFTNLTSDHPEFSGTDEEYRNAQGKLFTMMVDPERHRKIVNIDDPNAPFFVTQGNPAVPVVTYALENKNADVHVLKFELSLFETQVLVQTPHGILEISSGLLGRDNIYNILAAVSVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVVVDHSKTPDALSRLLDTVRELGPRRIITVLGCEGERERGKRPLMTKIATDKSDVVMLTSDNPRNEDPLDILDDMLAGVGWTMQDYLKHGENDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEEGDVVVVAGKGHETYQIEGDKEEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >Ma03_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18503954:18516804:-1 gene:Ma03_g16260 transcript:Ma03_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAPIPWTKLVSLDNIIVGSHVWVEDPVLAWVDGEVFKINGNEVHAHTTNGKTFPESSHMQVVANMSKVFPKDTEAPPAGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHIMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGIEGRTVEQQVLEVRYDKFSFPEFDNYRLSNPVLEAFGNAKTVRNNNSRSRVCQINDPERNYHCFYLLCAAPHEVYSMHTLPLFGMAYLGNPQSFHYLNQSKCFKLDGVDEAQEYLATRRAMDIVGISEQEQEAIFRVVAAILHIGNIDFVKGPEIDSSVIKDEKSRFHLDMAAELLMCNVKGLENALIKRVMVTPEEVITRTLDPASAIVSRDGLAKTLYSHLFDWLVEKINISIGQDPTSKSLIGVLDIYGFESFKCNSFEQLCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKVSELEETMVCIRLTVVYGGEKMVHINIIDGWKLLVSLVYSIKQQEVDPSTGGFSYMKPGGIIALLDEACMFPRSTHETFAQKLYQTLKNNKRFIKPKLSRTIFTFCHYAGEVTYQADYFLDKNKDYVVAEHQDLLNASNCPFVSGLFPPLPEETSKSSKFSSIGSCFKLQLQSLMETLNSTQPHYIRCVKPNNVLKPSIFENFNVIQQLRCGVRVLEAIRISCAGYPTRRTFYEFLLRFSLLAPEVLEGNFDDKAACQKILDKIGLKGYQLGKSKVFLRAGQMAELDARRAEFLRLRQASVYLQSLWRGRLACKLYECIRREAAAVKIQKNLRRYFARKSYTALRMSAITLQTGSRAMIARNDFRLRKQTKASICIQVP >Ma10_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28667356:28669925:-1 gene:Ma10_g17180 transcript:Ma10_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGLVVYRNRTYLSPTTTRVGGGGGGGRVRFGRVQLQWSGGGWPSLRLSTFRPRAAVEEGFVRENAGSFYDLLGVPASGSTSAIKKAYKQLARKYHPDVSPPERAAEYTRRFIEVHEAYETLSDPGRRAIYDRDLTRSLPLAFSASRCRFDEEPEERSGWRNHWQDQLTELKRRSMNGSSKDNLSWGAQMRRRRAESSREEVA >Ma01_p20960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19805593:19809277:1 gene:Ma01_g20960 transcript:Ma01_t20960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPRKRCLYEVLGVQRDCSQEEIRSAYKRLALQLHPDKVAASGTVDATSATAAFQELLHAYEVLSDPKERAWYDSHRSQILFSDPSAASKSHRPSAFFDLDLFSFFSNSVFSGYSDSGKGFYKVYGDLFAKVYAQEIWFAKELGLGADAVTPAPLIGNLECPYTQVTAFYSYWLGFCTVMDFGWVDEYDASMGPNRRTRRAMEDENKKLRKKARREYNDTVRGLAAFVKKRDKRVVDMMVKKKLAEEKHRAEEKARKKEEERKKMEKAKLFEEPEWTQSNEQEDDFHELEDDDDDHKKKGREEFYCVVCNKKFKSDKQWKNHEQSKKHKDKVAELRMAFKEEDEEFLEEEGDVEVHVSFDYEPPESEDSDVVEELSDKLREDLEFPETNDDAEHLGERDDEASILEAMVAGRKNRKNNSLKQHDSSLNDIYHPDNGEQSSMDYDSQRRGRRHRTSRTGTSEADSEVKKERGETSREDTEVKSHETSTEYHNEASSLLVEETTVINKKDRPTGKNQKSKKQQVDGKGAGKKVAPADTNNLPKGRKQKANSKAPSNACETCGETFETRNKLFAHLGDTGHASLKSR >Ma01_p20960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19805593:19809277:1 gene:Ma01_g20960 transcript:Ma01_t20960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPRKRCLYEVLGVQRDCSQEEIRSAYKRLALQLHPDKVAASGTVDATSATAAFQELLHAYEVLSDPKERAWYDSHRSQILFSDPSAASKSHRPSAFFDLDLFSFFSNSVFSGYSDSGKGFYKVYGDLFAKVYAQEIWFAKELGLGADAVTPAPLIGNLECPYTQVTAFYSYWLGFCTVMDFGWVDEYDASMGPNRRTRRAMEDENKKLRKKARREYNDTVRGLAAFVKKRDKRVVDMMVKKKLAEEKHRAEEKARKKEEERKKMEKAKLFEEPEWTQSNEQEDDFHELEDDDDDHKKKGREEFYCVVCNKKFKSDKQWKNHEQSKKHKDKVAELRMAFKEEDEEFLEEEGDVEVHVSFDYEPPESEDSDVVEELSDKLREDLEFPETNDDAEHLGERDDEASILEAMVAGRKNRKNNSLKQHDSSLNDIYHPDNGEQSSMDYDSQRRGRRHRTSRTGTSEADSEVKKERGETSREDTEVKSHETSTEYHNEASSLLVEETTVINKKDRPTGKNQKSKKQQVDGKGAGKKVAPADTNNLPKGRKQKANSKAPSNACETCGETFETRNKLFAHLGDTGHASLKSR >Ma01_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19805578:19809277:1 gene:Ma01_g20960 transcript:Ma01_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPRKRCLYEVLGVQRDCSQEEIRSAYKRLALQLHPDKVAASGTVDATSATAAFQELLHAYEVLSDPKERAWYDSHRSQILFSDPSAASKSHRPSAFFDLDLFSFFSNSVFSGYSDSGKGFYKVYGDLFAKVYAQEIWFAKELGLGADAVTPAPLIGNLECPYTQVTAFYSYWLGFCTVMDFGWVDEYDASMGPNRRTRRAMEDENKKLRKKARREYNDTVRGLAAFVKKRDKRVVDMMVKKKLAEEKHRAEEKARKKEEERKKMEKAKLFEEPEWTQSNEQEDDFHELEDDDDDHKKKGREEFYCVVCNKKFKSDKQWKNHEQSKKHKDKVAELRMAFKEEDEEFLEEEGDVEVHVSFDYEPPESEDSDVVEELSDKLREDLEFPETNDDAEHLGERDDEASILEAMVAGRKNRKNNSLKQHDSSLNDIYHPDNGEQSSMDYDSQRRGRRHRTSRTGTSEADSEVKKERGETSREDTEVKSHETSTEYHNEASSLLVEETTVINKKDRPTGKNQKSKKQQVDGKGAGKKVAPADTNNLPKGRKQKANSKAPSNACETCGETFETRNKLFAHLGDTGHASLKSR >Ma04_p35130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34044584:34046688:1 gene:Ma04_g35130 transcript:Ma04_t35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSFSSRLPFLLVLLLCCASFGSSDFAQDKANCQDTLVSLATCLTYVEGQAKAPTPDCCDGLSKILSKNRTCLCVLIKDRNEPGLGITFNATLAMNLPTVCHASSNISECPELLHLPPHSKEAQIFEQFGKANQGNASSGHAPDGSLATPATSSSTTSSGHRINGGRCSRYVEGTKWLGLVVGGVWVTPLLLVATLV >Ma07_p25600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32627146:32630774:-1 gene:Ma07_g25600 transcript:Ma07_t25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIWDDEAQPNRHPMLGATESLPNLVRNEWSSYLLTSPYLQILTGKDKSVVLWSIHDHISSLSESLSKLPVSSTPNSSGKQPTKAGNVKSSGSPTVGPRGVYQGHEDTVEDVQFCPSSAQEFCSVGDDPCHILWDARVGSSLVVKVQPKAHNADLHCVDWNPHDQNLILTGSADNSVCHEAAWSPDRASVFGSAAEDGFLNIWDHEKVGKKKESAGTRMPNSSPWFILSTCKGIGIKL >Ma10_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14271760:14281826:-1 gene:Ma10_g03690 transcript:Ma10_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTIILSDVDPVTVAEYLVGLFFASSRPFFASAKGWRGRLGPPSLIWTSPSPLVVARGVAAVRGCHPTPLSPPPNDDSDQASFVLLQPWISHRQEPVHGLWVPLHPILLVWDCILPALLFVLLFIKIKVYVLSKKLFHNLHL >Ma03_p27240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30692586:30697456:1 gene:Ma03_g27240 transcript:Ma03_t27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKTADVLTATAAAVLSAALLSYLLLSGHGFKLPWLRDRGNYRRHKSRGKRNGLVDAVGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALDSGGLMPGGVVTEGSAGSTAISLATIAPAYGCRCHVVIPDDVAIEKSQIIEALGATVERVRPVSITHKDHYVNVARRRALEATNRAAIPREADKIKGIDLLQMNGHAPKQTEGYPCSADNKGGFFADQFENLANFRAHYEWTGPEIWEQTQGKLHAFVAAAGTGGTIAGISQFLKEKNPNIKCFLIDPPGSGLFNKVTRGVMYTKEEAEGRRLKNPFDTITEGIGINRLTKNFMMAELDGAYRGTDREAVEMSRFLLKKDGLFLGSSSAMNCVGAVRLARSLGPGHTIVTILCDSGMRHLSKFCNAQYLVDHGLTPTATNLEFLDHS >Ma11_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1908224:1908942:-1 gene:Ma11_g02610 transcript:Ma11_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGGTFYAVPAGRRDGKISRSSDTIDLPPPTFNLKQLTQSFASKGMSQDDMITLSGAHTIGVAHCPTFSNRLYNFSRKASTDPTLNPKYAYQLKKECPPGSNNEVDMDPPRPLTFDTSYYSNLLDNRGLFTSDQTLMSTPATAGKVRQFAGSSVLFKQKFAAAMVKMGKIGVLTGEQGEIRSYCRVVN >Ma11_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4122876:4132513:-1 gene:Ma11_g05400 transcript:Ma11_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRHASTFLRPPPLTPAADGPPLTYALVVLNQRLPWFAPVLWSHATLRVFADGGANRVYDGMPRLFPEQDPLEVRRRYKPDLIRGDMDSIRPEVKEFYSNLGVKIEDVSYDQDTTDLHKCVTFICDLTPKMDRSNLCILVSGALGGRFDHEAGNINVLYKFSNIRIVLLSDDCLIQLLPRTHCHEIHIQSSVVGPHCGLIPLGAPSASTTTTGLQWDLTNTRMSFGSLISTSNIVREERITVHSDSDLIWTISIKKDI >Ma07_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31330534:31333011:1 gene:Ma07_g23820 transcript:Ma07_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGEEKLIAAVRHIAKTLGRTETMAEDILQVFSAFDGRFSLDKLSSDRPLPRRRSPADSPAAGTAVAGGGGTTAGGREADDPRPPLERTIRTLDRQISRFVASDRLIWSDAADAAAFLEAVDDLLATMRDLESPSGTGDKSLFDRTDDLLQRCMLRLEEEFRAILDRPDGFAAAGGISTPPSEDSDSDGADEGEDHVPVAAPVNDYNLVIDALPPGSIADLHAIARRMVDAGFGRECAEAYGVSRRGFVDESIARLGLRPRAADEVQATPWADLEDEIARWVKAAKMAFLILVPSERRLCDRVFASLPPFADLAFAAACRPAAAGLLCFADAIAAGPREPERLFRLVDMYEALHDLLPELDHLLSEQYSATLRAEVAAAHRALGAAIRGIFIELENLIRRDPAKAAVPVGGLHPITRYVMNYLRAACAFRRTLEEVMEEDATGVTIPPDPHHPSSSSLSLQVAWIMDVLQSNLEAKSKVYPEPPLSFIFLMNNGRYMTQKARDSELGALLGEDWIRRQMAMVRRWGNDYQRTTWTKVVAVLRMDGIGGAAASSSAAAGKAMRERLRMFNTYVEDIWKVQVGWLVVDEQLRTELRLAIGALVLPVYRNFVARLRQAGEVGRQIDRHLKYSVEDVETRINELFEGGRRW >Ma10_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17621144:17621465:-1 gene:Ma10_g06150 transcript:Ma10_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWERGAAMRNTTLACGTGACVVLVAAVLEGYTERVCLTLSSLC >Ma06_p29520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30873718:30877028:-1 gene:Ma06_g29520 transcript:Ma06_t29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFDLPVGEETMDEDPMDLDDDNPTLKVGEEKEIGKQGLRKKLVKEGEGWDHPEAGDEVEVHYTGTLLDGSKFDSSRDRGTPFRFNLGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGASGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILTDGDKWDNPKDRDEVLVRYEARLEDGTVVSKSEGVEFTLRDGYFCPALSKAVKTMKKGEKVLLTVMPQYAFGEDGRQASGDEGAVPPSATLHIDLELVSWKTVTEIGNDKKIIKKILKEGEGYERPNDGAVVKVKLIGKLDDGTVFVKKGHDGDEPFEFKTDEEQVIEGLDQAVMSMKKGEIAYVTIPPEHAFNRVESKQDLAVVPPNSTVHYEIELVSFVKEKESWDLNTAEKIEAAGKKKEEGNALFKLGKHARASKRYEKAAKYIEYDSSFSDEEKKQSKVLKVTCNLNNAACKLKLKDYKQAEKLCTKVLDIDSTNVKALYRRAQAYMHLCDLDLAEQDIKKALEIDPDNRDVKMEYKILKEKVKEYNKKDAKFYSNIFAKLSKLEQMEANKANTVGLPKQEAQPMSIESGA >Ma06_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11887019:11893658:-1 gene:Ma06_g17520 transcript:Ma06_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-specific adenosine deaminase TAD2 [Source:Projected from Arabidopsis thaliana (AT1G48175) UniProtKB/Swiss-Prot;Acc:Q6IDB6] MALETGHDLLTELRFMELALEQARFALENLEVPVGCVIVEDGKVIASGSNRTSATRNATRHAEMEAIDTLLEEWQKIGLGQSEVAEKFSRCDLYVTCEPCIMCATALSILGIGKVFYGCSNDKFGGCGSVLSLHESASEKLSRGDLQAKSFKCTGGLMSEEAVALFRNFYEQGNPNAPKPHRPVRMPQSTE >Ma07_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30440573:30445856:-1 gene:Ma07_g22530 transcript:Ma07_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADKGKKSKVAEEGADGAEPEHIDGELVLSIEKLQEIQDELEKINEEASDKVFEVEQKYNEIRRPVYVRRAEIIKHIPDFWLTAFLSHPALGDLLSEKDQEIFKFMRSLDVEDFKDMKTGYSITFNFSNNPYFEDTKLTKTYSFTDEGTTNVTGTTIKWKEGMEHIANGDAHKKKGNKRLFAEESFFSWFNDNQEKDLSEGVMDEVAEIIKEDLWPNPLKYFNNEADEDDFDGEEDDEEETDEEDGDDEEDEE >Ma04_p39510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36632703:36633818:1 gene:Ma04_g39510 transcript:Ma04_t39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALFIPTVGNGFVRSSFPRFSFPSLPAARIIKPARIYANLGGADGEAKPAKKKFITREEEPDQYWQTAGERKGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKMPMK >Ma05_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2180619:2194098:1 gene:Ma05_g03080 transcript:Ma05_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLCPPLSLNTQRPLRDLSSLSLLSNPRVVSVRRFKQWSYSPKIIDHTAINCRSTRVKESPSTDTAALIREPHKYFDQVIITVRAGDGGHGAVLSMPNQKINSKTQGKYGHENTRKKSPYKRDSDGSLILPMGGHGGDAIIYADESTESLLEFHQKKRYCAKRGGNVDAMGTLNSQLHDGFAAPTLRIPVPVGTVVKHRRGKILADLAQPGDEILVARGGQGGISLLEMPEHKRKRLMALTTNVMRDESDKILVLGQPGEEVSLELILRVVADVALVGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGRLDGDPTLGALKYSSEATLADLPGLIEGAHLGKGLGRNFLRHIRRTQMLVHVVDAAAENPVNDYRTVKEELRMYNPEYLQRPYIVLLNKTDLPEALDRLPYLIQEISNIGCKATLELAMSQKLTTVTEDEHVPTSGVKSEEMKGIEDYPRPLAVVGASVLKHIGVDELLKEIRAALRKCRDLNKALEPENINVVSTAGARMRR >Ma01_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6520179:6520647:1 gene:Ma01_g09060 transcript:Ma01_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRSTYSASIWDMAFLVAMMMGIILKLASTIKNSGVDLQNQIQLTADHIAGLL >Ma03_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8274667:8277113:1 gene:Ma03_g10950 transcript:Ma03_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLVHRLFQLLSIILLLLLPHLTGAAASAASLRGGCPEKCGDVEIPYPFGIGPNCSMEGFALTCNMTDAGVRKPFFFNVEIIDISLQLGQARMLNHISQQFSDVHNMFTNELSLVNGSCSGIGCCQTSIPKNLTYYRADWFRFRTNETCQAAKLNKTSYACRSANSECFDSSNGPGYLCYCLSGYQGNPYLLDGCKDIDECVDKDQYPCQGICQNTNGSYNCSCPPGTHGNPLAGTCTSNRKLPLAAKAILGDSLVNFCSLLQTSTNNFMSCLLFLTTIKPKAFLSLCSMCIYMIYERRKFAKVKERYFKEHGGWILMEEIKEKQGHAFKIFTSKELEKATNKFGNNQVLGRGGHGTVYKGNIVKLLGCCLEVENTYPISLGARLQIAYESADALAYLHSSASPPIIHGDVKSSNILLDEN >Ma05_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9624144:9626289:1 gene:Ma05_g13280 transcript:Ma05_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEMGKRREALLRVVAVAVFVLLATAAARAEEEGRGGGRRHAYAAMMYMGTPRDYEFYVATRVMMRSLARLRVDADLVVIASADVPVRWVRTLKEEDAVKVVTVENLKNPYEKQGNFNTRFKLTLNKLYAWSLVSYDRVVMLDADNLFLQSTDELFQCGNFCAAFINPCIFHTGLFVLQPSMAVFKDMLHELQIGRENQDGADQGFLVSYFSDLLDRPMFHPPANHTKLHGTYRLPLGYQMDASYYYLKLRWSVPCGPNSVITFPSMPWLKPWYWWSWPVLPLGLQWHEQRRTSLGYDAETPAMLIQAVMYLGIIAVTRMARPNLLKLCHNRRSEKSFIPFPFLHAMVKATAVCSIVVAHVVPFFLVPRTAHPLLGWPLYLLGAAALCSIVISVFLLPPLPVLTLLLGIVGSLFVMAFPWYSDGITRALALFGYAFCCAPFLWASLTRTVISLQSLLEREAAFLRLGESMPLSESNKLY >Ma05_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32692589:32705273:1 gene:Ma05_g20990 transcript:Ma05_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCCCCAIPSASTSNSSLPILPSLLQAKSLSPLHRLPSRIPATHSSPQCTAQATSPVNSRYLPKVADPFDETPHSKARSYAKLISSHCRSQRWSDVISVLASMIADGATPDRFLLPKILKACSELRDWGTAATVHGYVITAPLEVDIVVGNSIVDMYSKCGDITSARAFFDRMTVRDVISWTALVNAYADAGLLDVAQAMFQSMRENGVRPDLISWNALISGFARNGETGMALLLLDELQENGLQPGTNSWNGVVSGLVQNGCFDDALEIFRQMCLHLKPNAVTIASILPACSGLTALNLGQELHSYVIRNGMKMNVFVGGSLIDMYLKCGKSGFAERVFADLENRNVPVWNALIAAYADEDKMSEALDLLDLMQKDGFVPNVITYNTFIAAYARRGQKDEAFKFLFEIIRKGLKPNVVSMNALTSGFHHSGLNDEALDLFREMQLPKSFSTRCSSVLIGLLDVIIQPNAVTITSILSVCAGLKLHHSGKEVHGFILRNSFESNVFVSSALVDMYAKCCDMSSATKVFHGMKDKNVVSWNVLMAGHNYNEHPEAALKLYLEMLEQNFVPSSITLMILLLSCSNIMALRLGRELHSRIEKGRPDGCPLTLASTLINMYAKCGSIKDAKLVFDCVIEKDLVIWNAMMAGYSLHRMTTDALSLFKQMQQSGIKPDHITFTAILSACNQEGFVDEGWKLFKMMEDIFGVSPTLEHFTCMVDMLGTAGLLEESLDLIRRIPFRPDACLWATLLKACRLHSNYEIGERAARALFELEPQNALNHIVLYNIFAMSGLWDSASTMRNALRDQGLKMVDICSWIEIGSAIHSFKSGDSSHPEMETILAMWNKLADGMSKGGYVPQNIVFCDQGEVDPFTCYHTEKLAVCLGIIFLRANIPIRVSKNVRMCIDCHSSIKFISKIEDRDIFITDGCFYHHFKDGTCSCGDKW >Ma08_p31510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42381826:42383038:-1 gene:Ma08_g31510 transcript:Ma08_t31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIHHPGGKVERAYWSLSASQVMAANPGHYVAVIVDGYPSRLPPPALQSSTSSFRAYRSCSGSSSAGGAAPVKHLKLLRPDDALHIGHVYRLISFEEVVREFAWKRHVKLSRLLVKEEDKTRRPRRGHSRRGRGGATTIAGARRRQSRNDGGSGAAEPDTSPATEQKEEEEEEEEAMDAELEEVVRGMMTMGNSTRSRASFGGVGGGGGGGAPARHGQWRPALQSIAEVGS >Ma11_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22029731:22030224:1 gene:Ma11_g16520 transcript:Ma11_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMGSGEMEHDVQRILEKIDQFTQQVWELLDAGRTLFKTLSSEFEERLISIHKEQMAKWQEEIKEMQLRDTCNETARALLENAQHLLRSAPQASPTQQPLQDFSGEM >Ma04_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5658276:5658877:-1 gene:Ma04_g07810 transcript:Ma04_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDQQRVSSLDSTSSSSEVDELERVAPGTYCAWEPRKPKAPAQGRSLRRWRIRYLVKRRSQSDGKEKFVFLSAEQKRGCSPRNPRRDSAETDAVKEDDSGEDEGKKEAGKPRRRVEWDMVTGDQVSSGKGSRQAAKRGAGRPFLPYMQNVVGFFAIANGLGRFR >Ma03_p26850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30422577:30431370:1 gene:Ma03_g26850 transcript:Ma03_t26850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIPGEANKKQQCANDVSQPMSSFNNKDKRVASEHERRERTKEEAASSTKIAKIHMSSRFFKSSASLSVQNKLFRDDRAMPIGTPYARKPSNHSLVLRTAVRNSEPVETRRNSLLSSGIYGRSAIYKMSRSPYFKPCSMANLGGDRSSLDDYGCPSMSENITHSGGRQTFKRGRSLLEDDIGSSGPTRRTHQKSNLMSPLASPYLSRGNSLPSSSTRVDQGSVTLNQKLLHLNEQENDHSEFQTVENSGVPSVPLPPQSSEMARKILQQPDKLVTSPKGQSSNLKIDMEESPFLLTHNMLHGQALKSMEEIDMSKFLNVQKNGSLKSPSDSHQKSFGNTISQKQDKSEENGSTKSAVKGVRFASTNSVLEKPNNVSGREAKPSTTTAHFVVSGAATTTSQKKPSFQMSAPEDLVELDDDSDDIKDSPSTATIVGNKPLLISKCEITHEKPKLEKSMKSSSNNICTSMGVSNGDSTKISNGLGMEKMNGFFFSAAPASTISSQVPLMVSNFTTSLMKSAPQCEETPAPTFKADLVELASGSASTAAGASGLGFSNATTATVLEGSKGEVVQTSKGGDLFNAFGNAALPTLSGFGAFRTSELNDGITSSTAISSALVAPSMTLGASFAPGFSTSTSMAPNSSATISSDAPIFSTIPSFQFGASGSFGASNAVTSSTEKSESTNLVGESVKSSVFSVSSSAPLLGTSAAFSSTRSNSSAVLTPSIFASTSNGSSALPAPALFSTATGGSSAMSLSSGFSTSTSMAPSSAATISSAAPILSTIPSFQFGASGSFGGASTVTSSTEKSDSTNLVGEPVKSSAFSVSSSAPLGTSAALSNTRSNSSAVLTPSIFASTSNSSSALPAPALFSTATGSSAVSMLPGFSTSSNGFSGFGSSPQSGGANSLFSSNSSQNLTVFGTTAESSFSTQPAQSGTGMSHALPISSSNTFGSSIPTTMFGLSGTSSSGSASSSFGFSTPGLEPLGSSSGFSFPTATGSSSSSGSSSTIPPAKSFVSSTGLSTISTLSAGGSSSSHVWSTPFGSSGFSFAASAISSANSSGNLSLVAGTGTGLFKSTSHSAQSSPSGSIFASTTFSPATGLPFGSAPSSGSSPFMFGSSSGSVSSFTSVGSTTSLISLVQPVFGAPNQTSGLNSGSPGNDQMNVEDSMADDSVQSSVLPAVKFGQPTNTPASPNFVFGSPATPGGTTTFQFGSQQNNFMPQSPSPFQPAGNLEFAAGGSFSLGSSGGGDTSGRRTVKVRRDKHRKR >Ma03_p26850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30419068:30431370:1 gene:Ma03_g26850 transcript:Ma03_t26850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYESDGGGIGGKFRKRLFRRAPATPYDRPQAAARPAQPLPAEPRGNGWLSRLVDPATRIISWSASRLFPSSVFQKRLGAPPAAPPEANQRPVEEVIKEPSTNSLHEVQEHLSDGKNAVNSSNAGSAQHGTSSHVDGVFELEQLLKQKTFTRTEFDHLTQLLHSRIVEPNAREVAVNSENIEITNVRGQTNNAVEVNVLQPASSYEIEMPTIPGEANKKQQCANDVSQPMSSFNNKDKRVASEHERRERTKEEAASSTKIAKIHMSSRFFKSSASLSVQNKLFRDDRAMPIGTPYARKPSNHSLVLRTAVRNSEPVETRRNSLLSSGIYGRSAIYKMSRSPYFKPCSMANLGGDRSSLDDYGCPSMSENITHSGGRQTFKRGRSLLEDDIGSSGPTRRTHQKSNLMSPLASPYLSRGNSLPSSSTRVDQGSVTLNQKLLHLNEQENDHSEFQTVENSGVPSVPLPPQSSEMARKILQQPDKLVTSPKGQSSNLKIDMEESPFLLTHNMLHGQALKSMEEIDMSKFLNVQKNGSLKSPSDSHQKSFGNTISQKQDKSEENGSTKSAVKGVRFASTNSVLEKPNNVSGREAKPSTTTAHFVVSGAATTTSQKKPSFQMSAPEDLVELDDDSDDIKDSPSTATIVGNKPLLISKCEITHEKPKLEKSMKSSSNNICTSMGVSNGDSTKISNGLAPQCEETPAPTFKADLVELASGSASTAAGASGLGFSNATTATVLEGSKGEVVQTSKGGDLFNAFGNAALPTLSGFGAFRTSELNDGITSSTAISSALVAPSMTLGASFAPGFSTSTSMAPNSSATISSDAPIFSTIPSFQFGASGSFGASNAVTSSTEKSESTNLVGESVKSSVFSVSSSAPLLGTSAAFSSTRSNSSAVLTPSIFASTSNGSSALPAPALFSTATGGSSAMSLSSGFSTSTSMAPSSAATISSAAPILSTIPSFQFGASGSFGGASTVTSSTEKSDSTNLVGEPVKSSAFSVSSSAPLGTSAALSNTRSNSSAVLTPSIFASTSNSSSALPAPALFSTATGSSAVSMLPGFSTSSNGFSGFGSSPQSGGANSLFSSNSSQNLTVFGTTAESSFSTQPAQSGTGMSHALPISSSNTFGSSIPTTMFGLSGTSSSGSASSSFGFSTPGLEPLGSSSGFSFPTATGSSSSSGSSSTIPPAKSFVSSTGLSTISTLSAGGSSSSHVWSTPFGSSGFSFAASAISSANSSGNLSLVAGTGTGLFKSTSHSAQSSPSGSIFASTTFSPATGLPFGSAPSSGSSPFMFGSSSGSVSSFTSVGSTTSLISLVQPVFGAPNQTSGLNSGSPGNDQMNVEDSMADDSVQSSVLPAVKFGQPTNTPASPNFVFGSPATPGGTTTFQFGSQQNNFMPQSPSPFQPAGNLEFAAGGSFSLGSSGGGDTSGRRTVKVRRDKHRKR >Ma03_p26850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30419068:30431370:1 gene:Ma03_g26850 transcript:Ma03_t26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYESDGGGIGGKFRKRLFRRAPATPYDRPQAAARPAQPLPAEPRGNGWLSRLVDPATRIISWSASRLFPSSVFQKRLGAPPAAPPEANQRPVEEVIKEPSTNSLHEVQEHLSDGKNAVNSSNAGSAQHGTSSHVDGVFELEQLLKQKTFTRTEFDHLTQLLHSRIVEPNAREVAVNSENIEITNVRGQTNNAVEVNVLQPASSYEIEMPTIPGEANKKQQCANDVSQPMSSFNNKDKRVASEHERRERTKEEAASSTKIAKIHMSSRFFKSSASLSVQNKLFRDDRAMPIGTPYARKPSNHSLVLRTAVRNSEPVETRRNSLLSSGIYGRSAIYKMSRSPYFKPCSMANLGGDRSSLDDYGCPSMSENITHSGGRQTFKRGRSLLEDDIGSSGPTRRTHQKSNLMSPLASPYLSRGNSLPSSSTRVDQENSGVPSVPLPPQSSEMARKILQQPDKLVTSPKGQSSNLKIDMEESPFLLTHNMLHGQALKSMEEIDMSKFLNVQKNGSLKSPSDSHQKSFGNTISQKQDKSEENGSTKSAVKGVRFASTNSVLEKPNNVSGREAKPSTTTAHFVVSGAATTTSQKKPSFQMSAPEDLVELDDDSDDIKDSPSTATIVGNKPLLISKCEITHEKPKLEKSMKSSSNNICTSMGVSNGDSTKISNGLGMEKMNGFFFSAAPASTISSQVPLMVSNFTTSLMKSAPQCEETPAPTFKADLVELASGSASTAAGASGLGFSNATTATVLEGSKGEVVQTSKGGDLFNAFGNAALPTLSGFGAFRTSELNDGITSSTAISSALVAPSMTLGASFAPGFSTSTSMAPNSSATISSDAPIFSTIPSFQFGASGSFGASNAVTSSTEKSESTNLVGESVKSSVFSVSSSAPLLGTSAAFSSTRSNSSAVLTPSIFASTSNGSSALPAPALFSTATGGSSAMSLSSGFSTSTSMAPSSAATISSAAPILSTIPSFQFGASGSFGGASTVTSSTEKSDSTNLVGEPVKSSAFSVSSSAPLGTSAALSNTRSNSSAVLTPSIFASTSNSSSALPAPALFSTATGSSAVSMLPGFSTSSNGFSGFGSSPQSGGANSLFSSNSSQNLTVFGTTAESSFSTQPAQSGTGMSHALPISSSNTFGSSIPTTMFGLSGTSSSGSASSSFGFSTPGLEPLGSSSGFSFPTATGSSSSSGSSSTIPPAKSFVSSTGLSTISTLSAGGSSSSHVWSTPFGSSGFSFAASAISSANSSGNLSLVAGTGTGLFKSTSHSAQSSPSGSIFASTTFSPATGLPFGSAPSSGSSPFMFGSSSGSVSSFTSVGSTTSLISLVQPVFGAPNQTSGLNSGSPGNDQMNVEDSMADDSVQSSVLPAVKFGQPTNTPASPNFVFGSPATPGGTTTFQFGSQQNNFMPQSPSPFQPAGNLEFAAGGSFSLGSSGGGDTSGRRTVKVRRDKHRKR >Ma03_p26850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30419068:30431370:1 gene:Ma03_g26850 transcript:Ma03_t26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYESDGGGIGGKFRKRLFRRAPATPYDRPQAAARPAQPLPAEPRGNGWLSRLVDPATRIISWSASRLFPSSVFQKRLGAPPAAPPEANQRPVEEVIKEPSTNSLHEVQEHLSDGKNAVNSSNAGSAQHGTSSHVDGVFELEQLLKQKTFTRTEFDHLTQLLHSRIVEPNAREVAVNSENIEITNVRGQTNNAVEVNVLQPASSYEIEMPTIPGEANKKQQCANDVSQPMSSFNNKDKRVASEHERRERTKEEAASSTKIAKIHMSSRFFKSSASLSVQNKLFRDDRAMPIGTPYARKPSNHSLVLRTAVRNSEPVETRRNSLLSSGIYGRSAIYKMSRSPYFKPCSMANLGGDRSSLDDYGCPSMSENITHSGGRQTFKRGRSLLEDDIGSSGPTRRTHQKSNLMSPLASPYLSRGNSLPSSSTRVDQGSVTLNQKLLHLNEQENDHSEFQTVENSGVPSVPLPPQSSEMARKILQQPDKLVTSPKGQSSNLKIDMEESPFLLTHNMLHGQALKSMEEIDMSKFLNVQKNGSLKSPSDSHQKSFGNTISQKQDKSEENGSTKSAVKGVRFASTNSVLEKPNNVSGREAKPSTTTAHFVVSGAATTTSQKKPSFQMSAPEDLVELDDDSDDIKDSPSTATIVGNKPLLISKCEITHEKPKLEKSMKSSSNNICTSMGVSNGDSTKISNGLGMEKMNGFFFSAAPASTISSQVPLMVSNFTTSLMKSAPQCEETPAPTFKADLVELASGSASTAAGASGLGFSNATTATVLEGSKGEVVQTSKGGDLFNAFGNAALPTLSGFGAFRTSELNDGITSSTAISSALVAPSMTLGASFAPGFSTSTSMAPNSSATISSDAPIFSTIPSFQFGASGSFGASNAVTSSTEKSESTNLVGESVKSSVFSVSSSAPLLGTSAAFSSTRSNSSAVLTPSIFASTSNGSSALPAPALFSTATGGSSAMSLSSGFSTSTSMAPSSAATISSAAPILSTIPSFQFGASGSFGGASTVTSSTEKSDSTNLVGEPVKSSAFSVSSSAPLGTSAALSNTRSNSSAVLTPSIFASTSNSSSALPAPALFSTATGSSAVSMLPGFSTSSNGFSGFGSSPQSGGANSLFSSNSSQNLTVFGTTAESSFSTQPAQSGTGMSHALPISSSNTFGSSIPTTMFGLSGTSSSGSASSSFGFSTPGLEPLGSSSGFSFPTATGSSSSSGSSSTIPPAKSFVSSTGLSTISTLSAGGSSSSHVWSTPFGSSGFSFAASAISSANSSGNLSLVAGTGTGLFKSTSHSAQSSPSGSIFASTTFSPATGLPFGSAPSSGSSPFMFGSSSGSVSSFTSVGSTTSLISLVQPVFGAPNQTSGLNSGSPGNDQMNVEDSMADDSVQSSVLPAVKFGQPTNTPASPNFVFGSPATPGGTTTFQFGSQQNNFMPQSPSPFQPAGNLEFAAGGSFSLGSSGGGDTSGRRTVKVRRDKHRKR >Ma08_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2709174:2709839:-1 gene:Ma08_g03770 transcript:Ma08_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFCFLVDQRRTVRSSKPAPGICSRCGGCASAADMVTLTRFCYVPVHRKTWRAIICTFCGAFLKSYHR >Ma09_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1384442:1385530:1 gene:Ma09_g01840 transcript:Ma09_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNGGHHHHRKCSAAGSSSNNGGKRAAAAATAAPGKDGTRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEQAACAYDIAARAMRGLKARTNFHYPPTTVVPPPPVPAAAADHLFLHPSEWPWPGVPHMNQSPLVPHHQHSAFSPLLLRDLIHHSSSPLHHDPPAAYPSCSSLSCYASAAAAAITNATTSGIGGPFGNGSNYDPIDASFPAASSSDLSSLLLQQQQHPICTGSSPAAAASSLPELPTEFDEDCDFFHTEPPESGLLQEIVNGFYRQRGTDTNCSLKDKRNQRDGENAVDHHLGYEIQVPLKQEQNTFDAADCFDEAGNFPMIPQGLLEDIIQYPDFFEILSAKLRKA >Ma10_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15046416:15051044:1 gene:Ma10_g04360 transcript:Ma10_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAFLPPGFRFHPTDVELVWYYLKRKIMGKPFHFEAIAEVELYKFAPWDLPDKSHLRSKDLEWYFFCPRDKKYPNGSRTNRATGIGYWKATGRDRYVIHNSQTVGMKKTLVFYEGKPSKGKRTDWVMYEYRLLNRQLVEAGFSQEAYVLCKIFQKSGPGPKIGERYCAPFNEEDWEDDTITENSFPLPSVSCPNPEPLVNQTILLDPLSQQPVASSHVEVPSDRDLLDADGIWLAEVAEILNSSPHIEAAGDTFMMSDLAILDMNVNDASAVDPEGIYDEFGNLSSHAMNSGNINHPENVLCETALLPMLSELGSEQYVELNDFCFTRDNDFTASIMPNVPFVQHASAHCSTFQNQSPMADLISYFDNDVVQSSPCST >Ma07_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4943358:4947339:-1 gene:Ma07_g06860 transcript:Ma07_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMRVQLLRRAHLLARHLRPPPPLTKLSPSAAVPFLGTSLRPFSSPSDSQTPPPPSESSSAAPPASISEAREADPPIEDVSNKELKRRLEKYYEADDEEPLGPVLEAILARRLSKKHEETDDELMEELRMAPLSNVKDREFESDFEELHDTDEEIENLYDTRQYVEKKLMSDEFFNMDDRKWDDMIREATEKGFLKDTKECEEILEDMLNYDKLLPDEIKQKVETKFDELGAMCERGELEPEQAYQLFKEFEDEMVLEYAKIMEAEQPPEEDAIAEADENAELDDPPGEGPILRWESRVVFGPGGDAWHPQNRKVKLSVTVKELGLSRHAFKRLREVVGKRYNAGKDELTIISERFEHREENRKDCLRTLYALIEDAAKADKLVEETLNAYVKDQLKANPYFMERLKAKTAKSQVCHSGA >Ma09_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35600034:35601437:-1 gene:Ma09_g23900 transcript:Ma09_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEVVTPSDVGKLNRLVIPKHYAEKYFPLDPSSADKGIHLCFEDPNGKHWEFRYSYWNSSQSYVMTKGWSRFVKDKQLDSGDTVSFSRATAGESGHGRFFIDWHRPEPTWRRPALPVLQFSPWGKLFSPTMPAVHGAADLGRPQLFHRAMPQPPQQTRVQVGGGMAGTPLVLESVPVRRRMAEPRRVRLFGVDLECSEMDGHGETSMGTAGPA >Ma09_p23900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35600034:35601437:-1 gene:Ma09_g23900 transcript:Ma09_t23900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEVFRPIPFAASTSLSSYSSLRLGHADAADCSAPPVEREHMFHKVVTPSDVGKLNRLVIPKHYAEKYFPLDPSSADKGIHLCFEDPNGKHWEFRYSYWNSSQSYVMTKGWSRFVKDKQLDSGDTVSFSRATAGESGHGRFFIDWHRPEPTWRRPALPVLQFSPWGKLFSPTMPAVHGAADLGRPQLFHRAMPQPPQQTRVQVGGGMAGTPLVLESVPVRRRMAEPRRVRLFGVDLECSEMDGHGETSMGTAGPA >Ma11_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14682630:14682743:-1 gene:Ma11_g11660 transcript:Ma11_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWILLYRLCYDRASIKTSTIRKLSFDEKTVSEMLKV >Ma01_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13375765:13377837:-1 gene:Ma01_g18080 transcript:Ma01_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDNSRSLLLNRTGSFRAETLGQTALFVVGNLCFALFVVGVLAFTIIAATYRADDPLLLPPSSSASSAKLTAFLTSSTNATFRPDDAPLRTGEDFLNSSTSSASPDDAAVIHLADLPNSNSSSSAASGGTDCDAAAPINCADPDVFHLMMRATIESFRDVHFYRFGKPVRGGDGGGSCDMAWRFHPKDAKRAGFYKDYRRFEIARSPSCTYSIVKIGDYHTGVNARKKKKQRKGDHDATGEFVSKKTPSSSSRASDVLVVPVVGEAVNDTLPVVESESKFSSSRYLIYSGGGDRCKSMNHYLWSFLCALGEAQYLNRTLVMDLTVCLSSKYSTTNQDEEGKDFRFYFDFEHLRDSASVLDQRQFWNDWALWQKKDRLSLHLVEDFRVTPMKLTMVKDTLIMRKFGAVEPDNYWYRVCEGETESVIQRPWHLLWKSRRLMDIVSGIASRLNWDFDSVHVVRGEKARNTKLWPNLAADTSPEALLSTLRDKIEDRRHLYIATEESDTSFFDPLKEKYTTHFLDDFKDLWDKNSEWYDETKKLNNGIPVEFDGYMRGEVDTEVFLRAKKQLETFNDLTGDCKDGGNTCRSTS >Ma09_p23880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35592384:35594283:1 gene:Ma09_g23880 transcript:Ma09_t23880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSNRLTACLHTGQLALLAILVSGGIVLQILACALYNNWWPMLTALMYVILPMPLLFFGGSSSLMSGDGDGWVNFTKFLTGASVVGSIAIPSILKHANLIGWGALTMELSSFVVFGVAILSFLQMSDRDEYSYF >Ma09_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35590143:35594283:1 gene:Ma09_g23880 transcript:Ma09_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSNRLTACLHTGQLALLAILVSGGIVLQILACALYNNWWPMLTALMYVILPMPLLFFGGSSSLMSGDGDGWVNFTKFLTGASVVGSIAIPSILKHANLIGWGALTMELSSFVVFGVAILSFLQMSDRDEYSYF >Ma09_p23880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35590143:35594283:1 gene:Ma09_g23880 transcript:Ma09_t23880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSNRLTACLHTGQLALLAILVSGGIVLQILACALYNNWWPMLTALMYVILPMPLLFFGGSSSLMSGDGDGWVNFTKFLTGASVVGSIAIPSILKHANLIGWGALTMELSSFVVFGVAILSFLQMSDRDEYSYF >Ma01_p19210.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14681606:14686392:1 gene:Ma01_g19210 transcript:Ma01_t19210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGISSFWGPVTSTTELCEENYAHSSYIAEFYNTISNIPCILLALIGLTNALRQRFEKRFSVLHISNMILAIGSMIFHATLQNVLQQSDETPMVWEMLLYLYVLYSPDWHYRSTMPTFLFLYGAAFAGVHSLVRFGIGFKIHYVGLCLLCIPRMYKYYIQTKDVAAKRLAKFYVATIFLGTMCWLFDRIFCKKLSHWYINPQGHAWWHVLMGFNSYFANTFLMFCRAQQLGWEPQVVHLFGLFPYVKIQKPKKQE >Ma01_p19210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14681620:14686392:1 gene:Ma01_g19210 transcript:Ma01_t19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGISSFWGPVTSTTELCEENYAHSSYIAEFYNTISNIPCILLALIGLTNALRQRFEKRFSVLHISNMILAIGSMIFHATLQNVLQQSDETPMVWEMLLYLYVLYSPDWHYRSTMPTFLFLYGAAFAGVHSLVRFGIGFKIHYVGLCLLCIPRMYKYYIQTKDVAAKRLAKFYVATIFLGTMCWLFDRIFCKKLSHWYINPQGHAWWHVLMGFNSYFANTFLMFCRAQQLGWEPQVVHLFGLFPYVKIQKPKKQE >Ma01_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14681607:14686392:1 gene:Ma01_g19210 transcript:Ma01_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGISSFWGPVTSTTELCEENYAHSSYIAEFYNTISNIPCILLALIGLTNALRQRFEKRFSVLHISNMILAIGSMIFHATLQNVLQQSDETPMVWEMLLYLYVLYSPDWHYRSTMPTFLFLYGAAFAGVHSLVRFGIGFKIHYVGLCLLCIPRMYKYYIQTKDVAAKRLAKFYVATIFLGTMCWLFDRIFCKKLSHWYINPQGHAWWHVLMGFNSYFANTFLMFCRAQQLGWEPQVVHLFGLFPYVKIQKPKKQE >Ma01_p19210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14681603:14686392:1 gene:Ma01_g19210 transcript:Ma01_t19210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGISSFWGPVTSTTELCEENYAHSSYIAEFYNTISNIPCILLALIGLTNALRQRFEKRFSVLHISNMILAIGSMIFHATLQNVLQQSDETPMVWEMLLYLYVLYSPDWHYRSTMPTFLFLYGAAFAGVHSLVRFGIGFKIHYVGLCLLCIPRMYKYYIQTKDVAAKRLAKFYVATIFLGTMCWLFDRIFCKKLSHWYINPQGHAWWHVLMGFNSYFANTFLMFCRAQQLGWEPQVVHLFGLFPYVKIQKPKKQE >Ma09_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23368819:23369907:1 gene:Ma09_g19390 transcript:Ma09_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRRLALSGGLLPSSRLAAVPEPATRKYGLIPMVIEHSSRGERAYDIFSRLLKERIVCINGVISDDTASVVVAQLLFLESENPSKPVHLYINSPGGAVTAGLAIYDTMQYISSPVSTLCLGQAASMGSLLLAAGAPGERRALPHSRVMIHQPSGGASGQATDIAIHAKEILKVRERLNAIYAHHTGQPIQRIEQCMERDMFMSPEEAKEFGLVDEVIVHRPLALVADAVAELGNTDEGQKGGDGDGSGSNKGKGEGSG >Ma00_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33375577:33375864:-1 gene:Ma00_g03970 transcript:Ma00_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTSCFGSHSICSYLLLISYANLMYSCKAQKITYRYVDIVSLHQLIVIMNSN >Ma03_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4176345:4187139:-1 gene:Ma03_g06060 transcript:Ma03_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved telomere maintenance component 1 [Source:Projected from Arabidopsis thaliana (AT4G09680) UniProtKB/TrEMBL;Acc:F4JKR3] MEGVRTLSISDLLHFSRPLSGAASLGSAFSPPSAPKRPRPDGPDENPIEPPFVSSVQNPDPGIFSPLGHPVLLIGTIDLFPEDSDRSLGCLNHCLSFSDGSLRICCYVLDFELKIIGRKVQVLAWNFLPFKHASGGVLEVIQWSLAEVETASDCDPSLSIPLGCSLQETDLKARGRAFGILRAVSPIFRVPCVKGNEDSQKNSSGILMDSGNSIGFFAEVLTCGCDRCGGSRFLERGPHPHEDNNIHSFTNSVFIYFIKPTYLWRPVLFRLIGKAIMVSRLKRKLVFVGGKESYLTFVSTPLTMVSLSQLPTVSPVKTDEGMYNGVVTGIYMNGMVVELDDKVWLLITDSVLAPQHSLRVGAIVSVMNYHLVHVNYSWLKTFLLGTCLRTYISIKSFSIADIRYHFKYESNSLLEKFIESLLFSAKFWVLLLVSCFEKKFAGIFSHKDILGSEKKGVVQTYAARCLPPSAFQVQVSLTSYYLFNGLRSRSNLYLFQAFLWDVLSDCIINWNFNTRSVPICVETCPSSSQQINLSYVWLGSLTILGLFMNFCKHGQCNFRSDLNFSFLKLVIPISNLTRWCEEMWVSMPSERHDDDEIVEMNQYLDHFLPRGTLYEHMIRRIISSDDLGFVLMGVFKISQCSGRLQLTDATGSIDVVVPDLPVDVDFQTIYEVKDYKLVMEGSPHQVDHLQCHFDGSLSCRAIFQHFSHKEKSQLAVYVHFYVRDITWTSFPHQIPSYMDKNHVNCSNDDMFHLFLVTHKFPVHQSLQDDLSFSNSSGLFAEALILPYNLIPIETYEHGELTEVFLNNQNKLSDCTGQLKDSIEGWSKQSKLIQASNIVQHSDSVNVSREFERSCHLCCSLTFRSNNCKWSQLPVYLYNANGIIMKDIFHNQSDSRVLLEFGSNNFSKYQMIRVGSYYLLKCSKKNLHCKSKGCEHMIRGKAIVISETSLWSLSFLFGEDKHQRKSSGDDCSRASSVKNIEDGPNKFCQHEQMFLQFIDQTRQLSDVYLHISTEAMTHLEELEPSQQGLNNLLRSLDEIKSVSSCIQNMMSEVAMPAGIINQLNNELPQGTQISLNGNVENFFIYDCRPRSCVSSSCVANCNQWSTCKVCIYVTDDYNMVRVRGSLSRYAYPIGLGPGANVTFHRVLLMHTSSRWHELMLTPVSFIVVNSVKELDNQQTDRSPIQESRWNIQCEEILDTISLVSISQMLKCMNSKPIRLRCRVVTIVILVLENQTHESVELRCGRFFKMRAASIPLAGFLLDDGSSLCCCWADNGRAEALLRLHETTRKSFLTSSKILKTSGNQDFQHAIGYHLHKMLKKHHRIVIRNHGATSDLSCEDLTFSVDSHKVFSNADERLLRSIVLNACHASTLNVAANSVDSTALFCGNLFCGNKEFLEYHQKLQSMPHLWVGEVGHVDSVKEVRSISNILCTG >Ma10_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32633166:32640919:1 gene:Ma10_g23860 transcript:Ma10_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICDFKLLEATARQNTVRTEENYRRLLLPSERNNAVSATRRPRSVASRYKSGMSSTPVSTPSSPRRYPSPNAGHTSLATGLSLPKRSQSAERRRPTTPSSRFSASPSPSRPSTPASPSSRSTTPVRDRGTEMCSTPQRLFSNRAPNGLWPSIRSPSSSFQSESVVIPVSKREKLVVNSLERANKSPGNVAPERKRTPLRGRNSSDQSENSKPLETSNAKVMDQHRWPGMLGGRLSTNALSRSVDLSDKLGRSILTVASQGDSPKRTNPSPNSATRVTQLSLSEMAERLSNVGDGILERDTKSVVNLSSPTSVRHSSVVTRSSKTQSLLIPGSRRPSSPSKVLSTPSSTARGMLSPVRSRPSTPILLSSNLPSRVGGTPSVLNYSVDLRKGKKNSNHVEEAHQLRLLYNANLQWRFVNAQAVKTLSNQKMSAENLVYGVWNNISKFLDPVIMNRIDLQHLELEMKLGMILKEQMAYLEHWVALESEHYSSLSGLVEALNASTIRLPIKEGAKVDVLAVKNAIGSAVDIMQAMSSSICHLLSKVEGTKSLVSELSCIAANEKYMLDECRELLDVAASMQVKESSLRTHIIQIGQDARELV >Ma10_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16399056:16403162:-1 gene:Ma10_g05330 transcript:Ma10_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNGIWNHPQHDPQLSSDNPTRAGDHPSSSSSSGFPISSAAIPGTSNSSHIDPECHESFGNFPPNQALNEPSCYEQPIRGDGYNTIYPQMDYRRIAFKRKSPVMPVIADRANTTGHYQAGSSSNCPSYPTSLEPRVSPSPECWPLDTLNMPAGYRNDNNITGERLQRNVRSRQTEDFQLNPAWFYDSRFMAYPFYSSCNTSDPRMAQQWNQLSAPMVPQGQLPTPGAFNHEVSRPTARANANCGTTASNNAYLSHPNHNINRSVPLPALQHPSIQGMVPAQSGHNRMVVPYSTISSYSGTGMSIASDIEVPMGMDAAAPSRYMRPLSIIGHTGHGERHSARHAHRRSHLIFSQHTAYNRLAPEGILMTDWSAFYDSVSLLDQHRDMRLDIDNMSYEELLALEERIGNVSTGLSEETILRSMVEMVYHSNQIQEEGQCAICLEEYKDKEKLGTLNCGHDFHVGCISQWLQMKNVCPICKDSASGNTWKEQ >Ma00_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:183582:185060:-1 gene:Ma00_g00160 transcript:Ma00_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADYYKILGVDKSAKDDDLKKAYRKLAMKWHPDKNPNNKNEAEAKFKQISEAYEVLSDPQKRAIYDQYGEEGLKGQVPPPEANGGATFFSGGGDGPTVFRFNPRNADDIFAEFFGFSSPLGDMGGARSNGGVRGGTRFSSGVFGDDLFGSAFGGGVEGPMNSRRPQKAAPIENLLPCSLEELYKGTTKRMKISREIADMSGKTMPVEEILTIDIKPGWKKGTKITFEEKGNERPNVIPADVVFIIDEKPHPVFTREGNDLVTTKKISLAEALTGYTAHLTTLDGRSLIVPVDSVIRPGYEEVVPKEGMPLPKDPSRKGNLRIKFDIKFPTRLTSEQKAGIKRLLPSP >Ma10_p28200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35174922:35176053:1 gene:Ma10_g28200 transcript:Ma10_t28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLPKHKLEKVVSANLLGPSDHVLFVIHIVVFEASEQLEASLLCFKDPLFLVASVSKSGVFLFDFFHVSFDGSFSRSWGAQGHISVVLLLSNNCNNDDDENLYFAG >Ma05_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7579275:7580777:1 gene:Ma05_g10530 transcript:Ma05_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCNACNETVHFIDLLTADGVPYHKTCFKCSHCKGTLSMCSYSFMDGILYCKPHFEQLFKETGSFTKKVPSRTPNKVSSMFSETQDNCASCRKTAYPLEKLTVEGESYHKTCFGCSHDGCTLTPSSYAALDSILYCKHHFAQLFKEKGSYNHLGRVASLKRSSEPVSNNKRLHPL >Ma04_p32720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32654588:32659035:1 gene:Ma04_g32720 transcript:Ma04_t32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVLSAFLQVLFQTAFNLLQEELKLEHELEGKRKKLHNNVSMIKAVINKAEEKAHGDEPLKLWLENLRKVGYDAVDVLDELSYEAQRRQLISLSGVRDSFSMVNPKRSIIRHIISRKIEDISERLDNLGKEVVTFNIRVGDASRHPEESDVLPMTTSLHPPVVLGREIDKHRILKMLLQADEMHKKSISVIPILGMCGVGKTTLAQLVSNDEVVMKHFELRLWVDVSHDFSVRRLTKAIIESTGSSAVDHINMDNLQKQLLNKISGRRYLLVLDNVWNENPEKWRNLRLPLLHGAEGSKILVTTRSEEVAKFMGTTSPYVLKGLSDENCWNLFCQYAFEHNTYQHSDIDDIAKEILRKCKGLPLAAISIANQLLGVSDRSEWRSIIRREIEEFSGRDSEFQKAFSLSYQQLPPHLKPCFAYCSIIPEGCEFEKEFIVELWMAQNFIQPKGKSAEDLGSQYFDILVQRSFFGCSQSDYKRGKPKYRMHELVHDFARRVSAKECSTMEIGKPFKVEPETRHLSLTLSQLEPNDKMKSNSPAQTDIFSEIYQCKGLYTLLLFGGSRKYSLKVPDRLGEELKSLRTLDLSNCDLKELPKSIGELKHLRCLRLHNTKLSSLPESLGRLYNLQTLGLRNCYSLEELPSDIKNLRNLRHLDLHLDDNSVEAMCKLKSIPPHIGLLTNLQTLSRFVVSTKAGCGLGELKYLNSLHGELILSNLHLVRNPLEARKANLTNKNSIQSLQLRWNIGTSASEHVGYDESILATLQPHTNLKELRIIGYRARSFPSWLGDSAFTNLESLHLSSCNQCKYLPPLGKLPKLRELHIKGMESVAVMDHEFCGKEHGKFPKLEKLVFENIGSLQIWDEHKLRLLSMQEKESCPRLRGIPRFQSLTSLEMSSCGDWIWHSWPCLTSLTSLCLSRLPIKTLPSEAGRPHATLRSLKISYCNQLISLPDNWLPNGLVCFSIKHCPRLYSLPTGLENLKALEDLKIQHCGLGYLPELKNLTSLVHMEISGCHKVHCLPRNGLPMTLHFLSINNCPELKKRCQAERGEDWPKITNIFSVWMDEKLVSHRN >Ma09_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10532649:10535369:-1 gene:Ma09_g15240 transcript:Ma09_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLFPSAFFFLCFFACSPLSLFLSDASAGVTHSLPTLSFEQGYTQLFGDGNLMLLRDGKRVHISLDERTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDVYAKTHDELDFEFLGNIRGREWRVQTNVYGNGSTAVGREERYDLWFDPTEDFHQYSIIWNHERIIFSIDNIPIREIVRTGAIGGCFPSKPMTLYATIWDGSTWATSGGRYKVNYKYAPYVAEFEDLIIGGCAVNPMDHSSDCEKPDTAISDSLTMSLEQQALMDRFRRRHMTYYYCYDRDRYPIPPPECNADQIEARLFYGRDGVKLGDHRGRRRRGQNKHSRVTQADAAF >Ma11_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3411264:3412478:-1 gene:Ma11_g04330 transcript:Ma11_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADIVEFFKLPLEEKKAFAQLPNSLEGYGQAFVVSDDQKLDWADMLTLITRPLQSRNIDLWPAQPLTFRDSLSCYSMELKSVAGTLLEVMAKNLGVAPEEFSTIFQDQPQGVKINYYPPCPRADEVLGLSSHTDGTGLTLLLHVNDVEGLQIRKGGNWFPVKPLPGALTAYIGDIIEILSNGVYKSLEHRAIINLKEDRATVAALHGPREDSVIGPLAEIVKGCKPKYVSMSYGEFMKTYFSTKPEGRRLVESLML >Ma08_p09790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7122390:7129027:1 gene:Ma08_g09790 transcript:Ma08_t09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIAAIVGAAAGGLALLAIIIGFLWFYILCCRTPANKSSETGSSDPSNPVEWGRRDRISCAGCGLASEHQGARQFTLEELEEATKNFSESNIVGTGSFGLVYKGLLLDGTIVAIKRRVSVPRQAFIEQVKILSEIRHRNLVTLIGYCQEGGLQMLVFEYLPNGSVSNHLYDSEQHSLTRLEFKQRLAVAIGAAKGLAHLHSLSPPLVHQDFKTSNVLVDENFIAKVADAGFFKLLQGSGEVGPQGSSNIFQDPGVGELQGFSEASDIYSFGVFLLELISGIEVTHCISQDSYSFLVQWVEAHMGSNDLIDRRLESGFTSEGMKELIALTFQCLNPSEHSRPKMRAVVVELDRILETEMALTTVMGDGTAIVTLGSQLFTSA >Ma08_p09790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7122390:7129027:1 gene:Ma08_g09790 transcript:Ma08_t09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIAAIVGAAAGGLALLAIIIGFLWFYILCCRTPANKSSETGSSDPSNPVEWGRRDRISCAGCGLASEHQGARQFTLEELEEATKNFSESNIVGTGSFGLVYKGLLLDGTIVAIKRRVSVPRQAFIEQVKILSEIRHRNLVTLIGYCQEGGLQMLVFEYLPNGSVSNHLYDSEQHSLTRLEFKQRLAVAIGAAKGLAHLHSLSPPLVHQDFKTSNVLVDENFIAKVADAGFFKLLQGSGEVGPQGSSNIFQDPGVGELQGFSEASDIYSFGVFLLELISGIEVTHCISQDSYSFLVQWVEAHMGSNDLIDRRLESGFTSEGMKELIALTFQCLNPSEHSRPKMRAVVVELDRILETEMALTTVMGDGTAIVTLGSQLFTSA >Ma09_p10920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7398454:7402480:1 gene:Ma09_g10920 transcript:Ma09_t10920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRSSYDPSCRGDQEKISYLLGHVPTSLSSYSCEAELMPSSSFHPISAAAPAGGGGGRRKSVDQDLDSLDWESEEGVEAFEEDPVKLAPSRSSGSKRSRAAEVHNMSEKRRRSRINEKMRALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQILSMRNGLNLQSMYTSGALQPLQTSQMSISFALDNDTATGIGTGMLPLNQDLSAHCSFDLSNQCTSSHPSTITTSLINVTNPEASLVKSSESHHASFHQVPVSCEDIFTGDMSAHTQLAAMHYTRSFTDDERNSISTNVNSKQLGGQASTHIGVVRLEQCLLGREGRSEAMLSNDESFIRHLHSLQTGRSFPSGDAEEGLRDF >Ma09_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7398454:7402480:1 gene:Ma09_g10920 transcript:Ma09_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRSSYDPSCRGDQEKISYLLGHVPTSLSSYSCEAELMPSSSFHPISAAAPAGGGGGRRKSVDQDLDSLDWESEEGVEAFEEDPVKLAPSRSSGSKRSRAAEVHNMSEKRRRSRINEKMRALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQILSMRNGLNLQSMYTSGALQPLQTSQMSISFALDNDTATGIGTGMLPLNQDLSAHCSFDLSNQCTSSHPSTITTSLINVTNPEASLDIFTGDMSAHTQLAAMHYTRSFTDDERNSISTNVNSKQLGGQASTHIGVVRLEQCLLGREGRSEAMLSNDESFIRHLHSLQTGRSFPSGDAEEGLRDF >Ma03_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1218371:1220002:1 gene:Ma03_g01750 transcript:Ma03_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRESAIKLFGMTIPLQLTSSGDDDEDVDKEDATATSQEPESQDKNESATCSSEIHKPVSADQEDASSTKNPTKTAAEGVKKTEKILPCPRCRSLDTKFCYYNNYNINQPRHFCRNCQRYWTAGGTMRNVPVGAGRRKSKHSSHCRLQALRPDTHEPVHYTSLRPNGTVLSFGTDAPVAEKANGCNKNSGDELQQENEGSTAPVPCFSGSPWPYLWAPAPPLCASTFPVAFYPAAAYWSWSIPWPSSLPPSSPNYRGLGSSSTASGKHSRDASMIESSIHKTPRRIDDPEEAAKSSKIDAVSNGGLLKAFQPKLYVKNQVLETPLLVHANPAALSRSLHFQETS >Ma09_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25388014:25389983:-1 gene:Ma09_g19630 transcript:Ma09_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIPGRKLGYEGSGGGGGGGNLFKRTLADMERQLQNALLLRSVRQRTQTMPSIFSFSYGVGGLVCNSFTAAEPQRLVSSLPSASSSEFGLPRKPELLLVSSVPEDRSPVSVSDQLRELERQLLLDDEDEAEASVSCGSAATHAEAIQRLISPPPLLASPTNSSSSTISFASCSPPSSMPSPPLPPSSRQMLLDTATALGEGNLDAATANLTLLKRAADTRGDAEHRLMAVMVTALLSRLNHPQVGISHPIADLRSPEHFAATQMLYSLSPCFKLGFVTANSAILDATKDEPKIHILDFEVGQGGQYAALIQTVAERLRLRPAKSPPAIRITAIIDPSSPFTNINAGNLRAVGDRIKKLAERFRVVLHFNIVSLRVAELGAASLGCETGEETLVVNLPFVLSRVPDESVSPENPRDELLRRVCALRPRLVAIAEQEINTSTAPFPARLAEACRHYGALLESLEAAAQDSSGPERGRVEAGLARRAVNAVAGEGAERVERCEVLGKWRARMSMAGFEPVPLGPTVVESIKARLASSWPNPGFTVKEDAGSLALGFAWMNRVLTVASAWR >Ma07_p20180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28105215:28107241:1 gene:Ma07_g20180 transcript:Ma07_t20180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35490 [Source:Projected from Arabidopsis thaliana (AT4G35490) UniProtKB/TrEMBL;Acc:Q9SVW7] MATLKDVVARRPIAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPIAVTITAYKDNTFEFIVKSPTVAWFLKKAAGVESGSGRPGHVVASSITVRHVYEIAKIKQADPSCKHLSVEAICKSIMGTARSMGIQIVKDL >Ma07_p20180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28105215:28107241:1 gene:Ma07_g20180 transcript:Ma07_t20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35490 [Source:Projected from Arabidopsis thaliana (AT4G35490) UniProtKB/TrEMBL;Acc:Q9SVW7] MATLKDVVARRPIAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPIAVTITAYKDNTFEFIVKSPTVAWFLKKAAGVESGSGRPGHVVASSITVRHVYEIAKIKQADPSCKHLSVEAICKSIMGTARSMGIQIVKDL >Ma07_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28105215:28107241:1 gene:Ma07_g20180 transcript:Ma07_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35490 [Source:Projected from Arabidopsis thaliana (AT4G35490) UniProtKB/TrEMBL;Acc:Q9SVW7] MATLKDVVARRPIAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPIAVTITAYKDNTFEFIVKSPTVAWFLKKAAGVESGSGRPGHVVASSITVRHVYEIAKIKQADPSCKHLSVEAICKSIMGTARSMGIQIVKDL >Ma00_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45731510:45732700:-1 gene:Ma00_g05280 transcript:Ma00_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNNALIVILGTVTLDAVGIGLVMPVLPGLLRDIVHSDSIASHYGVLLALYALMQFLCAPVLGALSDRFGRRPVLLASLLGATIDYAIMATTPVLWILYAGRIVAGITGATGAVAGAYIADITDGEDRARHFGLMSACFGVGMVAGPVAGGLLGAISLHAPFLAAAVLNGLNLLLGCFLMQESHKGERRPMPLRAFNPVSSFRWARGMTIVAALMTVFFIMQLVGQVPAALWVIFGEDRFRWSATMIGLSLAVFGILHALAQAFVTGPATKRFGEKQAIIAGMAADALGYVLLAFATRGWMAFPIMILLASGGIGMPALQAMLSRQVDDDHQGQLQGSLAALTSLTSIIGPLIVTAIYAASASTWNGLAWIVGAALYLVCLPALRRGAWSRATST >Ma05_p28410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39430839:39433905:1 gene:Ma05_g28410 transcript:Ma05_t28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFKEPGMRKVGSYSSMADGDDLDLSRLPDRPKLPIERQRSCDERSMNELSINVRGLESFDSLYSPGGMRSGFSTPASTARNPFEPHPIIAEAWEALRRSIVYFKGEPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEMVKNFLLKTLYLQGWEKRIDRFKLGEGVMPASFKVLHDPVRKTDTLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAESPECQKGIRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALTMLKHDSEGKEFVERIVKRLHALSYHMRTYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSMAVMDLIEERWEELVGEMPLKVTYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDSWPEYYDGKLGRYIGKQARKFQTWSIAGYLVSKMMLEDPSHLGMVSLEEDKAMKPLIKRSASWTCPEISLDMIL >Ma04_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10477444:10478759:1 gene:Ma04_g13820 transcript:Ma04_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCEGRMRRNRKTKKLDQLDSSGSNPTKKSEGSASFEPDLWKKTNGRIEAVRKVRVFFADPEATDSSGEDEDEKLGTRPKKRKRVLYEIALVPAPKILKPPITSHLKAPPKSVTSCNPAATETQKGVRQRRWGKWAAEIRDPIRGVRLWLGTYATVEAAAEAYRTAACRIEEEKRGLLHQLWDTWDDGASAASSVSSSCVSAPAPPSPSSVLDVSLSAKGKAVAAADWLGEPVVPEMEFGLDEEPFLVGELGEDLIGLGDLPLWEQQLDGGDFSFLDS >Ma04_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14915748:14918139:1 gene:Ma04_g16180 transcript:Ma04_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRP4 [Source:Projected from Arabidopsis thaliana (AT3G23830) UniProtKB/TrEMBL;Acc:A0A178VD35] MAFCSKLGGLIRQGLVRNGVAGGPNEAMHLLNSARYMSSTKLFVGGLSFGTDDQSLKEAFNSFGNVVEARVITDRDTGRSRGFGFVNFDSDASASEALSGMDGQELNGRNIRVSYANDRPSGGPRGGFGGGFGGSGGFGGSGGYGGGGSAGRNDY >Ma04_p16180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14915688:14918139:1 gene:Ma04_g16180 transcript:Ma04_t16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRP4 [Source:Projected from Arabidopsis thaliana (AT3G23830) UniProtKB/TrEMBL;Acc:A0A178VD35] MAFCSKLGGLIRQGLVRNGVAGGPNEAMHLLNSARYMSSTKLFVGGLSFGTDDQSLKEAFNSFGNVVEARVITDRDTGRSRGFGFVNFDSDASASEALSGMDGQELNGRNIRVSYANDRPSGGPRGGFGGGFGGSGGFGGSGGYGGGGSAGRNDY >Ma07_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26716698:26717759:-1 gene:Ma07_g18760 transcript:Ma07_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFRRSVSLPGSTPSGSPAGRRCEKPHHLRSASLPCRSHPAISHLLDQIRSLSDLGIRCSPASGLDRIDRLLSALDDILRLPQAQDPLRRGPAWADRLLDGFLRLADAHGSFRSAVVALEQHNAEARAAIRRRDPVRLGSAARSHRRAEKELIRLATAVKDLARCPPLICSDAAEAEVAGIVAEAMAATAATSAAVFLGIAAASSAVAGSMSKGSWTAWPSRRPSKKGSEEAEMAAMEECMEGLEEGSGRVFRSSVNIRVALLNILTPSL >Ma06_p36110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35700320:35700649:1 gene:Ma06_g36110 transcript:Ma06_t36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNKEKSIAKLEAEAKLEQQQVKPSTVVDDVGFRTPTSSSHRIPSSSTCPPAPRKPPPRSTRVCSNPAEGRRSAKLSLRFDDSTLGLDAFTPVAKQPRAGDDAAIVN >Ma02_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21994558:21999696:-1 gene:Ma02_g13750 transcript:Ma02_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRRSHTSSSSPSSSSWIHLRSLLIVASSTAPDRGSLRSPWSRRKRKHALTRHQWNNFFTLDGKLRDGGEKFLKKVRRAGIDPSIRAEVWPFLLGVYDFKSSKAERNAIHSQKRKEYENLRRQCWQLLNPHNDGDNEWNEIFEMSYTDSPSFVQGCYSLCTEEILSSRESLSIEQESPLNGTLEETVHEEASSSNLVLLEEDDKSPITNVDASADVTESSDSESTDEEPPECIMMSSTAEGVIETDPKLTKIASVLGNITNSDQTAEDFTTWQRIMRLDAIRANGEWVVYSPARAAVSMDEAIKFAVAVGLKDYDHLEPCRIFHAARLVAVLEAYALYDPEVGYCQGMSDLLSPILAVMEEDHEAFWCFVGFMKKARHNFRLDEAGIQRQLNIVSKIIKSKDRHLYRHLEKLQAEDCFFVYRMVVVVFRRELTFEQSLCLWEVMWADQAAIRAGIGKSAWGRIRLRAPPTDDLLLYAIAASVLQRRKLIIQRYSSMDEIMRECNSMAGQLDVWKLLDDAHDLVVTLHDKIQ >Ma03_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10731369:10732136:1 gene:Ma03_g13620 transcript:Ma03_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMLLLALLAMASSLAMASDPSPLQDFCVADKDSKVLVNGFVCKDPKVVKAEDFFFRGLDKAGDTVNKVGSNVTAVNVNQLVGLNTLGISMVRIDYAPRGLNAPHTHPRATEILTVIEGQLLVGFVTSNTDDGNRLFTKMLKKGDVFVFPEGLIHFQFNPGHTKTIAIGALSSQNPGTITIANAVFGSNPPISDDVLAKAFQVDKKTIDWLQAKF >Ma03_p25780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29742482:29744122:1 gene:Ma03_g25780 transcript:Ma03_t25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHACCFKQKLRKGLWSPEEDEKLLNHITKYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIVELHAVLGNRWSRIATRLPGRTDNEIKNFWNSCVKKKLKQSGIDPDTHKPLNEADGGEARAAGNGDKASESGEPKKLAPPGSGNPEHAVLQPIAPLMPMLERNQIGRSMTATEELGLPRSVESSSLASSMSYIALQHASRSSNCDIVNQNMPLWFAQNCRLLDVIPELSYNTPSTPSTSVELKPMPAGLPADASLLPFQYFEACNTSNSSASSGNSSNGVELQSYSPFLDNGIFPWSELLPNKGAEIHLEGEPEDLKWSEYLNGTIRLTDTIKKQSRCSYGISNGEKHTAIH >Ma05_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5703072:5703547:-1 gene:Ma05_g07790 transcript:Ma05_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKEGKTSATSPLPPCGEVDVSVASHSRNSRRTSYPHNKWCQNPRRPI >Ma09_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10173226:10173811:-1 gene:Ma09_g14850 transcript:Ma09_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEDKRMKVKKGSVTVRVGLEGEEGGFRKFVIPISYLHHPLFQRLLESAQEVYGFYSSGPLKLPCSVDDFLHLRWRIERESHHSHGHHRQSLHSC >Ma11_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24298407:24301624:-1 gene:Ma11_g19440 transcript:Ma11_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPYHGQGKGVLEAAETILEGKQALFWVNKSPKLAIPEVAEPGSVLDHRSPSSPTSTVTLSSSLGSSGSSNTAGVAVVSENPANKWPFSDSGSGAFGTEDWEAELQLIPAQLDTGFVAGGERCGLGVEDWEAMLTETSAASPDREQTSLRWIIGDVVDHSAAGLKQQQQQVLFSQGLVDFNGSNDGLGFGILDPGIGLESFGRIVDELSVSASIGSLPPLASNVITGGSFLLESSNSWVSPPSESAGVKGATFGHQTGSQLFSPLPLADNSISQPLCLPPGSYLTDTMEDKPQLSGAGLLLTQPLATPSPSFFLSAGEVEHQQLPHLLVPTQPKRLHSIVDHVPPKLPFLEPGGTSDLLIHRQQSCPQQQQSTSFTLPHPQERLGKPKVAALGDDASAAMAAQQQQHHHQLQHALVDLLFEAARMVEAMNFVGAHGILARLNHQLPSPVGKPLIRSAFYFKEALQLFLSNRSNPLHRQSQFSSHPLTTQWDIVQKLSAYKAFSEVSPIIQFSNFTCIQALLEELSGSDRIHIIDFDIGFGGQWSSFMQELAQRRSSVAGSVWLLKITVLASGYSQNDLELQLIRENLSHFASDLNIPFEFNVHCLDSFDPSKLLGMGGEAVAVNLPVASANLSSMVLLCLVKQLSPKIVVSVDQGCEPSGLPFLQYFLHAFQSSMVLMESIDASGTDQDMISKIERFLLQPRIESSILRRHRVDDKMVSWLAHFATTGFVPIRFSNFTETQAECLLKRVSIKGFHVEKRQASLFLCWQHNELVSVSAWRC >Ma07_p09710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7279342:7283567:1 gene:Ma07_g09710 transcript:Ma07_t09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNLLPTVMGLFHILEEKLMRLKITRSTVADSGISNIGTAENARSGRRRRLNSTNRVTRARVSAVDSDTPNAITNVAGDNVYNFQEKRLFVTENDDNRMISDGFVMPITASSAAPDNARQRIITADNIDERASGSILVDPSTPLFASLVTPDDVVPVISNSSWASIITGVGQEFDNVKDFRSQLCKYAIGRGFIYKFVKNDTTRVTVTCNEETCPWRIHASETSGKQKFVIKKMNNVHTCGGGNGKDGQRKATRQWLTSIIKEKLHVSPQCKPKELAREIYEDFGVALSYSQVWRGREVAQKELYDSMKETYSQLPWFVEKILETNPGSVALLSTSVDSKFRRFFVSFHASLHGFEHGCRPLLFLDKIPLKVTNQFKLLVAASVDGNDAVFPVAFAVVEDENYDSWLWFLMQLKYAVTATRTITFVSNRQKGLDGAVPQAFVDSHHSYSLHHLIEDFKNELRKGPWSSQVKDAMISDFTRAAQACTMEEFNASVESIRNFSAEAAEWVMASKPENWSDAIFKGSRYDHFSTNIVDSLSNWIPAKKEPSVVQMIDAIRDKLAEVMEERRGSCNAWVGTLTPAMEQKLQTEMSKARKLNVLCSSDTVFEVRGNTISVVNIGSWECTCRRWQISGLPCVHAIAVFNRINRSADDYCSRYFRIEFYQSAYSALIHLIPDVGSIDFYSGVSSYPPARRPPGRPRRKRFNHNKTSTVVRLCSRCKAAGHNKATCEAFL >Ma07_p09710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7279342:7283567:1 gene:Ma07_g09710 transcript:Ma07_t09710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNLLPTVMGLFHILEEKLMRLNGMSIKYFLPSNKRTLITISSDKDLQRMVDFTSNALTTEVYIINKVDNRITRSTVADSGISNIGTAENARSGRRRRLNSTNRVTRARVSAVDSDTPNAITNVAGDNVYNFQEKRLFVTENDDNRMISDGFVMPITASSAAPDNARQRIITADNIDERASGSILVDPSTPLFASLVTPDDVVPVISNSSWASIITGVGQEFDNVKDFRSQLCKYAIGRGFIYKFVKNDTTRVTVTCNEETCPWRIHASETSGKQKFVIKKMNNVHTCGGGNGKDGQRKATRQWLTSIIKEKLHVSPQCKPKELAREIYEDFGVALSYSQVWRGREVAQKELYDSMKETYSQLPWFVEKILETNPGSVALLSTSVDSKFRRFFVSFHASLHGFEHGCRPLLFLDKIPLKVTNQFKLLVAASVDGNDAVFPVAFAVVEDENYDSWLWFLMQLKYAVTATRTITFVSNRQKGLDGAVPQAFVDSHHSYSLHHLIEDFKNELRKGPWSSQVKDAMISDFTRAAQACTMEEFNASVESIRNFSAEAAEWVMASKPENWSDAIFKGSRYDHFSTNIVDSLSNWIPAKKEPSVVQMIDAIRDKLAEVMEERRGSCNAWVGTLTPAMEQKLQTEMSKARKLNVLCSSDTVFEVRGNTISVVNIGSWECTCRRWQISGLPCVHAIAVFNRINRSADDYCSRYFRIEFYQSAYSALIHLIPDVGSIDFYSGVSSYPPARRPPGRPRRKRFNHNKTSTVVRLCSRCKAAGHNKATCEAFL >Ma07_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7279335:7283567:1 gene:Ma07_g09710 transcript:Ma07_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGIVAICQYGGEFVTNSDGSLSYSGGEAHALEVGHDILFDDLKSELTSMFNVDVSGMSIKYFLPSNKRTLITISSDKDLQRMVDFTSNALTTEVYIINKVDNRITRSTVADSGISNIGTAENARSGRRRRLNSTNRVTRARVSAVDSDTPNAITNVAGDNVYNFQEKRLFVTENDDNRMISDGFVMPITASSAAPDNARQRIITADNIDERASGSILVDPSTPLFASLVTPDDVVPVISNSSWASIITGVGQEFDNVKDFRSQLCKYAIGRGFIYKFVKNDTTRVTVTCNEETCPWRIHASETSGKQKFVIKKMNNVHTCGGGNGKDGQRKATRQWLTSIIKEKLHVSPQCKPKELAREIYEDFGVALSYSQVWRGREVAQKELYDSMKETYSQLPWFVEKILETNPGSVALLSTSVDSKFRRFFVSFHASLHGFEHGCRPLLFLDKIPLKVTNQFKLLVAASVDGNDAVFPVAFAVVEDENYDSWLWFLMQLKYAVTATRTITFVSNRQKGLDGAVPQAFVDSHHSYSLHHLIEDFKNELRKGPWSSQVKDAMISDFTRAAQACTMEEFNASVESIRNFSAEAAEWVMASKPENWSDAIFKGSRYDHFSTNIVDSLSNWIPAKKEPSVVQMIDAIRDKLAEVMEERRGSCNAWVGTLTPAMEQKLQTEMSKARKLNVLCSSDTVFEVRGNTISVVNIGSWECTCRRWQISGLPCVHAIAVFNRINRSADDYCSRYFRIEFYQSAYSALIHLIPDVGSIDFYSGVSSYPPARRPPGRPRRKRFNHNKTSTVVRLCSRCKAAGHNKATCEAFL >Ma07_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6583319:6588417:-1 gene:Ma07_g08800 transcript:Ma07_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGCGRRLSTLLLLAVTLVVVSPPWLSSPVDASVSYDHKAIIINGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFGGNYDLVRFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGINFRTDNGPFKAAMAKFTEKIVAMMKSEGLFESQGGPIILSQIENEYGPVEYYGGTAAKNYLSWAAQMAVGLNTGVPWVMCKQDDAPDPVINACNGFYCDYFSPNKPYKPTMWTEAWTGWFTAFGGPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFISTSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKMCEPALVSGDPTVTKLGNYQEAHVYRSKSGSCAAFLSNFNPHSYASVTFNGMKYNIPSWSISILPDCKTSVFNTAKVGAPTSQIKMTWVGGFSWESFSEDTNSLGDNSFTKDGLVEQISMTRDRTDYLWYTSYVNIDSNEQFLKNGRYPFLTVMSAGHSMHVFINGERAGTVSGSLDNPKLTFRENVKLWAGSNKISILSVAVGLPNVGNHFETWNAGVLGPVTLEGLNEGKRDLSSQKWIYQIGLRGESLSIHTLSGSSSVEWGGASTKQPLTWYKAFFNAPAGNEPLALDMSSMGKGQIWINGQSIGRYWPAYKAYGSCDWCDYRGTYNEKKCQTNCGEPSQKWYHVPRAWLNPTGNLLVVFEEWGGDPTGISMVKRVAL >Ma02_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17861770:17862677:1 gene:Ma02_g07020 transcript:Ma02_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDDVSVLPGHGRTVCVTGANGHLRALDGAKERQVLAKADLLDVQSLRAAIHGCDGAFHMASPVTDYPEQVIEPAITGTRNVIDAAVRRVVLTSSVGAVYMNPNRGLDESCWSDLRHRKNTKVITIIIVNHQCLNLDSRLNHVNHESSITKVRRRQGRRRGSRKGGRGEGCQGALRMRREHAATGGGRPSEYSPSSSRSTPSPQSARTT >Ma10_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27260591:27265134:1 gene:Ma10_g15020 transcript:Ma10_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKQNTKLPIKEPKVESKETENSCKLKDLLTEPVYTRLLESNTGLHLKSPQELTEMAMRYYDEVALPKLVSDFGSLELSPVDGRTLTDFMHTRGLRIRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHVVRAVIASVSDTGDLSILMAATLNMLLGLPDSDVSHSAIRVHFLVWRWLEVFLRKRYNWELTISNYNDIRKYAILRGLCHKVGIELAPRDFDMDSNFPFDKSDIISLVPVHKQVACSSADARQLLESSKMALDKGKLEDAVNYGTKATTYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNIHVALRYLHKALKCNQKLLGPDHIQ >Ma11_p03770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2857257:2863368:1 gene:Ma11_g03770 transcript:Ma11_t03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETYEDARDSDMLKRGSMYLSSKEVWRMREVREERIKQESACGDDAFISFEIANSLPQFCSKEVVLSSQHRYSLAASLNGESKLLTTDTRHSITIRSIDFLDLSLHDLTDKHSSENISCSDLVSLERSVDDLLGICLHTDGMESHTKAAPVLFEAGYLEEQVSECNSQPSSFPPKSMAKAGKSKAPFQSQYSYCNGSPKTKFNALKRLFDPVMKSKSLHNSWSVESENTSCTDMDPAKIRNNGVLDKSTANDYSKAMREVESDAELRGEKVLTSFSLPSHLHGILKLETNSGNPSFEFHVKDPEVVLSAKAWRTDNMFNWVYTIHSSKKRNYNTWSRKIDKHGQSPPIVGQMQVSCCLCSEMRENGPAANSSVTEFILYNIVQAKRSFSVEESSECSLDATCPLQRNVMDKLVTEETLMPNNLMKCQHPIRYTLSRYGSDDSTPYPWLPADLRPELEIAAIVIQTPFTKKECSEEMKEVGPEGICNGLNSAIVNVITPSGRHGLPNVDAGCPSTLLDRWRFGGGCDCGGWDMGCPLEVFHNDDWVYNSSMDTQKSILLFGQGSKEKAPALSITADGQQYLVDFHAKFSSLQAFSICIAILHGSEASAAVSQENRQTLQSNSLKILFEEEVRHLIGGITVEEKRKVKNGVEQVPPTFFVGSSFSPVTKYLHQSLPELTFWNLLEDQECYYLQ >Ma11_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2856061:2863368:1 gene:Ma11_g03770 transcript:Ma11_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETYEDARDSDMLKRGSMYLSSKEVWRMREVREERIKQESACGDDAFISFEIANSLPQFCSKEVVLSSQHRYSLAASLNGESKLLTTDTRHSITIRSIDFLDLSLHDLTDKHSSENISCSDLVSLERSVDDLLGICLHTDGMESHTKAAPVLFEAGYLEEQVSECNSQPSSFPPKSMAKAGKSKAPFQSQYSYCNGSPKTKFNALKRLFDPVMKSKSLHNSWSVESENTSCTDMDPAKIRNNGVLDKSTANDYSKAMREVESDAELRGEKVLTSFSLPSHLHGILKLETNSGNPSFEFHVKDPEVVLSAKAWRTDNMFNWVYTIHSSKKRNYNTWSRKIDKHGQSPPIVGQMQVSCCLCSEMRENGPAANSSVTEFILYNIVQAKRSFSVEESSECSLDATCPLQRNVMDKLVTEETLMPNNLMKCQHPIRYTLSRYGSDDSTPYPWLPADLRPELEIAAIVIQTPFTKKECSEEMKEVGPEGICNGLNSAIVNVITPSGRHGLPNVDAGCPSTLLDRWRFGGGCDCGGWDMGCPLEVFHNDDWVYNSSMDTQKSILLFGQGSKEKAPALSITADGQQYLVDFHAKFSSLQAFSICIAILHGSEASAAVSQENRQTLQSNSLKILFEEEVRHLIGGITVEEKRKVKNGVEQVPPTFFVGSSFSPVTKYLHQSLPELTFWNLLEDQECYYLQ >Ma02_p24610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29141709:29143250:1 gene:Ma02_g24610 transcript:Ma02_t24610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCAMQQNPFAAYEEMRTAFAAAERKPPVFCPKPRRLSPPAGVADPIWPLRWHSSRQADFSDSNAVADLLDILLAKDGEEQNREASSPPFFCGSPPDRAANPVVHDARFGEDRPPLAPFAPVQPTQSVTPMSPKHAKFGLLPAAVRVEGFDCLDRGCSSIAAVA >Ma02_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29141767:29143250:1 gene:Ma02_g24610 transcript:Ma02_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCAMQQNPFAAYEEMRTAFAAAERKPPVFCPKPRRLSPPAGVADPIWPLRWHSSRQADFSDSNAVADLLDILLAKDGEEQNREASSPPFFCGSPPDRAANPVVHDARFGEDRPPLAPFAPVQPTQSVTPMSPKHAKFGLLPAAVRVEGFDCLDRGCSSIAAVA >Ma05_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2168457:2169666:-1 gene:Ma05_g03060 transcript:Ma05_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEETATAATAIEEPVVDPVPADQPAEEDAPADAAKPAKSKKTKAPKLAKAKKTAAPRKPSAHPPYAEMIKEAITALKERTGSSPYAIGKFIEDKHKAHLPSNFRKILLVQLKKLAAAGKLTKVKSSYKLSTAAHPAPAKPKSAVAPKKSASAPAKPKAKAKTAAAAKPKAKPVAAAVKTKSKSAVAKPKVAGKRKSPAKPKPKSAARPAKARKTTAKEVPGKKAVKTAPRAKTTAATKRVSAAKKPKTVKPAVKKAAAKKAKK >Ma01_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14266081:14274966:-1 gene:Ma01_g18910 transcript:Ma01_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKPSVELCKGVNGLDKVVLRDALGSSAEVYLFGGHVTSWRTDHRDELLFVSNKAIFKPPKAIRGGIPICFPQFSSHGNLEQHGFARNKFWSIDTDPPPFPSDSSNKTFVDLILKPTDDDFKIWPHSYEFRLRVALGLGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLKERERFTEQGDAITFESGVDKIYLSTPTKIAIIDHEKKRTFVLRKDGLPDAVVWNPWDRKAKAMPDFGDDEYKHMLCVEAAAIEKPITLKPGEEWKGRLELSAVPSSYCSGQLDPRKVLQG >Ma02_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12116487:12117617:-1 gene:Ma02_g01830 transcript:Ma02_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKSRIVPPSALPTIRRGRPCPNPTAPPWEVIQLLSQWLDPKSLAVASCVSKSWYAIFSSEHLWKPICHSLFPSSLHLTTANPSVSHRHLFLLLHAASKRRLAIPSPPLLSLRHLVFMVDVFHGDAPVLSLAVTGEELESLHGIFRFEVRIGEVYKRGEVDAREELRVVWMVVTKECREAFTLIDYVGKGTSVGSNELWFSEKLPCPSSCCCSATMDLADLEAEVVIELCCDHDEAKKRVAKVN >Ma05_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:732329:735022:-1 gene:Ma05_g01240 transcript:Ma05_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNATEPFFFLLLLVLLCLPAAASPFSTVAISQSSNVTIVCALVPSPVAYRYELNCTSACTREQRWYPAGRTPYAAVAAGNGFLCGLTLPADRSNATMRWWALPAHGYEDYEKQIYRGPSLAALASGDTHVCALIGGSRRPHCWRWGDMVIPEGLNLSEIAVGRDFVCGRLGCGAIRCFGNDTAVVGREPNGSFSMVAAGTRHACGASSDGRQLTCWGEEAPQVDSNLTLDIVSMALGESKTCVLQSNGTVLCWGEGSRPPDSLAGEQFVAIQGRGDAICGILAINFSVVCWGNELFRRNHTIYRSVLPGTCSPISSCGCGVLPGSGTMCPSDEGICQSCKFQLSSNSSNSSPSSQQASSGSKRRTLLLVLGTVGLGLGLLALSSFLVYLALKSQRSGRVHRTVWWRRWRQHPAAPPSLAELPLDSRLGGGTVEEFSLQSLYEITDNFAEAHKIGSGSFGAVYRATLPGGREVAIKRADVHAAAAPSASRRHEQLRRWSEEQRERAFHSELALLSRINHRNLVLLLGFCRERGERVLVYEYMANGTLHDHLHRRPMVPSSPLSSWAARLRLALDAARGIEYLHAYAVPAIIHRDIKSTNILLDGVWTAKVADFGVSLTSPDNEGSVAAGTVGYMDPEYYRLRRLTEKSDVYSFGVVLLELVTGFKAIHRAQEVEGGEGSTTPRNVVEMAVPYIEADDVAVVMDRRVPPASAEELEAVAYVAYVAAECVRAEGQDRPTMGEVVGALERAVAACADRVESRSEPGGGRRALSRAPSFM >Ma06_p31580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32676230:32680415:-1 gene:Ma06_g31580 transcript:Ma06_t31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGSQGKQLLSRHPSGVVFTIQNVVSTVNMDCKLDLKTIALLARNSEYNPKRFAAIIMRIREPKTTALMFASGQMVCTGAKSEQQSKLAARKFARIVQKLGFPAKFKDFKIQNMLSSCDIKFPIRLEGLSYSHDAFCSYEPEIFPGLIYRMRQSRMVLLIFASGKIILTGAKSEDDTHAAFENIYPVLTEFRKIQQ >Ma05_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7127423:7128196:1 gene:Ma05_g09910 transcript:Ma05_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSGGAARWLVTIVALLLVSLVYEATTEASGVGDEKNFYGRRHGYEGGLGHDIYSKGFRHEFGHGRLGSGGGLSGGFDGEAGGGLGGGACGLGGGAGGGLGGGGGLGDGGGLGGIGGIGGGAEGSTGGESGGALGGGAGAGGGIGGGATGRGFGGGVGVGGGLGSGGGGFGVGFGAGGGFGAGVGFGGGFGGGSGGGGGGVGLGGVPRPGSEVVTDMKANINSSFCWSCYVCGTQHGQMLNVKSVTQNWHPLNIFN >Ma02_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28323125:28324651:-1 gene:Ma02_g23220 transcript:Ma02_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPDKCGSTDKVHFILQHKNPKTGKFVGHHLKYPRSVPYDKLSHVCTAVFKPGNELQILVDGEEKRKTNFFSADHFEPAFIPPKTIPDPNDKKPEDWDERAKIPDSDAVKPDDWDEDAPMEIEDEEACEAAPGCGEWKRPMKRNAEYKGKWHAPLIDNPNYKGIWKPQVIDNPDYFELDKPDFEPITAIGIEIWTMQDGILFDNILIVSNEKTAASASSDVLSDFQKKVFDVLYKIADIPFLEAYNNQIIDVTEKAEKQPILTVGFLVSVVVVVATTILRLLFGRKKPQASCSRFTDN >Ma06_p38160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37042370:37044834:-1 gene:Ma06_g38160 transcript:Ma06_t38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLCLPFFLLLSAASSPLNDVPNSSCSAKFMDPLRNLNSSGLHLTLHHPRSPCSPAPFPDLPFSAILSHDEARVRSLAARLTKSMPKPVSSLLRPAAISVPLSPGDSIGVGNYITRIDLGTPAKSYVMLVDTGSSLSWLQCSPCSVSCHAQVGSVFNPASSATYRAVSCSASECDSLESATLNPSACSQSNVCIYQASYGDSSFSVGYLSKDTLSLGSGHRVANFVFGCGQDNEGLFGQSAGLIGLARNRLSLLSQLAPSLGYSFSYCLPTTGSTGYLSIGTYEPGQYSYTPMQSSSLDDTLYFVRLTSITVGGRGLPVSSSAYTGTPTVIDSGTVITRLPSDVYDALSSAVAAALRGYPRAPAYSILDTCFKGGLSRLAVPAVEMVFQGGATLRLAPRNVMIDVDSSTTCLAFAPSGRVAIVGNKQQETYSVVYDVGRLRIGFAAGGCG >Ma06_p37760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36740241:36743143:-1 gene:Ma06_g37760 transcript:Ma06_t37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLATELRRLKTKAAALAATESMLRLRLSRRLSSLVERLCVIHRVCAYPEKQQAADKKEEVENWLKKLQEAILRAEDLLHKLKLRRLPRETKAPVVANAAREFLLRITSVFRFAADRRLRATVKVFDDLVMLAGKVFSEVDSAQVVEVPFPMPATFRGRKDDKTKINELLDHHGGPLVAILIVAIAGSGKSTLARVIYDGQRENFEAAMLVDRYYSCEAATNGWNRFRYLPVTELKIATSLIVGEEVAPPITDRVDDGEPFLFDSAVHRIRESLIGMRFLIVLLDINNIARPDLWQRLMQALQEACLYGGSTVIITTTDTALQSTMLIEHHSFSLGGLSRLDSWLLFQEHAYFMIPDYVEHHSWVGSVCRGHPLSLIILAMKIRCTATQSIWYASSTPPSGDIPRLCSDIKQVYERLIHGGARSPLSPDILRDCFTFLSLFPEDYRFRREEMVDLWAAENSISLDEADTYVKAFVQEGAFVLCEPQDEHDGESTPRGVAYKMPDLLPYFAQHVGSSSVHSTLTPGFFGSTLFKTKGFPSICQHLSCVCDPRSPEFPMDVLLKGSPWLLRTLLLLAASSNEKREVKDDAEGIEFATFTLLRVLHVRGITFGKLFRGVGAHCNLVYLNVSHSDTETLPEWIGDLPELRILKLSHCQKLRRLPKSITRLRYLEKLDLEACSLLAGSSLEWVGKLFRLEHLNLSQIDLKSLPGSVGKLWTLKALVLADCQRIRRLPGSIRKLLCLEKLDLEGCHFLEELPDNLDSVMKSLKLLNLLRCPSLTRMPLGIGRMSAHFPRWRCPKLEPPSYSIPSITTLTMWMNNEKLYSSADLRNMARNVTKLSVSLCQDLGASSTTCQGFWGLTSLEELQISACQNLTCLPEEMKQLSSLRSLQIISCSNMKSLPKWLEDLPSLRSRGIPSRLELIIEGCPLLQRR >Ma10_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7481675:7486269:-1 gene:Ma10_g02200 transcript:Ma10_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MDASASPRLERERHLLFLEMMALGLPKEYESQEINRLTLAYFAISGLSILDALDRVDKDQVANWVLSFQAHPRMVADLDSGEFYGFCGSRTSQFSTSICEVSRQNCSHLASTYCALAILKMIGYNLSNISPESILISMRNLQQPDGSFMPIHFGAETDLRFVYCAAAICSMLNNWTGMDKEKAKDYIVKCQSYDGGFGLVPGSESHGGATYCGVAALQLMGFIGADICSKQAQSAVIDVPLLVEWSLKRQSFDGGIQGRCNKPSDTCYAFWVGGVLKMLGVYEFIDKDALHDFILSCQSKYGGFTKFPENMLPDLYHSYYSFAALSLLGEPGLRPLCVELGITASDDGHGK >Ma10_p02200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7481675:7486210:-1 gene:Ma10_g02200 transcript:Ma10_t02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MDASASPRLERERHLLFLEMMALGLPKEYESQEINRLTLAYFAISGLSILDALDRVDKDQVANWVLSFQAHPRMVADLDSGEFYGFCGSRTSQFSTSICEVSRQNCSHLASTYCALAILKMIGYNLSNISPESILISMRNLQQPDGSFMPIHFGAETDLRFVYCAAAICSMLNNWTGMDKEKAKDYIVKCQSYDGGFGLVPGSESHGGATYCGVAALQLMGFIGADICSKQAQSAVIDVPLLVEWSLKRQSFDGGIQGRCNKPSDTCYAFWVGGVLKMLGVYEFIDKDALHDFILSCQSKVMMLLS >Ma11_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24497130:24500092:-1 gene:Ma11_g19770 transcript:Ma11_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKLCEFCRTVKRRGRIYVLCTANPKHKQRQGMSTFAYEGPLPPVSSDVANKQEPPATNYWPIGLASLLQKQEK >Ma06_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8774660:8782118:-1 gene:Ma06_g12700 transcript:Ma06_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDGMPLAKRRRLPISTSPSSSPHGPSTPKRSIGFPVERVSVEVSKKWPGLPRGVNFNPSDEDLLWHLLAKVGKGNADPHPFIHEFITCLDEFEAFGYTHPQKLPGIRKDGGDSYFFHKSFKTCIAEIRQHQKMCDSDSDSGDVCWQKSGKSKPLIVDGKHHGYKTMMILYENARWVMHQYHLGVEKIDQEEFVVSKIFYQRTGQVNRSVEDLALGTDETVLGEAGSLIFSKLDSHLDLVNADATSQDILMDDHDPKPHLSLEVYNHKYPSDVSEIADAQVRRVNSDMIPDSEVEGHTAKTGGNDIIQPSNLPNYLMDPKTEHPDCNTNLLIEGKDSMPMAVTGVHPNDDELDHVLLHVRTHMLLSSMKSGTTDSRCSSDSNSCGLNHTMENLLHAKDGGFVPQNDSMSNMETTYGKDILGPVQGISSCPLVNNTTDCDPGREQYNMHSPNKESSCMDSHLPESGNLYVANNIMAEPSGSSTAESYSMVHQCSNLPCCQNNILLEASFSEENLGNDGVSSYHTPQTPVLGEGIVNTDCRPSRSGLSGQLISLKTETMEEVHTDHVSETNRGLEFGAHGLGTLEKHVTGISGTTALCSSEVRMCPEVNLLKTNKFQTDQMICNCSNDANTLDPQLLTLGQSLEDQNNGNDVLDSVLPSIISEVKVEPLEEICTHNGSGTTAQKPVSSSLEATSRKPTRSCQSGYSAKTKKHTEYSLTDKHCKDLHSSDCCNSQRKTTINSLCSEQPSLKKDLHLNSNSSKFFNSACSRKGSNRQENVFLHKRSLIEQVGNRCSHHASITENVHVNNAEAHISRTEVKKEYADDFSKDMQADVISPKPEMLACGVVSYLKYNNNNMNNSQQFLPQNKKCEPVNTPNAQVNNFSLRRKRKKTATDSVERALEEDAPGLLQVLLDKGITIDEIKLYGAAEDDEALEISSSDDNFEELETVITKIFSSQASLFKFSVARHMKGSKAVYCLSCLISLIEQTRYLQFRNSPVEWGWCRDLQSFIFVFQMHNSSGAPRIWLCHIFL >Ma06_p12700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8773686:8782118:-1 gene:Ma06_g12700 transcript:Ma06_t12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDGMPLAKRRRLPISTSPSSSPHGPSTPKRSIGFPVERVSVEVSKKWPGLPRGVNFNPSDEDLLWHLLAKVGKGNADPHPFIHEFITCLDEFEAFGYTHPQKLPGIRKDGGDSYFFHKSFKTCIAEIRQHQKMCDSDSDSGDVCWQKSGKSKPLIVDGKHHGYKTMMILYENARWVMHQYHLGVEKIDQEEFVVSKIFYQRTGQVNRSVEDLALGTDETVLGEAGSLIFSKLDSHLDLVNADATSQDILMDDHDPKPHLSLEVYNHKYPSDVSEIADAQVRRVNSDMIPDSEVEGHTAKTGGNDIIQPSNLPNYLMDPKTEHPDCNTNLLIEGKDSMPMAVTGVHPNDDELDHVLLHVRTHMLLSSMKSGTTDSRCSSDSNSCGLNHTMENLLHAKDGGFVPQNDSMSNMETTYGKDILGPVQGISSCPLVNNTTDCDPGREQYNMHSPNKESSCMDSHLPESGNLYVANNIMAEPSGSSTAESYSMVHQCSNLPCCQNNILLEASFSEENLGNDGVSSYHTPQTPVLGEGIVNTDCRPSRSGLSGQLISLKTETMEEVHTDHVSETNRGLEFGAHGLGTLEKHVTGISGTTALCSSEVRMCPEVNLLKTNKFQTDQMICNCSNDANTLDPQLLTLGQSLEDQNNGNDVLDSVLPSIISEVKVEPLEEICTHNGSGTTAQKPVSSSLEATSRKPTRSCQSGYSAKTKKHTEYSLTDKHCKDLHSSDCCNSQRKTTINSLCSEQPSLKKDLHLNSNSSKFFNSACSRKGSNRQENVFLHKRSLIEQVGNRCSHHASITENVHVNNAEAHISRTEVKKEYADDFSKDMQADVISPKPEMLACGVVSYLKYNNNNMNNSQQFLPQNKKCEPVNTPNAQVNNFSLRRKRKKTATDSVERALEEDAPGLLQVLLDKGITIDEIKLYGAAEDDEALEISSSDDNFEELETVITKIFSSQASLFKFSVARHMKGSKAVYCLSCLISLIEQTRYLQFRNSPVEWGWCRDLQSFIFVFQMHNRIVLERPEYGYATYFFELVDSLPVDWQIKRLVTAMKLTSCSRTTLIENKPLLVGEDLTKGEARVLEEYGWTPNCGLGTMLNYCDRVVHDKKYERFSNEWRAKIGRLLMEGHDSGRTILVKLPKRVVKYKGGENFKIKLEN >Ma04_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4411052:4414179:1 gene:Ma04_g05890 transcript:Ma04_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSMPEETIFRSKLPDIPIDNRRPLHAYCFERLADFADRPCIIDGASGAVMSYADVHLAARRAASGLHRLGVGRGQVIMILLRNSPEFVVAFLAASHRGAVATTANPFYTPAEIHKQAAASGARVIVTESCYVDKVREFAQERGVTVVCVDGPPEGCLHFSELLAADERDLPEVDIDPDDVVALPYSSGTTGLPKGVMLTHRGLITSVAQQVDGGNPNLYFHEEDVLLCVLPLFHIYSLNSVLLCGLRAGAAILIMRKFEISAMLELVKRHRVTVAPLVPPIVLEIVKSPLVDSYDLSSVRTVLSGAAPMGKELVDKFMARLPNATLGQGYGMTEAGPVLSMCLSFAKEPFPVKSGACGTVVRNAELKVVDPDTGASLGRNQPGEICIRGAQIMKGYLNDAKATRNTIDEEDWLHTGDVGFVDDDDEIFIVDRLKEIIKYKGFQVAPAELEALLIAHHDIADAAVVPMKDEVAGEVPVAFVVRSSGSQITEDEIKRYVSKQVVFYKRINKVFFTEAIPKAPSGKILRKDLRAKLGSQFPSA >Ma07_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32245051:32248946:-1 gene:Ma07_g25150 transcript:Ma07_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQGSRMGAALEKLHSFRLGVMEKQKSFRMDKQRSFRLGGGQQSFKERKNKESPGKRGDTELHLAARAGNAAHIRKIVSECSSESELKDLVCKQNQDGETALYVAAEMGHVAAVPEILKVSDVQSAAIKAHNSYDAFHIAAKQGHLEVLKDLLHSFPALAMTTNSLNSTALDTAATQGHIEIVNLLLETDASLAKIARNNGKTVLHSAARMGHVEVVKSLLDQDPSIGLRTDKKGQTAFHMAVKGQNVEMVLELLKPDVSIINLEDSKGNRPLHIATRKGNPKILQALLSVGGIDINAVNKAGETALSIAEKFANEEIASILREFGAVVAKEPANSMTAAKQLKKTVSDIKHDVQSQLKQTRQTEMKVQKIKKRLQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGQYVDLPQDGYSPGQAYIARNAAFIIFLVFDSLALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVSFISLTYVVVGRHEWWLAWATMAIGTTIMLTTLGSMCYCVIVHRIEANNLRNIRRNSGSRSRSWSLSVVSDSELLNSEYKKMYAL >Ma07_p25150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32245051:32248946:-1 gene:Ma07_g25150 transcript:Ma07_t25150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQGSRMGAALEKLHSFRLGVMEKQKSFRMDKQRSFRLGGGQQSFKERKNKESPGKRGDTELHLAARAGNAAHIRKIVSECSSESELKDLVCKQNQDGETALYVAAEMGHVAAVPEILKVSDVQSAAIKAHNSYDAFHIAAKQGHLEVLKDLLHSFPALAMTTNSLNSTALDTAATQGHIEIVNLLLETDASLAKIARNNGKTVLHSAARMGHVEVVKSLLDQDPSIGLRTDKKGQTAFHMAVKGQNVEMVLELLKPDVSIINLEDSKGNRPLHIATRKGNPKILQALLSVGGIDINAVNKAGETALSIAEKFANEEIASILREFGAVVAKEPANSMTAAKQLKKTVSDIKHDVQSQLKQTRQTEMKVQKIKKRLQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGQYVDLPQDGYSPGQAYIARNAAFIIFLVFDSLALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVSFISLTYVVVGRHEWWLAWATMAIGTTIMLTTLGSMCYCVIVHRIEANNLRNIRRNSGSRSRSWSLSVVSDSELLNSEYKKMYAL >Ma04_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4489218:4491180:1 gene:Ma04_g06070 transcript:Ma04_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Ma04_p00260.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:297373:300819:1 gene:Ma04_g00260 transcript:Ma04_t00260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGAAIGILARDGVILVGEKKVTSKLLQTSRSTEKMYKIDDHLACAVAGIMSDANILINTARVQAQRYTFAYQEPMPIEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKAAAIGANHQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEIFLEPSGDVKYEVCKPELLEKLLVKHGVTQATTESN >Ma04_p00260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:297339:300819:1 gene:Ma04_g00260 transcript:Ma04_t00260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGAAIGILARDGVILVGEKKVTSKLLQTSRSTEKMYKIDDHLACAVAGIMSDANILINTARVQAQRYTFAYQEPMPIEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKAAAIGANHQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEIFLEPSGDVKYEVCKPELLEKLLVKHGVTQATTESN >Ma04_p00260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:297466:300819:1 gene:Ma04_g00260 transcript:Ma04_t00260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGAAIGILARDGVILVGEKKVTSKLLQTSRSTEKMYKIDDHLACAVAGIMSDANILINTARVQAQRYTFAYQEPMPIEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKAAAIGANHQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEIFLEPSGDVKYEVCKPELLEKLLVKHGVTQATTESN >Ma04_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:297441:300819:1 gene:Ma04_g00260 transcript:Ma04_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGAAIGILARDGVILVGEKKVTSKLLQTSRSTEKMYKIDDHLACAVAGIMSDANILINTARVQAQRYTFAYQEPMPIEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKAAAIGANHQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEIFLEPSGDVKYEVCKPELLEKLLVKHGVTQATTESN >Ma08_p20420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34496018:34500680:-1 gene:Ma08_g20420 transcript:Ma08_t20420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVQHQEIPIGALQDSESSCLLEIAEFELVVTMGNEMGNQIVTATREHEDEDATDMMTHSSNSPATSNVIHGSLDHDKQTVFEQHGEENTTIDKLSTALNVSNDAEYQNDVNRKILSAESEISYDNTGSAYVEPPPADDNLHQKTLEENDQKEIKELSKTEPHECHTILNVISNDCEVGILEDVKTDNIQISNFHTQAKTNDCSQRKHETEKIGLHDLYFEEPIHTSQAKMTVTVEENQQENNSSLITRDCDKGTEQEEIVKGKELMETPVLDKKQEQHVEYVNEEQNKKNKLVRDNEVIGKRPFDPSLLLDPVAKMSKDIVKETNEKFITSKKINEMTSSSEGLSSETNPLEDHDITIGERLHSKLTNPIDEVSGDMMKKEECNTGKGTTEEKICHQIEEVFNATMYEKADICEINLVETKVQYSPLAEPSNLKFNVIQPDHRLPECSEEFKQKEAAEESEMMNKKDLSSSSHFGECLDINSVLPNIRETLPSLLSEQSNLKFNVIQPDHRLSEFFEEFEPKKAAEEYEILNQEDLSDCLDIYPDLSDIADTNYEQNFELDMKKSSQTELNVLVSKIKTQAVPKVEDDGKILDSVVKTEEEECSLAPGSDTDRDLKTFQTASVSNMDTQAMLDEKILDSDSKTAEPQCHLHGSVFYTDTNLESCQTNREFTLEPNQDEINASVTKMQIQAMLKVEDGEKIMASLIESKTVGKECSLHAPGSDTDTDVEEFQSKTALTLEPNQDKFDVWTANDVSEKLEKSSDGTFLLKQQDLKEASVFPSTEDVKDHTNSSSRKAQEYDCDENTERCQHIAETADVMLQSNNNVFDKSPLQSQGRLKDNDPSAMERSNSLKLQTPLHSLMKEAHVMEPLEKKEDLSLNKNKYEVWKSSAEEFIATPTRGKGKQKHRSSFFSNFMCCTTGTN >Ma08_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34496018:34500680:-1 gene:Ma08_g20420 transcript:Ma08_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVQHQEIPIGALQDSESSCLLEIAEFELVVTMGNEMGNQIVTATREHEDEDATDMMTHSSNSPATSNVIHGSLDHDKQIFEQHGEENTTIDKLSTALNVSNDAEYQNDVNRKILSAESEISYDNTGSAYVEPPPADDNLHQKTLEVEDEKKIQVLDILPKNEEAYLIPSVEIKYDGEEKQSSVKCDELSRGIIGKMASNLDASGSYEKDDKMEISATNQTLENNKSSEESFLMGSDKAFDENEKEIGKKNSVACDIHECVLQSNKSLSTESIDIVFLDAVGTEIKSLLTENDQKEIKELSKTEPHECHTILNVISNDCEVGILEDVKTDNIQISNFHTQAKTNDCSQRKHETEKIGLHDLYFEEPIHTSQAKMTVTVEENQQENNSSLITRDCDKGTEQEEIVKGKELMETPVLDKKQEQHVEYVNEEQNKKNKLVRDNEVIGKRPFDPSLLLDPVAKMSKDIVKETNEKFITSKKINEMTSSSEGLSSETNPLEDHDITIGERLHSKLTNPIDEVSGDMMKKEECNTGKGTTEEKICHQIEEVFNATMYEKADICEINLVETKVQYSPLAEPSNLKFNVIQPDHRLPECSEEFKQKEAAEESEMMNKKDLSSSSHFGECLDINSVLPNIRETLPSLLSEQSNLKFNVIQPDHRLSEFFEEFEPKKAAEEYEILNQEDLSDCLDIYPDLSDIADTNYEQNFELDMKKSSQTELNVLVSKIKTQAVPKVEDDGKILDSVVKTEEEECSLAPGSDTDRDLKTFQTASVSNMDTQAMLDEKILDSDSKTAEPQCHLHGSVFYTDTNLESCQTNREFTLEPNQDEINASVTKMQIQAMLKVEDGEKIMASLIESKTVGKECSLHAPGSDTDTDVEEFQSKTALTLEPNQDKFDVWTANDVSEKLEKSSDGTFLLKQQDLKEASVFPSTEDVKDHTNSSSRKAQEYDCDENTERCQHIAETADVMLQSNNNVFDKSPLQSQGRLKDNDPSAMERSNSLKLQTPLHSLMKEAHVMEPLEKKEDLSLNKNKYEVWKSSAEEFIATPTRGKGKQKHRSSFFSNFMCCTTGTN >Ma08_p20420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34496018:34500146:-1 gene:Ma08_g20420 transcript:Ma08_t20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNQIVTATREHEDEDATDMMTHSSNSPATSNVIHGSLDHDKQTVFEQHGEENTTIDKLSTALNVSNDAEYQNDVNRKILSAESEISYDNTGSAYVEPPPADDNLHQKTLEVEDEKKIQVLDILPKNEEAYLIPSVEIKYDGEEKQSSVKCDELSRGIIGKMASNLDASGSYEKDDKMEISATNQTLENNKSSEESFLMGSDKAFDENEKEIGKKNSVACDIHECVLQSNKSLSTESIDIVFLDAVGTEIKSLLTENDQKEIKELSKTEPHECHTILNVISNDCEVGILEDVKTDNIQISNFHTQAKTNDCSQRKHETEKIGLHDLYFEEPIHTSQAKMTVTVEENQQENNSSLITRDCDKGTEQEEIVKGKELMETPVLDKKQEQHVEYVNEEQNKKNKLVRDNEVIGKRPFDPSLLLDPVAKMSKDIVKETNEKFITSKKINEMTSSSEGLSSETNPLEDHDITIGERLHSKLTNPIDEVSGDMMKKEECNTGKGTTEEKICHQIEEVFNATMYEKADICEINLVETKVQYSPLAEPSNLKFNVIQPDHRLPECSEEFKQKEAAEESEMMNKKDLSSSSHFGECLDINSVLPNIRETLPSLLSEQSNLKFNVIQPDHRLSEFFEEFEPKKAAEEYEILNQEDLSDCLDIYPDLSDIADTNYEQNFELDMKKSSQTELNVLVSKIKTQAVPKVEDDGKILDSVVKTEEEECSLAPGSDTDRDLKTFQTASVSNMDTQAMLDEKILDSDSKTAEPQCHLHGSVFYTDTNLESCQTNREFTLEPNQDEINASVTKMQIQAMLKVEDGEKIMASLIESKTVGKECSLHAPGSDTDTDVEEFQSKTALTLEPNQDKFDVWTANDVSEKLEKSSDGTFLLKQQDLKEASVFPSTEDVKDHTNSSSRKAQEYDCDENTERCQHIAETADVMLQSNNNVFDKSPLQSQGRLKDNDPSAMERSNSLKLQTPLHSLMKEAHVMEPLEKKEDLSLNKNKYEVWKSSAEEFIATPTRGKGKQKHRSSFFSNFMCCTTGTN >Ma08_p20420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34496018:34500681:-1 gene:Ma08_g20420 transcript:Ma08_t20420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVQHQEIPIGALQDSESSCLLEIAEFELVVTMGNEMGNQIVTATREHEDEDATDMMTHSSNSPATSNVIHGSLDHDKQTVFEQHGEENTTIDKLSTALNVSNDAEYQNDVNRKILSAESEISYDNTGSAYVEPPPADDNLHQKTLEVEDEKKIQVLDILPKNEEAYLIPSVEIKYDGEEKQSSVKCDELSRGIIGKMASNLDASGSYEKDDKMEISATNQTLENNKSSEESFLMGSDKAFDENEKEIGKKNSVACDIHECVLQSNKSLSTESIDIVFLDAVGTEIKSLLTENDQKEIKELSKTEPHECHTILNVISNDCEVGILEDVKTDNIQISNFHTQAKTNDCSQRKHETEKIGLHDLYFEEPIHTSQAKMTVTVEENQQENNSSLITRDCDKGTEQEEIVKGKELMETPVLDKKQEQHVEYVNEEQNKKNKLVRDNEVIGKRPFDPSLLLDPVAKMSKDIVKETNEKFITSKKINEMTSSSEGLSSETNPLEDHDITIGERLHSKLTNPIDEVSGDMMKKEECNTGKGTTEEKICHQIEEVFNATMYEKADICEINLVETKVQYSPLAEPSNLKFNVIQPDHRLPECSEEFKQKEAAEESEMMNKKDLSSSSHFGECLDINSVLPNIRETLPSLLSEQSNLKFNVIQPDHRLSEFFEEFEPKKAAEEYEILNQEDLSDCLDIYPDLSDIADTNYEQNFELDMKKSSQTELNVLVSKIKTQAVPKVEDDGKILDSVVKTEEEECSLAPGSDTDRDLKTFQTASVSNMDTQAMLDEKILDSDSKTAEPQCHLHGSVFYTDTNLESCQTNREFTLEPNQDEINASVTKMQIQAMLKVEDGEKIMASLIESKTVGKECSLHAPGSDTDTDVEEFQSKTALTLEPNQDKFDVWTANDVSEKLEKSSDGTFLLKQQDLKEASVFPSTEDVKDHTNSSSRKAQEYDCDENTERCQHIAETADVMLQSNNNVFDKSPLQSQGRLKDNDPSAMERSNSLKLQTPLHSLMKEAHVMEPLEKKEDLSLNKNKYEVWKSSAEEFIATPTRGKGKQKHRSSFFSNFMCCTTGTN >Ma09_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1481351:1493351:-1 gene:Ma09_g02020 transcript:Ma09_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSLERGDRGDPSQVGTLKAAKPPLGAESPSRSLSSKKLIQAPTIPSDSDAAARREAADEAAAAAGLAEGGDAKSGSGNGAVAGVLWKWVNYGKGWRSRWFVLQDGVLSYYKVHGPDRVSVGPAACKAGVRVIGEESLRRVRKEQQWESGSFVGWGAANQWKPFGEVHLKVTSIRASKSDDKRLYIFTGTKTLHLRCDSREDRTAWIEALLSAKENFAHLLTINASEPSVEVTVSTDKLRGRLLQEGLSESTVKECESIMLSEISELQNQLKSLQQKHLILLDTLRQLETEKVELETTVIDETKEREAHLGLMNGRFSDFYSVISEGTATDSEADNESQGADAETDEDDGMYFDTRDFLSSESLRSASYRSREVMGNCCTGSTCCTESYFPDNIQDISTGVQMIEYPYVKRRNKLPEPKEKEKPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEHSYLVDRALEWGRQGNSLMRILHIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGILTLQFEDGETFQWTKVTTSIYNIIIGKIYCDHYGTMRIRGSGHYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGNKVAMLIGKWDEAMYYVLGDPSTKPKGYDPMSEAVLLWEQDKSVTQTRYNLTPFAISLNELTPSLMEKLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRQARKLQEKGWQPRWFRKDVEDDYYQYVGGYWEAREEGKWDGIPDIFGQGDTLLSTE >Ma01_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6056295:6058170:1 gene:Ma01_g08460 transcript:Ma01_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDAITHLPPRKRLLAELRRENSEFDFLPPVPCVSGDLGARLRDIINSPSSTLEEIVKVSKSVALAAVEISATARNNAVEKAAAATKAKAAAKSALLNLDSVTRKSRKGYHTRTKVRKKQVPIKLLYKNNYSAGTQKTDEELARKLHLAMNSSPRISDNKAKNSFGKEVLCNSDAICVENSHVLHNEGVRMNDKCFIDKSEGKDVFRRKEEASSNCTEKQQDGSKSRSLAGGRKVRIKQKKLPLSQYDVRGKAELKRPLANHYSFTGESKLDCARYNTSADDAGPSNDGGMSMEITSAWKCKKIRASQCSSDSKILRALC >Ma01_p08460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6056297:6058170:1 gene:Ma01_g08460 transcript:Ma01_t08460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDAITHLPPRKRLLAELRRENSEFDFLPPVPCVSGDLGARLRDIINSPSSTLEEIVKVSKSVALAAVEISATARNNAVEKAAAATKAKAAAKSALLNLDSVTRKSRKGYHTRTKVRKKQVPIKLLYKNNYSAGTQKTDEELARKLHLAMNSSPRISDNKAKNSFGKEVLCNSDAICVENSHVLHNEGVRMNDKCFIDKSEGKDVFRRKEEASSNCTEKQQDGSKSRSLAGGRKVRIKQKKLPLSQYDVRGKAELKRPLANHYSFTGESKLDCARYNTSADDAGPSNDGGMSMEITSAWKCKKIRASQCSSDSKILRALC >Ma02_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15174437:15181678:1 gene:Ma02_g03760 transcript:Ma02_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAVLLETLMILATVGQSSWAALSTSSCKEMGSSTYRPHTVTVTEFGAVGDGVTLNTKAFQNAIFYLHSFADKGGAQLFVPAGKWLTGSFSLISHLTISLDKDAVIIGSMDSSDWPVIDPLPSYGRGRELPGGRHQSLIHGSNLTDVIITGGNGTINGQGSVWWDWFNNHILNYTRPHLIELIYSTGVVISNLTFINSPFWAIHPVYCSQVLIQNVTILAPPDSPNTDGIDPDSSSNVCIEDCYISTGDDLIVIKSGWDEYGISYAHPSSNISIRRIVGEAKSGAGIAFGSEMSGGISDVEAEDISLFNSLYGIRIKTSPGRGGYVQYIHISNVVLNNVNIAIGITGQYGEHPDENFDPNALPIIKMITLEDIKGTNIKHAGTLEGIQGDNFSSICLFNVHLNVTSSSPWQCSYIQGSSNLVSPESCEPLRNPYQTSVCYTANHVRTQNLVQAA >Ma08_p33400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43714993:43716789:1 gene:Ma08_g33400 transcript:Ma08_t33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEALRSHFSLLFFSFSISYVVFAVLVEFLRIRPWWCNCAVCQAYVTSSWAADFDNLCDWYTHLLRTSPTRTVTVHVLGCTVTANSDNVEHMLKTRFDNYPKGKPFATILGDLLGRGIFNVDGDLWRFQRKMASTELGSAAVRAFASRTVAAEVGGRLLPLLDVACECGRVLDLQDVFQRFAFDNICKISFGLDPGCFELSLSLSEFAAAFDKATRLSARRATHTIPLIWKAKRLFNWGSERELREAIGLVDLLAKEVILQRRKLGFASDQDLLSRFMGCVDNDKYLRDIVVSFMLAGRDTVASALTSFFMLLSRHPAACTAIRDEVELIVGNSQALPSCDQLRKMHYLNAAICESMRLYPPVQFDSKFCLDDDVLPDGTFVRKGTRMTYHVYAMGRMEDLWGSDWAEFRPERWLRHTGAFTPESPFKYPVFQGGLRVCLGKEMALMEMKSVIASVVREFDVEVIEGNRPPKFAPGLTAIFSGGLAVRVHRRKGGASHTGLDARTQVLRWC >Ma06_p11700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8155594:8166475:1 gene:Ma06_g11700 transcript:Ma06_t11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKEDEEEEAPPVFNVDGVNYIHVKVAGLFFVATTRVNISPSLVLELLQRIARVIKDYLGVLNEDSLRKNFVLVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIEVDVARLPPLGPASMFMQGTKRMPGTAVTKSVVSTEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGSASVYDYRSSSGGAVILDDCNFHESVRLDSFDVDRTLTLIPPDGEFAVMNYRMTQEFKPPFRVNALIEEAGQLKAEVIIKVRADFSASVTANTITIQMPVPTHTARVSFELESGAVGQTADFKEGAKRLEWCLKKIVGGSEHTLRAKLTFSQESHGNIAREAGPVNMNFTIPMYNASKFQVRYLQIAKKSPSYNPYRWVRYVTQSNSYVARL >Ma06_p27500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29490639:29491121:1 gene:Ma06_g27500 transcript:Ma06_t27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQKLTVALIVASLVGFGAAGELPPLEEESAAAEQLRVAALEARLIAGLTGGGGGLVDCWNALLELRSCTNEIVLFFINGESYLGLDCCRAIRVITRHCWTSMLTTLGFTTQESDILRGYCDFEATAPPPAPALPPPPTTAPTASKADTELAVDDPTV >Ma05_p31220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41236759:41239237:1 gene:Ma05_g31220 transcript:Ma05_t31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MDEKPIYHEKQRLQFCLLHALNNLMQFFVVKEKDSFRRAELDAIAEKLLADDPFRSQWTPLSLIFKPHHNVFTGNYDVNVLIAALESRRKRVVWHDRRNGASSINLAEESLLGIMLNIPVRRFGGVWSGRHWVSLRNINGVWYNLDSDLASPVSFEHQEEMREFLNNVIDQGGEILIILREKL >Ma05_p31220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41238174:41239237:1 gene:Ma05_g31220 transcript:Ma05_t31220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MDEKPIYHEKQRLQFCLLHALNNLMQEKDSFRRAELDAIAEKLLADDPFRSQWTPLSLIFKPHHNVFTGNYDVNVLIAALESRRKRVVWHDRRNGASSINLAEESLLGIMLNIPVRRFGGVWSGRHWVSLRNINGVWYNLDSDLASPVSFEHQEEMREFLNNVIDQGGEILIILREKL >Ma05_p31220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41238174:41239237:1 gene:Ma05_g31220 transcript:Ma05_t31220.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MDEKPIYHEKQRLQFCLLHALNNLMQFFVVKEKDSFRRAELDAIAEKLLADDPFRSQWTPLSLIFKPHHNVFTGNYDVNVLIAALESRRKRVVWHDRRNGASSINLAEESLLGIMLNIPVRRFGGVWSGRHWVSLRNINGVWYNLDSDLASPVSFEHQEEMREFLNNVIDQGGEILIILREKL >Ma05_p31220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41236759:41239237:1 gene:Ma05_g31220 transcript:Ma05_t31220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MDEKPIYHEKQRLQFCLLHALNNLMQEKDSFRRAELDAIAEKLLADDPFRSQWTPLSLIFKPHHNVFTGNYDVNVLIAALESRRKRVVWHDRRNGASSINLAEESLLGIMLNIPVRRFGGVWSGRHWVSLRNINGVWYNLDSDLASPVSFEHQEEMREFLNNVIDQGGEILIILREKL >Ma08_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1201623:1204283:1 gene:Ma08_g01280 transcript:Ma08_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDRPYLISRVFESTSEQESNWSFLACHFHESLDSKKRLLDEELEEEEEEEEEEVENRAKRKKSLQQSNTSDTQGTKRPLDDSNGSNNFNRLTGDIIINCLLHLSRSNYGAVASVNHVFRSLIRSGEVYQARRQLGVTEHWVYFSCSALEWEAYDPYRGHWIRVPKMPGSPTENFMLSDKESLAVGTELLVFGQELNSYIVQRYSILTNSWSPGVVMNSPRCLFGSASLDGKAIVAGGTHGRTILSTAELYNSETQTWETLPNMSRARKMCSGVFMDGKFYVIGGIDSDNKVLTCGEEYDLKRCSWRLIPDMSAGLNGASGAPPLVAVVNNELYAAHYANKVVLKYNKENNTWVTLGKLPERSVSMNGWGLAFRACGEQLIVIGGQRGCHGGMIELNSWVPNGGPPEWDMIASKHSGSFVYNCAVMGC >Ma09_p28210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39046753:39048127:1 gene:Ma09_g28210 transcript:Ma09_t28210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHREMILKQEQAKLAASSIDNLVNGSGGDICSSNGKEPVISAVTDVTIVSGELKAIPSQSPEVLCSSGSGEAKAKNGPNRCSTCRKRVGLTGFQCRCGDLFCTTHRYSDKHGCPFDYQRAARDAIAKANPVVKAEKLDKI >Ma09_p28210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39046744:39048127:1 gene:Ma09_g28210 transcript:Ma09_t28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHREMILKQEQAKLAASSIDNLVNGSGGDICSSNGKEPVISAVTDVTIVSGELKAIPSQSPEVLCSSGSGEAKAKNGPNRCSTCRKRVGLTGFQCRCGDLFCTTHRYSDKHGCPFDYQRAARDAIAKANPVVKAEKLDKI >Ma09_p28210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39046747:39048127:1 gene:Ma09_g28210 transcript:Ma09_t28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHREMILKQEQAKLAASSIDNLVNGSGGDICSSNGKEPVISAVTDVTIVSGELKAIPSQSPEVLCSSGSGEAKAKNGPNRCSTCRKRVGLTGFQCRCGDLFCTTHRYSDKHGCPFDYQRAARDAIAKANPVVKAEKLDKI >Ma10_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20111657:20135426:1 gene:Ma10_g06680 transcript:Ma10_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative callose synthase 8 [Source:Projected from Arabidopsis thaliana (AT3G14570) UniProtKB/Swiss-Prot;Acc:Q9LUD7] MANEIVPAEGPSSMPSVHRPSAPQYSRAPFDSEKVPPTLVADVRRFLRVANQIESESPRVAYHCRFHAFENTHMLDQNSSGRGVRQFKTALLLRLKQDEYTTMHMRKETSDARELKYFYNKKKMKEGEVSSVLFEVLKAFMSRAGPESAAAAVDIEESVRSYDRYNILPLNTRGGQHAIMLLPEIKAAVSAVRSVRGLPLAEGAQNDAGGHKDLFERLQCWFGFQKGNVANQREHLILLLANIQGRLSPKPTSMLKLDDRAVHELMVELFENYVNWCKFLGRQSNIWLPSVKQEVQQYKLLYISLYLLIWGEASNLRLMPECLCYIFHQMAGDLYGMLSGAISFKTGEKVVPAYGGECESFLNNIVTPIYDVIYEEARKSKNGHSDHSTWRNYDDLNEFFWSVDCFKLGWPMRPDHDFFCTSPRSKQSMSPTSNQSTSALSNQSIDTKETITSHSKWVGKTNFVEIRSFWHLFRSFDRMWTFLILALQVMIIMAWHGLETPLELLDPLVFGDILSIFVTNAVLRLIQVTVDFVFTWKARHTLNFNEKLRFALKFCICAIWTIILPAVYATSQKNYVCSTKLSQSNLYLFCLSPYMIVVAIYLTSNVVGMALFFFPAVSSYLETSNWQICKFISWWAQPRLYIGRGMQMGQVSLLKYTTFWVILLTIKLLFSYYFEIKLLVQPTKQIMKVNVNEYDWHELFPKVKNNAGAILAIWAPVLLVYFMDTQIWYSIFCTIFGGVYGIIHHLGEIRTMGMVRSRFHSLPSRFNDFLVPRTSQKENKRTYRNFLHNNIFKDLKRERSDLVRFATVWNQIISSFRKEDLISNRELDLMIMPVSANLSSNSIRWPLFLLASKFSAAVNVTKDFAGKYEQLQRKINKDSYMINAINESYDSLKSIFEFLITGDLEKRVVGDIFKKIEQGIKNSSLLVDFQMNELPIIHDKLVHLVEFLFENKLAHREKVVILLQDIIEILAKDVMMNNSSILDMINCSTNLVLDGDGLFGCHQPELFASDCAICFPFPDDDSLKEQVKRLYLLLTVKEKAMDIPTNLEAGRRISFFATSLFMDMPSAPKVCNMLSFSVMTPYYMEEVKFSHEELHSSQDGASILSYMQKIYPDEWTNFLERLGPKASNEEIQYWASFRGQTLSRTVRGMMYYREALKLQAFLDRASDSGYAAIDGEQNKRNSQHALSAQSDALADMKFTYVVSCQNFGAQKSSGDPHAQDILDLMIRYPSLRVAYIEEKEVNSADNRRQVYSSVLVKADNNLDQEIYRIKLPGPPIIGEGKPENQNHAIIFTRGDALQTIDMNQDNYLEEAYKMRNVLQEFRRHHGENPPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLANPLRVRFHYGHPDLFDRVFHLTRGGVSKASKTINLSEDVFAGFNSTLRRGYVTYNEYMQVGKGRDVGLNQISKFEAKVANGNSEQSLSRDIYRLGQRFDFFRMLSCYFTTVGFYFNSLISIFGVYIFLYGQLYLVLSGLEKALITEARMQNVKSLETALASQSFLQLGLLTGLPMMMELGLEKGVRMALSDFILMQLQLASIFFTFSLGTKAHHFGRTLLHGGAKYRPTGRKFVVFHASFSENYQLYSRSHFVKGFELLFLLIVYNLFRRTYESTVAYVMITYSSWFMAGTWLFTPFLFNPSGFVWRKIVEDWTDWNKWMNNQGGIGIQPDKCWESWWNAEHIHFRHSGLSSGMVEVVLSLRFFIYQYGLVYHLDISHQSKNIVVYVLSWFVIVAVFSLVKLIHVGRRRLSAKHHLLFRVFKLFLFLSAIACIITLSSVCKLSIMDLFVCCLAFIPTGWGLLLIAQVLRPKLEYTGVWDTIQAVAYAYDSGMGCVLFAPIAALAWMPVISAIQTRVLFNQAFNRQLHIQPILAGKSKLR >Ma08_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35157873:35160752:-1 gene:Ma08_g21190 transcript:Ma08_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLVFMAASALWLAMSCLGVGAGRLAEDKQALIDFLAAIPLTRGLNWSPSTAVCGRWTGVTCSIDGSRVVAVRLPEIGFGGPIPPNTLSRLSALQILSLNSNNLTGPFPADFANLTALTGLHLQLNSFSGPLPSDFSPWKSLTSLDLSFNDFNGEIPASISGLTQLTALNLSSNSFSGQIPDLELPNLRFLDLSDNNLNGPIPKSLRGFPNSSFSGNALSSTPSPLPPSPPLFPSSITTRKMSESTILGIIVGGCALLFAMLALFLFLCCSRKDEIFVSGKGRRRDRSPEKAVAGSQDANNRLVFFEGCTFAFDLEDLLRASAEVLGKGTFGTAYKAVLEDATTVVVKRLKEVGVGKREFEQQMEMVGMVKHENVVELRAYYYSKDEKLVVYDHYSRGSVSSLLHGKRGQERTPLDWETRLKIALGAARGVAHIHVENNGKLVHGNIKSSNVFLNDQHYGCVSDLGLPSLINPMLPRLSRTAGYRAPEVVDTRKASQPSDVYSFGVLILELLTGKSPIQITGGGGGGDEVVHLVRWVHSVLREEWTAEVFDVELLRYPNIEEEMVEMLQIAMNCVARKPERRPKIPEVVGMIEGVRRFDSGNRSSTEAISESSTPTPAQDAEAQTTPQRG >Ma07_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14304159:14304695:1 gene:Ma07_g16560 transcript:Ma07_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTRSSADVLYLDAFKKLGLTNEDLNPMTSVLTGFTGDSISPLGTIVLPITIGEEPRTKTMMTTFMVVDLLSAYNAIFNRLTLNKLKAVVFTYHQAIKFLTPAGVEVYRSDPRESRQCYLTAVTLPMKPRPQQAPDPHEEIRISTLQESPEQIVEVHLKRDRPDMTVKVRTTLPKEN >Ma04_p37800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35533566:35536746:1 gene:Ma04_g37800 transcript:Ma04_t37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDVQPLVCDNGTGMAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Ma04_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5549725:5598213:1 gene:Ma04_g07660 transcript:Ma04_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYVSSSFIYSSHWLLTSFHQVEEDNPTRMDKLTEDVKQLIYMKKGIEDQLQLIDHLRQLGVAYHFKEDIKDALWTIYGSMEEVRMVLKDNLHATGLMFRLLREHGFAVSEGVFNRFIDEKGNLKASLRHQTEGLVSLYEASHLAKEGEHVLEEATNFTTKQLKSLMEGSLEPHLREHVAHALELPLNWRMPRLQTRWFIEASQREAKMNPVLLELAKLDFNRVQIIYQRELREVSRWWNNLGLAQRLPFSRDRLVENYFWTVGWVFEPQFGRCRELHTKANCLIVTLDDVYDIYGTMDELELFTDAVDRWDVNAMDKLPEYMRICFLALFNTTNDIAYSVLKEKGLDIIPHLKKAWADVCKAHMVEARWYHQGYTPNLEEYLENALVSVSGPLILTLAYCTSNDVSQETLEDFHSCPEIARRSSMILRLCDDLGTSKDELERGDVAKSIQCYMHESGLSEYAARYHIRRLIRENWRAINGDRSFTSRFEENIKMMIINVPRMAQCMYQYGDGHGKPGQVIEDRIRSLIIEPILL >Ma03_p28540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31681790:31683239:-1 gene:Ma03_g28540 transcript:Ma03_t28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKKHPYELGAGVCASCLRERLLTLVAAQDGLAPRDYHYRNHRRTFDAPPAPPAPPVAFPRSVSPYLPPRRSAGSSASHHCDRRFFSTPQLGPTFGGEVDGGPSRSYGRFSVLKALFGHHRQEKVEVDWGYCEGSGSRSWFSALVPGIRRKKKQPQVSSAAEGEALPEQTRRSCRVVGRGMSPAMEEEEVDEIGYSSESSNGWRRPTPTPLRRISANTRSRHHHPRGLGGVSSFAVCLSPLMRVGPGGRHSHVAEPAAVSSELWGPASSVRRHRRATAAGAPRGLDPCRSRKLADFARFN >Ma02_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9691237:9711433:-1 gene:Ma02_g01340 transcript:Ma02_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSKAMDLEKTEFSSDGKGAAAAPACSICLELVLDQGRRSTAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKVEKGRWLYANGNRSSADFDIDGWVTEDIYDLGYSELPFGFQLLPFRGFTQLASLFEEAESMPSSYHEFMSNSMFRDHSNSSSSSHICPYLALHGFPNAMRAAPLSSADSIPENGLFHQHPSSLGGQSSSDMMNSHSFPSTEPQSHNWQQQHSPSFPLSGNVDQSASQYGVRMARNDTSNQHRLGSFVHPHHLIHGSVARNGSNLVGSLGPPVTGEIRGHNGGLGSHMYHPSLYSSSLRSSPFAPIRRMRPRGLTLVSSIAAPSSAEVGGFYGFSVSGSVNRNHQEGESIGRHVDRFYGWGREGISPLPWIPIEGESHWWSPFNPNQNPQSGNYTQRATAERSTPNCPENGYQHRPPPRLPPYM >Ma05_p30330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40772948:40774370:-1 gene:Ma05_g30330 transcript:Ma05_t30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREHKRAALHEKLQILRSLTNSHALRKSSIIVDASKYIKELKHKVERLNQEIACTQKPVDKSPLPEVTVETLEKGYLIHVFSEKSCPGLLVSVLEVFEDLGLNVLEAKASCTDTFRLQAIGRDGESMNAEVVKEAVERAIKSFFGVGE >Ma04_p12790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9640314:9651707:1 gene:Ma04_g12790 transcript:Ma04_t12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTEKFSEDGESDRLRFGLSSMQGWRATMEDAHAALPDLDDCTSFFGVYDGHGGKVVAKFCAKYLHTQVLKNEASSTGDLGTSVQRAFLRMDEMMQGQRGWRELAVLGDKINKFTGMIEGLIWSPRGSDSNNHEDDWAFEEGPHSDFSGPTSGSTACVAIMRGNQLVVANAGDSRCVISRKGQAYNLSRDHKPELDAERERILKAGGFIHGGRVNGSLNLARAIGDMEFKQNKFLPVEKQIVTANPDVNNVELCDDDDFIVLACDGIWDCMSSQQLVNFIHEHINKETSLSAVCEKVLDRCLAPSTLGGEGCDNMTMILVQFKKPINSNAASAEQSTQATPGSESSSAD >Ma04_p12790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9640315:9651707:1 gene:Ma04_g12790 transcript:Ma04_t12790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTEKFSEDGESDRLRFGLSSMQGWRATMEDAHAALPDLDDCTSFFGVYDGHGGKVVAKFCAKYLHTQVLKNEASSTGDLGTSVQRAFLRMDEMMQGQRGWRELAVLGDKINKFTGMIEGLIWSPRGSDSNNHEDDWAFEEGPHSDFSGPTSGSTACVAIMRGNQLVVANAGDSRCVISRKGQAYNLSRDHKPELDAERERILKAGGFIHGGRVNGSLNLARAIGDMEFKQNKFLPVEKQIVTANPDVNNVELCDDDDFIVLACDGIWDCMSSQQLVNFIHEHINKETSLSAVCEKVLDRCLAPSTLGGEGCDNMTMILVQFKKPINSNAASAEQSTQATPGSESSSAD >Ma04_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9640315:9651707:1 gene:Ma04_g12790 transcript:Ma04_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSTPKTEKFSEDGESDRLRFGLSSMQGWRATMEDAHAALPDLDDCTSFFGVYDGHGGKVVAKFCAKYLHTQVLKNEASSTGDLGTSVQRAFLRMDEMMQGQRGWRELAVLGDKINKFTGMIEGLIWSPRGSDSNNHEDDWAFEEGPHSDFSGPTSGSTACVAIMRGNQLVVANAGDSRCVISRKGQAYNLSRDHKPELDAERERILKAGGFIHGGRVNGSLNLARAIGDMEFKQNKFLPVEKQIVTANPDVNNVELCDDDDFIVLACDGIWDCMSSQQLVNFIHEHINKETSLSAVCEKVLDRCLAPSTLGGEGCDNMTMILVQFKKPINSNAASAEQSTQATPGSESSSAD >Ma02_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24702252:24704306:-1 gene:Ma02_g18030 transcript:Ma02_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFRKEKTPAELLLGNKRMFDRSIRDIERKKQGLQAEEEKLIAEMEKKVVAKEKRRGEVKQGGKIVSSCKSHDKTSYQNKHQITKFYALNHSSKVYLLEFSLLEHTTRIRLLAAFNEISVAEVMPKSLHMLT >Ma06_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9992182:9996540:-1 gene:Ma06_g14590 transcript:Ma06_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGALPPMNPRFGQIPFPTPRGGGGGGASHHRRALSETFVRLPDDLLFDSDPDFEIPDIDFSSLSDDNFSGDNGAPIAAEPARAATSAAVAAAGTGRPVPGAHLRSLSVDAAFFEGLSFQDAVPEGRGHHRRSGSMDGPTSSLKGESAPPLSDFAKKTMPSEKLAELALIDPKRAKRILANRQSAARSKERKIHYTSELERKVETLQTEATSLSAQLTLLQKDGTGLTAENRELKLRLQAMEQQAKLREALSETLREEVERLKKATRQLSSVNQYPDNILIQQSVQNYYTHHQQLPHPSNQAQHLRSSPAQDSSDDKSCLSDPMDFM >Ma03_p32960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34526742:34527687:-1 gene:Ma03_g32960 transcript:Ma03_t32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPMAISAISFEGIDHRYKAEYTKEGVIRPVISVFGHVSTRYQLLYHSCNNSCASPFTLCWKVIPLCLLHRQGFFLFVLLPILFDLLVEFALEFCKRERER >Ma06_p38290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37104822:37106390:1 gene:Ma06_g38290 transcript:Ma06_t38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTSPMFRLGNEDTGPPWLRPLLKASFFVPCQFHGDSNKSECNLYCLDCMGNALCSYCLPGHKDHDVVQIRRSSYHNVIRVSEVSKFIDISCIQTYIINSAKIVFLNERPQSRPGKGVTNACEICSRNLLDSFRFCSIGCKLEGMRTDPELTFTLHPKPRREPMHGSESDESSTGRKLRKTSGISRSITQLPAAKWGNEGSSISSGTPPIVISYRTSRRKGVPHRAPF >Ma04_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6240060:6240812:-1 gene:Ma04_g08760 transcript:Ma04_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKRPNANPPVAVKVNGGGNASGERPTSSPIKAQQHGLPHRSLAPPLRRRQRCCCCLSLTLLVGLVFLAAIAAGVFYVCYRPERPSFSVSSLRLAALNLSDADLLTSSLDLSVTARNRNQNLVFLYDDFTVSASSDGVAIGEGTIQGFAQGSDTATVLKATVLSTGRSLDPTEASRLRKTKLYPLEIGLYTEASIKIGGFKSKRIGVRASCDGIEAAVTEANATAAATTTGSARCKVKLRLDIWNWTI >Ma10_p05740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17113958:17120365:-1 gene:Ma10_g05740 transcript:Ma10_t05740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLISLEGKIYSCKHCQAHLAVSDDIVSKAFHCKHGRAYLFNKAVNVTVGIKEERLMITGMHTVSDIFCVGCGSIVGWKYDAAHEKAQKYKEGKIVLERFKLTGPDGSRYWVTHDAHMGGSDADDA >Ma10_p05740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17113958:17120131:-1 gene:Ma10_g05740 transcript:Ma10_t05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDLWAELYWYDESKAFHCKHGRAYLFNKAVNVTVGIKEERLMITGMHTVSDIFCVGCGSIVGWKYDAAHEKAQKYKEGKIVLERFKLTGPDGSRYWVTHDAHMGGSDADDA >Ma10_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17113958:17120126:-1 gene:Ma10_g05740 transcript:Ma10_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDLWAELYWYDESKAFHCKHGRAYLFNKAVNVTVGIKEERLMITGMHTVSDIFCVGCGSIVGWKYDAAHEKAQKYKEGKIVLERFKLTGPDGSRYWVTHDAHMGGSDADDA >Ma01_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12759163:12760535:-1 gene:Ma01_g17410 transcript:Ma01_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFGSHGRGVGAAGAFGGSSHTATTSYPDLPPPVEDNKGSNSSDLEEKMRLPGFSHDDQTINDASMGLELDLHHQFDLEAKQEISTHLMQAGGYPQCFDPATISYSAAFGMPADVFFAELPPDGLYDPAVHYGPSNPPFMVREVLGSFPHAAGFFAAGSRGSGESYVIGTEDGRGGQLLESPHVRNKRQQGAPWGMKQGGLKNEKQRRERLGKKFEDLKSLIPNSTKPDRASIVADTIEYINELLRTVDELKILVEKKRRWRERARKTATGDQAAGDMESSSITPPMDDADRASKGVLRSSWLQRRSKDTFVDVRIVDDEANIKLTRRKMMNCMLIVAKVLDELHLELLHLSGGNVGYSHIFMINTKIHEGSSVYASAIAKKLIEAMDVSGPTLPASF >Ma07_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8086989:8087169:1 gene:Ma07_g10890 transcript:Ma07_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDKLRRPSSGFGKLVLVKKVERGL >Ma07_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8468248:8478872:1 gene:Ma07_g11410 transcript:Ma07_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPACSSPLIAPVPVPDPSEIDLEAGSSEQFQCRICLESDAMDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHGPADRKWRILKFRFFVTRDILFIFAAVQLIISSLAYLVYLVDRSQNYWLRMACGFDSEISFYYICGALLFFALLGLSGCFITCYDQQLHNDLAQPCREFCLCCCRPGPRADCDLPGTLCIWADCTTCFDNCGSTGGECGCLGGETGEAGLPLMLIVGLLVLGLFTVVGIFYSVIVATMVGQRIWQRHYHILVKRMLTEEYVVEAVDSEATDWCPPPLPADHVRQLKALGLL >Ma06_p26260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27965543:27966432:-1 gene:Ma06_g26260 transcript:Ma06_t26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKAESEMELPRPSSPCWKKRVPEAGLLADVKDHLEQFLNTSMDQHRICLKKTIRDIREYAKLRKQGKVLSSARAVPESDSAVKQSEIPPEGVQVAPS >Ma07_p27490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33845408:33851892:1 gene:Ma07_g27490 transcript:Ma07_t27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGERDRVLLGYAYRDPFGNLQQPPPPPPHHRNSDVDFADVFGGPPRRSSFYERRRSLADSLDSQSRSRPLSSGLADEKPVFGELGSPARRRHFGDNFYEDIFPGSDSGSSTSRKLDRDPFFPSAPGSRVLSPNRPVLVGDSSLPPQLSLPKMRFMKGVDHPPFSSPAHHAPYKSEDGDPYASTFPYSPSDSQATPAPDDMRNVGHAFYCQSPLATQTSRGMGRSSEAFSRGSQSERYATSLEGYIGSNNFHFSLYKWAAKGVSLTLSSHSKKTNDVGRCSRLPEVVIQADDLPSDDDDMSTSTSTGVSNNQTETHDNKVLSDAGSTITEDVLPESHSKHLSRTFTGNSGMISDNIKEFSVAIPDTKRPELKNLHHLFKDDFEKDGHESLAEKAGGGQRDMNDDFNAKQQVQGQNSEEHLDAVSPRIKDIPVSLEDKMHGSKVRGRVKEFIKIFNLEGSPKRKGTFESGDRKSKEKDRVKNKLEVQASVSAAKTDEEMKPAPTTEEGGFIIDSSEVNETSKKGEIPDFYPSSNVPLSGDPSSERKNTLEPSSDSTQESIVTPVYNVKESHLVYFDGCLVEQLCQEHMENKQTLSHQDQIKIADAKIREWSKGKEGNIRSLLSTLQYVLWKECGWKPVPLVDIIEGTSVKRAYQKALLCLHPDKLQQRGVAEHQKYIAEKVFDILQEAWDQFNSATNVF >Ma03_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7547536:7552707:-1 gene:Ma03_g10100 transcript:Ma03_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAPPPKQEELVPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVVLGTTVLIPTALVPQMGGGNDEKARVVQTLLFLAGINTLLQTYFGTCLPAVMGGSYTFVAPTISIILAGRYSNIVDPHEKFLHIMRGTQGALIVASTLQIIIGFSGLWRNVTRYLSPLSAVPLVALAGFGLYELGFPGVAKCVEVGLPVIILLVTFSQYVPHAIHSERSVFDRFAVIFSITIVWLYAYLLTVGGAYRHAPPKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVEVGTHFSYCLQLWSWLITFYNMSVIVQSTGTFTAVARYASATPVPPSVLSRGIGWQGIAILLDGLFGTANGTSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCLLFAYVGAGGLSFLQFCNLNSFRTKFILGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFFLDNSLHRHDSAVRKDRGLHWWHKFRSYKGDPRSEEFYRLPLNLNKFFPAD >Ma03_p10100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7547536:7552707:-1 gene:Ma03_g10100 transcript:Ma03_t10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAPPPKQEELVPHPVKDQLPNVSFCITSPPPWPEAILLGFQHYLVVLGTTVLIPTALVPQMGGGNDEKARVVQTLLFLAGINTLLQTYFGTCLPAVMGGSYTFVAPTISIILAGRYSNIVDPHEKFLHIMRGTQGALIVASTLQIIIGFSGLWRNVTRYLSPLSAVPLVALAGFGLYELGFPGVAKCVEVGLPVIILLVTFSQYVPHAIHSERSVFDRFAVIFSITIVWLYAYLLTVGGAYRHAPPKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGTFTAVARYASATPVPPSVLSRGIGWQGIAILLDGLFGTANGTSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCLLFAYVGAGGLSFLQFCNLNSFRTKFILGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFFLDNSLHRHDSAVRKDRGLHWWHKFRSYKGDPRSEEFYRLPLNLNKFFPAD >Ma09_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2195882:2197654:-1 gene:Ma09_g03230 transcript:Ma09_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLRNGDAAHPRLPSPAAPTPLHHHNHNYPSFRSTVSEQKIRRLNLLILLLRLAAFCFSLSAAVFTAANSSRSASSPYSWLSVDSFRVMFAANAIVAVYSLLEMCASIREILHGATLLPEPMQLWFDFAHDQLFAYLALSAGVAGMTAARGLSGCTADKPAASFCVQAYISVALGFAGFAFLALSALVSGFRVASFVLTGSRFPLQ >Ma11_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27516998:27528856:1 gene:Ma11_g24640 transcript:Ma11_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHIRNVGHEISENDLLQLVQPFGVVTKLVMLRAKNQALLQMHDLTSAVNVLQYYTSVQPSVRGRNVYIQFSSHQELTTADQNSQGRKGDQDSQPNRILLVTIHHMLYPITVEVLHQVFSPYGFVEKIVTFQKSAGFQALIQYQSRQSALQARSSLQGRNIYDGCCQLDIQFSNLNELQVNYNNERSRDFTNPSLPTEQRGKSSQHSYGDAGSLYALQASGARVAFAQMGNAAAIAAAFSSGLPPGVTGTNDRCTLLATNLNPDKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGALLFGKRLELNFSKYPNITPAADTHEYAGSSLNRFNNNAVKNYKYCCSPTKMIHLSSLPQDVMEEDIVTHLEEHGTIVNVKLFEVNGKKQALVLFEDEEQATEALVCKHANTIDRSVIRISFSQLQSI >Ma04_p26540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27959449:27965497:-1 gene:Ma04_g26540 transcript:Ma04_t26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGKSSRAGKRHASSSMSSFSYYVTIVVFVAFCILGVWMLSSSSVIPPHATTDTSSRISSSSYSSASKSGASRIVAFRDPPPDAEEDAIKGDDGGEDENRSGSKISSLGESRDTSSDQENQIDSASSRRENENRSGGEEESKGRQELERPQEAEETQNGSNEENQEQPVGENKEEGEKGNRGGDQRLVGRDLDGGTQDGKRLSEEEIHEQEQREHDEQLPVGEGEESQQETQQPQQTGSDSQEEQIHEEQQRQHDEQLPSREGEENQREPEQQQQTGSDSQEEQTHEEQQREHDEQLPGGEGEQNQQESQQLQQTEGDSLGNQQESQPEIDENHDQVEQIQQVTNENQPQREESVDESQTQSDEKAEAGSNESQQQEETGGGGNDQQQPQMEEEIANDSEQEGNGQQSEKLNDPNAGESLSDRAQSDASKDSGGDRKMPWATQADHSDNEKERRREENLSEGSDSEGNAGNSLGQEWKLCNVTAGPDYIPCLDNEKAIKQLHSFRHFEHRERHCPEEGPTCLVRLPDGYKRSIEWPKSRDRIWYSNVPRTKLAEVKGHQNWVKVTGEYLTFPGGGTQFIHGALHYIDFIQQSVRDITWGKRSRVVLDVGCGVASFGGYLFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPSMVFDVVHCARCRVPWHAEGGTLLLELNRVLRPGGYFVWSATPVYQKLKEDVDIWKAMKSLTISMCWDLVAVKKDKLNSVAAAFYRKPISNECYDNRKRHSPPMCKDEDDPNAAWHIPLKPCMHRVPVDGSERASRWPKEWPRRLQVPPYWLNSSQMGIYGKPAPVDFTSDYEHWKRVVAKSYLTGFGIRWSNVRNVMDMRAVYGGFAAALKDLKVWVMNVVNIDDPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADRLFSQIKQRCKILPLIAEVDRIVRPGGKIIVRDDSVTTSEVESLLKSLHWVVRLTFSKDQEGILCAEKSEWRPEKLTDSA >Ma03_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1461511:1467617:1 gene:Ma03_g02150 transcript:Ma03_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVSLIIFSAEGKLYEYASNSCMEKILERYERCCYAEKAIACSDPGPQGNWYREYAKLKAKFEVLHRSQRHLMGEQLDSLTVKELQQLEHQLETSMKQIRSRKTQIMLDFVAELQRKEKSLREQNKILEEELMEKQKLMAPSHQAQRQQQNQLPSSSSSPSSFPIAGLIAESNPILSIGTFRGRGSVDSEAAAQTQVRNTSSLLPPMDAWPSEWLTSMCNLKL >Ma11_p21250.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25481756:25487086:-1 gene:Ma11_g21250 transcript:Ma11_t21250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEMSGKNVETSELQTMEDEHARLVLPSESRISNFDPQQVVEKATSRSYIWWMKILFFCFLLVVLSFVFVKWGLPFAFEKVLLPIMEWEATAFGRPVLALVLIGSLALFPVLLIPSGPSMWLAGMIFGYGLGFLIIMVGTTIGMVLPYFIGLLFRERIHEWLNKWPQQTAILRLAGEGSWFHQFRVVALFRISPFPYTIFNYAVVVTCIKFGPYLSGSIAGMIPEAFIYIYSGRLIRTLANMKYGNYTMTPVEIVYNIISFVIAIAITIAFTVYARRALGDLRKVESSISGGDNLNDIRGGIGGLTRNLYQRSQEKVIHGNFCSTIFFPFFPAFV >Ma11_p21250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25481756:25487086:-1 gene:Ma11_g21250 transcript:Ma11_t21250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEMSGKNVETSELQTMEDEHARLVLPSESRISNFDPQQVVEKATSRSYIWWMKILFFCFLLVVLSFVFVKWGLPFAFEKVLLPIMEWEATAFGRPVLALVLIGSLALFPVLLIPSGPSMWLAGMIFGYGLGFLIIMVGTTIGMVLPYFIGLLFRERIHEWLNKWPQQTAILRLAGEGSWFHQFRVVALFRISPFPYTIFNYAVVVTCIKFGPYLSGSIAGMIPEAFIYIYSGRLIRTLANMKYGNYTMTPVEIVYNIISFVIAIAITIAFTVYARRALGDLRKVESSISGGDNLNGTDIRGGIGGLTRNLYQRSQEKVIHGNFCSTIFFPFFPAFV >Ma11_p21250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25481756:25487086:-1 gene:Ma11_g21250 transcript:Ma11_t21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEMSGKNVETSELQTMEDEHARLVLPSESRISNFDPQQVVEKATSRSYIWWMKILFFCFLLVVLSFVFVKWGLPFAFEKVLLPIMEWEATAFGRPVLALVLIGSLALFPVLLIPSGPSMWLAGMIFGYGLGFLIIMVGTTIGMVLPYFIGLLFRERIHEWLNKWPQQTAILRLAGEGSWFHQFRVVALFRISPFPYTIFNYAVVVTCIKFGPYLSGSIAGMIPEAFIYIYSGRLIRTLANMKYGNYTMTPVEIVYNIISFVIAIAITIAFTVYARRALGDLRKVESSISGGDNLNGTDIRGGIGGLTRNLYQRSQEKVIHGNFCSTIFFPFFPAFV >Ma11_p21250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25481187:25487086:-1 gene:Ma11_g21250 transcript:Ma11_t21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEMSGKNVETSELQTMEDEHARLVLPSESRISNFDPQQVVEKATSRSYIWWMKILFFCFLLVVLSFVFVKWGLPFAFEKVLLPIMEWEATAFGRPVLALVLIGSLALFPVLLIPSGPSMWLAGMIFGYGLGFLIIMVGTTIGMVLPYFIGLLFRERIHEWLNKWPQQTAILRLAGEGSWFHQFRVVALFRISPFPYTIFNYAVVVTCIKFGPYLSGSIAGMIPEAFIYIYSGRLIRTLANMKYGNYTMTPVEIVYNIISFVIAIAITIAFTVYARRALGDLRKVESSISGGDNLNDIRGGIGGLTRNLYQRSQEKVIHDNSAGIR >Ma11_p21250.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25481187:25487086:-1 gene:Ma11_g21250 transcript:Ma11_t21250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEMSGKNVETSELQTMEDEHARLVLPSESRISNFDPQQVVEKATSRSYIWWMKILFFCFLLVVLSFVFVKWGLPFAFEKVLLPIMEWEATAFGRPVLALVLIGSLALFPVLLIPSGPSMWLAGMIFGYGLGFLIIMVGTTIGMVLPYFIGLLFRERIHEWLNKWPQQTAILRLAGEGSWFHQFRVVALFRISPFPYTIFNYAVVVTCIKFGPYLSGSIAGMIPEAFIYIYSGRLIRTLANMKYGNYTMTPVEIVYNIISFVIAIAITIAFTVYARRALGDLRKVESSISGGDNLNGTDIRGGIGGLTRNLYQRSQEKVIHVQVYDERTHR >Ma11_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25481187:25487086:-1 gene:Ma11_g21250 transcript:Ma11_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEMSGKNVETSELQTMEDEHARLVLPSESRISNFDPQQVVEKATSRSYIWWMKILFFCFLLVVLSFVFVKWGLPFAFEKVLLPIMEWEATAFGRPVLALVLIGSLALFPVLLIPSGPSMWLAGMIFGYGLGFLIIMVGTTIGMVLPYFIGLLFRERIHEWLNKWPQQTAILRLAGEGSWFHQFRVVALFRISPFPYTIFNYAVVVTCIKFGPYLSGSIAGMIPEAFIYIYSGRLIRTLANMKYGNYTMTPVEIVYNIISFVIAIAITIAFTVYARRALGDLRKVESSISGGDNLNGTDIRGGIGGLTRNLYQRSQEKVIHDNSAGIR >Ma07_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11051358:11053495:1 gene:Ma07_g14710 transcript:Ma07_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRREKLATCLKEIRRKGRMSGEAISKAFGSMQPCLDSNPPAAKKKRNLPGTPDPEAEVIALSPKTLLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSSKEPRKRVYVCPEPTCIHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQETARVTAAFNNVESNMLQQFPMSHIKPSVVHGEADQEVKQRLALWMGHGSRTNDPLDNNTVFGDMHQVGPVSAAATLYGDLLNSSSNLHQLDQSQLSWVYSEKLPSSSNMGAITSASLPATSTVTEAETSQPLLGSLPALFSSQQRHLIAVSDTSATALLQKAAQIGVTSSIPFTGSFESPKGQNANVRDRFDGLFSSRSQPSNLENIVPNFAVSNTFDVYAARHGSPRRDDIGGETRDFLGVGVHTLSQPSINGWI >Ma04_p05700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4251599:4262820:1 gene:Ma04_g05700 transcript:Ma04_t05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQAGEWDEVERYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLTFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPTNGPLVGAIPKSGQFPPMGAHGIQPFQPVVSPPASAIAGWMTNANPPLPHAAMAQGPPGLVQPPNTAAFLKHPRTPTSAPGMDYQTADSEHLMKRMRMGQTDEVSFPGATHPPNIYSQDDIPKSVVRTLSQGSNIMSLDFHPVHQTILLVGTNVGDIAIWEVGSRERIVHRTFKVWEIGTCTVSLQAALMKDAAISVNRCLWSPDGSIFGIAFSKHIVQIYAFNINGELRQPVEIDAHVGGVNDIAFSHPTKSLSIITCGDDKTIKVWDATTGQKQYKFEGHDAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHLVEWNETEGAIKRTYSGFRKRSLGVVQFDTTKNRFLAAGDEFMIKFWDMDNINILTTTDADGGLPASPRLRFNREGSLLAVTTSDNGLKILANADGQRLVRVLESRAFEGTRVTSQQINANVKAPIVNALGAVSNVSSPIAATPELTDRTLPVVSMSSLAADIKPKISDDSEKIKCWKLADIVDSAHLKALRLPDPVTTSSKVVRLLYTNSGLAVLALGSNAIHKLWKWTRNERNPSGKSTTSVAPQLWQPANGILMTNETSDSNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKKITGLAFSQSLTVLVSSGADAQLCMWSIDGWDKKKSRFIQAPASRTSPLVGDTKVQFHNDQTHLLVVHESQLGIYDSKLECLRSWSPRDPLPAPISSAVYSCDGLLVYAGFCDGAVGVFEADSLKLRCRIALTAYISSSISSSGPVYPMVIAAHPSEPNQIALGMSDGAVHVVEPSDVDSKWGAAPPQENGSLLRITSNPASSNSQASEPPPR >Ma04_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4251598:4262820:1 gene:Ma04_g05700 transcript:Ma04_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQAGEWDEVERYLGGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLTFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPTNGPLVGAIPKSGQFPPMGAHGIQPFQPVVSPPASAIAGWMTNANPPLPHAAMAQGPPGLVQPPNTAAFLKHPRTPTSAPGMDYQTADSEHLMKRMRMGQTDEVSFPGATHPPNIYSQDDIPKSVVRTLSQGSNIMSLDFHPVHQTILLVGTNVGDIAIWEVGSRERIVHRTFKVWEIGTCTVSLQAALMKDAAISVNRCLWSPDGSIFGIAFSKHIVQIYAFNINGELRQPVEIDAHVGGVNDIAFSHPTKSLSIITCGDDKTIKVWDATTGQKQYKFEGHDAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESHLVEWNETEGAIKRTYSGFRKRSLGVVQFDTTKNRFLAAGDEFMIKFWDMDNINILTTTDADGGLPASPRLRFNREGSLLAVTTSDNGLKILANADGQRLVRVLESRAFEGTRVTSQQINANVKAPIVNALGAVSNVSSPIAATPELTDRTLPVVSMSSLLQAADIKPKISDDSEKIKCWKLADIVDSAHLKALRLPDPVTTSSKVVRLLYTNSGLAVLALGSNAIHKLWKWTRNERNPSGKSTTSVAPQLWQPANGILMTNETSDSNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKKITGLAFSQSLTVLVSSGADAQLCMWSIDGWDKKKSRFIQAPASRTSPLVGDTKVQFHNDQTHLLVVHESQLGIYDSKLECLRSWSPRDPLPAPISSAVYSCDGLLVYAGFCDGAVGVFEADSLKLRCRIALTAYISSSISSSGPVYPMVIAAHPSEPNQIALGMSDGAVHVVEPSDVDSKWGAAPPQENGSLLRITSNPASSNSQASEPPPR >Ma01_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17595348:17595731:-1 gene:Ma01_g20320 transcript:Ma01_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSPASLLLFAFLMLALTGRLQAGRSSCIGVYWGQNTDEGSLADACATGNYEYVNIATLFKFGMGQTPEINLAGHCDPRNNGCARLSSEIQSCQE >Ma06_p31780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32817903:32821270:-1 gene:Ma06_g31780 transcript:Ma06_t31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGVIGVPTESTAFTATCPPRPPQSCKAQASSFGDGDASICGSNQIHAQADLSRDSAAAATKGMPSETEQKHAYETTLTSSSGGSDCSVRRMRKQIFGSNRSQKRRKRDADDQSEEAEIESMEAKKLAQRSTATRRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKTDKASMLDEAIGYMKSLQLQVQMMWMGSAMAQMMFPGVQQYMSHASVPWMHHAVQVPSVPVIHHSAGSYTNHLCLSPALHAANFQNQMQGFHVQESSYVPCHGFHHLQPHSQETNPCSHGSLTEQQNHPAAIPCSSILPCAGPAPCENTIDSISVSFNPRWDLIQKQVQKMEHQQIEVHGDSLQVLKTTIHRITWTLNP >Ma07_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5952524:5960968:1 gene:Ma07_g07990 transcript:Ma07_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLVCNGCRTILLYPRGATNVRCAICNTMTPTLPAGIDMAQQMEMAQIICYGCRTLLMYTSGATNVRCSCCGTINMARPANQNAYLNCGQCHTTLIYPCGAPSVKCAICHFVTNAGTMRVPIPEAQRPNETIPMRPSTSAPPSEAQNMTVVVENPMSVNQSGKLVSNVVVGVTTGKK >Ma03_p13750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10832093:10836427:1 gene:Ma03_g13750 transcript:Ma03_t13750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKKESTSKDADIYALQKQCDEVLCPICMDHPHNAVVLLCTSYEKGCRSYICDTSYRHSNCLDRFRNLRMNSSDNPSGSSSTIFESVDAERPRLNSSYPSESASFPGAPRTGTNLEPQDSYGTNGRISAGLAEYLGNNADGQAQDRHLASQAEANSSFDGSGGGNVPEDNSLKCPLCRGTILGWMIVKEAREYMDQKLRSCSRESCSFSGNYKELRKHARRVHPMTRPAEVDPSRQRAWRRLENQQEHSDILSAIRSAMPGAIVFGDYVVDDADSLSGDGNGPWWPTLFLLHMIGSPIGSLDEQRRSSRAWRTRRRSSTHRHLWGENLLGLQDDDDWNSDNDIQAPRRRRRLMRSRRDEEQP >Ma03_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10832656:10837254:1 gene:Ma03_g13750 transcript:Ma03_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKKESTSKDADIYALQKQCDEVLCPICMDHPHNAVVLLCTSYEKGCRSYICDTSYRHSNCLDRFRNLRMNSSDNPSGSSSTIFESVDAERPRLNSSYPSESASFPGAPRTGTNLEPQDSYGTNGRISAGLAEYLGNNADGQAQDRHLASQAEANSSFDGSGGGNVPEDNSLKCPLCRGTILGWMIVKEAREYMDQKLRSCSRESCSFSGNYKELRKHARRVHPMTRPAEVDPSRQRAWRRLENQQEHSDILSAIRSAMPGAIVFGDYVVDDADSLSGDGNGPWWPTLFLLHMIGSPIGSLDEQRRSSRAWRTRRRSSTHRHLWGENLLGLQDDDDWNSDNDIQAPRRRRRLMRSRRDEEQP >Ma03_p13750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10832010:10837254:1 gene:Ma03_g13750 transcript:Ma03_t13750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKKESTSKDADIYALQKQCDEVLCPICMDHPHNAVVLLCTSYEKGCRSYICDTSYRHSNCLDRFRNLRMNSSDNPSGSSSTIFESVDAERPRLNSSYPSESASFPGAPRTGTNLEPQDSYGTNGRISAGLAEYLGNNADGQAQDRHLASQAEANSSFDGSGGGNVPEDNSLKCPLCRGTILGWMIVKEAREYMDQKLRSCSRESCSFSGNYKELRKHARRVHPMTRPAEVDPSRQRAWRRLENQQEHSDILSAIRSAMPGAIVFGDYVVDDADSLSGDGNGPWWPTLFLLHMIGSPIGSLDEQRRSSRAWRTRRRSSTHRHLWGENLLGLQDDDDWNSDNDIQAPRRRRRLMRSRRDEEQP >Ma10_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31007178:31012657:-1 gene:Ma10_g20990 transcript:Ma10_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVPTRQRAIRTTVGICLCIDGDFELDLYIELKHFETELTPIQLTVSHNNDAAAKGAAFSRSLASSLLLDKALALSLLLDWHRFLYTEREEERVVKRPAQGSPEDMNQTPKKPGEVFWPKIVLKKWLNIRANDSEFSADEGGNESDLEEDEENCGCEGNEERRARGLQAKTNDDNLESFPYKLRRRNSETLRSQYINTKELRVCVGTWNVGGQHPPEDLDIAEWLDTEEPADIYALGIQEIVPLNAGNIFGAEDSGPVAKWEHLIRKTLNRIQPIKPKYKCYSDPPSPSRFKPSEDVHFTVDELLSETNSDTDDDDEISTSSVDSKGPVASYLDHGESNIPQDLDHDMPPALKRLQRLNHFTSFDYDVNSAATTIQEKKLLRTLSTSERIGLIWPEQPLDLLAKHALSNSTSFRSIRSFRTYNSFKPVHSKLKDSSEVGLNVIGFKKKRLAFVRIISKQMVGIYLSIWVRRSVRNHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTPFCFVCSHLSSGEKSGDELRRNSDVQEIHRRTQFSTVAGGAGLPKTIHDHERIFWLGDLNYRIDLSPEKTHELIAGRNWTLLAERDQLKRELKKGRAFDGWSEGVMNFPPTYKYAFNSTNYVGDDQKGGRRNPAWCDRILSFGKGVRLLDYKRAELKLSDHRPVTAVFMAEVEVFCHRKLQKALTLTDAEVEDGGTMPDVDFTLEMGLGDDISGWLR >Ma10_p20990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31007456:31012658:-1 gene:Ma10_g20990 transcript:Ma10_t20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVPTRQRAIRTTVGICLCIDGDFELDLYIELKHFETELTPIQLTVSHNNDAAAKGAAFSRSLASSLLLDKALALSLLLDWHRFLYTEREEERVVKRPAQGSPEDMNQTPKKPGEVFWPKIVLKKWLNIRANDSEFSADEGGNESDLEEDEENCGCEGNEERRARGLQAKTNDDNLESFPYKLRRRNSETLRSQYINTKELRVCVGTWNVGGQHPPEDLDIAEWLDTEEPADIYALGIQEIVPLNAGNIFGAEDSGPVAKWEHLIRKTLNRIQPIKPKYKCYSDPPSPSRFKPSEDVHFTVDELLSETNSDTDDDDEISTSSVDSKGPVASYLDHGESNIPQDLDHDMPPALKRLQRLNHFTSFDYDVNSAATTIQEKKLLRTLSTSERIGLIWPEQPLDLLAKHALSNSTSFRSIRSFRTYNSFKPVHSKLKDSSEVGLNVIGFKKKRLAFVRIISKQMVGIYLSIWVRRSVRNHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTPFCFVCSHLSSGEKSGDELRRNSDVQEIHRRTQFSTVAGGAGLPKTIHDHERIFWLGDLNYRIDLSPEKTHELIAGRNWTLLAERDQLKRELKKGRAFDGWSEGVMNFPPTYKYAFNSTNYVGDDQKGGRRNPAWCDRILSFGKGVRLLDYKRAELKLSDHRPVTAVFMAEVEVFCHRKLQKALTLTDAEVEDGGTMPDVDFTLEMGLGDVSFLFPCKFRNPKRIDFPNDVCQKSKILSCWQHEQLPT >Ma09_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1892243:1894171:1 gene:Ma09_g02720 transcript:Ma09_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEKKRARRVEKEEEEERERGNEFAKLPKECILHVFSFATPRDSCRSAVVSKAFLSCTGTDALWERFLPSDYAEILSRAVNPVSSPSSKKELYFGLCKPILIDGRKLSFHLERSTGRKCYMVSSSIMYMAWRHVWRHWRWISHSDSRFGEVAELVSVCWLEITGSINSGLLSKKTLYTAYMVFKLASGAYGLNSPPQKVSVSLGTYSSDSFITLQQDDDDDDDDQEEEDEKGKRKLRDDGWMEIELGDFYNDEGDKGEVRIHLSQCEALHFKHGLLIEGLEFRPKI >Ma10_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31792425:31799161:1 gene:Ma10_g22400 transcript:Ma10_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAGAYLLPLSCPLPSDLLPRPKSTRPRPPIRNGEASRGRGTHRLGWGGLWRSGTPCRLRWTAAGARAGGGGADLKPEAFDVPDSVPDSIGFPKVVRRELVSPALRPREEEEEEGDGWLGAVGAGLETFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEFNWNPATVGLIQSSFFWGYLLTQIAGGIWADMVGGKLVLGFGVVWWSIATALTPIAAKAGLPFLLVVRAFMGIGEGVAMPAMNNILSRWIPVAERSRSLALVYSGMYLGSVTGLAFSPLLIHKFGWPSVFYSFGSLGSVWLTVWLSKAYSSPFEDPEISPDEKKLIVSDNVLKEPVKTIPWRLILSKPPVWALITSHFCHNWGTFILLTWMPTYYNQVLKFNLTDSGLFCILPWLTMAVSANVGGWIADTLVSKGMSVTIVRKIMQSIGFLGPAFFLTQLSHVHSPAMAILCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVTLYIAGTVVWNLFSTGEKILD >Ma10_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32721847:32736921:1 gene:Ma10_g24000 transcript:Ma10_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGSSVSSSGTAAAVPMTGPQVLSRRLSTRGSAMATFSMEVFDNEVVPSSLGSIAPILRVASEVESERPRVAYLCRFYAFEKAHRLDPSSSGRGVRQFKTSLLQRLERDNTPSLSKRVKKSDAREIESFYQQYYENYVRALDQGEQADRAQLGKAYQAAGVLFEVLCAVNKTEKVEEVAPEIIAAAKDVQEKTEIYVPYNILPLDAAGASQCIMQLEEIKAAVTALKNTRGLSWPPSFDQQRQKTGDLDLLDWLRVMFGFQRDSVRNQREHLILLLANVHVRLSPKPEPLHKLDDRAVDAVMNKIFKNYKTWCKFLGRKHSLRLPQNAQPQEIQQRKILYMGLFLLIWGEAANIRFMPECLSYVFHNMAYELHGLLAGNVSVVTGENIRPSYGGDDEAFLKKVISPIYHVIEKESKKSNNGKAPHSAWCNYDDLNEYFWSIDCFSLGWPMRDDGDFFKSICESRPMIEGKSSSKSVSNRRTGKSNFVETRTFWHIFRSFDRMWTFYVLALQAMMIIAWSGYPLREIFQKDILYKVSSIFITAALLRFLQSVLDLVLNFPGYHRWKFTDVSRNLLKILVSLAWAIILPFFYIDSSTINFPLNNLANWLHRVRGVPPLYIMAVVLYLLPNLLAVALFVFPMLRRWIENSDWHIIRFLLWWSQPRIYVGRGMHESQFALFKYTLFWVLLFSSKFAFSYYMQIQPLVKPTKDIMNVHHIQYAWHEFFPNASENLGAVVSLWAPVILVYFMDTQIWYAIFSTLYGGVSGAFGRLGEIRTLGMLRSRFYSLPGAFNTYLVPSEKARNKGFSFSKRFAEVSPNKRTEAGKFAQLWNEVICSFREEDLISDRKDFCSFYFREVDLLLVPYSSDTSLNVIQWPPFLLASKIPIALDMAAQFQSKDSDLWKRICADEYMKCAVIECYESFKLILNLLVIGENEKRIIGIIIKEIEASIAKNAFLSNFRVNALQTLCKKFVELLGILKEGNASKRDTVVLLLQDMLEVVTRDMMVHENRELVDLGHGNKDSVPRRQLFAGTGSKPAIVFPPVITAHWEEQIKRLYILLTVKESAVDVPTNLEARRRIAFFTNSLFMEMPRAPKVHKMLSFSVMTPYYSEETVFSKNDLDLENEDGVSILFYLQKIYPDEWNNFMERINCKRESEVWSNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMAEESEILKGYKVVTDPAEEEKRSQRSLSAQLEAMADMKFTYVATCQIYGHQKQSGDRRATDILNLMVNYPSLRVAYIDEVEETDGEKVHKVYYSVLVKAVDNRDQEIYRIKLPGSAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNEDHGLRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFETKVACGNGEQILSRDIYRLGHRFDFFRMLSCYFTTVGFYVSSMVVVIIVYVYLYGRLYLSLSGLESAIMKQARMRGNNALESAMASQSMVQLGLLMALPMVMEIGLERGFRTALGDFIIMQLQLCAVFFTFSLGTKSHYFGRTVLHGGAKYRATGRGFVVRHVKFAENYRMYSRSHFVKGVELMVLLIAYQIYGVAATDTTAYLLLTSSMWFLVGTWLFAPFLFNPSGFEWQKIVDDWDDWSKWINSRGGIGVPANKSWESWWDEEQEHLQSTGFLGRLWEIVLSLRFFLFQYGIVYHLNVVNGNNSIIVYGLSWLVIVAVMLILKVVSMGRKKFSADFQLMFRLLKLFLFIGFIGTLGILFTLLHLTVGDIFASLLAFMPTGWALLQISQALRPLVKAVGLWGSVKALGRGYEYVMGLVIFTPVAVLAWFPFVSDFQTRLLFNQAFSRGLQISRILAGGKKHD >Ma01_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11527399:11531048:-1 gene:Ma01_g15830 transcript:Ma01_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L15, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25920) UniProtKB/Swiss-Prot;Acc:P25873] MAALLSLSTTTPAAPHIRLPASPFKGNIKILWPSLPSLSASKSCLVLRSGPQRAMVVCSAATGTPDPEASAPLGRFRLNNLGPQPGSKRKNKRKGRGIAAGQGNSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKFVPVNLKDIEDAGFEEGDEVSLESLKAKGLINPSGRERRLPLKILGDGALSVKVTVKARAFSAAAKEKLEAAGCTLTALPGRKKWVKPSVAKNLARADEYFARKRAAAAGGGSE >Ma05_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25344774:25349665:-1 gene:Ma05_g18790 transcript:Ma05_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRVICRPHVVVSSIYCCRGYGRLRFAPSARNPNLPISHPSYASSPFVLDDSPRMGPASRANSRRTLTRVSNWNSEKSPYDTLELERDADDEKIKAAYRRLAKFYHPDVYDGRGTLEEGETAESRFIKIQAAYELLIDDEQRRQYDRDHRVNPMKASQAWMEWVMKKQKAFDQRGDMAIAAWAEQQQREMNLRARRLSRSKIDPEEERKILAKEKKASMEYFTNTLRRHTLVLKKRDLMRKKAEEDKKRVISQLLAAEGLELDTDDDDEAV >Ma05_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15299203:15300580:-1 gene:Ma05_g16120 transcript:Ma05_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAGYLELLLLPRILLHIALILGSVRRLVFWVFHAVGLGDLVDSDAPWPENSGHSRLDHHHDYHQQPSQFRSVSAMLIQEALPVVRYEELVAAGQHVGGSCAVCLCEFEDAEEVRRLTNCRHVFHRGCLDRWLEYDQRTCPLCRTQLVGEETQDALDDQMWAAAGVPDSYYDDYYSFPFVSPSPSSPSSLLLPHQLFSSY >Ma04_p29800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30630133:30638905:-1 gene:Ma04_g29800 transcript:Ma04_t29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQNKNYLPGYCSMQDVKEDATSGWYTYFQDKKSSEYLHDSYVPKLVNGNSGQDKEMLKQMMLEHDTIFRNQVFELHRLYRIQKDLMNEFQTRRFYQPSMVAEASHSNCLSQMRTECTGGMSDVSHFTIGNTSYGQTPVAGTERLQVNFTREGSTQSDHIPLSNDASPKGIKQLDYRSQKRRTFDLQLPADVYIDIEDSDEYGQTNITEPSCSSSILKNGTCSLYSENDVKLTLASSHGEDHYMSKSPTQVSVSACSTVDISKSTTEMCFERHENSAFVQLLGFKTHSECSQGHHSSVRSNTLFVDRHSDQQATSEFLYANVHTKREWPFSSHESGGNGSSVFFLDQTSYNYDVSTSRALQLNTKRCGRSFSFDCNNPEIRSRQESTHDVQAPVRAPHFTCSNSGLMSPSMPSSITIPQADSTSYGSSFISSWRKPATSISNETLAIQTLPCCSGSSNPSNEMLSSKVDAQIPIPCEWWQYGRNLTTSQESGFDVYRTNGFHHGFRPDSHFVLHSKVVSSGPDQTDDSNEGLHDYTSGSCVKGFASRDLKSPTNMNINQVFPSGIEDVEDKYDKLSVGSSCLGKKISCNLSVGLNKHASKVAFSFSSGQPQLNSRSDAVAPDIGRKVEKELDYSLCNLQEVMPSCQFKDHKMQGNEVSENNGEKILRLFVHDKNQQINMSVSVGHMEKHLTDNTKIMINDDMTFTDLGCDPKVLKSQTDIHVGDSVTETCHGECKRSFRNHIDLNVELACTDYPISSVKLPQGEIVVQSSHCIPSFGAKIASNIDLEAPISQYEMGIINKQRYIPLSEKDGSKEKDYSVDALIRLAAENLVAISIDCNGCLPGFDTLSWFAEVVSCSAENPMLLGNVGDGGTKSSDDDDFDLFEAMTLTLEEIKVDQYWSRPKELDDKDVKKDKGDVGLASLLLTKPRRGQARKRRQRKDFQRDILPGLATLSRHEITEDLRTIGVMMQATGSPWQTALTRRTKGQNQMTCRAKGRRQPRSLAITIKEIHVSPPLHPQPGNFEVGVNDRSMIGWGRITRRPRRQRCPLGSLPLPLT >Ma04_p29800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30630133:30638905:-1 gene:Ma04_g29800 transcript:Ma04_t29800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQNKNYLPGYCSMQDVKEDATSGWYTYFQDKKSSEYLHDSYVPKLVNGNSGQDKEMLKQMMLEHDTIFRNQVFELHRLYRIQKDLMNEFQTRRFYQPSMVAEASHSNCLSQMRTECTGGMSDVSHFTIGNTSYGQTPVAGTERLQVNFTREGSTQSDHIPLSNDASPKGIKQLDYRSQKRRTFDLQLPADVYIDIEDSDEYGQTNITEPSCSSSILKNGTCSLYSENDVKLTLASSHGEDHYMSKSPTQVSVSACSTVDISKSTTEMCFERHENSAFVQLLGFKTHSECSQGHHSSVRSNTLFVDRHSDQQATSEFLYANVHTKREWPFSSHESGGNGSSVFFLDQTSYNYDVSTSRALQLNTKRCGRSFSFDCNNPEIRSRQESTHDVQAPVRAPHFTCSNSGLMSPSMPSSITIPQADSTSYGSSFISSWRKPATSISNETLAIQTLPCCSGSSNPSNEMLSSKVDAQIPIPCEWWQYGRNLTTSQESGFDVYRTNGFHHGFRPDSHFVLHSKVVSSGPDQTDDSNEGLHDYTSGSCVKGFASRDLKSPTNMNINQVFPSGIEDVEDKYDKLSVGSSCLGKKISCNLSVGLNKHASKVAFSFSSGQPQLNSRSDAVAPDIGRKVEKELDYSLCNLQEVMPSCQFKDHKMQGNEVSENNGEKILRLFVHDKNQQINMSVSVGHMEKHLTDNTKIMINDDMTFTDLGCDPKVLKSQTDIHVGDSVTETCHGECKRSFRNHIDLNVELACTDYPISSVKLPQGEIVVQSSHCIPSFGAKIASNIDLEAPISQYEMGIINKQRYIPLSEKDGSKEKDYSVDALIRLAAENLVAISIDCNGCLPGFDTLSWFAEVVSCSAENPMLLGNVGDGGTKSSDDDDFDLFEAMTLTLEEIKVDQYWSRPKELDDKDVKKDKGDVGLASLLLTKPRRGQARKRRQRKDFQRDILPGLATLSRHEITEDLRTIGVMMQATGSPWQTALTRRTKGQNQMTCRAKGRRQPRSLAITIKEIHVSPPLHPQPGNFEVGVNDRSMIGWGRITRRPRRQRCPLGSLPLPLT >Ma04_p29800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30630133:30638905:-1 gene:Ma04_g29800 transcript:Ma04_t29800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQNKNYLPGYCSMQDVKEDATSGWYTYFQDKKSSEYLHDSYVPKLVNGNSGQDKEMLKQMMLEHDTIFRNQVFELHRLYRIQKDLMNEFQTRRFYQPSMVAEASHSNCLSQMRTECTGGMSDVSHFTIGNTSYGQTPVAGTERLQVNFTREGSTQSDHIPLSNDASPKGIKQLDYRSQKRRTFDLQLPADVYIDIEDSDEYGQTNITEPSCSSSILKNGTCSLYSENDVKLTLASSHGEDHYMSKSPTQVSVSACSTVDISKSTTEMCFERHENSAFVQLLGFKTHSECSQGHHSSVRSNTLFVDRHSDQQATSEFLYANVHTKREWPFSSHESGGNGSSVFFLDQTSYNYDVSTSRALQLNTKRCGRSFSFDCNNPEIRSRQESTHDVQAPVRAPHFTCSNSGLMSPSMPSSITIPQADSTSYGSSFISSWRKPATSISNETLAIQTLPCCSGSSNPSNEMLSSKVDAQIPIPCEWWQYGRNLTTSQESGFDVYRTNGFHHGFRPDSHFVLHSKVVSSGPDQTDDSNEGLHDYTSGSCVKGFASRDLKSPTNMNINQVFPSGIEDVEDKYDKLSVGSSCLGKKISCNLSVGLNKHASKVAFSFSSGQPQLNSRSDAVAPDIGRKVEKELDYSLCNLQEVMPSCQFKDHKMQGNEVSENNGEKILRLFVHDKNQQINMSVSVGHMEKHLTDNTKIMINDDMTFTDLGCDPKVLKSQTDIHVGDSVTETCHGECKRSFRNHIDLNVELACTDYPISSVKLPQGEIVVQSSHCIPSFGAKIASNIDLEAPISQYEMGIINKQRYIPLSEKDGSKEKDYSVDALIRLAAENLVAISIDCNGCLPGFDTLSWFAEVVSCSAENPMLLGNVGDGGTKSSDDDDFDLFEAMTLTLEEIKVDQYWSRPKELDDKDVKKDKGDVGLASLLLTKPRRGQARKRRQRKDFQRDILPGLATLSRHEITEDLRTIGVMMQATGSPWQTALTRRTKGQNQMTCRAKGRRQPRSLAITIKEIHVSPPLHPQPGNFEVGVNDRSMIGWGRITRRPRRQRCPLGSLPLPLT >Ma04_p29800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30630133:30638905:-1 gene:Ma04_g29800 transcript:Ma04_t29800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQNKNYLPGYCSMQDVKEDATSGWYTYFQDKKSSEYLHDSYVPKLVNGNSGQDKEMLKQMMLEHDTIFRNQVFELHRLYRIQKDLMNEFQTRRFYQPSMVAEASHSNCLSQMRTECTGGMSDVSHFTIGNTSYGQTPVAGTERLQVNFTREGSTQSDHIPLSNDASPKGIKQLDYRSQKRRTFDLQLPADVYIDIEDSDEYGQTNITEPSCSSSILKNGTCSLYSENDVKLTLASSHGEDHYMSKSPTQVSVSACSTVDISKSTTEMCFERHENSAFVQLLGFKTHSECSQGHHSSVRSNTLFVDRHSDQQATSEFLYANVHTKREWPFSSHESGGNGSSVFFLDQTSYNYDVSTSRALQLNTKRCGRSFSFDCNNPEIRSRQESTHDVQAPVRAPHFTCSNSGLMSPSMPSSITIPQADSTSYGSSFISSWRKPATSISNETLAIQTLPCCSGSSNPSNEMLSSKVDAQIPIPCEWWQYGRNLTTSQESGFDVYRTNGFHHGFRPDSHFVLHSKVVSSGPDQTDDSNEGLHDYTSGSCVKGFASRDLKSPTNMNINQVFPSGIEDVEDKYDKLSVGSSCLGKKISCNLSVGLNKHASKVAFSFSSGQPQLNSRSDAVAPDIGRKVEKELDYSLCNLQEVMPSCQFKDHKMQGNEVSENNGEKILRLFVHDKNQQINMSVSVGHMEKHLTDNTKIMINDDMTFTDLGCDPKVLKSQTDIHVGDSVTETCHGECKRSFRNHIDLNVELACTDYPISSVKLPQGEIVVQSSHCIPSFGAKIASNIDLEAPISQYEMGIINKQRYIPLSEKDGSKEKDYSVDALIRLAAENLVAISIDCNGCLPGFDTLSWFAEVVSCSAENPMLLGNVGDGGTKSSDDDDFDLFEAMTLTLEEIKVDQYWSRPKELDDKDVKKDKGDVGLASLLLTKPRRGQARKRRQRKDFQRDILPGLATLSRHEITEDLRTIGVMMQATGSPWQTALTRRTKGQNQMTCRAKGRRQPRSLAITIKEIHVSPPLHPQPGNFEVGVNDRSMIGWGRITRRPRRQRCPLGSLPLPLT >Ma04_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19461139:19461771:1 gene:Ma04_g18050 transcript:Ma04_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTDAKVVRLRSFCNNYLLAAQDKSSVTQDADGSLVGTRWTVEVITNVKNKRRLRLRSWCGHYLAAVDPVLKQLPDPLSMNVEWEPVEGGLGFLFRCYLFRYLRVYDEDGLNDSVAVGIPWGRDSMECYLWHVEILERLPCPPPSRAAKASPSGIKVRWSHPKAALHDYDLLALGNLDSSWIVLFLKQWDYQETCSLSLSSRSPSALI >Ma11_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27314244:27314568:-1 gene:Ma11_g24290 transcript:Ma11_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLYIVSSFLCLYDSEFQRGGWTRDCRQIKSYICCHARRPEVKSTDPSITTSLSQKHEHEIGRNVEAIEENSAMHTQCQTKYKRSQP >Ma08_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5833695:5837815:1 gene:Ma08_g08380 transcript:Ma08_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVDNIRGLVLALSSSLFIGSSFIVKKKGLKRAGAHGVRAGSGGFSYLYEPLWWVGMLTMILGEAANFAAYAFAPAILVTPLGALSIIVSAVLAHFFLNEKLHIFGILGCVLCVVGSVSIVLHAPIEKDIESVKEVWYLATEPGFIVYFCVVMILVVFLIVHLVPRYGQTNLVVYVGICSLMGSLTVMSVKAVGIALKLTLSGMNQFVYAQTWFFTVVVAICCLMQMNYLNKALDTFNTAVISPVYYVMFTTLTILASMIMFKDWASQNASQIVTEICGFVTILSGTFLLHKTMDMGESTPMETIALSDS >Ma03_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4789830:4791928:-1 gene:Ma03_g06970 transcript:Ma03_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERVQAIASLSVATNDIPPEFVRSEHEQPGITTYRGPVPEIPVIDLEDGDEGRVTRAIAEASQEWGIFQLVNHGIPGEVIRALQRVGREFFELPPEEKEKYAAAPGSLQGYGTKLQKDLEGKKAWVDFLFHNIWPPTHVDHRAWPENPVDYRKANEEYAKHLVGLVEKMLVSLSKGLGLEADVLKHAVGGDDLEFLLKINYYPPCPRPDLALGVVAHTDMSAITILIPNDVPGLQVFKDDHWFDAKYVPDAIIVHIGDQIEKLSNGRYKSVLHRTTVNKEKARMSWPVFCSPPGETVIGPLPQLVSDEQPAQYKTKKYKDYAFCKLNKLPQ >Ma01_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18975328:18975884:-1 gene:Ma01_g20520 transcript:Ma01_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTSTDYPFKNSSNTLLCLVGGSCQDSNPLMAKIMALLEGLNTDASLQLSNIWIKSDFSAVGATLVI >Ma06_p32180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33124627:33133037:1 gene:Ma06_g32180 transcript:Ma06_t32180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAHPTLPVSAVPMEPSSPLSNPNPNPFAAAASFLRHHLSRLGSDLSAGVDHGRRLVRAVASPPPFAATSVGALAPEPARAEGKRAFDLALSPEYVAKTLAGTAVYTVSNSNNEFVLISDPNNSLRSLGILCFRQEDAQTLLAQVRLRQPILGKGARVVPITLDQVYMLKVEGIAFRFLPDPLQIKNALALKSLDVSRGFDGVPVFQSDLLVVKKKNKRYCPIYFQKEDIERELLKVSKASRGSGFSQNIMVGSLEDVLKKMEMNDKNSGWDDLIFIPPGKSYTEHINKVSA >Ma06_p32180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33124574:33132849:1 gene:Ma06_g32180 transcript:Ma06_t32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAHPTLPVSAVPMEPSSPLSNPNPNPFAAAASFLRHHLSRLGSDLSAGVDHGRRLVRAVASPPPFAATSVGALAPEPARAEGKRAFDLALSPEYVAKTLAGTAVYTVSNSNNEFVLISDPNNSLRSLGILCFRQEDAQTLLAQVRLRQPILGKGARVVPITLDQVYMLKVEGIAFRFLPDPLQIKNALALKSLDVSRGFDGVPVFQSDLLVVKKKNKRYCPIYFQKEDIERELLKVSKASRGSGFSQNIMVGSLEDVLKKMEMNDKNSGWDDLIFIPPGKSYTEHINKVSA >Ma09_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8140450:8143250:1 gene:Ma09_g12030 transcript:Ma09_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVNEAAKRTPGLMPWRSLEGKVVMVTGASSGIGRDLCLDLAKAGCRVIAAARRTDRLRSLCEEINGSGASEPSAVRSVAVELDVSADEPAIAASVQRAWDAFGRIDGLVNNAGVRGDVHSPLDWSEEEWSSNIRTNLTGLWLVSKHVCKHMRDAKQKGSVINISSIGGIERGQLPGGLAYVASKTGVNAVTKVMALELGAFNIRVNSIAPGLFKSEITDGLMKREWLNKVAERTVPLRTYGTLDPALTSIVRFLMHDSSAYVSGNIFVVDAGVTLPGIPLFSSL >Ma06_p35890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35592441:35596862:-1 gene:Ma06_g35890 transcript:Ma06_t35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMERLHRIFSGAGGMGHPPTDSPQLDSAEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAIAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILRPFDTHSKTNEQTVQEMLDLAIKYNKAVQEEDELPPEKLAIVNVGRQDAKKHLEEHVSNLMSSNIIQTLGTMLDTVVF >Ma01_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26763401:26763967:1 gene:Ma01_g23140 transcript:Ma01_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRPSSSPPPSWPWPSCKHPKTHSFRKEGEVYVDFISAPAPESFSTVLEASASDAIEAMIHGLRSDRLFFEPAGATSSIVEAETRNAGSTTTPLEGSIAMAVDSIDPYLDFKLSMKEMVVAHGVMDWEWLEEMLVWYLNMNGKRIHCVIVGAFVDLLLSLASSSPPPPHSSSPSSIFEMEDEDVSC >Ma02_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22950355:22951422:-1 gene:Ma02_g15130 transcript:Ma02_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGEITHFSHPQHKLRFEYAEVPFKCDGCKEVGIGSRFKCNLCDFDLHRQCALPSAAPLRHAFYPKCAFQFLARPPGDALRFCNACERDVAGFVLHCGACGFDLHPCCAALPHVLDAGAGGGDAVRLYLYRKAGAPCHRCGRKGRSWSYRSACKKYNLHVACVMEMLEESWHELYNGGGGGGDGGGAGKRGLVGGVHGGMVGGGYGVSKIPSIKGWEKNHHRGKGKVKRCCEVAAMAVQFIISAVLGDPTAIIAGIVGSFFSR >Ma11_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9840583:9844534:-1 gene:Ma11_g10440 transcript:Ma11_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDHEQSAASGGGDRRMNSFISVDACFPLTLQFMDVSYRVKLDPTLTSSGKGVKRMLNSASGSSAVPEEKVILNGVSGMVSPGEMLVVLGPSGSGKSTLLSILAGRLQGKHSGAVLANGRRLTKPVLRRTGFVTQDDVLYPHLTVRETLVFCAMLRLPRTVAKEEKVAAAEAVMSELGLCKCADTPVGSPFVRGISGGERKRVSIGHEMLLNPSLLVLDEPTSGLDSTAASWLVATLSGLARKGRTVVTSVHQPASRVYQMFDSVLLLSGGSCLYFGKAKDAMDYFGSVGFAPRFHVNPADFMLDLANGVTQIDYQGDAEKSTVKQSLISSYNRLLAPQVKAGLSAAVARDAAQTGKEASFEREKRDHSSISWFSQFSILLQRSLKERRHESFNSLRVFQVMAAAVLSGSMWWHSSIHDVQDRLGLLFFVAIFWGVFASFNAVFTFPQERAIFVKEKSSGMYSLSSYFMARMAGDLPMELILPTVFTLILYWMAGLRAEPAAFLITLAVLLGYVLVAQGLGLVVGAAIMDAKQASTVVTITMLAFLLTGGFYVQNIPSFLAWLKYVSCTFYCYRLLVRIQYRGEEMEYFLGSSHQRSRREAEGEMAGEVSTLVSVGALIAMFVGYRILAYVALRRIKA >Ma09_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4003044:4010944:1 gene:Ma09_g06240 transcript:Ma09_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEKMASIDAHMRLLAPGKVSEDDKLVEYDALLLDRFLDILQDLHGDDIRETVQDLYELSAEYEGKHDPEKLVELGNVLTSLDAGDTIVVTKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVMQLKKSKEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRTCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNTELQVRADELHRSSKKDAKHYIEFWKQVPSSEPYRVILSGVRDKLYNTRERSRHLLSNGYSDIPEEATFTDVEEFLEPLELCYRSLCDCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLGIGSYRDWPEEQRQEWLLSELNGKRPLFGPDLPKTDEISDVLDTLHVIAELPSDNFGAYIISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYKNRICGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKDYGVKLMMFHGRGGTVGRGGGPTHLAILSQPPDTVHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATEEYRSIVFREPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVMQKDIRNLHTLQEMYNKWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSQDLWKFGEQLRANYEETKRLLLQVAGHKDLLEGDPYLKQRLRLRDAYITTLNACQAYTLKRIRDPSYHVNVRPHLSKEITESSKPAAELVKLNPSSEYAPGLEDTLILTMKGIAAGLQNTG >Ma07_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7067726:7072512:-1 gene:Ma07_g09460 transcript:Ma07_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGNRRMDRIYPFSSFASSTQRRIKLQYDEIVESNKAKTLTVAQVGQFINCLVDARNELQRKSEIIQRSFKIKKALLYKADRSSFDRLCQQIYKLEAEHKRVEEDATVYNLLQEQLKLSPAYKTMLEVSANMEQKAESDQAAELPDISFEEFLAQEKKDLFWQRNRKLR >Ma11_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22543785:22547009:-1 gene:Ma11_g17170 transcript:Ma11_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQKEKGKPSQVSRKVIQGKGFDGNDHWAFLEEIEAPLWADLTIEAQSVDNDIDDAWFRVSHPIHQMSSQQLKKSFKNVGKYQDILLISRCYSPKIPESVSRSRGKHYESRKWLGNVNGSLTAKQHPVRKLMGGKSLDTVKKKATTWNSSTSTITESSSSRKPLHNSSVLQANAATETSLSSCVMSKYQNLRPNSSFRGPRKTCDVKRVTSQVGGKVSSVAHISGKSSVGSSCSPGSIVQNVNTTKIQKNGMCKEKKKASGTVIRAHETRIKDVTQRVHHQNKAGDAKLVCQKSESKALGPAALRKPLSQLNKSRPQKTGVVTIQRNFSLLGIKRILQCPIYVK >Ma03_p02670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1807131:1818537:1 gene:Ma03_g02670 transcript:Ma03_t02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGSSTPIGGSQSVNPSLLRSNSGLLGGQPGSIPSQPPFSSLVSPRTQFNSNSLLGNISNFSPLNNSFGNGGPSGALSASPMNLQQRGGLGGAVGMVGSAESNPLSFTSSLGQSQGQQQCFQNPSNSQLGPDQLQSRIDAVQNFQQQFSIPQNQQQQQQQLLRGGLSNIGHMGPVKMEPQMGPVKLEPQMGPNDQIGPSQQLQTLRAIGTVKMESQQLQSLRSLGPVKMEAQHSDPSLFLQHQQQQQQQQQQILQLSRQNSQVAAAQMSLLQHQRKLLMQQQQQQQQQQQQQQQQQQQQQQQQQQIVKTLPQQRNQLQQQLLQHHLLGRPPVKSTIYEPGMCARRLTQYMYHQQHRPQDNNIEFWRKFVAEYFAPNAKKRWCVSLCGSGRQTTGVLPQDVWHCEICNHKPGRGFETTVEVFPRLFQIKYASGTLEELLYIDMPREYQNASGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFNPDLKISSWEFCARRHEELIPRRVIIPQVSQLSAVVQRYQAAAQNASSGLSTQDLQNTCNSFVASTRQMAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSKETQAGPVASLSNFPRRTSSGLQPRQPEQQQSITQNSDHNNQSSVHATSVLLSAGCNNVDGDNSLNAASSTHAATIIGTLQPNSTNTRQENQMNTANSPYGGNNVQIPSASSSSSLAPSQPNPSSTFSSLKPASSNNPTPTSHNATHLSSSCSPASLSAMQQPTAQLHEMDPNDPQSSVQQILQELMMSSQLNGVSSLGNDMKMINGITPTLNGGNCLVGNGISNNSAMSGTGFAGAGGIGLSVAASGMRAAIANSAMTMNGRVGMNYLSQDPIAMNHQQQDIGNRLLDKLGAVNGFDNLQFDWKPSP >Ma03_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1807131:1818537:1 gene:Ma03_g02670 transcript:Ma03_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGSSTPIGGSQSVNPSLLRSNSGLLGGQPGSIPSQPPFSSLVSPRTQFNSNSLLGNISNFSPLNNSFGNGGPSGALSASPMNLQQRGGLGGAVGMVGSAESNPLSFTSSLGQSQGQQQCFQNPSNSQLGPDQLQSRIDAVQNFQQQFSIPQNQQQQQQQLLRGGLSNIGHMGPVKMEPQMGPVKLEPQMGPNDQIGPSQQLQTLRAIGTVKMESQQLQSLRSLGPVKMEAQHSDPSLFLQHQQQQQQQQQQILQLSRQNSQVAAAQMSLLQHQRKLLMQQQQQQQQQQQQQQQQQQQQQQQQQQIVKTLPQQRNQLQQQLLQHHLLGRPPVKSTIYEPGMCARRLTQYMYHQQHRPQDNNIEFWRKFVAEYFAPNAKKRWCVSLCGSGRQTTGVLPQDVWHCEICNHKPGRGFETTVEVFPRLFQIKYASGTLEELLYIDMPREYQNASGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFNPDLKISSWEFCARRHEELIPRRVIIPQVSQLSAVVQRYQAAAQNASSGLSTQDLQNTCNSFVASTRQMAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSKETQAGPVASLSNFPRRTSSGLQPRQPEQQQSITQNSDHNNQSSVHATSVLLSAGCNNVDGDNSLNAASSTHAATIIGTLQPNSTNTRQENQMNTANSPYGGNNVQIPSASSSSSLAPSQPNPSSTFSSLKPASSNNPTPTSHNATHLSSSCSPASLSAMQQPTAQLHEMDPNDPQSSVQQILQELMMSSQLNGVSSLGNDMKMINGITPTLNGGNCLVGNGISNNSAMSGTGFAGAGGIGLSVAASGMRAAIANSAMTMNGRVGMNYLSQDPIAMNHQQQDIGNRLLDKLGAVNGFDNLQFDWKPSP >Ma05_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7470554:7475647:-1 gene:Ma05_g10370 transcript:Ma05_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWPLASAGVSLDVVEILRKLQSIGFCSDLDVPGSETGCEKRSVLFDRILSIFSKEVDRRGGIRPLPAMVGTGHRVDLLKLYSLVQEMGGCDLVTENCAWASVAQALGLELGFGSSLKLVFFKYLDVVDRWLQRVSGKKVAGKRSGYKKLRLLGVGEGCGAITDHKKADCQSPVPLGSKKDQFLSPLKGSGCRSQLDTDGNDNCDVLISEASTVDGGGLNHLKRKRDDLVGMLEWVRNWAKNPGYCSGGKFMASDRGKVKGLASSEHYAQVLLARQAVSHRRTRRTLSQATHHQNGFHSICENGNGTISHEETKCNQKSKSRTCHQTFCLHEDDMDNKGLMAAGEESNDVKHGLQNPCLDAIADWLSSGPPHVKIPVGRQFQAEVPIWTGQPSVSTTGSDEFKWLGTRIWPPEGQENAPFDNVAIGRGRQAICQCEWPGFVECTRFHIAEKRLQLKRELGPAFYVWGFRSMGEEVALSWTEEEECKFKAIVHSNRPSLDKNFWNKLYLYFPLKKRKNLVSYYFNVFLVGRRRYQNRVTHNSIDSDDEDMEFGSVSTSFGQGAVKIHDSVSVICGQNMQCMDLDG >Ma02_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15801071:15803817:1 gene:Ma02_g04320 transcript:Ma02_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWILHPMGISPTPVEDPSLLSSPPTKFASASKLRSGVVYRKPQFLTHRWLCAPNPQPRPLRLAASAAGPPDEEEAEEAPVQELRVPEAWLTPSNALQESEWLRVTLHKWLDDEYCPEAANAEISKVAARSYYESLMEQQSDLGEILLKMAKDLEMVSFQESFHGAFSSANAAIHLVTLRMNSMAGR >Ma04_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21040348:21047688:1 gene:Ma04_g18820 transcript:Ma04_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLRSSLGTMTYHDLCCFCAGGGKLPPILATMQRLLTSRWHRFLSSSTPPVDTNLRQMVLHIVASGVGSLDDMVTALDRSSIVPTPAFINEVIDACSSQSGVGNSISSRRLLRFFSWCQQRQRPQERYGDDVVNRAIRAFAKMKDLTAMGIAICDLQKEGRSVALETFALVTDTLVKAGEEEKAVRLLGSLERARLLQDHHGNDGVVGGACSGILIVVHALCARGNPGMARGVVWRHRRELLSAGGEATRIIYQSLLHGWCIRGNAREVRRVMDQMKSLGFCPSLSSYNDLLLCICKRNVKFNPSAIIPEATNLMTEMRTAGVPPTTVSFNILLSSLSRVRRIKEAYRVLYLMRQGEAGCHPDWASYHIVVRLMYLSGRFVRGNTLVDEMLEAGLLPNVHFYQSLVELLCALDRVDHALQMFERMKKYCGQGNEPTYDLLIAKISRCRRL >Ma04_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10979611:10980224:1 gene:Ma04_g14520 transcript:Ma04_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNVAVRQGKSVRAQLTLPTRGSIRSIKKLLIPSGRPFFVSSSINSTFFAFHRDI >Ma10_p12540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25592218:25593893:1 gene:Ma10_g12540 transcript:Ma10_t12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNFAFSPDFRGRTGNNVMEDLQMLLEHHDMLGRYSTHLGGVNNATVFSDPQSELTCFASGTRKRAREEPPAVVLQSNLESVLPPFCYPNLATVTAVPERAVAAALSAPGCHQTRLLDSGGTSTSGRPASPLTQDLVSHLSYQNAEIDELIRLQRERLRSGLEEVRNRHCKALLWCVEQRVAKRLREKEAELEKARRLNAELEETVRQLTAETELWFGVAKNNESVAASLRANLEQVLLHNAATAQVKEGYGDTDDDAQSCRSAVADRRHASSPATEAEEVRRRPWAACRSCGERDVCVLLLPCRHLCLCKICESMIDACPACGSAKNACLQIAMS >Ma10_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33106826:33108033:1 gene:Ma10_g24560 transcript:Ma10_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAITLVFLLSTASLLFQCSYGGRTLSALVEQQPLSMTYHKGALLTGNISVNLIFYGKFTASQRAIISDFVASLSSLPHQDSMEPSVATWWKTLAKYYSTSRTPLPKLSLGKLLLDEECSLGRSLRDADIETLAAKGAPRNAVNVVLTADDVAVERFCMSRCGTHGASGRSKAGGRFAYVWVGNSETQCPGQCAWPFHQPIYGPQAPPLVAPNGDVGVDGMIINLASLLAGTATNPFGNGFFQGPKEAPLEAATACPGVYGKGAYPGYAGELLTDHATGASYNAHGARGRKYLLPALFDPATSSCSTLV >Ma10_p25020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33343386:33344454:1 gene:Ma10_g25020 transcript:Ma10_t25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYTRKCSRGVGELAVMEVTQVVGVRTRGRSHSLADAAAPAVKSPRRRRTGAPPSTEVVQTSSYLQLRSRPLFMTIRRPRLQAENSPAASDPGPAAEGISQCSSNGSSEVVGDGTKVEVLGSSTCNFKSRRARETTPSSVVRREAGDLESTAARARMRSGSSPTVGATTTEAEIEEFFAAAETDQAQRFAEKYNYDVIGDVPLDGRFDWVRINL >Ma05_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10331190:10332398:1 gene:Ma05_g14210 transcript:Ma05_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAWRFFFLVILLLLPLLVHGDGEGDCSADDEGRDRKKALPLKIGAIVSILVCGGIGVGVPVLGMWIQSLRPEKDIFFVIKAFAAGVILATGFIHILPDAFETLTSSCLAASPWQDFPFAGFCAMVGAIGTLMVDTLATGYFSRLNGDRLRSTSLSEATNGDVEATHGHTHGAAVMQPEDSSAQLIRHRVVSQVLELGIVVHSVIIGISLGASESPSTIRPLVVALSFHQFFEGMGLGGCIVQARFDFKAMVTMGLFFSLTTPVGIAIGTGISSVYNENSPTALIVQGLLDSVAAGILIYMALVDLLAADFMHPRVQSKPKLQFALNVSLLAGSGLMALLAKWA >Ma10_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30530698:30533814:-1 gene:Ma10_g20320 transcript:Ma10_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKSGLRKPVFTKVDQLRPGTNGHTLTVKVVDCKMVMQKNRPAGPQVRQMRIAECLVGDETGMIVFTARNEQVDLMKPGTTLILRNAKIDMFKGSMRLAVDKWGRVEATDPADFTVKEDNNLSLVEYELVNVVE >Ma10_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23033065:23039310:1 gene:Ma10_g08720 transcript:Ma10_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDDAFDSGPLRPSFPLLSATRDVNPFSLLDSKFGLFSSLFDGGGASSFPRHGPRVSHPREVREIPIDFKDDNRGSGPSGVGPRIEEVTGNETAHGHEIHGTVIIDDEDDDGIQPTHGGHGVKSSADTSLGQCAGPSVSPLADMTDYSNEIEEEMIKAAIEASKREAQQHDIHDHPKSPATDDTDLARAVSLSLKTAEQERVLRQQGVYVGENPSIMEVDDAEKDAAFNGRHGFVSADTGTSSQVKSEEENPFVLEESEDIEEQPLVRHRSRHLTPANVDSADSGQVSYSASGPPQPIDRHPQHNGHVFENDEWGGISSEEHDEAVMLEAAMFGGIPDGTSYRFGYPPRQMPRPPSPTLTAQRLLREQQDDEYLAALQADREKELKAQQEAQLRHLEETAAREAALQKQKHEEEENQKKQLEEEELELKLAAKQSLLPQEPSLDDGNAVTLLVRMPDGSRRGRRFLKSDKLQLLFDYIDVGKVVKPGSYRLVRPYPRRAFTEEETQLSLSELGLTSKQEALFLELI >Ma10_p08720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23032920:23039310:1 gene:Ma10_g08720 transcript:Ma10_t08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSRDAIDTFMSITGAPEAVALQKLEERGGDLNEAINAHFSEVDRVNTNQGSVPPREDLMQTDDAFDSGPLRPSFPLLSATRDVNPFSLLDSKFGLFSSLFDGGGASSFPRHGPRVSHPREVREIPIDFKDDNRGSGPSGVGPRIEEVTGNETAHGHEIHGTVIIDDEDDDGIQPTHGGHGVKSSADTSLGQCAGPSVSPLADMTDYSNEIEEEMIKAAIEASKREAQQHDIHDHPKSPATDDTDLARAVSLSLKTAEQERVLRQQGVYVGENPSIMEVDDAEKDAAFNGRHGFVSADTGTSSQVKSEEENPFVLEESEDIEEQPLVRHRSRHLTPANVDSADSGQVSYSASGPPQPIDRHPQHNGHVFENDEWGGISSEEHDEAVMLEAAMFGGIPDGTSYRFGYPPRQMPRPPSPTLTAQRLLREQQDDEYLAALQADREKELKAQQEAQLRHLEETAAREAALQKQKHEEEENQKKQLEEEELELKLAAKQSLLPQEPSLDDGNAVTLLVRMPDGSRRGRRFLKSDKLQLLFDYIDVGKVVKPGSYRLVRPYPRRAFTEEETQLSLSELGLTSKQEALFLELI >Ma03_p31450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33655908:33664095:-1 gene:Ma03_g31450 transcript:Ma03_t31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEDRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPILACRIIPNLVQVYLIGFYEEREFALYVSAISNELRIPVRYLREDKPHGSAGGLYNFKDYIMEDDPSHIVLLNCDVCSSFPLPDMLEAHKRYGGLGTLLVIKVSAESANQFGELVADPVTNELLHYTEKPETFVSDRINCGVYIFTPEIFTAIQGVFTQRKDTANMRRMNSFEALQSATKALPVDYVRLDQDILSPLAGKKQLYTYETLDFWEQIKTPGISIKCSALYLAQYRYTTPHLLASGDGTKSATIIGDVFIHPSAKVHPTAKIGPNVSISANARIGAGVRLISCIILDDVEIKENAVVIHSIVGWKSSIGKWSRVQAEGDYNAKLGITILGEAVAVEDEVVVVNSIVLPNKTLNVSFQDEIIL >Ma06_p33010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33817262:33820347:-1 gene:Ma06_g33010 transcript:Ma06_t33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRPARLCGSHVSEVGSKNGDLHGDRNLLLMIIKGLFRRYQRWNPVHPTVGTFWGMGIGIGCGVGWGPGFGPEVIGYVGAGCGAGFSVGITLVGIGIGLPQDGLTRIAYNAFTTKTGSLDSARSYALTTMKSVAEDSLNYAAPHISFLRKETSWRLSRLKSNICVQRAGLNNLNTAVSKHIQSTLECLEAFKDNFWPPGKAT >Ma06_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5162566:5166349:-1 gene:Ma06_g07260 transcript:Ma06_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFCAAKSYFQPFCYDGFQESSSSSYSFSSDLLPSLGANINQSVKLRKFIISPYNPRYRAWQIFLIPLVIYSAWICPYELAFLRHYPAKLLWVENILNSFFAVDIILTFFVAYLDRKSYLLTDDPKKIAARYLSSWFIFDILSTAPFQLISFLSDSSVNGLGFKILNMLRLWRLRRISSFFSRLEKDIRFNYFWTRCTKLILVTLFAVHCAGCFNYLIADRYPNPERTSIGAVMPNFKSESLWTRYVTAIYWSITTLTTTGYGDIHAENSTEMLFYIFYMLFNLALTAYLIGNMTNLVVHGTNRTRNFRDTIQAASEFAARNHLSQNIRCQMLSHICLRFKTEGLKQQETLNSLPKGVRTSIACYLFYPIVQQVYLFRGVSRNFLYQLVTEMQAEYFPPREDVILHKETPTDLYILVTGAVDLRSNIHGNEQIHKRVAAGEVFGEIGVLCHTPQPYTARTVELSQILRLSSSTFMNMIQENAGDGIIIMNNLLQKLKLEQSPSAGVEESSHLLKEMLKGENWSFSSCYQDYKLQEQPSWESMEGRNNPCTVSGNDRWDTSYDLYGTDVNLTHTPIHAALRRCDKMGNSKIVELDQEANRDGLDVSDRTPMDLAENAENNNICKLSLRYNNGMSMTEDQQFANINNTNSAIESYEEQSQIFMHSKIPYKMKNASSRSGCSSVDTDKRQTVSKRVVIHMHKTKSRSSRELMGKLINLPDSLEELFKITGQKFNVDQPTMVVNQDDAEIDDIAVIRDGDHLFLL >Ma11_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3977336:3978199:-1 gene:Ma11_g05150 transcript:Ma11_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSPVFPMTPEANHYSDYGFDPQMDYFQVLEEARRHSKRSDPRPLDSLHCKLQKPISKDDAKSKKRRRGWWKSAFLFWRRPKVSSEADEQRCSQQRPHNAHRSAVSGPIYATESCGGGRRTSRPSSGPLTAAEVGADAAGLAYLSLRDLSFVDGRRASTHAAVPPAMPIYLVT >Ma04_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15106096:15115806:1 gene:Ma04_g16280 transcript:Ma04_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWWWGFSEKPMNWFIDAQIMDQKTGYLSDECNSLLEWSKIFPARDWRTYLYNNYFESKKVRGIWWKKLLAWWVAAWFLGSLSIFWFMNSQAVDKRREMLASMCDERARMLQDQFNVSMNHLQALAILISTFHHAKEHSAIDQITFARYAERTAFERPLTSGVAYAVKVLHSEREQFEKQHGWRIRRMDPTEQTPAREEDADFDNQETSPVKDEYAPVIFAQDTYKHVISFDMLTGKEDRENILRARESGKGVLTAPFQLLKSKRLGVILTYAVYKSQLPSYATPAERIQAAIGYLGGIFDIEALVDKLLHQLACKHSIVVSVYDTTNPDAPISMYGSNMTGIGMYHNSTLHFGDPVRKHEMHCRFKQKSPLPWLAITTSFGTLVIALLVGYIIHATVNRIAKVEDDYRQMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQEDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRAVLDDILSLFYGKAQEKGLELAVYVSDQVPEILIGDHGRIRQIITNLIGNSIKFTERGHIYLTVHLIEEMNSPDVVTEAHSTNTLSGFPVTDRRCSWESFKIFNQGLSATDPSLPSISSDLINLIISVEDTGLGIPLEAQSRVFTPFMQVRPSVSRIHGGTGIGLSISKCLVGLMKGEIGFVSKPQIGSTFTFTVVLTRAYAISNDYKSSEFHGMAALVVDHRPERAKVTKYHLNRLGIYAILEIDPNKVLPRLTSGTLTTNMVIIEKETWSNNASIWPSIISNLKGDQLDIPKVLLLAGPASSAKGMSVGSMEYISTIISKPLRASMLQLSLRRAMGCGDGEHAQDERLPRLSLNRLLNEKQILVVDDNIVNLRVAAGALKRYGAEVTCAESGKKAIKMLKPPHKFDACFMDIQMPEMDGFQATRRIREMEADVNNLIKHGKVPFECYRDVLHLHIPILAMTADVIHATHEECLRCGMDGYVSKPFEGEQLYREVARFLETSAKGNQ >Ma04_p16280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15106096:15115806:1 gene:Ma04_g16280 transcript:Ma04_t16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWWWGFSEKPMNWFIDAQIMDQKTGYLSDECNSLLEWSKIFPARDWRTYLYNNYFESKKVRGIWWKKLLAWWVAAWFLGSLSIFWFMNSQAVDKRREMLASMCDERARMLQDQFNVSMNHLQALAILISTFHHAKEHSAIDQITFARYAERTAFERPLTSGVAYAVKVLHSEREQFEKQHGWRIRRMDPTEQTPAREEDADFDNQETSPVKDEYAPVIFAQDTYKHVISFDMLTGKEDRENILRARESGKGVLTAPFQLLKSKRLGVILTYAVYKSQLPSYATPAERIQAAIGYLGGIFDIEALVDKLLHQLACKHSIVVSVYDTTNPDAPISMYGSNMTGIGMYHNSTLHFGDPVRKHEMHCRFKQKSPLPWLAITTSFGTLVIALLVGYIIHATVNRIAKVEDDYRQMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDITQEDYVRTAQASGKALVSLINEVLDQAKIESGKLELEAVPFDLRAVLDDILSLFYGKAQEKGLELAVYVSDQVPEILIGDHGRIRQIITNLIGNSIKFTERGHIYLTVHLIEEMNSPDVVTEAHSTNTLSGFPVTDRRCSWESFKIFNQGLSATDPSLPSISSDLINLIISVEDTGLGIPLEAQSRVFTPFMQVRPSVSRIHGGTGIGLSISKCLVGLMKGEIGFVSKPQIGSTFTFTVVLTRAYAISNDYKSSEFHGMAALVVDHRPERAKVTKYHLNRLGIYAILEIDPNKVLPRLTSGTLTTNMVIIEKETWSNNASIWPSIISNLKGDQLDIPKVLLLAGPASSAKGMSVGSMEYISTIISKPLRASMLQLSLRRAMGCGDGEHAQDERLPRLSLNRLLNEKQILVVDDNIVNLRVAAGALKRYGAEVTCAESGKKAIKMLKPPHKFDACFMDIQMPEMDGFQATRRIREMEADVNNLIKHGKVPFECYRDVLHLHIPILAMTADVIHATHEECLRCGMDGYVSKPFEGEQLYREVARFLETSAKGNQ >Ma04_p19450.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22048988:22054837:-1 gene:Ma04_g19450 transcript:Ma04_t19450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRETDTKGPKTSAAQPPATSARPSVSVYPEWSGFQAYSPMLPHGFFHSPMVSSPQAHPYMWGAQQFMPTYGTPPPPYVMYPHGVYPHPSVPPVHLGLHPFSPYATTFSNGTAEACGSVPASTGDAKSSEGKERIPIQRSKGSLGSLNMITGKKDNELDKTSGAANGVLAPSSDSGNEDSSERSDAYSLTDSEPKTGVGQRPPDETSQNGTSGIMTAQSHATLHQTMQIMPMLAAGVPGVVSGPTTNLNIGMDYWTAPTPSAISPLHGKVSATATTGSMVPGSLVEASERVPSEIWLQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEVLKEENSALRAEVDRIKKEYDGLIAQNGSLQERTGEQTKEEEDAIIKKCNQCAEDNTKRNLVSDPQAGQSDDKQGGQ >Ma04_p19450.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22048988:22054837:-1 gene:Ma04_g19450 transcript:Ma04_t19450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRETDTKGPKTSAAQEQPPATSARPSVSVYPEWSGFQAYSPMLPHGFFHSPMVSSPQAHPYMWGAQQFMPTYGTPPPPYVMYPHGVYPHPSVPPVHLGLHPFSPYATTFSNGTAEACGSVPASTGDAKSSEGKERIPIQRSKGSLGSLNMITGKKDNELDKTSGAANGVLAPSSDSGNEDSSERSDAYSLTDSEPKTGVGQRPPDETSQNGTSGIMTAQSHATLHQTMQIMPMLAAGVPGVVSGPTTNLNIGMDYWTAPTPSAISPLHGKVSATATTGSMVPGSLVEASERVPSEIWLQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEVLKEENSALRAEVDRIKKEYDGLIAQNGSLQERTGEQTKEEEDAIIKKCNQCAEDNTKRNLVSDPQAGQSDDKQGGQ >Ma04_p19450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22048988:22054837:-1 gene:Ma04_g19450 transcript:Ma04_t19450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRETDTKGPKTSAAQEQPPATSARPSVSVYPEWSGFQAYSPMLPHGFFHSPMVSSPQAHPYMWGAQQFMPTYGTPPPPYVMYPHGVYPHPSVPPVHLGLHPFSPYATTFSNGTAEACGSVPASTGDAKSSEGKERIPIQRSKGSLGSLNMITGKKDNELDKTSGAANGVLAPSSDSGNEDSSERSDAYSLTDSEPKTGVGQRPPDETSQNGTSGIMTAQSHATLHQTMQIMPMLAAGVPGVVSGPTTNLNIGMDYWTAPTPSAISPLHGKVSATATTGSMVPGSLVEASERVPSEIWLQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEVLKEENSALRAEVDRIKKEYDGLIAQNGSLQERTGEQTKEEEDAIIKKCNQCAEDNTKRNLVSDPQAGQSDDKQGGQ >Ma04_p19450.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22048988:22054837:-1 gene:Ma04_g19450 transcript:Ma04_t19450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRETDTKGPKTSAAQEQPPATSARPSVSVYPEWSGFQAYSPMLPHGFFHSPMVSSPQAHPYMWGAQQFMPTYGTPPPPYVMYPHGVYPHPSVPPVHLGLHPFSPYATTFSNGTAEACGSVPASTGDAKSSEGKERIPIQRSKGSLGSLNMITGKKDNELDKTSGAANGVLAPSSDSGNEDSSERSDAYSLTDSEPKTGVGQRPPDETSQNGTSGIMTAQSHATLHQTMQIMPMLAAGVPGVVSGPTTNLNIGMDYWTAPTPSAISPLHGKVSATATTGSMVPGSLVEASERVPSEIWLQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEVLKEENSALRAEVDRIKKEYDGLIAQNGSLQERTGEQTKEEEDAIIKKCNQCAEDNTKRNLVSDPQAGQSDDKQGGQ >Ma04_p19450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22048988:22054837:-1 gene:Ma04_g19450 transcript:Ma04_t19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRETDTKGPKTSAAQEQPPATSARPSVSVYPEWSGFQAYSPMLPHGFFHSPMVSSPQAHPYMWGAQQFMPTYGTPPPPYVMYPHGVYPHPSVPPVHLGLHPFSPYATTFSNGTAEACGSVPASTGDAKSSEGKERIPIQRSKGSLGSLNMITGKKDNELDKTSGAANGVLAPSDSGNEDSSERSDAYSLTDSEPKTGVGQRPPDETSQNGTSGIMTAQSHATLHQTMQIMPMLAAGVPGVVSGPTTNLNIGMDYWTAPTPSAISPLHGKVSATATTGSMVPGSLVEASERVPSEIWLQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEVLKEENSALRAEVDRIKKEYDGLIAQNGSLQERTGEQTKEEEDAIIKKCNQCAEDNTKRNLVSDPQAGQSDDKQGGQ >Ma04_p19450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22048988:22054837:-1 gene:Ma04_g19450 transcript:Ma04_t19450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRETDTKGPKTSAAQEQPPATSARPSVSVYPEWSGFQAYSPMLPHGFFHSPMVSSPQAHPYMWGAQQFMPTYGTPPPPYVMYPHGVYPHPSVPPVHLGLHPFSPYATTFSNGTAEACGSVPASTGDAKSSEGKERIPIQRSKGSLGSLNMITGKKDNELDKTSGAANGVLAPSSDSGNEDSSERSDAYSLTDSEPKTGVGQRPPDETSQNGTSGIMTAQSHATLHQTMQIMPMLAAGVPGVVSGPTTNLNIGMDYWTAPTPSAISPLHGKVSATATTGSMVPGSLVEASERVPSEIWLQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEVLKEENSALRAEVDRIKKEYDGLIAQNGSLQERTGEQTKEEEDAIIKKCNQCAEDNTKRNLVSDPQAGQSDDKQGGQ >Ma04_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22048988:22054837:-1 gene:Ma04_g19450 transcript:Ma04_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRETDTKGPKTSAAQEQPPATSARPSVSVYPEWSGFQAYSPMLPHGFFHSPMVSSPQAHPYMWGAQQFMPTYGTPPPPYVMYPHGGLHPFSPYATTFSNGTAEACGSVPASTGDAKSSEGKERIPIQRSKGSLGSLNMITGKKDNELDKTSGAANGVLAPSSDSGNEDSSERSDAYSLTDSEPKTGVGQRPPDETSQNGTSGIMTAQSHATLHQTMQIMPMLAAGVPGVVSGPTTNLNIGMDYWTAPTPSAISPLHGKVSATATTGSMVPGSLVEASERVPSEIWLQDERELKRQRRKQSNRESARRSRLRKQAEYEELAQRVEVLKEENSALRAEVDRIKKEYDGLIAQNGSLQERTGEQTKEEEDAIIKKCNQCAEDNTKRNLVSDPQAGQSDDKQGGQ >Ma10_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:516104:520151:1 gene:Ma10_g00020 transcript:Ma10_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGDSGSKIGASDDVVQTEQAPDPQEQCEDSERKARVEEVWKKMNSVLPNKVLKPIVNKPDSTGSTKTGKTVPDWMVALGIVPAKTPATNDTLGKRPASSQNGTNEEAKRLAAAALSAVKDVASATTAAGSGKVEITEVRDFAGLEIEVKKLVDPDSKEAAEKAKVPGAPPTALDTILEQIKKKPKLSVLDKTKKDWSSFKDEHKGMEEELDSYKKSSNQYLDKVSFLQRTDHREFEHERDARLALQAKRRPDMREDDL >Ma05_p04800.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3664452:3690907:-1 gene:Ma05_g04800 transcript:Ma05_t04800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNANTHISGQMSSQASTQMSGLPQQISNSVTSQMQNLDPRTMDPELIQVRRTMRQKIYNILQRRNHSGSSDWLHKIQEIVMRLEQRMFRDGVTRDEYLNLVSEPDENRFFSVIKNIISNRQHTSHQIRSSSTLGTMIPTPGIPHSGSTHSIISHLVENPTASGSSMGCLAATNMRSLQPTANGQADVNASFNAADVCNGYQQQATNFSLGSGGSIMMPSISSASIPGQSSQMIPTPGFINPHSVSVNTESSNATAFSSCESTIPSQTQQPKKFVGNQNSHVAHSFRGQIDAGFRSNIQQKAASHGLSNGIMNGGLGSIGSNMQLNRLAVSEGLLKTVPCSGLSKPTQQANQPRMPMSSSEQVLPVGGGGYAMKAVDVSGSDIFCGPSASVLSAMNNLNAGNSHSNLRTNSSLQYAQIRSHTIDSSLASQLTNEHLPQSQPPVQQSQQQPNQPYSQFIQNQCPLQHQQQHQQNQLMLKHDSLRQTSVNSFDEQLMAGPALVTQSESQASQRIQLPELQSQCHEGQAKIAQFLNHLSGSQALHVPVSQGSHQVMHPNQLANEFANEVNYLFSGSQGEEHLRVQHQIQPLQKAQMTDKLSNEEQPLELCQKTIGQDEVQQSHVSLERCITGSFAIAPQFSRGLTCGPEQPINKQNYVRQIRWLLFLHHARWCPAPKGQCQEINCTIVQELVIHMRSCNSEPCGYARCSASKGLLRHFRNCRAVDCPVCVSVRNYMAASRKSAHALSNAGSGSQTEANTSKSKTDIVLVETSNKQSVPKRMKIEHLPLLVPKNETSPVSLISRDQPYDSHEAQLQNCKQTDMNMSAKCETVDAKDDQCVISGQENLPIVGTGFSGNVNTKIDMDYGASDCIDCHVKQENMKQENMMAKEEMDQVRIEIKHGKSDQPTDQATGSKSGKPKIKGVSLMELFTPEQIREHIVSLRQWVGQSKAKAEKNQAREHSMSENSCQLCAVEKLTFDPPPIYCSICGARIKRNATYYTLGSGETRHYFCVPCYNEARGETIEVEGSTFQKTKLEKKKNDEETEEWWVQCDKCEVWQHQICALFNGRRNEGGQAEYTCPNCCVKEIDRGEWKPLPQSAVLGAKDMPRTILSDHIEQRLFKRLKLERMERARQLGKNLEEVPGAEGLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFPYKSKAVLLFQKIEGVEVCLFGMPDTRSVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMIRKAAKENIVVEVTNFYDHFFVNTGECKAKITAARLPYFDGDYWPGAAEDMINQLRLEEDDRKQQKKGKTKKTITKRALKAAGHADLSGNASKDALLMHKLGETICPMKEDFIMVHLQYACTHCCILMVSGTRWVCNQCKNFQICDKCHEAEQRLEERERHPVTGREKHVLCAIEINDVVLDTKDEDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICQHDIETGQGWRCESCPDFDVCNACYQKEGGIDHPHKLTNHPSLADRDAQNKEARQKRVLQLRKMLDLLVHASQCRYPHCQYPNCRKVKGLFRHGIQCRIRASGGCVLCKKMWYLLQIHARACKESECNVPRCRDLREHLRRLQQQSESRRRAAVMEMMRQRAAEVAGSSG >Ma05_p04800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3664452:3690907:-1 gene:Ma05_g04800 transcript:Ma05_t04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNANTHISGQMSSQASTQMSGLPQQISNSVTSQMQNLDPRTMDPELIQVRRTMRQKIYNILQRRNHSGSSDWLHKIQEIVMRLEQRMFRDGVTRDEYLNLVSEPDENRFFSVIKNIISNRQHTSHQIRSSSTLGTMIPTPGIPHSGSTHSIISHLVENPTASGSSMGCLAATNMRSLQPTANGQADVNASFNAADVCNGYQQQATNFSLGSGGSIMMPSISSASIPGQSSQMIPTPGFINPHSVSVNTESSNATAFSSCESTIPSQTQQPKKFVGNQNSHVAHSFRGQIDAGFRSNIQQKAASHGLSNGIMNGGLGSIGSNMQLNRLAVSEGLLKTVPCSGLSKPTQQANQPRMPMSSSEQVLPVGGGGYAMKAVDVSGSDIFCGPSASVLSAMNNLNAGNSHSNLRTNSSLQYAQIRSHTIDSSLASQLTNEHLPQSQPPVQQSQQQPNQPYSQFIQNQCPLQHQQQHQQNQLMLKHDSLRQTSVNSFDEQLMAGPALVTQSESQASQRIQLPELQSQCHEGQAKIAQFLNHLSGSQALHVPVSQGSHQVMHPNQLANEFANEVNYLFSGSQGEEHLRVQHQIQPLQKAQMTDKLSNEEQPLELCQKTIGQDEVQQSHVSLERCITGSFAIAPQFSRGLTCGPEQPINKQNYVRQIRWLLFLHHARWCPAPKGQCQEINCTIVQELVIHMRSCNSEPCGYARCSASKGLLRHFRNCRAVDCPVCVSVRNYMAASRKSAHALSNAGSGSQTEANTSKSKTDIVLVETSNKQSVPKRMKIEHLPLLVPKNETSPVSLISRDQPYDSHEAQLQNCKQTDMNMSAKCETVDAKDDQCVISGQENLPIVGTGFSGNVNTKIDMDYGASDCIDCHVKQENMKQENMMAKEEMDQVRIEIKHGKSDQPTDQATGSKSGKPKIKGVSLMELFTPEQIREHIVSLRQWVGQSKAKAEKNQAREHSMSENSCQLCAVEKLTFDPPPIYCSICGARIKRNATYYTLGSGETRHYFCVPCYNEARGETIEVEGSTFQKTKLEKKKNDEETEEWWVQCDKCEVWQHQICALFNGRRNEGGQAEYTCPNCCVKEIDRGEWKPLPQSAVLGAKDMPRTILSDHIEQRLFKRLKLERMERARQLGKNLEEVPGAEGLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPDTRSVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMIRKAAKENIVVEVTNFYDHFFVNTGECKAKITAARLPYFDGDYWPGAAEDMINQLRLEEDDRKQQKKGKTKKTITKRALKAAGHADLSGNASKDALLMHKLGETICPMKEDFIMVHLQYACTHCCILMVSGTRWVCNQCKNFQICDKCHEAEQRLEERERHPVTGREKHVLCAIEINDVVLDTKDEDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICQHDIETGQGWRCESCPDFDVCNACYQKEGGIDHPHKLTNHPSLADRDAQNKEARQKRVLQLRKMLDLLVHASQCRYPHCQYPNCRKVKGLFRHGIQCRIRASGGCVLCKKMWYLLQIHARACKESECNVPRCRDLREHLRRLQQQSESRRRAAVMEMMRQRAAEVAGSSG >Ma05_p04800.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3664452:3690907:-1 gene:Ma05_g04800 transcript:Ma05_t04800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNANTHISGQMSSQASTQMSGLPQQISNSVTSQMQNLDPRTMDPELIQVRRTMRQKIYNILQRRNHSGSSDWLHKIQEIVMRLEQRMFRDGVTRDEYLNLVSEPDENRFFSVIKNIISNRQHTSHQIRSSSTLGTMIPTPGIPHSGSTHSIISHLVENPTASGSSMGCLAATNMRSLQPTANGQADVNASFNAADVCNGYQQQATNFSLGSGGSIMMPSISSASIPGQSSQMIPTPGFINPHSVSVNTESSNATAFSSCESTIPSQTQQPKKFVGNQNSHVAHSFRGQIDAGFRSNIQQKAASHGLSNGIMNGGLGSIGSNMQLNRLAVSEGLLKTVPCSGLSKPTQQANQPRMPMSSSEQVLPVGGGGYAMKAVDVSGSDIFCGPSASVLSAMNNLNAGNSHSNLRTNSSLQYAQIRSHTIDSSLASQLTNEHLPQSQPPVQQSQQQPNQPYSQFIQNQCPLQHQQQHQQNQLMLKHDSLRQTSVNSFDEQLMAGPALVTQSESQASQRIQLPELQSQCHEGQAKIAQFLNHLSGSQALHVPVSQGSHQVMHPNQLANEFANEVNYLFSGSQGEEHLRVQHQIQPLQKAQMTDKLSNEEQPLELCQKTIGQDEVQQSHVSLERCITGSFAIAPQFSRGLTCGPEQPINKQNYVRQIRWLLFLHHARWCPAPKGQCQEINCTIVQELVIHMRSCNSEPCGYARCSASKGLLRHFRNCRAVDCPVCVSVRNYMAASRKSAHALSNAGSGSQTEANTSKSKTDIVLVETSNKQSVPKRMKIEHLPLLVPKNETSPVSLISRDQPYDSHEAQLQNCKQTDMNMSAKCETVDAKDDQCVISGQENLPIVGTGFSGNVNTKIDMDYGASDCIDCHVKQENMKQENMMAKEEMDQVRIEIKHGKSDQPTDQATGSKSGKPKIKGVSLMELFTPEQIREHIVSLRQWVGQSKAKAEKNQAREHSMSENSCQLCAVEKLTFDPPPIYCSICGARIKRNATYYTLGSGETRHYFCVPCYNEARGETIEVEGSTFQKTKLEKKKNDEETEEWWVQCDKCEVWQHQICALFNGRRNEGGQAEYTCPNCCVKEIDRGEWKPLPQSAVLGAKDMPRTILSDHIEQRLFKRLKLERMERARQLGKNLEEVPGAEGLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPDTRSVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMIRKAAKENIVVEVTNFYDHFFVNTGECKAKITAARLPYFDGDYWPGAAEDMINQLRLEEDDRKQQKKGKTKKTITKRALKAAGHADLSGNASKDALLMHKLGETICPMKEDFIMVHLQYACTHCCILMVSGTRWVCNQCKNFQICDKCHEAEQRLEERERHPVTGREKHVLCAIEINDVVLDTKDEDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICQHDIETGQGWRCESCPDFDVCNACYQKEGGIDHPHKLTNHPSLADRDAQNKEARQKRVLQLRKMLDLLVHASQCRYPHCQYPNCRKVKGLFRHGIQCRIRASGGCVLCKKMWYLLQIHARACKESECNVPRCRDLREHLRRLQQQSESRRRAAVMEMMRQRAAEVAGSSG >Ma05_p04800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3664452:3690907:-1 gene:Ma05_g04800 transcript:Ma05_t04800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNANTHISGQMSSQASTQMSGLPQQISNSVTSQMQNLDPRTMDPELIQVRRTMRQKIYNILQRRNHSGSSDWLHKIQEIVMRLEQRMFRDGVTRDEYLNLVSEPDENRFFSVIKNIISNRQHTSHQIRSSSTLGTMIPTPGIPHSGSTHSIISHLVENPTASGSSMGCLAATNMRSLQPTANGQADVNASFNAADVCNGYQQQATNFSLGSGGSIMMPSISSASIPGQSSQMIPTPGFINPHSVSVNTESSNATAFSSCESTIPSQTQQPKKFVGNQNSHVAHSFRGQIDAGFRSNIQQKAASHGLSNGIMNGGLGSIGSNMQLNRLAVSEGLLKTVPCSGLSKPTQQANQPRMPMSSSEQVLPVGGGGYAMKAVDVSGSDIFCGPSASVLSAMNNLNAGNSHSNLRTNSSLQYAQIRSHTIDSSLASQLTNEHLPQSQPPVQQSQQQPNQPYSQFIQNQCPLQHQQQHQQNQLMLKHDSLRQTSVNSFDEQLMAGPALVTQSESQASQRIQLPELQSQCHEGQAKIAQFLNHLSGSQALHVPVSQGSHQVMHPNQLANEFANEVNYLFSGSQGEEHLRVQHQIQPLQKAQMTDKLSNEEQPLELCQKTIGQDEVQQSHVSLERCITGSFAIAPQFSRGLTCGPEQPINKQNYVRQIRWLLFLHHARWCPAPKGQCQEINCTIVQELVIHMRSCNSEPCGYARCSASKGLLRHFRNCRAVDCPVCVSVRNYMAASRKSAHALSNAGSGSQTEANTSKSKTDIVLVETSNKQSVPKRMKIEHLPLLVPKNETSPVSLISRDQPYDSHEAQLQNCKQTDMNMSAKCETVDAKDDQCVISGQENLPIVGTGFSGNVNTKIDMDYGASDCIDCHVKQENMKQENMMAKEEMDQVRIEIKHGKSDQPTDQATGSKSGKPKIKGVSLMELFTPEQIREHIVSLRQWVGQSKAKAEKNQAREHSMSENSCQLCAVEKLTFDPPPIYCSICGARIKRNATYYTLGSGETRHYFCVPCYNEARGETIEVEGSTFQKTKLEKKKNDEETEEWWVQCDKCEVWQHQICALFNGRRNEGGQAEYTCPNCCVKEIDRGEWKPLPQSAVLGAKDMPRTILSDHIEQRLFKRLKLERMERARQLGKNLEEVPGAEGLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPDTRSVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMIRKAAKENIVVEVTNFYDHFFVNTGECKAKITAARLPYFDGDYWPGAAEDMINQLRLEEDDRKQQKKGKTKKTITKRALKAAGHADLSGNASKDALLMHKLGETICPMKEDFIMVHLQYACTHCCILMVSGTRWVCNQCKNFQICDKCHEAEQRLEERERHPVTGREKHVLCAIEINDVVLDTKDEDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICQHDIETGQGWRCESCPDFDVCNACYQKEGGIDHPHKLTNHPSLADRDAQNKEARQKRVLQLRKMLDLLVHASQCRYPHCQYPNCRKVKGLFRHGIQCRIRASGGCVLCKKMWYLLQIHARACKESECNVPRCRDLREHLRRLQQQSESRRRAAVMEMMRQRAAEVAGSSG >Ma05_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3664452:3690907:-1 gene:Ma05_g04800 transcript:Ma05_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANTHISGQMSSQASTQMSGLPQQISNSVTSQMQNLDPRTMDPELIQVRRTMRQKIYNILQRRNHSGSSDWLHKIQEIVMRLEQRMFRDGVTRDEYLNLVSEPDENRFFSVIKNIISNRQHTSHQIRSSSTLGTMIPTPGIPHSGSTHSIISHLVENPTASGSSMGCLAATNMRSLQPTANGQADVNASFNAADGGSIMMPSISSASIPGQSSQMIPTPGFINPHSVSVNTESSNATAFSSCESTIPSQTQQPKKFVGNQNSHVAHSFRGQIDAGFRSNIQQKAASHGLSNGIMNGGLGSIGSNMQLNRLAVSEGLLKTVPCSGLSKPTQQANQPRMPMSSSEQVLPVGGGGYAMKAVDVSGSDIFCGPSASVLSAMNNLNAGNSHSNLRTNSSLQYAQIRSHTIDSSLASQLTNEHLPQSQPPVQQSQQQPNQPYSQFIQNQCPLQHQQQHQQNQLMLKHDSLRQTSVNSFDEQLMAGPALVTQSESQASQRIQLPELQSQCHEGQAKIAQFLNHLSGSQALHVPVSQGSHQVMHPNQLANEFANEVNYLFSGSQGEEHLRVQHQIQPLQKAQMTDKLSNEEQPLELCQKTIGQDEVQQSHVSLERCITGSFAIAPQFSRGLTCGPEQPINKQNYVRQIRWLLFLHHARWCPAPKGQCQEINCTIVQELVIHMRSCNSEPCGYARCSASKGLLRHFRNCRAVDCPVCVSVRNYMAASRKSAHALSNAGSGSQTEANTSKSKTDIVLVETSNKQSVPKRMKIEHLPLLVPKNETSPVSLISRDQPYDSHEAQLQNCKQTDMNMSAKCETVDAKDDQCVISGQENLPIVGTGFSGNVNTKIDMDYGASDCIDCHVKQENMKQENMMAKEEMDQVRIEIKHGKSDQPTDQATGSKSGKPKIKGVSLMELFTPEQIREHIVSLRQWVGQSKAKAEKNQAREHSMSENSCQLCAVEKLTFDPPPIYCSICGARIKRNATYYTLGSGETRHYFCVPCYNEARGETIEVEGSTFQKTKLEKKKNDEETEEWWVQCDKCEVWQHQICALFNGRRNEGGQAEYTCPNCCVKEIDRGEWKPLPQSAVLGAKDMPRTILSDHIEQRLFKRLKLERMERARQLGKNLEEVPGAEGLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPDTRSVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMIRKAAKENIVVEVTNFYDHFFVNTGECKAKITAARLPYFDGDYWPGAAEDMINQLRLEEDDRKQQKKGKTKKTITKRALKAAGHADLSGNASKDALLMHKLGETICPMKEDFIMVHLQYACTHCCILMVSGTRWVCNQCKNFQICDKCHEAEQRLEERERHPVTGREKHVLCAIEINDVVLDTKDEDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICQHDIETGQGWRCESCPDFDVCNACYQKEGGIDHPHKLTNHPSLADRDAQNKEARQKRVLQLRKMLDLLVHASQCRYPHCQYPNCRKVKGLFRHGIQCRIRASGGCVLCKKMWYLLQIHARACKESECNVPRCRDLREHLRRLQQQSESRRRAAVMEMMRQRAAEVAGSSG >Ma05_p04800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3664452:3690907:-1 gene:Ma05_g04800 transcript:Ma05_t04800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNANTHISGQMSSQASTQMSGLPQQISNSVTSQMQNLDPRTMDPELIQVRRTMRQKIYNILQRRNHSGSSDWLHKIQEIVMRLEQRMFRDGVTRDEYLNLVSEPDENRFFSVIKNIISNRQHTSHQIRSSSTLGTMIPTPGIPHSGSTHSIISHLVENPTASGSSMGCLAATNMRSLQPTANGQADVNASFNAADVCNGYQQQATNFSLGSGGSIMMPSISSASIPGQSSQMIPTPGFINPHSVSVNTESSNATAFSSCESTIPSQTQQPKKFVGNQNSHVAHSFRGQIDAGFRSNIQQKAASHGLSNGIMNGGLGSIGSNMQLNRLAVSEGLLKTVPCSGLSKPTQQANQPRMPMSSSEQVLPVGGGGYAMKAVDVSGSDIFCGPSASVLSAMNNLNAGNSHSNLRTNSSLQYAQIRSHTIDSSLASQLTNEHLPQSQPPVQQSQQQPNQPYSQFIQNQCPLQHQQQHQQNQLMLKHDSLRQTSVNSFDEQLMAGPALVTQSESQASQRIQLPELQSQCHEGQAKIAQFLNHLSGSQALHVPVSQGSHQVMHPNQLANEFANEVNYLFSGSQGEEHLRVQHQIQPLQKAQMTDKLSNEEQPLELCQKTIGQDEVQQSHVSLERCITGSFAIAPQFSRGLTCGPEQPINKQNYVRQIRWLLFLHHARWCPAPKGQCQEINCTIVQELVIHMRSCNSEPCGYARCSASKGLLRHFRNCRAVDCPVCVSVRNYMAASRKSAHALSNAGSGSQTEANTSKSKTDIVLVETSNKQSVPKRMKIEHLPLLVPKNETSPVSLISRDQPYDSHEAQLQNCKQTDMNMSAKCETVDAKDDQCVISGQENLPIVGTGFSGNVNTKIDMDYGASDCIDCHVKQENMKQENMMAKEEMDQVRIEIKHGKSDQPTDQATGSKSGKPKIKGVSLMELFTPEQIREHIVSLRQWVGQSKAKAEKNQAREHSMSENSCQLCAVEKLTFDPPPIYCSICGARIKRNATYYTLGSGETRHYFCVPCYNEARGETIEVEGSTFQKTKLEKKKNDEETEEWWVQCDKCEVWQHQICALFNGRRNEGGQAEYTCPNCCVKEIDRGEWKPLPQSAVLGAKDMPRTILSDHIEQRLFKRLKLERMERARQLGKNLEEVPGAEGLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFPYKSKKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPDTRSVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMIRKAAKENIVVEVTNFYDHFFVNTGECKAKITAARLPYFDGDYWPGAAEDMINQLRLEEDDRKQQKKGKTKKTITKRALKAAGHADLSGNASKDALLMHKLGETICPMKEDFIMVHLQYACTHCCILMVSGTRWVCNQCKNFQICDKCHEAEQRLEERERHPVTGREKHVLCAIEINDVVLDTKDEDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICQHDIETGQGWRCESCPDFDVCNACYQKEGGIDHPHKLTNHPSLADRDAQNKEARQKRVLQLRKMLDLLVHASQCRYPHCQYPNCRKVKGLFRHGIQCRIRASGGCVLCKKMWYLLQIHARACKESECNVPRCRDLREHLRRLQQQSESRRRAAVMEMMRQRAAEVAGSSG >Ma05_p04800.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3664452:3690907:-1 gene:Ma05_g04800 transcript:Ma05_t04800.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNANTHISGQMSSQASTQMSGLPQQISNSVTSQMQNLDPRTMDPELIQVRRTMRQKIYNILQRRNHSGSSDWLHKIQEIVMRLEQRMFRDGVTRDEYLNLVSEPDENRFFSVIKNIISNRQHTSHQIRSSSTLGTMIPTPGIPHSGSTHSIISHLVENPTASGSSMGCLAATNMRSLQPTANGQADVNASFNAADVCNGYQQQATNFSLGSGGSIMMPSISSASIPGQSSQMIPTPGFINPHSVSVNTESSNATAFSSCESTIPSQTQQPKKFVGNQNSHVAHSFRGQIDAGFRSNIQQKAASHGLSNGIMNGGLGSIGSNMQLNRLAVSEGLLKTVPCSGLSKPTQQANQPRMPMSSSEQVLPVGGGGYAMKAVDVSGSDIFCGPSASVLSAMNNLNAGNSHSNLRTNSSLQYAQIRSHTIDSSLASQLTNEHLPQSQPPVQQSQQQPNQPYSQFIQNQCPLQHQQQHQQNQLMLKHDSLRQTSVNSFDEQLMAGPALVTQSESQASQRIQLPELQSQCHEGQAKIAQFLNHLSGSQALHVPVSQGSHQVMHPNQLANEFANEVNYLFSGSQGEEHLRVQHQIQPLQKAQMTDKLSNEEQPLELCQKTIGQDEVQQSHVSLERCITGSFAIAPQFSRGLTCGPEQPINKQNYVRQIRWLLFLHHARWCPAPKGQCQEINCTIVQELVIHMRSCNSEPCGYARCSASKGLLRHFRNCRAVDCPVCVSVRNYMAASRKSAHALSNAGSGSQTEANTSKSKTDIVLVETSNKQSVPKRMKIEHLPLLVPKNETSPVSLISRDQPYDSHEAQLQNCKQTDMNMSAKCETVDAKDDQCVISGQENLPIVGTGFSGNVNTKIDMDYGASDCIDCHVKQENMKQENMMAKEEMDQVRIEIKHGKSDQPTDQATGSKSGKPKIKGVSLMELFTPEQIREHIVSLRQWVGQSKAKAEKNQAREHSMSENSCQLCAVEKLTFDPPPIYCSICGARIKRNATYYTLGSGETRHYFCVPCYNEARGETIEVEGSTFQKTKLEKKKNDEETEEWWVQCDKCEVWQHQICALFNGRRNEGGQAEYTCPNCCVKEIDRGEWKPLPQSAVLGAKDMPRTILSDHIEQRLFKRLKLERMERARQLGKNLEEVPGAEGLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPDTRSVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMIRKAAKENIVVEVTNFYDHFFVNTGECKAKITAARLPYFDGDYWPGAAEDMINQLRLEEDDRKQQKKGKTKKTITKRALKAAGHADLSGNASKDALLMHKLGETICPMKEDFIMVHLQYACTHCCILMVSGTRWVCNQCKNFQICDKCHEAEQRLEERERHPVTGREKHVLCAIEINDVVLDTKDEDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICQHDIETGQGWRCESCPDFDVCNACYQKEGGIDHPHKLTNHPSLADRDAQNKEARQKRVLQLRKMLDLLVHASQCRYPHCQYPNCRKVKGLFRHGIQCRIRASGGCVLCKKMWYLLQIHARACKESECNVPRCRDLREHLRRLQQQSESRRRAAVMEMMRQRAAEVAGSSG >Ma01_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3771899:3775804:1 gene:Ma01_g05360 transcript:Ma01_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAAAQPLPDDDDDVFD >Ma06_p15630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10586465:10593193:-1 gene:Ma06_g15630 transcript:Ma06_t15630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLRLFRLGLNVSPGNAFKSLDQYHTDTILRIGSPCPSGPNNLSLKGIKRKWCDVDGTGTENASLVLGLGRPSSSSDSSKKSSATACTMSSAKETDDGSSMDLGLNFDLNLGNENTESAKKSAVSVPKMMNAEPNFDLQLSLSVGPSESVITGVVPDSVHHYFRLDKPVTVSQVPAVDEGSVDKGSTSSRQKHGNKLLPHLTITDTVGSSFSNSMIYARSPGQPDSSSSTMMHMLKSSVATSGITQAQQCNSNTKNCQFPGCAKGARGASGLCIAHGGGRRCQKEGCHKGAEGRTIFCKAHGGGRRCQYLGCTKSAEGRTDLCIAHGGGRRCSHEDCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGRSGLCISHGGGRRCRHPKCTKGAQGSTNFCKAHGGGKRCTYMGCTKGAEGSTTFCKAHGGGKRCAFQGGCTKSVHGGTLFCVAHGGGKRCAAPECTKSARGKTDFCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCFWGQPGSNLGNGGPPCDRFARGKKGLCAAHTALVEDHCVHGGHQLMAATSENGTLSKHEKMKEIIDLEGLLEMKNGKSTLFSFGGCDQKEHMHTTNPLNSRMSSVPEGRVHGGSLIAMLATGASLGGDSTSQVDGGNSKQGNRV >Ma06_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10586465:10593180:-1 gene:Ma06_g15630 transcript:Ma06_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLRLFRLGLNVSPGNAFKSLDQYHTDTILRIGSPCPSGPNNLSLKGIKRKWCDVDGTGTENASLVLGLGRPSSSSDSSKKSSATACTMSSAKETDDGSSMDLGLNFDLNLGNENTESAKKSAVSVPKMMNAEPNFDLQLSLSVGPSESVITGVVPDSVHHYFRLDKPVTVSQVPAVDEGSVDKGSTSSRQKHGNKLLPHLTITDTVGSSFSNSMIYARSPGQPDSSSSTMMHMLKSSVATSGITQAQQCNSNTKNCQFPGCAKGARGASGLCIAHGGGRRCQKEGCHKGAEGRTIFCKAHGGGRRCQYLGCTKSAEGRTDLCIAHGGGRRCSHEDCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGRSGLCISHGGGRRCRHPKCTKGAQGSTNFCKAHGGGKRCTYMGCTKGAEGSTTFCKAHGGGKRCAFQGGCTKSVHGGTLFCVAHGGGKRCAAPECTKSARGKTDFCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCFWGQPGSNLGNGGPPCDRFARGKKGLCAAHTALVEDHCVHGGHQLMAATSENGTLSKHEKMKEIIDLEGLLEMKNGKSTLFSFGGCDQKEHMHTTNPLNSRMSSVPEGRVHGGSLIAMLATGASLGGDSTSQVDGGNSKQGNRV >Ma06_p15630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10586465:10593180:-1 gene:Ma06_g15630 transcript:Ma06_t15630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLRLFRLGLNVSPGNAFKSLDQYHTDTILRIGSPCPSGPNNLSLKGIKRKWCDVDGTGTENASLVLGLGRPSSSSDSSKKSSATACTMSSAKETDDGSSMDLGLNFDLNLGNENTESAKKSAVSVPKMMNAEPNFDLQLSLSVGPSESVITGVVPDSVHHYFRLDKPVTVSQVPAVDEGSVDKGSTSSRQKHGNKLLPHLTITDTVGSSFSNSMIYARSPGQPDSSSSTMMHMLKSSVATSGITQAQQCNSNTKNCQFPGCAKGARGASGLCIAHGGGRRCQKEGCHKGAEGRTIFCKAHGGGRRCQYLGCTKSAEGRTDLCIAHGGGRRCSHEDCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGRSGLCISHGGGRRCRHPKCTKGAQGSTNFCKAHGGGKRCTYMGCTKGAEGSTTFCKAHGGGKRCAFQGGCTKSVHGGTLFCVAHGGGKRCAAPECTKSARGKTDFCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCFWGQPGSNLGNGGPPCDRFARGKKGLCAAHTALVEDHCVHGGHQLMAATSENGTLSKHEKMKEIIDLEGLLEMKNGKSTLFSFGGCDQKEHMHTTNPLNSRMSSVPEGRVHGGSLIAMLATGASLGGDSTSQVDGGNSKQGNRV >Ma06_p15630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10586465:10593180:-1 gene:Ma06_g15630 transcript:Ma06_t15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLRLFRLGLNVSPGNAFKSLDQYHTDTILRIGSPCPSGPNNLSLKGIKRKWCDVDGTGTENASLVLGLGRPSSSSDSSKKSSATACTMSSAKETDDGSSMDLGLNFDLNLGNENTESAKKSAVSVPKMMNAEPNFDLQLSLSVGPSESVITGVVPDSVHHYFRLDKPVTVSQVPAVDEGSVDKGSTSSRQKHGNKLLPHLTITDTVGSSFSNSMIYARSPGQPDSSSSTMMHMLKSSVATSGITQAQQCNSNTKNCQFPGCAKGARGASGLCIAHGGGRRCQKEGCHKGAEGRTIFCKAHGGGRRCQYLGCTKSAEGRTDLCIAHGGGRRCSHEDCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGRSGLCISHGGGRRCRHPKCTKGAQGSTNFCKAHGGGKRCTYMGCTKGAEGSTTFCKAHGGGKRCAFQGGCTKSVHGGTLFCVAHGGGKRCAAPECTKSARGKTDFCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCFWGQPGSNLGNGGPPCDRFARGKKGLCAAHTALVEDHCVHGGHQLMAATSENGTLSKHEKMKEIIDLEGLLEMKNGKSTLFSFGGCDQKEHMHTTNPLNSRMSSVPEGRVHGGSLIAMLATGASLGGDSTSQVDGGNSKQGNRV >Ma04_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14483608:14486887:-1 gene:Ma04_g15870 transcript:Ma04_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLTITSPSLHEARDDTSKRKMKLKHHKLGDKYRIVFSSCKFHSLKLALFVITCCTVLTLLYSPTERNEHRQQSDSRSRFVDVGSIWQNTSSDPRYVSDTGVDWQQVSSALPSVDGTEHSLKIGLLNFNFTEVGVWRRAVPNAEFSGVHLEYADASITWNVLYPEWIDEEEDNEVPACPSLPEPRVKNGLELDVVAVKLPCRRSGSWSRDVARLHLQLSAAKLAAAGRSPVHVLFVTECFPIPNLFIFRDLVRREGTAWLYKPSVATLREKLQLPIGSCELAVPLKARVRPQTGSGTREAYATILHSAEVYVCGAIAVARSIRLAGSTRDLVILVDESISDHHLGGLEAAGWKVRTIQRIRNPKAEKNAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDFLFKMPEISATGNNATIFNSGVMVVEPSNCTFQLLMDHIDEITSYNGGDQGYLNEIFTWWHRIPRQMNFLKHFWEGDSQSVKARKTRLFAAETPGLYVLHYLGLKPWLCFRDFDCNWNSVLYRSFASDEAHATWWRVHDSMPEGLQRFCLLSTVTKAGLEYNRHKAEKANFPDQHWRRNVTDPRRHLCFEKFCRWEDVLQHWNGSHTSSTARST >Ma04_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11658870:11659840:1 gene:Ma04_g15380 transcript:Ma04_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGTPGYIHPECHQTYQLTDKSDVYSFGIVLLELITGRPPIVPGLGNIHIMQWITPSLSSGNIDEIVDENLHGKYDPTSAWKILELALTCTADKGSQRPTMFEVVMQLKSCLEQEIDSYKSENIYSKGFNVSQETASDIGPFGPSAR >Ma09_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13219059:13225623:-1 gene:Ma09_g17680 transcript:Ma09_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAPPKQDELVPHPVKDQLPNVSFCINSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVIQTLLFVAGINTLLQTYFGTCLPAVMGGSYTFVVPTISIILAGRYSNIVDPHEKFLHIMRGTQGALIVASTLPIIVGFSGLWRNITRFLSPLSAVPLVALAGFGLYELGFPGVAKCIEIGLPVIILLVIFSQYIPHAVHSERPVFDRFAVIFSIAIVWVYAYFLTIGGAYRHSPQKTQLHCRTDRSGLVGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASLVALVESTGTFIAVARYASATPVPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGAAGVSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDIINVIFSSKPFVAGFVAFFLDNTLHRNDSTVRKDRGYHWWQKFRSFKGDSRSDEFYRLPFNLNKFFPSV >Ma05_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8774549:8780987:1 gene:Ma05_g12080 transcript:Ma05_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAACAGSVEKAIKRLPGIHDAAVDVLNDRAQVVFYPAFVSEDTIRETIEDVGFEATSVKELMKENSTLLCRLQIKGMTCTSCTNTVESALQAVNGVHKALVALATEEAEICYDPRFASANQLIEAVEDSGFEATLITTGEDRNRIQLKIDGTFYSRYISMVKSSLQALPGVDDINIDPVLRKVTVSYKPDQTGPRNFIEVIESTGSGHLKASIYPEAGGKELHKGDEIKQYYHSFLWSLVFTIPVFLTSMVFMYIPGIKHLLEKKVVNMFNIGELLRWILCTPVQFVIGRRFYIGSYKALQHGSANMDVLIALGTNAAYFYSLYSVLRAATSPNFMGTDFFETSSMLISFILLGKYLEILAKGKTSEAIATLMNLTPETAILLSYGNEGNVVSEREIDSRLIQKNDIIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDAVIGGTVNENGVLHVRATHVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVILLAFFTWLIWFLAGKFNSYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCVVFDKTGTLTTGKPVVVSTRLLKNMVLRDFYEYVAAAEVNSEHPLAKAIVQYAKNFSTDEENHIWPEARDFTAIAGHGVKASVANKEIVVGNKRLMVELGIRIPVEASEILAETEGMAQTGIIVSINQELTGIIAISDPLKPGAPDVISLLKSMKVKSIMVTGDNWGTANAIAQEVGIDTVIAEAKPDQKAEKVKELQMSGLVVAMVGDGINDSPALVSADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFNRIRMNYIWALGYNIIGIPIAAGVLFPFTRFRLPPWIAGAAMAASSVSVVCCSLLLKNYKRPKKLDALRMSEVVVN >Ma01_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11209987:11213893:1 gene:Ma01_g15500 transcript:Ma01_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEVEPQVVLNSGRRMPVLGMGTATYPVPPDETTTTAVIDAIGLGYRHLDTASVYCSERAVGRAIATALERGLIGSRDELFVTTKLWCTDMHADRVVPALQESLRTLGLEYIDLYLIHYPVRLKGEKRMVFTGEDMIPLDMPTVWEAMEKCQSLGLAKSIGVSNFTCKKLADLLNHARIPPAVNQVEVNPIWQQRKLRDFCSEKGIHVSAYSPLGAVGVLWGLSPPGLALGPSAVMLEAFLSLTKQVQTMASMIHTLAPIVPQIVQLVMPPTNLARQPPNREQLGIKEASRRAIDPRGPPKQITRAPYRATLPHLEPDTISSDSTVDSFKVQLSRTYDRGSDPMEHISVFRAQMTLYGASDALMCRAFPTTLRGPVRMWFNRLCQASVLSFDQLAEEFEQNFLASAL >Ma02_p08930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19135664:19147034:1 gene:Ma02_g08930 transcript:Ma02_t08930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MAVDDYHVIELVGEGSFGKVYKGRRKYTRQTVALKFILKHGKSEKDIHNLRQEIEILRKLKHENIIEMLDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSANFKSFLKGLLNKVPQNRLTWPALLEHPFVKERSDDVEARVSFLTSNLGADAEKTVAAADAVTGSEIVGLAERIIKPSDLVAANLGVKDNSITESNKEGSNNTKFSAPSTIPDSQEHASSMDVLDHAPSSDNHVLDALEKGSRTVKGAKNISQDSEALSAVLQPLQTCSQRSASSFGNPKMDTVSQSFRILTNLIAAGTLQSSPALDSITCVLLEFTAAIAKMKISDAQGLIIKSLSILKKLLELSRGEIYNSYCRHWSALVELYSQILASTTDASGRIHYECTACIAVMLSQIAMGLKQSMSSESSKPMEKSFFQIVDYASASEILGLLLECLTTSGTSLISGSSNMVPAACESCKAIWYLINLAEIISAKEQAYAFPLVYSRRHPSLQPDMVEKEQSLRLHPEPVKIIEIVVNNFLESKEIQVAIYYCFHNGLESALYAALQLMSRICLLNTSACNVLCGLPNSSITVTETDVSGDGTIVSDMFSLLSLCASYLNKDSGEKCNQKCKLSNPRGLVVHCCIALATIADRLRVMGKCSALYILSNSQKKQRTRLSVLAHLSLTDDAVTSSIQPHCASAMLALSSVLSLESGVHGNSISEHTLALLPSMATLRNLLKLCLSDDNEMKSCDVLLRRLGLNDACLGLLKMRLLWGGPLGIQQACSNGIPQLLVNMLADGLIKDPLNEKDCTSGRVGLSPTGLIWALTSLCCCLTGGIFHEILFRREHVKLIIDMISDVHLMALNVWEGLAGGHSGIRDLINTVVDLLAFPFVAVQSSPNMPSTSASINSGFLLNTGSPGGRLAMENKDMVKAIGNNMPHYVQVLIEVSFPGRILCSLDYVDLKYVSRPIAIVAKMVGYRPLALQLVREGLLSPNRVRRLLSVSIPKEAMLDFLMIISDLARMSKDFYEPISKAGMLEFLKDFISSDCADVRAKACSAIGNMCRHSPYFYSSLATHRVIDLLIDRCADPDKRTRKFACFAVGNAAYHNDVLYEELRRCIPQLTKLLLSAEEDKTKVNAAGALSNLVRNSNALCEDIISHGAMQTLPSII >Ma02_p08930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19135664:19147033:1 gene:Ma02_g08930 transcript:Ma02_t08930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MAVDDYHVIELVGEGSFGKVYKGRRKYTRQTVALKFILKHGKSEKDIHNLRQEIEILRKLKHENIIEMLDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSANFKSFLKGLLNKVPQNRLTWPALLEHPFVKERSDDVEARVSFLTSNLGADAEKTVAAADAVTGSEIVGLAERIIKPSDLVAANLGVKDNSITESNKEGSNNTKFSAPSTIPDSQEHASSMDVLDHAPSSDNHVLDALEKGSRTVKGAKNISQDSEALSAVLQPLQTCSQRSASSFGNPKMDTVSQSFRILTNLIAAGTLQSSPALDSITCVLLEFTAAIAKMKISDAQGLIIKSLSILKKLLELSRGEIYNSYCRHWSALVELYSQILASTTDASGRIHYECTACIAVMLSQIAMGLKQSMSSESSKPMEKSFFQIVDYASASEILGLLLECLTTSGTSLISGSSNMVPAACESCKAIWYLINLAEIISAKEQAYAFPLVYSRRHPSLQPDMVEKEQSLRLHPEPVKIIEIVVNNFLESKEIQVAIYYCFHNGLESALYAALQLMSRICLLNTSACNVLCGLPNSSITVTETDVSGDGTIVSDMFSLLSLCASYLNKDSGEKCNQKCKLSNPRGLVVHCCIALATIADRLRVMGKCSALYILSNSQKKQRTRLSVLAHLSLTDDAVTSSIQPHCASAMLALSSVLSLESGVHGNSISEHTLALLPSMATLRNLLKLCLSDDNEMKSCDVLLRRLGLNDACLGLLKMRLLWGGPLGIQQACSNGIPQLLVNMLADGLIKDPLNEKDCTSGRVGLSPTGLIWALTSLCCCLTGGIFHEILFRREHVKLIIDMISDVHLMALNVWEGLAGGHSGIRDLINTVVDLLAFPFVAVQSSPNMPSTSASINSGFLLNTGSPGGRLAMENKDMVKAIGNNMPHYVQVLIEVSFPGRILCSLDYVDLKYVSRPIAIVAKMVGYRPLALQLVREGLLSPNRVRRLLSVSIPKEAMLDFLMIISDLARMSKDFYEPISKAGMLEFLKDFISSDCADVRAKACSAIGNMCRHSPYFYSSLATHRVIDLLIDRCADPDKRTRKFACFAVGNAAYHNDVLYEELRRCIPQLTKLLLSAEEDKTKVNAAGALSNLVRNSNALCEDIISHGAMQALLELVSNYSAKALSPSRGQTQNESPLKIVLFALRKMCDHAVCRRFLRSSELFPLLAQLKRSPDPTVAEYVSVITSKAAQT >Ma02_p08930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19135750:19147033:1 gene:Ma02_g08930 transcript:Ma02_t08930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MAVDDYHVIELVGEGSFGKVYKGRRKYTRQTVALKFILKHGKSEKDIHNLRQEIEILRKLKHENIIEMLDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSANFKSFLKGLLNKVPQNRLTWPALLEHPFVKERSDDVEARVSFLTSNLGADAEKTVAAADAVTGSEIVGLAERIIKPSDLVAANLGVKDNSITESNKEGSNNTKFSAPSTIPDSQEHASSMDVLDHAPSSDNHVLDALEKGSRTVKGAKNISQDSEALSAVLQPLQTCSQRSASSFGNPKMDTVSQSFRILTNLIAAGTLQSSPALDSITCVLLEFTAAIAKMKISDAQGLIIKSLSILKKLLELSRGEIYNSYCRHWSALVELYSQILASTTDASGRIHYECTACIAVMLSQIAMGLKQSMSSESSKPMEKSFFQIVDYASASEILGLLLECLTTSGTSLISGSSNMVPAACESCKAIWYLINLAEIISAKEQAYAFPLVYSRRHPSLQPDMVEKEQSLRLHPEPVKIIEIVVNNFLESKEIQVAIYYCFHNGLESALYAALQLMSRICLLNTSACNVLCGLPNSSITVTETDVSGDGTIVSDMFSLLSLCASYLNKDSGEKCNQKCKLSNPRGLVVHCCIALATIADRLRVMGKCSALYILSNSQKKQRTRLSVLAHLSLTDDAVTSSIQPHCASAMLALSSVLSLESGVHGNSISEHTLALLPSMATLRNLLKLCLSDDNEMKSCDVLLRRLGLNDACLGLLKMRLLWGGPLGIQQACSNGIPQLLVNMLADGLIKDPLNEKDCTSGRVGLSPTGLIWALTSLCCCLTGGIFHEILFRREHVKLIIDMISDVHLMALNVWEGLAGGHSGIRDLINTVVDLLAFPFVAVQSSPNMPSTSASINSGFLLNTGSPGGRLAMENKDMVKAIGNNMPHYVQVLIEVSFPGRILCSLDYVDLKYVSRPIAIVAKMVGYRPLALQLVREGLLSPNRVRRLLSVSIPKEAMLDFLMIISDLARMSKDFYEPISKAGMLEFLKDFISSDCADVRAKACSAIGNMCRHSPYFYSSLATHRVIDLLIDRCADPDKRTRKFACFAVGNAAYHNDVLYEELRRCIPQLTKLLLSAEEDKTKVNAAGALSNLVRNSNALCEDIISHGAMQALLELVSNYSAKALSPSRGQTQNESPLKIVLFALRKMCDHAVCRRFLRSSELFPLLAQLKRSPDPTVAEYVSVITSKAAQT >Ma02_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19135599:19147033:1 gene:Ma02_g08930 transcript:Ma02_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MAVDDYHVIELVGEGSFGKVYKGRRKYTRQTVALKFILKHGKSEKDIHNLRQEIEILRKLKHENIIEMLDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSANFKSFLKGLLNKVPQNRLTWPALLEHPFVKERSDDVEARKTVAAADAVTGSEIVGLAERIIKPSDLVAANLGVKDNSITESNKEGSNNTKFSAPSTIPDSQEHASSMDVLDHAPSSDNHVLDALEKGSRTVKGAKNISQDSEALSAVLQPLQTCSQRSASSFGNPKMDTVSQSFRILTNLIAAGTLQSSPALDSITCVLLEFTAAIAKMKISDAQGLIIKSLSILKKLLELSRGEIYNSYCRHWSALVELYSQILASTTDASGRIHYECTACIAVMLSQIAMGLKQSMSSESSKPMEKSFFQIVDYASASEILGLLLECLTTSGTSLISGSSNMVPAACESCKAIWYLINLAEIISAKEQAYAFPLVYSRRHPSLQPDMVEKEQSLRLHPEPVKIIEIVVNNFLESKEIQVAIYYCFHNGLESALYAALQLMSRICLLNTSACNVLCGLPNSSITVTETDVSGDGTIVSDMFSLLSLCASYLNKDSGEKCNQKCKLSNPRGLVVHCCIALATIADRLRVMGKCSALYILSNSQKKQRTRLSVLAHLSLTDDAVTSSIQPHCASAMLALSSVLSLESGVHGNSISEHTLALLPSMATLRNLLKLCLSDDNEMKSCDVLLRRLGLNDACLGLLKMRLLWGGPLGIQQACSNGIPQLLVNMLADGLIKDPLNEKDCTSGRVGLSPTGLIWALTSLCCCLTGGIFHEILFRREHVKLIIDMISDVHLMALNVWEGLAGGHSGIRDLINTVVDLLAFPFVAVQSSPNMPSTSASINSGFLLNTGSPGGRLAMENKDMVKAIGNNMPHYVQVLIEVSFPGRILCSLDYVDLKYVSRPIAIVAKMVGYRPLALQLVREGLLSPNRVRRLLSVSIPKEAMLDFLMIISDLARMSKDFYEPISKAGMLEFLKDFISSDCADVRAKACSAIGNMCRHSPYFYSSLATHRVIDLLIDRCADPDKRTRKFACFAVGNAAYHNDVLYEELRRCIPQLTKLLLSAEEDKTKVNAAGALSNLVRNSNALCEDIISHGAMQALLELVSNYSAKALSPSRGQTQNESPLKIVLFALRKMCDHAVCRRFLRSSELFPLLAQLKRSPDPTVAEYVSVITSKAAQT >Ma08_p34720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44708984:44723072:1 gene:Ma08_g34720 transcript:Ma08_t34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAAPEDEAAPLVVDVSSCSSSSSSDARPARNHARDVHILSLAFLLIFSAYGAAQNLESSVNTEEDLGTTSLGILYLSFTFFAVVASPVVRALGSKTALVLGTSGYLLFIASNLKPLWYTMVPASLYLGFTASIIWVGQGTYLTLAAYSHAKDCHLHEGTVVGNFNGEFWGVFASHQVIGNLLSLALLRGGKEGDTVTGKNLLFTIFLCCVVLGIILMCFLSKRNNKEISLATNSSFGSILKSVVAPLFDKRMLLIIPLIAYSGLQQAFVWAEFTKHIVTPALGVSGVGGAMAIYGAADATCSMVAGRLTSGLRSITLLVFGGSLLQIIVILWLLLGYRLTSGLLGYIYPFLMGAIWGVGDGVFNTQLGALLGILFKHDKESAFAQLKVWQSAAIAVIFFLSPHLALQVMLLVLLAALCFSLLCFLYLTLHVERSLYLES >Ma02_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2340752:2346935:-1 gene:Ma02_g00220 transcript:Ma02_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRSWFGGLFSRNGNKRQSNGEKTFDCTLSPLQEIRLQKLKERVSVPFDETRPDHQEALVALWHISFPETELTGLVSEQWKDMGWQGPNPSTDFRGCGFVSLENLLFFARTYPASFQRILFKQEGMRAIWEYPFAVAGINISFMLTQLLELNSARPKSLAAINFVKILSEDEEAFDILYCIAFQLMDAQWLAMDASYMQFKEVLEATRIQLGRELALDDVRRIQDLPAYNLLYK >Ma02_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15121922:15124755:-1 gene:Ma02_g03690 transcript:Ma02_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g16890, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G16890) UniProtKB/Swiss-Prot;Acc:Q9LSQ2] MRRFPPPNLRSSLSNKFLSPAQTLLKARNPTGSTPSNDGCQSQRNAPRYRLSGQSQIAPSKSGSFHGVIQSPTNSLLSTSVRQTAERTLDPARVSAILCQKDWFLLLNSEFQRYAPCASSRVVVSLLQNLEEPLSSFKFYVWVSNFDEKLARDRSVRMVLIDALWRKGPVVLSVDLLNEIRKCGCRITEDILCILISSWGRLGLAKYVNEVFGQLPILGFRPTTRVYNSVIESLVRANSLDLAYFKFQQMPSDNCLPDRFTYNALIHGVCKHGIVDEALRLLKQMENSGCLPNVFTYTMLVDGFFNAKRVEEAFRVIETMRKRNVAPNEATFRSLIHGAFRYLESRTAYEIISGFLERESVLQEHACSTMLHCLSKNNMATEAREFMDRMSERGYCMDTLTFGVVVACALKSLRLTEACKMLDEFVKRGGKPGFSTYIIVVKSMLKEGLIMEADQYLKQMMVDGCLCSVVSYNTLIDCFVKSKMMDKAMETLEKMHAKGFLPNLITFNTLITGFSKAGDVNMARNIVKMLLEHGLKPDVVTFSTIIDGLCEVRQMNDAFDCFIEMVKWGVSPNAITYNILIHSLCEVGNVHKSMVLFKRMKSEGIVPDIFSFNALILSFCRMKKLERARNIFNDMLRFGVVPDIYTYNAFIRALCDARKIEEAKETLHVMELNGITPNSYSYDSIVDSLLCMGHLTEAQEFISKCERKGIYLSSPSSMKIEPENVGRVVNS >Ma05_p30160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40661733:40666814:-1 gene:Ma05_g30160 transcript:Ma05_t30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDTRARKPSPPASRAFGGGAWRRAAMRTWVLRVGSSILIWTAVMQLTSVWQPRLPKSWPPACFDRRGGAVAGQGNDDANTNANANANLSVLGREDHALSGSLSSPPALLPRRVYKSNGYLKVSCNGGLNQMRAAICDMVTIARYLNLTLVIPELDKTSFWADPSDFGDIFNVNHFINSLRDEVKIVKSLPKKFGRKIHTEPFSMPPVSWSSEKYYLKQILPLIRKHKVIHFNRTDARLANNGLPLRLQRLRCRVNYEALRFTPEIEALGDKLISILRRSGFFVVLHLRYEMDMLSFSGCTHGCSDKETEELTRMRYAYPWWKEKEIVSEKKRLDGLCPLTPEETALVLQALGFKRDTLIYIASGGIYGGERRLAALRASYPKIVRKEMLLSADELRPFQNHSTQMAALDYLVSVASDVFVPTYDGNMAKVVEGHRRYTGFRRTIVLDRRELVELLDLLRDGKLSWDQFSIAVKEVHKNRMGQPTLRKVIPGRPKDEDYFYANPQECTGPPRSSNIESRKSDI >Ma04_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6993351:6997935:1 gene:Ma04_g09820 transcript:Ma04_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRQRSLQRLSSAAAAPSVAGGARRPADALVQASDVLPLPIRYTNFLFSGVFIASLVFLMRRWREKVRSSVPLHLLGLSEILAVVGVIASLVYLISFFGIAFVQSIVSCHDDEDEFLLSAPVPGPAAAACAISLATDPICPLLYTDNAAPRKDPEVTAEDEEIISSVVAGRTPSYVLEARLGDCRRAAGIRREALRRITGRALEGLPLDGFDYASIMGQCCELPVGYVQLPVGVAGPLVLDGREHYVPMATTEGCLVASTSRGCKAIAQSGGATSVVLRDGMTRAPVVRLPSARRAAELKAFLEEPNNFETIALVFNRSSRFARLQAIHCALAGRNLYMRFSCSTGDAMGMNMVSKGVENVLGYLQNDFQDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNTSALVELNMIKNLAGSAVAGSLGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEAVNGGKDLHVSVTMPSIEVGTVGGGTQLASQAACLDLLSVKGASLESPGANARRLAAIVAGAVLAGELSLLSALAAGQLVQSHMKYNRSEKISPKLPPE >Ma00_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39138536:39141564:1 gene:Ma00_g04570 transcript:Ma00_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIRDFRAVINEFNHDHLFPDPITAEGFKLGAPPAMTASNHTGLFDLQSIPAVHTLDSTSDSSAVFGTGMDGGFSYGLFTEENALSSLDLGEPQPFSNLPDVHPGFSPSVSTPNSNLVPSLSVSTKVDVLEDSEIFSDIVLNYIERMLMEENIDEKFDVYPEHPALRVAEKPFYEILGEKFRHSADQPSSCSSHFSDNPNDLNHHGSSSVNIGGSMVAGNSWPYDPIEYSQLQAHPVVDDSSQSSFSSTNIFGNIVVGVEESLLSTLTAPDFVTESQPAWQFQRGVEEARKFLPSADRLVVNLEADGFSLLQGTKEQRGLVEVKEEAEDKEQPVHPARGRKNPHGEDLDTEGRSNKQSAVFIEETLRTEMFDDVLLCNGENCAKGVNELRLKLQNQASKISQICHSKGSSGGGRGRGKNQSKREVVDFETLLIQCAQAVATDDHPSTNELLKQIRQHSSPHGDANQRLAHWFADGLQARLVGTGSQVYHSLAAYRIPVTDILKAYQLYLAACPFRKISHFFSTQTIVNVVEKATRLHIIDFGIYYGFQWPDLMQRLSNRPGGPPKLRMTGIDVPVHGFRPTELIHETGHRLADYARSFNIPFEFRAIAAKWETIRVEDLNIDKDEVLVVNCLYRFRNLMDETVLVDSPRDAVLKTVRKINPNVFIHGVLNGTYGAPFFLTRFREALFHFSCMFDMIEMTVPREDEPRQLIEKVMFGREALNVISCEGTERVERPETYKRWHARNLRAGFTQLPLNPDIVKKAKNKVKSCYHKDFVVVEDSQWLLQGWKGRIIYALSTWKSNR >Ma01_p03600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2386565:2392476:1 gene:Ma01_g03600 transcript:Ma01_t03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRAASSPHGTSSLTSRGKMRSRRIFGFSLSLILINMASIMERADENLLPAVYKEVSEAFDAGPIELGYLTFIRNFVQSVSSPMAGVLALHHDRPVVLAMGTACWALSTAAVGVSQHFNQVAFWRAINGLGLAIVIPALQSFIADSYMDGLRGTGFGLLNLIGSVGGIGGGVLATIMAGHEYWGIPGWRCAFIMMASLSLLIGVLVFLFVVDPRRDPLVAVGADDDTERANLIMKSTVPPSSIWSSSWLAMRSVMKVKTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFDNNSSAALNSLFAIGCAMGSFLGGMIADRLSKYYPDSGRVMCAQFSAFMGIPFSWILLTGIRPSVNNWNAFAATLLLMGLTISWCASCANNPMFAEVVPPKHRTMIYAFDRAFEGSFSSFAAPAVGILTEKIYGYDSKSLKSVGGSAEGALALSRGLFMMTIVPFGLCCLFYSPLYIVFRRDRESAKLVSSKEQQLSSEIDFVGR >Ma01_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2386565:2392476:1 gene:Ma01_g03600 transcript:Ma01_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILSRRIFGFSLSLILINMASIMERADENLLPAVYKEVSEAFDAGPIELGYLTFIRNFVQSVSSPMAGVLALHHDRPVVLAMGTACWALSTAAVGVSQHFNQVAFWRAINGLGLAIVIPALQSFIADSYMDGLRGTGFGLLNLIGSVGGIGGGVLATIMAGHEYWGIPGWRCAFIMMASLSLLIGVLVFLFVVDPRRDPLVAVGADDDTERANLIMKSTVPPSSIWSSSWLAMRSVMKVKTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFDNNSSAALNSLFAIGCAMGSFLGGMIADRLSKYYPDSGRVMCAQFSAFMGIPFSWILLTGIRPSVNNWNAFAATLLLMGLTISWCASCANNPMFAEVVPPKHRTMIYAFDRAFEGSFSSFAAPAVGILTEKIYGYDSKSLKSVGGSAEGALALSRGLFMMTIVPFGLCCLFYSPLYIVFRRDRESAKLVSSKEQQLSSEIDFVGR >Ma01_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14594608:14606615:1 gene:Ma01_g19150 transcript:Ma01_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEAPAVSAKRLELTPKALIHHKYGRTACYRIEEVKQHVENDCPGLVIPQQFRSLYRCHLDLPDLSFTSDMFPKKKDAEQAAAKIAIEKLGIHSTSATNDLTPEGASYELVARISGLFTDEFLSSTHPLTGHFGVAFGVMREHFGMIPISAITTCDVKVHNLCKVINSKAESDPLLVSSLILNAARKCSSLCTATDELWIWKEAPYSPEAIASVINHHSESLGCVQIEALRIPCSIEENVEALTLDVSNEHYYLDLIAQKLNVKDSSRVLVSRTVGKASSEMKVYFPYPEVPHFSDSSSGLKTSSEGKVSIEPIINQRASYLSGQDIYGDAILANIGYTWKSPYLFYEDVSLCTYYRMLLAKFPDGHYKLSREAILAAELPTAYTGRSNWKGLAPRDLLYAFCRLHKFSEPVFSITRINADASLSVTQRKSNSSKPTDEVDIANGDVSDVGEKNLDNSSIFRCEVKILSRRLEPIVEGSFTDTYRKESDAIQCSALKVLLWFDKYFKQLDMPVEVLSSSGHAHGIIVHAENLSHEFAMCSSIFGSTKNDNLRECSSLESFCKYYPNRKEENGMVVLNIEGPDSGVFPSPGSLICISYAVALVKIGDPVKDHLEGKDEFEFEVGTDAVIHQLEACATQLSVNQSAHFVIDMPSRDLILAAAGDAIKDLSKLPLYNCFLEYSVKVLRVPEPLEDRMEKALFSPSLSKQRIEFAVQHINECSAATLVDFGCGSGSLLNSLLEHTTTLEKIVGVDISQKSLARAAKILHQKLSLNSGVPTSIRQAVLYDGSITVYDSRLSGFDIGTCLEVIEHMEEDQAFLFGDVVLSSFCPRILVVSTPNYEYNSILQRSAVPTTEENTAPCKFRNHDHKFEWTREQFECWATDIALRHNYSVEFSGVGGSSDVEPGFASQIAIFRRSSFNTAETYFKTEDSSQPYELIWEWADSQHLK >Ma01_p19150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14594608:14607030:1 gene:Ma01_g19150 transcript:Ma01_t19150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQEAPAVSAKRLELTPKALIHHKYGRTACYRIEEVKQHVENDCPGLVIPQQFRSLYRCHLDLPDLSFTSDMFPKKKDAEQAAAKIAIEKLGIHSTSATNDLTPEGASYELVARISGLFTDEFLSSTHPLTGHFGVAFGVMREHFGMIPISAITTCDVKVHNLCKVINSKAESDPLLVSSLILNAARKCSSLCTATDELWIWKEAPYSPEAIASVINHHSESLGCVQIEALRIPCSIEENVEALTLDVSNEHYYLDLIAQKLNVKDSSRVLVSRTVGKASSEMKVYFPYPEVPHFSDSSSGLKTSSEGKVSIEPIINQRASYLSGQDIYGDAILANIGYTWKSPYLFYEDVSLCTYYRMLLAKFPDGHYKLSREAILAAELPTAYTGRSNWKGLAPRDLLYAFCRLHKFSEPVFSITRINADASLSVTQRKSNSSKPTDEVDIANGDVSDVGEKNLDNSSIFRCEVKILSRRLEPIVEGSFTDTYRKESDAIQCSALKVLLWFDKYFKQLDMPVEVLSSSGHAHGIIVHAENLSHEFAMCSSIFGSTKNDNLRECSSLESFCKYYPNRKEENGMVVLNIEGPDSGVFPSPGSLICISYAVALVKIGDPVKDHLEGKDEFEFEVGTDAVIHQLEACATQLSVNQSAHFVIDMPSRDLILAAAGDAIKDLSKLPLYNCFLEYSVKVLRVPEPLEDRMEKALFSPSLSKQRIEFAVQHINECSAATLVDFGCGSGSLLNSLLEHTTTLEKIVGVDISQKSLARAAKILHQKLSLNSGVPTSIRQAVLYDGSITVYDSRLSGFDIGTCLEVIEHMEEDQAFLFGDVVLSSFCPRILVVSTPNYEYNSILQRSAVPTTEENTAPCKFRNHDHKFEWTREQFECWATDIALRHNYSVEFSGVGGSSDVEPGFASQIAIFRRSSFNTAETYFKTEDSSQPYELIWEWADSTPQPTFEVEVERSAESVRSDLGETNEERLHLGMKRMRQSSPPLSTATEMHKSKDPMMSHTS >Ma11_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21800895:21805433:1 gene:Ma11_g16240 transcript:Ma11_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRTSNRSLPIRVENPFSLKVAQVFTGFGIGCGVGIGIGRPIYLGAIPAVQQVLTAARGATDAFSGVGRHVNGSLKKLGLKNIEVGIGCGVGIGHGFGVGIALKPGVVNRIQNCFGEVMGKIMMNLGSIPGLSSVQGIIPSPGQNSINLLNGTPVGNAQVSTLRYSTHDERPAEEATNISHASKATLSEKSVTNRTEKVINNFMQDPLFKDAEVKLSEVAGDLRLENNVLQMLLKHQQVIEELIEENQILRQILAEDFKVPLSKLQAKKDNRRKAYYPCSDCFECRRRRRSAR >Ma10_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24590046:24590302:1 gene:Ma10_g10860 transcript:Ma10_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYCDNLYGMLCSYIIHFRLFKWWTLCTELVWKKLDEDK >Ma09_p28770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39430940:39435599:1 gene:Ma09_g28770 transcript:Ma09_t28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQTAFRGVALPSLTPASSSPHRPPRFMIRAEVEPSDKSVEVMRKFSEQYARRSGTYFCVDKGVTAVVIKGLADHRDSVGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGTEQAISFEEIKETTSKI >Ma05_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8916677:8917769:1 gene:Ma05_g12310 transcript:Ma05_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPESELALLDSIRRHLPGDPEPGPYHSCYPTPACHRSCSFGRLVADQWSDGLPFRLDDPDDMVVYDALADAFRHGWTPSSAVPVAVGETLAVSAAPAPGRQYRGVRRRPWGKFAAEIRDPARNGARVWLGTFGSAEDAALAYDRAAFRMRGSRALLNYPLRIGSAEAAPDSITPPLLITLPQKRPRPRGGRKGRRRPS >Ma08_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2756417:2760764:-1 gene:Ma08_g03880 transcript:Ma08_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPVRQLFQISMLEQFPDIVFAYGVSDEYSFIWKETTQFYQRRSSKLLSLSVSYFTSVYVMKWKEFFPHKELKGPPYFDGRVVCYPRAKIVQDYLAWRQVDCHINNQYNTCFWMLVKSGKTQREAQVLLKGTQAKDKNELLFRQFNVNYDKLPQMFRKGSCVYRKKVEEVVKLDDTGNPVTRTRSKVVVEHMDIIGPKFWSELPYILKEECD >Ma09_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3433072:3434008:-1 gene:Ma09_g05310 transcript:Ma09_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEQTKPVAVSSPSADATDDAAAIGRLPGRRLRKRRCALFCFLCCAASAVIVGVVVLVLSFTLFKVKDPTLTMNSLVIERIDLDFGTDRSRPLSINATLDADISIENPNMASFRFGNSTTDFYYSGETVGVAYAPIGKVPAHRTARLGVRVDVLVDRVATQLNLTMGLLSGTQLQLTSYTDIRGRVSVLGVYKRDIEMMLNCSITMEVSIAEQQITGTDCLAIVK >Ma11_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21441352:21442267:1 gene:Ma11_g15790 transcript:Ma11_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVRGRGGSVAAGDVGGGAGEGGGYYPAPPPIPFSRHHLEVTVISAQDLYPAARSMRTYAVAYFRPDHRARTRIDASGHTDPTWNDKFVFRVDDAVLHSDTSAITIDVYADRPGLLPGPDILLGTARALLSTLRPSSAIHYAALQIRRPASLRPQGILNLGVALVDPSARTVPVHANRGHASSTPRPEVPKPKRPTAGTSRAADRERTELERKLEKWRAELPPVSGEVVRQEKGGRNRGAPVAAVVEDEPVIRPQRNRSFKRFACFGGGNVESGEFVAAPRYVEERPPRRAARVAVHRHKG >Ma05_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11967431:11969791:-1 gene:Ma05_g15640 transcript:Ma05_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLSAASSFYGLGRGRILLHGLSKSSCCLSMSHLKQHLARIIVAGASGHPSALASLLSFAATSPHGDLRFASLLFAHAPIPARFAYNCMLRGLVGARLPGGALLLYLQMNRGCVAPDRFTFPSVLKACSLLPAAAAGESVHARIFRLGFSLDAYVRSGLVRMYSEFDQVAAARRLFDEIPDRDVVLWNSMIGGYVKCGDIAYARRLFDEMKHRNVGTYNALLGGYAKFGFMDSASRLFDEMPERDVVSWNTMIGGHARSGAIKVVRDLLARAPQKNATTWSAAISGLAQCGRFKDALDLFKDMLVEVPRPNQSALVSVLSSCAHLGTLEQGIWIHRYITRQEIEVDDFLGSSLMDMYAKCGMLQGTKLVFDRLEKRHVCSWTSMIYGFAMHGRSPEALETFREMERLRIKPSDVTIIAVLCACSHAGLVEQGLNIFSRMHHDYGITPKIEHYTCMVDILSRGNLIEEALEVIKSMPMEPDEFVWGALLGGLRANSQDQLGNEEELSSKMVDLKPKSSGAYVLVANMFASIDRWDDATRMRRMMVGLGVKKNPGFSLIEVNGCCS >Ma06_p36160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35720110:35723228:-1 gene:Ma06_g36160 transcript:Ma06_t36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRYSDPSVQSDIKLWPFKVIAGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMKEIAEAYLGTTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKASSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEDHKKKVEAKNALENYAYNMRNTIKDEKIAAKLPAADKKKIEDAIEKAISWLDGNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMAGGMDEDGPTTGGSSAGPKIEEVD >Ma03_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:511299:514742:1 gene:Ma03_g00630 transcript:Ma03_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGRGKVWLDPNEVNDISMANSRQNIRKLVKNGFIIRKPTKIHSRSRARRALEAKRKGRHSGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAERAREKTLSDQFEAKRAKSKASRERKLARREERLAQGPGDRIVATPAAIAEAPKKAKK >Ma04_p28360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29421596:29424061:1 gene:Ma04_g28360 transcript:Ma04_t28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASAAMYPSSAAVITSTLLVMLSLIFCFFLFLYLLCSLLPRAFAAGRAAPRKLPLPPGSMGWPYVGETFQLYSNNPDTFFALKQKRYGAIFKTHILGCPCVMVSSPEAARFVLVTRAHLFKPTFPASKERMLGRQAVFFQQGDYHARLRRLILRAFLPEAIRRSVARIEAVALRTLQSWDGRLVNTFQEMKTYAFNVAIVSIFGKDELSYLEELKQCYYTLEKGYNSMPINLPGTLFFSAMKARKQLAHIVAKIVSSRRMQRTNEANNDLLGSFMEAKEALSDDQIADNMIGVIFAARDTTASVLTWIVKYLGDNPSILQAVTEEQEEVMKKKEAGDEEKSLTWADTKRMPLTLRVIQETMRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPENFSDPEKFDPSRFEASPKPNTFLPFGNGTHACPGNELAKLEMLVLLHHLITKYRWSMSGYESGIQFGPFALPLNGLPLRFSLKSPVEDRAA >Ma09_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35218369:35219259:-1 gene:Ma09_g23350 transcript:Ma09_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRPPTLFFLPFLLFPLAASHNITRILAQFDDFSTFNSLLTQTQLVSDINSRRTITVLAVDNGAASSVSSRPTDELKKILSVHVVLDYYDDAKLHKLPNHTAILTTLFQATGLASGRNGFLNVTNMGNGQIAFGSAVPGSSLVANFVKVVATRPYNISVIQISSVIVPPNISGGASNHSTSPTAAPVAAPAPANATRTPTLAPSEDAPSPTPDAASPSDVSNGPSPADAPADAPASDTPVASPPGPMSPDGSPAGAPSNNADAPAGDSSAAERVVAGAGVAITMAFAMLGSLQMS >Ma06_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10915161:10916484:-1 gene:Ma06_g16100 transcript:Ma06_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMQTLVALSLLSMFVSDVHGQLSSTFYAGTCPNLQQIVRSTMAQAVNKEPRMAASILRLFFHDCFVNGCDASILLDDTAAFTGEKNASPNRNSARGYEVIDAIKSNVEAACRATVSCADVLALAARDGVALLGGPTWAVQLGRRDARTASQSDANSNLPGPSSGLSTLISSFAAKGLNARDMTALSGAHTIGQAQCGNFRSHIYNDANVNSSFAALRKRNCPSSGGDGNLAPLDLETPNRFDNGYYRDLVAKKGLLHSDQELFNGGSQDSLVRQYSVNNAAFSRDFAAAMVKMGAISPLTGNRGEIRLNCKKVN >Ma06_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12055096:12057420:1 gene:Ma06_g17760 transcript:Ma06_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLTAANACLQASGKGFSDFSGLRSASCSIPLRKIHSSDDFLSTVAFRTAAESSSGGYRKGAAEAKIKVAINGFGRIGRNFLRCWHGRKDSPLDVVAINDTGGIKQASHLLKYDSTLGIFEADVKPEGQSAISVDGKVIKVVSNRNPLDLPWGELGVDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADTYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNAAFRNAAEKELNGILSVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >Ma04_p33470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33069756:33071557:1 gene:Ma04_g33470 transcript:Ma04_t33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSMFFLVICLFVSVHSSACELGSTKQHRRHEWYFKPTGKQTIVVDANGSGHFSSVQEAVDFVPENNTKRVVIQIHAGHYTEKVIVPATKPYVTFQGAGRDVTVIEWHDRASDRGPDGQQLRTYNTASVTIFASYFRARNISFKNTAPAPMPGMEGWQAAAFRISGDKAYFFGCGFYGAQDTLCDDAGRHYFKDCYIEGSIDFIFGNGRSMYKDCQLHSIADRFGAIAAQDRNSPCERTGFAFVNCRVTGTGKLYVGRAMGQYSRIVFAYTYFDDVIAPGGWDDWDHNSDKNQTAFFGVYRCWGPGAAAVRGVSWARELDFDTARPFLVKSFVNGRHWLGPSDP >Ma03_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1598815:1601549:1 gene:Ma03_g02350 transcript:Ma03_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAPTNITDDTGTVVCFVSTMTCTNGIWQGLNPLSFSLPLLILQNIVIVLVTRVVALLLKPFHQPRLLAEIIGGIVLGPSVAGQMAVFRDVVFPPRSILTLQGFGHLGLLYFLFLVGVEMDIAVIGRTGHKALVVAAASMVIPFSIGTASSFLLRNFISKNIHEGAFVLFLGVALSVTAFPLLARILAETKLLNSEIGRISMSAAIINDLCAWILLAISVALTGPSGTALTPLWVLLSGVGFVLLCLFCIRPTMWWFMQKLPEGQAVSDFHVCLLLGTMMLAAVMSDVIGFHSAFGAFVFGLVLPNGPVGVALIARLEDIVSGLLLPLYFVSNGLSTDLSKVRDGRTVALLVLVFVLASIGKIAGTVVISLFYTMPLREGLSLGFLMNTRGLVEIIVLNIGRDMEVLDDESFAVMVMTSLVMTLMVTPLVTYLHRPLRRLVGYKRRNLQRSKPDTELRVLACVHNTRNVPSIVSVLNISNPSKRSPIFVYALHLVELTGRASAMLIVHHTKTSKVNNNRKPVASLIGRQVQSEHIFHAFDNYEQRVGGVSVQTLTVVSPYTTMHEDICSLAEDKHVTLIILPFHKQQTVDGGMEPINSSIKVLNANVLNASPCSVGILIDRGLSSKARMAHGQQYSHRIALLFFGGPDDREALAYAWRMAENPSINLTVVRFIAGDQADVPQSPATTPPPPTAQDSRTISIVTDSTQEKQLDEEYLNEFLLGNIGNESLLYTEKVVNSTEETVAAIQSMESVHDLYVVGRSQRDAALTLTAGLTEWAECPELGPIGDLLASSDFATTISVLVVHQYTGGPLGRTGSTTTEGSTRPMQRRNGNESQRLSTASRAWQAMPPELSVRRNGR >Ma01_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10785133:10786293:-1 gene:Ma01_g14760 transcript:Ma01_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSGIRRLELGGAIEIGGDRLVIRLPEPRLLRLVARSVLLAAAVFSFTWLRAAILRLGDVGGVAVGQRALDSDATFLPSLLGDLRRRGLLRPEDNAVLLNDPIAGDRGIEVPDGSADFVFGASTGDFEQIDRILKIGGVAAVRLSPDSSDSFIPPANYRMAYVGWIGSATMVAMRKSSASGEGLKMRRLLSLPEAKKKALRGLEDALLEPPRPGQHGRQRRARYLPELTGDELDGYPRRVFVEMVAAGEAGSGESWFKRNYPRKGRAFDVIRLEMKDDEDEAVKAEEGATASLAEWLERNVKEEEYVVVKAEATAVEEAMAEGAIGLVDELFLECDHQSWEADEMREKVKKRATRGGQRAYWQCLALYGKLRDAGVAVHQWWSF >Ma01_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1347365:1349391:1 gene:Ma01_g01960 transcript:Ma01_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAARLQNKRGKIITKTIRT >Ma03_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25462704:25468067:-1 gene:Ma03_g20310 transcript:Ma03_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAKVYTLAEVSAHNTPQDCWLVVNGKVYDVTKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSTTARAMMDEYYIGEIDTATIPEKVKYTAPNQPHYNQDKTSEFIIKLLQFLVPLAILGLAVAVRIYTKSE >Ma02_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24755341:24756771:-1 gene:Ma02_g18130 transcript:Ma02_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKEPEIYWHDESEKELLGELSAEASPPFVSSKAADGGTAGSLRHVPNTLISSAYSGPTIEDIERALSTKVPDVRATSHRYRAPVPEKGIGKSDTKYAVMIKSCGGGVAEDGYKWRKYGQKTIKNNPNPRSYYRCTNPRCSAKRQVERSKEDPNMLTITYEGLHLHYPHFHVLRRRPQDHTATKLQVPKKPKLQGEEQLHKDYKSQSIQGELREEVVAVTSGESSPGSPDRGLEEEATLNPGAGKQNMRPRDAVQYPQGLLQDVVPLLIREPCSTGSAVSSYHHYHFFPTPCPSYYSASISPPTNPSDIDLGLHFRHSLNLKQSKDG >Ma10_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28384955:28385821:1 gene:Ma10_g16820 transcript:Ma10_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHSLPSAAEAFFPFALLAYALLPVALLVDGVRWAVVRMIGLVGGGDGEEERMPDVGARVVVWRHEGGVDDGECCVCLHGFEAAAEVSQVAACRHFFHRECLERWLSHLYATCPLCRSMV >Ma09_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32715648:32720193:1 gene:Ma09_g21510 transcript:Ma09_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTSCVINHGDVKPSNILLDGELMAKVSYFGLSRLRLIDRTQMVSVVQGDSRWDFPNKTGYLSAKTDVYSFGVVLLQLMARKKAVWDDSFREP >Ma03_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22393876:22394991:1 gene:Ma03_g17140 transcript:Ma03_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASEVAEAVESKIFRLFGREKAVHRILGGGKLELIVAEIHGVVIRSLTSFLEARLRICIRFLERKKEESWDVWPLLLNKKTSAAVLSGATPVWVWFELMEYHLLSLVCHCLVSSLAILFLWSDTSTFINKSRPHVPEDLAVNIALSLR >Ma11_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19369474:19369733:1 gene:Ma11_g14100 transcript:Ma11_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQVVGEAGRFVNKSFLRKVAHIQDLDAARSGTSDPFYTPRATESLKSLGVNPRGH >Ma04_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24201051:24205555:-1 gene:Ma04_g21710 transcript:Ma04_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Ma04_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23741109:23741828:-1 gene:Ma04_g21140 transcript:Ma04_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLFLFLLLALPWFAHSFADRTGGATSEDQPGGGGGGAHDGSSGSGHGSGPGGSWDYGWAWGSSPRGGWGYGSGYSQTPTGNGKGFGFGYGTGSGSSSGYGFGAGSSGSEPERGNYGYGVGYGGSGGGGYGGSHGGFGEGGGGGGGYGGWHGGFGEGGGGGGGGYGGWHGGFGEGGGGYGGRGDGFGAGGGGYGGPGDGFGASGGRYGGPGGDFDSGGGGGWSFRGGRPPQYWDYNCC >Ma11_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1076496:1077519:1 gene:Ma11_g01520 transcript:Ma11_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWRRRHKARRSGMEASFLPQGRRGGMDEEEDLESTMSSSLCGEEGDSDEESREDASSCSFSPPSCTPSSDDQVEEGPLFEMSSLISLLPVKRGLSRHFEGKSQSFTSLDKVRCMGDLAKPGRPSKRRLGSCKSYGGGLNSSHKAALSPMSSSRIITKKASSLLSARRHRDREASQDRHFCLLSGLKNNGLE >Ma10_p26970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34416380:34418730:1 gene:Ma10_g26970 transcript:Ma10_t26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAVGKKSDGFLLPFPGLGFSWNSQEGFYRSDGLFASVGQMGVGFGVSPNSPKPTNNSAKVPGIDLYVKYVSPEVGCRVLGAATASAVEATDVIEEAVVRKNEKKGGLKVKIRIGNPHFRRLVSGAIAGAVSRTTVAPLETIRTHLMVGSNGNSTAEVLQSIMKTEGWKGLFRGNFVNVIHVAPSKAIELFAYDTAKKVLTPKDGELPKLPIPSSIVAGAFAGVSSTLCTYPLELLKTRLTIQRDMYDNLLHAFLKIVREEGPSELYRGLTPSLIGVMPYAATNYFAYDTLKKLYRKTFKTEEIGNVATLLIGSAAGALSSSTTFPLEVARKHMQVGAVGGRKVYKNMLHVLLSILEKEGIGGLYKGLGPSCMKLVPAAGISFMCYEACKKILIDEAEEDA >Ma04_p30240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30954060:30956128:-1 gene:Ma04_g30240 transcript:Ma04_t30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQESRGEEEEVKLSSKEEETAEAIAGFKFNVHAPEFVPRSQLQAAPALSAGCFCPYLQFFGNGGGGAALGPEWFYFTEQEPVHFMPDFHGKIAGHSKSNSDMIQKIVKQVEYQFSDTNLVANDFLMKIMNKDPEGYVPMSVVASWKKIKCLGANNHMLAKALRTSTKLVLSEDGKKIKRKQVFTERDKEELQSRTVVVENLPEDYSRQNLEKMFSVVGSVKNIRICHPQEPNSARSTKSDLLISNKLHALVEYETTEQAEKAVEKLNDERNWRKGLRVRTMLRCSPKSVIRGNHFELYSEDDQSPSSQTLGSPRIEQLLDHNNEDNQSGPRKAWGRGRVKPHGLLAPNLSGRGLLTQAPQIGGALGHGGEASSKQTPQGPRMPDGTRGFTMGRGKPLSPVLGRSPTPAAALASVHL >Ma02_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27506877:27515100:-1 gene:Ma02_g22070 transcript:Ma02_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGTIGAIGSGLAMPIMTFIFAEVINIFGVADRESIVREVSKVVLKFVYLAAGSGIASFLQVACWMITGERQATRIRGLYLKAILRQEIGFFDNETSTGEVIGRMSGDTILIQDAIGEKVGKCLQLAATFFGSFVVAFTKGWLLSLVLIASLPLTVIAGAAMSLIIFRSSTRGQKAYAEAGIVVEHTVGSIRTVAAFNGEKQAIDDYKQLIKKAYGAVVQEGIAAGVGIGCVLMFIFCNYGLAVWYGAKLIIEKGYIGADVFNCMVTVTTGAMSLGQASPCLTAFSAGQAAAYKMFETINRKPEIDVYDTSGIVLEDIKGDVELKDVRFSYPARPDQLIFNSFSLFVPSGTTMALVGESGSGKSTVISLVERFYDPQAGQVLIDGIDLKELRLKWVRERIGLVSQEPVLFTTTIRENIAYGKEGATAEEIQRAAKLANAAKFIDKMPNGLDTMVGEHGTQLSGGQKQRIAIARVILKNPKILLLDEATSALDAESERIVQEALETVMTNRTTIVVAHRLSTIKNADTISVVSRGELVEQGSHAELIKDPYGSYSQLIRLQEFHEQEEESMIPESDAMDLSYIRRSGSSNLSSRRSVGRRSSSLGRSRRNSMQGSRPEGDRLDEEGADEDEMDKKASVRRLAYLNKPETLVLVLGSIVAAINGVIFPVFGIVISSVLKTFYEPPDELRKDSKFWAVMFVLLGVVTFLVLPAQHYLFGVAGGKLIERVRFLSFERLVHQEIGWFDKPSNTSGQIGARLSADASTVRKLVGDSLSLVVQNIATCIAGLAIALLANWKLGLIVLVLLPLLSLQEYAQIKFLRGFSEDAKKMYEEASQVASDAVASIRTVASFCGEQNVMDAYLRKCEAPMKNGERQGIISGLGYSFSFIALYCTYALCFYIGARFVHDAQANFAQVFRVFFALTLAALGVSQSSTAASDINNARDSARSIFAILDRQSKIDSSTDEGEVLQNVRGDIKFHHVSFRYPSRPHVQIFRDLCLSMPAGKTVALVGESGSGKSTVIALLERFYDPEAGTISLDGMDIAKLKVSWVRQQMGLVSQEPVLFNGTIRTNIEYGKQGPVSEEELVAAAEAAGAHRFISGLPQGYDTNVGERGVQLSGGQKQRIAIARAVLKDPRVLLLDEATSALDAESERVVQEALDRVMVGRTAVIVAHRLSTIRGAETIAVVKNGVVAERGRHDTLMGIQNGIYASLVALQTSST >Ma06_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2502436:2504626:-1 gene:Ma06_g03430 transcript:Ma06_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVNKLVPELVAAAEPTPSGRLPLSSMDRIAAVRVLADTILVFQQGLAPAKAIKAALSRALVPYYPVAGRIFEPRPGEPEVACTGEGVWFVEASVDCNLKDVNNLERPLLLPKEELIPFAPAEVKEEDLIMMMQVTEFTCGGFAVGTRLSHVVFDGLGAAQFLKAVAEIARGHARPVVHPVWCRDAIPSPPKLSPVKNQFWRETGQTCSTFDVVTATAWQCRTRAICFDAHVDVHLGFAANTRHLLRGLLPQEGYYGNCVYPMGIKAKAGTIAGSSPVAVIELIRDAKERISTKFLDWMMGETAEEDPYRVPPGYGTLVVSDWRLMGFSEVNYGWGEPIHVTPLNDDSNFVASCIYLSPPKPKQGLRLMTRCCEKDHLPAFHEEMMKFYLELQ >Ma06_p03430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2502436:2504626:-1 gene:Ma06_g03430 transcript:Ma06_t03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVNKLVPELVAAAEPTPSGRLPLSSMDRIAAVRVLADTILVFQQGLAPAKAIKAALSRALVPYYPVAGRIFEPRPGEPEVACTGEGVWFVEASVDCNLKDVNNLERPLLLPKEELIPFAPAEVKEEDLIMMMQVTEFTCGGFAVGTRLSHVVFDGLGAAQFLKAVAEIARGHARPVVHPVWCRDAIPSPPKLSPGLPLPSFEAFNLENSVFDIPSDHIDAVKNQFWRETGQTCSTFDVVTATAWQCRTRAICFDAHVDVHLGFAANTRHLLRGLLPQEGYYGNCVYPMGIKAKAGTIAGSSPVAVIELIRDAKERISTKFLDWMMGETAEEDPYRVPPGYGTLVVSDWRLMGFSEVNYGWGEPIHVTPLNDDSNFVASCIYLSPPKPKQGLRLMTRCCEKDHLPAFHEEMMKFYLELQ >Ma11_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22098965:22099724:1 gene:Ma11_g16620 transcript:Ma11_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNPKAPHPSHPTLPQSPSLLPLHQPVPAVHHTLPFPFKALAAPPPRRKGEHINGSSGSGDDSDMAGLSVLLETHNSLPKYTHIISKTSLVKNSSLSSPPSSFATSPFLERCYLCRKKLQQGNDIYMYRGDRAFCSVECRCRQIFMDEESGRRDQCSLAAAAASAADAGAQSDRGRPGRATRKGRAVAGGFA >Ma02_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17043769:17045282:1 gene:Ma02_g05930 transcript:Ma02_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAQKFATFRLVVLDGRVYVEHYGGGFQTRDVFTLWGLLQLANRYPGRIPDIDLMFNCNDTPSVKSAGHRSSPPPPLFRYCKDDKTLDIVFPDWSFWGWAEVNIKPWRTLVKELEEGNRRVKWRDREPYAYWKGNPWVSDSRSDLMRCNVSKHHDWNARLYALNWDSEKRQGYNNSNLASQCKYRYKIFVEGRAWSVSNKYILACDSPALFVRTRFHDFFSRGLMPGRHYWPIREDDKCSSIKFAVDWGNKHQEEAEAMGTVGSSHMKEEVKMEYVYDYMLHLLIQYAKLLRYKPTVPEKAIEFCLESVACPATGIVKKLLMESMEKRTEEAEPCRIPPPFNPEELQEIMERKANVLKQIESWEDKKANI >Ma05_p03250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2315499:2317187:-1 gene:Ma05_g03250 transcript:Ma05_t03250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINVAIDQESPFRELNIKNRRVMGGGGGLEEEEEEKWPPWLRPLLSTRFFVQCKLHADSHKSECNMYCLDCTSGALCSRCLSHHGDHRAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPKPGKGVTNTCEVCNRSLLDSFRFCSLGCKIAGTASDYSRNRKNSKKKKKKSTAGSDSEEYCTSASSGSDKSHDGTQSFTPSTPSPTAARLRSSKRRKGIPHRAPFGSLMLEF >Ma05_p03250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2315499:2317187:-1 gene:Ma05_g03250 transcript:Ma05_t03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINVAIDQESPFRELNIKNRRVMIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPKPGKGVTNTCEVCNRSLLDSFRFCSLGCKIAGTASDYSRNRKNSKKKKKKSTAGSDSEEYCTSASSGSDKSHDGTQSFTPSTPSPTAARLRSSKRRKGIPHRAPFGSLMLEF >Ma05_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2315499:2316916:-1 gene:Ma05_g03250 transcript:Ma05_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLDCTSGALCSRCLSHHGDHRAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPKPGKGVTNTCEVCNRSLLDSFRFCSLGCKIAGTASDYSRNRKNSKKKKKKSTAGSDSEEYCTSASSGSDKSHDGTQSFTPSTPSPTAARLRSSKRRKGIPHRAPFGSLMLEF >Ma03_p25970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29856986:29865261:-1 gene:Ma03_g25970 transcript:Ma03_t25970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVNAMAVARGVMTMMMAAGGGGGNGETVAVLQEAEDIPFGTGWWYAYAGISCLLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPTEKKQAVEENASDAIDVHATILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKIFHPFVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPIAYPIGKILDCALGHNESALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEAAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPEDMPLYDILNEFQKGSSHMAAVVKAKSINETPPAERKKLEANKEPSGKSELMVPLLSEGGEKSDCVVVDINTRQNKQVTGDKPTLQQNDAAESIVARLAEDGEHGEVIGIITLEDVFEELLQEEIVDETDEFVDVHKRIRVAAVAAAASSVARVPSLRRLTGQKAAGTQTRQGQQATGILKKHTEADSNTPRNQVKQEAK >Ma03_p25970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29856986:29865261:-1 gene:Ma03_g25970 transcript:Ma03_t25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVNAMAVARGVMTMMMAAGGGGGNGETVAVLQEAEDIPFGTGWWYAYAGISCLLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPTEKKQAATILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKIFHPFVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPIAYPIGKILDCALGHNESALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEAAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPEDMPLYDILNEFQKGSSHMAAVVKAKSINETPPAERKKLEANKEPSGKSELMVPLLSEGGEKSDCVVVDINTRQNKQVTGDKPTLQQNDAAESIVARLAEDGEHGEVIGIITLEDVFEELLQEEIVDETDEFVDVHKRIRVAAVAAAASSVARVPSLRRLTGQKAAGTQTRQGQQATGILKKHTEADSNTPRNQVKQEAK >Ma01_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:333062:335697:-1 gene:Ma01_g00470 transcript:Ma01_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAVVANKAPSLLRRMGASPLSSFYAAAEVDSIFAPHDAWELVISERVLTILHTVPSFEPHLDFLSPILTHHVVEEVLADAPTAPFAFRFFSWVSRQRHLRSWVSHNRIISILRSPGGFDSAWRALADLGRQGVPVAPAAFAALISAYSASGMAEKAVEAFGRMSEFGSRPNTFAYNTLLRIFVDKDVILLALAIYNHMIKSDCRPNRSTFIILMGGLCNAGKTEDALALFDEMLQQDISPSTMVYTVFLSSLCKADRLDDASRLLDSMKQDNYKPDSITYHALLSGFCKSGRIDEAFELLRAFEDDGFVLGLSGYSCLIDGLFKAGRFEEACRHYKEMLEKNVAPDCKLYAIMIRGYTEVGQVEEAFSFLSEMGGRGLVPDTFCYNSLIKGLCDVGHLDRARSLVLEISQHDRFPDSATYTIMICGLCKEGLVDEARNIFDEMEKLGCVPTVMTFNALIDGLCKAGKLEEAHILFYKMEMGKNPSLFLRLSQGADRIHDSNSLRQLVEELCGSGHVLKAYRLLRGIIDSGVVPDIVTYNTLINGLCKAGNTDGAMKLFKELHLKGHSPDAVTYGTLMDGLLKVHQEDEALTVLQHMLRSGRSPGVSIYGMLMRALCRKKKVSRAVTLWLNHLWQARRAPEDAEAITTARKQFMEGNLEEVIRGLVAMDRKRGVAGPFPYTIWLIGYCRMHKVDEALTIFTTLTESDVDATPPSCVHLIDYLCRNRKLEAAVDVMLYSLEKGFFFMQPVGNRLIRRLCAHSKKDAAQELVRRMQLAGYDMDVYLRTTTKVFLYGD >Ma04_p29490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30421461:30421874:1 gene:Ma04_g29490 transcript:Ma04_t29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVEGEKEEAPAAEAEGKVMRWSPYERVARMASGNAVVVFSVSGCCMCHVVKRLLLGLGVGPTVYELDQEKGGREMQAVLAHLLSGSPSTSASSASAALPAVFVGGKLLGGVEKVMSCHINGSLVPLLKQAGALWL >Ma02_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19882963:19883670:1 gene:Ma02_g10260 transcript:Ma02_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCHDLQNRSEDFIRKGYLGRYLEKPQESTPHHRGPIERRRPSTCPSLSRSVLDLRLAIPTLAQASPDRLPTYSPRAQLLSEPLQIGSQPAARWQSSFPSLSRSVPDLQPVGPAIVRASPDRFPTYSPQAQLLPEPLQIGSRPAACRPSYCLSLSRSIPDLHPVSPTLARASPDRFPTCSPQAQLLPEPLQIGSRPIARRPSSCPSLSRSVPDLRPTGPTLVRASPNWFPTYSP >Ma04_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9359752:9360030:-1 gene:Ma04_g12390 transcript:Ma04_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSYYGDQYVHFNVTVPVNLTQRQQMLIEEFAKEEQHEHEKGSTAAAGASG >Ma04_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5082500:5084706:1 gene:Ma04_g06990 transcript:Ma04_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVKPSLESINKKPDTSTGAFSSLGFAGFLDVFVHQARDVHNICIYHKQDVYAKLCLTSDPDAAVSTQIVNGGGQNPVFNENLRLGVRTIESSLKCEIWMLSKVKNYLEDQLLGFALVALADVLVADGKLVHEFPLSSTDLFHSPAGFIQLSLSYVGALPDVVALAAVPNSMVPEASLPDAENEDEIPCEYQKIEFPDLRVVNENRMMVSELFGIQCTTMETQNSESLNTSESGNWSNEEAGVRLVESFSAANSQDSIDIPVSSCSAMGSHIMLPATSSAISHVLSAMASPSPEVRDGAADSSPQLQNTMVKPVISVNIEPEQTVVQQDIVDMYMKSMQQFTESLANMKLPMDVDDTSSSAQNGNDKKVPASKGTGSKVFYGSRAFF >Ma03_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5350204:5354754:1 gene:Ma03_g07610 transcript:Ma03_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSSSSQSQVVLKAIFLFHFHFCHPAQSRPSMAENRAGGDEEPEEAVMAVVVGATCPPLPLVRPPPYRRKVVVKVGVHDGQDKRRAMEAVSSFKGIDTISVDLKDKKLTVIGRIDPVRLTRKLRKHYHAEILSIRSEKEEEKKEEPEKSEEKEEGAEKNKEAGAVASAWNGYDPFVLPHYVPITEEDPNGCVKVVVKLNILDEKEQRNAMRAVSDLKGKSLLCSLHRYLWLNLLRVGMKSIVLRTGIHTISADLKDKKLTVIGNIDPVSVVIKLRKHYLTEIVTVDPAT >Ma02_p07470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18137467:18147115:-1 gene:Ma02_g07470 transcript:Ma02_t07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLPLVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSSVQSHASSGQNFFLIVVRFLETFVGSMSSGVGVGFISALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSEKSQHFAAAFFHLISSLAETFVFIYMGFDIAMEQHRWSHLGFIFFSIIFIGVARAAHVYVCANLVNMARPTSRQIPLKHQKALWYSGLRGAMAFALALQSVHDLPEAHGKTIFTATTAIIVLTVILVGGSTGTMLEALEVVGDGQDGALETIESNNGYIAPSYQEGTSSGSNLKMRLKEFHKSTTSFTALDKNYLTPFFTSQNEEEDVSDESMRTPSRGRFQDRA >Ma02_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18137467:18149825:-1 gene:Ma02_g07470 transcript:Ma02_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLNVTAPPAVSPPPGKEQQAAGVGILLQISMLVLSFVVGHVLRRHKFYYVPEASASLIIGLLVGGLANISDTETSIRRWFNFHEEFFFLFLLPPIIFQSGFSLAPKPFFSNFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPLVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSSVQSHASSGQNFFLIVVRFLETFVGSMSSGVGVGFISALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTFSNLSEKSQHFAAAFFHLISSLAETFVFIYMGFDIAMEQHRWSHLGFIFFSIIFIGVARAAHVYVCANLVNMARPTSRQIPLKHQKALWYSGLRGAMAFALALQSVHDLPEAHGKTIFTATTAIIVLTVILVGGSTGTMLEALEVVGDGQDGALETIESNNGYIAPSYQEGTSSGSNLKMRLKEFHKSTTSFTALDKNYLTPFFTSQNEEEDVSDESMRTPSRGRFQDRA >Ma03_p31000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33402247:33409209:1 gene:Ma03_g31000 transcript:Ma03_t31000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNYRLLDSAATSLMSSPLRIFFRDVRHAFKLDELGLEIMGIAFPAALALLADPIASLIDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDAVSKSRSGDPESGEYRRSFSEDSEMKELITHNDHDEHAHTSSASLDKSTTMTECGHHKRIPSASSALAIGGILGFLQTLFLVFGAKPILNYMGIKHDSPMMTPACQYLTLRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVVGDATNIILDPIFIFVFQLGVSGAAIAHVISQYLIALILLWRLLRQVDILPPSIKDLQFGRFLKNGLLLLARVIAVTFCVTLAASMAARQGSIPMAAFQICLQIWLATSLLADGLAVAGQAILASAFARRDHARATSAASRVLQWGMVLGLVLCIILGTSLQFVSRLFTEDNEVLQLIHIGIPFVALTQPINSLAFVFDGINYGASDFAYSAYSMVLVALVSIGCLVVLSSSHGFIGIWIALSIYMSLRMFAGFWRIGTAKGPWSFLGS >Ma03_p31000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33402247:33409209:1 gene:Ma03_g31000 transcript:Ma03_t31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCERLLDSAATSLMSSPLRIFFRDVRHAFKLDELGLEIMGIAFPAALALLADPIASLIDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDAVSKSRSGDPESGEYRRSFSEDSEMKELITHNDHDEHAHTSSASLDKSTTMTECGHHKRIPSASSALAIGGILGFLQTLFLVFGAKPILNYMGIKHDSPMMTPACQYLTLRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVVGDATNIILDPIFIFVFQLGVSGAAIAHVISQYLIALILLWRLLRQVDILPPSIKDLQFGRFLKNGLLLLARVIAVTFCVTLAASMAARQGSIPMAAFQICLQIWLATSLLADGLAVAGQAILASAFARRDHARATSAASRVLQWGMVLGLVLCIILGTSLQFVSRLFTEDNEVLQLIHIGIPFVALTQPINSLAFVFDGINYGASDFAYSAYSMVLVALVSIGCLVVLSSSHGFIGIWIALSIYMSLRMFAGFWRIGTAKGPWSFLGS >Ma01_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2646629:2647665:-1 gene:Ma01_g04070 transcript:Ma01_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKTSNGDGGSWVSMALETVLAFAGVVTMVLALRLVGPTVVWFLAAEVPRAYASALAWLRPPYIYLVINGIIVTIAASSRFQKHPAADPSTLPVMNLAEVQAPEYEMKLAEDEPRRELSGRAKVEEEFVISTSSWSPKRRRGSLAEMPTESSVAAEAKPLLSTRFGHPKAAEPSADGKALGLERRNETLESTWRTITERRARPVKKSDTWDTRSGVCREEPAAAMRKSETLIEAAGAGAASRERPRREASKGQEELNRRVEAFIRKFNQEMRLQRQESLKHHMEMINRGNH >Ma10_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31272160:31276944:-1 gene:Ma10_g21480 transcript:Ma10_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPTGPPHAPASESLVMDPPRPEMVDADKQEASVIQGNQPLTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDIRYKNRELQLMRLMGHPNVISLKHCFFSTTNKDELFLNLVMDFVPETLYRVSKHYSNVNRGMPLIYVKLYMYQIFRGLAYIHNVLRVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGAAEYTTSIDIWSAGCVLAELLLGHPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFNKRMPLEAVDLASRLLQYSPNLRCSALEACAHPLFDELREHNARLPNGRPFPLLFDFNKQELLGASPALIDKLVPEHARRQCGLDFVQVAGT >Ma05_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28190168:28190574:-1 gene:Ma05_g19670 transcript:Ma05_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWVRYETFLTASFLVMLLHLLLPVCCFNESPLSRSEGMLVEEKARLGSAPPNCHNRCNECTPCTAVPDPTAPKRPSRVEPLLDDSFAEDKHSNYKPLGWKCRCGDNLYNP >Ma04_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25572488:25582506:-1 gene:Ma04_g23400 transcript:Ma04_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEERIRKNTVVPSRLLDRKKTPRSSVFAIFGGWVAATATMVRGKTQLKRIENATSRQVTFSKRRNGLLKKALELSVLCDAEIGLIIFSARGKLYEFASSSMRDTIERYQAHAKQCNSSSAIEHENQPSRQEAASLFTKIEHLEASKRKILGENLESSSIEELGELEIKLEQSLRKIRGKKYQLLEEELVQLQQKENTLAEENTLLREKLQHKLPSAASKEVVPYDISGEYAEVETELCIGCPGRGRRSGTLQR >Ma08_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1538385:1539217:-1 gene:Ma08_g01870 transcript:Ma08_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSQTARIGACVFCLGFISFLLAIFAETSKPPFGTPIQAKDAVICKFPDDPSILLGTLSVVTLVLAAIAGHVAVYFPYKGKSVPRNALFRSATLSTFFVLAEVLTVLALLMLLWTTISESLHRSRNVHRDLTTQCPTAKTGLFGGGAFLALDAALFWLVCQMLTLNARSDYLDEDDTKGEYVDVCTTEFDVAETHLPTA >Ma06_p38730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37376753:37381959:1 gene:Ma06_g38730 transcript:Ma06_t38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADSFPGCMGRMMSLFDLSAGMAKTKLLTERPHSDVVRNCSDVVKKPTYPAVAKTEGKQMVNEQRSSSPNKKSGRTPIKSLISQEMWDDTESIQNPPSVVARLMGLDSLPVQQSVKTDIKNSDNSLTGELRHYRQQEGDYLDESSACEGQFFTHENKDYRDVYEVQQQPSENVRVKDQSPPKVRYEEKSYQMRMALVRQKFTEAKRLATDEKLLDSKEFQDAVEVLNSNRDLFIKFLEEPNSFFTNHLFELQSVPLRPAKTCITVLKPSSTMETKGDKIIRRQPFIDSAEHVYKADKHYWSSGFSKPRIQSISQATRIVVLKPSPGKPDTITTTLPNNLPMLLGRRVSNGTLTTDELVGSREVAKEITRQLQESLSSNNDEALLSSVLLNGYIGDESSLNRSGRECMENDDGSTSDSEIATTATEYSWAYANIIGSPFSASSLTRVSHSPESSVIKEAKKRLSERWALVASNENGQEQIQLQRTSSTLGEMLAIPELKKEEGTKEELIHSDGKSCNGGPVLSSFLSTFATKDEHTGENSHRNLSRSNSIPLSSSACEVDELNVGISRSLIGKPVLQMEVPKSNSRKSSFKDKISSFFFSRGKKPSEEKPSRFPLVCDDRVQSGSSGNNGTISYDLSQSINNTLTAQTSLFSLDKICDGATEKTWPTEGALSLEKPGISGNIMQNQDRSCPISVSEAKSVDDVDYGLSLSSRSIIAGRPQALFRSPPIESVARSLSRGDSYLDIRSTKPLRSSMIISKVDEEHEQFVFVHKLISSAQMKKNKSMKFSGWHSLDSPLNPSLLYDSWHTDDEEGKHGKRQTSRRLLFDAVNAALLDISQSALFAAYPWTKPCCGPPKDDTVGTSAADEVWAIIRNWLSGEKCVPNESTSSSSSSRMVDGLVMKEVGGRQWTESRWSELCEFSKEMGGKVLDELIEEALAVLFDQ >Ma06_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14993282:14994305:1 gene:Ma06_g20860 transcript:Ma06_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:Projected from Arabidopsis thaliana (AT5G10390) UniProtKB/TrEMBL;Acc:Q0WRA9] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma02_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29311716:29314150:1 gene:Ma02_g24920 transcript:Ma02_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Ma08_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2462127:2462240:-1 gene:Ma08_g03370 transcript:Ma08_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLSLFFFLELINHGDGHHPINRKSSLNLPKCHRRR >Ma06_p37310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36402733:36402969:-1 gene:Ma06_g37310 transcript:Ma06_t37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNTKLFWENCYIMKENERLRKKAQLLNQENQALLSELKQKLAKSNANSKINNITDLNACPTPKKTPNASKPRTTY >Ma03_p33230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34646418:34650244:-1 gene:Ma03_g33230 transcript:Ma03_t33230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCMRLLLRSREGSIEDGSLTCGYSSFRGQRVSMEDYCDLKSTKIDGHTVHLFGIFDGHGGSHAAEYLKEHLFENLTRHPQFMSDTKLALSETYKKTDSDFLAAESNTSRDDGSTASTAVLIGKHLYVANVGDSRAVISKTGKAIPLSNDHKPNRSDERKRIEDAGGVVTWTGTWRVGGILAMSRAFGNRLLKQFVVAEPEIQEQVVDEELEILVLASDGLWDVVANEDAVSLVRVEEEPEAAARKLTETAFSRGSADNITCIVVRFHHDKFDVDQSPSPPPNSYSGLTS >Ma03_p33230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34646418:34651405:-1 gene:Ma03_g33230 transcript:Ma03_t33230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDSGPAAEPGAAANASPEKDRAGNGDYACGGWKSEDGSLTCGYSSFRGQRVSMEDYCDLKSTKIDGHTVHLFGIFDGETYKKTDSDFLAAESNTSRDDGSTASTAVLIGKHLYVANVGDSRAVISKTGKAIPLSNDHKPNRSDERKRIEDAGGVVTWTGTWRVGGILAMSRAFGNRLLKQFVVAEPEIQEQVVDEELEILVLASDGLWDVVANEDAVSLVRVEEEPEAAARKLTETAFSRGSADNITCIVVRFHHDKFDVDQSPSPPPNSYSGLTS >Ma03_p33230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34646418:34651500:-1 gene:Ma03_g33230 transcript:Ma03_t33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDSGPAAEPGAAANASPEKDRAGNGDYACGGWKSEDGSLTCGYSSFRGQRVSMEDYCDLKSTKIDGHTVHLFGIFDGHGGSHAAEYLKEHLFENLTRHPQFMSDTKLALSETYKKTDSDFLAAESNTSRDDGSTASTAVLIGKHLYVANVGDSRAVISKTGKAIPLSNDHKPNRSDERKRIEDAGGVVTWTGTWRVGGILAMSRAFGNRLLKQFVVAEPEIQEQVVDEELEILVLASDGLWDVVANEDAVSLVRVEEEPEAAARKLTETAFSRGSADNITCIVVRFHHDKFDVDQSPSPPPNSYSGLTS >Ma05_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3494394:3494919:-1 gene:Ma05_g04600 transcript:Ma05_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVAVVGELPQLPPLEMTAVDADHEECVTPRSEEPVLVCPPAPRKPRTAKRTSPESPPPREFFPVPRDLASVFLPLPPTKRIRVV >Ma09_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32158421:32158876:-1 gene:Ma09_g21270 transcript:Ma09_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENPTNRQVTYSKRRTGIMKKAKELTVLCDAEVSIIMFSSTGKFSEYCSPSTDTKKIFDRYQQVSGTNLWSAQYEARTTFISEFHVCICFHS >Ma04_p24830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26730642:26737057:-1 gene:Ma04_g24830 transcript:Ma04_t24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHTSRRGHADDSQEAGSRRHRFRSHFRGGSSGGGGGVGRVLRRPMEDVHATYSFGRELGRGQFGVTHLITNRASGEVLACKSIATRKLTNRDDLEDVRREVQIMHHLTGHRNIVELRGAFEDRRSVNLVMELCEGGELFDRIISRGHYSERAAAALCREIVNVVHCCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSTFFKHGDVFRDVLGSAYYIAPEVLRRNYGPEADIWSAGVIVYILLAGVPPFWAENDEGIFAAILHGHIDFSADPWPTISSGAKDLVKKMLRTNPKERLTAAEILKHPWIREDGEAPDKPLDLAVLTRMKQFRAMNKLKKVALKVIAESLSEEEIMGLKEMFKSMDTDNSGTLTVEELKAGLPKLGNLGIKISESEVKQLIEAADVDGNGSIDYLEFITATMHMNKMEKEDHLFKAFEYFDKDKSGYITIEELEQALTKYNMGDQQTIKEIIAEVDINNDGRINYEEFVAMMRNDSSEAIHKRK >Ma07_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3832150:3838521:-1 gene:Ma07_g05260 transcript:Ma07_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNHLSHELTLPQYAEQQLGGDSPAVLRTAMDQLAAPPHDTAASKEGKHFQRLMADAAATAGKPSMAGAGGPTWLNSAILRQQGHHYADGSFLHLQTSADSSASPVAAGGGGGGAGHWLPRPPILRRSGSEDEVPVSSDSAMAAAISAEPGGGRGHSGGELGEAEALAQGSGGGGEAGEGTWQNARYKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQQVVSKYSVLGGGGGQMLGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQAESETNLFDGSLDGPDSMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDSTSTLKAWWQSHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSTMKSKRKR >Ma09_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6844058:6845108:-1 gene:Ma09_g10030 transcript:Ma09_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKTRRGASPLPPPTMPKTAAAAAAKATMAPVPSARRQPVILKQPTQPRARCAEMAGGTAADCLAVCCCPPLALVNLLVVASVRLPAGLCRRAARARARRKERIRRRKEAALLAHKAGRGEERASSATTAEGEANGEGFRGSASASAAGGPSREEVAEMDNMWTQFSNMGFWRSPSEGEDRR >Ma08_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38035253:38035525:1 gene:Ma08_g25000 transcript:Ma08_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMRAWWTVLVVIVAAGSAVEASKSKTKACDKGGSAAAASTAATRPSASTCTCIGSRTSSASPTPPLPTPSASGTTRPLRAPRLRHHRR >Ma09_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3971241:3977254:-1 gene:Ma09_g06180 transcript:Ma09_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLGPHHPPPPPGHAAAAAAALNLAPPPRTEFADNKQASGDQGNEPVTGHIISTTIGGKNGEPKQTLSYMAERVVGTGSFGIVFQAKCLETRETVAIKKVLQDKRYKNRELQLMRTMAHPNVISLKHCFFSSTSSDELFLNLVMEYVPESLYGVLRHYSNVNQRMPLIFVKLYTYQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKLCDFGSAKVLVNGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGDSAVNQLVEIIKVLGTPTREEIRCMNPGYTEFRFPQIKAHPWHKIFHKRMPPEAIDLTSRLLQYSPSFRCTALEACAHPFFDELREPNARLPNGRPLPPLYNFKQELAGASPDLINKLIPEHARRQSGFGCLHMAGT >Ma08_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3919011:3920319:-1 gene:Ma08_g05770 transcript:Ma08_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEEYSKAITLAGRTKNVGLATELFSDAIDAGLRNACLYNALMSAYMYSGLTKKAVSVFEDLKQDFNCKPTIVTYNILLSVFGRSLLVDHMETVLQAIDDSDLSYTITTYNTAIAAYVTAWMWDKMERMYQSMVEGPIKPDAETLLLMLRGYAHSSNLEKMEKTYDQIKEMINNRQTPLIRTMIYAYTKSCHPDRVRKVEALMKLIPGDEYRHCLNVHLIRMYAQEGLTEAMEGLISEAFQHNTVVTTVGVMRSIISSYFKSNAVDRLAGFIRQAENAGWRLCRSLYHCKMVMYGQQNRLEEMHGVLDEMENFRFGRTKKTFFILYKGYSNIGRRLEAETVIGMMWKRGYGNPEDACVS >Ma11_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:453656:456918:1 gene:Ma11_g00640 transcript:Ma11_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFRSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRYKLQKELFIAAEGIYTGQFIYCGRKASLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVLARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSNCRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKAEKTS >Ma00_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:41623910:41624671:-1 gene:Ma00_g04840 transcript:Ma00_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVNCYDFMASFTGPNCCLNYSSVDIFLKYELQPTSVRTLVHFSQTFRRGVIAKYDYESSMANMAAYGESSPPEYHMSNIPHDLPLLLSYGGGDMLSDVKDVQLLLNDLSNHDADKLVAQLVKEYAHMDFVMAVNAKQLVYDGLIAFFNKHS >Ma02_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18696471:18700730:1 gene:Ma02_g08310 transcript:Ma02_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYPEVKWAQRLDKVYITVQLPDAKDVKVNLEPDGIFTFSATAGTGNNTYELKMDLYDKVDKDASKINIGVRSIFVVVAKAEKQWWKKLLRGDGKAPHYLKVDWDKWVDEDDDGPGDLDLGGMDFSNFNNMGGDAMDDDFEDSDDEEQSEKTEDSPKIGNDKAEASSEVKTEAAAST >Ma07_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5988756:5992457:1 gene:Ma07_g08030 transcript:Ma07_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGWWLMLVGLLRLVTVWFGFFDIWALRMAVFSQTQMTDVHGRTFGVWTLLTCTLCFLCAFNLQNKPIYTATLLSFIYAFGHFLTEYLIYHTMAASNLTTIGIFAGTSIIWMLVQWNAHQPQDSAKLE >Ma09_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:234731:239852:-1 gene:Ma09_g00280 transcript:Ma09_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPQGPPNFGNLQSGGWHSFLPPKCPIFTTSTSADFVGTKENSISREGLRTHQRTASESFLLEEQPSWLDDLLNESEMPVRKGSHRRSSSDSFTYLDTSSISWNGDSLAQDDHKYRTSAAVPSWGPQEFDYLKDMQLASYYNDANSTGGTRSRGLEFSKKMATYPSNSLPSAKDKLVLPGSSSATKKSDALSSTLMEGQVKEECSQDQVGSSDTKEGPQAKHSQSEMEARRAKQQFAQRSRVRKLQYIAELERNVQALQAEGLELSAQLQFLDQQNLILNLENKALKQQLDCLVHEHLVKCLQHETLGQEAARLRTLYHRQQQQQQQQPPPTHRRSKSRDLDSQFANLSLKGNESSSGPGQLHI >Ma09_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19671838:19688673:1 gene:Ma09_g19050 transcript:Ma09_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MIFCPADSASLPRRKALIDSCWIPLLMNHRFVRRLTSSALHSRIFSSNPPFFRFNPSPTPNVILPKARVKSRLLTPIPLDELLAQHRRTRRGLLAPTSSRTSAQGPGDKHDLAYEVFPRLLRQARLYMDSCDAQSLHLELIKRGFAGDLFFSNNLINLYAKAGDLSYARELFDQMQERNVVSWTCLITGHAQNGFLDEACRLFRLMIRSGLEPTRFTFGSVLRACQDSGPDRLFLGTQIHGLVSKTWHLTNTTVCNALISMYGGCRLDSTWDAQRVFDWTPAKHFITWNSIISVHSQRGDTISAFELFSGMQTGRLGCCLRPNEATYGSLITATYSCSNGGCILEQVLANVFKSGFSKDIYVGSALVSAFSRFGLLDRAKEIFEQMDEKNAISMNGLMVGLFKQNLGEAAVEVFRETRGSVIINSDSYVVLLSAISEFSKSEEGRKKGMEVHGHAIRTGLIASSIAIGNGLVNVYAKCGAVDDAAKVFDHLNVKDQISWNTMISGFDQNGFSKESLSSFRLMLRNDIQPSNYAIISTLSSCANLRLLSAGVQVHCIGTKLGLDMDVSVSNSLLTMYGACGRMSDCRRLFSYMTKYDQVSWNSMIGALASNKVFLTESLRIFLDMTRRGWYPNKVTIINVFTAVSALSDIVMCRQVHNLVLKHGMSGDIVLENALLSSYAKSGEMDSCECLFRKMADRRNEVSWNSMISGYIQNGLMQKAMDFVWFMIHNGPKMDGFTFATVLSACASIAALDSGMEIHTYGIRSHLETDVVVGSALVDMYAKCGRIDYASRVFESMNSRNEFSWNSMISGYARHGNGDKALEVFRKMQQWGQEPDLVTFVGVLSACSHAGLVEEGLKYFESMKNHGLVPQTEHYSCVIDILGRTGKLNEMEDFIKRMPVRPNNLIWRTVLVACRRSKDGAKSGIWKQACEMLLELEPENPVNYVLISSMYASRGRWEDVAKTRTAMRTLPVKKEAGRSWVTSHDGLHVFVAGDRSHPNTEEIYAELHVLIQKIRDAGYVPQAEFTLYDIDMETKEELLSYHSEKLAVAFVLTRSCRVPIRIMKNLRVCGDCHSAFCYISKIVGRKITLRDCNRFHHFENGKCSCGDFW >Ma09_p19050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19671838:19688673:1 gene:Ma09_g19050 transcript:Ma09_t19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MIFCPADSASLPRRKALIDSCWIPLLMNHRFVRRLTSSALHSRIFSSNPPFFRFNPSPTPNVILPKARVKSRLLTPIPLDELLAQHRRTRRGLLAPTSSRTSAQGPGDKHDLAYEVFPRLLRQARLYMDSCDAQSLHLELIKRGFAGDLFFSNNLINLYAKAGDLSYARELFDQMQERNVVSWTCLITGHAQNGFLDEACRLFRLMIRSGLEPTRFTFGSVLRACQDSGPDRLFLGTQIHGLVSKTWHLTNTTVCNALISMYGGCRLDSTWDAQRVFDWTPAKHFITWNSIISVHSQRGDTISAFELFSGMQTGRLGCCLRPNEATYGSLITATYSCSNGGCILEQVLANVFKSGFSKDIYVGSALVSAFSRFGLLDRAKEIFEQMDEKNAISMNGLMVGLFKQNLGEAAVEVFRETRGSVIINSDSYVVLLSAISEFSKSEEGRKKGMEVHGHAIRTGLIASSIAIGNGLVNVYAKCGAVDDAAKVFDHLNVKDQISWNTMISGFDQNGFSKESLSSFRLMLRNDIQPSNYAIISTLSSCANLRLLSAGVQVHCIGTKLGLDMDVSVSNSLLTMYGACGRMSDCRRLFSYMTKYDQVSWNSMIGALASNKVFLTESLRIFLDMTRRGWYPNKVTIINVFTAVSALSDIVMCRQVHNLVLKHGMSGDIVLENALLSSYAKSGEMDSCECLFRKMADRRNEVSWNSMISGYIQNGLMQKAMDFVWFMIHNGPKMDGFTFATVLSACASIAALDSGMEIHTYGIRSHLETDVVVGSALVDMYAKCGRIDYASRVFESMNSRNEFSWNSMISGYARHGNGDKALEVFRKMQQWGQEPDLVTFVGVLSACSHAGLVEEGLKYFESMKNHGLVPQTEHYSCVIDILGRTGKLNEMEDFIKRMPVRPNNLIWRTVLVACRRSKDGAKSGIWKQACEMLLELEPENPVNYVLISSMYASRGRWEDVAKTRTAMRTLPVKKEAGRSWVTSHDGLHVFVAGDRSHPNTEEIYAELHVLIQKIRDAGYVPQAEFTLYDIDMETKEELLSYHSEKLAVAFVLTRSCRVPIRIMKNLRVCGDCHSAFCYISKIVGRKITLRDCNRFHHFENGKCSCGDFW >Ma06_p25960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26570517:26575386:-1 gene:Ma06_g25960 transcript:Ma06_t25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFCSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKSQQ >Ma11_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4832624:4835316:1 gene:Ma11_g06050 transcript:Ma11_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRVPLLLLLLLLFLPPQPSSSSSDLNPNVFEADASNCTNIPYPFGVRNLSSFIPGFEIDCNVRGGLPTLSIGTKKLQLLNISVQEGYVRGLLSPLAFGYCSRGLAAPTTGISLEGTPFSFSDTRNKYTVIGCDAMVVFQGPGGSHAHNDIRGCVAFCASPFTQQSMVNGYCSGIGCCQAAVPRGLKSFNASHSSIRNLTHCHVDNSTCSEVFLVDQNDFTFSARDVNTITGSTTRPVVLDWAIGNETCDEVKHRNKSELACGHNSDCYDSPNGGYRCNCSQGYAGNPYLSTTQGCTDIDECSYPHSNPCVWKCINMRGSFHCPCPPGSSGDGKHGGSGCQRDTFLEIGLGVGLSLLVMIVGGGTWVYFGLQRRRLTKVKQQHFLQNGGLLLQQHVSSREFSARIFTIEELERATDNFDEVNVVGRGGHGTVYRGVLPDQQVVAIKRSKFMDESQIEHFINEVAILFRIRHRNVVRLLGCCLETQIPLLVYEFVSNGSLFQHLHESGGAPPLSWETRLRIAAETAGALAFLHCKPSAPVIHRDVKSANILLDENYTAKVSDFGASRLVPLNQTHVTTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLLELLTSEKPISFCRSETARNLVAHFYTYLKENNLLNLVDARLVEEAGAMQLLAIAQVAKTCVALESSERPTMKELAVELSALSRLMKRHAELRRPQEEEDGSSQRLAPHGSGNDVGRDDDAEMHLLWQDDDGSSKSRGNPPL >Ma03_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23275669:23276702:1 gene:Ma03_g17670 transcript:Ma03_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRRQIPTFGSWNYYDDLPITQYFESAMQAGLVRGQSFVEDADLYQVPSSPAKLSYHQRHQRKEKRGGDKQYQTGQQRKQGKVCDVTVTAPATPRRIRAPKAVDEDLYKIPPELLYQKPKRKRLLRGWSGCLGLHCVA >Ma08_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34834808:34835743:-1 gene:Ma08_g20750 transcript:Ma08_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVQGPAPPPVVDEESRRIQKNKRKPVVIYTVSPEVIHAEASEFMALVQRLTGPGSSRPDEAPARARPFSKTRDQQLPVRVKARALNGSGSEGAASAPDAPSPSVAASDTLFFHGSSPPSRAVRKDEPPMASHSWLLHGEYLDQSPRHQPGFP >Ma04_p13250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10031431:10033213:-1 gene:Ma04_g13250 transcript:Ma04_t13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGKSSLQVEPSDEQATRFKMPVDSEQKATEFWLFSFAAPHMQAFHLSWFSFFCCFVSTFAAPPLLPLIRDNLNLTTTDVGNAGIASVSGAVFARLAMGTACDLVGPRLASASLILLTTPAVYCTSVINSASSYLLVRFFTGFSLASFVSTQFWMSSMFSPPKVGVANGIAGGWGNLGGGATQLIMPLVYELIHHIGSTRFTAWRIAFFIPGLMQTLSAIAVLALGQDLPNGNYRKLEKAGDKHKDSFGKVFYHAVTNYRAWILALTYGYCFGVELTIDNIVAEYFYDKFNVNLRTAGMIAASFGLANIVSRPGGGFLSDWISTRYGMRGRLWSLWVVQSIGGVLCIVLGLMHNLSAAIAVMLLFSFFVQAACGFTFGVVPFVSRRSLGLISGMTGGGGNVGAVITQLIFFTGSRYSKETGITLMGVMILCCTLPISLIYFPQWGGMFCGPRADATAEDYYAAEWSDEEREKGYHSPSVKFAENSVREGGRRRRPSPTVPVEATPTDV >Ma04_p35930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34482313:34489360:-1 gene:Ma04_g35930 transcript:Ma04_t35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCRGSFGSKYHRRSSAEPPSSKCLHQSECSSVPDDSPKKPPKQQREAAAAVIMRRGFDPSSNYVLGHKTPDIRDLYILGRKLGQGQFGTTYLCTEIATGNDYACKSIAKRKLISKEDVEDVRREIQIMHHLSGHKNVVTIKGAFEDALYVHIVMELCSGGELFDRIIERGHFSERKAAELIRIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDSSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAIMKGVIDFDSDPWPLISESAKVLIKKMLCSLPSERLTAHQVLCHPWICEHGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAEGLSEEEIAGLREMFQAMDTDNSGSITFDELKAGLRRYGSTLKDTEIRDLMEAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMTDASLEDIIREVDQDNDGRIDYSEFVAMMRKGTMGIGRRTLRNSLNVSMRDAPGAL >Ma05_p26000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37792821:37798659:1 gene:Ma05_g26000 transcript:Ma05_t26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLLSRPPLSPQIPRNPDISSFLSPNPSLRFRSIVRSTTLSLRAIASRVPRAAAAASSSSAAAAAAAFPEAATGFLHCFSKGEDGFLYCEGVRVQDVMEAVEWSPFYLYSKDQITRNFEAYKEALQGLRSVVGYAIKANNNLKILEHLKDLGCGAVLVSGNELRLALRAGFDPARCIFNGNGKLLDDLVLAAEKGVFVNVDSEFDLENIVTAARVVGKRVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDAVKTHSSEINLVGVHCHLGSTITKVDIFRDAAILMVNFIDQIRAQGFQVEYLNIGGGLGIDYHHTGAVLPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYGAYQHIELVSPPLADAKVSTYDVVGPVCESADFLGKDRELPTPAKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVDKGSVVKIRHGETLEDFVKLFDGL >Ma04_p25440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27202414:27203155:-1 gene:Ma04_g25440 transcript:Ma04_t25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRGSRNAALLLSILLIACSPLNSLATTHVVGDSQGWGFSMSYANWANGKSFAPGDTLVFNYQAGLHNVVPVNAAGYRSCKASGSASKAATTGDDKFTLKKGANYFICSIPGHCEAGMKIQVIAN >Ma03_p21800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26711030:26719441:-1 gene:Ma03_g21800 transcript:Ma03_t21800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMEANGLDRTTRRDYIAREDSDAESLNGFGEFDPWTAWAYKPRTISLLLIGACLLVWASGALDPERTESTDVVTSVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVVYLAALTFLLFQNRDDARRFMKFLHPDLGVELPERSYGADCRIYVPENPKSRFNNVYETLFDEFVLAHILGWWGKAIMIRNQPLLWVLSVGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPARWDKDEWRPLLGPWRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGAFCWLSLAICIVELLICVKFGHGLFPNPMPAWLITFWTTVGTALVIFLLAWSWQIHLTVTKKRL >Ma03_p21800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26711030:26719441:-1 gene:Ma03_g21800 transcript:Ma03_t21800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMEANGLDRTTRRDYIAREDSDAESLNGFGEFDPWTAWAYKPRTISLLLIGACLLVWASGALDPERTESTDVVTSVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVVYLAALTFLLFQNRDDARRFMKFLHPDLGVELPERSYGADCRIYVPENPKSRFNNVYETLFDEFVLAHILGWWGKAIMIRNQPLLWVLSVGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPARWDKDEWRPLLGPWRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGAFCWLSLAICIVELLICVKFGHGLFPNPMPAWLITFWTTVGTALVIFLLAWSWQIHLTVTKKRL >Ma03_p21800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26711030:26719275:-1 gene:Ma03_g21800 transcript:Ma03_t21800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMEANGLDRTTRRDYIAREDSDAESLNGFGEFDPWTAWAYKPRTISLLLIGACLLVWASGALDPERTESTDVVTSVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVVYLAALTFLLFQNRDDARRFMKFLHPDLGVELPERSYGADCRIYVPENPKSRFNNVYETLFDEFVLAHILGWWGKAIMIRNQPLLWVLSVGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPARWDKDEWRPLLGPWRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGAFCWLSLAICIVELLICVKFGHGLFPNPMPAWLITFWTTVGTALVIFLLAWSWQIHLTVTKKRL >Ma03_p21800.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26711030:26719600:-1 gene:Ma03_g21800 transcript:Ma03_t21800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMEANGLDRTTRRDYIAREDSDAESLNGFGEFDPWTAWAYKPRTISLLLIGACLLVWASGALDPERTESTDVVTSVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVVYLAALTFLLFQNRDDARRFMKFLHPDLGVELPERSYGADCRIYVPENPKSRFNNVYETLFDEFVLAHILGWWGKAIMIRNQPLLWVLSVGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPARWDKDEWRPLLGPWRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGAFCWLSLAICIVELLICVKFGHGLFPNPMPAWLITFWTTVGTALVIFLLAWSWQIHLTVTKKRL >Ma03_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26711030:26718917:-1 gene:Ma03_g21800 transcript:Ma03_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRNILGICSLFFFWEVSTIFCYWRRVLPWMGFMEANGLDRTTRRDYIAREDSDAESLNGFGEFDPWTAWAYKPRTISLLLIGACLLVWASGALDPERTESTDVVTSVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVVYLAALTFLLFQNRDDARRFMKFLHPDLGVELPERSYGADCRIYVPENPKSRFNNVYETLFDEFVLAHILGWWGKAIMIRNQPLLWVLSVGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPARWDKDEWRPLLGPWRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGAFCWLSLAICIVELLICVKFGHGLFPNPMPAWLITFWTTVGTALVIFLLAWSWQIHLTVTKKRL >Ma03_p21800.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26711030:26719441:-1 gene:Ma03_g21800 transcript:Ma03_t21800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMEANGLDRTTRRDYIAREDSDAESLNGFGEFDPWTAWAYKPRTISLLLIGACLLVWASGALDPERTESTDVVTSVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVVYLAALTFLLFQNRDDARRFMKFLHPDLGVELPERSYGADCRIYVPENPKSRFNNVYETLFDEFVLAHILGWWGKAIMIRNQPLLWVLSVGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMRTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPARWDKDEWRPLLGPWRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVIYRLILWWLIAIPTIREYNTYLQDRKPVKKVGAFCWLSLAICIVELLICVKFGHGLFPNPMPAWLITFWTTVGTALVIFLLAWSWQIHLTVTKKRL >Ma03_p26780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30378093:30379597:-1 gene:Ma03_g26780 transcript:Ma03_t26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAGTGIEVMIAIPNDMLAMMNDYDAAKEWVKKNVTRYNFEKGVNIKYVAVGNEPFLKSYNDSFTNITFPALKNIQNALNEAGVGDTIKATIPLNADVYDSPRSNPVPSAGKFRPDIADLMAQIVEFFSQNGAPFIVNIYPFLSLYGNSDFPIDYAFFDGTSNPVLDEGVEYTNVFDANFDTLVSALKKVGFGDLPIVVGEVGWPTDGDVNANVTMAERFYAGLLKRLAAGTPLRPNSTIEVYLFGLMDEDAKSVAPGNFERHWGLFTYDGQPKFPVDLSGQGQNQLLVGAKDVEYLPRKWCAVNPSATDLSKLADNVKYACAHADCTSLGYGCSCNGLDARANASYAFNVYFQTQNHKDESCDFQGLAAVTTQNMSNGTCNFTIQIVPSASSFLRPQLSVLLSAIAGLLSWICF >Ma02_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19710451:19714636:-1 gene:Ma02_g09980 transcript:Ma02_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLLYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPVWGPFASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYFAPRGVFLAMYICLTIIWAVLNTFALEVIAIIDIISIWWQVIGGSVIVIMLPLVSLTTKPASYVFTHFETAPDSTGITSKAYAVILSILVSQYSLYGYDAAAHLTEETKGADRNGPIAILSSIGIISVFGWAYILALTFSIQDFNYLYDTGNETAGAFVPAQILYDAFHGRYNNSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDKGIPFSSVWRKIHPKHKVPANAVWLCAAICILLGLPILKVNVVFTAITSICTVGWVGGYAVPIFARMVMAEKRFKPGPFYLGRATRPICLVAFLWICYTCSVFLLPTLYPIKWDTFNYAPVALGICLTLVMLWWVLDARKWFKGPVRNIDVQDGKV >Ma02_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29272983:29273261:-1 gene:Ma02_g24820 transcript:Ma02_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLSRGSTSSWTPQQNKLFERALAVYDKDTPDRWQNVARAVGGGKTAEEVKKHYELLLEDLEHIESGRVPYPNYKSSGSRDGGADEEQR >Ma03_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8281182:8287320:1 gene:Ma03_g10980 transcript:Ma03_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWKQSKRVSWAKELHQVRLFLAEDAPAISGAGTQDHLQAKGSWLLHAASTGNDDSSLPPGFEAPHPAYKLRSEISQIPLIKWTCPIQVLVNPEWLVAAGEESAEVAVQSQRQVSLLEAIYPRLTSVPPNPSVSSEVQDSVYNDFQTPVIPVTAIEDEDSSEQFETARSAAASPQVQQTNDHNLQGMIKKHDLPNLNSVTTQIQHRDVQTMHHGETTAGRIIQIAKPDVATAASAAFTAIMKSSEDGSMIDRDLLIRILSNPSLVEKLVSEHGAPKQARVPLASAAVSHPHPYVPVQAPALAPAVPPQLPHINTGTCSPFPVLRNTQMYPFPSSMPPQAANSHASHIQICVQAAAKDANYLKGLVHQHGGEKQDSPDLNFVHAANYQNNIAATNAVDPFGSTQQREAKPKIPRPCAYFNTPKGCRHGESCSYQHVPSLPRRTEQPRGSKRIKLDRGIAGRNY >Ma06_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8614416:8616197:-1 gene:Ma06_g12410 transcript:Ma06_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVDACMSSLMSGKGFSSMNHRYRERFNSWNESDELGVFEATRYFSDATDGVGLVGGFGPRGAVAVEQTVPRSSDGRLISGSPSLTRAKKCGKQPGSPGAKLVGYLNSFFHQAASRRKPKCSNPTSTSREPREDGEEVEKRPGDQKERRRSITGHSQSTKTAGTKSSNFCPRSEVRGRRRSITEVSKERENWENKQVPNGAWPRGRNKTFGRTGKEVEDDDGDSDSSSDLFELKICDRGGLSDGLPVFATTDIQAIKRDTAISSSAS >Ma05_p30170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40680799:40685457:1 gene:Ma05_g30170 transcript:Ma05_t30170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRIVFSRIQNLDPNNAAKIMGLLLLQEHGEKEMIRLAFGPETQLHSVVLKARKEIGLVSVPTSSAPGTPSAAGAPASPFLLRQNSASRLLSGGLPSPLAVSSPSSWAPPSVFSRSNSGTGLNGSLDELQNSDELISPSNVSVSPFYGGGGDLVDEFHLPDQLSFLGDPVAALDSSHSVSITSKLGGDMFHPDIECRSPSGNGDGALFPYGMGWGVNGYHHRRSCSAADLCLGDPAAGFGWKPCLYFARGYCKNGTACRFLHGLPEEAAAAAAVVAGTKMDGVVEQQFQELLLRSKSQRIGNASQLMASAFPYSPTGSVPPSPSSSSSKCLSFLLQQQQQQQQQNESQRAAAAAAAAALMLGGDEAHKFMGRSRLERSDLMANPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRKQQQQQHAERGDFSACTTPTALDARDAYDLQLLGARMLYSGGGGQELLLRRKLEEQHQQAAEMQRAIELQGRRFMGLHLDLSNRGLSSSAPASINSPTIAAAQSIGNADGSSNVSSSSSSSQEGSPAEGDKKSLSAAAPEEKVNSGHGLLQQKAGQEQSAREANPNEDGGFQESAEHNLPDSPFASPPTKSPFMPDSFSAGEDMSTCCITNNSSSSSNNSHLIASTLLPTTSSLDVPSFSSSCFFQMPRFSSGHGAVGM >Ma05_p30170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40681492:40685455:1 gene:Ma05_g30170 transcript:Ma05_t30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNGSSTELASTFNAHSLWFLFLCSSIAIRGEAQEEPRRRKTRKREEEEEYSFQFTSLMDAYEATRIVFSRIQNLDPNNAAKIMGLLLLQEHGEKEMIRLAFGPETQLHSVVLKARKEIGLVSVPTSSAPGTPSAAGAPASPFLLRQNSASRLLSGGLPSPLAVSSPSSWAPPSVFSRSNSGTGLNGSLDELQNSDELISPSNVSVSPFYGGGGDLVDEFHLPDQLSFLGDPVAALDSSHSVSITSKLGGDMFHPDIECRSPSGNGDGALFPYGMGWGVNGYHHRRSCSAADLCLGDPAAGFGWKPCLYFARGYCKNGTACRFLHGLPEEAAAAAAVVAGTKMDGVVEQQFQELLLRSKSQRIGNASQLMASAFPYSPTGSVPPSPSSSSSKCLSFLLQQQQQQQQQNESQRAAAAAAAAALMLGGDEAHKFMGRSRLERSDLMANPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRKQQQQQHAERGDFSACTTPTALDARDAYDLQLLGARMLYSGGGGQELLLRRKLEEQHQQAAEMQRAIELQGRRFMGLHLDLSNRGLSSSAPASINSPTIAAAQSIGNADGSSNVSSSSSSSQEGSPAEDKKSLSAAAPEEKVNSGHGLLQQKAGQEQSAREANPNEDGGFQESAEHNLPDSPFASPPTKSPFMPDSFSAGEDMSTCCITNNSSSSSNNSHLIASTLLPTTSSLDVPSFSSSCFFQMPRFSSGHGAVGM >Ma05_p30170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40680799:40685457:1 gene:Ma05_g30170 transcript:Ma05_t30170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRIVFSRIQNLDPNNAAKIMGLLLLQEHGEKEMIRLAFGPETQLHSVVLKARKEIGLVSVPTSSAPGTPSAAGAPASPFLLRQNSASRLLSGGLPSPLAVSSPSSWAPPSVFSRSNSGTGLNGSLDELQNSDELISPSNVSVSPFYGGGGDLVDEFHLPDQLSFLGDPVAALDSSHSVSITSKLGGDMFHPDIECRSPSGNGDGALFPYGMGWGVNGYHHRRSCSAADLCLGDPAAGFGWKPCLYFARGYCKNGTACRFLHGLPEEAAAAAAVVAGTKMDGVVEQQFQELLLRSKSQRIGNASQLMASAFPYSPTGSVPPSPSSSSSKCLSFLLQQQQQQQQQNESQRAAAAAAAAALMLGGDEAHKFMGRSRLERSDLMANPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRKQQQQQHAERGDFSACTTPTALDARDAYDLQLLGARMLYSGGGGQELLLRRKLEEQHQQAAEMQRAIELQGRRFMGLHLDLSNRGLSSSAPASINSPTIAAAQSIGNADGSSNVSSSSSSSQEGSPAEGDKKSLSAAAPEEKVNSGHGLLQQKAGQEQSAREANPNEDGGFQESAEHNLPDSPFASPPTKSPFMPDSFSAGEDMSTCCITNNSSSSSNNSHLIASTLLPTTSSLDVPSFSSSCFFQMPRFSSGHGAVGM >Ma06_p33460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34090008:34091120:-1 gene:Ma06_g33460 transcript:Ma06_t33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRNQHHQQQQEQERRKQEENKTKKKKKKKKLTSTSSSFSFSSVLPFSWLAKLKPKRRTAPVVVPPGAVFTPPPSPSPPDPQLDPLAYCSAAPGRLSVGEDGGGSRPRITPAAARQRSARHHSVGDLEITLGHIIPFSRRSTRRWVESDSGSDASGCDLGLGRRPPRPRRSTRTTVVYTSDQRVDTDGRDGLPRRSFGGKIQHRAKVRVRSPRAAAAKAEVERIKAAARRAEGKRKGLERFAVVKCSRDPQRDFRESMVEMIRQKGIGRPEEMESLLACYLSLNSDEHHDVIVKVFRQVWFELSQSPIDGIDGSTDQC >Ma08_p34020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44135857:44140511:1 gene:Ma08_g34020 transcript:Ma08_t34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRNHLEETLPEWRDKFLAYKALKKLIKHLPQPPPLGVDLPDEPPRGAARPLDAVLEAWFVGILNEELEKFNDFYVDKEEDFVIRLQELKERIEKIRANKSGAFTSEREFSEEMLDIRKAFVTIHGEMVLLKNYSSLNFAGLVKILKKYDKRTGALLSLPFTQRALHQPFFTTEPLTRLVRECEANLEMLFPLEAEVIESDQTGKGETHEPHDPEGSSDRADNIGVYRSTLAAMKAIQGLRKASSTYNPLSLAQFFHGQDEDDGSGAVTTENSASNSLTNSQNQEADEESVHSDD >Ma10_p22380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31783812:31787976:-1 gene:Ma10_g22380 transcript:Ma10_t22380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGIPLFFFSGSNRRIPLRFRQLSPGSFARIKPLLYWCLAFALLLLLLLCRRPSHSSGTSLCPGKGYTILINTWKRNDLLKQSVVHYASCVGVESIHIVWSEPDQPSDSLCDALWQAAQLNSRGCNGTELRFDLNEEDSLNNRFKEIMDLETDAIFSIDDDVLFPCASVELAFAVWQSAPTTMVGFVPRMHRLDRMKGNEEYYRYGGWWSVWWMGTYSMVLSKAAFFHRRYLNLYTNHMPASIRHYVTKYRNCEDIAMSFLVANTTGSPPIWVKGCGSQIDDVKLDFILVKRIRILFSFPMGWAKKPFGRHRHWMQRPFNGQCRGQILNSFLSALFHLSLALALTMALLTLGLEMGAAPVAVAASRLSFSRSGSLVGSSSVVSLSLLSASTPLTSAHAPPPSLSINCGRGDKKTAKGKRFKHSFGNARPRDKTKGRGPPRSPVPPSPPKKDRFDDGEVVKIEIDESLFS >Ma10_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31783812:31787976:-1 gene:Ma10_g22380 transcript:Ma10_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGIPLFFFSGSNRRIPLRFRQLSPGSFARIKPLLYWCLAFALLLLLLLCRRPSHSSGTSLCPGKGYTILINTWKRNDLLKQSVVHYASCVGVESIHIVWSEPDQPSDSLCDALWQAAQLNSRGCNGTELRFDLNEEDSLNNRFKEIMDLETDAIFSIDDDVLFPCASVELAFAVWQSAPTTMVGFVPRMHRLDRMNFFFSSKIPVQKGNEEYYRYGGWWSVWWMGTYSMVLSKAAFFHRRYLNLYTNHMPASIRHYVTKYRNCEDIAMSFLVANTTGSPPIWVKGCGSQIDDVKLDFILVKRIRILFSFPMGWAKKPFGRHRHWMQRPFNGQCRGQILNSFLSALFHLSLALALTMALLTLGLEMGAAPVAVAASRLSFSRSGSLVGSSSVVSLSLLSASTPLTSAHAPPPSLSINCGRGDKKTAKGKRFKHSFGNARPRDKTKGRGPPRSPVPPSPPKKDRFDDGEVVKIEIDESLFS >Ma10_p22380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31783812:31787622:-1 gene:Ma10_g22380 transcript:Ma10_t22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETDAIFSIDDDVLFPCASVELAFAVWQSAPTTMVGFVPRMHRLDRMNFFFSSKIPVQKGNEEYYRYGGWWSVWWMGTYSMVLSKAAFFHRRYLNLYTNHMPASIRHYVTKYRNCEDIAMSFLVANTTGSPPIWVKGCGSQIDDVKLDFILVKRIRILFSFPMGWAKKPFGRHRHWMQRPFNGQCRGQILNSFLSALFHLSLALALTMALLTLGLEMGAAPVAVAASRLSFSRSGSLVGSSSVVSLSLLSASTPLTSAHAPPPSLSINCGRGDKKTAKGKRFKHSFGNARPRDKTKGRGPPRSPVPPSPPKKDRFDDGEVVKIEIDESLFS >Ma04_p01530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1373636:1379396:1 gene:Ma04_g01530 transcript:Ma04_t01530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDDNNAMIDDRPPPNPSSRAFLSNSINEEFGSKSFSDFLTENGNVGFRWTCENQKMGINTKIEEAEAGNDFSNDASVQPKPFDAPKSCSAVGLAERMAARKGFNVPKLDTARIPPATIVSSSEICSPYLTIPPGLSPTMLLESPVFLANCLAQPSPTTGKFNFADIDSNPMSLSLSAVSTKSDNDLFEDIPEAFSFKPPLESHSHLSSTEEKQQELPGIEVSIQSGKPTQTGTIEADNNNFQNEQKFHLQAGFSVPSDRKDTSDNIMLNQRVSDSIVGTDYAPTVDTQQDGEADLRELSAAVGTPAEDGYNWRKYGQKQVKGSEYPRSYYKCTNPKCQVKKKVERSHEGHITEIIYKGAHNHPKPHTSRRLLHQFGDPQIDGSEQPGSQTNFDGKPVKGSLHSGNGGQDWWGDSLEATSSAPVAAEQCDPSNSLQQNQEGTHLSPEAIGVSSTMSNDEEEDDRATHGSVSLGCDGEGDETESKRRKLEASAIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCTVRKHIERASHDLKSVITTYEGKHNHDVPVARNSGQPSSAQSNTTANAAPQHNGLLQRPEPTQDGFVRFDGHAALGTFGFPGREQLGQPSSFPFSMAQPGLANLAIAGLGPMAAAMKMPVVPPLHPYLSHLQLTEAGLMVPKLEPKEESMPDSELPVLNAASIYHQMMSRLPLGPQL >Ma04_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1373636:1379396:1 gene:Ma04_g01530 transcript:Ma04_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKPLFLLPPSKWCDSAQPSPTTGKFNFADIDSNPMSLSLSAVSTKSDNDLFEDIPEAFSFKPPLESHSHLSSTEEKQQELPGIEVSIQSGKPTQTGTIEADNNNFQNEQKFHLQAGFSVPSDRKDTSDNIMLNQRVSDSIVGTDYAPTVDTQQDGEADLRELSAAVGTPAEDGYNWRKYGQKQVKGSEYPRSYYKCTNPKCQVKKKVERSHEGHITEIIYKGAHNHPKPHTSRRLLHQFGDPQIDGSEQPGSQTNFDGKPVKGSLHSGNGGQDWWGDSLEATSSAPVAAEQCDPSNSLQQNQEGTHLSPEAIGVSSTMSNDEEEDDRATHGSVSLGCDGEGDETESKRRKLEASAIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCTVRKHIERASHDLKSVITTYEGKHNHDVPVARNSGQPSSAQSNTTANAAPQHNGLLQRPEPTQDGFVRFDGHAALGTFGFPGREQLGQPSSFPFSMAQPGLANLAIAGLGPMAAAMKMPVVPPLHPYLSHLQLTEAGLMVPKLEPKEESMPDSELPVLNAASIYHQMMSRLPLGPQL >Ma04_p01530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1373636:1379396:1 gene:Ma04_g01530 transcript:Ma04_t01530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDDNNAMIDDRPPPNPSSRAFLSNSINEEFGSKSFSDFLTENGNVGFRWTCENQKMGINTKIEEAEAGNDFSNDASVQPKPFDAPKSCSAVGLAERMAARKGFNVPKLDTARIPPATIVSSSEICSPYLTIPPGLSPTMLLESPVFLANCLAQPSPTTGKFNFADIDSNPMSLSLSAVSTKSDNDLFEDIPEAFSFKPPLESHSHLSSTEEKQELPGIEVSIQSGKPTQTGTIEADNNNFQNEQKFHLQAGFSVPSDRKDTSDNIMLNQRVSDSIVGTDYAPTVDTQQDGEADLRELSAAVGTPAEDGYNWRKYGQKQVKGSEYPRSYYKCTNPKCQVKKKVERSHEGHITEIIYKGAHNHPKPHTSRRLLHQFGDPQIDGSEQPGSQTNFDGKPVKGSLHSGNGGQDWWGDSLEATSSAPVAAEQCDPSNSLQQNQEGTHLSPEAIGVSSTMSNDEEEDDRATHGSVSLGCDGEGDETESKRRKLEASAIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCTVRKHIERASHDLKSVITTYEGKHNHDVPVARNSGQPSSAQSNTTANAAPQHNGLLQRPEPTQDGFVRFDGHAALGTFGFPGREQLGQPSSFPFSMAQPGLANLAIAGLGPMAAAMKMPVVPPLHPYLSHLQLTEAGLMVPKLEPKEESMPDSELPVLNAASIYHQMMSRLPLGPQL >Ma04_p01530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1374251:1379396:1 gene:Ma04_g01530 transcript:Ma04_t01530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDDNNAMIDDRPPPNPSSRAFLSNSINEEFGSKSFSDFLTENGNVGFRWTCENQKMGINTKIEEAEAGNDFSNDASVQPKPFDAPKSCSAVGLAERMAARKGFNVPKLDTARIPPATIVSSSEICSPYLTIPPGLSPTMLLESPVFLANCLAQPSPTTGKFNFADIDSNPMSLSLSAVSTKSDNDLFEDIPEAFSFKPPLESHSHLSSTEEKQQELPGIEVSIQSGKPTQTGTIEADNNNFQNEQKFHLQAGFSVPSDRKDTSDNIMLNQRVSDSIVGTDYAPTVDTQQDGEADLRELSAAVGTPAEDGYNWRKYGQKQVKGSEYPRSYYKCTNPKCQVKKKVERSHEGHITEIIYKGAHNHPKPHTSRRLLHQFGDPQIDGSEQPGSQTNFDGKPVKGSLHSGNGGQDWWGDSLEATSSAPVAAEQCDPSNSLQQNQEGTHLSPEAIGVSSTMSNDEEEDDRATHGSVSLGCDGEGDETESKRRKLEASAIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCTVRKHIERASHDLKSVITTYEGKHNHDVPVARNSGQPSSAQSNTTANAAPQHNGLLQRPEPTQDGFVRFDGHAALGTFGFPGREQLGQPSSFPFSMAQPGLANLAIAGLGPMAAAMKMPVVPPLHPYLSHLQLTEAGLMVPKLEPKEESMPDSELPVLNAASIYHQMMSRLPLGPQL >Ma04_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11627083:11628998:1 gene:Ma04_g15330 transcript:Ma04_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHRGGNSMLAVNLFKLRLPGFPLPLLTSLKVSHAHSQTLAIRCLSSSYIAPNSFQRHPPPPPPPPTHGPRPSGPYSYPQDHRNPNRWPPQNQHHQRPPPPFDSHPTVGGRYPPFAGRPPVDTGRPPVADVPPPPLAAPPPGPIELVALAQEGKLKEAVDLLNQGVLPDPPTFFDLIASCSDSKHLDELKKIYDFFFRSPFRADLQINNKLLEMFSKCGSMIDARRVFDRMPDRTMDSWHLMIDGYAVNNLGDDGLQMFEQMRKVGVCPNESTFLSVLAACASAEAVEEGFIHFDAMHKENGISPQVEHYIGLIEVLGKSGHLNEAMEFIEKLPFEPPVAVWEAMMNLARAQGDVDLEDCAAELMTFLDPSKILRSKIPTPTAKRRSGLNMLDGRNKLGEYRLPPKIEKKVVKEQVYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARMPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >Ma08_p29940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41369354:41370921:-1 gene:Ma08_g29940 transcript:Ma08_t29940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] MEGATDQVIERPMWKKVAYGGMQPGYDDNYTDESFLEEMVMNANVVKRDLWKVMQDSVSITQYICIVALVVSVWAHTLSLNIDEISLLKLDVGLLALGFSILLITTSQLSVQLLSRYFLNISFFICGLYILAPIYHTLTRSMSSDSIVALTVSLLIIHLFLHDYSGSTIRPPGALKNPNLASNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFAPLITFCIKKYSNRVHLGFSFALMSMTLSVVYQLHGMLFVLLLGLLLFISVVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >Ma08_p29940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41369354:41370921:-1 gene:Ma08_g29940 transcript:Ma08_t29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] MEGATDQVIERPMWKKVAYGGMQPGYDDNYTDESFLEEMVMNANVVKRDLWKVMQDSVSITQYICIVALVVSVWAHTLSLNIDEISLLKLDVGLLALGFSILLITTSQLSVQLLSRYFLNISFFICGLYILAPIYHTLTRSMSSDSIVALTVSLLIIHLFLHDYSGSTIRPPGALKNPNLASNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFAPLITFCIKKYSNRVHLGFSFALMSMTLSVVYQLHGMLFVLLLGLLLFISVVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >Ma11_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20536845:20540616:-1 gene:Ma11_g14830 transcript:Ma11_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGDRIDDQEPLWVAHAKRPWDPPLAEGGLIRAWTAGKRLRGAGVPIHRVVVSPFLRCLQTAAEVIRALCCVVDDDSRLLAMETSQDAILDPARVKVSIEYGLSEMLNSEAIGSTVAPKDKNWFPHISDLGALLPSGTLDQFAESVYKELPHWEESALEARKRYVSVIGALAEKYPNENLLLVSHGEAIGVSITSALEDSMVFDVEYCASCHLQRKILSNPSQSFNSEDFKVITESGQTGIAYGRTS >Ma08_p32650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43291114:43294095:1 gene:Ma08_g32650 transcript:Ma08_t32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAKKERNEEVESDGGDGGVEMVRVLVVDDSPVDRKIVEMLLKKSGRMFEVIAVDSGEKAMEVLGLSGVTIGRPHFNEQKIDVILTDYCMPQMTGYDLLKAIKEHDSLKSIPVVMMSSENDPQRISRCGGIGAEDFILKPLKVKDVQRLITYAVPRTPISKSGTKRKLPVDLVAENSGYETCQRVAKVAVA >Ma05_p31300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41272173:41274032:1 gene:Ma05_g31300 transcript:Ma05_t31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEISPAPSDAKSKVWSTAAALRGHDASAIAAYEHYLRLPELAKLWSSNDFPRWTNESILKPALQGLEITFRFVSLALSDPRPYANHREWKRRLESLAARQVEIIATLCEEEARAGGGAPIADLSSCQGLLSRGKSSQEVWKVPGTSSVVSRTSEASLLPRLATWEKSEDVASKILFQIESQMHRCQFTLGLGEPNLTGKPTLEYDLVVRPSDLHALKRSPGSSKDLHNHEDQALCTIQQILESWLFAARELLARVDQRMDDKDWAQAANDCWLLERVWKLLSDVEDLHLLMDPDDFLRLKSQLAIKATSGSEAFCFRSAALLQVTNSCKDLKRRVPWILGVEADPNGGPRVQDAAMRLFHSRRRGEGDNPGKIDLLQALQAVEVALKTFFFAYRHLVATVMGSLEASGNRAVYTPSEALDPLSQVFLEPPYYPSLDAAKTFLGDFWQNELGSGASKTKWH >Ma08_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4818413:4824851:-1 gene:Ma08_g07030 transcript:Ma08_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGSRSLLSFSCNLCKTKVLVIGSFRPLDVKTKRSFEIVCHAMLTPRKFMQRRKKVEIFKDAADEAEQKNWRKLMKEIEELGSAVPILKTQRAKMDALPRDLVLGTLVRFKQLKKWGLVSEILEWLRSQHWWDFSEMDFLMLITAYGKLGDFNRAERVLKYMNKKGYSPSVISHTALMEAYGRARQFSKAEAIFRRMQSAGPDPSPVTYQIILKTFVEGDMFKEAESVFESLLNEERSSFKPDQKMFHMMIYMYKKAGNYDQARRTFALMDERRIPQSTVTYNSLMSFETDYKEVSRIYDKMQRAGVKPDVVSYALLISAYGKARREQEALAVFEEMLDAGVRPTRKAYNILLDAFAISGMVDEARTVFKSMRRDKYEPDLCSYSTMLSAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYAKLNNLEKVMRVYERMRLQGVEANQTIYTTIMDAHGKNSDFGSSVIWFKEMSAHGLPPDQKAKNILLSLAKTPEEQKEANELVGNPSVSLHDSPKDSQITEFVGDDEHGEQLKCAKTTNSGRDLTAFGHLVVNASKFTDLGNDDDNDYDDDDVEEDEYEEEKEEEHTDFIMSSSVRL >Ma04_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26704949:26708473:1 gene:Ma04_g24760 transcript:Ma04_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPCFRSRLRIIITMLYVPVALSLNVTVFSGEPTTSLTSPSSQASSTTSSSSLIPPLPTLEP >Ma07_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3120351:3121492:-1 gene:Ma07_g04130 transcript:Ma07_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGSGLDLPGFRFHPTEEELLDFYLKRMVLGKKLQFDVITSINLYRHEPWELPGLARMGEREWYFYVPRDRRQASGGRPSRTTERGFWKATGCDRPVRSATDPKRLIGLKKTLVYYEGRAPRGSKTDWVMNEYRLPDPPPSSSDATPKEDIVLCKVYRKATSMKELEQRAAAMEEDMNSGSSMTDSSPGWPDQDSFQLGPPAGVDDVILIEDTEEEKMAAAVAAAAAELMEEKEEVVAAAGASTRRPSLPELEVPKSSGMEWLQDPFLTQLRSPWLMDFWSPSFNSILNF >Ma06_p35980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35645406:35646912:-1 gene:Ma06_g35980 transcript:Ma06_t35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNAVDRWSLRGTTALVTGGTKGIGYAIVEELAKLGAAVYTCSRNEEELRKCLQQWEAKNFKVTGSICDVSSAVEREKLMEKVKSEFDGKLNILVSNAGTGSMKPVMAVTLEEYKFVTGTNFDSAFHLCQLAHPLLKATGRGTIVFNSSIAGMVGIDNFSVYAMTKGAMNQLTKNLACEWAKDNIRTNSVAPGFIKTPLIKEALENEAYVAAETRRIPQGRLGEVEDVAPLVAFLCLPASSFVNGQVVVVDGGRIVNANI >Ma09_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10950765:10959349:-1 gene:Ma09_g15640 transcript:Ma09_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMERAWDSGRRASRSLSRSISRGMGMGNWGMEDVFARSSTRGRSWGSRSGVDDDEEALRWAALEKLPTYSRLRTGILRSVVAEGEQGRRQYQHKEVDVRKLGVNERQEFIERVFKVAEEDNERFLKKLRNRIDKVGIQLPTVEVRFEHLNVEAKCHVGNRALPSLANTARDIAESAVGLLGINLTKRTCLTILKDISGIIQPSRMTLLLGPPSSGKTTLLLALAGKLDPTLKTRGEISYNGYRLEEFVPQKTAAYISQNDVHVGEMTVKETFDFSARCQGVGSRYDLLTELARREKEGGILPEAEVDLFMKATAIEGVKSSLQTDYTLRILGLDICADTIVGDEMQRGISGGQRKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREFVLEFFEACGFRCPERKGTADFLQEVTSRKDQEQYWADKERPYRYISVSEFAQCFKRFHVGLRLENELSVPFDKSQSHKAALVFSKKSVSTSELLKASFAKEWLLIKRNSFVYIFKTVQIVMVALIASTVFLRTRMHTRNEDDGVIYIGALLFGLIVNVFNGFAELSIAISRLPVFYKHRDLLFYPAWIFTLPNFLLRIPISILETVVWTVMTYYTIGYAPEASRFFKQLVLVFLIQQMAAGLFRTVAGLCRSMIISNTGGALSVLIIFVLGGFILPKDVIPKWWIWGFWISPLTYGYNALAVNEFLAPRWMNKPSSDDRPLGRAILENANVFPEARWYWIGAGALLGFSILFNLLFTFFLMYLNPIGKPQAVISEEAAAEMEENRDETRESPRIRRAGSENDSLPRELSKRDGNNAREMMVLRMSPSGTNRLNRDMSIDEATTGVAPKRGMVLPFTPLAMSFDEVSYYVDMPAEMKDQGVTEDRLQLLRNVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYVEGDIRISGYPKNQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPKEVSDGEKLKFVDEVMELVELDNLRDAIVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLQMDFAEYYKSSALYQRNKALVGELSNPASGTNDLYFPTQFSESSWGQFKACLWKQWWTYWRSPDYNLVRFFFTLVTALLLGSIFWRIGHKSGSANNLRIVIGAMYAAVLFVGVNNCSTVQPLVAIERTVFYRERAAGMYSALPYAMAQVLVEIPYVVIQAAYYSLIVYSMMSFQWTAAKFFWFYFISLFSFLYFTYYGMMTVSLSPNHQVAAIFASTFYSVFNLFSGFFIPRPRIPKWWVWYYWICPLQWTVYGLIVTQYGDLESYITVPGSETPIRTKDYVKDHFGYHTDFMPVVAIVLVGFALFFAFMFAYCIKKLNFQQR >Ma03_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7948177:7961869:-1 gene:Ma03_g10550 transcript:Ma03_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKIIGLRGGRGLKELRADQSITDELAIRKAEEAASRRYQAAKWMRQMDQGASETLAEKPTEEEFCLALRNGLILCNVLNRVNPGAVPKVVENPVITIQSTDAAAQSAIQYFENMLNFLVAVGDMKLLTFEASDLEKGGSSNKVVDCILCLKGYHEWKLAGGVGVWRYGGIVKITTLSQRLQSSFLGGGSSDEPADDYSLQNNQQFSEFLHLVAEATLEESKTSNALNILFDQFGIQLLKAFLAECGDAEDFPMVEMFIDLVLDQAVKEFHMSLASQKNQLSLLLKEAMKGQNKTVTKAHLMEAVSKFLIRNTCDSSFSLMENREVKLENQQKQLETLKMSFHEIKNEVECSQKKWNEDFEKLECQVQGLKLNSSSYLKLLEENRLLYNQVQDLKGNIRVYCRVRPFLPKQSDRRSTVEHIGENGNIVIVDHNKQGKDARKIFAFNKVFGENTTQSEIFFDTQPLIRSVLDGYNVCVFAYGQTGSGKTYTMSGPDITAEETWGVNYRALNDLFEISQSRQGIISYEVSVQMIEIYNEQVRDLLVVDGSNRRLDIRNYSQLNGLNIPDASLVAVKCTKDVLELMKVGQGNRVVGATVLNERSSRSHSVLTIHVQGKELASGSKLRGCLHLVDLAGSERVDKSEATGDRLKEAQHINRSLSALGDVISALAQKSSHIPYRNSKLTQVLQDSLGGQAKTLMFVHLNPEANAFGETISTLKFAERVASIELGAAQVNKEAGQVIELKEEISRMRTALESKESEVKQLKILTRQIAFEEQKTGIRSPSELIMKKPELSQQTMGKSRKHESRSCSSVKRRNPVRSSMFSDKEIDSRSPFTGGESCNSRNLRSPSPPIRRSLSTDRAAVMRRKTRIETLDERTPLKLQFSERASVDRSNATLSSVLTSESLSRCWDPQEIGNLRRLNPEQGDRKFKPTVYVRHGENQKINAENKPKAKNEELVGFQTSDTNSRVNEPAKKRSESSETENEYTLFECVNDRSQKLKKFQHNARTSAHVKPRAPVQAEEPLSNGKHLNRPPNSAAQNIKERTNAMASDMRSSKALPHAKFIL >Ma05_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34598899:34599574:-1 gene:Ma05_g22820 transcript:Ma05_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRRLSSVSPVVLLALSLLCLLVAAASSEDFDAAADAVDLCGGRSDGLAPSCRINCFRPDPVCGVNGVTYWCGCPEATCASVRVAKRGPCQVGNGGSGLVSGQAFLLLHIVWLIVLGFAVLCGFL >Ma10_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32663464:32666163:1 gene:Ma10_g23910 transcript:Ma10_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVRITASSVFHGIFGALNNKHQGAEAPALLHGVLEVTIYEANHLHNVIEGLVLQAAESVQEAFKDKLAHTRLFAAVEIGAATVVRTRMAEFQPDNPKWNQSFRVYCAYTSPYVEISVRNQLQVALAMAVGRAKIPASQLLTGEPVEGWFDLFHDDGLKMHNAQVHAVLKFTPITGDPCWDVGISSSFSGLSNAFFPLRTGCEVTLYQDAHKSNQFRPAIQLAGGKDYQPARLWEDIYNAMVEAKHFIYVTGWSVNVHIELVRDPERMIPGAEAVTLGELLKRKAEEGVTVLVMPWNDRTSLPILEDVGMQFVDLMKTHDEETFKFFKGTKVKCFRCSRNADPSLAFVQNGELKLMFSHHQKTVSLDAPSGDGASKVVSFVGGIDLSDGRYDDENHTLFGNLSTTYLDDFLQRNFKNADLHHGGPREPWHDVHSKVEGQAAWDVLTNFEQRWIKQAPKELTNYLVNVRERPQIFPIPSDSATAESWNVQVFRSIDDASAIGFPPDPSQADKMGLVTGQNVTFEQSIHSSYVQGIRRAKRFIYIENQYFFGSCASWGEHQNCGCSNLIPIEIALKIASKIRNGERFAVYIVTPMWPEGIPEGNTVQAILHWNRLTMEMMYSIVAKAIEDKGLVGKVNPGDYLNFFCLGNREEKKPGEYVPPKSPAHGTDYWRAQTNRRFLIYVHSKLMIVDDEYVIVGSANLNQRSLAGDRDSEIAHGSYQPAHLNGSDGRARGEVHGFRMSLWYEHFMSYCADTSIFLDPENLECVRTVRRFAEELWSKYVGEEVVDLRGHLLPFPVLVSEAGTLSDLPKDGRFPDTNASVKGKRWVPTPPLTSTSRVTDLLTT >Ma01_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3694325:3700787:-1 gene:Ma01_g05260 transcript:Ma01_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPAAAAAAADDGDGISETRRTPELKVYSRRRRGKNTPAADAQNPQPSSRETLATTTTTGDVDSSLQQPPPPPPSPPERHQFQRSASSGNEASSLNRHEPEATPDPKGSAPHQQNGHVAVEDAKRSQGEARDLRRMLTAQLDQVRDLLKRLETREHQLSAIPSAGGGGSGVGAAPSQLSVNHVNTPVASKRESVFSEAISATPAPLRPQLNILVPPGVGSRNSLGTSTIEREKRTPKANQYFRSSDFILGKEKFPPSVSQKKSKSSKSRKHSTVESDYRASANKKIYANAFRSCRTLLDKLMKHNFGWVFNKPVDVEGLGLYDYFSIIKHPMDLGTVQSRFASNFYESPLEFAADVRRTFQNAMLYNPKGQDVHVMAEQLLNVFEERWSLIETEFTEHLHQLHLFSMRNPPSLDMRTLEMSSSTVQPMEIDMRPVVQPMEIDMRPEQNQTQHFGRPTALKKPKANDINKRDMTFREKQTLRRHLESLPPEKLETVVQIIKKRNSVLNLHDDEIEVDIDCVDAETLWELDRFVTNYKKTLSKHKRKAELAMLARAEAERHNRERLNGEDPYPVVAEVPEQVKNAVDQNKVAAPLTEAGANNGNDESGSSGSSRSSSDSGSSDSDSDTESSSSYESDAAHSPGN >Ma06_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11142556:11144608:-1 gene:Ma06_g16440 transcript:Ma06_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENVQRWLRELRDHADSNIVILMVGNKADLRHLRAVSEDEAQTLAEKEELSFMETSALEALNIDKAFQTILTDIYNIISRKALAAQEAAGTVPSQGMTINVSDSAGGFAKRGCCSS >Ma02_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24389138:24389254:-1 gene:Ma02_g17520 transcript:Ma02_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNSSAMFWISQFMVWHCLLLQDKYGVLEYTLYHLC >Ma10_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15536183:15540167:-1 gene:Ma10_g04890 transcript:Ma10_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRIVLSRIQSLEPENAPKIMGLLLLQEHGENEMIRLAFGPETLLHSVVLKAKKELGLAPPSSAPGTPPVAGGAASPFLLRQKSASRLLSGGLPPPPLTVSSPSSWAPPSVFSGSNSSGGGNGMNVPLDELLNSDELISPGSLGVSPFYGCGGDLIDEFRLPDHLSFLGDPTAAATPNHSLSLASTPGGGDVFRADIECRSPSSNGDGALFPNGLGWGVNGYHHRRSCSAADLSLGDAAAGFGWKPCLYFARGYCKNGTACRFFHGLPEEAAGTKMDAVVEQQCQELLLRSKSQRIGGASQLVTSAFPYSPTDSMPPSPSSSSSSKCLSFLLQQQQNDSQRAAAAAAALMLGGEEAHRFMARSRMERSDLMGNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPDTVKVILAKGNPHFVRDSRVLVKPYKEKGKVPDKYRNLQQQAERSDPSGCTTPTAMDAREAYDLQQLGARMLYNSSSVSQQMLRRKLEERQQAAEALQRAIELQGRRFMGLQFLDLNSRSLSSSAPACINSPSITTVTQSSSNVDSSCNGSNSSSSQEDSPTKDKCLGVAAPEEKVNSFLGLLHRKAENEESAGEANLNEDGDFQESAEHNLPDSPFASPTKSSFSLDSFSTVEDMSASYIPTNNSSSNNNKNNLISATLLPTTSSLDKSSSLSPCFLQMPRFSSGHGAIGM >Ma10_p04890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15536183:15540167:-1 gene:Ma10_g04890 transcript:Ma10_t04890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRIVLSRIQSLEPENAPKIMGLLLLQEHGENEMIRLAFGPETLLHSVVLKAKKELGLAPPSSAPGTPPVAGGAASPFLLRQKSASRLLSGGLPPPPLTVSSPSSWAPPSVFSGSNSSGGGNGMNVPLDELLNSDELISPGSLGVSPFYGCGGDLIDEFRLPDHLSFLGDPTAAATPNHSLSLASTPGGGDVFRADIECRSPSSNGDGALFPNGLGWGVNGYHHRRSCSAADLSLGDAAAGFGWKPCLYFARGYCKNGTACRFFHGLPEEAAGTKMDAVVEQQCQELLLRSKSQRIGGASQLVTSAFPYSPTDSMPPSPSSSSSSKCLSFLLQQQQNDSQRAAAAAAALMLGGEEAHRFMARSRMERSDLMGNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPDTVKVILAKGNPHFVRDSRVLVKPYKEKGKVPDKNLQQQAERSDPSGCTTPTAMDAREAYDLQQLGARMLYNSSSVSQQMLRRKLEERQQAAEALQRAIELQGRRFMGLQFLDLNSRSLSSSAPACINSPSITTVTQSSSNVDSSCNGSNSSSSQEDSPTKDKCLGVAAPEEKVNSFLGLLHRKAENEESAGEANLNEDGDFQESAEHNLPDSPFASPTKSSFSLDSFSTVEDMSASYIPTNNSSSNNNKNNLISATLLPTTSSLDKSSSLSPCFLQMPRFSSGHGAIGM >Ma01_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10931515:10934105:-1 gene:Ma01_g15050 transcript:Ma01_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGLVLVAFHSVFSAAYGYGHGGGWSAAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFDNGLSCGACYEMKCVNEPQWCLPGSIFVTATNFCPPNDALPNNNGGWCNPPLRHFDLSQPVFLRIARYRAGIVPVAYRRVPCSRRGGIRFTINGHSYFNLVLITNVGGAGDVHAASVKGSSTGWHPMSRNWGQNWQSNTYLDGQALSFKVTTSDGVSVVSFNVVPATWSFGQTFSGGQFA >Ma01_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11244257:11246367:1 gene:Ma01_g15510 transcript:Ma01_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDVEPQVVLNSGRRMPVLGMGTATYPVPPDETITTAVIDAIGLGYRHLDTASVYGSERAVGRAIATALERGLIGSRDELFVTTKLWCTDMHADRVVPALQESLRTLGLEYIDLYLIHHPVRLKGEKRMVFTGEDVIPLDMPTVWEAMEKCQSLGLAKSIGVSNFTCKKIADLLNHARIPPAVNQVEVNPLWQQRKLRGFCSEKGIHVSAYSPLGAVGVLWGNIEYFDRAYFGNALMDVVSNHIGHGALGLR >Ma01_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10665737:10667958:1 gene:Ma01_g14590 transcript:Ma01_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEASAGEGGGLGYADSLDSCDEPPFSSAGRLRLMCSYGGRIVPRPTEKSLCYLGGETRIVVVDRHSALADICAKLSRTLLGGRTFSLKYQLPSEDLDSLISVATDEDLDNMIDEYDRVLATSSAGAGGGCSSGSSRLRLFLFPSKPEMSPASSIGTLLDDSKSETWFVDALNSVMGGMEVDGLPRGLSSDSASVNCLLGLEEGSSVHSRSGAAIAACSAHSDPPDQLALPSPDSSGKLARHGHDLYSVPDSPMLDSTSSFGSASSAPSLSNLPPIRVRPDDRLCDPGIAGLDDHFAHINLSASVATGGQRLQDDFKEPSYAPQLQLHPLIPFSASSASTSPTEYRRIGSASDDEKSDRGAIWKPQRQPPKPTEIDASISDPVSRAMYLNATSDQKRELSVFSDPSYGVPIPATNAASYRLPSMQPERFQQQQLYPQLQLQQQYIPGNPHYIHHPSAGGVIPVPSYFPIAAHTIQQSPQAHPHDPQIPLYYYPVQPTPSYNLAAVQPGMGDPNSLTSAAKPPMAVPQVPAKPELPASLYRTAALQPQLIHMAADQPHSYAGMGYRVVQHHHVSQSPATVANHGYEFAADQSRPQMYHSQATPSLASTPQCQPPTSGIVIIDAMAQADTKATRAS >Ma08_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35318737:35320582:-1 gene:Ma08_g21440 transcript:Ma08_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMSPNLSSSSISSLAASLIATGPNSTSSTVAPAAAVAIVSKCTVYPDRESDLSDLPLSVSDLPMLSCHYIQKGLFFLPPPVPIASLVSLLTSSLSRALSLFPALAGRLCTHPDGRIFISCNDAGAEFSYATAASFSLPDLLPPSSDVPSAVKSLFPFDGAVSFHGHFRPLAAFQLTELADGALFLGAAVNHAIVDGTSFWNFFNAWAELCRGGSPAPPDFRRNYFGGSKAVLQFPGGRGPEVTFPVDAPLRERIFHFSREAIVDLKSRANCRAKSIAAGDLPNTEIYGENSHDRKTVASNEEEISSFQSLCAHVWRSVTRARTRLPAEATTTFRMAVNCRGRVAPRVAANYFGNAIQSTPTKALVAEVAGRDLRWAAELLHRSVADYGNEAVRRVVAEWEAAPRCFPLGNPDGAGITMGSSHRFPMYEGNDFGWGQAAAVRSGRANKFDGKMSAFPGREGGGSVDLEVCLAPETMAALLRDDEFMSYVSP >Ma05_p17830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21753296:21757081:1 gene:Ma05_g17830 transcript:Ma05_t17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLVQCWLGLMPHDKDSHAGVSIASERDLHLPSPAVEIVPSKNAHPYKYAGENVDLQGLKIFKGRVSMADIIGFSNSEMLSSKFDGSLKSWESSADLLSALKLEIRDGQLSFRGKRILELGCGYGLAGTFACLKGASTVHFHDLNAETIRCTTMPNVLANLEQAQDKQSHQPESPFTPSRQQSASDVHFYAGDWEELCTVLSVVRMDASLSFSEDDFMDGCSSHDGSIIAHETCSRQSRKLSGSRAWERASDGDTGDGGYDVILITEIPHSMNSLRKLYDLISKCLRPPYGVMYLAVKKNFVGSSGGARQLRAMVDEEGVYGVHMVTELVDREIWKFFFK >Ma05_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21753296:21757081:1 gene:Ma05_g17830 transcript:Ma05_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLVQCWLGLMPHDKDSHAGVSIASERDLHLPSPAVEIVPSKNAHPYKYAGENVDLQGLKIFKGRVSMADIIGFSNSEMLSSKFDGSLKSWESSADLLSALKLEIRDGQLSFRGKRILELGCGYGLAGTFACLKGASTVHFHDLNAETIRCTTMPNVLANLEQAQDKQSHQPESPFTPSRQQSASDVHFYAGDWEELCTVLSVVRMDASLSFSEDDFMDGCSSHDGSIIAHETCSRQSRKLSGSRAWERASDGDTGDGGYDVILITEIPHSMNSLRKLYDLISKCLRPPYGVMYLAVKKNFVGSSGGARQLRAMVDEEGVYGVHMVTELVDREIWKFFFK >Ma01_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19616925:19620421:-1 gene:Ma01_g20820 transcript:Ma01_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIKE COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) UniProtKB/Swiss-Prot;Acc:F4IQJ6] MAAAVVARERDGDRELLIPVGETALEFHHHKDGAPPAAISSPPPASHHTPTGIEALSKVIRSWASKTFMTGCVILLPIAITFYTTWWFFSFVDGFFSPIYAHLGINVFGLGFITSITFIFLVGMFMSSWLGTSLLGLGEWFIKKMPLVRHIYSASKQISGAISPDQSSRAFKEVVILRHPRIGEYAIGFITSTVILRGSSGDEELSCVYVPTNNLYLGDIFFFNSMDVIRPNLSVREGIEIVLSGGMSIPPVLSTRKVKDTLEEEVRILQNPKLLSVKD >Ma05_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10810237:10820994:1 gene:Ma05_g14770 transcript:Ma05_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQIAAILGAAESGPFESLIGQLMSASNEQRTHAESLFNLCRDHHPDALALKLVSVLHSSPSPDLRAMSAVLLRKLLTHRGSGDADSPLWPRLSPSSHSSLKSHLLSVLHREPDRSIAKKVADTISALAVFLLPDADWPDLLPFLFHAVSSPESTTRLQESALLVFAQIAYVLADDASFIGPHLPTLHSLLLAALSHPSSPDVRVAALSAAVNLVTSLESAADRNRLADLLPAMMRTLTESLNSGQEAAAQEALELLVELAGAEPRFLRRQLADVVGAMLQIAEADGLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFVSRLFAVLMKMLLDIEDEPAWHTAEVQDEDAGETSNYGMAQECLDRLAIAVGGNTIVPVASELLPAYLAAPEWQKHHAALITLAQIAEGCSKVMLKNLEQVVTMVLSSFQDPHPRVRWAAINAVGQLSTDLGPDLQVQYHQSVLPALASAMDDFQNPRVQAHAASAVLNFCENCTPDILTPYLDGIVGKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKVILMNATNKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMALQGSQMETDDPTISYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDDDIEDSDDESVETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVAAMPELLRSAKLAVEKGQAQGRDESYVKQLADYIIPALVEALQKEPETEICASMLDSLNECMQLAGLLLSENQVRSIVDQIKHVITASAARKKERAERTKAEDFDAEEEEMLKEENEEEEEVFDQVGDCLGTLIKTFKASFNPFFDELSLYITPMLGKDKTAEERRIAICIFDDVAEQCQEAALKYYDTYLPFLLEACNDENADVRQAAVYGVGVCAEFGSSVFRPLVGEALSRLDNVIRHPDALHPDNVMAYDNAVSALGKICQFHRDGIDAAKVFPAWLSCLPIKNDLIEAKIVHEQLCSMLERSDRELLGPNNQNIPKIVSVFAEVLCAGNDLATEQTVSRMISLLRQIQQTLPPSVLASTWSTLQPQQQLALQSILSS >Ma04_p36570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34878339:34878965:-1 gene:Ma04_g36570 transcript:Ma04_t36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARASFTGFATLFRCSIGLGGI >Ma03_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26838606:26841742:1 gene:Ma03_g21990 transcript:Ma03_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQVTQAPLWVLLLLLLLLSGAWFAPSDALNEEGLALLSFKASMQEDPGASLANWNSSGDDPCSWNGISCKEGKVVSISLPKNRLLGSLPSSLGSLSSLRHINLRSNRLQGSLPSGLFAAAGGLQSLVLYGNSFSGPIPPEVGNLSFLQNLDLSQNLLSGAIPASILRCKRLKALDLSHNNLTSSLPVGFGTNLTALEKLSLSYNGLNGSIPSDLGNLSSLRGTVDLSHNLFSGPVPASLGDLPERVYIDLAFNNLSGSIPQNGALVNRGPTAFIGNPGLCGPPLKNPCSSSGTASGGASTVPSLPSDHSPQASEVDSSKSRNGPSKNAVIAIVVSDVVAIGLMAMLFFCCYRRAVSYKSKAEAENSSRDAKGGKKYLCWGKDGTESPAEDAEQFDLIPLDKQVHFDLDELLKGSAFVLGKSGIGIVYKVVLENGLTLAVRRLGDGGSQRFKDFQTEVEAIGKVRHPNIVLLRAYYWSVDEKLLIYDYIPNGNLSNAIHGNAGISPLSWDARLKIMKGVAKGLAFLHEFSPKKYVHGDIKPSNILLGPDTEPYISDFGLGHLANMETGTPSIYSDGKATEKQQSPISNVSVSPVWSNALFYQAPEALKSLRPSQKWDIYSYGVILLELICGRSPVALMETSDMDLVRWVQISIEEKKTLLDVVDPCLTRELEREDEVTAVLKIALACVQFNPESRPSSRHVADSLERLTKLSNA >Ma06_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3427311:3430888:1 gene:Ma06_g04610 transcript:Ma06_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRKERTFFTVDSAFVCRAMRRIQSNRLAESRQLPSQHHTWSIHAPTPPATYREGMEKCRSFPEISSSSCDGFGYENRSNSYSFNGPDGKGAGFASSTDPELKRKRRVASYNSFTMERKLRSSVRNSFKWIKNKFTDALHG >Ma09_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2602789:2608511:-1 gene:Ma09_g03960 transcript:Ma09_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKLALTFADRCRNILAANWQAHLNTIKADAKGSKDDIYSSKVHYMIMQGKPYLWVQEGDIHNMNTIIDERASLSVSSIVPGPLMGLLRSVKKFPARVALAGDIRLLKDDKVQVVTESLAESVVSEHETVDQASYAVSAIISSATTSCRSRIENLDWILKESSNYNVYKFNIRSCSYVDGSGGTHDVEPNEVKAPEADLLMPFSEQLVDGINQSQIRRRALILFCLEYYKVTARDALLLSVDHNGFDVLAKVLETVNNSTSNQQYHWKEFRFNFKEKAQDVESFCRKLVELEEEALERVKSYSGLG >Ma08_p28780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40605950:40607474:-1 gene:Ma08_g28780 transcript:Ma08_t28780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGEEDQTSRFLNELCSILFAVLRSPQLAIRSSSAPSPGVGRIGTEEMSYRSPLPTPRRRRLPQASPAAVASLMLGVSIALMLGGSVTFVLGFMLMPWVIGMLTMLYLAGIVMTVSELGRAILFPSTTKEVKDGIILERLGWLSVTSQFPRCP >Ma08_p28780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40604321:40607474:-1 gene:Ma08_g28780 transcript:Ma08_t28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGEEDQTSRFLNELCSILFAVLRSPQLAIRSSSAPSPGVGRIGTEEMSYRSPLPTPRRRRLPQASPAAVASLMLGVSIALMLGGSVTFVLGFMLMPWVIGMLTMLYLAGIVMTVSELGRAILFPSTTKEVKGKLPSKLPAD >Ma03_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9305700:9307344:-1 gene:Ma03_g12050 transcript:Ma03_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPKKDDAASGRASGGGGVGGGGSVAVPVHHRLIAPKPEPMEFLGMGALPILRRPAARTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQHAEPAIIAATGTGTVPAIATVVDGAIKIPTEAPSSSSAGLTSTSGEDESATKRRKKLQPTRAAGSGSASAGSAVTAFYPVQDPLLQGGGSISISTGLAPIAPTGVVPMWAVGGGASSDGARVLPPGALWMLPQPTAMVEPSGQAQIWTFPAASQIINLGGPHPMAATAVYPGAAPGPNVATAAGGGEPRTNEQAVTSTDGKKQELQLMGGARGRRRDALLETEEESESDAEEEPPSESDAED >Ma05_p31320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41281359:41283630:-1 gene:Ma05_g31320 transcript:Ma05_t31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINTPSLPTLPPSTPHRTPPFFLDPSRGLRQEARSRKDPAQVPALSSEEEGQRPRELRTMTRSSMEVLPPTTPCYPGSSLFLGERRSRGWSGTGTWTPEENKRFEYALAKFDKETPDRWERVAASLPGKTPRDVESHYRNLLNDVKQIEAGRIPCPGYDSSSFSLDWESFEVLKQSYCVGGRRSGARASDQERKKGVPWTEEEHKRFLLGLRKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTANLPDNRPPSPSQPSSLTSQPCSAPAPIWSSPYSSIHDTNPPDEATGTFSSSAQVSQIMQARYGVAAYGLKLEAHAPQSGTLRDPLVNDHNLLFRMQSSRHLPHG >Ma11_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:51818:52333:-1 gene:Ma11_g00140 transcript:Ma11_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPSYLPHLLFFLLPFLFLPCFSAAVSETMGKACNQTLDSAFCITSLQAVPKSDSADLSALALIALNLTYSNVTSSTTKLLALQREATSPAIKNSFEACSLLYNNIIQPLRWASQFFVSKHYDVAQAMFATPLFAPTNCAEAAGKVMEQDGKNAFYLMLMTRKFMELSSS >Ma02_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27803339:27810518:-1 gene:Ma02_g22510 transcript:Ma02_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSTAINSQSPGLKTYFKTPEGRYKLQYEKTHPAAVLHYSHGKAVSQLTVAYLKEKPTNQPLATPSTPSSSGVRSAAARLLGAGNGSRALSFVGGNGTSRTASGTSRIGGSLGVSTGSGNSQAVANYDGKGSYLIFNSADTLFISDLNSQDKDPIKSIHFSNSNPVCHAFDSDAKDGHDFLIGLHSGDVYSVSLRQQLQDPGRKLLAAQHYNKDGTTSNSKCTCIAWVPESEGTFVVGHADGNIYIYEKNKDSTADSSFPAIKDQAQFTVTHARSSKSNPIARWHICQGSINSLSFSSDGASLAAVGRDGYLRVFDFAKEQLKFGGKSYYGALLCCTWSSDGKYILTGGEDDLVQVWSTEDRKVVAWGEGHNSWVSGVAFDSYWSAPNSEGAGDNVMYRFGSVGQDTQLLLWDLAMDEIVVPLRCCPPGGSPTFSSGSHSAHWDNVYPVGSLQPAPSMRDVPKISPLVAHRAHADPLSGLIFTRESIVTISREGHIKIWMRPEHGESNQSSSSEAIVTTSSTKDRPAAASTKTSSSSFKQPSSVLFS >Ma03_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1224751:1225624:1 gene:Ma03_g01770 transcript:Ma03_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFMDLVTSISVKMIMHLITTSLVEMVSP >Ma02_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26745210:26755409:-1 gene:Ma02_g20970 transcript:Ma02_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVSAVYIHVLDDVISKVRDEFINYGVGEGVLNELQALWEMKMRQCGAISGNIERSTLPKNAAPITPVHDLNVPYEGPAEEYETPTAEMLFPPTPLQTPIQTPLPGTNDPPMYNIPTGPSDYAPSPISDIRSSIDLKAGRPGSYMQPPSPWMNQRPLGVDVNVAYDEGREEPDRGSSHQPDRGSSHQHTTQDFFMNSSGKRKRDDYASHINSGGYIPQQDGSGDVTIEFSLTQDVVSQVQTSSIVQDQGTADSKFLSNKEAEPALMLPQHDGIHDEYDLFHFQGVANEDYNTPGDHVEMRAATPSVGTPKPSKNDAGEDDEPPLNEDDDDELDDLDQEEEETNTQHLVLALFDKVSRTKSRWKCTLKDGIMHLNNRDILFNKATGEFEF >Ma02_p20970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26745210:26755409:-1 gene:Ma02_g20970 transcript:Ma02_t20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVSAVYIHVLDDVISKVRDEFINYGVGEGVLNELQALWEMKMRQCGAISGNIERSTLPKNAAPITPVHDLNVPYEGPAEEYETPTAEMLFPPTPLQTPIQTPLPGTNDPPMYNIPTGPSDYAPSPISDIRSSIDLKAGRPGSYMQPPSPWMNQRPLGVDVNVAYDEGREEPDRGSSHQPDRGSSHQHTTQDFFMNSSGKRKRDDYASHINSGGYIPQQDGSGDVTIEFSLTQDVVSQVQTSSIVQDQGTADSKFLSNKEAEPALMLPQHDGIHDEYDDLFHFQGVANEDYNTPGDHVEMRAATPSVGTPKPSKNDAGEDDEPPLNEDDDDELDDLDQEEEETNTQHLVLALFDKVSRTKSRWKCTLKDGIMHLNNRDILFNKATGEFEF >Ma05_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35094467:35096746:-1 gene:Ma05_g23090 transcript:Ma05_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSFCRQTNLCLQSYGRTYLQGNNSILFFLWRKGRRSIALILFFQRLVLRNYYFEYLKLFVELLAKNIIELSCSCFYVHAKMLLKWCMQESSLITQGSWIDFDLYTVKILIFSLNVRFSLDFADLFGHLCLAANLITYKDHFNLYRIEQELGTEIKQIILCKCIHVWVSSFHQVINIVIC >Ma11_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14514854:14516333:-1 gene:Ma11_g11630 transcript:Ma11_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGGAAPPPSSVVCCMCGDRGLMQELFRCKLCLVRSQHRYCSNFYPKAESYRTCNWCLREEGERSLPKEAMKDTNRLVSSSSNNDSSNDSGASKLHRGDFSSQLNKPIKKPRLLDWSASDVTDRVWSGELSSGSGRARQVLRGKARRYKLLEEVSS >Ma05_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36656552:36658201:1 gene:Ma05_g24400 transcript:Ma05_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTMSEEERKQNKQARGVTAVALGGEEAAASGGADGGDGRAAETLLRVTPVGLCVAALVIMLKNAQDNDYGAISYADLTAFKYLVYANGVCAAYSLFSAFYVAVPRPMTLSRSWTLFFLDQVLTYAILAAGTMSAELMYLAHYGDVKVTWSKECNVFGSFCKRATTSVGITFASVACYVLLSLVSSYRLFSAYETPIPFISSKGLEIASFPH >Ma04_p25070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26920141:26923031:1 gene:Ma04_g25070 transcript:Ma04_t25070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVSQIVCLLCLYYLTLGLFMAVLVSARVPRMSLVYLFDFSTLVTSTVTGWCAIASFLLSSLAGAGYLFHLIERAKKCLDFSATLYIIHLFICIIYGGWPSSITWWVVNITSLALMSFLGKRLCIRRELQEIPIARLRSGNLRNSQVDEK >Ma04_p25070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26920141:26923031:1 gene:Ma04_g25070 transcript:Ma04_t25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVSQIVCLLCLYYLTLGLFMAVLVSARVPRMSLVYLFDFSTLVTSTVTGWCAIASFLLSSLAGAGYLFHLIERAKKCLDFSATLYIIHLFICIIYGGWPSSITWWVVNITSLALMSFLGKRLCIRRELQEIPIARLRSDV >Ma04_p25070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26920141:26922675:1 gene:Ma04_g25070 transcript:Ma04_t25070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVSQIVCLLCLYYLTLGLFMAVLVSARVPRMSLVYLFDFSTLVTSTVTGWCAIASFLLSSLAGAGYLFHLIERAKKCLDFSATLYIIHLFICIIYGGWPSSITWWVVNITSLALMSFLGKRLCIRRELQEIPIARLRSDHADHHHNGSI >Ma07_p10750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8032215:8036223:-1 gene:Ma07_g10750 transcript:Ma07_t10750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSYRLVLIATPSPRRRFAFDSSSFSGEAIWRRMMHSATTPSRAPIIRTRASREYAWIPGKLPFPNFVKLRRIMTKSSPLSAKFGLSLRNEATGRVEHTVGDNDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYHIVEPAHMELAEPSIRDAFKLCVQEGAKRVIVSPFFLFPGRHWQQDIPSLVADASKEHSGISYVITAPLGLHGLLVDVVNDRINHCLSHVAGDADECTACAGTGKCRIYQP >Ma07_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8032215:8036155:-1 gene:Ma07_g10750 transcript:Ma07_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSPLSAKFGLSLRNEATGRVEHTVGDNDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYHIVEPAHMELAEPSIRDAFKLCVQEGAKRVIVSPFFLFPGRHWQQDIPSLVADASKEHSGISYVITAPLGLHGLLVDVVNDRINHCLSHVAGDADECTACAGTGKCRIYQP >Ma07_p10750.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8032215:8036148:-1 gene:Ma07_g10750 transcript:Ma07_t10750.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNEATGRVEHTVGDNDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYHIVEPAHMELAEPSIRDAFKLCVQEGAKRVIVSPFFLFPGRHWQQDIPSLVADASKEHSGISYVITAPLGLHGLLVDVVNDRINHCLSHVAGDADECTACAGTGKCRIYQP >Ma07_p10750.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8032215:8036148:-1 gene:Ma07_g10750 transcript:Ma07_t10750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSPLSAKFGLSLRNEATGRVEHTVGDNDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYHIVEPAHMELAEPSIRDAFKLCVQEGAKRVIVSPFFLFPGRHWQQDIPSLVADASKEHSGISYVITAPLGLHGLLVDVVNDRINHCLSHVAGDADECTACAGTGKCRIYQP >Ma07_p10750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8032215:8036102:-1 gene:Ma07_g10750 transcript:Ma07_t10750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNEATGRVEHTVGDNDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYHIVEPAHMELAEPSIRDAFKLCVQEGAKRVIVSPFFLFPGRHWQQDIPSLVADASKEHSGISYVITAPLGLHGLLVDVVNDRINHCLSHVAGDADECTACAGTGKCRIYQP >Ma07_p10750.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8032215:8036229:-1 gene:Ma07_g10750 transcript:Ma07_t10750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSPLSAKFGLSLRNEATGRVEHTVGDNDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYHIVEPAHMELAEPSIRDAFKLCVQEGAKRVIVSPFFLFPGRHWQQDIPSLVADASKEHSGISYVITAPLGLHGLLVDVVNDRINHCLSHVAGDADECTACAGTGKCRIYQP >Ma07_p10750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8032215:8036151:-1 gene:Ma07_g10750 transcript:Ma07_t10750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNEATGRVEHTVGDNDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYHIVEPAHMELAEPSIRDAFKLCVQEGAKRVIVSPFFLFPGRHWQQDIPSLVADASKEHSGISYVITAPLGLHGLLVDVVNDRINHCLSHVAGDADECTACAGTGKCRIYQP >Ma07_p10750.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8032215:8036177:-1 gene:Ma07_g10750 transcript:Ma07_t10750.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSATTPSRAPIIRNEATGRVEHTVGDNDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYHIVEPAHMELAEPSIRDAFKLCVQEGAKRVIVSPFFLFPGRHWQQDIPSLVADASKEHSGISYVITAPLGLHGLLVDVVNDRINHCLSHVAGDADECTACAGTGKCRIYQP >Ma07_p10750.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8032215:8036229:-1 gene:Ma07_g10750 transcript:Ma07_t10750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNEATGRVEHTVGDNDAVIIVDHGSRRQESNLMLNEFVAMFKARTGYHIVEPAHMELAEPSIRDAFKLCVQEGAKRVIVSPFFLFPGRHWQQDIPSLVADASKEHSGISYVITAPLGLHGLLVDVVNDRINHCLSHVAGDADECTACAGTGKCRIYQP >Ma03_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10466343:10473964:-1 gene:Ma03_g13340 transcript:Ma03_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSESAKPSPLDLLSAILAGSLGGDGLPSGAGSLAAIAENRQLLAIVTTSVAVLVGCALIFFFRRSSGKKPAEPPKPLVVRVLPELEVDDGKKKVTVFFGTQTGTAEGFAKALTDEAKARYQNAIFKVVDLDEYAADDDEYEEKMKKETLAVFFLATYGDGEPTDNAARFYKWFTEGKERGNWLDNLQFAVFGLGNRQYEHFNKVAKVVDEMLAEQGGKRVVPLGLGDDDQCIEDDFTAWREILWPELDQLLRDENDVSGASTPYAAAVPEYRVVFFNPEETSSLDKSWNLANGHAIHDIHHPCRANVAVRRELHTPASDRSCIHLEFDIAGIGLTYETGDHVGVFTENCVETVEEAERLLGYSPDTYFSIHTDKEDGTPLGGSLSPPFPSPCTLRNALTLYADLLNSPKKSALVALAAHASDPIEAERLRFLASPAGKDEYSQWIVACQRSLLEVMAEFPSAKPPLGVFFAAISPRLQPRYYSISSSPRMAPTRIHVTCALVYEKLPTGRIHKGVCSTWMKNSIPLVESQECSWAPIFVRQSNFKLPADPSLPIIMIGPGTGLAPFRGFLQERLALKEAGVELGHAILFFGCRNRKMDFIYEDELNNFVETGALSELIVAFSREGPTKEYVQHKMTEKASDVWNIISQGGYVYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKTESFVKSLQMEGRYLRDVW >Ma06_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2765154:2767784:-1 gene:Ma06_g03830 transcript:Ma06_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIAQEMGLVTQNRQPEHVVPVGPSERGVYLTWEDLWVTASNGRSASHVILAGLTGYAQPGEVTAIMGPSGCGKSTLLDALAGRLGSSTRQSGHILVNGRRQRLSFGTSAYVTQDDILMTTLTVREAVYYSAELQLPKTMTKSEKRERADMTIGEMGLQDAMDTRIGGYSSKGISGGQKRRVSICVEILTRPKLLFLDEPTSGLDSAASYHVMHRIVNLARHDGMTILASIHQPSSEVFELFDNLCLLSSGRTVYFGPTSRTNEFFASNGFPCPTFRNPSDHYLRTINKDFDKESKEGCSERLNNANKAIDVLTMSYKQSETCKHVARRVSEICNTEGDLVKNKRQASFAVQCVVLTKRSFVNMYRDLGYYWLRLAIYIMLCLCIGTVFYDVGLSYGSIQARGSMLMFVAGFLTFMAIGGFPSFVEDMKIFRRERLNGHYGVAAFVISNTLSSAPYLALVSLLPAAMAYYLAGLQRGFEHFIFFSLVLYMCMLLVEGLMMIVASIVPNFLMGIITGAGIQAVMILSSGFFRLPRDLPKPVWKYPVYHIAFHKYANQGYFKNEFIGLTFPGSEAGGAAAVTGETVVRDYWQMEVGYSKWVDLAILFLMVILYRLLFLVIIKVSEKAKPMLMELLNAPPKKVMQVMEQHLEDDTEPNP >Ma06_p32200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33147335:33154700:-1 gene:Ma06_g32200 transcript:Ma06_t32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MGGGRREAALELRTASKIGLASLSAAVAETATFPIDILKTRLQLCGESSRPPSRTRPLASAGGNSLRVAAEIWRKGGVLGFYSGLSPAVLRHLFYTPIRIVSYEHLRVAAAPDGSLLGKALAGGVSGVIAQILASPADLIKVRMQADGHLLSTANQPRYAGLFDAFRKIIQKEGFPGLWRGVFPNAQRAFLVNMGELTCYDQAKHFIIRQGICQDNTYAHTLASVASGLCSTALSCPADVVKTRMMNQTSGRDADVYRNSVDCLYKTVKFEGLRALWKGFFPTWARLGPWQFVFWVSYEKLRRVSGLSSF >Ma04_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25028876:25031897:1 gene:Ma04_g22780 transcript:Ma04_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPLLSSPYYVRVAAILLVFLFVNSPICPAGFTCQDNERQALFQFKIGLKDPGNRLSSWDVTTDCCLWKGVSCDNRTGHVIGLDLHNDHNEHQHRYQEQSVSDDRWALGGELSPCLLAIEHLTTLDLSGNYFGNMLIPHFLGSFKQLATLRLSRAGFGGRIPHQLGNLLSLHQLDLSNNGHSLLLDDCWWLSNLTSLQHLDLSFVNFGIAANWLEALNALHSILEIRLSQCGLLNGIPSSFQHLNLTSLVTLDLSDNYSNSTLPTWLFDLKSLQNLFLRGNYFYGSIPASIGNMSSLTVLELSDRFSLRGSIPGALGNLCKLQQLDLTWSPLRQNLSDMKEIFSGCVKNSLTKLSLRGASLSGYLPEWIGDFQNLKILDLSMNSLSGKLPSSLGRLLSLQQLSLYGNELNGDVPETIGWLSELVILKLGLNSFKGGLSENFFANLTKLKNLGLSSTSLALNVRPDWKPLFRLEYINMSSCILGPQFPSWIKTQESLSSLHMYDVNISDSIPDWFWNFSSSLEFIDLSHNGIRGMLPDLSELSDSKLTYVDLSCNLLEGTVPQFPRSISYLFLSNNSFSGLIPPGIHKTMPKLQYLFLSKNNLSGSIPLFPCNLEELIALDLSDNHLSGELPDCWKGSSNLQDLDFSQNEISGQIPISISHLTSLEHLILRGNRLSGGLPSSLDSCRAMVLLDLSYNQLSGEITWMDRSFSNLKFLNLRANMFSGNLPPLSQLNSLRILDLSRNNFSGNIPKSYGNLRAMSYSLNHVPSETASYSHVAMNLEIKGLYIQFSNVLNLVAAIDLSKNHLLGPIPEELTDLYGLRFLNLSGNNLTGHIPDKINLLKVLEALDLSSNNLSGAIPPSFGQLNFLSYMNLSYNNLSGRIPISGQLSTFDSTIYVGNQGLCGIPLHECQDKVSIKDEAHLTSPEIWLHLSAELVMFMLLL >Ma09_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2933327:2936531:-1 gene:Ma09_g04500 transcript:Ma09_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGAPSALFLRSPSAKASPSGAAYWLRSGLRVPRERARNGSVVAMAAGETNSRPMTGVVFEPFEELKQELAFVPSVPDKSIARQKYSDECEAAINEQINVEYSVSYVYHALFAYFDRDNVALKGLAKFFKESSDEEREHADKLMEYQNMRGGRVKLQSIMMPLNEFDHPEKGDALYAMEIALSLEKLTNEKLLNLHKVAERCNDIQMADFIETEFLGKQVEDIKKISEYVAQLRRVGKGHGVWHFDQVLFGEGEEVVA >Ma06_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7917337:7922908:-1 gene:Ma06_g11300 transcript:Ma06_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIAEKKPQQQRRPGGFVAIFFQLLDWNRRLAKKKLFSRKPLPSVRAAKGSANKYGADDKMPLAKLLLIDDDNQGGFPGEKNPETDVDLGNGMRAPGLVARLMGLQSMPVVAHERPRKATDSSRLSNEQRSGRESLQIDQDLCLEDGGIGKLETRPHKLQKTGAFLERKRTDHGRTKPGASGKKVLSSPSKEKLRKLVSPVKSPRLPSVDHRTRLMKAATKILEPGLQSRSRAKSALTYMDYLPGDAKGADFVAILKESKEPLCDPLPESSMSYGSLGGTSRSELGEEESSRPKIGSSSFKMSNASCSHAGFVEGSLIPFDMQGEHSRNQKTSVPVQAKISVQSKVKGLAERYNQNTSKTKPDGSPTVFPRNQFTQNPSTRVKNKAAFGSSISSRKQGGRDAYGLNGTKGSVFIDRNVGNCSRLKTAYEESSHRRALGSISLGKNMPRKRTISSFGVKNVDAFHSSRAKQSVKSDMSNQKGIRHNNNGSVYKKCIENDSKNDHGDLIFRRNDIVSFTFSSQIRHASMTSVSEGATERSRTKKELINDIGSNKNLMSLAKGSNLTSNRRETLRGDELSNLLEQKIRELTSMDREKLEARDAWSASSIFEELGTAIISEPNYHKYTNGSSQKGIMSCSVDLSVFPIQQSQEAKFGPAATVHSTESNQFSPVSILEASFSNESCSFGSLDASSGGKLQFGLAESCNATQSSDLDTELLDSATSVDIRKSIIHKIRHLTYISLSDPDIQCDDIGFSKTKLGEARHAILNAVLLFENFALYRPDNSVGTLESFLLDMLQAILDALCVKLIGEPSYTGMKGTDQLRELIFDCMIECLNSKYYYLCNSDYTACRSLPFLTTQEQLMREVAKEIRGWIDLAGKYLDDLVKNETETSSGKWTYCKIEAFEASTEMESNILQNLVDELVIDFCQC >Ma11_p03670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2738777:2746139:1 gene:Ma11_g03670 transcript:Ma11_t03670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSNRRSRPRNDAGCMWGLISLFDFRRGHPTQKLLSDKRHESNRHTGTGYSRIKLDSLRSSSNKHEHGSLVGEIRDDQVDSVMTSVKILMEEEMSQHIQKKITRDNLQIEDHPKKSYKQRSKKLKGSDAQGINLAASSSLDGHQSDSMDLTRRSSLNFDLATFLMEFYGYTYQQKHADSDNKFDLLPALENISPKIYNHLSEPDGHVDQKISFFQKNLADVSQAILSQKLMVEKQLDGRWVVHPKEYMDALDILNSDKELLMQLLHDPNSLFHKHSQCFHSAQVGKLTKLGSDEGLENVQLLGEEIDGLGKCKESDSNQLFHKQSRYNFFRKDKSKGTKPSKGSPNSEALNRIVVLKPSPARIPNSSIIITPSSSPQSHHVLRHEEHGERIFSHFSLKEIKRRLRHMIGESRKARHAISMDGVLHRIPVRSNYTDVSSKLINSESTVASLASSSSRDTEKLSETLSLDKRNDKKNDLEECQVNINSNISSSRSQFSIYEEARKHLAEMLGTGEDSLPTTQTSESLGRVLTLPRYNELCPTSSRQRVQDLIMSSEGTGNPSLQQLEQVGATNILSLARENLEFSSFPMSMPSDDLKFRILNTELVDTSILELPCIGEDLNDKEILEAADTEGIVRSNHLDVPLESSRSEIIVATEICEESAAMQGQGSSEETSLTMMQSKVPLSSLLKENLVAPESTTEKQEQPSPVSVLETFLSEDATSPEPSPEEPYVNYEDRESYSKVIASPDVNGSFRDCLQDYQAMSDYVKVLLEASDLTNEFSERWNMTAQLLEPALFDEIGIFFFFLQDDPKLLFDCINEVLVEIQERFFKCTPWLSFIQQNVLPVPRGESLIQEVSKGLERHLHIQLPNTLDQVIRKDLEGRSWMDLRFETENTTNEVCETILDDLMEETVHNMWFQTLTSLEFIFA >Ma11_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2738777:2746139:1 gene:Ma11_g03670 transcript:Ma11_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSNRRSRPRNDAGCMWGLISLFDFRRGHPTQKLLSDKRHESNRHTGTGYSRIKLDSLRSSSNKHEHGSLVGEIRDDQVDSVMTSVKILMEEEMSQHIQKKITRDNLQIEDHPKKSYKQRSKKLKGSDAQGINLAASSSLDGHQSDSMDLTRRSSLNFDLATFLMEFYGYTYQQKHADSDNKFDLLPALENISPKIYNHLSEPDGHVDQKISFFQKNLADVSQAILSQKLMVEKQLDGRWVVHPKEYMDALDILNSDKELLMQLLHDPNSLFHKHSQCFHSAQVGKLTKLGSDEGLENVQLLGEEIDGLGKCKESDSNQLFHKQSRYNFFRKDKSKGTKPSKGSPNSEALNRIVVLKPSPARIPNSSIIITPSSSPQSHHVLRHEEHGERIFSHFSLKEIKRRLRHMIGESRKARHAISMDGVLHRIPVRSNYTDVSSKLINSESTVASLASSSSRDTEKLSETLSLDKRNDKKNDLEECQVNINSNISSSRSQFSIYEEARKHLAEMLGTGEDSLPTTQTSESLGRVLTLPRYNELCPTSSRQRVQDLIMSSEGTGNPSLQQLEQVGATNILSLARENLEFSSFPMSMPSDDLKFRILNTELVDTSILELPCIGEDLNDKEILEAADTEGIVRSNHLDVPLESSRSEIIVATEICEESAAMQGQGSSEETSLTMMQSKVPLSSLLKENLVAPESTTEKQEQPSPVSVLETFLSEDATSPEPSPEEPYVNYEDRESYSKVIASPDVNGSFRDCLQDYQAMSDYVKVLLEASDLTNEFSERWNMTAQLLEPALFDEIGIFFFFLQDDPKLLFDCINEVLVEIQERFFKCTPWLSFIQQNVLPVPRGESLIQEVSKGLERHLHIQLPNTLDQVIRKDLEGRSWMDLRFETENTTNEVCETILDDLMEETVHNMWFQTLTSLEFIFA >Ma04_p25820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27459026:27461177:1 gene:Ma04_g25820 transcript:Ma04_t25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTCLNEYEKLVIKMDTPRVVIDNAVCATATLVKVDSASRKHGVLLEAIQVLTDLNLSVKKAYISSDGRWFMDVFHVTDQFGHKLTDDSVISYLEQSLATGNPEIGTSHVREGLTAMELMGTDRPGLLSEVFAVLADLRCGVVEATVWTHNGRIACLLFVKDDLSGSTVEDAHRIRRIESRLRQVLKSDHDVRGAKAVVATTSVTHPDRRLHQLMLADRYHGHTSPSSSVSVQNCVERRYSVVSVQCRDRPKLLFDVVCTLTEMEYVVFHGTVDTDGDLAHQEFYVRHNDGTPISSEAEKQRVIQSLKAAIERRTSEGTRLELYMEDRPGLLSDVTRTFRENGLLVTRAEVATKAGMASNVFYVSDAGGETADPKAIEAVRRRIGLERLMVKEEQRPRFQSKESADGDEAQSGVGIGLFYLGSLVKRNLYNLGLIKSCS >Ma02_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13855984:13860602:-1 gene:Ma02_g02610 transcript:Ma02_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEEMVRNKQVVLKHFVVGEPKETDMEFRVGKASLRIPEGVEGAILVKNLYLSCDPYMRGRMREYYESYIPPFQPGSVIEGFGVAKVVDSTNPKFSVGDYIVGLTGWEEYSVIVRTEQLRKIETLDVPLSYHVGLLGMPGFTAYVGFYEICAPKKGDYFFVSAASGAVGQLVGQLAKLHGCYVVGSAGSAKKVDLLKNKLGFDEAFNYKEEPDLTDALKRYFPKGIDIYFDNVGGAMLDAALTNMRVHGRVAICGMVSQHSISDPKGISNLYTLVMKRVRMQGFIQSDYLHLHPEFLKTIVSFYKQGKIVYIEDMNEGLENGPAAFVGLFSGKNVGKQIVRVAQE >Ma10_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7837877:7838629:-1 gene:Ma10_g02380 transcript:Ma10_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVKCLYVLALLLLICNGGTERVDGAGECGRVPVRRMALQMAPCASAAQDARLPVSAGCCAAVKKMGRNPSCLCAVMLSDTAKSVGVKPDVAMTIPKRCNLADRPVGYKCGGYTLP >Ma10_p02380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7837684:7838629:-1 gene:Ma10_g02380 transcript:Ma10_t02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVKCLYVLALLLLICNGGTERVDGAGECGRVPVRRMALQMAPCASAAQDARLPVSAGCCAAVKKMGRNPSCLCAVMLSDTAKSVGVKPDVAMTIPKRCNLADRPVGYKVYIAVTTVKRGDRVLLLGA >Ma06_p26130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27259429:27262222:-1 gene:Ma06_g26130 transcript:Ma06_t26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFIFFCICFIFLFIDKQRYSVTLFNSTGATSFLFTEYQYVGVFMVDKYCKPALANAVFSTVSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKLYYGDDWEGLFEAITVYGLGGSSMALFGRVGGGIYTKAADVGADPVGKVERNIPEDDPRNPAVSCVVLGGKISVDILLGWDLICLARAESLCATLVVGSISSFGINHNFTAMCYPLLRQLTVSTTLMTVGIGIISWIALPSSFTIFNFGEHKQLFFCVAIGLWAGLVIGFVTEYYTSNAYRLENFNYAADSCRTGAATSVIFGLALGYKSVIIPIFATAVSIFVSFSFAAMYGIAVAALGMLSTIVTGQAIDAYGPISDNAGGIAEMASMSHRIREITDALDAAGNTTAAIGKGFDIGSAALVSLALFGAFVSRAAIKLWMFAHQKSSLGRLLVQCCLTGSQP >Ma08_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10315880:10317318:1 gene:Ma08_g13000 transcript:Ma08_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSRIPFQWLRASLALLVVFQSHVMATEASGVGADWEYSYDPCSELGPQHWGDLHEEWETCKTGEQQSPISIKPSNIIVSPSLWILRTGYDTRPAFLQNKGHEILVNWTESPGDLMIGEKTYHLRQCHWHSPSEHELYGKRYPLELHMVHTTPEGEIAVIGMLYEFGQFADPLLHQLSEGLRALQTQDNVYVGTIRPPLIGEREPYFRYGGSLTTPPCGEPVTWTVMKEVKSVTESQLASLRIPVHDKDNARPVQPINGRNVYMYKPPHEDHVSS >Ma04_p31400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31711176:31715174:1 gene:Ma04_g31400 transcript:Ma04_t31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) UniProtKB/Swiss-Prot;Acc:Q9CAM7] MASSSSSSSNPYDVPWVEKYRPSKVSDIVGNSDAISRLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPNYREGVLELNASDERGIDVVRNKIKMFAQKKVTLPPGRHKIIILDEADSMTSGAQQALRRTMEIYSNTTRFALACNISSKIIEPIQSRCALVRFSRLSDQEILGRLMVVVEAERVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMVRNVLEGNFDDACAGLKQLYDLGYSPTDIITTLFRVIKNYDMAEFLKLEFLKETGFAHMRICDGVGSFLQLSGLLAKLATARETAKAP >Ma11_p10150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9433424:9445249:1 gene:Ma11_g10150 transcript:Ma11_t10150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTDINYSMDSLEVDPLQFKENDHLSEQTRSYNLLESPPPATIPVINWSEHISRLRSTEFNLESLVSQSVHCDSESLYRYESSYNNPPSNYHRDMVKELTLRNYKSPSLSVVGCYHSEEDFPQEDSKEMLDRTRSLLSENSPTFTKQVDAGNSFLPQVSIQTPPLSRQFGQNFSELSGLGDKYILSDNHTFIDYTGAAQDCNPQDSGFELFSVTNALKGKGVASRCPDDVNFQTYADQNDQLTAQTAPVGFQRSCVKSYCLSPLATISESLLGIHEEGISLRSFLKPQSSLNKVERLHMFKLIVDLISSLHSQGFFLKHLCPSHFVMLQSKQVKYVGPLIPQGQMELLEGKREPDVDHLNLKNSLKRRWNLVDGREFNETLLTKHQKVCEQFISPSHTGVLKGEVGKEFDPQNFQIENSICEVSGRLQTGGASKSHNFPVILELSSGGHYAVSDVLKLEERWYASPEELNEGSCSSSSNIYSLGVLLFELFCHFESQKAHCVAMSNLHHRILPPSFLSEHPKEAGICLWMLHPDPSSRPKISDILLCDIFRKDREASIMEQLSTSVDCELSKADLLLHFLLALKEKKDKQTAKLMEDLGCVKADLEEIKSRHFSTDHVHKDWFLQTNSADMLGAYTCKEPLHVNLRSGLSAMSTDGIISKMKNFGLLETAYFSMSSKSEHPDISSIVRQDIDVLRLKNQLCSAKNDASPSYMEKEPSDQRGSFFEGLRKYARYKRFEVHGSLRYTNILNSANVICSLSFDQNEEYFAAAGASKKIKVFEFGALINSTVDIHYPVIEMPSKSKHSCVCWNGYIRNYLASTDYGGVVQLWDASTGQGFHRYSEHQKRAWSVDFSSEAPTKLASGSDDCSVKLWSIHEKNCIKTIKNVANVCCVQFSSHSSHLLGFGSADYRIYCYDLRNTRIPWCTLAGHGKTVSYIKFLDCDTIVSASTDNTLKLWDLKKTTASGLSTNACSLTMTGHTNEKNFVGLSVCDGYVLCGSETNEVYAYQKTFPMPITSHKFGYIDPITGHEIADDNGQFVSSVCWKRTSNMALAANSSGCIKLLQMV >Ma11_p10150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9433424:9445249:1 gene:Ma11_g10150 transcript:Ma11_t10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTDINYSMDSLEVDPLQFKENDHLSEQTRSYNLLESPPPATIPVINWSEHISRLRSTEFNLESLVSQSVHCDSESLYRYESSYNNPPSNYHRDMVKELTLRNYKSPSLSVVGCYHSEEDFPQEDSKEMLDRTRSLLSENSPTFTKQVDAGNSFLPQVSIQTPPLSRQFGQNFSELSGLGDKYILSDNHTFIDYTGAAQDCNPQDSGFELFSVTNALKGKGVASRCPDDVNFQTYADQNDQLTAQTAPVGFQRSCVKSYCLSPLATISESLLGIHEEGISLRSFLKPQSSLNKVERLHMFKLIVDLISSLHSQGFFLKHLCPSHFVMLQSKQVKYVGPLIPQGQMELLEGKREPDVDHLNLKNSLKRRWNLVDGREFNETLLTKHQKVCEQFISPSHTGVLKGEVGKEFDPQNFQIENSICEVSGRLQTGGASKSHNFPVILELSSGGHYAVSDVLKLEERWYASPEELNEGSCSSSSNIYSLGVLLFELFCHFESQKAHCVAMSNLHHRILPPSFLSEHPKEAGICLWMLHPDPSSRPKIRTMFKKQLFYKAFFNVDDSDILLCDIFRKDREASIMEQLSTSVDCELSKADLLLHFLLALKEKKDKQTAKLMEDLGCVKADLEEIKSRHFSTDHVHKDWFLQTNSADMLGAYTCKEPLHVNLRSGLSAMSTDGIISKMKNFGLLETAYFSMSSKSEHPDISSIVRQDIDVLRLKNQLCSAKNDASPSYMEKEPSDQRGSFFEGLRKYARYKRFEVHGSLRYTNILNSANVICSLSFDQNEEYFAAAGASKKIKVFEFGALINSTVDIHYPVIEMPSKSKHSCVCWNGYIRNYLASTDYGGVVQLWDASTGQGFHRYSEHQKRAWSVDFSSEAPTKLASGSDDCSVKLWSIHEKNCIKTIKNVANVCCVQFSSHSSHLLGFGSADYRIYCYDLRNTRIPWCTLAGHGKTVSYIKFLDCDTIVSASTDNTLKLWDLKKTTASGLSTNACSLTMTGHTNEKNFVGLSVCDGYVLCGSETNEVYAYQKTFPMPITSHKFGYIDPITGHEIADDNGQFVSSVCWKRTSNMALAANSSGCIKLLQMV >Ma11_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9433712:9445249:1 gene:Ma11_g10150 transcript:Ma11_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTDINYSMDSLEVDPLQFKENDHLSEQTRSYNLLESPPPATIPVINWSEHISRLRSTEFNLESLVSQSVHCDSESLYRYESSYNNPPSNYHRDMVKELTLRNYKSPSLSVVGCYHSEEDFPQEDSKEMLDRTRSLLSENSPTFTKQVDAGNSFLPQVSIQTPPLSRQFGQNFSELSGLGDKYILSDNHTFIDYTGAAQDCNPQDSGFELFSVTNALKGKGVASRCPDDVNFQTYADQNDQLTAQTAPVGFQRSCVKSYCLSPLATISESLLGIHEEGISLRSFLKPQSSLNKVERLHMFKLIVDLISSLHSQGFFLKHLCPSHFVMLQSKQVKYVGPLIPQGQMELLEGKREPDVDHLNLKNSLKRRWNLVDGREFNETLLTKHQKVCEQFISPSHTGVLKGEVGKEFDPQNFQIENSICEVSGRLQTGGASKSHNFPVILELSSGGHYAVSDVLKLEERWYASPEELNEGSCSSSSNIYSLGVLLFELFCHFESQKAHCVAMSNLHHRILPPSFLSEHPKEAGICLWMLHPDPSSRPKIRTMFKKQLFYKAFFNVDDSDILLCDIFRKDREASIMEQLSTSVDCELSKADLLLHFLLALKEKKDKQTAKLMEDLGCVKADLEEIKSRHFSTDHVHKDWFLQTNSADMLGAYTCKEPLHVNLRSGLSAMSTDGIISKMKNFGLLETAYFSMSSKSEHPDISSIVRQDIDVLRLKNQLCSAKNDASPSYMEKEPSDQRGSFFEGLRKYARYKRFEVHGSLRYTNILNSANVICSLSFDQNEEYFAAAGASKKIKVFEFGALINSTVDIHYPVIEMPSKSKHSCVCWNGYIRNYLASTDYGGVVQLWDASTGQGFHRYSEHQKRAWSVDFSSEAPTKLASGSDDCSVKLWSIHEKNCIKTIKNVANVCCVQFSSHSSHLLGFGSADYRIYCYDLRNTRIPWCTLAGHGKTVSYIKFLDCDTIVSASTDNTLKLWDLKKTTASGLSTNACSLTMTGHTNEKNFVGLSVCDGYVLCGSETNEVYAYQKTFPMPITSHKFGYIDPITGHEIADDNGQFVSSVCWKRTSNMALAANSSGCIKLLQMV >Ma10_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31026822:31028646:1 gene:Ma10_g21020 transcript:Ma10_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCLGYLFYSLLFLASAASSNTNITDYDEYWVKREAEALSNIMQAYVSEPESVVNHFNTPDIFQVFNSTRRSLRHGKGKGRKCVATNPIDRCWRCRSDWASNRKLLAKCAKGFGRHAEGGLSGSIYVVTNPSDDNLLDPRPGTLRYGVTRDRPLWIIFARDMVIKLQQELMINNYKTIDGRGANVHIAYGAGLTIQFVKHVIVHNLHIHDIKPGAGGNIRDSEGHWGIRTRSDGDGVSIFGASHVWVDHLSMSNCADGLIDAVKASTAITISNCHLTRHNDVILLGASDKSQEDAVMQVTVAYNHFGKGLVQRMPRCRWGFFHVVNNDYTHWMMYAVGGSQHPTIISQGNRFVGPPIQFAKEVTHREYAPESEWKNWIWTSEDDEFKSGAFFIQSGHKYQGKHSRYDVIKAKPGSIAGRLTRFSGALQCSPNRPC >Ma05_p26890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38407112:38408938:-1 gene:Ma05_g26890 transcript:Ma05_t26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGMGMRNWAYYEQTLKGNLGLQLMSPVAAERESTKPLLSNGGFLRRDCDVAEPSVPMGFMRNGWINYRDNKMVHMLPLNHGYSVLPDAHGVHAPSMMQQMVPPPEDEKVPAMENETVTAKEAPLKKRSQAQARPCKPSKPKKPKKVPTPKDETNGRSGGRGRAIKKNTDIVINGFDLDISRIPTPVCSCTGTLRQCYRWGVGGWQSACCTTSISMYPLPMSTKRRGARICGRKMSQGAFKKVLEKLAGEGYNLSNPIDLRPYWAKHGTNKFVTIR >Ma04_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8948244:8955469:1 gene:Ma04_g12140 transcript:Ma04_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLFFLVVGRRNHRSGDLRDKLDRRHSPYRRLSKASPNLTEGIPGRDARAHQTFRYQKPIYHDRGSSFSNSPIQRSERRNRKKQHMNRESDVSESSEVSDGPEDRKREEKASSYDDKDGLEEQIRQILLDIEMLDDHKSQLEIFLDEKADEAYKLFSQIEELESQINKEQENCRRITSKIKKFIKAHGWYIKAQEE >Ma06_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4598112:4599484:-1 gene:Ma06_g06320 transcript:Ma06_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAGDWNCSLCQHHNFSRRDSCQQCGHPRLCSGDFSDYAGLGGGRGGSSFGVVSDVRPGDWYCSCGGHNFASRSSCHSCGAFRDESAVGVIGGFDNSEMAGSQGITYGGGGWKSGDWLCTRSGCNHHNFASRRECYRCKAPKGCGA >Ma06_p06320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4598112:4599484:-1 gene:Ma06_g06320 transcript:Ma06_t06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAGDWNCSLCQHHNFSRRDSCQQCGHPRLCSGDFSDYAGLGGGRGGSSFGVVSDVRPGDWYCSCGGHNFASRSSCHSCGAFRDESAVGVIGGFDNSEMAGSQGITYGGGGWKSGDWLCTRSGCNHHNFASRRECYRCKAPKGCGA >Ma06_p06320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4598112:4599481:-1 gene:Ma06_g06320 transcript:Ma06_t06320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAGDWNCSLCQHHNFSRRDSCQQCGHPRLCSGDFSDYAGLGGGRGGSSFGVVSDVRPGDWYCSCGGHNFASRSSCHSCGAFRDESAVGVIGGFDNSEMAGSQGITYGGGGWKSGDWLCTRSGCNHHNFASRRECYRCKAPKGCGA >Ma09_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7864632:7865342:1 gene:Ma09_g11630 transcript:Ma09_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRAFAAVVTVAALLHVAIATRYTVGGPNGGWNTITDLQAWASTKRFAPGDSLIFTYTSSHDVLEVTKAAYDACSATTPMESHTGGNTAIKLSAPGKRYFICGVPGHCAAGMKLDVDVISTAVGAPPPKHHYPPAAPKSTSHSPSEPPMVAPSRAPSASFPPMSHAPGVAPSMTSAARGCMQCANLAVGLAMGVLLILAA >Ma07_p07890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5855858:5865148:1 gene:Ma07_g07890 transcript:Ma07_t07890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDERMGSPSGLEDGNLGEGGEGTSGVGLSSLIFLGTGCSNTVPNARCLIQPSDPPCAVCTQSLYVPPEKNPNYRCNTSLLIDYCQDDGVHRYIIIDVGKTFREQVLRWFTHHKIPQVDSIILTHEHADAIFGLDDVRIVQSFIPFSPPNDIDPTPIYATKFTMDSIAIKFPYLMNKMWKEGQEVTPIAQLDWKVIESDPDKTFMASGLEFVPLPVLHGEDYVSLGFLFGQQSRVAYISDVSRFPDSTENLISKSGGQLDLLIIDTNSLHKSLDAVKRICPKQALLIGMTHDYDHHKLNVLLAEWSMREGIPVQLAYDGLQLFIDL >Ma07_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5855858:5865148:1 gene:Ma07_g07890 transcript:Ma07_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDERMGSPSGLEDGNLGEGGEGTSGVGLSSLIFLGTGCSNTVPNARCLIQPSDPPCAVCTQSLYVPPEKNPNYRCNTSLLIDYCQDDGVHRYIIIDVGKTFREQVLRWFTHHKIPQVDSIILTHEHADAIFGLDDVRIVQSFIPFSPPNDIDPTPIYATKFTMDSIAIKFPYLMNKMWKEGQEVTPIAQLDWKVIESDPDKTFMASGLEFVPLPVLHGEDYVSLGFLFGQQSRVAYISDVSRFPDSTENLISKSGGQLDLLIIDTNSLHKVSSRSTHLCFSESLDAVKRICPKQALLIGMTHDYDHHKLNVLLAEWSMREGIPVQLAYDGLQLFIDL >Ma06_p25350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25650260:25651596:1 gene:Ma06_g25350 transcript:Ma06_t25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSNVRAAAAGNGSGSGKLLEILNVRVVGGGERVLVLSHGFGTDQSAWNRVLPYFQRDYRVVLYDLVCAGSVNPDHFDFRRYTTLDAYVDDLLAILDALRVDRCFFVGHSVSAMIGILAAIRRPELFLKLILVGASPRFLNDRDYHGGFERGEIEKVFAAMEANYDAWVRGFAPLAVGADVPAAVREFSRTLFNMRPDISLFVSRTVFNSDLRGVLGLVRAPCVVIQTAKDVSVPVSVAAYLKAHLGGRTTVELLPIEGHLPHLSAPAALVPVLRRALASHR >Ma11_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23154683:23155446:-1 gene:Ma11_g17980 transcript:Ma11_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINIGKFQAMKRNRRRQALPSLMISFTVTAFLLGLFLSTLIWPPLCSSIKLLLFVSLPNMAAPLLKPEYLFIVCNLIVVFLVVESKLLGSSSPPDIYEEYMNGNTSLPRRSRSKGHRGSSLEQALVEEEEEKRGRGWEETAIRWENWDDEEEEKCLLDELNKRSEDLIARVTEQWRFEARMLFGHQ >Ma06_p28420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30120430:30122290:1 gene:Ma06_g28420 transcript:Ma06_t28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLDPTLSFPLANATPPLAMPFNTCDVSFICFFFSAAVVAAQQTDSDTWTPSNVAVSFRPSVGIVIGIFTFMFSLTLLLLVYAKFCRSAAAPEPDSLGADAAGHGRLILPQHRFSGIDKTVIESLPFFSFSSLRGVRDGLECSVCLSRFDDADVLRLLPKCKHAFHVGCVDRWLEAHSSCPLCRCKVEADDAALFKYSTSSRFLFPSDRLETSGRDLELFVERQPNDDGDPRGFSRFGIGSSFRKTDKVTKDKKDQDLPMLEEGADGGRFFHKFKHKIIVSDVVFKSRWSDVNSSDLIALNSEMLSGKTLADVYWNVEPTGAARISGGSSEGKASVDEKVLKIKEEMEKKRLLEIKASQLNKSCSTALPSMSSNSAHDANLNNTNSRALISSGNRTMSEITNLSRFRQVKDPGSIGTTDENEDEKVRRLWLPIAKRTVQWFAGREKRSPLV >Ma08_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7092375:7107058:-1 gene:Ma08_g09770 transcript:Ma08_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYDSESSSEETPSNGSIASEDERKNVAEASPDEEVDEEELEAVARTAGPDDDEAKDDNGDEDTKDEDTKSVDDDDEDEEEDEENAKFGKRERARLREMQRMKKQKIQEILVAQNASIDADMNNKGKGRLKYLLQQTEIFAHFAKGAQSASEKKPRGRGRHASKITEEEEDEEYLKEEEDAFSGAGGTRLVSQPSCIQGKLRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMREIRRFCPVLRAVKFLGDPEERRHIREDLLVAGKFDVCVTSFEMAIKEKNALRRFSWRYVIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKHYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIINAGKMVLLDKLLSKLKERDSRVLIFSQMTRLLDILEDYLLYCGYQYCRIDGNTGGEERDASIEAFNEPGSEKFIFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFNSNNSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTADLYDFDDEKDDNKVDFKKLVTDNWVEPPRRERKRNYSDADYFKQALRQGGPAKSKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIGDEEEPEDLGEPLTAEEQEEKERLLEEGFSTWTRKDFNTFIRACEKYGRNDIKSIALEMEAKTEEEVERYAKVFRQRYKELNDYDRIMKNIERGEARISRKEEIMRAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKDKKLSRTPTKRSLSKAPAMETPALTSFKRRKQSSMDDYMSSGRRRR >Ma06_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7852597:7854441:-1 gene:Ma06_g11180 transcript:Ma06_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQCLLLRQQEKMQKRRGRKQLYRSGSTSQLESKLFARRLRVIFDDPDATESSDDEGVNRSCRRKRATVELRLPGTFPFLQSDASSQESSRRNNKTPKFLRRPKVKTLCSVTSSSTTSAVRFKGVRQRPWGKWAAEIRDPIRGVRLWLGTYDTAEAAAAAYAAAALRFQTEKKNLSVASANSTTTSSSPSMRSDAVAVAAPSSPSSVLDVSASASGVADDGRDSSSIAQHAAEEPSIADLFEGQGLPLPTCDAEFAFGSDLFILGDIGSELLPNEFLRLEDLPDMDDDIVGGDFPSLEALNQWMDIDF >Ma03_p25740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29714911:29719498:-1 gene:Ma03_g25740 transcript:Ma03_t25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVVESKGTISVASAFAGHHEAVQDRDHKFLSKAVEEAYHGVECGDGGPFGAVVVHNDEIVVSCHNMVLKNTDPTAHAEVAAIREACKKLGKIELSDCEIYASCEPCPMCFGAIHLSRLKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAHLEIKRADGNGAIIAEQVFEKTKEKFQMY >Ma03_p19060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24498145:24498621:-1 gene:Ma03_g19060 transcript:Ma03_t19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSEICKDFIQMKQDDKFYTTLISRESSSANPSLGVYYGDAKGAVPFLWESQPGTPKNTISNTTLPPLTPPPSSFPSPRHDGCKKSTKTSLIHTLLPKLTLKVFRKPSSSSSKTGDEESRYGSPTPTSCFRVRHGAAATELKDSTRRPSRTVPAI >Ma03_p26890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30455252:30456989:1 gene:Ma03_g26890 transcript:Ma03_t26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAAPTVKEIPGSYGVPFVSPIRDRLDFYYFQGADKYFQSRVDKYHSTVIRLNVPPGPFMASDPRVVAVLDAKSFPVLFDVSKVEKKDVFTGTYMPSTSLTGGYRVCSYLDPSEPSHAKVKQLLFNVLASRKDAVVPAFRTNFTALFQTMESQLASAGKSDFNKLNDNTSFEFLGEAYFGVRPSSTELGATGPTKSTKWLFLQLCPLMTLGLPKILEELLLHTFPLPPLIAKGDYKALYKYFSDAAGSALDSAEKLGLSREEACHNLLFATVFNSYGGMKVLLPGILGWLAKAEESLHARLAKEIRAAVAGEGGKVTLNAVEKMELTRSVVYEALRMDPPVKYQYGKAKQDLVIESHDAAYQVKKGEMIFGYQPLATRDPKVFDKAEQFIGDRFLGDEGKKLIKYVVWSNGPETETPSVANKQCPGKELVVLVGRLLVVEFFLRYDTFTADVGTILLGSQVTVTSLTKSSYSSSSTTTTNL >Ma05_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8013133:8013450:-1 gene:Ma05_g11050 transcript:Ma05_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCKEELMPRSASTSFLAFCVCHLIVAILLLISSSNGVTERSLPTIDDDDRVRGPAPSTSGFGGPDEKNGDDSNGEDDELRKRAEEFIEKMNSVWRAERKKMLR >Ma11_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:323700:332284:1 gene:Ma11_g00480 transcript:Ma11_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTLNEKVGSDSHEIVPVRDEKRPKLSIDVPTRNMGLSSMNYLKANVPLTPGSDSNRINILPICSPASAKMHASPCSTSSKGKPFIKKLLSGLSFKFQPSASEVERYETQAPEAYSAEKGGKPSLLGSFSFSKLFSPRIRRTSSLPINSDLHGKSPHGSTMVHNSSLERKEVQHHISRSLSLPTDIKHIKSKSIKRMNSLGGVFRVIPSTPRVVDLSSPVADSITPVDSAIDGDGEDIPEEEAVCRICMTELSEGSNTLKLECSCKGELALVHQECAVKWFSIRGNRNCEVCGQEVENLSVRLLRVQSVQTATTLPGMSRQRTVYFYRFSHEMPVLVIISMLAYFCFLEQLLVADVGTAALAISVPFSCILGVFASLTASTMVMKRFVWIYATVQFMLVVFFAHLFYSYLHMQVIISIVLAMFAGFGVAMSGNTIAIESWRWRRRRRRRWHFASAGSLTPLEIVPSSSQQQDLPAGGRTIPGPPDVLPPLPVHRRPHPRQCRRRRYYPYRDLRLVPTPTLSSLIRLNHLRGFDSPSSDLVVRQGRRPQRSQKWKGAGASRQWSRRRKGRGQRRGRWNERDARGL >Ma08_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37011895:37018468:1 gene:Ma08_g23660 transcript:Ma08_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYD1 [Source:Projected from Arabidopsis thaliana (AT3G17810) UniProtKB/TrEMBL;Acc:A0A178VD08] MATLSLRGPAGSSLSLGPRRAAARPDLRLRRVRITAAGGGDGAAGEPDLRVRVNGVEMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVVNVTPRYARLRAGSNGSVKGPIIGWENIELISDRPLETMLNEFKQLKEEYPDRVLIASIMEEYNKDAWQELIERVEATGVDALEINFSCPHGMPERKMGAAVGQDCTLLEEVCGWINEKATVPVWAKMTPNITDISQPARVALKSGCEGVSAINTIMSVMGIDLNTLRPEPCVEGYSTPGGYSARAVHPIALGKVVQIAKMMTTEFGNTDCSLSGIGGVETGGDAAEFILLGANTVQVCTGVMMHGYGLVNKLCAELKDFMRKHNFSSIEDFRGVSLQYFTTHTDLVKRQQQAIRQRKAVRKGLQSDKEWTGDGFVKESESMVSN >Ma04_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2592915:2596873:-1 gene:Ma04_g03360 transcript:Ma04_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANRIGNLLKKSITSSPSLYQAIRCMSSSKIFIGGLSNGTDDQSLREACTNYGEVVEARVIMDRETGRSRSFGFVTFTSNEDASAAISGMDGKDLHGRMIRVNYATERTGGFRGGSYGGGDYGGGGYGGGGSGYGNMGGSYSGSSGSGGGYSSGGGYGGGGYGDGGSNNYGGSYNTGPAVGYDGTGGGYDNASDGGSYGVAIGGGGGSYGGSNSGGVAEGGSNADYGRGTYGGSESTGFGSSFSNNGGYGNNNQ >Ma04_p27020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28280142:28285206:-1 gene:Ma04_g27020 transcript:Ma04_t27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNHLSHELTLPQYAEEQLGGDSPTVLMTTVGQLAASTSGAATEAKDGKDIPRLMAEGSGPTWLNSAILRRQGHHYADGSFLHLQTTVDSSSPPVAAGGGGGGLGHWFPRPPTLRRSGSEDEAPVSSDSVMVAAIPAGGEFVEAEALAQRTGGEAAAGEGTWQSARYKAEILAHPLYEQLLSAHVACVRIATPVDQLPRIDAQLAQSQQVVSKYSVLGGGGSSGQILGDGKELDRFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQALQSLTGVSPGEGTGATMSDDDDDDQVDSETNLLDGSLGVPDSMGFGPLVPTDSERSLMERVRQELKHELKQGYKEKIVDVREEILRKRRAGKLPGDSTCTLKAWWQSHSKWPYPTEDDKAQLVEETGLQLKQINNWFINQRKRNWHTNPSSSSSLKSKRKRQ >Ma04_p27020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28280142:28285206:-1 gene:Ma04_g27020 transcript:Ma04_t27020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNHLSHELTLPQYAEEQLGGDSPTVLMTTVGQLAASTSGAATEAKDGKDIPRLMAEGSGPTWLNSAILRRQGHHYADGSFLHLQTTVDSSSPPVAAGGGGGGLGHWFPRPPTLRRSGSEDEAPVSSDSVMVAAIPAGGEFVEAEALAQRTGGEAAAGEGTWQSARYKAEILAHPLYEQLLSAHVACVRIATPVDQLPRIDAQLAQSQQVVSKYSVLGGGGSSGQILGDGKELDRFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQALQSLTGVSPGEGTGATMSDDDDDDQVDSETNLLDGSLGVPDSMGFGPLVPTDSERSLMERVRQELKHELKQGYKEKIVDVREEILRKRRAGKLPGDSTCTLKAWWQSHSKWPYPTEDDKAQLVEETGLQLKQINNWFINQRKRNWHTNPSSSSSLKSKRKR >Ma09_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4595850:4599437:-1 gene:Ma09_g07120 transcript:Ma09_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGTVEERALGSLGLGFDLTSDFRLGFAKGYPGRRLVELNEERTWDVALPGGPTIRGASRDVGFDKGDRTRFRSDVLEFNQMSILLNQKSSVQGKVPSGYFNALFDLSGAWLEDAKSTKCLAFDGYFISLYNLHLRSSPLVLREEVKKAVPSKWDPASLCRFIRTFGTHIIVEIAIGGQDVNCVRQSHCSTISSAEVKKHLEDLGDFIFSDGRNLSPLHSKDGERKKKVPEVFLQILQSNYLQLPSYSESSSKEGLSVICSKRGGNVCTSNHSEWLQTVQSSPDAILFKFIPITSLLTGIQGSGYLSHAINLYLRYKPDPEDLQYFLEFQVPYQWAPRYSDYALGPQIKKASNPSLQFRFLGPKLQINTDQVSSDRKPVVGLRLYLEGRKCNRLAIHVQHLSSLPRILRASASEMCVWQGSEDSDPAFIEPIRCRQYSAVCTSTVKHDPEWLHRVSDGVFVVAGAQLVTKGKWAKTVLHLRLLFAHVPNCTIRKTEWTRAPATSPKGGFLTNMSTAFTQRDALPTTTTESAELNSGVCPDGPPVPVQSRKLLKFVDMAEVVRGAHNAPGHWLVTAAKLVKKEGKIGLQVKFSLLNYVSGTETMCS >Ma05_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23364688:23366863:-1 gene:Ma05_g18340 transcript:Ma05_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDKGGVSGATVRRWSLFKLVSFTLAIALLLWTMDALSVTATTSSLIAVTLSSLNVLSPPPTTAPARSAPNTRAVPRLRSWISVPATPNFTSTLVSWWLAPGGEPCGDSRTADIAVPDLDGGRTAELSCGQIHEFVLSALDDGGRPRCLGGDYFETDLSGPTWKSRPPVVDHGNGSYSLRLQVHPDFAGEYNLTVVLLFRSFEGLKLSPERFKFRRELRRFPIRFHRSNGTLPPLRLCHGVADLSKEVWSGRWTRHARNDSCGVDDEGRYRCLDPRTACPKPWCDGPLAALESNGWVYSAHCAFRIFTQDVAWKCLRNRWLFFWGDSNHVDTIRNMLNFVLGRPDVDSVPRRFDRKFTNPVNHSESVRITSIFNGHWNETSNYLGLHSLRNQGFRELLWEFFKGPTAPDVMVFNSGLHDGYHWRSIRAFADGAEYAARFWEEIVMRRRVRGNATDATPSALPRIFYRTTIATGGYARDLGYNPSKMEAFNGIFLEKLKDKGLITGGVIDEFDMTFPWHYDNRCNDGVHYGRKPAKMQWRDGEVGHQYFVDLMLVHVLLTAICNVG >Ma01_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3785603:3799554:1 gene:Ma01_g05380 transcript:Ma01_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase large subunit 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19220) UniProtKB/Swiss-Prot;Acc:P55229] MSFSHSPTLPLLRQGDMVMCMNSRMVPLATVGRLPGRPIPRLVGTSRDGQTMGTRLDWQRYLRCLRSGRGSMVGAAEKRLVVQMAIVTTDVETEVKFRDLDLERRNPGTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLARAYNFSNGVSFGDGFVEVLAATQTPGVEGKRWFQGTADAVRQFHWLFEDAKGKDIKDVLILSGDHLYRMDYMDFVQDHRQSGADITISCMPMDDSRASDFGLMKIDNKGRVISFSEKPKGEDLKAMEVDTSVLGLSKEEAAKNPYIASMGVYVFKKELLLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIKSFFEANLALTAHPPRFSFYDATKPTYTSRRNLPPSKVDNSKIVDSIVSHGTFMDNCLIEHSVIGIRSRISSNVHLKDTVMLGADYYETDAETASLLAEGRVPIGIGENTKIRNCIIDKNARIGKNVIISNSDGIQEADRSAEGFYIRSGVTIILKNSTIGDGFVI >Ma08_p27150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39494009:39494784:1 gene:Ma08_g27150 transcript:Ma08_t27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCFVFPMARTTHGFSLPGFRPWVQDKTNGPIVFYTKPRLYIAESAIFLFQISIPQQLKSSHSMAPSAAMLFLSYHQWKPKPSPQPLPSAPPPAAADTSFLVKFSRSPVSRPSSMPAEPAAQAEAKASDASTKRHPTTEDKFQEALELGCWSL >Ma08_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10956248:10958292:-1 gene:Ma08_g13800 transcript:Ma08_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVTAAVGSLPSSKSSSLSKTPSISPATLERTSFHKACLYSRSVSTANARKKLVAVRAQVTTEAPAKVAKVSKKNDEGVVTNKYKPKEPYVGTCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIADGIDKNGKPHKLRLYSIASSALGDFGDAKTVSLCVKRLVYTNEQGEVVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATVIMLGTGTGIAPFRSFLWKMFFEKHDDYEFNGLAWLFLGVPTSSSLLYKEEFEKMKERAPDNFRVDYAVSREQANEKGEKMYIQTRMAEYAGELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAANDGIDWLSYKRELKRAEQWNVEVY >Ma04_p31350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31676982:31684147:1 gene:Ma04_g31350 transcript:Ma04_t31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIRGCWWRPIVLRSRTYYASPMAAANASRNPGSPAAFSTGSPSPNRKRVGTHNGSFHCDEALGCFLIRLTEKFSGAEVVRTRDSQLLETLDAVLDVGGVYDPIRDRYDHHQNGFSEVFGHGFNTKLSSAGLVYKHYGKEIIAKELQLDEGHENVQRLYLSVYKSFVEAIDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNLDWMDPDQSSEKENAAFQQAMMLAGGEFLESVRFHVRSWLPARSIVLECLASRCNVDPSGEIMVLDRFCPWKLHLFELEKELKIDPLIKYVIFQDERSKSWRVQAVAVSPDMFESRRPLPLPWRGLRDDELSEKSGIPGCVFVHMGGFIGGNQTYDGALAMARTALGSY >Ma10_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30747818:30749769:1 gene:Ma10_g20690 transcript:Ma10_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEKEEKEKKERKNLRRSSGKISIMVFVAPKKLTVLLIIVLASLPSIALAGRQTRIFGKYAAPAGSTMKDLSKHGLHQEQISIVHYRILKVNTKDYGSYDPSPSLSKPPFKLIPN >Ma01_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:109033:114805:-1 gene:Ma01_g00120 transcript:Ma01_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAFRSATGRSSIGGRAVARSARDTGSSGSGGPPRRSRSLSRFSGRFPPSQPDAEDFPTPPGRFVNEARGCVFPEISLDDVVDEFFRARAESEEEESEPSDARSRCRNSVTSYPIKTESSGRRGRSVTRPPQCRTGPPKGVSNSVLRRRRSVSVARHRCSDSENDMDSLSSSTQVISRISDNGILQQPSSHRPVNNVDVLKRTMSHKDFFHSQDSYSSHSSSLTDVEAGDFHSRKHGVEKTIQAVYAQEKGENPIGDDEGIGLYEVVRKEVRHAVEEIRTELQKVMLNNEASAIISDDSIRPKGSEVLEAISEIRRNYTTKLEQSEKRKQDLLAELAMEEERGQEISKIVKELLPSPKISVVPERQSQSRRRSKDRTRLSKCLNEEAEKYFEDFLFNVEDTDISSFDEERSDASSIVRDPGLRNSVAGTYERVLKTAPLPADGDGVVLPWLEWETSVAPSSPCKSKEASAGFSNCYQIASSFGSRSFDGTDSSSVVSSDPSRSKFGAENHQGNSYNSRTTASSFDMEEYLSLKQSEDILCERLRQRRRIESGSMILCGRLSTDIW >Ma02_p00520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5454040:5468087:1 gene:Ma02_g00520 transcript:Ma02_t00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRKGGGGPQDAKEAVVGMPSFVSSLTVAHPATTEGNILHTSRGSNVRTLEKSNIFQIEEATDLSTNPLPNKKSGDLNLKTSGLSVASDPLQFGVYDSLVASSGMTLLAKRAGTLAVTIQKAHQHTLLSSSSAHIENWGESTMADTSPWTDTSTDVDTDEKYQTFEERQVADVAASDSSDKSKDKSDIKTLRRLAQNREAARKSRLKKKAYIQQLESSNLTLNKLEQELQRARQQGIFISSSGDQSHSMGGNGALAFDMEYARWLEEQNQQAKELRAAANSHASDDVLRVIVDDVMKHYDKLFRIKSVAAKADVFHILSGMWMMPAERCFLWLGGFRSSELLKLLASHLEPLAEQQLVGICNLQQSSQQAEDALSQGMEALQQSLSETLVSGSLGSAGSSGNIANYMGQMAMAMGKLGTLENFLCQADNLRQQTLQQLQRILTTRQSARALLAIHDYFSRLRALSSLWLARPQE >Ma02_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5454040:5468087:1 gene:Ma02_g00520 transcript:Ma02_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPLSECRASFPRSPWLTQHPLPNKKSGDLNLKTSGLSVASDPLQFGVYDSLVASSGMTLLAKRAGTLAVTIQKAHQHTLLSSSSAHIENWGESTMADTSPWTDTSTDVDTDEKYQTFEERQVADVAASDSSDKSKDKSDIKTLRRLAQNREAARKSRLKKKAYIQQLESSNLTLNKLEQELQRARQQGIFISSSGDQSHSMGGNGALAFDMEYARWLEEQNQQAKELRAAANSHASDDVLRVIVDDVMKHYDKLFRIKSVAAKADVFHILSGMWMMPAERCFLWLGGFRSSELLKLLASHLEPLAEQQLVGICNLQQSSQQAEDALSQGMEALQQSLSETLVSGSLGSAGSSGNIANYMGQMAMAMGKLGTLENFLCQADNLRQQTLQQLQRILTTRQSARALLAIHDYFSRLRALSSLWLARPQE >Ma04_p36960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35099765:35102182:-1 gene:Ma04_g36960 transcript:Ma04_t36960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSNASLQVDRSENINPNWHENVLIQSGEFEIEPICAYNPINPKQSESPRPTLNEDSPDMEGGRRWEEMPADCLVDIFRRLGLHDLTLSVPFVCRCWRRASLDPGCWRRLDFRSLDLMPWSHFSRSFTSCDRLSSLSFSAFMRFVVARSRGSAAELLFPLSFGASIQDLTFVSMKCPRLKRLALPDNFMLEDDLLIPELVGRWRDLEQLEMETKPSSFLEMIAVIGRNCSRFGRLKVRGLIGKEDAKAIVDCLPDLNHLELSKSYLTKEELVVIVNGCRKLERLTVKDCLGLQVDDEVVRSASRIKCFEHEGSKLLDDYGYETDESEQQSGIFYW >Ma04_p36960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35099765:35101327:-1 gene:Ma04_g36960 transcript:Ma04_t36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSNASLQVDRSENINPNWHENVLIQSGEFEIEPICAYNPINPKQSESPRPTLNEDSPDMEGGRRWEEMPADCLVDIFRRLGLHDLTLSVPFVCRCWRRASLDPGCWRRLDFRSLDLMPWSHFSRSFTSCDRLSSLSFSAFMRFVVARSRGSAAELLFPLSFGASIQDLTFVSMKCPRLKRLALPDNFMLEDDLLIPELVGRWRDLEQLEMETKPSSFLEMIAVIGRNCSRFGRLKVRGLIGKEDAKAIVDCLPDLNHLELSKSYLTKEELVVIVNGCRKLERLTVKDCLGLQVDDEVVRSASRIKCFEHEGSKLLDDYGYETDESEQQSGIFYW >Ma04_p36960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35099764:35102182:-1 gene:Ma04_g36960 transcript:Ma04_t36960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSDLNQTYVFHDKLCNFSLFCSLGRLLSWRLPFLCSSPLLVPFLVDDAYESSLDSMILPCSSTYVIVRLGRWKQMADQRPPAAVVNCLITIPKCWRRASLDPGCWRRLDFRSLDLMPWSHFSRSFTSCDRLSSLSFSAFMRFVVARSRGSAAELLFPLSFGASIQDLTFVSMKCPRLKRLALPDNFMLEDDLLIPELVGRWRDLEQLEMETKPSSFLEMIAVIGRNCSRFGRLKVRGLIGKEDAKAIVDCLPDLNHLELSKSYLTKEELVVIVNGCRKLERLTVKDCLGLQVDDEVVRSASRIKCFEHEGSKLLDDYGYETDESEQQSGIFYW >Ma08_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7421910:7425967:1 gene:Ma08_g10190 transcript:Ma08_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVARLSGLCSLAMVMVVLSPSLQSFPPAEAIRSSHYLRIPAGGGGYNHHLAAASGDAESLVFRRAPRFHNAAECEPPSANGTSVCDPSLVHIAITLDEEYLRGSIAAVHSVLTHARCPENVFFHLLLSEPGLESVVRSAFPGLRFKAYYFDPDRVRALISTSVRQALEQPLNYARNYLGDILERCVNRVIYLDSDLVVVDDIGKLWRTRLGSRAVGAPEYCHANFTKYFTDRFWSDHRLAATFAGRRPCYFNTGVMVLDLVRWRRAGYTRRIERWMEVQKSGAAPSGAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNARGSCRDLHPGHVSLLHWSGSGKPWVRLDSKRPCPLDHLWAPYDLYGPADA >Ma08_p10190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7421910:7425967:1 gene:Ma08_g10190 transcript:Ma08_t10190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVARLSGLCSLAMVMVVLSPSLQSFPPAEAIRSSHYLRIPAGGGGYNHHLAAASGDAESLVFRRAPRFHNAAECEPPSANGTSVCDPSLVHIAITLDEEYLRGSIAAVHSVLTHARCPENVFFHLLLSEPGLESVVRSAFPGLRFKAYYFDPDRVRALISTSVRQALEQPLNYARNYLGDILERCVNRVIYLDSDLVVVDDIGKLWRTRLGSRAVGAPEYCHANFTKYFTDRFWSDHRLAATFAGRRPCYFNTGVMVLDLVRWRRAGYTRRIERWMEVQKSGAAPSGAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNARGSCRDLHPGHVSLLHWSGSGKPWVRLDSKRPCPLDHLWAPYDLYGPADA >Ma02_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15032612:15035294:-1 gene:Ma02_g03590 transcript:Ma02_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPRHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNKLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEESKFKLCKVRSVQFGQKGIPYLNTFDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKFDVGNIVMVTGGRNTGRVGVIKNREKHKGSFETIHVQDATGHEFATRMGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAASATATA >Ma05_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6659843:6660664:1 gene:Ma05_g09110 transcript:Ma05_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATDCLSLEINLISAQGLQPPGGNRRLQAYAVIWIDSSIKLRTLVDRVGGENPTWNDKFLFRVHPSFLAYDSPCAYSVEIYAAGGWYLPDSLVGSVRFLVGNLRLLSRHSDRPVFDAVGIRRPSGRFQGVLNVGAAVLGSVPAVAARALAIRPAIGYRSLMAEWGGPKAKKRRPLRERNKASSSSASSVASSDEERAAEDADAAFCGPCVLSFPRRLHPARNLDPIPWATENSSSQPSPPWTAEISRS >Ma01_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25318337:25323041:-1 gene:Ma01_g22520 transcript:Ma01_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKYCICFTRKFVWRAAAPPPDVRQTFVEYSEGAAQMGPDQLRRFLAEAQGQADATLADAERINEVLLHRRRRCHHFPAILSRPGISLDDFFHFLFSDDLNPPIGSQVHQDMSLPLSHYYIYTGHNSYLTGNQLSSDSSDIPIIKALQRGVRVIELDMWPNSTKDDVLIYHGRTLTSPVEMIKCLRSIKEFAFCASSYPVVITLEDHLTPDLQAKVAEMVTQTFGDMLYYPKSDSLEEFPSPESLKNRIIISTKPPKKYFESKTVEDKQDDLQQGSNDEAWETNTADLQALHVSHDKNSGPEYRRIITVHAGKPKGRTRDALKADTDKVRRLSLSEQQIERAAELYALDLVRFTQKNIVRVYPKGTRFNSSNYCPLPGWLHGAQMVALNMQGYGRSLWLMQGLFRANGGCGYVRKPDFLMNIGPQAAVSNPEASLPVKITLKVKIYMGDGWHKDFSRTHFDVYSPPDFYAKVEIAGVPADIKTKRTRTRQDDWMPVWGEEFSFPLTVPELALLRIEACEYNMSDMDDFGGQTCLPVWELKPGIRAVPLHDRKGNKYNSVRLLMRFQFV >Ma04_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3656467:3666643:-1 gene:Ma04_g04800 transcript:Ma04_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLASAANAMTTTLRSSAPKSNHLSEASCCALLPSTDVSAGVRRQRRRAWPFSIRAKLSGGGENPLQYRKLGDSDLHISEITLGTMTFGEQNTEKEAHDQLSYAFLHGINALDTAEMYPVPPRKETQGRTDLYIGSWMKSKPRDKVILATKVSGYSERSSYQRENAKVLRVDSANIRESVEKSLKRLSTDYIDLLQIHWPDRYVPLFGELTYDSTKWRASVPFIEQLQAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVGGLPKIVSIQNSYSLLARCRFEVDLVEVCHPKNCNIGLLAYSPLGGGTLSGKYLDTNSEAAKSGRLNLFPGYMERYNRSLAKVATEKYIELAKKHGLSPVQLALGFVRDRPFMTSTIIGSTNMDQLKEDIDAFSTAPRPLPPEVVDEIERIFKIYKDPAIV >Ma06_p32140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33102793:33104865:1 gene:Ma06_g32140 transcript:Ma06_t32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MPRLLTLLLFSLSSFLGADVVTLAATNLSVGYYSKTCPDAELVVRGAIQAAMAREPRSGASVMRLQFHDCFVNGCDASVLLDATPNMPGEKQALSNINSLRSFEVIDEVKAALERKCPGVVSCADIIVMAARDAVVLSGGPEWDVRLGREDSLTASQEDSNDIMPSPRANATSLLSLFSQFNLTPVDLVALSGSHSIGRGRCFSIVFRLYNQSGTGRPDPNMDPEYREKLDELCPIGGDGNVTGGLDATPTVFDNQYFKDLVQLRGFLNSDQTLYSGCERTKRVVEKFSKDQGAFFRAFVDGMIRMGELQSERRGEIRRNCRVVNAALSEF >Ma09_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33881577:33884954:-1 gene:Ma09_g21920 transcript:Ma09_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLTHISSPSSSFTRMDLETLLKRDRFAIFVFALLSMLMGKRPASADPPPTMKVCEPKACGNGLNISFPFWLDGEQPTYCGYPPFKVTCKNGSYSPVLELVDHQFYLLNIYYDNQSFRLTATETFDDPCTFPYSNITNDSSIYPLSINSANKFIFFLVNCTSNQLDYQRKSCGQNWAYYGGQYNSTRVDLKGTGCALVIVPVIADLASDNGNYAQLLRSGLLLNWIWPDCTECKRSGGRCGFNETMGRFMCICHDQIHPVICGISAAIGSLLLLLSLFVFYKNKKKQQFPPSSKSLFRNASSKPYLKDPEMSGTHFQTHLFSYAELQEATDRFDASKELGDGGFCTVYKGKLQDGRTVAVKRLYENNYRRFEQFMNEIEILSRLRHQNLVDLYGCTSRHSQELLLVYEFVSNGTLADHLHGYRASEGILTWPVRLRIAMETADALAYLHAVNPPVIHRDVKTSNILLDSSFHVKVADFGLSRPFSTDVAHITTAPQGTPGYLDPQYHRCYQLTDKSDVYSFGVVLVELISSKHAVDITRDPSEINLSSMAMTRIQNGELEKLVDAGLGCQSDEATRKMITMVAEVAFGCLQADGDMRPTMKEVLEVLRSIEIEGYEVGKKAQQGGENGDTAELPKNTAPLSPDSVMENWYSSSTTSHTK >Ma09_p21920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33881577:33884954:-1 gene:Ma09_g21920 transcript:Ma09_t21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLTHISSPSSSFTRMDLETLLKRDRFAIFVFALLSMLMGKRPASADPPPTMKVCEPKACGNGLNISFPFWLDGEQPTYCGYPPFKVTCKNGSYSPVLELVDHQFYLLNIYYDNQSFRLTATETFDDPCTFPYSNITNDSSIYPLSINSANKFIFFLVNCTSNQLDYQRKSCGQNWAYYGGQYNSTRVDLKGTGCALVIVPVIADLASDNGNYAQLLRSGLLLNWIWPDCTECKRSGGRCGFNETMGRFMCICHDQIHPVICGNPSNGARRKHIIIGISAAIGSLLLLLSLFVFYKNKKKQQFPPSSKSLFRNASSKPYLKDPEMSGTHFQTHLFSYAELQEATDRFDASKELGDGGFCTVYKGKLQDGRTVAVKRLYENNYRRFEQFMNEIEILSRLRHQNLVDLYGCTSRHSQELLLVYEFVSNGTLADHLHGYRASEGILTWPVRLRIAMETADALAYLHAVNPPVIHRDVKTSNILLDSSFHVKVADFGLSRPFSTDVAHITTAPQGTPGYLDPQYHRCYQLTDKSDVYSFGVVLVELISSKHAVDITRDPSEINLSSMAMTRIQNGELEKLVDAGLGCQSDEATRKMITMVAEVAFGCLQADGDMRPTMKEVLEVLRSIEIEGYEVGKKAQQGGENGDTAELPKNTAPLSPDSVMENWYSSSTTSHTK >Ma06_p34550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34738711:34742588:-1 gene:Ma06_g34550 transcript:Ma06_t34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDEPTRKRRRKKLMTGEAVEVGHFHEGLQSWHLAVVIECGEFFRVVEHANRLSGDRISEPEEVIPVSDAIEGTFSTATESCRPRIRPVPPHVSIGNSEIRYGLCVDALVDDAWWEGVVFDHDEGFEERRVLFPDLGDQAILTIERLRLTQDWDEASGHWKPRGQWLFLQLLQNFDCAGSLPVSIRQIWCNLRATHVFQDKIKSWAFGTKDIWEKLLSELIEEVWSAANTISVSDVISKQLVTAGMASVDVCTSMEDVICPQGADLGLDDLTNGTDNNCSSGLNTPDDRALISGAQSPCQLKYASENSSQAVLVVDATEVSGADGFLQSCDSTKASMKPRVCSKALQDFIQVCRNKFCPIDLKKKLYVLRQLARSHLMAVGWKLIKDVHGRKYYVSPLGKRFGSLVTACEAWKTVEENSDTTTDCTFVAENHAAEVRGTFSILTSMHSKAENIPSCSVANNWKPLKLDASYCPGLVEVYASKMRGGKSRLKSLAKLDCKSLSEKVKKHLVALGWTVEFREDAILRFRFSSPQGRIYYSLIKACSDLLHQKVDEECEYSDDSEHDRSGCSTKRLHSIVDYRVNCSGRSFNCAASGNKKVEFRADEDIEPEYLPEAITEYEGFMDLQVQNGKRAILDANVKLLRLNAKRHLLYMGWFFYLKDKKTKQELCYRSPSGKSFHSLITACKAYLKENRNSTIKSLHSVGNQKKVKSELNVEHIESEKNDKQWELCTISNAATSKGFHEPADFLDKETVRESTFSCNSSEFGERS >Ma04_p08250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5935423:5938714:-1 gene:Ma04_g08250 transcript:Ma04_t08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNPFDLLVDDDSEDPSQLIAAHQQKIASKKPAATAAPQAPAKLPTKPLPPAQAVRESREVRNTTGVRGGAGRGGPGRGRGGRGGSMSQNRDFGNGYIGGASRVYEGADGTGGGEDGRLQERERPPRQPFSGGRRGGFGGRGGYGNEEAGGDSERPPRRFYERRSGTGRGYEMKRNGAGRGNWGSATDESILQENEEIINVGDKTVATEKQVEPEEVPSSDVNKENKEGAANDAEEKEEDKEMTLEEYEKVREEKRKALAAMKSEERKVEMDKDLQSMKQLSLKKENDDIFVKLGSDKDTGKKKENTDRDERNKKPMSINEFLKPAEGERYYNSGGRGRGRGRGGRGQFRGGFMGGVSTFTTDAPSIEDPGQFPTLGGK >Ma01_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1316463:1317130:-1 gene:Ma01_g01930 transcript:Ma01_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPSASQCRLHQRAGADGTNNRQIGWMDHSNTHQYEKRQNHHKNHSK >Ma01_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10679021:10682085:1 gene:Ma01_g14610 transcript:Ma01_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLVELTQLFERFKAACVRNDFSTCANLLSQLKVRLTAFPSLPPSFQETPSAVQELTIARDIFEHAVVLSVKTEDQDAFERDFFQLKPYYTDTSGIIPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLPQSALENACIKHAVELEQSFMEGAYNRVLSARQTVPHDTYVYFMDLLAKTVRDEIAGCSEKAYDYLSINDAKKILMFSSDQELSEYITEEHPEWEINNGCVFFQKAKESQPSKEIPALQLINQTLSYARELERIV >Ma04_p27810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28962901:28984538:1 gene:Ma04_g27810 transcript:Ma04_t27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAPPSLSALLSPPKPYLFSKPFSLSYLYRPRTLATMAKTLDESDVGISCYVSSLAGFRGILKQRYSDFIVNEVDSDGNIVRLTSFDLPPEEFDEKVRENPCSDGKDYTLEIESFRSLCGEVDADALKAFLGKVLASESDASPIVLSPDPDKSHRAEVHNFFKRNFKFLVTDTVEESEGSSKCIRVRFSSGKGGGMSKRGMKRKDTKDDKPFDSRGSKNWPEHIGKFLRFNLYKENKDTQEALGLIGKMLGVHQRSFGFAGTKDKRAVTTQRVTIFKQHAKRLAELNSRLFGVKVGDFCYVKEGLVLGQLAGNRFTITLRVVAADCKDTIKAAADGLQRNGFINYYGLQRFGSGSVPTHLVGAALLRGEWKGAAALILDPREGERPITREAREYYKEHGDVDSTLKKLPRFLVAERAILQCLKKSPGNYLQALKAIPRTLRMMYVHSYQSFLWNHAASMRVQKYGISQMVLGDLVLCKEISPAEMASSDNPDLEDDCNDGDNCLLDLSDGVHSEEKVQFVKVVDSEDLLKGLYTFEDVVLPLPGSKILYPANDIAGVYHDLAKKDGISLTESVHGAKEFSISNLKGAYRRVFQRPIDFVWELLDYTDDNIPLVETDLDIMQKDSGLSNKEVFVDGISVSQTKNLDSEVSIGKHMVEDGNADPSPTIELVHNTDIQSPKLALKLAFTLPTSCYATMAVRELLKSSTSVSYHKSLNH >Ma02_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28924968:28928559:-1 gene:Ma02_g24240 transcript:Ma02_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFYVFDYPTHGAFPHQPSLTLSLIPLRQRSSPFLPASNHSQGAWAFIYTPAVASGQQTVDSMGSGGGMMKAIASKGLVVKINVALLCFFVFAYVALYDHSATKMVSCSLRACHMKKVEGVSRRKTGRETRTTMETVPSFLRTLLHGSTKIGLVNMEEEQAFEWGLVGRATAVDFEPVSDNFKWEDLFPEWIDEEEDNEGPSCPEIPLPDLSRYGEMDVVVAELPCRARDVFRLQVHLVVANLAARRGRRDARGEVRVALRSACRPMMELFRCDDLVARDGEWWMYEAEAWRLEAKLALPVGSCNLALPLWEKGTDVVYDASKLAGPASPRRREAYATVLHSSDMYVCGAIALARSITRTGSTRDLVLLHDTSIPHDKLQALVAAGWTLRQIERIRNPRARKGTYNEYNYSKLRLWQLTDYHVVVFIDADVLVLRNLDLLFHFPQISATGNDGVIFNSGVMVIEPSNCTFNALMALREDVVSYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWSNTTAEASMKNHLFAADPPELYSIHYLGIKPWMCYREYDCNWNIGDQRVYASDAAHATWWKLHDDMDEGLRKFCVFSEKRREQLEQERRQAAELEFGDGHWRLKTTSDRRNVTKGVSTLV >Ma09_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5505558:5508803:1 gene:Ma09_g08310 transcript:Ma09_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIRGIGSGIKLLTSSEAALFRSVTREFHATGMKRMGGHGHDEPYYLHAKHMYNLDQMKHQKLKVALSVWSAFGIGMAVPVYAVMFQQKKAASA >Ma09_p26830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37999035:38000163:-1 gene:Ma09_g26830 transcript:Ma09_t26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDHDRDDTPHTGLSLTLSYDGRSDLSIGSRPHSSSGLELQRSSSTPKALEPSLTLALPGDVCASVEVEMGRDIGSRHSPPPQSHHPCKIKREDDVRSEEVEKVSSRISDEEEEDSGARKKLRLTKEQSSLLEDKFKEHSSLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKKTEVELEFLRRCCETLTEEKRRLQKELQDLENSHKLSATRHMQIPAMCPSCKKVIGGAETGSPLPASTPRFFNPFAHHAA >Ma06_p25420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25758105:25759825:1 gene:Ma06_g25420 transcript:Ma06_t25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAGIEGPGLISPEGLKKFFGRQLKRLCSLQHRYMAERASGT >Ma02_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26920084:26920640:1 gene:Ma02_g21220 transcript:Ma02_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCLSPRRLLITLLLLALASVTPRRSDAAEVRDPRARSRFLEQEAVKKGDQCDPVTNNRCSGLQAKDGTQLLYCCKKHCRNVLSDRNNCGACGVRCGFGQLCCKGKCTAVAYDVNNCGKCGTVCQPGLRCEYGSCGYA >Ma09_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2903866:2906351:-1 gene:Ma09_g04440 transcript:Ma09_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP6 [Source:Projected from Arabidopsis thaliana (AT2G30080) UniProtKB/TrEMBL;Acc:A0A178VN05] MSGCDVGDASRAQWCRNGAAAQQLKLVSIGIILVTSAVGISSPVVLARVFEGKPAYGRALLLIKCFAAGVVLSTALVHVLPDAFDALADCQVAARHPWRDFPFSGLLTLIGALLALLVDVVATSHAVAGSAGKQQYQPVELEEGKRKPAAASVSAVAMGGCHGHHGVADEQEGEEEEGDQGSEEERLAKLKQRMVSQVLEIGIVFHSVIIGVTMGMSQNQCAIRPLVVALAFHQIFEGLGLGGCIAQAGFGFGTVAYMCLMFSVTTPMGIILGMIVFYMTGYDDSNPNALISEGLLGSLSAGVLIYMALVDLIAVDFFHNKAMSSSSQLKKACYVALVLGSASMSILALWA >Ma11_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4731795:4732903:-1 gene:Ma11_g05940 transcript:Ma11_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFALFSAGRISHRRTKSAADVRQVFLAPNMEVGGSSTGTDHEADVNDEFKPIEHPTEPLDHDQPVRCPLPDPSILDDGGTWKGRISSASAGTAGLPMVKQDTDVKPQAGETTAHSISPGRVSSASIGVPEHRFVTVHEEDCNTDETQIADG >Ma06_p38610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37317880:37322435:1 gene:Ma06_g38610 transcript:Ma06_t38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPANYGNPDRDIQQALVALKKGTQLIKYNRKGKPKLCPFRISSDETTLVWFSHKKERAIKLASVTRIVPGQRTVSFT >Ma08_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4658256:4665927:1 gene:Ma08_g06830 transcript:Ma08_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEKLQVEKRSIVASSVPRGYVPMVVGRGKEKEERFLVHTKLFKHPRFAALLEMAEQEFGYRQPGVLRIPCDAEQFRSVVNAAAAKAKS >Ma03_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4290736:4292180:-1 gene:Ma03_g06220 transcript:Ma03_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISSCSSTPLLVLLMACLLATAATTSRAQDPSRLSLSYYSKTCPTAEEIVRAEMACAVKANPRNAAFIIRLHFHDCFVQGCDGSVLLDDTVTLIGEKHADQNVNSLQGFELVDRIKQKLEAECPGVVSCADLLAIAARDATILVGGPYWDVPVGRLDSKTASLDQANSDIPTPQQGLATLITKFLAKGLSVTDMVALVGSHTIGMSRCTNFRDRIYGDFELTSKYDASAQVYLSKLRETCPVNGEDDRVSPMDYVSPTLFDNAFFESLIKGTGLLDSDQEMYSSLLGFETAHIVEKYWADPIAFFKDFSDSMVKMGNITNPAGGEVRKSCRFVNT >Ma01_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:930272:930491:-1 gene:Ma01_g01310 transcript:Ma01_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQEHKGQRLDDGDFNAERRLANQLFTERRRVMRR >Ma08_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35189999:35194283:-1 gene:Ma08_g21250 transcript:Ma08_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWRWYQKCLAVHPVKTQIVSSGFLWGLGDIGAQAVTQRTLRHQSQDRKEENKEINIDWRRVATTSMFGFAFVGPVGHYWYEYLDRIIRVRLQLQPKSMKFVTTKVVADGLIFGPLDLLIFFSYMGLASGRSISQVKEDVKRDFLPALIVGGTVWPIVQVANFRFVPVRYQLLYVNLFCLLDSSFLSWIEQQGDAPWKQWFTSFRSLENKKSQS >Ma03_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7221281:7221986:-1 gene:Ma03_g09700 transcript:Ma03_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPASELLAGVMSIWKMGCSGWRSRARASIAHIPARKMKSCACLHVLIFSTMHNQSYNYENELVCRIGKLEVTVGRFTIATMISCSNPSLCR >Ma09_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4963773:4967221:1 gene:Ma09_g07530 transcript:Ma09_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDLSGLQSFTSIPGGAVDGLGEDLFAAPSFQLPACSDFDGFQKDAIQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDEKGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGVLDSGYRFDMPVEEAAELARRAIYHATFRDGASGGVASVYHVGPDGWKKLSGDDVGELHYKYYPVAPTPVEQEMTDAPAA >Ma06_p27370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29396370:29402017:1 gene:Ma06_g27370 transcript:Ma06_t27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVAALSPLPISHFRPSSRFCTQKNVGGRGGFRVLAVFGDGDGGGTDKKNTWGEIFDVEVPRPRVQPSKGKFLDVNQALEVARMDIQYCDWRARQDVLSIMLLHEKVVEVLNPLARDFKSIGTMKKELAELQEDLEQAHRQVHVSEARVAAALDKLAYMESLVSDRLLQEKSSCDSSIECITLTPSTSSASKDPVKSKSSRRSLNVSGPVQPYHSSLKNFWYPVAFSKDLKDDTMIPIDCFEEPWVIFRGKDGNPGCVRNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTRMLNVRIRSLPCFEHEGMIWIWPGSAPPTDTLPSLQPPTGFIIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTTTFAKGWNVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCIVLSTIGISKPGKLEGRSTRQCSTHLHQLHVCLPSSRQKTRLLYRMSLDFAPLLKHIPFMHILWRHFAEKVLNEDLRLVVGQQDRMINGANVWNSPVSYDKLGIRYRLWRDSLERGVDHLPFTKQDN >Ma06_p34260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34575867:34581680:1 gene:Ma06_g34260 transcript:Ma06_t34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAASSLRVPIYPWLIPNHSSRILLSRCPTISLQNPPSNPRFSTVLCSSAPDEGGGGGEGKRWDSFRKKKVVMRVGYVGTDYRGLQKQRDAHALSTIEAELESAIYKAGGILDSNFGHLHKVGWARSSRTDKGVHSLATMISLKMEIPEHAWKEDPSGIVLANYVNSNLPSNVKVFSVLPSQKHFDARRECTLRMYSYLLPAEIIGIKNDCSSAEVAEHLSEFGNILKGFEGEHPFHNYTVRSKYRKPPPGKRDSRKRSRLTEDKLASGVEDSDDVGNFPVEDVAKDKPEENFILSCNTDSDEEVYESSEQESNQIKNFGVPSPVRARWLHEPDEMDRLNASHFRKIFGFSCGNLETSLGINYVELSICGESFMLHQIRKMVGTAVAVKRGLLPKDIIEMSLAKFSRIVLPLAPSEVLILRSNRYAIRGHPGNITRPEMKTMTESQEIQGAVDEFYSSVLLPKVSKFLDPSKSPWQEWVDNLETYTSISDEELDEVRKPWNVWKEGFSKAWNVD >Ma09_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1353689:1359948:-1 gene:Ma09_g01810 transcript:Ma09_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NBR1 homolog [Source:Projected from Arabidopsis thaliana (AT4G24690) UniProtKB/Swiss-Prot;Acc:Q9SB64] MPLDRAFGSPDKLAHFSLIDSSPMEPRLNQWDFVIKVKYGDMLKRFNAYVHGEMIDHNMTRLRKKIINLFKLSPEADLVLTYVDEDGDTVALDDDDELRDAAVNQHLNPLRINVQLKSYASGGTDLKQENMSPANAMPRQEEDQPSEISSVIDEALKHVPEPFRTSLSKISNDFLSKASSSAPAISQIVDQFSKFGISNVSQPANRPNSESAGMPSQTTTPTQPKDLNISGPPKVPFTSASVSTKSTDLVSELLQKEHESLNGNHVNMVKTDTSGDLNMNSPDLPTFELAPGYALTDDLLAAICASNEFTDHNKESGDVGGKGKSVLYVPPEMISEHNNESFNPSHAPTNTYGFPGVVAGDNNKQLPTDAAPSRTPNGFGFQGVKQHAKISSLDLPDGFNFQGVKQHTKIAALDLPIHPLGHPYERDDGSNNNMFCTFHRGIICDGCGMHPIIGPRFKSNVKEDYDLCGICFAEMVNKADYTRIDRAHHSSRKVFKCCYNSHSRRRLLSPHLHGFGARQSRSKLESRFIQDVTVYDGTVLPPSTPFTKIWRMQNNGSTRWPYGTRLVWVGGDRFANRDSVLLEIPADGFPVNEKVDIAVDLTSPAMPGRYFSYWRLASPSGQKFGQRVWVLIQVDISRPSSATGVFSADLNLNLPPESTSRDGFGIIDVNAEPFDDVAPEPILTNISDELVRPFVNEVPTEGVHPAAADAIALPMPIVNPPVSYPIIDLSVSEYESSFLVPPSKTVAEDNTVEETLLDELESMGFKQIDLNKEILRLNKYDLEQSIDDLCGYAEWEPLLEELQDMGFSDRVMNKKLLIKYDGSIKRVVLDLIAGEKA >Ma09_p25950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37302391:37309731:1 gene:Ma09_g25950 transcript:Ma09_t25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARNGGGRRRWRWGWVVGALIAVLLATAATSRNSPRNPLSGITSKLCQCTESRKYTGIVEDCCCDYETVDSLNKEVLHPILQDLVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPEPFKKPFHGLSADDLICQEGKPQATVDRTLDNKIFRGWIEVDNPWTYDDETDNAEMTYVNLQLNPERYTGYTGPSARRIWETIYTENCPKYPSGEFCQEKKVLYKLISGLHSSISVHIASDYLLDETDNLWGENLELLYDRVLKHPERVRNLYFTFLFVLRAVTKAPDYLEQAEYNTGNLEEDLKTQSLVRQLVYNPKLQTACPLPFDEAKLWQGESGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQILGLGTALKILFSVDHQNYINQQLQLQRNEVIALMNLLNRLSESVKFVHDKGPYAERIVGGKISSPTSKNSL >Ma09_p26980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38099687:38101060:1 gene:Ma09_g26980 transcript:Ma09_t26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSYAEAAYEFQWGPSPRIPGDGGSKPGWISPPLIAMVAVVGTAFLIVLYARILSRYLRLIRRRWHRWRRRRRFLQSMAATSDLDSLPPFNGGGSFGSSSDFFLSPYGLDDAAIKAIPVSLFSRTKAKQQAATNRECAVCLLEFEDDDTLRTLPLCAHAFHMDCIDMWLRSHPTCPLCRAAVLRHEAAFVPMRAARIRPSLDDILLIDPPPDRGGDAILLPNPHTGPEIASAAGSPLAAEERFVSRGFLLKRSYSFGFEGSLAAERMLLEASTASPWRYRHQRGGFWSKRWPSPFGGVGMGSSSVSRAARVFSFRGATAALAKSPAFSKRRGLFPLSWEPSGRLIVSSAGPSLFPRPSFSSSSSSSSSSSTSLVFSSSRMRCGDPEALLSPERLKQSTPTQR >Ma08_p29210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40892001:40897394:1 gene:Ma08_g29210 transcript:Ma08_t29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEIGTAAIKRAIVALRKRHLLEEGAHAPAINALSRPLVAQVNAMYEDMMQQLKVSSIEQLARQQVDGIVRQREAGYVDHVESTVPSSCKHTLHAHEGGCGSILFQNNSDKLISGGQDRTVKIWDTKSGTLSSTLHGCLGSLLDLAITHDNRFIIAASSSNNLYVWETSSGRVRHTLTGHTDKVCAVDASKVSSRNLVSAAYDHTMKVWDLVKGYCTNTIIFQSNCNSLSYTMDGLTFCSGHVDGNLRIWDSRMGKVVGEVAAHSQAVTSIYVSQSGNLLLTSGRDNLHNLFDLRTLEICGTFRANGNRVASNWSRSCISPDEKCVTAGSSDGSIYIWSRLNNNMLSILEGHSSPVLSCAYGGPGNTLASADKNGNLCIWC >Ma09_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11980634:12006247:-1 gene:Ma09_g16610 transcript:Ma09_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVQVIHSWSAPRSLSTSLMYSFAQRDDMEVVDEPLYANFLTVTGLERPYRDELLSKMDSDGNKVVKEVIFGPGEKKYRYCKHMAKQRLPNLSNDLMRKGKHFILIRNPLNILQSFNKVVSPSFLELGLGDLVSIYSELCELGKAPPIIDADDLQKDPETVLRGLCQDLDIPFQTSMLKWEAGPKPIDGIWAPWWYGSVHKSTGFKKPHLYSVTFPTELYDLLEQSLPFYNMLRQHCRRLVSSLHPSLPLPHLPVPANEKLLVWVGDQLLPRENAKVSVLDSVVQGGDAAWEGLRVYKGKVFKLDEHLDRLFDSAKALAFSNVPNREEIKEAVFKTLISNGMFDNAHIRLTLTRGNKVTSGMSPAFNLYGCTLIVLAEWKPPVYDNSGGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLANADDAIMLDKDGFVSETNATNIFMVKKGHVSTPHADYCLPGITRATVMDLVIQENLILHERRISLSEFHAADEVWTTGTMGELTPVVMIDGRVIGNGEVGPITRRIQNAYKTLTAELGVPIPTHADAEG >Ma06_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8014742:8020835:-1 gene:Ma06_g11480 transcript:Ma06_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLARADSPEVVRVSEASPESSFRELDDVFLQTQARIWLGEVLHIRFDEETPIADLLADGELLFQVSKVVWKMLLKKHVELKSSKIFIYERTSFAKHDGKYMPYPKVDSFLKICQILQLTGVDLFSPSDVVEKRDTRRVCMCIRSLSKKARLKNLSVPDFDVVTYTIAMPTDLVGGIRRSLEQSRCSSSSSGSYSMDFRRFYRQKGYSGQHDQSHEYCSESDEAESSFNGLELESPSSNVSYDTSNIFNLDGEVFAQGSIGGDNCGENGHKSEHHGKDESATPCSVDKEQGLQPLEWSGFGKQVQNGDIKCFEKCFLSCAELPYEAEIPSYMDSVPENCSPKSSMSDTLISTADGNEESECMIQHEEDLDGSPVYMFQSHDGKSRCKGLTQHLDEKDENQSHLAYNNFGGTEQNSIHVNLKTNGANSIDGYAMPEYSFENGWASPDVSCQNIHNADIVLLDSEPPGVLSCLLVGNDDSQGKDSSMHEMRTADQAIDCGQCFSPTSELVPDEAAKVSNNDNFQHYNHSAGNFLFADDLCMSTSGESSDQTLNVEERNIGHIHSSLPTGVFSVHDTSCACLQHMDKSIPNTAYKNSAQMKNDIAGRCCVNNLDPHYRNETSGMVSGVAIVTMNSKLSCSHCSKDLPDMEYMFADAAAEGTSDNSYFSGTLSTLGPACHSSTVHTGAPAEFDNDCTRDQASFACSNHEILAEAMGDITAETNSEVRYEAHLIDDVGTSMNRMVPDVDEERKKDMDDVANNLPSTMTISGNNKEETVVKIRSPGKKVLKSIAGSVTLIGALLVFLHLSAGERAIKRKITTLLRPYRPKKHVRKLTHTTRWRLANPIRSTLERGLSFKVRIESV >Ma06_p11480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8014735:8020835:-1 gene:Ma06_g11480 transcript:Ma06_t11480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLARADSPEVVRVSEASPESSFRELDDVFLQTQARIWLGEVLHIRFDEETPIADLLADGELLFQVSKVVWKMLLKKHVELKSSKIFIYERTSFAKHDGKYMPYPKVDSFLKICQILQLTGVDLFSPSDVVEKRDTRRVCMCIRSLSKKARLKNLSVPDFDVVTYTIAMPTDLVGGIRRSLEQSRCSSSSSGSYSMDFRRFYRQKGYSGQHDQSHEYCSESDEAESSFNGLELESPSSNVSYDTSNIFNLDGEVFAQGSIGGDNCGENGHKSEHHGKDESATPCSVDKEQGLQPLEWSGFGKQVQNGDIKCFEKCFLSCAELPYEAEIPSYMDSVPENCSPKSSMSDTLISTADGNEESECMIQHEEDLDGSPVYMFQSHDGKSRCKGLTQHLDEKDENQSHLAYNNFGGTEQNSIHVNLKTNGANSIDGYAMPEYSFENGWASPDVSCQNIHNADIVLLDSEPPGVLSCLLVGNDDSQGKDSSMHEMRTADQAIDCGQCFSPTSELVPDEAAKVSNNDNFQHYNHSAGNFLFADDLCMSTSGESSDQTLNVEERNIGHIHSSLPTGVFSVHDTSCACLQHMDKSIPNTAYKNSAQMKNDIAGRCCVNNLDPHYRNETSGMVSGVAIVTMNSKLSCSHCSKDLPDMEYMFADAAAEGTSDNSYFSGTLSTLGPACHSSTVHTGAPAEFDNDCTRDQASFACSNHEILAEAMGDITAETNSEVRYEAHLIDDVGTSMNRMVPDVDEERKKDMDDVANNLPSTMTISGNNKEETVVKIRSPGKKVLKSIAGSVTLIGALLVFLHLRRKSDKEKNYHTVTPLQTKETCQKVDTHNTVEVGKSDKKYPGERLKL >Ma09_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1854415:1857426:-1 gene:Ma09_g02650 transcript:Ma09_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDLERVLLHILPMPAMMAQGRLLGMPNHMEVIRDRLWAINGTIFDAELRALKEPELEEWLTDVGATIVSVDDLLGRILDWHPSGGANATSNRLPHSICSIREASRQAILLELKEMVGRLNYLVRRGSVLGLSKEIMESVDPRQEEEYSTVLREEVVGRNEDVEEIINIFQQQQSGDGVEWLLIDGGDGRTTLARLIYHHSWVQEQFQHRIWVDVPNIASLDPMWIMREFTRSITGEPCEDIWLFYDGIHGSKYLLVLDDLNLEEEDKDKWLQLENFLLLVGAPGSTVVIPDLRFSERILGFSYYLSGLSEDDWVKLCMRRALIRPEQQDEANAIIHFCNRNYYSSDGSPTDAKIFGSIFRYTEMNRWQQQIDALNAHQWEEVMHNQDTALIFLHYMPPTRTRLVLYRWLILQDDMPNYKDVLHVLAAEGLLPYSDDEGMIRKYLETHISDDIHFLFTATKQCYILKRVDSNSIIPRQCLYLRMLVDSNTITFPKVLSNGVNKLRGLVLQQPKQLDLQHKYHILHIPEGMFTNLVHLRILSLRAVRVQQLPHTVGNLLILRYLNLSQSEIQVLPKSLRMHNLENLHVLRLAYCTKLQMLPISVTGLINLQELDLEGCQWLVQLPEGLSNMKKLVNLNVYRCPLNQMSHGINQMSNLLKLNGHIIVGGLGNTFSKLQSLMNLKELWLQNLEQVSNSEDASTHLKLHDILPRLTYLRLHWKWVNMDDIRTFELVSLQVLDGLQPNLNLKKLEIILYAGEELPVWIKEGFDYLHKLKEIKLIDLKRCKRLPSLGGLPYLKIVEISGMDLINVVDEAFYGNNGMFPKLEKLTLSHMPALEKWLKVERAERLFPRLYELTLIECPKFKALEVNLEIIRLSVWLNNEILRTSEFKGWHNLQIFNLEIVGCQVMRCLPQNMQRCVKLRSLTIIGCDNLDCLPEWLQGFERLKSLCMYGCRALSSMPEKLKRLPNVDVKGCPKLRL >Ma05_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2584216:2584897:-1 gene:Ma05_g03560 transcript:Ma05_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALIRQSISPFLPSSAPRPHGRLLHTSVIPTASITSACRLRQAIDTAQSR >Ma07_p15440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11595565:11599149:-1 gene:Ma07_g15440 transcript:Ma07_t15440.3 gene_biotype:protein_coding transcript_biotype:protein_coding METQNSESAQIIEVDMDVPPGVSGLGGNKICGGAACDFSDIRSSSHDAKERSASMRKLMVAVILCVVFMGVEVAGGVAANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPQQSYGFFRIEILGTLVSIQMIWLLAGILVYEAIDRIIHDNGEVQGKLMFAVAAFGLLVNIIMAAVLGHEHGHGHGGHSHGHTKADHDHSQHDADHRHNHLHGAEHTRCHGISVTTHHHHHHHHHTGEEKIPLLGDVERSPAENSGVRTQQRNINVHSAYLHVLGDSIQSIGVMIGGALIWYKPEWKIIDLICTLIFSVVVLLTTIKMLRNILEVLMESTPREIDASKLEKGLCELDGVVAIHELHIWAITVGKVLLACHVTITPDSDADHVLDLVIRYIRREYNISHVTIQIERQ >Ma07_p15440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11595565:11599149:-1 gene:Ma07_g15440 transcript:Ma07_t15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding METQNSESAQIIEVDMDVPPGVSGLGGNKICGGAACDFSDIRSSSHDAKERSASMRKLMVAVILCVVFMGVEVAGGVAANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPQQSYGFFRIEILGTLVSIQMIWLLAGILVYEAIDRIIHDNGEVQGKLMFAVAAFGLLVNIIMAAVLGHEHGHGHGGHSHGHTKADHDHSQHDADHRHNHLHGAEHTRCHGISVTTHHHHHHHHHTGEEKIPLLGDVERSPAENSGVRTQQRNINVHSAYLHVLGDSIQSIGVMIGGALIWYKPEWKIIDLICTLIFSVVVLLTTIKMLRNILEVLMESTPREIDASKLEKGLCELDGVVAIHELHIWAITVGKVLLACHVTITPDSDADHVLDLVIRYIRREYNISHVTIQIERQ >Ma07_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11595565:11599149:-1 gene:Ma07_g15440 transcript:Ma07_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNSESAQIIEVDMDVPPGVSGLGGNKICGGAACDFSDIRSSSHDAKERSASMRKLMVAVILCVVFMGVEVAGGVAANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPQQSYGFFRIEILGTLVSIQMIWLLAGILVYEAIDRIIHDNGEVQGKLMFAVAAFGLLVNIIMAAVLGHEHGHGHGGHSHGHTKADHDHSQHDADHRHNHLHGAEHTRCHGISVTTHHHHHHHHHTGEEKIPLLGDVERSPAENSGVRTQQRNINVHSAYLHVLGDSIQSIGVMIGGALIWYKPEWKIIDLICTLIFSVVVLLTTIKMLRNILEVLMESTPREIDASKLEKGLCELDGVVAIHELHIWAITVGKVLLACHVTITPDSDADHVLDLVIRYIRREYNISHVTIQIERQ >Ma03_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4247378:4249848:-1 gene:Ma03_g06130 transcript:Ma03_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEARVGEEEPKQLPVINEHKSLLNSEALYQYILETSVYPREPEAMKELRHITVKHPRRVMAAAADQLQFMSMLLKLINARKTLEIGVFTGSSLLATALALPEDGKVLAIDINRKYFDLGFPMIQKAGVAHKIDFREGLALPILDEILAKEEHSGSFDFAFVDADKANYINYHERVLKLVRMGGVIGYDNTLWGGFVVVPPGEPSPEELLKTKPEPIPEEFLKIKDLTVEFNKFLAADPRVEICHLSIADGFTLCRRIH >Ma09_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7419054:7419866:1 gene:Ma09_g10950 transcript:Ma09_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKCASIDLDVSLIQMQSGGTRWNPTPEQIKLLEALYQGGLRTPNPVQIERITAELGKYGRIEGKNVFYWFQNHKARKQKRSELVALAASAAPLPHPEIEETKEFHGGSCKRKCRSWGSLELDVEEEGPGDRTLELFPLHPERKQM >Ma03_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23293665:23294825:1 gene:Ma03_g17710 transcript:Ma03_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLPSATKSHSILLRSVELIPKAKAIMSNNNSSVQSRLLSPL >Ma09_p00170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:167340:170088:1 gene:Ma09_g00170 transcript:Ma09_t00170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIGDDGAVQGTSQKSTSFVAKQISLSLSTRDPNPPKQQPHEKVAMEPESTPQLSLKISRSNSSSCCQSGRAEEKEGDQVEEPVSPAGLVFRQEHTNCYIIAILGFGKPLDVAIIKAGLEATLARHPRFSSVQVSDDDREGTTLRWKPTKVDVDDHVVVPDLHPESSSSDTASPDQLVEDYVASLTTVPMNFSRPLWELHLLNIPTSEAAAVAVFRIHHSLGDGASLISLLLACTRRTADPASLPTLPESRPPPPPPPTSASPLALLLYIWTVLVVSWNTLVDFVVLVATSIWLKDTPTALKGGEGVEFRSKRVVHRTVSLDDVKDIKNSMHCTVNDVLVGVTSAGISRYLHRRHGETNDGKKQQLLKASTRLRSAMIINMRPKLEIHDLAEMMAGKNCGIKWGNLISYVILPFPIAMYEDPLDYVRKGKAAVDRKKNSLQAVLAYRCATFLIKMFGVKGGAAMTYGLISNTTFSYSNVVGPVDEISFYGHPILYLAPSVYGHPHALTLHYQSYMNTMKIVVAVDESTIPYPHQLLDDLAESLKVIKEAIPTKKS >Ma09_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:167340:169660:1 gene:Ma09_g00170 transcript:Ma09_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIGDDGAVQGTSQKSTSFVAKQISLSLSTRDPNPPKQQPHEKVAMEPESTPQLSLKISRSNSSSCCQSGRAEEKEGDQVEEPVSPAGLVFRQEHTNCYIIAILGFGKPLDVAIIKAGLEATLARHPRFSSVQVSDDDREGTTLRWKPTKVDVDDHVVVPDLHPESSSSDTASPDQLVEDYVASLTTVPMNFSRPLWELHLLNIPTSEAAAVAVFRIHHSLGDGASLISLLLACTRRTADPASLPTLPESRPPPPPPPTSASPLALLLYIWTVLVVSWNTLVDFVVLVATSIWLKDTPTALKGGEGVEFRSKRVVHRTVSLDDVKDIKNSMHCTVNDVLVGVTSAGISRYLHRRHGETNDGKKQQLLKASTRLRSAMIINMRPKLEIHDLAEMMAGKNCGIKWGNLISYVILPFPIAMYEDPLDYVRKGKAAVDRKKNSLQAVLAYRCATFLIKMFGVKDAALLSPSSWSSIRRSYKGVCCAL >Ma07_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9081481:9082518:-1 gene:Ma07_g12170 transcript:Ma07_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVGHFVPGLAFTFLGLWHTHSTIRSYKLKGPSNFSSSTWFPFPSPISILHHLELYLLVSFSILAAVLQLLGSDLLTLSFNPVDFEHATMFLHVVIYSAVAIAVDVSASETLSGLVGALAASVFGQELFLLHFHSTDHVGLEGHYHWLLQVIVAVSLLATIVTTGAPNSFVAAVLRSVSVLFQGVWFIVMGFALWVPSLAPKGCHAVGSGSTGHRQGAIACGTEEAGARAVALANLQYSWTLAGISILTAYLCLKPNIKCMEYRRLQSRGLDNSPTSGDPSEGLKQVHASV >Ma10_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31128690:31129835:-1 gene:Ma10_g21220 transcript:Ma10_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAVARGKKRGTAVLSIDGADEAPEVRVARLIRENPAVIFNRRECCMSHVMKRLLAVVGAHPAAIELQEADEEAAAAAAGDGGLPALFVGGVAVGGLEGLMGLHLRGGLVPMLREAGALRI >Ma11_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6974477:6980308:-1 gene:Ma11_g08770 transcript:Ma11_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFATERAKRLLFGSLLASRSPPSAFLCSSPPAAAAMDPAAGVVTVDTINPKVLKCEYAVRGEIVSHAQRLQQELQDKPGSHPFDEILYCNIGNPQSLGQQPVTFFREVLALCDHPSLLDKSETHALFSADAIARAWQILDVIPGRATGAYSHSQGIKGLRDAIAAGIADRDGFPANPDDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGSLVPYYLDESTGWGLEISELKKQLEDARSKGITVRALVVINPGNPTGQVLAEENQREIVNFCKNEGLVLLADEVYQENIYVDDKKFNSFKKITRSMGYIDDEIPLVSFQSVSKGYYGECGKRGGYMEVTGFNAQVREQIYKVASVNLCSNISGQILASLVMNPPKVGDESYESFNADKNGILSSLARRAKALEDAFNSLEGVSCNKAEGAMYLFPRLRLPQKAIAAAKAVNAAPDAFYARRLLDATGIVVVPGSGFGQVPGTWHVRCTILPQEDKIPAIISRLKAFHEAFMEEFRD >Ma07_p25840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32811894:32819199:-1 gene:Ma07_g25840 transcript:Ma07_t25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPAEEEVPPGWERRVGDPTTSSAEEAPPGWETRERDSMSSPAEDVPPGWERRKRDPRSSPAEEAPPAWEAKERDPTSSPSEVPPGWETREMDQSSCLAEDVPPGWETRKRDPTSSPAEDVPPGWERREGDPTSSPAKEDVPPGWERREVDLTSGPADEDVPPGWERREGDSTSGPADEDVPPGWERREGDSTSGPAEGDVPPGWERREGAMTSGPAEEPPQGWERRGRDLTNGPDEEVPPAWEPSAPLQSQPPALLSPAQTTEMGQMVCGGCRQLLSYPRNASYVQCASCQTVNLVLEGWQCEMREMFCSIDVPLWSSICEMFFMLLHY >Ma07_p25840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32811916:32819200:-1 gene:Ma07_g25840 transcript:Ma07_t25840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPAEEEVPPGWERRVGDPTTSSAEEAPPGWETRERDSMSSPAEDVPPGWERRKRDPRSSPAEEAPPAWEAKERDPTSSPSEVPPGWETREMDQSSCLAEDVPPGWETRKRDPTSSPAEDVPPGWERREGDPTSSPAKEDVPPGWERREVDLTSGPADEDVPPGWERREGDSTSGPADEDVPPGWERREGDSTSGPAEGDVPPGWERREGAMTSGPAEEPPQGWERRGRDLTNGPDEEVPPAWEPSAPLQSQPPALLSPAQTTEMGQMVCGGCRQLLSYPRNASYVQCASCQTVNLVLEAHQVGNVKCGRCSVLLMYPYGAPSVRCSSCCFITKIGEHNVRPPLSVQQGQPPTGNPVC >Ma06_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13107560:13121768:1 gene:Ma06_g19160 transcript:Ma06_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MHNRTKLKSANEDKSPKVNWCNHASAFEDFSCQDKFLSSGFLFSLPTQKPQTQKSVDQLLCTRSIACEVHGSERLQLLQVEKAWKALSSMQLACRNYLIPGLTAPVQNHNKDHLRAPFTDSSNNVKNVDTSQVFKGPFHENMPSHVNYSSHSRSFPQYDSPHYATDSLLKSTYDKNNNVASEMAQVTGAQMQSSATHRVIDDHELVCTAADNLADDKFLDALDDDEILESIDVDQIVMEHYQVTATPEGFMCKQTPFTPVSSRSCTVIPDENMLPPELHESCIHGSKLALCPEAAIHLQEMKDQLIAVSNELLDNATELSPPDCENLRQKRLYLNKKIQLLEKYLCSLNLDEERQRSHSMASSTTARGFGPENPTNKYMIDPIRFNTQVHLRNETGNCMMWSSPAPSHYTDRFDAAPLEREVFAPKLQNINYVEGSGDIKWKSLDFPWTKKLEANNRKVFGNHSFRPNQREVINATMSGCDVFVLMPTGGGKSLTYQLPALISPGITLVVSPLVSLIQDQIMHLLQANIPATYLSASMEWVEQQEIFRELMSGSCIYKLLYVTPEKIAKSDVLLRHLDSLHSRGSLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPKVPVLALTATATVSVKEDVVQALGLVNCIVFRQSFNRPNLWYCVIPKTKKCLEDIDKFIKENHFDDCGIIYCLSRMDCEKVAEKLQEFGHKAAFYHGTMDPLQRSYVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLRSSCVLYYNYSDYIRVKHMLTQGMIEQSPLASASRRNSFVSNDRVFETNIENLSRMVSYCENETDCRRLLQLIHFGEKFNPDNCKRTCDNCSKLLTWVEKDVTDIAKQMVELVISTGKQYSTSHVLEVYRGSLSQNVKKHRHDNLGLHGAGKHLPKGEASRVIRHLVVEDILVEDVRKSDIYGSISSVLKVNESKVQRLLSGKQRIILRFPAPAKASKMNKPEGTPAKGSLPMNTMTYDMGAQSTNEIDLNLSVKLYAALRMLRTALVKEAGEGVMTYHIFGNATLQQISKIIPRTKEELLEITGIGKAKVHKYGDRVLETIETTIREYRKTSRNSSSSSNDNADGTKKRRGSAGSEPGANDDDFAESTVQSKKRVAKLVNSQNGPADTTSMSAYNGRCIDIDLDGYEMESEDSVPPTRQGPPGRVLPQWLTPANQINSRIGGLFKEYAFKK >Ma06_p19160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13107510:13121768:1 gene:Ma06_g19160 transcript:Ma06_t19160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MHNRTKLKSANEDKSPKVNWCNHASAFEDFSCQDKFLSSGFLFSLPTQKPQTQKSVDQLLCTRSIACEVHGSERLQLLQVEKAWKALSSMQLACRNYLIPGLTAPVQNHNKDHLRAPFTDSSNNVKNVDTSQVFKGPFHENMPSHVNYSSHSRSFPQYDSPHYATDSLLKSTYDKNNNVASEMAQVTGAQMQSSATHRVIDDHELVCTAADNLADDKFLDALDDDEILESIDVDQIVMEHYQVTATPEGFMCKQTPFTPVSSRSCTVIPDENMLPPELHESCIHGSKLALCPEAAIHLQEMKDQLIAVSNELLDNATELSPPDCENLRQKRLYLNKKIQLLEKYLCSLNLDEERQRSHSMASSTTARGFGPENPTNKYMIDPIRFNTQVHLRNETGNCMMWSSPAPSHYTDRFDAAPLEREVFAPKLQNINYVEGSGDIKWKSLDFPWTKKLEANNRKVFGNHSFRPNQREVINATMSGCDVFVLMPTGGGKSLTYQLPALISPGITLVVSPLVSLIQDQIMHLLQANIPATYLSASMEWVEQQEIFRELMSGSCIYKLLYVTPEKIAKSDVLLRHLDSLHSRGSLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPKVPVLALTATATVSVKEDVVQALGLVNCIVFRQSFNRPNLWYCVIPKTKKCLEDIDKFIKENHFDDCGIIYCLSRMDCEKVAEKLQEFGHKAAFYHGTMDPLQRSYVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLRSSCVLYYNYSDYIRVKHMLTQGMIEQSPLASASRRNSFVSNDRVFETNIENLSRMVSYCENETDCRRLLQLIHFGEKFNPDNCKRTCDNCSKLLTWVEKDVTDIAKQMVELVISTGKQYSTSHVLEVYRGSLSQNVKKHRHDNLGLHGAGKHLPKGEASRVIRHLVVEDILVEDVRKSDIYGSISSVLKVNESKVQRLLSGKQRIILRFPAPAKASKMNKPEGTPAKGSLPMNTMTYDMGAQSTNEIDLNLSVKLYAALRMLRTALVKEAGEGVMTYHIFGNATLQQISKIIPRTKEELLEITGIGKAKVHKYGDRVLETIETTIREYRKTSRNSSSSSNDNADGTKKRRGSAGSEPGANDDDFAESTVQSKKRVAKLVNSQNGPADTTSMSAYNGRCIDIDLDGYEMESEDSVPPTRQGPPGRVLPQWLTPANQINSRIGGLFKEYAFKK >Ma06_p19160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13107510:13121027:1 gene:Ma06_g19160 transcript:Ma06_t19160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MHNRTKLKSANEDKSPKVNWCNHASAFEDFSCQDKFLSSGFLFSLPTQKPQTQKSVDQLLCTRSIACEVHGSERLQLLQVEKAWKALSSMQLACRNYLIPGLTAPVQNHNKDHLRAPFTDSSNNVKNVDTSQVFKGPFHENMPSHVNYSSHSRSFPQYDSPHYATDSLLKSTYDKNNNVASEMAQVTGAQMQSSATHRVIDDHELVCTAADNLADDKFLDALDDDEILESIDVDQIVMEHYQVTATPEGFMCKQTPFTPVSSRSCTVIPDENMLPPELHESCIHGSKLALCPEAAIHLQEMKDQLIAVSNELLDNATELSPPDCENLRQKRLYLNKKIQLLEKYLCSLNLDEERQRSHSMASSTTARGFGPENPTNKYMIDPIRFNTQVHLRNETGNCMMWSSPAPSHYTDRFDAAPLEREVFAPKLQNINYVEGSGDIKWKSLDFPWTKKLEANNRKVFGNHSFRPNQREVINATMSGCDVFVLMPTGGGKSLTYQLPALISPGITLVVSPLVSLIQDQIMHLLQANIPATYLSASMEWVEQQEIFRELMSGSCIYKLLYVTPEKIAKSDVLLRHLDSLHSRGSLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPKVPVLALTATATVSVKEDVVQALGLVNCIVFRQSFNRPNLWYCVIPKTKKCLEDIDKFIKENHFDDCGIIYCLSRMDCEKVAEKLQEFGHKAAFYHGTMDPLQRSYVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGLRSSCVLYYNYSDYIRVKHMLTQGMIEQSPLASASRRNSFVSNDRVFETNIENLSRMVSYCENETDCRRLLQLIHFGEKFNPDNCKRTCDNCSKLLTWVEKDVTDIAKQMVELVISTGKQYSTSHVLEVYRGSLSQNVKKHRHDNLGLHGAGKHLPKGEASRVIRHLVVEDILVEDVRKSDIYGSISSVLKVNESKVQRLLSGKQRIILRFPAPAKASKMNKPEGTPAKGSLPMNTMTYDMGAQSTNEIDLNLSVKLYAALRMLRTALVKEAEMPHCSRLAR >Ma05_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35269062:35285790:1 gene:Ma05_g23250 transcript:Ma05_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMPHPLCCISIDCPGLGARSPAPDNPTPDPDGAGEGPSSAAVAGILCKWTNIGKGWRYRWFSLQSGVLSYSKIRRGDPPLVPEGSGGVRLIGRTAALFSQAAAASATACRKPRKPVRVVHLKNDVSISTEKLRDRMQAEGLDKSLIEDCERIMNSEFSEYHRQLKLRYEEHLNSISTFHQQLEEIDVEDLATSEGQLQLTKYDYSSSGHEKYNEYSTTESSDDVEKQELDELSDEDELSFFDTNECFGDSAITCASKVTASNDFDRISVMEDNHFDSKIMDVESQLEYQNMLLHIRWRKKLPDPIEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSSDGRLCKPFNPLLGETYEADYPEKGVRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLRSKFWGQSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNILGNQQYSCKLKFKEQSLLDRNPRQVQGFVEDIKGTKVATLLGKWDHSMYYSLSDKILKSKGSVLTENSALLWKRNKPPIDPTRYNLTSFAITLNELTSELTEKLPPTDSRLRPDQRYLENGEYEKANAEKLRLENRQRMSRKLQENGWKPRWFRRDSEHETFHYIGGYWEAREKNKWEDCMDIFGEFPNDAMVVP >Ma05_p23250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35269062:35285790:1 gene:Ma05_g23250 transcript:Ma05_t23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMPHPLCCISIDCPGLGARSPAPDNPTPDPDGAGEGPSSAAVAGILCKWTNIGKGWRYRWFSLQSGVLSYSKIRRGDPPLVPEGSGGVRLIGRTAALFSQAAAASATACRKPRKPVRVVHLKISSFRESKTDDRRFYIFCPTKTLHLRTDLSIDRVAWIQALILATKETSIYRGISFMQNDVSISTEKLRDRMQAEGLDKSLIEDCERIMNSEFSEYHRQLKLRYEEHLNSISTFHQQLEEIDVEDLATSEGQLQLTKYDYSSSGHEKYNEYSTTESSDDVEKQELDELSDEDELSFFDTNECFGDSAITCASKVTASNDFDRISVMEDNHFDSKIMDVESQLEYQNMLLHIRWRKKLPDPIEKEKSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYEYGKKGNSLMRILNVAAFAVSGYSSSDGRLCKPFNPLLGETYEADYPEKGVRFFAEKVSHHPMLIACHCEGRGWKFWGDSNLRSKFWGQSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCNHHGTMNILGNQQYSCKLKFKEQSLLDRNPRQVQGFVEDIKGTKVATLLGKWDHSMYYSLSDKILKSKGSVLTENSALLWKRNKPPIDPTRYNLTSFAITLNELTSELTEKLPPTDSRLRPDQRYLENGEYEKANAEKLRLENRQRMSRKLQENGWKPRWFRRDSEHETFHYIGGYWEAREKNKWEDCMDIFGEFPNDAMVVP >Ma05_p29550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40176564:40177587:1 gene:Ma05_g29550 transcript:Ma05_t29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGLVMIGGLAINGHGAEALELFGQMERDAVKPNEVTFIGVLYACSHGGLVEQARQCFDSMRAVYGLKPQIEHCGCMVDVLGRAGFLEEAVFLVQSMANDNAVLCGSLLSACLIHGNAKLGEYVVDRLVELRPDDGGVFVLLSNIYAARGRMQQKYMTCQKRSAQFGTKEEDEQNLGHHLVRRDNAVLWGSLLSACLIHGNAKLGEYAFDRLVELRPDDGGVYVLLSNIYAARGRQDDARRTRMLKLRGLKKILGCSSIGVFMGSFTNSMLENATEIYDISEEISSVWHQGRRRTKPGSSQ >Ma05_p25810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37636140:37638788:1 gene:Ma05_g25810 transcript:Ma05_t25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKAGSRPPWVGLAAAVWVQVAAGTAYTFPLYSPSLKSVLGYSQQQLTMLGVANDIGENFGLVAGFASSRFRPWVVLLAGAACCFLGFGVLWLAVTQTVSGLPFWVLWIALCIGTNSSAWLVTAVLVTNMRNFPLSRGTVSGILKGYVGLSASVFTGLYTGMLRSSSTNLLLFLAIGLPVMCLAMMYFVRPCTPSLAEDSSERCHFLFTQVSSILLGLYLLAFTIVSNHVQLSDGITSVLFGVMVLFLLAPLAIPIKMTFFRTKPKHTSSSSEDKAEPLLASSSTTNNLEKLQEPDDGSDVNMLLAVGEGAVKKKRKPKRGDDFELEEALVKADFWLLFAAFFIGAGSGVTVLNNLAQIGAAAGIDDPTILLCLFSFGNFLGRLGGGAVSEYFVRTRMLPRPIWMTCTQMIMIIAYLLYALGLSSTLNASTAMLGICYGVQTSIMVPTVSELFGLKHFGTFFNFMLLGNPLGAFLFSGLLAGYLYDKEAAEQQLGFLHHSNTSCFGPSCFRLTFFILAGVCSLGTLLTIILTVRIRPVYQMLYAGGSFRQPQTTHH >Ma05_p31190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41225953:41226123:-1 gene:Ma05_g31190 transcript:Ma05_t31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDNVVGVDDDIVVSSLPTTIRSALIVTPSASIMSTPSITSPIVLRQFTNVSTLM >Ma08_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7704868:7705998:1 gene:Ma08_g10530 transcript:Ma08_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAAEIPLPFSAQSPSTFPPPRGTFLDADGSDRGFLELIGLQELHQSSFLFQQPAARATCVASAPPPVQAKSSDAVNFPANPSSMSCSSTETGANPTKPATAGEANEQEAETKERCKEEGGKKKKKKGQKPQKEPRFAFETRSEVDHLDDGYRWRKYGQKAVKNSRFPRSYYRCTSATCGVKKRVERSSDDPAVVVTTYEGQHNHPSPVVPRGAHHAPPPQPPLLPAEPSMPPPLGFVFSPPVNTKEFQLPLLSSYLAPPPLDFNRSAAPRTLVVTSDLTASIEGRNQTAESAIRDDGLLQDLIPSEIRKEE >Ma07_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7382800:7389831:-1 gene:Ma07_g09910 transcript:Ma07_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKQPSPPPPPLLQQLRRRKWVVPLLASFFISSLLISAFVFSSSSPFFAASSLSRHALLLLSFSQIPSPGGDEPHFVESKLRFPPPSGASARPVPRLAYLISGSAGDGGSIRRTLRALYHPANQYVLHLDLEASAAERLELATVIRDDPVYTRFGNVRVVARANLVTYRGPTMVSNTLHAAAILLKEGGDWDWFINLSASDYPLITQDDLLYTLSSLTRDLNFIEHTSDIGWKEYHRARPLIIDPGLYSMHKTDLFWVSEKRSMPTAFKLFTGSAWMMVSHQFIEFCLWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHFLTLDDFTRMVDSNAPFARKFGRDDPVLDKIDEALLGRDPDGFVPSAWCDALKTNTSDDPHYTVRTVTELRPGAGAQRLNALITDLLSVDGFDEKHCI >Ma08_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7442353:7450456:-1 gene:Ma08_g10220 transcript:Ma08_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVRPRDPSMRPPMVPLATLIGRELRGGKSEKPAIKYGHAAFAKRGEDYFLVRPDCLRVPGDAASSFSVFAIFDGHNGVSAAVFAKEHLLEHVMSAIPQGIGREEWLEALPRALVAGFVKTDIDFQRKGETSGTTATLVVVDGWTVTVASVGDSRCILDSQDGVVSLLTVDHRLEENVEERERVTASGGEVGRLNICGGKEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSVGGRLIIASDGIWDALSSELAAKACRGIPAELAAKLVVKEALRTSGLKDDTTCLVVDIIPSDHTLPLPSPVKQQNKFRSLFGKKPQNAAGKPNKLSSVGAVEELFEEGSAMLEERLGKSFSSRENTGLLRCAICQTDQVPDDDLFVNSGDLFSPPSKQQEGPYLCVDCRRKKDAMEGKRPSDSSMSR >Ma07_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2578080:2579660:-1 gene:Ma07_g03350 transcript:Ma07_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARNRCAEKVLMTKMWSTRFMMKLLLHLLDWDTTTWKKVSYPFKICPIERSPYQW >Ma02_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26550019:26550849:-1 gene:Ma02_g20660 transcript:Ma02_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFKLSHIISNSWFCKLKGIGRANRSHSMRHSMKKGLASASTLPPLPQPKQHHHLPNRASSYIPTIERAETPARSTVNAMASPADCHCCRHHRRRSLASVCNAESMMPEIPNSDGFHASSFVTTADSSLEVASELNLPPILTKPVKKKVLKPAPHDARVSTKHHHGTAARRSASGVHRIRIGQNSPRVESRRKMAMRQRRAVSESLVIIKSSSNPRRDFTKSMVEMIVENNLHELKDLEELLACYLSLNSKEYHEDIIKVFEHVWFALTDLRM >Ma08_p23000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36452523:36474758:-1 gene:Ma08_g23000 transcript:Ma08_t23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGTSDALMCRAFPTTLRGPARTWYSGLKPGTIASFDQLAKDFELNFLAHARPKPSMALLLGLNQKEDEPLSHFVNRFTTQIRGLSEAHPSLLMQVFMTGLRPSRFFWSLVERPPVAVPEMLQLRSELKHKRGASPPFLEGVEEMGRAVKCACSLGLMVLAMVMVVGIAEGRRLEKDNLLGGGGIGGGFGGGVGGGFGGGTGVGGGFGGGKGGGGGFGGGAGAGAGGGAGGGFGGGAGGGAGAGGGFGGGKGGGSGSGGGGGSGGGFGGGKGGGAGGGGGFGGGKGGGAGGGVGGGAGGGGGAGGGFGGGKGGGAGGGVGGGAGGGVGGGGGAGGGAGGGFGGGNGGGAGGGVGGGAGGGAGGGGGAGGGAGGGIGGGGGAGGGVGGGSGGGFGGGIGGGNGGGFGGGGGIGGGFGGGSGAGGGLGGGIGGGGGGGGGGGF >Ma03_p09560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7094226:7098472:1 gene:Ma03_g09560 transcript:Ma03_t09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMVSRKSHSWWWDSHISRKNSKWLEENLEKMDRSVKQMLKLIEEEGESFAKKAEVYYQRRPELISQVEDFYRMYRALAERYDQVTGDLRKNIRSELRSQVSGSGSDHVSDPPSPSSIHSLEVTPESKPQPPKPSPRAAGFDFFLGSGGSSDLSRKGSDGSYSSSSECDSESEFDDGNEVNGDGISSSLQQKIHELEDELRETREKVKRQGEKICHDHCNSKILALEEELSTANEKLHCAETEIMVLKNKLEETNISLETMETELRSEKEKVLNLDEHVAMLQNAVLAYKYEILVLKEATEVTTKQFQTELLNRDIQLAECKTELVNSKEKFLQQKSSLEAGIAYLEGVNMELKEETEKMLLEKLSLESHLSELQVVIQELQVSTSSSIENVSREKLALEAEVLALSQSNASLEGKINILDDQVRQLDADRIQACEESGKHITELNKKLDALKLRVDMLTAEKDQLTAKVDSLTNNVRSRDGQIIQMDEQLHRLQLENAKLIIELEEARKASLDLKSRMKDLEEEVDKQKVVISDGAEGKREAIRQLCFSLEHYRDGYHQLRQLLQCHRRSPIAAS >Ma03_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7094226:7098472:1 gene:Ma03_g09560 transcript:Ma03_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMVSRKSHSWWWDSHISRKNSKWLEENLEKMDRSVKQMLKLIEEEGESFAKKAEVYYQRRPELISQVEDFYRMYRALAERYDQVTGDLRKNIRSELRSQVSGSGSDHVSDPPSPSSIHSLEVTPESKPQPPKPSPRAAGFDFFLGSGGSSDLSRKGSDGSYSSSSECDSESEFDDGNEVNGDGISSSLQQKIHELEDELRETREKVKRQGEKICHDHCNSKILALEEELSTANEKLHCAETEIMVLKNKLEETNISLETMETELRSEKEKVLNLDEHVAMLQNAVLAYKYEILVLKEATEVTTKQFQTELLNRDIQLAECKTELVNSKEKFLQQKSSLEAGIAYLEGVNMELKEETEKMLLEKLSLESHLSELQVVIQELQVSTSSSIENVSREKLALEAEVLALSQSNASLEGKINILDDQVRQLDADRIQACEESGKHITELNKKLDALKLRVDMLTAEKDQLTAKVDSLTNNVRSRDGQIIQMDEQLHRLQLENAKLIIELEEARKASLDLKSRMKDLEEEVDKQKVVISDGAEGKREAIRQLCFSLEHYRDGYHQLRQLLQCHRRSPIAAS >Ma11_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7412953:7414992:-1 gene:Ma11_g09240 transcript:Ma11_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGPVSWISSRHWSVDVDLSDEESERRLHNRLLCRSRQRGFLELELDLVGKAQICGSGLLLRASTRSSQEQSWIAFQT >Ma08_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:111503:114126:-1 gene:Ma08_g00120 transcript:Ma08_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRGRAGHVERDIEQMKFTLNFILDKFRLISLFYHNGILSWYIYTHTHIHTYIYIYIYMCMNIHIYAYIYIYIYMHIYTCIHIYMYIYIYICIYAYIYICIYTYI >Ma05_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9422436:9425953:1 gene:Ma05_g13030 transcript:Ma05_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVTELASRAMESFFSQKALPGLLLLLVFSVSPKPASTSLASQGRVLLQWKASLRSQQSLRSWNLSTSPCSWSGITCSLRRHRVITEVNLPSMGLDGPLHTLNFSGLPSLTGLTLMFNRLSGEIPPSIFTLSELISFDVQGNDITGTIPARISSLTKLRSLNLSGNKISGSIPLSLGNMTSLDFLILSGNGFSGSIPEEIGDLQNLQELDLSANFLTGSIPQSLGNLSRLSLLDLSLNHLYGSVPPTLGNLQKLLVLSISDNTLTASIPASLGNLTRLIKLNLWGNHLSGPIPHEVGNLVRMTNLVLAHNSLLGSIPLSLRNLSKLSTLYLFNNHISGTIPAELGNLVELRDIDLSHNLLTGFIPSTLGNLARLRNLILSYNELSGPIPSTLANMTSLILLDLSNNQISGCIPPSFANRSLEELSVINNSLSGSVPNLTKLVSLKLAYNDLSGHLPPDVCRGGKLQHFTVAYNKFHGPIPESLRNCSSLVRVRLDRNNLTGDLFDHFGVYPNMRYIDLSYNRLSGMLSPEWGSCSNLTSLRISNNRLNGTIPSEIGQSTRLGALDLSSNHLVGELPKNLCNLISLIELNISSNQISGELPSEIGKLLKLKRLDVSGNNFSGVIPEEIGGCKLLISVDMSNNSFSGSIPYEFGQLVDLQELLDLSQNSFSGHIPSQLGQLTLLQILNLSHNNLAGRIPPSLINMASLSALDVSHNELEGPVPDGQLFRRAPMSWFTGNRGLCDVVAGLPSCSSSPARETPNVILLTGMAVLGALLLFFLFVGFAARLMKRRKQIANASSQTGGSAFSIWNFDGGDAYDEIIKATENFDDEYCIGKGACGSVYRATLSTGEVVAVKKLQQPDTEISWQHFQNEVQALTQVRHRNIVKLFGFCSTPRHKFLVCEYMGRGNLADSLQEDATELDWVKRVSIIKHVACALSYLHHDLIPPIVHRDVTSNNILLDSDFKACLSDFGIARTLNPAASNWCSLAGTRGYMAPELAYSMRVTEKCDVYSFGVVTLEVLLGRHPGDLISSYHDEGNAMREMLDPRLPLPPPEVSGAVSTVVKISLRCLHSNPVCRPTMQHVSNELCAIK >Ma10_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31864776:31868225:1 gene:Ma10_g22520 transcript:Ma10_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMGKLRWGELDDDAEDLDFLLPPRVVVGPDENGVKKVIEYRFDDEGNKVRVTTTTRVRKLTRARLSKRALERRSWPKFGDAVHEDAGARLTMVSTEEILLERPRPPGSKAEEPKVAGDPLAAMGKAGAVLMVCRTCGKKGDHWTSKCPYKDLAPQTDSFIDKPPGAEAAASTTGTGKGTYVPPSMRAGAERSGTEMRRRNDENSVRVTNLSEDTREPDLLELFHTFGPVTRVYVAVDQKTGFSRGFGFVNFVNREDAERAINKLNGYGYDNLILRVEWATPRPN >Ma04_p34350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33592048:33596811:-1 gene:Ma04_g34350 transcript:Ma04_t34350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGELINIQNAPLQFFELSTMPVAEKLALKKKLKTELDHVRSAVENIIADCERRLGQKHSAAEEDQAVILGNDCAGEVVASIHSFCIPEQQPSLTGENYQTTMSKRKIALEMNTNPKDADNYSNDGIDMIPDKSDAKSVLTGPSKGSTLGTSDALKVKGEKMDSFKTRQCANILKILMIHPAGWVFKEPVDPVKLKIPDYFSIISKPMDLGTIKRKLGRKQYSSTVQFAADVRLTFSNAMRYNPPENEVHVMAKELNNIFNSRWKLLEAEWRNKSTLSSQSVTNTQKKKRLLEKRPDPNSVLRRFIPSAEKLKLKKELSNLPVRKMPPRLLSFLQSKGIVGQIGEFVGIDIDMFDEETLWELHQLVRNFIDGTPIEIKKCTRRPEQDSHKGPGETVLQSDVIDEFVSPLARMKSAHRTVSCQRSHCNDSSQASSSEVDSGRSSRSEHYSRRSTANSLDWEKTPTGFGLQSNDSIQSISHPPSPLTAATEDLGPCEEQLSPSKALRAAMLKSRFADTILKAQQQSLGVKIDPAKLQREREKLEKRQREEKARIEAQVKAAEIAAKMKAEAELTRQREAARLALQKMEKTVEIDNSHILKDLENLGCPLPGHFDMTDETVGKFMQELEMHSGLVNPLEQLGLFMKNEDLDEVDEWISSAGNGDVEEGEIDGS >Ma04_p34350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33592048:33596811:-1 gene:Ma04_g34350 transcript:Ma04_t34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGELINIQNAPLQFFELSTMPVAEKLALKKKLKTELDHVRSAVENIIADCERRLGQKHSAAEEDQAVILGNDCAGEVVASIHSFCIPEQQPSLTGENYQTTMSKRKIALEMNTNPKDADNYSNDGIDMIPDKSDAKSVLTGPSKGSTLGTSDALKVKGEKMDSFKTRQCANILKILMIHPAGWVFKEPVDPVKLKIPDYFSIISKPMDLGTIKRKLGRKQYSSTVQFAADVRLTFSNAMRYNPPENEVHVMAKELNNIFNSRWKLLEAEWRNKSTLSSQSVTNTQKKKRLLEKRPDPNSVLRRFIPSAEKLKLKKELSNLPVRKMPPRLLSFLQSKGIVGQIGEFVGIDIDMFDEETLWELHQLVRNFIDGTPIEQIKKCTRRPEQDSHKGPGETVLQSDVIDEFVSPLARMKSAHRTVSCQRSHCNDSSQASSSEVDSGRSSRSEHYSRRSTANSLDWEKTPTGFGLQSNDSIQSISHPPSPLTAATEDLGPCEEQLSPSKALRAAMLKSRFADTILKAQQQSLGVKIDPAKLQREREKLEKRQREEKARIEAQVKAAEIAAKMKAEAELTRQREAARLALQKMEKTVEIDNSHILKDLENLGCPLPGHFDMTDETVGKFMQELEMHSGLVNPLEQLGLFMKNEDLDEVDEWISSAGNGDVEEGEIDGS >Ma03_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4863781:4869116:-1 gene:Ma03_g07080 transcript:Ma03_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NDC80 homolog [Source:Projected from Arabidopsis thaliana (AT3G54630) UniProtKB/Swiss-Prot;Acc:Q9M1G5] MRGAAGGGGRGVRRRYTKASTGPPDRAAPLPSPFDVARHFDFASVAGGRDSDASLCSGRPSSAGGRPASALLTDRSAQSSALRAVNAFLTSHSAPVSLKPPLPAARDITEALRFILARMDWPLDDLDDDLPALLRNLHCPLKLNRSALKAPGTPHAWPHLLSVLYWLIQLARVSDHLAASSSPQQDQPNDLLLFVTRSYSLFISGEDDAVEELDEEYLGKAQHQAANTAAAIDALEKEATELEAKLQALQAEPSKKKALEREKGMLVEDVKKFQAVVDSWGGKVAAMESALGEWEKELEAKEKESKRLCEENEELHRRIDTQAVNVRDVERMKREMQAVERDIADAEIGRNALEEKAWELEETVSRKIHETEVLLEQCNQAVRRLKLGIDFQYVLNSKGSSPAEVLGINYKSILKPALVALSEDTKKISVSKLEESITLQEQSQENAKVLEEKRNNLASFQAKIDEAEARLTFLKNEAEEYASKCAAEAEKIKGEFMNKEHQLSIIEKEAEEFLKNSENELQITTKESDEETQLCASELLALIDTVSEYKEFMESTVSGMKKELSETADFVSSLAAKLVSTVNFSQGGRKRARNPPVN >Ma09_p26300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37596729:37597593:-1 gene:Ma09_g26300 transcript:Ma09_t26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKPQTAPADRSYTDLNPVFDWVRGETSDDILIHLPGFKSDQVRVQIDSHGTLRTSGERPLDGKQWSRFWKDFQLPDNCKVNDVRAKFDDEMLQVHIPKMVVRGNGALPQPADAREPQSKEKAANKQEIEDNKSVDETKAAQPANPKKMTADDRGDRSGGMSSIYMGLSQARKTLLMNVAVAFLVLFVLGLYLKYRFTKTETS >Ma01_p19070.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14498024:14506931:1 gene:Ma01_g19070 transcript:Ma01_t19070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWRQVQHYLQGDLYCSLLHLRQYGSKVDWNKLRPMILKRIRNRAKDYPVVRMIPIAQDVLKSREMLAEGISTLLKFIPVKSCKFCPEVYVGETGHQIKTCYGFKHIIKDQPHHWTEGKLNDILTPVESFHLQDMHQSIIKHDQRFDFHRVPAIVELCYQAGVEISDEVLYNCSPESPRSQGNTVKAGVSSDKDIKLVAQVTLDAWETLRLGVQKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFKFERWKGSHMWKKAEVDDLVPPKMVWHRRPHDPPVLVDSGRGFYGHAPAVVELCMQAGARVPMKYFCMMKVHGLTAT >Ma01_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14498031:14506931:1 gene:Ma01_g19070 transcript:Ma01_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWRQVQHYLQGDLYCSLLHLRQYGSKVDWNKLRPMILKRIRNRAKDYPVVRMIPIAQDVLKSREMLAEGISTLLKFIPVKSCKFCPEVYVGETGHQIKTCYGFKHIIKDQPHHWTEGKLNDILTPVESFHLQDMHQSIIKHDQRFDFHRVPAIVELCYQAGVEISDEVLYNCSPESPRSQGNTVKAGVSSDKDIKLVAQVTLDAWETLRLGVQKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFKFERWKGSHMWKKAEVDDLVPPKMVWHRRPHDPPVLVDSGRGFYGHAPAVVELCMQAGARVPMKYFCMMKVHGLTAT >Ma01_p19070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14497984:14506931:1 gene:Ma01_g19070 transcript:Ma01_t19070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWRQVQHYLQGDLYCSLLHLRQYGSKVDWNKLRPMILKRIRNRAKDYPVVRMIPIAQDVLKSREMLAEGISTLLKFIPVKSCKFCPEVYVGETGHQIKTCYGFKHIIKDQPHHWTEGKLNDILTPVESFHLQDMHQSIIKHDQRFDFHRVPAIVELCYQAGVEISDEVLYNCSPESPRSQGNTVKAGVSSDKDIKLVAQVTLDAWETLRLGVQKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFKFERWKGSHMWKKAEVDDLVPPKMVWHRRPHDPPVLVDSGRGFYGHAPAVVELCMQAGARVPMKYFCMMKVHGLTAT >Ma01_p19070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14499168:14506931:1 gene:Ma01_g19070 transcript:Ma01_t19070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWRQVQHYLQGDLYCSLLHLRQYGSKVDWNKLRPMILKRIRNRAKDYPVVRMIPIAQDVLKSREMLAEGISTLLKFIPVKSCKFCPEVYVGETGHQIKTCYGFKHIIKDQPHHWTEGKLNDILTPVESFHLQDMHQSIIKHDQRFDFHRVPAIVELCYQAGVEISDEVLYNCSPESPRSQGNTVKAGVSSDKDIKLVAQVTLDAWETLRLGVQKLLLVYPVKVCKYCSEVHVGPSGHKARLCGVFKFERWKGSHMWKKAEVDDLVPPKMVWHRRPHDPPVLVDSGRGFYGHAPAVVELCMQAGARVPMKYFCMMKVHGLTAT >Ma02_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13177430:13178679:1 gene:Ma02_g02150 transcript:Ma02_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRQLRRRSVTLSEQLSVGDSSNLRDLLNVRDEDETRLFRRGPCEGREGSVASGGGRTLLDIIQREHEGNGIIAGGISSNGSTWRSLRDRLRPAGAAWEAASSGQSHPTLDPELVASTRPNPDLARSVSRSVSVENSEPGVPESTAMSTAAEPPSVNGGGSDEINGRENSEEQPERVSLMALLEQTDRQWDESRNEEEDEVAAEEAMGRGGGMLYVCCVCMVRHKGAAFIPCGHTFCRLCSRELWLSRGSCPLCNGFILEILDIF >Ma01_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7670575:7672018:-1 gene:Ma01_g10680 transcript:Ma01_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESKAKAPPKVVKLDKALKLAEAWVNNMSTSATNEQSELEFEGQPSRHLLSKVTPKMKVAVSSDPVEQKLLGKLNSKKKLSYDNIEKASPVRECSKR >Ma05_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7417896:7423574:1 gene:Ma05_g10290 transcript:Ma05_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVVITESNPSSSGSDTRIICRVCQKQFSQYTCPRCNSRYCSLQCYKRHNLRCTESFTRENVMEELKQVQPDEETKRKMLDILKRFHSEEEMSSDDEEESTLSEEIIQKVLSGQEVVLEDLSPKELKQFRRVIASGELSKLIEPWTPWWRQPSARSISLSPEGCQLVKPVELANGPEDNIAENPVGPESPLPPLRQLIRGDPSPFLAVHVVDVLYSYCFTLRLYNGDWHSDPLGAATVALGMSKVMGDLGRPETVAEALAACLEETCSPVYRHTGGFSFGIGLVDDVVCLLSLGTNALVCLLCDLQRLIQAGERILKSEKIGKTERIDTIRKLKSADRKVYFLMCWVHEQPAEVWSTVANFVEMEKTSLAQLGHGSKNFKDERKGKQGSTVLIQEV >Ma10_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24279479:24287041:1 gene:Ma10_g10270 transcript:Ma10_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMAALKLLAFPLLLLIASLLLFCSPSLLGDWDDAGSWKAPFRPVDLLPLLPHRAAWPVLRSLRSAVDLLPTFVGAASSAEDDLEWKGACFYKTTAWMEFHNKSQSQFGGGTLHIKTNNAHSWTCMDLYVFATPYRVTWDYYLLSREHTLDFHEWEGEAEYEYVKHNGVSIFLMESGMIGTLRAMWDVFPLFTNTGWGESSNLAFLKKHMGATFEERPQPWISSINTDDIHSGDFLAISKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGNENDKGEDVIAILPWEEWWEFELTKDDSNPHISLLPLHPDVRAKFNNTAAWEYGKSMLDKPYGYHNMIFSWIDTISDNYPPPLDSHVVASVMTMWNKIQPAYAANMWNEALNKRLGTQGLDLPEIIVEAEKNGTSFDNLLTIPEQDDWVYTDGKSTSCVAFILEMYKAAGLFGSNASSIQVTEFTIKDAYTLNFFESNSSRLPKWCNKDDNVKLPYCQIKGRYRMELPGYNTMQPYPHMNENCASLPPYYSRTKDC >Ma02_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16789733:16794851:-1 gene:Ma02_g05510 transcript:Ma02_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQMAEPLLGSGKERGDLEEIRSLKQFLKQWVEENKRLWYLAGPSIFTSICRYSLGATTQVFAGHLTTLELDAVSTENMVIAGLAFGIMMGMGSALETLCGQAYGAKQLHMLGVYMQRSWVILLTTCTCLLPVYLFAAPILRLFKQDADIAELAGRFSLYMIPQLFAYGLNFPISKFLQAQSKVMVMAVVAAIALVFHVCLSWLLIVQFKLGLVGAATSLNIAWWIVVLAQFGYIAMGYCPGAWNGFSWGAFRGLGSFAWLSIGSAIMLCLEFWYYMIIIVLVGRLENAQIAVAAVSICTNINGWEFMVFFGFNVAISVRISNELGARRPRAAKFAIVCVIMSSLTLGLIFFTLVLALKDVYGIPFTNSPDVIRAVSSLAVIFSFTLLFNSVQPVLTGVAVGAGWQWLVAYVNIGCYYAFGIPVGCLLAFHFGFGVQGMWSGMLSGVVLQTMIIVAMTVTTNWNKEAMEADSRIKKWSGSIEETSMNYRTSEGPDNLA >Ma02_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24033235:24035124:-1 gene:Ma02_g16880 transcript:Ma02_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDGGLDIRNWGYYEQTWKGNLGLHLMSSVVERDTKPLLSNGGFLHRQCGVSEPLVAMDVMRDGWIHQSSDDHDKILHMLPVNHHHHHNDSYYGALHDPLTPETLQMLQLPEPPKDDNFPVTDIPVGENETPLKKRSKGRPQKFPKPKKSKKVAASSNDTTNGSVSLGKSCRKSAEMVINGISLNTSGIPTPVCSCTGKPQPCYRWGAGGWQSVCCTTSISMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLTEEGHSLCNPIDLRSFWAKHGTNKFVTIR >Ma01_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13551283:13552961:1 gene:Ma01_g18280 transcript:Ma01_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELKAAAMTPWSRLSWDIVELILSYLPLRSVVVAGAVCKQWRAVIADPGFAVRAASSLPRRPWFFLYGQNNVVLRKNQAFGFDPDAGEWIALPPSPSVLHVDCFAGAGGFFFATTSATRFCYAPLLRGPWRETSPLFFSRCNPLVGVFSAAGGRRHFIVVGGARFIGGLVDIEDPLAVEIYDPASNSWELCPPLPPDFRTGNSSQWLSAALLGGRFFFVFGIYSCSVAAFDLSRRAWTGVQTLRPPGVLFCFLLACNDKLILAGLCNAPDGPPCFALWAVDHTTMDFAEIAVMPRDLLSCLFDTDDDDNKFASLKCVGLDGRVYVFNEDHHKAYPACVCEISEDSSAGVAEAASGLNLSCSWRKVPPLPSPVDRFHKVIGFCSPVPVNSVLGGGVDREL >Ma04_p09780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6953087:6973605:1 gene:Ma04_g09780 transcript:Ma04_t09780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSNKGKNKWRALNSTPVTSSESQSKPLDPLTSPNDGSEAAKVSNGNANGVEEARNKSPAADGSAGDKAQNSDAPATTTDQAEGELHLYPVPVKTLSGEKLELQLSPGDSVMDIRQFLLDAPETCFFTCYDLRLHTKDGSVYHLEDYNEISEVADITIRGCSLEMVAALYDDRSIRSHIRRGRELLSLSNMQTSLSTFLALQHESAQRKTADAVKVDSAEHDGLGFMEDITGTLSDLVTSPSSQEIKCVESIVYSTFNPPPSYRRLVGDLIYMDVVSLEGKKYCITGTTRGFYVNCSTRSSLDPSPSKPSREASTLIGLLQKISPKFKQGFHEILEQKASAHPFESVQSLLPPNTWLGLYPVPDHKRDPARSEDALALSFGTELIGMQRDWNEELQSCREFPHKTLQERILRGRALYKVTCDFIDAAIKGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLGYISKTLEPNLLANSRDAAGNCEDKVLCNSLRSTSSNKLPGATGNSGLCTSTNSDKEQKQDVPDLTTDASAEVQITDSEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSNSLLYGSVDNGKKICWNESFHSKVVEAAKRLHLKEHTVLDGSGNAVKLAAPIECKGIVGSDDRHYLLDLMRVTPRDVNYIGPVHRFCVLRPELVASFCEAEVAEMSQSSARTTEKVPEAPNQDSTGADVTDSTDVHIKADEECASAPSVHSISSEEILLNPNVFTEFKLAGNPEEIAADEAIVRKAGSHLVDVVLPKFVQDLCSLEVSPMDGKTLADAFHAHGINIRYLGKVANMVKHLPHLWDMCSTEIVVRSTKHILKDLLRESEDHDLGPAITHFFNCFTGHVSPVGAEDSSDNIQSKAQKKAEENHQSPRKLIKGQMSWSHGEFSIKDHLAHMRLTSEGLWSRIQEFARFKYQFELPDDARTRVKTIAVIRNLCLKVGITIAARKYDLDASLPFQTSDILNLQPVVKHSVPICSEAENLMESGKARLAEGLLSEAYTLFSEAFSILQQVGSFLSCFLPVLSFGVLNFSYMLQITGPLHQDVASCCRYLAMVLYHAGDVPAAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLSSGPDHPDVAATFINVAMMYQDIGNTKTALRYLQEALKKNERLLGPEHIQTAVCYHALAIAFNSMGAYKLSVQHEKKTHGILVKQLGEEDSRTQDSENWIKTFKLRQRQVNAQKQKRQALDSASALMAFNILKAYPELLQAFQVAARSGNASTTINKSVAGEALLPRGRRVDERAARAAAEVRKKAVARGILVRQNVNPVQRLPPLSQLLNIINSGSTPEAPTSDQAHEPKTEPSSGPVAPTSDQAHEPKTEPSSGPVSDGSAGASVANGSNHGDQVPVGLGTSLASLDLKKQKSKLKATL >Ma04_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6953231:6973605:1 gene:Ma04_g09780 transcript:Ma04_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSNKGKNKWRALNSTPVTSSESQSKPLDPLTSPNDGSEAAKVSNGNANGVEEARNKSPAADGSAGDKAQNSDAPATTTDQAEGELHLYPVPVKTLSGEKLELQLSPGDSVMDIRQFLLDAPETCFFTCYDLRLHTKDGSVYHLEDYNEISEVADITIRGCSLEMVAALYDDRSIRSHIRRGRELLSLSNMQTSLSTFLALQHESAQRKTADAVKVDSAEHDGLGFMEDITGTLSDLVTSPSSQEIKCVESIVYSTFNPPPSYRRLVGDLIYMDVVSLEGKKYCITGTTRGFYVNCSTRSSLDPSPSKPSREASTLIGLLQKISPKFKQGFHEILEQKASAHPFESVQSLLPPNTWLGLYPVPDHKRDPARSEDALALSFGTELIGMQRDWNEELQSCREFPHKTLQERILRGRALYKVTCDFIDAAIKGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLGYISKTLEPNLLANSRDAAGNCEDKVLCNSLRSTSSNKLPGATGNSGLCTSTNSDKEQKQDVPDLTTDASAEVQITDSEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSNSLLYGSVDNGKKICWNESFHSKVVEAAKRLHLKEHTVLDGSGNAVKLAAPIECKGIVGSDDRHYLLDLMRVTPRDVNYIGPVHRFCVLRPELVASFCEAEVAEMSQSSARTTEKVPEAPNQDSTGADVTDSTDVHIKADEECASAPSVHSISSEEILLNPNVFTEFKLAGNPEEIAADEAIVRKAGSHLVDVVLPKFVQDLCSLEVSPMDGKTLADAFHAHGINIRYLGKVANMVKHLPHLWDMCSTEIVVRSTKHILKDLLRESEDHDLGPAITHFFNCFTGHVSPVGAEDSSDNIQSKAQKKAEENHQSPRKLIKGQMSWSHGEFSIKDHLAHMRLTSEGLWSRIQEFARFKYQFELPDDARTRVKTIAVIRNLCLKVGITIAARKYDLDASLPFQTSDILNLQPVVKHSVPICSEAENLMESGKARLAEGLLSEAYTLFSEAFSILQQVGSFLSCFLPVLSFGVLNFSYMLQITGPLHQDVASCCRYLAMVLYHAGDVPAAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLSSGPDHPDVAATFINVAMMYQDIGNTKTALRYLQEALKKNERLLGPEHIQTAVCYHALAIAFNSMGAYKLSVQHEKKTHGILVKQLGEEDSRTQDSENWIKTFKLRQRQVNAQKQKRQALDSASALMAFNILKAYPELLQAFQVAARSGNASTTINKSVAGEALLPRGRRVDERAARAAAEVRKKAVARGILVRQNVNPVQRLPPLSQLLNIINSGSTPEAPTSDQAHEPKTEPSSGPVAPTSDQAHEPKTEPSSGPVSDGSAGASVANGSNHGDQVPVGLGTSLASLDLKKQKSKLKATL >Ma04_p09780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6953087:6973605:1 gene:Ma04_g09780 transcript:Ma04_t09780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSNKGKNKWRALNSTPVTSSESQSKPLDPLTSPNDGSEAAKVSNGNANGVEEARNKSPAADGSAGDKAQNSDAPATTTDQAEGELHLYPVPVKTLSGEKLELQLSPGDSVMDIRQFLLDAPETCFFTCYDLRLHTKDGSVYHLEDYNEISEVADITIRGCSLEMVAALYDDRSIRSHIRRGRELLSLSNMQTSLSTFLALQHESAQRKTADAVKVDSAEHDGLGFMEDITGTLSDLVTSPSSQEIKCVESIVYSTFNPPPSYRRLVGDLIYMDVVSLEGKKYCITGTTRGFYVNCSTRSSLDPSPSKPSREASTLIGLLQKISPKFKQGFHEILEQKASAHPFESVQSLLPPNTWLGLYPVPDHKRDPARSEDALALSFGTELIGMQRDWNEELQSCREFPHKTLQERILRGRALYKVTCDFIDAAIKGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLGYISKTLEPNLLANSRDAAGNCEDKVLCNSLRSTSSNKLPGATGNSGLCTSTNSDKEQKQDVPDLTTDASAEVQITDSEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSNSLLYGSVDNGKKICWNESFHSKVVEAAKRLHLKEHTVLDGSGNAVKLAAPIECKGIVGSDDRHYLLDLMRVTPRDVNYIGPVHRFCVLRPELVASFCEAEVAEMSQSSARTTEKVPEAPNQDSTGADVTDSTDVHIKADEECASAPSVHSISSEEILLNPNVFTEFKLAGNPEEIAADEAIVRKAGSHLVDVVLPKFVQDLCSLEVSPMDGKTLADAFHAHGINIRYLGKVANMVKHLPHLWDMCSTEIVVRSTKHILKDLLRESEDHDLGPAITHFFNCFTGHVSPVGAEDSSDNIQSKAQKKAEENHQSPRKLIKGQMSWSHGEFSIKDHLAHMRLTSEGLWSRIQEFARFKYQFELPDDARTRVKTIAVIRNLCLKVGITIAARKYDLDASLPFQTSDILNLQPVVKHSVPICSEAENLMESGKARLAEGLLSEAYTLFSEAFSILQQITGPLHQDVASCCRYLAMVLYHAGDVPAAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLSSGPDHPDVAATFINVAMMYQDIGNTKTALRYLQEALKKNERLLGPEHIQTAVCYHALAIAFNSMGAYKLSVQHEKKTHGILVKQLGEEDSRTQDSENWIKTFKLRQRQVNAQKQKRQALDSASALMAFNILKAYPELLQAFQVAARSGNASTTINKSVAGEALLPRGRRVDERAARAAAEVRKKAVARGILVRQNVNPVQRLPPLSQLLNIINSGSTPEAPTSDQAHEPKTEPSSGPVAPTSDQAHEPKTEPSSGPVSDGSAGASVANGSNHGDQVPVGLGTSLASLDLKKQKSKLKATL >Ma04_p09780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6953087:6973605:1 gene:Ma04_g09780 transcript:Ma04_t09780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSNKGKNKWRALNSTPVTSSESQSKPLDPLTSPNDGSEAAKVSNGNANGVEEARNKSPAADGSAGDKAQNSDAPATTTDQAEGELHLYPVPVKTLSGEKLELQLSPGDSVMDIRQFLLDAPETCFFTCYDLRLHTKDGSVYHLEDYNEISEVADITIRGCSLEMVAALYDDRSIRSHIRRGRELLSLSNMQTSLSTFLALQHESAQRKTADAVKVDSAEHDGLGFMEDITGTLSDLVTSPSSQEIKCVESIVYSTFNPPPSYRRLVGDLIYMDVVSLEGKKYCITGTTRGFYVNCSTRSSLDPSPSKPSREASTLIGLLQKISPKFKQGFHEILEQKASAHPFESVQSLLPPNTWLGLYPVPDHKRDPARSEDALALSFGTELIGMQRDWNEELQSCREFPHKTLQERILRGRALYKVTCDFIDAAIKGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLGYISKTLEPNLLANSRDAAGNCEDKVLCNSLRSTSSNKLPGATGNSGLCTSTNSDKEQKQDVPDLTTDASAEVQITDSEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSNSLLYGSVDNGKKICWNESFHSKVVEAAKRLHLKEHTVLDGSGNAVKLAAPIECKGIVGSDDRHYLLDLMRVTPRDVNYIGPVHRFCVLRPELVASFCEAEVAEMSQSSARTTEKVPEAPNQDSTGADDSTDVHIKADEECASAPSVHSISSEEILLNPNVFTEFKLAGNPEEIAADEAIVRKAGSHLVDVVLPKFVQDLCSLEVSPMDGKTLADAFHAHGINIRYLGKVANMVKHLPHLWDMCSTEIVVRSTKHILKDLLRESEDHDLGPAITHFFNCFTGHVSPVGAEDSSDNIQSKAQKKAEENHQSPRKLIKGQMSWSHGEFSIKDHLAHMRLTSEGLWSRIQEFARFKYQFELPDDARTRVKTIAVIRNLCLKVGITIAARKYDLDASLPFQTSDILNLQPVVKHSVPICSEAENLMESGKARLAEGLLSEAYTLFSEAFSILQQVGSFLSCFLPVLSFGVLNFSYMLQITGPLHQDVASCCRYLAMVLYHAGDVPAAIVQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLSSGPDHPDVAATFINVAMMYQDIGNTKTALRYLQEALKKNERLLGPEHIQTAVCYHALAIAFNSMGAYKLSVQHEKKTHGILVKQLGEEDSRTQDSENWIKTFKLRQRQVNAQKQKRQALDSASALMAFNILKAYPELLQAFQVAARSGNASTTINKSVAGEALLPRGRRVDERAARAAAEVRKKAVARGILVRQNVNPVQRLPPLSQLLNIINSGSTPEAPTSDQAHEPKTEPSSGPVAPTSDQAHEPKTEPSSGPVSDGSAGASVANGSNHGDQVPVGLGTSLASLDLKKQKSKLKATL >Ma04_p37320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35296264:35296838:1 gene:Ma04_g37320 transcript:Ma04_t37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPISCLPTYKCHCCLWIKVQQTLRQRRKRMARCVRLFLFLLLVSLVVVAAPAFAARNLAHDVTPKDAMNQTSHNPNAYRYPPECGGYYRFDRPPRCP >Ma10_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27721637:27722294:-1 gene:Ma10_g15720 transcript:Ma10_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVLQEKKVIRIVKMDGEILRYRSPMKVQQVLDEFPGHAVSDALPVVTYLDPALCLRQGQLYYLLPPKKPAAAVETSVGEEGVIRIKLVITKQQLKDMLSKGGVSHGDMVSFLRGQGDRSGASEKERSMEWKPTLESIPEGNDFC >Ma02_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5932160:5934305:1 gene:Ma02_g00590 transcript:Ma02_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIIYLLTFLHVYYFFYNFISSKLYKYILQIQVPLPPDLDWYRSNFVQYNMSFQGLSLSNQWANWYTSFHVILDGMPCNASNLDCIYCLIFTFPFNLFSSVKCHIPLLLYTLIIQKIEKDSNFSFCSDKHRNRRKDIYLIFITFSVVLDGVDQCANQYIGAHFIGGKTVHSLFEVTFLLLVLYIRVLASRGVGNSENGDHYSLAKQSTSNSAKCSLLSSQPKLVKEVSVSKRERILDLMLRHIVMRMVLFELAELKD >Ma06_p16540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11196349:11199870:-1 gene:Ma06_g16540 transcript:Ma06_t16540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIAGDWTSRDASMMVDMESHHHQQQRQLHPPLQAQRRAPSSTCDLHPGETVTGFCASCLRERLAGLETPTAASGRKSTSALRSVFCKVTAGAAPSAGPSFLRRSKSFSFGRGGGGGGGGGAGDGFSAQRPPAAAFEPQRRSCDVRGRSTLWSLFHEDDRHRGPQSASTSTVAAASAGVANDVVCRNQGHSGPSVAPPVPETREEEVDDGGDEIRPVDPVVLVVGSSGEITEERQEGAEEKKAELKPMKDHIDRDSQQQAKKPPSKDLKEIASSFWLAASVFSKKLQKWGRRQKLKKQGGDAAMPAEKPAKTSRRFRDTQSEVAVDASGRRSCDTDPRFSLDAGRMSFDDPRFSWDEPRASWDGYLFGGRSVLPRLPPMLSVVEDAPAPAVQRSDYLIPVEEDAAIPGGSTQTRDYYLDSSSRRRRSLDRSSSVREQPVETSEPKPVSNGKVSPANGMDFLHFHHDTLLDRDVKDWSSNSLRDDYSGSFESAFRDPHRGAAAKKSRRWSKAWNIWGFIQRRNSGRGETNMVERSLSETWPELGSKSFSSKILRSNSSVSFRSSFSGSAGFGGTKNSSTKPNGNHKNRRDEFGLERNRSARYSPNHVDNGMLRFYLTPMRNSRRTGVSASGRQIPSQYFTRSMLGLH >Ma06_p16540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11196700:11199870:-1 gene:Ma06_g16540 transcript:Ma06_t16540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIAGDWTSRDASMMVDMESHHHQQQRQLHPPLQAQRRAPSSTCDLHPGETVTGFCASCLRERLAGLETPTAASGRKSTSALRSVFCKVTAGAAPSAGPSFLRRSKSFSFGRGGGGGGGGGAGDGFSAQRPPAAAFEPQRRSCDVRGRSTLWSLFHEDDRHRGPQSASTSTVAAASAGVANDVVCRNQGHSGPSVAPPVPETREEEVDDGGDEIRPVDPVVLVVGSSGEITEERQEGAEEKKAELKPMKDHIDRDSQQQAKKPPSKDLKEIASSFWLAASVFSKKLQKWGRRQKLKKQGGDAAMPAEKPAKTSRRFRDTQSEVAVDASGRRSCDTDPRFSLDAGRMSFDDPRFSWDEPRASWDGYLFGGRSVLPRLPPMLSVVEDAPAPAVQRSDYLIPVEEDAAIPGGSTQTRDYYLDSSSRRRRSLDRSSSVREQPVETSEPKPVSNGKVSPANGMDFLHFHHDTLLDRDVKDWSSNSLRDDYSGSFESAFRDPHRGAAAKKSRRWSKAWNIWGFIQRRNSGRGETNMVERSLSETWPELGSKSFSSKILRSNSSVSFRSSFSGSAGFGGTKNSSTKPNGNHKNRRDEFGLERNRSARYSPNHVDNGMLRFYLTPMRNSRRTGVSASGRQIPSQYFTRSMLGLH >Ma06_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11197495:11199870:-1 gene:Ma06_g16540 transcript:Ma06_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIAGDWTSRDASMMVDMESHHHQQQRQLHPPLQAQRRAPSSTCDLHPGETVTGFCASCLRERLAGLETPTAASGRKSTSALRSVFCKVTAGAAPSAGPSFLRRSKSFSFGRGGGGGGGGGAGDGFSAQRPPAAAFEPQRRSCDVRGRSTLWSLFHEDDRHRGPQSASTSTVAAASAGVANDVVCRNQGHSGPSVAPPVPETREEEVDDGGDEIRPVDPVVLVVGSSGEITEERQEGAEEKKAELKPMKDHIDRDSQQQAKKPPSKDLKEIASSFWLAASVFSKKLQKWGRRQKLKKQGGDAAMPAEKPAKTSRRFRDTQSEVAVDASGRRSCDTDPRFSLDAGRMSFDDPRFSWDEPRASWDGYLFGGRSVLPRLPPMLSVVEDAPAPAVQRSDYLIPVEEDAAIPGGSTQTRDYYLDSSSRRRRSLDRSSSVREQPVETSEPKPVSNGKVSPANGMDFLHFHHDTLLDRDVKDWSSNSLRDDYSGSFESAFRDPHRGAAAKKSRRWSKAWNIWGFIQRRNSGRGETNMVERSLSETWPELGSKSFSSKILRSNSSVSFRSSFSGSAGFGGTKNSSTKPNGNHKNRRDEFGLERNRSARYSPNHVDNGMLRFYLTPMRNSRRTGVSASGRQIPSQYFTRSMLGLH >Ma10_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24445617:24449676:-1 gene:Ma10_g10560 transcript:Ma10_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRANEGLGLAAISYVAVDYLRYVSPSWHGRLQPALWAVLALAAACRAPFYRHWPLELRAAIPFLASLVFMLSALLCEALSVRFVTAVLGLDWHTSSPPLPDSGQWLLLFLNEKLPQVVVEVLRAHIIGLHHFLMLFVMLAFSVVFNSVKAPGLGLAARYMFTMAIGRLLRAVTFVSTILPSVRPWCAEVRFPVPYHPHPWVQKYYMPYASNSDAIRKLIQTDMPYATIGEYPGEYRPNWGRMNFLIDILRPTVSEGSSWYQLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGWTSALTWILVLHSAQREIRERHHYTVDCIVAIYVGILLWRMTGFIWSAKDATKTKRLAKLDEVQSRLIHAAKDSDIDEIRDLLKEVERAGQERRGSSQWAIWLFAGTTITVSLIMVLLAFTLTSDG >Ma06_p10610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7324905:7328170:1 gene:Ma06_g10610 transcript:Ma06_t10610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSLVRELKEMRDGMGSTPRRGGGGVGGGAPATEGMMYGHSRGDARHQWSGRLEPEQQQGRWANLPPELLLDVIRRVEESEVLWPARRHVLACAAVCRSWRDITKEVVKTPEQCGRITFPISLKQPGPRDTPIQCFIRRERATSTFLLFLGLSPSLQGQNDKLLLAARKIRRATSTDFVISLTADDFSRASSSYIGKVRSNFLGTKFTVYDSQPPHDAAMSSSNRSTRRIHSKQVSPRVPAVNYGIASISYELNVLRTRGPRRIQCTMRSIPISSIQEGGSVPTPTSFLHPIISQRVCCSLAVAKGKQPAIDDVSSTPASAPVRTNGEPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVVPSYGVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >Ma06_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7324905:7328170:1 gene:Ma06_g10610 transcript:Ma06_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSLVRELKEMRDGMGSTPRRGGGGVGGGAPATEGMMYGHSRGDARHQWSGRLEPEQQQGRWANLPPELLLDVIRRVEESEVLWPARRHVLACAAVCRSWRDITKEVVKTPEQCGRITFPISLKQPGPRDTPIQCFIRRERATSTFLLFLGLSPSLQGQNDKLLLAARKIRRATSTDFVISLTADDFSRASSSYIGKVRSNFLGTKFTVYDSQPPHDAAMSSSNRSTRRIHSKQVSPRVPAVNYGIASISYELNVLRTRGPRRIQCTMRSIPISSIQEGGSVPTPTSFLHPIISQRVCCSLAVAKGKQPAIDDVSSTPASAPVRTNGEPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVVPSYGVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >Ma04_p37550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35410225:35412123:-1 gene:Ma04_g37550 transcript:Ma04_t37550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFCCPFCNHGSSVECHIDMKNLIGEASCRICLESFSTTVTDTANGLTNAKGSTIRKTMVLDHRGLWMYI >Ma04_p37550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35410212:35412135:-1 gene:Ma04_g37550 transcript:Ma04_t37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFCCPFCNHGSSVECHIDMKNLIGEASCRICLESFSTTVTALTEPIDIYSEWIDECERVNNPEDDGA >Ma10_p12320.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25481622:25491487:1 gene:Ma10_g12320 transcript:Ma10_t12320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADSAEGPGQWESHMVKEQKDKFNLEHQLEPETITGMSTTLWKADDHDSTFRSDLLSQSASSIMESKDQSGCLYENGLFSSSLSDIFAKKLRLSSSNVAFCTSVDENHFNLGEDEPFESMEEIEAQTIGNLLPDDDDLLSGVIDDLGFVARPNSGDEIDDDIFYSGGGMELEPDDDTNVSKGLEFVGGGASNGQQVGPNGTIAGEHPFGEHPSRTLFVRNINSNVEDAELRVLFEQYGDIRTIYTACKHRGFVMISYYDIRAARNAMKALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDLSVSNDDLRQIFGPYGEIKEIRETPHRRHHKFIEFYDVRAAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQFSPELELEESNGWKHSPSHSPSGCFGSASLGAITPNGLENGGIQSIHAAVCTTNNPFMETPHHGISSSVPQNLSSAVRVASVGSHSNQAAHADLSHSLNQMNFGFQGMPSFHPQSLPDFQNGVTSGLPYKSSNPVSAMGTKINSRPAEGTDNRHLHKVGSGSFNSHYFDNSEGSIGVPGNGSCPLHGHSYIWNNSNSFHQEPPSHMLWSSLSSFMNNIPAHAPSQMNGLSRAPSHMLNTVLPLHHVGSAPQVNPSLWDRRHGYAGDFTDPHAFHPGSVGSMSFSDSPQLHPLELASRGIFPRASGNCIGPSVSPAHVGIPSPQQRCQIFNGRNPIITMPGALDGPNDRMKSRRNDANSGQADNKKLYELDIERIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTKPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGVNIRSRSGRSRIVSGSEENHQGSPSTSANVEASRDAAGSPPGSIKDLE >Ma10_p12320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25481622:25491487:1 gene:Ma10_g12320 transcript:Ma10_t12320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADSAEGPGQWESHMVKEQKDKFNLEHQLEPETITGMSTTLWKADDHDSTFRSDLLSQSASSIMESKDQSGCLYENGLFSSSLSDIFAKKWEDEPFESMEEIEAQTIGNLLPDDDDLLSGVIDDLGFVARPNSGDEIDDDIFYSGGGMELEPDDDTNVSKGLEFVGGGASNGQQVGPNGTIAGEHPFGEHPSRTLFVRNINSNVEDAELRVLFEQYGDIRTIYTACKHRGFVMISYYDIRAARNAMKALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDLSVSNDDLRQIFGPYGEIKEIRETPHRRHHKFIEFYDVRAAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQFSPELELEESNGWKHSPSHSPSGCFGSASLGAITPNGLENGGIQSIHAAVCTTNNPFMETPHHGISSSVPQNLSSAVRVASVGSHSNQAAHADLSHSLNQMNFGFQGMPSFHPQSLPDFQNGVTSGLPYKSSNPVSAMGTKINSRPAEGTDNRHLHKVGSGSFNSHYFDNSEGSIGVPGNGSCPLHGHSYIWNNSNSFHQEPPSHMLWSSLSSFMNNIPAHAPSQMNGLSRAPSHMLNTVLPLHHVGSAPQVNPSLWDRRHGYAGDFTDPHAFHPGSVGSMSFSDSPQLHPLELASRGIFPRASGNCIGPSVSPAHVGIPSPQQRCQIFNGRNPIITMPGALDGPNDRMKSRRNDANSGQADNKKLYELDIERIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTKPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGVNIRSRSGRSRIVSGSEENHQGSPSTSANVEASRDAAGSPPGSIKDLE >Ma10_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25481622:25491487:1 gene:Ma10_g12320 transcript:Ma10_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADSAEGPGQWESHMVKEQKDKFNLEHQLEPETITGMSTTLWKADDHDSTFRSDLLSQSASSIMESKDQSGCLYENGLFSSSLSDIFAKKLRLSSSNVAFCTSVDENHFNLGEDEPFESMEEIEAQTIGNLLPDDDDLLSGVIDDLGFVARPNSGDEIDDDIFYSGGGMELEPDDDTNVSKGLEFVGGGASNGQQVGPNGTIAGEHPFGEHPSRTLFVRNINSNVEDAELRVLFEQYGDIRTIYTACKHRGFVMISYYDIRAARNAMKALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDLSVSNDDLRQIFGPYGEIKEIRETPHRRHHKFIEFYDVRAAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQFSPELELEESNGWKHSPSHSPSGCFGSASLGAITPNGLENGGIQSIHAAVCTTNNPFMETPHHGISSSVPQNLSSAVRVASVGSHSNQAAHADLSHSLNQMNFGFQGMPSFHPQSLPDFQNGVTSGLPYKSSNPVSAMGTKINSRPAEGTDNRHLHKVGSGSFNSHYFDNSEAIGVPGNGSCPLHGHSYIWNNSNSFHQEPPSHMLWSSLSSFMNNIPAHAPSQMNGLSRAPSHMLNTVLPLHHVGSAPQVNPSLWDRRHGYAGDFTDPHAFHPGSVGSMSFSDSPQLHPLELASRGIFPRASGNCIGPSVSPAHVGIPSPQQRCQIFNGRNPIITMPGALDGPNDRMKSRRNDANSGQADNKKLYELDIERIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTKPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGVNIRSRSGRSRIVSGSEENHQGSPSTSANVEASRDAAGSPPGSIKDLE >Ma10_p12320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25481620:25491487:1 gene:Ma10_g12320 transcript:Ma10_t12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRIMDQSRLSPFVRNSEASSFFSEELRFPTERQVGFWKPESVPDHDGVQELTLVSRNQSVSSSPLNKFHMPGADSAEGPGQWESHMVKEQKDKFNLEHQLEPETITGMSTTLWKADDHDSTFRSDLLSQSASSIMESKDQSGCLYENGLFSSSLSDIFAKKLRLSSSNVAFCTSVDENHFNLGEDEPFESMEEIEAQTIGNLLPDDDDLLSGVIDDLGFVARPNSGDEIDDDIFYSGGGMELEPDDDTNVSKGLEFVGGGASNGQQVGPNGTIAGEHPFGEHPSRTLFVRNINSNVEDAELRVLFEQYGDIRTIYTACKHRGFVMISYYDIRAARNAMKALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDLSVSNDDLRQIFGPYGEIKEIRETPHRRHHKFIEFYDVRAAEAALRALNRSDIAGKKIKLEPSRPGGARRLMQQFSPELELEESNGWKHSPSHSPSGCFGSASLGAITPNGLENGGIQSIHAAVCTTNNPFMETPHHGISSSVPQNLSSAVRVASVGSHSNQAAHADLSHSLNQMNFGFQGMPSFHPQSLPDFQNGVTSGLPYKSSNPVSAMGTKINSRPAEGTDNRHLHKVGSGSFNSHYFDNSEGSIGVPGNGSCPLHGHSYIWNNSNSFHQEPPSHMLWSSLSSFMNNIPAHAPSQMNGLSRAPSHMLNTVLPLHHVGSAPQVNPSLWDRRHGYAGDFTDPHAFHPGSVGSMSFSDSPQLHPLELASRGIFPRASGNCIGPSVSPAHVGIPSPQQRCQIFNGRNPIITMPGALDGPNDRMKSRRNDANSGQADNKKLYELDIERIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTKPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGVNIRSRSGRSRIVSGSEENHQGSPSTSANVEASRDAAGSPPGSIKDLE >Ma00_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:207765:215303:-1 gene:Ma00_g00210 transcript:Ma00_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRRLYDVCKISFSDNGPLSAEALEHVRSVLDDIKPSDVGLEDEARIARGWNVSTHGSNGRKGRNGNNQYLPPIKYLHIHECESFSIGIFCMPPSSVIPLHNHPGMTVLSKLLYGTMLVKSYDWVDTVEPIDPSKARPARLVRDAQMSAPCGTTILHPTSGGNIHSFKAITPCALFDVLSPPYSSKDGRDCSYFKMSSKKDLSGVLPSAIRSSEVAWLEECQPPESFIIRRGPYRGQIVDTR >Ma01_p12430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9030214:9039722:1 gene:Ma01_g12430 transcript:Ma01_t12430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQIKPRDVCVVGIARTPMGGFLGALSSLSATQLGSIAIKHALARANLDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTINKVCASGMKAAMLAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTIVDGMLKDGLWDVYNDFAMGNCAELCAVHHSITREEQDAYAIKSNERGIAARDSGAFTWEIAPVEVSAGRGKPSVVIDKDESLEKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLQVVAKIRGYADAAQAPELFTTTPALAIPKAISSAGLEASQVDYYEINEAFSVVALANQKLLSLPSERVNVHGGAVSLGHPLGCSGARILVTLLGVLRHRSGTIGVAGVCNGGGGASALVLELVSHAGLMRSLL >Ma01_p12430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9030420:9039722:1 gene:Ma01_g12430 transcript:Ma01_t12430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQIKPRDVCVVGIARTPMGGFLGALSSLSATQLGSIAIKHALARANLDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTINKVCASGMKAAMLAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTIVDGMLKDGLWDVYNDFAMGNCAELCAVHHSITREEQDAYAIKSNERGIAARDSGAFTWEIAPVEVSAGRGKPSVVIDKDESLEKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLQVVAKIRGYADAAQAPELFTTTPALAIPKAISSAGLEASQVDYYEINEAFSVVALANQKLLSLPSERVNVHGGAVSLGHPLGCSGARILVTLLGVLRHRSGTIGVAGVCNGGGGASALVLELVSHAGLMRSLL >Ma01_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9030232:9039722:1 gene:Ma01_g12430 transcript:Ma01_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLGALSSLSATQLGSIAIKHALARANLDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTINKVCASGMKAAMLAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTIVDGMLKDGLWDVYNDFAMGNCAELCAVHHSITREEQDAYAIKSNERGIAARDSGAFTWEIAPVEVSAGRGKPSVVIDKDESLEKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLQVVAKIRGYADAAQAPELFTTTPALAIPKAISSAGLEASQVDYYEINEAFSVVALANQKLLSLPSERVNVHGGAVSLGHPLGCSGARILVTLLGVLRHRSGTIGVAGVCNGGGGASALVLELVSHAGLMRSLL >Ma01_p12430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9030214:9039722:1 gene:Ma01_g12430 transcript:Ma01_t12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQIKPRDVCVVGIARTPMGGFLGALSSLSATQLGSIAIKHALARANLDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTINKVCASGMKAAMLAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTIVDGMLKDGLWDVYNDFAMGNCAELCAVHHSITREEQDAYAIKSNERGIAARDSGAFTWEIAPVEVSAGRGKPSVVIDKDESLEKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLQVVAKIRGYADAAQAPELFTTTPALAIPKAISSAGLEASQVDYYEINEAFSVVALANQKLLSLPSERVNVHGGAVSLGHPLGCSGARILVTLLGVLRHRSGTIGVAGVCNGGGGASALVLELV >Ma01_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:943416:947045:1 gene:Ma01_g01340 transcript:Ma01_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MADREAAGGDDDDDVVLASFLESEILSGDQEETSAARASKRPRIEEESSRQEIRNNASWLPPPPPLRPRQIETGIFSKIPPELFHHIFKFLSSEDLTSCALVCKFMSFAASDESLWRRLYCMRWGMDSSKGKFRACAWKKLYIQRDRDDMKGFVWNTPSEFREYYIQMQAAKRSQAPLPSQVDDRVMLDRTVADQVSIWKSRRGLTDDAAIGHICSGNTCSYSHIGDVFICERTGRVHVCDDACREVVVDRQSGGFVCTISGHCFDRLLSPEEELVTSENEQQQDGAVDEAEPFMGSGRFARAYLLGYNCDDEKELEAALKFC >Ma06_p36940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36168431:36171396:-1 gene:Ma06_g36940 transcript:Ma06_t36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTNFSWIRPDNIPLEALLTLRLGSKPSLRSRRKETRRQLAPTMDPRMWHKVAAISGVAAIGLGTYGAHGFKPKNPSYEEVWRTASLYHLVHTAALVGAPIAKRPNIFGGLLTTGIVGFSGTCYTVAYLEDRKISFIAPYGGFAFLAAWASLLF >Ma02_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22794175:22800678:1 gene:Ma02_g14880 transcript:Ma02_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGEMAMVVSGKEAGKGQQQAAAMEAGKYVRYTPEQVEALERVYNECPKPSSLKRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHHQQNPTPQHPQRDANPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCLDVLTVIPAGNGGNIELIYMQTYAPTTLAVARDFWTLRYTTALEDGSLVICERSLTPATGGPAGPPAPNFVRAEMLPSGYLIRPCEGGGSMIHIVDHVDLDPWSVPEVLRPLYESPKILAQKTTIAALRYLTQIAQEISGEVPYGGGRQPAVLRAFSQRLSRGFNDAVNGFSDDGWSLLGSDGVEDVTIAINSSPNKLLGSHANPSALYSTLGGGILCAKASMLLQNVPPAILVRFLREHRSEWADCGVDAYSAASLRANPYAVPGVRASTGFSGSQVILPLAHTAEHEEFLEVIRLEGHGFNQDDVILSRDMYMLQLCSGVDESAVGACAQLVFAPIDESFDDDLPLLPSGFRVIPLDPKTDSPATTRTLDLASMLEIGSGATARSVNETASSTYNLRSVLTIAFQFTYENHLRDNVAAMARQYVRSVVASVQRVAMAIAPSRPGCQIGVKHPPGSPEAHTLAQWISRSYRAHSGVELFQVDLQANDSLLKLLWHHPDAVMCCSLKASPVFTFSNQAGLDMLETTLIALQDLTLEKILDDNGRKVLCSEFPKIMQQGFSYLPAGICLSSMGRPVSYEQAVTWKVLNEEDSPHCLAFMFVNWSFV >Ma05_p29040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39866943:39870321:-1 gene:Ma05_g29040 transcript:Ma05_t29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGAKFWEVICDEHGIDGTGKYTGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPIGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLANPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEYEEEEEEMAE >Ma07_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9050292:9052934:-1 gene:Ma07_g12110 transcript:Ma07_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGWKKRGGGGGGGGEKKDLFHVIHKVPPGDSPYVRAKHLQLVEKDPDAAILWFWKAINGRDRVDSALKDMAVVMKQQNRAEEAVEAIRSFRHLCSKQAQESLDNLLIDLYKKCGRVEEQIELLKQKLHMIYLGEAFNGKTTKTARSHGKKFQINIKQETARILGNLGWAYMQQSNYDAAEVVYRKAQMIEPDANKACNLGLCLVRQGRYHDAHHVIEDVIHRRFSGSDDWKTIKKAEELMHEIDLRPATSTLEIDFGQEEEIMGRIDLLMSDWSPFRSRRLPIFEEISTFRDQIAC >Ma03_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23593391:23595531:-1 gene:Ma03_g18010 transcript:Ma03_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVQQIWKMFHEENDGIELIKEQPVYINAQGALGSKFARMIGLNSSRSNCNWGTAYGYAIPVLQRAAVRILSQPCSSYWFKWNWSTFENIYAKNHTKMELKKLND >Ma05_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36092199:36095880:1 gene:Ma05_g23910 transcript:Ma05_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSFLLFPPPAHDSSPSSADQPNSNCSSSSSSSLIRANSSPLPPLQPLLLLPPQQFHYFRQQQHQQEEQLLQLLYLQQQQQQQQQQQEEQDKMVRKRLASELDLQSDSPYGPARLSRRTTTGASSSSSANPTFLGDVLPGQPSPLFNPPSTLPVDVGQQSAAASVLLPVTTSSTPPGLLPSLPAPPPPALPSLAVCGFSGLPLFPPDDDRIALPSSNAEGLQLVSASAIGGGGGSSDDAGVGTAWVDGIIRDIINSSAGGEVSIPQIVNSVREIVHPCNPGLAALLEFRLQSLSSDPSPAAALHSLPNSAALDPSDKRRRDTRVAPPLPETVQAPKRANQAPPFTFAPAVAGGGWEEPTSRLQSAQQNPQPQQQPQPQPQPQRSSTSVSSDEATAATAAASAAAAAAARKREEMQQRKRDEEGLHLLTLLLHCAEAVAADNLEEANRLLLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGLYAPLPTVPHRHRLASAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDFDIMQGLQWPGLFHILASRPGGPPRVRLTGLGSSMDALEATGKRLSDFAETLGLPFEFVPVAEKVGNLDPERLGVSRREALAVHWLHHSLYDVTGSDTNTLWLLQRLAPKIVTMVEQDLSQAGSFLARFVEAIHYYSALFDSLGASYGEDSQERHIVEQQLLSREIRNVLAVGGPARTGEVKFSNWREKLSQSGFRGVSLAGNAAAQATLLLGMFPSDGYTLVEENGTLKLGWKDLCLLTASAWRPINHHQAAATSTLVGATR >Ma05_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2330855:2335063:1 gene:Ma05_g03280 transcript:Ma05_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGSKEGRRRRRLVFTYGTLKRGFANHGLMQDLIRTGDAAFVGTAHTAGRLPLVCGPYRVPFLLDLPGAGEHVFGELYAVSPRALARMDDLEGTRKGHYERLPISVVVDGEGQKEAEAEAYYAHRSYAGVMWRRCGEMGYKVYSEKEAKGYVRRTDRPHDITFLDQIRIFIASPQTYLLIGAD >Ma11_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17943546:17943836:1 gene:Ma11_g13530 transcript:Ma11_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFLEKTFYISGSEWPANLPITSKSDVYSFGMVLLDIVSGQRNFDVSDDTGRKKFSVCGCQELEKGNMKSTMDKRLAEQDVDMEQLKRALLVSF >Ma04_p33040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32862225:32865090:1 gene:Ma04_g33040 transcript:Ma04_t33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVVHQDHSLVATDHSLVIGQEFPDVETCRRTLKDIAIALHFELRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFSIRTLHGEHTCEGVRNLHHQQATVGWVARSVEARVRDNPQYKPKEILQDIREQHGVAVSYMQAWRGKERSLAALHGTLEDGYRLLPAYCEQIRKSNPGSIALVYATGQENCFHRLFISYRASIYGFLYACRPLLELDRAHLKGKYLGTLLCASAVDADDMLFPLAFAIVDIESDDNWMWFVTELRKLFGVNTDKMPMLTILSDRNQGIVQAVEYNFPNASHGFCLRHVSESFRDEFKNSKLVNLFWNAVYALTTAEFESIVNEMMEVQDVRPWFQRFPPSLWAVAYFEGVRYGHFTLGITEVLYNWALDGHELPVVQMMEHIRNQLTSWFNDRRLIGLSMTSVLVPSAEKHISEANADSLCYQVLRANKVEFEIVSTERTNIVDIQSRSCSCRRWQIYGIPCAHAAAALLSCGEDVRLYAQECFSVQKYREVYSQTIYPIPDRNLWKVSTEGMESGSGKSDIIIRPPKTRRPPGRPKKKVLRMESLKRPKRVVQCGRCHLLGHSQKKCTLQV >Ma08_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14708126:14716998:-1 gene:Ma08_g14760 transcript:Ma08_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPPCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAGNESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAINQHEAIQKFIQGTIADGAPVVPISAQLKYNIDVVCEYLVKKIPIPERNFISPPNMIVIRSFDVNKPGSEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVFVELEVNFFLLRRLLGVRTKGTEKQGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGGTLEVPPCPI >Ma08_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35617297:35619437:1 gene:Ma08_g21850 transcript:Ma08_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDRSKKRTHLWKKALLHFALCFVTGFFTGFAPTSTASLFSRHDASIRPATADSFNRSLMAEIPAETPAASERLGPATAHSSVNRSLTVETPAETPAASERLERGSERQLIVVTTTQSGDRLQGPLLRRLADTLKLVPPPLLWVVVQARAAAPETAEVLRRTGVVYRHLTFDANFTDPAAEADHQRNVALNHIEYHRLTGIVHFAGASNVYDLRFFQEMRQIEGFGAWPVALVSANRKRVVVEGPICNSSKVVGWLFRDLASDKIGLGSLLTDADMKAKPPRINISGFAFNSSILWDPERWGRATSVPDTSQDSIKFVREVLLEDETKLKGIPADCSKIMLWHLYIPRATNLPSHHQIQNASR >Ma03_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14868107:14877926:-1 gene:Ma03_g15110 transcript:Ma03_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTETSGTGESSESYGTSSASGRAGPQRPSERGRAAQQQGGGAGRGWAPPGPQQPQQGGRGGGGYYQGRGGRPQPRDVQQLGASSQYQGRGGPQPRGGMPPQQQYGGRRGGRGMAAGRGVGPSAAGPSRPPAPELHQATQAPYQATQTVPSQASSSRLVEISTTEVAEQFQHVSVQGVASSSQAIQPVVLPASSSKSVRFPVRPGKGTFGVKCVVKANHFFAELPDKDLHQYDVSITPEVTSRVVNRAVMEQLVKHHRESCLGGRLPAYDGRKSLYTAGPLPFTSREFQITLVDEDDGSGMERRQRTFRIVIKLAARVDLHHLEMFLAGRQADAPQEALQVLDIVLRELPTARYLPVGRSFYSPDLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVQSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVQYFQETYGFTIQHTNWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQDRELDIIETVHHNAYHEDPYAQEFGIKISEKLASVEARVLPAPWLKYHDTGREKDCLPRVGQWNMMNKKMVNGGRVNNWTCINFARNVQESVARGFCHELAQMCQISGMEFAREPVLPPLSARPDQVERALKARYHDAMSILQPQGKELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLMDALSRRIPLVSDQPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLESNYQPPVTFVVVQKRHHTRLFANNHNDDRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAAAGRGAPPGGPRSTRIPGSAAVKPLPALKENVKRVMFYC >Ma04_p31060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31487665:31489591:-1 gene:Ma04_g31060 transcript:Ma04_t31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol reductase [Source:Projected from Arabidopsis thaliana (AT5G42800) UniProtKB/TrEMBL;Acc:B1GV15] MAYFRCRPLTTSNPSVGEKGMVCVTGAAGFVGSWLIMRLLEHGYTVKATVRDPNNLRKVKHLLDLPKASTDLTLWKADLVDQGSFDDAVRGCVGVFHVATPMDFQCSDPENEVIKPAIDGMMNVLKSCAKAGTVRRVVFTSSAGTTCVHARRKEEYDENSWSDVEFCRAKKMTGWMYFVSKTLAEKAAWEFAEKNHLDFISIIPTLVNGPFIIPTMPPSMLSALALVTGNTPHYSILNPVQFVHLDDLCMAHIFLFEHPEAKGRYVCSSHDITISDLAKMLTERYPEYDIPTEFEGIDEVSDVIKFSSKKLTDLGFTFKYSMEDMFDGAIESCREKGLLPLTTKKEQVDGHN >Ma05_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21832491:21856324:-1 gene:Ma05_g17900 transcript:Ma05_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREGGMVMEEEVMVNRKVVLKHHLPPDRMPKESDMELVATDTVRLRVPSGSTAVLVKNLYLSCDPYMRSRMTKHDEPSYVPDFVPGTPISSHGVGKVLDSGHPDFKVGDYVWGMTGWEEYSLITSTEHLIKINHTDVPLSYYIGILGMPGLTAYAGFYEICSPKKGEYVFISAASGAVGQLVGQFAKLMGCYVVGSAGSDEKVNLLKNKLGFHEAFNYKKEPDLNKALKRCFPEGIDIYFENVGGAMLDAVLANMRLNGRIAVCGMISQYNLGKHDGVHNLLALIAKCIRMQGFLVSNYYKCYREFEEKVVQYMKEGKIVYIEDIAEGLENAPSALTGLFAGRNVGKQLIVVARE >Ma06_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4651297:4655423:-1 gene:Ma06_g06420 transcript:Ma06_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANGSTGDGVKMPEIKFTKLFINGCFVDAVSGKTFETRDPRTGGVIADIAAGDKEDVDLAVNAAREAFDHGKWPRMSGFERGRIMMKYADLIDQHAEELAAVECLDAGKLFLLNKILDVPGASNMVRYYAGAADKIHGETLKMSGEFQGYTLREPIGVVGHIIPWNFPTIMFFFKVAPALAAGCTMIVKPAEQTPLTALYYAHLAKQAGIPDGVLNVVTGFGHTAGAAITAHMDVDKVSFTGSTEVGRLVMEAAARSNLKSVSLELGGKSPVIIFDDADVDMAVDLARKAIFFNKGEICVAGSRVYVQEGIYDEFVRKIAESSRNWIVGDPFDAHVHQGPQVDKKQFEKVLKYIDHGKREGATVLTGGKPCGEKGFYIEPTIFTDVKDDMLIAKDEIFGPVMSLMKFKTIEEAIERANNTRYGLAAGIVTKDLNIANRVSRSIRAGIIWINCYFAFDDDCPFGGYKMSGFGRDSGMHALEKYLHVKSVVTPIYGSPWR >Ma04_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18456867:18457772:1 gene:Ma04_g17650 transcript:Ma04_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKITPSHWSNSSHHIRFRSFISSTNSFSPHPPPPAISIATTTVSSFITTSMATSPQASLFTPPAALPSSKPSAPRSLLPWKHTPLTAGAMSLRGASFRVSATEEKTEAAPEAPAGFTPPQLDPSTPSPIFGGSTGGLLRKAQVEEFYVITWDSPKEQVFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNMRSIGKNVSPIEVKFTGKQVYDL >Ma10_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16938142:16938372:1 gene:Ma10_g05600 transcript:Ma10_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTQTQEVSTSTPLIEDQIRSYKRIKEAFEAKYRGNTIGVIPRILFSYKYLEVECKDAAFRRALKDLSFCNELV >Ma02_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17773112:17774507:-1 gene:Ma02_g06900 transcript:Ma02_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMSCCGAEKVEQGVVSGGLNSSWRIFTYKELHAATNGFSDDRLLGEGGFGSVYWGKTTDGLQIAVKKLKSMNSKAEMEFAVEVEVLARVRHKNLLGLRGYCAATDQRLIVYDYMPNLSLLSHLHGQFAHEVRLDWRRRMNVILGSAEALVYLHHEVTPHIIHRDIKASNVLLDANFEPLVADFGFAKLVPEGVSHMTTRVKGTLGYLAPEYAMWGRVSDSCDVYSFGILLLELVSGRKPIEKLPGGMKRTIIEWAEPLISKGRFRELVDPRLRGNFDGAELRRVVEAAVLCIQGEAEQRPDMKEVVGILGGREVREPKTEVVRLKSIRYGEHLMTMDQNSDEGFEDSVDAGVGGGRRGGRIEDETNSYGVFGAMEMQEMHDPYVKP >Ma05_p23750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35904582:35904845:-1 gene:Ma05_g23750 transcript:Ma05_t23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGTTHGSNNGGRKLRTRARPLSHPLAIEEVLVQSIVEPNQT >Ma06_p28560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30208479:30212944:1 gene:Ma06_g28560 transcript:Ma06_t28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKERENFVYIAKLAEQAERYDEMVDAMKKVAKLDVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEEAKGNDHHVKSIKEYCQKVESELSNICTDIIALIDEHLIRSSSAGESSVFYYKMKADYYRYLAEFKFGDEKKEAAEHSLKAYQAATSTAEADLPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQSFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPDDGDDAIKESNGKPAAVEDAE >Ma10_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27328286:27329233:-1 gene:Ma10_g15110 transcript:Ma10_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYWKSKVFPTIKKVFDRNGKKAAAAEACKSFDESKEDISKEFEEKKTDLQPKVVEIYEASAVEIKTLVKKPTGSGLKKKSTVVIKFIEELVKIGNAFSVTDVIVVLR >Ma08_p06350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4287859:4292239:-1 gene:Ma08_g06350 transcript:Ma08_t06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPPVPPRQQTLVAAIDMGTNSFKLLVARVLPRGHLLALARLKEPVLLGRGRAADGSVAPDARLRAVAALRSFSLALRALDVRLARVVATAALRSAPNRDDLVTAVSAELGFQVDVLSGEEEARLVYLGVLQFLPLFHRTILVVDIGGGSTEFVVANKGKVLYATSLDLGHVSLTEFHERHGNFGDLRSYIRSVLNQSPLVDKVKELGFEIAVGSSGTIRSIERSIFLDGFDESMRRDFGREWRFSRDELGLLVEKLTSPNPSEVERAKRLGFRKRRREFIVAGAVLLLEIFETLGIDNIEVSGYALSEGVISEMLTNDRMDYDIGMNARWRSVVSLAMRFDGDNRMKSALHCVGIAKELFDGIRRSDELVDGNARLHEKDFEYMEAALLLHNIGLLMGMKGYHKRSYKIIKEVSFI >Ma08_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4288894:4292239:-1 gene:Ma08_g06350 transcript:Ma08_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPPVPPRQQTLVAAIDMGTNSFKLLVARVLPRGHLLALARLKEPVLLGRGRAADGSVAPDARLRAVAALRSFSLALRALDVRLARVVATAALRSAPNRDDLVTAVSAELGFQVDVLSGEEEARLVYLGVLQFLPLFHRTILVVDIGGGSTEFVVANKGKVLYATSLDLGHVSLTEFHERHGNFGDLRSYIRSVLNQSPLVDKVKELGFEIAVGSSGTIRSIERSIFLDGFDESMRRDFGREWRFSRDELGLLVEKLTSPNPSEVERAKRLGFRKRRREFIVAGAVLLLEIFETLGIDNIEVSGYALSEGVISEMLTNDRMDYDIGMNARWRSVVSLAMRFDGDNRMKSALHCVGIAKELFDGIRRSDELVDGNARLHEKDFEYMEAALLLHNIGLLMGMKGYHKRSYKIIKEVSFI >Ma02_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18621033:18623271:1 gene:Ma02_g08200 transcript:Ma02_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active7 [Source:Projected from Arabidopsis thaliana (AT5G24314) TAIR;Acc:AT5G24314] MALAAHHLIRCPPLATVAPALRTERLPRLCLIRGASDKSQDSGEGGNRRRIWRRRRLTKNDDKLRYKMDRIPFLEEQVRKIRENGKVMSMDIEKLMLSEENRFDFVNEVAAEATSYIEANRDEYGSKKAILHVLSNRMNEAGFERPEAYMEEDPFRPGPSYLKELDT >Ma03_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22319894:22320871:1 gene:Ma03_g17010 transcript:Ma03_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMISLDLSFNSLSGPIPRSYEGPSSCLTSMYLTNNKLNGRIPGWILNSDQNLSAMSDLKLLSCISKGYNHVMNSLSAVMFSYISFLGSPALGACQGNMQHSLYRSDLTGFHFYRIKSCLRRILPCSGEIFLPDFILLVNCGGGKVIMDGNEYEDDRYIRYIKHKMYVIEKKFMTGLKSNNIISDL >Ma10_p11290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24860709:24871715:-1 gene:Ma10_g11290 transcript:Ma10_t11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILRCKKLRDAATRAARNAAETRYRLHQCSAISTLRRRLVHASSSAPRRPGLLRHDRHKWDGGGADEFHTRRIRAEAHCPRCSKHMRVLFPNRFSPTVTGDGSGDYGAERHAVNLCPRCKTAYSFSPHELVPLQGTFVEIGRVRGPNPEKYRCESEENGIRAKNRFWKALRSFYGGDSPENWPAVPGPPEVHGSAVQPPPCSAFPMNSNVVRVAGPGGERGTDESGGGGGFGGLEGWGGSNLGKDMPTPKEIYEGLDKYVIGQEHAKKVLSVAVYNHYKRIYHASLQKSAGVDSENHAEGDDDDNVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFIIADATTLTQAGYVGEDVESILYKLLTVADFNVQAAQQGIVYIDEVDKITKKSESLNISRDVSGEGVQQALLKMLEGTIVNVPENGARKHPRGDNIQIDTKDILFICGGAFVNLEKTISERRQDSSIGFGASVRANMRTSWLTDVVTSSLLESVESGDLIAYGLVPEFVGRFPVLVSLSALSENQLVQVLMEPKNALGRQYKKLFSMNNLHFTDASLCLIAKKSMAKNTGARGLRAILETVLLDAMYEIPDVKTKDEDEPIDAVVVDEDAVGSVDRPGSGAKILRGDGALERYITDSKIKDRPVLEGVEVESEGESEDASRALGL >Ma10_p11290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24860709:24871715:-1 gene:Ma10_g11290 transcript:Ma10_t11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILRCKKLRDAATRAARNAAETRYRLHQCSAISTLRRRLVHASSSAPRRPGLLRHDRHKWDGGGADEFHTRRIRAEAHCPRCSKHMRVLFPNRFSPTVTGDGSGDYGAERHAVNLCPRCKTAYSFSPHELVPLQGTFVEIGRVRGPNPEKYRCESEENGIRAKNRFWKALRSFYGGDSPENWPAVPGPPEVHGSAVQPPPCSAFPMNSNVVRVAGPGGERGTDESGGGGGFGGLEGWGGSNLGKDMPTPKEIYEGLDKYVIGQEHAKKVLSVAVYNHYKRIYHASLQKSAGVDSENHAEGDDDDNVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFIIADATTLTQAGYVGEDVESILYKLLTVADFNVQAAQQGIVYIDEVDKITKKSESLNISRDVSGEGVQQALLKMLEGTIVNVPENGARKHPRGDNIQIDTKDILFICGGAFVNLEKTISERRQDSSIGFGASVRANMRTSWLTDVVTSSLLESVESGDLIAYGLVPEFVGRFPVLVSLSALSENQLVQVLMEPKNALGRQYKKLFSMNNVKLHFTDASLCLIAKKSMAKNTGARGLRAILETVLLDAMYEIPDVKTKDEDEPIDAVVVDEDAVGSVDRPGSGAKILRGDGALERYITDSKIKDRPVLEGVEVESEGESEDASRALGL >Ma05_p08210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6101671:6107454:-1 gene:Ma05_g08210 transcript:Ma05_t08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWMLCVILLWVPLASGASLNEEGRALLAFKERVEFDPYGALSNWDEAADNPCLWFGVECSDDGKVVVLKLNDLCLKGTLTPDIGKLIDVKSIFLHNNSFSGVIPGEIGRLQKLELLDLGCNNLSGPLPSELENILSLQVLVLRNNRFAYSQSPELYDPNDEELLSSNRQLVKRKVENATIRRLIQINGNPSPSDANGLPPPPGRQNDLNLNSNQAPSPSPSSTSPSNTKSSSPSAVPSPSATGEIPETHEVPSKKWVIFLIVGVLLIVVALSAAYVLRYLTQKSVTIMPWTTGLSGQLQKAFVTAGVPSLRRLELETACEQFSNIIGSLSNCSLYKGTLSSGVEIAVTSTIVTSAVEWSEEYEAHFKNQISTLSKVNHKNFMNLLGYCEEEEPFTRMMVFEYAPNGTLFEHLHIKEAEQLDWPARMRITMGIAYCLEHMEQLNPPLVLGNLSSSSIYLTEDYAAKISHLEFWNEDKEAGAESESSNPESIVYKYGILLLEIISGRLPFSEDDGLLVLWASSYLNRKRPLMDMVDRTLNSVREEDITELADVIVSCIDAAPEKRPTMAQVAGRMRMITAIQSEEASPRLSPLWWAELEIISQ >Ma05_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6101671:6107466:-1 gene:Ma05_g08210 transcript:Ma05_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWMLCVILLWVPLASGASLNEEGRALLAFKERVEFDPYGALSNWDEAADNPCLWFGVECSDDGKVVVLKLNDLCLKGTLTPDIGKLIDVKSIFLHNNSFSGVIPGEIGRLQKLELLDLGCNNLSGPLPSELENILSLQVLVLRNNRFAYSQSPELYDPNDEELLSSNRQLVKRKVENATIRRLIQINGNPSPSDANGLPPPPGRQNDLNLNSNQAPSPSPSSTSPSNTKSSSPSAVPSPSATGEIPETHEVPSKKWVIFLIVGVLLIVVALSAAYVLRYLTQKSVTIMPWTTGLSGQLQKAFVTGVPSLRRLELETACEQFSNIIGSLSNCSLYKGTLSSGVEIAVTSTIVTSAVEWSEEYEAHFKNQISTLSKVNHKNFMNLLGYCEEEEPFTRMMVFEYAPNGTLFEHLHIKEAEQLDWPARMRITMGIAYCLEHMEQLNPPLVLGNLSSSSIYLTEDYAAKISHLEFWNEDKEAGAESESSNPESIVYKYGILLLEIISGRLPFSEDDGLLVLWASSYLNRKRPLMDMVDRTLNSVREEDITELADVIVSCIDAAPEKRPTMAQVAGRMRMITAIQSEEASPRLSPLWWAELEIISQ >Ma03_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7290439:7291067:-1 gene:Ma03_g09830 transcript:Ma03_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSPCASCKLLRRRCTKDCIFAPYFPSDDPHKFSIVHRIFGASNVSKMLQELPVHQRADAVSSLVYEATARTKDPVYGCVGAISYLQNQVSQLQMQLAVAQTEILCAQMQQEPPMAEQQVEAYDNNLTGMPQLMNNASSSNLAQDQLKREFLWT >Ma03_p02280.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1548680:1555440:-1 gene:Ma03_g02280 transcript:Ma03_t02280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRSVDSSTANGDSNLHANKSGGENSGLPRQILSGADKRMYDHRPASYKSDLHPQQHFIDSSSEMIHVNPLDMSISSIPEHYSQVSSAPYQLIANFHSSILSENPYSSCIAAVQCPDSSAGSNVSHQASHSLSDYPSPEQEIDYGKDEIRLKLQELEQALLNDNDEDLVDSDQVVGIEDNWTEPIKDLLVPCSPKESSSDLSISCIGSNREPPTPKQLLFDCAAAISVGCMEEAQAIITELRQIVSIQGDPPQRLAAYMVEGLAARIASSGQGIYKALRCKEPPTSDRLSAMQILFEVCPCFKFGYMAANYTIVEALGDEEKVHIIDFDINQGSQYINLIQTLSTWPSKRPHLRISGVDDPESVQRAVGGLEIIGQRLEKLAEELGVPFEFQAIAAKTSDVTPEMLDCRSGEALVVNFAFQLHHMPDESVSTVNQRDQMLRMVKGLRPKLVTLIEQDMNTNTAPFFPRFVEVYNYHTAVFESLDATLPRDSTDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFKPCPFSTSVNGSIGALLKSYCDRYKAKEENGALYFGWEDKVLIVASAWK >Ma03_p02280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1548680:1555566:-1 gene:Ma03_g02280 transcript:Ma03_t02280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRSVDSSTANGDSNLHANKSGGENSGLPRQILSGADKRMYDHRPASYKSDLHPQQHFIDSSSEMIHVNPLDMSISSIPEHYSQVSSAPYQLIANFHSSILSENPYSSCIAAVQCPDSSAGSNVSHQASHSLSDYPSPEQEIDYGKDEIRLKLQELEQALLNDNDEDLVDSDQVVGIEDNWTEPIKDLLVPCSPKESSSDLSISCIGSNREPPTPKQLLFDCAAAISVGCMEEAQAIITELRQIVSIQGDPPQRLAAYMVEGLAARIASSGQGIYKALRCKEPPTSDRLSAMQILFEVCPCFKFGYMAANYTIVEALGDEEKVHIIDFDINQGSQYINLIQTLSTWPSKRPHLRISGVDDPESVQRAVGGLEIIGQRLEKLAEELGVPFEFQAIAAKTSDVTPEMLDCRSGEALVVNFAFQLHHMPDESVSTVNQRDQMLRMVKGLRPKLVTLIEQDMNTNTAPFFPRFVEVYNYHTAVFESLDATLPRDSTDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFKPCPFSTSVNGSIGALLKSYCDRYKAKEENGALYFGWEDKVLIVASAWK >Ma03_p02280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1548680:1555566:-1 gene:Ma03_g02280 transcript:Ma03_t02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRSVDSSTANGDSNLHANKSGGENSGLPRQILSGADKRMYDHRPASYKSDLHPQQHFIDSSSEMIHVNPLDMSISSIPEHYSQVSSAPYQLIANFHSSILSENPYSSCIAAVQCPDSSAGSNVSHQASHSLSDYPSPEQEIDYGKDEIRLKLQELEQALLNDNDEDLVDSDQVVGIEDNWTEPIKDLLVPCSPKESSSDLSISCIGSNREPPTPKQLLFDCAAAISVGCMEEAQAIITELRQIVSIQGDPPQRLAAYMVEGLAARIASSGQGIYKALRCKEPPTSDRLSAMQILFEVCPCFKFGYMAANYTIVEALGDEEKVHIIDFDINQGSQYINLIQTLSTWPSKRPHLRISGVDDPESVQRAVGGLEIIGQRLEKLAEELGVPFEFQAIAAKTSDVTPEMLDCRSGEALVVNFAFQLHHMPDESVSTVNQRDQMLRMVKGLRPKLVTLIEQDMNTNTAPFFPRFVEVYNYHTAVFESLDATLPRDSTDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFKPCPFSTSVNGSIGALLKSYCDRYKAKEENGALYFGWEDKVLIVASAWK >Ma03_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1548684:1555566:-1 gene:Ma03_g02280 transcript:Ma03_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRSVDSSTANGDSNLHANKSGGENSGLPRQILSGADKRMYDHRPASYKSDLHPQQHFIDSSSEMIHVNPLDMSISSIPEHYSQVSSAPYQLIANFHSSILSENPYSSCIAAVQCPDSSAGSNVSHQASHSLSDYPSPEQEIDYGKDEIRLKLQELEQALLNDNDEDLVDSDQVVGIEDNWTEPIKDLLVPCSPKESSSDLSISCIGSNREPPTPKQLLFDCAAAISVGCMEEAQAIITELRQIVSIQGDPPQRLAAYMVEGLAARIASSGQGIYKALRCKEPPTSDRLSAMQILFEVCPCFKFGYMAANYTIVEALGDEEKVHIIDFDINQGSQYINLIQTLSTWPSKRPHLRISGVDDPESVQRAVGGLEIIGQRLEKLAEELGVPFEFQAIAAKTSDVTPEMLDCRSGEALVVNFAFQLHHMPDESVSTVNQRDQMLRMVKGLRPKLVTLIEQDMNTNTAPFFPRFVEVYNYHTAVFESLDATLPRDSTDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFKPCPFSTSVNGSIGALLKSYCDRYKAKEENGALYFGWEDKVLIVASAWK >Ma03_p02280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1548680:1555566:-1 gene:Ma03_g02280 transcript:Ma03_t02280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRSVDSSTANGDSNLHANKSGGENSGLPRQILSGADKRMYDHRPASYKSDLHPQQHFIDSSSEMIHVNPLDMSISSIPEHYSQVSSAPYQLIANFHSSILSENPYSSCIAAVQCPDSSAGSNVSHQASHSLSDYPSPEQEIDYGKDEIRLKLQELEQALLNDNDEDLVDSDQVVGIEDNWTEPIKDLLVPCSPKESSSDLSISCIGSNREPPTPKQLLFDCAAAISVGCMEEAQAIITELRQIVSIQGDPPQRLAAYMVEGLAARIASSGQGIYKALRCKEPPTSDRLSAMQILFEVCPCFKFGYMAANYTIVEALGDEEKVHIIDFDINQGSQYINLIQTLSTWPSKRPHLRISGVDDPESVQRAVGGLEIIGQRLEKLAEELGVPFEFQAIAAKTSDVTPEMLDCRSGEALVVNFAFQLHHMPDESVSTVNQRDQMLRMVKGLRPKLVTLIEQDMNTNTAPFFPRFVEVYNYHTAVFESLDATLPRDSTDRMNVERQCLARDIVNIVACEGADRIERTQFRTPTKKVRGCRKVEGENDDGRVQTMSLQHQRQWINRSLVEIIL >Ma03_p02280.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1548680:1555566:-1 gene:Ma03_g02280 transcript:Ma03_t02280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRSVDSSTANGDSNLHANKSGGENSGLPRQILSGADKRMYDHRPASYKSDLHPQQHFIDSSSEMIHVNPLDMSISSIPEHYSQVSSAPYQLIANFHSSILSENPYSSCIAAVQCPDSSAGSNVSHQASHSLSDYPSPEQEIDYGKDEIRLKLQELEQALLNDNDEDLVDSDQVVGIEDNWTEPIKDLLVPCSPKESSSDLSISCIGSNREPPTPKQLLFDCAAAISVGCMEEAQAIITELRQIVSIQGDPPQRLAAYMVEGLAARIASSGQGIYKALRCKEPPTSDRLSAMQILFEVCPCFKFGYMAANYTIVEALGDEEKVHIIDFDINQGSQYINLIQTLSTWPSKRPHLRISGVDDPESVQRAVGGLEIIGQRLEKLAEELGVPFEFQAIAAKTSDVTPEMLDCRSGEALVVNFAFQLHHMPDESVSTVNQRDQMLRMVKGLRPKLVTLIEQDMNTNTAPFFPRFVEVYNYHTAVFESLDATLPRDSTDRMNVERQCLARDIVNIVACEGADRIERKVEGENDDGRVQTMSLQHQRQWINRSLVEIIL >Ma03_p02280.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1551268:1555566:-1 gene:Ma03_g02280 transcript:Ma03_t02280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRSVDSSTANGDSNLHANKSGGENSGLPRQILSGADKRMYDHRPASYKSDLHPQQHFIDSSSEMIHVNPLDMSISSIPEHYSQVSSAPYQLIANFHSSILSENPYSSCIAAVQCPDSSAGSNVSHQASHSLSDYPSPEQEIDYGKDEIRLKLQELEQALLNDNDEDLVDSDQVVGIEDNWTEPIKDLLVPCSPKESSSDLSISCIGSNREPPTPKQLLFDCAAAISVGCMEEAQAIITELRQIVSIQGDPPQRLAAYMVEGLAARIASSGQGIYKALRCKEPPTSDRLSAMQILFEVCPCFKFGYMAANYTIVEALGDEEKVHIIDFDINQGSQYINLIQTLSTWPSKRPHLRISGVDDPESVQRAVGGLEIIGQRLEKLAEELGVPFEFQAIAAKTSDVTPEMLDCRSGEALVVNFAFQLHHMPDESVSTVNQRDQMLRMVKGLRPKLVTLIEQDMNTNTAPFFPRFVEVYNYHTAVFESLDATLPRDSTDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFKPCPFSTSVNGSIGALLKSYCDRYKAKEENGALYFGWEDKVLIVASAWK >Ma09_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2560414:2568956:1 gene:Ma09_g03890 transcript:Ma09_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDEKPVGGGFFSAITSSVRNWGSAMHKSVNGLLGYEGLEVINPDGGTEDAEAEAQRGRWKQEDRDGYWKMMHKYIGSDVTSMVTLPVIIFEPMTMIQKMAELMEYCHLLDLADECEDPYMRLVYASSWAISIYFAYQRTWKPFNPILGETYEMANHGGLTFIAEQVSHHPPMSAAHAENEHFTYDITSKLKTKFLGNSLEIYPVGRTRVKLKKDGVILDLVPPHSKVNNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYSAEEEPKILMTGKWNESMSCQPCDQEGEPLPGTELKEVWRVAATPEKDKFQYTYFAHKINSFDTASKKLLASDSRLRPDRYALEKGDMSKAGAEKSSLEERQRAEKRNREAQGHQFTPRWFNMTSEVTPTPWGDIEVYEYNGRYTEHRARIDSSGSIDETDINTVEFNPWQYGDLSAQ >Ma04_p26660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28040878:28042092:-1 gene:Ma04_g26660 transcript:Ma04_t26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRRGPWTVEEDLVLIKYVTEHGDGQWNALARLAGLKRTGKSCRLRWLNYLRPDIRRGNITPDEQLLILELQSRWGNRWSKIARCLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSKTFRDATQHLWMPRLLERIRAASGSAPSADPPPYSLSQLLPPCPEKMAAVSSSSTSTSEDDSLLTQFSFFTTVQGGEMVQFDEQVLDVHGATGAGWTESPSTCPTNYGDFEQGGWASADLLSGDYMWSIEDLQ >Ma04_p15000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11358695:11365467:-1 gene:Ma04_g15000 transcript:Ma04_t15000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRMKRKSNKGRVRLCSARARYKFIKTSQSLASQGKTEMNTSIQFQQDGCLSSVVPSGYLLSTVPSDTEQSGSNVVVTGFNETSTKLWNLLSSDLLPVGRQGSPSATIPHELETIFSTKFEHGDSLLKPTNIFDEVNHGSANLPNLVADEGEDENRGFTDYQACTLLKFPSESVSWLPFDGSIDLSDVFCTHYEYSNCDIPIDAAEKCMMPPFLERTMETSDVLDSDSNQGTMTNSNDACFYLATHQEVDVNCPSDDLQVSEWFNQQLLSRTSPDFPQAVSSSCPNLSPKETQQRKPITLVLDLDETLVHSTLEPCDGADFTFPIILDVQTHTVYVRRRPFLQMFLERVAQMFEIVIFTASQSVYAAQLLDMLDPDHKIISKRMYRESCIFSEGSCTKDLGILGIDLAKVAIIDNSPQVFHLHVNNGIPIESWFDDPSDHALVQILPFLETLVGAEDVRPIIAQKFGTREEQQQQQQQLFC >Ma04_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11358695:11365445:-1 gene:Ma04_g15000 transcript:Ma04_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRMKRKSNKGRVRLCSARARYKFIKTSQSLASQGKTEMNTSIQFQQDGCLSSVVPSGYLLSTVPSDTEQSGSNVVVTGFNETSTKLWNLLSSDLLPVGRQGSPSATIPHELETIFSTKFEHGDSLLKPTNIFDEVNHGSANLPNLVADEGEDENRGFTDYQACTLLKFPSESVSWLPFDEKCMMPPFLERTMETSDVLDSDSNQGTMTNSNDACFYLATHQEVDVNCPSDDLQVSEWFNQQLLSRTSPDFPQAVSSSCPNLSPKETQQRKPITLVLDLDETLVHSTLEPCDGADFTFPIILDVQTHTVYVRRRPFLQMFLERVAQMFEIVIFTASQSVYAAQLLDMLDPDHKIISKRMYRESCIFSEGSCTKDLGILGIDLAKVAIIDNSPQVFHLHVNNGIPIESWFDDPSDHALVQILPFLETLVGAEDVRPIIAQKFGTREEQQQQQQQLFC >Ma09_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7048518:7049832:-1 gene:Ma09_g10350 transcript:Ma09_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIKVGTWGGNGGSEWDMGPAYRIDSVKINAGDIIDAIEITFTRYGLTETQHYGGTGGEPHEIAFEDGEYIMSMEGHVVDYFGLTIIGKLTLTTNRRTFGPFGAYEGTPFSIPVAEGKIAGFFGRAGSFIDAIGVYLMPN >Ma02_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28772876:28777700:1 gene:Ma02_g24000 transcript:Ma02_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVSKPAKDDALLLCKERIKYIKQAIDSRYALSAAHLSYIQSLRSVGAALRQFAEADLLVESSISTSEVEKSPSHSSYASPSPSRFVECAGSPSLSASPLSPQVSNVSYMRATGATAVRVTIDQSTTHFVEEDSITFPLPPPPPPSWDFFYPTDAAGSINSQAGECGRSVNVNVGRMRGLRQLKESEVVPLIEEEGITTCKKEMKEDNICLNRTDEEPQPVNLVEKRGDKVRGPKFASTSLTGSVDAIVRIASSEPCGSNMENTKLQKEICPEREDFSEFITHRAKDFVSSMRDIEHRFLRAAEAGYEVSRMLETKKIRLGISSETAGKPPAFRVLAALNHVCCTGETFPKHRDSSQNVTKVITWNRSLSSLSSSSKSPLAAATKDDVGDSSSDFIDEFSMISGSHSSSLERLHAWERKLYDEIKASEHIRKAYDQKCSQLRHQVAQDLSPRLIDKTRAVVKDLHSRVTVAIQSVDSISKRIENMRDEELLPQLVELIQGLIRMWKAMLECHHAQFITISLAYHAKTSTAATHREAYKQALIHLQHEIEYFSCSFSNWINAHKFYVEALSAWLQKCILQPRERRKGRRTIFPPRHAISPPIFVVCNDWLAGLKSVPAEELFDSIKSIVSVIHDSFEQPIEEKQIEKVPDEPEKTEVPENKEGNHCKRYLNLDNLQACLTRAFDWLTKFAEASMRVYEDVKQGNEIARVAYSNAGLR >Ma09_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35141784:35148525:1 gene:Ma09_g23240 transcript:Ma09_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDQTVISLRPGGGGSGSRGSRIFGTRFDSSASAGVPISLKTGDSRFESRECIHYTKDQLLQLREGVQTPEDILKLKQEIDAELFPENQIWGHSDANLASQSQSRYFEPESRDWRGRSGQSTSFGDERSWDTIRENKESVDSGHQEIRQLNKQGSQFSSNTQVSSIQAIGRTPALVKAEAPWSARRGNLSEKDRVLKTVKGILNKLTPEKFDVLKGQLIDAGITTPDILKGVITLIFEKAVFEPTFCPMYAQLCSDINEKLPLFPPEEEGGKEIAFKRILLNNCQEAFEGADSLRAELRKLTAPDQEMERRDKERMVKLRTLGNIHLIGELLKQKMVPEKIVHHVAQELLGHDGKTCPAEENVEAICQLFNTIGKQLDASPKSRHFNDAYFNRLKELASNPQLASRLRFMVRDVLDLRANNWVPRREEVKAKTISEIHTEAEKNLGLRPGATASMRNSRDISTLGGINTTGFPVNRPGIGGMMPGMPGMRKMPGMPGLDGDDWEVPRSKSMPRGDANRVRSSPVAKSSSINSKFLPQGSGNLISGKTSALLQGSGPPARSSTLVSGILDSPSPSSGSLRPVGQVPPAMIPERSVATTKLDPMELHKKTVALLEEYFHIRILDEALQCVEELKSPEYHPEVVKEAINLALDKGPSCVELLMKLLEHLLIKKVFTPRDLGTGCLLYGAMLDDVGIDLPKAPAFFGEVVGKLILVHGVDFKVVEEILKKVEDANFQSAIFDCVLRTLQPSPDGQPPLSEYAAEINACKKLIHLN >Ma05_p30230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40713212:40713785:1 gene:Ma05_g30230 transcript:Ma05_t30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLGLTCSDHPSMFCSIMASDSPRVLTQVFKWRKEVSHPFADGPPSCVVVRSDPPRPPPPPCPLPVESGKGSTHGPSRWSSKDLSAGEEEPAHTPRWSWRDQVSAGSLYLRCDGLRSFFFATSGSRNCCPVRFPALSWIETTRIVQKLSLHRECCYLRVVVSKISSVNVVL >Ma06_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6378229:6389767:1 gene:Ma06_g09040 transcript:Ma06_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIEESKNLFALLDAESKPFDEIVADYLSQFAREARFRVCSSLAVLLEDKVLLKPSQRLVAYAILHETYSSQPSSLNPFISLLVNAATDDALEEMEMAFIQLLLGSIGGNSNKEVMKQSAVDYIKGFDSSSYVLLQHEQLQKQHVDGLQSESYKSIFQAAAVKNVIPDPDVPLGFDANSSEPNLSLTGAKPRIGSEDRDSAIIGSLQNLSLEGLGPQWIRPVPPMLPVLDGELMWLNPDNNHELLWDYGMCADTSRGAAVRDLIAKALKGPLVPSQQEQVVLELAKDPKLVYHCGLTPLKLPELVEHNPLIAVEVLTKLMNSPEISEYFTVLVNMEMSLHSMEVVNRLTTAVNLPTEFVHMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNKIINVHDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >Ma10_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23297322:23301548:1 gene:Ma10_g09090 transcript:Ma10_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWRGRDEAVDDFDEYDPTPYGGGYDLSLTFGRPLPPSEETCYPISSAGSNVDYARPQYSSGSVPSAYGASDYGEPYGRPKPKPEPAYGFRPQQEVEGGGGVGDFGGYGGGRRPQPRPGFQDHGSEPGSGYGGSEEYGSGYGRGLPKRDEDSSYGYGYGQGQPKPSREEAGQGYGYGSKYQGGGEHGSGGGGYGGGNYGSGGGGGNYGSGGGGGYGGGSYGSGGGGYGSGDYGSGGGHKYQADESGYGSNPKPTYQRPVSGGDEEGTGRYNRPVYGRDEEGTERYNKPVYGGDEEESGRYNRPSRHDPNQGEGYGRPSYVNPAYGADQGEGYGRPSYGNDSDEEKKHRHHKHRHHHHEYADD >Ma01_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15492689:15493837:1 gene:Ma01_g19760 transcript:Ma01_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLDLHPTRPSSSCSTTACRGLFTATELAAAELLVQLSGSSASFSAAATAEDRASSSSPRSVNARPPPETTILPENEEEEETGPWRRNRRYRPIADLYAVTLPAVKRCGEQRRERKRRMKEN >Ma05_p28090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39191943:39193619:-1 gene:Ma05_g28090 transcript:Ma05_t28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPRELADRSKYCQFHRQHGHDTEQCYELKRQIEELILRGHLGQYLRPNKERSPRPEGPVERHIDVIAGGPASGGSSMSGRKTYARAAPDEASGREPEPEITFPTGAAERPDHDDALVISARVANARMRRIMVDTGSSADILYFCAFQKLGLARENLGPMSSALTGFTGDSVSPLGAITLPLTLGTPSKSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYYQTVKFPTREGVGEVTGSPQESRRCYLTSVSLGKRVRGEAPLEDPREAKKPAPHPGPGGSTVDVPLREARPDQTVKVGSELPEQEQEQHVGLLRENADIFAWSPSDMRGVDPEVAEHRLNIPLDARPVRQKPRRHAPDRQRAIQEEVNRLLAADFIEEAKYPRWLSNVVLVKKHNGSWRMCVDYTSLNSACPKDCYPLPKIDQLVDATAGHARLSFMDAYSGYNQIRMAPEDREHTTFLTDQGIYFYKVMLFGLKNAGATYQRTVNKMFAHQIGRNMEIYVDDMIVKSREAGTHLADLAEAFATLRKFGMRLNPAKCAFGVTSGKFLGFIVH >Ma10_p30330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36652100:36655310:-1 gene:Ma10_g30330 transcript:Ma10_t30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGASLLPHAQSLSSSSATAAQHCLPSLLRSPRWRPSHRPISAVHAAEPAKNPVKAKESPLVATRPGKWAVDSWTSKTALQLPEYPDKEELESVLQTIESFPPIVFAGEARHLEERLADAAVGKAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGAVLMFGGQMPVIRVGRMAGQFAKPRSESFEEKNGVKLPSYRGDNINGDAFEEKSRMPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYKELAHRVDEALGFMVAAGLTVDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPAELVNLIEILNPQNKPGRITVILRMGAANMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASRPLKLQNQPSTLPSLGL >Ma10_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31189555:31190661:-1 gene:Ma10_g21330 transcript:Ma10_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESRGCKGRPQLLDQWSVQESSSGKSNYETTEERNLELKLSSNSNSSNLGSSSCRQKDRTAYRGQVGALSGRASRRMAVGCELHAAVAAAAPSQGSGRSSPPAIHAELVDCEENLCSSLSCSMYSKMSEEQLCWFNVAASAPAVGWPPIRSFRKNLAGSVKASAESPGGSSEAVKKLENDKKSSFVKINMNGIPVGRKIDPKAYDSYEKLSLAVDELFRGLMTGTLQTSLFDMRKYGLIS >Ma07_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11360890:11368511:-1 gene:Ma07_g15130 transcript:Ma07_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSATRRLREIQSRTGNRTCVDCAQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDAWSEAQLKKMEAGGNDRLNGFLAQCGVPKETDIVVKYNTQAAAVYRDQIAAVADGRPWRDPPVVKETLGSGARKPPSGRSAGKGGNLAGNGSWDSWDGDDVTSSSDIRRNQSVGDFRAGSEGGRGVNPPSRSRSTVDIYTRAQLEASAANKEGFFSTKMAENASRPEGIPPSQGGKYVGFGSTPPPSAQRNNPQTDVLQDTFSIVSQGLGRLSFIATSAAQSAANVVQAGTKELTSKMIEGGYDQKVNETVNVVATKTTEIGQKTWGIMRGVMAMASQKVEEYAKEGINWNEDDWSWKESEKNGPYQKFGQNNKDWNSFEESSERHQNSVSSWDDWDEKARKKEPVKGTNGTDQEFGQNNKGWNSFESNSDKHHNSVSSWDDWNENERKKEPGKGTQSGSESWAGWDDVKDDDGYGTYNHSTPSGNTTNQNRKSGSTWTDGGFL >Ma08_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37940521:37945378:-1 gene:Ma08_g24900 transcript:Ma08_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPAPHLVRSLSFAASRLVPPSPAASFAVSRVQPFLFVRRSLRVRAITSTAGDVAEFEEMASGTKRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLSGGTLVPVDGLDAPLFALEINPEKAREEFRSASQKSGGTGVKDFMDSMGLGMLVEQLGELKLGELLDTPPPGLDEAIAISKVMQFVEAQEYNMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLKQKLASATSAIKSVFGQEEPKQDASDKLEQLRERMVKVRELFRDAESTEFVIVTIPTVMAVSESSRLHSSLKKENVPVKRLIVNQVLPPSSSDCKFCAMKRKDQMRALEMIQNDAELMGLKLIQAPLVDVEIRGVPALRFMGDIVWK >Ma09_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8963714:8964574:-1 gene:Ma09_g13280 transcript:Ma09_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLQRYRGVRQRHWGSWVSEIRHPVLKTRIWLGTFGTAEDAARAYDEAARLMCGSKARTNFPVDPNCSIPAPALSPGLMAKLEKCCSASREEGKRVEVVAPVGREEEAEVTRVDDEYIEEMIEELIYNGSLEISTSSSSSSSSSSSSSSYL >Ma03_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4973916:4979645:1 gene:Ma03_g07210 transcript:Ma03_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASIVSPGGVVVVILLLSAALCSASYFTEDVVLAGPCRDGCGGTHGRESNGSGSVGRDWRGEAEEEEEEEERDWRAEILGLARRPQAVEWVTAVRRRIHEHPELAYQEFETSRLIREELDRMGVEYRFPLAGTGVVATIGTGGLPFVALRADMDALPIQEAVEWKYKSKVPGKMHACGHDAHVAMLLGAAKILKAREHRLKGTVKLLFQPAEEAGIGAKRMIEDGALEDVEAIFAVHVSHERPTSVIGSRPGPLLAGCGFFHARIRGREGHAGNPHHSVDPILAASAVVISLQNIVSREANPLDSQVVSVASFNGGYNLDVIPESVTIGGTFRAFSNTSFYQLRRRIEEVIVEQSSVYRCAASVDFFEKERFYPPTVNEESMYEHLKKVATNMLGFDNFMVVPPMMGAEDFSFYSEVIPAAFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAMHAAIAERYLIEHG >Ma06_p27160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29193572:29203490:1 gene:Ma06_g27160 transcript:Ma06_t27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTTASTCWHPQGPAFGSGLGGSRGAASAAACSPSDPAQLRSARDDIRELLKTTFCHPILVRLGWHDAGTYNKSIEEWPRQGGANGSVRFDKELKHAANAGLVNALKLLQPVKDKYSGVTYADLFQLASATAVEEAGGPKIPMKYGRVDASGPEQCPEEGRLPAAGAPSPASHLRDIFYRMGLSDKDIVALSGAHTLGRASPERSGWGKPETKYTKDGPGRPGGQSWTPQWLKFDNSYFKEIKERRDADLLVLPTDASLFEDPSFKVYAEKYAVDQDAFFKDYAESHAKLSNQGAKFDPPEGISIEHH >Ma04_p06790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4944182:4955997:1 gene:Ma04_g06790 transcript:Ma04_t06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKPPMSDARAARSTSSSSRHTPLQIIHVIGNFMRIWSVYSLYHYLSHQGDSVVAFIFSCLVPASIIFLVLQKPWKGRPLPNSQIIPTVINGGIMALYFILWGKGLLSCGPLIALLAEYAGAVLGVLSAALYGRQVHIWKKVGGLVAMLASYYFLSKGWATRTNSPFYSFGKEPLVQAKQSLGFKEMAVPISAGILSALRRVIARRVSLKNQLKRRLHAICIASATCFLFPLAMWDTILGSTSDSIVKFQLPSWAYLSTVFFGIIFVFYADNIAEERLHLVFSSPRHLMISGGSIILMEILYQMDFSLIGFVTCSLILGFGIFEATSLGRSRRGPLESQDASEEAFQNQLQMSSLPS >Ma04_p06790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4944182:4955991:1 gene:Ma04_g06790 transcript:Ma04_t06790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKPPMSDARAARSTSSSSRHTPLQIIHVIGNFMRIWSVYSLYHYLSHQGDSVVAFIFSCLVPASIIFLVLQKPWKGRPLPNSQIIPTVINGGIMALYFILWGKGLLSCGPLIALLAEYAGAVLGVLSAALYGRQVHIWKKVGGLVAMLASYYFLSKGWATRTNSPFYSFGKEPLVQAKQSLGFKEMAVPISAGILSALRRVIARRVSLKNQLKRRLHAICIASATCFLFPLAMWDTILGSTSDSIVKFQLPSWAYLSTVFFGIIFVFYADNIAEERLHLVFSSPRHLMISGGSIILMEILYQMDFSLIGFVTCSLILGFGIFEATSLGRSRRGPLESQDASEEAFQNQLQMSSLPS >Ma04_p06790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4944182:4953240:1 gene:Ma04_g06790 transcript:Ma04_t06790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKPPMSDARAARSTSSSSRHTPLQIIHVIGNFMRIWSVYSLYHYLSHQGDSVVAFIFSCLVPASIIFLVLQKPWKGRPLPNSQIIPTVINGGIMALYFILWGKGLLSCGPLIALLAEYAGAVLGVLSAALYGRQVHIWKKVGGLVAMLASYYFLSKGWATRTNSPFYSFGKEPLVQAKQSLGFKEMAVPISAGILSALRRVIARRVSLKNQLKRRLHAICIASATCFLFPLAMWDTILGSTSDSIVKFQLPSWAYLSTVFFGIIFVFYADNIAEERLHLVFSSPRHLMISGGSIILMEILYQMDFSLIGFVTCSLILGFGIFEATSLGRSRRGPLESQDASEEAFQNQLQMSSLPS >Ma04_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4944182:4954088:1 gene:Ma04_g06790 transcript:Ma04_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKPPMSDARAARSTSSSSRHTPLQIIHVIGNFMRIWSVYSLYHYLSHQGDSVVAFIFSCLVPASIIFLVLQKPWKGRPLPNSQIIPTVINGGIMALYFILWGKGLLSCGPLIALLAEYAGAVLGVLSAALYGRQVHIWKKVGGLVAMLASYYFLSKGWATRTNSPFYSFGKEPLVQAKQSLGFKEMAVPISAGILSALRRVIARRVSLKNQLKRRLHAICIASATCFLFPLAMWDTILGSTSDSIVKFQLPSWAYLSTVFFGIIFVFYADNIAEERLHLVFSSPRHLMISGGSIILMEILYQMDFSLIGFVTCSLILGFGIFEATSLGRSRRGPLESQDASEEAFQNQLQMSSLPS >Ma07_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28253746:28267025:1 gene:Ma07_g20390 transcript:Ma07_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLTCGKLASWTIAAVLLQILGLSLFVIGFFPVKPTLPGFSGPESYRAPTCDPVLDAEERDLPSEKLRTLYKELSKVPPSYGRLVLMVIDGLPAEFVLGRGDKPPTKAMMEAMPYTQSLLSSGKARGYYAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQVLLDDNLLDQFKRIGWELVMHGDETWIKLFPRIFTRQDGVSSFYVKDTVEVDFNVSRHLDVELAAEDWNLLILHYLGLDHVGHIGGRHSTLMPAKLKEMDDVIKMIHMGSTVHHQNPDASTLLVVVSDHGMTNGGNHGGSSYEETDSLALFIGSGVESLEFSMNAHKAVHQVDIAPTLALLFGVPIPKNNIGVLLRGMFDTLTDEQRLRTLQLNSWQLLRLLQAHLPNFLCGDVTCISGEDNLQTDQSISSMKENLCYLYSRAISAHNAWLLHQISGLTSNDADDLGYAVNSYYDFLGNASEWLSHRATDKPINLLLSGIVIMLISCVLLLNTVFKLFKEVYITQGKYCSQPKEISQVWQVDEAFVLIGLVIHALSLGASSMVEEEQYTWHFLTSTLYLLFLLTTIRSLFKTAASDLMKMKKPEENLTLHLAVSNDESSYTTNNRSLPWTKLQKNHQIYPVLVVLIFGRILRGWHQGGINWVHLPDISKLLMQAGSFSIKALQIFSLLNFMLVGSFAFSLVRSTTHFVHIVRTSYFMSGCLVLLHIINSQTHNIGPTDSSATSVAQLFYICAGSAVLLTVLVSPWIYPVNHEASSNIGKFNLSSHAKDTSSIAESSTSSLTKEMISFYFQWGIGHCTYLIGTTCMVFWCLLQLLLQQPVNAIPLLVIFLQETASIIYFSSYGSLHKQWIEVVAMLFLGMAGHFGLGNSNSLATIDVAGAFIGISSHSTVLSGILMFIITHASPLLSFLSMLIYISLKDMNGLFPSNLRWSSILQLLIGFPCLLPLVLNSVVLVAFTITLLLMRNHLFVWSVFSPKYLYLCAATLCVYIGVLIIAATVVYTGVVLFVRTKNFNSKLQNFG >Ma01_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8284131:8288132:1 gene:Ma01_g11420 transcript:Ma01_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEEEEEEYASDLQSDRCGSYSPSADVSESESSGGLSDRAPLAAAAASAPFASSPLAALLPADPHLVLWEPKLEKRDTDFSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAALKKAMWRREMDWLLCVSDSIVELIPSIQDFPGGGTFEVMVSRPRADLHMNLPALKKLDAMLIGMLDGFQGTEFWYVDRGILVADADEDGSRSCPSSSFGRPSLRQEEKWWLPCPRVPPKGLSEVARKRLQQCRDCVNQILKAAMAINSGVLAEMVIPDVYNETLPKSGKSCLGEIIYHYITAEEFSPDCLLDCLDLSSEHHTLEIANRIEAAIHVWRLKSQKRHPQPKEKKTSWRGKVKGLVAVTRKSQFLAERAEGLLQSLKIQYPGLPQTVLDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDLIFVDDATKKCAAAEAVSIFNRGGMGGLPVQKRMSPSPFSIQNSPYASPFATPTFCSSTPITESPGRLQTSLSKRSIQFQQEGKMAKIISGDLEKLWSYTGNLSARKDAGDAPERD >Ma05_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25915568:25923822:-1 gene:Ma05_g19040 transcript:Ma05_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSRVLLFKDGGGGGGNALLTVLSEILVCPLSKQPLRHCPDSRSLVSDAVGVSFPIVNGIPCLVPKDGKLLEDQIKLKVDDSCIAKGES >Ma09_p31150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40990958:40992136:-1 gene:Ma09_g31150 transcript:Ma09_t31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIILVTALLALVSSLAWASDPSPLQDFCVADYDSNVFVNGFACKNPKDATADDFYFTGLDQTASTANRLGANITLVNAARLPGLNTLGVAMSRIDYAPFGLNPPHSHPHSSEILHVAEGTLYAGFVTSNNQQGNLLFAKKLKKGDAFVFPQGLIHFQFNVGDTDAVAFASFGSQSPGLVTVANALFGSHPSIPDYILAQAVQLDKTTVDWLQKQQWVDIAQEA >Ma08_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26028471:26029511:1 gene:Ma08_g17530 transcript:Ma08_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCMSTRKPHAVCIPYPAQGHVTPMMMLAKLLHSHGFHITFVNTQYNHRRLLRSKALSSADVLPDFRFETIPDGLPPSDEDATQDIPSLCESIQNNALPPFLDLLRQLNEGSPPVSCVVSDGVMSFTVDAATELSIPEVMFWTPSACGFMGYLQYKHLLERGLTPLKDESDITNGYLDMAVEWIPGLKNMRLKDLPTFIRTTDPDDIMLNYCNREAQRASMAKAVIMNTFDELEQPVLEAMAAMLPPIYT >Ma11_p08140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6495474:6499320:-1 gene:Ma11_g08140 transcript:Ma11_t08140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHAQLPEAVSANLEAPNPKDEPHASREDDLRQPIESHLPHIAIAHKPTTESPLHRHGYQPNSSEHRRAGRTSGESDNSMERSPLHPHYHVKAATRGGVSSKGSSVSSHALASNVAGRSRPRTGGRGDETPDKGSSVPKFGEWDESNPSSADGFTGIFNKVREEKKSGSAKATMITNDTIYVNDQDGSELLLWLVQKITSITRRFRASIL >Ma11_p08140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6495416:6499316:-1 gene:Ma11_g08140 transcript:Ma11_t08140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHAQLPEAVSANLEAPNPKDEPHASREDDLRQPIESHLPHIAIAHKPTTESPLHRHGYQPNSSEHRRAGRTSGESDNSMERSPLHPHYHVKAATRGGVSSKGSSVSSHALASNVAGRSRPRTGGRGDETPDKGSSVPKFGEWDESNPSSADGFTGIFNKVREEKKSGSAKATMITNDTIYVNDQDGSGGSLSCCFGWCKK >Ma11_p08140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6495474:6499320:-1 gene:Ma11_g08140 transcript:Ma11_t08140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHAQLPEAVSANLEAPNPKDEPHASREDDLRQPIESHLPHIAIAHKPTTESPLHRHGYQPNSSEHRRAGRTSGESDNSMERSPLHPHYHVKAATRGGVSSKGSSVSSHALASNVAGRSRPRTGGRGDETPDKGSSVPKFGEWDESNPSSADGFTGIFNKVREEKKSGSAKATMITNDTIYVNDQDGSELLLWLVQKITSITRRFRASIL >Ma11_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6495474:6499320:-1 gene:Ma11_g08140 transcript:Ma11_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHAQLPEAVSANLEAPNPKDEPHASREDDLRQPIESHLPHIAIAHKPTTESPLHRHGYQPNSSEHRRAGRTSGESDNSMERSPLHPHYHVKAATRGGVSSKGSSVSSHALASNVAGRSRPRTGGRGDETPDKGSSVPKFGEWDESNPSSADGFTGIFNKVREEKKSGSAKATMITNDTIYVNDQDGSELLLWLVQKITSITRRFRASIL >Ma11_p08140.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6495474:6499320:-1 gene:Ma11_g08140 transcript:Ma11_t08140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHAQLPEAVSANLEAPNPKDEPHASREDDLRQPIESHLPHIAIAHKPTTESPLHRHGYQPNSSEHRRAGRTSGESDNSMERSPLHPHYHVKAATRGGVSSKGSSVSSHALASNVAGRSRPRTGGRGDETPDKGSSVPKFGEWDESNPSSADGFTGIFNKVREEKKSGSAKATMITNDTIYVNDQDGSELLLWLVQKITSITRRFRASIL >Ma10_p11970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25304923:25306295:1 gene:Ma10_g11970 transcript:Ma10_t11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQPPHSYPQAPFHHLLQQQHQQLQMFWNYQRQEIEHATDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAISRTDIFDFLVDIVPREEIKEEALGLVGGSGEGGATAGVPYYYPPVGQPGPGVIMGQPAVAGIDPAIYVQQPAQAWQPLWHQGLPEDGNGHGLDGSGYAAAPPPPPPAPPSS >Ma10_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25304923:25306295:1 gene:Ma10_g11970 transcript:Ma10_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQPPHSYPQAPFHHLLQQQHQQLQMFWNYQRQEIEHATDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAISRTDIFDFLVDIVPREEIKEEALGLVGGSGEGGATAGVPYYYPPVGQPGPGVIMGQPAVAGIDPAIYVQQPAQAWQPLWHQGLPEDGNGHGLDGSGYAAAPPPPPPAPPSS >Ma07_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8089014:8089606:-1 gene:Ma07_g10900 transcript:Ma07_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAALISKRNPEGSTSENKWRTGARERAYRRRLLEALCRARGGSASGPRAVKEAADSALALTARGRSRWSRAILFGRGGGNLLLKARGSVLVRRGRPRMVAAPRPEGKRVRDNLRTLRWLVPGCRKVSTSSLLKESADYVAALEMQVKAMRGLFGV >Ma07_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29988618:29991651:-1 gene:Ma07_g21890 transcript:Ma07_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVVVSEVLDVIGGLQRNYLGRQEPPEIQLFSYDLPGSDFNYVFRSLGEYELGKVEEEKGNLQEPYYVVGVPGSFHGRLFPSRSVHFFPLFQQISTGSLMLPSSYSSQSPPFLPS >Ma08_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10104513:10108201:1 gene:Ma08_g12900 transcript:Ma08_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALFHGLLISSILLSASATGIGYSRCNCDGDSFWNVENIFQCQKASDFLIAAAYFSIPLELLYFATCSDLFPFKWIVFQFGAFIVLCGLTHLLNVFTYEQHSFLLMLSLTVSKFFTALVSFATAITLLTLIPQLLRVKVRENFLRLKARELNREVGMMKMQEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKTLGLQNCVVWMPDENKKEMQLTHQLRQRSSSDLYSRSISIDDPDILEIKETKGVKILGTGSVLGSASSGGMLESGAVAATRMPMLKVSNFKGGTPEDVQASYALLVLVLPRDASRVWSHQELEIVEVVADQVAVALSHAAVLEESQLMREKLVRQNRDLLQARQNTVRASEARNKFQVAMSQGMRRPIHSILGLLSMMQQDKLSPEQRLIIDTITKSSSVVSTLVNDVMEISTVNSERVSLVMRPFHLHSMIKEAITAARCLCDFRRFGFSFQVENEVPDQVVGDEKRIFHVILHMVGTVLNGCDEGSLMFRVMGYQGVSDKEDQAWVPWKSNFSDGYACVKFEVRLKGSETDKLSSSTVQSSRVPDSQGLEMGLTFRMFKRLVQMMQGNIWEAKTLQGQSESITLALQFQLQRLMAMPEARGSFELQSTSATPNFKGLRVLLAETDGINRAVTRKLLEKLGCHVSSVASGIQCLNSYGAPVTPFQLIMLDLHMPQTDAFEVATRIRKFRSRSWPLIVGLTASAEGDIWEKCLQSGMNGLIRKPVTLQSMGDELYRVLQNS >Ma04_p17010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17232281:17237131:-1 gene:Ma04_g17010 transcript:Ma04_t17010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKVNFIEYKREGGHREALVTRRYHLTERDDYKKYSSICRMVQKLVHNLKQMDPRDPFRIEMTDGLLEKLYNMGVISTKKSLAKCDKLSVSSFCRRRLATVLVYLKFAEHLREAVTYIEQGHVRVGPDVVTDPAFLVTRNMEDFVTWVDSSKIKRKVMEYNERLDDYDALNA >Ma04_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17232281:17236875:-1 gene:Ma04_g17010 transcript:Ma04_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKVNFIEYKREGGHREALVTRRYHLTERDDYKKYSSICRMVQKLVHNLKQMDPRDPFRIEMTDGLLEKLYNMGVISTKKSLAKCDKLSVSSFCRRRLATVLVYLKFAEHLREAVTYIEQGHVRVGPDVVTDPAFLVTRNMEDFVTWVDSSKIKRKVMEYNERLDDYDALNA >Ma09_p25750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37133326:37134516:1 gene:Ma09_g25750 transcript:Ma09_t25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWAIAVHGGAGVDPKLPEERQEEARRVLRRCLGVGAAGLRAGLPAVDVVEMVVRELETDPVFNSGRGSALTQEGTVEMEASIMDGRGRRCGSVSGLTTVKNPVSLARLVMDRSPHSYLAFHGAEDFAREQEVEVVDNSYFITDENVRMLKLAKEANRIVYDYRVPVPSADTCSASAGLVGATTDGGLQMNGLPISIYAPETVGCVAVDSGGWCAAATSTGGLMNKMSGRIGDSPLIGSGTYACGACAVSCTGEGEAIIRSTLARDVAALMEYKGLGLQEAVDYALKERLDEGKAGLIAVSRNGEVAYGFNTVGMFRGCATEDGFMDVAIW >Ma09_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35161944:35163830:1 gene:Ma09_g23260 transcript:Ma09_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPANAQESPPTNLSTSFLLLPSLRHPSSFRLASAMVGLPCHVPASKLSLLLHHVLLLPVIVVAAAAAAVDGVCCSHQNLLSVHELQWGDKLLPRSTSSGRTRSHTGTAMLEMRQQHQVSRHISNHDDYVSKLLIADEARVSSLQSRISNKLPQDSSGAQVPLVSGIKLQTLNYVVTIGVGGKNMTVIVDTGSDLTWVQCKPCFYCYSQQDPLFEPSASPSYQYVPCNSTACYSLQAATGSAGVCGADQSTCSYAIGYGDGSYSRGLLGRERIDVGGASIEGFTFGCGLRNHGLFGGTAGLMGLGRTQLSLVSQTTARFGGVFSYCLPTRMLSSSGSLVLGDDPAAYKNSTPISYTRMLSDPLQAPFYFLNLTDMSVGGVALEAAGFSNGRILIDSGTVITRLVPSVYQALKAEFVKQFSGYPPAPSFSILDTCFDLSAFEEVRVPRLRLGFEGGAEMTVDVTGIFYFVKRDASQVCLAMASLQYEDQTGIIGNYQQKNQRVVYDTVASRIGFAEESCG >Ma03_p29720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32585559:32590232:1 gene:Ma03_g29720 transcript:Ma03_t29720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKQFMPKEPNSLEGRMVEVGNLKLQVRNVIAEGGFSCVYLARDAVNPSKQYALKHMICQDEESLGLAMKEISVMKMLKGHPNVVVLIAHTILDMGRVKEVLLVMEFCEKSLVAVLENRGAGYFEEKQVLLIFRDVCNAVFAMHCQSPPIAHRDLKAENVLLGADGAWKLCDFGSTSTNHKCFDRPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPDLPKYGNSVTKLIKDMLQASPESRPDITQLWFRVNELLPVELQNDLPVSSTSASTAGMRSSPSGLQDDVAQRRTNLVPRRSPPPPPAKEHAQNISPPETQNSRSSWSASVGGGSGGPLGAFWSTQYAQDSQISEDKGPVFDEEPINQSKSKHNPTNHSREHRARTPPRHSVKSPEVGSSEDFEIRFSPNGSEYGPEKTKVSNHQTKSIYQDQAFNTFVAEFDTSKLNSGNAVSSASDKHRSVEKELEDELSRLKQELKQVNGEKEEMTSKCEKLSAICRSQRQEIQELKRAVAAASPSPPNKESKPQISPGSSQSDTPPREKIEGNLPELQRGLFPNNPSTPSPDPKPWSAFGDTMVQDTPKSSHPKSVRTIRGTNSNRNNIKQPSASSADEPWGFNQDSFRADSHSSQVPKPSFEGNTSQRFGGGGPGKVKAVETNRPSGWTGF >Ma03_p29720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32585559:32590232:1 gene:Ma03_g29720 transcript:Ma03_t29720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKQFMPKEPNSLEGRMVEVGNLKLQVRNVIAEGGFSCVYLARDAVNPSKQYALKHMICQDEESLGLAMKEISVMKMLKGHPNVVVLIAHTILDMGRVKEVLLVMEFCEKSLVAVLENRGAGYFEEKQVLLIFRDVCNAVFAMHCQSPPIAHRDLKAENVLLGADGAWKLCDFGSTSTNHKCFDRPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPDLPKYGNSVTKLIKDMLQASPESRPDITQLWFRVNELLPVELQNDLPVSSTSASTAGMRSSPSGLQDDVAQRRTNLVPRRSPPPPPAKEHAQNISPPETQNSRSSWSASVGGGSGGPLGAFWSTQYAQDSQISEDKGPVFDEEPINQSKSKHNPTNHSREHRARTPPRHSVKSPEVGSSEDFEIRFSPNGSEYGPEKTKVSNHQTKSIYQDQAFNTFVAEFDTSKLNSGNAVSSASDKHRSVEKELEDELSRLKQELKQVNGEKEEMTSKCEKLSAICRSQRQEIQELKRAVAAASPSPPNKESKPQISPGSSQSDTPPREKIEGNLPELQRGLFPNNPSTPSPDPKPWSAFGDTMVQDTPKSSHPKSVRTIRGTNSNRNNIKQPSASSADEPWGFNQDSFRADSHSSQVPKPSFEGNTSQRFGGGGPGKVKAVETNRPSGWTGF >Ma03_p29720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32585559:32590232:1 gene:Ma03_g29720 transcript:Ma03_t29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKQFMPKEPNSLEGRMVEVGNLKLQVRNVIAEGGFSCVYLARDAVNPSKQYALKHMICQDEESLGLAMKEISVMKMLKGHPNVVVLIAHTILDMGRVKEVLLVMEFCEKSLVAVLENRGAGYFEEKQVLLIFRDVCNAVFAMHCQSPPIAHRDLKAENVLLGADGAWKLCDFGSTSTNHKCFDRPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPDLPKYGNSVTKLIKDMLQASPESRPDITQLWFRVNELLPVELQNDLPVSSTSASTAGMRSSPSGLQDDVAQRRTNLVPRRSPPPPPAKEHAQNISPPETQNSRSSWSASVGGGSGGPLGAFWSTQYAQDSQISEDKGPVFDEEPINQSKSKHNPTNHSREHRARTPPRHSVKSPEVGSSEDFEIRFSPNGSEYGPEKTKVSNHQTKSIYQDQAFNTFVAEFDTSKLNSGNAVSSASDKHRSVEKELEDELSRLKQELKQVNGEKEEMTSKCEKLSAICRSQRQEIQELKRAVAAASPSPPNKESKPQISPGSSQSDTPPREKIEGNLPELQRGLFPNNPSTPSPDPKPWSAFGDTMVQDTPKSSHPKSVRTIRGTNSNRNNIKQPSASSADEPWGFNQDSFRADSHSSQVPKPSFEGNTSQRFGGGGPGKVKAVETNRPSGWTGF >Ma07_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3946743:3950374:-1 gene:Ma07_g05450 transcript:Ma07_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTAKKKSSRSKHSNTNSKHSKSNEHNPKVYDEDTTIFIDMARDLKEEGNMLFQKREFETALLKYEKATKLLPKNHIDLAYLHSNIAACYMEISPEDYHLAINECNLALKVSPNYSKALLKRARCFEVSNRLDLACKDVDLVLSSEPNNLTALEISERVKKEMEKKGVVLDDKPLFPLPESLTVKEKPRKKKNSHKSMKKLVDMGEKYAEVKEKPMKSMKLVFGEDIRLAQIPADCTMLQLREIVGSKFPSLKAVLIKYKDKEGDLVTITTSEELRWAEKSADSPGSVRLFIVEVSPEFEPLLEEAKNSSSRRKLDIENNSISESGNTRSDDDRVSSVYVDDWIVQFAQLFKNHVGFSSDASLNLHELGTKLYSEAVEETVTSEEAQEIFQLAEDKFQEMAALALFNWGNVHMSRARKRLSLPENASKESLLAQMKTAYEWAQTEYVKAGKCYDEALEIKPDFYEGRLALALQQFEQTKLSWYYAIGSKADLEKWPSSEVLELFNHAEDNTERGMEMWEQIEDQRLKGLSKPNKEKTLLQKMDLEDYFTEPSTDEAAEIASNMRSQINILWGTILYERSVVEFKLGIPMWEECLKAAVDKFKLAGASPTDISVMIKNHCANETAQEGLGFKIDEIVQAWNEMYDAKKWISGVPSFRLEPLLRRRVPKLHDTLEASV >Ma01_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13305942:13307914:1 gene:Ma01_g17990 transcript:Ma01_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPYICFTTVGTRAHLSSRPSPAFRPSRPPPPPVTSRRAFAISFAARCRGPVPPHATEVDVSAAEPAVAPTFDFKGYMLQKAAIVNRALDEAVPLARPERIHEAMRYSLLGGGKRIRPVLCLAACEVAGGWDACAMPAAVAVEMIHTMSLIHDDLPCMDDDDLRRGRPSCHRAFDEPTAVLAGDALLALAFGRLADPASYPADGFVPPDRVVRAVGELSRCVGAEGLVAGQVADLEATGLGTPVSLDTLEFIHLHKTAVLLEASVVLGAILGGASDDQIERLRKYARCIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEGLLKDSKEQLSGFDPIKTAPLLHLANYIAYRQK >Ma11_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25736064:25736347:1 gene:Ma11_g21680 transcript:Ma11_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDDLLFQVRTGAAQVEGGVHGLVSFEKKFF >Ma06_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9082186:9083172:1 gene:Ma06_g13260 transcript:Ma06_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPPTARPWLRRLASQTRVEPQPAPPPRGPPIRQASLALGRAGLLPTQAPTQPAPAQTQPPPPTRTQETPRPALKTTNGAAAISAVPTPQSVPSQQTLHSSPTPPQSPMVIKTSSPTPPRSPRTNLTQPPATPVPHPAPEPMRPKLAIEQGNANTRGAGNGAGNSHNSNHSNSMHDNMKGRAASPKTDEKSSATENGSAGKSATKKKENENETKAITIAGYNVGAYMDLGSSHGRQIGKQQLHHAKGETQAEDANDTEGKRTKTASKRKPIISPVNSNVQCVNNSMLFNACCAQGSPGVHININLIRRRHPEDSNSSTQKNHPSLR >Ma10_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25059078:25066429:1 gene:Ma10_g11600 transcript:Ma10_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAGFSLGFVLVAWGLVSGIWAPPVAGRFVVEKNSLMVISPSEIKGKHDSAIGNFGIPQYGGSMAGAVVYPKENAAACDEFSRPDLFRSKVGALPNFLLIDRGDCLFTKKVWNAQNAGASAVLVVDDKDEPLITMDLPLEDDESAKYIQNITIPSALIDKRFGEQLKTAVRSGEMVNVNLDWREAVPHPDERVEYELWTNSNDECGAKCDMLMNFLKEFRGVAQLLEKGGYSQFTPHYITWYCPQAFIISKQCKSQCINKGRYCAPDPEQDFSTGYDGKDVVIENLRQLCVFRVANESKRPWVWWDYVTDFHIRCPMNEKKYNKDCADTVMESLGLDIKKVEQCMGDPNADSDNPLLKMEQDAQVGTGSRGDVTILPTLIVNNRQYRGKLEKKAVLKAICAGFEETTEPPACLSDDIETNECLDDNGGCWHDKASNITACKDTFRGRVCECPVFNGVQFKGDGYSNCEAIGPGRCRINNGGCWQETRDGKTVSACQESGDGKCQCPLGFEGDAVKVCENINECEKKTACQCPECSCKDTWGSYECTCSGDLLYIKEQDTCISKKASEAKATWAAAWVLLMVLAIASFGAYVIYKYRLRSYMDSEIRAIMAQYMPLDGQGELPNHSLEEIHA >Ma10_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28151718:28153211:-1 gene:Ma10_g16460 transcript:Ma10_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLIASFGEMLIDFVPTVSGVSLAEAPGFIKAPGGAPANVAIAVARLGGRAAFVGKLGDDEFGRMLAGILRDNGVDDGGVLFDTGARTALAFVTLRADGEREFMFYRNPSADMLLTEAELNLDVIKSAAVFHYGSISLITEPCRSAHLKAMEVARQAGALLSYDPNLRLPLWPSPESAREQIMSIWDQADIIKVSDVELEFLTGQESVEDDVVLTLWRPEFKLLLVTLGEKGCKYYTKDFRGSLDGFAVNTVDTTGAGDAFVGAMLRKIVDDQTVLQEEEKLREVLRFANACGAITTTKKGAIPALPNEAEAVELLKRD >Ma03_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:526079:552124:-1 gene:Ma03_g00660 transcript:Ma03_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSASSKRLLSSSSSACQPASKRPKEEPTSSSKGQRPVPKEEETPAADDQPAANLPAEAGDADVQDEKPVDAPGQGSPVQLPAQKRAVKVERRKLEVPAKRVVKAKQKTAWAKLISQHSQYPHIFLSGSRFSVGQSPSCNLCMKDPSVSKTLCRLRHTQRGGASGALLEVVGRKGFVQVNGKTFERNSNIVITAGDEVIFSPSGKHAYIYQQLKNEKSATAMLQSSLDISELKGFSAKEIQIETRSGDSSAVAGASILASLSNNMKDLSAIPPASNAENAQEGLEKPVLASVCDASEDCSPDLEKGSDILKETFENDGGAVVPSDNTDAVTSSDLGANETIQHDNIGPHAHLDDDIGKNSSINYEIRSGIRTFAGSPSSEMDLTGNVFKVIEDQRELLKDVDLPASLPTTRCQAFKDGLKHGILDSGDIQVSFERFPYYLSENTKNVLISCAFIHLECKEFIKYTMDISSVNHRILLSGPTGSEIYQETLVKALAKHFGVRLLIIDTLLLPTGSSLKDAELLKESVRMEKSAIFSKHRAAVIDTLQLRKPASSVEADIVGTSTLNSQSLPKQETSTASSKTYTFKEGDRVRYVGSIPSSGFPLQASQRGPNYGYRGKVVLAFEENGSSKIGVRFDKQIPEGNDLGGLCEEDHGFFCTADLLRPDFSGGEDVGRLAVNELLEVVLEERKSGPLIVLLKDIEKSMSGSTDSYATLKNKLEFMPQGVLIIGLHSQVDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDNFGRLHERSKEIPKTMKQLSRLFPNKVVIQLPQDETQLSEWKQQLDRDVETLKAKSNVLSLRSFLNRCGLDCNDIETVSIKDQALTNESVDKVVGFALSYHVKHSRNEALSKDAKLILSNESLKHGLSMLQSFQNDNKSLKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKETLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFTLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDALNREKILRVILSKEELAPGVDLEVFANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKEKNLAIAEGRPLPTLYASEDVRPLNMEDFKYAHEQVCASVSSESSNMSELQQWNELYGEGGSRKKKALSYFM >Ma09_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1643766:1645634:-1 gene:Ma09_g02300 transcript:Ma09_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPAAVICCADEAALCPSCDVEVHAANKLASKHQRLRLECLPNGLPRCDICQEKAAFIFCVEDRALFCRDCDEPVHVAGTLSGNHQRYLATGIRVAVSKMCNKDLKDNTEPPRHGPALIATKVPATQQSTPSFMHSAWAVDEFLQLSDQEIGEKESPVGFGELEWFADIGLFHDETPKGAQAAAQVPELPTSQASNTGFHRANKHGTTFKKPRLEISDDEEYFTVPDIG >Ma07_p16520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14102240:14102350:-1 gene:Ma07_g16520 transcript:Ma07_t16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFELTVWLYCGTTAVFYIASCFMRFCINPSKDSG >Ma09_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6167967:6171760:1 gene:Ma09_g09330 transcript:Ma09_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEYLRMKTGYAPVGGDDLIQSDLKELGVAARKLANHALMVGGGLGVGTTFFKFLASFAAIYLLILDRTNWRTNMLTSLLIPYIFLSLPSVLFSLLRGEFGKWIAFIAVVLRLFFPRHFPDWLEMPGALILLLVVSPGFFAYTIRDGVVGVFICLAIGCYLLQEHIRASGGFRNSFTKSHGVSNSIGIILLLVYPIWRLVLHFL >Ma05_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7557304:7558534:-1 gene:Ma05_g10490 transcript:Ma05_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPKKLLQLDLHYCNPLNPAVSGFLYPAAASSYVDQVPSSQVGRGEASRSRSRAPCAACKQLRRRCSDDCIFIPYFPSTEPEKFAAVHRIFGESNASKLLQDIPPELGEDAVISMVYEANARLRDPVYGCVASVAALQLHASQLQQELASALNTTSNQLSELLSLLSYMNTSCS >Ma08_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1968893:1973401:1 gene:Ma08_g02620 transcript:Ma08_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSDAPDLSTVIIGRSKSVPHRRSVSHHPIGRSSLPPLPLPCHVSRVSGLREEGTIMRLRPLPTAAPAAAGSMEEAAKRSGRGEVDFSAPFRSVKEAVDRFGGSATPWRPQPCPQFLLSPEDVELMKMEEQTIKLEMDLFLKERETLNVLKELEMTKKIADGLKLQLQGEASQVIDDPEKYSCTMLMPPSFNTHEKCSTYSKSHKVPVDQISDQKQTPVSILRELKQAKLYLNKTTSGLAGTQSSVELLKSAIEDEKIFLKKTREKLNLNIVKVSSLEQDLSITLSQIAQIKDAKSKDSQSPSDMLRRMEQLKSETEKFRRTAEAAKSEISKLSVGIQWTKSSIKTAEVRFVAAKKVEAAARSAEVVALAEIKAQTDGNDTDEAHQNTNKIVLAMEEYAVLIKRAQEADENLRRKMEAVMTDLEEAQQSKVDLLEKVEETNADVETSRKALQEALKKEKAATSGKIAAEEALRKWRSQHDRMRRLSHLTNTKFKNSSAPHQRRSWILDVNGLSMITAGSSSNSHGSTLSIGQILSRKLTGSEEQDSRALQIIKGKPKVSLRQMLSKRDDFLSPLMINDGDVQKQFSAKRKKLRVLVLSLFLAKRKKKQRTSSPVSCHGKNV >Ma08_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10554503:10559820:-1 gene:Ma08_g13380 transcript:Ma08_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDIEGLLRELPNDGRVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLGVAMHNTQILCAVMLDTKGPEIRTGFLKDGKPIKLTEGQEITINTDYNLKGDENMITMSYKKLPVDLKPGNTILCADGTITLTVLSCDPEGGTVRCRCENTAVLGERKNVNLPGVIVDLPTLTEKDMEDILGWGVPNEIDMIALSFVRKGSDLVHVRKVLGPHAKRIQLMSKIENQEGVINFDEVLKETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLLGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDYGAIFKEMIRTTPLPMSPLESLASSAVRIANKANAVLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTISDERPARHNLVYRGLIPVLAEGSAKATDAESTEVILEAAMKSAVRKGLCKPGDAVVVLHRIGIGSVVKICMVK >Ma05_p27090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38518094:38519902:-1 gene:Ma05_g27090 transcript:Ma05_t27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPLSSFLLLFLILLSSPVLFLISCESVPVDPRPRAFPSFKIQEIKEEIGWGCSYTVKIKTSCSSRRFTTNRISLAFGDAYHNEENFL >Ma08_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7267992:7277040:-1 gene:Ma08_g09970 transcript:Ma08_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRYSRTIYVGNLPGDIREREVEDLFYKYGPIIDIDLKIPPRPPGYAFIEFEDPRDAEDAIRGRDGYNFDGHRLRVELAHGGRGQSSSFDRHSSHSSGGRRGGVSRRTEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSEVFRDGGGTIGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKEYDSKRSLSRSRSRSRSNSRSPSPARSRSRSRSKSPKEKSSRHSLSRSRSRSASSRSRSASKGRSLSRSRSRSRSPVTSPARDEPVNQSPTKRSPSKSRSRSLSRSRSPVAKSD >Ma08_p31350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42299845:42300871:1 gene:Ma08_g31350 transcript:Ma08_t31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVSRQGRQLQRYSKAGSRIVVGCIPYKFNRGYDDVGTSMEVLVVSSPKGNGLLFPKGGWETDETIEQAALREALEEAGVQGNVEGKLGKWRYKSRTYDAYHEGIMFPMNVTQELGDWPEMHLRERKWVTVAEAKEGCQHPWMKEALERLVRRVSSSRRNSAAPAS >Ma06_p28430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30124087:30124758:1 gene:Ma06_g28430 transcript:Ma06_t28430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEPASATHALFDLSDSTLTSFDLDDARVSSVDAPVQARPARSRSHDAAFDSAKGSTVADEDSEGELGALSNAGTCYHREQPEEGSEEAEDDDGDSVTDLLHVETVRQKIEVLAAMVGMEESDEPGAVLGEVVKVLKELERKAERVACRMETTEE >Ma06_p28430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30124082:30124758:1 gene:Ma06_g28430 transcript:Ma06_t28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEPASATHALFDLSDSTLTSFDLDDARVSSVDAPVQARPARSRSHDAAFDSAKGSTVADEDSEGELGALSNAGTCYHREQPEEGSEEAEDDDGDSVTDLLHVETVRQKIEVLAAMVGMEESDEPGAVLGEVVKVLKELERKAERVACRMETTEE >Ma03_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2963106:2969892:1 gene:Ma03_g04540 transcript:Ma03_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVIGGKFKLGKKIGCGSFGELYLGVNIQSGEEVAIKLESVKSKHPQLHYESKLYMLLQGGTGIPHLKWFCVEGDYNVMVIDLLGPSLEDLFNYCTRRFSLKTVLMLADQMINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHTHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDKISEKKMLTPVEALCRSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGANPRVRQPSGRTNGTIGQSLERAEGTSAGQEVRDRFSGAVEAFARRNASNYGHHGEHSKHKISDDAHITHKEATEPEKLRTKSRSGSTSKRAIFSSSRPSSSVEPNETQHGRTSRIFSSGSRPSSAQRVHQPVADSRSSSVYRSAAVRGSSRNEPLLRSLEHLSLGAEKRK >Ma10_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32524428:32525037:-1 gene:Ma10_g23760 transcript:Ma10_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSLKNFRDFPTSPIWGRWNAERSRGGCHGSSRWHRRYPTPIGPNRVRTETSGPSSSPRSRIGDWDSKISPS >Ma06_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11449212:11452891:-1 gene:Ma06_g16880 transcript:Ma06_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSFALRRLLRVSSSASLPQWTWSPLSAYRQNSSSSSSSSSSSPSPSIFPFDDDAAASNLPPLTTPKLFVSGLSRLTTDDKLKEAFSPYGQLLEAKVIADRISGRSKGFGFVRYATIEEADKARHGMNAKFLDGWVIFVDPAKPREPRPQKPAEPEPFEAGLRINKTVGWCG >Ma04_p27920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29081514:29093899:1 gene:Ma04_g27920 transcript:Ma04_t27920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEKKRGVPMKGEVDGVSASKEVRESKKEKRRRLILSDTDSDDCLVSLQEVDCETAQNGDSLSIGEDNGVEGMKEKENEKEKVEVERKKKKVLRLDVVKPSEEFVVADKNEVIEPVLERKRSREPADVEGSAKRPKRDFSELGRILKTANGGNKSKTYSSHGDDKKEMKVDVDIKPLVPASRERYGVENHIQSNSHSIHKKEDEKVKSIESSGDQALQMKDGSSSFASKKRADTSISLCKDGVLRVQGKGGVLRVLPSNKKVDGFGNLHSKSKVEGKSNTFISPRIATRSTLKKSSLSPDRRVHEKSRSGATLNKHESKKAKVDIAEESTYKKPKTDSPKREKKRSDMPRGRAGFKIKGGTSMKTAFMAKQELSKASVTRNTEKQKLRDQIKAILLNAGWTIDLRPRKGRNYEDSVYIPPEGQGGYWSITKAYAVYQEQLNRSCNERRKNSSERSSRTSAGNDYVVPMESLNILKRVVNKRRNQELEETQRSKKKEKKTSDLRHPGDQDAQDKLDEIRGRKKSNCALASNTKTAVGSIAHKHFRKGRNKQRGCALLVRGSNQEAEDEENDYVPYVWTRTVLSWMIDMGVLHINGKVKYMNQRRTKTKLEGWITRDGIYCSCCSKILTVSKFELHAGSKLLQPLQNIYLEDGGLSLLQCQLDAWKKQDESERQGFYIVDVSGDDPNDDTCGICGDGGDLICCDGCPSTFHLSCLGIEKLPPGDWHCTNCCCRYCGRISVDTIPETDETVSSLLSCHHCEAKYHQDCVPETESISATSKSRRISFCSQSCSKVFKWLQKILGTKNELEAGFSWSVIRRFDEDAFEFPLMSQLKVECNSKIAVALAVMDECFLPIVDQRSGVNLIHNVIYNCGSNFNRLNYRGFYSFILERGDEIISVASVRIHGTRLAEMPFIGTRNMYRRQGMCRRLLDGIESALFSLNVQKLVIPAISELKDTWTNVFGFKPLEVSQELEVRSINMLVFPGTGLLQKPLLMMHSSEQCAPIDGVDMVEYDIKHQHQTKSTYESSESSSVEPNLYNSGQAVVHCVNATQDTGSGLSSFKVSPGSSDSPRSECKSQEYKSLVMGGDRDTHNFPESGLTNSHDEDKSQIDFSTRELTLSDNHGEKSTEANTLTDLQESNAVSKHVSPKGFASDIQKSGASSLNMLPTQCNSLQHKYEDHCTPPEFVTVTPKPGIEIIAELQICSLESTSTPLHYESHVRTKAHSPNSARGNGQISPESTHDATNHHEKSLLDHLEPSIHVDSKEMMYSIHAVEAKGAALDPNSSLNDEDSEPFAFEIVSRPINAAAGKENSSSYKSCAVTVSDKSTRLSIQHSSLDRVSITNGTVCESNLSHVVKSCKIQGDIEHSDMTCSLMLDPGIKGIPPVLTTSFEVSAEPIDYGLCAVHDASVDIKKFDTGSESSQ >Ma04_p27920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29081514:29093899:1 gene:Ma04_g27920 transcript:Ma04_t27920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEKKRGVPMKGEVDGVSASKEVRESKKEKRRRLILSDTDSDDCLVSLQEVDCETAQNGDSLSIGEDNGVEGMKEKENEKEKVEVERKKKKVLRLDVVKPSEEFVVADKNEVIEPVLERKRSREPADVEGSAKRPKRDFSELGRILKTANGGNKSKTYSSHGDDKKEMKVDVDIKPLVPASRERYGVENHIQSNSHSIHKKEDEKVKSIESSGDQALQMKDGSSSFASKKRADTSISLCKDGVLRVQGKGGVLRVLPSNKKVDGFGNLHSKSKVEGKSNTFISPRIATRSTLKKSSLSPDRRVHEKSRSGATLNKHESKKAKVDIAEESTYKKPKTDSPKREKKRSDMPRGRAGFKIKGGTSMKTAFMAKQELSKASVTRNTEKQKLRDQIKAILLNAGWTIDLRPRKGRNYEDSVYIPPEGQGGYWSITKAYAVYQEQLNRSCNERRKNSSERSSRTSAGNDYVVPMESLNILKRVVNKRRNQELEETQRSKKKEKKTSDLRHPGDQDAQDKLDEIRGRKKSNCALASNTKTAVGSIAHKHFRKGRNKQRGCALLVRGSNQEAEDEENDYVPYVWTRTVLSWMIDMGVLHINGKVKYMNQRRTKTKLEGWITRDGIYCSCCSKILTVSKFELHAGSKLLQPLQNIYLEDGGLSLLQCQLDAWKKQDESERQGFYIVDVSGDDPNDDTCGICGDGGDLICCDGCPSTFHLSCLGIEKLPPGDWHCTNCCCRYCGRISVDTIPETDETVSSLLSCHHCEAKYHQDCVPETESISATSKSRRISFCSQSCSKVFKWLQKILGTKNELEAGFSWSVIRRFDEDAFEFPLMSQLKVECNSKIAVALAVMDECFLPIVDQRSGVNLIHNVIYNCGSNFNRLNYRGFYSFILERGDEIISVASVRIHGTRLAEMPFIGTRNMYRRQGMCRRLLDGIESALFSLNVQKLVIPAISELKDTWTNVFGFKPLEVSQELEVRSINMLVFPGTGLLQKPLLMMHSSEQCAPIDGVDMVEYDIKHQHQTKSTYESSESSSVEPNLYNSGQAVVHCVNATQDTGSGLSSFKVSPGSSDSPRSECKSQEYKSLVMGGDRDTHNFPESGLTNSHDEDKSQIDFSTRELTLSDNHGEKSTEANTLTDLQESNAVSKHVSPKGFASDIQKSGASSLNMLPTQCNSLQHKYEDHCTPPEFVTVTPKPGIEIIAELQICSLESTSTPLHYESHVRTKAHSPNSARGNGQISPESTHDATNHHEKSLLDHLEPSIHVDSKEMMYSIHAVEAKGAALDPNSSLNDEDSEPFAFEIVSRPINAAAGKENSSSYKSCAVTVSDKSTRLSIQHSSLDRVSITNGTVCESNLSHVVKSCKIQGDIEHSDMTCSLMLDPGIKGIPPVLTTSFEVSAEPIDYGLCAVHDASVDIKKFDTGSESSQ >Ma04_p27920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29081514:29093899:1 gene:Ma04_g27920 transcript:Ma04_t27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEKKRGVPMKGEVDGVSASKEVRESKKEKRRRLILSDTDSDDCLVSLQEVDCETAQNGDSLSIGEDNGVEGMKEKENEKEKVEVERKKKKVLRLDVVKPSEEFVVADKNEVIEPVLERKRSREPADVEGSAKRPKRDFSELGRILKTANGGNKSKTYSSHGDDKKEMKVDVDIKPLVPASRERYGVENHIQSNSHSIHKKEDEKVKSIESSGDQALQMKDGSSSFASKKRADTSISLCKDGVLRVQGKGGVLRVLPSNKKVDGFGNLHSKSKVEGKSNTFISPRIATRSTLKKSSLSPDRRVHEKSRSGATLNKHESKKAKVDIAEESTYKKPKTDSPKREKKRSDMPRGRAGFKIKGGTSMKTAFMAKQELSKASVTRNTEKQKLRDQIKAILLNAGWTIDLRPRKGRNYEDSVYIPPEGQGGYWSITKAYAVYQEQLNRSCNERRKNSSERSSRTSAGNDYVVPMESLNILKRVVNKRRNQELEETQRSKKKEKKTSDLRHPGDQDAQDKLDEIRGRKKSNCALASNTKTAVGSIAHKHFRKGRNKQRGCALLVRGSNQEAEDEENDYVPYVWTRTVLSWMIDMGVLHINGKVKYMNQRRTKTKLEGWITRDGIYCSCCSKILTVSKFELHAGSKLLQPLQNIYLEDGGLSLLQCQLDAWKKQDESERQGFYIVDVSGDDPNDDTCGICGDGGDLICCDGCPSTFHLSCLGIEKLPPGDWHCTNCCCRYCGRISVDTIPETDETVSSLLSCHHCEAKYHQDCVPETESISATSKSRRISFCSQSCSKVFKWLQKILGTKNELEAGFSWSVIRRFDEDAFEFPLMSQLKVECNSKIAVALAVMDECFLPIVDQRSGVNLIHNVIYNCGSNFNRLNYRGFYSFILERGDEIISVASVRIHGTRLAEMPFIGTRNMYRRQGMCRRLLDGIESALFSLNVQKLVIPAISELKDTWTNVFGFKPLEVSQELEVRSINMLVFPGTGLLQKPLLMMHSSEQCAPIDGVDMVEYDIKHQHQTKSTYESSESSSVEPNLYNSGQAVVHCVNATQDTGSGLSSFKVSPGSSDSPRSECKSQEYKSLVMGGDRDTHNFPESGLTNSHDEDKSQIDFSTRELTLSDNHGEKSTEANTLTDLQESNAVSKHVSPKGFASDIQKSGASSLNMLPTQCNSLQHKYEDHCTPPEFVTVTPKPGIEIIAELQICSLESTSTPLHYESHVRTKAHSPNSARGNGQISPESTHDATNHHEKSLLDHLEPSIHVDSKEMMYSIHAVEAKGAALDPNSSLNDEDSEPFAFEIVSRPINAAAGKENSSSYKSCAVTVSDKSTRLSIQHSSLDRVSITNGTVCESNLSHVVKSCKIQGDIEHSDMTCSLMLDPGIKGIPPVLTTSFEVSAEPIDYGLCAVHDASVDIKKFDTGSESSQ >Ma05_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8863712:8869857:1 gene:Ma05_g12210 transcript:Ma05_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGFRESSTFSMLGYYTADYPDRKLKPVGNQNDENPSKTKDHLHCLGVKDSPVKANICDIVKKQCNQESDLEATSSDIYKRWNCGCKELVEPHRQSLMSCDYWILLFCKPRRILYKNKQIPCLHHIHHNGDVLSPVDVHIIIYEPPTYGASHLSLSYWRSPECVGLPLKKPNWVNELHKKPLLLDLNRVLMALNCANAAKILLEQRKGISGPISHFFMSILLRLASIVWHMVAALVASISTVVYIFLQLFNKLLSFRPRTLSFVLPKMFKHTWENVHIRSCQFLYWPIFLQDTGVSSKPNVEYAHKAALRKHFMWSNVLMDVLFGTVLGVLLLTNVEAICTWVLVTVGLLTNDLLRSGCVWLMGVPAGFKLNNELAELVGMISLNAIQIFSTLWFFLGAFLRLYIQVLAVLGIVFGSTVPVALCIDMLKLVTLHVYALHYLISFLYSQQIQALASLWCLFRGRKRNPLRQRLDSYDYTVEQHVVVGSLLFTPLLLLIPTTSVFYIFFTSLITAILSLCITFEIIISLVHATPYAEILLWIISRRRFPSGIWFRIKYANYEMSVEACSPTYLDIRNKDFFVGGSESLVSVLCSNYATIGQVIRHYYSDIFSEVSPSFCTSVARGMLSGQRFPSTLGTHMPSTMPWMQITWREYWKLSYTAVLSSRL >Ma05_p12210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8859753:8869855:1 gene:Ma05_g12210 transcript:Ma05_t12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKCRLWWPEQFLSCTSSSNLFLFGWFMDSVNSLDIVVAAAIPSLNLSVHLLQTNLEEILRSVNGNMPVGFRESSTFSMLGYYTADYPDRKLKPVGNQNDENPSKTKDHLHCLGVKDSPVKANICDIVKKQCNQESDLEATSSDIYKRWNCGCKELVEPHRQSLMSCDYWILLFCKPRRILYKNKQIPCLHHIHHNGDVLSPVDVHIIIYEPPTYGASHLSLSYWRSPECVGLPLKKPNWVNELHKKPLLLDLNRVLMALNCANAAKILLEQRKGISGPISHFFMSILLRLASIVWHMVAALVASISTVVYIFLQLFNKLLSFRPRTLSFVLPKMFKHTWENVHIRSCQFLYWPIFLQDTGVSSKPNVEYAHKAALRKHFMWSNVLMDVLFGTVLGVLLLTNVEAICTWVLVTVGLLTNDLLRSGCVWLMGVPAGFKLNNELAELVGMISLNAIQIFSTLWFFLGAFLRLYIQVLAVLGIVFGSTVPVALCIDMLKLVTLHVYALHYLISFLYSQQIQALASLWCLFRGRKRNPLRQRLDSYDYTVEQHVVVGSLLFTPLLLLIPTTSVFYIFFTSLITAILSLCITFEIIISLVHATPYAEILLWIISRRRFPSGIWFRIKYANYEMSVEACSPTYLDIRNKDFFVGGSESLVSVLCSNYATIGQVIRHYYSDIFSEVSPSFCTSVARGMLSGQRFPSTLGTHMPSTMPWMQITWREYWKLSYTAVLSSRL >Ma00_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8397191:8399827:-1 gene:Ma00_g01500 transcript:Ma00_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKARAGEREEVGGEGRRAALIGLAAALFTTAAATSSANAGVIDEYLERSKANKELNDKKRLATSGANFARAYTVEFGTCKFPENFTGCQDLAKQKKVPFITDDLEIECEGKDKYKCGSNVFWKWTK >Ma03_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14495311:14498271:-1 gene:Ma03_g14690 transcript:Ma03_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFRPSSSFDTNFTTTNAGAPVWNDDQALTMGSRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTHLSCADFLRAPGVQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPKSHIQEYWRVFDFLSHHPESLHTFFFLFDDVGVPSDYRHMEGFGVNTYTFINKEGKVNYVKFHWKPTCGVKCLLEDEAIVVGGKNHSHATQDLYDSIAAGNYPEWKLFVQVMDPDQEDRYDFDPLDDTKTWPEDLLPLQPVGRLVLNRNIDNFFAENEQLAFGPGLVVPGIYYSDDKMLQCRIFAYADTQRYRLGPNYLMLPVNAPKCALHNNHYDGPMNFVQRDEEVDYFPSRHSTLRHAEKFPIPNRVVTGRREKTVIPKQNDFKQPGERYRSWESDRQERFIRRWAEALGHPKVSYELRSIWISYLSQCDATLGQRVANHLNMRPNM >Ma03_p14690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14495170:14498271:-1 gene:Ma03_g14690 transcript:Ma03_t14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFRPSSSFDTNFTTTNAGAPVWNDDQALTMGSRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTHLSCADFLRAPGVQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPKSHIQEYWRVFDFLSHHPESLHTFFFLFDDVGVPSDYRHMEGFGVNTYTFINKEGKVNYVKFHWKPTCGVKCLLEDEAIVVGGKNHSHATQDLYDSIAAGNYPEWKLFVQVMDPDQEDRYDFDPLDDTKTWPEDLLPLQPVGRLVLNRNIDNFFAENEQLAFGPGLVVPGIYYSDDKMLQCRIFAYADTQRYRLGPNYLMLPVNAPKCALHNNHYDGPMNFVQRDEEVDYFPSRHSTLRHAEKFPIPNRVVTGRREKTVIPKQNDFKQPGERYRSWESDRYCHCYSPFDLYMSFAFFVHLFISGKSGSSAVGLRHWATRRSAMSSAASGYRTCHSATQRWDRGWRITST >Ma05_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9907433:9909558:-1 gene:Ma05_g13640 transcript:Ma05_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTRWLRRLFGGKKADPGGYPAEGRPAKDKRRWGFVMPFREKGSNHQHRRWQHTAAAVTEERKYREADEEEQNKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRAAVGFTPAAASKREEKAAIKIQAAFRGYLARKALKALKGLVKLQALVRGNIVRKQAAETLRCMQALVRVQARARACRVLRSERSKFEKAPGEGHAVSVDLRTVWRPASGDRDRANTAGWNWLDQWVEERYWDDPESARKTGAGVSVDDEKNAKILEVDPGKPQYHHKRRNTYVHSSSSTLTSDQNSYSFVTLPGSPSMESTGAQRSFPGQQSMVHLMLPFEAGEYGESPQFCSASSRPGSSRKGPFTPSKSECSQSLCCGYSDHPNYMANTESSKAKVRSHSAPKQRPDRHDPWAPLAQRSSSLHANFSIKAYPGSGRLDRSGMPVRI >Ma08_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37920291:37922234:1 gene:Ma08_g24850 transcript:Ma08_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRALRGGKTLSAPSTRSPAEARASSREAPVDVEAGRPRKQGLPQQKPLGKLRLSQRGQSPHRPATAGRALGEEQPAPVGRRRGRLLESPPSANFAAFRPGGRMSPTKRGRWVTSRRASPPTH >Ma03_p03260.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2190312:2192836:1 gene:Ma03_g03260 transcript:Ma03_t03260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTSWQFHPTSHHQSRVAEFEPIREDSDNHDQDALVSLDAILPDDLLEKVLSFLPIASIIRSSSVCKRWYEAVHSGWHSWAEMSPQKPWYFMFTCSDDAVSGYVYDPSLRKWYGFDFPCIERSNWSVSASGGLVCLMDGDNGSRIFVCNPITRDRKRLRDAPGGKTPDYTALAMSVDRSAHSYTVALAKCKQAPQDYCQWGLSIHVYESGTRSWATLFSEILVGWRGGDECVICDGVLYYLIYSTGVLRNVEPRHCLAMYDLSARPSRTSLMQMAIPVPCSLTCGRLMNLKDRLVMVGGIGKHDRPGIIKGIGIWELHNRGWREVARMPQKFFQGFGEFDDVFASGGADDLIYIQSFGSPALLTFDVTQRVWRWSAKSPVSKRFPLQLFTGFCFEPRLAIAS >Ma03_p03260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2190069:2192836:1 gene:Ma03_g03260 transcript:Ma03_t03260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTSWQFHPTSHHQSRVAEFEPIREDSDNHDQDALVSLDAILPDDLLEKVLSFLPIASIIRSSSVCKRWYEAVHSGWHSWAEMSPQKPWYFMFTCSDDAVSGYVYDPSLRKWYGFDFPCIERSNWSVSASGGLVCLMDGDNGSRIFVCNPITRDRKRLRDAPGGKTPDYTALAMSVDRSAHSYTVALAKCKQAPQDYCQWGLSIHVYESGTRSWATLFSEILVGWRGGDECVICDGVLYYLIYSTGVLRNVEPRHCLAMYDLSARPSRTSLMQMAIPVPCSLTCGRLMNLKDRLVMVGGIGKHDRPGIIKGIGIWELHNRGWREVARMPQKFFQGFGEFDDVFASGGADDLIYIQSFGSPALLTFDVTQRVWRWSAKSPVSKRFPLQLFTGFCFEPRLAIAS >Ma03_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2190069:2192836:1 gene:Ma03_g03260 transcript:Ma03_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTSWQFHPTSHHQSRVAEFEPIREDSDNHDQDALVSLDAILPDDLLEKVLSFLPIASIIRSSSVCKRWYEAVHSGWHSWAEMSPQKPWYFMFTCSDDAVSGYVYDPSLRKWYGFDFPCIERSNWSVSASGGLVCLMDGDNGSRIFVCNPITRDRKRLRDAPGGKTPDYTALAMSVDRSAHSYTVALAKCKQAPQDYCQWGLSIHVYESGTRSWATLFSEILVGWRGGDECVICDGVLYYLIYSTGVLRNVEPRHCLAMYDLSARPSRTSLMQMAIPVPCSLTCGRLMNLKDRLVMVGGIGKHDRPGIIKGIGIWELHNRGWREVARMPQKFFQGFGEFDDVFASGGADDLIYIQSFGSPALLTFDVTQRVWRWSAKSPVSKRFPLQLFTGFCFEPRLAIAS >Ma03_p03260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2190312:2192836:1 gene:Ma03_g03260 transcript:Ma03_t03260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQTSWQFHPTSHHQSRVAEFEPIREDSDNHDQDALVSLDAILPDDLLEKVLSFLPIASIIRSSSVCKRWYEAVHSGWHSWAEMSPQKPWYFMFTCSDDAVSGYVYDPSLRKWYGFDFPCIERSNWSVSASGGLVCLMDGDNGSRIFVCNPITRDRKRLRDAPGGKTPDYTALAMSVDRSAHSYTVALAKCKQAPQDYCQWGLSIHVYESGTRSWATLFSEILVGWRGGDECVICDGVLYYLIYSTGVLRNVEPRHCLAMYDLSARPSRTSLMQMAIPVPCSLTCGRLMNLKDRLVMVGGIGKHDRPGIIKGIGIWELHNRGWREVARMPQKFFQGFGEFDDVFASGGADDLIYIQSFGSPALLTFDVTQRVWRWSAKSPNLS >Ma11_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3044302:3074037:1 gene:Ma11_g03980 transcript:Ma11_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLEEAAYAGDLTLFRRLLQEDRLLLHRQTIAAAHLSDSPLHIAASLGHSDLVREILAVNPELAHGRNSEGLSALHLVAAQGHVSVVNEQLQYAAAANLCLATDNDGFMPAHAAALRGKLDALTVLLDACPESFRAVTSQGDSILHLTVKSNSFETVQLLLNRTDENDELLNSGDAKGNTVLHLAVARKHFQTVKLLLGRRGIEANATNMRGDTVLDMLLDSPCQHGDLLLGELIRAAGGRTAAEEGKTQPKSSPSDARASATVASHRSRPNRWSPFRRQARPSKDDRSPRKVLSELKERYNNKPATLMVVATLIATITFQAGMNPPGGFKQKDAGGPTPPNAEVNFDGSSSEGEAVLKHGLKLFLLFDMFGLFASLSIILLLICCVPRRKKTVMGILKWILWLAVFSTALAFSTAIMRIFFYPPYTTILLLSWFGILSLFMVWVCFRAIRCLLRKGGCWKKKDREGESQGGPRRAVAICTKIVVGVLIIILLGVFLITQSKSSPSDAKASATVALHRSRLNWSEPKEMYNNKPATLMVVATLIATTTFEAGLNPPGGFNQKDDVGSPYTSSLKLFLLFDMFGQAIAAAHLSDSPLHIAASLGHSDLVREILAVNPELAHGRNSEGLSALHLGAAQGHLSVVNELLQYAAAANLCLATDNDGLMPAHTAALRGRLDVLTVLLDACPESARAVTSQGDSILHLTVKSNSFETVQFLLNRTDENDELLNSGDAKGNTVLHLAVARKQLQTVKLLLGRRGIEVNATNMRGDTVLDMLLDSPCQHGDLLLGDLIRAAGGRTAAKEERKTQPKSSPSDARASATVASHRSRPNRSERKEKYNNKPEALMVVATLIATITFQAGLTPPGGFKQKDDGGPTPPNAEVNFDGSSSEGEAVLKDGLKLFLLFDMFALFASLSIILLLICCVPTQTKMMTGTLKWILWLAVFSTALAFSTAIMRIFPYQLDTVILLMSWLGILSLFMVWVCIRAIRWLLRKGGCWKKKDGEGGSHGGPRRAVAIGAKIVQPPGFVYHQYPRHVCKLQKVIYGLRQAPRACMDPRLEEAAYAGDLTLLRRLLREDRLLLHRQAIAAAHLSDSPLHIAASLGHSDLVREILAVNPELAHGRNREGLSALHLAAAQGHLSVVNELLQYAAAANLCLATDNDSFMPAHTAALRGRLDVLTVLLDACPESLRAVTSQGDSILHLTVKSNSFETVQFLLNRTDENDELLNSGDAKGNTVLHLAVARKQLQTVKLLLGRRGIEVNATNMRGDTVLDMLLDSPCQLGDLLLGELIRAAGGRTTAEEGKTRPKSSPGDARASATVASHRSRPNRSEPKEKYNNKPATLMLVATLIATITFAAGLNPPGGFKQKDDGGSTPPIAEVNFDESSSEGEAVLKDDLELFLLFDMFGLFASLSIILLLICCVPRQTKMVMGILKWILWLAVFSTALAFSTAIVRIFSYQLYTVILLMSWFGILSLFMIWVCFRAIRGLLRKGGCWKKKDGEGESQGVPTRAVAIRTKIVVGALMIIIFGVVLIVNYLVFVYILNMPNNRII >Ma06_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12932905:12937770:1 gene:Ma06_g18900 transcript:Ma06_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MKAIGVGRRPSPSSPILPHHSSSQKKLARARLLRIPSKRSLLRLLLLFAVLALAPPLYFHFRLRRFQQMRSRKCGWLESPPLVCAHGGDSSKAVPNTMDAYRVAIDSRVDCIEIDVSRSSDGVLFALHDRDLQRMSGNSTAKVGYMNMNQIKELDAGLQFAQEFHNQKVPTAEDALATIANSIRQVIVDAKVGPPLYEKGLAKDILSIVKRTQCRNCLVWAKSDVLANDVIKLSQEVGVGYIVMSDPSTGARSKLLRMKGAAVVGVYHPLVDEELVRILHGEGKKVYAWTVDDAGSMQRMLFEHVDAIITSNPSLLQGIMQDMKTECLQGGFP >Ma08_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12537010:12539623:1 gene:Ma08_g14360 transcript:Ma08_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLRDDSDQGSDPSQNKRMRSVPSFSTVIREAMMAKSLQNFFFVLEPLLRKVVQEEVEQGVIRSIHSFQRSIPTQIEAAESSSLKLAFKQQPSLPIFTGSKIMDIENNPLQIIIVDVANGEVPLSSLPSAVKVEILVLDGDFPSGDGNEWTSAGFQKKIVRERTGKRPLLTGDVNVTLRDGTAYISDISFTDNSSWIRSRHFRIGARVVPKGYNGPSIKEAMTEPFMVKDHRGELYRKHYPPALWDEVWRLERIGKDGAFHRKLSAESINTVQDFLKLCAVEPDRLRSILGVGMSDRMWEGTVAHAKTCIIGDKLYLHRGPQWSLVLNPICEVVSIVTGSMTCTVQELSRPQMAYVQHLVEEAYRNWDNLEETDGLLHPSVVLPQNLGMQQQCEMDTSLWYSVHQENAIDYRVGGYDIVSSVQPFYD >Ma10_p31360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37540648:37545095:-1 gene:Ma10_g31360 transcript:Ma10_t31360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAYWIQRMCRFERAMGSECRGERHHGMKPGGEGKVEKLKSRSKLKLWMIRTMTTVLLWTCVLQLTALGGTWGPRVLKGWPSCFTPSFSPLSLKQVTPVVEKIVLPPKRIYRNNGYVMVSCNGGLNQMRGAICDMVAIARYLNVTLIVPELDKSSFWADPSEFQDIFDVDHFTASLRDEVRILKELPPRLKRRAELGMVYSMPPVSWSDISYYQNQILPLIKKHKIVHFNRTDARLANNGLPLEIQKLRCRVNYAALRFTSEIEELGRRVIRILRQNGPFLVLHLRYEMDMLAFSGCTHGCTIEEAEELTRMRYAYPWWKEKVINSELKRKDGLCPLTPEETALILGALDIDRNIQVYIAAGEIYGGKRRMAALSDAYPNVVRKEILLGPSDLGYFQNHSSQMAALDYMVSLESDVFIPTYDGNMAKVVEGHRRYLGFKKTITLDRKLLVELIDQYNNGTLGWDDFSSSVKATHANRMGRPTRRVVIPDRPKEEDYFYANPQECLQQPDKPWTSLVHHDGTNLSRTPLA >Ma10_p31360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37540645:37543308:-1 gene:Ma10_g31360 transcript:Ma10_t31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIARYLNVTLIVPELDKSSFWADPSEFQDIFDVDHFTASLRDEVRILKELPPRLKRRAELGMVYSMPPVSWSDISYYQNQILPLIKKHKIVHFNRTDARLANNGLPLEIQKLRCRVNYAALRFTSEIEELGRRVIRILRQNGPFLVLHLRYEMDMLAFSGCTHGCTIEEAEELTRMRYAYPWWKEKVINSELKRKDGLCPLTPEETALILGALDIDRNIQVYIAAGEIYGGKRRMAALSDAYPNVVRKEILLGPSDLGYFQNHSSQMAALDYMVSLESDVFIPTYDGNMAKVVEGHRRYLGFKKTITLDRKLLVELIDQYNNGTLGWDDFSSSVKATHANRMGRPTRRVVIPDRPKEEDYFYANPQECLQQPDKPWTSLVHHDGTNLSRTPLA >Ma11_p09950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9154251:9159001:1 gene:Ma11_g09950 transcript:Ma11_t09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MEDEREAAPFEVSNEPISHGGGGGGGGGEKTAEKKKKVVVVMGATGAGKSRVAIDLASHFSGVEVVNADSMQVYRGLDVLTNKVPLPDRNGSIDPSVEFTSRDFRDLSIPIIDDILSRDGLPVVVGGTNYYIQALVSPFLVDDVVENLASCSLDGPQELGGADEVASFEQLKEIDPVAANRIHPNDHRKIKRYLNLYESSGVLPSHLFQGENAEKWGRADSFRYNCCFVWVDVSLPVLDRYVEQRVDCMIDAGLLDEVHDIYSPNTDYTRGICQAIGVREFEMFFKSYFSIEESNEVPRPDLSEILDMNGGELKTLLIEAINKLKANTRKLVRRQKRRLNQLKAYFGWDLHCIDATEAFSCNSGDTWHKIVIEPCVNVVRNFLLEESSSLTGKQEPCLQSKNLVLRDLWTQYICEACGNQVLRGAHEWEQHKQGRGHRKRIFRLKKRLDSSRAG >Ma11_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9154251:9159001:1 gene:Ma11_g09950 transcript:Ma11_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MEDEREAAPFEVSNEPISHGGGGGGGGGEKTAEKKKKVVVVMGATGAGKSRVAIDLASHFSGVEVVNADSMQVYRGLDVLTNKVPLPDRNGVPHHLLGSIDPSVEFTSRDFRDLSIPIIDDILSRDGLPVVVGGTNYYIQALVSPFLVDDVVENLASCSLDGPQELGGADEVASFEQLKEIDPVAANRIHPNDHRKIKRYLNLYESSGVLPSHLFQGENAEKWGRADSFRYNCCFVWVDVSLPVLDRYVEQRVDCMIDAGLLDEVHDIYSPNTDYTRGICQAIGVREFEMFFKSYFSIEESNEVPRPDLSEILDMNGGELKTLLIEAINKLKANTRKLVRRQKRRLNQLKAYFGWDLHCIDATEAFSCNSGDTWHKIVIEPCVNVVRNFLLEESSSLTGKQEPCLQSKNLVLRDLWTQYICEACGNQVLRGAHEWEQHKQGRGHRKRIFRLKKRLDSSRAG >Ma01_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11733498:11733593:-1 gene:Ma01_g16180 transcript:Ma01_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYVSKPFEAQQLYSSVAQFFESDMVDGMS >Ma09_p29300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39778346:39779874:-1 gene:Ma09_g29300 transcript:Ma09_t29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATFLLLACVVLLGLSSGGQADELKKHFYKKLCPQAEDMVQDLVWASVKNNSALPAKLLRLFFHDCFVRGCDASVLLDSTANISAEKDAIPNRSLAGFEVIDQVKAALEKACPGRVSCADIVALAARDSVSFQFQKPLWEVKTGRRDGNVSLASEALADIPSPGANFTRLVQQFASKNLDVEDLVVLSGAHTIGVGHNPSLNATHAA >Ma03_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2119262:2125404:1 gene:Ma03_g03130 transcript:Ma03_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISPETLASWFLQSLSPEPHPRRAAEASLAAAADRPGFALALLQLVAAPAVDDQIRLAAAVHFKNHLRSHWAPSTAVAAEEAPSSAPSPPPIPAPEKEQIKSLLVSLMLAAPPRVQPQLSEALAVVSAHDFPQSWPSLLPELVASLRNAAAANDYRAVNGLLGAAASLFAKFRISFDNNALRLDLKYCLDGFAAPLLEVFLKTSRFIAANVAGPPETLRPLFESQRLCCEIFHSLNSIELPEFFEEHMREWMTEFLAYLGTAYSPAVESEGTLDALRASVCENLQLYMEKNEEEFKDYLNDFASTVWKLLMTPGSSPSRDQLTVTAIKFLTTVSTSVHHSLFSSPEVLQRICSSIVFPNIRLRDEDEELFEINYIEYIRRDIEGSDIDTRRRIACELLKGIALNYKEQVTALVSLQIQEMLKVYAANPGENWKEKDSAIYLVVALSPKAGSSSGYLVDVESFFTSVIVPELQEQDVNSAPMLKAGALKFFTVFRDQIPKQAVMTLLPHLARFLMSESNVVHSYAANCIEKLLLVKDRITVVGSNVVTLTPRYGSLDINPFLPQLMTNLFNALQFSESQENPYIMKCIMRVLGVGNVNSEVAAHCISRLAFVLSEICKNPRNPTFNHYLFESIAALIGRSCENDQALIPVFEASLFPVLQKILVDDVTEFWPYAFQIFAQLVEMSKPPLSNSYMLLFHVLLSPESWKRQGNVPALVRLLQAYLQKVPNELKNEGRLHQVIQISMSLLPASKTEELGFYVLNTVVENLSFDIVGPYFRDIWSTIFTRLQSRRAVKFVNSLVIFMSLILIKHGPSILVDSVDALQKGLFMQILQPFWIPNLKLISGAIEMKLASVAATRLICESPVLLDPSSSELWGKMLDSIITLLAQPNEYKGEQENNEPDIPETLGYTAAFARLHYGGKKEEDPLKEIRDPKEFLVTSLSRLSARSPGRYRMVIEKCVDPANQAALLQLCTTFNCAIV >Ma10_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24306049:24306363:1 gene:Ma10_g10320 transcript:Ma10_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPRVIEVRSGDQSSHSQKWRVAFTEDAFDCFIACSGDAVGKVFGEGSLFNPLLFEKFFDPADAFLLWEFEAETLLSGPGNTSKTAVDWSETDSVCSESRVTR >Ma11_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24580872:24592160:1 gene:Ma11_g19920 transcript:Ma11_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVAAARRLAGRSGAAPALSNVVLRSATLQTMAGAFREERDTFGPIMVPADRLWGAQTQRSLQNFDIGGEREQMPEPIIRAFGVLKKCAAKVNMEYGLDPTVGKAIMQAAQEVAEGKLNDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSRFIPSLKQLHSSLHTKSLEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIERIIGTLPRMYQLAQGGTAVGTGLNTKKGFDVKIASAVAEETNLSFVTAENKFEALAAHDAFVETSGALNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVYKPLIASGLLRSLRLLGDASASFEKNCVRGIKANHQRISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGTTLKDAALNLNVLTAEEFDELVVPEKMLGPSD >Ma08_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4435444:4442102:-1 gene:Ma08_g06560 transcript:Ma08_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVMNERDIGRSSADPSFGMGSPQEGTMAGDKAAADVGGHGSDESRVSMELDPVELKSEVGGTVLELGVADLSGPRKDAPVDAEAAMTEARVLESDVGEGVSAAADAGAEILVADQEEGRDFDEDGLSDEAEVSDGVRIPHGAVGNWMNGFELGDMVWGKVKSHPWWPGHIFSEAFASPSVRRTKGEGHVLVAFFGDSSYGWFDPAELIPFDPHYAEKSKQTTLRPFVKAVEEAADETSRREALAVTCYCRNTVNIRPARVPGYFYVDVPGFEPGGVYSSKQINSTRDKFSPEKALAFVLQTALDPLAGDQASIDQIKNIAMMFAYRRAVFEEFDETYAQAFGVEPVRPSSHTGSLSDQPERFAPRATPLSGPLVVAEPLRHKKISSNANKQLAPKAAKLPSSAKKNKYVLKRRDEQETPSTRVGPPKPSLPDFPSPAHPFRSYYNLLPPQQPISAHYAPHVQPTLVFQDASYAPASAAGGSNLGDYVLQKRTPTVVASADDKLPPQVSQDTGGDRPVPEQKTLPVAVDVPIVVSPRQAASQLGEIEFGKVDPAVAAAHVLAEVKDGYRAGLLARPAEGWKSKDAKVTGGIMKKVKKRPREDGGSSVGPDGTAADVTKKKKKKKERSGGIGLPVSTKVEDPHGRSAGKPVSVEGESLRRGDGVARAMEPYASAILLPQIDLSSRSLQLPELVSDLQELALDPFYGMDRDAPWVALHVFLRFRSLVYQKSLALPPASEAEAPDVQAGKSLAARPPHEPIAALAEVAPSKAAKDERAPPSITKPPRASFRPDDPTVAGRKRTPSDRQEEMSAKKQKKMEKLKALAGEKKVTIIPKVPDAQLQQQQQQLSAAASVSVGPAKPNNKAAEPIKKQEPLPPPPRAPSPTTLVMKFPPRTTLPSVASLKAKFARFGPLELSGFRVYWKSNTCKVVYKFKPDAEAALNHARSNEMFGQVKVHYYLRDADAPLVPEPASDAAGQRSEGPQFVRPGSGASSGSVSFALPPAGQLKSILKKSSDEAGAGGGATGSRESPRVKFMLDNVDGKAEPPVVVAGNGRSNADAPSTSLPPVATVISKTPKSVTFLSPPPPPPPSSAQPQSYPSRLIHNPYLPSPPPLSSFSSVSIPPPPSLRVSDRALAPPPPHRGSLNRDEARGPAAAQSSDPPPHNYRQHGGEVEERGNPNGDFANQMLSLLIRCSDIVSSVKSSLGYVPYHPL >Ma04_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22244587:22248537:-1 gene:Ma04_g19640 transcript:Ma04_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVIEISSTDEDEEECKKEEMQAKKMATTVGRRNRREYVKVEKVEAQVDDEDEDGDDCFILPYNPFLDDQLDLKRRLYLDDPPLSDDVAVVAERGQVACRDYPHSRYLCAKYPFSKTPHPIHCQKCYCYVCDEPAPCRVWDKHCHAYNKSCYWKNTREEKRSTQDS >Ma04_p35580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34288771:34289588:-1 gene:Ma04_g35580 transcript:Ma04_t35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRASDMHRHSHVGSRPPPPEQQRPLPREQGGNAAQPEGQRSDPRMRMERSAPRKHPSYRGIRYRSGKWVSEIREPRKASRIWLGTYPTAEMAAVAYDVAAYALRGRDAVLNFPDEIASRPVPASASPAHIRMAAAEAAASLMPRTGAGDGSTAASHHHHQQQQQQVGSHSGSSPGTEGQYVDEEEIFDMPQLLVNMAEGMLMSPPRLSPHGSEDSPEASEGESLWSYP >Ma03_p26910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30464587:30465911:1 gene:Ma03_g26910 transcript:Ma03_t26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMVRRKPSMGRQKIEIKRIQNEEARQVCFSKRRSGLFKKASELSILCGAELGVVVFSPAGKVFSFGHPSVDAVVDRFLVGTPRPRTTATAVSTAVESRREANVRELNRQCMELHDLVEAEKKKRDALEKAVKKERAGRTFLWDADVESLGMEELQEFERRLAELRSSVARRADQVLQETLARKQQLPVIGNDVGVPGAFAVKHEVDVHPSLPSGFFGYGHGFFGSM >Ma08_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1954402:1955683:1 gene:Ma08_g02570 transcript:Ma08_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAGGSCGGLGFVGVFKDRCDPGWKSEKLILRKGATWKVRGKKVRTLSRKKTKLGLVAKLVNEKEKEQPLADPKDLAEDELLASLVELCFQFSR >Ma02_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22591995:22593399:-1 gene:Ma02_g14570 transcript:Ma02_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCNKSSSAGSSLLEEFIPGDNMVEGEDESLEWLSIYVEDCLSGATSYTTTTTTTTISKPLPPTARLPQNPDPKPSCRSLAVPAKARTKRRRITPRNPSPDAFSTFHLTSSDPPLLQQTYWLAESELILPIKEEGGKTAAAGGGRVGEEEKVVVQPRRCSHCLSQKTPQWRAGPLGPKTLCNACGVRFKSGRLLPEYRPAKSPTFVSYKHSNSHKKVMEMRMALLSSNST >Ma06_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20164781:20168052:1 gene:Ma06_g23020 transcript:Ma06_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPPALLFLSSLLLHLASASSTGLLSLSLRKQASILLSIKRSFHHSQSLLPSWNSSAHAALCAWDGVRCDDSNHLVLALDVANSNFSGSLSPAIAELKGLASLSVAGNSLSGPFPHAISELPRLRYLNISNNQFNGTLNWSFSDTAELEVLDAYDNDFSGPLPVGLPRLTKLRHLDLGGNYFSGAIPFAYGGFSAITYLSLAGNDLGGFIPPELGNVTTLKQLYLGYYNEFDGGVPAEIGNLIGLLHLDLSSCGLEGEIPPELGNLKNLDTLFLQTNQLTGAIPFQLGNLSSLRYLDISNNALTGEIPKEFSKLHQLTLLHVFMNRLHSEIPGFVAELPSLEVLKLWQNNFTGSIPAALGRNGRLRELDLSTNKLTGLIPPSLCFGKKLEILILLNNFLFGPLPDDLGDCMTLSRVRMGQNYLTGSIPRGFLYLPELSLLELQNNYLTGVVAEEPAQKPAKLGLLNLSNNRLTGPLPSSIGNFSSLQILLLGGNEFAGEIPSQLGLLKQVLKVDMSRNNFSGRIPPEIGDCSSLTYLDLSHNQLAGPIPARISQVRILSYLNLSWNRLSQRIPQEMASMKSLTSADFSHNDFSGKIPETGQFAYLNASSFLANPQLCGSASNPCSSSSSPRIERGEHHGVKSQLPGRFRLLFALGLLTCSLVFSITVVIKTQSMMKRGFSDSWKLTAFQNLEFACDDVVECLKENCIIGRGGAGVVYRGTTPSGDGIAVKRLLGINKGSTHDNGLSAEIQTLGKIRHRNIVRLLAFCSDKETNLLVYEYMHNGSLGEVLHGKRGGYLSWEMRHRIATGAAKGLSYLHHDCSPPILHRDVKSNNILLDLDFEAHVADFGLAKYLQDTGASESMSAIAGSYGYIAPEYAYTLKVDEKSDVYSYGVVLLELITGKKPVGDFGEEGLDIVQWARMNTSWNKEGVVEILDPRLIDVPMEEAMQVFFVAMLCVQEHSVERPNMREVVLMLEQAKQSH >Ma10_p19220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29850771:29851940:-1 gene:Ma10_g19220 transcript:Ma10_t19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAAAFRQGLFGYAFDEWCATAAGGGGGGGAWGSGLGGLLEAEKRMGGGGDGTWDPSSCSSSLAQHLQEWKANSPSTKVGGRRKRRRAKSVKNKEEVESQRMTHIAVERNRRRQMNEYLAVLRSLMPSSFVQRGDQASIVGGAINYVKELEQLLRSLELRKRLEQRAGAAGVASAFADCFSFPQYASYSANGGATDSSSSSSSSNNDKKNHGVTGADTAFGNSGEVENRAATANIEVTVVESHASLKVLSRRRPKQLVKLVVGLQSLRLMPLHLNVTSLDQMVLYAFSLKVTSEHLTLPSPLSSAISWSLCSSLLNLLRLVSICRWKMIANIHQWMR >Ma10_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29850747:29851940:-1 gene:Ma10_g19220 transcript:Ma10_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAAAFRQGLFGYAFDEWCATAAGGGGGGGAWGSGLGGLLEAEKRMGGGGDGTWDPSSCSSSLAQHLQEWKANSPSTKVGGRRKRRRAKSVKNKEEVESQRMTHIAVERNRRRQMNEYLAVLRSLMPSSFVQRGDQASIVGGAINYVKELEQLLRSLELRKRLEQRAGAAGVASAFADCFSFPQYASYSANGGATDSSSSSSSSNNDKKNHGVTGADTAFGNSGEVENRAATANIEVTVVESHASLKVLSRRRPKQLVKLVVGLQSLRLMPLHLNVTSLDQMVLYAFSLKVEDDCQHTSVDEIATAVHQMLCRIEEEETYL >Ma10_p29290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35933157:35937337:-1 gene:Ma10_g29290 transcript:Ma10_t29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLSTKSDMEMIPEAHMDMLSVDEGRRGKSVSKDGQVLKKGPWTSAEDALLVEYVKEHGEGNWNAVQKNSGLSRCGKSCRLRWANHLRPNLKKGAFTPEEEQLIIKLHAKMGNKWAQMATQLPGRTDNEIKNFWNTRIKRLQRAGLPLYPPNVCCQASDGDQQTQIVSSVTQHQNDILQGNNFNFPDIVFENFDPDHGDLSYASSFPDISMSRMCQGFESQDHGYTNMLNNVEQLRDSETINVGYYSTFYSGLPSVSQFPFEPSGKIQLAFGLDNPYDPDANIKNLATFGGEISLSHALSNGNFSASRPLPGAWKLELPSLQHAEIDDSSWTAYHSTAHLEAVDTYVESSPTAVSLQSECISPRSSGLLEVMLHEAQALSNSKRHSSQKSSSSSAITHNEMLESSGLNFFDIGWEDCNDPISPLGCSAASIFNEFMPPINGSSIHEFLPSESTTVSAGSLNIMAVAEQISTPNVEEKHVLSQTDFPEPEAVLGSGWLEESSQAGKDCFVSNDAIATLLDQDFCKDTKMVPAGASSNPLQGFGLESYPWNKMPDACQMPELP >Ma04_p21080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23712696:23714045:1 gene:Ma04_g21080 transcript:Ma04_t21080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQAVLDPSANGAAPNPRKRGREIGVPVAMALPQQNRSIDLLSLQPQPPLPPPALVRFAQLQSHPPAVVSTGLRLSPEEGLLSTFSTSFLSSIVSEELAAHLNQQKGEIEQFLGAQRDQLRRALAQKRRRHYRSLIGAAAESAAQRLREKAAAVGRLTRRIIELEDHLARLRTESMAWQAKAMADQATAASLEAQLQQAAAAAASRAQGGPCGESIPAEDAESVYVDPGRVEMKRACRACRARLASVVLLPCRHLCLCDACHGGESPAESCPVCGCVTTGRIRVLLG >Ma04_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23712682:23714045:1 gene:Ma04_g21080 transcript:Ma04_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQAVLDPSANGAAPNPRKRGREIGVPVAMALPQQNRSIDLLSLQPQPPLPPPALVRFAQLQSHPPAVVSTGLRLSPEEGLLSTFSTSFLSSIVSEELAAHLNQQKGEIEQFLGAQRDQLRRALAQKRRRHYRSLIGAAAESAAQRLREKAAAVGRLTRRIIELEDHLARLRTESMAWQAKAMADQATAASLEAQLQQAAAAAASRAQGGPCGESIPAEDAESVYVDPGRVEMKRACRACRARLASVVLLPCRHLCLCDACHGGESPAESCPVCGCVTTGRIRVLLG >Ma06_p25470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25804431:25807475:1 gene:Ma06_g25470 transcript:Ma06_t25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARSVLNRLIQRAARPKRSRKPSSSSSRTVIRRHLDSGDLPKTIAALSSSPAPFPTSLYARLLRLCSSKRSLVDVRRVESHLVAFNPSPSTFLLNRTIEAYAHCGSPTDARELFDEMPKRDGGTWNAMIVAYRCSDCPDEALALFSTMNGSGIHPKDVTLASVLGCCGDLLALLLARQIHCLVLKYGYFPNVILDTSVVDVYGKCFAMDDARKMFDSIIDPNDVSWNVIVRRYLEAGKAREALLMFFRMIRGGVKPLSFTVSNALIACSEALALKEGHQIHSTVIKAGFEGDNIVGSSLMEMYAKCGVVQDARQLFGQVPSKDVVSWTSMLSGYATCGRIDEAEKLFDEMPEKNVVSWNAMLAGYVRFFCLDEALDLFCRMRETIEIDLVTLGLVLNVCAGMSDLDRGKQVHGFSYRHNSGSNLFFSNALIDMYSKCGCLRNAEVCFRMVSRRDTVSWNSLISGYARYCRSEEALAAFSEMQFETTPNELTFSIALAACANIFMLEHGKQIHAYMVRNGFELDVIIRGTLVDMYSKCRLIEYAMRVFEEESFRDLILWNSMILGCAYNRRGERSLELFEEMRKEGIAADNVTFVGVLLACISEGYVDLGRRYFNLMSDEYGVIPRVEHYECIIELLGIHGFMVELEDFIQRMPFEPTIPMWTRIFDCCREHGNRSLGERAAKCINKSNPINPVQFEILERTELDTKKEAHHL >Ma06_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3909074:3915233:-1 gene:Ma06_g05280 transcript:Ma06_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSKFAGFMVGGGGGGGVNGVGNGFYDMGFYRKLDEGSNMSIDSVGSLQTSTGGGSAPMSVENSSVGSNSRTGILRHTALRLFPGANFSVGHSVLRHGRVSHAMNEDALAQALMDPRYTTESLENYDEWTIDLRKLNMGVAFAQGAFGKLYRGTYDGEDVAIKLLERPENDPERVQLMEQQFGQEVMMLANLKHLNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMKRHNRSVPLKLAVKQALDIARGMEYVHGLGFIHRDLKSDNLLISADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNRGVRPIIPQDCLPALGEIMTRCWDANPDVRPSFTEIISLLEGAQEDIVHTVRKARFRCCIQPMTTD >Ma03_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5082509:5088137:-1 gene:Ma03_g07280 transcript:Ma03_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF GAMMA RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT1G25580) UniProtKB/Swiss-Prot;Acc:Q6NQK2] MSSPSWLIDSKRIATKIKNASATVDPSKVKWISNPTKACPRCNHVIDNSDVVQEWPGLPKGVKFDPSDQELISHLMAKVGTGDAKPHPFINEFILTVEEEDGICYTHPKKLPGVKQDGSVSHFFHRTFKAYNIGTRKRRKINTDDLVDVRWHKTGKTKPVIVDGRHLGCKKIMVLYMSTTKGEKPEKTNWVMHQYHLGTGEDEKDGEYVVSKIFYQQQSKPGDKNGQDLGDKNGQNLTMEIDHNVVTELDPAPGAVPGSPEQNSSDKQDHVERLEVISGQSNVHHSGDEEHHVHLEGDKPDDQGEHPTEDTKWWEGESQYLLDSQQLAEGIAICEEFLQSQSSCAGEEVKKSNLCLSDYAAMGAEALKMDLEECQNLDSTDHANIELDTPPDFRLSQLEFGSQDSFLAWPGSKLAD >Ma11_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14839981:14840139:-1 gene:Ma11_g11760 transcript:Ma11_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGVNFLIGSAVNGAPMNVFVLILGRVLLGIGIGFANQGRLHVILCHLCW >Ma11_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:313845:314045:1 gene:Ma11_g00460 transcript:Ma11_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLPPQREDKCVLSACVPAEVRLSSSRPSLLYIFLPSGASGMLVLSFSKKSSIFALTKKKLGLIQ >Ma06_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14123175:14129142:-1 gene:Ma06_g20070 transcript:Ma06_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSHPSPLPKPPAGFLLDQHGRVLLAASKRIATIVDASNNLPLECVIRRVFQSSKGDECMLLCPVDTPIQILKSTNFSGWSAVCVIPAAAHALAKIHMHLVFSVFCYSEEDVLEFGTDNDGENIEGLPSEGVEITCFNLDGAHYMIYTPSDPLLFVAVKGENGVLQIADDDLLEDPVTVAAKDEETEFNALVEEEAALLESLLGER >Ma06_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12606292:12606580:-1 gene:Ma06_g18420 transcript:Ma06_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAVVFSLHVRCLYLVHPRRSAPLDYSLLHMHLCEHSFDHHAQHRHIPRAAWVALDCYIK >Ma01_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7870680:7875395:1 gene:Ma01_g10960 transcript:Ma01_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTTSSSSTSLFSPLSPLQTPPRYKHTNPSDLRLGFRRISFFPNSRTLIPVPPPQRSPRSDPIRAVQTDRKPSRSPNDGGGKEDGDPIERFLKRDYSQWGFVSDIESVSIPKGLDEGTVRLISAKKGEPDWMLQFRLRAFRRFQAMREPQWSDNRYPPIDLQSICYYSEPKRKPKLGSLDEVDPKLLETFDRLGIPLNEQKRLANVAVDAVIDSTSIATTHRAALAEKGVIFCSISEAIREYPDLVRRYLGEVVPPGDNYYAALNSAVFSDGSFCYIPKDTVSPMEISTYFRINDRETGQFERTLIIADERSYVSYLEGCTAPSYDKNQLHAAVVELYCHEGAEIKYSTVQNWYAGDEQGIGGIYNFVTKRGLCQGKGSKISWTQVETGSAITWKYPSVILRGDDTVGEFYSVALTKDFQQADTGTKMIHQGKNTRSRIVSKGISAGKSRNCYRGLVKVQPDAENARNFSQCDSMLIGDTAGANTYPYIEVKNPTACVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRDVFDKLPLEFASEVNALMNLKLEGSVG >Ma04_p33340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33018582:33019025:-1 gene:Ma04_g33340 transcript:Ma04_t33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFMMTAFMLELAIPYDHWTTHHISFVVMHDFTFFFANFGPNSTTFIVPAEIFTARLRSTCHGISVAACKAGAIVGAFGFLYAAQSRDPAKRDKGYLAGIGVRNALFLVVSTNFLGLVFSLLVPKSKEFPLEEMSSREVSKRLHKM >Ma02_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29139898:29140994:1 gene:Ma02_g24600 transcript:Ma02_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MVSSMMVSSAATFTRASPAQSSMVAPFTGLKSASAFPVTRKPNADLSHLPSNGGRVQCMKVWPIEGMKKFETLSYLPTLKDEELLKQIEYLLRSKWIPCLEFCPKGFVWRENHRSPGYYDGRYWTMWKLPMFGCTDAVQVAKEVEECKKEYPHAFIRIIGFDNNRQVQCISFIAYKPTGY >Ma08_p16070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16934356:16946644:-1 gene:Ma08_g16070 transcript:Ma08_t16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAALKNVLRSREWSSLSPPIASALFHSSPVSLAKRSSNLGREDERRHEEPSKNYIRYSVHQKRADARGALKNILFNGTQSKQYFQDEDITWRADRKSSRNFKVEDSSHESDKYQRPKYTGTPKHQRTSNHRKSKCGRIQRRKNGQSFDDEDDDCGHPKTKFSASFGGQRCFTWSFNSQDRLHFENFTNGFEWRDHSQQAKARTRVWSESDIEEEDESNDIGLQSHRFALGLPLVGPLKLDDVKCAFRSSALKWHPDKHEGTSQAVAEEKFKLCVEAYKTLCKSLKSS >Ma11_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9082075:9086955:-1 gene:Ma11_g09800 transcript:Ma11_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAGSPSSSTTTTTTIASIAAADPDDDCISGSNLGGSGGAPGGTAIQSWWESISKARSRILSLASLLSSPDLALLADSDGPARSLLDSPAASAAISAALSAPSSGTGDDPLCHWLYDTFQSSDPDLRLVAFSFLPLLSGLYLSRVVVSSTTAAINPPSFAGFEAVLLAVYAAEVKARGGKPVLVSVPDLSLPSLYHYPRQPTASSARTPPRPSVGVLSPPLEPQIAVKSTKRACVVAVALDSYYKNISSMPSRSKIDLCEFVAAWAGQDCPCRHEFDDEDANPSALSSSPAVSASSWPHIRISSQENREIGGTAEEMQKLAIREGPNDNHCNGKEEGSRVLRRGSRVPLPWELLQPVLRILGHCLLAPLNPQEVRDSASMAVRCVYARASHDLMPQAILASHSLIKLDRSSRKVAKPKTTSADAPTLNTPSKLKKPGVFLVSR >Ma11_p09800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9082072:9086955:-1 gene:Ma11_g09800 transcript:Ma11_t09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAGSPSSSTTTTTTIASIAAADPDDDCISGSNLGGSGGAPGGTAIQSWWESISKARSRILSLASLLSSPDLALLADSDGPARSLLDSPAASAAISAALSAPSSGTGDDPLCHWLYDTFQSSDPDLRLVAFSFLPLLSGLYLSRVVVSSTTAAINPPSFAGFEAVLLAVYAAEVKARGGKPVLVSVPDLSLPSLYHYPRQPTASSARTPPRPSVGVLSPPLEPQIAVKSTKRACVVAVALDSYYKNISSMPSRSKIDLCEFVAAWAGQDCPCRHEFDDEDANPSALSSSPAVSASSWPHIRISSQENREIGGTAEEMQKLAIREGPNDNHCNGKEEGSRVLRRGSRVPLPWELLQPVLRILGHCLLAPLNPQEVRDSASMAVRCVYARASHDLMPQAILASHSLIKLDRSSRKVAKPKTTSADAPTLNTPSKLKKPGVFLVSRNC >Ma11_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22268636:22269371:1 gene:Ma11_g16850 transcript:Ma11_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKAFIFFPVALLLFLAGNALATAGGESGSQLPLGWIPSLSGCRGTVAECVAGDEFDLGSEVTRRILATSRYISYNALRRDTVPCSRRGASYYNCRPGAQANPYSRSCSAITRCRG >Ma02_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20133787:20134287:-1 gene:Ma02_g10620 transcript:Ma02_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICKSCDATGVAEPATAKLVLQDGKLQEFERPVKVSHLLQKDPTCFVCDSDGMVFDGLVMAVDADDELQPGQLYFLLPVSMLRRPLHAEEMAALAVKASAALGAARGACSRHSRSVAPLTFHPAMPAAAGGGASGMMGGGKSRVNCKRRGGKGRDFASKLSAISE >Ma03_p26790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30380858:30396873:-1 gene:Ma03_g26790 transcript:Ma03_t26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIALQLPSNSRSSNPPLPTKLAKLEARMAGKSTSSLTVQTARPPAPPPPTRFPEQEELLDSSSDDDNGGEFLIRHNTQKRQKLQEDDDDIDFEKYEETTKGRLQPLENFEIRRNLDDSNKKKQGRGRGRSSAGRGRGSKTVDQMHSVSTPSKCSSNGQLENPSNKENWSKMSLGNDESVALQEDISVLHVKVATLEEELNKSRQEASDYLCLSQQLEKELKELKDHGQQMETKWIKVLSDLLIAVSKAERQKSRMKIQQESLRLGNIGVIRTGTIISEAWEDGQALKVVNSHIRSLLETKESIERHRKLLKKRQSDKGDGSDMETTMSDEDFQIQEEICKSRLASIKREEETYLKEKDRYELEKARLICEMKRIRDEDDSRFNNFPIVNKRYAFLNLLGKGGFSEVYKAFDLVEHRYVACKVHSLNHQWRGERRQSYICHVVREYNIHNTLVHPHIVRLWDVFEIDHDSFCTVLEYCSGKDLDAVLKRTPTLPEREARVIIVQIFHGLIYLNKGPLRIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDAGSQGMELTSQGAGTYWYLPPECFEISKTPLISSKVDVWSAGVIFYQMLYGRRPFGHEQTQEKILREDTIINARKVEFPTKPSVSSEAKELIRRCLTYNQAARPDVLTIAQDPYLSYSKR >Ma03_p18380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23916231:23923404:1 gene:Ma03_g18380 transcript:Ma03_t18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSFSATLHHNSLSLTSPSAAVARAGPYRLPRIRAVSSAEPQKETPAKSSTEATKTPVPTRSPTSLPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLDYIYEDRGEVLELRIFETGEHALVAWVGIPTAPAWLPTDMLIKSEKLDYERM >Ma03_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23916233:23923404:1 gene:Ma03_g18380 transcript:Ma03_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSFSATLHHNSLSLTSPSAAVARAGPYRLPRIRAVSSAEPQKETPAKSSTEATKTPVPTRSPTSLPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPYYKGLDYIYEDRGLGTPNFRDWGTCSCCLGRDSYCTCMATNRHAYQVGETRLREDVTWEGASSLCSIFAEINDVIHTKVVSCFSYMFNDRYFATKIYVP >Ma00_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:32984597:32988219:1 gene:Ma00_g03940 transcript:Ma00_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKQFQDTPAARKRHLQGLHHHRARALWYDSFKEPHGAPLFQPYGSLAKGVCHHFVRTGVCKYGDTCKYFHPKQDVLNPTPAVTGMKYMEAIQIQNSLASNLPGDSMSGNIINQGGISWGNLPPSLRPPPEGGYPPLLFLEWG >Ma01_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5384364:5396496:-1 gene:Ma01_g07450 transcript:Ma01_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARSRSGGSSEQSADADVLGDRSRRGAPFADRLGDYAEIFADHAGSISIPFLDLPPALDGFDAAAPVRTRGAGFDYSDVFGGVDSGESAALHDELFAAPKLEETCSSKGRTREEATCSQHMTREPKVTPEQSHGGRMTCQEGDRSSPNSTPSDICSTQFNVSYNKSSQGSKEGAMSGKTHITQLHAIPAFSFVVDTDTPFQTIGGDGPRNMLNEGVDNRKDQNKVLSASSGNISKSSENYLRADQKNTNRYPVSDNGHANASHHSHSFSHSISNGNVPPSDTVFFTASEFSLQTQPLRVPPPSRPSPRLYDKQELSKQRLSSSSKFGLDEVSFLKSIPKEKDFHVRQEVVKDNSPSFTDVQVDISSAAAASVAAVKEAMELAQAKLKRAKQLMERKHGILRNSRKLGYLESMKYKEQKLCQASPRREDFDEMLVASERRQEVTSTAKLTPCDEKKEKVKFTEEEGKARQVNDLKSSELLNRSAGNYNELVSSENHILIEEVSERKDFTRKTKIITMIGEIKQNESTNDTLACQTESNRNLQKDKAAPVVCVHEDNSNLEAHVSHTEEVEKPGEVHKLHIQEEVTKAPCAGEETLSSARSEKKMEPSYYCKLHYTHVDKEENSKLKSALGKSGAAKPQDQNYSKEIDITNIAPVPGKGEDKFNMASVTVVQEEMDIEVPYVSCVSKGEGRVAASKCTDSEKTRREGKQCDAENVNRSEGMMVVHEQERREELNMKQQTCLSAENEIRFKEDKEADELEEVLKKWKTSGRTTTLEDQEKLIKATKAAFWLNYDGNNPKETQLELQQRDKKKDATPEPYNLENFEGQNKYERGFQVCGNEGIIEIQVEPHFMDKIFSINTIPIICNRPLHMALNAQPSNLSENEGNLSYSSLIATDRLPVASQKIILDTKKTEQKEKELMEKKGVQIKKPSRNLKEKEKERIQEQEEEKTRLLREAIEREEKLVEEERTRLWEETKDRVTKLEEEKKQGRLLEEANERERKLKEEKERARLSEEAKEIEWQMEEEELAKLLEKGKDSIMKEEEEQAKLFKEAIMREMKVEMARVRSLEEAKERDRKEEEERARLLEEAKKRERKLEEEERARLLEEANEWERGREKDRLAEERAIHEAHERAFTEARERAERMAVERITSEARQRALKEAQEKAKKTSCDALDKSLTEKASRDARLRAERAAVERATAEARERAIERALAVKVAADAREHAERYNATSRDTTRKENVTEECSNTRDKDGPLDAQFQSTSSLNSYQANSDSNYQQSSNFGESALRCKARLERHQRIAERAAKALAEKNMRDVLAQREQAEKNRLAEYLDGDIKRWSNGKEGNLRALLSTLQYILGPESGWQPIQLTDVITSSAVKKAYRRATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNRFNSEER >Ma01_p07450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5384364:5396185:-1 gene:Ma01_g07450 transcript:Ma01_t07450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAHDERTESHPRTVTWGSYDLSRSLQTQPLRVPPPSRPSPRLYDKQELSKQRLSSSSKFGLDEVSFLKSIPKEKDFHVRQEVVKDNSPSFTDVQVDISSAAAASVAAVKEAMELAQAKLKRAKQLMERKHGILRNSRKLGYLESMKYKEQKLCQASPRREDFDEMLVASERRQEVTSTAKLTPCDEKKEKVKFTEEEGKARQVNDLKSSELLNRSAGNYNELVSSENHILIEEVSERKDFTRKTKIITMIGEIKQNESTNDTLACQTESNRNLQKDKAAPVVCVHEDNSNLEAHVSHTEEVEKPGEVHKLHIQEEVTKAPCAGEETLSSARSEKKMEPSYYCKLHYTHVDKEENSKLKSALGKSGAAKPQDQNYSKEIDITNIAPVPGKGEDKFNMASVTVVQEEMDIEVPYVSCVSKGEGRVAASKCTDSEKTRREGKQCDAENVNRSEGMMVVHEQERREELNMKQQTCLSAENEIRFKEDKEADELEEVLKKWKTSGRTTTLEDQEKLIKATKAAFWLNYDGNNPKETQLELQQRDKKKDATPEPYNLENFEGQNKYERGFQVCGNEGIIEIQVEPHFMDKIFSINTIPIICNRPLHMALNAQPSNLSENEGNLSYSSLIATDRLPVASQKIILDTKKTEQKEKELMEKKGVQIKKPSRNLKEKEKERIQEQEEEKTRLLREAIEREEKLVEEERTRLWEETKDRVTKLEEEKKQGRLLEEANERERKLKEEKERARLSEEAKEIEWQMEEEELAKLLEKGKDSIMKEEEEQAKLFKEAIMREMKVEMARVRSLEEAKERDRKEEEERARLLEEAKKRERKLEEEERARLLEEANEWERGREKDRLAEERAIHEAHERAFTEARERAERMAVERITSEARQRALKEAQEKAKKTSCDALDKSLTEKASRDARLRAERAAVERATAEARERAIERALAVKVAADAREHAERYNATSRDTTRKENVTEECSNTRDKDGPLDAQFQSTSSLNSYQANSDSNYQQSSNFGESALRCKARLERHQRIAERAAKALAEKNMRDVLAQREQAEKNRLAEYLDGDIKRWSNGKEGNLRALLSTLQYILGPESGWQPIQLTDVITSSAVKKAYRRATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNRFNSEER >Ma01_p07450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5390931:5396496:-1 gene:Ma01_g07450 transcript:Ma01_t07450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARSRSGGSSEQSADADVLGDRSRRGAPFADRLGDYAEIFADHAGSISIPFLDLPPALDGFDAAAPVRTRGAGFDYSDVFGGVDSGESAALHDELFAAPKLEETCSSKGRTREEATCSQHMTREPKVTPEQSHGGRMTCQEGDRSSPNSTPSDICSTQFNVSYNKSSQGSKEGAMSGKTHITQLHAIPAFSFVVDTDTPFQTIGGDGPRNMLNEGVDNRKDQNKVLSASSGNISKSSENYLRADQKNTNRYPVSDNGHANASHHSHSFSHSISNGNVPPSDTVFFTASEFSLQTQPLRVPPPSRPSPRLYDKQELSKQRLSSSSKFGLDEVSFLKSIPKEKDFHVRQEVVKDNSPSFTDVQVDISSAAAASVAAVKEAMELAQAKLKRAKQLMERKHGILRNSRKLGYLESMKYKEQKLCQASPRREDFDEMLVASERRQEVTSTAKLTPCDEKKEKVKFTEEEGKARQVNDLKSSELLNRSAGNYNELVSSENHILIEEVSERKDFTRKTKIITMIGEIKQNESTNDTLACQTESNRNLQKDKAAPVVCVHEDNSNLEAHVSHTEEVEKPGEVHKLHIQEEVTKAPCAGEETLSSARSEKKMEPSYYCKLHYTHVDKEENSKLKSALGKSGAAKPQDQNYSKEIDITNIAPVPGKGEDKFNMASVTVVQEEMDIEVPYVSCVSKGEGRVAASKCTDSEKTRREGKQCDAENVNRSEGMMVVHEQERREELNMKQQTCLSAENEIRFKEDKEADELEEVLKKWKTSGRTTTLEDQEKLIKATKAAFWLNYDGNNPKETQLELQQRDKKKDATPEPYNLENFEGQNKYERGFQVCGNEGIIEIQVEPHFMDKIFSINTIPIICNRPLHMALNAQPSNLSENEGNLSYSSLIATDRLPVASQKIILDTKKTEQKEKELMEKKGVQIKKPSRNLKEKEKERIQEQEEEKTRLLREAIEREEKLVEEERTRLWEETKDRVTKLEEEKKQGRLLEEANERERKLKEEKERARLSEEAKEIEWQMEEEELAKLLEKGKDSIMKEEEEQAKLFKEAIMREMKVEMARVRSLEEAKERDRKEEEERARLLEEAKKRERKLEEEERARLLEEANEWERGREKDRLAEERAIHEAHERAFTEARERAERMAVERITSEARQRALKEAQEKAKKTSCDALDKSLTEKASRDARLRAERAAVERATAEARERAIERALAVKVAADAREHAERYNATSRDTTRKENVTEECSNTRDKDGPLDAQFQSTSSLNSYQANSDSNYQQSSNFGESALRCKARLERHQRIAERAAKALAEKNMRDVLAQREQAEKN >Ma01_p07450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5389107:5396496:-1 gene:Ma01_g07450 transcript:Ma01_t07450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARSRSGGSSEQSADADVLGDRSRRGAPFADRLGDYAEIFADHAGSISIPFLDLPPALDGFDAAAPVRTRGAGFDYSDVFGGVDSGESAALHDELFAAPKLEETCSSKGRTREEATCSQHMTREPKVTPEQSHGGRMTCQEGDRSSPNSTPSDICSTQFNVSYNKSSQGSKEGAMSGKTHITQLHAIPAFSFVVDTDTPFQTIGGDGPRNMLNEGVDNRKDQNKVLSASSGNISKSSENYLRADQKNTNRYPVSDNGHANASHHSHSFSHSISNGNVPPSDTVFFTASEFSLQTQPLRVPPPSRPSPRLYDKQELSKQRLSSSSKFGLDEVSFLKSIPKEKDFHVRQEVVKDNSPSFTDVQVDISSAAAASVAAVKEAMELAQAKLKRAKQLMERKHGILRNSRKLGYLESMKYKEQKLCQASPRREDFDEMLVASERRQEVTSTAKLTPCDEKKEKVKFTEEEGKARQVNDLKSSELLNRSAGNYNELVSSENHILIEEVSERKDFTRKTKIITMIGEIKQNESTNDTLACQTESNRNLQKDKAAPVVCVHEDNSNLEAHVSHTEEVEKPGEVHKLHIQEEVTKAPCAGEETLSSARSEKKMEPSYYCKLHYTHVDKEENSKLKSALGKSGAAKPQDQNYSKEIDITNIAPVPGKGEDKFNMASVTVVQEEMDIEVPYVSCVSKGEGRVAASKCTDSEKTRREGKQCDAENVNRSEGMMVVHEQERREELNMKQQTCLSAENEIRFKEDKEADELEEVLKKWKTSGRTTTLEDQEKLIKATKAAFWLNYDGNNPKETQLELQQRDKKKDATPEPYNLENFEGQNKYERGFQVCGNEGIIEIQVEPHFMDKIFSINTIPIICNRPLHMALNAQPSNLSENEGNLSYSSLIATDRLPVASQKIILDTKKTEQKEKELMEKKGVQIKKPSRNLKEKEKERIQEQEEEKTRLLREAIEREEKLVEEERTRLWEETKDRVTKLEEEKKQGRLLEEANERERKLKEEKERARLSEEAKEIEWQMEEEELAKLLEKGKDSIMKEEEEQAKLFKEAIMREMKVEMARVRSLEEAKERDRKEEEERARLLEEAKKRERKLEEEERARLLEEANEWERGREKDRLAEERAIHEAHERAFTEARERAERMAVERITSEARQRALKEAQEKAKKTSCDALDKSLTEKASRDARLRAERAAVERATAEARERAIERALAVKVAADAREHAERYNATSRDTTRKENVTEECSNTRDKDGPLDAQFQSTSSLNSYQANSDSNYQQSSNFGESALRCKARLERHQRIAERAAKALAEKNMRDVLAQREQAEKNMTNLNKTVVVEVGRVPGW >Ma06_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2032318:2033049:1 gene:Ma06_g02650 transcript:Ma06_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLNAVFHEALRRHSPVPIIPLRYATEDTQLGGFNIQAGSEIAINLYACNMDKMQWEEPEEWKPERFLRDKFEQTDMHKTMAFGAGKRACAGTLQAMLISCVAIARFVQEFQWRLKKGEEANVATVQLTTHKLQPMQAHITPREADSARPQSS >Ma05_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9429334:9433053:1 gene:Ma05_g13040 transcript:Ma05_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSRPPAPFPDLNLIPFPKLEPKPEPPDHHQTHLGSAGPLDPLLPPPSPDPGPHPTAVDVESAALFAEYLRLARLFSAASADHQHLFLVPEPAPAASSSAIVARKKRKPRSAEMVRASVYDELHVRDDVRRARITFNSLRSLLLRDEKKGDAFEAIWGKRSRADLKAATVMGDRDLWLHRDRRIIGAIPGINVGDVFFFRMELCVLGLHGQSQAGIDYVPASRSATGEPIATSIIVSGGYEDDEDSGLVLVYTGHGGRGSNMLKHCTDQKLEGGNLAMERSMNYGIEIRVIRGLKSNRSPIGKIYVYDGLYKIVNCWMDVGKSGFGIYKYKLLRIEGQDEMGSGILKLAEELKVNPLSARPAGYLSLDISMGKENFSVSMFNDIDDDREPLLFEYLARPIFPVEAFQGKANADTGNGCECISNCSADCYCAKKNGGEFAYDENGILLRGKPLIYECGPLCRCPPSCPNRVSQKGVKHQLEVFRSKETGWGVRSLDLILAGTFICEFSGIVLTQQQTDFFSANGHCLVHPSQFPERWKEWGDVSDILPDYVSPNFPSLPGLNFSIDVSTSRNVACYLSHTCCPNVFVQFVLFDHNNVSYPHVMIFAMENIPPLRELSIDYGIGDESVGKLTM >Ma01_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1272725:1274786:-1 gene:Ma01_g01890 transcript:Ma01_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGSPTPGSLPPQASAAVNGVAFVGTLSGQLFFGWLGDKMGRKRVYGMTLMIMVICSIASGLSFGHDSKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGMVAIVISSAFKNRYQAPPYAIDPVGSTVPQADYVWRIILMFGSLPAALTYYWRMKMPETARYTALVAQNAKLAASDMSKVLQVEIEEEQSKVEQIANAPSNAFGLFSKEFLRRHGLHLLGTATTWFLLDIAFYSQNLFQKDIFTAIGWIPKAATMNALEEVFRIARAQSIIALCGTVPGYWFTVALIDIIGRFTIQLLGFAMMTIFMLGLAIPYHHWTTKGHQIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKLGAIVGSFGFLYLAQNQNPAKADHGYPAGIGVRNSLFLLAGCNLLGLLFTFLVPESKGRSLEEMSGENEGDEQAAGVLNRTMPV >Ma10_p29250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35903625:35912493:-1 gene:Ma10_g29250 transcript:Ma10_t29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTRLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIIEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIVSKA >Ma10_p29250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35903625:35912487:-1 gene:Ma10_g29250 transcript:Ma10_t29250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTRLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIIEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIVSKA >Ma08_p26300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38891643:38892301:1 gene:Ma08_g26300 transcript:Ma08_t26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLGRKHKLHAEHYCRMQSGKRVVESAKETAGNITASTKAGMEKTKASVEEKVEKMRARDPEEKAEAGRRKEERKYEAEAEKEAAKERHAAGREDVRAGGAAGGGVAGHPAGQTPVASGGHPHAGGRGSTTGPGF >Ma06_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8259732:8262828:-1 gene:Ma06_g11860 transcript:Ma06_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLCCFSGSCSQLAGHSSNDAGKGKSSLSGVKITYGFSLVKGRANHPMEDYHVAKFIQIRGQELGLFAIFDGHLGDDVPAYLQKHLFTNILKEEDFWNDPGGAIFKAYGKTDKAILSQSPDLGQGGSTAVTAILMNGRRLWIANIGDSRAVLAKGREVIQLTVDHEPSTERGSIENRGGFVSNMPGLYIVLKKSHTCILFSSSQLPTISTFDCI >Ma06_p11860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8259142:8262828:-1 gene:Ma06_g11860 transcript:Ma06_t11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLCCFSGSCSQLAGHSSNDAGKGKSSLSGVKITYGFSLVKGRANHPMEDYHVAKFIQIRGQELGLFAIFDGHLGDDVPAYLQKHLFTNILKEEDFWNDPGGAIFKAYGKTDKAILSQSPDLGQGGSTAVTAILMNGRRLWIANIGDSRAVLAKGREVIQLTVDHEPSTERGSIENRGGFVSNMPASLSFDGGQ >Ma04_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7631136:7637630:1 gene:Ma04_g10750 transcript:Ma04_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALLTRLESAVARLETLAASGSAPLVSSRDLPDPSALDPAISAFEDLVADSLGRVSASAGKIGGQVLDATKILEEAFAALRELLVKAKRSQKPDLAGLAAFLKPLNNVMVKANSLTEGKRSDYFNHLKTVADSLTALAWIGYSGKNCGMSMPIAHVEESWQMAEFYSNKILVEYRNKNPDHIEWVKALKELYVPGLRDYVKRFYPTGLAWGSVNSASLYSTSITKAPTASIPASPPPPKAPLCSTESVPSRPKEGMSAVFEEISSGKSVTAGLRKVTDDMKTKNRVDRSGAVAATEKRGHTSSFSNNSKASPKFELQMGRKWSVENQIGNKNLIIDDCDSKQSVYIFGCKDSVLQVKGKVNNITLDKCTKVGIVFMDVVAACEIVNCNGVEVQCQGSAPTVSIDNTSGCQLYLSNDSLGTSITTAKSSEINILIPGAGPDSDWVEHSLPQQYLHNFKNGEFTTAPVSHSGA >Ma03_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27872032:27873261:-1 gene:Ma03_g23260 transcript:Ma03_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKQISHRLSTESKFLPNLERLVLKDMMALEELPSLGQLPSLKVLRIERMPAVKKVGYGFFGSRDQGKCLPSLEKLKFRDMPELEEWSWADGRRLFPCLRILEIVECLRLKRLPPLPPVETLRLDKVGLTEVAGLREGIHGGDSCITASLSSMEISQCLNLRNLEEGLLSHSFPNIGDIAILECAELVLLPEKEFKELTSLKKLSIRSCPKLLSMTRDGDIDIPLPPSIEELVLFDCGNMRLPVHRVSSSNVAASPETTSISEILEMCLTALRWLEFKDCKNLQSLPIELHAFPSLCLLTIIGCPEIQALPEKGLPTEE >Ma09_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12103510:12107496:-1 gene:Ma09_g16690 transcript:Ma09_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRANIIPSEIVECVMGRVDTIMAKGTLDCGSVSQQLAFYILGSTLFGDAFLDWPKASIYEKLLITISKDGCFWASYTIPPFWSREYWKYKHMCKRLKHLTQDIIQHCVEKYDLLSKIGHSSYKDNKDIEDEARFNDSVLPDNMPSGVLLQEEMAEYLNSKEELCGNILGLMFHGCLATSSLISSILTRLALHPELQQKLYAEILAVQEKTCKLDSDDVQKMNLLMATVYESARLLPAGPLLQRCSLEHDIYLCSGVNVPAGAIMVVPLQLVQMDSYIRGKDAGRFNPLRFLSEATDHIGTAAIA >Ma05_p31620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41453404:41458116:-1 gene:Ma05_g31620 transcript:Ma05_t31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSKEFMDSPLGDRGANDLESVVVQGDHIQTAAADSVVRHKSSGSSKSFARTLLSQPSKIMMSFRESVKSPSSRIQALRERRNAGRMNRVTSRTQIGLAGLRFLDKTSAGNDGWKAVDKRFGQFAVEGRLPKENFGPCIGMAESKEFAGEIFVALARRRNLEPENGITKSELKEFWEEMTDQNFDSRLQIFFEMCDKNGDGKLSEDEVKEIIILSAAANKLAKLKAHAATYAALIMEELDPDGLGYIELWQLETLLQGMVSSDGAELTKSSQSLARTMIPLKYRNPVTRFFSMTTDFVHENWKRIWFISFWLTVNLVLAGWKFLQYERRAAFEVMGYCVCMAKAAAETLKLNMALILIPVCRNTLTGLRSTRLSSIIPFDDNINFHKTIALAITIGTLVHTIAHVTCDFPRLITCPSPTFMRTLGPNFNYKQPTYASLVASAPGATGILMIIIMAFSFTLATHSFRRNVVKLPSPLHHLSGFNAFWYAHHLLAVVYVLLIVHSYFLFLTKEWYKKTTWMYLTIPIIFYTCERLIRRVREKRFHVSIIKAAIYPGNVLSLHMKKPAGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYVSVHIRTLGDWTSELRNLFGKVCQSQVTLKKANLKRLETTVVADVQFEDTRFPEVFIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKTNEEMQRIHNADASDTNADASNTKGNGPGRAYFYWVTREQGSFEWFKSVMNDVAERDYNNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFTDLSNTHKAARIGVFYCGPPTLTKSLKDLSQEFSHDTSTRFHFHKENF >Ma11_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12756723:12760558:1 gene:Ma11_g11320 transcript:Ma11_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) UniProtKB/Swiss-Prot;Acc:Q9ZVH3] MDFSTIASRLGLAGSKPLIRKAEELRRFSDVQFNSSIIGVGEIAKAIICLELAASRFDVVFDRQSAIKMSGMSEKAYMRSLNAMKNGIGVKPSLDVRQLGIQFGCVRLIPFVKKGLTLYKDRFLAALPPSRRTSTDFNRPVFTAVAFYLCAKRHKLKVDKLRLIELCGTSESEFATVSTSMGDLCFDVFGIFKEKKNPKAVKGHRELLDALPSKRRRADDGDASDDSSGDELSSYKRHKKMEKQAYENWKISVISSNKQEKTAPLKAKKQATLNFTRKSPSSIALEAL >Ma09_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:514165:519221:-1 gene:Ma09_g00760 transcript:Ma09_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDTQDLLVECCVEFINLVSSESNEVCNREDKKTIAPEHVLKALEVLGFGEYIEEVYAAYEHHKLETLVEMTEEEALAEQQRMFAEARARMNNGVSMQKQPDSDHNLESQ >Ma09_p00760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:514277:519221:-1 gene:Ma09_g00760 transcript:Ma09_t00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDTQDLLVECCVEFINLVSSESNEVCNREDKKTIAPEHVLKALEVLGFGEYIEEVYAAYEHHKLETLR >Ma09_p00760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:514251:519221:-1 gene:Ma09_g00760 transcript:Ma09_t00760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDTQDLLVECCVEFINLVSSESNEVCNREDKKTIAPEHVLKALEVLGFGEYIEEVYAAYEHHKLETLDSPKGGKFGGIEMTEEEALAEQQRMFAEARARMNNGVSMQKQPDSDHNLESQ >Ma09_p21940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33912704:33915022:-1 gene:Ma09_g21940 transcript:Ma09_t21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAAVAAEGLGVRGCCSLPVASAKSSLGRPTIVASKTTRPRRRVNGSPPRKQQPSVAEVQKAIGVVDDPFRSPDGASSSSSSSSPFLGFLQDESPAERKLRKAAEWVVDSTEAQAQSGQRILLLVCLNILPVWLLLLLVASGVVKLPFDLPFWNDLIS >Ma09_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33912690:33915016:-1 gene:Ma09_g21940 transcript:Ma09_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPASSSLPSRRSRKQLASSMTPSAHLTVPLPPRPLLRRFLDSCRTRAPRRGSFARPPSGWSTAPRPRRSPVPPSLSLSFLLLLVSSLLSIAVEEKGQRILLLVCLNILPVWLLLLLVASGVVKLPFDLPFWNDLIS >Ma03_p30130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32895848:32897359:1 gene:Ma03_g30130 transcript:Ma03_t30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTLAYSAATVAVAGDKEEKAYKQDSADGEEEMAFEEAIDGGEEETAYKEAAVDVEVDSADEEAAVTAGDRDAAVELLEEIRALKDERSDLQRERANLLRDLSDARTKLAGAESDLKTVAGQASRLEGEVRIIQDDLSTANIVSMEQEEMVRRLEGELRIAQDGLSTAHIAAMEQEEKVRRLVGELRIAQDDLSTAHSAAVEQEEKVRRLESELRITQDDLSTANIAATEHEENVRRLNNSIKDLEAKFKVKINDLETTVKVLEEELRVSKQKERDEAEKFAAVEEELMTKIAELRSTVEIDKEEKAFEIDGKVDAGVLPVHSQALRTSAVIGIVALAAGAVVCLQLAKRR >Ma11_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5367474:5378022:1 gene:Ma11_g06680 transcript:Ma11_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEVRKVSRQDIQLVQNLIERCLQLYMNQREVIDTLSFQAKIEPSFTQLVWQKLEEENREFFEAYHVRLILKNQILIFNKLLEKQVELMQRACPSVVAAVPHPNGSNSSLHQAPSCYMPQHASTSSRLDNMLGNGGFSCAFLNGRPSGQGGNYLGDDSSILAGSMNASTSMLSAPSSNMGRIPGISRTIIKSEHDYSNNSEFPFSNDSSILEAHQPTGDASAGSFSSSELTGQPLNDALLDIDTSSLGFSQITRNFSFSDLTDDFTHCADILENYDRSPYLPPDSNNFSDSPAREFKEEDIRKLESISEGVSYEDFGSDSLDI >Ma05_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8010127:8012136:-1 gene:Ma05_g11040 transcript:Ma05_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAAEPAVMDRERLTAEMAFRDTSIVIKIRRRLPDFLQSINLKYVKLGLGYTTIPTAYLLVPLLFSALAATIRLDRILVTTPARFSIDPATGLASALVALVLLAAYYLKRPRPVYLVEFACYKPDDEHKISKEGFLEMTDCTGVFTEESLGFQTKITMRSGLGDETYLPPGVQARPPRLCMAEARLEAEAVMFGCLDALFEATGVDPRRDVRILIVNCSLFNPTPSLASMIVNRYKMREDVKSFNLGGMGCSAGLISIDLAKDLLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAMLLSNRRADAGRAKYRLVHTVRTHKGADDSCYGCVYQREDGRGAIGVSLARELMAVAGDALKTNITTLGPLVLPLSEQLKFLASLVGRRVLRLRGVRPYIPDFRRAFEHFCVHAGGRAVLEEIQKNLGLGSADMEPSRSVLHRFGNTSSSSLWYELAYAEAKGRVRRGNRVWQIGFGSGFKCNSAVWRALRDVPPVHQGSRGRCNPWADCVDRYPVKGQA >Ma08_p15690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15891623:15897817:-1 gene:Ma08_g15690 transcript:Ma08_t15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWGVAADSAVLLQPAAAGFRAPAADFRHLASSFCHPDLRPSFSAKSSIGWPKIGIRLENHRTRILQVRALGNSSDGQTPIAPLQFESPTGQLLSQIMQTHPHLLPAAIDQQLERLQSDIDAENAKTPVASQDLPLYRFTKERIAEVKEKERHKALEEIIYCLIVQKFMEKEIDMIPTVTTSTDPTYRVDSWPNQEHKLESMHSPDALEMIQSHLTLILGERVGGPLNTVAQISKLKLGKLYAASIMYGYFLKRVDERYQLERTMKTLPTKPRGQRIFDELKPNPLWDLESMVQISSDDDDFDGDETKPYRLRSYVMYLDAETLQRYATIRSKESISLIEKQTQALFGRPDIRIADDGSLETPNDEVVGITFSGLTMLVLEAVAFGTFLWEAEGYVESKFHFLSS >Ma08_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15891623:15897817:-1 gene:Ma08_g15690 transcript:Ma08_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWGVAADSAVLLQPAAAGFRAPAADFRHLASSFCHPDLRPSFSAKSSIGWPKIGIRLENHRTRILQVRALGNSSDGQTPIAPLQFESPTGQLLSQIMQTHPHLLPAAIDQQLERLQSDIDAENAKTPVASQDLPLYRRIAEVKEKERHKALEEIIYCLIVQKFMEKEIDMIPTVTTSTDPTYRVDSWPNQEHKLESMHSPDALEMIQSHLTLILGERVGGPLNTVAQISKLKLGKLYAASIMYGYFLKRVDERYQLERTMKTLPTKPRGQRIFDELKPNPLWDLESMVQISSDDDDFDGDETKPYRLRSYVMYLDAETLQRYATIRSKESISLIEKQTQALFGRPDIRIADDGSLETPNDEVVGITFSGLTMLVLEAVAFGTFLWEAEGYVESKFHFLSS >Ma09_p27370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38361389:38363464:1 gene:Ma09_g27370 transcript:Ma09_t27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWIRRWSTEPEILGSIPSGVEVFAANRDGLKRSRNPNFEPIGCSNLWHIMTSGASQINDERRAASGCGFSTRWIDSFYICWDHTCVCHIHSIVQSLGCMKCFLKLYLSIDCYILSSIFGIYMLEATSILSSFFYFTCERMNLFDI >Ma06_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17948093:17956094:-1 gene:Ma06_g22410 transcript:Ma06_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNDGVAERDSEKRKEVMRSDSKEGLEPGANYRAYPKPLAKYEDVVADPELFKDTLMKLHAEMGTKFMVPIIGGKGLDLHRLFVEVTSRGGIEKVIAERRWREVTAAFSFPSTATNASFVLRKYYLSLLQHYEQIYLFGSQGWNLPNVPSNTSLTTSVSSQKLVEPVKSHPEAQAALHKRRRNSGSSPTYPPVVGVIDGKFEHGYFVTVTVGSEKLKGVLYHISDQAPWPLVCADESNLRSPRQRRCRKKLSMLDPNHPKPNRSGYNFFFAEQHARLKPLHPGRDREISRIIGVRWNGLTETEKAVYQERGLKDKERYKNEMAVYNERVKAGQHTNLSPIQQLPVQPMMAGQTDTKSEKVDNDVNVTDEDCFSSDDSDSDGESSHDDSEMGRSLDRSAIESTCLAETLKEEDRFELRRREDEKLGNGA >Ma06_p22410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17948093:17956089:-1 gene:Ma06_g22410 transcript:Ma06_t22410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNDGVAERDSEKRKEVMRSDSKEGLEPGANYRAYPKPLAKYEDVVADPELFKDTLMKLHAEMGTKFMVPIIGGKGLDLHRLFVEVTSRGGIEKVIAERRWREVTAAFSFPSTATNASFVLRKYYLSLLQHYEQIYLFGSQGWNLPNVPSNTSLTTSVSSQKLVEPVKSHPEAQAALHKRRRNSGSSPTYPPVVGVIDGKFEHGYFVTVTVGSEKLKGVLYHISDQAPWPLVCADESNLRSPRQRRCRKKLSMLDPNHPKPNRSGYNFFFAEQHARLKPLHPGRDREISRIIGVRWNGLTETEKAVYQERGLKDKERYKNEMAVYNERVKAGQHTNLSPIQQLPVQPMMAGQTDTKSEKVDNDVNVTDEDCFSSDDSDSDGESSHDDSEMGRSLDRSAIESTCLAETLKEEDRFELRRREDEKLGNGA >Ma05_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35286156:35286769:1 gene:Ma05_g23260 transcript:Ma05_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMLSTSVAFFLALSLLFLTLTNVRGLCPMPRPPPIRRPPPIVRPPPPSVKCPVDTLKFAACANVLGGLINFEIGTPPKEPCCSLLGGLADAEAALCLCTALKANVLGLNLNIPISLSLLVNYCGNGVPAGFQCP >Ma10_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28338269:28340105:-1 gene:Ma10_g16720 transcript:Ma10_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALAYVAAAVWRKVSEQLRVEDVGLAVVGLFLCSAAMQRLTSKGPMIWPVLGIIPTLFFHLDNVYEWATGAIAGAGGTFPFRGMWFGNCYGVMTVDPAKIEYILKTRFANFPKGRYYRERFAELLGDGIFNADDEAWKEQRRAAACEMHSGRFAEYSARTITSLVHDKLLVVLHKLARTRESVDLQDLFLRFTFDNICTAAFGVDPGCLAVDLPVVLFAKAFEQATELTLFRFIVPPFVWKVMRRFDVGSERRLKDAVRIVHKFAEKTVMDRRAEFESRKDSIFDRSDLLSRLIEAETEEADHGGCTKPKFSNKFLKDFCISFILAGRDTSSVALAWFFWLLTEYPHVEERILREISDTIKRREDRVHDLDHVIFTVDELKRMDYLQAAISESLRLYPSVPIDFKEAMEDDVFPDGTALKKGARVIYFIYSMARMESIWGKDCREFRPERWIKDGMFATESQFKYAVFNAGPRLCIGKKFAYMQMKMVAASILLRYHVEVVKGHKVAPKMTTTLYMKDGLQVTFNKRDDLIAVH >Ma03_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10529231:10530152:-1 gene:Ma03_g13380 transcript:Ma03_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWNKKVVFPVKRAWVAVTARVKSRKHGDGILKLRDDVQMCGYQDVQVMWEMVRRSETEVSQATKRHKRQFWRPSACSSQTSACDDPTEPSHHH >Ma01_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16496164:16499558:-1 gene:Ma01_g20040 transcript:Ma01_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQVDEQELPPPRSSSSSEVMEQQRGNVREPLLEHSPIDLRRQAANTTSQGAIVGSNLCPIESLDYELIENDVLNQDWRSRGRAAILQYVFLKWTFCLFIGILAGAVGFFNNLAVENIAGRKFVTVSKFMLANKYWTAFWVFAGSNLTLLTFATAITAFVSTAAGGSGIPEVKAYLNGVDAPDIFSLRTLVVKIVGTIAAVSSSLHVGKADPMVHIGACIGAMVGQGGSRKYRMTCRWLRYFKNDRDRRDLVTCGAAAGVAAALRAPVGGVLFALESLSSWWRSALIWRAFFATAVVAVTLRALTDICGRGNCGLYWKGGLITYDVTADTVAYRLADLPPVILLGVIGGVLGSLYNVLMVKVLHVCSPVNERGRAHRLLLAAAVSICISCCLFGLPWLAPCRPCSNKDCSTVAHPGGFKNFQCPPDHYNDLASLFFNTNDDTIRKLYGRGTNDDFQKSSIMVAFAASYVLGILSYGVVAAPFGFFVPIMLTGASYGRLVGMAMGSDTNLDHGLFAVLGSASFLGGTMRMTVSVCVIMLELTNDLLLLPLVMLVLLISKTVADAFNPNIYDLILRLKGLPYLDDHAEPYMRHLTVGDVVAGPLRTFNGVEKVGNVVHILKTTGHHAFPVIDEPPFSSSPVLYGLVLRAHLLSLLKKKRFLPTRSVAGLDAAGQFGADELGKRGSGKHDRVEDVEVSAEEMEMFVDLHPFTNSSPYTVVETMSLAKALILFREMGLRHLLIVPKSSSRAPVVGILTRHDFMAEHILGLHPFLRKSRWKRLRFQGATLRRLCRACLTWASS >Ma01_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4033064:4035506:1 gene:Ma01_g05700 transcript:Ma01_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILKVYVYEDGNRPLCHTPVLRGIYASEGWFMKLMQENQQFVVKDPEKAHLFYLPYSSLQLRTHLYVPNSHSLQPISIFLRDYVNSISAKYPFWNRTKGADHFLVACHDWAPYTTKLHNELRQNTIKAVCNADASEGIFVPGRDVSLPETHIRTPKRPDSDIGGRPASERSILAFFAGQMHGRVRPILVGQWRGRDKDMRIYEALPADIARKMSYAEHMRSSKYCICPMGYEVNSPRIVEAIHYECVPVIIADNFVLPFQEVLDWSAFSVVVAEKDIPRLKEILLGIGEERYMTMQMNVKRLQKHFVWHGRPRKYDLFHMILHSIWYNRLNQIPVQ >Ma04_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8091387:8092980:1 gene:Ma04_g11470 transcript:Ma04_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEFSGADIKVICTEAGLLALRERRMKVTHADFKKAKEKVMFKKQEGVPEGLYM >Ma01_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13316419:13316998:-1 gene:Ma01_g18000 transcript:Ma01_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAYIREKMLNVTYSFLSLTHCFVSLIGINKSMTIPMG >Ma08_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14828712:14829675:1 gene:Ma08_g14900 transcript:Ma08_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRQQLTAKHAAHRLFTPTAAGFDADEFEESDVWGSPIEPRLAEFPKPAPSSRPSSARCKKADRAVADAAAAASLPVNIPDWSKILGSYYGGGSSNSARGWWEEEGEEGGAGGPMIPPHELLWRSRAASFSVHEGVGCTLKGRDLRRVRNAIWEKTGFQD >Ma01_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15030979:15038236:-1 gene:Ma01_g19400 transcript:Ma01_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRENKAGELGDESEGGDEKTFEEGVWDTHPRLRRRQWTDTQNSLAIKYRHYLDVGFGFDRPTTPSEVVDCCCGDHAQEDLTRVVQARPLCRIRRRSGLGSPHGTARKFYKSQDTRHASMRTLGIACSPDVQQKWTEDRKYDPRLEDVREN >Ma08_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4285972:4288938:-1 gene:Ma08_g06340 transcript:Ma08_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGHLHGYSSEEIELVALLVRFHRKKFPRYQNDHLKELSAEMRQKFRVLCVITRISLRLQKCQCVTSQRLEVFPTEEGFEMVLGSLKDHLQGSHGIELTSAIIEEELRPELDHFEEVFQQKMSVSVP >Ma07_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2449694:2450336:-1 gene:Ma07_g03170 transcript:Ma07_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSFPTVDDEEDDRYLRRSFSEGDAYVTRSSPALLGDGDSVECEERMDSLWEDLNEELYRVPIDAEKSTRRWRRRSAGMDALAAAERKLLVAKKEQQGAVELRLLPALEVSRGASLRRKPGFVVMLRMLKNLFRVRKTHSSKRRSQQQK >Ma05_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36957007:36958011:1 gene:Ma05_g24800 transcript:Ma05_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSICSNPMLTNGLVVENSFAFEDTVSRLAGAGRFDLIERLLEHQKTLPQGFIVRIIMLYGKARMSDHALKTFYQMHLFGCPPTVKSFNATLEYPLFFWLLSLTFIHISLSL >Ma06_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22790939:22791550:1 gene:Ma06_g24300 transcript:Ma06_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVRIEGNMVTFYKNLTTINTLPYIPTAAAIEELDLEEDDYIQIQEALKAQGYIGEDPLKHWGKNKITYKLEIKNQDFVVEDKPLKHLTTQAKEAFSRHVKALLDIGVIRPSKSKHRTTAIMVNSGTTIDPITGIEKKGKERMVFNYRRLNDITEKDQYSLPGINTILKKVNNSKIY >Ma02_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15907210:15907629:-1 gene:Ma02_g04490 transcript:Ma02_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIPVHLFKVGTGLNASSMKLVSCNWVLDLIEHIALVSAKH >Ma10_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30053595:30061435:1 gene:Ma10_g19540 transcript:Ma10_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEPTLAPEWYKGSTGSASASGNSNHRSRSSLHSDERGARHPSRNRSSLSACDLDASRSSAFSDGSLSSFRRSVSSNSSSTHDRVNTSSLRSYNSFGRNHRDKDREKEHDQRERNRSYLVENGSSNYTDAKNERDTLRRNPSFYGRKSEASSKNSSSNLSNGIIPGTITMHVNTQSFEKEFPILGADDRQSDVARVSSLGLTTALNNLSRTTSAGIRGDGWTSALAEVPVRVGGNGPATSSATSTALSSSTRLNMAEALVQAPSNARTPSQASVGTQKVEEFTRLQYTKLIPITPSTPKSSAHNSLEKSKVRGTRSGESCVSSKIGLQSSSQTVHAPARSDIVKTSQAGNLQVITFSPKSTVNPKQKLDNKGVSPSTQTCYGEKRPNTQAQNRNDFFNIIRQKSSLDPPELSQKRLTVKMSDSDATGDKNVASEGPNKYLLNSEDETASSDPVIAGSLSLSSDHVLLKCVNDGGDENLSLGPTAAVSMTASPAVRGEVGSSPDSVMIASVPKNVDEIISDFVPSPCEEEATFLESLGWDINADEEPLTPEEIAEFYQKHPERKAFSWPGHHMSQ >Ma10_p19540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30053595:30061435:1 gene:Ma10_g19540 transcript:Ma10_t19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEPTLAPEWYKGSTGSASASGNSNHRSRSSLHSDERGARHPSRNRSSLSACDLDASRSSAFSDGSLSSFRRSVSSNSSSTHDRVNTSSLRSYNSFGRNHRDKDREKEHDQRERNRSYLVENGSSNYTDAKNERDTLRRNPSFYGRKSEASSKNSSSNLSNGIIPGTITMHVNTQSFEKEFPILGADDRQSDVARVSSLGLTTALNNLSRTTSAGIRGDGWTSALAEVPVRVGGNGPATSSATSTALSSSTRLNMAEALVQAPSNARTPSQASVGTQKVEEFTRLQYTKLIPITPSTPKSSAHNSLEKSKVRGTRSGESCVSSKIGLQSSSQTVHAPARSDIVKTSQAGNLQTCYGEKRPNTQAQNRNDFFNIIRQKSSLDPPELSQKRLTVKMSDSDATGDKNVASEGPNKYLLNSEDETASSDPVIAGSLSLSSDHVLLKCVNDGGDENLSLGPTAAVSMTASPAVRGEVGSSPDSVMIASVPKNVDEIISDFVPSPCEEEATFLESLGWDINADEEPLTPEEIAEFYQKHPERKAFSWPGHHMSQ >Ma06_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7028404:7032743:-1 gene:Ma06_g10130 transcript:Ma06_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFCGGSAKLANASSPYASKNPLSSINNFSSSKSTTTYDSSFKSKPSTTASLQSFSLSDFETAAESFNKGDASTTVSLKSFSLNDLKTATKNFSSNSYLGEGGFGCVFKGWIDELTYAPTRPGVGIIVAIKKLKRESFQGHKEWLAEITYLSQLRHENLVKLIGYCSESNNKLLVYEYMQKGSLENHLFKRGVQPIPWSVRVKVAIDVARGLSFLHGLETQIIFRDLKASNVLLDSDFNARLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYIATGHLSLKSDIYSLGVVLLELLSGKRALDEDNGSREKILVDWAKPFLNDKRKMLRVMDTRLEGQYSKKEAQTIAALALQCLHADPRIRPNMTHILPALEQLRAPKDTPRMYSRA >Ma05_p28350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39384344:39388491:-1 gene:Ma05_g28350 transcript:Ma05_t28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSQNVIVQLLCSQVNLRMILMMKFNHMGLCVSSRLSWLQLNETLSATNDVRIVNTISNIMYT >Ma11_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3178354:3181614:1 gene:Ma11_g04080 transcript:Ma11_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPFNGQGKGGLEAVGTILEGNQALFWVNKRPKFVIQEGVEPRSVLDHRSPSPPTSTATLSSSLGGGGSSDTAGVAAVSDNPTNKWALSDSTAEEGGGGAAGKEEWAAELQPIPAGLDMGFVAGGEKCGVGVDDWEAMLSETSAASPSREQTFLRWIMGDVDDPSAAGFKQQHHQQLLSQAPPDLDGNNGGLGFGILDPCIGLGSIGRIADDASVSASMATPPPLASNVASGGGFSLMNNNSWMSPPPVNAGIKGVAFGHHTGGQLFSLPPQAGNSMALPLSLPPGMYFPDAVEDKPQLFGPGLLNQPPATPNPPFFLSVGQVDHQQLPHLLIPNQPKRHNPIADQIPPKLPFLESGGSSELFLRRQSFQQQQQHSQGFPLPQIQQRSVKPRVAAFGDDVTAAMAAQQQLQQALVNLLFEAAEMVEARNFSGAHAILARLNHQLPSPLGKPLIRSAFYFKEALQLILSNGPNPVRSSSATSHPHHQQGPFSTPLATQLDVVHKLSAYKAFSEVSPIIQFANFTCIQALLEELNGSDRIHIVDSDIGFGGQWSSFMQELAQRRCSTAGAVRMLKITALLPHYPHNNLELHLVRDNLSHFASDLNIPFEFNIHSLDSFDPSELLGLGGEAIAVNLPVGSANFPFPALFRLVKQLSPKIVVSVDQGCDRSDLPFLQHFLHAFKSSLVLMDSIDASGTNQDMACKIEKFLLQPRIESSVLGRYHAADKMLPWRTLFTNTGFVPLQFSNFTETQAECLLKRVQVRGFHVEKRQASLYLYWQRKELVSVSAWRC >Ma08_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17028722:17029954:1 gene:Ma08_g16110 transcript:Ma08_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVIHMLEVDDFPYRDNHRAGKDPRKTYRDSPQERGKLLEQPMTTVPSNNSGNDNMLDILGGEKYLSDAMKELVFVIKIEQHLRKFIAGRHMFSPSQKWLIRMLSLPSVNYVSC >Ma04_p27050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28308644:28311471:1 gene:Ma04_g27050 transcript:Ma04_t27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPTPLPLSSVWALTFCLLMSLADADVDGSPALSPVPSPFSSFQNQLFPLSPLSLAPSPAEMQTMEEAHHRHYLKELTVAVVLASVAVLALISSALCAWIVWRRSRQMLDSKDIERSDTAAGGLPFGPILSKLNSLRTTSKKGLLPIIDYALLESATNKFSEGNILGEGGFSHVYKASLNGEAFAAVKKLDGGGQDCEREFENEIELLGRIRHPNIVSLLGYCVHGETRMLVYELMQNGSLETQLHGPSHGSALPWHTRMKIALDIARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKISDFGLAVIGRNHSKGGLKVSGTLGYVAPEYLLDGKLTEKSDVYAFGIVLLELLLGRKPVEKMAPSQCQSIVAWAMPQLTDRSKLPSIVDPVIRNTMNLKHLYQVAAVAVLCIQQEPSYRPLITDVLHSLIPLVPVELGGMLRVVEPLPGANQKSSAH >Ma02_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17888016:17889263:1 gene:Ma02_g07050 transcript:Ma02_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGEEDAVEIRDVWAGNLESEFAVIRDIVDDFPYVAMDTEFPGVVIRHLGDFKQPADANYHSLSANVDLLHLLQLGLTFSDAAGNLPTSPSSGRPVVWQFNFREFDVDSDVFVPDSIDLLRKSGIDFKKNREDGVDARRFAELFMSSGVVLNDSIHWVAFHGAYDFGYLIKILTCRKLPETRKEFLDLLHVFFPVVYDIKRVIHLNNNLYGGLNKVAEKLEVERVGTCHQAGSDSLLTARAFMKMRGHRFVGSMEKYVGLLFGLDIESAHNQN >Ma07_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:645163:648938:-1 gene:Ma07_g00780 transcript:Ma07_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSHLLFVFLFRPSAAAAAAGAVYAEEHHALLSIKSQLSDPGAALTAWDPATDHCAWPGVTCDRAAVVELDLSGRNLSGPLSPAFGSLRSLLRLSAAENSLSGPIPPALALLTVLRHLNLSNNLFDGSFPPALAGLADLRVLDLYNNNLTGPLPREVAALHQLRHLHLGGNFFSGSIPSEYGRWQFLEYLAVSGNELGGPIPPEIGNLSSLRELYIGYYNSYEGGLPPEIGNLSTLLRLDVANCGLSGRIPPEIGNLRILDSLFLQVNGLVGEIPLELGRLRSLKSMDLSNNALTGEIPPSFADLGNLTLLNLFRNKLFGAIPEFVGDLPELEVLQLWENNFTGSVPRRLGKNGRLQSLDLSSNKLTGSLPPDLCYGNKLQTLIALGNFLFGPIPESLGRCKSLSRIRMAENYLNGSIPRGLFSLPNLSQVELQDNLLTGGFPDTGRSPISPNLGQICLSNNRLTGPLPPSIANFFGLQKLLLNQNSFTGGIPPEVGRLQQLSKLDFSGNRFAGPITPEISKCKLLTFVDLSRNELSGDIPEEIAAMRILNYLNLSRNHLEGQIPAAISTMQSLTQVDFSYNNLSGVVPGTGQFSYFNATSFVGNPDLCGAYLGLCRPGISNAGHSAGAKGSLSASSKLLLVIGLLLCSAAFAIAALFKARSLKKASEARAWKLTAFQRLEFTCDDVLNCLKEENIIGKGGAGIVYKGVMPNGEQVAVKRLPAMSRGGLSHDHGFNAEIQTLGRIRHRNIVRLLGFCSNHETNFLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSNFEAHVADFGLARFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVREVTGLKKGEVTKILDPRLPTVPLHEVMHVFCVAMLCVEEQSVERPTMREVVQILTELPKPPPKQGGDTPTPRGDVSAPRYIIPETREQQQQPASDSLPSDLLSM >Ma01_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7704012:7706097:-1 gene:Ma01_g10720 transcript:Ma01_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTIGVSTSLVGHHLFDRRPFPKEVNFKRRIDFCSLLVTEFMGQRLSIGPSTSIATPRQRCNRLIGSTIRSLAMELTKEKNPSKEDWRRIAHDLDYTTDATGLSPGRLWPPANKADDPMIHNPLLRQKRMGCGWFTVILELEGVIVEDDPELEKQAWVVLSREEGRSPPLAFVLKRIEGMKNEQAISEVLCWSRDSTELRRLASRKEEIHQSLRNGGCYQLRCGSREFMTTLANHKIPLAVVSTRPWKILQEAIEAVGVRSFFEVIVAAEDVYRGKPDPEMFVYAAQLLNFIPERCIVFGNSNSTVEAAHDARMKCVAVASKHPVYELRAADLLVRRLDEISVIDLKNLSDIDSPEFASEPEVEMEEEDDPSPSSSVGVDDFFW >Ma09_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2184343:2187928:-1 gene:Ma09_g03210 transcript:Ma09_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVRARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDRTIKINKPDQAAAEGTAAPRSACCGS >Ma06_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6077085:6078245:1 gene:Ma06_g08600 transcript:Ma06_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEEGRQALIPGLPDDIALDCLARVPHRFHSGLRLVCYGWRDLATGPCFYQHRERIGAAEDLIFLVQALVKKGSGDGGEAKEEDEAENGCSAAVCSPPTYGLSMYNATEGSWHRVVMAEPVPLFAHVVAVGGKLVMVGGWDPVTLEPVAEVRVLDLAGGEWRRGAAMTAARSFFACAAVAGRVYVAGGHDAGKNALREAEAYDAAADQWAAMPAMGEERDECKGVAAGGQFWAVSGYGTEEQGMFGGAAERYDEAAGEWRREEGVSEAAAEGGSGGGDAAYVGVARGRMWSVECGGGRRGVREYAGSGRGWKEVAPLPEGAMSRPCAAAMGGGERVFLMAATAEGNGSGPHRGWILEAGSANWARVETPVRFSGFAYSAAGVRL >mito5_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:27640:27894:-1 gene:mito5_g00010 transcript:mito5_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPIFFFCLGRALIMTICLGFSCRNVMHRSQMLNSHFRFLAQGIEVSCNLTLFSIQWFFSFLLCLFVLFVFCLISLLSNSQIL >Ma07_p27690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33970646:33971208:-1 gene:Ma07_g27690 transcript:Ma07_t27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLFSLGMRSDSTSSPLSPLLFLSRHRVQRLQWGCCWSRWISWSPSGRCKRGDCRRSSTPRLRSLAPSSSPLSTAFWWWESDPLDDGSGGWTTKLMGK >Ma09_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32821353:32826608:1 gene:Ma09_g21550 transcript:Ma09_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAEDRKEATVVETDKETEEEEEDDAGGRDVAAVLDFDMLCATVALQTQGLSVGKTRRKDSVAEEANEEAGLEFGGVQRMWEGDVMDCFEDRRIAIEAACCPCYRFGKNMQRSNLGSWFLQAMVYFIFIVVSLFNFIAFGITDHHIFLYMGIVSTILTGLYLGYFRTRIKKQFNIRGSDSSLDDCVNHLICPCCTLCQESRTLEMNNVQNGVWHGRGDTLCLATGGEGSKVFTALRKPPLFPTKSPDICSMERTTNGSEHLWNADGSHSEPLVPSVQLGQQA >Ma09_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8349905:8350057:-1 gene:Ma09_g12400 transcript:Ma09_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGQTATTKQQDYLTSNSNPHQKSNITLINRNKKLSSTIYLAFDCHKN >Ma04_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4858050:4858401:1 gene:Ma04_g06650 transcript:Ma04_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKLPLAILLLLSGTVMFGWIQYSAEAKVCPLFCVQAEYMTCNSTAGERLNPVCNCCFAPEGGCTIYLGNGGKLQCA >Ma08_p28370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40319756:40322495:1 gene:Ma08_g28370 transcript:Ma08_t28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRAEDDYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSITVDAKVLKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENAARWLKELRNHTDPNIVVMLIGNKSDLRHLVAVPTEDCKAFAEKESLYFMETSALEATNVDNAFQEVLTHIYRIVSKKAVEAADDAVPTVPSKGERINVKDDASTLKKLGCCSS >Ma02_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25265892:25266797:-1 gene:Ma02_g18900 transcript:Ma02_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNAPCGACKFLRRKCTPNCLFAPYFPTDQVTNFVYVHRMFGASKLARMLGDLNPAQRQDAVNTLVYEAEARLREPVYGCAGYICLLQQRLERIQNELYNVEKELATYIDPAAFDPFLPPLHHQHQDQHGHHHRQGFYPSSTATYGVLGMGTIAGLGVAAPGTSHYPQILIPEQAQQQQPQPPRIVEAQQMAMAALAAAREQDMLRSFEQQQELAKFNSEFLDSGQGYNKIDYGTMVSAMPAGSSCELPLVPAQPFELSFAVHPQHYPEQQPQQQQQWQTQTQHQRAQSHYGRSDIGPSY >Ma08_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:926017:930020:1 gene:Ma08_g01000 transcript:Ma08_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIALSSPSIRSTTSSPSSSCGNHPPSSLSRFLGCPHGRSPGEPLTASGRSRRAAVVATSNLETAVPAVGQVTEVDKDTFWPLVKAAGERVVVLDMYTRWCGPCKVMAPKFKELSEKHLDVVFMKLDCNQENRPLAKELGIKVVPTFKILKDGKVVKEVTGAKYDDLVVAIETTKSS >Ma02_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16088161:16090873:1 gene:Ma02_g04730 transcript:Ma02_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVILPSPKLRYAYLTSSSSSLQEQGSVSSLQLMSRSREMILSILLTLAAAVHAASQNCSGKCGQVVIPYPFGIEPGCFRDGFAITCNQSTGGPPRAFLGASDIEVTEISLPQGQVRVQVPVAWQCYNESGTESINLPEINYNINGVYKISNDRNKFTIIGCNSLVYLQSEKDGSGSYPFHYYVGCLSYCRDVTSVINGACDGIGCCQSSFPAKLSDSSFLFKDYSHSSMLDFSPCTYAFIVDHDYFSFSAANLMMDKNSSMPLWLDWAFRAVATCDEAARSTNYACRSENSACINSRNDAGYLCNCSQGYQGNPYIDKGCQDIDECTLPETYPCYGVCTNLPGSYRCACRSGERGNPLAAPCIPNTPSAVKVIAGISSAFLAALALILVLLVLQKRRLTMEKEKLSRENCDWILYDKMMSRQVHRMRIFSLQDLQRATDNFHEDGVIGRGGHGRVYKGILEDDRVVAIKRTVVTDERQSGTASQFRQKEEFLNEIGILSQINHKNVVRLFGCCLEEEIPMLVYEFVPNGTLSDFIHKQDSGSAISLDIRLKLAAESAEALAHLHSSTSHTVIHGDVKSSNILLDENKMAKVADFGASTLMLTDETKTVSFVQGTPGYVDPVYCETRRLTKKTDVFSFGVVILELMTRKKAIWDDVPLALMSRQHLLDILDEEVVEEGGKDLLGKVVDLAIQCVCRQQEERPTMKRVAEKLQKFRKLLQKKRGQSRSVGMASLLTRATNSYTGYQRLRESVVLEVGS >Ma03_p05920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4070307:4072730:-1 gene:Ma03_g05920 transcript:Ma03_t05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAGFVFNPSDQDLLWYYLAPKVLGRRIPDKAAVKETDIYHVDPDRLTINTRSSDGKWDYFFVRRDLSEKSRRTPNGFWKEVGEVESINAVFLGGIIGFKRSFVFVEGTEDDPGAITRWEMAEYRLNQERHLLRNDDNPERNNYVACRVYLENEPISECTVDSACRQDAEEEEDDDDNENTEVDSSGVRK >Ma05_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25565061:25565570:-1 gene:Ma05_g18950 transcript:Ma05_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESWLVERAREELEKLESLHPTRFKYLKLELKSLISQPHSYVVAVDEDSSRPPPTTSPAPTQVSSNRKRKTGPSDNDEDEQAEQHQKKQAQKSLSASGRRGGGGGCMKSESSREMAMRRAEACLRRIQQLKHSLFC >Ma05_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37169543:37170799:-1 gene:Ma05_g25160 transcript:Ma05_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSLLSPVSLPLPSFPPPPPPPPAISFENRITPTILLIIVILAAIFFISGLLHLLVRYLLRPNHREPDAMSNVTALQGELQQLFHLHDSGVDQSFIDSLPVFQYKSIIGLKDPFDCAVCLCEFQSDDKLRLLPGCSHAFHLQCIDTWLLSHSTCPLCRRSLPTELSPASSCSPVVLVLESGGESSRGNTSNLPGQDDCVPSTNDKQEAEAKVVPVKIGKLRSVDDGGGEGRETGSGSSSLDQRRCFSMGAYEYVMDESSSLRVTIKPSKKKTALKQRGHRAAVSECDCRSIREGFTGFDASTSVVSRGGGDASTSAGLHRKESYSVSKTWLRSRKDELVAEDDASRRASSFRLPLHRGRDDVKQRSSSSPVTVTDDRDSWCLDVEARSCHSHVAAASMVDETPAFASRGLLWMVRR >Ma07_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2856974:2861438:-1 gene:Ma07_g03730 transcript:Ma07_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEQEKTVIYDHRISTVVPATVTGNAVLHELTNMDLIMKLHYLRAVYYFNQSEITDGITIADLKNPMFLWLNICYPMAGRIRRAESGRPLIKCNDCGLRIIEARCRRTLGEWLDVESSSSSRWRLLVPDKVLGPDLQFSPMVYMQFTRFKCGGMAIGYSWAHVLGDPMSATNCINLWGELFSGNPPPKTLQLNNHQKKAESAATHVKVSPKPIPVNQVELIGDGWLAPNTRKMATNSFRITETKLKKMQPEQLKQVPTFVIISAMIWKCLAKIRKSRESKMATICRYLTLAKCSKILNNMLKTSTVRLDSSAANFGLLELATLICKQEADESKSVEELVDIENGKPDFVLYGANLTFVDMEGINLYGLELKGQKPVQVDYSIDGVGDEGAVLVLQGPQRTNETSSNQERLVMVILPEDEIQQLCELLSSEFGIAQDLKINHKLSVTNM >Ma07_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1497081:1510466:-1 gene:Ma07_g01920 transcript:Ma07_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSGASFLLYLLTTLSSPSNGADRLTLDHPHADGGQPLISKDGSFALGFFSPNGSDNRYIGIWYHKISVQTVVWVANRQRPVTGSHGSLSVAANRTLRITGENSTVVWSSPSLALANPVAQLLDDGNFVVREADANAGDPNSFAWQSFDYPTDSLLPGMKLGWNLTTGFNRQLTSWTNASDPAPGEYTFGIDLRGDPQIFEWSGTHQEWRAGPWNGLRLTGVPQMTSYNKLSFQFSVDATQVVYVFHMIDVSIVSRLFMNHSGNVERLVWVDDSKFWNPLWSNPNDLCDSNFPCGPNAVCDTSKTPLCGCPQGFQPKNPTNWGFRDGSDGLRIKSSPDLFDRDHCDPCSLHLNLGFGMSGMAISLTERHNDEGTEANDLGLPLFDLGTVADATGNFSMENKLGEGGFGPVYKGKLEDEQEIAVKRLSKTSVQGIDEFMNEVVLIAKLQHRNLVRLLGCCIQGEERMLIYEYMPNGSLDSFLFDKAKGWLLNWPTRYSIIVGIARGLLYLHQDSRLRIIHRDLKASNILLDMHMNPKISDFGMARIFGGDETEVNTKRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLILEIISGKKNRGIYDSSRSLNLLGYTWSLWREGKGLILVDEVIGDSYPKAEVLRCTKVGLLCVQERPEDRPTMSSVLLMLGSDSALLPQPRQPGFVAMRGPLDPDSSTSKQDWLSINNVSVTMFEAMLSWATFLLYLLTTLSSPSNGADTLTLDHPLADDGQPLISKDGSFALGFFSPKGSDNRYIGIWYHKISVQTVVWVANRQRPVTRSHGSLSVAANGTLVITGEKSTVVWSSTSLDLANPVAQLLDDGNFVVREADANASDPNSFAWQSSDYPTDTLLPGMKLGWNRTTALNRQLTSWTNASDPAPGEYTFGIDLHEVPQAFKWSGTRAVWRGGPWNGLRFTGIPQMESNNLVSLQFFDDDTQVVYVSHAINDSIVSRLVMNHSGKVERLVWVDDSKLWNPLWSHPDDQCDSISPCGPNAVCYPSRSPQCGCPQGFQPKNPTNWGFRDGSDGCVRKTEVDCRYGTDRFALVSGVKLPDTSRSTVEWVGTTLDQCGTKCLKNCSCTAYAQANISGSGSGCILWSTNLTDLRVYGSGGQDLYVRAAAADLGMSGMAVSLTERHNDEGTKAKDLDLPLFDLGTVADATSNFSIESKLGEGGFGPVYKGKLEDEQEIAVKRLSKTSVQGLDEFMNEVVLIAKLQHRNLVRLLGCCIQGKERMLIYEYMPNGSLDSFLFDKAKGWLLNWTTRYSIIVGIARGLLYLHQDSRLRIIHRDLKAGNILLDMHMNPKISDFGMARIFGGDETEVNTKRVVGTYGYMSPEYAMDGIFSVKSDVFSFGVLVLEIICGKKNRGIYDSSRRLNLLGYTWRLWKEGKGLDLVDEAIGDSYPQAEVLRCMKVGLLCVQERPEDRPTMSAVILMLGSVVSLLPQPRRPGFVATRRPLETDSSSQQDSFSINNVSITTYEAR >Ma04_p33550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33117049:33120456:-1 gene:Ma04_g33550 transcript:Ma04_t33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCSHCNHNGHNSRTCPNRGVKLFGVHLTDGSVRKSASMGNLSLLAGSSGGASPADGPEPGSGAAGGGYASEDFVKGSSSSCRERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRTYVASRTPTQVASHAQKYFIRKTNMTRRKRRSSLFDMVPDEEFPMSFQESEAQNNNLPPVPPTLNEECESMDSNDATIGEAVVPQPEAPQCSYPVILPAYFSPLLQFPFPCWPGYKADTSEQQAHEIIKPTAVHSKTPMNIDELVGMSKLSIGESRGSTLDLLGRSKRQSAFHASPSTKAQA >Ma07_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8117739:8137021:1 gene:Ma07_g10970 transcript:Ma07_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRRASALLRGIRCPRRFAAPRMLLRAGSAPSSSLTSYCGSDSGFSWNKHRLDLMLGIIISGQTVIILGSNQNSVFAQDASVAEQATENDQGQVHVTGLRKIEDGSVISNVHTSKWRIFTDNGRDLFLKGKLDEAEKFFQAALDEATKGFGAKDPHVASSCNNLAELYRVKKAYEKAEPLYLEAINILEDTFGADDVRVGAALHNLGQFYLALRKLEQARKCYERALKIKGRVLGYGHTEYADTMYHLGRVLHLQGKKKEAEDLIRESIRILEEAGLGESATCIRRMRYLTQILLNSNRLAETENFQRKILHILELSKGWDSIDTVIAAENLALTLQSLGNLIEAKELLERCLVARQKIFPQNHVQVAANMLQLARVAMLNSNNLRKLKVSEASSELDSAKLLLNTSVRIAKDILGSSGMNQNSFKSSHSEGEKDRHVALIVQLQSLDSLGLLDVQSRELVEARETFEYPFEVEHALRDCISIFKEPCTRSLVLDAPNVKKEYLSCLKHLRNLATTTMEESQKSIVLQELIDEAQRIEAELLPRRH >Ma07_p10970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8117762:8137021:1 gene:Ma07_g10970 transcript:Ma07_t10970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRRASALLRGIRCPRRFAAPRMLLRAGSAPSSSLTSYCGSDSGFSWNKHRLDLMLGIIISGQTAVIILGSNQNSVFAQDASVAEQATENDQGQVHVTGLRKIEDGSVISNVHTSKWRIFTDNGRDLFLKGKLDEAEKFFQAALDEATKGFGAKDPHVASSCNNLAELYRVKKAYEKAEPLYLEAINILEDTFGADDVRVGAALHNLGQFYLALRKLEQARKCYERALKIKGRVLGYGHTEYADTMYHLGRVLHLQGKKKEAEDLIRESIRILEEAGLGESATCIRRMRYLTQILLNSNRLAETENFQRKILHILELSKGWDSIDTVIAAENLALTLQSLGNLIEAKELLERCLVARQKIFPQNHVQVAANMLQLARVAMLNSNNLRKLKVSEASSELDSAKLLLNTSVRIAKDILGSSGMNQNSFKSSHSEGEKDRHVALIVQLQSLDSLGLLDVQSRELVEARETFEYPFEVEHALRDCISIFKEPCTRSLVLDAPNVKKEYLSCLKHLRNLATTTMEESQKSIVLQELIDEAQRIEAELLPRRH >Ma03_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25333709:25335540:1 gene:Ma03_g20150 transcript:Ma03_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MKTGKEENNWKFGVADPFHRQVKDVTPEVVVFSLPQKSPPRTEEEAVGEMGASDAGCGDLPPVLSSFVDAFVDFSVSGLFFPTNPTPSPAPAATRIPAPARLVAIGDFHGDLPKALQALSLAGLADPSSARWTGGAAVAVQVGDVLDRGGDELRLLYLLHRLKLDAAAAGGSLLTLHGNHEVMNADGDFRYVTRAGLEEFRGWAYWYRSGLAMKRLCSGLDPPRDPFRGVPKSFPGVKEEFWEGFRARIAALQPNGPIASRFLAGNQTVLLVGDSLFVHGGLLQQHIDHGLERINQEVKDWIMGLSGRRSPSYLRGRDSVVWLRRFSDGPNCDCGQLEEVLSMIPGARRMVMGHTIQDEGINGVCEDKAIRIDVGLSKGCTNGLPEVLEINAGDHPRILTSNPLSDDRWKQEQKRQPVVKEQVKEGLAILVPEIRLKEVETKG >Ma03_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9007163:9015203:1 gene:Ma03_g11600 transcript:Ma03_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIGEANLTAPLLQPNGSVAVDFSHESSESDKKTRKVVLRIREIQCASCAVSIESVVGDMKGVESISVSPLHGQAIIRYNPEFINAKRIKDAIGDLKFEVDEFPDQEIAVCRLRIKGMACTSCSESVERALLMVDGVKKAIVGLALEEAKIHFDPNVTDSVHLIEAIEDAGFGADLISSGDDFNKVHLKVEGLNSSEDATIMKSYLEAVEGVNHIEIDEGSHKVIIAYDPDLTGPRSLIERIQEAGHGPNIYHASLYTTTRVRETEQHHEITAYRNQFLWSCLFSVPVFMFSMVLPMFSPVGDWLSYKLYNNLNMGMLLRCVFCTPVQFIIGWRFYVGSYHALRRGSANMDVLVALGTNAAYFYSVYIVIKALTSESFEGQDFFETSSMLISFILLGKYLEVVAKGKTSDALAKLTELAPDTATLLSLDVDGNVISETEISTQLLQRNDVIKIVPGSKVPVDGIVIRGQSHVNESMITGEAKAVAKRQGDKVIGGTVNENGCILIKATHVGSETALSQIVQLVEAAQLARAPVQKLADKISRFFVPMVVVAAFITWLGWFIPGETHLYPRSWIPKAMDGFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAVVFDKTGTLTIGRPAVVQIKNFSKISLQELCKLAAAAEVNSEHPLAKAVIEHSKKLHQQYGFSDDHLLEAKDFEVHPGAGVGASIGGKRVLVGNKRLMLAFQVAVSPEIQDYVSDMEHLARTCVLVAVDGVICGAFAVSDPLKPEAGRVISFLNSMSISSIMVTGDNWATATAIAREVGIEKVFAETDPVGKAERIKDLQMEGLTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLIKSNLEDVITAIDLSRKTLARIRLNYVWALGYNVLGMPIAAGILYPFTGIRLPPWLAGACMAASSLSVVCSSLLLQSYKKPLQVQDAQGRGDYLNYV >Ma02_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16428040:16431024:1 gene:Ma02_g05090 transcript:Ma02_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAKESAGGGGEAEDATARAARKRYERLVAVRCKATKGKGAWYWAHLEPILVTPAGSSQPSAAKLRCALCSALFSASNPSRTASEHLKRGACPNFSSPSSAGPASAAVDPVPISSLPPASPRLRPHHPPTRRRSAPTPLEPKLPLLLSGGKDDLVALARLEDSVKKLKSPMASPAAALSKPQADAALALLADWLLESASAVSPSALDHPKFQSFLNQVGLSSISPRQLTLSHLQARYLEVLSESDARIRDAAFFQLASDGWKSSARPSEYALVSLVVNLPNGTALFHRSVLTTGGAPSSYAEEVLRDVVAKLCGGLVDRCAGIVADRFKRKALLNLENRNQRMVNLSCQLQAFNSLIKDFARQLPLFGRVSANCSKLTNFMNNQSQVRSIFSKYQLEEQGHTRLLRSPSSSSDEASNFTADFTMVEDVMDFARPIQMAVLDEDYKVVCLEEPSAREMAELIQDGGFWTESEAVNSLVKLLKAMAREIEMERPLIGHCLPLWDELRSKVREWSAKYGIDGGLVDNVIEKRFTKNYHLAWSAAFVLDPLFLIKDTSGKYLPPFKLLTPEQEKDVDRLITQLVSPEEAHIVLMEMMKWRSEGLDPLYAQAVQVKQHDPSTGKMRIANPQSRRLVWETCLSEFKCLRKVAVRLIFLHATSCGLKRNSALTRWMCAHAQSGVAQKMAFLTAHSRIGRGDFLGEEEKDAELFGAGEDDVLNEFAGASAV >Ma04_p14870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11281549:11283287:-1 gene:Ma04_g14870 transcript:Ma04_t14870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMERLTSGFQRFKKEVYEKNAALFTQLADGQSPKFMVFACADSRVCPSVVLDFQPGEAFTVRNIANMVPPYDQTRYSGVGAAIEYAVLHLKVENIVVIGHSRCGGIKGLMSIKEDGTRSSAFIEDWVKVCLPALEKVKANHSALPFEDQCTHCEKEAVNVSLHNLKTYPFVKDGLEKKTLKLIGAHYNFVAGSFDIWEV >Ma04_p14870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11281549:11283534:-1 gene:Ma04_g14870 transcript:Ma04_t14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMERLTSGFQRFKKEVYEKNAALFTQLADGQSPKFMVFACADSRVCPSVVLDFQPGEAFTVRNIANMVPPYDQTRYSGVGAAIEYAVLHLKVENIVVIGHSRCGGIKGLMSIKEDGTRSSAFIEDWVKVCLPALEKVKANHSALPFEDQCTHCEKEAVNVSLHNLKTYPFVKDGLEKKTLKLIGAHYNFVAGSFDIWEV >Ma04_p14870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11281549:11284486:-1 gene:Ma04_g14870 transcript:Ma04_t14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAAWVRSIGSLVRSNRRRAALRPAIVLSSLDSRNTPTKSSAQNSFPRPDKSSSRVSAAPSTLTEMDPMERLTSGFQRFKKEVYEKNAALFTQLADGQSPKFMVFACADSRVCPSVVLDFQPGEAFTVRNIANMVPPYDQTRYSGVGAAIEYAVLHLKVENIVVIGHSRCGGIKGLMSIKEDGTRSSAFIEDWVKVCLPALEKVKANHSALPFEDQCTHCEKEAVNVSLHNLKTYPFVKDGLEKKTLKLIGAHYNFVAGSFDIWEV >Ma08_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2320466:2321782:-1 gene:Ma08_g03100 transcript:Ma08_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQIEALKVRVESSKHVKPLYKGEPPRADLCIPLSVFDKITCNNYMAVIYAFEPPSPSNSDIEKGLATALTEYRDWAGRLREDDRGEPVILLNDSGPRFVEASSDAVLDRTVLLGSSPALLPLSPCIKGAEELLLVQLTRFACGTLVLGFTTQHLIADGHAVSKFLVAWGLATRGLPMDPRPLHDRGAFIPRNPPCVEFEHRGVEFKPKKASDTEDLPLTVDIVIHKTHFTREFLESLKAKASLGADRSYSSFESLMAHLWRVVTKARGLDEHITSHVRISVDGRARLRPRVPGEYFGNLVLWAFPRAKVGDLVNKPLQFAAALVREGITRLDDGYFRSFIDFASSETVKEEGLEATAEVHERVMSPNLEVHSWLMFPFRDVDFGGGNPFMFMPTYTREEGMLFLMPSAIGDGSIEVYVSSLRHNVAPFKQLCYLLD >Ma05_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36301795:36312260:1 gene:Ma05_g24080 transcript:Ma05_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQSKCYGRCARLCCCHYQPSSLATDDAGEGADSFRIHETDSPQRRGLSSAGGSLGSATVPSAGLCLRYASLTQRGYYPDSPDRANQDSFCVRTNFQANPDLHFFGVFDGHGPFGAQCSDFVRDKLADILASDARLSEDPIKAYQPAFLATNSSLHDSEIDDSMSGTTAITVLVSGGALYVANVGDSRAVAGVWNGNRVVAEDLSSDQTPYRKDEYERVRLSGARVLCVDQVEGMMDPDIQSWGDEEDGDGDPPRLWVQNGMYPGTAFTRSVGDSTAESIGVIAIPEVKTVKITPNHLFFVVASDGIFEFLSSQAVVDMVSRFVDPQDACSAIAAESYKLWLEHENRTDDITIIVVQIKDMVASYAEATNETIHTSNSIPLSPAKVKAQRRIVSQSDTNHPIKGSCQELQSGPPDSSANFSPAYVARSPTHSVHLKEINPH >Ma08_p33410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43722274:43724104:-1 gene:Ma08_g33410 transcript:Ma08_t33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMAAAMLSSAPKHVFSPTIPPPDHQAAPPTAIPKHRPAIRRVFSTALRPTVRSIYLPDSSSASPFHDAVGRSDWQTNFAILASKASSYSSSPSSSGKDGAASSESTNGNDGNQYHLVSPPPVNGPNQSSATLDLVPVTNLPRPLSIAYLSPAPKHGSRLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADSAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEQLTRVISHPQALSQCELTLTEMGLSVVREAFDDTAGAAEYVANNGLRDTAAIASARAAELYGMQVLADGIQDDSGNVTRFVMLARDPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDINLTKIESRPHRHQPLRLVDDANVGTAKHFEYMFYIDFEASMAETRAQNALAEVQEYTSFLRVLGSYPMDMTPWAASSTTNSSASS >Ma07_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15126207:15127142:1 gene:Ma07_g16620 transcript:Ma07_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGTMSSISPLKCWSLLLAVLCLSTSCCKSDQNAEDIVATALACFNDRYVYSSCQESYRLNAGGTLNIPQEATDGYCGGPCLTETKLVLSCVDNILYNFRFYNGASVRDVRYTLDAGCGHTSKRGDFNVNEHLDDDIDGYGGYYDHGDRLAVPVYLLILFSCVLLL >Ma09_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6292436:6293806:-1 gene:Ma09_g09580 transcript:Ma09_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHRPLLSAVINLSSVLLDLMYVGFSSSTGSFLTSHYVLGWSFKMNGVAQALDPSLLPSLPRAKSNHKFKVLRIGLPLASATLVLTIVGIAVFILRRRTKYSELLEDWELEYGPHRFSYKDLFKAAKGFRDTELLGRGGFGRVYKGVLPSSRSEVAIKRVSHGSRQGMREFIAEIVSLGRLRHRNLVQLLGYCRRQGELLLVYDYMPNGSLEKFLHDQAKPTLDWATRFRIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDNELNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPELARTGKATTITDVFAFGTFLLEVACGRRPVDPTAHEEQLVLLDWVVEKWRKGSILETRDPRLGEEYAVEEVELVLKLGLLCSHPLPTARPSMRQVVRYLEGHAPLPELSPAYLNFSLLALLHKEGFDDHIMSCPSSSVATASVLSGGR >Ma04_p35590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34290808:34292266:-1 gene:Ma04_g35590 transcript:Ma04_t35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPAEAPSAAEESVPPPPPHEGQRTIPTPFLTKTYQLVDDPYFDDVISWNEDGSTFVVWQPAEFARDVLPKNFKHNNFSSFVRQLNTYGFRKIASDRWEFANECFRRGEKGLLCDIHRRKLSPTAIPSAAPANRVMSSETSGDEQVRSTTSSPSGFQPEPAAVVAWASPGSSGGASELAEENNRLRRENKQLSQELGQMKSLCTNIMQLMAKYALFRKNGAAATTAVSGGGGASAAPPLEAGGSSGERGSTRLFGVSIGFKRNRGEDGGVASTSAAVMGDDGMVPVLGRSASG >Ma02_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25492205:25500396:-1 gene:Ma02_g19270 transcript:Ma02_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTEGSEIHMGDLGGAASAKAEDGEIWTFSVRSFNSPLPERTIHVNYDGFAEDVKVCDELLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIAARCRDREIAVVAKIESIDSLKNLEEIIRASDGAMVARGDLGAQIPLEQVPSAQQKIVKLCRQLNKPVIVASQLLDSMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALIVLRSVSLRIERWWREEKRHEGMELPDITSSFSDKISEEICNSAAKMANTLGVDAVFVYTKTGHMASLLSRCRPDCPIFAFTSSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMHVP >Ma03_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9104761:9107450:-1 gene:Ma03_g11730 transcript:Ma03_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYADELIRTAKYIATPGKGILAADESTGTIGKRLSSINVENTEPNRQALRELLFTTFDALPSISGVILFEETLYQKTSAGKPFVDVLADNKVIPGIKVDKGIVELAGTNGETTTQGFDSLGARCLQYYKAGARFAKWRAVLKIGPTEPSELAIQQNAQGLARYAIICQENGLVPIVEPEILTDGNHDIKKCAAVTETVLAAVYKALNDHHVLLEGTLLKPNMVTPGSDSPKVAPAVVAEYTVGALRRTVPPAVPGIVFLSGGQSEEDATLNLNAMNKLGVLKPWTLSFSFGRALQQSTIKKWSGKKENVPSAQAAFLARCKANSEATLGKYAGCAADAAASESLYVKDYKY >Ma08_p34570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44560696:44561301:1 gene:Ma08_g34570 transcript:Ma08_t34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTPPVITPALARTAAPSFRLRSTSLNSVRLRRVFDLFDRNGDGEITVPELHLALDRLGLGADLDELAGAVAAYVRPGRAGLGFDDFEAFHCALGIALFGDGSAVEPKAEEEEEEMREAFRVFDENGDGYISAAELQAVLDKLGLLDGRSIDGVRRMISAVDRDRDGRVDFFEFKNMMRTIELAAS >Ma05_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11113788:11115787:1 gene:Ma05_g15070 transcript:Ma05_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANQWPEGIGLVKPMEEFASSTITTTSSSTCTTTRPQATERRPRPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKSKRASISSAATTNSSSITAAIATASAPKKIHADLIPPYISLSTTSEALKFHEGQDLNLAFRQQSLRQYSDYPDIESSTANNSSNAYAAAGSLSATELLKSGMTARGLGPFMPMLMPMPEYPTGFGLQEFRPPTLNFPLHGIGEGGSSAGYGSLPGVGENTGTKLPFPLEDLKPVVPSNNVASQFEQNRGQGGDPQGFWNGIIGGGSW >Ma09_p16860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12360707:12364376:-1 gene:Ma09_g16860 transcript:Ma09_t16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIP2 [Source:Projected from Arabidopsis thaliana (AT1G04640) UniProtKB/TrEMBL;Acc:A0A178WI01] MVDIVDHYICFLFQLKIHHMRLQRSLGACKFGIINYFDALKLQERLASDRKSGKILDTILSLQHPPTYTLGKRRTDHNLLVSEADLKTMGAELHYTERGGDITFHGPRQAILYPIVSLRDIGCGARNYVEGLESVMIEVASFYGVNAHAGKTGETGVWVGDRKIGAIGVRISSGITSHGLAFNIDPDLSYFKHIVPCGIPDKGVTSLKRETEMELPPDEVIHEQLIHCFAKVFRFSDIQWKTQ >Ma09_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12360707:12364376:-1 gene:Ma09_g16860 transcript:Ma09_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIP2 [Source:Projected from Arabidopsis thaliana (AT1G04640) UniProtKB/TrEMBL;Acc:A0A178WI01] MVDIVDHYICFLFQLKIHHMRLQRSLGACKFGIINYFDALKLQERLASDRKSGKILDTILSLQHPPTYTLGKRRTDHNLLVSEADLKTMGAELHYTERGGDITFHGPRQAILYPIVSLRDIGCGARNYVEGLESVMIEVASFYGVNAHAGKTGETGVWVGDRKIGAIGVRISSGITSHGLAFNIDPDLSYFKHIVPCGIPDKGVTSLKRETEMELPPDEVIHEQLIHCFAKVFRFSDIQWKTQ >Ma09_p16860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12360707:12362194:-1 gene:Ma09_g16860 transcript:Ma09_t16860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIP2 [Source:Projected from Arabidopsis thaliana (AT1G04640) UniProtKB/TrEMBL;Acc:A0A178WI01] MVDIVDHYICFLFQLKIHHMRLQRSLGACKFGIINYFDALKLQERLASDRKSGKILDTILSLQHPPTYTLGKRRTDHNLLVSEADLKTMGAELHYTERGGDITFHGPRQAILYPIVSLRDIGCGARNYVEGLESVMIEVASFYGVNAHAGKTGETGVWVGDRKIGAIGVRISSGITSHGLAFNIDPDLSYFKHIVPCGIPDKGVTSLKRETEMELPPDEVIHEQLIHCFAKVFRFSDIQWKTQ >Ma08_p28240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40245715:40249123:-1 gene:Ma08_g28240 transcript:Ma08_t28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYVFWLSWKARNEVVFQFNLSSHLLGKHIKKGMGAKVRRGTSLTLVSLFLYFAPVVASKTPWNNEQQQILLRIKREWGGEPALDSWNVDTTNTSSSFSYCDWPGVGCADDGSVVNITLSGQDAPKISRPIPASLCGLKNLTRLDVSYNNIPGPFPTSLYNCSSLRYLDLSQNRFVGAIPTDVDRLSPLITHLDLSYNNFSGDIPPSIGRITAVQELVLNSNLFDGSFPAEIGNLSRLQQLGLAYNSFAPMRIPSEFANLTKLTILWMTSANLQGEIPASFAQLKGLTQLDLSQNSLTGAIPAGIWGLPNLQYLYLYKNNLSDSIIIDGTIGALGLVEIDLSMNQLTGSIPHDFGKLKKLSLLYLYYNRLSGEIPTSIGKLPSLSTLRLFSNGLTGVLPPELGKNSPLIDIEVDDNMISGELPDGLCDRGAFNSIVVFNNNLTGRIPPSLGKCSKLNDFQIHNNRFSGELPDGIWSAMYLTTVMVSNNTLSGTLPEKLPWNLTRLEIENNRFTGSIPSSADRLQVLLGSNNMFSGELPSSLAGLLRLQTLVLGGNMITGKIPDDISLLKSLSDLDLRHNRLTGEIPASIGSLPVLNSLDLSANHLSGPIPSEMGNLKLNYLNLSSNQLTGEIPAALQSRAYDQSFVSNPGLCASKSYVNVSTCRSGSGGLARGLRILFFVLGAVVFLMALAFATFVYGDLKKKRNGGDLATWKLTSFQSLDITESSILRGIRDDNVVGGGGAGKVFKIDLGDRGVVAVKQIWNGRNLDGRLEKQFQSEVQILGSIRHKNIVKLLCCLSGADTKLLVYEYMENGSLDRWLHRKRAWVDGEDRSRDEQLDWPTRLEIAVGAARGLCYMHHDCSPPIIHRDVKSSNILLDSEFNARVADFGLARMLVKPGEPDTVSVIAGSFGYIAPECGYSRRLNEKVDVYSFGVVLLELTTGREANNDGEQCNLAEWAWQQLQEEAELSDAIDTAIRDSPYTNDMTTVFKLGLLCTETLPSRRPSMKEVLHILLRCHRPPGVGYSPIAEQDVAAPLVRANTGSRRQKPSHGGGGGDHDDHIMACNV >Ma01_p05090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3595023:3598441:1 gene:Ma01_g05090 transcript:Ma01_t05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHSATSKFHQMESKRRRFAWILCVSGLCILFYAIGSWQSSSGVSYKVGCRSISPGSASAAGLDFQAHHPGGFNRTSLATTDFPACDLKYSEYTPCQDPRRARKFKKMMLKYRERHCPKKNELLHCLIPAPPKYKNPFRWPRSRDYAWYDNIPHRELSIEKAVQNWIQVEDDRFRFPGGGTMFPRGADAYIDDIDALVPLSNGDIRTALDTGCGVASWGAYLLNRDILTMSFAPRDSHEAQVQFALERGVPAIIGVMATERIPYPARAFDMAHCSRCLIPWNKYDGLYLIEVDRVLRPGGYWILSGPPIHWKKYYKGWERTQDDLRQEQYEIEDVAKRLCWKKVVEKGDLAIWQKPINHIECVQSWKVYRTPHICINDSPDSAWYRKMETCITPLPDVTSPEEVAGGALEKWPERAFAVPPRIRSGSVPGITVQKFHEDNDLWKERLENYKQIVPPLTKGWYRNVMDMNSNLGGFAAALVKYPLWVMNVVPADPARDTLGVIYERGFIGTYHDWCEAFSTYPRTYDLIHADGVFSIYQHR >Ma01_p05090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3595027:3598764:1 gene:Ma01_g05090 transcript:Ma01_t05090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHSATSKFHQMESKRRRFAWILCVSGLCILFYAIGSWQSSSGVSYKVGCRSISPGSASAAGLDFQAHHPGGFNRTSLATTDFPACDLKYSEYTPCQDPRRARKFKKMMLKYRERHCPKKNELLHCLIPAPPKYKNPFRWPRSRDYAWYDNIPHRELSIEKAVQNWIQVEDDRFRFPGGGTMFPRGADAYIDDIDALVPLSNGDIRTALDTGCGVASWGAYLLNRDILTMSFAPRDSHEAQVQFALERGVPAIIGVMATERIPYPARAFDMAHCSRCLIPWNKYDGLYLIEVDRVLRPGGYWILSGPPIHWKKYYKGWERTQDDLRQEQYEIEDVAKRLCWKKVVEKGDLAIWQKPINHIECVQSWKVYRTPHICINDSPDSAWKMETCITPLPDVTSPEEVAGGALEKWPERAFAVPPRIRSGSVPGITVQKFHEDNDLWKERLENYKQIVPPLTKGWYRNVMDMNSNLGGFAAALVKYPLWVMNVVPADPARDTLGVIYERGFIGTYHDWCEAFSTYPRTYDLIHADGVFSIYQHRCDISDILLELDRILRPEGTVILRDTMDVLAKVQSITQRMRWKSQVMDHESGSFNPEKILVAVKTYWTAQLTQQQ >Ma01_p05090.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3595025:3598765:1 gene:Ma01_g05090 transcript:Ma01_t05090.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHSATSKFHQMESKRRRFAWILCVSGLCILFYAIGSWQSSSGVSYKVGCRSISPGSASAAGLDFQAHHPGGFNRTSLATTDFPACDLKYSEYTPCQDPRRARKFKKMMLKYRERHCPKKNELLHCLIPAPPKYKNPFRWPRSRDYAWYDNIPHRELSIEKAVQNWIQVEDDRFRFPGGGTMFPRGADAYIDDIDALVPLSNGDIRTALDTGCGVASWGAYLLNRDILTMSFAPRDSHEAQVQFALERGVPAIIGVMATERIPYPARAFDMAHCSRCLIPWNKYDGLYLIEVDRVLRPGGYWILSGPPIHWKKYYKGWERTQDDLRQEQYEIEDVAKRLCWKKVVEKGDLAIWQKPINHIECVQSWKVYRTPHICINDSPDSAWYRKMETCITPLPDVTSPEEVAGGALEKWPERAFAVPPRIRSGSVPGITVQKFHEDNDLWKERLENYKQIVPPLTKGWYRNVMDMNSNLGGFAAALVKYPLWVMNVVPADPARDTLGVIYERGFIGTYHDWCEAFSTYPRTYDLIHADGVFSIYQHRCDISDILLELDRILRPEGTVILRDTMDVLAKVQSITQRMRWKSQVMDHESGSFNPEKILVAVKTYWTAQLTQQQ >Ma01_p05090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3595024:3598765:1 gene:Ma01_g05090 transcript:Ma01_t05090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHSATSKFHQMESKRRRFAWILCVSGLCILFYAIGSWQSSSGVSYKVGCRSISPGSASAAGLDFQAHHPGGFNRTSLATTDFPACDLKYSEYTPCQDPRRARKFKKMMLKYRERHCPKKNELLHCLIPAPPKYKNPFRWPRSRDYAWYDNIPHRELSIEKAVQNWIQVEDDRFRFPGGGTMFPRGADAYIDDIDALVPLSNGDIRTALDTGCGVASWGAYLLNRDILTMSFAPRDSHEAQVQFALERGVPAIIGVMATERIPYPARAFDMAHCSRCLIPWNKYDGLYLIEVDRVLRPGGYWILSGPPIHWKKYYKGWERTQDDLRQEQYEIEDVAKRLCWKKVVEKGDLAIWQKPINHIECVQSWKVYRTPHICINDSPDSAWYRKMETCITPLPDVTSPEEVAGGALEKWPERAFAVPPRIRSGSVPGITVQKFHEDNDLWKERLENYKQIVPPLTKGWYRNVMDMNSNLGGFAAALVKYPLWVMNVVPADPARDTLGVIYERGFIGTYHDWCEAFSTYPRTYDLIHADGVFSIYQHRCDISDILLELDRILRPEGTVILRDTMDVLAKVQSITQRMRWKSQVMDHESGSFNPEKILVAVKTYWTAQLTQQQ >Ma01_p05090.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3594915:3598765:1 gene:Ma01_g05090 transcript:Ma01_t05090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHSATSKFHQMESKRRRFAWILCVSGLCILFYAIGSWQSSSGVSYKVGCRSISPGSASAAGLDFQAHHPGGFNRTSLATTDFPACDLKYSEYTPCQDPRRARKFKKMMLKYRERHCPKKNELLHCLIPAPPKYKNPFRWPRSRDYAWYDNIPHRELSIEKAVQNWIQVEDDRFRFPGGGTMFPRGADAYIDDIDALVPLSNGDIRTALDTGCGVASWGAYLLNRDILTMSFAPRDSHEAQVQFALERGVPAIIGVMATERIPYPARAFDMAHCSRCLIPWNKYDGLYLIEVDRVLRPGGYWILSGPPIHWKKYYKGWERTQDDLRQEQYEIEDVAKRLCWKKVVEKGDLAIWQKPINHIECVQSWKVYRTPHICINDSPDSAWYRKMETCITPLPDVTSPEEVAGGALEKWPERAFAVPPRIRSGSVPGITVQKFHEDNDLWKERLENYKQIVPPLTKGWYRNVMDMNSNLGGFAAALVKYPLWVMNVVPADPARDTLGVIYERGFIGTYHDWCEAFSTYPRTYDLIHADGVFSIYQHRCDISDILLELDRILRPEGTVILRDTMDVLAKVQSITQRMRWKSQVMDHESGSFNPEKILVAVKTYWTAQLTQQQ >Ma01_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3595027:3598764:1 gene:Ma01_g05090 transcript:Ma01_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHSATSKFHQMESKRRRFAWILCVSGLCILFYAIGSWQSSSGVSYKVGCRSISPGSASAAGLDFQAHHPGGFNRTSLATTDFPACDLKYSEYTPCQDPRRARKFKKMMLKYRERHCPKKNELLHCLIPAPPKYKNPFRWPRSRDYAWYDNIPHRELSIEKAVQNWIQVEDDRFRFPGGGTMFPRGADAYIDDIDALVPLSNGDIRTALDTGCGVASWGAYLLNRDILTMSFAPRDSHEAQVQFALERGVPAIIGVMATERIPYPARAFDMAHCSRCLIPWNKYDGLYLIEVDRVLRPGGYWILSGPPIHWKKYYKGWERTQDDLRQEQYEIEDVAKRLCWKKVVEKGDLAIWQKPINHIECVQSWKVYRTPHICINDSPDSAWYRKMETCITPLPDVTSPEEVAGGALEKWPERAFAVPPRIRSGSVPGITVQKFHEDNDLWKERLENYKQIVPPLTKGWYRNVMDMNSNLGGFAAALVKYPLWVMNVVPADPARDTLGVIYERGFIGTYHDWCEAFSTYPRTYDLIHADGVFSIYQHRCDISDILLELDRILRPEGTVILRDTMDVLAKVQSITQRMRWKSQVMDHESGSFNPEKILVAVKTYWTAQLTQQQ >Ma10_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31171422:31173114:-1 gene:Ma10_g21290 transcript:Ma10_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYWIPEEPCMLPSTATHQIGVGGFVINDNREVLVVKEKKCPLRCSGIWKLPTGFINKSEEIFSGAVREVKEETGIETTFLEVLAFRHAHRVTFEKSDLFFICMLKPLTSEITIDEREIAAAKWMPLDEFLAQPYHQGDRMSKNVVDICVSSYENKYRGFTALQMMSKLDDRLSYLYCGDLYKWKKCLAEK >Ma11_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:48961:51127:-1 gene:Ma11_g00130 transcript:Ma11_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDKFDNAYQTTSDIDFLSKTIFVLDRNHTLFYQNIFLMLGLMSNWLGEILEPLIPSYIRDSCVAVIVYDVANMLAIFLNYFKVV >Ma04_p26690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28070123:28070578:-1 gene:Ma04_g26690 transcript:Ma04_t26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAEEQFSKCGCCCFWVPFVASRARKSWERIRPTAAERSDGGCPTTRRRWWSRGWKALLKAREWSEPVAGPRWKTFVCRFRRRPRHGRRFGYDPMSYALNFDEGQDSDSDGDTVIRGFSARYAVPPASAKSSMDLGDRIDPPFLVEDDD >Ma07_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4018837:4024972:1 gene:Ma07_g05550 transcript:Ma07_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADGFRALDEASLVEYIKATPALRAQLGERLEGLAIKEVGDGNLNFVYIVAGPAGSFVIKQAIPYVRCIGTSWPLTKERAYFESLALKEHGSLCPNHVPQVYHFDRPMSLIAMRYLEPPHIILRKGLIAGIEYPLLAQHMSDFLARTLFFTSLLYHATLEHRHAVAEFCGNAELCRLTEQVVFSDPYKVAQYNRWTSPHLDHDVEAVRDDDILKIEAAELKSMFCERAQALIHGDLHTGSIMVTSDSTQVIDPEFAFYGPMGFDIGAFLGNLILAFFSQDGHADKDNDRMVYKQWILRTIEETWNLFHHKFVSLWNENFDGHGEAYLVDIYNKPELQLLVQKKYMTDLFHDALGFGAAKMIRRIVGVAHVEDFESISDVTKRASCERRALDCAKTILKERRKFETIGQVISVVQEISAP >Ma04_p36650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34920096:34923855:1 gene:Ma04_g36650 transcript:Ma04_t36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSLAPPPPAHSCRRLCSSFVPRFPSPSPSPRPSLCSRRPLPAVRAMAPPKPSGKSKKVVGIVKLALEAGKATPAPPVGPALGSKGVNIMAFCKEYNARTADKAGYVIPVEITVFDDKSFTFILKTPPASVLLLKAAGVEKGSKEPKQEKVGKVTIEQLRAIATEKLPDLNCTSIESAMRIIAGTAANMGIVVDPPVLEPKKKAVV >Ma10_p28810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35570013:35587216:-1 gene:Ma10_g28810 transcript:Ma10_t28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MADCLCLKGSFLGCLSNSVKACSSHNIHLNIFYSCNQRLPVQSSALLRKSCHLEFAQRNTTWGYSLSSTQKCISLRGSYNYNGRKTCRRRFQIKAQLDVASAIEVINDLGFDTLTFLAVTVLVVPAFRMIKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLARLKALAKFAFGLGLTQVVLSTLAFTAFELPPNGAVGTKILQFLFNSRPDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGVLLLQDIAVVPLLVILPVLESQNLAKESIWPMLAAESLKALGGLGLLSLGGKYFLRRIFEVVAESRSSEAFVALCLLTVSGTSLLTQMLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVATGTSIDMQLLFREWPNVLSLLAGLIVIKTMIITTIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPWLNEIGRKAAEILDEKLQVKEKGADMISFDATEPVVIVGFGQMGQVLANFLSTPLASEDDNLGLPYVVFDLNLGVVKAARKLGFPILYGDGSRPAVLQSAGISSPKAVMVMYTGKSRTIGAVQRIRLAFPAVPIYARAQDVAHLLDLKKAGATDAILENAETSLQLGSKLLRGLGVMSDDVTFLRQLMRDSMELQAQEELNRSDDRESDVMKPLQVRVTDLVQAKSGSASTSRGEQSLSLDRPEITLVEFPEKNQPCEVETQNDQGGHDSLENEYEELDHDDGVKYCQLESDIGLSSIKDDVEGDGKTLDHSIPYK >Ma07_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30597458:30598936:1 gene:Ma07_g22790 transcript:Ma07_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGEFMRRAMPYLTMITLQFGYAGKHILSNASRRSGGSQYVIILYSHAFATLSMAPLAFIIEGKVQPKMTKWVFLRIFVLGLLGPVMDQIFYHAGLKHTSVIFSSSMSSISPAMTFVVAVLTRMEKVDLKKVLHQAKVVGTLATVAGAMLMALYKGPPVELVWSKHAHSLGSNSPAVTDSSSNNWVIGSGFHILATVASASLSLLREETLKQYSARLSLMTWIYFVGTLQATVVTLVLEHKPAVWTIGFDINFLAAAYVGIVTSSVAYYVEGRVVKKRGAVFASAFSPLWMIVVSILGASFLNEKIYLGGVLGAILIVIGLYSVLWGNSKQE >Ma04_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5993945:5995011:-1 gene:Ma04_g08350 transcript:Ma04_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVMELRKTSPGTLLLVGVLMGLMASSGAYDFYVGGRDGWVSNPSESYDKWAGRNRFQVNDTLVFRYRKEVDSVLVVTKQDYDACNASNPIQKLEGGDSTFKFDRSGPFYFISGVPESCRKGQKLAVVVLAIRNQNPSPPPPSSPPSLTPSPSPSSSSSPSSSPSPSPTSPVHAPTPSPTPSPSPSTTTTTPPAQPPASAGSSTGSNLSPTPPPSSLSSVRSASRIALGLAATVLGGALLL >Ma04_p28920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29906733:29916467:-1 gene:Ma04_g28920 transcript:Ma04_t28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G14170) UniProtKB/Swiss-Prot;Acc:Q0WM29] MLRSSLHRVAGFRAWRCSATALRVLRLSTAAEASSIHGTHPPRVRNLIGGEFVESQSEDWIDVINPATQEVVSRVPLTTNEEFKAAVGAAKSAFPQWRNVPLTTRQRIMFKLQELIRRDMDKLALNITTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYASNVSNGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTYVLKPSEKDPGASMMLAELAKEAGLPDGVLNIVHGTNNIVNNICDDEDIKAISFVGSNTAGMHIYARAAAKGKRVQSNMGAKNHAIIMPDASPDATLNALVAAGFGAAGQRCMALSTAVFVGGSKSWEDELIKRAKMLKVNAGTEPGTDLGPVISRQAKDRICKLIQSGVESGARVALDGRDIVVRGLENGNFVGPTILADTTSDMECYKEEIFGPVLLLMKADSLDEAIHIVNSNKYGNGASIFTTSGVAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFYTQLKTVTQQWKDAPGQGISLAMPTSHKS >Ma07_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28829588:28833066:1 gene:Ma07_g20820 transcript:Ma07_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDVFTEIVDGEQVYKYYADGEWKKSASGKSVSIVNPTTRQTQYKVQACTQEEVNKVMEAAKAAQKLWARTPLWKRAEHLHKAAAILKEHKAPVAECLVKEIAKPAKDAVTEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERSKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVAALHMVQCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVASNIVKGGYSYSGQRCTAVKVVLVMESVADAVVEKVKSKMAKLSVGSPEDDCDITPVVSESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRISSVEEGIHHCNASNFGLQGCVFTRDINKAMLISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKIKSTVINLPSPSYTMG >Ma01_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17657259:17682990:1 gene:Ma01_g20360 transcript:Ma01_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAESFGRWESDPLFSAAEVVQDSADRMESVFRILSHEQKSAQGDSLDAKLLSSIEYHKRDLVTCLETARWQLEDFERAVTLAALSDTSNSRENAISKFRQFIRAIREQISQVEKTVKDSAMEDFNGTSPSMNLNEHDRDGLALFLSGGDLRENQLYYDSSGSIMKRFLNSTTNGDEIVELKTEEVLPTNGLKYPDHGYEKAGPHHSSRVTEAPAILEDSFGDKGCQEACTAFGSFNMKFGDFAANSYGINRTRGSLWMLLRNFWPPNKNQMSFTKRRKDGEDPDDLIVDTERNIPHSVIDVPPSGQVPKHEGMISALHLHGLIGSTWRRLQRSLYFIVYHQRPVQLASAVLIALAILAFLSFCII >Ma02_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18041057:18046858:1 gene:Ma02_g07300 transcript:Ma02_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFGSVRRSLVFRPNPNGNDGGGGNGGGGIAEKIGSCLRKSRIGLGLGFGGSAPRLPPPHPVETDDALPIRWRKGELIGCGAFGHVYMGMNLDSGELLAVKQVLIGTSNASKEKAQAHIRELEEEVKLLKKLSHPNIVRYLGTAREEETLNILLEFVPGGSISSLLGKFGSFPEAVIRMYTKQLLQGLDYLHRNGIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATMNAAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSSEAKDFLLKCLQKEPNLRATASDLLQHPFVTKEFQDMHPIHRSAVVEPPKNVSLPSKSFTKNNNTIMAESTTSCEGLDNSINGSGSCSTSFPGKFSSRPIWEMSSSGDMCRLDDKDDFPVVGSSFNPMSEPFDDWPNEFDYSSEQRKMALDDFGETAMDDACSREGKNDFTFPCEPVPDDDDEVIESKIRAFLDEKALDLKKLQTPLYEEFFNSLNNNNEPCAGKKCEENTSKILKLPPKSKTSPNNMVSGKTAPVADMTNKLSPGSCSRRVSSSGDESDRVLKDISPQLNEWGGPLQDAQQEPNCPSDNFSERQRKWKEELDQELERQREMMRQAGYGKTSSPKDGWLARKRDRIRFTSSGK >Ma08_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29196022:29207963:1 gene:Ma08_g18210 transcript:Ma08_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAVVWGRGGGGGKFCCSFKRITVVVCCVNLVAALLVLRTFYTSFSLVSSSDPFSVDQLERIQESIRVRRDAEPVELVRAVRKLRKELLKDEKRVLKLPQPVKQKLANEILQKLQSVKDNNVTEQRVYLWRVEKLKEVKRVTSSKSNLNSSIPFQEAKMLKRALASNWQMLLEAIGLWLPADVVHTEHNDKPENEQDLEEIIPGRPLPPECHAKTHTDYDGAAVRWGLTHHQESAADCCQACLDQAKNAKPGEMKCNIWVYCPSEFGCYSPDIYKHKHQECWLKQAEKPRLNFKDEYSESYRNNHPNAPVVVPWISGIVGA >Ma08_p18210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29195986:29207962:1 gene:Ma08_g18210 transcript:Ma08_t18210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAVVWGRGGGGGKFCCSFKRITVVVCCVNLVAALLVLRTFYTSFSLVSSSDPFSVDQLERIQESIRVRRDAEPVELVRAVRKLRKELLKDEKRVLKLPQPVKQKLANEILQKLQSVKDNNVTEQREAVYLWRVEKLKEVKRVTSSKSNLNSSIPFQEAKMLKRALASNWQMLLEAIGLWLPADVVHTEHNDKPENEQDLEEIIPGRPLPPECHAKTHTDYDGAAVRWGLTHHQESAADCCQACLDQAKNAKPGEMKCNIWVYCPSEFGCYSPDIYKHKHQECWLKQAEKPRLNFKDEYSESYRNNHPNAPVVVPWISGIVGA >Ma08_p18210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29196022:29207962:1 gene:Ma08_g18210 transcript:Ma08_t18210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAVVWGRGGGGGKFCCSFKRITVVVCCVNLVAALLVLRTFYTSFSLVSSSDPFSVDQLERIQESIRVRRDAEPVELVRAVRKLRKELLKDEKRVLKLPQPVKQKLANEILQKLQSVKDNNVTEQREMLKRALASNWQMLLEAIGLWLPADVVHTEHNDKPENEQDLEEIIPGRPLPPECHAKTHTDYDGAAVRWGLTHHQESAADCCQACLDQAKNAKPGEMKCNIWVYCPSEFGCYSPDIYKHKHQECWLKQAEKPRLNFKDEYSESYRNNHPNAPVVVPWISGIVGA >Ma02_p21450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27065104:27068845:-1 gene:Ma02_g21450 transcript:Ma02_t21450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQGELADQRTPELSAVARELTSEKRRPSSKKNKRKQKKVGSTPSAVQRLFETCKEVFADGGAGIVPSPEDVDRLRSVLDYIDASDVGLTQNMPYFRHGASTRTLPITYLHIYKCDKLSIGIFCLPPSAVIPLHNHPGMTVFSKLLFGSMHIKSYDWVNVPQNSNEIVKSLHSVQPPGLHLAKVKTDSVFTAPLLDVLGPPYSNPDDGRDCTYYNEFHYKSFSGDGNLAPEEDGVYAWLEAKKKPDDFFVVGAKYRGPKIIEQS >Ma02_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27065104:27068845:-1 gene:Ma02_g21450 transcript:Ma02_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQGELADQRTPELSAVARELTSEKRRPSSKKNKRKQKKVGSTPSAVQRLFETCKEVFADGGAGIVPSPEDVDRLRSVLDYIDASDVGLTQNMPYFRHGASTRTLPITYLHIYKCDKLSIGIFCLPPSAVIPLHNHPGMTVFSKLLFGSMHIKSYDWVNVPQNSNEIVKSLHFQPPGLHLAKCWMSWGRLIQTPTMEGTAHTTMNFIIKVFLEMGTWHLKRMECMLGLKRRRNLMTSL >Ma02_p21450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27065104:27068845:-1 gene:Ma02_g21450 transcript:Ma02_t21450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQGELADQRTPELSAVARELTSEKRRPSSKKNKRKQKKVGSTPSAVQRLFETCKEVFADGGAGIVPSPEDVDRLRSVLDYIDASDVGLTQNMPYFRHGASTRTLPITYLHIYKCDKLSIGIFCLPPSAVIPLHNHPGMTVFSKLLFGSMHIKSYDWVNVPQNSNEIVKSLHSVQPPGLHLAKVKTDSVFTAPCKTSVLYPEDGGNMHCFTARSSCAVLDVLGPPYSNPDDGRDCTYYNEFHYKSFSGDGNLAPEEDGVYAWLEAKKKPDDFFVVGAKYRGPKIIEQS >Ma02_p21450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27065104:27068982:-1 gene:Ma02_g21450 transcript:Ma02_t21450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQGELADQRTPELSAVARELTSEKRRPSSKKNKRKQKKVGSTPSAVQRLFETCKEVFADGGAGIVPSPEDVDRLRSVLDYIDASDVGLTQNMPYFRHGASTRTLPITYLHIYKCDKLSIGIFCLPPSAVIPLHNHPGMTVFSKLLFGSMHIKSYDWVNVPQNSNEIVKSLHFQPPGLHLAKVKTDSVFTAPCKTSVLYPEDGGNMHCFTARSSCAVLDVLGPPYSNPDDGRDCTYYNEFHYKSFSGDGNLAPEEDGVYAWLEAKKKPDDFFVVGAKYRGPKIIEQS >Ma02_p21450.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27065104:27068845:-1 gene:Ma02_g21450 transcript:Ma02_t21450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQGELADQRTPELSAVARELTSEKRRPSSKKNKRKQKKVGSTPSAVQRLFETCKEVFADGGAGIVPSPEDVDRLRSVLDYIDASDVGLTQNMPYFRHGASTRTLPITYLHIYKCDKLSIGIFCLPPSAVIPLHNHPGMTVFSKLLFGSMHIKSYDWVNVPQNSNEIVKSLHFQPPGLHLAKVKTDSVFTAPLLDVLGPPYSNPDDGRDCTYYNEFHYKSFSGDGNLAPEEDGVYAWLEAKKKPDDFFVVGAKYRGPKIIEQS >Ma05_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5639851:5662159:1 gene:Ma05_g07740 transcript:Ma05_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWQTLLLRVGDKCPEYGGGVDYKEHIETCYGVLWREFEHSKDEISELLLQCAEQLPHKIPFYGVLVGMINLEDEDFGKNIVDDLHCKLQEALSSENCDKIRTLMRFLTVLMCSKVILPSSVIEVFETLLSSAATIIDEDAGNPSWQPCADFYITCILSCLPWGGTELIEQVPDEFDRVIVGIQSYLSIRKHSQDTSFIVFEADEDKSAKEKDFVEDLWDHIQILSTNGWKVDSVPRPHLSFEEQLVSGKSYNLSPISCPKQSTSSSRSTISRERENYEAKLKYPQRLRRLHIFPSNKIEKMQPIDRFIVEEYLLDVLLYFNGCRKECASYMASLPVPFRYEYLMAETIFSQIMFLPQPPFKPIYYALVIIDLCK >Ma01_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1712198:1714156:-1 gene:Ma01_g02560 transcript:Ma01_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLPGPSSSPSDSVSATMRRKLTTSLLSIGVFVLLAASFSFHVSRDFLPPAALNPATLTQPIDRLMNHAVHELPASLRRLQSPLPMDSVLLPDWEVLLLLPLNSSSAAAGGAKLFCLFHTGATSPALPAGPSSFRCSLPNSVRRVRPFYTPRLSGATSAAAPSRGQEDPPREMIRWSTRLTYESLSTAHDVIVFAKSVNHRQGIGRPAAGLRCVFSPVSGGGPVAWTIATSSAQEVFRCPHPPAADLSSAVPMRVSLATEPEAAPIPTVASYRTPRVQETDSKALPGRARVCACTMVYNVAKFLPEWVAYHAGVGVGRFFLYDNGSEDELDAAVSRLGSEGFNVTTRYWPWPKTQEAGLSHCAAANRDACEWMAFLDVDEFVFSPAWADSDRPDRSMMGSLLAVEPEVGQVSIRCLEFGPSGHRAHPRLGVTQGYTCRRRKEQRHKSVVRLDAVAHSLVNSVHHFRLREGFRTRWAAAGQARVNHYKYQAWDEFKAKFRRRVSTYVADWKETTNLGSRDRAPGLGSEPIEPRGWAGMFCDVNDTLVRDATHKWFSAAGPGGAHRMVWQH >Ma01_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25464958:25465272:-1 gene:Ma01_g22610 transcript:Ma01_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYRPEHSQIGWYAERMDQIRVKTGRSQYELSDLDSDWPILRNDHGCSGTTGTGRVMK >Ma09_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27773501:27775989:-1 gene:Ma09_g20080 transcript:Ma09_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKRKGQKKQRKLLSYEELPDYMKENEYIRDHYRAEWPIRNALLSLFSWHNETLNIWTHLLGFVLFLGFTLLHLSHHVAEVADFLGHFTWSIPTSAVENASCSLGNFFGEAAAFIKLPSQTTAASSPSHPAAAQWPFFVFLGGSMFCLLSSSGCHLLCCHSHRLNLFLLRMDYVGIAVMIVTSFIPPIYYIFQCDPHWQVTYLVAISAMGFVTVFTLLSPQLSTGEFRAYRALLFVGMGFSGIVPAVHAAVMNWGEPRRNVTLAYETAMAMSYLTGTIFYVTRVPERWKPGWFDLAGHSHQIFHVFVITGAVAHYGAAVIFLQWRDQVGCGGAS >Ma04_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10846382:10848143:-1 gene:Ma04_g14320 transcript:Ma04_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQPHALVVPFPAQGHVIPLLELSYCLVDHGFKITFVNTEINHALIAAALPEGPSMMDPMVRLVSFDDGLAPGEDRRDLLKLRDCLMKTMPMCLEELILKSHEPGEDKITCMVVDGMAPWVLEVAREKGLRSAAFWSMAAAVAATIMKIPKLIEDGVIDADGFPMKQEMFQLAPGLPLMNPAHLTWNCYGDRETQKEIFHHLLDDDQRNRVAEFFLCNSSYDMEQPVFAYAPKILPVGPLLTGQRLGKPVGHLWPEDRTCEAWLDQQRPNSVVYVAFGSLTTFDRGQFQELALGLELCDRPFLWVVRPDLVEAAIDAYPHGFRERVALRGRIVGWAPQQRVLAHPSVACFMSHCGWNSTLEGVRNGLPFLCWPYFVDQFLNQSYICDVWRIGSKMMPDENVIVSKEQIKSKVDELLLDEDTRERARLLKELAQKSARNGGSSFENLKRFADAMKHEGDKD >Ma01_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26130105:26137920:1 gene:Ma01_g22870 transcript:Ma01_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPGSAKSSPEAELGHRLEDLWDIQEPQLSPTEKLNSCFESILVAAFPPAPSSEVVEIPSDSTLANAIEILSKHKIMSAPVRDVEAPENASWIDRYIGIVEFAGIAVWILHQSEVVASGMKASPAATRDANKLAAKLGTVVTLERTMSGLDSSAIPVEANGEIKDKVHSTGSGATEATIAGNIFEVFTSSDLYKITKVSDISGSFRWAPFLALQKSDSFLTMLLLLSKYKMKSLPVVDLGEEKIDNIITQSAIIHMLAECVGLHWFENWGTKKLFELGLPIMMPRKLIKVREDEPVLNAFQLMRNKGVGGLPVVDGSGRKAIGTISIRDIHYLLTQPALCDKYRSITTKDFIIKIKAYVEEQQVVSSTMNNVITCKRDETVKDIILSLDMEKIQRIYVVDQDENLEGVITLRDIISRLVHEPHGYFGDFFDGVVPLPQGSRV >Ma07_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5805138:5808019:1 gene:Ma07_g07820 transcript:Ma07_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVAVVVALLAATAEAGDQNDVFTPCDDAKIQRWDGFTFGIAFSNHDSFFSDRVQLSPCDSRLSLSNVAQLAVFRPKVDEISLLTVDTSANPAVTSGGYMVAFAGRKYAARSVPVFVSDNTNIVTSFTLVLEFQKGTLQNLHWKRDGCASCSGKSSFVCLNNQVCAIKTSSCKHQGGSVDCNIGIQLAFSGTDKHDAVLNSWYEVSNLQQYSLYGLYSNIKDSLTI >Ma06_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24700225:24730220:-1 gene:Ma06_g24920 transcript:Ma06_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRQTNGKIVKIQLHPTHPWLVTSDDSDHVSVWDWEHRQVIYELKAGGVDERRLVGTKLEKLAEGETEPKGKPTEAIRGGSVKQVGFYDDDVRYWQHWRNRSAAAEAPSAANQHSSAFSSPVPSTRGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNRSLLCMEFLSRSATGDGPLVAFGGSDGVIRVLSMITWKLIRRYTGGHKGSITCLMTFVASSGEAFLVSGASDGLLILWSADHIHDSRELVPKLSLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAPNKKLRVYCMVAHPLQPHLVATGTNIGVILSEFDARALPAVTALATPPGSREHSAVYVVERELKLLNFQLSNTANPSLGSTGTISETGRSRTETEQLLVKQTKKHISTPAPHDSYSILSVSSSGKYVAIVWPDIPSFYVYKASDWSVVDSGTGKLFAWDTCRDRYALVETALPPRIPLIKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVRILLDDGTSHVMARSIEGRSDPVIGLHGGALLGVAYRTSRRISPVAATAISTIQSMPLSGFGNSGAMSSFAAADDPFSSNKPAAEAAPQNFQLYSWETYQPVSGLLSQPEWTAWDQTVEYCAFAYHQYIIISSLRPQYRYLGDVAISFATGAVWHRRQLFVATPTTIECVFVDAGVAPIDLETKKKKEETKARETQSRVFAEHGELALITVDSPQVTTSERISLRPPMLQVVRLASFQHAPSIPPFITLPKQSRVDGEDAVLPKEMEERKVNEVAVAGGGVSVAVTRFPPEQKRPIGPLILVGVRDGVLWLIDRHMCAHALSLSHPGIRCRCLAAYGDAVSAVKWATRLSREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDVGQETTAADITEILSLTAVKQENLVDAVQGIAKFAKEFLDLIDAADATGQADIAREALKRLAAAGSVKGALKGQVLRGLALRLANHGELTRLSGLINNLIVAGHGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVQTWNKMLQKELEHAPSVKTDAASAFLASLEDPKFTSLAEAGKKPPIEILPPGMASLSAPPITINKKPPASAATSQGPSTATQSPEPTPVQSDLATSQNTLNTQTDKPLMLEAPPPADQSNGTPPVVEAVSSTNASPEVTESPPLVEAAS >Ma04_p08410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6016616:6017613:1 gene:Ma04_g08410 transcript:Ma04_t08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALKPSTTTSAGGDHGGGDEKSKDTPVAAKTIGFLVVFGIAASIAKALLTKPNPHPQRPRGPRMGFSNRAVSGDGWDDGPSSARKVVIAKGDTLWGLSKTYGVTVDAIREANGITGNKIYAGKKLIIP >Ma04_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6016616:6017592:1 gene:Ma04_g08410 transcript:Ma04_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALKPSTTTSAGGDHGGGDEKSKDTPVAAKTIGFLVVFGIAASIAKALLTKPNPHPQRPRGPRMGFSNRAVSGDGWDDGPSSARKVVIAKGDTLWGHRRCDQGSKWDHRKQDLRRKEADHPLTDLNLSVSVTSLTSSSFSFVVGFMLE >Ma04_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19420694:19422326:1 gene:Ma04_g18020 transcript:Ma04_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDQWASLGSLAASIMFLWAVVGRYVPLHHLEHSITKHSRRLFAFVYPYVQVTIPEFSGERMKRSEAYTYIEAYLSNSCSQNASRLKAELGKDSGSLTLSMDEHEEVTDEFEGAKLWWASVSRSPPSQSISWYPPPDSRRYYRLTFHRRHRDPIVGQYLAHVLREGREVGLRKRQRKLYTNNPSNDWYGYKRTVWSHVVFEHPSTFDTLAMDPRKKRELMDDLIAFRNGKDYYTKIGKAWKRGYLLYGPPGTGKSTMIAAIANFLDYDVYDLELTSVKNNTELRKLFIETTSKSIIVIEDIDCSLDLTGKRKRTKSKKEDEGGDDKPKVPGEKEDKEESKVTLSGLLNFIDGLWSACGGERLIIFTTNHVEKLDPALIRRGRMDKHIELSYCHFEAFMVLAKNYLDIDSHPLFDTIKGLMEEVKMTPADVAENLMTKSVKDDAGSRLEGLIQALEMARGAAAKADEGSGVDETVESE >Ma08_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7659127:7660505:1 gene:Ma08_g10480 transcript:Ma08_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAYHPDKLGFLLVMLCCFLSTTCSVGVPPANFVFGDSLVDVGNNNYITTFSKANYVPNGIDFPGHEPTGRYTNGRTIVDILGQALGLMEFTPPFLAPSTAGDVVLKGVNYASGGAGILNKTGYLFVGRINLDAQIDNFANTREDIVARLGSPAASALLRSALFSVTIGANDFINNYLTPLLSVPERAAVPPDVFVEAMIARYRHQLTRLYHLDARKLVVVNVGPIGCTPYLREVYPSATGDNCVDFPNQLARHYNGRLRDLVAELNTNLEGAVLVYADVYRIVTEIIRDHGSYGFEVADSACCFVNGRYGGLTPCGPSSAVCADRSKYVFWDPYHPSDAANVVITERLLGGGLDDISPMNVRQLVDARGTDPP >Ma03_p18230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23805833:23809897:1 gene:Ma03_g18230 transcript:Ma03_t18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71460, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G71460) UniProtKB/Swiss-Prot;Acc:Q9C9I3] MDSKALLAANSKPPVFFPAAVAKASPNPPPDAFRSKPRQPPAVRALKAPKFAEADAFPDSLPLHSKNPHAIYKDIQRFARLGQLKKALTILDYLEHRGVPVNTTTFSALLAACSRLKALAFGRQIHVHLRIHGLESNEFLLAKLVEMYASCGSPDDARRVFAELSPKSVYPWNALLKGNVAGGPRWGHAPLAVFSEMRGMGVDANEYTFSCLLKSFAGSPAFAQGTKAHALLIKNGFASASVLLQTCLIDMYFKCGKTHMAMKVFDEITERDIVLWGAVIAGFAHNGLRWEALKYLRWMGSEGIEPNSVIVTSILPVIGELAERHLGREIHAFVLKRFRNYDKMVFVQSGLIDMYCKCRDMVSGRRVFYGSNERNAVSWTALMSGYASNGRFEQSLRSVVWMQQEGIKPDVVSIATAVPVCAQLKALRQGKELHAYAVKNWFLPNVSMSTSLMTMYSACGNLEYSCRLFDMMEKKNVLAWTALVDSYLKNGCPYDALHVFRSMLQANRRPDVVALARILNTCGEIGALKLGREAHGQLLKMKLESAPIAIAEVVKMYGKCRDVETARKVYDRTETKGSLSCTSIIEAYGFNSQYKEAIYLFNSMLLNGFVPNHFMFDAILRIYERAESVDAALRIFNMMIQEYDLKASEENYDCIINLLTRVGRINEAQKFLYLRSMLLSV >Ma03_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23805833:23809897:1 gene:Ma03_g18230 transcript:Ma03_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71460, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G71460) UniProtKB/Swiss-Prot;Acc:Q9C9I3] MDSKALLAANSKPPVFFPAAVAKASPNPPPDAFRSKPRQPPAVRALKAPKFAEADAFPDSLPLHSKNPHAIYKDIQRFARLGQLKKALTILDYLEHRGVPVNTTTFSALLAACSRLKALAFGRQIHVHLRIHGLESNEFLLAKLVEMYASCGSPDDARRVFAELSPKSVYPWNALLKGNVAGGPRWGHAPLAVFSEMRGMGVDANEYTFSCLLKSFAGSPAFAQGTKAHALLIKNGFASASVLLQTCLIDMYFKCGKTHMAMKVFDEITERDIVLWGAVIAGFAHNGLRWEALKYLRWMGSEGIEPNSVIVTSILPVIGELAERHLGREIHAFVLKRFRNYDKMVFVQSGLIDMYCKCRDMVSGRRVFYGSNERNAVSWTALMSGYASNGRFEQSLRSVVWMQQEGIKPDVVSIATAVPVCAQLKALRQGKELHAYAVKNWFLPNVSMSTSLMTMYSACGNLEYSCRLFDMMEKKNVLAWTALVDSYLKNGCPYDALHVFRSMLQANRRPDVVALARILNTCGEIGALKLGREAHGQLLKMKLESAPIAIAEVVKMYGKCRDVETARKVYDRTETKGSLSCTSIIEAYGFNSQYKEAIYLFNSMLLNGFVPNHFMFDAILRIYERAESVDAALRIFNMMIQEYDLKASEENYDCIINLLTRVGRINEAQKFLYLRSMLLSV >Ma11_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3400036:3401228:-1 gene:Ma11_g04300 transcript:Ma11_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFALLPNSLEGYGQAFVVSDDQKLDWADILTLITRPLQSRNIDLWPAQPLTFRDSLSCYSMELKSVAGTLLEVMAKNLGVAPEEFSTLFQDQTQAVRINYYPPCPRADEVLGLSPHTDGSSLTLLLQVNDVEGLHIRKGGDWYPVKPLPGALIANIGDIVEILSNGVYKSVEHRAIINAKKERYSIATFHGPREDSVVSPFAEIGRGASRSICR >Ma06_p34160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34539686:34541018:-1 gene:Ma06_g34160 transcript:Ma06_t34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVCAENASSNSTSFFLQIVEHPDGTVTRPVSPLAPPSGEQSDAPVISKDVPLNPTKNTWLRIYRPNPLPPTRKLPVVIYFHGGGFVIFSAATAFYHNSCEAMTRAVSALVISLEYRLAPEHRLPAAYEDAVETVLWVQSQARDPTGGDPWVTGHGDFSRCFLMGSSAGANMAYHAGLLATALELLPLRLLGLILNQPYFGGVERTPSETRSEEDIILPLRANDMLWHLALPKGADRDHEFCNPAVAVPPELRHLPKCLVKGFEGDPLVDRQREFAKMLEREGASVVVQFDEGGFHAVELFDPATAETLFAEVRQFISGGC >Ma06_p20640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14781731:14786098:-1 gene:Ma06_g20640 transcript:Ma06_t20640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEKEEIWRLVFVLFLGQLVSFFLAVASFTSSLIAELGTDAPLTQSFFTYLSLSLVYGAAFLYRRQKLLVAWYWYFVLAFVDVQGNYLVIKAYQYSSITSVTLLDCWTIPWVIILTWLVLGTKYSPWQFLGAAVCVLGLGLVLLSDAGVSGGGGTKPIIGDILVIAGTFCYAFSNVSEEYCVKKKDRVELLTMLGVFGVLVSACEISIIERKDLESVKWSATMISLFVGFAASAFLFYTVVPFVLKMSGATLFNLSLLTSDMWAVVIRIFFYHQQVDWLYYLAFGLVAIGLIIYSVHKKANGTTNEDESESLQYEQLAEESSAIYNGVLAA >Ma06_p20640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14781731:14786098:-1 gene:Ma06_g20640 transcript:Ma06_t20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEKEEIWRLVFVLFLGQLVSFFLAVASFTSSLIAELGTDAPLTQSFFTYLSLSLVYGAAFLYRRQKLLVAWYWYFVLAFVDVQGNYLVIKAYQYSSITSVTLLDCWTIPWVIILTWLVLGTKYSPWQFLGAAVCVLGLGLVLLSDAGVSGGGGTKPIIGDILVIAGTFCYAFSNVSEEYCVKKKDRVELLTMLGVFGVLVSACEISIIERKDLESVKWSATMISLFVGFAASAFLFYTVVPFVLKMSGATLFNLSLLTSDMWAVVIRIFFYHQQVDWLYYLAFGLVAIGLIIYSVHDSKKANGTTNEDESESLQYEQLAEESSAIYNGVLAA >Ma06_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14781731:14786098:-1 gene:Ma06_g20640 transcript:Ma06_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEKEEIWRLVFVLFLGQLVSFFLAVASFTSSLIAELGTDAPLTQSFFTYLSLSLVYGAAFLYRRQKLLVAWYWYFVLAFVDVQGNYLVIKAYQYSSITSVTLLDCWTIPWVIILTWLVLGTKYSPWQFLGAAVCVLGLGLVLLSDAGVSGGGGTKPIIGDILVIAGTFCYAFSNVSEEYCVKKKDRVELLTMLGVFGVLVSACEISIIERKDLESVKWSATMMSGATLFNLSLLTSDMWAVVIRIFFYHQQVDWLYYLAFGLVAIGLIIYSVHDSKKANGTTNEDESESLQYEQLAEESSAIYNGVLAA >Ma06_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13330509:13332891:-1 gene:Ma06_g19460 transcript:Ma06_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTADFQGSSAPFASTGRSFLSLRRDHAADPMDAHHRHHIDAGEQRELDAFQHQVADLFHDLAGGGDEILSISWVRRLLDTFLVCQEEFRVILFGHRRPPALMDRLVSDFFERAVKALDVCNAVRDGVDQLRQWRKHLEIVIVALGPHHRELGEGQLRRAKKALGDLAILMLDEKDSGSVLSQRNRSFGRHSGSSSSSSGGRRSHFRSLSWSVSRSWSASRQLQAIGNNIAAPRGHEVVETAGLAVPVFTMNLVLLFVMWALVAAIPCQDRGLQIHFSVPRSYLWATSITSLHERIVEESKKKDRKNSIGLLKEIHQIDKCVHHLIDLIDAVQLPMAEEKEMEVRQGVQELAQVCEAMKEGLDPLERLVREVFLRIIRSRTEGLDCLNGVE >Ma06_p30840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32065742:32068564:-1 gene:Ma06_g30840 transcript:Ma06_t30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMLFEPRFTRQEEGGAVDDHSQAMRAASGLQSHLELSLGISSDTIFNVATGRVGEKRHRGGSGELPAAFPVPIGDLALHRSDEASLVEAMKRWSAADIHSGFVHPWSLAARQQKAVLEQAHRSPSLTRAAHPVGWPPVRASRKNIASANLVRAAEMDGEKDAKRPKLGEGEAAKSEIRSRSTMFVKVNMEGYVFGRKIDLKAHDGYQSLSRALCKLFRNFLSSNCLGNSEEQDDEAVDDGFILLYEDNEGDQMLAGDIPWELFITSVKKLYIAPSPKRNDTGGEPKKPTSSNN >Ma08_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8587813:8596852:-1 gene:Ma08_g11580 transcript:Ma08_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRNGGGGGGDGGDDVAAVKEMRQQMEDAIAARRQSQQELLFSLHSLVPDLACSLDVSLRVISSFNRRPFSPTPKPPSDHHPLTPRRRHLPDARTLPRTRPKPSPPSPGAEGGGSAGGDRLSVVRTMVAVCLLELVPFTEIDSAALLRRLENDQSSATPAEKAALADLGGDVGPISAVEMALRRIAEESGGVQLEEFTVNGKTTLMIWGIDRNKLLKELPESSSQGQQPPPRPPSTEPSSSKGNSQSQVPTMAGVDNTSMTMPSPSPDMWMGPSDTHLAGMPHIFPGSGGPPLVGPRGAPRVVGMMGIPRMMGFTPLQRPLMGPGNTPGGPNLATPKQSSEEDDLKDLEALLNKKTFRELQMSKTGEEILDLIHRPTARETAVAAKFKTKGGSQLKEYCSNLTKEDCRRQTGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDAPMILGSTNLPPPKPIKPRRAEYCSEVELGQPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTHAGWLSLGNQLQGVRLVDEGLRARFKAAYPDVEVQPASPTRTTSAMDVDSSASQMRAPFAGMEMKQPTDQFMEPVASAGYASVGKPTAPDAEAAA >Ma10_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30280580:30282466:-1 gene:Ma10_g19870 transcript:Ma10_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKDSLLITEDEIKHVTHEICSEDGELAAGIFGREGLPLFYHESVVNDTLLVHEAKDFNQQHLLEVDESFLAEFPYGSPPFNNEKLGIDQYGDAPVTDVIRPSDSPSPIVCELVEDEDISGDACHGIVLEEAEEEAEVLSKNEILFVIGQTHSDSNKFRLEEDEGTFDGSLAGESTSNGFTKWRSSAINRASETECLLSSSSRRSSSNWETLELFQKYDEEMTFLDRISSQKLAETESVRSIRFQPRSISERIARKFTIQKKKGGNRDPYQELEIAYVAQISLAWEALNWNYVCFQQRKANGDGERFYCTARIAQRFQQFQVLLQRFIENEPYQRDRRPQVFARTRISSPKLLQVPEFQEADEDGENIISPTEFSSILEDAIRTFMNFLKADKKNPRQILKSFVKTTSSSMKMGLKGLLRRRRCWNKKWVQGEEEEMDILMGLIDMKIVSRTLRMGEISQEQLQWCEEKMTKVGALEWKSSKRVLPASFFPLN >Ma03_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4091112:4126739:1 gene:Ma03_g05950 transcript:Ma03_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCGGDGEAVAATAGAIPGMNGSAPPPFLSKTYDMVDDLATDAIVSWGAGNNSFVVWNTPDFARHLLPKYFKHSNFSSFVRQLNTYGFKKVDPDRWEFANEGFLRGQKQLLKTINRRKPCQSHARSQPEQTPPQNSSVAACVEVGKFGLEEDIEGLKRDKNVLKQELVRLRQQQLAADDQQR >Ma03_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28617265:28620332:1 gene:Ma03_g24370 transcript:Ma03_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQHERQENNQLRADNERLRAENLRYKEALSNASCPNCGGPASLGEMSFDEHHLRIENARLREEIDRISGIAAKYVGKPMASYPLLSPSVPSRPPLDLGVGGFGGQQGVGGEMFGPGELMRSVSGAAETDKPMVVELAVAAMEELIRMAQLNEPLWIPAALDNATEALNEEEYVRTFPRGIGPRPFGLKSEASRETAVVIMNQMNVVEILMDVNQWSNVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESLFVRYCKQHADGTWAVVDVSLDSLRPSPVLRCRRRPSGCLIQELPNGYSKVTWVEHVEVDDRSVHSIYKPLVNSGLAFGARRWVSTLDRQCERLASVMASNIPSGDVGVITTPEGRKSMLKLAERMVMSFCGGVSASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGGVQEMAHIANGQDHGNCVSLLRVNSTNSNQSNMLILQESCTDATGSYVIYAPVDVIAMNVVLNGGDPDYVALLPSGFAILPDGPCGSGGRVEEVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKASVAGEVAR >Ma08_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1326583:1327043:1 gene:Ma08_g01540 transcript:Ma08_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHQLLHAIYAPNLTFIFVSSPPAQTQISTWLPLFSSWRSSCGRKRPSCSPPPPTARPALAFLVMSLLLLVLPPGEEGATGAEGRDRLLRSTAASMRSRRIPPRRRSPWNPFGFRLLVPSDGGCKWWLVSLIFECRVAL >Ma00_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19876067:19876300:-1 gene:Ma00_g02700 transcript:Ma00_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRDGLLTRSNGSPSRGTQMAMAVGIGILLGSLCVFHYPVGLFRSFCTSPSDDQDSAAWWSQVRRFYPLLVALWI >Ma02_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20761214:20771694:-1 gene:Ma02_g11710 transcript:Ma02_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MKQRKKSGLDDARAGPGRALREREKGSNVSVAGSGPVESGSPQPTSVISKMEKKERKRKSLPSAEAGVGVLDEDEQPIGCLFKVKKARVANKGKPASPGARAENPRHDEKAEYGEMDDTLASFKKKLKGPKRGKAVGDAASIGEKKSLPLDGLGLLEKVDNMGGDNVQLGHLGNPGSRRSRHDLTMKDGAKGVSGLRSSSDDSSDVSLGDSLSTFVKRVHPTKKTKAKDRTPSTDDELMCGTGMVSKDLVPNLERSPLLNSGLPSIPDGAQLELVTKSRGPKPHGIVTEMKINSTPDGHLGGKSDDEMLVKKQTSASGKTLSRSSMPQEGRVPTSKSSKLSSHSSGGTSKQLPRNSTHEASSISGLEVMESAKFDACGGSVLHHEGNFGSSAPSSFHALSSDSVEATNEITVLPKQQSEKCVGNDHKMNQSPYETSDEKLPHQFTDKIARQGNSLKHFTNGSVTVGLSTSDHLFSMICNGTRIRQCSHRSNESAAKDGNKLSDGTHGLSVQGSCSEHVLRMSEKCKEHCNALNEVITGVSEEIYSLKRQRKEVVGALHNDVSIDSCDKNLNEDKILNLTSEEAFERPYYNCEKISSAKERLHAIEPEGHDELSRPSSEILPNINCQKCQLHNDCRFHHGVSGSGEYGGTLPCLCFSNFGEKVEKIDAVVVHPDQSTDIMRSCNSQLLPANISSDENPQPDDVIHQSLSANIQGVSLVNHILYDASKEPSSTKSRVPFTIEDPDVVNSETRFNQTETHQTEPSSVSECDVYNQSTISRVVRNTKIHRHGDMAYEGDADWEVLMHEQGPFANLSATNEDQSLRQRDKSCAHSLDEVSYDGSVAVATGLKAHAVSPIEKIKFRDILKRRGGLQEYLDCRNFILGCWSKDVKHILPLMNCGATDASSKDESARQSLIREIYMFLDQNGYINAGIASEQCVPKPSVNVQVKENANTELDLSPVVLICEREPPKTFIAGDPVCSDLVCNLRSGYDKFSPYDEGVRCNDAQKEDIAETFIPSMIDPPFDCGSKTDGSSQHIEAFSDMHNVTNMVKENAKIHSSFAQSLEVNKSTESGLNIHKRIIIVGAGPAGLTAARHLHRQGFSVTVLEARDRVGGRVYTDRSSLSVPVDLGASIITGVEADVATERRPDPSSLICNQLGLELTVLNSDCPLYDIVTGLKVPADLDEALEAEYNSLLDDMVLLVAQNGEGAMEMCLEDGLEYAIRKRRMSKATSDSVEFNKLSVVYDSATVDASVNSSASRLTDGADHDIETNILSPLERRVMDWHFAHLEYGCAASLKEVSLPHWNQDDVYGGFGGPHCMIKGGYSTVIESLGLGLDIQLNKNVTEIIYNTNEISGAGQDENKVKVITSSGMEYVGDAALITVPLGCLKAETIKFSPALPDWKQTSIKRLGFGVLNKVVLEFSKAFWDENVDYFGATAEETDKRGQCFMFWNVKKTVGTPVLIALVVGKAARQTFSKSDHVNHALMVLRKLFGEASVPDPIASVVTNWGMDPFSRGAYSYVAVGASGEDYDILGRTVANCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILTTGKDYLAEVEAMESTLRQSDSERNEVRDMSKRLDACKLSSGLCKSSSDGKHILSTKESLLQDLFFSAKTTSGRLHLAKELLRLPVESLKSFTGTKEGLSTLNTWILDSLGKNSTQLLRHCVRLLVLVSTDLVAVRLSGIGRTIKDKVCVHTSRDIRSVASQLVGMWIEVFRKEKAVNGLKLLRQATCSESSKVRSKELILGKPHLRMTTETSENKCTIQVPSSSGSHSPSKVNIKKPEILPTKLESSVCIKSDVRSLRSQSIVHTKSKTKDNLVVSEEAATFAAVESARAAALKAVEAYATSEAEVPLRELPKIPSFHKFARREHCVQLDEFDVRRKWSDGNFGRQDCVSEIDSRNCRVRNWSIDVSAACLDNSKMSGDNYTQCSYSNEVPYTSSLREHSGESGAVDSRLTKAWIDTDAVGSGGVKDSLAIERWQLQAMDADADFYSSIHIRDEEDSNNLVLPALRNQCQTGADAALQVAENKSSLEHQQRGVNYIKQGVVDYVASLLMPLYRTRKIDREGYKSIMKRTATKVVEQCTEEEKRLAIYDFLDFRRKNKIRSFVDKLIERHMAMNQSVKS >Ma11_p08230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6560416:6564217:-1 gene:Ma11_g08230 transcript:Ma11_t08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMKAIQYRSYGGGAGALKHVEVPIPSPKKNEILLKLEAASINPIDWKIQVGMLRPFLPPKFPFTPVADVAGEVAELGPEVSAFKKGDKVVGMLNFFNGGGLAEFAVAPVGLMVTRPPELSPPEAASLPTAAMTALQALKSAGTKFDATDKPSNILITAASGGVGHYALQLAKHAGHHVTATCGARNIELIKSLGADEVLDYKTPEGAKLWSPSGKKYDAVIHCTTNISWSTFEPNLSTEGKVIDITPTLKTMATSMLKKLTFSKKKLVPLLLSPKSEDMKFLVDMAQEGKLKTIVDSTHPLTKAEEAWAKSMSGHATGKIVVEM >Ma11_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6560416:6564217:-1 gene:Ma11_g08230 transcript:Ma11_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFLPPKFPFTPVADVAGEVAELGPEVSAFKKGDKVVGMLNFFNGGGLAEFAVAPVGLMVTRPPELSPPEAASLPTAAMTALQALKSAGTKFDATDKPSNILITAASGGVGHYALQLAKHAGHHVTATCGARNIELIKSLGADEVLDYKTPEGAKLWSPSGKKYDAVIHCTTNISWSTFEPNLSTEGKVIDITPTLKTMATSMLKKLTFSKKKLVPLLLSPKSEDMKFLVDMAQEGKLKTIVDSTHPLTKAEEAWAKSMSGHATGKIVVEM >Ma09_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1694218:1697223:1 gene:Ma09_g02400 transcript:Ma09_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALDLERVLLHILPMPAMMAQGQLLGMPNHMEMIRDRLWAINGTIFDAQLRSLKEPELEEWVTDVGAAIVDVDDLLGRILDWHPGGGAASASNRSSRSICSIRVASRQAILLEFKEMVRRLNYLVRRGSVLGLSKEIMESVDPRQEEEYSTVLRGEVVGRNEDVEEIIKMLRQQQSGDGVEWLLIDGEDGRTTLARLIYHHPWVQEQFQHRIWVDVPNNFYLDPMWIMREFARSITGGPCEDIWQFYDGIHGSKYLLVLDDLYVGKEEDEDKWLQLENFLSLVGAPGSTVVVIPGWRFIERILGSSVRKYELGDLSEEDWVKLCMRQALIRPDQHEQANAIIQSYKINPSCDWSHRDAKIFGSVFRYAEMNRWRQEIDAFCKYKTQEVMHNRDTALIILHYWPRKQTRLLLYRWLIVHDDMDFLHVSIAEGSLPYSDVRGIKRKNLTVTGDQLFLLTATKYCYIWRDFDPNSIIPQQCLYLRMLVDSNMIIFPTILSRGVNKLRGLVLERKEMDHQHKYHILRIPESMFTNLIHLRILYLRAIRVQQLPDTVGKLLILRYLNLSQSEIQTLPKSLCKLRNLQVLNLAHCEKLRKLPKRIHSLENLHILKLAYCTKLQMLPISVTGLVNLQELDLEGCRWLVQLPEGLSNMKNLIDLNVYRCPLNQMTYGVSQMSNLLKLSQHIIVGGLGNVFSELQSLMNLKELSLQNLEQVSNSKDASTPLKLHDVLPQLTYLRLYWKRHSMDDMRTSELVSLQVLESLQPNLNLKKLEIILYASKEFPEWIKKGFGYLHKLKEIKLINLKICKCLPSLGGLHNLKIIEINGMDLINTMDESFYGDNGTFPKLKKFTLSHMPALEKWLQVEREENLFPSLDELTLIQCPKFEALEVDLKVTRLSIWLDNKMLRTSEFKGWHNLQSIEHLEIVGCQEMRCLPQDMQRCDRLEKLRIIRCDNLDCLPEWLQGFEGLKSLCMYGCRALSSMPEELKRLPGFDVKGCPKLRR >Ma02_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26860370:26863803:1 gene:Ma02_g21150 transcript:Ma02_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIPRIKFPQRHPPKPTGSSPASDETTFLESNVTSQPKAASSSGDARSYRFRSDVPAPPSYTAAGGKASLLPKRIPISDKEIETILLGGCF >Ma05_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35402591:35421262:1 gene:Ma05_g23370 transcript:Ma05_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGSSSSEMDLDRLNIEEYLTVESIRESPRKLHLRDLLDISPTLKEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCLGVVIRYGILFPLRVTILAAGWIVFFSAFLPVHFLLGDHNKLRQKVERKLVEMICSVFVASWTGVIKYHGPRPSIRPQQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRTESKDREIVARKLREHTQGVDKNPVLIFPEGTCVNNHYTVMFKKGAFELGCAVCPVAIKYNKIFVDAFWNSKKQSFTMHLVQLMTSWAVVCDVWYLEPQYIRSGETPIEFAERVRDMISVRAGLKKVPWDGYLKYFRPSPKLIERKQQIFAESVLQRLEEK >Ma11_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22511145:22514800:-1 gene:Ma11_g17120 transcript:Ma11_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAGLRRLFSISAISPPPLAAAAPASESEFRRLFSISAFSPPLPAAARPAAEPSTNLFVSGLNKRTTSEGLWEAFSKFGQVVHARVVTDRVSGYSKGFGFVRYATLEEAEAGIKGMDGKFLDGWVIFAEYARPRPPPPGQTQLQTAAAPPPQAMEPPAGHQ >Ma11_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21651612:21655532:1 gene:Ma11_g16060 transcript:Ma11_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPEMHDELPEKPLRRQLAATVRTIQWSYAIFWSTSTRQPGVLSWSDGYYNGDIKTRKTTQSVELKADQMGLQRSEQLRELYESLSAGDSNQQMRRPCASLSPEDLTHTEWYYLVCMSFTFTPGQGLPGKAFANNQHEWLSNAQFADSRIFSRSLLAKSASIQTVACIPFMGGVLELGTTESILEDAAVVTQITSFFWELPFPVRSEQSMSSPQMADDDDDDDDDDVDDVGNPMALEDHNLITDHPIRCPFALHSFPPSEQREAVHDKAEEVHTDHLCDCSPGDSFHSQQLEDMLEIDGLNCVSQTQNRQFRDDELISLNSNEYASMSVVRAKRVATSDTGERTRHPMLGNSKHVLLDLDAGDSHYANTVATILRSSKPVRSVSCFLKISSKSSFMVWRRGMSTPKPFTGTPQKLLKKMLMDGEWLRGGHQPKCQDGNDPKAWRPGESGSNHVLSERRSGEKLNEKFLVLQSLIPSVTKVDKASILGDTIEYLKDMERRVQELESCRESSLELDAKNRRKHPDVAERTSDNYGSKEMMANGRKSCSNKRKTCDAGETEAEHHWVLSRDGPIDVIVTMKEAEVVVEIHCPWRECLLLEIVESISHFHLDPLSVQSSTVDGVLALTVKSKFRSAIVASPGMIKRSLHRVIGKCL >Ma04_p26120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27689204:27694193:1 gene:Ma04_g26120 transcript:Ma04_t26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASFPTPLRLRSPFRCPRPLFPRENGGRRRRGWTWRSSMCLAAAPFVKDGALRVNGREALTGVPQNVVVSPPLMDGAAAFLGAVADREDSRHVFKLGVLRDYRLLCLFRFKIWWMIPRVGTAGSDVPFETQMLLLEARQYEAVDGGVHEAAADPAFYILFLPVLDGDYRSSLQGNSSDELEFCIESGDPATTGSRFLEAVFVSHGSNPFDLMKESMKMLEKHKGTFSVREHKMKPGVLDYFGWCTWDAFYFDVNPQGIEDGLKSLSKGGTPPKFLLIDDGWQDTSNEFQKEGEPAAEGSQYGARLVSVKENNKFRRTADGASNNGATSLKDFVSNIKQTYGLRYVYVWHALMGYWGGVSPDAAETKKYNSKLVYPVQSPGNLSHSRDLTMDCMEKYGVGMVDPEKAFDFYDDLHSYLMSQNIDGVKVDVQNILETIGTNHGGRVSLAHRFHEALEKSIAKNFQDNSIICCMAQSTDSIYSSKVNSITRASDDYMPRNMLSQTLHVAAVAFNSMWLGEVMVPDWDMFYSLHYAAEFHAAARALGGCGVYISDKPNQHDFELLKKLVLPDGSILRAKYPGRPTRDCLFDDPVMDGKSLLKIWNHNKCTGILGIFNCQGAGTWPCLKVPSTPSSEVTYLTGHVSPGDVEYLEEVAGDNWTGDCAVYSYHDGSLSILPKNGSLDVTLNVLQCKLFTISPIKSYDDPIQFAPIGLIKMYNSGGAIEAMDFVSDRSLCRLSIKGRGSGLFGAYSSVKPKVCTVNSTSEEFMFKDDNHMLTLTIPSGVDYWEICVCFDA >Ma03_p32120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34071545:34081642:-1 gene:Ma03_g32120 transcript:Ma03_t32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSQVWVEDPEAAWIDGEVTAIKGGNATIVTTDGKTVVASLSSIYPKDTEAPQAGVDDMTKLAYLHEPGVLNNLAARYALNEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAVADACYRAIINDHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKKFKVADPRAFHYLNQTNCYEVANVDDAREYLETRNAMDVVGISQDEQEAIFRVVAAILHLGNINFDKGKEIDSSKLKDEKSVSHLKTATELLMCDEKALEDSLCKRVIVTPDGKITKPLDPESAALSRDALAKTVYSRLFDWIVDKINNSIGQDPYAKNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFAQKMYQTYKSHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNDSKCPFVANLFPPLAEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFVDRFGILAPDLVDSSDEKAACAAICDNMSLKGYQIGKTKVFLRAGQMAELDARRMEVLSNASKLIQRQIRTHLARKEFIILRKASIQMQKLWRARLARKLYEGMRREDASIRIQKYARSHAARKAYTKLRSSAIVIETGLRAMAARNEYRHRRRTNAAIIIQTQWRLYKARSAYKYQKKATLILQCLWRGRIGRKELRKLRMAARETGALKEAKDKLEKKVEELTWRLDVEKHMRIDVEEAKGQEIAKLQTALQEMQEKLDEAHEAIIKEKEAARIAIEQAPPVIKEVPVVDNTKLELLTGRNRELEDELSIFKTKADEFEGKYTEVQKRVEELLKDTEESNSKISQLQEMIERLETNLSGLESENKVLRQQALVASSNEDLSEQIKSLEGKISTLESENQLLRNRPAVVYQPSVTSESIQPPVIKEPAAAPLAPALSKQKSLTDRQQENHDALIKCLTEYKRFDKKRPTTACIVYKSLLQWHSFEAEKTNIFDRIIQIIRSSVENQENVGELAYWLSTTSTLLFLLQKTLKASNASTTGSHRNRATTVTLFSRMARNTRSSSSGMGISSGYSGMVGKSEDQSRIEAKYPALLFKQQLTAYVEKIYGMIRDSLKKEISPFLTMCIQAPRPSKARSIRGSSKSIHSNLVAKQASSIHWQSIVKSLDQMLSVFNENYVPSMIIRKTFSQVFAFINVQLFNSLLLRRECCSFSNAEFVKAGLQELEQWCSRTTEQFAGTSWDELQHIRQAVGFLVLHQKSHKSLEEITNELCPVLSVPQIYRIGTMFWDDKYGTHGLSQDVISKMRTMMTDDSINMPNNSFLLDDDSSIPFSLDDILRSLIDMNLSDLEPPPLLRQNSGFHFLLQQHKD >Ma03_p14480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12844380:12852397:1 gene:Ma03_g14480 transcript:Ma03_t14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDETAISWVNQGSDVAQKPRSPLVEKYPVEPVPEQRLLSKCNNFLSPKALPPVKFHSSLLTPHSHLLSDSDEDESVASVPEDYYANYSDSDSDLFGKPGKRSCEEEILSGESSCYEPVRETDGRQRSTLVRGLSKENLRVDVAANNSCRGDEVKSKFSANRSSVSGAIAQNHEQDGFPHSRVHILNEFMAEKFQELGTPSAPPIVGNGRESGSLNLNGETKVNLGTEVSSDFSILAQKVGEIPAGAIPVEGDMQIPLWQTNIASHMPSYNTSSVQSAWQTFIAYDACFRLCLNAWARNCMEAPEFLRDECMALRNAFGLQTFLLHPRGQTQGEGRHADSKEGTNVIKGRKMIGQVEIEVKRIRIIPQRRKLQPTSSYRTIYMQMGAEYVKHMSAILKSQINSLRATASPVSSEDTLSCILQLKSSSEDALTESGSSVCLKPGTGDSHIFYPESQGDALLIEVHNINRIIQGRATVPISSLAECHQGEMTRWCPIYLEDHVCVGKVQISISVFHSSDKMTSTKRSILLDCEDQINNLLATTFENYKSLDELSPTGLTDIFGPIPESAAPALVPAVQIFTLLHDILSQEAQNILRNHLQIAAAKRCRRHMVETDEFMSSNCDGLYADPMTFSTAYLKMKMLCINISNEIQADIKIHNQHIFPSSIDLPNIAASLYSTELCKRLRGFLAACPPSKPSQHVAELLIATADFERDLESWNIRPVHGGVVSKDLFHDYIMVWIQDTRLQLLDLCKTEKSLQVPWLDVSTNCATSPLVENIYEQIRKGINEYEVVISRWPQYLLALENALADIERAVFKALEKQYSEILVPLRDGIPKILEKQVQKLTRRQPTSPYVVPSQLGIFLNTVKRILEVLHPGVEDFLKCWAACLTIEDGNTIFGEQMNGITVTLRKKYKKYMQAIVEKLVSNAQANRTTRLKRILEETKEAEGEPEIRDRMQTLCLQLTDSIHNLHHVLASRIFVAICRGFWDRMGQIVLSFLESRKENRIWYRGSDYALGILDDLFASEMQKLLGNSLQDKDLDPPRAVIEARSILC >Ma03_p14480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12844380:12852397:1 gene:Ma03_g14480 transcript:Ma03_t14480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDETAISWVNQGSDVAQKPRSPLVEKYPVEPVPEQRLLSKCNNFLSPKALPPVKFHSSLLTPHSHLLSDSDEDESVASVPEDYYANYSDSDSDLFGKPGKRSCEEEILSGESSCYEPVRETDGRQRSTLVRGLSKENLRVDVAANNSCRGDEVKSKFSANRSSVSGAIAQNHEQDGFPHSRVHILNEFMAEKFQELGTPSAPPIVGNGRESGSLNLNGETKVNLGTEVSSDFSILAQKVGEIPAGAIPVEGDMQIPLWQTNIASHMPSYNTSSVQSAWQTFIAYDACFRLCLNAWARNCMEAPEFLRDECMALRNAFGLQTFLLHPRGQTQGEGRHADSKEGTNVIKGRKMIGQVEIEVKRIRIIPQRRKLQPTSSYRTIYMQMGAEYVKHMSAILKSQINSLRATASPVSSEDTLSCILQLKSSSEDALTESGSSVCLKPGTGDSHIFYPESQGDALLIEVHNINRIIQGRATVPISSLAECHGEMTRWCPIYLEDHVCVGKVQISISVFHSSDKMTSTKMLQGGPVVETMIYDLVLEATMRAQHFHSKNLHIHGHWKWLLNEFADYYGVTDAYTKLRYLSFIMNAATPTKECLELIYELLLPIMRARGEKNLTRQERSILLDCEDQINNLLATTFENYKSLDELSPTGLTDIFGPIPESAAPALVPAVQIFTLLHDILSQEAQNILRNHLQIAAAKRCRRHMVETDEFMSSNCDGLYADPMTFSTAYLKMKMLCINISNEIQADIKIHNQHIFPSSIDLPNIAASLYSTELCKRLRGFLAACPPSKPSQHVAELLIATADFERDLESWNIRPVHGGVVSKDLFHDYIMVWIQDTRLQLLDLCKTEKSLQVPWLDVSTNCATSPLVENIYEQIRKGINEYEVVISRWPQYLLALENALADIERAVFKALEKQYSEILVPLRDGIPKILEKQVQKLTRRQPTSPYVVPSQLGIFLNTVKRILEVLHPGVEDFLKCWAACLTIEDGNTIFGEQMNGITVTLRKKYKKYMQAIVEKLVSNAQANRTTRLKRILEETKEAEGEPEIRDRMQTLCLQLTDSIHNLHHVLASRIFVAICRGFWDRMGQIVLSFLESRKENRIWYRGSDYALGILDDLFASEMQKLLGNSLQDKDLDPPRAVIEARSILC >Ma03_p14480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12844380:12852397:1 gene:Ma03_g14480 transcript:Ma03_t14480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDETAISWVNQGSDVAQKPRSPLVEKYPVEPVPEQRLLSKCNNFLSPKALPPVKFHSSLLTPHSHLLSDSDEDESVASVPEDYYANYSDSDSDLFGKPGKRSCEEEILSGESSCYEPVRETDGRQRSTLVRGLSKENLRVDVAANNSCRGDEVKSKFSANRSSVSGAIAQNHEQDGFPHSRVHILNEFMAEKFQELGTPSAPPIVGNGRESGSLNLNGETKVNLGTEVSSDFSILAQKVGEIPAGAIPVEGDMQIPLWQTNIASHMPSYNTSSVQSAWQTFIAYDACFRLCLNAWARNCMEAPEFLRDECMALRNAFGLQTFLLHPRGQTQGEGRHADSKEGTNVIKGRKMIGQVEIEVKRIRIIPQRRKLQPTSSYRTIYMQMGAEYVKHMSAILKSQINSLRATASPVSSEDTLSCILQLKSSSEDALTESGSSVCLKPGTGDSHIFYPESQGDALLIEVHNINRIIQGRATVPISSLAECHQGEMTRWCPIYLEDHVCVGKVQISISVFHSSDKMTSTKMLQGGPVVETMIYDLVLEATMRAQHFHSKNLHIHGHWKWLLNEFADYYGVTDAYTKLRYLSFIMNAATPTKECLELIYELLLPIMRARGEKNLTRQERSILLDCEDQINNLLATTFENYKSLDELSPTGLTDIFGPIPESAAPALVPAVQIFTLLHDILSQEAQNILRNHLQIAAAKRCRRHMVETDEFMSSNCDGLYADPMTFSTAYLKMKMLCINISNEIQADIKIHNQHIFPSSIDLPNIAASLYSTELCKRLRGFLAACPPSKPSQHVAELLIATADFERDLESWNIRPVHGGVVSKDLFHDYIMVWIQDTRLQLLDLCKTEKSLQVPWLDVSTNCATSPLVENIYEQIRKGINEYEVVISRWPQYLLALENALADIERAVFKALEKQYSEILVPLRDGIPKILEKQVQKLTRRQPTSPYVVPSQLGIFLNTVKRILEVLHPGVEDFLKCWAACLTIEDGNTIFGEQMNGITVTLRKKYKKYMQAIVEKLVSNAQANRTTRLKRILEETKEAEGEPEIRDRMQTLCLQLTDSIHNLHHVLASRIFVAICRGFWDRMGQIVLSFLESRKENRIWYRGSDYALGILDDLFASEMQKLLGNSLQDKDLDPPRAVIEARSILC >Ma03_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:12844380:12852397:1 gene:Ma03_g14480 transcript:Ma03_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDETAISWVNQGSDVAQKPRSPLVEKYPVEPVPEQRLLSKCNNFLSPKALPPVKFHSSLLTPHSHLLSDSDEDESVASVPEDYYANYSDSDSDLFGKPGKRSCEEEILSGESSCYEPVRETDGRQRSTLVRGLSKENLRVDVAANNSCRGDEVKSKFSANRSSVSGAIAQNHEQDGFPHSRVHILNEFMAEKFQELGTPSAPPIVGNGRESGSLNLNGETKVNLGTEVSSDFSILAQKVGEIPAGAIPVEGDMQIPLWQTNIASHMPSYNTSVQSAWQTFIAYDACFRLCLNAWARNCMEAPEFLRDECMALRNAFGLQTFLLHPRGQTQGEGRHADSKEGTNVIKGRKMIGQVEIEVKRIRIIPQRRKLQPTSSYRTIYMQMGAEYVKHMSAILKSQINSLRATASPVSSEDTLSCILQLKSSSEDALTESGSSVCLKPGTGDSHIFYPESQGDALLIEVHNINRIIQGRATVPISSLAECHQGEMTRWCPIYLEDHVCVGKVQISISVFHSSDKMTSTKMLQGGPVVETMIYDLVLEATMRAQHFHSKNLHIHGHWKWLLNEFADYYGVTDAYTKLRYLSFIMNAATPTKECLELIYELLLPIMRARGEKNLTRQERSILLDCEDQINNLLATTFENYKSLDELSPTGLTDIFGPIPESAAPALVPAVQIFTLLHDILSQEAQNILRNHLQIAAAKRCRRHMVETDEFMSSNCDGLYADPMTFSTAYLKMKMLCINISNEIQADIKIHNQHIFPSSIDLPNIAASLYSTELCKRLRGFLAACPPSKPSQHVAELLIATADFERDLESWNIRPVHGGVVSKDLFHDYIMVWIQDTRLQLLDLCKTEKSLQVPWLDVSTNCATSPLVENIYEQIRKGINEYEVVISRWPQYLLALENALADIERAVFKALEKQYSEILVPLRDGIPKILEKQVQKLTRRQPTSPYVVPSQLGIFLNTVKRILEVLHPGVEDFLKCWAACLTIEDGNTIFGEQMNGITVTLRKKYKKYMQAIVEKLVSNAQANRTTRLKRILEETKEAEGEPEIRDRMQTLCLQLTDSIHNLHHVLASRIFVAICRGFWDRMGQIVLSFLESRKENRIWYRGSDYALGILDDLFASEMQKLLGNSLQDKDLDPPRAVIEARSILC >Ma03_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6619683:6624076:1 gene:Ma03_g09010 transcript:Ma03_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVAASGSLGSSPMSNQEKCSRNKRKFQTDSPVLDPSDPSLMESAIHEYEPFPTGPLSNHDLEKHVSACSTCRALTHSLKEVLDLEDVKYTDWSGMMETQLEELLLSNLDIAFKSAIKKITLYGYKESVAINALLRIGRCYGCKDPVSNIVEHALEYLSSGKAVDISSRENSSEDMRKLQKSLLEDMVNVLRKMRPFYSRGEAMWSLLIHDINLSHACITDGDTFSGSGCNEISRAPAVQSNVEPNTNGTTTSVIVESNVPESDKLKPSITHPQITSKAEMPPLGGVPGLTSSKFYASSIVHGATSSSGPMKENPPSSSKSAEEEFRSQTVAKPSLTREKLAGSRKGLAGSSRNRYRSTHLERNNRGHGHRSASRLSKNSNLGNLLLDKKCKSISDSVSVDPKSSPLKLDKTVDLLTSQSYTTQNFSFTAGSSSSGTDKVSNSASLLAANTDASLLLSSNISDDISTRPNDTAVSKKSSSISSFLCHQAGSNCAADAKDEILVKLVPRVQELQTQLQDWRDWAQQKVMQAARRLSKDKVELQILRQEKEEATRLLKEKETLEVSMMKKVAETEYAWSKACAQYEMSNATMGKLENDNNKLRQALEIAKSHATKLAANCQEASMREIMTLKKIHSWEKEKVMFNEELAAEKNKLSRLRHQLEEAKDCHDQSEAAWKQEEKAKEESLMQGAAQKNERENIEASAKLEEDAFILKTEIGLQRYKDDIRTLENQIAKLRLKSCTKIPVLSWDTSVHSNTDMGDSQGSGNGDIRRDRECVMCLTEEMSVVFLPCSHQVVCAKCNELHEKQGMKDCPSCRTPIRRRIYVRSAYS >Ma03_p09010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6619683:6624076:1 gene:Ma03_g09010 transcript:Ma03_t09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVAASGSLGSSPMSNQEKCSRNKRKFQTDSPVLDPSDPSLMESAIHEYEPFPTGPLSNHDLEKHVSACSTCRALTHSLKEVLDLEDVKYTDWSGMMETQLEELLLSNLDIAFKSAIKKITLYGYKESVAINALLRIGRCYGCKDPVSNIVEHALEYLSSGKAVDISSRENSSEDMRKLQKSLLEDMVNVLRKMRPFYSRGEAMWSLLIHDINLSHACITDGDTFSGSGCNEISRAPAVQSNVEPNTNGTTTSVIVESNVPESDKLKPSITHPQITSKAEMPPLGGVPGLTSSKFYASSIVHGATSSSGPMKENPPSSSKSAEEEFRSQTVAKPSLTREKLAGSRKGLAGSSRNRYRSTHLERNNRGHGHRSASRLSKNSNLGNLLLDKKCKSISDSVSVDPKSSPLKLDKTVDLLTSQSYTTQNFSFTAGSSSSGTDKVSNSASLLAANTDASLLLSSNISDDISTRPNDTAVSKKSSSISSFLCHQAGSNCAADAKDEILVKLVPRVQELQTQLQDWRDWAQQKVMQAARRLSKDKVELQILRQEKEEATRLLKEKETLEVSMMKKVAETEYAWSKACAQYEMSNATMGKLENDNNKLRQALEIAKSHATKLAANCQEASMREIMTLKKIHSWEKEKVMFNEELAAEKNKLSRLRHQLEEAKDCHDQSEAAWKQEEKAKEESLMQGAAQKNERENIEASAKLEEDAFILKTEIGLQRYKDDIRTLENQIAKLRLKSCTKIPVLSWDTSVHSNTDMGDSQGSGNGDIRRDRECVMCLTEEMSVVFLPCSHQVVCAKCNELHEKQGMKDCPSCRTPIRRRIYVRSAYS >Ma10_p27580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34803734:34805965:1 gene:Ma10_g27580 transcript:Ma10_t27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAQCAVSEIFMIHVCMTLFPSSSFQGIAGEKGRPMAWQSDVDERLLHSGIYTGVDICQGYSHVIVDKVKYTITKERSKVCTMRSPS >Ma04_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7919856:7920488:1 gene:Ma04_g11250 transcript:Ma04_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGTSSGSSLLQTSGSYEDLQAVAMEQKKRKRMISNRKSARLSRMRKQKHLDDLTAEANQLRKENGRLLTSVIFTTQQHVAVEAENSVLRTRMVELTDRLQSLDEILFCFQRTPSDPWSFGFVNQSVMASADNLFQYRQLRIQNEVLMATKTASSSLHCLLELETVRTEECAHLNH >Ma02_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24558479:24559927:-1 gene:Ma02_g17770 transcript:Ma02_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGNRKPHFVLVPLFAQGHMIPMIDLARLLALQGVAVSVMTTPQNTARFKAVIDRANAAGLLIRFIELRFPCAEAGLPEGCECYDHVPSLELYKNFYEGLSLLREPLLLYLRQQCPKPSCIISDASTPWTREIARELRVPRYVYHAPSCFFLLCTRNVGKHKPGADAFERLLVPDLPHEVEVVRGQALGLFDYPGIEKLRDEVAEAESTADGLVMNSFRELETAFIDSYQKDLEKKVWAIGPVCLSNKETGDKFTRGNKMNVDENYIRNWLDAKETASVIYVSFGSIASHSASHLIEIGLGLEASKRPFVWVIRDKEMRPEVARFLSEGFEERTKGLILRGWAPQVLILSHPSVGGFMTHCGWNSTLEAVSVGVPMLTWAHFFDQFLNEKLVVEILRIGVALKANTSIVYMADDAEGLITREDVEKAVTELLEGAEAEERRKRAKELAAKAKESMEGGSSCEDLRFMIRHALELTDEASDA >Ma06_p30920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32138940:32139444:1 gene:Ma06_g30920 transcript:Ma06_t30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKESTSETMASRCSTMLLALVLFSLVITPAYSQFNGCTILGEGCKNDSECLIICQEEGQVKSYSCIPDPTGRDRGTVCCCKFK >Ma01_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3677084:3682836:-1 gene:Ma01_g05240 transcript:Ma01_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEQRGAGAAVLEEKTATAEEDEGEGGGKREGDAAPPAEENRDCVVVDVAGSADGAADGGNDRDAETPCRICQLRPDRGQEVSLQVLLGCWCNDGEERGEADPEVARPPEEAAVVVALEERAAVEMGAAFVVVDVGGSADGAADGSEWDTAMACRICHLSPDRFEEGSELFQLGCGCKGELGVAHRHCAEAWFKVKGNRYCEICGENAKNVTGEDDSKFMNEWYERGDSSNRNVSERCSCWRHQPFCNFLMACLVIAFMLPWFLRVNMF >Ma10_p19550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30067226:30071139:1 gene:Ma10_g19550 transcript:Ma10_t19550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIRSYHRNSSRKFRSTPYPVPSYQRPISAERSSKKTSFTLERKDWKGATCPVCMEFPHNAVLLLCSSHDKGCRPYMCATSCRFSNCLEQFKKAYAKTTSLVESRIHDLPAWKKCEVVELACPLCRGQVKGWTVVEPARKYLNKKRRSCMQDDCSFIGSYKELRKHMRSEHPCAKPHVVDPVLEQKWRNLEYQSERADVISTIRSSMPRAVILGDYVIDMDDSDSDFDDDSDSGTDFDDYNDDFFDNANGIFGRRNGRSIFTALMREAARNRRFRRSRVGNAREGSNGHLPTIVDRASRDAAFSYRLEEHDDDRSAVGITRSERQHRRRSLGRSSVHGTRLL >Ma10_p19550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30069595:30071139:1 gene:Ma10_g19550 transcript:Ma10_t19550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIRSYHRNSSRKFRSTPYPVPSYQRPISAERSSKKTSFTLERKDWKGATCPVCMEFPHNAVLLLCSSHDKGCRPYMCATSCRFSNCLEQFKKAYAKTTSLVESRIHDLPAWKKCEVVELACPLCRGQVKGWTVVEPARKYLNKKRRSCMQDDCSFIGSYKELRKHMRSEHPCAKPHVVDPVLEQKWRNLEYQSERADVISTIRSSMPRAVILGDYVIDMDDSDSDFDDDSDSGTDFDDYNDDFFDNANGIFGRRNGRSIFTALMREAARNRRFRRSRVGNAREGSNGHLPTIVDRASRDAAFSYRLEEHDDDRSAVGITRSERQHRRRSLGRSSVHGTRLL >Ma10_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30067232:30071139:1 gene:Ma10_g19550 transcript:Ma10_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIRSYHRNSSRKFRSTPYPVPSYQRPISAERSSKKTSFTLERKDWKGATCPVCMEFPHNAVLLLCSSHDKGCRPYMCATSCRFSNCLEQFKKAYAKTTSLVESRIHDLPAWKKCEVVELACPLCRGQVKGWTVVEPARKYLNKKRRSCMQDDCSFIGSYKELRKHMRSEHPCAKPHVVDPVLEQKWRNLEYQSERADVISTIRSSMPRAVILGDYVIDMDDSDSDFDDDSDSGTDFDDYNDDFFDNANGIFGRRNGRSIFTALMREAARNRRFRRSRVGNAREGSNGHLPTIVDRASRDAAFSYRLEEHDDDRSAVGITRSERQHRRRSLGRSSVHGTRLL >Ma03_p10610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8001945:8003439:1 gene:Ma03_g10610 transcript:Ma03_t10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNSWIMLTMVGMVNQLPAILHLLCRRFKLWWSNEMQITISQEDRDWYRNSKVFSAVETYLSTRSTDDARRMKAYTDHNSHHLKLTMDDAQHIVDVFTPKVDDDGKKKDKTIKVRWTFYCRDGTGTTQRGNEETKFYTLKFSARHRSVVLNQYLVYIMERSQEIEQKNRQRNLYSNTMSSHMHWTKVVFRHPATFATLAMDPKKKQEIMNDLETFSKSRDYYAKLGKTWKRGYLLYGPPGSGKSTLIACMANLLDYDVFDLELTGIEDNTELRRLIVNTTSKSIIVIEDIDCSIHLSGTREIKGVNHNNEENVNEEMGQNKTVDNGQSKLTLSGLLNFVDGLWAACGGERLIVFTTNHVGKLDPALVRRGRMDVHIELGYCGFEAFKVLAKNYLEVEEHPLFDKVKELLEKVKITPADVAEQLMPKKLLADDKASRCLEGLVQTLQNAIKDGGKKGERDAM >Ma07_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1151279:1154938:-1 gene:Ma07_g01460 transcript:Ma07_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSSSKAVARFLSGKNRCLDLGVWSGLVGSHPEAGKRTARFAQTRCLASFAGCGSPCIGEVFHSGEVLAPSVGYSHRYDQRRWFLGCGDGEEGTILSKIYEERRVIGYSPEQLFDVVAAVDMYEEFLPWCQRSRIIKRNSDGSFDAELEIGFKFLVESYVSHVEMEKPKYIKTTASENGLFDHLINIWEFYPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSQLVTSFDDRCRRIYGPAVQVLETSYGQST >Ma11_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5353632:5354203:1 gene:Ma11_g06650 transcript:Ma11_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSWWKGKGASPSSVTKGDTGKKPEVASEEVPGMNGAVDVRRPGDVTVFEFGSVAASGDRMTLAGYCPVSDELEPCRWEIIPAVGTDAPQFRIVF >Ma02_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23361484:23362298:1 gene:Ma02_g15790 transcript:Ma02_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDEDFEEEEVWAVVMERKEASSPRSRRGKDSSCHASAAARRLPTGARMIPRSAAGSEASGRDRKQSAPVSIPDWSKVYGHGQGPSSVATGDEDGCDRRGGTSENDEDDEDDDRAPPHEWLAKKMARSQISSSSVCEGAGRTLKGRDLRKVRNAVLTKTGFLE >Ma10_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1710726:1713763:-1 gene:Ma10_g00490 transcript:Ma10_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLRFLSSLSLCLLALLLHRATVTSGCFSMEREALLDFKAGIHDTHNRLSSWVGQDCCAWEGVICGATTGHVKLRYLNLSSTYFMGGIPARLGNLSSLYHLDLSRLVLTNVPDWFSSVNMLPSLQVLTMSSVGLNTIPASVAHVNFTSSLAVLDLSYNNFDSTLPKWLWNINWFSSVNMLPSLQVSSLTVLDLSNNNFSSTLPKWLWNISSLTHLDLYYSGLYGVIPDAIGDLSSLTFLNLGVNQLEGSVPRSMADLRIISEVHFENLTRLQVLDLSYNPITISIGQSWVPPFQLRLVYLTNCQLGPQFPDWLQFQTQIQGLSMDYCKIAGTMPAWFWNISSSTITYLFLSNNQIGGKLPSSLKFTKLEILDLDSNRFEGQLPIWPYIPYCLGKSLQNLRFLDLSNNHFFSGEVPLSLKNCTNLWFLDLTQNNLVGSITLWMGENLRQLQVLRLRSNMFSGVIPCQLARFEQLQILDLANNNFSGSIPHNIGNLSSMRSTSQYYGFYTKRSWRPCELNNLNLSRNHLQGKIPWEIGGMKSLESLDLSINDLSGSIPESLSVLYSLSYLNLSYNNLSGRIPTGYQLQTLNDPSIYMGNADLCGPPTSKSCFNNKTTQNIIQEYKKEIPEWLWFYISMVLGYVMGFWTFYSILFLKDAWRHTYFHMIDHMYDWFWVQ >Ma01_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2419685:2429378:-1 gene:Ma01_g03630 transcript:Ma01_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEVRKVSRQDIQLVQNLIERCLQLYMNQKEVVDTLSFQAKIEPSFTELVWQKLEEENREFFKAYHIRLILKNQILLFNKLLEKQVELMQKACPSGVAILPIPNASHSPLLQTPSCYMSQHASTSSRPDGMFYNGDFSSDLVNGRSSEHQGNYLESDSSIVAGIMHASTIVLSAHNSNMGRISGLSETIIKSEPNYLNNSEFPFVSDNIILEAHRPIGDASGGSFSNSELTGQPANSTLPDVDTPSLGFSQIPRNFSFSDLSDDFTHCADILENYDKSPYLPPDSNNFLDSPATEFKDDTRKLDTISEGVSYDGLRSD >Ma10_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15572778:15574146:1 gene:Ma10_g04930 transcript:Ma10_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNRGHNNSSSLQRSAPDGTVTGPMRTRWTPKAEQILILESIFNSGMVNPTKDETARIRRLLERFGPVGDANVFYWFQNRRSRSRRRQRQLQASLVADPRAAGVAQQVGGGVQSSSAASSSSSSSAANVMLMDDSAQYLFSISRQMGLMENTQSPVVRSSDVSQLHSQPGTVIVFIDGVLNEVPSGPIDLRAMFGQDVLLVHSSGELLPVNEHGILMQSLQMGESYFLVAKPT >Ma04_p30790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31351289:31353062:1 gene:Ma04_g30790 transcript:Ma04_t30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVLQTPFQMTKYEWPVAEERYESKDDAVMAVEDDEERPGQLDIWRAIQAQKAATDSPAPYVHPLVTRSSSSLSQKSLQTCTESLGSETGSDDFSSFLDELDVDYLPMVVTEREEENHDVHGKLVIIEERRVWRNREAEEREVPQRKGKELTSVNYHGSVGRRSVPRLFPPPLPSICRRDGGPCLHMRPRRREGRLLVEAVSVPSKNYLHAQREGGRLLLSFIDATFHDPSSDSTEPGQPQEQNRTVDEELNETKENEVAEIARLEEEDEGEENCYEEGEDEEEEEEEVEVVDRGTVIEVTVSTQPQQPSGGAMKVLRSSLVINKFVGCNRPSSNARVDLPPESETTKTRLNNQDQAPTAASVMRRPPPTTATAAAAVVLASVLSGDQDEHSFDGAPHSHLPPDNKLLFISRRWNREELLHSMSRCSQLRRPLFIWEPCCIVTSSS >Ma08_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35914966:35921623:1 gene:Ma08_g22280 transcript:Ma08_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRPSLNPSAPPDDDPDVLPKAASRSRSSSSWHRAERIPSSSSNSAVSFERSTSKPVASFPSKRSDSEKLGSQREISDDDARFVYVNDPGRTNQPIKFADNSIRTTKYSVLTFLPRNLFEQFHRVAYVYFLILAGLNQVPQLGVFTPAASILPLAFVLGVTAVKDGYEDWRRHRSDRDENNRTAQVLAPGGEFRPKRWKDILVGEVVKVTADETLPCDMVLLATSDPTGVAYVQTINLDGESNLKTRYAKQETQSTPPESTAALIRCEKPNRNIYGFLASADVPGEKRVSLGPSNIILRGCELKNTSWVVGVAVYTGKDTKVMLNSSGAPSKRSRLEAHMNREVILLAVALVSLCSIVTVLAGVWLANHHHELNDLLYYRKEDYSGPKTDTYNYYGVGWETVFSFLKSVIIFQVMIPIALYISMELVRLGQAFFMIQDKNMFDEGSKTRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFRCASVGGVDYSAASDGEEDGHSITVDGEIWRPKMSVKTDPELMNALMGGEGIEKANRARDFFLALATCNTIVPILVDTPEPSLKLIDYQGESPDEQALVYAAAAYGFVLMQRTSGHILIDVLGERQRFDVLGLHEFDSDRKRMSVIIGCPDRTVKLFVKGADNSMFGVVQKNLDLDIIHTTKTNLHSYSSLGLRTLVVGMRELSEHEFKKWQSAYENATTALIGRGKLLKAIASNAERDLHILGASGIEDKLQQGVPEAIESMRQAGIKVWVLTGDKQETAISIGYSCKLLTSEMTQIVINSNSRESCKRRLQDAASMSSRLAGAGSAKSPLALIIDGTSLVYILETELEEELFKVATTCDVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNAVFVFVLFWYVLYTAYSLTSAISEWSSVLYSVIYTALPTIIVGILDKDLSRKTLLKYPQLYRAGQRDERYNLKLFIFTMMDCIWQSIAIFYIPYLAYRHSDVDISGLGDLWILAVVILVNIHLAMDVFRWNWITHASVWGCIAATVICVIIIDSIWMLPGYWAIFNMMGTGLFWLCLLGIIVAGMVPRFATKALTEYFLPSDVQIARELEKFQNLNASTILEIPMSTFSDPQ >Ma03_p33580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34899166:34900416:1 gene:Ma03_g33580 transcript:Ma03_t33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEITMVLFYREADESRLREVCESFLGPPTGMADTTLVDSKKPAWDPYVLGMKKQKLLREDILPAMASNRKVQRLLNEFMDLLSEYETNGTNADRSYGCLTGKQMMATYKVWYLYPILFSTLFKI >Ma02_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28985776:28987252:1 gene:Ma02_g24310 transcript:Ma02_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVMQSSSTTFLLRRSRSLLSQESLEICTENLGSETGSEYFSFMDDLDYCGPLQGFDVEKEEEKKDSEEKHFVVPEAGAEASGVRRHQAKELKSVNYHCSIGRRSPLKSFPPPLPSISRRNGPCLHMRPHRRDGHLVVEAVLVPCQNYLHAQRVDGRLLLSFIDATSEDEPGYESETLQSREQQDVDAKDEEVTDITQLEVESEEKNCREEEEEEEEEEVEVVDRGTVVEVKVSRQPQQQSGATKVHRSSLVINKFVGGTPLSDDDSTSNQNKHNRRVASAPSATRASPTATTAAAASTLSAATAGYNEHGHGGPWEHHSPQENKFLFTSKRRSRQELLHNMRRCSQLRRPLFIWEPCCIATSS >Ma09_p24730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36352832:36359069:1 gene:Ma09_g24730 transcript:Ma09_t24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEEGQGRRDEAEAMAVREWVEEEEAEEESEGAKGVALRWEKFLPRVPVRVLLVEGDDSTRQIIAALLRKCSYRVAAASDGLKAWDVLMEKPQSVDLVLTEVDLPSISGFGLLTMIMDHESCRNIPVIMMSSHDSISMVFKCMLKGAADFLIKPIRKNELRNLWQHVWRRQIVDGHGGTHNIQDKREAMHKMKAHYGEKEHSIENIAIVQANKEFGEQGSDAQSSCTRSDVEAESTHKQLKLKQTKVVDAFMTQNGGNIQMDNGSFNYENSITAASENVDEVQECLGYKKTNNKNYDQKCHHEIISKDDDLVHVVDNQPQGRLPSRDIVHVTNVKRKLSAAPYLELSLKRYEGTFPEKQECGGSNIWNHSSSSAFSLYTGRMVIPTMLEQNNSSSETNGLKPIEPLKDWDSRGNSEETKSSDVGPSVQDGMAVQCTPLPVIPFPLPVGSMPSSSGYGTGMQQMFYPQSGHPFWSTNPSIWREATMQTNSSIQPCQKNCNSVQCDLPDEENVRSSCYPSAGKQEELMQLDEQRQVSSAEGESGSSSICNGSRNFKSSECGSVLSGTTGHTSATHVFRPITVTGNDESKLTCDGSKPEDCHLLTQREIALNKFRLKRKERCFEKKVRYHSRKLLAEQRPRVKGQFVRQDRPEPQPGQAGAFQSESAAA >Ma09_p24730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36352832:36359069:1 gene:Ma09_g24730 transcript:Ma09_t24730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEEGQGRRDEAEAMAVREWVEEEEAEEESEGAKGVALRWEKFLPRVPVRVLLVEGDDSTRQIIAALLRKCSYRVAAASDGLKAWDVLMEKPQSVDLVLTEVDLPSISGFGLLTMIMDHESCRNIPVIMMSSHDSISMVFKCMLKGAADFLIKPIRKNELRNLWQHVWRRQIVDGHGGTHNIQDKREAMHKMKAHYGEKEHSIENIAIVQANKEFGEQGSDAQSSCTRSDVEAESTHKQLKLKQTKVVDAFNYENSITAASENVDEVQECLGYKKTNNKNYDQKCHHEIISKDDDLVHVVDNQPQGRLPSRDIVHVTNVKRKLSAAPYLELSLKRYEGTFPEKQECGGSNIWNHSSSSAFSLYTGRMVIPTMLEQNNSSSETNGLKPIEPLKDWDSRGNSEETKSSDVGPSVQDGMAVQCTPLPVIPFPLPVGSMPSSSGYGTGMQQMFYPQSGHPFWSTNPSIWREATMQTNSSIQPCQKNCNSVQCDLPDEENVRSSCYPSAGKQEELMQLDEQRQVSSAEGESGSSSICNGSRNFKSSECGSVLSGTTGHTSATHVFRPITVTGNDESKLTCDGSKPEDCHLLTQREIALNKFRLKRKERCFEKKVRYHSRKLLAEQRPRVKGQFVRQDRPEPQPGQAGAFQSESAAA >Ma09_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36352832:36359069:1 gene:Ma09_g24730 transcript:Ma09_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEEGQGRRDEAEAMAVREWVEEEEAEEESEGAKGVALRWEKFLPRVPVRVLLVEGDDSTRQIIAALLRKCSYRVAAASDGLKAWDVLMEKPQSVDLVLTEVDLPSISGFGLLTMIMDHESCRNIPVIMMSSHDSISMVFKCMLKGAADFLIKPIRKNELRNLWQHVWRRQIVDGHGGTHNIQDKREAMHKMKAHYGEKEHSIENIAIVQANKEFGEQGSDAQSSCTRSDVEAESTHKQLKLKQTKVVDAFNYENSITASENVDEVQECLGYKKTNNKNYDQKCHHEIISKDDDLVHVVDNQPQGRLPSRDIVHVTNVKRKLSAAPYLELSLKRYEGTFPEKQECGGSNIWNHSSSSAFSLYTGRMVIPTMLEQNNSSSETNGLKPIEPLKDWDSRGNSEETKSSDVGPSVQDGMAVQCTPLPVIPFPLPVGSMPSSSGYGTGMQQMFYPQSGHPFWSTNPSIWREATMQTNSSIQPCQKNCNSVQCDLPDEENVRSSCYPSAGKQEELMQLDEQRQVSSAEGESGSSSICNGSRNFKSSECGSVLSGTTGHTSATHVFRPITVTGNDESKLTCDGSKPEDCHLLTQREIALNKFRLKRKERCFEKKVRYHSRKLLAEQRPRVKGQFVRQDRPEPQPGQAGAFQSESAAA >Ma09_p24730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36352832:36359069:1 gene:Ma09_g24730 transcript:Ma09_t24730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEEGQGRRDEAEAMAVREWVEEEEAEEESEGAKGVALRWEKFLPRVPVRVLLVEGDDSTRQIIAALLRKCSYRVAAASDGLKAWDVLMEKPQSVDLVLTEVDLPSISGFGLLTMIMDHESCRNIPVIMMSSHDSISMVFKCMLKGAADFLIKPIRKNELRNLWQHVWRRQIVDGHGGTHNIQDKREAMHKMKAHYGEKEHSIENIAIVQANKEFGEQGSDAQSSCTRSDVEAESTHKQLKLKQTKVVDAFMTQNGGNIQMDNGSFNYENSITASENVDEVQECLGYKKTNNKNYDQKCHHEIISKDDDLVHVVDNQPQGRLPSRDIVHVTNVKRKLSAAPYLELSLKRYEGTFPEKQECGGSNIWNHSSSSAFSLYTGRMVIPTMLEQNNSSSETNGLKPIEPLKDWDSRGNSEETKSSDVGPSVQDGMAVQCTPLPVIPFPLPVGSMPSSSGYGTGMQQMFYPQSGHPFWSTNPSIWREATMQTNSSIQPCQKNCNSVQCDLPDEENVRSSCYPSAGKQEELMQLDEQRQVSSAEGESGSSSICNGSRNFKSSECGSVLSGTTGHTSATHVFRPITVTGNDESKLTCDGSKPEDCHLLTQREIALNKFRLKRKERCFEKKVRYHSRKLLAEQRPRVKGQFVRQDRPEPQPGQAGAFQSESAAA >Ma09_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1116324:1130485:-1 gene:Ma09_g01530 transcript:Ma09_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSAMELSPEEERILIRDITIAAESLAKEGDTFFLISQRWWQQWLDYVNQDMTSSSVNGSSSYGAHHHDSASSSAKRPSAIDNSDLIYDATSKGSNVEIELHDTLVEGRDYILLPQQIWEKLHGWYGGGPTLPRKAINTGLSQTDLAIEVYPLRLRLTLMPKGERAIIRISKKETVGELHKKACEVFDLIVDQVCIWDYYGEQKHALMDNMDKTLDDANIQMDQDILVEVFTDGNGTADVGCTIPLQENGYTEKYSTSVIVEPSQSSLSAADGLSTNNYASRSCSSEFSQSQYLASPSNDLDNLHGTNNINTRTAPLGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYRQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVSPRPFKTKLARFAPQFSGNNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSKDTDGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCGKVSVTFDPFMYLSLPLQSASTRTMTVMVFTSDGSALPTTCTVNVPKHGRCRDLIQALSNACSLKNGEKLLLAEIRGHMINQLLEDPLTLLSTIKDDDRLVAYKILNVVKNTIYLQFVHRREVGPGNINSSVAWEFYGIPLLASISRDEIVTGAAIQEILQIMLAPMLGSEELQPLSMSGSSMNAASHNHQDTANKACLDSDESQLKDQELNCKSESSHKMHLQLVDENNAQVDLSSVENPIMMPGSSIVLFINWSKKDLKKYDTHHFENHPEVFKYVPAPKRTRGEPLSLYACLDAFLREEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKHKLETFVNFPIHDLDLTNYVAHKKGSQRQIYELYALSNHYGSMASGHYTAHIKLLDENRWYNFDDSHISPINEEEVKSAAAYVLFYRRTKGEDASTSIGAESYANKNHSLSRR >Ma10_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31745730:31746587:-1 gene:Ma10_g22300 transcript:Ma10_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMREEDQEKQQQPLLTPRGSSSSAEGQMTSLQKLLGQTYESTANLAKCLPTGTVLAFQVLSPILADAGHCTKANQVMTACLVALFGLSCFILSFTDSFRDETTGRVRYGVATIKGLWVIDSLKPPSPELAAKYRLKLIDFMHASVTLLVFAAVALSDKNVASCFYHIGSENSKKLLEALPAVIGFIASTVCVAFPSTRHGIGSPVSAI >Ma07_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8309642:8310627:-1 gene:Ma07_g11170 transcript:Ma07_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEAWRLRHGHRSFSSSLLDAIERSMDDPIAPKSEEMANRLAHGASDFLVPLASMERRPTATVTRPRRPDFPPFSTSSSSCNSTSSGFFSSSSGRESPATLPLRLRPSRSPPPDQHRQQDKERSGSTRTKLRGLKKYKAPGSPGALLAGFLNSLCTAAAGDRAKPKPSPSGADSACSAVSSRARPCLSKAPSTRDPAEGGNRSVRFRADGEDPRRCGQTKKSACGGDHAVVEARGVKMRVEELLRTLAEEGEEEQDDLFELENLMVMEGGGYRDELPVYGTTRPEKNRSRSN >Ma09_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:16382924:16385109:-1 gene:Ma09_g18200 transcript:Ma09_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKNIQQLTLSEEEAVACALQLASSCALPFTLKAAIELRLLDIIVEAAPGAMLSPVDIAARLPTENPQAPTMVDRMLRLLAANSVVNCTVETVADGRPSRKYGAAPICKYLTKNVDDVSMAALALLLQDKIFVDTCHYLKDSGLEGGIPMKTAHGMFLFDYTSSDPRFSTVFNEGMRGHSSIIIKNLLRVYSGFDDMEGLVDVGGNDGATLQMITSRHPQIKGINYDLPHVISGAQPMPGVEHISGDMFEAVPNGDTIFLKCVLHNWSDEDCVKILNNCWKALTENGKVIVVECILPIVPEPTAKAQAVFQLDLYMLVCSNGGRERSEEGFKDLAIEAGFPGFKATHVFADTWVMEFTK >Ma01_p17210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12590378:12591924:-1 gene:Ma01_g17210 transcript:Ma01_t17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNQSISPNGQPAFPLKGSAAQDACQNVQMALPLRKTSTLKAYRSSSMKKASSVRGASDVGSRTDRHIDILDGFTSSIRNLPHLLQLENQSENAERCKISVCSMKFQPFNPSFMHAFVENEEFCETEDVGKESDRGSFKPSDVLVISVSSAVELDDRQMDLITRKMQKLTGFRKLRLENIVDPSLIAGFVISYCSDGSHVIDLSVKGQLATLAARLESSDQKTANTVQSWSFPSNTS >Ma01_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12590378:12591915:-1 gene:Ma01_g17210 transcript:Ma01_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNQSISPNGQPAFPLKGSAAQDACQNVQMALPLRKTSTLKAYRSSSMKKASSVRGASDVGSRTDRHIDILDGFTSSIRNLPHLLQLENQSENAERCKISVCSMKFQPFNPSFMHAFVENEEFCETEDVGKESDRGSFKPSDVLVISVSSAVELDDRQMDLITRKMQKLTGFRKLRLENIVDPSLIAGFVISYCSDGSHVIDLSVKGQLATLAARLESSDQKTANTVQSWSFPSNTS >Ma01_p17210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12590378:12591909:-1 gene:Ma01_g17210 transcript:Ma01_t17210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNQSISPNGQPAFPLKGSAAQDACQNVQMALPLRKTSTLKAYRSSSMKKASSVRGASDVGSRTDRHIDILDGFTSSIRNLPHLLQLENQSENAERCKISVCSMKFQPFNPSFMHAFVENEEFCETEDVGKESDRGSFKPSDVLVISVSSAVELDDRQMDLITRKMQKLTGFRKLRLENIVDPSLIAGFVISYCSDGSHVIDLSVKGQLATLAARLESSDQKTANTVQSWSFPSNTS >Ma05_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3869840:3871215:-1 gene:Ma05_g05060 transcript:Ma05_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLCRTTSLRPALLSIMLAVLLPFSSCHEEKAIDKLESVERLVLTTVAGPESLAFDRRGEGPYTGVSGGRILKWQGKGRGWTGFAVNAGNRKECCDTSDVSLESMCGRPLGLQFHKATGVLYVADAYFGLLAVGPKGGAARRLAASADGGRFNFTNGVDVDQGTGEVYFTDSSTRYQRPDYILSVITGDSTGRLMKYDPRTRKVTVLRRGLPFPNGVALSGDGSFLLFAETGSCRVLKHWLRGPRTGAMEVFAELPGYPDNIRRTARGEYWIALNREKINLNDGVGVGVGVTEDEKATKGKAAATEHPVAVRLSGEGKVLEVLLDGGELASVSEVAEENGAIWIGSVELPYVEVYKL >Ma03_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4664323:4667352:1 gene:Ma03_g06790 transcript:Ma03_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYMSQIQSPACGNLVAVLSIDGGGIRGIIPATILTFLEEKLQNLDGEDARLADYFDVISGTSTGGLVTAMLTAPNEKNRPLFAAKDILSFYVDHSPKIFPQPWEAIARAIESIRRILGPKYNGEYLRHIIRERLGCSKLHQALTNVVIPTFDIKQLQPTIFSSYKARDDELMDAQLSDICISTSAAPTYLPAHYFKTKNHKGEVREFNLIDGGVAANNPTLIALGEVRKDHFRRDPEIFSSTKYIDCSKLLVLSLGTGIPKNEKKYDAKSAGKWSILGWLMNGGSNPLIDAFTHASSDMVDIHISAVFEGDLESNYLRIQDDTLEGPLSSVDISTKENLENLVKAGEKLLKKPVSRVNLETGDYEIVGKKSNEEALTEFAMKLSQERKQRMLGSSSTRT >Ma08_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5269146:5272693:1 gene:Ma08_g07700 transcript:Ma08_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEEYSCFIGSLSWTTTDGGLKEAFQKFGHLTKAKVILDKFSGRSRGFGFVTFDDKEAMEDAIEAMNGMDLDGQSITVERAQPHGPGRRDRDSGRDFDRDRARERGWGRDSGGSRRGSDGGDCFKCGKPGHFARECPSGDGARGDRYSGRDDRYGGGRGSNNRYGPDRNGDRFSARNRDGGSHGGTGGDRYNRDRSGPYDRPSGGGGGYRT >Ma10_p29860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36291858:36297431:-1 gene:Ma10_g29860 transcript:Ma10_t29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKRELQKKRQSLQSDFGGRKLLKRSEIDQKTIQKLRDEEQRDLLSKSLRSTSASSSSSSPAASSSTANASSSSLDANPGNSLPPALASAAAVASSKKTLETLSEEQRIDDLVLPRQEVIRRLRILKQPITFFGEDDDARLDRLKLTLKSGILEIDSDMTEGQTNDFLRDIYELRKRQKSGSTSSFLHDRTKGKRDDGDRLEDDGMDGDGDKNLSGDGGSSGVDTDKDLKRMKAKFEDLCDEDKILVFFKRLLNEWNQELNEMAEAEKRTAKGKSMVATFKQCARYLSPLFKFCRKKVLPDDIRQALLVVVECCMKRDYLAAMDQYIKMAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRNPAVPSKSVEFNSLANGSDLQSLLAEERYPGKGASEERLRLLPTPKE >Ma06_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2191794:2193480:1 gene:Ma06_g02920 transcript:Ma06_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose 5-phosphate/phosphate translocator, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17630) UniProtKB/Swiss-Prot;Acc:Q9LF61] MISSVHALLPPSLSPLPSSYRYHTETHHLRHVAAIRFRAASICGLPSKTIPFSCFSVRQSVLQIGLKRISAGLRPVAAAAAAAAGVPDPDGDPNASPAPQSQDPTGGGSEDASSHDRSSRDGVKKKSNPRLNLAIVFGFWYFQNVVFNIYNKKVLNVFPYPWLLASFQLLAGSLWMSALWLSGLQPFPRPLGRRFFLALLGPALFHTVGHISACVSFSKVAVSFTHVIKASEPVFSVLFSALLGVRLYPLPVWLSVLPIVAGCSLAAVTEVSFDAQGLWGALISNVGFVFRNIYSKKSLQDFTHVNGLNLYGWISIVSLLYLFPVAIFVEGSQWVEGFRRALVAVPTPWTFYFWVLLSGIFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVASVLAFRNPIRPLNALGSAIAIFGTFLYSQATTMGNKSGKNDIPERKS >Ma05_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15185724:15186604:-1 gene:Ma05_g16050 transcript:Ma05_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRHPFEAGVGVCASCLRERLLAITAPAQAASSPEHPSPLPFQFPRSVSPYASRRYSSVVSALFGCHGPGDRDRTPQKSRSWLSALLRGRRKKNMSSVYSPEDAAAWPGRDPEPEFRYDAKSPCRSWHRKRPPMREPTGEHNHRDRDDLTRFATCFSPLMIASYSRRRSRMEEIGFSELGPHHRRRASTGGPLLYADPPRKLAAFVTVR >Ma03_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:953940:956279:-1 gene:Ma03_g01280 transcript:Ma03_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGEEEDRLDETLEPRKEEHRFGSSRSPKQPPPPRLSRSRLHNFTFPTGSWGSHRILRCCNLPPGDASGSVNPAAVSRPPSPSEIFTSPPKRSTGFKGSKDGKGGGWEESEAERSISAAVAAAEAAGAARPWNLRTRRAACNAPSENGQYQHPLSAFRSPSPLAAEKNCPVTEMMKRRSDGSEKRERRKFSISLSREEIEHDFWVMKGTKPPRRPKKRPRIVQRQLDSLFPGLWLSEVTPETYKVDD >Ma03_p01280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:952887:956279:-1 gene:Ma03_g01280 transcript:Ma03_t01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGEEEDRLDETLEPRKEEHRFGSSRSPKQPPPPRLSRSRLHNFTFPTGSWGSHRILRCCNLPPGDASGSVNPAAVSRPPSPSEIFTSPPKRSTGFKGSKDGKGGGWEESEAERSISAAVAAAEAAGAARPWNLRTRRAACNAPSENGQYQHPLSAFRSPSPLAAEKNCPVTEMMKRRSDGSEKRERRKFSISLSREEIEHDFWVMKGTKPPRRPKKRPRIVQRQLDSLFPGLWLSEVTPETYKVDD >Ma06_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1460831:1461665:-1 gene:Ma06_g01780 transcript:Ma06_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEKLMQAAVEHRFHLAVAAALVAALFSLLSLGPSFSAVAGFFWPLLVSTGFLLVAVAVLLRISPPPGETSGEELINYVAGRPEDGQLTRDYDEAADGVTDQRRGEEAAAEDAERRKSQ >Ma03_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22899488:22900187:-1 gene:Ma03_g17520 transcript:Ma03_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLVLASQLAAGLGIIAGAMIVRSAVVHRPTSGKQPRCTTCNGTGRITCLCSRWSDGDVGCRTCAGSGRMACRSCGGTGTGRPIPVRVPMQSNRPS >Ma04_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5165592:5171955:1 gene:Ma04_g07120 transcript:Ma04_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDININSTDDEVVLYLEGRKVGDPTPNNVITEVNPFGVEPWDSPEKIWYLYHSEGPRSPEGGCEIKATRSGYWRPTDDVKIYTGTSTIGRKTTLEFCKGKVPFGDRTGWMMHEYQAEQHKLNGYIYSKDSSSLFRIFLQTDRSRSQGELNYSASAGASGGEYVEQLLLSLLEQEEINLCLTVSANSSQTVAEMDQAQSALSSRRPDEPVPENFCANMYGNCDFAKGEYLELNDFNSPETSSASSGNSSRLSVNSDEYFDPDALIRDIENDHGLGVEEEYTECRYSISVPVKSSQVVIRPPPSGSIKRNGNLAIYENNNCGTGHLLDRNGLTIQPGHSSSTDKIQQKGKASTTSRPLGSHANEINDSSQRLRASGGNGKNSIHKFANIGKKYFCFGSF >Ma10_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14661829:14664006:1 gene:Ma10_g04010 transcript:Ma10_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFQQNGIFKETQFTASLPVNCHCSDDDSTTRPLLLVTASVDKMVLKRPLRVDTDLRIAGAVTWVGHSSIEVQIEVTQQDDSQSSDPLALTVNFTFVACDSRTGKSTSVNRLLPETEQEKLLFEEGEERDKVRKGKPEEQKRTFENGGHSLHGDIKRLKMLLAEGCVFCDLPALADRDSIQIRDTELEKSLICQPQQRNFYGRIFGGFLMNLAFELAFSTAYAFVGRTPCFLEVDHVDFLKPVDVGDFLGLKSCIL >Ma09_p29580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40004905:40007816:-1 gene:Ma09_g29580 transcript:Ma09_t29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISLPPSQFLLFPRRSPPPPPIPHPQLVSPPRKSTLCCSSSSTPSADASYPVVTEEAAEEEDDSSTLPLPREGFSPYEAESPIEGTEDPQFRGCKTCGREEIEKGCNGKGRIQGGIATVPGFGWWPIKAFRPCPGFVASGGLYRRQGQSMDEVAFGRGEREMSTKNINKPNSSKKKQGPSSLKR >Ma03_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24549024:24552789:1 gene:Ma03_g19170 transcript:Ma03_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSDSTGPAVGSAQSSQQRSPRPLEKFQDLMLRVRSHWQAYEDALVHNAKDELLVAYDHPLEACGVVVVAGILLLRGPRRFLYRKTLGRFKTEEERLTDAESFLREINESVTKLKKESKNLLTKVSYGEEDLHRGRTKIRAAGHEIQRLNKSIYKIESEAADLMEELRTIPGRTALELRAEVAPMTSSLKNQRLELNERVTKISELGIRV >Ma10_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16474213:16477893:-1 gene:Ma10_g05370 transcript:Ma10_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDRSKRRSSQLWKKALVHFAMCFVVGFFTGFTPPSTATLFERRPVSSIGILPAAPVEAVERVVERGASVNRTLAEITSTVPAAAVRDDPPPDAEASGTRGPEPSSRRLLIIVTTTRSSDRFLGASLRRMAHTLRLVPPPLVWLVVQAHADAAATAPMLRTTGVMYRHLSYKENFTDPGVEADHQRNVALSHVEYHRLTGIVHFAGASNVYDLRFFDEIREIEVFGTWPVAMVSENRKRVMLDGPICLSTKVQGWVLKDLSSDKRLLVASTELNPKPPKINVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVHEVILEDDRKLKGIPADCSKIMVWHLYTPSVIPLPFHYQKLRSEGK >Ma08_p34310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44382457:44383969:1 gene:Ma08_g34310 transcript:Ma08_t34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEEMAEHPPAKDYHDPPPAPLLGLGELKLWSFYRAVIAEFIATLLFLYVTVATIIGYKAQSQNDECGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLLRAVFYMVAQCMGAICGVGIVKGIMKHQFNRFGGGANVVAPGYSKGTALGAEIIGTFLLVYTVFAATDPKRRARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGPAVIYNRHKPWHDHWIFWVGPFVGALAAEVYHQHVLRAANVKTLGSFRSSRSNC >Ma01_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11872217:11875866:1 gene:Ma01_g16390 transcript:Ma01_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEINELHLSSRRKAAARAPPSDGVQAGECQVVGESGSGAALEGRERERERESVCVHVGYD >Ma08_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15015001:15022685:1 gene:Ma08_g15070 transcript:Ma08_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51820) UniProtKB/Swiss-Prot;Acc:Q9SCY0] MTAPSLRLEGSFALSVLPSTSISPLIAAPRVVAAAPRPIPLFRRSLPSPISRRSCWFAVKASSAPPTAEELKISTISTKPVEGQKTGTSGLRKKVKVFQEENYLANWIQALFNSLPPEDYKGGVLVLGGDGRYFNREAAQIIIKIAAGNGVGKILVGRDGLMSTPAVSAVIRKRGANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKIADIPDVDLSSLGVVTYGNFTVEVIDPVSDYLELMENVFDFELIKGLVSRPDFRFTFDAMHAVTGAYAKPIFVDRLGASLDCISNGVPLEDFGHGHPDPNLTYAKDLVKIMYSEDAPDFGAASDGDGDRNMILGRGFFITPSDSVAIIAANAEEAIPYFKDGAKGLARSMPTSGALDRVASKLNLPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYQNKDKKVGEKLVSVADIAKEHWTTYGRNFFSRYDYEECESEGANKMMEYLRDVISKTKSGEKYGNYTLQFADDFTYKDPVDGSVASKQGLRFVFTDGSRIIYRLSGTGSAGATIRIYIEQFEPDVSKHGTDAQTALKPLIGLALSISKLSEFTGREKPTVIT >Ma09_p25880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37248595:37249281:1 gene:Ma09_g25880 transcript:Ma09_t25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKGGTNNACAACKYQRRRCPSDCPLAAYFPADQPKQFQNCHRLFGVSNILKIINRLDPPQKAEAMKSIIYEANIRDRDPVHGCLGVICTLHLQIQHLQLELDTATAQLALYRHHHHQSLQLASPLSSGSSPITGTAANCLLFDNGISIVESCSRADNSDINTVGPFWAHNSHANNDSTGNSIVAVTAAGLHAPFAGVIEHDYDDIAPYFDTIDEDGAYESRSIEHN >Ma06_p33550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34139017:34144181:1 gene:Ma06_g33550 transcript:Ma06_t33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTRHERRWASDTVPRGRSMSVGSSPGTSASNDGEEFVEVTIDLQDDDTIVLRRVEPAPAADSGYLSDATGSAASRSPSIGRSSSHRLRHFSQELKAEAVARARQLKEDLKAELKRFTWGHGPSRAGASTSAGGVAGASPALDSALASRAARRQRAQLDRTRSGAQKALRGLRFISGGKATAVDAWNEVQSNFDKLARDGYLSRSDFAQCIGMKDSKEFALELFDALSRRRRLNAERITKEELYEFWCQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLAGLRKKGPIRKLSTKLGYYLEENWKRLWVMALWVGVMAGLFAWKFIQYRHRYAFQVMGYCLTTAKGAAETLKLNMALVLLPVCRNTITWLRSTRLARALPFDDNINFHKAIAAAIMVGVILHAGNHVTCDFPRLISSSADKYNMLRPYFGETKPTYMDLVRGPEGVTGIIMLVCMVVAFTLATHWFRRSLVRFPKPFDRLTGFNAFWYSHHLFVIVYVLLIVHGECLYLIHKWYNKTTWMYLAAPVLLYVGERSLRALRSGYYSVRLLKVAIYPGNVLTLQMSKPSAFRYQSGQYMFVQCPAVSPFEWHPFSITSAPGDSYLSVHIRQLGDWTRELKRVFAAACEPPVAGKSGLLRADEATKKSLPKLLIDGPYGASAQDYKKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEENDALSDYRPPKPQNGERVDLATLMRASRRVRWALRTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMIQALNHAKNGVDIVSGTRVRTHFARPNWKRVFSKICSKHPHAKIGVFYCGAPVLAQELSKICYDHNQRGTTRFDFHKEHF >Ma06_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3827373:3827456:-1 gene:Ma06_g05130 transcript:Ma06_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKVSHMGDRILLRYHVIRYIIFLSL >Ma05_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10511286:10513053:1 gene:Ma05_g14410 transcript:Ma05_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g25270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25270) UniProtKB/Swiss-Prot;Acc:Q9SB36] MYVLLSSSATATTLTEPTSCKKKSTRRRRNNLLRSQNPRQRHETTITSRRSPPPTPLLLSLPAAPTTLTRAEALDRVLDDLETALARGVPLDPSLFSSLLETCAQMRSLRHGARLRLLIPGALLRRSAALSSKLLRLYAACGQVEEAHRIFDEMPQRSKTDAFPWNSLISGYTELGLHEDAMALYYQMEEDGVEADEYTFPRVLKACAGIRSVRHGEAVHRHAVRSGFGSDVFVLNALVDMYSKCGDILKARKAFDNITKRDAVSWNSMLMGYIRHGLLPEALKLFRGMVRAEIEPDPITISGMLSGLTGTKKLGLQIHGWVIRRGLEWDLSVTNSLITMYSEQNRPDLARLIFESMPERDLVSWNAIISAHRRDHRVLAMFRQMEEDSGVLPDRVTFVSLLSACGNLGLVEEGKRLFAEMEQRYKMKPGMEHYGCMVNLLGRAGYIDEAYELISKRMPFDGGPTVWGALLFACSLHGEVSTAEVAAERLFELEPDNEHNFELLMMIYQDAGRREDVDRVRRMMEERGLESSESSLSLK >Ma00_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:21772:26770:1 gene:Ma00_g00020 transcript:Ma00_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTPGPLLSSSPRQQRRSQWWNAEHPHPTTEHPSPARLSFAAFSSSSPAGAAAISVSAAKPVVVVGSANADIYVEIDRLPLEGETIAARAGQTLAGGKGANQACCGGRLDYPTYFVGQIGDDAHGRLIEDALRGGGVRLDRLARVSSAPTGHAVVMLQSDGQNAIIIVGGANMSCWPEDPRDEDLEVVRSAGVLLLQREIPDRVNIQVAQAAKGAGVPVILDAGGKEGPVPDELIKLVDIFSPNETELARLTGMPTETFEQISHAAKRIHEKAVKKVLVKLGAKGSALFIEGEDPIVQPIIPASEVLDTTGAGDTFTAAFAVALVECKPMKECLKFAAAAASLCVQVKGAIPSMPDRAAVVELLQSLQADAATK >Ma10_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22047951:22049407:-1 gene:Ma10_g07710 transcript:Ma10_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAIHQFAQCITCHAWCPDQSSLQEPPHRCLPRFSIDLGCILSKQSRGSYL >Ma04_p22450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24774089:24775761:-1 gene:Ma04_g22450 transcript:Ma04_t22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNAASYNCAGVKAELADARIVHGDYEPPACPRPRRPEAAAPEFLFPLSCKHSHASHQGSSQVLDMISRKNDEGRESISTGCPPSCYCGSPPRRLDNPLIHDVQFVQQTEILSPLTLSKLSNRYGFSSAPPI >Ma08_p26740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39219939:39220322:-1 gene:Ma08_g26740 transcript:Ma08_t26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRFLFWAEEEKSSYEHCVTVGKSHQIEPAWKGESHYTPREGEQPSKVLLAEQGPKALAEGVGVPEEGKLAEGTSGGGPLRTEEEAFKPVGSGGWLGLTGGEDRELVDRAVNRGEVMKEEGDGFPP >Ma09_p01550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1147321:1149891:1 gene:Ma09_g01550 transcript:Ma09_t01550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSSSGYSVSSSNIDMWGWQEEGFCLPRDSQLGLPQCPWGDNNQKDESLLSTLGDQTPIKDCRDLGLDVMHTTDKAKKVVEEGQEASRGKRRRILQFTSDADGTTADIEQLSAPVTISKEDSMVVDGCPKDSQYNPICSSDDVLLFSNEVLDQSSDEWLEYFNDSEISSSKNDHAKSIAQVNVSDFCNMEPDTTTNRMLEMPNAATPKISKGTESCINSPTKLTTFVAYPFTLIKPCQLQGHLTLNDINQRIHAPPSSRSRDKKDDKPTFVYPTKLTTSVAYPFTLVRPCQVQGHLTLNDINQRIHAPSPSRSRNKTDE >Ma09_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1147321:1149891:1 gene:Ma09_g01550 transcript:Ma09_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSSSGYSVSSSNIDMWGWQEEGFCLPRDSQLGLPQCPWGDNNQKDESLLSTLGDQTPIKDCRDLGLDVMHTTDKAKKVVEEGQEASRGKRRRILQFTSDADGTTADIEQLSAPVTISKEDSMVVDGCPKDSQYNPICSSDDVLLFSNEVLDQSSDEWLEYFNDSEMYCSSSEISSSKNDHAKSIAQVNVSDFCNMEPDTTTNRMLEMPNAATPKISKGTESCINSPTKLTTFVAYPFTLIKPCQLQGHLTLNDINQRIHAPPSSRSRDKKDDKPTFVYPTKLTTSVAYPFTLVRPCQVQGHLTLNDINQRIHAPSPSRSRNKTDE >Ma05_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9221208:9221543:-1 gene:Ma05_g12780 transcript:Ma05_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWSSDDFAEESYDGCGYFWLPVPPEGYQALDYFVTRRPNEPSVEEVRCVQTSLRVAKMMFEYWIDLLDDDRNSLTKKGNIDRAELYVHVKPAFGGTFSDIANVDLPPLH >Ma11_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26411920:26413390:1 gene:Ma11_g22750 transcript:Ma11_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEYSSSLAYRYESVSRLLFSGIIQWLQRSHPHIYLALRFRCPGHETQTLHVNNGRSLHPNVQQQRAKRQVVQQEACQDFKFNLSFPSVCFSWWYLNFMFSKPTRFCWIKSKLMCALTTIACTSYQTACCIQKYSLVGTEAQL >Ma08_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9298698:9300524:1 gene:Ma08_g12300 transcript:Ma08_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSRIPFQWLRASLALLVVLQSHVMATEASGVGADWEYSYDPCNELGPQHWGDLHEEWETCKTGEQQSPISIKPSNIIVSTSLGSLRTNYGTRPAFLQNKGHEILVNWRWSPGDLVIGEKTFHLRQCHWHSPSEHELYGKRYPLELHMVHTTPAGEIAVIGMLYEFGPFADPLLHQLSEGLIALQTQDNVNVGTIRPPLIGEREPYFRYSGSLTTPPCGEPVTWTVMKEVKRVTESQLASLRIPVHDKDNARPVQPINGRNVYMYEPPHEDHASS >Ma06_p27570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29536670:29539256:1 gene:Ma06_g27570 transcript:Ma06_t27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINSSVLACNHALSGASASSSKLISAPSSRAQASASSPKLPVIRAQHARAGEREEATREGRRAALVGLAAALFATAAATSPADAGIIEEYLEKSKANKELNDKKRLATSGANFARAYTVEFGTCKFPENFTGCQDLAKQKKVPFLSDDLEIECEGKDKFKCGSNVFWKWSK >Ma06_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11321903:11326564:-1 gene:Ma06_g16700 transcript:Ma06_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAINPSRRVSDSGSIPLVSSLNQKSRNSPLLAVGLVVLGAFFLIGYSLGGSGGFAGNKEAINIVQGVSCTSDVLQAIPILKKAYNDGMRKVLYVGPDSCAVVSKLLKEEDTEAWGVEPYDLEDADNSCKSLVRRGFVRVSDIKFPLPYRPNSFSLVVVSDSLDYLSPKYLNKTLPDLSRVSTDGLVIFAGYPGHQRVKVSELAKFGRPAKLRSSSWWRRYFLQNGLEENETVVKKYEQASSKSSYKPRCQNFHVNS >Ma10_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14653000:14653122:1 gene:Ma10_g03990 transcript:Ma10_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLLFLSSIGSLERPFNNTPHLRIEDVSFEEFSSVCLQ >Ma06_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1802774:1806240:-1 gene:Ma06_g02310 transcript:Ma06_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLGKLGPRKKGNPEFGDPSPTTGEGTKQLSIYDHTQCVCSPLCSFAALRSRLSKLHPAAAGGQPPCLKYQLPHEDLDALVSVTSDEDIDNMFDEYDRFALADRDRSEASSTVSEVPDNLFGVDANSEPKVEPASQPSVPPVLDLPPVKTKMDVEQVESITIEPITLLG >Ma10_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16943802:16945376:-1 gene:Ma10_g05620 transcript:Ma10_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAEAETSWFDDFDYPQVEIMTELQEDTSSQFISSEVAESQSVAQQESIINKLISAAYSGPTISDIESALSLTFQSGDSSGRVCSPDKGLGKMEHKYVFRIKTCGNGLDDDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERSMEDPELLIVTYEGLHLHYTYSHLLFTRPQDYSDTGLHVAKKLKCQSTAAEPARQSPPAIRQSQPAVDGTVVGPPSAGQFTVEDVLQHGLLDDVLQSSEGLLEDVVPLLVRKPCNSTTSSYDPCPSSPASSPSYSSLSWTTGSAFLDLDVLSTIM >Ma10_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31587733:31588297:1 gene:Ma10_g22080 transcript:Ma10_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKHWQQFRQLQAFISIIGRDQWSTLPLSAPSVSHRSSFVVLYHCLLFFFPLKKKHLLRKIKKKIIFQEK >Ma10_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17584117:17588029:1 gene:Ma10_g06110 transcript:Ma10_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDNKPEDRTIKINKPDQAAAEGTAASRSACCGS >Ma06_p26140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27334943:27336598:1 gene:Ma06_g26140 transcript:Ma06_t26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRPCKLSSAPVANSKRHREVLLGRYELGRLLGRGTFAKVYLARSVSDGAAVAIKVLDKPEVVGTGMARSVLTEVAAMRRLAHPNILKLHEVMATRSKIYLVMELAPGGDLLTCVARCGGLLPEHAARRYFQQLVSALHYCHARGVAHRDLKPQNLLLDRDGNLKISDFGLAALPEQLRDGRLQTACGTPAYTAPEVIRRKGYDGAKADAWSCGVILFVLLAGSLPFDDANLALMYRRIHKRDYAIPKWVSPPARRLLYRLLDPNPETRITIAALMGNPWLKRSLSLDSQLSSIVDQPPTTRDYITPVMNAFDIILLSLGLDLSGLFDEAKNKKEKRFSSTHSIEKILERISETGGKLGFVVDRRKGSAGGTVAAGLSRQGSILSVEVSEVASPLMLVEMRLEDCSGSSSSGADDEGFSWEDLKAELGDMVFAWHDSGDS >Ma05_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2292061:2298409:-1 gene:Ma05_g03220 transcript:Ma05_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREPPRVSSSQPPGVVEGPGSYGAGPPNNPMVAHNSAGVMEGMRLSFNPMTSPASKPVDLTGSLYQGDGFSGMRQGGIFNMGESVKKKRGRPRKYGPDAGMGLALTPPSSASGYSNSSASDPAAKRRGRPPGSGKKQQLEALGAPGIGFTPHIITVKIGEDIASKIMAFSQQGPRTVCILSANGAVSDVTLRQPAISGGTVTYEGRFEIISLSGSFLLTEDGSTRSRSGGLSIALAGSDGRILGGGVAGMLVAATPVQVVVGSFIAEGKKPKPEPLRWEPSSVPPQMTGFGAAVTASPPSEGTSSESCEDPGSPTNQSGGTCNNSSQHVQSAYPPVSWPHPASLNRHEPDMKLMPN >Ma05_p03220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2292061:2298409:-1 gene:Ma05_g03220 transcript:Ma05_t03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREPPRVSSSQPPGVVEGPGSYGAGPPNNPMVAHNSAGVMEGMRLSFNPMTSPASKPVDLTGSLYQGDGFSGMRQGGIFNMGESVKKKRGRPRKYGPDAGMGLALTPPSSASGYSNSSASDPAAKRRGRPPGSGKKQQLEALGAPGIGFTPHIITVKIGEDIASKIMAFSQQGPRTVCILSANGAVSDVTLRQPAISGGTVTYEGRFEIISLSGSFLLTEDGSTRSRSGGLSIALAGSDGRILGGGVAGMLVAATPVQVVVGSFIAEGKKPKPEPLRWEPSSVPPQMTGFGAAVTASPPSEGTSSESCEDPGSPTNQSGGTCNNSSQHVQSAYPPVSWPHPASLNRHEPDMKLMPN >Ma05_p03220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2292061:2298408:-1 gene:Ma05_g03220 transcript:Ma05_t03220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREPPRVSSSQPPGVVEGPGSYGAGPPNNPMVAHNSAGVMEGMRLSFNPMTSPASKPVDLTGSLYQGDGFSGMRQGGIFNMGESVKKKRGRPRKYGPDAGMGLALTPPSSASGYSNSSASDPAAKRRGRPPGSGKKQQLEALGAPGIGFTPHIITVKIGEDIASKIMAFSQQGPRTVCILSANGAVSDVTLRQPAISGGTVTYEGRFEIISLSGSFLLTEDGSTRSRSGGLSIALAGSDGRILGGGVAGMLVAATPVQVVVGSFIAEGKKPKPEPLRWEPSSVPPQMTGFGAAVTASPPSEGTSSESCEDPGSPTNQSGGTCNNSSQHVQSAYPPVSWPHPASLNRHEPDMKLMPN >Ma02_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22594162:22598545:-1 gene:Ma02_g14580 transcript:Ma02_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTAQGSLRLTLCGDRASFWCEPRDQVRCRIGGGKRPLDSPVGIHRSSSGFTSPDAGRVWAVGKKSKSFKPDEFESGEGKEVEYEEEEEENELQRDELSCFRGLVLDVSYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSAQGSFYIPAVLQIPHLLQVVKRRRIKQNLSRRNIFYRDSFTCQYCSSRDDLTVDHVVPISRGGEWMWENLVTACARCNSRKGQKTLEEANMKLIKIPKVPKDYDILAIPLTSAAVKMLKMRKGVPEEWLQYLSKPSP >Ma03_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23942564:23942956:-1 gene:Ma03_g18400 transcript:Ma03_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRRTEPSRGPTRCSSFGAIDGVHLGRSSSESMWSVICSCLVLDVLDLLRC >Ma02_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23434294:23437477:-1 gene:Ma02_g15940 transcript:Ma02_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRKASAVACMVLFSVLVTKVWPGRQSQFFNLADSFVPNMVEKMLLDCGLHPKDVKEIMKKLDFSLLDDMIKDSRQNQVKVGLLAKDNEEKGEKNSMRNWYYNLEPLIRRYPASRRNLADHPTPTMVPTHAPPTFCSPAYASASFPYVSSGPSKSSPAPPSILRPARTLTHASSKFVPPAFDEMSPDETADFLAKEQEETNKTIAVAVALSVAGTSFVAAILFILYINCRRKKVYSSNDLKDDKPLLSLSLSDFSDSSQNSGIATSSEKNKSGVLSLKSESIQVGDASPLSVGSAEVPSSKLHSGHLSSSMELSGAPTNGPAEKPTSKPPPPPPPPPPPSPLPRSPPSPPSPSSPSPPPPPPP >Ma08_p32180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42871950:42897919:1 gene:Ma08_g32180 transcript:Ma08_t32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGWERVRMARLGGEAAVVGTRRREVADFRQVSSQTVRLGRVQPQHPSHRTIYCNDSDANSIARFKSNSISTTKYSVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVSPVTNVVPLSLVLLVSLIKEAFEDWKRLQNDNSINNTAVDVLQGQNWESVPWRKLQVGDIVRVKQDGFFPADLVFLASTNPDGVCYIETANLDGETNLKIRKALEKTWDYLAPEIADKFKGEIQCEQPNNSLYTFTGNLIIESQTLPLSPNQILLRGCSLRNTEYIVGAVIFTGHETKVMMNSMSVPSKRSTLERKLDKLILTLFGGLFMMCLIGAIGSGVFINRKYYFLGLFDDVEGQFNPNNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCAQFIDKDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGVEAYGTGITEIEKGQAQRSGKKLSEDAKSDTAVREKGFNFDDARIMHGAWRNEHDPEICKEFFRCLALCHTVLPEGDESPEKITYQAASPDEAALVTAAKNFGFFFYRRTPTTVMVRESHVETMGMKQDVSYEILNVLEFNSTRKRQSIVCRYPNGRLVLYCKGADTVIFERLSDASNDIRKVTREHLEQFGSAGLRTLCLAYRELTNDLYEKWNEKFIQAKSSLRDRDKKLDEVAEIIEKDLILIGCTAIEDKLQDGVPACIETLARAGIKIWVLTGDKMETAINIAYACNLINNDMKQFIISSETDAIQEAEEKGDPVEIARVIKDTVKNHLKQCHEEAHRYVHVSGQKLALVIDGKCLMHALDPNLRVNLLNLSLNCSSVICCRVSPLQKAQVTSLVKKGAHRITLSIGDGANDVSMIQAAHVGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYIRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPELYREGIRNMFFKWRVVAVWAFFAVYQSLIFYYFTTTASQNGHNSSGMIFGLWDVSTMAFTCAVVTVNLRLLMVCNSLTRWHLLSVSGSILAWFIFIFIYSGIMTPNDRQENVYFTIYVLLSTFYFYLTLLLIPVVALLSDFFYLGIQRWFFPYNYQIVQEIHRGEYEGVGSREFLEIGNNLTPDEARSYAILQLPREKSRHTGFAFDSPGYESFFASQQGVFAPIMAWDVARRASVRSKRTSQR >Ma05_p28890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39722632:39722979:-1 gene:Ma05_g28890 transcript:Ma05_t28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSNRVRTWVDDEDWDSPEEKTDRRGEGKATRTLENLKGERGGLSSTVVKIKITRKQLQGLLTHADERGLLSAHHVLGDIMSAGVVVYRAQRRRRWRPNLQRIPEASEEDLL >Ma09_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7841054:7843450:-1 gene:Ma09_g11600 transcript:Ma09_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAWNYFPMLAQLLFLLSLYNARHTASLERCPTDSNFTTNSTYHSNLNLLLPSLTSATVSTGSANTSAGRSPDQVFGLARCQLDVSQDICQACLATAVDTLRSSCPFAKDAATWGDLCFLAYSNTTFSNESDKSSYYEILYNVEEVPGPPRFVDLVGELMDALINWAAYKTDSMFAIGEANFSSFIKVYGLVQCTRDQSDDDCFQCLLQSLDLMPICCWKHQGGRVFKYKCFLRFETYSYYNMSVPTSPLPPLSSALSSAPPPGATANPPPPPAVVNSNSSSSSARDAGKKQNSKIVVAVVIPILGAVMLVAALLIFLWRTKIFARKSNVGGAKSQKANSLLFDFETLKVATNNFSDANKLGEGGFGPVYKGVLSDGQEVAVKRLARSSQQGYAELRNEVAFVAKLQHRNLVRLIGFCSEEEKLLVYEFLPNRSLDKILFDPTKCGQLNWERRYKIIEGITRGLLYLHEDSRLRIIHRDLKPGNILLDQHMNPKISDFGLSKQLVDLDGSKESASRIVGTNGYIAPEYALHRHVSDKSDVYSYGVLVLEIITGRRISEFHGSGHRANLQSYVSISSLHSTLRSWQSVIDD >Ma09_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5398886:5399404:1 gene:Ma09_g08210 transcript:Ma09_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSGGRARNICIWFISCLFFLIILAGGAFLVLFVTLPETKDTVWFPIAGMVLVGIPWVFWFMTCIYRSITPERRGGGDRPPTKAEAVPGGATPTKAAATAAGDSPIDSPGGARRVRFGNATPIGAKTDAVDTSATSPGGEANADTHATVASSEGSSLNSPENEMALAVAIS >Ma06_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13153281:13164535:-1 gene:Ma06_g19220 transcript:Ma06_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILIAQIQADLRSNDALRQSGALLQALQQSAAGRDVSAVARSTCEEILASPASAVSKKLAFDLIRSTRLTPDLWDTVCSAVRSDLDFPDPDVAAAAVSILSALPSHRLPRLVADAHREIAAFFDSPSDTLRLAATETLGCVLARDDLVLLCHTAPGLLDRASAWWARINQGTLDRSDAVSRAAFEAVGRLFQEFETKRMSRLAGDKLIDGENSFAIRSNWVVAAIDLVWKKRNALIARSLVLPIESFRVTVFPLVFATKAVASGSVEVFRKISRLGGSSNDRSASAATDSSTSAEKHVGVSDVVSHLLPFLSSLDPPLIFEVGINMLSLADVPGGKPEWASASIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLSMQVSLFKRLLLMVRNLRAESDRMYALACICRTALCVDLFAKESVRRGQKPLPGTDITSLFEDVRIKDDLNSVISKSLFREELVASLVESCFQLSLPLPEQKNSGTEGRVIGALAYGTGYGALNWTESALEVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKRIKDGASQEQILYETRLRNLQLQLIKDLREVHTPRISARLIWAIAEHFDMEGLDPLLADDPEDPLNIFISNIHNVLFNTDSSATTSNKLQDVQAVLICAQRLGSRNPRAGQLLSKELEDFKGGSMADSVNKHQSRFILQMLKFVTSHPESRWVGISDTMGDYPFSHHKLTVQFFETSAAQDRKLEGLVHKAIQELWRPDPSELRLLLTKGVDSSKHKVPPKAHSLTGSSDPCYVEAYHLADSMDGRITLHLKILNLTELELNRVDIRVGLSGALYFMDGSLQAVRQLRNLVSQDPVLSSVTVGVSHFERCAFWVQVLYYPFYGSGVSGDYEGDYAEEDSQVMRQRRVLKPELGEPMILRCQPYKIPLTELLLPHKCSPVEYFRLWPSLPAILEYTGAYTYEGSGFKATAAQQYEASPFLSGLKSLSSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMMCKFVVRASDASITKEIGSDLQGWLDDITDGGVEYMPEEEVKIAAKERLRISMEKIALFKAAKPPPQRPKAEEKKEEEEKNKENVDENGNPKEPSTLSTLTAEEVEHRALQSAVLQEWHMLCKEKAVKVQ >Ma10_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10151971:10154101:-1 gene:Ma10_g02970 transcript:Ma10_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFQDFGPLSERRRAERQQIKRKRLMIAGATTSVVLVLAVVGVVVQYKANGPSDSGDSDGSSASGGSASKRAFHTSSAIQVMCSSTDYESACQSSLKKFVNESSKPKDLVRAAVSALVDEVGMAFNKSDSIKSDDPSVKDAVGICKEMHRYAVNDLAKVLSTIDAHHLDKLPEQVHELKNWLSAVAASQQTCIEGFPEGDLKTKMQTAMTSAKQITSNALAIVGKMSSFLSLLHVSGFHRRLLEAEPVEPAYHEDGAPSWVSDSDRRILLSKATKQLTPNVTVAKDGSGDFTTISDALAKIPKNYDGRYVIHVKEGVYEETVLVDNYMVNVTMYGDGSRKTVVAGSKNFIDGVKTFNTATFAAVADGFVAIAMGFQNTAGAAKHQAVALRVQSDRAIFLNCRMEGYQDTLYAHSHRQFYRGCLISGTIDFIFGDASAVFQNCIMTVRRPLDNQQNIVLAQGRNIPQEDTGFIVQKCRFVADDALVPDVAKIPSYLARPWQEYSHTVIMESDIGSFIHPDGYTPWSGDFALATLSYREYNNKGPGADTSKRVNWPGFKVIGQNEAKAYTIAPFIQGDDWIPKTGTPVRLGLYGQ >Ma11_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20907984:20911889:-1 gene:Ma11_g15190 transcript:Ma11_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGAAKDECRPGQMGLEMAAENGDSQRELAGGADGGPPKSEDGSKDLGENEVFEEAMEAPQHLVHDSHVGMVIEPEGVVEPADLRVGEKNLEVEMVGSEGYIDSTDAAGHDQRPDEQEMVGLVKLDGAPGVEGNGQEDSVSFDKLDASTGSQHTDQSSEEQVAVESEEGMPACDESDGELGKGEDEKEFMLGKLNSVVPDSKDGKGMDEVTNGSSEIVNDREISVGDGNTELVGKKSEIEEPEMVRLDGLADLLDEGSENGQSLEVTSDECGADNDAESHQVSTQQMKDISAQSLAVSEEPSKVPQLKDNSAEDNYGFATNGHAAVQKEGGSFATNGHATMEKQDGSSCSHVTSAANDSYVKDDKAVMHETTKKLQKESVENLGHLSSTSSDNKRSSSPSALPSTLNHENSGGPGLSSRPAGLGSSAPLLEPSVRSLQQPRTNGSAPRRVSQPSEEPPNDDGEENDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLKRNTNRPGVFSFDRASVVAEQLEAAGQETLDFSCTIMVIGKTGVGKSATINSIFDEVKLPTDAFQVGTKKVQEVVGMVQGIKVRVIDTPGLFSSSLDQNRNEKTLHSVKRFINKTPPDIVLYFDRLDMQSRDYGDAPLLRTITDIFGASIWFNAIVVLTHAASAPPDGPNGSPLTYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRMNRAGQRVLPNGQVWKPQLLLLSFASKILAEANMLLKLQDGPPGRTFGSRPRVPPLPFLLSSLLQSRPPPKLPEEQLGDDDNLDEDLGEISDSDEGSDYDELPPFKPLTKSQVAKLSKAQKKAYFEELDYRERLFYKKQLKEEKRRRKLMKKMADMATDIPNEHTNGDVEEEASGPASVPVPMPDFVLPNSFDSDNPTHRYRFLDSSSQWLVRPVLDSQGWDHDIGYEGLNVERVFVIKDKMPLSVSGQLTKDKKECSLQMEVASSIKHSESKSTSLCLDMQTVGKDVAYTLRGDTRFKNFRRNNTAAGVSVTVLGDSLSAGLKFEDKLMISQRLRVLMSGGAMTGRGDVAYGGRLEATLRDKDYPIRQALSTLQLSIMDWHGDLTLGCNVQSQLPLDRGTNLIGHANLSNKGTGQIGIRLNSSEHFQIVLLALFPIIRNVQKILFSSSQSM >Ma11_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25934020:25934640:1 gene:Ma11_g22000 transcript:Ma11_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRDMLAKSSDRPLSPLRIKQEGNFYARLLSKESSLSATPSFRVYYGVSSGSVPFVWESQPGTPKATVAAAGLPPLTPPPSHFHHPRKIMATKKKRRRASRFSVLATLFRRLILRKPCMPRSPLPFSPVSSLTSSPFTRLNSSNSLQRSSFSSRGDDDDDDSDGGSTRSMLCFPVQRIPRISLFRRRRDHKKTLVRKHGKGSA >Ma04_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1366282:1371584:1 gene:Ma04_g01520 transcript:Ma04_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSASPSPVTVEGTPTVGPGYGGSSSTLPRLGSKSWRGRKKVRKEKQKRGRRGAGSGGRRREAADGGCEAVEDELRRMPGRMFLNDASEVACLYTQQGRKGTNQDAMIVWENFSLTKGTIFCGVFDGHGPYGHMVAKKVRDSLPLKLSTQWRVRVNSHESPDLNGSISGSMDSEEMASFSKDDNWSDYMDENEKVPEMYLPLKQSFLKAFRLMDKELKFHPLIDCFCSGTTAVTIVKQGQDLVIGNIGDSRAIMGTRDEDNNLIALQLTVDLKPNLPREAARIQQCKGRVFALQDEPEVARVWLPNNNSPGLAMARAFGDFCLKDYGLISVPEISYRHLTEKDEFIVLATDGVWDVLSNKEVVDIVVSAPTRSSAARAVVDCAVREWQLKFPTSKVDDCAVICLFLGHISSDASQNCDSNKKQTEPKKPMVLGLTDKEVIGEQETCELKSSITVDTTGLEPSYALHSANEIVSVSEGPQVMTVPENSQSTRSLAHCISVLEEEEWSALDGFTRVNSLLNIPRFLSGDKRTSSWKKWL >Ma08_p11600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8603761:8606533:-1 gene:Ma08_g11600 transcript:Ma08_t11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWHQEIGLVKPAEMVSSTATTSGSNSCTTARPQVTERRARPHKGQALSCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSVSSSSTTTAAAASMITSSTATATSAVVSNPKKLPTDFVPPPISLSASHKFHEGQDLNLAFPHPTLPEFNDFPGLESTTVNNNNNSSNTDNSSNPCNAVGALSAMELLSSGMTARGIGPFVPMTLPEYPTGFGLQDFRAPALSFPMEGIDGGGGEGGTGGYESLQGLQEGAGGRLLFPFEDLKPGVHTSTAEFENNRSQGGHPPGFWNGMMGGGGS >Ma08_p11600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8603761:8606533:-1 gene:Ma08_g11600 transcript:Ma08_t11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWHQEIGLVKPAEMVSSTATTSGSNSCTTARPQVTERRARPHKGQALSCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSVSSSSTTTAAAASMITSSTATATSAVVSNPKKLPTDFVPPPISLSASHKFHEGQDLNLAFPHPTLPEFNDFPGLESTTVNNNNNSSNTDNSSNPCNAVGALSAMELLSSGMTARGIGPFVPMTLPEYPTGFGLQDFRAPALSFPMEGIDGGGGEGGTGGYESLQGLQEGAGGRLLFPFEDLKPGVHTSTAEFENNRSQGGHPPGFWNGMMGGGGSW >Ma05_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4533650:4538492:1 gene:Ma05_g06060 transcript:Ma05_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDSNRLRPRACGDADDHYSLCRCETPADLEVRFSATEFGTFFWNAGSLKAAL >Ma00_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30285023:30285481:1 gene:Ma00_g03650 transcript:Ma00_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGTSDALMCRAFPTTLRGPARAWYSGLKSGTVASFDQLAKDFELNFLAYARPKPSMALLLGLNQKEDEPLSHFVNRFTMQIRGLPDAHPSLLMQTFMIGLRPSRFFWSLVERPPVAVPEMLQRASQFIAAETWMIGKREEHRKVKSEPP >Ma09_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31813333:31823361:-1 gene:Ma09_g21070 transcript:Ma09_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLFIQIRRKDFPQQNKQNREISSYNWGNLILYQVGVGESASAVEDVLLHGDLLLTVIEACRLPNMDYFSEHLRRCYTSCCPPIPGSTASKSGSDATQHHQNHHHRKIITSDPYVTASLAGATVARTRVISNSEDPAWNEHFNIPVAHRASTLELQVKDNDVFGAQLIGIVSIPTARIAAGKKIQDWFPIIAGDPEQLGVRNTYFPLRKGGSVTLYQDAHVLDDELPEVTLEKGAVFKHEKCWEDICHAILEAHHLIYLVGWSIYHKVKLVREPTRPLPDAGKLTLGDLLKYKSQEGVRVCMLVWDDKTSHDKLFIKTGGVMQTHDEETKKFFKHSSVICVLSPRYASSKLSIIISSSISPSEIVLILLIIVVGTLFTHHQKCVLVDTQASGNMRKITAFIGGLDLCDGRYDTPEHRLFRDLDTTFLNDFHNPTFAAGIKAPRQPWHDLHCKIEGPAVYDILKNFEQRWRKATRWQEFSLYDDPSLWVSQQEDSEQWHVQVFRSIDSGSVKGFPDNVQEASKMNLVCRKNLVIDKSIHTAYVKAIRSAQHFIYIENQYFLGSSYGWPSYKHSGADNLIPMELALKVASKIRANEPFAVYVVIPMWPEGVPTTNAVQEILFWQVLFYFS >Ma10_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15243577:15244703:1 gene:Ma10_g04590 transcript:Ma10_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTVPVANKLLDRIPQAYNIQKARLWRAAYFDPLVENTSRSALASSIPPLHITKIYFVEVAVVTSNIGSRRSDRRNREKVVAECVEEKSWAVSSSHFPKYCMYRI >Ma04_p10660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7572080:7579136:1 gene:Ma04_g10660 transcript:Ma04_t10660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVLIEGQFISVVNLKERIFESKLFGKGTDFDLMISNAQTNEEYEDEGAMIPKNTSVLIRRVPGRPRKPIITERDEQRFLEDKVEGLPPSSSMLVDDSSTITYVSLTTSECHCTCGSMRPQEYEWDEFGNDLYVIPEVNAPQTSNPVIDVSPANKVDEDSKIKALIDTPALDWNRRTQEGRAFGRGTAGRMFGGRSDGRSILERKTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDMKRVKPPTGIPKSMLMTTPDGSYALPSGAVAVLRPNEAAFEKEIEGLPTTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIITKLMCVCGATNILADDLLPNKTLRETISRILESTTSSTENAGSMVQLQDMESSHPLQPKDPSPTLSAVSKDEPNQFTAKQFSCVKEGEGASETKAGNSEMNSSDKKVVINTDVCVATAESLSKEPKSHQSPPMPGDIREKKLAGEQGKKKKKKKARLVAHDADMQWRAYQDLGSENYGMPLAVSGYNPYWTGGMPLGVGNYMAPYGGPMPYMGYTPGPYDVPFGGGNFPQDPFAAQSYMMPVLPRDLSELRMGSMGMNQEPSGMSREEFEARKADLRHKHEMERLNERERGHSKDGKARRESSNTNGASMRPQPRLMSQAQWSDRSWSEKSGSVDCGGPFRDPARLMPPRPAKTAASDRYAAPGEAGSKKRKPSSPERSNGLKELKGGERRGISGYDHESNEVEYHLKRRPSSSSAREATSRRHREWEPRGRGGREQEHGHERPASKRR >Ma04_p10660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7572080:7579136:1 gene:Ma04_g10660 transcript:Ma04_t10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVLIEGQFISVVNLKERIFESKLFGKGTDFDLMISNAQTNEEYEDEGAMIPKNTSVLIRRVPGRPRKPIITERDEQRFLEDKVEGLPPSSSMLVDDSSTITYVSLTTSECHCTCGSMRPQEYEWDEFGNDLYVIPEVNAPQTSNPVIDVSPANKVDEDSKIKALIDTPALDWNRRTQEGRAFGRGTAGRMFGGRSDGRSILERKTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDMKRVKPPTGIPKSMLMTTPDGSYALPSGAVAVLRPNEAAFEKEIEGLPTTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIITKLMCVCGATNILADDLLPNKTLRETISRILESTTSSTENAGSMVQLQDMESSHPLQPKDPSPTLSAVSKDEPNQFTAKQFSCVKEGEGASETKAGNSEMNSSDKKVVINTDVCVATAESLSKEPKSHQSPPMPGDIREKKLAGEQGNKYMLVMSHLFHLLLVQMSVEVVLLFEISDFWIFFQGVQGKKKKKKKARLVAHDADMQWRAYQDLGSENYGMPLAVSGYNPYWTGGMPLGVGNYMAPYGGPMPYMGYTPGPYDVPFGGGNFPQDPFAAQSYMMPVLPRDLSELRMGSMGMNQEPSGMSREEFEARKADLRHKHEMERLNERERGHSKDGKARRESSNTNGASMRPQPRLMSQAQWSDRSWSEKSGSVDCGGPFRDPARLMPPRPAKTAASDRYAAPGEAGSKKRKPSSPERSNGLKELKGGERRGISGYDHESNEVEYHLKRRPSSSSAREATSRRHREWEPRGRGGREQEHGHERPASKRR >Ma04_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7572080:7576906:1 gene:Ma04_g10660 transcript:Ma04_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVLIEGQFISVVNLKERIFESKLFGKGTDFDLMISNAQTNEEYEDEGAMIPKNTSVLIRRVPGRPRKPIITERDEQRFLEDKVEGLPPSSSMLVDDSSTITYVSLTTSECHCTCGSMRPQEYEWDEFGNDLYVIPEVNAPQTSNPVIDVSPANKVDEDSKIKALIDTPALDWNRRTQEGRAFGRGTAGRMFGGRSDGRSILERKTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDMKRVKPPTGIPKSMLMTTPDGSYALPSGAVAVLRPNEAAFEKEIEGLPTTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIITKLMCVCGATNILADDLLPNKTLRETISRILESTTSSTENAGSMVQLQDMESSHPLQPKDPSPTLSAVSKDEPNQFTAKQFSCVKEGEGASETKAGNSEMNSSDKKVVINTDVCVATAESLSKEPKSHQSPPMPGDIREKKLAGEQGNKYMLVMSHLFHLLLVQMSVEVVLLFEISDFWIFFQGVQGKKKKKKKARLVAHDADMQWRAYQDLGSENYGMPLAVSGYNPYWTGGMPLGVGNYMAPYGGPMPYMGYTPGPYDVPFGGGNFPQDPFAAQSYMMPVLPRDLSELRMGSMGMNQEPSGMSREEFEARKADLRHKHEMERLNER >Ma04_p10660.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7572080:7579136:1 gene:Ma04_g10660 transcript:Ma04_t10660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVLIEGQFISVVNLKERIFESKLFGKGTDFDLMISNAQTNEEYEDEGAMIPKNTSVLIRRVPGRPRKPIITERDEQRFLEDKVEGLPPSSSMLVDDSSTITYPQEYEWDEFGNDLYVIPEVNAPQTSNPVIDVSPANKVDEDSKIKALIDTPALDWNRRTQEGRAFGRGTAGRMFGGRSDGRSILERKTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDMKRVKPPTGIPKSMLMTTPDGSYALPSGAVAVLRPNEAAFEKEIEGLPTTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIITKLMCVCGATNILADDLLPNKTLRETISRILESTTSSTENAGSMVQLQDMESSHPLQPKDPSPTLSAVSKDEPNQFTAKQFSCVKEGEGASETKAGNSEMNSSDKKVVINTDVCVATAESLSKEPKSHQSPPMPGDIREKKLAGEQGNKYMLVMSHLFHLLLVQMSVEVVLLFEISDFWIFFQGVQGKKKKKKKARLVAHDADMQWRAYQDLGSENYGMPLAVSGYNPYWTGGMPLGVGNYMAPYGGPMPYMGYTPGPYDVPFGGGNFPQDPFAAQSYMMPVLPRDLSELRMGSMGMNQEPSGMSREEFEARKADLRHKHEMERLNERERGHSKDGKARRESSNTNGASMRPQPRLMSQAQWSDRSWSEKSGSVDCGGPFRDPARLMPPRPAKTAASDRYAAPGEAGSKKRKPSSPERSNGLKELKGGERRGISGYDHESNEVEYHLKRRPSSSSAREATSRRHREWEPRGRGGREQEHGHERPASKRR >Ma04_p10660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7572080:7579136:1 gene:Ma04_g10660 transcript:Ma04_t10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSAKDYDSVLIEGQFISVVNLKERIFESKLFGKGTDFDLMISNAQTNEEYEDEGAMIPKNTSVLIRRVPGRPRKPIITERDEQRFLEDKVEGLPPSSSMLVDDSSTITYPQEYEWDEFGNDLYVIPEVNAPQTSNPVIDVSPANKVDEDSKIKALIDTPALDWNRRTQEGRAFGRGTAGRMFGGRSDGRSILERKTPPAGYVCHRCKVPGHFIQHCPTNGDPNYDMKRVKPPTGIPKSMLMTTPDGSYALPSGAVAVLRPNEAAFEKEIEGLPTTRPVSDLPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIITKLMCVCGATNILADDLLPNKTLRETISRILESTTSSTENAGSMVQLQDMESSHPLQPKDPSPTLSAVSKDEPNQFTAKQFSCVKEGEGASETKAGNSEMNSSDKKVVINTDVCVATAESLSKEPKSHQSPPMPGDIREKKLAGEQGKKKKKKKARLVAHDADMQWRAYQDLGSENYGMPLAVSGYNPYWTGGMPLGVGNYMAPYGGPMPYMGYTPGPYDVPFGGGNFPQDPFAAQSYMMPVLPRDLSELRMGSMGMNQEPSGMSREEFEARKADLRHKHEMERLNERERGHSKDGKARRESSNTNGASMRPQPRLMSQAQWSDRSWSEKSGSVDCGGPFRDPARLMPPRPAKTAASDRYAAPGEAGSKKRKPSSPERSNGLKELKGGERRGISGYDHESNEVEYHLKRRPSSSSAREATSRRHREWEPRGRGGREQEHGHERPASKRR >Ma06_p33380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34039818:34041360:-1 gene:Ma06_g33380 transcript:Ma06_t33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLHLFPSQLLRNREIINGVEDQSGFYNTQLGFFAPASGATASSYIPFCSSPSAPMTAATTSESGLTFNNFHAAMASRKRPRDWDRQLSFLGQDLSSHIQQQMLDVDRIILHHAEKVRVELMEGLKRFLRGILAAVEEGLSKRLESKEEEIARVSKLNWALEERIKTLCVENQMWRDLARSNEAAAQVLRTNLEQALAEAQVKAEEEAAAIAADDAESCCFGDNAGGENRDPAAAEAKRSGLRRVCRCCQAQEPSVLLLPCRHLCVCAACGPAVVVCPICNCNSNVL >Ma06_p33380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34039986:34041360:-1 gene:Ma06_g33380 transcript:Ma06_t33380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLHLFPSQLLRNREIINGVEDQSGFYNTQLGFFAPASGATASSYIPFCSSPSAPMTAATTSESGLTFNNFHAAMASRKRPRDWDRQLSFLGQDLSSHIQQQMLDVDRIILHHAEKVRVELMEGLKRFLRGILAAVEEGLSKRLESKEEEIARVSKLNWALEERIKTLCVENQMWRDLARSNEAAAQVLRTNLEQALAEAQVKAEEEAAAIAADDAESCCFGDNAGGENRDPAAAEAKRSGLRRVCRCCQAQEPSVLLLPCRHLCVCAACGPAVVVCPICNCNSNGSVLVNMS >Ma02_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25467689:25472680:1 gene:Ma02_g19190 transcript:Ma02_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENPKKSKLSWPKTLVKRWFNIKSKGRERHADDVADRGDNRQWTANFSERETCTVKRSSTDRLSRKHIDQIQQRTFDPHSAQVADVQDYKIFVATWNVGGKSPPRNLILLDWLHSSSPSDIYVLGFQEIVPLNAGNVLVTEDNGPAKKWLWLIRKTLNSPDTCGSDSYHTPSPVPYPILELNADFERFSVRQKNSSFLHRRSFQYPSHSLKFEGDTILPQQMIEHRFSVCDRVSFESRPSDFDSNFRCEGSSDDENIGEESPTDMFSSPNSYGYGAPPHVEERDRLSVNSRYCMVASKQMVGIFLTIWVRSDIREDIKNLKISCVGRGLMGYLGNKGSISISMSFHKTSFCFICSHLTSGQKDGDELRRNSDVMEILKKTRFPHVQRSDRDKSPETILDHDRIIWLGDLNYRIALSYRSVKTLVEMRNWRALLEKDQLQIEQRCGRVFEGWKEGRIYFPPTYKYSNNSDRYAGDDTNPKEKRRTPAWCDRILWNGRGLNQLSYVRGESRFSDHRPVYSIFTAEVEMMNHSYLRKNMGYFGSRVEAEELLPHSHGYTELNYC >Ma02_p19190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25467689:25472680:1 gene:Ma02_g19190 transcript:Ma02_t19190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENPKKSKLSWPKTLVKRWFNIKSKGRERHADDVADRGDNRQWTANFSERETCTVKRSSTDRLSRKHIDQIQQRTFDPHSAQVADVQDYKIFVATWNVGGKSPPRNLILLDWLHSSSPSDIYVLGFQEIVPLNAGNVLVTEDNGPAKKWLWLIRKTLNSPDTCGSDSYHTPSPVPYPILELNADFERFSVRQKNSSFLHRRSFQYPSHSLKFEGDTILPQQMIEHRFSVCDRVSFESRPSDFDSNFRCEGSSDDENIGEESPTDMFSSPNSYGYGAPPHVEERDRLSVNSRYCMVASKQMVGIFLTIWVRSDIREDIKNLKISCVGRGLMGYLGNKGSISISMSFHKTSFCFICSHLTSGQKDGDELRRNSDVMEILKKTRFPHVQRSDRDKSPETILDHDRIIWLGDLNYRIALSYRSVKTLVEMRNWRALLEKDQLQIEQRCGRVFEGWKEGRIYFPPTYKYSNNSDRYAGDDTNPKEKRRTPAWCDRILWNGRGLNQLSYVRGESRFSDHRPVYSIFTAEVEMMNHSYLRKNMGYFGSRVEAEELLPHSHGYTELNYC >Ma04_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1546262:1548464:-1 gene:Ma04_g01750 transcript:Ma04_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVVPEATPAITVAAAAKPPVLFPYPPPRGGGASAAAAIRKKHLSQVELGESWTNAWLESMKTSSPIHAKVAASAAAVPIIEREQNEHSAWIVRHPSALSKFEQLMKATKGKQIVMFLDYDGTLSPIVDDPDSAFMSDAMRAAVKEVAGCFPTAIVSGRCRSKLFDFVKLTELYYAGSHGMDIEVRKGTKYTKKKKTVLFQPASEFLPMIKKVHKALSKKTKPIPGAKLENNKFCVSVHFRCVDEKNWRVLLEQVWLVLKEYPMLRITPGRKVLEIRPIIKWDKGSALQFLLDSLGFADSKNVLPVYIGDDLTDEDAFKVLRDRGRGIGILVSEFPKETNASYFLRRPSEVMEFLLRLVEWKRLSLEDGLE >Ma09_p27720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38650418:38651561:-1 gene:Ma09_g27720 transcript:Ma09_t27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCP2 [Source:Projected from Arabidopsis thaliana (AT5G42890) UniProtKB/TrEMBL;Acc:A0A178UHV6] MESSLQSAGLLEQMKIHLSTDAGKEVTKKIGLVYQLNIAPKKIGVDEEIFVVDLKQGKVTKGPYDGKPDATFSFTDKDFLSIATGKMNPQIAFIRGAMKIKGSLSAAQKFTPDIFPKPSKL >Ma05_p27110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38535764:38539518:-1 gene:Ma05_g27110 transcript:Ma05_t27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLRESIGHQTAFALRLAKQVGAEAASDANLAFSPLSVHLVLSLLAAGSKGRTLDQILSFLGLGDSGGVADLNALSSQVVAVVLADGSARGGPRVSYANGVFFDSSLLLKPSFKEIVTQIFRADTKIVDFQTKAVEVTNEVNSWVENVTAGLIKELLPPGSVDSNTRLVLGNALYFKGSWNEKFDSSQTNDSEFHLLNGTSVQVPFMSSKKDQYLSSNDGFKVLRLPYKQGEDARLFSMYIFLPDARDGLWSLQEKLNSKSEFLTHRLPMTKVKVGKFKLPKFKISFGFEASAVLKSLGLALPFSADADLSEVADSSVGRSLYVSSVFHKSFIEVNEEGTEAAAATAAVVALRSLPIGPLDFEADHPFIFIIREDVTGVVLFTGHVLNPSLIG >Ma08_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36523908:36529987:-1 gene:Ma08_g23090 transcript:Ma08_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLKRGVGGEGVDDGVPLVPETKRQRTSIDSMRVVMATQYILQHLPKIEPFLRSVVQEEVQNAIWRDMHLPPRVPLNKIQTAVSNRYRLQFRNSLPRTLFTSSKIEAEGQKPVEIVIVDSDSREIITCGPLSSIKVEILVLDGDFGIDGQEEWTEKEFGDSIVREREGKRPLLSGELMITLIKGVGCLGDANFTDNSSWTRSRRFRLGARVSQSRCIDRVQEAVSGAFLVKDHRGELYKKHHPPSLNDDVWRLEKIGKDGVFHKRLADSGIMTVQDFLRNFIMDQNMLRHILGSGMSNKMWEATVEHAKKCIVPAEKLYSYNFSQGVVLLFNSIYELLGTIIQEKFYYLDELPATQKVLIDKLKENAYRTPDLIMEFIQPMVDNRQRLLSPATNTFTIPDIGCLDEHIPYIPLTNQGDPVLDMGLLHQPIQAGIGIIDDLPEMKDMLPEMGFRLAQRSDSFQLNTNTGFDMPSSNPATLVDDHPLMSFNAQADMCSGQFPMLWDQHNGLVHVSDSSTNRSCTPNVPDAGATTSSSKWVKLKAVSKWMALARRSARRVAYMNSAYPTVSSSMCADTYEGCW >Ma09_p26080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37430378:37436753:-1 gene:Ma09_g26080 transcript:Ma09_t26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGWASGGPKMEHYEVLEQIGKGAFGSALLVRHKVENKRYVLKKIRLTHRTETSCWSAHQEMELISNVKNPFIVEYKDSWVEKGCHVYIVTGHCEGGNMAKAIKKANGHLFPEEKLCKWLVQLLMALDYLHQNHILHCDVKCSNIFLTKDQSIRLGGFGLAKILTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMMALKPAFKAFDMPALVNKINKSIVAPLPSSFSGPFRGLTRSMLRRIPEHRPSAAELLKHPHLQPYVLQVNLKYHPTWKMLPVHQATKRHIRKIRFENDEDDAMYKDKDKRKSSGNERILTVSKPMEDSISSTQTIKDSSNRLNQRVMDLSAGSSRAAERGIDKAIGEKHSSTVKKYLPAKIFMTPRTQVEPTKALHAGPKRDVLALRIPADRIERTTRRASLPLPSFETHSKCNFSIFHQLESPDVSVNSPQIGRIEEFPLASSEDPLSSIHKLSSAHGSSTTPQNYGDHSITKDKCTIQNSQTEGNNRKGSSDQNSTAADASSRGSSESRQHRFDTSSYQQRAAALEGLLEFSALLLQQERFEELGILLRPFGPGKVSPRETAIWLTKSFKENLL >Ma08_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12753661:12755577:-1 gene:Ma08_g14440 transcript:Ma08_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFASISEAEAALGRNLTSAETVWFRYSAGMHDFWLYAHNIVFILLVYTLAPLPVALVELMRPKAIHRYKLQPKIHVPVADVLRCYRNVVKTFVFAVGPLQLFSFPTIKWWGIRLGLPLPSAWEVAAQLGVYFVVEDYFNYWLHRALHCRWGYEHVHRVHHEFTAPIGFAAPYAHWAEVLILGLPAFLGPAIAPGHILTFWLWFVLRHIEAIEIHCGYDFPRTPTKYIPFYGGAEFHDYHHYVGGQSHSNFASVFTYCDYIYGTDKGYRYHKAVSVKLRRQSDADKQHGEMTDMSGKRD >Ma04_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7242312:7244265:-1 gene:Ma04_g10120 transcript:Ma04_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDVDLSSHLLVSDPEINQSFDEFLRSTSTCTHTHTCNLPGPAAATHTHTCYHTHTQVFAAGEGESVGEEEPKRYRKPLGNKEAVRKYREKKKAHAAFLEEEVKKLRLVNQQLLRRLQGQAALEAEVIRLRNLLVDFRGKIDAELGGFPFQNQCRPGCLQCDADGQCISQNLAAIDWEGSHVPAITNCQINPSGDIIMRQKPEIAEAVNSMNVVGSLISSASQTE >Ma01_p10230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7372828:7383257:1 gene:Ma01_g10230 transcript:Ma01_t10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRVWISAACLALLVFPSLLSPALAEEEEEAAAAAEAEPSFVLTLDHSNFYDTVAKHPFIVVEFYAPWCGHCKRLAPEYEKAASVLSKNDPPVVLAKVDANEDVNKELASKYEVKGFPTLKIFRNGGDIIQDYKGPREADGIIEYLKKQAGPASAVIKSSEDASNLIVDKIFIVGIFQEFSGEEFENYIKVAEKLRTEYDFGHTSEAKLLPRGDPTVKLPVVRLFKPFDELFVDFKDFDVDALEKFIESASIPVVATFDKDPSNHPFLIKFFNSPNSKAMLFMNFSGENFDAFKSKLHETAENYKGKNINFLIGDLDASQGAFQYFGLKEEQVPLIIIQENDGKKYLQPKVSPDQIATWVKDYIDGNLKPYRKSEPIPEVNNEPVKIVVADTLQEVVFGSGKNVLLEFYAPWCGHCKKLAPILDEVAISFENNADVIIAKMDATANDVTNEFDVQGYPTLYFSSASGKLSQYDGDRTAEAIINFVKTNSDATSQPDSPHSAKDEL >Ma05_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18102781:18103188:-1 gene:Ma05_g16750 transcript:Ma05_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHFFLSLSIYGSCQRLIPHHKLEIRDTMGSFLEEFGAKELWQAHDRILKHALSYIRSMCLKCAIELGIADIIHSHGKPITLSQLETELRIPPARSACFRRLMRLLVHLEYFTQIWNPRMRRLCSASLHCLPSS >Ma06_p28510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30177995:30180948:1 gene:Ma06_g28510 transcript:Ma06_t28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTAAGNHPEKRKKEPKLLLGRFEVGKLLGAGTFAKVYVARNISTDELVAIKALDKEKIIKCGLVAHIKREIAILRRVRHPYIVQLFEVMATKTKIYFVMEYVRGGELFSRVAKGRLREDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDENGDLKVSDFGLSAVAEQSRGGDGLLHTLCGTPAYVAPEVLSRRGYDGAKVDIWSCGVILFVLMAGYLPFQDQNIVTMYRKIYKGDFRCPRWFSPDLERLLHRLLDTNSRTRITISEIMENKWFKKGFRHVQFYKDDDQLHTQDNPQLQTNDELQGDATSVWETDSDCSAVSCSASSSAAKRMRQMPRPPSLNAFDIISFSRGFDLSGLFEEAGEETRFLSKEPVSAIVSKLEEIAKVVSFTVRRKDCRVSLEGTREGEKGPLTIAAEIFELTPSIVVVEVKKKAGDRGAYEEFCNEELKPGLRHLVYESAHALKTAH >Ma06_p28510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30177995:30180948:1 gene:Ma06_g28510 transcript:Ma06_t28510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTAAGNHPEKRKKEPKLLLGRFEVGKLLGAGTFAKVYVARNISTDELVAIKALDKEKIIKCGLVAHIKREIAILRRVRHPYIVQLFEVMATKTKIYFVMEYVRGGELFSRVAKGRLREDTARRYFQQLISAVAFCHARGVFHRDLKPENLLVDENGDLKVSDFGLSAVAEQSRGGDGLLHTLCGTPAYVAPEVLSRRGYDGAKVDIWSCGVILFVLMAGYLPFQDQNIVTMYRKIYKGDFRCPRWFSPDLERLLHRLLDTNSRTRITISEIMENKWFKKGFRHVQFYKDDDQLHTQDNPQLQTNDELQGDATSVWETDSDCSAVSCSASSSAAKRMRQMPRPPSLNAFDIISFSRGFDLSGLFEEAGEETRFLSKEPVSAIVSKLEEIAKVVSFTVRRKDCRVSLEGTREGEKGPLTIAAEIFELTPSIVVVEVKKKAGDRGAYEEFCNEELKPGLRHLVYESAHALKTAH >Ma01_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9711720:9712438:-1 gene:Ma01_g13280 transcript:Ma01_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGADTKPEEGETAAVAPAAGEVLYCGGTNWDMLGRMGAPNAANLVSPTRLRPLVGVDIRFVASGCRRMCVVLISKRLFSPPRLRPNAAVTLFSPFTHISKRLLSLFGENDAKKAPSTVIQRTFMMPLKLFIFRRVFNLKLKNNLE >Ma06_p36690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35985511:35994237:1 gene:Ma06_g36690 transcript:Ma06_t36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] MADWDGASDSDLKAAGAEPLSDGRRGLRLKGWHIESIKRPILGSLARQELEEKLGTSHLPEMGWMQEALPPVEVPAAAKWKFRSKPSQQVILDYDYTFTTPYCGSETSESELEKTILEDGCCSLHWEDCDERIDLAVLSMKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSCWFLLLRYWLRVDGVLMRLRDTRVYCSFASDDKVKPIIIRENCWREATIESLSVQGFPSGSAAYADPNLISQNLPIVKNKTQRLKIPSSLSEHDGGNFNVSEKQL >Ma06_p36690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35985511:35994401:1 gene:Ma06_g36690 transcript:Ma06_t36690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] MADWDGASDSDLKAAGAEPLSDGRRGLRLKGWHIESIKRPILGSLARQELEEKLGTSHLPEMVFGDSSLFLLHVGTGIKLHFNAFDALMGWMQEALPPVEVPAAAKWKFRSKPSQQVILDYDYTFTTPYCGSETSESELEKTILEDGCCSLHWEDCDERIDLAVLSMKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSCWFLLLRYWLRVDGVLMRLRDTRVYCSFASDDKVKPIIIRENCWREATIESLSVQGFPSGSAAYADPNLISQNLPIVKNKTQRLKIPSSLSEHDGGNFNVSEKQL >Ma08_p34880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44875582:44875818:1 gene:Ma08_g34880 transcript:Ma08_t34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELQSPTDARVFCLTKIIEIVNYNMISHSIGLVSHLECPC >Ma01_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5061223:5065269:-1 gene:Ma01_g07010 transcript:Ma01_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPKSFPILSYVMSRLPRIASFKAGQTHIGDHDIEQPPHVDVDVELVGRMPRLQHPALLASMATVIADVAQTRSVLGVLGDRPDHEAVDAARARIAEIDADLSQQLGEIVTASCPEGTDPLQRRARAEREKVALRAVVQLDEMHEAYEKFLRGAEERLVKMYGSAAEGSEAVGVREGPAVVQEEGEGVNEEVIRILQEGSGNCLERVDLSGRQLLYLPEAFGKLRGLVSLNLSNNQLEAIPDAISGLECLEELRLSSNSLLSLPDSIGLLMNLKILDVSGNKLKSLPDSISKCRSLVEFDASYNELTYLPTNIGFELQNLEKLWIHLNKIRSLPTSVCEMRSLRLLDAHFNELRGLPYAIGKLTNLEILNLSSNFSDLQELPATFGDLISLRELDLSNNQIHALPDTFGRLDKLTKLNLDQNPLVIPPSEVVTQGVEAVKDYMAKRWLDILLEEERKSMAEETSPAQVGWLTRSTSWLTNWVSGVSGGVAEHLGAGQKPSRDPYLDQQL >Ma11_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:784410:794140:1 gene:Ma11_g01080 transcript:Ma11_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFLARSASRLSSSSSALSRSACLLPSLSSSSPAPPPSNPSPRSATTFPPPARHLADLRSPISHRALVRSSAAVADRFERRFATMASKNSYESILTTLSKPGGGEFGKYYCLPALTDPRIDRLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMKKLGSDPNKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFHRNKERFGFLKWGSNAFSNMLVVPPGSGIVHQVNLEYLGRVVFNNGGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLGGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIETYLRANKMFVDYSQPQTERVYSSYLELNLEDVEPCISGPKRPHDRVPLKGMKSDWQTCLDNKVGFKGFAVPKESQNKIAEFSFRGTPAQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLEKSGLQKYLDQLGFNIVGYGCTTCIGNSGELDEAVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTSKDGKKVYFKDIWPLNEEIADVVQSSVLPDMFKETYKAITKGNPMWNQLSVPSSTLYTWDPTSTYIHEPPYFKDMTMSPPGPHPVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVSPKDFNSYGSRRGNDEVMARGTFANIRLVNKFLKGEVGPKTIHVPSGEKLSAFDAATRYKSEGHDTIVLAGEEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDAETLGLTGHERYTIHLPSNVSDIKPGQDVTVTTDTGKSFTCTVRFDTEVELAYYNHGGILPYVIRSLIKANN >Ma02_p09410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19411588:19414540:-1 gene:Ma02_g09410 transcript:Ma02_t09410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDDVMGTFAPIVVYWLYAGFYQLLPRLDRYRLHTEKEEEQKNLVTLSTVVKGVLLQQLVQATVAQVLFLVTAQASLLGVPVQPSIPVQILQVFMAMLVMDTWQYFVHRYMHHNKFLYRHIHSQHHRLVVPYAVGALYNHPLEGLLLDTFGGAMSFLITGMTPRTAVFFFCFAVIKTIDDHCGLWLPGNIFHMFFQNNTAYHDIHHQLQGSKYNYSQPFFSVWDRMLGTYMPYSLVTRRQGGLEARPLKD >Ma02_p09410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19411588:19414534:-1 gene:Ma02_g09410 transcript:Ma02_t09410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDDVMGTFAPIVVYWLYAGFYQLLPRLDRYRLHTEKEEEQKNLVTLSTVVKGVLLQQLVQATVAQVLFLVTAQASLLGVPVQPSIPVQILQVFMAMLVMDTWQYFVHRYMHHNKFLYRHIHSQHHRLVVPYAVGALYNHPLEGLLLDTFGGAMSFLITGMTPRTAVFFFCFAVIKTIDDHCGLWLPGNIFHMFFQNNTAYHDIHHQLQGSKYNYSQPFFSVWDRMLGTYMPYSLVTRRQGGLEARPLKD >Ma02_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19411588:19414327:-1 gene:Ma02_g09410 transcript:Ma02_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDDVMGTFAPIVVYWLYAGFYQLLPRLDRYRLHTEKEEEQKNLVTLSTVVKGVLLQQLVQATVAQVLFLVTAQASLLGVPVQPSIPVQILQVFMAMLVMDTWQYFVHRYMHHNKFLYRHIHSQHHRLVVPYAVGALYNHPLEGLLLDTFGGAMSFLITGMTPRTAVFFFCFAVIKTIDDHCGLWLPGNIFHMFFQNNTAYHDIHHQLQGSKYNYSQPFFSVWDRMLGTYMPYSLVTRRQGGLEARPLKD >Ma02_p09410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19411588:19414327:-1 gene:Ma02_g09410 transcript:Ma02_t09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDDVMGTFAPIVVYWLYAGFYQLLPRLDRYRLHTEKEEEQKNLVTLSTVVKGVLLQQLVQATVAQVLFLVTAQASLLGVPVQPSIPVQILQVFMAMLVMDTWQYFVHRYMHHNKFLYRHIHSQHHRLVVPYAVGALYNHPLEGLLLDTFGGAMSFLITGMTPRTAVFFFCFAVIKTIDDHCGLWLPGNIFHMFFQNNTAYHDIHHQLQGSKYNYSQPFFSVWDRMLGTYMPYSLVTRRQGGLEARPLKD >Ma04_p28570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29607518:29623728:1 gene:Ma04_g28570 transcript:Ma04_t28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARTWFQKLQTKEKIASKKNELGLHGMDGTEETPSDATKQRVAAAKQYIENHYKEQMKNLQERRERRHNLERKLADADVSEEDQHNILKYLEKKETEYMRLQRHRMGVDDFELLTMIGKGAFGEVRVCREKETGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDNEYLYLIMEYLPGGDVMTLLMRKDTLNEDEARFYIGEAVLAIESIHKHNYIHRDIKPDNLLLDKFGHLKLSDFGLCKPLDCSNFPNLQEKDITSGRNSGGSSHSDERSSAPKRTQQEQLEHWQRNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGYPPFYSDEPMATCRKIVNWRTHLKFPDEAKLSADAKDLISKLLCNVEQRLGTKGADEIKVHPWFMGTEWDKLYQMEAAFIPEVKDELDTQNFEKFEEVSLQIQNSSKSGPWRKMLSSKDLNFMGYTYKNFEIVNDHVVPGIAELKKKDKLKRPSIKSLFESSETEDQSDEAIQGTFVDSCQLEVSKSQGSSSP >Ma04_p28570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29607518:29623728:1 gene:Ma04_g28570 transcript:Ma04_t28570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARTWFQKLQTKEKIASKKNELGLHGMDGTEETPSDATKQRVAAAKQYIENHYKEQMKNLQERRERRHNLERKLADADVSEEDQHNILKYLEKKETEYMRLQRHRMGVDDFELLTMIGKGAFGEVRVCREKETGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDNEYLYLIMEYLPGGDVMTLLMRKDTLNEDEARFYIGEAVLAIESIHKHNYIHRDIKPDNLLLDKFGHLKLSDFGLCKPLDCSNFPNLQEKDITSGRNSGGSSHSDERSSAPKRTQQEQLEHWQRNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGYPPFYSDEPMATCRKIVNWRTHLKFPDEAKLSADAKDLISKLLCNVEQRLGTKGADEIKVHPWFMGTEWDKLYQMEAAFIPEVKDELDTQNFEKFEESGEQIQNSSKSGPWRKMLSSKDLNFMGYTYKNFEIVNDHVVPGIAELKKKDKLKRPSIKSLFETEDQSDEAIQGTFVDSCQLEVSKSQGSSSP >Ma04_p28570.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29607518:29623728:1 gene:Ma04_g28570 transcript:Ma04_t28570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARTWFQKLQTKEKIASKKNELGLHGMDGTEETPSDATKQRVAAAKQYIENHYKEQMKNLQERRERRHNLERKLADADVSEEDQHNILKYLEKKETEYMRLQRHRMGVDDFELLTMIGKGAFGEVRVCREKETGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDNEYLYLIMEYLPGGDVMTLLMRKDTLNEDEARFYIGEAVLAIESIHKHNYIHRDIKPDNLLLDKFGHLKLSDFGLCKPLDCSNFPNLQEKDITSGRNSGGSSHSDERSSAPKRTQQEQLEHWQRNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGYPPFYSDEPMATCRKIVNWRTHLKFPDEAKLSADAKDLISKLLCNVEQRLGTKGADEIKVHPWFMGTEWDKLYQMEAAFIPEVKDELDTQNFEKFEESGEQIQNSSKSGPWRKMLSSKDLNFMGYTYKNFEIVNDHVVPGIAELKKKDKLKRPSIKSLFEDQSDEAIQGTFVDSCQLEVSKSQGSSSP >Ma04_p28570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29607518:29623728:1 gene:Ma04_g28570 transcript:Ma04_t28570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARTWFQKLQTKEKIASKKNELGLHGMDGTEETPSDATKQRVAAAKQYIENHYKEQMKNLQERRERRHNLERKLADADVSEEDQHNILKYLEKKETEYMRLQRHRMGVDDFELLTMIGKGAFGEVRVCREKETGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDNEYLYLIMEYLPGGDVMTLLMRKDTLNEDEARFYIGEAVLAIESIHKHNYIHRDIKPDNLLLDKFGHLKLSDFGLCKPLDCSNFPNLQEKDITSGRNSGGSSHSDERSSAPKRTQQEQLEHWQRNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGYPPFYSDEPMATCRKIVNWRTHLKFPDEAKLSADAKDLISKLLCNVEQRLGTKGADEIKVHPWFMGTEWDKLYQMEAAFIPEVKDELDTQNFEKFEESGEQIQNSSKSGPWRKMLSSKDLNFMGYTYKNFEIVNDHVVPGIAELKKKDKLKRPSIKSLFESSETEDQSDEAIQGTFVDSCQLEVSKSQGSSSP >Ma04_p28570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29607518:29623728:1 gene:Ma04_g28570 transcript:Ma04_t28570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARTWFQKLQTKEKIASKKNELGLHGMDGTEETPSDATKQRVAAAKQYIENHYKEQMKNLQERRERRHNLERKLADADVSEEDQHNILKYLEKKETEYMRLQRHRMGVDDFELLTMIGKGAFGEVRVCREKETGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDNEYLYLIMEYLPGGDVMTLLMRKDTLNEDEARFYIGEAVLAIESIHKHNYIHRDIKPDNLLLDKFGHLKLSDFGLCKPLDCSNFPNLQEKDITSGRNSGGSSHSDERSSAPKRTQQEQLEHWQRNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGYPPFYSDEPMATCRKIVNWRTHLKFPDEAKLSADAKDLISKLLCNVEQRLGTKGADEIKVHPWFMGTEWDKLYQMEAAFIPEVKDELDTQNFEKFEESGEQIQNSSKSGPWRKMLSSKDLNFMGYTYKNFEIVNDHVVPGIAELKKKDKLKRPSIKSLFDQSDEAIQGTFVDSCQLEVSKSQGSSSP >Ma01_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25403175:25406868:-1 gene:Ma01_g22570 transcript:Ma01_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTSSFAGLACHKINNAISMQISKTRNGYAEVPAKACLVTPRSKRPMKMTGSESNTSSAINQSKHLTDRSPRIECRTAKALETERRRPSRVTELECQITQLQEDLKKTRDQLNLTESWKRRAQQEAEEAKKELLVMSLKLEDSQGQMEELSAAEDARIQELRKISQERDRAWQSELEAIQNQHSVDTAALASAMNEMRRLKLQLEMVLRSEAALAKKSEVHHLEIQSSKTNLAEASFAIENLKVQLRSSEKAESEAKSTLTETRRQLESAQSTIESLLSDGSKLMESFSIVATELKESRTGVKLLEETVKKLQHEQFTAHIQPSVDFGDPKKICFGSSDSEAEQLMSTLEDALVEHQQEQILSMVKLQCTYEMMDKMSTDSRLRESELKSRLSEAESEITVLQTSLFDGEVELRRISDMNKKLLADMDKRREHQIQSEADVISLKTKLADKETALRRLSEDNEKLKLELRRVETEKHNACEAAAAEMMTTKTALTKLGFISEEAEKHSERATRAAEELKAAQAAKTEMEAELRRLKVQAEQWRKAAETAIVMLTADQTAGAADSDYRSAAGKLVSLQFSDDLDEESPGKKKSHVLGRIRGMWNKDQK >Ma01_p22570.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25403175:25406868:-1 gene:Ma01_g22570 transcript:Ma01_t22570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTSSFAGLACHKINNAISMQISKTRNGYAEVPAKACLVTPRSKRPMKMTGSESNTSSAINQSKHLTDRSPRIECRTAKALETERRRPSRVTELECQITQLQEDLKKTRDQLNLTESWKRRAQQEAEEAKKELLVMSLKLEDSQGQMEELSAAEDARIQELRKISQERDRAWQSELEAIQNQHSVDTAALASAMNEMRRLKLQLEMVLRSEAALAKKSEVHHLEIQSSKTNLAEASFAIENLKVQLRSSEKAESEAKSTLTETRRQLESAQSTIESLLSDGSKLMESFSIVATELKESRTGVKLLEETVKKLQHEQFTAHIQPSVDFGDPKKICFGSSDSEAEQLMSTLEDALVEHQQEQILSMVKLQCTYEMMDKMSTDSRFRESELKSRLSEAESEITVLQTSLFDREVELRRISDMNKKLLADMDKMSTDSRLRESELKSRLSEAESEITVLQTSLFDGEVELRRISDMNKKLLADMDKRREHQIQSEADVISLKTKLADKETALRRLSEDNEKLKLELRRVETEKHNACEAAAAEMMTTKTALTKLGFISEEAEKHSERATRAAEELKAAQAAKTEMEAELRRLKVQAEQWRKAAETAIVMLTADQTAGAADSDYRSAAGKLVSLQFSDDLDEESPGKKKSHVLGRIRGMWNKDQK >Ma01_p22570.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25403175:25406868:-1 gene:Ma01_g22570 transcript:Ma01_t22570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQISKTRNGYAEVPAKACLVTPRSKRPMKMTGSESNTSSAINQSKHLTDRSPRIECRTAKALETERRRPSRVTELECQITQLQEDLKKTRDQLNLTESWKRRAQQEAEEAKKELLVMSLKLEDSQGQMEELSAAEDARIQELRKISQERDRAWQSELEAIQNQHSVDTAALASAMNEMRRLKLQLEMVLRSEAALAKKSEVHHLEIQSSKTNLAEASFAIENLKVQLRSSEKAESEAKSTLTETRRQLESAQSTIESLLSDGSKLMESFSIVATELKESRTGVKLLEETVKKLQHEQFTAHIQPSVDFGDPKKICFGSSDSEAEQLMSTLEDALVEHQQEQILSMVKLQCTYEMMDKMSTDSRFRESELKSRLSEAESEITVLQTSLFDREVELRRISDMNKKLLADMDKMSTDSRLRESELKSRLSEAESEITVLQTSLFDGEVELRRISDMNKKLLADMDKRREHQIQSEADVISLKTKLADKETALRRLSEDNEKLKLELRRVETEKHNACEAAAAEMMTTKTALTKLGFISEEAEKHSERATRAAEELKAAQAAKTEMEAELRRLKVQAEQWRKAAETAIVMLTADQTAGAADSDYRSAAGKLVSLQFSDDLDEESPGKKKSHVLGRIRGMWNKDQK >Ma01_p22570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25403175:25406868:-1 gene:Ma01_g22570 transcript:Ma01_t22570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTSSFAGLACHKINNAISMQISKTRNGYAEVPAKACLVTPRSKRPMKMTGSESNTSSAINQSKHLTDRSPRIECRTAKALETERRRPSRVTELECQITQLQEDLKKTRDQLNLTESWKRRAQQEAEEAKKELLVMSLKLEDSQGQMEELSAAEDARIQELRKISQERDRAWQSELEAIQNQHSVDTAALASAMNEMRRLKLQLEMVLRSEAALAKKSEVHHLEIQSSKTNLAEASFAIENLKVQLRSSEKAESEAKSTLTETRRQLESAQSTIESLLSDGSKLMESFSIVATELKESRTGVKLLEETVKKLQHEQFTAHIQPSVDFGDPKKICFGSSDSEAEQLMSTLEDALVEHQQEQILSMVKLQCTYEMMDKMSTDSRFRESELKSRLSEAESEITVLQTSLFDREVELRRISDMNKKLLADMDKRREHQIQSEADVISLKTKLADKETALRRLSEDNEKLKLELRRVETEKHNACEAAAAEMMTTKTALTKLGFISEEAEKHSERATRAAEELKAAQAAKTEMEAELRRLKVQAEQWRKAAETAIVMLTADQTAGAADSDYRSAAGKLVSLQFSDDLDEESPGKKKSHVLGRIRGMWNKDQK >Ma01_p22570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25403175:25406999:-1 gene:Ma01_g22570 transcript:Ma01_t22570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTSSFAGLACHKINNAISMQISKTRNGYAEVPAKACLVTPRSKRPMKMTGSESNTSSAINQSKHLTDRSPRIECRTAKALETERRRPSRVTELECQITQLQEDLKKTRDQLNLTESWKRRAQQEAEEAKKELLVMSLKLEDSQGQMEELSAAEDARIQELRKISQERDRAWQSELEAIQNQHSVDTAALASAMNEMRRLKLQLEMVLRSEAALAKKSEVHHLEIQSSKTNLAEASFAIENLKVQLRSSEKAESEAKSTLTETRRQLESAQSTIESLLSDGSKLMESFSIVATELKESRTGVKLLEETVKKLQHEQFTAHIQPSVDFGDPKKICFGSSDSEAEQLMSTLEDALVEHQQEQILSMVKLQCTYEMMDKMSTDSRFRESELKSRLSEAESEITVLQTSLFDREVELRRISDMNKKLLADMDKMSTDSRLRESELKSRLSEAESEITVLQTSLFDGEVELRRISDMNKKLLADMDKRREHQIQSEADVISLKTKLADKETALRRLSEDNEKLKLELRRVETEKHNACEAAAAEMMTTKTALTKLGFISEEAEKHSERATRAAEELKAAQAAKTEMEAELRRLKVQAEQWRKAAETAIVMLTADQTAGAADSDYRSAAGKLVSLQFSDDLDEESPGKKKSHVLGRIRGMWNKDQK >Ma01_p22570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25403175:25406989:-1 gene:Ma01_g22570 transcript:Ma01_t22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTSSFAGLACHKINNAISMQISKTRNGYAEVPAKACLVTPRSKRPMKMTGSESNTSSAINQSKHLTDRSPRIECRTAKALETERRRPSRVTELECQITQLQEDLKKTRDQLNLTESWKRRAQQEAEEAKKELLVMSLKLEDSQGQMEELSAAEDARIQELRKISQERDRAWQSELEAIQNQHSVDTAALASAMNEMRRLKLQLEMVLRSEAALAKKSEVHHLEIQSSKTNLAEASFAIENLKVQLRSSEKAESEAKSTLTETRRQLESAQSTIESLLSDGSKLMESFSIVATELKESRTGVKLLEETVKKLQHEQFTAHIQPSVDFGDPKKICFGSSDSEAEQLMSTLEDALVEHQQEQILSMVKLQCTYEMMDKMSTDSRFRESELKSRLSEAESEITVLQTSLFDREVELRRISDMNKKLLADMDKMSTDSRLRESELKSRLSEAESEITVLQTSLFDGEVELRRISDMNKKLLADMDKRREHQIQSEADVISLKTKLADKETALRRLSEDNEKLKLELRRVETEKHNACEAAAAEMMTTKTALTKLGFISEEAEKHSERATRAAEELKAAQAAKTEMEAELRRLKVQAEQWRKAAETAIVMLTADQTAGAADSDYRSAAGKLVSLQFSDDLDEESPGKKKSHVLGRIRGMWNKDQK >Ma09_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6175176:6175412:1 gene:Ma09_g09350 transcript:Ma09_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGFPRRPDKATAYKKLKTHLTIMSAWIAVIRVTPYVLHYLSREKEELQLEL >Ma08_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33392542:33393410:1 gene:Ma08_g19620 transcript:Ma08_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSANFHLTNNKGDVYFFALLVSSVTHLKADNPLYGSSRMDYAHIEQIIDFASMEVDANTSRWLYP >Ma07_p27890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34075023:34077552:-1 gene:Ma07_g27890 transcript:Ma07_t27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALEGVVRGLSPARSRAKSPAPRSQSPSAALLLLPRRRKGHSGGGHQQQALAQVPEAPVIARSASFRPVGEALAPLMEGPDGDAPEEGGGPRREGWGHWVRGQLSRAPSVTHSSSSSSASAAANGSCSFRGSDLRLLLGVMGAPLAPIHVGTADPLPHLSIKDTPIETSSAQYILQQYTAASGGLKLHSSIRNAYAMGKVRMVASEFETATKVVKNRGGSTRAAESGSFVLWQMAPDMWYVELAVGGSKVHAGSNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFASARCIGEKKVNGEDCFILKLCADPQTLRARSEGPAEIIRHVLFGYFSQRTGLMVHMEDSHLTRIQPNAGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGEEAMSHTKTRMEEAWTIEEVAFNVPGLSVDCFIPPAEIRCGSIGGTCEMPQAERSRASIGNRVKVAAEKRQAAGDNKIVWRLEVPQRKQGGDRIVHTASFCAK >Ma02_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24585347:24586608:1 gene:Ma02_g17830 transcript:Ma02_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNASQIQQITAHLSLYGRIEGKNVFYWFQNHKARERQKLRRRLGRQHQLLHQSEGPSSPTPPLHNQTPPPNLLYQGCLQEVLTQGMNLVGKLEAGEGQEEEPAASDAVYTQPWMTMMDGSAAAATAVPPPCCRPLKTLDLFPTKSTGMKDECSSSKSSSCSTSTN >Ma05_p26300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37959474:37963906:1 gene:Ma05_g26300 transcript:Ma05_t26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGPREDDFSGRFQGNGIRPIQGMESVVATVSGYHGTERFKLIKLIAQTGASYTGAMTKSTTHLVCWQFEGKKYDMARRTGAHIISHRWFEDCLKERKRLPEDPYAAQSGQETGTITWEMPAALDTSGKGKTIISAERCMLSDNFSALNCRNIVEIDASYLDWSDSQLLHKCRESSTFPKVCSSSKKRNLFDATQDVTCKPTRRSNRLKKKAYCNLLNYVSLGQKQGTSVKKFSSQVEIIDLDSTCENTSRRRLGSSSQGKTTDFMLSDHEGSGIEGLEQDGVEELRNDNILSDNGIFSDNENFECIGKMLGSSENARNDNEHHKEGENLYEAGAYKQAELSCVICWTEFSTTRAVLPCGHRFCYSCIQGWVDCLASRGKISSCPLCKSSFTSMRKMDTSTDQKIYSQTIPSESSNIDIIMVSNNGNDSARASMPMDPVCSECHNREPEDLLLCCCICQSQWVHSYCLDPPVSPWTCIHCRDLRTLYQRFR >Ma09_p02530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1784948:1787221:-1 gene:Ma09_g02530 transcript:Ma09_t02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHHPQPWYSWEPHMSLHHHHYQHLYRAEQEEARYEREHMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGGDSGDKGLLLSFEDESGKTWQFRYSYWTSSQSYVLTKGWSRFVKEKRLDAGDVVLFERPRLGGDRLYIGCRHRGGNDSPSPAQATTMPTAAGPWSPVYYAATCSYPTSSSCCSSVQQDCLLHAGDQRNEAIQSETEAPTTSKRLRLFGVDLDYQPEPRPDPMTSISWF >Ma08_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29586991:29588424:-1 gene:Ma08_g18270 transcript:Ma08_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRFKVWIYKEGEPPIVHNGSGASIYAIEGHFMSEMEANGYWEHMKRLIADYINVIVDKHPYWKRSLGADHFMVSCHGWAPTISHANPELYQNSIRVICNANTSEGFKLGKDVTLPEVHLSDGLLSQPPRDQLHTERTTLAFFAVGSHGYIRQMLLHRWKGKDTEVVVHEYLPKGTSYGELMRKSKFCLCPSGYEVASPTIVESIFMGCAPVIISVDYPSSLCKIMWSYLIK >Ma02_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5976639:5977314:1 gene:Ma02_g00650 transcript:Ma02_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLVDGVVTNDSYVFLFGARSVYKYIIDDRKYVETYFVKVSDI >Ma05_p26320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37967046:37982151:1 gene:Ma05_g26320 transcript:Ma05_t26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEEAKAPNKECVKVAVNVRPLITTELLIGCTDCVTVVPGEPQVQIGSHAFTFDHVYGSSGSPYSIFKECVLPLIDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGNCGGIIPEVMDTIFTKIDALKDRTEFLVRVSFIEIFKEEVFDLLDPQSHAAMRTEVASIAKPTLSRAPIQIRETAAGGITLAGVTEAEVRSKEEMASYLTRGSISRATGSTNMNSQSSRSHAIFTIYMEQKNVSHESHGGMVNNEAAGDDILFAKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDERKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADSNAEETINTLKYANRARNIQNKAMINRDPVAAEMQRMRSQLEQLQSELLFCRGGGAPLEELQLLQHKISLLEASNAELRQELKERQVTCELLRQSALDAQVEKDKLTLKIESARGGKSWDEIDKMEKNKELDLVKGYISKIQELEAELLRVQSFSHPCRNLTIDADIDDMASVEAEEEEKEREHSMLQDQLDKEIQELDKRLEQKEAEMKQFTRVDTTVLKQHYEKKLLELEQEKKLLTKEIEHLRFNLSNMSSTTDESAQKLREDYLQKLNMLETQVSELKKKQEAQAQLLRQKQKSDEAAKRLQEEIQRIKSQKVQLQHKMKQESEQFRSWKVSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAALATKRLKELLEAKKSTREASAIGNANGPGIQVLVHAIEHELEVTLRVHEVRSEYERQMKERAAMAKEVAKLKEESETLKQKIISDSPQTMSPSARDSRIVALENMLKTSSTALVSMASQLSEAEERERVFSGKGRWNQVRSLADAKNLMNYLFNLASSSRCELRDKEVSCREKDSEVAELKDKVVKLNILKRQLEEQLLAAHNQNMQILSIKNVKKTTGISGTDIGVSKEDKLSDVIHKNPQSIRYSGHGVNNLDDMDISDTEMSDVFETDAEASEEFESDVADEDWIESRKKIRKKQFQNYQNRKSTLEDNIPVGNLSEKPNEEGKIKVEKVGFEHCCSCSRVSSCKTKRCECRALGSVCSTYCGCVPSKCSNREGGLINSDMDEISNSEAAESGGSLSSFDHVDDDTSKLVSEGTLLLQSALSKNTTEEKNVKSRKPLSDIGNNVINPNAAKQGRRKKWRKSTIQLVLTEPLQSSFPQDNEASRSREDVPLRLPRAMDSTHPENNHPPLGNRNSARAGESVNGNKEVRGIIPPRSPVRMRKASDEKENHMA >Ma05_p26320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37967046:37982150:1 gene:Ma05_g26320 transcript:Ma05_t26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEEAKAPNKECVKVAVNVRPLITTELLIGCTDCVTVVPGEPQVQIGSHAFTFDHVYGSSGSPYSIFKECVLPLIDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGNCGGIIPEVMDTIFTKIDALKDRTEFLVRVSFIEIFKEEVFDLLDPQSHAAMRTEVASIAKPTLSRAPIQIRETAAGGITLAGVTEAEVRSKEEMASYLTRGSISRATGSTNMNSQSSRSHAIFTIYMEQKNVSHESHGGMVNNEAAGDDILFAKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDERKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADSNAEETINTLKYANRARNIQNKAMINRDPVAAEMQRMRSQLEQLQSELLFCRGGGAPLEELQLLQHKISLLEASNAELRQELKERQVTCELLRQSALDAQVEKDKLTLKIESARGGKSWDEIDKMEKNKELDLVKGYISKIQELEAELLRVQSFSHPCRNLTIDADIDDMASGCDEKTLDVSIEAEEEEKEREHSMLQDQLDKEIQELDKRLEQKEAEMKQFTRVDTTVLKQHYEKKLLELEQEKKLLTKEIEHLRFNLSNMSSTTDESAQKLREDYLQKLNMLETQVSELKKKQEAQAQLLRQKQKSDEAAKRLQEEIQRIKSQKVQLQHKMKQESEQFRSWKVSREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAALATKRLKELLEAKKSTREASAIGNANGPGIQVLVHAIEHELEVTLRVHEVRSEYERQMKERAAMAKEVAKLKEESETLKQKIISDSPQTMSPSARDSRIVALENMLKTSSTALVSMASQLSEAEERERVFSGKGRWNQVRSLADAKNLMNYLFNLASSSRCELRDKEVSCREKDSEVAELKDKVVKLNILKRQLEEQLLAAHNQNMQILSIKNVKKTTGISGTDIGVSKEDKLSDVIHKNPQSIRYSGHGVNNLDDMDISDTEMSDVFETDAEASEEFESDVADEDWIESRKKIRKKQFQNYQNRKSTLEDNIPVGNLSEKPNEEGKIKVEKVGFEHCCSCSRVSSCKTKRCECRALGSVCSTYCGCVPSKCSNREGGLINSDMDEISNSEAAESGGSLSSFDHVDDDTSKLVSEGTLLLQSALSKNTTEEKNVKSRKPLSDIGNNVINPNAAKQGRRKKWRKSTIQLVLTEPLQSSFPQDNEASRSREDVPLRLPRAMDSTHPENNHPPLGNRNSARAGESVNGNKEVRGIIPPRSPVRMRKASDEKENHMA >Ma06_p27760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29667441:29667569:1 gene:Ma06_g27760 transcript:Ma06_t27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESSLAGRSDRPKHGTHQLPRSRHQPGNKSFSSVHTVGPTV >Ma05_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9791077:9792166:-1 gene:Ma05_g13490 transcript:Ma05_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWLKSLHCKSKAVEDVYPLAPSASSSSSTKKPLLSSVVCGNTYHAVEDVVFLLLKHPSFRSTASSVPKRPRQRTRPKSRHIPRPARPCASAASASMPDGLVGPVHADPFRTLVELPMGHSSRRVVEIIFSSTWSSSGGGGGGAVAAEIEMLFRVHNPARTVARFEEHRATVRARAARSNDARCAADGNEMMRFQCGAAGGLVYDAGVARSVVWSAGMKVEGVRTFAGSGGAHASGGGGAGRKSMLVCRVIAGRVRGESDHEAEAESVSLGNGELVVFDPGAVLPCFLVIYNL >Ma01_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11089049:11089556:1 gene:Ma01_g15340 transcript:Ma01_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMVVGGGSRYPFTASQWQELELQALIFKYMVSGIPVPPDLILCIRRSLFVEPQTLPFLPHRPTVGWEAYQVGDGRKAVDPEPGRCRRTDGKKWRCSKEAFPDSKYCERHMHRGKSRSRKPVELSLATTPISSHFPPPTA >Ma03_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13095546:13107728:1 gene:Ma03_g14490 transcript:Ma03_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MGRPAAAEVAKAAVKAAAEGWRIIPRPLLETILNNYALHHRVPQPVVLHGPRGVGKTSLLLHRLLPEWNKGPHVAAYVDLAGDPSATRPWASFSAASALPALGALRGRLERELEALVDHGVRRGTIGSRDVFTALNKWHGLDTALRRIIGPGRLSKKDEAASASALWSKALLAFSVRIGTGEINVSLAVAEEKAMVTGGTRKYSMDEVAYMREAVTSLKLAKEVIGMHQEWRREPMKHLNWTGGFSRSLANSSTDWPCILLDILSAAAEVDFFQPKLVINNVDVLRKAIFSDDSTVSAAVYHDSFIWRLIALGANDRCLPVILVTSDSYYSYQAFIDFGFPDIFISRETFGWTHQEAKLHMVSQFFSESEWKVIDEVLGPNPRQLSELYMLKKSCFYLEVMRDGSSNFEDVVDAYLAYLQVTVVNPAMESVLVILQKFASDVRTGTVPIDRLHFGAPWRHPPHTDDPVASLKWAKIQLMDFVQSFVNVEFGVNFLADDSLEILDDPSIVAMLEVGLLYKQRDPSFIRPITRGIQRCLARWLVQQKMQMRFGERVTYLWQRVMRGRSYRHLMKEIGYK >Ma01_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6839629:6844234:-1 gene:Ma01_g09490 transcript:Ma01_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGTKLVAKRSSKYLEEPLYKRLFREGTKPQSVRQQLELFLKSRKRVYKWEVDVSIRRLRGQKRFHPALKLSEVMAKRGMNLTLSDQAVRLDLVAKSRGIASAEEYFINLPESAKNHLTYGALLNCYCKELLTEKAEGLMEKMKELKFASSPMAYNSLMTLYAKTNQPGKVPGIIQEMKADDVMPDCFTFNVWMRSLAAMNDISGVERVMEEMKRDGRVAADWTTYSNLASIYVDAGMFQKAEGALKELEKRNLGQDVVAYQFLITLYGRTGNLVEVHRIWRSLKLVRPKMANVSYLNMIQVLVNLKDLPGAEACFKEWESNFSVYDIRVANAMIKAYANEGMLDKAQAVKKHAKMLGGRLNAKTWEIFMDYYMNKGDLKMAHWCADRAIKKGKSLGRFWVPPRETIITLIAYFEQKKDVAGAEKFIELLQTVEKDLGAEVFEALIRTYAAAGKRSPGMRHRLKMEHVVVGEDTEQLLESICVE >Ma09_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5547759:5550691:1 gene:Ma09_g08370 transcript:Ma09_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQKMLFRAESEVAVVKHHLEIALQNYSALEEKNSQLVEALKECVKQLHQLKEDREEKTCEWESEKHELEKQLVELKAQLQAGKTDRVILDRGLQTRVEAVEKENTALKIELHSHSEDLQVLLLERELSNKAAETASKQHLESIKKVTKLEAECHRLQSINRRLSSVSDHKPVVSSVCVGSSPDSQADSGERLFGIDSETSCADSWASSLIAELDQFKSEKARSRNLNTSVEIELMDDFLEMERLVALPEIDHENVAFKPETQYDQVVRRVSPLKIKNEIMNKKIVELEEKVEWLEHEKGELEIALSQSHTERSCNLLAAAENKIVELQTKIDLSTSEVMDLEGKRKELETELESAYSENGKLCEKVSFFQESFEAERLSSAEFKARIEIAEAARQALDSQLKSAQLEISNLKETVGLIECQVMEGRAFSSTLTAKKEALEAKGKAMASQLEHANSEVWRLQEKVDFWELKAEEKTKLAAEFAIQVEAAEAARKKLEVDLKSAHEFATKVDAADAAKKTLEIQLASARMDVVKLSGNVVLLKGKIEEERASSAEFAARCHKLEGDLLRMKREADLWRVAKSNREIKIKQEKELAMAAGKLEECQKTIASLSRQLKSLTTLDDFMIGDERPEHNIFLQHPSGDEAKDLEIIGSCTVSNGRERSSRQSSALSFFSSSSSNFSGFMRTLSRSRSITR >Ma09_p08370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5544718:5550691:1 gene:Ma09_g08370 transcript:Ma09_t08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRGWLLRRKSSEKSPDETESPGSASSHSENYSDDQEALRSSPNDASVDHAQSSEVSSSTYDGEVNQTVKILTEKLSAALLNISAKEDLVNQHAKVAEDAVSGWEQAESEVAVVKHHLEIALQNYSALEEKNSQLVEALKECVKQLHQLKEDREEKTCEWESEKHELEKQLVELKAQLQAGKTDRVILDRGLQTRVEAVEKENTALKIELHSHSEDLQVLLLERELSNKAAETASKQHLESIKKVTKLEAECHRLQSINRRLSSVSDHKPVVSSVCVGSSPDSQADSGERLFGIDSETSCADSWASSLIAELDQFKSEKARSRNLNTSVEIELMDDFLEMERLVALPEIDHENVAFKPETQYDQVVRRVSPLKIKNEIMNKKIVELEEKVEWLEHEKGELEIALSQSHTERSCNLLAAAENKIVELQTKIDLSTSEVMDLEGKRKELETELESAYSENGKLCEKVSFFQESFEAERLSSAEFKARIEIAEAARQALDSQLKSAQLEISNLKETVGLIECQVMEGRAFSSTLTAKKEALEAKGKAMASQLEHANSEVWRLQEKVDFWELKAEEKTKLAAEFAIQVEAAEAARKKLEVDLKSAHEFATKVDAADAAKKTLEIQLASARMDVVKLSGNVVLLKGKIEEERASSAEFAARCHKLEGDLLRMKREADLWRVAKSNREIKIKQEKELAMAAGKLEECQKTIASLSRQLKSLTTLDDFMIGDERPEHNIFLQHPSGDEAKDLEIIGSCTVSNGRERSSRQSSALSFFSSSSSNFSGFMRTLSRSRSITR >Ma10_p20950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30966608:30976923:-1 gene:Ma10_g20950 transcript:Ma10_t20950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASHGKWTNGLQFSSLFWPPPLGEQQKQAQVMAYVEYFGQFTSEEFQEDIAQLIQSHYPSKDKRLLDEVLAVFVLHHPEHGHAIIHPILSLIIDGTLAYDRNDSPFCSFISLFKQNSEKEYSEQWALACGEILRVLTHYNRPIHKVEHHNVEYERSNSDNRATTSKSKQEEANHPLQQEDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGDLKPPTTACSRGSGKHQLMQSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTNALDEHLDAALPALEPYACLFHRYYALATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGVKLPRNWMHLHFLRAIGIAMSMRVGIAADAAAALLFRILSQPTLLFPPLRHAEGIKVHHEPVDGYISPYKRQIEASICDATIEATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSAVVDLPEIVVAAPLQPPPLSWNLYLPLLKVLEYLPQGSPSEACLMRIFVATVETVLRRTFPSENSEQPRKPRGHGSMWSTTKNLAVAELHTMIHSLFLESCASMDLASRLLFVVLTICVSHDALPDGSKRPTGCGSHSAEEIGESETVNGEAVTSYKNRRKQGPVATFDSYVLAAICALACELQLFPLISKSRTCLESKDSARMTKVAKGNGAAHELYNSITSAVCHSRRILGILEALFSLKPSSIGTSWSYSSNEIVAAAMVAAHVSELFGRSKACMNALSVLRRCKWDTEISTRASSLYHLIDIHGKNVASIVHKAEPLEAHLVRSPAWKDDIACSSDRNSSNNFDGQDNANSESTSSSSAMLLKPEKPSLVNHIMAEASSKSIANLPVDAPALATFLTMDRNVGYNWGAQALLRNVFAEKQELCISVVSLLWHKLIAAPETKVSAESTSAQQGWRQVVDAICNVVSASPTKAVTAIVLQAEKDLQPWIARDDEQGQKKWRINQRIIKLIVELMRNHDSPEALMILAGASDILLRATDGMLVDGEACTLPQLELLEVTARAVRLVIQWGESGLAVADDLSNLLKCRLPAAVQCLSHPSAHVRALSIAVLRNIIQNSMSNGSGRIQGDAPGLSDPSYQCLNLGIINWHSDVEKCLKWEARSRLATGLTLAFLSAAAKELGCPISC >Ma10_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30966621:30985688:-1 gene:Ma10_g20950 transcript:Ma10_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding SASHGKWTNGLQFSSLFWPPPLGEQQKQAQVMAYVEYFGQFTSEEFQEDIAQLIQSHYPSKDKRLLDEVLAVFVLHHPEHGHAIIHPILSLIIDGTLAYDRNDSPFCSFISLFKQNSEKEYSEQWALACGEILRVLTHYNRPIHKVEHHNVEYERSNSDNRATTSKSKQEEANHPLQQEDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGDLKPPTTACSRGSGKHQLMQSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTNALDEHLDAALPALEPYACLFHRYYALATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGVKLPRNWMHLHFLRAIGIAMSMRVGIAADAAAALLFRILSQPTLLFPPLRHAEGIKVHHEPVDGYISPYKRQIEASICDATIEATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSAVVDLPEIVVAAPLQPPPLSWNLYLPLLKVLEYLPQGSPSEACLMRIFVATVETVLRRTFPSENSEQPRKPRGHGSMWSTTKNLAVAELHTMIHSLFLESCASMDLASRLLFVVLTICVSHDALPDGSKRPTGCGSHSAEEIGESETVNGEAVTSYKNRRKQGPVATFDSYVLAAICALACELQLFPLISKSRTCLESKDSARMTKVAKGNGAAHELYNSITSAVCHSRRILGILEALFSLKPSSIGTSWSYSSNEIVAAAMVAAHVSELFGRSKACMNALSVLRRCKWDTEISTRASSLYHLIDIHGKNVASIVHKAEPLEAHLVRSPAWKDDIACSSDRNSSNNFDGQDNANSESTSSSSAMLLKPEKPSLVNHIMAEASSKSIANLPVDAPALATFLTMDRNVGYNWGAQALLRNVFAEKQELCISVVSLLWHKLIAAPETKVSAESTSAQQGWRQVVDAICNVVSASPTKAVTAIVLQAEKDLQPWIARDDEQGQKKWRINQRIIKLIVELMRNHDSPEALMILAGASDILLRATDGMLVDGEACTLPQLELLEVTARAVRLVIQWGESGLAVADDLSNLLKCRLPAAVQCLSHPSAHVRALSIAVLRNIIQNSMSNGSGRIQGDAPGLSDPSYQCLNLGIINWHSDVEKCLKWEARSRLATGLTLAFLSAAAKELGCPISC >Ma10_p20950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30966621:30985688:-1 gene:Ma10_g20950 transcript:Ma10_t20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding SASHGKWTNGLQFSSLFWPPPLGEQQKQAQVMAYVEYFGQFTSEEFQEDIAQLIQSHYPSKDKRLLDEVLAVFVLHHPEHGHAIIHPILSLIIDGTLAYDRNDSPFCSFISLFKQNSEKEYSEQWALACGEILRVLTHYNRPIHKVEHHNVEYERSNSDNRATTSKSKQEEANHPLQQEDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGDLKPPTTACSRGSGKHQLMQSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTNALDEHLDAALPALEPYACLFHRYYALATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGVKLPRNWMHLHFLRAIGIAMSMRVGIAADAAAALLFRILSQPTLLFPPLRHAEGIKVHHEPVDGYISPYKRQIEASICDATIEATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSAVVDLPEIVVAAPLQPPPLSWNLYLPLLKVLEYLPQGSPSEACLMRIFVATVETVLRRTFPSENSEQPRKPRGHGSMWSTTKNLAVAELHTMIHSLFLESCASMDLASRLLFVVLTICVSHDALPDGSKRPTGCGSHSAEEIGESETVNGEAVTSYKNRRKQGPVATFDSYVLAAICALACELQLFPLISKSRTCLESKDSARMTKVAKGNGAAHELYNSITSAVCHSRRILGILEALFSLKPSSIGTSWSYSSNEIVAAAMVAAHVSELFGRSKACMNALSVLRRCKWDTEISTRASSLYHLIDIHGKNVASIVHKAEPLEAHLVRSPAWKDDIACSSDRNSSNNFDGQDNANSESTSSSSAMLLKPEKPSLVNHIMAEASSKSIANLPVDAPALATFLTMDRNVGYNWGAQALLRNVFAEKQELCISVVSLLWHKLIAAPETKVSAESTSAQQGWRQVVDAICNVVSASPTKAVTAIVLQAEKDLQPWIARDDEQGQKKWRINQRIIKLIVELMRNHDSPEALMILAGASDILLRATDGMLVDGEACTLPQLELLEVTARAVRLVIQWGESGLAVADDLSNLLKCRLPAAVQCLSHPSAHVRALSIAVLRNIIQNSMSNGSGRIQGDAPGLSDPSYQCLNLGIINWHSDVEKCLKWEARSRLATGLTLAFLSAAAKELGCPISC >Ma08_p31440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42342771:42343133:-1 gene:Ma08_g31440 transcript:Ma08_t31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLYLDFLLRTVHALILLVFLHQIASEGLKHRGCARKDCAYQLLGHGLND >Ma02_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5327880:5329394:1 gene:Ma02_g00430 transcript:Ma02_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFTKASFTPRIVHHSLSASSPISHCSSAARTMLLPMPSFLPPARVDDLLLSVLLKHQPKRGPSLQVHSVLVITALHRNHMDHTGIRVWNTLIRHYALGCFPQEAIHLYKQMERLCCCHPLPTDTFTFSFLLKSCANLSQPCAGAQFHGLTVKKGLACNVYVDTALVNMYATCGSLVEAKRAFDEMPNKNSVSWNAMITALASWGELAFSRLLFSQMPDRNVISWTGLIDGYTRADRPLESFYLFRQMMAEGLMPTEITVLAIAPAISSLGALDKVQALHAYSEKRGLSLLDVRVENSLIDMYGKCGSMEDSYKFFEHMGSRRNLVSWTSIASGFAMHGMANEAMKLFDEMRTRNFKPNRVTFLSMLNACNHGGLVEAGLRLFISMVYYYGIEPEIKHYGCMIDLLGRAGRLKEAEDMIAGMPMVVNVVVWRTLLGGCSKHGEVEIGERVMRRIMELEKGYSGDYVVLSNMLIEAGRFDDAEGVRRLMDERNVPKVPGLSLIT >Ma04_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11818696:11819615:1 gene:Ma04_g15570 transcript:Ma04_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKREEEQVKPIMLHSPSIVPVGDDEEAASRWRSIQYLHKRRCALCCCGCCGATVVILGITILILSLTVFKVKDPTLTMNSLTLDTFRVGPGTLDNLVLFNATLVADISIKNPNVASFRFDNSTTDFYYAGETVGVAYAPQGKVSAHRTARMNVTVDVLTDRVVKQMNITTEAWTAGTELNLTSFTDIKGRVNVLGVYKRDIEVMLNCSMTLELSTTFHGFKNTDCSANVS >Ma09_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7219934:7223543:1 gene:Ma09_g10640 transcript:Ma09_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFSGNFNSIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGYTYCVVAVESVGRQIPIGFLDRVKEDFNKRYGGGKAATASANSLSREFGSKLKEQMQYCVDHSEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWLQNMKVKLIVLGIIIALILIIVLSICHGFKC >Ma09_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34803534:34828485:-1 gene:Ma09_g22930 transcript:Ma09_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEIQKAVAAYLRKKGFTNTELALQEEQNRVSFSSNSDHSVSRLENGPVRYSDGYSKLRLWAYSSLDLYKHELLRVLFPVYIHCFMDLVAGGHVHEARNFFHTFREDHESMHLRDLQKLEGILSPLHLEEIELSRSFRQNKFKIKMCEYSYDLLLQYLQKTQSFTMRGVINEHIDFEVSPGQPTSSSGDADFVTIEGRNNDLVRQINQKEIHWGVLEDSLEQHLERPVSDSEKAESANKEVNPEDNKKRPLDGGKQGIPVKMVKKDKLVNMVGKNVRSETSAVSVAPRVKPELTLPTTLVEVEQAILEDLRSRVQLNSLALPSISFYTFVNTCNRLNCSSISQDGSLVAGGFSDSSLKVWDISKIGQLFSPVGHYFASSSHDRTARIWSMERIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLVGHSSCVWTLAFSCEGTLLASGSADCTIKLWDVTASTRASRMESKSSSSNRLRLLKALPTKSTPVYTLQFSRRNLLFAAGALSKCS >Ma06_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11047683:11050746:-1 gene:Ma06_g16330 transcript:Ma06_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPARGGGVRLFGVRLTDGVGTMKKSASMGCLSSAAALSTVGASPSADPAGDHPDAAAAASGYASDDPAHSSCSSVSRKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKYFIRQSNSSRRKRRSSLFDMPIEQIPIHEEQFMAHAPPDELENLTLQPNEQQGAELLETSTAKYASEPSASIPYINSITMVPTFYPAFIPVPIPIWPPNLGTMIKEEEMSGTHEILKPVPVVPKESLNVEEVVGMSKLSIGDGHDSCTDPSALSLKLVGSSMSSPSAFHVNSSVVLPDLNQSNGSPIHAV >Ma11_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2512667:2514228:1 gene:Ma11_g03390 transcript:Ma11_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLIIGLLSAALLFPLALVSFAYIRLICRRNAPSRGGRESPTDPELGKEPEAAKGDGDVALRRFRWAEVESLTGNFAPAAVIGEGGFSTVYLACLAPDASPAAFKLQRPSERLHRAFRQELDVLIRLRHPYIVRLLGYCDDREGGVLVFEYVPNGSLHEKLHGDGGGAVLPWARRMAIAYQLAQALDYLHDGCDLQIVHGDVKASNVLLDERLEPKLCDFGSTRVGFSAAVLPRAAHPMLGSPGYVDPHYLRSGTVSKKNDIYSFGVLLLELITGSEAFDSAAERMLTAAVGPALRDPCARAGELVDRRLARAYDAAEVAAAASMAAACVGDNPSLRPSMAEVVRLLAQGASSSISAVSLASDGKADV >Ma11_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16429879:16433728:-1 gene:Ma11_g12510 transcript:Ma11_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMGEPRSSSYILLHLAIVVAAALVRGGGAATNAAFACGAGSPAAGLPFCQRGQPIRARARDLVGRLTVAEKVALLVNTAAGVPRLGIPGYQWWSEALHGVSNSGPGVRFGGAFPGATSFPQVISSAASFNATLWELMGQVVSDEARAMYNGGQAGLTFWSPNVNIYRDPRWGRGQETPGEDPAVSARYAAAYVRGLQQANGGTSHARLKVAACCKHYTAYDLDNWKGVDRFHFNAKVSKQDLEDTYDVPFKACVVEGKVASVMCSYNQVNGVPSCADSNLLRHTVRGQWRLNGYIVSDCDSVGVFYSAQHYTSTPEDAVAYALKAGLDLDCGQFLAQHTESALKQGKVSEADVDAALTHTVAVQMRLGMFDGEPSQQPFGNLGPQHVCTQAHRNLALEAARQAMVLLKNDAGTLPLSPSRLRTVAVVGPNSDATVTMIGNYAGVPCAYTSPLKGIGGYVDTVHQVGCNNVACSGEQPIGAAVEAARRADAAIVVVGLDQSIESEGRDRVGLLLPGRQQELVSEVAKACRGPTVLVLMCGGPVDVSFAKDDPNIAAILWAGYPGQAGGTAIAEVIFGAHNPGGKLPVTWYPQEYVEKVTMTNMAMRADPSTGYPGRTYRFYDGPVVYSFGHGLSYTKFTHALADTPAQVSVPFDGLRAEPLFNASEPGRTVPVTHARCDGLSVPVHVDVTNAGDRDGSHTVLVYWRPPAADGAPSKQLVAFEKVHLAAGEQVRVLLGVDVCRDLTVADGDGIRRIPLGEHSLHVGDLTHTISLQAESLRR >Ma02_p20320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26336813:26337244:-1 gene:Ma02_g20320 transcript:Ma02_t20320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSVSVALLLTLNLLFSHLATAASNGKPPEHRPRPLPRHQLTCPRDALKLGICANVLRGLLNVTLGTPPKQPCCSLLEGLVDLEAAVCLCTALKGNVLGLKLNIPVSLSLLINYCGKKVPKGFLCY >Ma02_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26336813:26337244:-1 gene:Ma02_g20320 transcript:Ma02_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSVSVALLLTLNLLFSHLATAASNGKPPEHRPRPLPRHQLTVPQEQNLPSLTGAKCPRDALKLGICANVLRGLLNVTLGTPPKQPCCSLLEGLVDLEAAVCLCTALKGNVLGLKLNIPVSLSLLINYCGKKVPKGFLCY >Ma03_p32970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34530045:34538996:1 gene:Ma03_g32970 transcript:Ma03_t32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEDASGRNTACVASSGSLRAASRGEGDDGAEEDEEGEEPRRRPICPSAAAGFEAGFGNEREVSLREWLDQPGRAVDLLQCLHIFRQIADAVSAAHAQGVVVGNVRPSCFVMSSLDRVSFIESASCSSSSDSSEDGAGSPDGFGERGATGTPESASEMPAVSACLEEAKERGEGDVGAGDRTAFPLKKILLMESIWYTSPEEATGRSGTFASDIYRLGVLLFELFCTFDSLEEKLITMSNLRHRVLPPQLLLKWPKEASFCLWLLHPQPDTRPKMSEVLHSEFLNQPRDSLEERDAAIKLKEEIEDQELLLDFLLHLQQRKKEIADRLHDTVCFLSADIEEVLHQQSILKKKSYQELDNDEHSAVGTLDKASLHPVMDEHSYSSGSRKRLRPELQNFVPEENVAEGARSETDQQIQENALSKSSRLMKNFKKLEAAYFSARCRQMKPSGKSVTKFFQVTSSGRGSMIRTEGSSVDDKVYRRGNTGETKSEWINPFLDGLRKYLAFSKLKVRADLKHGDLLNSMNLVCSMGFDRDKEFFATAGVNKKIKVFECDTILNGDRDIHYPVTEMTNTSKISCICWNNYIKSHIASSDFEGVVQVWDATRSQVFAEMREHERRVWSVDFSLADPTKLASGSDDGAVKIWNINQAGSVCTVKTKANVCSVHFQPDSAYSLAIGSADHKIYCYDLRNLRIPSCTLADHMKTVSYVKYLDSSTIVSASTDSSLKLWDLSTSISRMIETPLQTFTGHINIKNFVGLSISDGYIATGSETNEVFVYSKAFPMPVLSYKFSIIDPISGKEVDDTSQFISSVCWRGQTSMLLAASSSGNIKFLEMV >Ma08_p32450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43146468:43151721:-1 gene:Ma08_g32450 transcript:Ma08_t32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSPSGGNKSGSMGPLEGLSRYGSAPGSFLSGLADSAIAGGGGGGGEPSAVGAGSEGMVGRFFAGESSCPTSESGCRDSAGGVGGCLQGSYRPGDLHLSAGGSLLVRHSSSPAGFFSHPLTDHGTDSIHASANRRLRSQWSFSRDSLSQISELSIPEIGENDTCCNSSDEAAGHAGQSYISGNFQLGSWEDNNSIAFSAPPNKQAKDNADDMVTGLSNIASQFSFPRTSSEMSALEKYLQVQQDSVPCRVRAKRGCATHPRSIAERERRTRISKRLRKLQDIVPNMDKQTSTSDMLELAIQHIKELQSQVQKLKQEQANCTCPGKQEKAGE >Ma08_p32450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43146468:43151721:-1 gene:Ma08_g32450 transcript:Ma08_t32450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSPSGGNKSGSMGPLEGLSRYGSAPGSFLSGLADSAIAGGGGGGGEPSAVGAGSEGMVGRFFAGESSCPTSESGCRDSAGGVGGCLQGSYRPGDLHLSAGGSLLVRHSSSPAGFFSHPLTDHGVSTTRVSANYSQTGTDSIHASANRRLRSQWSFSRDSLSQISELSIPEIGENDTCCNSSDEAAGHAGQSYISGNFQLGSWEDNNSIAFSAPPNKQAKDNADDMVTGLSNIASQFSFPRTSSEMSALEKYLQVQQDSVPCRVRAKRGCATHPRSIAERERRTRISKRLRKLQDIVPNMDKQTSTSDMLELAIQHIKELQSQVQKLKQEQANCTCPGKQEKAGE >Ma03_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:233602:237069:1 gene:Ma03_g00210 transcript:Ma03_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSRSNPTLLLLLLVGLMVALAGGLGWTGSERSSDSRNGGASRRISREAAATSAASSMEARTATKMAEAAVDDPEEVASAVHMSISNSTARRSLGYLSCGTGNPIDDCWRCDPDWHLNRKTLADCGIGFGRNAIGGRDGEFYVVTDSGDDDPVNPRPGTLRYAVVQDDPLWITFERDMVITLDQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDGVSIFGSSHVWVDHCSLSNCADGLVDAVMGSTAITVSNNYFTHHNEVMLLGHSDSYERDKSMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPTNPFAKEVTKRVDTDASLWKNWNWRSEGDLLLNGAYFTPSGAGASTSYARASSLGAKPSSMVGTLTSDAGALSCRAGTQC >Ma01_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7536215:7536984:1 gene:Ma01_g10480 transcript:Ma01_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGGNCNCGSGCSCGGGCKKYADLDEKMVNSETMILGVAPEKEHVQGPEMAAASENEGCKCGSSCSCNPCNC >Ma08_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5303149:5303939:1 gene:Ma08_g07730 transcript:Ma08_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:Projected from Arabidopsis thaliana (AT5G10400) UniProtKB/TrEMBL;Acc:Q0WRA9] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma05_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8834968:8835419:-1 gene:Ma05_g12180 transcript:Ma05_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWRNSAQGSSSPHLLYGTKRINQFNHCPCSAPTLPQYSRRSTVCHKYINAQQWNQRAEKEVS >Ma03_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4672890:4673599:-1 gene:Ma03_g06810 transcript:Ma03_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFPEEEKSKLPSNHSKSLPKLIRNACAQCHGSCLGTPSTMSSVDESLDSDLDHKQLIILEIQSRAMKAKSRPREFLFSAKLAWATSPTTGRVHMTPMRKTDGEEEGDCGGYEEDDDDESEAFFSVKSRFSCCSTDGSTELKEIRAGSILEEFRHCEGWPFGLCLRAVVLPPLPSSPSDSWMWHKRNLVSRDFTKSLAMKS >Ma10_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25213053:25218322:-1 gene:Ma10_g11830 transcript:Ma10_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSPPRARRESDEDGGKERDRPRVFDAKAKALCWQKAEVVLGRHPERWRKDPAGNVVCKRFWNCHGCICYEYDHIIPFSKGGDTTAENCQILQTRVNRLKSDKQLVDKAELEGFSCDVKFTDKELDIIEMAAYGDVIRPGNQCRCRTVAEMLGKVKLKNPLAACELPYKENQ >Ma11_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9295722:9303532:-1 gene:Ma11_g10040 transcript:Ma11_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVWDSGRRASRSLSRGVNVGNWGMEDVFAPSSTRGRSWRSRSRVDDDEEALRWAALERLPTYNRLRTGILRSVVEEGEQGRRRYQHKEVDVRKMGVSERQEFIERVFKVAEEDNERFLKKLRNRIDKVGIQLPTVEVRFEHLNVEAECHVGNRALPTLTNTARDIAESAIGLLGINLTKRTTLTILKDVSGVVQPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKTRGEISYNGYRLDEFVPQKTAAYISQNDVHVGEMTVKETFDFSARCQGVGARYDLLTELAKREKDAGILPEAEVDLFMKATAIEGVKSSLLTDYTLKILGLDICGDTIVGDEMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGETTILMSLLQPAPETFELFDDIILLSEGQIVYQGPREFVLDFFEACGFRCPERKGTADFLQEVTSRKDQEQYWADKQRPYRYISVSEFAQRFKRFHVGLRLENELSIPFDKSQSHKAALVFSKKSVSNSELLKASFAKEWLLIKRNSFVYIFKTVQLVIVALIASTVFLRTRMHTRTEDDGVTYIGALLFGLIVNVFNGFAELSIAISRLPVFYKHRDLLFYPAWVFTLPNFLLRIPISILETIVWTAMTYYTIGYAPEASRFFKQLVLVFLIQQMAAGLFRTVAGICRSMIISNTGGALSVLIIFVLGGFILPKDVIPKWWIWGFWISPLTYGYNALAVNEFLAPRWMNRRAADGRPLGRSILENASVFAEARWYWIGALALLGFSILFNLLFTFFLMYLNPIGKPQAVISEETAAEMEEDRDETRESPRIRRTNSKNDPLPRALSKRDGNNTREMMKLRMSSGGTNGLSRDTSIDTAASGVAPKRGMVLPFTPLTMSFDEVNYYVDMPPEMKDQGVAEDRLQLLRNVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRLHKEVSDEEKLKFVDEVTELVELDNLRDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSYKIIDYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLQMDFAKYYESSARYQRNKALVSELSKPAPGTNDLYFPTQYSQSPWGQFKACLWKQWWTYWRSPDYNLVRFVFTLLTALLLGSIFWRIGQKRGSATSLRIVIGAMYAAVMFVGVNNCATVQPLVAIERTVFYRERAAGMYSALPYALAQVFVEIPYVITQAVYYSLIVYAMMNFQWTAAKFFWFYFISLFSFLYFTYYGMMTVSLSPNHQVAAIFASTFYSVFNLFSGFFIPRPRIPEWWVWYYWICPLQWTVYGLIVTQYGDLESYITVPGQSDQKIKNYVKDYYGYNTDFMPVVAIVLVGFAVFFAFMFAFCIKKLNFQQR >Ma01_p01250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:870344:875715:-1 gene:Ma01_g01250 transcript:Ma01_t01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQPAPSLIDSREPLEPSIIDAEQKPVSMENLNEQPPSAEVEKNVSPDSSAIDHSRDALGQLVSPNAVGDLSASFPAYIMYSPQTQMYYYGGYENPTGEFEEYTHHFNTEGMEVGSAVAYSENHPLAYYTKHGCSPHIPYGPYYPVTMPLYSNGGDVLYYAPHHFSFSGTQCQQTAHPSMPHLSSSAPISQIDFTAPVDQEGALLAVTANSNSTCFGPRPGCHLSYGSYGRDWRIFPDGTFSVSPSPSSAVSIRLGRQIPSYGFGSFVNSYNRWYHNSGLHHDSTFGVSYPGVGSNGRSLIAAGKITKQERGNAPFGHSNGTLKFLSEQNRGPRADGSKNRANQQLSVNESDGVSCSRGVDRKLYNTLDFGTDYKDARFFIIKSYSEDNVHKSIKYGVWASTSNGNRKLNSAYIEMREQEHSYPIFLFFSVNASGHFCGVAEMIGPVDFEKSVDYWNEDKWTGQCPVKWHIVKDVPNTMFRHIILEKNDNKPVTNSRDTQEVKLEQGLEMLGIFNKHEYEESILDDFEFYEERETALRQRKACEPPTPTNSISQISNSFAQAVRF >Ma01_p01250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:870344:875715:-1 gene:Ma01_g01250 transcript:Ma01_t01250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQPAPSLIDSREPLEPSIIDAEQKPVSMENLNEQPPSAEVEKNVSPDSSAIDHSRDALGQLVSPNAVGDLSASFPAYIMYSPQTQMYYYGGYENPTGEFEEYTHHFNTEGMEVGSAVAYSENHPLAYYTKHGCSPHIPYGPYYPVTMPLYSNGGDVLYYAPHHFSFSGTQCQQTAHPSMPHLSSSAPISQIDFTAPVDQEGALLAVTANSNSTCFGPRPGCHLSYGSYGRGSLGGNFGTHGFYNFQEGLDDFDSAWFWSDWRIFPDGTFSVSPSPSSAVSIRLGRQIPSYGFGSFVNSYNRWYHNSGLHHDSTFGVSYPGVGSNGRSLIAAGKITKQERGNAPFGHSNGTLKFLSEQNRGPRADGSKNRANQQLSVNESDGVSCSRGVDRKLYNTLDFGTDYKDARFFIIKSYSEDNVHKSIKYGVWASTSNGNRKLNSAYIEMREQEHSYPIFLFFSVNASGHFCGVAEMIGPVDFEKSVDYWNEDKWTGQCPVKWHIVKDVPNTMFRHIILEKNDNKPVTNSRDTQEVKLEQGLEMLGIFNKHEYEESILDDFEFYEERETALRQRKACEPPTPTNSISQISNSFAQAVRF >Ma10_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22040140:22040948:1 gene:Ma10_g07690 transcript:Ma10_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPFGYPELPRLLIHLLFLLLHLRRLINLVFHSLGLSSLLGSEAQWNDSDIHSYHHQTSLYSLPAKLIQESLPVVQFEDLLAAHRGRHCFPESCAVCLYELEGADEVRLMSNCRHVFHRRCVDRWLDHGQCTCPMCRAPFFPEEVGFSDASFSYDEDRHYINY >Ma02_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7066680:7069911:-1 gene:Ma02_g00860 transcript:Ma02_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLLLQQQQQEAAATGPRADMLRIPGGTDPLHPPAEAASPISSLPPPDATANLDELTPAVAGNEEAEPSGVPGNRWPRKETLALLKIRSEMDVAFRGATFKSPLWEDVSKKLAEMGYKRSSKKCKEKFENVHKYYKRTKEGRAGQQDGKAYHFFSQLEAIHNRSGGGAITLSAAVSQPTPASFTAGVVGSPAARIRPSPISAVGSLPIPTPARVVVELGPHGFSSSAAAANGINFPWNSSSSSTESDEEDTEEAGENQEGRKRKRSRSSRARRQLMNFSEAIMKQVMERQEAMEQKFLEAIKKREHERMIREEEWRRQEMALLSREQELLAQERAVAASRDTAVISYLQKISGQSKPLPAATTTVSAISTRPPTMLQSHAPSLPQPPPQPRQAQTPQEQKPPVPVQPLQHHHTQSTDIEQYQPLSAKQPPVPISSETDQGILGSGSFEPPSSSRWPKAEVHALIQLWTGLESRYQEAGPRVPLWEEISANMQRLGYSRSAKRCKEKWENINKYFKKVKDNSKQRPEDSKTCPYFYQLDAIYRKKLLGHGGRGGGDGDGRGSVGVQQQQEQDPKCSPVPQERADNVVQMQHQRQTPSEAKYKNGNDSNRNGGNSKQAQTSNGGHPPSFFEEGMNKTEDIVKDPIEQRQRQEIDDPDSENLDQDDDDESSKLQYTIHFQRQDVGGGGGNAQAAAAAAAATAGSFLSLVQ >Ma04_p25800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27436057:27436356:-1 gene:Ma04_g25800 transcript:Ma04_t25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKQSKLVQTQVLKQMLKRCSSSGRGKGPPVDVPKGHFVVYVGTNRSRFIVPISYLDHPQFQGLLRQAEEEFGFHHHMGLTIPCDETVFRSLTSALK >Ma03_p27130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30611965:30614251:1 gene:Ma03_g27130 transcript:Ma03_t27130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETRSKFKRICVFCGSNSGNRTVFSDAALDLGRELVRRRIDLVYGGGSVGLMGLISRAVYDGGCHVLGVIPQALMPLEISGETVGQVKIVSDMHERKALMAQQADAFIALPDIVGGYGTMEEVMEMTTWSQLGIHDKPVGLLNVDGYYNSLLELFDNGVQEGFIKPACRHIVLSASTADELLTKMELYTPLHKEVAPRQNWEISHLGYSKAPSSP >Ma03_p27130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30611842:30614251:1 gene:Ma03_g27130 transcript:Ma03_t27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETRSKFKRICVFCGSNSGNRTVFSDAALDLGRELVRRRIDLVYGGGSVGLMGLISRAVYDGGCHVLGVIPQALMPLEISGETVGQVKIVSDMHERKALMAQQADAFIALPGGYGTMEEVMEMTTWSQLGIHDKPVGLLNVDGYYNSLLELFDNGVQEGFIKPACRHIVLSASTADELLTKMELYTPLHKEVAPRQNWEISHLGYSKAPSSP >Ma02_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28725932:28727259:1 gene:Ma02_g23920 transcript:Ma02_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQRNVGMAVDFSACSKAALRWASDNFVRGGDRVVLLHVNSCFQNEQGMAHLWHRAGSPFIPLDEFADPLIAKQYGVTPDSETLEILRHMANQRGVEVVAKIYYGDPKALLCEAIDKIPLHCLVVGSRGLSKLKRALLGSVSSYVVSNSACPVTVVKQ >Ma07_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7327274:7329573:1 gene:Ma07_g09780 transcript:Ma07_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQAVDATIAECVAQAQSDSVEARTSALTTLSALTRLSSHNRDLLAHTQHALPLLLGLSHSEPTIPLALSVLLHLSLNPNLKQPLAAVPGFVPRLNSLVLSPSASTQAVKLAASLICSLAMHDKNKAPLGVAGAVRTVVEALGCFAARPHVLSSLAELVQFHGNCTLAVRAGAVPVLARIVGGPAEDLGATCVVVLARMARFEEGIQAIREVEGVVGTLVDWLRRGCMASKESAMEVLARLFEERDELMREAAGRDDFSSLLADLSIGGSTKLREKAGLLMKMMESSDLDLDWDVEGKPARGGNSMRLEDSGISNTPSMQQSFHPPQCLLMPVMVSQNVRLGPCMSGVSAHNGKEVRTAMDMIDDEGRFRSSIRSSSEVND >Ma06_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15267425:15287645:1 gene:Ma06_g21140 transcript:Ma06_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPEGALSFDFEGGLDSAAPAAAAAPLAPADPTAAAATAGAVPHSGAADPIAVAAGNVPGRRSFRQTVCRHWLRGLCMKGDACGFLHQYDKERMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVLQKIQHLGSFGYGSSNRFYHHRNTNYNQQLDKNQLSSAPGLHNQNTAVKPASSFEPSDVKPSQSLVVQQSHQQQQQQQQQYQQQQQQQQQQQQQQQQQQQQQPPPPPTENQVPGIMNVSSNQSTRTASPLPQGQSRYFIVKSCNRENLEISVQQGVWATQRSNEPKLNEAFESTENVILIFSINKTRHFQGCAKMTSRIGGLVGGGNWKYSHGTAHYGRNFSVKWLKLCELSFNKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDGELMAMLIAAESKREEEKAKGVGADEAADNPDIVLFEDNEEEEEEESEEEDESSGQATQGRGRGRGMMWQPHMPLVRGVRPVVGVRGFPPVMMGADGFGYADGFAAPDLFGPRIFPPFGGPRFSGDFSAGHMSGLVFPGRPPQPGAVYPMGNLGMMMGPGRAPYMGGMPMAGIGRSNRPVGVPPFLHPPPPLNNRAAKRDHRRPVSDRNDRYEAGSDQGNRGQEMVGNVGGVDDDTGYWQGEKAPEDRYGAGRSLQNDESESEDEMAPRRSRHGNGKRKHRVSEGEAALD >Ma01_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2611279:2614465:1 gene:Ma01_g04000 transcript:Ma01_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFPYRWRQAWNDWDLRSFILMSLTLQMILIFSGSHRNHVVSRWISFILWSAYLLADWVATFALGIFSNNHTGSDCASSSHSTLNEDRLAFWSPFLLLHLGGPDTITAFSLEDNELWMRHLMGLVFQVAVAFYVFVGSLPETRLTAPAAMMFLAEILKSGEKSWSLMSASMDSLRNSMVEPPDPGTNFAKPWKPNTSEDPISPVMMVTKAHQYFHTFKRLMVDLIILSLHDRNDSQSFFLKRSPIQASKVIETELSFVYEVLYNKSSLPHTVAGPWLRFTRFFSIPTAFLLFLFTQKHGYEEIDIIITCTLLVRALVLEIYSVALLAFSNWAFTVPHFQLRLRYKLFLAATEQAEMNKSISEEENLAGTSRWNSTKAFCCGTLPLISATNPTAPNRVCRITCLTSRCMIRHGDEHLCRGNEVSARADEAGACRMVHGVEIGSPPVDMKGDRSKSVVFDACKLAKDLLQLEEEVS >Ma02_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:1281103:1281990:1 gene:Ma02_g00120 transcript:Ma02_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTCTCAIKVSLLVILGYDNCTSLLYRFLNQFNSTIDGLKNKWVFKVHCYFFLVSSWRELVLGAGFHVKKAGFLFIMEPSHYKQRSPIFIKESS >Ma02_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15099082:15108926:-1 gene:Ma02_g03660 transcript:Ma02_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGRAEMEVGADGVALITIVNPPVNSLSIDVLLCLKDNYEQALSRDDVKAIVITGAKGKFSGGFDITAFGGVQGGSTEQPKIGYISIDLLTDTLEGARKPSVAAIDGLALGGGLEVAMACHARISTSTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKGLEMILLSKPVKGEEAYKLGLVDALVPRDELVKTARLWALEIAACRKPWIRSLYKTDKLESLGDAREILKFARAQAQKQAANLQHPLVCIDVIEEGIVSGPRAGLWKEAHSFQTLLLSETCKSLIHVFFAQRATSKVPGITDLGLMPRKITKVGILGGGLMGSGIATALILSSYPVILKEVNENFLKAGIDRVKANLQSRVRKGKMTQDKCERTLSLLTGVLDYESFKDVDLVIEAVIENVSLKQQIFADLEKYCSPNCVLATNTSTIDLNLVGEKTKSRDRIVGAHFFSPAHVMPLLEIVRTHETSPQVVVDLLDVGKKIRKTPIVVGNCTGFAVNRMFFPYTQSALLFVDYGLDVYKIDRACTKFGMPMGPFRVADLVGFGVAVATGMQYLQSFPERVYKSMLMSIMVEDKRTGEATRKGFYKYDGKRKASPDPELMKYIEKSRNMAGVTPNPELMKLPDKDIVEMVFFPVVNEACRVLDEGIAVKASDLDIASIMGMGFPPYRGGIMFWADTLGANYIFERLEAWSKMYGDFFKPCSYLTERAAKGIPLSAPANQTRARL >Ma06_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17179308:17180612:-1 gene:Ma06_g22150 transcript:Ma06_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMMPPAAAAQEVIAVGVDEGEMEPLLAENPDRFCMFPITYPSIWEFYKKAVASFWTAEEVDLSQDLSHWQDRLSADEHHFISHVLAFFAASDGIVLENLAARFMRDVQLPEARAFYGFQIAIENIHSEMYSLLLDTYIKDPGEKSRLFHAIDTVPPVARKAEWALRWIESSVSFAERLVAFACVEGVFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRNKLSEYRVREIVADAVDIEREFVCNALPVALVGMNGDLMSQYIEFVADRLLGALGYEKLYGAANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLKGNGAIHVFKLDEDF >Ma10_p26560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34200760:34207022:-1 gene:Ma10_g26560 transcript:Ma10_t26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYENDPYMDEDGEPLMDPDMPSDREPSPEPAYPIEDEDEDEGDWRRRERSPTPVMSSMADGKGGKPRKRLVKKTGSPAPAFGEEGLDDWEDEGLSSKKMRKTSPSLKEGKGGSWKKEKGQSSSSKGDWSSAKVSKSAPKGYGGGSRGQEADPEMEELWNTIAGGDSEDDQEGVRTVDDDNFIDDSGVDAADRYGSDNEPAFAGDAPQAEEGEEDDEIKQLFKGGKKKKKNEKSPAEIALIVEHLMAELEVTAEEDAELNRQNKPAINKLRKLPLLIEVLSKKKLQQEFLDHGVLTLLKNWLEPLPDGSLPNMNIRTAILKLLSDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRSFEDERAPYRRPSSQKQVAKATGLQSRDDDVDLAEFSQGRKSGNAAARQHASRPEALPLDFVVRPQSKVDPEEVRARAKQVVQDQRRLKMNKRLQQLKAPKKKQLQASKLSVEGRGMVKYL >Ma08_p28090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40128116:40137851:-1 gene:Ma08_g28090 transcript:Ma08_t28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALASKLFLCLSTSLLLLLFTFPCSTASSFPRLSLRKQASILVSIAQSFHTSDDFFRSWSFSNHAAVCAWNGVRCDDARRAVIELDVSNLNISGFLPPAIAELKSLASLSVSGNSFSGEFPPGISKLSGLRHLNISNNHFNGTMDWSFSGMMGLEVLDAYNNDFVGSLPVALTGLPMLRHLDLGGNYFSGTIPAAYGGFRAIRFVSLAGNDLGGRIPPQLGNLTTLEQLYLGYYNEFDGGIPAELGRLAELVHLDLSSCGLEGGIPHQLGNLTKLDTLFLQTNQLTGTVPPHLGNLSNLRYLDISNNALTGEIPEEFSALRELKLLHMFINRFHGEIPVFVAELPHLEVLKLWQNNFTGVIPPSLGRNGVLRELDLSTNKLTGLVPRALCSGRKLEILILLNNFLFGPLPDDLGECTTLGRVRMGQNYLTGAIPGGFLYLPELSLLELQNNYLTGTMAEEPAKRPERLGQLNLSNNRLSGPLPASVGNFSALQILLLSGNQFTGEIPSQVGLLRHVLKVDVSKNNFTGRIPPEIGDCFLLTYLDLSQNHLSGPIPSRLSQTRILNYLNVSWNRLNGSIPKEIGSMKSLTSADFSHNDFSGRVPESGQFAYFNASSFLANPQLCGSILSSPCNISASSQFQYDQHQSFKSQLPGRSKLLLALGLLICSMSFAVTVAIKTRSMMKRNSKSWKLTAFQKLEFESEDIVECLKDNCIIGRGGAGIVYRGTMPSGEEVAVKRLLGISKGSTHDNGFSAEIQTLGKIRHRNIVRLLAFCSNKESKLLVYEYMPNGCLGELLHGKRGGYLSWQMRLRIAIEAAKGLCYLHHDCRPPILHRDVKSNNILLDANFEAHVADFGLAKYLRDTGASECMSAIAGSYGYIAPAKGVGRVGGDEEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDLVQWTRMSTNWSKEGVVRILDPWLSNVPLEEATQVFFVAMLCVQEHSVERPTMREVVQMLEQAKPSYGFHAR >Ma08_p28090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40128116:40137590:-1 gene:Ma08_g28090 transcript:Ma08_t28090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALASKLFLCLSTSLLLLLFTFPCSTASSFPRLSLRKQASILVSIAQSFHTSDDFFRSWSFSNHAAVCAWNGVRCDDARRAVIELDVSNLNISGFLPPAIAELKSLASLSVSGNSFSGEFPPGISKLSGLRHLNISNNHFNGTMDWSFSGMMGLEVLDAYNNDFVGSLPVALTGLPMLRHLDLGGNYFSGTIPAAYGGFRAIRFVSLAGNDLGGRIPPQLGNLTTLEQLYLGYYNEFDGGIPAELGRLAELVHLDLSSCGLEGGIPHQLGNLTKLDTLFLQTNQLTGTVPPHLGNLSNLRYLDISNNALTGEIPEEFSALRELKLLHMFINRFHGEIPVFVAELPHLEVLKLWQNNFTGVIPPSLGRNGVLRELDLSTNKLTGLVPRALCSGRKLEILILLNNFLFGPLPDDLGECTTLGRVRMGQNYLTGAIPGGFLYLPELSLLELQNNYLTGTMAEEPAKRPERLGQLNLSNNRLSGPLPASVGNFSALQILLLSGNQFTGEIPSQVGLLRHVLKVDVSKNNFTGRIPPEIGDCFLLTYLDLSQNHLSGPIPSRLSQTRILNYLNVSWNRLNGSIPKEIGSMKSLTSADFSHNDFSGRVPESGQFAYFNASSFLANPQLCGSILSSPCNISASSQFQYDQHQSFKSQLPGRSKLLLALGLLICSMSFAVTVAIKTRSMMKRNSKSWKLTAFQKLEFESEDIVECLKDNCIIGRGGAGIVYRGTMPSGEEVAVKRLLGISKGSTHDNGFSAEIQTLGKIRHRNIVRLLAFCSNKESKLLVYEYMPNGCLGELLHGKRGGYLSWQMRLRIAIEAAKGLCYLHHDCRPPILHRDVKSNNILLDANFEAHVADFGLAKYLRDTGASECMSAIAGSYGYIAPAKGVGRVGGDEEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDLVQWTRMSTNWSKEGVVRILDPWLSNVPLEEATQVFFVAMLCVQEHSVERPTMREVVQMLEQAKPSYGFHAR >Ma08_p28090.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40128116:40137851:-1 gene:Ma08_g28090 transcript:Ma08_t28090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALASKLFLCLSTSLLLLLFTFPCSTASSFPRLSLRKQASILVSIAQSFHTSDDFFRSWSFSNHAAVCAWNGVRCDDARRAVIELDVSNLNISGFLPPAIAELKSLASLSVSGNSFSGEFPPGISKLSGLRHLNISNNHFNGTMDWSFSGMMGLEVLDAYNNDFVGSLPVALTGLPMLRHLDLGGNYFSGTIPAAYGGFRAIRFVSLAGNDLGGRIPPQLGNLTTLEQLYLGYYNEFDGGIPAELGRLAELVHLDLSSCGLEGGIPHQLGNLTKLDTLFLQTNQLTGTVPPHLGNLSNLRYLDISNNALTGEIPEEFSALRELKLLHMFINRFHGEIPVFVAELPHLEVLKLWQNNFTGVIPPSLGRNGVLRELDLSTNKLTGLVPRALCSGRKLEILILLNNFLFGPLPDDLGECTTLGRVRMGQNYLTGAIPGGFLYLPELSLLELQNNYLTGTMAEEPAKRPERLGQLNLSNNRLSGPLPASVGNFSALQILLLSGNQFTGEIPSQVGLLRHVLKVDVSKNNFTGRIPPEIGDCFLLTYLDLSQNHLSGPIPSRLSQTRILNYLNVSWNRLNGSIPKEIGSMKSLTSADFSHNDFSGRVPESGQFAYFNASSFLANPQLCGSILSSPCNISASSQFQYDQHQSFKSQLPGRSKLLLALGLLICSMSFAVTVAIKTRSMMKRNSKSWKLTAFQKLEFESEDIVECLKDNCIIGRGGAGIVYRGTMPSGEEVAVKRLLGISKGSTHDNGFSAEIQTLGKIRHRNIVRLLAFCSNKESKLLVYEYMPNGCLGELLHGKRGGYLSWQMRLRIAIEAAKGLCYLHHDCRPPILHRDVKSNNILLDANFEAHVADFGLAKYLRDTGASECMSAIAGSYGYIAPAKGVGRVGGDEEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDLVQWTRMSTNWSKEGVVRILDPWLSNVPLEEATQVFFVAMLCVQEHSVERPTMREVVQMLEQAKPSYGFHAR >Ma08_p28090.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40128116:40137851:-1 gene:Ma08_g28090 transcript:Ma08_t28090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALASKLFLCLSTSLLLLLFTFPCSTASSFPRLSLRKQASILVSIAQSFHTSDDFFRSWSFSNHAAVCAWNGVRCDDARRAVIELDVSNLNISGFLPPAIAELKSLASLSVSGNSFSGEFPPGISKLSGLRHLNISNNHFNGTMDWSFSGMMGLEVLDAYNNDFVGSLPVALTGLPMLRHLDLGGNYFSGTIPAAYGGFRAIRFVSLAGNDLGGRIPPQLGNLTTLEQLYLGYYNEFDGGIPAELGRLAELVHLDLSSCGLEGGIPHQLGNLTKLDTLFLQTNQLTGTVPPHLGNLSNLRYLDISNNALTGEIPEEFSALRELKLLHMFINRFHGEIPVFVAELPHLEVLKLWQNNFTGVIPPSLGRNGVLRELDLSTNKLTGLVPRALCSGRKLEILILLNNFLFGPLPDDLGECTTLGRVRMGQNYLTGAIPGGFLYLPELSLLELQNNYLTGTMAEEPAKRPERLGQLNLSNNRLSGPLPASVGNFSALQILLLSGNQFTGEIPSQVGLLRHVLKVDVSKNNFTGRIPPEIGDCFLLTYLDLSQNHLSGPIPSRLSQTRILNYLNVSWNRLNGSIPKEIGSMKSLTSADFSHNDFSGRVPESGQFAYFNASSFLANPQLCGSILSSPCNISASSQFQYDQHQSFKSQLPGRSKLLLALGLLICSMSFAVTVAIKTRSMMKRNSKSWKLTAFQKLEFESEDIVECLKDNCIIGRGGAGIVYRGTMPSGEEVAVKRLLGISKGSTHDNGFSAEIQTLGKIRHRNIVRLLAFCSNKESKLLVYEYMPNGCLGELLHGKRGGYLSWQMRLRIAIEAAKGLCYLHHDCRPPILHRDVKSNNILLDANFEAHVADFGLAKYLRDTGASECMSAIAGSYGYIAPAKGVGRVGGDEEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDLVQWTRMSTNWSKEGVVRILDPWLSNVPLEEATQVFFVAMLCVQEHSVERPTMREVVQMLEQAKPSYGFHAR >Ma08_p28090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40128116:40137851:-1 gene:Ma08_g28090 transcript:Ma08_t28090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALASKLFLCLSTSLLLLLFTFPCSTASSFPRLSLRKQASILVSIAQSFHTSDDFFRSWSFSNHAAVCAWNGVRCDDARRAVIELDVSNLNISGFLPPAIAELKSLASLSVSGNSFSGEFPPGISKLSGLRHLNISNNHFNGTMDWSFSGMMGLEVLDAYNNDFVGSLPVALTGLPMLRHLDLGGNYFSGTIPAAYGGFRAIRFVSLAGNDLGGRIPPQLGNLTTLEQLYLGYYNEFDGGIPAELGRLAELVHLDLSSCGLEGGIPHQLGNLTKLDTLFLQTNQLTGTVPPHLGNLSNLRYLDISNNALTGEIPEEFSALRELKLLHMFINRFHGEIPVFVAELPHLEVLKLWQNNFTGVIPPSLGRNGVLRELDLSTNKLTGLVPRALCSGRKLEILILLNNFLFGPLPDDLGECTTLGRVRMGQNYLTGAIPGGFLYLPELSLLELQNNYLTGTMAEEPAKRPERLGQLNLSNNRLSGPLPASVGNFSALQILLLSGNQFTGEIPSQVGLLRHVLKVDVSKNNFTGRIPPEIGDCFLLTYLDLSQNHLSGPIPSRLSQTRILNYLNVSWNRLNGSIPKEIGSMKSLTSADFSHNDFSGRVPESGQFAYFNASSFLANPQLCGSILSSPCNISASSQFQYDQHQSFKSQLPGRSKLLLALGLLICSMSFAVTVAIKTRSMMKRNSKSWKLTAFQKLEFESEDIVECLKDNCIIGRGGAGIVYRGTMPSGEEVAVKRLLGISKGSTHDNGFSAEIQTLGKIRHRNIVRLLAFCSNKESKLLVYEYMPNGCLGELLHGKRGGYLSWQMRLRIAIEAAKGLCYLHHDCRPPILHRDVKSNNILLDANFEAHVADFGLAKYLRDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDLVQWTRMSTNWSKEGVVRILDPWLSNVPLEEATQVFFVAMLCVQEHSVERPTMREVVQMLEQAKPSYGFHAR >Ma05_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2331859:2333061:1 gene:Ma05_g03290 transcript:Ma05_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWGNNHHHEQQRQQHLRVNELSKNIRKTLPHHPTCYYSDQKPPQQQQKPQPQVYNISKKDFRSIVQQLTGTPSRDSSPVPNPHPHPHPRPRPSSTRLQKIRPPPLAAIPRPPPPSLTAAAARLPPPYYPPIPYQSPSFNLPPAPVPHPNWDPSSFPRPFISAGAAGPAWAESPVSTYMRYLESSLVSSDTSRQPHQPPLPSPGLLPPLPLPSPRTTARLPPPPTTPSASALPSPSTFLNMLSPKSPYPLMSPGFQYPPPLTPNFALSPLGQSEMWGAGPGPGPRPPPSPGLWFPPSPSGFLPILSPGWRDML >Ma02_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17580148:17580383:1 gene:Ma02_g06630 transcript:Ma02_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSRAAQLGDSKSGERQIHSLLLPLFHWLDLAAKTSFLSAYC >Ma03_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6534349:6534742:-1 gene:Ma03_g08880 transcript:Ma03_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLGTIFEQRAELSCQPTTRFLDAQEWKTTLITVHARTPSNNTWLRRIRRVSNGESSQCNTKIIALFLLAEMSGIRRNSTKTLMQRWTN >Ma05_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1286792:1289334:-1 gene:Ma05_g02040 transcript:Ma05_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPPDGSSSNSSDSSSSSSPPTSSNASPPPPTDSTTPPPPDSDDNSSSPPPPSDSDGSHRSSSPPPPPPPPPPPPPPPPSRSHKSSSSSKLPVIIGVVAGIGMFFVLMIIACICCSKKKKRKSHNPMKYYANDSGYDSGLYSNGPHPNWHNGLQGMDHVVKVPPPPGSFHGGGGWHVQPGPTMMSSGEVSSAYSGPHGPALPPPSPIVALGFNKSTFSYEELAAATNGFSHANLLGQGGFGYVHKGVLPNGKDIAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCSAGSQRMLVYEFVPNKTLEHHLHGKGLPVMNWPTRLKIALGSAKGIAYLHEDCHPRIIHRDIKSANILLDFKFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFAVMLLELITGRRPVDNSDDFMDDSMIDWARPILAQALAEGHYDELADPRLGGNYDPMEMARMVACAAAGVRHSARRRPKMSQIVRALEGDVSLEDLNEGVRPGQSTLFSSGSDYESSPYTSSGNRVRRVVVASSEYSAEYSGPSSGGHSGEMRPVESQRQRALPQL >Ma07_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11418620:11419545:-1 gene:Ma07_g15180 transcript:Ma07_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTVTLKDNACDVAEMSVLSATVTLTEKNEKKYTIFFIIDTYLTEDEAEKLVHSQTSAGIVKVKDSHAMPEPSALDREGVVLNHEEAPLDVDIKNGGCVVVLNTKNLPLIGEVGLGADLVRLNGHAMCSPGFSCDSAFQVTYVVRGSCRVQVVGVDGKRVLETKVEGGCLFIVPRFFVVSINGSMPRAKGIKIQYY >Ma07_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11016159:11017028:1 gene:Ma07_g14610 transcript:Ma07_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMGLERGKSITIQSAATYCTWNGYQINIINTPATRISPLTESIQPANQGTSTGGATRQAKL >Ma10_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24729368:24729838:1 gene:Ma10_g11120 transcript:Ma10_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSSIELEPRTLTYAELQNAREAAMLILSSKSLEEAIKIFTEGVKPVPCIKDKTYINHVDNDDEEEEEDDDEMMDYLEMEQTYRPSF >Ma04_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16319658:16321013:-1 gene:Ma04_g16640 transcript:Ma04_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHRCRFCRRRFSGGRALADHMRSHVTSASKMARQAFPSPSASSSSFPVAAEDGPAVAVAYRLRENPRKSFRLADSEFSTPGAAAEGVAGGSYSVVQDGESDAESSFRRRFGRPRLQEDAFADARPVSSISNTSTEEDVARCLMLLSRDARPKSEARGHQSNGCVEANGDQVEAIYYDDEVVEKKDPPVATRSRRKKTRYQCSTCRKFFRSYQALGGHRASHKRVGLECITTADADADAADREPMLFDCPYCYRVFSSGQALGGHKRSHLSSAIDVATKVPALLPPPQLSALAINDDFIDLNVPAPLEEEPDHSALSVATEFASK >Ma03_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1107975:1109116:-1 gene:Ma03_g01610 transcript:Ma03_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRDRRKLQTVREKQIMGRSEIEERCRRHPEHRQSKGVCPFCLRDRLSQLAASSSASTTLASSATSSSPDPNLSSAASSPPNQFPTARLLKHRPLKKSRSLAFMIGRPGDENNGKKREVGKEKEKKRRKEGSFWSKLLIGSDRRKEVDDKILHSRTMKDKDCY >Ma04_p27030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28293930:28301013:-1 gene:Ma04_g27030 transcript:Ma04_t27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPCRGTSVPQLGTQPQAICRNVSSGAKGCHFTNRVLMPQTGTSGNYLASSRASFLAQVSSSALFNDGPRLNNRRNKTARLVVRADADYYSVLGVSRNASKSEIKSAYRKLARSYHPDVNKEPGAEQKFKDISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGPRAARNRPIQGDDESYNLVLNFKDAIFGIEKEIEITRLENCGTCDGSGAKPGTKPSKCSTCGGQGQVVSSVRTPLGVFQQVMTCSSCSGTGEVSTPCNTCRGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIEVLSDPVLKRDGTNILYTCKVSYIDAILGTTMKVPTVDGLVDLKIPAGTQPGTTLVMAKKGVPYLGKANTRGDQLVRVQVEIPKRLSSEEKKLIEELANLSKSKTANSRR >Ma06_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9534825:9538968:1 gene:Ma06_g13900 transcript:Ma06_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDPIALDLVDFLNASPTNFHAVDEAKKRLKQAGFAHLSEREDWVLESGGKYFFTRNHSTIIAFAIGKKYVAGNGFHIVGAHTDSPCLKVKPVSKVTKGGYLEVGVQTYGGGLWHTWFDRDLTLAGRVIKKEVKEHSVTYSHTLVRIEEPILRIPTLAIHLDRSVTEGFKFNTHSHLVPVLATSIKGELQKLVDHNSSSESNEKTNADNKKHHPVLLQLIADHAHCHPDEICDFELQVCDTQPSVVGGAMKEFIFSGRLDNLCMSFCALKALIDSTSVESSLGDETGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITKYFNSSDPTLLEKSIHRSFLVSADMAHALHPNFMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAEMHNLPIQDFVVRNDIPCGSSIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDAKHSYEHLKAYFDEFTELDNKLIVDC >Ma06_p35160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35111358:35112499:1 gene:Ma06_g35160 transcript:Ma06_t35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTDGLDHLSFWHAWIDHGVGESQILNARLSLAVPKDCNDVKYVLKVCVYSKIVISC >Ma06_p22500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18476208:18491214:1 gene:Ma06_g22500 transcript:Ma06_t22500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVDLPSLSKFGFWSSMQSGGIKLPLDSHPSLHNSNIKGTKRKWNDNTGVEGSENPLLALGLGQSPSSSVVSKMSSAGLSTTSSVKETDEESPANPEFSFKLHIGNGYKLSYNKSSVGTLKAPHTVDMLNLQLSLSTGSSESALIDVNTIAGQHLYSFESSVIASPVQISEEEGSASSCWIFGKCLVQPCVPNPETGSSNFPPQKRMHTEADPIAVLPDFPSTMTQMSKNPVACSSGISDSHKRNNRTKYCQFHGCTKGARGASSLCIAHGGGRRCQRPECQKGAEGRTIYCKAHGGGRRCQHLGCTKSAEGRTDYCIAHGGGRRCSHLSCTRAARGKSGLCIRHGGGKRCQIKNCTKSAEGSSGLCISHGGGRRCHFHGCTKGAQGSTLFCKAHGGGKRCTVVRCTKGAEGSTPFCKGHGGGKRCSFQGGGVCPKSVHGGTSFCVAHGGGKRCAVAGCPKSARGRTSFCVRHGGGKRCKFEGCGKSAQGSTDFCKVHGGGKRCTWSQLGSKFDVGETLCDKYARGKTGLCAAHSALVQDHCVHGGGMLGPPTTQYPVPVKLEKMNDVAAEEETFTKLELKKVVHSALQQSGSSGPEVWVRGGGLVGMIASSSGFWD >Ma06_p22500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18476208:18491214:1 gene:Ma06_g22500 transcript:Ma06_t22500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVDLPSLSKFGFWSSMQSGGIKLPLDSHPSLHNSNIKGTKRKWNDNTGVEGSENPLLALGLGQSPSSSVVSKMSSAGLSTTSSVKETDEESPANPEFSFKLHIGNGYKLSYNKSSVGTLKAPHTVDMLNLQLSLSTGSSESALIDVNTIAGQHLYSFESSVIASPVQISEEEGSASSCWIFGKCLVQPCVPNPETGSSNFPPQKRMHTEADPIAVLPDFPSTMTQMSKNPVACSSGISDSHKRNNRTKYCQFHGCTKGARGASSLCIAHGGGRRCQRPECQKGAEGRTIYCKAHGGGRRCQHLGCTKSAEGRTDYCIAHGGGRRCSHLSCTRAARGKSGLCIRHGGGKRCQIKNCTKSAEGSSGLCISHGGGRRCHFHGCTKGAQGSTLFCKAHGGGKRCTVVRCTKGAEGSTPFCKGHGGGKRCSFQGGGVCPKSVHGGTSFCVAHGGGKRCAVAGCPKSARGRTSFCVRHGGGKRCKFEGCGKSAQGSTDFCKVHGGGKRCTWSQLGSKFDVGETLCDKYARGKTGLCAAHSALVQDHCVHGGGMLGPPTTQYPVPVKLEKMNDVAAEEETFTKLELKKVVHSALQQSGSSGPEVWVRGGGLVGMIASSSGFWD >Ma06_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18476216:18491214:1 gene:Ma06_g22500 transcript:Ma06_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVDLPSLSKFGFWSSMQSGGIKLPLDSHPSLHNSNIKGTKRKWNDNTGVEGSENPLLALGLGQSPSSSVVSKMSSAGLSTTSSVKETDEESPANPEFSFKLHIGNGYKLSYNKSSVGTLKAPHTVDMLNLQLSLSTGSSESALIDVNTIAGQHLYSFESSVIASPVQISEEEGSASSCWIFGKCLVQPCVPNPETGSSNFPPQKRMHTEADPIAVLPDFPSTMTQMSKNPVACSSGISDSHKRNNRTKYCQFHGCTKGARGASSLCIAHGGGRRCQRPECQKGAEGRTIYCKAHGGGRRCQHLGCTKSAEGRTDYCIAHGGGRRCSHLSCTRAARGKSGLCIRHGGGKRCQIKNCTKSAEGSSGLCISHGGGRRCHFHGCTKGAQGSTLFCKAHGGGKRCTVVRCTKGAEGSTPFCKGHGGGKRCSFQGGGVCPKSVHGGTSFCVAHGGGKRCAVAGCPKSARGRTSFCVRHGGGKRCKFEGCGKSAQGSTDFCKVHGGGKRCTWSQLGSKFDVGETLCDKYARGKTGLCAAHSALVQDHCVHGGGMLGPPTTQYPVPVKLEKMNDVAAEEETFTKLELKKVVHSALQQSGSSGPEVWVRGGGLVGMIASSSGFWD >Ma07_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9401922:9403328:-1 gene:Ma07_g12500 transcript:Ma07_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLGTHGFVLLTLLFAASLFQRSFGGRTLSALVEEQPLAMTYHKGALLTGNVSVNLVFYGKFTAYQRAIISDFVASLSPLPRRKQYVEPSVATWWKTLAKYYATSRTPLPRLRLGKQVLDETYSLGRSLRDSDLAKLAARGAPRDAINVVLTAEDVAVGRFCMSRCGSHGASPQSRASGRFAYIWVGNSATQCPGQCAWPFHQPLYGPQTPPLVAPNGDVGVDGMVINLASMLAGAATNPFGDGFFQGPREAALEAATACPGVYAKGAYPGYAGDLLMDPATGASYNAHGVHGRKFLVPALFDPSTSTCSTLV >Ma00_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29375365:29377067:-1 gene:Ma00_g03470 transcript:Ma00_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKLELHDYKDKQKAMKAVSTLRGINSIAIDIKEKKMTVIGSVDPINVVSKLRKQLQTDVVSIGAAKEEKKEEPKEVPKKEEVNKEEETKEEKKEEKKEEPKKEEEKKEETKKEEEKKEETKKEEEPKEEERKEPAKEEKEPHEQIMAELVNAYRAYHNPHVYHNPHMTRHYHHYYAQSSEENPNSCSML >Ma00_p03470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29375365:29377067:-1 gene:Ma00_g03470 transcript:Ma00_t03470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVLKLELHDYKDKQKAMKAVSTLRGINSIAIDIKEKKMTVIGSVDPINVVSKLRKQLQTDVVSIGAAKEEKKEEPKEVPKKEEVNKEEETKEEKKEEKKEEPKKEEEKKEETKKEEEKKEETKKEEEPKEEERKEPAKEEKEPHEQIMAELVNAYRAYHNPHVYHNPHMTRHYHHYYAQSSEENPNSCSML >Ma07_p00290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:260433:261339:1 gene:Ma07_g00290 transcript:Ma07_t00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDKMWDDVLAGPPPERGLGKLRKVSTKPLNVKEGESSGGMYQRSMSMPQTPTTPVTPTSANAHTPRHDVWRSVFNPGSNAATKSLGADLFDKPKPNSPTVYDWYQIVSYHYTYPSLYYTLFMSWFLLPRALFLLCRLYSGETKSTHR >Ma07_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:260433:261339:1 gene:Ma07_g00290 transcript:Ma07_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDKMWDDVLAGPPPERGLGKLRKVSTKPLNVKEGESSGGMYQRSMSMPQTPTTPVTPTSANAHTPRHDVWRSVFNPGSNAATKSLGADLFDKPKPNSPTVYDWLYSGETKSTHR >Ma03_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1051249:1053755:1 gene:Ma03_g01470 transcript:Ma03_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRTFMGNYSDYVLAKAAWVEAQYAAEGNCQSCLLEHFTQIINIYHSENKNILFSEVNLRKLEKLQEGQVEKPFERKQLKIRFPERGRSGRTLLMVKNLNFGYGDNLLFEKANLLVERGEKIAIIGPNGCGKSTLLKLIMGFKKPQGVDVLLGEHNVLPNYFKQNQAEALDLEKTVLETVEEATADWRIDDIKDLLGHCNFKADMLDRKADQINVFYVQIIRLKSMDLYICMAICTHLFMLLYSSFLVNYSASVCRISCTKELFVC >Ma02_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20383419:20384008:-1 gene:Ma02_g11010 transcript:Ma02_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEEAPSFKLFGTVILKGDGLGKEEEAAQPATETAGVVEAVEPEEALPCPRCKSKKTKFCYFNNYNVNQPRHFCKACHRYWTAGGALRNVPVGAGRRRGCPTNRRSTWTGRRQGGGS >Ma10_p26330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34072495:34078743:-1 gene:Ma10_g26330 transcript:Ma10_t26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAMATASAQLHLHLAADFFDHLGSKDVTRRIRGPRLRPRFDRNPATYDGLSFLGPRICRQRAIPPLPCRSFRSENSGEESDGRMAVVKEERESRQLKRKGALYSFKSMLVTLSGSDSRPAGQYRKYVEKTEEIFFSFITQLGRYLVTMMSTEVILATGFQLSGGDSQMNTLIWYSWLGGIIIGTMIGANMVLEEHCKAGPRNVVVTGSTRGLGKALAREFLLSGDRVVIASRSPESVHQTVEELRENLKEGISVSGNKARKNLSHAMVVGIACDVCKPEDVRKLANFAVAELGSVDIWINNAGTNKGFRPLLQFTDDDIDQIVSTNLVGSLLCTREAIRVLGSQGKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQASLFKECKRSKVGVHTASPGMVLTDLLLSGSTLRNKQMFNIICELPETVARTLVPRMRVVKGNGKAINYLTPPRILLALVTAWLRRGRWFDEQGRALYAAEADRIRNWAESRARFSFTDAMEMYAENTWVSVFSLSVVCAFIMLSSSGTAFPGT >Ma03_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23472513:23475787:-1 gene:Ma03_g17840 transcript:Ma03_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFALHHQLQTLPAWLLFAAAVGLLALLRSSIAALRWAFVTFLRPGKDVRRYGSWAVVTGSTDGIGRAFALQLARKGLNLVLVGRNPDKLRDVSDAIRARHPAASVETVVVDLAGDLAEGVARLQKAVQGLDVGILVNNAGVSYPYARFFHEVDEELLRSLIKVNVEGVTRVTQAVLPGMLERKRGAIVNIGSGAAIVIPSEPLYGVYAATKAYIDQFSRCLYVEYKGKGIDVQCQVPLYVATKMASIRRSSFFVPSPDTYARAALRCIGYEPRCTPYWPHSLIWCLLSAIPESVIDHWRLGFCMNIRKRGQLKDAKSKEN >Ma10_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23277625:23295004:1 gene:Ma10_g09080 transcript:Ma10_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAFQIIRHSRKIGSRLGRCRNYAAKDIRFGVEARASMLQGVENLDDAVKVTIGPKAFCSESVRTNCYQKATTRTTLAGMNFYHALSCVPVATRRHFSTNSELPPHETIGMPSLSPTMTEGNIARWLKKEGDKVLPGEVLCEVETDKATVEMECMEEGYIAKIIHGDGSKDLKVGEAIAIIVEEEGDIEKFKDYSVSKSSAPTEVKTPSEPSQSKKEEETPAKAAEPNASKTEEVSHSEDRIFSSPLARKLAEDNNVSLSSLKGTGPDGRIVKADVVDYLASREKDVSAQSKAKGPAAVQGLDYVDLPNSQIRKVTASRLLLSKQTIPHYYLTVDTRVDKLMELRSKLNAIQETSGGKRISINDLVIKAAALALRKVPQCNSSWTNDFIRQYNNVNINVAVQTDNGLFVPVIRDADKKGLSIIAEEVKNLAQKAKENSLKPENYEGGTFTVSNLGGPFGVKQFCAIINPPQSAILAVGSAERRVLPGGAPDQFEFGSFMSVTLSCDHRVIDGAIGAQWLKAFKGYIENPHSMLL >Ma11_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21795890:21799481:-1 gene:Ma11_g16230 transcript:Ma11_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDSVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMEASAKNATNVEQAFMAMTGAIKNRMASQPALNSAKPPAVQIRGQPVNQKSTCCSS >Ma10_p27880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34946696:34948381:-1 gene:Ma10_g27880 transcript:Ma10_t27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMVGGEGRHQRDPVVQVPPWSSPFEDAAVGVGYHLAAGGGGGGSGEYGLGDSALAAALQRYLPCNGEETVAEEDVEEPDAAVDVYSSDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGAACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTADQLRILPQQQQQQTPTKPAVAGESYDGSPLRQQALETYLSKHLMSSSPTSTLISPPMSPPSDSPPMSPSTAALRRTSWPMRTSLNEIEFSLRQLQLSKAKSSPGSWGLQVSSEAFGSPRGTAAGFRAGFGSLPTTPTATSAALGGGLGWFDGADAGYAGGKEPVERVESGRALRAKMFEKLSKECVLERADADAAPSMPAPDVGWVSELVK >Ma09_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34738449:34740125:-1 gene:Ma09_g22870 transcript:Ma09_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNPSFFKGYCNRSRFSSNYPPPLPLSLFPTYNLVDCNFLHLRSPPPSPPLREALPLLGLSPTRHHRQEESSSSCSAFPEGEGGGSCTGGDNEKKSLKDGEDEQGAVAVSLHIGLPSSGAADLISGVSSTMLNDGEGPEEDGGGGDAIPSGYPIIGRLIKGQYWIPTPSQILTVLSQFSCPVCCKTFNRYNNMQMHMWGHGQQYRKGPESLRGAQPTAMRRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRRHGIKPFACCKCGKAFAVRGDWRTHEKNCGQLWYCTCGSDFKHKRSLKDHIKAFGHGHAAYGIDCAEEEDEPSSEIEQDHDHHHHDYSL >Ma02_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23315538:23318419:-1 gene:Ma02_g15730 transcript:Ma02_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAAISSSITSRSLLSGDFKAALIASIRHPEPSIATSAASGGPRPRRMLARPCCAVEPTKRNDSVVPSAAVAEAANAAVGEEATAPSAAVDYEELNKALENASPLEIMDRALDMFGNEIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFDAVEKHYDIHIEYTFPDAGEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRMLKGLRAWVTGQRKDQSPGTRANIPLVQVDPVFEGVDGLGSLIKWNPVADVEGKDIWNFLRTMNVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDATAKECGLHKGNLKQDEAGKLGANGNGVAAANGVDGTVDIFETQAIVNLSRPGIENLLKLEKRQEPWLVVLYAPWCRFCQGMETSYMELAEKLVGSGIKVGKFRADGDQKPFAQKELQLGSFPTILFFPKNTSRPIKYPSEKRDVDSLLAFINALR >Ma04_p29690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30545222:30545509:-1 gene:Ma04_g29690 transcript:Ma04_t29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAKKPPSSSGDENQTTEVARSPVSNSLYLKGPDAGGGAERRSLDKDVVLSRIRHRKRVNRLVTVLQSHLRSQPAMEKDGEPSRWLDDAFSCP >Ma03_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4697017:4700897:-1 gene:Ma03_g06840 transcript:Ma03_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASPNSFLSKPPSAPLLCFSSHSLLRCRAAADASDAAATSSDWFRPRRDPPDGGRMAARDPGIRVNAKEEGKSQNNDGKKKKKKWWWWSRDRESYLADDSDALPLPMTYPDSSPVSQQEIDRRLQCDPDVQDCKPMVYEWTGKCRSCQGTGFVSYYNKKGRETICKCIPCLGIGYVQKITAREDIDVMEDLDNGKPP >Ma04_p30830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31371210:31371657:1 gene:Ma04_g30830 transcript:Ma04_t30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVVFFCVIAALLLLRAPTSVEAATCNPTELTPCAAAILKSSPPSSLCCSKLKSQAPCFCQYQKNPSLSRYISGGRKVAAACGVPVPSC >Ma04_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22642706:22644194:1 gene:Ma04_g19950 transcript:Ma04_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLAISLASAAVVFSIALVSFAYFKMFRRYRIPLCGWCRRSDDLELGPAQARVADGPETVLGKEKSSAARRFGWAEIESVTGKFAPHAVVGEGGSSTVYLACLPDSSLAALKLHRPSERLHRAFRQELDVLLRLRHPHIVRLLGYCDDREEEGVLVFEYVPNGSLQERLHGDGEVLPWARRMAVAYQVAQALEYLHEGCDPQVVHGDVKAANVLLDGRMEAKLCDFGSARAGFSAAVAPPRSARAMMVGSLGYVDPHYLRSGMVSKKSDVYSFGVLLLELVTGAEAFDAGRELRLTAAMGPVLRDPEGRATKALDPRLGGDYDAGEAKAAVDVASSCVGDNPGLRPSMADVVRMLRDKASSSIAAVASKSDGKSDL >Ma08_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3417822:3420536:1 gene:Ma08_g04950 transcript:Ma08_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFPSREAIRGEVCCSPLPLRPDKVAAADAMVATPSTAYCNPINSFLISDHHENPNLLQPKPQGASTSRIPQGDDHAIKAKIISHPQCSSLVSAYVECQKEHIISMVASRLSAVAQEFDMRLRASLICRDAAPDSELDQFMEACHDMLVKYEEELSRPLQEAMAFLRSVESQLNSISVDGGSLPITSNVYRCVFSLVWLPEEKPRAEASSSDEVWWMLEQPPARALGEEEEDWWELHYKWPYPSLAESTGLDMKQIDNWFINQRKRHWKPSEEMQFVVMDGFQGPSAAAASLYMDGHFNGDGLYRLGF >Ma07_p28270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34378819:34380048:-1 gene:Ma07_g28270 transcript:Ma07_t28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKISTLILKVDLDCHICYKKIRKTLCKIQEKENIKTIAYDEKNGTVTISGPFDPEKLSKKLRCKACKVIKSIQIKEEKKEEKKEEKKEEKKEEKKEEKKKEEKKEEKKKEEKKEEKKEEKKDEKKEEKKDEKKEQKKQETIKIEPVVVPCFWPPGQVCCHRPSYESYHGGCRCCSCGRVTGPQQVGPPMGYGGPYYAPPCKSYQFFCEEDPSTCSVM >Ma10_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14856032:14858670:-1 gene:Ma10_g04160 transcript:Ma10_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEGKDGHENDVVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITLLDLYHYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRTIRNESNRSIGLKKTLVFYSGKAPKGIRSSWIMNEYRLPHSDTDQYRKAEISICRVYKRAGVEDHHRLPATLASRSSSSRGTAAVETAQHARGGRYALPKPIVYGSTSSVANSLSSTTTSTEEDGASFRRSNNNIAGLTPTLSILTSTGPSMATHMIDELNRLVGFNENYVNNPNQLLHLPSQAQLLHLPTQAQLLPFNALPLPLPAASDKLWEWNPLQEMGREYYAAFE >Ma02_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23937222:23938853:-1 gene:Ma02_g16680 transcript:Ma02_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILRAFPFTKHSFSHYCQQPGSAAPRSSKIVEGRTYKWNVTLRGLLEGNVPAKAILAYALMRRRGVKVDSFTLLFVVKACCLIIHDVVVGKQVHAQVAKLGFQAEVVTQTALLKMYGMFGDLDAAEKVFDETPQRDLVQWHALLAAYSQRNRPCSTMKTAQRMVNESVMPNEVGFVSIISACSQRKALPEGKRWHGYAIKNLAVLDTFVHNVLIDMYAACGCLSDAYNVFKNLRNKNTVSWTSMINAYGDNDHPNEALDLFEEMEAVGERPDEVMMLAVVSICTKLGRSDIGEWIHEYVERCGFGESVRVANALIDMHSKCGNMEKACSTFDRMTRRTLVTWTAMIQGLAMHGHGRAALTRFSQMQREGFRLDEVVVLIMINACSHAGLVEEGKHFFRSMAEEHGMEPWMEHYGSMVDLLCRAGLVNEALDFVMSMPLKPDAVIWRTLVAACRNQGNMNLAAEVLDCMMEMEPEDSGNYVLKSNLHAMIGDWDSVQEVRSSMSCKKVAKTQPAHSYVQPTHHVHVEEVMQNIDEDERRSS >Ma01_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12309515:12314533:-1 gene:Ma01_g16820 transcript:Ma01_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGIEVKLIGAWPSPFVLRARIALNLKGVEYEFLQEKFEEKSELLLRSNPVYKKIPVLLHHGKPICESMIIVEYVDEAWPAAGRAILPADPYERALHRFWASYVDDKLNPSGAALSKAQTEEAKVEAAGQVIAGLKLLEEAFGRLSKGEGFFGGDDIGYVDIALGCFLGWLKVTEKTSGVKFIDEEKMPLLARWAERFCAHEAVKAVMPEPERLAEFARKRAASAKTPPAN >Ma03_p10330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7772717:7778300:-1 gene:Ma03_g10330 transcript:Ma03_t10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDQKIVVVESLLAAISEIMSSVASVEIEHQTFMELGCYLHRISPVIMEFRTIENGSPSALQILQSLSAKVKLANNLVAKCSTGAKSIRDDDLKFITEELEEVIRKLGQDLSTIPLPTFQNKKYAEITVQSLSREMKNVCFHINGTGNCITEEHKLENSSVEQLVKRKDADLVPSSDEKNKLHSTWSGYMPRLGDFLEGMDYDAWKYASGSIETLSQIAEYSEPLYDTFFCPITKRIMDDPVTIESGITFERKAIAEWFGRFKDVSETITCPATGIKLQSRVLNSNKALRTIIAEWKRRNEVKRIKVAHNVLLLDKSEAMVLDAIRDLNILSQKRGYNREEMHNLGITKLLTSFLEHKNMMVWTEALKLLRLLVEDEEGKVIVANTNALERTTEMLSSNNASVRHESIAFLLELSETETLLENIGSTAGCILTLTTMKYNESAGSLAVEKAEKILKNLEKYPRNIKSMAEYGYLEPLLDHLVSGTEEVQIEMASYLSELILEHDMKTYVAEKASKVLIKMVTTTHTIITQRAAFGALVQISSHPLNNRMLVNAGIIPILIEEMFTCGIYNTYIDFKEQAATILANIAKSTTDLETIQVDKHGHTITSHYSIYNIAHMLKCSKSDKLNINLINILLYMAKIPRHIATVVSVIKETEVSYTLIEQLNSPVEVLVVAAAKLLTELSFHIGHTIAEGLCKTQGQPEGLIKSYDIDQITKKHAISVNLIAKLPHKNLTLNLSLLHQGTVPAIISRMHGILRGETRTTRYTRCYLEGLVGVLVRFTATLFDQEILQMARGQNLTSVFTELLVRTGGSNEVQRLAAAGLENLSSQSVHLSRPPEVLIPSRRRFLSKSFSSSSQEAKKSQRVQLCLVHKGVCSSSTTFCLLESGAVERLLGCLEQKNPEVVEAALSAISTLLDERVDVEEGVRVLGEGDALSFVLGALREHREEGVRQKCFSVIERFLMSGGDSIVGEIADDRMVRAALVTAVHKGSGSTKTMAENILRYLNNIPPLS >Ma03_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7772717:7777853:-1 gene:Ma03_g10330 transcript:Ma03_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKDQKIVVVESLLAAISEIMSSVASVEIEHQTFMELGCYLHRISPVIMEFRTIENGSPSALQILQSLSAKVKLANNLVAKCSTGAKSIRDDDLKFITEELEEVIRKLGQDLSTIPLPTFQNKKYAEITVQSLSREMKNVCFHINGTGNCITEEHKLENSSVEQLVKRKDADLVPSSDEKNKLHSTWSGYMPRLGDFLEGMDYDAWKYASGSIETLSQIAEYSEPLYDTFFCPITKRIMDDPVTIESGITFERKAIAEWFGRFKDVSETITCPATGIKLQSRVLNSNKALRTIIAEWKRRNEVKRIKVAHNVLLLDKSEAMVLDAIRDLNILSQKRGYNREEMHNLGITKLLTSFLEHKNMMVWTEALKLLRLLVEDEEGKVIVANTNALERTTEMLSSNNASVRHESIAFLLELSETETLLENIGSTAGCILTLTTMKYNESAGSLAVEKAEKILKNLEKYPRNIKSMAEYGYLEPLLDHLVSGTEEVQIEMASYLSELILEHDMKTYVAEKASKVLIKMVTTTHTIITQRAAFGALVQISSHPLNNRMLVNAGIIPILIEEMFTCGIYNTYIDFKEQAATILANIAKSTTDLETIQVDKHGHTITSHYSIYNIAHMLKCSKSDKLNINLINILLYMAKIPRHIATVVSVIKETEVSYTLIEQLNSPVEVLVVAAAKLLTELSFHIGHTIAEGLCKTQGQPEGLIKSYDIDQITKKHAISVNLIAKLPHKNLTLNLSLLHQGTVPAIISRMHGILRGETRTTRYTRCYLEGLVGVLVRFTATLFDQEILQMARGQNLTSVFTELLVRTGGSNEVQRLAAAGLENLSSQSVHLSRPPEVLIPSRRRFLSKSFSSSSQEAKKSQRVQLCLVHKGVCSSSTTFCLLESGAVERLLGCLEQKNPEVVEAALSAISTLLDERVDVEEGVRVLGEGDALSFVLGALREHREEGVRQKCFSVIERFLMSGGDSIVGEIADDRMVRAALVTAVHKGSGSTKTMAENILRYLNNIPPLS >Ma06_p30610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31846196:31848141:1 gene:Ma06_g30610 transcript:Ma06_t30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGHEGEIPIPITSAYVGGHGQTNMRDTPPIHHPSNGPPPPPPPLPIATTEDHQRHHHATNSHSTKKGVAVRYRECLKNHAASIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKETEGESSCDCFHPLRGRKVMGQKGLLLSGSDAFGYGPACNGLIPRATPHSMIMPLGAIQTSESDEMEGVGGMMPRPLMVKKRFRTKFTPEQKEKMLSFAEKVGWKLQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHHLAKKNPLQLE >Ma09_p17240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12765985:12770259:-1 gene:Ma09_g17240 transcript:Ma09_t17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAFSLCSPLPLSPRPRKAPIAPRRPTALANTLEAERGVQFETGDSFFRRESAVGRDLGVLSAALHRRSLPPGGGLRVLDAMCGCGVRSLRYLAQAGAAFVWANDASEGCRPLILSNLSGHPRVSPQGDRSWVVTHLDANRVLAENYLRKEFFDLVDVDCFGSDSSFMRLAISTIRKGGLLYVTSTDGYSSGGHRPHCSLASYGAYVRPMPFSNEVGLRMLIGGALREAAALGYHISPLFSFYSYHGPVFRVMLQVDRGQLHENSRYGFISYCHGCGNSQTFSWEELGQISCACRKGEISKSVVVSGPLWTGPLHDVTHVTDMLQLATEWGWACTNRNEVDLEKLLNQMIEEGDPRLPPGYIKLDEIASRGKMNSPPLSTLINTLQETSMQHEQQKVD >Ma09_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12765985:12770259:-1 gene:Ma09_g17240 transcript:Ma09_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPAFSLCSPLPLSPRPRKAPIAPRRPTALANTLEAERGVQFETGDSFFRRESAVGRDLGVLSAALHRRSLPPGGGLRVLDAMCGCGVRSLRYLAQAGAAFVWANDASEGCRPLILSNLSGHPRVSPQGDRSWVVTHLDANRVLAENYLRKEFFDLVDVDCFGSDSSFMRLAISTIRKGGLLYVTSTDGYSSGGHRPHCSLASYGAYVRPMPFSNEVGLRMLIGGALREAAALGYHISPLFSFYSYHGPVFRVMLQVDRGQLHENSRYGFISYCHGCGNSQTFSWEELGQISCACRKGEISKSVVVSGPLWTGPLHDVTHVTDMLQLATEWGWACTNRNEVDLEKLLNQMIEEGDPRLPPGYIKLDEIASRGKMNSPPLSTLINTLQEEGYVASRSHIAPNAIKTNCPIAECIQFARRLRCSTSNRR >Ma04_p28250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29330582:29334949:-1 gene:Ma04_g28250 transcript:Ma04_t28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATSGAASARESSKAVVVGRRTGITACSLLLLSLLIYACPGPPELFSSTAFPVLQHPFDARKSVERDELGIALEGTSMKNKTLIIAILNKAYIGENGMLDLFLLSLHQGENTEFLINYLLLVAVDELAFNRCKALQLHCYHLDSEGVSFSKEAFYMSGNFIKMMWRRTQFLREVLRRGYSFIFTDMDILWLRNPLTRLNGGEDLQISCDNYNGQPFDATSNLINTGFYFISSNSRTIALFNRWYGAMNSSSGMKDQDVLLKMSFDGVFEQLDMKVRYLDTDYFSGFCQKSKDFRKVITVHANCCIGSNAKLIDLRAFLEAWKIHNGTSNASWPKHKSCLLAKNRKLILV >Ma04_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2543154:2545068:-1 gene:Ma04_g03270 transcript:Ma04_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAPAAAGGWYSDVGMNGGFFHQWGFTEQFTAAQFAAALGQDFQRSLSSASHNSFPRDQRPKRPLEASSWSSCTTEQNSCLVPNASSPTILSFGNPDSSIDQNDVYGGLVGVVKPKREMDALHHHGSKRSYGAMAEQRSKAVSNGTRSTYHNKEHIIAERKRREKLTQRFIALSAVVPGLKKMDKASVLGDAINYLKRLEEKVKMLEDQAAKRTVESAVLVKRSRLRVDVDSSSRDESLDGLPQIEAKMSGKTVLVKIHCDNRKGLLVKVLSEIEKLHLSVANTSAISFVGSSLDITVMAQVEEGFDMTVKDVVTQLSSAFRQLT >Ma06_p25230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25484560:25486002:1 gene:Ma06_g25230 transcript:Ma06_t25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCLGAGYVGGPTMAVIALKCPSVEVVVVDISVARIAAWNSDQLPIYEPGLDDVVKKCRGRNLFFSTEIEKHIGQADIVFVSVNTPTKTRGLGAGMAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILIHNSKGINFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGKKAVQALKQVYANWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVAEVAYSVGKDTRIGPKFLSASVGFGGSCFQKDILSLVYICECNGLPEVASYWKDVIKVNDYQKSRFVNRVVSSMFNTVSEKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVSEEQIQRDLALKKFDWDHPVHLQPTSPTAVKQVTVTWDAYEATKGAHGVCILTEWDEFRKLDYVKIYENMQKPAFIFDGRNVIDPQKLRKIGFIVFSIGKPLDPWLKDMPAVV >Ma05_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1633918:1638669:-1 gene:Ma05_g02520 transcript:Ma05_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSGFFGNHGVGQMVISETPQATLVPWLVGSQLIYGDPLSQLKPLSRDHTDGDDQITSVSRQMNHVIDPRRRPSSGSEIPEKGTNGTVKFSIVPDPKDLGEVQKTQQHYVPFPLQSSLRENPGCFEPGLGQSMVCPSHSYVDQFYGLYATYAAQAMHGRMLLPMAVATQGPIYVNAKQFNAILRRRKARAKAEKKNKSIKPYLHESRHIHAMHRVRGCSGRFLNTKKEGNVGNGGCKVKEWMPPQPANFEASSETLHSGNLKMNSTSSRSSASGSEVTSVCAREDIGDFHIVDNPSPSGFCSHLSMMSGGEGASIGHRWGAAADGCCDPDLLKI >Ma05_p02520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1633918:1638676:-1 gene:Ma05_g02520 transcript:Ma05_t02520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSGFFGNHGVGQMVISETPQATLVPWLVGSQLIYGDPLSQLKPLSRDHTDGDDQITSVSRQMNHVIDPRRRPSSGSEIPEKGTNGTVKFSIVPDPKDLGEVQKTQQHYVPFPLQSSLRENPGCFEPGLGQSMVCPSHSYVDQFYGLYATYAAQAMHGRMLLPMAVATQGPIYVNAKQFNAILRRRKARAKAEKKNKSIKVRKPYLHESRHIHAMHRVRGCSGRFLNTKKEGNVGNGGCKVKEWMPPQPANFEASSETLHSGNLKMNSTSSRSSASGSEVTSVCAREDIGDFHIVDNPSPSGFCSHLSMMSGGEGASIGHRWGAAADGCCDPDLLKI >Ma05_p02520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1633918:1638669:-1 gene:Ma05_g02520 transcript:Ma05_t02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSGFFGNHGVGQMVISETPQATLVPWLVGSQLIYGDPLSQLKPLSRDHTDGDDQITSVSRQMNHVIDPRRRPSSGSEIPEKGTNGTVKFSIVPDPKDLGEVQKTQQHYVPFPLQSSLRENPGCFEPGLGQSMVCPSHSYVDQFYGLYATYAAQAMHGRMLLPMAVATQGPIYVNAKQFNAILRRRKARAKAEKKNKSIKVRKPYLHESRHIHAMHRVRGCSGRFLNTKKEGNVGNGGCKVKEWMPPQPANFEASSETLHSGNLKMNSTSSRSSASGSEVTSVCAREDIGDFHIVDNPSPSGFCSHLSMMSGGEGASIGHRWGAAADGCCDPDLLKI >Ma07_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5811577:5819684:1 gene:Ma07_g07830 transcript:Ma07_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTICFTNHGGVGQFSVPQPPQATPLPWWIGSQSLFEGHSNGEDQLPAASRQLHHAVVPRVGPGPAVTEEKDHNVSIKEQKSQQQSAVISLMPSFPEHSGHVELELGHSMVYPNYSYGDQCYGLYATYGPQSMHGRMLLPMNMTADGPIYVNAKQFHGILRRRQARAKAERQNKLSKVRKPYLHESRHLHAMRRARGSGGRFLNTKKESSGQVMNYGSKVKDSAPHHPTESPSSDIMKSDSGNLNSSSGGSSVSGSEATSVCTHKNHNNFHVIEHLRSSFFRPLSSMIDGEQGAAGMSNQWVSAADGCCDLLKV >Ma09_p10510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7145248:7148348:1 gene:Ma09_g10510 transcript:Ma09_t10510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPHPPPPLPLPPPYSASSSSAPGCRQGVLVSGTDEGRFLLDDGSDVVELLLSAESQPQQWKIGMYVMVVGPYVAAQSGGLSTIRVHKMVDLSQHPDREAMWHLEVMEAHKLFYLPSPQ >Ma09_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7145239:7148348:1 gene:Ma09_g10510 transcript:Ma09_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAALKLFCGELKDVRAASASASSSAATLFGILFQRAWLQGVLVSGTDEGRFLLDDGSDVVELLLSAESQPQQWKIGMYVMVVGPYVAAQSGGLSTIRVHKMVDLSQHPDREAMWHLEVMEAHKLFYLPSPQ >Ma04_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24674366:24674919:-1 gene:Ma04_g22310 transcript:Ma04_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRSNNKLPQAAGIKQILKRCSSLGRKQQPVDVPKGHFAVYVGESRSRFIVPISYLTHPEFQSLLRQAEEEFGFDHDMGLTIPCEEVVFRSLTSMLR >Ma02_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15601949:15608152:1 gene:Ma02_g04140 transcript:Ma02_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRCASFRRALFASEMYGQGTRASALQVCNFSSKGKKKTKSDGSDAAEQNLSGKELALQQALDQITAAFGEGSIMWLGRSQASKVVPVISTGSFSLDMALGIGGLPKGRVVEVYGPEASGKTTLALHVIAEAQKNGGYCAFIDAEHALDPALAKSIGVNIDNLLLSQPDCGEQALSLVDTLVRSGSVDVVVVDSVAALVPKSELDGEMGDSHVALQARLMSQALRKLSHSLSRSQTILLFINQVRSKVSTFGYGGPTEVTSGGNALKFYASVRMNIKRTGLVKKGDETLGAQVTVKIVKNKHAPPFRTTQFELEFGKGISGESEIIEIGCKHELITTRGSFYTYEERKFHGRDALKCYLADNQAIREELIAKLREKILDCRTDKNAGPEGDIPDANVSEETITSDTTDEDIVAEA >Ma02_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20281174:20283895:1 gene:Ma02_g10810 transcript:Ma02_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANILRCGGIKRLPPISRRPQGMELGHRMPPRKLLSSVVEARVQTEEKMVAATEKTTYKDNWFDRLAIRYLSRSLQATTGISNNKEGYESLVEAAIMICKKVDAKAQQDLVIQSLHSALPVIILTMIKILLPQSTFTREIFAAFTTLFFPWLVGPCQVRKSEVKGKTEKNVVYIPKCRFLESTNCVGMCTNLCKVPSQKFIQDSLGMPVYMVPNFEDLSCEMVFGQQPPLHDPTLKQPCYHKSCITKQKHGVNCSSG >Ma10_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28329011:28329910:-1 gene:Ma10_g16710 transcript:Ma10_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVDFTEENTSNLVIVVCTSLLSYIEFSFYTKFFLLLLKTLSSNIQCCLKLPQWSPSQKDKRHERNIVTLRDPSDRLWPATYHESIKFIGFANSWKDFTIANNIQQGNLCRIL >Ma08_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35187051:35189524:1 gene:Ma08_g21240 transcript:Ma08_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFIVLGNKIDIDGGNSRVVSQKKAKAWCASKGNIPYFETSAKEGFNVEAAFQCIAQNALKNEPEEDIYLPDTIDVSGGARQQQSSGCEC >Ma02_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21120852:21121617:-1 gene:Ma02_g12360 transcript:Ma02_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERPWPSRRSRPGILQCIVFTGLGIIVLVSLVVLIFWLVVRPKALEYTVDDARVYGFNLTASHALNATFDLTLRSYNRNHRVSVYYDFMEVTVWYDDQMVAFTEVAPFYQRRRNVTTLDVIAAATSTPLLGSVEKSLKHDRSAGKVGLEVRVRARIRLKVGVVKTKHYTLRVYCAPVLVSFSATPTFDRVYCDVDI >Ma02_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7283434:7294711:1 gene:Ma02_g00920 transcript:Ma02_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKRKRGRKTKKAVAETMDFQMAASGAPSPSALDEPQEIPDSASPKPRRGRGRPKKATRRQNLAPADSPASSPIRRGSQVVAINGEHGESGLAVVSLDPPPRWDQVVRVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYVATVLAIGTECDIAMLTVDNDEFWEGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIMHFINDYEKSGEYTGFPMLGIEWQKMENPDLRKAMEMKPDQKGVRVRRIEPTGPEFEFLKSSDIILSFDGVDIANDGTVPFRHGERIAFSYLVSQKYTGENALVKVLRDSKVYEFNIKLATHKRLIPAHIKGKPPSYYIIAGFVFTAISVPYLRSEYGKDYEYDAPVKLLDKLLHTMAHSHDEQLVVISQVLVADVNIGYEDIVNTQVMAFNGKPVKNLRTLANMVENCSEEFLKFDLEYQQIVVLQTKTAKAATPDILATHCIPSAVSEDLTS >Ma08_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14038808:14041636:1 gene:Ma08_g14650 transcript:Ma08_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHIVTQGCFKYFYLDSKKKKKKKKRKKRGIYRCFI >Ma02_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23385297:23385854:-1 gene:Ma02_g15840 transcript:Ma02_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKERRHGAERLFAAPAHFCGGGGSGHPGGGASDLPDIAEDDVWPAFHAAADEDERDPGRRRGRSRRLDDRQVSGLSLALEDACWGPAASQLVSRGRHRVSSLSSAPVDVPAWSRSLRSGWEGPLPDREEAEEADGEWLPPHEYLARAQGKSMATSVLEGVGRTLKGRDMSRVRDTVWSQTGFFG >Ma08_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33460359:33460841:1 gene:Ma08_g19690 transcript:Ma08_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYFYTGKASKESDVYGFGIVALEIACGRRTIQPMEHPNKVRLAEWVWELYGRQTILKAADEKLKGDFDEKQMESLMVVGLWCAHPDYNLRPSIKQAISALNSETPLPVLPASRPVLTYSVLSMDDASIATVYSVNDSPSDRCTHSCERSSSPTGLSK >Ma01_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:641545:646057:1 gene:Ma01_g00920 transcript:Ma01_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCGLELTYTGLGRHVASHNKPPRDQAHERASERREREREIEACWRASPTPLPQRALPFRGRSPGQGPLRRLQSGRGNPEKLQTWKTCLADQRIHCNFSFLSDSITSPISSTALLILHSSGIWWVGRNRNAYSAWLRNQKAFIFFERELCHCLLELLMMHGWMVL >Ma09_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4214268:4215251:1 gene:Ma09_g06570 transcript:Ma09_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLSNVDDDLNNEVSLMLCRANKIKISTVRKEDLSPEVSSTCGPCPIARSYAVASTSGCLSCAPAMSYRTVTAHHKCKMMHQEASRRLLFEGINAAAAAAAPSPPGRLASIMCSGFLCGGIICFIYKHGSCGHLQERREKMIERKCPPTPRAAVAFVVAAAAIALPLPLGCIQR >Ma05_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9773624:9773894:1 gene:Ma05_g13460 transcript:Ma05_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQNHAGALKLSFGSISWDFMGRGCATPQQGCSLWILLVCSIAFVS >Ma01_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9992623:9993849:-1 gene:Ma01_g13670 transcript:Ma01_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFLFFPALLMRLLHAHLWITLSRMKTANSNHSIVDKSLNFEQVDRERNWDDQINLIAIVAYVSPPRWPRGVPLLLVHRALHHHYLYSRYDYHRHASVVTEPITTVIHPFAEELVYFLLFAIPPIPAALTGSGSIITTYGYLNYIDFMNYMCHCNFEMVPKWLLDSLPPLKYLIDTPSFHSLHHTRFRTNYSLFMPIYDYIRYGGRVFGRVTRKISQEKGGDGRCGSSDPFDHPAVHVSLSNRPFFPGIKTIRALVVLVDLLALHALTGAAGMAHRDYIHRGEE >Ma04_p26320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27816332:27816760:1 gene:Ma04_g26320 transcript:Ma04_t26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFFSVCRHGRTAWWPKCVQWGGCDLRGRTRDDEVAKTCSSPNSRQICGLESKIENEKKKEEADVRQPFRCHRLRPAAATTAKQRVEPKPARVGVILTAGAAVASNGSKKGDGDDDDDDENMDHAAAAVAAAALATVEVGS >Ma07_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30325072:30328481:-1 gene:Ma07_g22340 transcript:Ma07_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKLKPLKQPKADKKEYDEMDKANIQKKKDEEKALKELRAKASQKGSFGGTGLKKSGKK >Ma09_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11261497:11266978:1 gene:Ma09_g15890 transcript:Ma09_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSEMEADIDDLPKNPANYMALTPLWFLDRAALVHPNRLSVVHGPKRFTWSETYQRCRRLASALAARSIGPGCTVAVIAPNIPAIYEAHFGVPMAGAVLNTVNIRLNAATIAFLLGHSSAAVVIVDQEFFTLAEESLKIIAEEKKAAFKPPLLIVVGDETCDPMSLQHALRKGAIDYEKFLESGDPDFAWKPPKDEWHSIALGYTSGTTSSPKGVVLHHRGAYLMALSCALIWGMNEGAVYLWTLPMFHCNGWCYAWTLAALCGTSICLRQVTAKSVYSAIAKQGVTHFCAAPVVLNAIVNAPPSDTILPLPRVVNVNTAGAAPPPSVLAGMTKLGFRVTHTYGLSETFGPSVLCAWKPEWDLLPLEERARVHARQGVRYVGLEGLDVVNMKTMAPVPADGTTLGEIVMRGNVVMKGYLKNPKANAETFAHGWYHSGDIGVKHPDGYIEVKDRAKDIIISGGENISSLEVESYLYMHPAVLEVSVVARPDEQWGESPCAFVTLKEGVDQSNEQALAEDIIKFCRAKMPAYWVPKSVVFGPLPKTATGKIKKHELRTKAKAMGPVKQSRL >Ma07_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16767573:16770735:1 gene:Ma07_g17250 transcript:Ma07_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDDSPSVYSVGFPPRMNLASQFAHKVKEMFFADDPLRPYKGQTRSMKFLLGLQYLFPILDWGRSYDLAKLKGDVVSGLTIASLCIPQDIAYAKLANLEPQYALYTSFVAPLVYAVMGSSRDIAIGPVAVVSLLLGTQLQNEIDPVKNPEEYLRLAFTATFFAGVIQAALGFFRLGFLIEFLSHAAIVGFMAGAAVTISLQQLKGFLGIKNFTTKTDIVSVMKSVWRPVHHGWNWQTILIATAFLTFLLVAKYIGKKRKNLFWVSALAPLVSVILATFFVYITRADRHGVQIVRHIKQGINPSSAGEIYFSGSYATKGLKIGIVAGLIALTEAIAIGRTFAAMKDYRLDGNKEMLALGTMNVAGSLTSCYIATGSFSRSAVNYMAGCHTAVSNIVMSVTVMLTLLVITPLFKYTPNAVLAAIIISAVIGLIDYQTAYLIWKVDKLDFLACMGAFFGVVFISVEIGLLIAVMISFAKILLQVTRPRTALLGNLPGTEIYRNVEQYPETIKVPGVLIVRVDSAIYFTNSNYARERILRWLKDEVEQIKAKNLPMIEFLIVELSPVIDIDTSGIHAFEDLHTALQKHGVQLLLANPGAAVIQKLRSSGFIEIIGRDKIFLTVGDAVKACAPKAREGV >Ma05_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10765998:10767941:1 gene:Ma05_g14700 transcript:Ma05_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Ma09_p27190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38239672:38242867:-1 gene:Ma09_g27190 transcript:Ma09_t27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKIARERNMEKNKAAKGSQLESNKKAMTIQCKVCMQTFMCTTSEVKCREHAEAKHPKSDVSQCFPHLKK >Ma03_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7558220:7565929:1 gene:Ma03_g10110 transcript:Ma03_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEERKRRRHMWPVPAPGTAASASSSSSAPPPSLAFPENPSSESDSSRSSCDSFLKDGRKIRVGDCALFQAGNAPPFIGIIRWFTEGKEDHLRLCVNWLYRPADIKLAKGVLLEAAPNEVFYSFHKDVITAASLLHPCKVVFLRKGVELPAGVSSFICRRVYDITNKCLWWLTDQDYINERQEEVDQLLDRTQLEMHAAVQSGGRSPKPLNGPSSTQQLKSSSESDHNTGPSLPFQSKLKKRDRSDQGTEHIKRERSSKPDDGDSCKSDNMMKAELVKITEKGGLISTEGVEKLVNLLQHDRPENKIDVSGRILVANVIAATDRYDCLGRFVQLKGVPVLNDWLQQVYKSKAGDGTSHKESDKAVEELLLALLCALAKLPVNLNALQACNIGKSVNHLRSHKNPEIQKKARSLIDTWKKRVNAEITKINDAKSVGLGQPVWQVKSGSSDVSHVGNRRSGPTDVVSKSPVTHTACKSSKPGHSDPIVKSPSATQGSSKATSIATGSKDSLCKAAHHSGGTEMTPTAVKEEKSSSSSHSQNNSQSCSSDHAKTVGSSWKEDTRSSSAGSINATKAAGASSRHRRSSNGVTVTSISGVQKETHPSKSGSLNRAATLEKSSQSGLTCEKPIDMPAVDHGNNHRLIVRLPNPARSPARSASGGSFDDPSISGSRASSPGFSDKHEHSDRRVKPRVDAYQSNIVMDANTESWLSNDVKELPVGAGGVRSPAADEEHIRSAGETGKDTEAPGAACSSSGNEKGVSSTETRTRSSLSSIIALIESCVKYSEASDPSAVEDDVGMNLLASVATGEISKSDLISPTGSAGASPGAEDPSTEAKSRLSSADDLAQSHIELDEAADADSIKKGKSVNSISTGDVPCQDGTNFLGNSGNDVSLQDNKLTGEEAEQSASGLSSHKTKDSCPIPKPKLEEERDGNFLVSKSAGLGKWDNDGVRPLEEKHITGLDNSTDCKLKERSSMEDESKPRECARQKIGDDSICTFEVANKDGCDHDIAAPGIKIEKLVIEECQSGLTAKVVPEVAPQSCQQQPQMPVIVERSDNDAISSGVPDVAYPENADGSKTSKPDNVGVNHFESNDKHECDSLNLSKLDESVRLATTSCSTACAAEDLKIKESLESLTVGSASQEPPSSCTAQEMENQSKPAGSRFSGAFADVKEDLASSLEASSLAVKAVPDVASKLDFDLNEGITGDDGTQVETSVSISTVCSSIGHLPSLSLFSNSMLTGLPAPITVAAPAKGPFVPPENLLKSKDEPGWKGSAATSAFRPAEPRKVLEVPLNTSGVLLPSDSAGKQCRPPLDIDLNEPDERALEDMATQSSAKAMGSELGTVGNLDAPGRISGGLDLDLNRVDEGMESGQFLVSTSHRMEVPLFAIGQASTEFPNREANMLRDFDLNNGPGLDEVCAEPVTRNQNTRSTGSVPFLPAVAGVRMNPPELGSVSSWFPPGGSYPAVAIPSFLTNRGEHPYPIVAAAGGQRILGPVTASGPYGGDVYRGPGLSASPAMAFAPATAFPYAGFTFGSNFPLASTSFSGGSATFVDSSSGAGSGFPAIPSPLVGPAGGILSNYPRPYAIGPPEGSASGGSDNSRKWITSALDLNAGPGNAEGKDDRLPLPSRQLVSNSQAFMEEQVRMYAVAGGGLKRKEPEGGWDADRSAYKQISWQ >Ma10_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4027373:4031606:-1 gene:Ma10_g01130 transcript:Ma10_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAESFDRTAALKEFDEGKTGVRGLVESGAAATVPPIFRHPILRPRSSSPSSLSVPTVDLSLPRPAAVALATTAARDWGFFQIVNHGLPLSLIDCTISAVRSFHEQPSSVRAAFYSRSVAGGVSYSSNVDLFRSGAASWRDTIQLAMGPTRPDPERIPPVCREELLAWDEHVVAAGRAVLGLLSEGLGKEAGLLEATTCAEGRLMACHYYPPCPEPELTVGTAEHTDPGVLTVLAQDGVGGLQVKWTGEDGESEWVDVRPASGALVINVGDLLQIMSNDEYKSVEHRVLANPHQEARVSVATFFNPGKRGESVFYGPLPELVSPVKPARYRNFTMAEFMGTFFGKELSSRSLIDHFKL >Ma11_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24597544:24600317:1 gene:Ma11_g19930 transcript:Ma11_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKTPKTQRAKREVEKRAPKLVESGKKTLILHGTKTSDVLNTVLTQIYHLKRDNAVKYTKKNENIRPFESGGETSLEFFSLKTDCSLFVFGSHSKKRPNNLVLGRMFDHHIYDLVEVGVDNFRPMESFEYDKKLTPRIGSKPFFAFVGEGFESIEELKHLKEVLLDLFRGEVVENLNLAGIDHVFVCTALSSSTVFFTHCALRLKRSGTAIPRMELVEVGPSMDLVVRRQRLPNDSLKKEAKKTTNGQPKKKIKNVSSDVLQGKIGKIYIPDQKVGGIALSNDVKGLKRERREARKTKVGENEAKKRKTASK >Ma11_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26214776:26218625:1 gene:Ma11_g22430 transcript:Ma11_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSPPPPLPPLHPHLRIPHSAPARLLLLFLLLIFPPVSTSVNITAVLSAYPDLSDFNRLLRSTSVPGDLAGRSSLTILAVPNAYLLRSSAARAAAAADIADVLRYHVLLEYLSWPDLRSIPAGGKLVTTLYQTTGRAAGNLGAVNLTRDDEGAVTARSPFPFSASNATVLDLVGTLPYNVSIFAVDALLLPYGFDLAASETRPSVRVNITRVLVDGRDFNVAASMLEASGVASEFEAAERGAGITVFVPTDEAFADLPVTERLQSLPADRKAVVLRFHVLQSYYPLGSLESIVNPVQPTLATADTGAGRFTLNITRVNGSVAIDTGVVQASITRTVFDQNPVAIFAVSKVLLPREIFTGETSGAMQAVAAAPQPPELAPQGAEEVDTPPASMSSPPGVREDVTSGADGREEVALFCTASLYLMLLLLV >Ma10_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29902221:29905661:1 gene:Ma10_g19310 transcript:Ma10_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIKKISNATARQVTFSKRRRGLFKKAEELSILCDAEVALIVFSSTGKLFEFSSSSMKEILEKHTTHSKNLEKAEAPLIDLNLDDSNYTSLSNQIADASLQLRQMRGEELQGLTIHELLRLEKTLEEGLRKVLETKGQHIMEQINDLQEKGKKLMEDNERLRKQLMESR >Ma10_p19310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29902221:29906164:1 gene:Ma10_g19310 transcript:Ma10_t19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIKKISNATARQVTFSKRRRGLFKKAEELSILCDAEVALIVFSSTGKLFEFSSSSMKEILEKHTTHSKNLEKAEAPLIDLNLDDSNYTSLSNQIADASLQLRQMRGEELQGLTIHELLRLEKTLEEGLRKVLETKGQHIMEQINDLQEKGKKLMEDNERLRKQVVMMAREEKQLVTESENITYEDGQSSESVSNALQLRMPQDTDDNSHTTLQLGLPVSKWV >Ma01_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9187298:9187549:-1 gene:Ma01_g12590 transcript:Ma01_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDPEGYEAELLLLYRHFESSLHLFRHQSALRPSSDLSLGKDLEDLTMFLSHVTPFYPDKLANFPDRWPTSSVSIPGPFHRR >Ma11_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26377064:26382884:-1 gene:Ma11_g22690 transcript:Ma11_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTSEEVKKIVSILNDGKVASADVVDVVVSPSFVFLPLVKSSLRPEFHVAAQNCWVKKGGAYTGEISAEMLVNLDIPWVILGHSERRLLLSESNEFVGDKVAYALSKGLKVIACVGETLEQRESGTTMDVVAAQTKAIAERISDWTNVVVAYEPVWAIGTGKVATPAQAQEVHLELRKWLQTNVSAEVAASTRIIYGGSVSGANCKELAAQPDVDGFLVGGASLKPEFVDIINAATVKSSA >Ma05_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7272823:7273792:1 gene:Ma05_g10110 transcript:Ma05_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGEVKLLGHKMSLFVTRVEIALNLKKVGYEFVQVEVHGQKSEILVKSNPVYKKIPVLIHQGKPICESAIIVEYIDEMWTSEPPILPSHHFDRAVARFWATYIDDKLLALVRALAFGATEGTSKAEAADGGAEAFQLLEEAFTKCSHGKEYFGGDTIGYLDIALGCWLGWIKAVEEVSSIKFLDEKKVPLLVGWAERFLQDKAVKEVTPEIDEFVQYAKMILASAR >Ma10_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25881607:25881708:1 gene:Ma10_g12900 transcript:Ma10_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDEQISEFKEAFTLFDKDGDGQIPLSID >Ma03_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25469958:25470812:1 gene:Ma03_g20320 transcript:Ma03_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDHSSSSHVHNRNRSSTAGSGKRGRATKDGARYRGVRCRPWGRYAAEIRDPQSKERRWLGTFDTAEQAAYAYDVAARAMRGPKARTNFYYPPTTAARPRAAAVGCVLRCPDVPHLHSPIDPLLLRSLISHSSSSMHHKPPCCPYRSSLSSCSAPPPPVTDPPTCGAAGLCGIASHPDSINASFTAASSSDQSILVQSSSVAEVPNQFADDCDFFRKEPPESGLLQEIVNGFNSHQQSMHNRSHQMPSKQEEKMFDSDTFPTVPQGLLEDVFGVFSAKLHKAA >Ma08_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3398480:3408850:-1 gene:Ma08_g04930 transcript:Ma08_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGLLPHRLRAEHGSLLASSFPPASLAAGRKRHHFPSSSSVKIQNQFHSMSSLVLGASSTIRCEATEASVYRETVETINLDWDNLGFGLVPTDHMYISKCSQDGIFTKGELLRYGPIELSPSSGVLNYGQGLFEGLKAYKKEDGSILLFRPHENALRMRMGAERMCMPSPAVEQFVDAVKLTVLANKRWVPPTGKGSLYIRPLLFGSGTVLGLAPASEYTFLIFVSPVGNYFKEGLAPINLVVENEFHRAAPGGTGGVKTIGNYASVLKAQKRAKENGYSDVLYLDSVHKKYLEEVSSCNIFVVKNKIISTPEIKGTILPGITRKSIIDVARGQGYQVEERLVSVEELLDADEVFCTGTAVVVSPVGSITYLGRKVEYKNNGIGVVSQQVYSSLTSLQMGLTEDKMGWTMKLN >Ma04_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:678210:678570:-1 gene:Ma04_g00690 transcript:Ma04_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRESKRPASADREEDKQGEEEMEKFYALLENIRAMRDSLRRSRHKRMKKEAAKPVWKPRFEMEDFKEEAGVCSSAISVTSNPPPKDGERRHGKEEEEREEKSSLDLSLSLSL >Ma08_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1430238:1438319:1 gene:Ma08_g01710 transcript:Ma08_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPSNRALTTTRFSELNPPLSEPVIEALTLAGFQFCTPVQAATIPLLCGHKDVAVDAATGSGKTLAFVVPFVEILRRYPSPPKPHQVMGMIISPTRELSSQIYHVAQPFFATVQNLKSILLVGGLDIRTDIRKIENEGANILVGTPGKLHDIMERLDVLDFRNLEILILDEADRLLDMGFQKQLTYIISRLPKLRRTGLFSATQTEAVDELSKAGLRNPVKVEVRVEAKALGDMASTQELASSRTPLGLHIEYMVCEAQNKLSYLVDFISRNMSKKIIVYFMTCACVDYWGVILPQLIALKGCPLIPLHGRMKQIAREKALASFTNLSSGVLLCTDVAARGLDIPGVDWILQYDSPQDPNVFIHRVGRTARIGRQGSAVVFLLPKEDAYVEFLRLRRVPLKERKSLDVAFDIVPQIRSAAKEDRDVMEKGIKAFVSYIRAYKEHHCSFIFRWKELEIGKLAMGYGLLQIPSMPEVKHHSLSVDGFSPVDGIDLSQIKYKDKARERHRLKILQSKQAEEPKQNRVKKIPDDNVAITRKKTGRQRRATQTKEDDEELENEYRLLKKLKRGLIDESEYEKLTGFGNLEEGSSESNSKDYGAELSTGSKSKRAKIKRGKNAKNTERSRARKNKKGSKMMRLKMIKNTRA >Ma03_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10827696:10830861:-1 gene:Ma03_g13740 transcript:Ma03_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRVTSLASLASSLINSTPSSRISPSRHPRSCLSFFAAASSSAEPAASTGSDTGKRGKRSFGRKLFQIGLISLTGGVFLSGLNDLAIFHGCSSKAIEKASQNQQIVESLGLPIVRGPWYDASLAVGHKRHSVSYTFPVSGPQGSGIFQLKAIRQGDETNFSFLRHHDWDILIMDALLHVPSNDEKHQTVRISLSENNSPLPSMECKECRLSESAMPEK >Ma01_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15189126:15190647:-1 gene:Ma01_g19510 transcript:Ma01_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAGQNIRKLVKDGFIIRKPTKIHSRSRARRALEAKRKGRHSGYGKRRGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPGDGAPAAQQPEAPKKAKK >Ma03_p19970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25216281:25222186:-1 gene:Ma03_g19970 transcript:Ma03_t19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYDLSKKTVIFGLHLWAVVGICIGVAFVLLLFLISLWIAYKRSSSSSRKHTIPKVPKEIQEVHAGSAPSHSSEAEPSPLPSKQPDEEKPIMLQRIHVETGKEHRITYPERGSGGGGGSSHGSGESRSVDQAPASVPEVSHLGWGHWYTLRELEVATGMFSDENVIGEGGYGIVYHGVLEDGTQIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGEHRMLVYEYIDNGNLEQWLHGDVGPSSPLTWEIRMNIIIGTAKGLLYLHEGLEPKVVHRDIKSSNILLDKQWIPKVSDFGLAKLLGSGRTYVTTRVMGTFGFVNTVFCNFVIHGRSILLQGLINNISFFLACSYVAPEYASTGMLNESSDIYSFGILIMEIISGRSPVDYSRPPGEVNLVDWLKTMVSNRNSEGVLDPKIPEKPSSRALKRTLLVALRCVDPDSRKRPKMGHIIHMLEVDDFPYRDDRRAGKDPRQTSHESPRDKERLPEQPVTTESGATSGNESGTRC >Ma03_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25216281:25222177:-1 gene:Ma03_g19970 transcript:Ma03_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYDLSKKTVIFGLHLWAVVGICIGVAFVLLLFLISLWIAYKRSSSSSRKHTIPKVPKEIQEVHAGSAPSHSSEAEPSPLPSKQPDEEKPIMLQRIHVETGKEHRITYPERGSGGGGGSSHGSGESRSVDQAPASVPEVSHLGWGHWYTLRELEVATGMFSDENVIGEGGYGIVYHGVLEDGTQIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGEHRMLVYEYIDNGNLEQWLHGDVGPSSPLTWEIRMNIIIGTAKGLLYLHEGLEPKVVHRDIKSSNILLDKQWIPKVSDFGLAKLLGSGRTYVTTRVMGTFGYVAPEYASTGMLNESSDIYSFGILIMEIISGRSPVDYSRPPGEVNLVDWLKTMVSNRNSEGVLDPKIPEKPSSRALKRTLLVALRCVDPDSRKRPKMGHIIHMLEVDDFPYRDDRRAGKDPRQTSHESPRDKERLPEQPVTTESGATSGNESGTRC >Ma05_p25560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37459920:37460714:-1 gene:Ma05_g25560 transcript:Ma05_t25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPPPPPPTTPSPSPSSSDPTPDHDTPPAVGLATAADEGAESKMGSGDEVAQVEEEEEEECGFCLFMKGGGCKDAFVAWEKCVEDAEKRGDDIVDKCAEVTALLKKCMDAHADYYEPVLRAEQAMVEAAADAAASANPEPEEVKRGNDS >Ma06_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6600881:6607039:-1 gene:Ma06_g09420 transcript:Ma06_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTPANTAASRNRPPSPKNPPPQYRDGAENPHRLPLVRSEKYNAAPRRPPSKEISSRYLPSNAPSPSPSSSTATSYSTPTTFSSSSSSSSSRRLPSPPVRPRPSTPPALPQSVAQRRSHSVDRARPLTPRREPRPAPVEPSSAARALSTTTRSLSVSFQGESFFYQTSRAKAASPSPARRPSPERRRASGVSATPARTGDHSENTVPLDNHRLWPAASTRAPNPLTRSLDCSLEHKDPILLTVRLLQQSMVFNDAPGKASYDGGDLSASSDTDSVSSGGNSGTPELGMPPRAKVTPQGISVPARFWQETNSRLHQPPDSGSLQSSGTRHMVHPKLGSVKKLSVERTLSSSPRSISSPLHGPMRPSSPGKLMTSPSRGMTSPLRARIGTTVTVYPISQPANAPSILSFAAEVRRAKKGENRIEEAHMLRVFDNRHLQWRFANARATAAFLLQKVTAEKTMYDAWVTTSKLRDSVAFKRIKLQLLTQNLKLNSVLEGQMTYLEEWSLMDRDHSNALSGAIEALKATTLRLPVVGGAKADIQKVKHAVGSAVEVMQTMGSSVCSQLSKVQEMSSMISEIAKVAAEERLLLDQSRDLLSTVAAMHVKQCSLQSHTIQVKRKVSQMQL >Ma04_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21681279:21682918:1 gene:Ma04_g19110 transcript:Ma04_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRVRRRHGFMMKEAITLCCGFIFLFSLFAVLSSSSYRRSVRLGVRSLMATDPHSQVAVAARRRKLVGGPGSYPPRCTGKCDDCTPCNPVHVAVPPGTPVTTEYYPEAWRCKCGNKLYMP >Ma04_p19110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21681279:21683065:1 gene:Ma04_g19110 transcript:Ma04_t19110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRVRRRHGFMMKEAITLCCGFIFLFSLFAVLSSSSYRRSVRLGGGGREAEEARRRTGVVPATVYGQVRRLHPVQPGARGCAARHSGDHRVLPRGVAVQMRQQTLHALTPSSPWSPDQPKELRGTLYLPPIVFVTVVYWGGSTQD >Ma04_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18509757:18524026:-1 gene:Ma04_g17670 transcript:Ma04_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYMPLGPSTTATSSSAAGASGSGRVFLAPRSPIAFVGLWAWASDHGRRLAERTGHVAAAAAAPGAGNGSEQDHYAVLGLPRSASAAEIKRAYRLLARKYHPDVSKALQADEVFKSIHNAYEVLSDEVSRARYDMTLSFPRATGRSWRRNPTQNSGHDESRRMYRWAELKQRMRYERQNKAYSWYDHREPYQEPSQYERGPFGEVLNFTFFTLFLMKIIGYRASLTICSLIALLDKQLDAGYKMGYVIAWFMGGRGGILLSILIHFTSWLCGKNNSNLVAVVVVAMWIGANLARLAPVPQGAVLALLYMSIKLQVDLK >Ma09_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36224487:36225254:-1 gene:Ma09_g24580 transcript:Ma09_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSAAVTIPTFTGLRAGTSAARRGASVVAAHSKAPAAAMVARASLREVGAAAAAVAASAVLAGNALAFDVLLGGSDGSLAFVPNEFSVPSGETIVFKNNAGFPHNVVFDEDEIPAGVNVGSISMPEEDLLNAPGETYQVTLKEKGTYTFYCAPHQGAGMVGKVTVN >Ma05_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17437633:17438061:1 gene:Ma05_g16560 transcript:Ma05_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMLTALKIFYVLDPNLQPILDPTDDDTDEVKVEQKKRNEDEVMCRGYILNTLSDRLYDRFMVEPSAKAIWNALEFKYHAEEEGTKKFLISKYFDYKFVDDKPMLAQVHELHVIVNQLKAEKIELPELFQVGVVIAKLPSS >Ma03_p33640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34934197:34934310:1 gene:Ma03_g33640 transcript:Ma03_t33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNWSKHAYMPPRTQRERERERERERERERERERDVP >Ma03_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3832037:3840369:1 gene:Ma03_g05620 transcript:Ma03_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPVDEKESFAMEVETIVDSSVSSVKRYPPEMPHNGPLSSVAYSWILWRILKRLSKSIKVVIFGTKINILLPFGPLSIVLHFLTENHGLVFFFSLIGITPLAERLGYATEQLAAYTGQTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGVVHSKKDQIFNKAGAVVNSGLLLMAVMGLMFPAVLHFTHSEVQYGKSEVALSRFSSCVMLIAYASYLVFQLKSHRNLYHPIEDEEGLNEADLDQDEPPELSQWEAISWLAILTLWISILSEYLVDAIEGASDALNLPMAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFSVVVGWLMKQQMDLNFQLFETATLFITVLVVAFMLQDGTANYFKGLMLILCYFIVAASFYFHTDPEEKDD >Ma10_p27740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34868372:34871199:1 gene:Ma10_g27740 transcript:Ma10_t27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWRERENNRRRERRRRAIAANIYAGLRMYGNYKLPKHCDNNEVLKALCDEAGWTVEPDGTTYRKGSKPSVECMDVVGGSTSPSPYSSNQASPCASYNHSPASSSFASPASSSYITNTNNSINGADGNSLIPWLKNLYSASSSASSSMFRHQQPLFMGGGSISAPVTPPMSSPTASTPCIKTDWYDQSAQPLWPGTSYKFLPNSTPPSPGRQMIPDPAWIAGLQIETTSPSSPTFSLVSSNPFGFSGGGSSRMWTPGQSGTSSPVMPGIIWMPGQSGTRSPVMPGISHNIDVQMSNGITDEFAFGSSSNGNSLRGLVKPWEGERIHEECGPDDLQLTLGSSRTRADA >Ma05_p27060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38502815:38504173:-1 gene:Ma05_g27060 transcript:Ma05_t27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKGGALPLASLNHISLVCRSVERSLDFYQNVLGFLPIRRPGSFDFTGAWLFNYGIGIHLLQSEDPEKMPRKKEINPKDNHISFQCESLSMVEGKLKEMGIPYIQRRVEEGGIYVDQLFFHDPDGFMIEICNCDNLPVISLSGEPIMACKRVMSLLPQQQQQKAALQL >Ma08_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34442695:34443585:-1 gene:Ma08_g20350 transcript:Ma08_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFPFHEDDHHDIHSWAFSPLADTLDLLECLRSPLVLSTPAVPPPQSAFVRYKACDGAAMSAGSGSMGGGASNIHRRMIQFWQTVVMGVRMESCKEKTAGNSRELRHLMKERRRRERLSQGFADLRFMLSHGSKGDKISVVRAAWEHLKELQQAREKLRRRNKELEVMISGNVMEAEETAIKVQPESRSSSFDSVFSALHRLKQMGVKATTISASFSGRVLSVEVATETKVVGDEVEGAMNASLAEVE >Ma03_p32080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34047237:34058650:-1 gene:Ma03_g32080 transcript:Ma03_t32080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MMGSGVPWPPSQVLLNVGGKKYATTVDTLTQREPESMLGAMFSGRHTVSTDDVTGMIFIDRDGKHFRHILNWLRDGIIPTLQDSEYQELLREAEYYQLLGLVDNINTSLNKRKDNDGSVAELSRTEIIKCIQSDRVRFRGVNLSGLDLSKLDLSFVDFSYSCIRKTNFSRANLQKAKFGDAEAEDSIFQDAILRECELIGANLHGALLDRANLQSANLQDACLTGCSLYEADLRSAHLQSAKLTGANLKGANLEGANLKGAKLNSANLQGANLQRAYLREVDLRDSKLDGAKLGGANLLGAIR >Ma03_p32080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34047237:34058650:-1 gene:Ma03_g32080 transcript:Ma03_t32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MMGSGVPWPPSQVLLNVGGKKYATTVDTLTQREPESMLGAMFSGRHTVSTDDGMIFIDRDGKHFRHILNWLRDGIIPTLQDSEYQELLREAEYYQLLGLVDNINTSLNKRKDNDGSVAELSRTEIIKCIQSDRVRFRGVNLSGLDLSKLDLSFVDFSYSCIRKTNFSRANLQKAKFGDAEAEDSIFQDAILRECELIGANLHGALLDRANLQSANLQDACLTGCSLYEADLRSAHLQSAKLTGANLKGANLEGANLKGAKLNSANLQGANLQRAYLREVDLRDSKLDGAKLGGANLLGAIR >Ma02_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28561552:28568374:1 gene:Ma02_g23630 transcript:Ma02_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSNKGLADSKVAKLSSLNRVTTLNPSAAEFVPSALKYTYGVTKSAESTKFDLPGSSRKAVLDQTGSNTSNNSDDEIHQYWRDQLPDDITPDFEVIGEEELHEPSHLTLAGFSIHDGVEQSKFSALATGQTLNMRQDLSSPTTDIGNMGYPGSVNSKQQSSVASMISASNMKGKSFISEQHGKVLYDGDLNADLVGNLMGNLMGDNVFLQNSITDPIEYLSSQFPGFAVQSLLDVYYANGCDLTLTIEILTQLELQVDAGSDQNLSTNSLSAPNFSPMDFPALPLADTQNQLSKYTGEDVQHGFNMHKSSSGTSRGDIDFASTVRKLALQDSGHWKYDRKGSADTGVGSSSNSQQLATSYNGLSKMVYGDKWHGSGPARSSPVWLETGEAVANIYSESREEARDFARLRNACFEQARQAYLIGNKALAKELSLKGQLYNMQMKAAHEKAKETIYQRRNPSSEVLGCSRGQDHLIDLHGLHVGEAIHVLHRELRAMRNTARAAGQQLHALICVGTGHHTKGSRTPARLPVAIEQYLVEEGLRFTQPQPGLLRVVIY >Ma02_p23630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28561552:28568374:1 gene:Ma02_g23630 transcript:Ma02_t23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSNKGLADSKVAKLSSLNRVTTLNPSAAEFVPSALKYTYGVTKSAESTKFDLPGSSRKAVLDQTGSNTSNNSDDEIHQYWRDQLPDDITPDFEVIGEEELHEPSHLTLAGFSIHDGVEQSKFSALATGQTLNMRQDLSSPTTDIGNMGYPGSVNSKQQSSVASMISASNMKGKSFISEQHGKVLYDGDLNADLVGNLMGNLMGDNVFLQNSITDPIEYLSSQFPGFAVQSLLDVYYANGCDLTLTIEILTQLELQVDAGSDQNLSTNSLSAPNFSPMDFPALPLADTQNQLSKYTGEDVQHGFNMHKSSSGTSRGDIDFASTVRKLALQDSGHWKYDRKGSADTGVGSSSNSQQLATSYNGLSKMVYGDKWHGSGPARSSPVWLETGEAVGNIFSISSFTAHHISMNFYWIYLKFPLIYVSIPEITANIYSESREEARDFARLRNACFEQARQAYLIGNKALAKELSLKGQLYNMQMKAAHEKAKETIYQRRNPSSEVLGCSRGQDHLIDLHGLHVGEAIHVLHRELRAMRNTARAAGQQLHALICVGTGHHTKGSRTPARLPVAIEQYLVEEGLRFTQPQPGLLRVVIY >Ma09_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5252201:5255951:-1 gene:Ma09_g08000 transcript:Ma09_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSIDDDRDFTNSVNTVNTFVLFGKTGNGKSATGNSILGREAFLSRLSLFGVTSTCELQSTTLKDGRIVNVIDTPGLFDSSDESEATGKEIVRCVNLAKDGIHAILMVFSARSRFSPEEEAAIESLKTFFGEKILDYMIAVFTGGDDLESCGQTLKEFIGCTKHQSLQKFLESCKSRIVLFDNKTKDETKRAKQMQGLLYLVDSVIASNGGKPFSDKLFVELKEGALRLQHKEKEVESMKGYSEQQISALKEEIYSSYDDQLARVTEMVEHKLMQTVEKLKKLLAEEQAARLKAEKISQEATKRSGKEIDRLRANLERAKKDAEEFRMRAESKKMCVYL >Ma05_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8118701:8120279:-1 gene:Ma05_g11210 transcript:Ma05_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAPLPFFYSSGSSLDGMMEGGGRLPGDPCQSFQQQQQQQQQMAMMPWPLCPPPATSTTGFTLLSSWTSGPSVSMDPSRHLIQRLLEKQGDEIDQFLRQRSDTLVAELRQQAKRHTAAMVRSLQSKASFLLRQREEELAKANKVGLELELRLKSAEEDRARWQIVAMENEAMAISLHNTLEQVREPCFSTTNGVPTEEAAAPEPTAGMSSTTLGRCRVCGHRDACVVLLPCSHLCCCAPCASFLEGCPLCSSVKRGSVEVFWE >Ma08_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35622111:35626716:1 gene:Ma08_g21860 transcript:Ma08_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARMPPASSEQRSSPKAKFLAYQNPTLSAALTAHSLRPSPSALLLLFFASLASATFLISVSSMEDDLIKKIGRIRVSVSTAQLLVKLLEAVIGLVFISSLSALIRALSLRNPTNALGNVSAASPSQKHKEVKNVLTQRQLALLGLKPKAAERITDAQPIKKPPRSRPVPSSEPLVPIRRSSFSYTPSHSSRVGPDQLCSSGGKKAALSPMSPPSSHSHVASPSTPWSRHSAGSAKGIQSEAMLEQYLAEVDEKIMESAAVAVTPPPPVRGIVISSPSSVATQSTPSGAARSTPLRPARMSPGSHQGYNTPPKKGEGELPAPMSMEQAVEAFEYLGIYPQIELWRDRLRQWFSAILINPLREKIDTSHIQVMQAAAKVGTSITVSQVGSDSPSTSPPFMLSPVGGAKEWLPTVTVDEDGLLHQLRASLLQARDGSMSQTSFAGMQQPQPSPLLPVIQACVDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVKRVRELAEGSCVKNYDYMGSGGGFGKGDKKWTSELPTDSHLLLYLLCAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGLLPPVERFPEKYVAVISGVPSVLHPGACILAVGKQSPPIFALYWDKKLQFSLQGRTALWDSILLLCHRIKTSYGGIVRGVHLGSSAFNILPVLDSDTEN >Ma04_p27540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28716790:28719735:-1 gene:Ma04_g27540 transcript:Ma04_t27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVAFKLYSLFFGSFIKLQSSQEVVATKVSSSSSSSLPKSYSPASLCCFESFGRLAFDRGELRRSRTSCCT >Ma09_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13192705:13193709:-1 gene:Ma09_g17630 transcript:Ma09_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLPGAWIAVLVAVLCLASPVAAKLSTTYYQKRCPKAEQIVSDVVTSKQITTPTTAAGALRLFFHDCFVGGCDASLLISTNAFNRAERDSDDNISLPGDAFDAVVRAKTALELQCPGVVSCADVLALATRDLVSMLGGPFFDVRLGRKDALASTAASVVGNLPSPNMTIDQLISIFAKRKFTVQEMVALSGAHTVGFSHCNEFASRIYGFNGGSRDAYDPSLNPQFAQALQKACANYVKDPTIAAFNDVMTPGKFDNLYYQNLLRGLGLLASDTALAADPRTKPIVELYAANQTAFFKDFSHAMEKLSVFGVKTGRKGEIRRRCDEFNNLST >Ma08_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37604692:37605329:-1 gene:Ma08_g24410 transcript:Ma08_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTIAGPPPLRKLRKYNSFSSSSSAAAAATAAAAGQVSRSITILRTPASSPRSPSSPTSAPDSPVAPTGPRGDWKRLQRKSVPAAEGMQTVESRNPTVYDWVVISSLEK >Ma08_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8643995:8648865:-1 gene:Ma08_g11650 transcript:Ma08_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARSSNPGGNASVDAAPLLGTQNGGRRSGRRGGLLRAAWFFRRTSSRQMMREPSMMVRETAAEHLEERQTDWAYSKPVVFLDILWNLAFVGVAVGVLVLSRNETPLMPLRAWIVGYALQCVLHMVCVCIEYRRRHLRGGAILDEEEGGSGRSSASNSPRRVREASENEQGRYDDNPSCIKHLESVNTMFSFIWWIIGFYWVSAGGQALTRDAPQLYWLCIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAIADQEGASEDDISQLSKYKFRKIGDSEKIDEISGPFGGIMTECGSDPPVERTLSADDAECCICLCTYEDGVELRELPCGHHFHCGCIDKWLHINATCPLCKFNIIKNNNHGREEV >Ma05_p30940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41105905:41107096:1 gene:Ma05_g30940 transcript:Ma05_t30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVAAGSAEDSTAGVQRKVLAERRKRSRARDPGTKHQTTSRPSKRLKTVCWQTQHRLPADESTMAGTQLVKAPARRSQKLGDKITALQQLVSPFGKTDTASVLHEAALSINFLHEQIKMLAAPYFGMSSPEVRVQGHARNSADLRSRGLCLAPVDAIPELMDGKACSSCWPEILVREAGLDRHRLSNFTTMYTP >Ma04_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7236920:7238042:1 gene:Ma04_g10110 transcript:Ma04_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVMKKALLSYAYVALWIFLSFTVIVYNKYVLDPKMYAWPFPISLTIIHMSFCSALAILLVRILRLVAPPSSPPMTRRLYLSSVLPIGAFYSVSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFNKETFRTSSMLNMLSISFGVAIAAYGEARFVSTGVALQLAAVAFEATRLVFIQILLTSKGISLNPITSLYYVAPCCLAFLLVPWSLIELPILRDRLAASAFRPDLLIFGTNCLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTSINLFGYAIAFLGVGYYNHAKLQALKAKEAQKKAAQADEESGKLLERAAGGNRKNDSQA >Ma04_p35370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34174351:34180474:1 gene:Ma04_g35370 transcript:Ma04_t35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESVADRNAVFRKLKSKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKVMVFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYRQILSKEVAKSFAEDNVLPSSPVATSHTSDAVDRLPELKVADATKEISNERHEPEIRHSPKAPIHSTVLSSIRKSTGAKKTGGKTGGLGIRKLTTKPNENLYDQKPEQPAPTATTSDNFKTTDGPSYPSRFQYMDDIPIESGSGGAQVISHVAPPKSSSFFAEFGMDSGFQKKSSSTSSKVEESNEARQKFSNAKSISSSQFFGDEKKSTENEAQMSLQKFTDSKAISSADLFGHDTSDPGLDLTAADLINRISFQASQDISSLKNIAGETGKKLTSLASSLINDLQDRIL >Ma07_p25340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32418999:32424489:-1 gene:Ma07_g25340 transcript:Ma07_t25340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQALCCVTVDQSSVAIKESFGKFEDVLLPGCHCMPWFLGKKVSGRLSLRLQQLDVKCETKTKDNVFVNVVASVQYHALAEKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVENELEKAMSAYGYEIVQTLIVDIEPDVHVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGAQSKSSAVFIPHGPGSVRDVADQIRDGLLQASTHN >Ma07_p25340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32418999:32423594:-1 gene:Ma07_g25340 transcript:Ma07_t25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQALCCVTVDQSSVAIKESFGKFEDVLLPGCHCMPWFLGKKVSGRLSLRLQQLDVKCETKTKDNVFVNVVASVQYHALAEKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVENELEKAMSAYGYEIVQTLIVDIEPDVHVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGAQSKSSAVFIPHGPGSVRDVADQIRDGLLQASTHN >Ma07_p25340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32418999:32423514:-1 gene:Ma07_g25340 transcript:Ma07_t25340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGQALCCVTVDQSSVAIKESFGKFEDVLLPGCHCMPWFLGKKVSGRLSLRLQQLDVKCETKTKDNVFVNVVASVQYHALAEKASDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVENELEKAMSAYGYEIVQTLIVDIEPDVHVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGAQSKSSAVFIPHGPGSVRDVADQIRDGLLQASTHN >Ma01_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1710757:1711331:1 gene:Ma01_g02550 transcript:Ma01_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma06_p34450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34668543:34671691:-1 gene:Ma06_g34450 transcript:Ma06_t34450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNGLHPHRDDATDDEDDGDYGSAAHDAYYHPISAFDDGFDPNSDSDVASGGIVEAASPIRPNGGALFHLRNPDPSFLFLDAESGISALDLNGGGDGVVASSGGLVEEVEDEEAEEESVRQREVSISRAFREDERRRSAPLTPENAARVLDAMRGVAFQGVPPDWAERVPEDQWVARLRRMRESYAGIILWRAAFRCCL >Ma06_p34450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34669572:34671691:-1 gene:Ma06_g34450 transcript:Ma06_t34450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNGLHPHRDDATDDEDDGDYGSAAHDAYYHPISAFDDGFDPNSDSDVASGGIVEAASPIRPNGGALFHLRNPDPSFLFLDAESGISALDLNGGGDGVVASSGGLVEEVEDEEAEEESVRQREVSISRAFREDERRRSAPLTPENAARVLDAMRGVAFQGVPPDWAERVPEDQWVARLRRMRGHGSKGSSGL >Ma06_p34450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34668754:34671691:-1 gene:Ma06_g34450 transcript:Ma06_t34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNGLHPHRDDATDDEDDGDYGSAAHDAYYHPISAFDDGFDPNSDSDVASGGIVEAASPIRPNGGALFHLRNPDPSFLFLDAESGISALDLNGGGDGVVASSGGLVEEVEDEEAEEESVRQREVSISRAFREDERRRSAPLTPENAARVLDAMRGVAFQGVPPDWAERVPEDQWVARLRRMRVYKRCS >Ma06_p34450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34670052:34671691:-1 gene:Ma06_g34450 transcript:Ma06_t34450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNGLHPHRDDATDDEDDGDYGSAAHDAYYHPISAFDDGFDPNSDSDVASGGIVEAASPIRPNGGALFHLRNPDPSFLFLDAESGISALDLNGGGDGVVASSGGLVEEVEDEEAEEESVRQREVSISRAFREDERRRSAPLTPENAARVLDAMRGVAFQGVPPDWAERVPEDQWVARLRRMRD >Ma11_p13020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17077055:17081133:-1 gene:Ma11_g13020 transcript:Ma11_t13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVVGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVESLKKMNKNKKLVKKLAKKHHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma11_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17077055:17081135:-1 gene:Ma11_g13020 transcript:Ma11_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVVGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVESLKKMNKNKKLVKKLAKKHHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma11_p13020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17077055:17081133:-1 gene:Ma11_g13020 transcript:Ma11_t13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVVGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVESLKKMNKNKKLVKKLAKKHHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma11_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2712062:2713703:-1 gene:Ma11_g03630 transcript:Ma11_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKFALAFKTKTIEFFAEEEEEEEEAEAVDLATDPGREVVITGQRVVVLKPDPAPRTDPRTLAAAALAAVSSFQAAYLHLQTAHSPFLPDALRSADRAAVSHLRRLSVLRRSYLCPGDSPPFPLSSHLEAQVQENQSLLRGFETVVDRLQSDIDRKDAEAAALEKALADLDAVGARLADRLERACMPPEEKVEALLTVGVFDSVLRDTCRLTHRFARILVDLMKMAGWDLGAAANCIFPDVNYAKPGHCRYAILSYICLGIFEGFDSYDSCDDGSRVELDDIDVTIRRNDSLQQFVEHSALDPIELMRDFPTCDFANFCQKKYAKLIHPGIESSLLRNLATAESSLGSLRQTSPLYEPFVSMASSVWMLHKLAWAYDPVVEIFQVARGTQFSMVFMENIVRKVDKMRIDHGRASRPKVGFTVVPGFHVGKTVIQSKVYVDGSKQAS >Ma05_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3631576:3654414:1 gene:Ma05_g04780 transcript:Ma05_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSKPRCSSPLGRFISSPISIPLLILLLLVAEARAAPWEARKSGKFSVFSLFNLKGKSKFWSESVIRGDFDDLESSVSSESGKIAVLNYTKAGNIANYLKLSEVDSIYLPIPVNFIFIGFEGKGNHEFKLGPEELDRWFTKIDHIFEHTRVPPIGEVLAPFYKISIDKVQRHHLPLVSHINYNFTVHGILMGEQVTSVFEDAVKALSRKDDLLDSREDKTNLWQVDMDRMEYVFSTLVEYLQIDNAYNIFILNPKKDDKRIQYGYRRGLSESEIKILKENKTLQNRILQSESPSQISLEIDKGKGSRPLYTNRPTSTFAWTTTEDTDTIEWSKKCLDTLTSVKKFNEGKDDIEVLYNKAVQMLHGWKNDVNILFEREVKSGELKGLHPECLTDTWVGKDRWAFIDLSAGPFSWGPAVGGEGVRTELSLPNVGKTVGAVAEITEDEAEDKLQDAIRERFSSFGDDHQVIDILLAEIDIYELFAFKHCKGRRTKLALCEELNERMHDLKSELEGYNSEEYDETHKRKALDALKRMENWNLFSDTNEEYHSYSVARDSFLAHLGATLWGSMRHIIAPSVADRAYHYYQKISFQIYFITQEKIRNIKQLPVNLRSLKDGLSSLALASQSVMFSEHLLSLSEDPALTMAFSVARRTAAIPLLLVNGTYRSTIRSYLDSSILQRQLQRLTDHGSLKGTYSNSRSTLEVPIFWFIHSDPLLVDKHYQAKALSDMIIIVQSEMSSWESHLQCNGKSLLWDLRRPTKAAIAATAEHLAGLLPLHLVYSHAHETAIEDWTWSVGCSPLSITSQGWHLSRFQSDVIARSYIITALEESIQNVNAAIYRLIMERTTAQGFKLFKTKERNIVEKYNSVIGLWRRISTISGGLRYGDAVKLLSLLEDASSGFTDFVNSTIAALHPVHCTRERKVDIELDLTTVPAFLFVIAILWFVLRPRRPKPKIN >Ma02_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18039383:18039918:-1 gene:Ma02_g07290 transcript:Ma02_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRKNKSICERSAMMAVQLVRMSSLVFAKMPFGRGRGEVAALPAQPEHVVEIVQVEAERHAGRRCVDASASEFIRKFHAKNRGDPSGASPPPSHMPNPKHAGV >Ma11_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5269983:5271115:-1 gene:Ma11_g06460 transcript:Ma11_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAVPWLLSLVLILVALTPRSDGGGQGNQWCIADEQTAADVLQVALDWACGAGGANCSMIQPNKPCYLPNTVKDHASYAFNSYWQKHKHQGGTCYFNAAAMVTDLNPSHDACQFESLP >Ma07_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16867583:16868459:-1 gene:Ma07_g17270 transcript:Ma07_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARREKGEEDEQGRKKRGRGEGVAAAAVAAAAGREGEEIEQGRKKRLRLWCCGHGCDCDCGSCSWERKERKGGKRGCGQDCGRGGSGCVCDNYVWKRKRRNESKREQVIVPR >Ma05_p11320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8181082:8182282:1 gene:Ma05_g11320 transcript:Ma05_t11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVDRAERLLEEAFKIQGRDKKGRKILRIVGKFFPARELMGAGQGGGGEEALQGFLERRVFPEIGGAPFVVVYMHSLVQRSENFPGVAALRSAYEALPAAVRDGLRAVYFVHPGLQARLFFATFGRFLFSAGLYRKLRYVSRLEFLWEHMRRGEVEVPEFVEDHDEELEHRPLMDYGLVESDHHHRAFDDPAIDSAASMHSLRCIS >Ma06_p27220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29250845:29253445:1 gene:Ma06_g27220 transcript:Ma06_t27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPASNVNISLVSKEEQMLGLHFGRKIMSLAGDEFEDSIPENQIFAEIFLEFDENGVSKTNDAFDTGDFRLSEDSLSKVLVNTSYESGISASLSLEESTDNAFKHEKMNLEHGQECPKSSFSYWAKSDVHKINLKQMNLSFSQHLSFKDSAQRCNSVCQIKPCHIVESFDQSIKSSYYVFDSVDVFCDLSDERQSTQKHNGNTNANLNSSPCPQEDHAPTKLMPVTPVTTKELPLPLTYMNIKKTLLNTPNSKTVDVGGNRALPTDLSTSLRSHAHHLLMDAGWKICIHSRKDGRKFDLIYYPPAEGAQLYSLSRAWISCGVELSANTSNIREEESGRVWTDINAFSGDLADVLEFIKMEIRHPENSLSLLQRWVLLDPFVAVVCINKKISALRKGTAVKAVNSMTTVLGGTESLGLLRSDVNGVLHNSRSLCSSERSLLSDIVSDGKSSALGCPAPDLRDKRNHHRVRQEHFFKASAESRSIRSLDGQKWKGCHDARDLLGRDSKASLLIVLTNSQ >Ma10_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27415273:27421396:-1 gene:Ma10_g15210 transcript:Ma10_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAISGTGSGITGLLRLRRPAARNPAIAAGSLADGGNPIWSRQLSLIHLDRSFPPSRIATAAAVSKKGGLLRPVAAAASSSPAEGSDHVGEAEVGFAAKYPALVTGFFFFMWYFLNVIFNIINKTIYNYFPYPYFVSVIHLSVGVVYCLVSWAVGLPKRAPIDSDLLKLLIPVAVGHAIGHVTSNVSFAAVAVSFTHTIKALEPFFNASASQFILGQPIPLTLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALFVCIPPAILLEGPQLMQHGFKDAIAKVGMTKFLSDLFWVGMFYHLYNQLAANTLERVAPLTHAVGNVLKRVFVIGFSIIIFGNKISTQTGIGTCIAIGGVALYSYIKAKMEEEKRQLKAA >Ma01_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22749034:22750020:-1 gene:Ma01_g22040 transcript:Ma01_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPANYPPAIDIESTIQKPTFEGYTRQTKFKTKDFSEAWNLPSAFQQQGAMFIIPTQLGMFDEVFMRWESITKNLVSLQGFTDSQAKMEFIENLLGESKKLAWIQWRMAYPDEYQLLLANTDRARGTQNILSQLRTIFILKDPFQGSTGMQEEAYKDLERLSCNNLKHIIQFLNDYMRLASKMGRLFTSPELSEKLWSKTPEELGKRIKDAFESKYKGNTIGVIPRILFSYKYLEAECKDAAFKRALKDLSFCSEIPIPRYYNKPERKYGVRRSTTYKGKPHSSHARIEKRKHLVRNKRCKCYLCGEEGHFARECPNDRKKHKEGCNV >Ma04_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1938453:1939014:-1 gene:Ma04_g02230 transcript:Ma04_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQRYRGVRQRHWGSWVSEIRHPTLKTRIWLGTFETAEDAARAYDEAARLMCGPTARTNFPFDPNFSSVLSPNLMAKLERCCSASGDESRRGKATPIGSQEDVAQCTDEEYIEEMIQELTYSGSLEISTSSSSSSSSSCYVKSCGY >Ma01_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2675994:2676509:1 gene:Ma01_g04110 transcript:Ma01_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLQDSSPLAAASSSFMVLFSNALIFCILSIVYYAILVSIMFFVRAVLRYCERQRKRFVVAAFLTKIPRALYAVPSSSPSPPVSVVVPEPDMESCVICMDEFVSGEELWVLPRCKHLFHGVCIERWLLAPSMTCPVCRKHVIHGKAIAPRSHNIDIDTDELHFLVSTSV >Ma06_p05340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3968259:3980407:1 gene:Ma06_g05340 transcript:Ma06_t05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEWCKEAPKELDFNQEAENTRKVYKNLRVKNEHDDINSVNRVDVLIPEVIQSSERVLILEYMDGIRLNDKASLDEYGVDKQKLVEEITCAYAHQIYVDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKLISSSMKHALAKMFLACAEGDHVALLAAFTEMGLKLRLDMPDQAMDIASVFFRNSTPASEALENVKSLADQREKNMKFIQEKMKLNKKEVQHFNPVDAFPGDAVIFIRVVNLLRGLSSTLDVRIVYLDIMKPFAESTLLGSIRTEPALDTQWIYDSPIHSDVEAKLRQLLVELGNEKILGIQVCAYKDGKVLIDTAAGMLGRYDPRPVQPDTLFPVFSVTKGITAGMLHWLVDKGKFKLDETIANIWPEFSASKKDMIKVHHVLNHSSGLHNAMSDVMRTNPLLLCDWEESLHRIAQSAPETEPGSQQLYHYLSFGWLCGGVIEHASGKKFQEVLEEAFIHPLNIEGELYIGIPPGVESRLAALTLDTEDLQNLLEINGRPEMPSSLQEGNLAEIASGVPVLFNTLNIRRAVIPAANGHCSARALARYYAALANGGSTPPPHSLVSKPPLGSHVHVPTFPSFKQPKKKLRIKEIDNPDTPTKKTDGLRRRGCSNSNSPKNNKAYNIVDNNIDNDAQKSVQRIFSSPKIHDAFMGVGDYSDMVIADGKFGLGFRRFNTAAGNPTSFGHSGVGGSTGFCNIEHNFSIAVTVNKMSLGGVTRSIIQLVCSELNIPVPEEFSRFGEKGPDMQFNLGL >Ma06_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3968257:3980407:1 gene:Ma06_g05340 transcript:Ma06_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGNIYKRRLKVFTLALVIYLDYKAVQKREKWFSKSKKEALWQRTHERNAKRVLNLMIEMEGLWVKLGQYLSTRADVLPEAYIYLLKQLQDSLPPRPLKEVSQTIEKELGKSVNDIFSHFVEAPLATASLAQVHRATLRDGQEVVVKVQHEGIKEVILEDLKNAKSIVDWIAWAEPQYDFNPMIDEWCKEAPKELDFNQEAENTRKVYKNLRVKNEHDDINSVNRVDVLIPEVIQSSERVLILEYMDGIRLNDKASLDEYGVDKQKLVEEITCAYAHQIYVDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKLISSSMKHALAKMFLACAEGDHVALLAAFTEMGLKLRLDMPDQAMDIASVFFRNSTPASEALENVKSLADQREKNMKFIQEKMKLNKKEVQHFNPVDAFPGDAVIFIRVVNLLRGLSSTLDVRIVYLDIMKPFAESTLLGSIRTEPALDTQWIYDSPIHSDVEAKLRQLLVELGNEKILGIQVCAYKDGKVLIDTAAGMLGRYDPRPVQPDTLFPVFSVTKGITAGMLHWLVDKGKFKLDETIANIWPEFSASKKDMIKVHHVLNHSSGLHNAMSDVMRTNPLLLCDWEESLHRIAQSAPETEPGSQQLYHYLSFGWLCGGVIEHASGKKFQEVLEEAFIHPLNIEGELYIGIPPGVESRLAALTLDTEDLQNLLEINGRPEMPSSLQEGNLAEIASGVPVLFNTLNIRRAVIPAANGHCSARALARYYAALANGGSTPPPHSLVSKPPLGSHVHVPTFPSFKQPKKKLRIKEIDNPDTPTKKTDGLRRRGCSNSNSPKNNKAYNIVDNNIDNDAQKSVQRIFSSPKIHDAFMGVGDYSDMVIADGKFGLGFRRFNTAAGNPTSFGHSGVGGSTGFCNIEHNFSIAVTVNKMSLGGVTRSIIQLVCSELNIPVPEEFSRFGEKGPDMQFNLGL >Ma09_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18671252:18672199:-1 gene:Ma09_g18690 transcript:Ma09_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTGNFMLVNSQGSPRWQSFQVPSDTILPSQVLDLGSHLSAHLMDDDYSSGRFTLLVQTDGNLVLYTVAAPSGFQYDAYWSSNTPGNGSKLVFNENGITVGVWSDGWTPVVFQPPDICQVTNSGGGSGACGFNSYCRFNENQHVDCECPPQYSFLDADRKYRGCKPDFAAQSCEADASETHELYEFIAMTNVDRPSSDYEQYSSMDEEQCREECFADCFCAVAIYDDGNCKKKRLPLSIGRTGNSGSKKVLIKVPKVNVRVSLRIFTKR >Ma03_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10300591:10301190:1 gene:Ma03_g13300 transcript:Ma03_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEMISWQSVHKQACSLQKDTERKNDRPYCKHLCFSLVAALKLLYDAKEGHSQKPKTRKHGRASFNCS >Ma04_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5787665:5795940:-1 gene:Ma04_g08080 transcript:Ma04_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLEKTASIDAQLRLLAPGKVSEDDKLVEYDALLLDRFLDILQDLHGEDLREMVQECYEHSAEYERKHDPRKLEELGNELTSLDPGDLIVVTKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETLKRLVAQLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLTQLTAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRVRADQLHRSSKKDAKHYIEFWKKVPPSEPYRVVLSDVRDKLYNTRERSRHLLSNGYSDIPEEATFTNVDQFLEPLELCYRSLCDCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLGIGSYREWSEEQRQEWLLSELNGKRPLFGPDLPKTDEIADVLDTFHVIAELPPDNFGAYIISMATTPSDVLAVELLQRECHVKKSLRVVPLFEKLADLDAAPAAVARLFSIEWYRNRIDGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQCFGEEHLCFRTLQRFTAATLEHGMRPPISPKPEWRALMDEMAIVATEEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNVHILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWGFGGRLRANYEETKRLLLQVAGHKDLLEGDPYLKQRLLLRDAYITTLNVCQAYTLKRIRDPSYHVNVRPHLSREISESTKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Ma07_p29040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34870102:34872816:-1 gene:Ma07_g29040 transcript:Ma07_t29040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEMKGPKDRERIESVLKMLKKQAPVTVKQEKFCNDACVERFLIAKGNNVKKAAKQLRTALSWRESIGTADHLIADEFSEELGSGLAYVAGHDDEARPVMVFRIRQDYPKTHTQKSFVRLLVFTLEVAISSMSRFVDQFVILFDARFFKSASAFLNLFVGTLKIISEYYPGRLHKAFVIDPPSLFSYLWKGVRPFVDLSAVAAAVASLDFDDSLDDAAFAAYPTRTASLRFDPAVAATTTTKVGGSASSRFSFTVSHFDSLKPWYLSTTTTTAPRAVAPTASPSLIGASPLNARSFSFASPAARSMPRAGIAYAPACRSIPSTPCSFPLPKQPRQHQQPPRTPRPSFLQSPATLFSFRKEGQVVPSRGERERESFLPYLRFYRRPYDEMVYRAKMRPPLGGLISIISPHLNNQQRQQQRCNAINIRHQRMQHLNY >Ma07_p29040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34870100:34872816:-1 gene:Ma07_g29040 transcript:Ma07_t29040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEMKGPKDRERIESVLKMLKKQAPVTVKQEKFCNDACVERFLIAKGNNVKKAAKQLRTALSWRESIGTDHLIADEFSEELGSGLAYVAGHDDEARPVMVLCFFFFFFFNPQLQHFTDSLDPLLQVFRIRQDYPKTHTQKSFVRLLVFTLEVAISSMSRFVDQFVILFDARFFKSASAFLNLFVGTLKIISEYYPGRLHKAFVIDPPSLFSYLWKGVRPFVDLSAVAAAVASLDFDDSLDDAAFAAYPTRTASLRFDPAVAATTTTKVGGSASSRFSFTVSHFDSLKPWYLSTTTTTAPRAVAPTASPSLIGASPLNARSFSFASPAARSMPRAGIAYAPACRSIPSTPCSFPLPKQPRQHQQPPRTPRPSFLQSPATLFSFRKEGQVVPSRGERERESFLPYLRFYRRPYDEMVYRAKMRPPLGGLISIISPHLNNQQRQQQRCNAINIRHQRMQHLNY >Ma07_p29040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34870103:34872816:-1 gene:Ma07_g29040 transcript:Ma07_t29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEMKGPKDRERIESVLKMLKKQAPVTVKQEKFCNDACVERFLIAKGNNVKKAAKQLRTALSWRESIGTDHLIADEFSEELGSGLAYVAGHDDEARPVMVFRIRQDYPKTHTQKSFVRLLVFTLEVAISSMSRFVDQFVILFDARFFKSASAFLNLFVGTLKIISEYYPGRLHKAFVIDPPSLFSYLWKGVRPFVDLSAVAAAVASLDFDDSLDDAAFAAYPTRTASLRFDPAVAATTTTKVGGSASSRFSFTVSHFDSLKPWYLSTTTTTAPRAVAPTASPSLIGASPLNARSFSFASPAARSMPRAGIAYAPACRSIPSTPCSFPLPKQPRQHQQPPRTPRPSFLQSPATLFSFRKEGQVVPSRGERERESFLPYLRFYRRPYDEMVYRAKMRPPLGGLISIISPHLNNQQRQQQRCNAINIRHQRMQHLNY >Ma09_p29700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40090990:40093876:1 gene:Ma09_g29700 transcript:Ma09_t29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFSLLFLAFAPLLSVAGPVAREFVYPNFTASYLHFIDSSGVFLSSSAFAVAFHNPGKQPSRYYLAVLHAPSGAVVWTANPAAPVPPSANLALTSAGLALSLPDGSLAWSTPPLAAPVAALRLLPSGELRLLDSANASLWSSFDHPTDTLLPDQLLPASASLSSAISNSDPAPGDYRLLVTPADSLLQWTPTSLVYWSLSTDLRATKDSNFKVSYMAVNATGLYLLAGDRKTAVFRMIFPPPTSSFPDEFHIAKLDPSGRFRILSFSPNESLSAVVFDTDFVVPSNDCDLPSACGSLGLCAPGANSSKCSCPPPLGASPAGGCSPADGSVLANASCRDNAEGDGGTSATYTSLGSRIGYFGTKFSMPVASGANISACQHLCSGNCSCLGFFYKNSSKSCYLLERQIGSLFIVDDGGDNLAAGYIKTLNRPSPPSPSNKFSSSVRFVIILLPSTATFLLVICISFMYFTTWRRSTRRTGGMTRIKSMTSGLRWPMAPEFSEEEDDDEEEEITITGLPTRYTYAELETATDNFQTRIGSGGFGSVYKGQLPDKSLVAVKRIDAVNVQGKREFCTEIAVIGNIHHVNLVRLRGFCAQGPRRLLVYEYMNRGSLDRALFGHGPVLEWRERLNIAIGAARGLAYLHAGCEHRIIHCDVKPENILLHDHNQVKMADFGLAKLMSREQSGFFTTMRGTRGYLAPEWLTNSAISDKTDVYSFGMVLLEIVRGRKNRTEESGPEWTGSTASSGSSRPVPYFPMVALEMHERGTYDELADPRLQGRATGPEVETVVKVALCCLHEEPASRPSMMAVAAMLEGTTPVCEPTLKSLNYLSLYGGGSRDPTGRRGSATPSPLLSYLSSQEVSGPR >Ma03_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29057114:29058013:1 gene:Ma03_g24820 transcript:Ma03_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQQPPPQAYPPPPSAYPPPGQTYPMPPQVGDPVKDGGAVVAQPVSAETQSRGDGFWRGCCAALCCCCLLDMCF >Ma01_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7624090:7634356:1 gene:Ma01_g10600 transcript:Ma01_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDQKPASSAAGGGFFSAIASSVRNWGSAMQKSVNGLLGYEGLEVINPEGGTDDAEAEAQRGRWKQEDRDGYWKMMHKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSYLLDMADDCEDPYMRLVYTSSWAISVYYAYQRTWKPFNPILGETYEMANHGGITFIAEQVSHHPPMSAAHAENEHFIYDITSKLKTKFLGNSVEVYPVGRTRVTLKKSGVVVDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYDAAEEPKILITGKWNESMSCQPCDKEGEPLSGTELKEVWRVAATPVNDKYQYTYFAHKINSFETAPKNLLASDSRLRPDRYALEKGDMSKSGAEKGSLEEKQREEKRTREANGHKFTPRWFNLSSEITPTPWGDLEIYEFNGKYAEHRAKVDDSDSIEEVDIKTIEFNPWQYSDLSAE >Ma09_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5770989:5773596:-1 gene:Ma09_g08710 transcript:Ma09_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNERTISTGMGPFKAEPEGPLTSLWLTGGPMGICTRRLLLLVLLVCVWLFSCKPEEVYGIRWNEEEHARTVKKERSLTHTGVVSLDASKKSAAEPSPVDPNKMSKRRVRRGSDPIHNRC >Ma04_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14405486:14406823:1 gene:Ma04_g15850 transcript:Ma04_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRLHHHLSLLLAPLLLLPLFAATSPLPLLPSPNPDLGPLLAFKLSLSRHTPAALSSWDPAADPCASWRGVSCRGGRVARLVLVGLRLDGPIHHLAGLPRLALLSLNNNSFSSPLHRLDLSLSPWRSHLKHLHLSHNRFSGPFPVSFLRLRRLRRLDLAGNLISGTIPPEIGLLLRRLLTLRLEENELDGAIPVSVGSIPGLTDLNVSHNRLVGEIPRQLSSFPLSSFAANPGLCGGSLLRRCLKNWTASCDRRPPPMVNGRKIRKWVAAIAGAMSATIAAAAAVAMVALLCLKRRAKNREVEVAEKGRKEGEEAEEAEEGGRMELFEGCGRFALEDLMRGSAEMLGRGAVGSTYRVVMEGGQAAEVEAAVVVKRVRRRVKEKSGKEEEELLGEIGGWRHPNVVSLRAYHDSSTGEELLLVYDYLPSGSLSNLLHGPFPLLVS >Ma10_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22238094:22240623:-1 gene:Ma10_g07900 transcript:Ma10_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSLCSSPFSFSSSSPLLPLPCSLFSPSLLSLSRAVAPHSALNIGSKLSYMSPKKDRALAAHDFSRNVSKVAPLSCKVSFGRRDISSEEGSREESPTGLQEKETPLGKSTYGDRIMLSSFGPPLDSFGNYDAGVSETKFQMTLAELLEKSGVTPTAIYGDLDALITGVQDDSMEVCQGDLFISCVGSKTDGHLYLTEACNRGAVALVVGEESVKAQILRCGCNAVVVVNATDSALPVIAATFYGHPSRSLFVVGVTGTNGKTTTTNLVRSIFETMGYGTGLFGTVCFFINGDEMLEASRTTPDAVPAQRLMAKMVRSGTKALVMETSSEGLEQGRCNELDFNVAVFTNLTRDHLDFHGTMEAYKKSKGKLFAKMVDPNRHRKVVNIDDPNAPYFIDHGNADVPLVTFGMQNENADVYPLKSELSLFKTKVWVSTPKGALEINSGMLGRYNIYNILAAVAVGIAVGLKLEDIVRGIEAVKGVAGRFELIDEGQPFAVIVDYAHTPDAVCRLLDAVRELNPRRVITVFGCGGERDGGKRPLMTKIAADKSDVVILTSDNPRNEDPSTILDDMLAGVGYTMQESSPPGGSKIY >Ma05_p29220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39984180:39986006:-1 gene:Ma05_g29220 transcript:Ma05_t29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKRKKRLRTLRREISEPFYEKKEAAKLAAQEAALAAPKLPVKAPVASDEPAAELASSMDVEMGDGGSSHDQSKSLLKPIGGIGKKMLKKKLKLKGKKRGKGKGKISKRKI >Ma09_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9880048:9881560:1 gene:Ma09_g14450 transcript:Ma09_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARFFSLPDSIKQLSDSGALSSVPTHYACRDPDAPSDVDPTLEGQIPTIDLSVLTEGDPARRSQMVRQLGRACEEWGFFVVVNHGVPETVREAMLAAAQGFFDLEEEEKAEHSGKHVMDPIRYGTSFNSKVDDVGYWRDYFKIVVHPAFHSPAKPLGFREALGQYAACTRVVGMELLRGMWESLELDDGYMNNALDLDACFQVCVVNLYPPCPQPELAMGLPPHSDHSLLTLLHQNGVDGLQVKHGSKWVHVKPPPNSFLVNTGDQMEIVTNGRYKSVLHRAVINGRSTRMSIATVVAPSLDTVVEPVAQLVSPERPAMYRGVRYREYLEHQQRNKLWEKSALDHLRVQ >Ma02_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16066362:16066556:1 gene:Ma02_g04700 transcript:Ma02_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVIILGRANHHRLCGHFTLRSSFPFPFHFTLLFVLYCIEGVLFVCSKSASFRTESMVNTYE >Ma06_p37780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36750343:36756533:-1 gene:Ma06_g37780 transcript:Ma06_t37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHGGYLEAIVRGHRSGLLTASDYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTVVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGYMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYNFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAISEDIDQVRSVMEKYPPYQSIFAKISYGESQMLDKAFYEEEVKRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRIHDSVVFIF >Ma06_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4524982:4525462:-1 gene:Ma06_g06140 transcript:Ma06_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAALYGMSFLTSLLLATHLTCGAARHLLDTAEAPAALPPSLPTSSPMPVVPTVPKLAVPPMPVMPTATLPTLFAIPSIPEVTMPSIPVMPSIPTVPAVPFLAPAPAEAP >Ma10_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1628209:1629339:-1 gene:Ma10_g00420 transcript:Ma10_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLRLLSSLLLCLLALLLHRATVTSGCFSMEREALLDFKAGIHDTYNRLSSWVGQDCCAWEGVICRATTGHVVKLDLRNTFDRALRGERMNSSLLALSHLKHLDLSFNDFNGIRMPEFIGSFKKLRYLNLSSTNFMGGIPARLGNLSSLYVLDLSDALHFTSHVDNLDWLSHLTSLKHLDLSWLKLTDLPDWFSSVNMLHSLQVLSMSFVGLDTIPASVVHVNFTSSLTVLDLSYNHFKSTLPKWLWNITSLTHLDLHESGFHGVIPDAIGDLGSLTFLDLGFNQLEGIVPKSMVDLRRLKELRMPGNQLTGNLSGWLEQMTNLIILDLSYNLFNGSMTSSSVGKLSNLTELYLGGNSLEGVISEVHFENLTRLQ >Ma06_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16635310:16640800:1 gene:Ma06_g21930 transcript:Ma06_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQAHSRRSSSFSGSPARKKQPEMGSSDSGRKTPLSRSLTLTGERTVKRLRLSKALTMPDSTTVLEACRRMAARRVDAALLTDSNALLCGILTDKDIATRVVACELTLQDTPVSKVMTRNPIFVLSDTLAEEALQKMVLGKFRHLPVVENGEVIALLDITKCLYDAIARLERAAEKGKAIQAAVEGVEKNWGTSISGPSTFIEALREQVFQPSLSTIIQGTQSRVVTVSPTESVLSATKRMVEFQMGSAIITIGNKPLGILTSRDILMRVAAKNLSPDTTAVEKVMTPNPECRSIDTSILDALHTMRAGKFLHLPLTDRNGIIVSVIDVLNITHAALATFESSAAVGNEAAISLMQKFWDSAMATGPSEEDDETRSEGSMKMTSEVTDVVASAYPSSNLPDMFSFKLEDKQGRMHRFHCETRSLTYLITSILQRVGDDIDRNHLPHILYEDEDGDKVILASDSDLVAAVDHARLVGWKGLRLHLDYSANGCGKKGRGSGRMELANIDAWAAAYNMVAAGAAVVAGLGMMAYLKRFAS >Ma10_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32084063:32092046:1 gene:Ma10_g22940 transcript:Ma10_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCFFFRRQYRAASGQAPEAIQEVFGQYSEGGVMREQELRRFMKEVQGEARAGVNDAIKESKYLKELQKKGLSVDEFYHYLFSDDNAAHPSSPGVYQDMTAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALRSGVRVIELDLWPNETNNNVNVLHGRTLTSPIKLIKCLRSIKEHAFEASPYPVIITLEDHLTPNLQAKAAKMLTKTFGNILYTPTSESLEVFPSPEELKMRIMISTKPPKEYLESKTTMTSPTTSHKVPTICDRLFYPITKLFIKEKEHEGQNVDEEEWSEEVSDHEAITNDHELNEHYQEEDPEEGDGKSPIEYKRLIAIAAKKMQGDLTEALKIDPHKATRLSLSELALEKAASSHGTELIRFTQRNLLRIFPKGTRVTSSNYKPLLGWMHGAQMVALNMQGYGQPLWLLHGMFKANGGCGYVKKPDILLNDNPDQLFDPKATLLPMKTLKVRVYTGDGWRFDYHKSHFDTFSPPDFYARVGIAGVPVDTTMKQTKIIEDCWTPVWDEEFEFKLTVPELALLRIEVFEHDVSDQDDFAGQTCLPVWELRTGIRSVSLCDRKGQTLKSVKLLMRFDFIFH >Ma04_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4472419:4476423:-1 gene:Ma04_g05990 transcript:Ma04_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRHTIILMQSSPNRATRTFMDYDSISQAMDGICGLYERKLKEINPTIQNITYDISDLYNFIDGLADLSALIFDHSIQAFLPYDRLWIKQRMFQHLKRLASS >Ma02_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21808049:21813540:1 gene:Ma02_g13380 transcript:Ma02_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAARWRATEKNKNKAVFKLQFQATQVPQSGPGTMMVSLGPVDAGRPTARSEKVAVVGGTCNWVNPVYETVKLVRNPTTGKMDDKVYRFLLSATGSSKAGVLGDVTVNLADYAAVFNAASVSLPLKASNTGTILHITIQRIQGDGKAREGDEDGEAMIKRQTRASQSELKLCDFKEGVKAPNDMDCFSFMSDGSYVNTQSQVKFPSSRDIPIRVDSHGSLQKSHSFDTISASDSDTSSGIYATRDNWIKHNNTQRDPTSFFSPLISSDTPKRLITSSGDWSRTSAPDGNADASTRSSGDVVLNETSCDSEDSLKKLRYDIVMLTRKVELSDLELQILRKQIIKECKRGEDLSRELSSLKEERDTLKRECESLKLSEKPIKFEGNIPTGSQHDGDDLHSLLEKTKQELDHEKNLNVHLRLQLKMMQGSELILAVKDLDTLLEQRNREPLCLKCSKMYLKTETGDELEAMKLENGLPQLKKYECEQKLHKKVAQNDNEEQYALDELVNIHDDMKVAYSLENKIVDLNNEVEFYMKDHEDLEMQMEQLALDYEILKQENHDITTKLEQIQLREQLRMQYECSTHVAIISDLESHVECLEKELHKQAESFGADIATITDAKVEQEKRAILAEEALREAKWSNSKIVKRLQEEFRSLSAHMSSTFQANEKIVKHVLKETAELRSEKSSLEDLLEKTKKDLVSVQEQFRMKFKQLVDLLYFKSKEADRLLLELKDTQREFENYKMSGVANQKNFVEEMQLKSEMEKVILEKSLLSEQNKEKEELLVEMDLSRTATEVSEISLQDKNLEIDMLKKEIAVLRKEGNISLEETNELRNIKDEKDTTISVLKSEVANPGVQYSNLKHTLNKNELEKKDLRRSFSNLKGGLLEEQLTTSSDEKNGDNHTASISNDDEHFHQSLRYGSKDDVKCSRDYLQQSEDETHTHDIDSKDNKLRVRYTGNDLDEVHQVFIS >Ma07_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8947317:8947972:-1 gene:Ma07_g12050 transcript:Ma07_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSSQSTAKEGESAVIAVHSAAVIAVHSAGEWAPKWQSHTQSDKLMIIDFAASWCGPCRSIEPAIKEMSARFTNAVFLKVDVDDLPEVPTFVLVKRGQEVGRIVGTKKDKLQRTIQRHLNM >Ma08_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32872629:32894909:-1 gene:Ma08_g19080 transcript:Ma08_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNGMIECSVCHSKLVSPSPRSVSRAYDKHRTRVSSKTRPLNFLLVVGDCILVGLQPILVYMSKVDGKFKFSPISVNFLTEVAKVLFAVIMLLFQARHQKVGEKPLLSVSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSIIQWEALALLLIGISVNQLRSLPEGSTALGLPVTMIAYVYTLVFVTVPSMASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGIIGTVIIKGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHALTMNFILGISIVFISMHQFFSPMAKVKDETPVGKLEVMEAQHTRSKETSFVNMAAGAAEDVSHRTGHDERQPLLPI >Ma08_p19080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32872629:32894899:-1 gene:Ma08_g19080 transcript:Ma08_t19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNGMIECSVCHSKLVSPSPRSVSRAYDKHRTRVSSKTRPLNFLLVVGDCILVGLQPILVYMSKVDGKFKFSPISVNFLTEVAKVLFAVIMLLFQARHQKVGEKPLLSVSTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSIIQWEALALLLIGISVNQLRSLPEGSTALGLPVTMIAYVYTLVFVTVPSMASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGIIGTVIIKGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHALTMNFILGISIVFISMHQFFSPMAKVKDETPVGKLEVMEAQHTRSKETSFVNMAAGAAEDVSHRTGHDERQPLLPI >Ma05_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8041461:8042480:-1 gene:Ma05_g11090 transcript:Ma05_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKNVQSNIYFKAPIATTNNCTRFHLQEIRQPNHCTAHESRTITLPTWFPAPTPPLPPGPLSDSITHPVYIQVLVFDFRLAYISLSDQSLSTFLFISYLHCSSDDSLLPKFILSHPVFQASSLPLCFCPPPALAHMFPLGRRVGACLRKLIKAMACKGNGAEARSVRTNFNWLSSSSEAAMEISSQLKEVFRLIDSNGDGKISPPELCDLLLCMGHERATAAQEAEVMVREADCNGDGFIDLDEFMEAVGGGGSGSGGDMSGSREELMEAFRVFDVDGNGFISAEDLRRVLVRLGHGKCSLRECRLMIRGVDRNGDGLVDFDEFWSMMTAGACWKVCN >Ma03_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10941602:10945344:1 gene:Ma03_g13890 transcript:Ma03_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGVETPSSSSFLWIPSVAELVRRAPARLLDRELMFDKVVTPSDVGKLNRLVIPKQHAERFFPLEPAFADKGLVLFFEDGAGKQWCFRYSYWSSSQSYVITKGWCRFVKEKQLDAGDTVSFFRARSGEAGQRRRLFIDLQRRRHRPRPVGHQGVLIASTAPPLRGSWLPHFYYGSVVPPQHVGAQAAAGRADMGSMPAVLDSVPVVLAAAEPKRIRLFGVNLECPETEDRKNSPLLPPCQWRTESDESTDISTERRQTSMHSHFGCSDREEGRR >Ma02_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20543656:20550396:-1 gene:Ma02_g11350 transcript:Ma02_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSTGRFTLGKQSSLAPDRDCGDESSNGDGFRFPDDVDADIRLMYLASEGDLEGIEEILASGVDANFRDIDGRTALHVAACQGFADVVRLLLDRGAQVGPEDRWGSTPLADAIHYNNHEVIDLLEKHGAKLSIIPMHVKNAREVPEYEIDPSELDFTHSVNITKGTFRLATWRGIRVAVKKYGEDVLVDENKLRAFRDELALLQQIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAYLKRKGALKPSSAVRFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGNLKVADFGVSKLLNVAKTVREERSLTHLGTPCRYVAPEVFCNEEYDTKVDVFSFALILQEMIEGCPPFCYKQDNEVPKAYVSKQRPPFGAPPKLYVHGLKELIEECWSENPADRPTFKDIIDRLLNIQNYIVRKRHWRVSFLNFYLMMHFFSFSSSYYLAHKKQLLFSL >Ma02_p11350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20542178:20550396:-1 gene:Ma02_g11350 transcript:Ma02_t11350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSTGRFTLGKQSSLAPDRDCGDESSNGDGFRFPDDVDADIRLMYLASEGDLEGIEEILASGVDANFRDIDGRTALHVAACQGFADVVRLLLDRGAQVGPEDRWGSTPLADAIHYNNHEVIDLLEKHGAKLSNAREVPEYEIDPSELDFTHSVNITKGTFRLATWRGIRVAVKKYGEDVLVDENKLRAFRDELALLQQIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAYLKRKGALKPSSAVRFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGNLKVADFGVSKLLNVAKTVREERSLTHLGTPCRYVAPEVFCNEEYDTKVDVFSFALILQEMIEGCPPFCYKQDNEVPKAYVSKQRPPFGAPPKLYVHGLKELIEECWSENPADRPTFKDIIDRLLNIQNYIVRKRHWRMVQLKCFSNFKAMWKKESTSSHSSRSSTTNL >Ma02_p11350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20542178:20550396:-1 gene:Ma02_g11350 transcript:Ma02_t11350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSTGRFTLGKQSSLAPDRDCGDESSNGDGFRFPDDVDADIRLMYLASEGDLEGIEEILASGVDANFRDIDGRTALHVAACQGFADVVRLLLDRGAQVGPEDRWGSTPLADAIHYNNHEVIDLLEKHGAKLSIIPMHVKNAREVPEYEIDPSELDFTHSVNITKGTFRLATWRGIRVAVKKYGEDVLVDENKLRAFRDELALLQQIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAYLKRKGALKPSSAVRFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGNLKVADFGVSKLLNVAKTVREERSLTHLGTPCRYVAPEVFCNEEYDTKVDVFSFALILQEMIEGCPPFCYKQDNEVPKAYVSKQRPPFGAPPKLYVHGLKELIEECWSENPADRPTFKDIIDRLLNIQNYIVRKRHWRMVQLKCFSNFKAMWKKESTSSHSSRSSTTNL >Ma10_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24499808:24500398:-1 gene:Ma10_g10680 transcript:Ma10_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGSHGIERTFEVVQKVWAEVFFHLAENNVMFEGVLLSPLALNAQRKPHRNRLPNSLTQVMMIDALACTLEQFLSGGRSEVENTCLKPWGGRPEKEKAAQDALLVRAKADSLAQRRKYTGEGESAEAKEGMSVKNYRY >Ma09_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36160028:36160360:1 gene:Ma09_g24490 transcript:Ma09_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRDAAIWGEDAVEFRPERFLTAADDKYEFSGTNFAYLPFGSGRRLCVGISLAEKMVTHMLASFLHSFRWKLPEGEKLELGERFGLVMRKAEPLVLVPTARFDDPDLYS >Ma04_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:673244:676845:-1 gene:Ma04_g00680 transcript:Ma04_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAFCPSFFFSLSSITDSEGKNDNEISTLNRFRNPGSLLLLRHCRGTRISCSSTASDPTGVHHHQQLKSRRPPEENIREEACRRDEANASHGFSACYVPFDAPADTAETYSLDDVVYRSRSGGLLDVRHNLSALKRFPGSHWRALFDSRVGRTTWPYGSGVWSKKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLGGMPDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRSAPLNRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPTDRISLAQLVQPIANGATVLSIDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRELELVDRVPRLVCAQAANANPLYLYYKSGWADFKPMVAADTFASAIQIGDPVSIDRAVFALKATDGIVEEATEEELMDAMSLADRTGMFACPHTGVALAALFKLRERGVINTNDRTIVVSTAHGLKFSQSKVAYHSKEIANMTCQYANPPVHVKANFGAVMDVLKKKLEGMG >Ma01_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5124354:5128305:1 gene:Ma01_g07110 transcript:Ma01_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGDEAPKSTGESAAPAHNPAPPPPVENSKQIPAGIQGSLPNNYHRADGQNTGNFITDKPSTKVQAAPGGGSSLGYLFGGGGN >Ma01_p07110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5124280:5128305:1 gene:Ma01_g07110 transcript:Ma01_t07110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGDEAPKSTGESAAPAHNPAPPPPVENSKQIPAGIQGSLPNNYHRADGQNTGNFITDKPSTKVQAAPGGGSSLGYLFGGGGN >Ma06_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17451061:17452583:1 gene:Ma06_g22210 transcript:Ma06_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKPSLVRFGALRLPPGFRFHPTDEDLVVQYLKRKVFSCPLPASIIPEIDLGKYDPWNLPGALMEGDERYCFNRREAKYPNGKRSNRAARSGYWKARGKDKQIVASGCNQVVGMRRVLVFYRGKPPTSSPTDWMMHEYRLAGSDGRSLVYPQRKNSTHGLMIPSQDWVLCRIFKRRRAPIVVDEEEDIITNDVTDFRDFMDEREGDPTPSSSSSPEKSCVTELFDESSRGEETNSPP >Ma09_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10227788:10231104:1 gene:Ma09_g14900 transcript:Ma09_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDNRQFGRLEPGNALVSPVVGKTFGTHHRSDSPVRGCSFPPLVPLCRDDDEEDEEEEAIDWKKLYGGSHLEVEPSVRDRRDEGTADGWIERNPSLIRLTGKHPFNCEPPLARLMHHGFITPVPLHYVRNHGAVPKADWRTWTVEITGLVKRPVRFTMDDLVRDFPPVEIPVTLVCAGNRRKEQNMVQQSIGFNWGPAAISTTVWRGARLRDVLRRCGVMGRKDGALFVCFEGAEDLPGGGGSKYGTSLRREVAMDPSRDVMLAYMQNGEMLTPDHGFPVRVIIPGFIGGRMVKWLKRIIVTPQESDSHYHYKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPGHDEILPINAFTTQRPYTMRGYAYSGGGRKVTRVEVTLDGGETWLVCALDHSEKPNKYGKHWCWCFWSLEVEVLDLLSTKEVAVRAWDESLNTQPEKLIWNVMGMMNNCWFKVKVNVCRPHKGEIGLMFEHPTQPGNQSGGWMARQKHLETSEAPTLKKSTSTPFMNTATKQFTMSEVRKHASRESAWIVVHGHVYDCTGFIKDHPGGADSILINAGGDCTEEFDAIHSDKAKALLDTYRIGELIPSGYISDTSVHGASDLSHLSTIREVSRPSALVNPREKVQCKLVSKTIVSHDVRLFRFALPSADHVLGLPVGKHIFLCATIDGKLCMRAYTPTSPVDEVGFLELLIKVYFKDENPKFPNGGLMSQHLESLPIGSTLDIKGPLGHIEYTGRGNFVVNGKPRFARRLAMIAGGTGITPMYQVIQAVLRDPEDRTEMHLVYANKSEDDMLLWDELDGWARDRPEQFKVWYVIDEAKRGEEWRYSTGFITESILREHIPVGGSDDTLALACGPPPMIRFAVVPNLEKMKYDTANSLLQF >Ma10_p29630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36153580:36156537:1 gene:Ma10_g29630 transcript:Ma10_t29630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDAMANGASVPVLISRDAAKKKRTNRSAKLKQCKLDVRREQWLSRANSKDDCKILAAASSPRLPHPPRPRVDETDSRTREEDTISHGNDDSDYPMPHLRRGNRRTLSSGSSIESSSSSVSDAEDEGIDNVRGGNRVLDDWEAVADALSEANDRDGLGPDPVVPAVSAGLPCEPPRGGSTTKPEPIRSAPRAWRPDDGFRPRSLPSISKQWSIPPNQDRHCWASPQKGVLSTPCPCPICCEDLDPTDSSFFPCSCGYRLCLFCHKRILEADGRCPGCRKQYNSISSGALVVTTVGPSSLPVRMPRSLSLRCRTWNREH >Ma10_p29630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36153580:36156537:1 gene:Ma10_g29630 transcript:Ma10_t29630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDAMANGASVPVLISRDAAKKKRTNRSAKLKQCKLDVRREQWLSRANSKDDCKILAAASSPRLPHPPRPRVDETDSRTREEDTISHGNDDSDYPMPHLRRGNRRTLSSGSSIESSSSSVSDAEDEGIDNVRGGNRVLDDWEAVADALSEANDRDGLGPDPVVPAVSAGLPCEPPRGGSTTKPEPIRSAPRAWRPDDGFRPRSLPSISKQWSIPPNQDRHCWASPQKGVLSTPCPCPICCEDLDPTDSSFFPCSCGYRLCLFCHKRILEADGRCPGCRKQYNSISSGALVVTTVGPSSLPVRMPRSLSLRCRTWNREH >Ma10_p29630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36153580:36156537:1 gene:Ma10_g29630 transcript:Ma10_t29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDAMANGASVPVLISRDAAKKKRTNRSAKLKQCKLDVRREQWLSRANSKDDCKILAAASSPRLPHPPRPRVDETDSRTREEDTISHGNDDSDYPMPHLRRGNRRTLSSGSSIESSSSSVSDAEDEGIDNVRGGNRVLDDWEAVADALSEANDRDGLGPDPVVPAVSAGLPCEPPRGGSTTKPEPIRSAPRAWRPDDGFRPRSLPSISKQWSIPPNQDRHCWASPQKGVLSTPCPCPICCEDLDPTDSSFFPCSCGYRLCLFCHKRILEADGRCPGCRKQYNSISSGALVVTTVGPSSLPVRMPRSLSLRCRTWNREH >Ma08_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37437874:37439840:1 gene:Ma08_g24150 transcript:Ma08_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDAAEREDEAIVSVKQKMRPHAVLIPYPTAGQLNPMLQLAELLQSRGFYITFVNTEFSRRQLLRTGGPGALSGSETFRFVTIADGVSQADHLGPDRLVELWLSIQRNCPAALAELLLELNASSDVPRITCIVANYLMTFTRAVAEQIGVPELVFWTTSACGLMASLQLGELVRRGYIPFKDESCLTNGYLDTAIDWIPGMKEMRLRDLSSFIRTTDHDDIFLKTEMEEVDYALKAWGLILNTFEDMESEVLDALQGFFPRIYTLGAVGSLVERVAGGSRSTSPRLGFWREDRRCMDWLDAQQDASVIYVSFGSLAVLTVTQLSEFAWGLADSNHPFLWVIRPDMVEGGAATLPEEFIEETKGRSFFAGWCRQGEVLAHPSIAGFLTHSGWNSMMESVACGVPVICWPGFAEQYTNCLYACEQWGFGMEIDQVVKREQVKDVVVELMEGEKGKEMRKNATKWKEMAARATAQGGSSHGNLERLVKDLNP >Ma03_p28290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31411807:31417192:1 gene:Ma03_g28290 transcript:Ma03_t28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDIEGILKELPSDGCLPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLENLRIAMHNTQILCAVMLDTKGPEIRTGFLKDGKPIQLTEGHEITISTDYSIKGDENMISMSYKKLPVDLKPGNTILCSDGTITMTVLSCDPDAGTVRCRCENTAKLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNKIDMIALSFVRKGSDLVTVREVLGSHTKNIKLMSKVENQEGVINFDDILRETDAFMVARGDLGMEIPIEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKIMARICIEAESSLDNDAIFKEMIRSAPLPMSPLESLASSAVRTANKAKATLIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTVSDESPARHSLIYRGLVPLLAEGSAKATDSESTEMILQAALKAAVDKRLCKPGDSIVALHRIGVASVIKICIVK >Ma08_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26358337:26366214:1 gene:Ma08_g17640 transcript:Ma08_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGDAFGSSTAPLTWHDFLERMRHPSAADFVKSIKSFIVSFSNKAPDPENDSAAVQDFLTNMEGAFRAHTLWAGSSEEELESAGEGLEKYVMTKLFSRVFASVPEDANSDGELYEKMALLQQFVRPENLDIQPAFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQSRLVSEAAYFFTNILSAESFIWNIDAQALSMDEIEFQKKMESARAHLMGLSTGTEHQQTETHLDAMEERLKSNRELDNTASVEGHQVPNQSYSINKDVDRKYKPLINRLSISDLEKKGTTDILKEENVNKYFQEYPFLFANAGDLTVDDVGSLLNCYKQLVLRYVALSKGMGIGNESLSLPNTETPSELSSVKESEYVAEMEMKVEDHEEVSRKGGSSVEDLILQMDDAESKKVADDSTAEVSP >Ma10_p06240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17751814:17755676:-1 gene:Ma10_g06240 transcript:Ma10_t06240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLDDRPTHELESIIVAHGDQIQPAASNPPPPRASGPSGSLARTLLSQPSKIMAGVQGFASRVGGDKRHPGRTTAASGAKGLRFLDKKAGGWKAVEKRFDQFAVDGRLPKESFGRCIGMGESQEFAGELFVALARRGNITPEHGITKDELKEFWQQMTDQNFDSRLQIFFDMCDKNGDGKLSENEVKEVIGLSASANKLSKLKAHAANYAALIMEELDPDGLGYIEIRQLETLIRGMVSSQVTERTLKRSHGHARRMIPKRYRYPVNRFVGKATDFVLDNWKRIWVFSLWLTLNAVLAAWKFYQYERRAAFEVMGYCVCVAKAAAETLKLNMALILIPVCRNTLTRLRSTCLSSVFPFDDNINLHKAIALAITIGTLVHTLAHVTCDFPRLITCPESKFMRLLGPNFHYKQPTYASLLASVPGVTGILMIIIMAFSFTLATHSFRRSVVKLPPPLHHLAGFNAFWYAHHLLAVVYVLLIVHSYFLFLTKEWYKKTTWMYLTIPLLFYACERLIRKVREKSIGVSIVKAAIYPGNVLSIHMRKPPGFRYKSGMYLFVKCPDVSPFEWHPFSITSAPDDEHLSVHIRTLGDWTTELRNLFGKVCQAQVTLKKANLVRLETTVVADVQFDDARFPKLYIDGPYGAPAQDYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEETHDAAANFIQGNGPGRAYFYWVTREQGSFEWFKGVMNEVAESDHHNVIEMHNYLTSVYEEGDARSALIAMIQSLQHSKSGVDIVSGSRIRTHFARPNWRKVFSDLANAHKDSRIGVFYCGSATLTKHLRDLSQEFSHDSATRFDFHKENF >Ma10_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17751814:17755676:-1 gene:Ma10_g06240 transcript:Ma10_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLDDRPTHELESIIVAHGDQIQPAASNPPPPRASGPSGSLARTLLSQPSKIMAGVQGFASRVGGDKRHPGRTTAASGAKGLRFLDKKAGGWKAVEKRFDQFAVDGRLPKESFGRCIGMGESQEFAGELFVALARRGNITPEHGITKDELKEFWQQMTDQNFDSRLQIFFDMCDKNGDGKLSENEVKEVIGLSASANKLSKLKAHAANYAALIMEELDPDGLGYIEIRQLETLIRGMVSSQVTERTLKRSHGHARRMIPKRYRYPVNRFVGKATDFVLDNWKRIWVFSLWLTLNAVLAAWKFYQYERRAAFEVMGYCVCVAKAAAETLKLNMALILIPVCRNTLTRLRSTCLSSVFPFDDNINLHKAIALAITIGTLVHTLAHVTCDFPRLITCPESKFMRLLGPNFHYKQPTYASLLASVPGVTGILMIIIMAFSFTLATHSFRRSVVKLPPPLHHLAGFNAFWYAHHLLAVVYVLLIVHSYFLFLTKEWYKKTTWMYLTIPLLFYACERLIRKVREKSIGVSIVKAAIYPGNVLSIHMRKPPGFRYKSGMYLFVKCPDVSPFEWHPFSITSAPDDEHLSVHIRTLGDWTTELRNLFGKVCQAQVTLKKANLVRLETTVVADVQFDDARFPKLYIDGPYGAPAQDYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEETHDAAANFIQGNGPGRAYFYWVTREQGSFEWFKGVMNEVAESDHHVLIKHHCFLESISHRTTIDRKWLDLQNVIEMHNYLTSVYEEGDARSALIAMIQSLQHSKSGVDIVSGSRIRTHFARPNWRKVFSDLANAHKDSRIGVFYCGSATLTKHLRDLSQEFSHDSATRFDFHKENF >Ma01_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2621573:2628478:1 gene:Ma01_g04020 transcript:Ma01_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHEPFTLKDQPRHRQLTPLRAFRGVACLVILLSTAFMMIVYWAPVTTLLLRLVSVHYSRKATSFLFATWLSLWPFLFEKINKTKVVFSGETVPTEERVLLFANHRTEVDWMYLWDLALRKGHLGYLKYILKSSLMKLPIFGWGFHVLEFIAVERKWEIDELIMGKKLSSFKDSRDPLWLVVFPEGTDYTEKKCIKSQQFASENGLPILKNLLIPKTKGFFACLEALSNSLDAVYDVTIGYKHHCPTFIDNVFGVDPSEVHIHVQRVLLREIPTSETDAAKWLIERFRLKDQLLSDFTALGYFPNQGTEGDISTLMCLVKCFVVIVLTSIFMYWTIFSSVWFKIYVAFSCAYLSFTTYFSILPSPLSAKALFCGKSKTL >Ma01_p04020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2621566:2628478:1 gene:Ma01_g04020 transcript:Ma01_t04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRSRSINGFCKMSSINGCTSMESECLNGNRKEMDVHEPFTLKDQPRHRQLTPLRAFRGVACLVILLSTAFMMIVYWAPVTTLLLRLVSVHYSRKATSFLFATWLSLWPFLFEKINKTKVVFSGETVPTEERVLLFANHRTEVDWMYLWDLALRKGHLGYLKYILKSSLMKLPIFGWGFHVLEFIAVERKWEIDELIMGKKLSSFKDSRDPLWLVVFPEGTDYTEKKCIKSQQFASENGLPILKNLLIPKTKGFFACLEALSNSLDAVYDVTIGYKHHCPTFIDNVFGVDPSEVHIHVQRVLLREIPTSETDAAKWLIERFRLKDQLLSDFTALGYFPNQGTEGDISTLMCLVKCFVVIVLTSIFMYWTIFSSVWFKIYVAFSCAYLSFTTYFSILPSPLSAKALFCGKSKTL >Ma09_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6924877:6925044:1 gene:Ma09_g10140 transcript:Ma09_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGGIVLKVITPWFCTAWIINICSTPSHGLHFFSLILGYGNGQIHSALSNTQSS >Ma06_p30990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32214632:32226605:-1 gene:Ma06_g30990 transcript:Ma06_t30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALIPRSLSTQTFLPSPLSSPRFSVFFLHSVAGDGAPSRRPQSHILPSSPASSSQSFASPSSFSSSSSSSSFSTRHYEEECKNVKVAVFWDFENCNIPVGVNVFRVVHRITSALRSNGIKGPVTITAFGDVSQLSRTTQEALACTGVCLTHVPHGGKNSSDRFFMADLVYWVSQNPPPVHFFLISGDRDFANILHRLRMSNYNVLLSSKDGAPGVLCSAATFMWPWSSLVKGETTIVKHFNHPPDGLYESWYGHYKAVLDDPFSDIEQATNSQCEESMELISETKPRPVPKALVNAIRQILYSYPEGLNLPELRAELKRINATMDKDFFGYKKFSHLLASMPNILKMIPNPSLEGQSLVVSTHRKIADSVPLRFKPVQDVDVSDGEKNGASRQNGKPLPITPPSNESEPASTISETIAHQKENDSDSGKQNSSPTQGHHAVDEGSLLERIKGFIFGHQHCKTEPFSPSKGNDMEGSAYASTSEHTNNTAAEKGFVQRIIKTWSYRSSGQRVGGTDSISGLDSTSHEDSGEAKSICKPTEKLKFEDKATRSKHNFSNRPSASPNSTEASESISKDKTIEQSETSIGSPDMNQSFFSQIGSWWMFWKSGKDQKISSTPYEEVTNCQEDVACGKSNNDLGNIIGETEPHDVFSKGHFWDALESFLLTSKGSELILKSRTRGQLVHGFQKEGLWILKDLTEAQIFQLVNLIIMEKKWLEESTSQMFPFRLSLASKRKCGSSHVLGSSGLSSLFTGRISKPNTHRQVEQETLDQNPGCFRNGFDNTLDAKPPQNFVELKAWFQKAAYKGPEDVEPEDLQKLFESKFNTKLISSVYGYPSLRSLIAACLTDVDFSHGKRKSSPSREEILSDCHKLLLELFDEYPEGFNMSIFKPTFMQKYGYILDFQMLGYPKLASLLQIMPGVRIESSFIIPSERFCSDSSWSKQPIVGTLDHSSPDDKSNSINKDSKGDSTAEDYAWEELGPLSETVNHGGNTVAQVNDKKVSYDEASLSDDEFSDSEGDSLSQSGELESKSKRRGEDSSLLQILDSWYGSLEGNEKDQAQAVDGQVGCSKIKTMELNPINEQKVKARPTKRYCFVSKSPNDEKEKLVDNILGSLKRAGDSKLHS >Ma07_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2106760:2107468:1 gene:Ma07_g02650 transcript:Ma07_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDSKTNPTWLLEPAAAVQKGGAHWRDDPPFGRIEEEVTFECGHGHLPSGSDADGIDASRFNKERRIRWKSEVVVPPSEHRAVSAAPEVHGIAIMKFLFQCPCCSCFCFMKTKKSSKPKKKEAKGD >Ma02_p14930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22836422:22844268:-1 gene:Ma02_g14930 transcript:Ma02_t14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMLPNLHVASLGTVLAQGMNLKKKHEVEILAAVVSWIVHDSGAETIIDVGSGQGYLAQALSFQYQHPVIAIDASLHHANVTNARAERIKKHYAAKNSASPHLKVPRTITCNILSSEALTDLSITSLCEDNTEESTGRGNKSEFGDSESSKPSVAKAINLYNKQPHSGAFFVLAGLHACGDLSVNMLRSFVGCEHVRALIGIGCCYNLLSEDCLEKTDNSCGFPISNAAKLSSLVLGKNARDLACQSAERWRILTADAALQNFDVHAFRAAFQLVLDKYFPEILVSSPSIGRQGKALRRQQCRRHRESELCCKDGRCSFFEVSGKLSYSNAKGCQEFDVEGSNNYLLFKEFCKSGLTRLGCMFSEDIDLLEIWKEAQSYTEFIGPFWALRAALGPLVESYILLDRLLFLQEQGNSVEAFLFPIFDPTLSPRNIAVIARRK >Ma02_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22836422:22844936:-1 gene:Ma02_g14930 transcript:Ma02_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIADFIRPYKPLLDAHVVNFFKDRLWELVDDQWMDCLRKESVEALLKLPSGSAQEYWPDSLKQFLCNLRFLVLPRERELMHQMLPNLHVASLGTVLAQGMNLKKKHEVEILAAVVSWIVHDSGAETIIDVGSGQGYLAQALSFQYQHPVIAIDASLHHANVTNARAERIKKHYAAKKSSPHLKVPRTITCNILSSEALTDLSITSLCEDNTEESTGRGNKSEFGDSESSKPSVAKAINLYNKQPHSGAFFVLAGLHACGDLSVNMLRSFVGCEHVRALIGIGCCYNLLSEDCLEKTDNSCGFPISNAAKLSSLVLGKNARDLACQSAERWRILTADAALQNFDVHAFRAAFQLVLDKYFPEILVSSPSIGRQGKALRRQQCRRHRESELCCKDGRCSFFEVSGKLSYSNAKGCQEFDVEGSNNYLLFKEFCKSGLTRLGCMFSEDIDLLEIWKEAQSYTEFIGPFWALRAALGPLVESYILLDRLLFLQEQGNSVEAFLFPIFDPTLSPRNIAVIARRK >mito3_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1953925:1954044:-1 gene:mito3_g00280 transcript:mito3_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRNQRFSILKLPISSILNQHLIAYPITKQSQSKRKKL >Ma10_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31847817:31850446:1 gene:Ma10_g22480 transcript:Ma10_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQEDAQKWVGDSSVDHKGRVPRRASTGCWKASLFIIVIEFSERLSYFGLATNLIIYLTKVLHEEVKTAAKNVNYWSGVTTMMPLVGGFVADAYLGRFSTVVISSLIYIAGLVLLMVSELVPRLKPCDPSICGRSLRLHEVIFFLAMYLISVGTGGHKPSLESFGADQFDDNHDEERKKKMSYFNWWNFALCSGLMLGVTMVVYVQDAVGWWLADVVLTAVMCFSLVVFVVGSPFYRYRAPEGSPFKPMLQVVVAAAAKRHLPLPSDAGELYEVPKTQKSDKRLLCHTNQLRFLDRAAIVEHKYDEAAFAAEKHNSWRLATVTQVEELKLILSMVPIWLAALPFGICVAQANTFFIKQGSVMDRQVTNSFKIPPASVYSLSAIGMIVSVTFYDKLLVPFLRKATGNERGISILKRIGIGMAITTVAMISAALVERKRLRVAVTEQTSVVSMSVFWLLPQFVIMGIGDGFALVGLQEYFYDQVPDGMRSLGIAFYLSVLGAANFLSSLLITIVDHITSREGKGSWFAKDLNKSRLDLYYWLIATISAVNLCGYVYLARRYSYKKVQRKVAVADSPRADV >Ma10_p22480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31847817:31850446:1 gene:Ma10_g22480 transcript:Ma10_t22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQEDAQKWVGDSSVDHKGRVPRRASTGCWKASLFIIVIEFSERLSYFGLATNLIIYLTKVLHEEVKTAAKNVNYWSGVTTMMPLVGGFVADAYLGRFSTVVISSLIYIAVNCSPNWLCLSCTEPNPPPLTTEDCQPTRLPGEVLPRCHLLPHLYRGKLLAQLTLLVVYRTQRPLTTEDCQRIVAYLHVTKNRSKRAVQDKFHKSTNERHKGLVLLMVSELVPRLKPCDPSICGRSLRLHEVIFFLAMYLISVGTGGHKPSLESFGADQFDDNHDEERKKKMSYFNWWNFALCSGLMLGVTMVVYVQDAVGWWLADVVLTAVMCFSLVVFVVGSPFYRYRAPEGSPFKPMLQVVVAAAAKRHLPLPSDAGELYEVPKTQKSDKRLLCHTNQLRFLDRAAIVEHKYDEAAFAAEKHNSWRLATVTQVEELKLILSMVPIWLAALPFGICVAQANTFFIKQGSVMDRQVTNSFKIPPASVYSLSAIGMIVSVTFYDKLLVPFLRKATGNERGISILKRIGIGMAITTVAMISAALVERKRLRVAVTEQTSVVSMSVFWLLPQFVIMGIGDGFALVGLQEYFYDQVPDGMRSLGIAFYLSVLGAANFLSSLLITIVDHITSREGKGSWFAKDLNKSRLDLYYWLIATISAVNLCGYVYLARRYSYKKVQRKVAVADSPRADV >Ma02_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15094238:15096363:-1 gene:Ma02_g03650 transcript:Ma02_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEGGNNNEKEKKEENAMEDRVGDGGRRAATRRSGEFFPELCSPAPVRLTVWCKSLLFNSHGYTVYDDADGRMVFRVDNYAHNWRQQAVLMDCAGHVLLTVRRCRKILNLKESWEAYKGDKDVEDMVREQSPLFKATKDLGSHSCTISMFTQDGFQPLLGYRMSWSREKECSKIYQSAANTLVAEVSRKYGSMAKKLLDKDVLTLRVQPGMDQALAMAMIMITNSMG >Ma03_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9826491:9827883:-1 gene:Ma03_g12790 transcript:Ma03_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLLDLLYCREESLELEEDEERAEPTLPLLEDRETERHVLSAAEEEAEEEWAEVLCSLAAKEGEALRELVLDGGGSYLRSARKEAVEWVTRTAATHDFSALTALLAVNYLDRCFLSRAAGGRLLRLQDDKPWMGRLAAVACLSLAAKVEETHVPLLLDLQVPAPVEAVAEEGGFLFEPKTIRRMEFLVLAALGWRMNPVTPLSFIDHLLPRLFPKDNSANTGSAPVGIAARTRQLVRRCQAALLSVIADWRWVGYPASAWAAAALLQATESGDGGGTTAESPGTRHLISLLNAPKETLGECHQLILESTGTGVIGHKRKQSSSAFCRCSSPPSPSGVIGSCFSCGSSCDSWATWPSSAPSSPEVPPPFKRLHGSSIDESFGEEGVSDEGVGPVSVLSITSRGYA >Ma01_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5754673:5756639:1 gene:Ma01_g08030 transcript:Ma01_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTTTIKPTMAVPSTRHSTQPVKGTLRMGFTVTKSPPALVSPSQPTPSGRNLPLSSIDRKAAVRVLVDLLLLYERGDQPAKLLKGALSKALVVYYPVAGRIVESSKPGELDVACTGDGVWFVEASADCTLEQVRHLELPRLLPKDDLLPLPPPQVDLDSLILLLQVTQFACGGFVVGVRFSHAVFDGLGAAQFLKAVAEIARGLPAPTVAPIWSREFIPSPTNLPSPPPSPPQGLLPSFTAFPFVTCALDVPADSINRIKNRCMQETGRKCSNFDVVTAMLWQCRTRAATLEPAHHVRLGFAANIRHLLHQVLPQEGGYYGNCVFPVGVAATSGRIVNASLVEVVSMIRDAKERLAAKFLEWVMGEEEEDPYRVLVEYGTCVVADWSRIGFSEVDYGWGDPVGVAPLNDDNDFIATCIFLRQPAPMQGVRLWTRCVVKEHLAAFADQVMEFCQSS >Ma04_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9372806:9373641:-1 gene:Ma04_g12420 transcript:Ma04_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFAAAGDGYDSAVDAASGSLGAGRERRDPSADEPLPALHQLNRRRKATTFSFFRGSRSRDLHAARYASGELLV >Ma09_p25620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37023954:37025057:1 gene:Ma09_g25620 transcript:Ma09_t25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLAPEYSKLNRSRRERNDVYSFGIIALIAFGGRLMEPAEQPNKVRLVEWVWDLFGRRAILEAAYEKLHGNFDEEPWIVGLWCAHPDWSGHR >Ma02_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29154978:29158692:1 gene:Ma02_g24650 transcript:Ma02_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDHLLTESTLEAAIGSRKHGQIVANSASLEDPAKEFTRKSHVRGRTSVGKLVECRICQEEDEDCNMEIPCSCCGSLKYAHRKCVQRWCNEKGDTVCEICLQQFKPGYTAPPKLFQYGSIPMNFRGNWEITRQDQHDSQIIALVPSEHDIVHPDDDDYSALGMRNTVCRQSIVIIFTVLLVLPHTLPLMIGVAEQYSFTLFSLLVLRTAGILLPIFVMVRTIRTFHQFRCRRVTREISDPAEGENMIEPWRLVRSQPHHIQMH >Ma01_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5398629:5398811:1 gene:Ma01_g07460 transcript:Ma01_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVIEDIPSNARIATIKVIQALKEAKELIEGLPKKFKEVVSREEAEKQLEEVRAKISIG >Ma00_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29500816:29502078:1 gene:Ma00_g03480 transcript:Ma00_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPARVWYSNLKTASIASFDQLAKDFELNFLAHAKPKPSVAMLLGLNQREDEPLSHFVDRFTIQIRGLSDAHQSLMMQAFMMGLRPTRFFWSLVERPPTSVPEMLQRANQFVAAEAWMVERRDERKRVKLEQPRQQQPATSRRRAGGLDDAVPRSPPPGLNSSRTEIFLHIKEKGLLKDPHPMNSPRELADHSKYCRFHRQPGHDTEQCRELKRQIEELIRRGHLGPYLRPEKELSPRPEGPIEQHIDVITGGPAAGGSSVAGGKAYARASLAEASKHEEGPKVTFPTGEPELAEHDDALVISARIANAQVRRIMVDTGSSADILYWDAFQKLGLVRENMKPACSTLTGFIGTSISSLGAVTLPLTLGVSPKTKTVMTSFLVVDLPTAYNAILGRPTLNKI >Ma04_p37870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35586215:35586841:1 gene:Ma04_g37870 transcript:Ma04_t37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNCMGLVAVVAVSGSIALVALQVHRRLASDFIKKLESEIGRERNRPRKKVRFAPDVIEPSSNNEEYRRRKKTALSPPTNR >Ma02_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19623123:19626445:-1 gene:Ma02_g09800 transcript:Ma02_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSAKWAHLSRVLESHVHSIKETFQMLEHSAGSSLDKVDWSEVTKLGDEVSKQATMAGMLWSGEAPDAKALEENMGAYCNIIHGFVLLCHGSTVGAGPTLHASIYASAKQVVDCSLALLREAVSYGSHDHEKRFSISQLAGTVWEASAALKKTPTTNCTAVGRAITQVAVSVKDVLREMGELKCAASNDLGDGVSNKAAESTSDEEGDLTEADIGDDLSAEEMAIAQLIISVVSDTLAVIKELIRFITGLLKSSSLKISSKESTDSLEKLLSFCREMGQEVNELGACVYPPQEISQMKSSANKMHRLVDKMHVEVESLEGSPDGVYGTFKQLESSLGNLQHGLGGDLTAEMGRLAV >Ma03_p21130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26167627:26174668:-1 gene:Ma03_g21130 transcript:Ma03_t21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DCL homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G45230) UniProtKB/Swiss-Prot;Acc:Q9C642] MTSISASSPPPPHLLRRSSACARPSSSFRLIPFPPLPAPLPLACVASSEGGKVKSHAADKDPTLLRRPLTSSPSPESGRDSTFDSPAEARGRNGRRKDEEWVDWEDLILQDTVPLVGFVRMILHSGKYESGDRLSPEHEKAILERLLPYHPEFEKKIGCGIDYITGFIQILKTLAVCSSYGRMGSWKISHIGSA >Ma03_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26167601:26174666:-1 gene:Ma03_g21130 transcript:Ma03_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DCL homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G45230) UniProtKB/Swiss-Prot;Acc:Q9C642] MTSISASSPPPPHLLRRSSACARPSSSFRLIPFPPLPAPLPLACVASSEGGKVKSHAADKDPTLLRRPLTSSPSPESGRDSTFDSPAEARGRNGRRKDEEWVDWEDLILQDTVPLVGFVRMILHSGKYESGDRLSPEHEKAILERLLPYHPEFEKKIGCGIDYITIGFHPDFENSRCLFIVRKDGELEDFSYWKCIKGFIRKNYPLYADTFILRHFRKRKYNE >Ma05_p29770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40344949:40349670:1 gene:Ma05_g29770 transcript:Ma05_t29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGYTRVEKGEEGDLEAGWGPLHPGLSRGESELRWGFVRKVYGILATQILLTTAVSAATVLHPSVNAALAASPGLAVVLAILPLVLLCPLYHYRQKHPLNFMFLGLFTVCLSLSIGVACANTQGRIVLEALILTSAVVASLTGYTFWASRKGKDFSYVGPFVFSGLIILLVTSLIQIFFPLGPTSVAIFGGFGALIFSAFIIYDTDNLIKRYTYDDYIWASINLYLDILNLFLSILNMLRSMQSDN >Ma05_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10427738:10428355:-1 gene:Ma05_g14310 transcript:Ma05_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGVMLAKTSDDPSPSPLHITPHDSKFYARLLSKEDDASLSTTPSFGVYDGVAPGSVPFVWESQPGTPKPTAVALAEPLPPINPPPSSYFHPVLRKAKLVSKKRRVSPSSRFTFLATLFRKLTPRKSPSSPVSSSFTSSPFSRLESPRSRRRSSFSSKGDDEEEDVGDGSTRSILCFRLQRTPRITLSRHSRDNCSSLIAGN >Ma08_p30690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41863357:41867249:1 gene:Ma08_g30690 transcript:Ma08_t30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALKRLLSQSAPKLRPPTVATAIIGSRKSLSTRPRSSSPNSATGDDEWNDAWETAWLPDDLSPADDRAPWEPASPSDTSAGADVVPPAEVDADTQAFVAEMNERWSERRGARRSQQGTESVERAEGGAKKGADEYRVRKQRIHSGLWMKEIEKLEEAKLGGANASDDIDRLLDSCSEIFDSGNIGLNDSKIPSTTEFKTKPDGWETTSKSQDGNIWEISQREEDILLQEFERRIAFSKYQISSFIKTHIFSRRRPVDGWKYMIEVIGPNARRGKGSVQRLPSVTDPSTKPYQEEKPNIRPNLTLRGS >Ma07_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1870922:1874496:-1 gene:Ma07_g02350 transcript:Ma07_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAATPPAQATDDGGAVPLPPPPPAPPNYWCHECDMSVALLSYTSSSSSAALFCPNCHGDFLEVMDHHHNSHSQNPSPLPCPPPPPGPPSLSLTLSDTDSDDIDEADADDRRLASAASAQSYLRRIMDHLAAADDPPPPIPATRRGPSPAPAASIYALPTVRISEPASSLPACAICKDEFPLDSTARRLPCSHLYHSDCIVPWLSLHNSCPVCRSRLPSSSSSSDGSNALNRPTQFSAQLERLLEEDDSPALTLLRQIGRRWHMAASDQPSALETSPTQMAQLGAGPANSGETVSSEWPVELSEASVGGRVDDEGDTMISASRENYFFD >Ma07_p02350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1867107:1874496:-1 gene:Ma07_g02350 transcript:Ma07_t02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAATPPAQATDDGGAVPLPPPPPAPPNYWCHECDMSVALLSYTSSSSSAALFCPNCHGDFLEVMDHHHNSHSQNPSPLPCPPPPPGPPSLSLTLSDTDSDDIDEADADDRRLASAASAQSYLRRIMDHLAAADDPPPPIPATRRGPSPAPAASIYALPTVRISEPASSLPACAICKDEFPLDSTARRLPCSHLYHSDCIVPWLSLHNSCPVCRSRLPSSSSSSDGSNALNRPTQFSAQLERLLEEDDSPALTLLRQIGRRWHMAASDQPSALETSPTQMAQLGAGPANSGETVSSEWPVELSEASVGGRVDDEGDTMISASRENYFFD >Ma11_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5566306:5571365:1 gene:Ma11_g06970 transcript:Ma11_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPPPSATAAAQHVQQFLTTALSQRGPAALPYSEDVKWHIRQHLVALAEAFSSLRPRTATFTHNDGRSAHLLQAEGTIPISFRGAVYHLPASVWLLESYPRRPPAVYLTPTRDMLVKPGHPLVDPSGLVREDAAPYLRSWVFPASNLVDLVRSLSHLFGLDPPLYTRPNHATTSPSPSLSPSPSPLPSSSFSSPYGVGVGSPSRFPPPPSSQAYPHLRPTEDPAEVFRRNAVAKILDAVHTDMGAMRRAQEAEMEGLFSTQAELRRREEELTHGLREMVEEKEGLEQQLQLVLMNTDLLEGWARENEGLCRRCHDGVDVDDVFEPVDALSRQMQECTAADLATEDTIYALDKAVQEGAIPFDSYLKNIRALSREQFFHRALAAKVRATLVQAQVTSMAARVPHYAS >Ma11_p06970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5566306:5571147:1 gene:Ma11_g06970 transcript:Ma11_t06970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPPPSATAAAQHVQQFLTTALSQRGPAALPYSEDVKWHIRQHLVALAEAFSSLRPRTATFTHNDGRSAHLLQAEGTIPISFRGAVYHLPASVWLLESYPRRPPAVYLTPTRDMLVKPGHPLVDPSGLVREDAAPYLRSWVFPASNLVDLVRSLSHLFGLDPPLYTRPNHATTSPSPSLSPSPSPLPSSSFSSPYGVGVGSPSRFPPPPSSQAYPHLRPTEDPAEVFRRNAVAKILDAVHTDMGAMRRAQEAEMEGLFSTQAELRRREEELTHGLREMVEEKEGLEQQLQLVLMNTDLLEGWARENEGLCRRCHDGVDVDDVFEPVDALSRQMQECTAADLATEDTIYALDKAVQEGAIPFDSYLKNIRALSREQFFHRALAAKVRATLVQAQVTSMAARVPHYAS >Ma11_p06970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5566306:5571365:1 gene:Ma11_g06970 transcript:Ma11_t06970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPPPSATAAAQHVQQFLTTALSQRGPAALPYSEDVKWHIRQHLVALAEAFSSLRPRTATFTHNDGRSAHLLQAEGTIPISFRGAVYHLPASVWLLESYPRRPPAVYLTPTRDMLVKPGHPLVDPSGLVREDAAPYLRSWVFPASNLVDLVRSLSHLFGLDPPLYTRPNHATTSPSPSLSPSPSPLPSSSFSSPYGVGVGSPSRFPPPPSSQAYPHLRPTEDPAEVFRRNAVAKILDAVHTDMGAMRRAQEAEMEGLFSTQAELRRREEELTHGLREMVEEKEGLEQQLQLVLMNTDLLEGWARENEGLCRRCHDGVDVDDVFEPVDALSRQMQECTAADLATEDTIYALDKAVQEGAIPFDSYLKNIRALSREQFFHRALAAKVRATLVQAQVTSMAARVPHYAS >Ma08_p12820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9883618:9888197:-1 gene:Ma08_g12820 transcript:Ma08_t12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNVEGVEGTSSRGADWEVVSLTASAYAAAPGPNEFSPTDKSEEQEDITKLESSSALLMSGHFVFPPSEHENLPVEPDSSKNYSETEGHTPGVVSVDNGFDSLGKERQQSESNDDLHSFEFYDKGSQISTRDMVFEEGTGFQKLNLAGLEQGMLDDSESAAVHSETHKSDTEFEAEGSLDVIMDSPREHTKLCEDEVDRPNLPCQVWWKRHATSLYCHAKESNTFWSVVVAAAVMGVVIMGRRWQRDKWQLHQIKWRFSIRGEKMISMFGPTGRFKNVLVGGHQHSPLVGGVGASASF >Ma08_p12820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9883618:9888197:-1 gene:Ma08_g12820 transcript:Ma08_t12820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNVEGVEGTSSRGADWEVVSLTASAYAAAPGPNEFSPTDKSEEQEDITKLESSSALLMSGHFVFPPSEHENLPVEPDSSKNYSETEGHTPGVVSVDNGFDSLGKERQQSESNDDLHSFEFYDKGSQISTRDMVFEEGTGFQKLNLAGLEQGMLDDSESAAVHSETHKSDTEFEAEGSLDVIMDSPREHTKLCEDEVDRPNLPCQVWWKRHATSLYCHAKESNTFWSVVVAAAVMGVVIMGRRWQRDKWQLHQIKWRFSIRGEMISMFGPTGRFKNVLVGGHQHSPLVGGVGASASF >Ma08_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9883618:9888182:-1 gene:Ma08_g12820 transcript:Ma08_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNVEGVEGTSSRGADWEVVSLTASAYAAAPGPNEFSPTDKSEEQEDITKLESSSALLMSGHFVFPPSEHENLPVEPDSSKNYSETEGHTPGVVSVDNGFDSLGKERQQSESNDDLHSFEFYDKGSQISTRDMVFEEGTGFQKLNLAGLEQGMLDDSESAAVHSETHKSDTEFEAEGSLDVIMDSPREHTKLCEDEVDRPNLPCQVWWKRHATSLYCHAKESNTFWSVVVAAAVMGVVIMGRRWQRDKWQLHQIKWRFSIRGEKMISMFGPTGRFKNVLVGGHQHSPLVGGVGASASF >Ma04_p25270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27045846:27049811:-1 gene:Ma04_g25270 transcript:Ma04_t25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLVLRGTMRGHTDMVTAIAAPIDNSDMIVSSSRDKSVLVWHLTKDAPAASADGSGVGSYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGDLRLWDLSTGVTTRRFFGHTKDVLSVAFSIDNRQIVSASRDGTIKLWNTLGECKYTIQDADAHTNWVSCVRFSPNAYQPTIVSGSWDRTVKVWNLTNCKLRCTLAGHAGSVNTVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGAIIHSLCFSPNRYWLCAATQESVKIWDLESKSIVQDLKPEITTTKNQMLYCTSLTWSANGSTLFTGYTDGTIRVWEIRY >Ma02_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16019058:16031864:1 gene:Ma02_g04680 transcript:Ma02_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRIPNLLGDSPVEFILDKESFTLEELLDEEEIIQECKALNTRLINFLRDHAQLEQLLQYIIENAPQDADSKRAFKFPFIACEILTCEVDVILKSLVEDEDLMNKLFSFLEPDRVHNSTLAGYFSKVVVCLMLRKTTSLMTYVQTHDVIFHHLVDLIGVTSIMEILLRLVGADDHTYPNHMDIMQWLADTKLLEIIVDKLSPAHSAEVNANAAEVLMAIIRNTSSALAAKLSSQSFVARIFGHALENSSSKSALVHSLSVCIALLDPKRSASPASVHYVRSQHLYESFSHVDPETLHAMLTHLDDLLKLLNVSSDANTLPTTYGELHPPFGKHRLKVVEFIVVLLEAGDEVAEKELIRSGAIRIILDHFFKYPFNNSLHHLVEKLVMSCLASKNIAIVDHLFCDCGILSKFLQADRNPFLSRDSNVESVPAIGRQPFRAGNIGHITRISNKLVQLASSNDHIRSYLQESEEWIDWQTNVLRERNAVENVFHWACGRPTTLQERTRDSDEDELHDRDYDIRALVNNTNHAFRYHVYENDNVDEAHVSLDQDDEDVYIDQGSSGLVISTLKHGVDNQSLFTNCDWFAFEDESALETLDRMDDINLNETSSGGNSSDDEVVVGVEEPTKIVLPEDKCTVSDSDFNADNTSTADESLNELSTDVMKLNVADVNPFEFEIAENVDLFNDQQQPEWVGWREASDIQVDEPTEFSTQLNSGETAASTSVSTIGVLAGSSASTVSESGELAKVDETTHCSFEEDAEFVGADIEVGRAMEDEVTAPKRNFLLKDETAILEFNKSHWRIEPEVGVVQE >Ma03_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11339314:11364555:-1 gene:Ma03_g14210 transcript:Ma03_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNVRNPAATPPPGRSPPSSAASPSSSSSAVSAPNHLGFESLQHQQQQLAYRQALQQQEHQQKLQQLRKSEVDKSLLTYQSTSTHGPTSGTGFPTTPGPSHLSQLSKKYGNIPHHPGAVHVPEENENKGQGVGHQMQNPLHQAYLQFAFQAAQKSHGNSVVLQQGKMNMAGPSQRDQDMLMNKLKMQELMSLQVVNKSQMPMLNRTAEQFTYAEKHLELGHTSTDQRNDLKPLPADGQLASVNMVVPGQPLQLLHSQASVQNSANNQLEMAQVQAMQVWAKEHNIDLSVPANLSLISQILPFWQSNRMAVMQKPNETRPTAQQSCFPSLMQPAMPSPIGTENSANVNSPSDLPGRYSSYKCHQALPSSSLPGGGDTIGINPNTLQIQRQVAGHNRINQNEGTVKTPITTECGGQVTHLTNSSGNLNQTLEKSDAKNTFTGSEMQQMQNLRSLQQLDRSSFLPAVPRNGTVGTQVPSEGGFAQIAKQRIGFTKQQLHVLKAQILAFRRLKRGERSLPPEVLQAIADTPVDSQPKHGHIQSGVNQDLVTNAKSNDNKHRRHVESNDQAQRSAPMSEGHMQLKDESFTGEEKAASVNQMQGTAGLEREAVCKGSIGKSEDSSSIVKSDHEVEKGSQDLSSRGDYYIDKGKPVPVDGTIMVPEQLKKPASTSSTTPPRDGISRKYHGPIFDFPSFTRKHDSLGSTTANYSTNLTLAYDIKDLLFEEGKIVFNKKKVENLKKISRLLTVNLERRRIKPDLVIRLQIEERKLKLLDLQARLRDEVEQQQQEIMAMPDRPYRKFVRQCEQQRAELTRQVQQSQKASREKQLKSIFQWRKKLLEAHWAIRDARTARNRGIAKYHERMLREFSKRKDEDRNKRMEALKNNDVDRYREMLLEQQTNIQGDAAQRYAVLSSFLSQTEEYLRKLGSKITASKSHQEVEEAANAAAAAARAQGLSSEEVRTAASCAGEEVMIRNRFSEMNAPKDSSANKYYNLAHAVSERVIRQPSMLRSGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKRNYGPHLIIVPNAVLVNWKSELLNWLPSISCIFYVGGKEERSKLFSQEVCAVKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKDGPPQNQEEDEWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKVSIVLRCRMSAIQGAIYDWIKSTGTLRVDPEDEMRRVQKNPLYQVKMYKNLHNRCMELRKVCNHPLLNYPYFSNYSKDFIVRSCGKLWILDRILIKLQRAGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPQNEEQAVARAHRIGQKREVKVIYMEAVVDKTSSYQKEDELSSGVAGESEDDLAGKDRYIGSIESLIRNNIQQYKMDMADEVINAGRFDQRTTHEERRITLEMLLHDEERYQETVHDVPSLQEVNRMIARSEEEVELFDQMDEDLDWTADMVKHNEVPKWLRVSSCEVEAVAANLSKKPSKNILSGNIELEPSAIFSGLSPSKTERRRGRPKSSTAKNIPIYQELDDEDAEDSDIDSEERNAFEEEGEIGEFEDEEFNVADDVLPVHKDQEVEGMDYNNGAYEFSQTMDGGQNVHAFEEADSTGSSSGSRRLPQPETPLLVSQKFGSLSALDAKPGLPSKKMADELEEGEIAVSGDSHMDLQQSGSWLHDHDDGEDEQVLQPKIKRKRSMRMRPRCFSERTDEKSSSERIFPHHSSRLPLQVDHEYAMPARTEKLKAFAEVGLQRHVTGSSSLKHRHNVPSKKISPQQKSGRLSYFSGSAEDGNEHSRESWNGRTNSSGGPTFVGVKMSDSTQRKCKNVISKLQRRINKDGNQIVPILSDWWRNANSSLAIPLVVHGTLDLQIIELRVDNLEYSGVTDFIADVQLMLKNIVRHFNYSSEVRSEAEKLRDLFFHIMKIAFPDSDFREAKNAVTFSSPGGSVTMQPQKLPSSSKTKQQGPTNKLETVTVRDKVVPHRATPIGGEERTKSSSSKHQKESRSVSGSLKEQAPECSQFLTHPGDLVICKKKRKERDKSAVKQRTGSASPSNPGRMGPLSPPSTGRVASAPSPTMNRSSSLSFGKDSRHARQAKHPSVWPHREMQQLGDGDGGRHGIGDVQWAKPVKRMRTDTGKRRPSHM >Ma06_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3763758:3766561:1 gene:Ma06_g05030 transcript:Ma06_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTMVTGNEDPGSGGEEVLPTYKRRGRPQKPLKDESDDETEKIEDEDNVKLTAQSKEIKGSTMVNGKKRTRYSNAKQNSDLVIDKISAGSQSIDEDSTRSNGFRHNGSRRKSKPRRAAEAGVECKYVVCHHVN >Ma06_p05030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3763758:3764581:1 gene:Ma06_g05030 transcript:Ma06_t05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTMVTGNEDPGSGGEEVLPTYKRRGRPQKPLKDESDDETEKIEDEDNVKLTAQSKEIKGSTMVNGKKRTRYSNAKQNSDLVIDKISAGSQSIDEDSTRSNGFRHNGSRRKSKPRRAAEAGVECK >Ma03_p27750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31027171:31027698:-1 gene:Ma03_g27750 transcript:Ma03_t27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPKSQVAKMVLFLFSLIIIPFVSTSLRSYYLYFLFNTLIIALGIEAGLLNMISGPRDEKINAAAAAIAVASAINVQEAVHDAQAAMPAEATRVQKLKRCSSRPNLFFIDNDQVDGRVEEEEEAEFKCESNGELSKQELFVKAEAFIANFHKQLKLQREESWKQIHGIYHRDF >Ma10_p04720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15365441:15367428:-1 gene:Ma10_g04720 transcript:Ma10_t04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFQAGSCSSGTWWSSGFGGGPTMSASASCSTELTNVAGSFNWATASDMFEPKSRSCDESPVSISNSSVTFQDIQNSDVSAPVIAAPMLDSVSQAMDFGLSSPTVEWSQSFFSSSGRGQNSFHALLQEDVISRPYAQRDWGVESNQTHIPLKNLNHCSLQDHHVLLSSRINSIPLLSASHGLPSTLLQGHLEPESRLQGSFNDARTMKYESSVDCREASNDSLQTSVGRLPQFMNASPPKQQLQFSNDTPFWNPSASSVNEAHSNFHHAKPPQPFHNENSSHSKPVVKTASGARGSCPTLEKRSDSEPAAKKPRTETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVRVSLSTLSFRQTDNLSSSPTSHTSNVLQVLSAPYLKKDHQTQQTKASDKPKDCEGRNLNLRSRGLCLIPISSTFAVANEIPTDCWTPTFTGTFR >Ma10_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15365441:15367428:-1 gene:Ma10_g04720 transcript:Ma10_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFQAGSCSSGTWWSSGFGGGPTMSASASCSTELTNVAGSFNWATASDMFEPKSRSCDESPVSISNSSVTFQDIQNSDVSAPVIAAPMLDSVSQAMDFGLSSPTVEWSQSFFSSSGRGQNSFHALLQEDVISRPYAQRDWGVESNQTHIPLKNLNHCSLQDHHVLLSSRINSIPLLSASHGLPSTLLQGHLEPESRLQGSFNDARTMKYESSVDCREASNDSLQTSVGRLPQFMNASPPKQQLQFSNDTPFWNPSASSVNEAHSNFHHAKPPQPFHNENSSHSKPVVKTASGARGSCPTLEKRSDSEPAAKKPRTETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVRVLSAPYLKKDHQTQQTKASDKPKDCEGRNLNLRSRGLCLIPISSTFAVANEIPTDCWTPTFTGTFR >Ma00_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:33471095:33494787:1 gene:Ma00_g04010 transcript:Ma00_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAWKEEEEELGERNGSARIDIRSATPADSGHARLHQLGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLRFGGTVTMTYGWFVAGIFTMSVGLSMAEICSSYPTSGGLYYWSARLSGKQWAPFASWMTGWFNIVGQWAVTTSVDFSLAQLLQVIILLSTGGNNGGGYFASKYVVIGFHGGILLVHAIINSLPISWLSFVGQLAAAWNVIGVFVLMILIPTVATERSSARFVFTHFNTQNDAGIHSKLYIFVLGLLMSQYTLTGYDASAHMTEETKSADKNGPKGIISSIGISIIVGWAYLLGITFAVTNIPDLLSIDNDAGGYAIAEVFYLAFKNRYGTGVGGIICLGVVAVAIFFCGMSSVTSNSRMAYAFSRDGAMPLSSFWHRVNRQEVPINAVWLSALVSFCMALTSLGSLVAFQAMVSIATIGLYVAYAMPIFFRITLARNSFVAGPFSLGRYGVMVGWVAVLWVATITILFSLPVAYPITKDTLNYTPVAVGGLLILTVGSWLLSARHWFKGPITNINT >Ma07_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12038735:12039501:1 gene:Ma07_g15820 transcript:Ma07_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFIRLTHCFVDGFCQHYHDEQIDVLGKVGFNCPIFLTLIHHSFSWVSMIISEVFSLLPTSLRPKSNPICSLLALGIVMSLSDGLANVYSKYNSMGFYQMDEIAVSPTIVLA >Ma08_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3219308:3222939:-1 gene:Ma08_g04620 transcript:Ma08_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVIGGVVLLVALYCGLDPFGHSPMAAFPGFEAYPIEVPSWSELPTARDAEDRLQRAEIKFLNQVQGPESVAFDPQGRGPYTGVADGRVVFWDGESWSDFAYTSPNRSEICNPKPSPLSYLKNEHICGRPLGLRFDKKTGELYIADAYFGLLKVGPEGGLATPVTTEAEGIPLKFTNDLDIDEDGSIYFTDSSTNYQRRNFMQLVFTGEPSGRLLKYNPVMKETTVLLRGLQFPNGVTLSKDGSFFLFCEGSRGRLSRYWLKGEKAGTSDVFAVLPGFPDNVRTNEKGEFWVAIHCRLSMYTHLTSRYFRLRKFLLKLPIPAKYHFLLQIGGRPHAVIIKYSPDGELLEILEDSQGKVVRAVSEVEEKDGKLWIGSVLMPFIAVY >Ma09_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2549825:2550866:-1 gene:Ma09_g03870 transcript:Ma09_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTDEKWKLSKKESRNSCSGSRREVAATEGGGHFLKGSSSTKEGRRKPPRSFSSRCASLVKEQRARFYIMRRCVTMLICWRDYP >Ma06_p27860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29727558:29728605:1 gene:Ma06_g27860 transcript:Ma06_t27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSPYLVLPVFFLIFCFTASCSEQRRVYIVYLGQHNGLRTGQEILEDHHSLLRSVKNSEEEALNSLVYSYKHSINGFAALLTEEEAAKLSAMEEVVSAFPGEARWSPHTTRSWEFIIQEEGLRGWEMEWMTSKAKLGKEVIVGVVDSGVWPESQSFSDAGMGPIPERWKGICQEGDAFESLNCNK >Ma10_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31897640:31901515:-1 gene:Ma10_g22580 transcript:Ma10_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSGPHNNLSGMMRGLRYDDLYSNQHNFGALGLGESQPPGGRSNYVGLPQMCSRTTQSRYPVSTIGKSVEGDSPEDSDIFYSDMALSYISRMLMEEDIDEKISTYKEESALRAAEKPFYDILGQKYPPSPDRPPPINIYQSSESPYERSSNQYMNLYSSGTTSSGGGSSSSSSSSAVVDNSDSSDNQHSRAHAGSVDYSPQTPSSSSNSVSSSIEEPFNNVSISPNLFFGSVPAWHFKRGVEEARKFLPINDKLVINLESNDVSSARVPKREGSTFSIKAEGEEKEFSSSGSKVRKNLNSEDLDLAEGRSNKQSAVSSQEEVRSEMFDMVLLCQGDKCSKKMSDLREAMQNEASRNSQNGQTKGSSGGKARGKKQTKKEVVDLRTLLILCAQAVAADDRRTANELLKQIRQHSSPHGDGSQRLAHCFADGLEARLAGTGSQIYHALVAKRTTATDVLKAYHLYLAACPFKRISHFFSNQTILNLADKASKVHIIDFGIYFGFQWPCLIQRLASRDGGPPKLRITGIDVPQPGFRPTERIEETGRRLADYAKSFNVPFEYQAIASKWETIRVEDLHIAKDEVVVVNCLYRFRNLIDETVVVDSPRNRVLNTIRKMNPDAFIHAVVNGSYSAPFFITRFREALFHFSALFDMLDMNVPRDDEQRSLIERDLFGREALNVIACEGPERVERPETYKQWQVRNLRAGFVQLRPNPDIMKKAKDKVKGCYHKDFVIDEDGGWLIQGWKGRIVYAISAWKPKGA >Ma07_p00460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:397858:416478:-1 gene:Ma07_g00460 transcript:Ma07_t00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MAFSPRASSSSSRGRHGRRSDLYSTVVIHGDDDGGSEPDAAAGDDDEEEEASSLPPLLQRVPKDFGAAVDDDEDEDEGGDFSGTFIVKRDSLLSHSPTARRTLRSPFLDLKRASPRSRGGEQDDPYSTFLIRSTSRESSLSESVSGTVVRRTGGGGGGFGSPFTSGAVEELRMGEGGGFRQSQWEEGKQQHQQQQSQRRKASVSSVPDSVAREDPSSKYELLHELGKGSYGAVYKARDLKTSELVAVKVISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIIMEYCGGGSIADLMNTTEEPLDENQIAYICREALKGLSYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTIIGTPHWMAPEVIQESRYDGKVDVWALGVTAIEMAEGLPPRYAVHPMRVLFMISGEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPTATEMLKHKFIEKCNWGASKMLPKIKKSRQIRAAMAAQTQNQQSGSESGITTQINEDYGDTVPSRPKSYPLNEDGVLGKSEIGRSTEPDTGEFGTIIVHHEVGVSDEIIESPISTKPEFISGLGDISSFTHDPRRDRPIDFWVENLSGTLVAKKTDTEEKPDSQAIQEQLAPSFGFPEEIAHSDEQHSLVNNAAIPASKAKRSTLKSASISRKAFSVQDKIRSIYAAGNTVPIPFLKATDISPLALVSENVVGDSVQESSGHAALEAVKELFSGDGQSKKGRKGQNEIQLPPGVHQRLTTSPTLMNLAQALAYHKRCYEDMPLQELQAAQEKQTIQNLCETLRTILRL >Ma07_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:397858:416478:-1 gene:Ma07_g00460 transcript:Ma07_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MAFSPRASSSSSRGRHGRRSDLYSTVVIHGDDDGGSEPDAAAGDDDEEEEASSLPPLLQRVPKDFGAAVDDDEDEDEGGDFSGTFIVKRDSLLSHSPTARRTLRSPFLDLKRASPRSRGGEQDDPYSTFLIRSTSRESSLSESVSGTVVRRTGGGGGGFGSPFTSGAVEELRMGEGGGFRQSQWEEGKQQHQQQQSQRRKASVSSVPDSVAREDPSSKYELLHELGKGSYGAVYKARDLKTSELVAVKVISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIIMEYCGGGSIADLMNTTEEPLDENQIAYICREALKGLSYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTIIGTPHWMAPEVIQESRYDGKVDVWALGVTAIEMAEGLPPRYAVHPMRVLFMISGEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPTATEMLKHKFIEKCNWGASKMLPKIKKSRQIRAAMAAQTQNQQSGSESVAQGITTQINEDYGDTVPSRPKSYPLNEDGVLGKSEIGRSTEPDTGEFGTIIVHHEVGVSDEIIESPISTKPEFISGLGDISSFTHDPRRDRPIDFWVENLSGTLVAKKTDTEEKPDSQAIQEQLAPSFGFPEEIAHSDEQHSLVNNAAIPASKAKRSTLKSASISRKAFSVQDKIRSIYAAGNTVPIPFLKATDISPLALVSENVVGDSVQESSGHAALEAVKELFSGDGQSKKGRKGQNEIQLPPGVHQRLTTSPTLMNLAQALAYHKRCYEDMPLQELQAAQEKQTIQNLCETLRTILRL >Ma07_p00460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:397858:416478:-1 gene:Ma07_g00460 transcript:Ma07_t00460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MAFSPRASSSSSRGRHGRRSDLYSTVVIHGDDDGGSEPDAAAGDDDEEEEASSLPPLLQRVPKDFGAAVDDDEDEDEGGDFSGTFIVKRDSLLSHSPTARRTLRSPFLDLKRASPRSRGGEQDDPYSTFLIRSTSRESSLSESVSGTVVRRTGGGGGGFGSPFTSGAVEELRMGEGGGFRQSQWEEGKQQHQQQQSQRRKASVSSVPDSVAREDPSSKYELLHELGKGSYGAVYKARDLKTSELVAVKVISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIIMEYCGGGSIADLMNTTEEPLDENQIAYICREALKGLSYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTIIGTPHWMAPEVIQESRYDGKVDVWALGVTAIEMAEGLPPRYAVHPMRVLFMISGEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPTATEMLKHKFIEKCNWGASKMLPKIKKSRQIRAAMAAQTQNQQSGSESQVAQGITTQINEDYGDTVPSRPKSYPLNEDGVLGKSEIGRSTEPDTGEFGTIIVHHEVGVSDEIIESPISTKPEFISGLGDISSFTHDPRRDRPIDFWVENLSGTLVAKKTDTEEKPDSQAIQEQLAPSFGFPEEIAHSDEQHSLVNNAAIPASKAKRSTLKSASISRKAFSVQDKIRSIYAAGNTVPIPFLKATDISPLALVSENVVGDSVQESSGHAALEAVKELFSGDGQSKKGRKGQNEIQLPPGVHQRLTTSPTLMNLAQALAYHKRCYEDMPLQELQAAQEKQTIQNLCETLRTILRL >Ma01_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11758119:11760004:1 gene:Ma01_g16210 transcript:Ma01_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVKPDPADKDAEPFVEIDPTGRYGRYDDLLGAGAVKRVYRGFDQEEGIEVAWNQVRLRSFSDDRPMLDRLFAEVRLLKTLRHENIIALYNVWTDDDGSTLNFITEVCTSGNLRVYRKRHRHVSLKALKKWSRQILMGLEYLHTHDPCIIHRDLNCSNVFINGNIGQVKIGDLGLAAIVGKSHAAHSILGTPEFMAPELYEEEYTEQVDIYSFGMCVLEMVTLEIPYSECDSVAKIYRKVTAGVRPAAMAKVKDPEVRAFIERCLARPRARPSASELLTDPFFHGIDDDGSAPHPPLARPPSAATATDPRNRAPVPASPDAGGAAISRLRID >Ma08_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1719125:1727936:-1 gene:Ma08_g02120 transcript:Ma08_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP62 [Source:Projected from Arabidopsis thaliana (AT2G45000) UniProtKB/Swiss-Prot;Acc:Q8L7F7] MAFSFNPPSSSSSSSSSSSPSFSFPSATPSQSQGFSFQSQTPSASSSSPFSFSFSTTPSLLFSSSSSTTTAASSSPAFSGFGFTSAASSPATGFSLGTPSSSASSPSLFGFSTLGSSAASSAATTANLFSASTSAASSSSSSAAPPSAPSPLFASDFGTSTLSSATFSSSSAASPFSTASPSFGFGSGTPSPLFGFGSSSSASSPLHGTSSSASSAAALSFSSASPVLTSSVATLGTSAAPSFASFASSSTPSTAGVAATATVTTTSTPSFSSLFSSSSSASPLSSSSSSFTTASTGAFSFGTGGSLPQNSFIASSSSAGTSLSLPVAATTSPSSSSTSGFSFGSAKSAASQPSFGFANAVSPAAATASAAPVFAGAAAAAKPLTLSFGSSSTPPISTMAPALTTASTITSVPAITPPATASSLFASAAASSLSFSVSSSTSAAAASTTASSSLTSTTTAAATGAFPSFGMSASSANSATPSTMSSSPLQSTPAVLLFGSSTSASASVASSSAATSQPLTPSVQASSGGLITTTSATTQAPKLPSEIVGKTVEEIIKDWNAELQERTSKFRKQATAIAEWDRRILQNRNILIRLEAEVAKVVETQTNLVRQLELIETHQQEIDKALESMEEEAERIYKDERALLLEDEATSVRDSMYEQAEFIEREMQKMAEQVKSVIQTVNSSQGGDMDMVDGMTPLDVVVRILNNQLSTLMWIDEKANEFSDQIQKVANSGAAAERGSTAPRFWLS >Ma07_p05170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3761317:3766028:1 gene:Ma07_g05170 transcript:Ma07_t05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAPPEETIFRSKLPDIEITNDSPLHSYCFERLAEFANRPCVVDGVTGKVLTYAEVDAAARRFAAGLHGVGIGRGDVFMILLLNSPEFVVAFLAASHRGAIATTANPAYTPGEIHKQASGCGARLIITESCHVEKIREFAAERGVTIVTVDDGPVEGCHRFADLLATDAGAVPAVEIDQDDVVALPYSSGTTGLPKGVMLTHRNLITSIAQQVDGSNPNLYFHKDDVLLCVLPLFHIYSLNSVLLCGLRVGASVLIMRRFEVVPLMELVQRYKVTIAPFVPPIVLKFVKSPLVGSYDLSSIRMVMSGAAPMGKELEDKFMANLPNARLGQGYGMTEAGPVISMCLAFAKEPCEVKSGACGTVVRNAEMKVIDPDTGASLGRNQHGEICIRGAQIMKGYINDPEATNNTIDQEGWLHTGDIGYVDDDDEVFIVDRLKELIKSKGCQVAPAELEALLIAHPSIADAAVVPMKDEAAGEVPVAFVVRSNGSEISEDEIKQHVSKQVVFYKRINKVFFTEAIPKAPSGKILRKDLRAKLAARFLD >Ma11_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21670734:21671429:-1 gene:Ma11_g16080 transcript:Ma11_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDNSQSMVTTYERLEGVANWVGGSVVSAFFASLDRCSCINVSTAEDDGDEPEEANDRPLMLTKPVLHDEEDAKPSPR >Ma06_p31520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32632007:32632977:-1 gene:Ma06_g31520 transcript:Ma06_t31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKVGSWGGNKGAHWDMGPASRITRVQIYAGLIVDSIKVQYVVDGTSNETRRHGGSGGKPHQFQLREREYIKCISGHVKDFGGTRCVSQLTFVTNLRKTHGPFGQGGGVAFSVPVAEGRIIGFFGNAEKYLNALGIYLKPN >Ma06_p30820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32050982:32054932:1 gene:Ma06_g30820 transcript:Ma06_t30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQSGQNSNGDSMLAQVFVDWRDKPCSPKKHGGMRAAVFVLAIQAFEIMAIAAVGNNLITYVFNEMHFPLSKSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLSIQAHLPQLRPPPCNMISKEDHCMEANGFKATVFFLALYLVALGSGCLKPNMISHGADQFGKDDPDQSKKLSTYFNTAYFSFSVGELIALTVLVWVQTRSGMDVGFGVSAAAMAVGLVILICGAFFYRNKPPQGSIFTPIARVFVAAFTKRKQVCPSNPEVLQRSHIDPPEHLAISGLEPSSFVGNKLRFLDKACIKAQDGSNVKEGAWRLCTAAEVEQVKIIVSVIPIFACTIIFNTVLAQLQTFSVQQGSAMNTRPAKSFQVPPASLQAIPYIMLILLVPLYETCFVPLARRLTGDDSGIAPLQRIGVGLFTVTFSMVAAAVIEKKRREAYVDSGEQLSIIWIAPQFLIFGVSEMFTAVGLIEFFYKQSTAGMQSFLTAMTYCSYSFGFYLSSILVSLVNRITSSSSRDGWLSDNDLNKDRLDLFYWLLAALSLVNFFSYLLCSRWYSSSRSLSANPPPSGLHGEDNHLSFTSSSKHVAAEAIL >Ma06_p37100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36275353:36276620:-1 gene:Ma06_g37100 transcript:Ma06_t37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAHKPAWLEALDKLKFFVGCSVHESARKNEKNICCLDCCTAICPHCLRSHRLHRLVQVRRYVYHDVVRLQDLDKLIDCSSVQSYTINSSKVVFLKKRPQSRQFKGAGNVCTSCDRTLQEPYIHCSLECKVDYIARHKKDFSHYIRRCQSLQLSPDSIVPPDTEVGDDEDTNETTHSTVVEGDDPMRSSDSEDFNVPYLNFIRKKRSGINLCSRSANKVDTEDMATNMSRRKGIPHRSPLC >Ma06_p37100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36275353:36276617:-1 gene:Ma06_g37100 transcript:Ma06_t37100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHKPAWLEALDKLKFFVGCSVHESARKNEKNICCLDCCTAICPHCLRSHRLHRLVQVRRYVYHDVVRLQDLDKLIDCSSVQSYTINSSKVVFLKKRPQSRQFKGAGNVCTSCDRTLQEPYIHCSLECKVDYIARHKKDFSHYIRRCQSLQLSPDSIVPPDTEVGDDEDTNETTHSTVVEGDDPMRSSDSEDFNVPYLNFIRKKRSGINLCSRSANKVDTEDMATNMSRRKGIPHRSPLC >Ma09_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4787807:4791755:1 gene:Ma09_g07330 transcript:Ma09_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKLAFFPPSPPLYEVVTEPESGVVRLSCFPHRENVEVLRLPTRRGTEIVALYVRNPLAASTLLYSHGNAADLGQMYELFVELSIHLRVNLLGYDYSGYGQSSGKPSEQNTYADIEAAYKCLVEIYSAKEEEIVLYGQSVGSGPTVNLAARLPHLRAVILHSPILSGLRVMYPVKHTYWFDIYKNIDKISSVNCPVLVIHGTSDEVVDFSHGTKLWELCNKKYEPLWLKGGKHCDLELFPEYIKHLKKFISAVQKSPSQRSTWRRNADQFELSRMSTSRLEPSRMSFGRRERSKPSTAKSKSKDQTSPNVEKQEKMKISFDKTEKSRRSLDCFRLSGKHVADQPDRGRRSVDRLDRIWAG >Ma09_p07330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4787807:4791755:1 gene:Ma09_g07330 transcript:Ma09_t07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKLAFFPPSPPLYEVVTEPESGVVRLSCFPHRENVEVLRLPTRRGTEIVALYVRNPLAASTLLYSHGNAADLGQMYELFVELSIHLRVNLLGYDYSGYGQSSGKPSEQNTYADIEAAYKCLVEIYSAKEEEIVLYGQSVGSGPTVNLAARLPHLRAVILHSPILSGLRVMYPVKHTYWFDIYKNIDKISSVNCPVLVIHGTSDEVVDFSHGTKLWELCNKKYEPLWLKGGKHCDLELFPEYIKHLKKFISAVQKSPSQRSTWRRNADQFELSRMSTSRLEPSRMSFGRRERSKPSTAKSKSKDQTSPNVEKQEKMKISFDKTEKSRRSLDCFRLSGKHVADQPDRGRRSVDRLDRIWAG >Ma05_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6713260:6714235:1 gene:Ma05_g09230 transcript:Ma05_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASEGSMDVRLAATRALYNALGFAQANFSNDMERDYITRVVCEATLSTEVKIRQAAFECLVAISSTYYNKLASYMQDIFNITAKAVREDDEPVALQAIEFWNSISLPALVPMLLETLLKQEEDQDQDEGSWNLAMAGGTCLGLVARTVGDDIVPLTQITMSRTLPHGHLEEYEFLHGSTVQTHVITHGNCHQILIVLLQSMKDVPNVSQGYEDATSVSPLSPFFQDIVHALLNVTHREDAG >Ma10_p23010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32117944:32125053:1 gene:Ma10_g23010 transcript:Ma10_t23010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATISDFHLNRVPCPGSPCANSVTECSGARRKREVRFRALGNSEGLGFLVQNGARKSRGLCWNARGSRRAKRMVVAASPPTDDGVVVTEPLMKEDLVGYLNSGCKPKEMWSSWELLLTEEHFCCNRIGTEHEKFGFEVGSLRPMKYEQIADLLNGLAERFDWDKIMEGDYIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEELGLGFLGIGFHPKWRLSDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSESDMIKKFRAGLALQPIATAIFANSPFTEGKPNGFLSMRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVEYALDVPMYFVYRKRTYIDCTGMSFRDFMRGKLPSLPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQNVSEMISDWTREEREMLRKKVPVTGLKTPFRGGLLRHVAEDVLKLAKDGLERRGYKEAGFLKEVTETVQTGVTPAEKLLELYNGKWGGRVGPVFQELLY >Ma10_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32117944:32125053:1 gene:Ma10_g23010 transcript:Ma10_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISDFHLNRVPCPGSPCANSVTECSGARRKREVRFRALGNSEGLGFLVQNGARKSRGLCWNARGSRRAKRMVVAASPPTDDGVVVTEPLMKEDLVGYLNSGCKPKEMWRIGTEHEKFGFEVGSLRPMKYEQIADLLNGLAERFDWDKIMEGDYIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEELGLGFLGIGFHPKWRLSDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSESDMIKKFRAGLALQPIATAIFANSPFTEGKPNGFLSMRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVEYALDVPMYFVYRKRTYIDCTGMSFRDFMRGKLPSLPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQNVSEMISDWTREEREMLRKKVPVTGLKTPFRGGLLRHVAEDVLKLAKDGLERRGYKEAGFLKEVTETVQTGVTPAEKLLELYNGKWGGRVGPVFQELLY >Ma10_p23010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32117944:32125051:1 gene:Ma10_g23010 transcript:Ma10_t23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATISDFHLNRVPCPGSPCANSVTECSGARRKREVRFRALGNSEGLGFLVQNGARKSRGLCWNARGSRRAKRMVVAASPPTDDGVVVTEPLMKEDLVGYLNSGCKPKEMWSSWELLLTEEHFCCNRIGTEHEKFGFEVGSLRPMKYEQIADLLNGLAERFDWDKIMEGDYIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEELGLGFLGIGFHPKWRLSDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSESDMIKKFRAGLALQPIATAIFANSPFTEGKPNGFLSMRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVEYALDVPMYFVYRKRTYIDCTGMSFRDFMRGKLPSLPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQNVSEMISDWTREEREMLRKKVPVTGLKTPFRGGLLRHVAEDVLKLAKDGLERRGYKEAGFLKEVTETVQTGVTPAEKLLELYNGKWGGRVGPVFQELLY >Ma06_p28580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30223062:30225500:1 gene:Ma06_g28580 transcript:Ma06_t28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSRGSDLHQQQSPRAPLHLKTSVISEANSLHRMVVDRSPKLEDGRSPRSPLHEQKKRSTRVADLETKLSKAQEELKKLRDQLGSAEVAKVDAEQALEKAKKQVFSVNRNSELDKQKPLPQESEPASEPKPQPAPKSEVEDVSSPTASDVSTLAVPMEPLGQVKHEEEAEKEKDEQNMEIIMKKDDDNNIGGGVVERNELVDLPESPEEVKLKYMILEKEKEVEFLLEENMSFKRRAEEEAENLAAGARAKEEELKAKISSMEEELKESRARAAQLMEQLAAAEGAKATLEVEMKRLRVQTGQWRKAAEAATALVAAGDRAATEMGGKRVAERCRSMDGHHGGFDGWHLPLVGGESEEDGVVGGRRKSAGIWMFGDLWKKRMQQR >Ma06_p28580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30223013:30225500:1 gene:Ma06_g28580 transcript:Ma06_t28580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSRGSDLHQQQSPRAPLHLKTSVISEANSLHRMVVDRSPKLEDGRSPRSPLHEKKRSTRVADLETKLSKAQEELKKLRDQLGSAEVAKVDAEQALEKAKKQVFSVNRNSELDKQKPLPQESEPASEPKPQPAPKSEVEDVSSPTASDVSTLAVPMEPLGQVKHEEEAEKEKDEQNMEIIMKKDDDNNIGGGVVERNELVDLPESPEEVKLKYMILEKEKEVEFLLEENMSFKRRAEEEAENLAAGARAKEEELKAKISSMEEELKESRARAAQLMEQLAAAEGAKATLEVEMKRLRVQTGQWRKAAEAATALVAAGDRAATEMGGKRVAERCRSMDGHHGGFDGWHLPLVGGESEEDGVVGGRRKSAGIWMFGDLWKKRMQQR >Ma06_p28580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30223062:30225500:1 gene:Ma06_g28580 transcript:Ma06_t28580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSRGSDLHQQQSPRAPLHLKTSVISEANSLHRMVVDRSPKLEDGRSPRSPLHEQKKRSTRVADLETKLSKAQEELKKLRDQLGSAEVAKVDAEQALEKAKKQVFSVNRNSELDKQKPLPQESEPASEPKPQPAPKSEVEDVSSPTASDVSTLAVPMEPLGQVKHEEEAEKEKDEQNMEIIMKKDDDNNIGGGVVERNELVDLPESPEEVKLKYMILEKEKEVEFLLEENMSFKRRAEEEAENLAAGARAKEEELKAKISSMEEELKESRARAAQLMEQLAAAEGAKATLEVEMKRLRVQTGQWRKAAEAATALVAAGDRAATEMGGKRVAERCRSMDGHHGGFDGWHLPLVGGESEEDGVVGGRRKSAGIWMFGDLWKKRMQQR >Ma06_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10742496:10742783:1 gene:Ma06_g15840 transcript:Ma06_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRMERCAPRQVEEDTEKEKGDGGCKIKMLLTRKELAWLELHLKEKAEQRLEDVLVEMGRETQKERGKGRGGWKPTLESIVEIPEVQTCNNVAV >Ma08_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38078136:38082377:1 gene:Ma08_g25110 transcript:Ma08_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVAVVLPFVAALMGSLWGGGASAHGVAASSFNSSSFPAGFVFGAASAAYQYEGAFREGGKGPSIWDTFTHHHPEKIMDRSNGDVAVDSYHRYKEDVALMKEMGVDAHRFSISWSRILPNGKLSGGVNEEGVKHYNDLIDELLSNGLQPFVTLFHWDLPQALEDQYGGFLSPFVVKDFRDFVEVCFGEFGDRVKHWITFNEPLIFSTMGYATGQTAPGRCTPILVGNCTAGNSGREPYVVAHHQLLAHAAAVKLYRDTYQGSQKGRIGITLTTTWFIPLSDSKSDVDAAQRILDFNYGWFMDPLTGGDYPFIMRTLLGDRLPRFTDEESRLIKGSFDFIGLNYYTASYAYGLPLSKTGVQNYMTDSFVSSTAVRNGVPIGPQAASSWLYVYPKGIRDLLLYTKSKYNNPVIYITENGIDEVNDETVPLKEALEDKTRVEYYRGHLLYLQRAIRRGADVRGFFMWTLLDDFEWNSGYTVRFGLHYVDFKDGLKRYPKRSALWFREFLRRS >Ma02_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16647163:16650066:1 gene:Ma02_g05310 transcript:Ma02_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFFLLQLLISVSLTLASSHDYHEALSKSILFFEGQRSGKLPPDQRAGWRGDSALSDGSEAGVDLTGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGELMPTDELRNAAVAIRWATDYLLKTISHPGLVFVQVGNPISDHNCWERPEDMDTARTVYNVSADRPGSEVAGETAAALAAASMVFRDIDPGYSQTLLENAMRAFEFADTYKGAYSDDPQLKAGVCPFYCDFDGYQDELLWGAAWLRRASQNDSFLDYIQNNGKTLGADDNINEFGWDNKHAGLNVLVSKEFMEGQALSLESYKEFADSFMCTLIPESSSSHIQFTPGGLIYRPGGSNMQHVTSISFLLLTYAKYLSKSSQTANCGSIQVDPSSLQLQAKKQVDYLLGDNPMNMSYMVGYGDRYPQRIHHRGSSLPSVSSHPQFIACKDGSDYYKSANPNPNPLVGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGVLAYFVANPNAGLVLT >Ma04_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3868578:3869150:1 gene:Ma04_g05140 transcript:Ma04_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKRLKREEEIVEIALAAAATALFVSGLKKLLPCVLHQWPLALLVAPPPFLLLLLNLIIASIVVISIQPNLGRRRGRKTKKKSGGRGSKSSSYATSVGVGEEEEKQKGGFEPQEEGDAEELNARAEAFIMAFRRQLRLDSFSSGVRRTKVEAPPR >Ma04_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2468413:2473892:1 gene:Ma04_g03100 transcript:Ma04_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERVKEGALRTLGLFQVLPRLVVFDLDYTLWPFYCECRSKREMPSLYPHAEGIHYAFKDKGINVAIASRSPTPDIAKTFLQKLDIQSMFVAQEIFSSWTHKTEHFQRIQRRTGIPFKSMLFFDDEHRNIEAISKMGVTSVHVVNGVNLEKLRLGLRNFSHQSVSPNIKPADEKVRKLGS >Ma07_p04440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3299364:3306353:-1 gene:Ma07_g04440 transcript:Ma07_t04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPTAAGGDGDGQIGGGGGGGIIRHNRKCRDLVFLVIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGNRHAKPDLRELMVRYWLNPNQVYLSGIENSQFNLADARSICLMECPVPSEDGLNWVCDYPEGDIRLSMDDWINRDYDYYEFLTSEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLRHWQQMGGVNIDENIIIDKTIHRAINSPSPVLKRYVADIGKAWPVLIVCGGILPVFLSVIWLLMIRHFVAGMTWITVILFNALVISVTMFYYTKAGWIGNDALSVVIGEHDPYIHISGREINHIRAVAVLMTIVMIIAFLSSLAIIRRILIATSVLKVAAKVIGEVQALIIFPILPYVILAVFYMFWFSAALHLFSSGQILRNDCSGNCCSFDLKSNKINCDNCCGYRIHYTRNIGISILFHLFGCYWATQFIIACSSTVIAGSVASYYWARGEISEIPFLPVFSSMKRLLRYSLGSVALGSLVVSIVEWVRFILEALRRRLRHSDPAPVTCIGKFMSSSSQCCLGCIDWIIKSVNRNAYIMIAITGKGFSKASAIATGLIMNNILRIGKVNVIGDVILYLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLFPVLVTWGLGYIVATLFFAVVEMSIDTIILSFCQDAEEHQGTAQYAPPLLMETLDSQGEMQRLTQGS >Ma07_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3299364:3306353:-1 gene:Ma07_g04440 transcript:Ma07_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPTAAGGDGDGQIGGGGGGGIIRHNRKCRDLVFLVIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGNRHAKPDLRELMVRYWLNPNQVYLSGIENSQFNLADARSICLMECPVPSEDGLNWVCDYPEGDIRLSMDDWINRDYDYYEFLTSEMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLRHWQQMGGVNIDENIIIDKTIHRAINSPSPVLKRYVADIGKAWPVLIVCGGILPVFLSVIWLLMIRHFVAGMTWITVILFNALVISVTMFYYTKAGWIGNDALSVVIGEHDPYIHISGREINHIRAVAVLMTIVMIIAFLSSLAIIRRILIATSVLKVAAKVIGEVQALIIFPILPYVILAVFYMFWFSAALHLFSSGQILRNDCSGNCCSFDLKSNKINCDNCCGYRIHYTRNIGISILFHLFGCYWATQFIIACSSTVIAGSVASYYWARGEISQEIPFLPVFSSMKRLLRYSLGSVALGSLVVSIVEWVRFILEALRRRLRHSDPAPVTCIGKFMSSSSQCCLGCIDWIIKSVNRNAYIMIAITGKGFSKASAIATGLIMNNILRIGKVNVIGDVILYLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLFPVLVTWGLGYIVATLFFAVVEMSIDTIILSFCQDAEEHQGTAQYAPPLLMETLDSQGEMQRLTQGS >Ma00_p00940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3518193:3524829:-1 gene:Ma00_g00940 transcript:Ma00_t00940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQLVIGPAGSGKSTYCSSLYQHCETVRRTMHIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLIYCMEHLEDSLDDWLTVELDNYLDDDYLVFDCPGQIELFTHVPVLRNFVDHLKRKNFNVCAVYLLDSQFMTDVPKYISGCLASLSAMVQLELPHVNILSKMDLVTDKKDVENYLNPEAKILLSELNKHMAPRFANLNKALAELIDDYSMVNFIPLDLRKESSIQYVLSCIDNCIQYGEDADVKIKDFDPDEDD >Ma00_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3518193:3524817:-1 gene:Ma00_g00940 transcript:Ma00_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQLVIGPAGSGKSTYCSSLYQHCETVRRTMHIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLIYCMEHLEDSLDDWLTVELDNYLDDDYLVFDCPGQIELFTHVPVLRNFVDHLKRKNFNVCAVYLLDSQFMTDVPKYISGCLASLSAMVQLELPHVNILSKMDLVTDKKDVENYLNPEAKILLSELNKHMAPRFANLNKALAELIDDYSMVNFIPLDLRKESSIQYVLSCIDNCIQYGEDADVKIKDFDPDEDD >Ma00_p00940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3518193:3524910:-1 gene:Ma00_g00940 transcript:Ma00_t00940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQLVIGPAGSGKSTYCSSLYQHCETVRRTMHIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLIYCMEHLEDSLDDWLTVELDNYLDDDYLVFDCPGQIELFTHVPVLRNFVDHLKRKNFNVCAVYLLDSQFMTDVPKYISGCLASLSAMVQLELPHVNILSKMDLVTDKKDVENYLNPEAKILLSELNKHMAPRFANLNKALAELIDDYSMVNFIPLDLRKESSIQYVLSCIDNCIQYGEDADVKIKDFDPDEDD >Ma01_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3406906:3416402:-1 gene:Ma01_g04930 transcript:Ma01_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYHIYEAIGRGKHSVVYKGRKKKTIEYYAIKSVEKSQRSKILQEVRMLHSLSHPNVLRFYSWYETSAHLWLVLEYCVGGDILALLKQDGQLPENSIHDLASDLVKALQFLHSKGIIYCDLKPSNILLDEFGCVKLCDFGLARRLCDIEKGSLAMLPQAKRGTPCYMAPELFQDDGVHSYASDLWALGCVLYECYAGRPPFIKNEFTQLVKSIILDPAPPLPGNPSSSFVNLINSLLMKDPAERLAWPELCEHSFWRTKFSSVSLPPHPAFSNMLQLSVKPYLSERNGEKSSQQRTPQKRQESKMVRGYKQDENSNSGNKAFDTSLKNAQNSRKNSTKHGGKLEAAKGINLVRLSRMAKLNLQRENEKQNYRRPLLETCENNAEVKIENNDMELDFSENPDDDLSDDADGSENPSCIPVVDLQSPNIDEKIEEIEQNMNQLNAAVDNFVDNGPDDSKKPVQEACSEQLEVAATPPNSSNRKFQQAKVTAGSAADLDSSSSSNTLFEAFWHPSDLSVKPVMPSRKSDKVADAVLNLPFESVPACDYVKLPTEKLNAINSMIVHILTGTSQVSEKQNTIRYLEILSGNSDAANIIINGPVMLLLVKILRLSKVSAVRVQVASAMGLLIRHSTFIETELANSGIINSLMDGLRDKHDKVRRFSMAALGELLFYISTQNDHSAKDNNISESPSKESRSTSCWQVPNTVIALVSSILRKGEDDVAQLYALRAIENICSQGGDWASRFASQDVIGNLCYIYKAAGKQENTRLIAGSCLVRLARFCPSCIQYVFDKLSFKDTASAVIKGNPREQQISLNLLIMSILSMPMLTNMNRHLLSLAEEKHMVPGLISLIEQGAEALRGKALLFVGLLCKNSRKWLSQFLSNLKLLSLVDRLGKEKDGFIQQCVEAFVHLVATIVPGILDTVSGDMQQMMGGKRHGPIAALTGRTNPKSSAHVLPVILHLLGSSSFKHRVVSSHVLLQLANLIKLLQVPFQGRDDFQITLLQVLELVIEEPSVILDDPKIFTGRILPGLAIIYNGNKDGDARFLCLKILFDVMVAIFDDASLTNDQQTIEDLKSISQTYFLSLYPTLIEDEDPIPMYAQKLLVMLIEFDYIKVSDIVHLKAVTRCFEFLLGDLSNANVNDVKLCLALTSAPEMETKLLSQLHVVRRIGNLLEFVNAKEMEDFLEPTLGLCKAFILRGISSNKVTTLCKEPALLCNSAFNMSIAVDQQHCVKDICDFASNLTVFLDLFRYPKTQIMDLSSECVVLLLRAAPREATMGILTNLPKISNLLEFLNHNAFGLQILRLLYSLVFSCRQYLSQAMILSIPITAILRIETLLSSLKSSGIPGISEAAMNLTLELQRLPRSL >Ma09_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3032649:3034145:-1 gene:Ma09_g04700 transcript:Ma09_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQMFFTIFFVVMLPAQGQLAPDFYDAVCPHALPTIRAVVEAAVALQPRLGAYLVRLHFHDCFVNGCDGSVLLDDSATFVGEKTAGPNNNSLRGFEVIDDIKAVVNAACFGNVVSCADILAVAARDSIVALGGSSYEVLLGRRDATTASKDDANADIPTPFSGLPDLLAKFQSHGLAVEDLVALSGAHTLGFARCALFRDRLYNETSTIDSDFATALQARCPRTGGDDELSPLDETSPAVFDAGYYRGLLQNKGLLHSDQQLFGGDGSGDTDALVQHYSENPGEFMADFGVAMIKMGSISPLTGSDGEIRENCRVANA >Ma04_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2094622:2096500:-1 gene:Ma04_g02440 transcript:Ma04_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPAVSLPPGFRFHPTDEELILHYLRNRAAAVPCPVSIIAEVDIYKFDPWDLPAKATFGDREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPVMASKGSESIGVKKALVFYQGRPPRGVKTDWIMHEYRLADAHNKNSYRPIKLRDCSMRLDDWVLCRIYKKSHHQPSMDAEKDDSGVEDVAMPTPPSATQQHDTAKLPKSYSLSELLDAADFSALSRLLERPADVLGLISENSSIAHPFSHQGFDGNSDGLAVHHLFQAESPAPMTDSNPKRRWTANGCFEDGSEVSHTGKRATGSRTATSVANKFNFTAQQPFVDQQLMSNPHLSLR >Ma11_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:587067:603299:-1 gene:Ma11_g00810 transcript:Ma11_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGISPAPGDYAYFKSQVPLHKISIGNKQWRYYDFGPKAVPPLICIPGIAGTADVYYKQIKSLSMKGYRVISVDLPRVWNHHEWVHSFEKFLDTLSIYHVHIYGTSLGGFLALVFAQHRPRRVKSLVLSNAFLETHKFAAAMPWSPVVNWTPSFLLKRYILSGIRDGPHEPFIADSVDFVVGQVETLSRDDLSSRLKLNANVASVGPLLLSDSFITIMDTNDYCAVPQQLKDQVSERFPGARRAILKTGGDFPFLSRPDEVNLYLQLHLRWVGVEAQPDKVLRIPGDDGDNGRSNYESRGGEHFDDLPGPANIGGSNSAHQPESSGPSRDSDGSPQPEPSGPTDHTSNERLSSKEIGNMTVLDTFPSLIQASVVLAMLQHLVVTELCITWKPVLNV >Ma02_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22173233:22176531:1 gene:Ma02_g14020 transcript:Ma02_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCLQKKQRLPNQGATSDGEMLDGQIVKYQPLIDEAAKAMLRQILKMTMLESLINLDNHLIENFQSLSETFERYFKSEFSHSTAAVAEMIGTVKLTNIGVLSAVPGYIAPSTILLTFQLGIWWWICHRICMLVHVSENLYVNPLTLDEAMRLETDDFLAHTDINFHDDEEKLPDIMYAF >Ma10_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5158925:5160589:1 gene:Ma10_g01750 transcript:Ma10_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNIGIKKGPWTPEEDIVLVSYIREHGPGNWRSVPTSTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEERVIIHLQSLLGNRWASIASYLPQRTDNDIKNYWNTHLKKKINKIQGAADADGKKPSSDARPDCHDYVSQIYKMMESRKQYRAATLPSYHQKSRYASSSENISRLLQGSMQSSPTVNAPGKSKESCSTADDDDDENSNIITALTAASLTENSQAEGDRGSCAPMTHDDFDLLHSFESMDTVPRENTGTETPFQPAEADDAEAQLGAESDQPPLFLLENWLLDEASAQVDELMKLTADSCSYSIF >Ma04_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24289336:24293154:1 gene:Ma04_g21840 transcript:Ma04_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGRIPSRPLATNAESLKRNVDCFYFIASPTTCTKGSKCEYRHSEGARFNPKDCLYWLKGNCLNPRCTFRHRPLESLFGNPRAMAVPAEPSSSTAVQVADRPPPNNINRNTTPCYFFMKGKCLKGDECPFRHGVGTPLDLHKDQQSTPSVSAVKAGQCRFQSMEEALEYFKKDKELKGESSHDRIEDSDFFRDVDECAAAWLQRGRTLDFLDQCNDPQSDHQREKDQHTENRESEMSGSLHGREQQKTSSEWTLDISMLEKGKLREESYDELDDEPVPRSETDQHRGLHGRDEKLLEGPSMRTKSKPREESNDELDPCDLRHQLPRVRRPKDSGRVSDPDDRGSHRYVHDDSSHAQHSQWDRQSLGRGRSKSIISLPTKSSPDRPTGWPSAIDTDGERKRRRLSPAMLMNDQESCARLDADSSSGARRTSGGRTAGKDVAYPSDFPGPKSLAELRGAKASQTSYDEKRLSSSETADHQEYGSSLSFEGPLPLSVILQRKREAAPEKSAISSNGEGDNQGDADDHSWDPAEDGGNVPEASKGTTVDEEEEEGRIAKELLDGADEVVNYEEAAEGEDNEYEVDEDDFVKMVGDLLV >Ma03_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2390133:2391696:-1 gene:Ma03_g03550 transcript:Ma03_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKLSLLLLFFCTVTFFAMAKDFSIVGYSPEDLASEETLMYLFENWMAKHSKSYASFQEKLRKFGIFKDNLKHIDETNTERKSYWLGLNEFADMSHEEFKHRYLGLNTDLPKIKDVRFKARETFMYEKAANLPRSVDWRKKGAVTPVKNQGGCGSCWAFSTVAAVEGISQIVTGNLTSLSEQELIDCDTTFNHGCKGGLMDYAFAFIIASGGLRTEEDYPYLMEEGTCEEKRGGLELVVTISGYEDVPRNSEESFLKTLAHQPVSVAVEASGRDFQFYRGGIFDGPCGTQLDHGVAAVGYGTSKGQDYIMVKNSWGPSWGEKGYIRMKRNTGKREGQCGIYKMASYPTKKK >Ma11_p24670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27568537:27575136:-1 gene:Ma11_g24670 transcript:Ma11_t24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKPSDKSPGETESSGSVSSEMHSGEQEVLRTSINSSPNHVQSPEVSSKDVSHEDNETIKLLNEKLSAALLNVSAKEDLVKQHGKIAEEAVLGWENAEKEISSLKQHLEAASRENSSLEDRVVHLDAALKECVRQLRQTREDQEQKVHDAITKKTHEWESEKLELEIQRTELQAKMEAKAETTTSFDYRLRTKIEILEEENSALKVELDTLTGNLQMQTIELELSTRTAEAASKQHLDSIRKVARLEAECHRLRTAARKLSLANEHKVISNSLYVESVTDSQSDAGEQLLSLDNEQSCSDSWASALITELDQFKMEKSSTKCFATSVEIDLMDDFLEMERLVALPEADHGNSSTEHDDDLAHASNRDSCSRKQLDTVHLRMAELQEMIEKMTTVKVEMEMSLAVTNNQLKDTCDQLVAAEGKLVELQRQLNLVNGEKHDLEIELEATEGKKNDLEIQLESANIENAKLHERINILDRKFAAEEELSAILKVRCQNIEVTESNRKEIELQLELAYGEVAELKGRISLLEGKLEEEKALSTELASRCWNMEVLKGKKEELECQLESANLAIHELQEKVNSLEMKLEEGETFSVELLARCQSMEAINAKKKELESQLTGKLLEVGKLHWKVNILEGKVEEERALSSELAANIEAVEAKRKELVVQLELAHVEVGILQEKLIILEKQVEEERALSADFARKYHQLEHELTSKQQAAESHRSTSSSRVLKARQEKNIALAAGNFAECQKTIASLNQRLKSLANFDDLMLETEPGSNADLLGIRADSRILDPSKENSFP >Ma11_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27568537:27575136:-1 gene:Ma11_g24670 transcript:Ma11_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKPSDKSPGETESSGSVSSEMHSGEQQEVLRTSINSSPNHVQSPEVSSKDVSHEDNETIKLLNEKLSAALLNVSAKEDLVKQHGKIAEEAVLGWENAEKEISSLKQHLEAASRENSSLEDRVVHLDAALKECVRQLRQTREDQEQKVHDAITKKTHEWESEKLELEIQRTELQAKMEAKAETTTSFDYRLRTKIEILEEENSALKVELDTLTGNLQMQTIELELSTRTAEAASKQHLDSIRKVARLEAECHRLRTAARKLSLANEHKVISNSLYVESVTDSQSDAGEQLLSLDNEQSCSDSWASALITELDQFKMEKSSTKCFATSVEIDLMDDFLEMERLVALPEADHGNSSTEHDDDLAHASNRDSCSRKQLDTVHLRMAELQEMIEKMTTVKVEMEMSLAVTNNQLKDTCDQLVAAEGKLVELQRQLNLVNGEKHDLEIELEATEGKKNDLEIQLESANIENAKLHERINILDRKFAAEEELSAILKVRCQNIEVTESNRKEIELQLELAYGEVAELKGRISLLEGKLEEEKALSTELASRCWNMEVLKGKKEELECQLESANLAIHELQEKVNSLEMKLEEGETFSVELLARCQSMEAINAKKKELESQLTGKLLEVGKLHWKVNILEGKVEEERALSSELAANIEAVEAKRKELVVQLELAHVEVGILQEKLIILEKQVEEERALSADFARKYHQLEHELTSKQQAAESHRSTSSSRVLKARQEKNIALAAGNFAECQKTIASLNQRLKSLANFDDLMLETEPGSNADLLGIRADSRILDPSKENSFP >Ma06_p30070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31410709:31423220:1 gene:Ma06_g30070 transcript:Ma06_t30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSDTSLRNGSLGNNSFRSESFSEEKDMGLVREAGCLSIIVLGASGDLAKKKTFPALFHLFQQGFLQENDVHIFGYARTKLSDDDLRERIRGYLGKVVSTENVEILSKFLQLIKYVSGSYDSEEGFQLLDMQVSEHETSKNSQPGTSRRLFYLALPPSVYPSVCTMIRRHCMNQSDLGGWTRIVVEKPFGKDLESAEDLSAQLGELFDEKQLYRIDHYLGKELVQNLLVLRFANRFFMPLWNRDNIDNIQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKPEEVVLGQYEGYKNDPTVSDSSNTPTFATAVLRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKSKKQGRNEFVIRLQPSEAMYMKLTVKKPGLQMSTIQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRVAWEIFTPLLHSIDEGQLKPISYKPGSRGPAEADELLAKAGYVQTHGYIWIPPTLA >Ma06_p30070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31410963:31423220:1 gene:Ma06_g30070 transcript:Ma06_t30070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSDTSLRNGSLGNNSFRSESFSEEKDMGLVREAGCLSIIVLGASGDLAKKKTFPALFHLFQQGFLQENDVHIFGYARTKLSDDDLRERIRGYLGKVVSTENVEILSKFLQLIKYVSGSYDSEEGFQLLDMQVSEHETSKNSQPGTSRRLFYLALPPSVYPSVCTMIRRHCMNQSDLGGWTRIVVEKPFGKDLESAEDLSAQLGELFDEKQLYRIDHYLGKELVQNLLVLRFANRFFMPLWNRDNIDNIQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKPEEVVLGQYEGYKNDPTVSDSSNTPTFATAVLRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKSKKQGRNEFVIRLQPSEAMYMKLTVKKPGLQMSTIQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRVAWEIFTPLLHSIDEGQLKPISYKPGSRGPAEADELLAKAGYVQTHGYIWIPPTLA >Ma08_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34490361:34494324:1 gene:Ma08_g20410 transcript:Ma08_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKEGDRVEVLRRKEEQYDSWFPAKISFVHSSTYTVRYELFMTSDKKSVVETVHEEDVRPCPSLPSGKKWWTAGDITEVLDLCSWRAGKVVKVLKNDRVVIKLFGSIQLKEHRVSDLRVPQAWQNNRWIMIDQGSGGKHSSSNNARKLDYATSQGIGKQTSIGRTSKQKHVVCSSPIKTMKPKLRSYCGFSPVDLVKGMDRKGKFIQNRSHKLAREALPQRMDTISFSKDLDSQNFLHKSSKERVGGSPWINADKWHTNNHVLIPSSTPLPVSDDNNDCSVASCSGNAYPGYTYQSLRKHKDTAFNSLVDECQSKTGDELAANVHELELHAYHSTVEAFHASGPLSWEQESLLTNLRLSLNISNEEHLHHLRHLLSA >Ma03_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23317929:23326653:-1 gene:Ma03_g17750 transcript:Ma03_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAMASAPACGAIRSWTLPSLVGAYLDLALAYLFLCGALLTYLASKFLALFGLSLPCSCRDDYLVRKIDGVHVAVRGRFPFDFACRHCRRRCCCYDDDDAVKDCGFGAATRGVPDMRRGGGQQEESCGSVWKPSASNRLKLPDGAESGNYFGRDQMDVVNGIDILHAETPPAVHRRQRRRKSMIVRRISPPASLSPPLQFGWETGGTADSPFSCKLQRRGFEDIPSPDRILGSLCIMAGNHISSTKTKFTEDTSCGLKQVCGSKGNESIVIRDLKEVLDRERSALAALYLDLEKERSASATAADEAMAMISRLQEEKASIEMEARQFQRMVVEKSVYDEEEMEILKEIILQQEREKHVLEKEVEAYQAMMFNDGDVQQPLENYQIDVAQLMGENLCPSFGSSYNPELMLEEIHKSIEKKEKLKDKMKFLDDRGHLDAKKQNSISHFDRMPMSVSVAENAVQKHNMEKVHPKTPDIGDECNVQDKSMVTMEGFTSSMQKERSGYEKLRKLDELEETGSHELTSCTNDEDTARNRKNKTEVPIGDLCMRHGQNTPKTTTESFQFETDASVLDVHVINDKTDLDGNENVEQMDFSQKGCTSSTYYEYNTTNEPSMTDVLDDPSTPDSDTRWTYVELNIHRSHSDNTKTGHSMDSSRQRASWFHPRRSSTSAVDNERFKIENEVEVLRKRLKLIQQGRKKLNLSVEQREKEANNLQLLDEISSQLQEIRKEARPGTSTRQSSLPPSSSKGNYISMIQLIQVNLRKRRHSVSGALRESNT >Ma05_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32100667:32101999:-1 gene:Ma05_g20410 transcript:Ma05_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVIVATNMLESMISHPTPTRAEVSDIAIAVREGADAIMLSGETAHGNYPLRAVKVMHTVALKTKLAISSTVEPPIPAPAVQQRASLGEFSQSHISAMFALHATIMANTLGTPIIVFTQTGSMATLLSHYRPSSTLFAFTNEEFVKQRLSLYHGVLPIYMHFSVDAEETFSRAIKFLLSHGHLNVGEYVTLIQSRIHSIWRQEFTHHIQIRNVRG >Ma06_p28980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30512370:30513935:-1 gene:Ma06_g28980 transcript:Ma06_t28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PE11 [Source:Projected from Arabidopsis thaliana (AT2G21610) UniProtKB/TrEMBL;Acc:A0A178W118] MLVEQESGWLLSLLSFFKYASISMLNSTFARAMETLRDTRLALLALLAASSFFAVAAAAGSSDPSSAILVRVDQSGKGDFRNIQAAIDAVPSNNAEPVFILITPGTYREKVTVPADKPFITLSGSNAMSTVITWGEGWTSSESPTVSVLASDFVGRYLTIQNTFGPNGPAIALHVAGDRAAFYSCRIIGFQDTLLDDTGRHYYSNCYIEGATDFICGNGLALFEKCHLHSTSPGGGAITAQRRSSAAESTGYSFLQCKITGTGAGTAILGRPWGPYSRVVFAFTYMSDAVLPEGWNDWNDPRNQRTAYYGEYSCFGRGSDVTGRVAWSHRLTPLEAEPFATKSWIDGQDWLRPTPRYFRRSSALTTNSSDGGL >Ma01_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3804647:3805256:1 gene:Ma01_g05400 transcript:Ma01_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHQIGFYGIYSDQQFHCHVHPHSRLMLLLIPWVAEIVLRLP >Ma06_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11327302:11329153:-1 gene:Ma06_g16710 transcript:Ma06_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCDVAGKAEPPVAPCEPSTRAVRRRRMVIRRFKFVAGVEAVDPAEEARRKRQRLGCSASLPALVPLDLENSVASELEPRRACELEDADFRTSRYGVMSVCGRRKEMEDAVSVLPDFSRRTCGSVGGSHHFFGVYDGHGCSHVAALCSGRMHEFVADEMSRLGSVPPTPGAWTGLMERSFLRMDSAAAEALQQGGRPTLCCRCELHAPRCDNVGSTAVVAVVGPTRIVVANCGDSRAVLCRGGAPLPLSSDHKPDRPDELSRIEAAGGRVIYWEGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERRDDDEFLILGSDGLWDVVTNEMACDVVRTCLRAKAPLKEPIGEEVVGRDGRCSDRACSDAAALLTKLALARQSADNVSVVVVDLR >Ma07_p27630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33945691:33946654:1 gene:Ma07_g27630 transcript:Ma07_t27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATLVIHHQDGRLEKGYHSLPATQVMAANPGHYVAVVITTPRPRSSDPRSSPTRYLKLLRPDDALLIGHVYRLVTFEEVLREFASKKQVRLSRLLVKRKEIRSRPRKGSGAEHYDNGGRVAEAENSPAAMSREETDQVEAQLDTELEEAVRGMMSTGARAAGARHRQWKPALHSIAEVATISSGAVQHERTE >Ma04_p39210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36410102:36410835:1 gene:Ma04_g39210 transcript:Ma04_t39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTWHFRSCRVLSLEPSIPATAAALFLTPRIRSAQSPFLYSNETRAATASVLPSGHTAERGGQHVPGEGTDDEQHQDGNQSAWDLLDIVHTAAKIMYLLDIHDLGSPRHRQHQDGNQRHKSWISSTSSIPPPRSSSAVAKSQDSEVTCPAWDHERTVGHEQKVPTPEDQDGNQSVFMSTRTHRLIKKATNC >Ma04_p39210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36410102:36410835:1 gene:Ma04_g39210 transcript:Ma04_t39210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTWHFRSCRVLSLEPSIPATAAALFLTPRIRSAQSPFLYSNETRAATASVLQPSGHTAERGGQHVPGEGTDDEQHQDGNQSAWDLLDIVHTAAKIMYLLDIHDLGSPRHRQHQDGNQRHKSWISSTSSIPPPRSSSAVAKSQDSEVTCPAWDHERTVGHEQKVPTPEDQDGNQSVFMSTRTHRLIKKATNC >Ma09_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11742134:11747269:1 gene:Ma09_g16360 transcript:Ma09_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSEEEEEIDLQEKGEEKRKQQMESAAPSLSPPSTRPLPPLIPLPNRQNQIPIAANQPSNVVPPLPPPSLEGLLDVSVLFATQSYQSSQTVGTDHSSRVAAALAETASRKRESDGSTFPQPSSKHSRGQLRRLRNVPNTKGGLLVPPQLSGRSNVVTEDIGKFFVSKLTESSR >Ma11_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24933120:24944567:-1 gene:Ma11_g20460 transcript:Ma11_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYARRAARCGAGRTSSDPILLDSSDADGEPDSAAGELLDLPFSQDSSHGRHAHAHAAFSSQDSSSPWSLNPFDLPDNPPTLAPSLFSPSLVPPNEPHGSDGTRTGRRGAERDLASAVTTATLMEAQEFGEMMEHVDEVNFALDGLRPGHPVRVQRASLLSLLSACETAQQRRLLRVQGMAKRIIDAILGLNLDDSPSTVAAAALFYVLASDVQDDNLLDTPSCIGFLLKLLKPTVPCVNRDKAASFGSKLLGKRKPQVVGSSYKGLDSTAKAIVSKVSEILLSCKEIKAGHGNDEATERPELSPKWIALLTIEKACLSTVSFEDTCDMVKMPGREFKEKLRELGGLDAIFDVLASCHSTLETWHSSSLLSHSKDESVLQSMLLLLKCLKIMENATFLSKDNQSHLLEMKHKSNYGGVQLSFVGVIISAIKLFSDFSLLQGKFSISNKEKLISEYQSLQVNQELKDNSDEPPDSYYAGCSGVDRESEVNIIKICHKRQKSSYTQLEVSHSGSEMAIDFSASVSYDVINRSIGGGCMNGNTLKAKVNSSGSKMNSFRISNRWISIKSNGATMSSDSMSRRPHMPKDDKGNCEMDINDPFAFDEGDLKPSKWELLAKKKTKTQDHEGDLPNKELLDGCELPIITTDDVLSQLTNEENHKNCAKSHPSGIDEDSSLAEDCLLTSVKVLMNLTNDNSVGCQQIAACGGLHTMVSLIVSHFPSFDCSFQTNSKVNESTLSTNQHNSNCHLNNRHLSDHELDLLVAILGLLVNLVEKDSQNRSRLAAARVSVSRPGKSVNMEPQRDAIPLLCSIFMENQGNGEAKDEKPSLCDDEESLLEGAREAEMMIIEAYAALLLGFLSTESSKLRQAIANCLPNRNLHALVPVLERFVAFHVSLNMISPDTHSAVVKVIESCKRP >Ma11_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4966810:4969017:-1 gene:Ma11_g06180 transcript:Ma11_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPKPELARSHTYTAASAAAMPHQRSPIPEPPLLATPSSSASGLAVKDSRVRAFYEILSRVPPAEVESVLSRCGITPLPEHVDVVLRLCYAFPAAAVKFFRWSGLSLKHTHYAWNLMVDILGKNRMFEPMWDAIRSMKQEGALSIATFASAFGSYCAAGLIKEAVMTFDVMDRYGIPQDVVVVNSLLSAICREDGRMADAADFFDRVKATVAPDADTFAILLEGWEKEGNVTRAKNTFGEMVIRVGWNAKNMSAYDAFLITLVRGSQPDEAVKFLKVMKGKNCLPGLKFFGNALHILIQQNDHVHALALWNIMVTDSGLIPSLSMCNSMITLLCNNGNLDAAYRLLDEMPYYGVFPDPLTYNTIFDCMIRNKRAREAESFFTEMRKNEQLPSPKNCAAAIRMFFDQYNPSAAVEVWGFVTEVFVSLDNECANELLLGFRELGRLSELRRYADEMLDRGVELRASTVEKLKTAFYKAGRQDAYDRILRRLKQH >Ma06_p16380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11091707:11100921:-1 gene:Ma06_g16380 transcript:Ma06_t16380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPPAPRQYGITKPISTAGPTEADLKRTTELEKFLVDAGLYESEEEALKRENVLGELGKIVKSWVKQLTRQRGYSDQMVEDASAVIFTFGSYRLGVHGPGADIDTLCVGPSYVSREDLDISHVSVLYNVDEATVRSLNGCRVADQIIRLVPNIENFRTTLRCLKLWAKKRGVYSNVIGFLGGVNWAILVACVCQLYPNAVPSTLVSRFFRVYTQWRWPNPVRLCDIEEAELGFPVWDSRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFQIGNNICEDIELNKTGWGALFEPYPFFETYKNYLQVDIVAADAEDLRSWKGWVESRLRQLTLKIERDTCGILQCHPYPNEYVDPFKQFSHCVFFMGLQRTQGMKIQEGQRFDIRGTVEEFKGDVESYLFWKPGMEIHVTHVRRKQIPSYVFPEGYKRPRPSRPVCQQLTDKTSGEDIREHCGGSLERNCKRKIDVDCLDDKPNKPEKHTLISPNQENISISDQQDQAGINNDQKDGKEKERHCKRKIDCLGSKPNKPEKHALVNASQQKISTPDQQDQAGIDEKQKESEKDNQVFKRVDDDSISPSRQPQLFSSVTSSISVRSTADVGVETSSGSDARQLANETLYLECGGDSAGDLFCNSESIEEVVLQQFAGSTSSSVGDSQELLQGTSTGMDKLEDGSTQNGVVDELEPNIALGVALEARGGVSADAAQNPSQRHVSVNSFYLGEL >Ma06_p16380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11091707:11100921:-1 gene:Ma06_g16380 transcript:Ma06_t16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPPAPRQYGITKPISTAGPTEADLKRTTELEKFLVDAGLYESEEEALKRENVLGELGKIVKSWVKQLTRQRGYSDQMVEDASAVIFTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFITLHDILAEIDEVSELQPVPDAHVPVMKFKFDGISIDLLYASISQLVVPEDLDISHVSVLYNVDEATVRSLNGCRVADQIIRLVPNIENFRTTLRCLKLWAKKRGVYSNVIGFLGGVNWAILVACVCQLYPNAVPSTLVSRFFRVYTQWRWPNPVRLCDIEEAELGFPVWDSRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFQIGNNICEDIELNKTGWGALFEPYPFFETYKNYLQVDIVAADAEDLRSWKGWVESRLRQLTLKIERDTCGILQCHPYPNEYVDPFKQFSHCVFFMGLQRTQGMKIQEGQRFDIRGTVEEFKGDVESYLFWKPGMEIHVTHVRRKQIPSYVFPEGYKRPRPSRPVCQQLTDKTSGEDIREHCGGSLERNCKRKIDVDCLDDKPNKPEKHTLISPNQENISISDQQDQAGINNDQKDGKEKERHCKRKIDCLGSKPNKPEKHALVNASQQKISTPDQQDQAGIDEKQKESEKDNQVFKRVDDDSISPSRQPQLFSSVTSSISVRSTADVGVETSSGSDARQLANETLYLECGGDSAGDLFCNSESIEEVVLQQFAGSTSSSVGDSQELLQGTSTGMDKLEDGSTQNGVVDELEPNIALGVALEARGGVSADAAQNPSQRHVSVNSFYLGEL >Ma06_p16380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11091707:11100927:-1 gene:Ma06_g16380 transcript:Ma06_t16380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPPAPRQYGITKPISTAGPTEADLKRTTELEKFLVDAGLYESEEEALKRENVLGELGKIVKSWVKQLTRQRGYSDQMVEDASAVIFTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFITLHDILAEIDEVSELQPVPDAHVPVMKFKFDGISIDLLYASISQLVVPEDLDISHVSVLYNVDEATVRSLNGCRVADQIIRLVPNIENFRTTLRCLKLWAKKRGVYSNVIGFLGGVNWAILVACVCQLYPNAVPSTLVSRFFRVYTQWRWPNPVRLCDIEEAELGFPVWDSRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFQIGNNICEDIELNKTGWGALFEPYPFFETYKNYLQVDIVAADAEDLRSWKGWVESRLRQLTLKIERDTCGILQCHPYPNEYVDPFKQFSHCVFFMGLQRTQGMKIQEGQRFDIRGTVEEFKGDVESYLFWKPGMEIHVTHVRRKQIPSYVFPEGYKRPRPSRPVCQQLTDKTSGEDIREHCGGSLERNCKRKIDVDCLDDKPNKPEKHTLISPNQENISISDQQDQAGINNDQKDGKEKERHCKRKIDCLGSKPNKPEKHALVNASQQKISTPDQQDQAGIDEKQKESEKDNQVFKRVDDDSISPSRQPQLFSSVTSSISVRSTADVGVETSSGSDARQLANETLYLECGGDSAGDLFCNSESIEEVVLQQFAGSTSSSVGDSQELLQGTSTGMDKLEDGSTQNGVVDELEPNIALGVALEARGGVSADAAQNPSQRHVSVNSFYLGEL >Ma06_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11091707:11100921:-1 gene:Ma06_g16380 transcript:Ma06_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPPAPRQYGITKPISTAGPTEADLKRTTELEKFLVDAGLYESEEEALKRENVLGELGKIVKSWVKQLTRQRGYSDQMVEDASAVIFTFGSYRLGVHGPGADIDTLCVGPSYVSREEDFFITLHDILAEIDEVSELQPVPDAHVPVMKFKFDGISIDLLYASISQLVVPEDLDISHVSVLYNVDEATVRSLNGCRVADQIIRLVPNIENFRTTLRCLKLWAKKRGVYSNVIGFLGGVNWAILVACVCQLYPNAVPSTLVSRFFRVYTQWRWPNPVRLCDIEEAELGFPVWDSRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFQIGNNICEDIELNKTGWGALFEPYPFFETYKNYLQVDIVAADAEDLRSWKGWVESRLRQLTLKIERDTCGILQCHPYPNEYVDPFKQFSHCVFFMGLQRTQGMKIQEGQRFDIRGTVEEFKGDVESYLFWKPGMEIHVTHVRRKQIPSYVFPEGYKRPRPSRPVCQQLTDKTSGEDIREHCGGSLERNCKRKIDVDCLDDKPNKPEKHTLISPNQENISISDQQDQAGINNDQKDGKEKERHCKRKIDCLGSKPNKPEKHALVNASQQKISTPDQQDQAGIDEKQKESEKDNQVFKRVDDDSISPSRQPQLFSSVTSSISVRSTADVGVETSSGSDARQLANETLYLECGGDSAGDLFCNSESIEEVVLQQFAGSTSSSVGDSQELLQGTSTGMDKLEDGSTQNAKHRTWSGP >Ma06_p09650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6718136:6723769:-1 gene:Ma06_g09650 transcript:Ma06_t09650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVCNLLRRQHEEAYGARFAVDACCDDIKNYSSSKLRSMAEEPSRTITPEEEEEEEKEEEGDPGTSSGDWLRLGLASPPSERAEGRQVLTELQLLRDRPSSSSSSVVPVVGLAPPQMPWGSWNPGEMIGADVSSVPMLAIPEFTTAQFARPLGSPGASAEGGFGIRVVSPPRRQTGIWLILQAAPNQGRQPFLPQIPRSYLRIKYLQLLPPLKDFMAVLATIKSLFVTAFYRDEGLTISLLMKYLVKKLGLEDESEVEITCRDQQLLPFLTLQYVRDNIWCLRDMMSMLVDPPSIDHVMTLQYRRGRDRYRSMSAPLFL >Ma06_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6718136:6723769:-1 gene:Ma06_g09650 transcript:Ma06_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVCNLLRRQHEEAYGARFAVDACCDDIKNYSSSKLRSMAEEPSRTITPEEEEEEEKEEEGDPGTSSGDWLRLGLASPPSERAEGRQVLTELQLLRDRPSSSSSSVVPVVGLAPPQMPWGSWNPGEMIGADVSSVPMLAIPEFTTAQFARPLGSPGASAEGGFGIRVVSPPRRQTGIWLILQAAPNQGRQPFLPQIPRSYLRIKDEGLTISLLMKYLVKKLGLEDESEVEITCRDQQLLPFLTLQYVRDNIWCLRDMMSMLVDPPSIDHVMTLQYRRGRDRYRSMSAPLFL >Ma09_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11078956:11082669:1 gene:Ma09_g15750 transcript:Ma09_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESLALLTTLLLLLLLLLPSTESKLSRDDFPPGFIFGAGTSAYQVEGAAAKDGRTPSLWDTYTHAGRMLDNSTGDVASDQYHKYKEDVKLMADTGLDSYRFSISWSRLIPNGRGAINLKGLAYYNNLIDELLKYGIKPHVTIYHLDFPQALEDEYGGWLSQKIVEDFTAFADVCFREFGDRVSQWTTIVEPNIIGMASYDNGVFPPNRCSKPFGLLNCTVGDSTTEPYIATHNLLLSHASVVSLYRTKYQAIQNGRIGLNVYSFWCYPMTNSRLDFQATQRSLDFLIGWIISPLVFGDYPKIMKKIVRSRLPSFTEEQSEQVKGSFDFIGLNHYQSIWVKDNSNASKTAPRDFNADLFAKFSFSKNDTPSGQLIPADVPIDPDGLQHMLEYIRDAYGNPPVYIEENGYGYGTNDTIYDVERVNYLSAFISSMLDGIRKGANVKGYYVWSFLDVFEFLAGFQSRFGLYYVDFKDENLRRQPKLSALWYSDFLKKKKTGTNINRMGLHERSHSQQ >Ma01_p04950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3428575:3434804:-1 gene:Ma01_g04950 transcript:Ma01_t04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEDEQPTPSHQAALQEAAAENAGAQCPSCRLIGRIARPRCVASLILSLALLLSVVFWLPPFVRRYEGSGRPDKDPRFTADIVASFKLQKPVALLSSNIGKLQVDIFEEIGVPDSSVAIIYLEPLSGLNWTNVVFGVWPYPKSSTLSSTGLSILRASFMSLVIRQSSLHLTSSLFGNSSFFEVLKFPGGITIIPFQKAFLLQKNLYIKLTNMNGSTVAPPTIVQTSIVLAVGNRQPSLPRWKELAQTIRNSSAGNLGLNHTLFGRVKQIRLSSFAQHSFNSGGDTASPSPAPQPKPDHHPHHRHHSHHHYKHHFPMHHAPGPASDTHDHRASAPSGCRYGFSSRPKNIGYVTPAAAPADAPKLSAAAPAAVKGHSTRPVSSPHHFGAPLNAPQHLHAPRAHEKSPVPAPNIKSASPLPAVSFTHQQPPSESITDNKPPDGTTSISPAPYTSSASGRCFIHLVFALLPYALLSLW >Ma01_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3428575:3434804:-1 gene:Ma01_g04950 transcript:Ma01_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEDEQPTPSHQAALQEAAAENAGAQCPSCRLIGRIARPRCVASLILSLALLLSVVFWLPPFVRRYEGSGRPDKDPRFTADIVASFKLQKPVALLSSNIGKLQVDIFEEIGVPDSSVAIIYLEPLSGLNWTNVVFGVWPYPKSSTLSSTGLSILRASFMSLVIRQSSLHLTSSLFGNSSFFEVLKFPGGITIIPFQKAFLLQKVQMLFNFTLNFPIYQVQDRIDELEDQMKAGLLLNLNENLYIKLTNMNGSTVAPPTIVQTSIVLAVGNRQPSLPRWKELAQTIRNSSAGNLGLNHTLFGRVKQIRLSSFAQHSFNSGGDTASPSPAPQPKPDHHPHHRHHSHHHYKHHFPMHHAPGPASDTHDHRASAPSGCRYGFSSRPKNIGYVTPAAAPADAPKLSAAAPAAVKGHSTRPVSSPHHFGAPLNAPQHLHAPRAHEKSPVPAPNIKSASPLPAVSFTHQQPPSESITDNKPPDGTTSISPAPYTSSASGRCFIHLVFALLPYALLSLW >Ma10_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28132812:28134743:-1 gene:Ma10_g16410 transcript:Ma10_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGAMNALPKLEKRASKESLAKKRESKKKPSPRNPLKELNNSSIPPLGSAEPPKRGCVRFLLADSSAKESLARSQSVPRTPRSAPPNHRNVASNPKNSTNGARYRISQKNASKSNLELPKELESRKASKSRPPDLFPRWNKRKPSSNGENPHPRLNLERGSEGKVGSCLASTPERTLLGSDSAKEGERKLISTPTSATTPPVQASISPEFTVEASAVAATPVCFAAGHVIARVHDRRKCRPRGILTIGRDELEIEKIHGGCPYPTLVSVTPPPLARASVHWLSSPSEIVSSGLGSSFDSSSKVLAAHCPAEASVEWLLPPCEDGDNMPKDEFLMGYWRSSLDDSSRKKSPELRGLLGLDSPALETTPSSGIGIQQTPPTGGSDSPFSMILERIAKISKSKLVRPQQEMGGSCHGSGDSSNEVHFICTPSSFSSSTKQKNLADSKMDAMAEALKTISLSPRSLNNDTSCQAPFPGLSFQFECLENPSSSVDLDRFQNLSCDWISTLKDDACAKEEILPSSQAIIPWREGTVSRIFELGELDHCQWLSEDEDSFIHHEEDRVRSIPDLELDPKNIGSTLKKQNEHIAPSGFGSVEFVFEAEKSEAKVLHPQGPTSCAESISIEGLVVDSSGDSDWAFFYKKPLI >Ma08_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7367638:7368202:-1 gene:Ma08_g10110 transcript:Ma08_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLIVILWIFCTVVVLAISWSVLKAVCDGSPDNRTSTSTTTTTTAAVASIAAAAPNASGIMTAGAAAASQDVLSVLPVFVYSTAQKKKLSCSVCLMDFKEGEKGRFLPRCFHCFHVDCIDMWLTSHSDCPVCRASVDPEAPELAV >Ma06_p31370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32508548:32511799:1 gene:Ma06_g31370 transcript:Ma06_t31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVSARHVMVWLLVAAAATCFSCAGAGSVRRAPATRQRLEVQRHLKRLNKQAVKSIKSPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPAFHPEGLLFDESKVASQKKTPSMAQLWHQNGRCPEDTIPIRRTKRDDVLRASSVKRYGKKKHRSIPNPLSIDPDLLNESGHQHAIAYVEGDTYYGAKATINVWEPRIQQSNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDSYQATGCYNLLCSGFIQINSEIAMGAAIYPLSRYGGSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMVEWGGEVVNSEPDGEHTSTEMGSGHFPEEGFGRSSYFRNIQIVDESNNLKAPRGVGTFTEQSNCYDVQTGNSNHWGHFFYYGGPGRNSNCP >Ma06_p32850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33684542:33686781:-1 gene:Ma06_g32850 transcript:Ma06_t32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQLPSIVRVTRPTRPDKARRLGYKAKQGFVIYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYYEIILIDPAHSAIRNDPRINWICKGVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNQTLSLRRYR >Ma08_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37695513:37696159:-1 gene:Ma08_g24580 transcript:Ma08_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLEHIYCTRNFHIGRERENKRQEENLDLVPSYNKSLGHILHAKHNIIQQ >Ma03_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10122046:10126044:1 gene:Ma03_g13110 transcript:Ma03_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEGGPAESGSVPIAAISAVGETLATVDDLCSHLHQFLSLADRDVLTELPPLHRARAFLVLAQAASTLFLAKLRCSGIQPDDHPISKEFERISLYREKLERFDEWSKAPLRPSTRLNSQAATRFIGHSLPNLTPEQRQSLRDISRGDFVRIRPSDNHRAKKKRKQQKPERQSARAAAQEFLEKAARELLGAGELGLKGPLQEISDEEDEQIG >Ma06_p29130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30592536:30593927:-1 gene:Ma06_g29130 transcript:Ma06_t29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEEITRAVAAAEANDGGNPPPPSKYPIVLNTDEIFSQLKPDAEAPGGVSLKHVSGWKISETDAAIIELSSRLVEKLRSKFRRPKSLGKGDFFGILNLFLRKSAEKVGLSIGSDAGDASGIEFARAGIEKLGFLIGREVAGLIAECCVVLEVWELLETLLLEGLVGHLNSTNLTEKLVEKNQTQLLCLLVEHVSDLRSAELLSVLKHFLSPTDDSYDGMVAVKKQWENQAILAIEKATQMGLPKKASKLAREASILLMMAYDGFSPSQVCLHYVFGSSNAEGLVLSSVISRLDGQEVLDLIRYFVKWLEKYQRFPEARPCPSAGSVLGLRTCESVPSFESIIKALGLVLDEHFSYLVFNSEFHDEMRAAEDIVSSLVLEANLSCPLENIIKYLQSEIRKNRDL >Ma03_p33330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34724472:34727375:1 gene:Ma03_g33330 transcript:Ma03_t33330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSGGEQSNHGWWTMPKAAKACISICCCPSIKEALVPTLLKPSRVPTENSNNNLNHASLVGSSEDGTYPALQVTKVHTRKALIEFTLDYDYGGPNPRHDPRKGKPGRGL >Ma03_p33330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34722038:34727375:1 gene:Ma03_g33330 transcript:Ma03_t33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSGGEQSNHGWWTMPKAAKACISICCCPSIKEALVPTLLKPSRVPTENSNNNLNHASLVGSSEDGTYPALQVTKVHTRKALIEFTLDYDYGGPNPRHDPRKGKPGRGL >Ma06_p26290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28132792:28133513:1 gene:Ma06_g26290 transcript:Ma06_t26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVLHLKPHLRISMNGHRPVRSPTPPSPPPPPPSKLQSNSSPLNHAPTAATVKSEAPTPVEKEKSEAIPDAELPRWLLLGGASLGLALLLMGIDPQRQALALGPEGPLMEEFWDNVRRYVLYALTVSTGAIYTISQPIIELLKNPITAVLIVVLLAGAFYLLSQVLSAMVGISEFSYDYSY >Ma02_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21139437:21147066:-1 gene:Ma02_g12400 transcript:Ma02_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRSRYPPPGMGNGRGGGASTNPNFYARSAHQHQQYAQQSPAHGQPSQQFQQQQQWSRRNQLGGDFGAGQIVKSVQSEATNTSLQDWKAQLKMAPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDHNVIQVVILVPTRELALQTSQVCKELGKHLNIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGVCVLKDCSMLIMDEADKLLSPEFQPSIEQLIQFLPANRQILMFSATFPVTIKHFKDRYLPKPYIINLMDELTLKGITQFYAFVEERQKVQCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPQIDQAIYCR >Ma06_p10410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7181949:7189044:1 gene:Ma06_g10410 transcript:Ma06_t10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKALLFWTLCLVPIDFLCSSSATLSPSGINYEVVALMAIKMELHDPYNVLENWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGMLSPGIGNLTNLQSMLLQNNAISGSIPAEIGKLEKLQMLDLSNNQFRGTIPSLLGDLKNLNYLRLNNNSLSGPCPDTLSNIIGLTLVDLSYNNLSGSLPKISARTFNIIGNPQMCGSVSKTQSQLGGSKSRCAAVIVGASVGSVSLLVTVIGLLLWWRHRLKQHIFFDVNDQCDSEVCWGHLKWYSFKELRIATNNFNSKNILGKGGYGIVYKGCLCDGSIVAVKRLRDYNTIRGIQFQTEVEMISLAVHRHLLRLCGYCTTENERLLVYPYMANGSVASQLREHIHGRPVLDWSRRKKIALGTARGLFYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHQESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRLANQKGVMLDWVKKLHQENRLNIMVDKDLKNNYDRVELEEMVQVALLCTQFHPSHRPKMSEVVRMLEGDGLVESWDALQKMDTPKCRSLERQSPKYVDFMEDSSLVLEAIELSGPR >Ma06_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7181950:7189044:1 gene:Ma06_g10410 transcript:Ma06_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKALLFWTLCLVPIDFLCSSSATLSPSGINYEVVALMAIKMELHDPYNVLENWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGMLSPGIGNLTNLQSMLLQNNAISGSIPAEIGKLEKLQMLDLSNNQFRGTIPSLLGDLKNLNYLRLNNNSLSGPCPDTLSNIIGLTLVDLSYNNLSGSLPKISARTFNIIGNPQMCGSVSKSKCSSVSLDPLSYPPDDLKAQSQLGGSKSRCAAVIVGASVGSVSLLVTVIGLLLWWRHRLKQHIFFDVNDQCDSEVCWGHLKWYSFKELRIATNNFNSKNILGKGGYGIVYKGCLCDGSIVAVKRLRDYNTIRGIQFQTEVEMISLAVHRHLLRLCGYCTTENERLLVYPYMANGSVASQLREHIHGRPVLDWSRRKKIALGTARGLFYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHQESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRLANQKGVMLDWVKKLHQENRLNIMVDKDLKNNYDRVELEEMVQVALLCTQFHPSHRPKMSEVVRMLEGDGLVESWDALQKMDTPKCRSLERQSPKYVDFMEDSSLVLEAIELSGPR >Ma06_p38450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37203200:37204887:-1 gene:Ma06_g38450 transcript:Ma06_t38450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPSPASPSSDGFTVSPNSAAPSSSSPTPSPSRYEAQKRRDWNTFSQYLKNHQPPLSLSRCSAAHVLEFLRYLDQFGKTKIHTPACPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKREANPFGARAVRLYLREIRELQAKARGISYRKHRKKPQKTTSPTPSHPHNPHSPPPGTSSAA >Ma06_p38450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37203200:37204887:-1 gene:Ma06_g38450 transcript:Ma06_t38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPSPASPSSDGFTVSPNSAAPSSSSPTPSPSRYEAQKRRDWNTFSQYLKNHQPPLSLSRCSAAHVLEFLRYLDQFGKTKIHTPACPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKREANPFGARAVRLYLREIRELQAKARGISYRKHRKKPQKTTSPTPSHPHNPHSPPPGTSSAA >Ma06_p38450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37203200:37204887:-1 gene:Ma06_g38450 transcript:Ma06_t38450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPSPASPSSDGFTVSPNSAAPSSSSPTPSPSRYEAQKRRDWNTFSQYLKNHQPPLSLSRCSAAHVLEFLRYLDQFGKTKIHTPACPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKREANPFGARAVRLYLREIRELQAKARGISYRKHRKKPQKTTSPTPSHPHNPHSPPPGTSSAA >Ma02_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18592908:18594701:1 gene:Ma02_g08160 transcript:Ma02_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPPRPPPLRYFLRRVLPGPSPSRSRSLSHSPFPPFCHLIPLPFFPSTIRSFSSSSSASAVAYSDLARSISADLVKLSSPADPSSSVLDLPGHFSLHFSDVRFNTRLLAEVLDLSRAAGRSAVDLYRWIVRHRSFTPSDESLSFLVHFLGRRNDFKAVYDLFSEFRRAVGPLSFRAALDRLVRAGRAAEALRFFDTAPADLGIRRDRSALSSLVATLTEHGFPGYAERAVKSYANEVFPDEEICTALIRGWCAAGKLEEARRLMGEILRGGFELGTPAYNAILDCVCRLCRKKDPLRLQPEAEKILFEMESSGIPRDAETFRVLITNLCKIRKTEDALKLFRKMSGWGCSADAETYLTLIRSLFQAARVSEGDEMVGWMRSAGFGDKLDRKAYYGFIKILCGIERVEHAVKVFRLMKGYGHAPGVKTYSLLIEKLAMHNQSDRANALFSEAVARGVPVTPKVYKVDKRFVKVKEKKVKKRLTLPEKTKMKRRRLKMLRLSFVKKPKLMRKMT >Ma10_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28104696:28108869:1 gene:Ma10_g16360 transcript:Ma10_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAVVSSSPRSSEPVSIRANFSPFLLSSSPFLFQESASCPKKLTLYSYWRSSCSHRVRIALNLKGLDYEYKAVDLSKGEQFDPEFEKLNPVRFVPVFVDGDVVVADSFAIILYLEDEHPQFPLLPRDLKKKALNLQVANIISSSIQPLQMLSVLNFIEEKISSDEKVAWAQRHINNGFTAIEKLLEGSAGKYASGDKVQLADVFLAPQIYVGVTRFQIDMSQYPTLARIYEAYAELPAFQAAHPNRQPDAFPSSSQSC >Ma05_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31943602:31945913:1 gene:Ma05_g20290 transcript:Ma05_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPSIATAVVMLSCIVTAAVALNVTTLPFDEGFCHLFGDDNLVRSPDGRSARLILNRYSGSGFISNDLYDHGFFSASIKLPSDYTAGVVVAFYTSNGDIFEKTHDELDFEFLGNTRGREWRIQTNVYGNGSTSRGREERYLLPFDPTVEAHRYSILWTSDFIIFYIDDTPIREVVRSDAMGGDYPSKPMSVYATIWDGSTWATGNGKYKVNYKYAPFVSDFSDLVLRGCRVDPIQQPDSARRCAEANEDLLTADFAVMTPKKRAAMRRFRERYMTYAFCYDTNRYPLTFPDCDVIPSEQSRFFEWGETKYPRERRRSRRQIRKPPRAGDPKREADV >Ma06_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11519609:11527114:-1 gene:Ma06_g17000 transcript:Ma06_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDNNESGPNLAFQNSSDSIFGMPIKKRQFHLSQCPSPRSQETDVSPQDCGISISSTEHILHTDIMPIPGSFSRASSQEFALKDARSSGQGTSTAGLTMGHKSFGLSIMDTSKNMSTPNRPPGLPVGEMDVEERISSVRPTYPANSELRLTLSSDAKNYGYNLVQQGITETCSSDLPLDPLITVSETDAKTGSFNVVNRFNLDLNIPVDTWDATVGESVIEHSMHEMLNGIEACSEQTIRADVTSGKSIIGRSHYDHWLSNLGKTDERHKVSGLGLDLQLKPPSRPESCIKWGDVAPDLSLSLERNVSNASFKVIKPEPHIDNSQNDCVNAYLSGSNLALSMSVKPQLCSGHFQDGSAKVTCSGDEKQAVRRVMKMELSEQLSDEYFSRPAVRAVSMEFHNNKPTHQHIEIPLSMTEPMDSNSVTASDENVLDVDGSVMIVNTDINTSPVASPSIVNPSSEECCKFEDTLDSTCSLEKAEVSASKAADLDVDSLSSDVKEKFKGAVTSEDTCLGSNSFYEYVVPVALNGTSEGIVSSDDEYCKSLKSIAENNLRLDSMGKGTRGEEKQESVDLFSKIQELYDDKQVPYDSPHGPSVARDKALTHGAGVGEYKDGKHGDLVPHEPIEASCEEREKADNEIASDTTAAVDVSVNMLSNLEVNGKQIQILDVVADAIGAVDVAANMPPNLKVNNEQMKILDVQIGHHGEAFDGNLCNYKNKTGSSLTTDLLESSGVRVPMTSSSTRLAKLLQQANRKERGPPMKPRRTRLLSSKVVKTCEDDVSSQQVNAKEERSPPMKLRPVGSLSSKFVKTCEDDVSSQQVNAKEVESGPPMKSRPVGTRSSKFVKICKDDVSSQQVNAKEDDIPVKNPVTLESDSDASGKCSQIRKVNSPGINKLSSGKMNSITARLVFSQTERKGLIDKPHRHEGSHTRGGRSERYDNRSFRYGSNDQDQPVQKRGMDLMNTRRDGHNVSACARDSGRQYAPKVNDSKGYRFTRPSNRHEVPLRTAADGSTGSDGRIIRRFMNNESPHLSHFSYSRHSLGAHDGPSIAVRISRGVYQEDSSNTFTGRHVPTILPDHEKMVRGLPNEVIDPFFNSDRYVQYEQADNYPSLRERNLSPSGRRGPPKCLPRARSPYQFSPPRSPGIVYEGHAELLRHRSPPLRSPPRHLCLPEHAMVRNGLPPYSHLASDMREMHPMREVDLSRPVRGSQRNIRRFNLCVQQENAEDYGSMHFAQQTLHAEDELVHRRKYDGKRAYLQSCGNHHPVGDSGEEPITYPVDGDPPRPIRFCPDREGFSDRSSLRGPVMRSTSNTSRRMAEREDFRNRGLPARRDSDFNDIRLKRRRV >Ma06_p17000.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11519609:11527114:-1 gene:Ma06_g17000 transcript:Ma06_t17000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDNNESGPNLAFQNSSDSIFGMPIKKRQFHLSQCPSPRSQETDVSPQDCGISISSTEHILHTDIMPIPGSFSRASSQEFALKDARSSGQGTSTAGLTMGHKSFGLSIMDTSKNMSTPNRPPGLPVGEMDVEERISSVRPTYPANSELRLTLSSDAKNYGYNLVQQGITETCSSDLPLDPLITVSETDAKTGSFNVVNRFNLDLNIPVDTWDATVGESVIEHSMHEMLNGIEACSEQTIRADVTSGKSIIGRSHYDHWLSNLGKTDERHKVSGLGLDLQLKPPSRPESCIKWGDVAPDLSLSLERNVSNASFKVIKPEPHIDNSQNDCVNAYLSGSNLALSMSVKPQLCSGHFQDGSAKVTCSGDEKQAVRRVMKMELSEQLSDEYFSRPAVRAVSMEFHNNKPTHQHIEIPLSMTEPMDSNSVTASDENVLDVDGSVMIVNTDINTSPVASPSIVNPSSEECCKFEDTLDSTCSLEKAEVSASKAADLDVDSLSSDVKEKFKGAVTSEDTCLGSNSFYEYVVPVALNGTSEGIVSSDDEYCKSLKSIAENNLRLDSMGKGTRGEEKQESVDLFSKIQELYDDKQVPYDSPHGPSVARDKALTHGAGVGEYKDGKHGDLVPHEPIEASCEEREKADNEIASDTTAAVDVSVNMLSNLEVNGKQIQILDVVADAIGAVDVAANMPPNLKVNNEQMKILDVQIGHHGEAFDGNLCNYKNKTGSSLTTDLLESSGVRVPMTSSSTRLAKLLQQANRKERGPPMKPRRTRLLSSKVVKTCEDDVSSQQVNAKEERSPPMKLRPVGSLSSKFVKTCEDDVSSQQVNAKEVESGPPMKSRPVGTRSSKFVKICKDDVSSQQVNAKEDDIPVKNPVTLESDSDASGVADKHVDNSGKCSQIRKVNSPGINKLSSGKMNSITARLVFSQTERKGLIDKPHRHEGSHTRGGRSERYDNRSFRYGSNDQDQPVQKRGMDLMNTRRDGHNVSACARDSGRQYAPKVNDSKGYRFTRPSNRHEVPLRTAADGSTGSDGRIIRRFMNNESPHLSHFSYSRHSLGAHDGPSIAVRISRGVYQEDSSNTFTGRHVPTILPDHEKMVRGLPNEVIDPFFNSDRYVQYEQADNYPSLRERNLSPSGRRGPPKCLPRARSPYQFSPPRSPGIVYEGHAELLRHRSPPLRSPPRHLCLPEHAMVRNGLPPYSHLASDMREMHPMREVDLSRPVRGSQRNIRRFNLCVQQENAEDYGSMHFAQQTLHAEDELVHRRKYDGKRAYLQSCGNHHPVGDSGEEPITYPVDGDPPRPIRFCPDREGFSDRSSLRGPVMRSTSNTSRRMAEREDFRNRGLPARRDSDFNDIRLKRRRV >Ma06_p17000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11519609:11526930:-1 gene:Ma06_g17000 transcript:Ma06_t17000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDNNESGPNLAFQNSSDSIFGMPIKKRQFHLSQCPSPRSQETDVSPQDCGISISSTEHILHTDIMPIPGSFSRASSQEFALKDARSSGQGTSTAGLTMGHKSFGLSIMDTSKNMSTPNRPPGLPVGEMDVEERISSVRPTYPANSELRLTLSSDAKNYGYNLVQQGITETCSSDLPLDPLITVSETDAKTGSFNVVNRFNLDLNIPVDTWDATVGESVIEHSMHEMLNGIEACSEQTIRADVTSGKSIIGRSHYDHWLSNLGKTDERHKVSGLGLDLQLKPPSRPESCIKWGDVAPDLSLSLERNVSNASFKVIKPEPHIDNSQNDCVNAYLSGSNLALSMSVKPQLCSGHFQDGSAKVTCSGDEKQAVRRVMKMELSEQLSDEYFSRPAVRAVSMEFHNNKPTHQHIEIPLSMTEPMDSNSVTASDENVLDVDGSVMIVNTDINTSPVASPSIVNPSSEECCKFEDTLDSTCSLEKAEVSASKAADLDVDSLSSDVKEKFKGAVTSEDTCLGSNSFYEYVVPVALNGTSEGIVSSDDEYCKSLKSIAENNLRLDSMGKGTRGEEKQESVDLFSKIQELYDDKQVPYDSPHGPSVARDKALTHGAGVGEYKDGKHGDLVPHEPIEASCEEREKADNEIASDTTAAVDVSVNMLSNLEVNGKQIQILDVVADAIGAVDVAANMPPNLKVNNEQMKILDVQIGHHGEAFDGNLCNYKNKTGSSLTTDLLESSGVRVPMTSSSTRLAKLLQQANRKERGPPMKPRRTRLLSSKVVKTCEDDVSSQQVNAKEERSPPMKLRPVGSLSSKFVKTCEDDVSSQQVNAKEVESGPPMKSRPVGTRSSKFVKICKDDVSSQQVNAKEDDIPVKNPVTLESDSDASGVADKHVDNSGKCSQIRKVNSPGINKLSSGKMNSITARLVFSQTERKGLIDKPHRHEGSHTRGGRSERYDNRSFRYGSNDQDQPVQKRGMDLMNTRRDGHNVSACARDSGRQYAPKVNDSKGYRFTRPSNRHEVPLRTAADGSTGSDGRIIRRFMNNESPHLSHFSYSRHSLGAHDGPSIAVRISRGVYQEDSSNTFTGRHVPTILPDHEKMVRGLPNEVIDPFFNSDRYVQYEQADNYPSLRERNLSPSGRRGPPKCLPRARSPYQFSPPRSPGIVYEGHAELLRHRSPPLRSPPRHLCLPEHAMVRNGLPPYSHLASDMREMHPMREVDLSRPVRGSQRNIRRFNLCVQQENAEDYGSMHFAQQTLHAEDELVHRRKYDGKRAYLQSCGNHHPVGDSGEEPITYPVDGDPPRPIRFCPDREGFSDRSSLRGPVMRSTSNTSRRMAEREDFRNRGLPARRDSDFNDIRLKRRRV >Ma06_p17000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11519609:11527114:-1 gene:Ma06_g17000 transcript:Ma06_t17000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDNNESGPNLAFQNSSDSIFGMPIKKRQFHLSQCPSPRSQETDVSPQDCGISISSTEHILHTDIMPIPGSFSRASSQEFALKDARSSGQGTSTAGLTMGHKSFGLSIMDTSKNMSTPNRPPGLPVGEMDVEERISSVRPTYPANSELRLTLSSDAKNYGYNLVQQGITETCSSDLPLDPLITVSETDAKTGSFNVVNRFNLDLNIPVDTWDATVGESVIEHSMHEMLNGIEACSEQTIRADVTSGKSIIGRSHYDHWLSNLGKTDERHKVSGLGLDLQLKPPSRPESCIKWGDVAPDLSLSLERNVSNASFKVIKPEPHIDNSQNDCVNAYLSGSNLALSMSVKPQLCSGHFQDGSAKVTCSGDEKQAVRRVMKMELSEQLSDEYFSRPAVRAVSMEFHNNKPTHQHIEIPLSMTEPMDSNSVTASDENVLDVDGSVMIVNTDINTSPVASPSIVNPSSEECCKFEDTLDSTCSLEKAEVSASKAADLDVDSLSSDVKEKFKGAVTSEDTCLGSNSFYEYVVPVALNGTSEGIVSSDDEYCKSLKSIAENNLRLDSMGKGTRGEEKQESVDLFSKIQELYDDKQVPYDSPHGPSVARDKALTHGAGVGEYKDGKHGDLVPHEPIEASCEEREKADNEIASDTTAAVDVSVNMLSNLEVNGKQIQILDVVADAIGAVDVAANMPPNLKVNNEQMKILDVQIGHHGEAFDGNLCNYKNKTGSSLTTDLLESSGVRVPMTSSSTRLAKLLQQANRKERGPPMKPRRTRLLSSKVVKTCEDDVSSQQVNAKEERSPPMKLRPVGSLSSKFVKTCEDDVSSQQVNAKEVESGPPMKSRPVGTRSSKFVKICKDDVSSQQVNAKEDDIPVKNPVTLESDSDASGVADKHVDNSGKCSQIRKVNSPGINKLSSGKMNSITARLVFSQTERKGLIDKPHRHEGSHTRGGRSERYDNRSFRYGSNDQDQPVQKRGMDLMNTRRDGHNVSACARDSGRQYAPKVNDSKGYRFTRPSNRHEVPLRTAADGSTGSDGRIIRRFMNNESPHLSHFSYSRHSLGAHDGPSIAVRISRGVYQEDSSNTFTGRHVPTILPDHEKMVRGLPNEVIDPFFNSDRYVQYEQADNYPSLRERNLSPSGRRGPPKCLPRARSPYQFSPPRSPGIVYEGHAELLRHRSPPLRSPPRHLCLPEHAMVRNGLPPYSHLASDMREMHPMREVDLSRPVRGSQRNIRRFNLCVQQENAEDYGSMHFAQQTLHAEDELVHRRKYDGKRAYLQSCGNHHPVGDSGEEPITYPVDGDPPRPIRFCPDREGFSDRSSLRGPVMRSTSNTSRRMAEREDFRNRGLPARRDSDFNDIRLKRRRV >Ma06_p17000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11519609:11525021:-1 gene:Ma06_g17000 transcript:Ma06_t17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDNNESGPNLAFQNSSDSIFGMPIKKRQFHLSQCPSPRSQETDVSPQDCGISISSTEHILHTDIMPIPGSFSRASSQEFALKDARSSGQGTSTAGLTMGHKSFGLSIMDTSKNMSTPNRPPGLPVGEMDVEERISSVRPTYPANSELRLTLSSDAKNYGYNLVQQGITETCSSDLPLDPLITVSETDAKTGSFNVVNRFNLDLNIPVDTWDATVGESVIEHSMHEMLNGIEACSEQTIRADVTSGKSIIGRSHYDHWLSNLGKTDERHKVSGLGLDLQLKPPSRPESCIKWGDVAPDLSLSLERNVSNASFKVIKPEPHIDNSQNDCVNAYLSGSNLALSMSVKPQLCSGHFQDGSAKVTCSGDEKQAVRRVMKMELSEQLSDEYFSRPAVRAVSMEFHNNKPTHQHIEIPLSMTEPMDSNSVTASDENVLDVDGSVMIVNTDINTSPVASPSIVNPSSEECCKFEDTLDSTCSLEKAEVSASKAADLDVDSLSSDVKEKFKGAVTSEDTCLGSNSFYEYVVPVALNGTSEGIVSSDDEYCKSLKSIAENNLRLDSMGKGTRGEEKQESVDLFSKIQELYDDKQVPYDSPHGPSVARDKALTHGAGVGEYKDGKHGDLVPHEPIEASCEEREKADNEIASDTTAAVDVSVNMLSNLEVNGKQIQILDVVADAIGAVDVAANMPPNLKVNNEQMKILDVQIGHHGEAFDGNLCNYKNKTGSSLTTDLLESSGVRVPMTSSSTRLAKLLQQANRKERGPPMKPRRTRLLSSKVVKTCEDDVSSQQVNAKEERSPPMKLRPVGSLSSKFVKTCEDDVSSQQVNAKEVESGPPMKSRPVGTRSSKFVKICKDDVSSQQVNAKEDDIPVKNPVTLESDSDASGVADKHVDNSGKCSQIRKVNSPGINKLSSGKMNSITARLVFSQTERKGLIDKPHRHEGSHTRGGRSERYDNRSFRYGSNDQDQPVQKRGMDLMNTRRDGHNVSACARDSGRQYAPKVNDSKGYRFTRPSNRHEVPLRTAADGSTGSDGRIIRRFMNNESPHLSHFSYSRHSLGAHDGPSIAVRISRGVYQEDSSNTFTGRHVPTILPDHEKMVRGLPNEVIDPFFNSDRYVQYEQADNYPSLRERNLSPSGRRGPPKCLPRARSPYQFSPPRSPGIVYEGHAELLRHRSPPLRSPPRHLCLPEHAMVRNGLPPYSHLASDMREMHPMREVDLSRPVRGSQRNIRRFNLCVQQENAEDYGSMHFAQQTLHAEDELVHRRKYDGKRAYLQSCGNHHPVGDSGEEPITYPVDGDPPRPIRFCPDREGFSDRSSLRGPVMRSTSNTSRRMAEREDFRNRGLPARRDSDFNDIRLKRRRV >Ma03_p32790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34429280:34429423:1 gene:Ma03_g32790 transcript:Ma03_t32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIVLQGRFAGLRRRHAGSGLWALLGGRHRQVPEEGDP >Ma10_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28958179:28958932:1 gene:Ma10_g17630 transcript:Ma10_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFLSAALAGFLAWKSIRSSPPSLVSDDSHEIDTPCDDSSIKARIFRAGKAIENGFWVFLDMASGRYLWRAMKER >Ma03_p02650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1790734:1796568:-1 gene:Ma03_g02650 transcript:Ma03_t02650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSFRSLVPIGKQSFLPPKCPVPTLSPFYDDHGATGSRGIPNANHRRTSSESFLIDEQPPWLDDLLNEPESPVKRGSHRRSSSDSFAYLSGAKVSSGITNLALEGCGQSTVATLCPWGLNELDNQRDINHYPYYVENNSSGRPQHRECESTTTMGSITLGKNKIVHSRAYPVPRERNVVPSDSDEKKDQQGSSNYPKCPSDKEDSFSKQYAADPRSAKQQFARRSRVRKLQYIAELERNVQALQAEGSGISAELEFLDRQNLILNLENKALKQRLDSLSQEQLFKRFQQEMLEQEVAHLRIFYQQHQAAPLHGHRRSTDLDSQFANLSLKHNDTDAGPDPVTNPLHI >Ma03_p02650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1790734:1797214:-1 gene:Ma03_g02650 transcript:Ma03_t02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENEGFVPDQRRMANTSFRSLVPIGKQSFLPPKCPVPTLSPFYDDHGATGSRGIPNANHRRTSSESFLIDEQPPWLDDLLNEPESPVKRGSHRRSSSDSFAYLSGAKVSSGITNLALEGCGQSTVATLCPWGLNELDNQRDINHYPYYVENNSSGRPQHRECESTTTMGSITLGKNKIVHSRAYPVPRERNVVPSDSDEKKDQQGSSNYPKCPSDKEDSFSKQYAADPRSAKQQFARRSRVRKLQYIAELERNVQALQAEGSGISAELEFLDRQNLILNLENKALKQRLDSLSQEQLFKRFQQEMLEQEVAHLRIFYQQHQAAPLHGHRRSTDLDSQFANLSLKHNDTDAGPDPVTNPLHI >Ma03_p02650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1790734:1797200:-1 gene:Ma03_g02650 transcript:Ma03_t02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSCRITWGCDRRRMANTSFRSLVPIGKQSFLPPKCPVPTLSPFYDDHGATGSRGIPNANHRRTSSESFLIDEQPPWLDDLLNEPESPVKRGSHRRSSSDSFAYLSGAKVSSGITNLALEGCGQSTVATLCPWGLNELDNQRDINHYPYYVENNSSGRPQHRECESTTTMGSITLGKNKIVHSRAYPVPRERNVVPSDSDEKKDQQGSSNYPKCPSDKEDSFSKQYAADPRSAKQQFARRSRVRKLQYIAELERNVQALQAEGSGISAELEFLDRQNLILNLENKALKQRLDSLSQEQLFKRFQQEMLEQEVAHLRIFYQQHQAAPLHGHRRSTDLDSQFANLSLKHNDTDAGPDPVTNPLHI >Ma02_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21125528:21128103:1 gene:Ma02_g12370 transcript:Ma02_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASIPAVVLGSIAFTVFWMLAVFPAVPFLPVGRTAGSLLGAMLMVIFQVVSPEQAYASIDLPILGLLFGTMVVSIYLERAQMFKYLGRLLSWKSKGGRDLLCRVCLVSALASALFTNDTTCIVLTEFVLKLAKQHKLPAKPFLLALASSANIGSSATPIGNPQNLVIAVESKISFIKFFLGIFPAMLVGVVINVVILLGMFWKQLSSKEGEEQKMEVEVTEMEVNSHTFVPARMSHPPPLDSQEMNDVEKNDPRKDGSRQGCAQVSDMKRFLTKKEVFLKGSVYFVSIGMLIALLMGLNMSWTALTAALILVVIDFKDAGPCLDKVSYSLLVFFCGMFITVNGFNRTGIPSGFWNFMEPYSRINHVSGVTVLSIVILLLSNLASNVPTVLLLGAQVAKSAAAVSPKYEARSWLLLAFVSTVAGNLSLLGSAANLIVCEQARRSELHKYTLSFWEHIVFGLPSTLVVTAAGLPLIRA >Ma02_p12370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21125789:21128103:1 gene:Ma02_g12370 transcript:Ma02_t12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASIPAVVLGSIAFTVFWMLAVFPAVPFLPVGRTAGSLLGAMLMVIFQVVSPEQAYASIDLPILGLLFGTMVVSIYLERAQMFKYLGRLLSWKSKGGRDLLCRVCLVSALASALFTNDTTCIVLTEFVLKLAKQHKLPAKPFLLALASSANIGSSATPIGNPQNLVIAVESKISFIKFFLGIFPAMLVGVVINVVILLGMFWKQLSSKEGEEQKMEVEVTEMEVNSHTFVPARMSHPPPLDSQEMNDVEKNDPRKDGSRQGCAQVSDMKRFLTKKEVFLKGSVYFVSIGMLIALLMGLNMSWTALTAALILVVIDFKDAGPCLDKVSYSLLVFFCGMFITVNGFNRTGIPSGFWNFMEPYSRINHVSGVTVLSIVILLLSNLASNVPTVLLLGAQVAKSAAAVSPKYEARSWLLLAFVSTVAGNLSLLGSAANLIVCEQARRSELHKYTLSFWEHIVFGLPSTLVVTAAGLPLIRA >Ma08_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1007125:1008730:1 gene:Ma08_g01100 transcript:Ma08_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACYLKPQEEQLQQLNYNEEGMGDNGAELGLSNMAKMDLHPVCFNLLPWDHASSAWFSPPLELNRQRKKQRTTNSVAAITVVGGDDVDDDRSDYARKLRFRDLLRTYKQRISALEVVEESPESDGIFEDGSGDGMRLVQLLISCAEAVACRDRAQASALLCELKASALVLGTSFQRVASCFVQGLSDRLALVQPLGAVGIVGPATVPPLALEKKAEGLRLAYELCPYLQFGHFVANASILEALEGESSVHVVDLGMTQGLPHGHQWRQLLDSLAGRGSPPPRRLRITGVGTRVEHLQSIGDELKSYAASLGIHLEFLVLESNLENLRTDDFEIEQGEVVVVNSILQLHCVVKESRGALNSVLQVIHELSPAVLVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKVEQFHFAEEIKNIVSCEGPARVERHERVDQWRRRMSRAGFHPAPIKMMAQAKQWLAKFKPTEGYTIAEEKGCLVLGWKSKPIIAASGWKC >Ma08_p14780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14724020:14729078:1 gene:Ma08_g14780 transcript:Ma08_t14780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGHVVNFQLKKIEHFMLNSRHRLILLPCSLDEIRLQKLKECLNVPFDECHADHQEALRALRHASFPEVKLTGLKSEQWKDMGWQGPNPSTDFRVDLLEPSSMESVHDEGCV >Ma08_p14780.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14722164:14729078:1 gene:Ma08_g14780 transcript:Ma08_t14780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLELDHGLEDFFTALVINGKEIRLQKLKECLNVPFDECHADHQEALRALRHASFPEVKLTGLKSEQWKDMGWQGPNPSTDFRVDLLEPSSMESVHDEGCV >Ma08_p14780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14724020:14726154:1 gene:Ma08_g14780 transcript:Ma08_t14780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGHVVNFQLKKIEHFMLNSRHRLILLPCSLDEIRLQKLKECLNVPFDECHADHQEALRALRHASFPEVKLTGLKSEQWKDMGWQGPNPSTDFRKLRSGRDN >Ma08_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14722164:14729078:1 gene:Ma08_g14780 transcript:Ma08_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFWLELDHGLEDFFTALVINGKEIRLQKLKECLNVPFDECHADHQEALRALRHASFPEVKLTGLKSEQWKDMGWQGPNPSTDFRVDLLEPSSMESVHDEGCV >Ma08_p14780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14724020:14729078:1 gene:Ma08_g14780 transcript:Ma08_t14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGHVVNFQLKKIEHFMLNSRHRLILLPCSLDEIRLQKLKECLNVPFDECHADHQEALRALRHASFPEVKLTGLKSEQWKDMGWQGPNPSTDFRVDLLEPSSMESVHDEGCV >Ma10_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31192041:31197438:1 gene:Ma10_g21340 transcript:Ma10_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENARQVEAYIDPTATVGGGVEDAYGEDRATEEQLVTPWTFSVASGYSLLRDPHHNKGLAFTEIERDAHYLRGLLPPVVLTQELQEKKLLHNLRKYEVPLQRYMSMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPEKTIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGLRPSACLPITIDVGTNNEQLLNDDFYIGLRQKRARDQEYEDLLHEFMCAVKQQYGEKVLIQFEDFANHNAFDLLAKYSKTHLVFNDDIQGTASVVLAGLVAALKLVGGTLADHTYLFLGAGEAGTGIAELIALEMSRQMGTPIENNREKIWLVDSKGLIVSSRMESLQHFKKPWAHDHEPVNNLLDAVKAIKPTVLIGTSGVGKTFTKDVVEAMASFNEKPVILALSNPTTQSECTAEEAYTWSKGCAIFASGSPFDPVEYEGKIFVPGQANNAYIFPGFGLGLVISGAIRVHDEMLLAASEALAQQVTQENFDKGLIYPPFKNIRKISAHIAANVAAKVYELGLATRLPRPDNLVKYAESCMYTPAYRNYR >Ma08_p30450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41704024:41712213:1 gene:Ma08_g30450 transcript:Ma08_t30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESHSPTTRGLLCHAAAGASAGAIAATFVCPLDVIKTRFQVHGLPKICSNGVKAGSVIIGSLEQILKKEGVRGMYRGLSPTVLALLPNWAVYFTVYEQLKSFLSSNDGNHQLSIGANMFAASGAGAATTIATNPLWVVKTRFQAQELRVGTVPYQGTLNSLRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKSYLAEQDNTTVDSLTARDVAVASSISKIVASTLTYPHEVVRSKLQEQGFHAEMRYKGVIDCIKKVFRKEGIPGFYHGCATNLLRTTPAAVITFTSFEMIHRFLINLFPPESHPHTL >Ma08_p30450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41704014:41712212:1 gene:Ma08_g30450 transcript:Ma08_t30450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESHSPTTRGLLCHAAAGASAGAIAATFVCPLDVIKTRFQVHGLPKICSNGVKGSVIIGSLEQILKKEGVRGMYRGLSPTVLALLPNWAVYFTVYEQLKSFLSSNDGNHQLSIGANMFAASGAGAATTIATNPLWVVKTRFQAQELRVGTVPYQGTLNSLRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKSYLAEQDNTTVDSLTARDVAVASSISKIVASTLTYPHEVVRSKLQEQGFHAEMRYKGVIDCIKKVFRKEGIPGFYHGCATNLLRTTPAAVITFTSFEMIHRFLINLFPPESHPHTL >Ma06_p33670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34216820:34222636:1 gene:Ma06_g33670 transcript:Ma06_t33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSATAWDQNSVLEVVKDWNGIDQVVLRVPRGASARVSLHGGQVVSWKNERGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGSLEQHGFARNKMWSIDYDPPPLRNDDGKEKVSVDLLLKPSEDDLKCWPHCYEFRLRVSLAMNGVLTMISRVRNINGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQRKRFTEQGDAVTFESEVDRIYLGSPNVIAVLDHEKKRTFLIKKKGLPDVVVWNPWEKKSKSIADLGDEEYKQMLCVDGAVVERPITLKPGEEWTGRLDISSIPSTHFI >Ma02_p02180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13198034:13215642:-1 gene:Ma02_g02180 transcript:Ma02_t02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLVTPRSPATDLFGDPIDAKPPWFKSSSFLRPDFVPEFYVADLRSFVPLESLAAELRSHLADLKAELVDLINRDYADFVGLSTRLVDVDAAAGRMRAPLVEFRDKVASFRAVVDAALVAIRSGLRQRSEASAAREILQLLLDTFHVVSKVEKLIKELPTTHSNQSSPDTVSSEKGSLTNANSIQNVEIGSNLREDQSILLERIASEMNRLKFYTSHAKNLPFIENMEKRIQNATLMLDGSLGNCFVDGLENRDAKAIYNCLRAFAAIDNTSAAEELFRKTIVSPLIQQIIPHDHLQTVGGASSDELEHDYQKIMQCIEKDCKFLLEISSTANSGFHVFDFLANSVLKEVLIAIQKGKPGAFSPGRPAEFLKNYKSSLGFLAILEGYCPSRSAVVKFRSEVVYMDFIRQWNVGVYFSLRFQEIAGALDSALMASSINPVDIQGKPHKLTMKQSITLMESLKSCWSDDVLILSHSDKFLRLTLQLVSRYSSWLSHGLSARKARSASSRPVQNSEWSISAQVEDFIYVMHDVTFLGSELSGVFLGQVIQVLGSCSDEVVDLVRQSILQAGKNLEDLLPAIMDTMVEAIVEKSVEAFLDGEHIKLLMKDARNELLHGVTEKITTRYYELAADTVNVARKTESSLLRLRQGAQRRVGATSDSVDNNISDTEKICMQLFLDVQEYGRNLSAMGIEASEISAYRSLWQCVAPEDRQSQITL >Ma02_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13198034:13215642:-1 gene:Ma02_g02180 transcript:Ma02_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLVTPRSPATDLFGDPIDAKPPWFKSSSFLRPDFVPEFYVADLRSFVPLESLAAELRSHLADLKAELVDLINRDYADFVGLSTRLVDVDAAAGRMRAPLVEFRDKVASFRAVVDAALVAIRSGLRQRSEASAAREILQLLLDTFHVVSKVEKLIKELPTTHSNQSSPDTVSSEKGSLTNANSIQNVEIGSNLREDQSILLERIASEMNRLKFYTSHAKNLPFIENMEKRIQNATLMLDGSLGNCFVDGLENRDAKAIYNCLRAFAAIDNTSAAEELFRKTIVSPLIQQIIPHDHLQTVGGASSDELEHDYQKIMQCIEKDCKFLLEISSTANSGFHVFDFLANSVLKEVLIAIQKGKPGAFSPGRPAEFLKNYKSSLGFLAILEGYCPSRSAVVKFRSEVVYMDFIRQWNVGVYFSLRFQEIAGALDSALMASSINPVDIQGKPHKLTMKQSITLMESLKSCWSDDVLILSHSDKFLRLTLQLVSRYSSWLSHGLSARKARSASSRPVQNSEWSISAQVEDFIYVMHDVTFLGSELSGVFLGQVIQVLGSCSDEVVDLVRQSILQAGKNLEDLLPAIMDTMVEAIVEKSVEDLRQLKGVTATYRLTNKLPVIPSQYVSLILRPLKAFLDGEHIKLLMKDARNELLHGVTEKITTRYYELAADTVNVARKTESSLLRLRQGAQRRVGATSDSVDNNISDTEKICMQLFLDVQEYGRNLSAMGIEASEISAYRSLWQCVAPEDRQSQITL >Ma09_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17511498:17517054:-1 gene:Ma09_g18290 transcript:Ma09_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKPPSTPVSKVEKMSVCTPGGSRVKEEKIFVTVRVRPLSRKELSLKDQEAWECIDDNKIVFKMSSQDRTNSPSSYTFDKVFGPTCLTERVYEEGAKNVALSALTGINATIFAYGQTSSGKTFTMRGITENAVNDIYKHINNTPERDFTIKISAMEIYNEIVRDLLKPDSGALRLLDDPERGTIVDKLEEEMAKDSQHLRYLIGTCEAQRQVGETALNNNSSRSHQIIRLTVESTLRENSDCVKSFVASLNFVDLAGSERAGQTHASGARLKEGCHINRSLLNLTTVIRTLSQGKRGVHIPYRNSKITRILQSSLGGNARTAIICTLSPAISHVEQSRNTLFFATCAKEVTNSAQVNVVVSDKQMVKQLQKEVARLEAELRTPETSACPEALLMEKELKIKRMEMEMEELKRERDLAQLQLDELQRKVSDGHIAGLNPCESSPRRVVKSLTFSGTSPSPYTGEKGHGKVERVRNPTRQSSTIPSMLVHEIRKLEQLQEQLGEEANQALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRAFRCLSKEVGLCNEDMSQNAGANLKDEITRLHTQGNSIANLEEKLENVQKSLDKLVMSLPNSNCSVETNTKSSKLQFKKKKMLPLTMSSNANRPHIIRAPCSPLSSSRQVLDSEVENKVPENDTESHENISGSEKVTPSKSEDGGDVSSRDETPRYQRSSSVNMKKMQKMFQNAAEENVRSIRAYVIELKERVAKLQYQKQLLVCQVLELEANEASGYDDGEECEENISDLEKSPAAWKSRFEEQMQQIIHLWDVCHVSIIHRTQFYLLFRGDPADQIYIEVEVRRMTWLQEHFDEVGNASPAPTGDDPISLSSSIKALRHEREFLAKRLQSRLTEDERERLYIKWQVPLEGKQRKLQLVSKLWTDPNDAAHIEESADIVARLVGFCEGGNNMAKEMFELNFALPASKKPWLLGWQPISNLLGL >Ma03_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22478918:22479516:1 gene:Ma03_g17230 transcript:Ma03_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHRRNHPVIWHHIFSATHLAFSAMVGPLCMAQFDTTKCG >Ma02_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19424359:19425157:1 gene:Ma02_g09440 transcript:Ma02_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALVAGLMAVYALSVSAAVAAPPPADCSNVVTSLLDCLPFVTNGSDTASPSKACCAGVATVVTQSPVCICQSLDEASNLGIALNITRVVGLPVACSVRAPKVHCDAASPPGGSPRKLSSLLMCSTHTSIARSITITIRTTAISRTVTASGANYTALATFTAAPNARPSFVASNSIAKHVS >Ma11_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24262882:24266550:1 gene:Ma11_g19370 transcript:Ma11_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MRGDDNGSAAILVLVVVDDSVDIIMIIKKIRDEMDALRMALLFSPPDTALILCLQLDLDTTKEGIRPRSGLHGLAVCRHRVRGPIPTKDGTYECSVSFAPSLSSVMPGLCLFQHTVNEYRSATFFLLPNTVPPHLVIYTNGRDLTGITTLIITVPVDGTSLISETCNIMQAFPVHRLQPLPPSTKLLPLPTTASAHRTIPFVSSAAASSPSTSQLAGSATAPDSPTAAFWDYQLLFASQRSETAEPVLLRVAHGSVPVDFPRGTYYLAGPGIFSDDHGSTVNPLDGHGYLRAFDFRGSSDEVWYSARYVATPAQREEREAATGRWRFTHRGPFSVLRGGRRVGNLKVMKNVANTSVLKWGPRLMCLWEGGDPYEIDPASLDTVGLVDLVGSDHGDRRQTDGGSSGRAVDVAAHLLKPILRGVFKMPPKRLLAHYKIDAKRNRLLMVSCNAEDMLLPRSTFTFYEFDYNYELKQKKEFVIPDHLMIHDWAFTDSHYVLLGNRIKLDIPGSLLAVSGLHPMISALAVNPSQPSTPIYVLPRFSQSPIRDRNWLVPIQAPSQKWALHTGNAFEERDGNGNLKIQLQASVCSYQWLNFHKMFGYNWRTGKLDPSFMNLVDGREAMLPHLIQVSIELDAKGTCYGFSIANLSNIWNRPADFPAINPCFSGQKNTYVYVGSSSGTRRFLPRFPFDSIVKLDLSNGSAKSWSTGDRMFVGEPIFVPKGREEDDGYVLVVEYAVAKQMCYLVILDARRIGEAEAVVAKLEVPKHLTFPIGFHGFWSTK >Ma08_p26000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38650842:38657163:-1 gene:Ma08_g26000 transcript:Ma08_t26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTRHNAVSDSSSDAPTDHIEESVRHLKIEDGDRRKGADGQPNPYPDRPGQPDCSFYLRTGSCSYGSKCKYHHPTIAGQGNHYRGELPQRDGQPDCQFFMKTGTCKFGSTCKYHHPQDKRDTQVLQLNVLSLPLRKDEKSCPYYMRTGTCKFGVACKFNHPQPANVGAMFPESGLPINGYYGSFAPSTGPSLTGELPPWPFSRTTMSSPHMQGLQGFMPLIQPHSQATMPMQQDWSTYMGSIHIPSYSGPRPNHLSNLKNHGQPGSMAPVNFPHRPDQPECQHYIRTGCCRYGSSCKYHHPKERNPPAACTIGPFGLPLRPGEPACTFYATYGSCKYGAACKFDHPYVAVFPLMEQPLAYPYQRGSECTQMTSDNPSCWMPKAPDELMKPERIGELQDLDDIEHDNPSTPTSPSHTAPHSESSSINQSDHC >Ma05_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7689461:7693628:-1 gene:Ma05_g10580 transcript:Ma05_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVDVRSSAGQVMVEIEANKPSGNGIVVGGLSPLSETLWKEKTNTELIGDVSARLTWKDLTVTVTLSNGETHRVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALASRLATNAFLSGTILLNGRKTKLSFGTAAYVTQDDTLIGTLTVREMISYSARLRLPDKMPREEKRALVEGTIMEMGLQDCADTVIGNWHLRGISGGEKRRVSIGLEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDRLYLLSGGKTVYFGRASEACEFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSLKTRLERSDDPLERMTTSEAIRRLTEFYSRSQYNYTAREKVDEISRVKGTVLDSGGSQASFLMQAFTLTKRSFVNMSRDFGYYWLRLLIYIVVTICIGTIYLNVGTGYTSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVVAFVISNTLSAMPFLITITMVSGTLCYFMVRLHPGFMHYLFFVLNLYASVTVVESLMMAIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLIFDNQTPDLPKIPGEYILENVFQIDVNRSKWWDLAALFSMIVIYRIIFLMMIKISEDVTPWARGYIARRRLQQKKSSSVDLANRTPSLRGYVVEIESSSTSSS >Ma08_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37813982:37817077:1 gene:Ma08_g24720 transcript:Ma08_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGREEDMASRRQTVVSEQQRGGAVPVGKQKNVNAADAKSRRALGDIGNLVNIRVAEGSFGAQLLANAQAPAANKKPVAIPANAAVSRVGTKPVTKATAETEVANEELMQTSASIGSFTKSSRKKVTTLSSVLTARSKVACGLTDKPKELVDDIDEADKEDELAVVDYVEDIYKFYKSAEHYSRPHGYMDSQVEINAKMRAILADWLIEVHHKFELMPETLYLTFHIIDRYLSMETVLRRELQLVGVSAMLIASKYEEIWAPEVNDFICISDRAYTREQILGMEKGILNKLDWNLTVPTAYVFLVRFLKAASCDKEVEHLTFFFSELALTQYSMLRYCPSMVAASAVYAARCTVKRTPLWTERLVRYTGFSEQQLLDCTGILVDSHSLAPESKLKIVYKKYAREQLGAVALHPPATTLLEELKASSSWK >Ma04_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15875083:15876193:-1 gene:Ma04_g16490 transcript:Ma04_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRDGTSAGNEASAQEGFNIGFKQSASSGYKWGIVSGIIVGLMGAFVSLPDQFKEKLVKELEDRGRFQSLYKPVQKISTDDALVIMYHFQPLQENTQSRRR >Ma08_p26050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38689752:38695638:1 gene:Ma08_g26050 transcript:Ma08_t26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNSFYDAVNGAGDVWINENRFRIVRQLGEGGFAFVFLVKEVVADGAAGLARKKSINPSHVSDDGTYAMKKVIIQTEEQLEMVKQEIRVSSLFSHPNLLPLLDHAIIPVKGTHDISRKHEAYLLFPVHLDGTLLDIATIMQSKKENFTTTTVLQIFRQLCAGLKHMHSFDPPYAHNDVKPGNVLITHRKGQPPLAILMDFGSARPARKEIRSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGTSPFEYALGESGGSLQLAVMNAQIKWPSGHNPPYPENLHQFVLWMLQPQPAMRPNIDDIIIHVDKLILKFSS >Ma06_p34310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34606560:34610862:-1 gene:Ma06_g34310 transcript:Ma06_t34310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLASNSKYSYNHLNGKGASEAIDVHDITVKKSRLRIFLSYFGAAVFLTATFYLVVLKELCISSIWSILVCFSLAKVLHHKPIKKESVVIMPAFGVQLETHYWSGRVTCRFVPISKILKPVLNECVTPVTCHWSLALILRDEDELMLVFQKLQPPVRMLVPVWKALCAASH >Ma06_p34310.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34606560:34610862:-1 gene:Ma06_g34310 transcript:Ma06_t34310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLASNSKYSYNHLNGKGASEAIDVHDITVKKSRLRIFLSYFGAAVFLTATFYLVVLKELCISSIWSILVCFSLAKVLHHKPIKKESVVIMPAFGVQLETHYWSGRVTCRFVPISKILKPVLNECVTPVTCHWSLALILRDEDELMLVFQKLQPPVRMLVPVWKALCAASH >Ma06_p34310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34606560:34610853:-1 gene:Ma06_g34310 transcript:Ma06_t34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLASNSKYSYNHLNGKGASEAIDVHDITVKKSRLRIFLSYFGAAVFLTATFYLVVLKELCISSIWSILVCFSLAKVLHHKPIKKESVVIMPAFGVQLETHYWSGRVTCRFVPISKILKPVLNECVTPVTCHWSLALILRDEDELMLVFQKLQPPVRMLVPVWKALCAASH >Ma06_p34310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34606560:34610853:-1 gene:Ma06_g34310 transcript:Ma06_t34310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLASNSKYSYNHLNGKGASEAIDVHDITVKKSRLRIFLSYFGAAVFLTATFYLVVLKELCISSIWSILVCFSLAKVLHHKPIKKESVVIMPAFGVQLETHYWSGRVTCRFVPISKILKPVLNECVTPVTCHWSLALILRDEDELMLVFQKLQPPVRMLVPVWKALCAASH >Ma06_p34310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34606560:34610859:-1 gene:Ma06_g34310 transcript:Ma06_t34310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLASNSKYSYNHLNGKGASEAIDVHDITVKKSRLRIFLSYFGAAVFLTATFYLVVLKELCISSIWSILVCFSLAKVLHHKPIKKESVVIMPAFGVQLETHYWSGRVTCRFVPISKILKPVLNECVTPVTCHWSLALILRDEDELMLVFQKLQPPVRMLVPVWKALCAASH >Ma06_p26020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26630848:26636034:-1 gene:Ma06_g26020 transcript:Ma06_t26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNGVELELEKETLEKDSVANGCGPNMENEVGGGGTDAVQTEDGSLDKPEREVVDFPGEDNESSLAATEIKASNLPKTSGSYGGNRWKKAQKDQGGQNGHSNEFRKKKPVLSPNLSFPSKGTLPAKQSSLAAATSTRKSLSTKPGSVDAAAKDTSEESGIGSTPGARSSISGFNFSLDERAEKRKEFFMKLEEKNHAKEVEKTNLQVKSKESQEAEIRKLRKSLTFKATPMPSFYQEPGPPKVELKKIPPTRAKSPKLGRRKVPSAVTDNPSEGSISCESPRPAPSSSKPNEAVTSIKGSSTSKNPTKKSLSKLPSQESKTSTPDVKSAATKRKVSNMKAKLEKAKVEASDNKLIEVAPEASTEVEPVLDNRVGEDNPVLNPSDPVIASLEEPAQG >Ma06_p26020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26630847:26635916:-1 gene:Ma06_g26020 transcript:Ma06_t26020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNGVELELEKETLEKDSVANGCGPNMENEVGGGGTDAVQTEDGSLDKPEREVVDFPGEDNESSLAATEIKASNLPKTSGSYGGNRWKKAQKDQGGQNGHSNEFRKKKPVLSPNLSFPSKGTLPAKQSSLAAATSTRKSLSTKPGSVDAAAKDTSEESGIGSTPGARSSISGFNFSLDERAEKRKEFFMKLEEKNHAKEVEKTNLQVKSKESQEAEIRKLRKSLTFKATPMPSFYQEPGPPKVELKKQIPPTRAKSPKLGRRKVPSAVTDNPSEGSISCESPRPAPSSSKPNEAVTSIKGSSTSKNPTKKSLSKLPSQESKTSTPDVKSAATKRKVSNMKAKLEKAKVEASDNKLIEVAPEASTEVEPVLDNRVGEDNPVLNPSDPVIASLEEPAQG >Ma06_p26020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26630846:26635916:-1 gene:Ma06_g26020 transcript:Ma06_t26020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNGVELELEKETLEKDSVANGCGPNMENEVGGGGTDAVQTEDGSLDKPEREVVDFPGEDNESSLAATEIKASNLPKTSGSYGGNRWKKAQKDQGGQNGHSNEFRKKKPVLSPNLSFPSKGTLPAKQSSLAAATSTRKSLSTKPGSVDAAAKDTSEESGIGSTPGARSSISGFNFSLDERAEKRKEFFMKLEEKNHAKEVEKTNLQVKSKESQEAEIRKLRKSLTFKATPMPSFYQEPGPPKVELKKQIPPTRAKSPKLGRRKVPSAVTDNPSEGSISCESPRPAPSSSKPNEAVTSIKGSSTSKNPTKKSLSKLPSQESKTSTPDVKSAATKRKVSNMKAKLEKAKVEASDNKLIEVAPEASTEVEPVLDNRVGEDNPVLNPSDPVIASLEEPAQG >Ma10_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18230641:18232318:1 gene:Ma10_g06270 transcript:Ma10_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAEEAEQERPVFDDDDDDDVSESVGSEDDDVDGNGHATPPSSQLQAPTEPSVVVAAESGGLHPSASSDQATNLNPNPNTTLFDPTAAALSPPQNGAIPVPVLPIAAISSPSADAAFFNPTSSSVAVPGTTTSASEERRSLAVVSFDESRRLFQRLWTDEEEIKILRGFFEFTSKRGTTFASHQYDTGPFYEEIKKQFQIEFTKNQLIEKLRRLKKKYRNCVSRMGSVGKGFAFKSSHERAIYDIARRIWSAGSKRVHESDDEDLNTSNNAISDEIIAVPINDGSLGSHRRISRSRRCMRRRMAEDVATVDATTAVGGVAIDHSTPEMHTPFASAAGISNIIEETVKDCLSPLFKELINSAIGSPLGPGLIGGTSPLNLLPMSLRGGGSLAAPGMTIDDKWRKQQILELEVYLKRIELVMDHIKATMEELKSAAS >Ma02_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23517564:23523375:1 gene:Ma02_g16040 transcript:Ma02_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATKFMDKQITELSGTSQAPGEFFDFVNSQEDRRVNGVAGGRSVKQEQQQESEILPSYDFHPIRAVGSASLSIAGGGGGGGGPSDSWPSWGSIDSKLASSNLKNAGVLESHELTKTSHEKEKSAHDIAFVAEIDHTVKRYADNLMNALEGLSSRLSKIESRTHHMENSVDELKVAIENNNGSTNGKLKQIENVLKEVHSSVQILQDKQQVTEAQLHLAQLITSEGDQQLPENSKTGDSDSQQHELPPPQQPVQQPYQRPVPQAQPTVLPAPPPLPQQIPPPQIPQLPQSQILSLPSVPQEFRIQAAPPPLPPQPQHYQPSLQHPQYSQSPQSQQPVSPSPQLPPAMSRHPEESVPYMSPPSSYAPSIRQPAPFPQPLTAPPQQFYGPMPNMYEPPASKPSSGLPPFSSGYRPPVGPGYHDSYGYSGLPSGQSSSASKPLPIASSVSSGGTSNYPRLPTAQILPQAAPTSSASGGSSGTRVAIDDVVEKVATMGFSRDQVRATVRKLTENGQSVDLNVVLDKLMNDGEIQPQKGWFGR >Ma02_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24946373:24951432:-1 gene:Ma02_g18480 transcript:Ma02_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAEIARLFAELASRIQSPQDDTDGGDGVGPGGELASAISSLAASLSFGDAPRVGVLNAALSLMCFRASEVHRARVECLVGTIVAMLSSSVSCRVLRLPDKRDEGFLRIGTSFSSEDCVQLIRVCVDVLASLKGHCGAGDLHALLYAVVKAVISSSTYQGLLPSLPIGYLRNEDTDCGVQTEISKLAYSLSDQTSCTGHEFPLRGLTSVLDLKIGIVLSVLDILSRPMWWGVPVELGLRFPSSYAYFSIRHHDLLTILTGQLSCKNLLHLVQYIKTEVAILSNICQSASHPSVTNYNHEGLANVIGSNSAWSVLIDFPAWFYFANALIFYRNSSQDYLSEALCGRLRTEPVNDLELHRAALYYISWVLCPIGEAHRDMLAENIDELSRSFVTQHKAKSIYEERSFSDMHPKNVLSRSKKLKIPKANSFEKHRMAPQEVSSLNIGVWLENFNAIHIEVCNENTASNVQSAVTSEQDANMKPNLLLARVPLGILLVSPSYLDELGCEILLHYAATGETMLAKEIRKLKDHYGYSDLGVLFHSDPSKWALKGACLVFNLLDVIEDMSIMLFDSEDNRIGFVNQMKGKAGRFLIRCLRMLLNHQEIEIDGVAVGDMMLDVHHRLLKWNKLGLGMFEGYKEFDDFVGELSKRCQLSD >Ma10_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17464781:17468668:1 gene:Ma10_g06040 transcript:Ma10_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLAGLAHCYSGGGRRHGSAAVSWDPCDEGLGHSFCYLRPDAACGVSGRPSATAVAKVHNLEETTTFRSISGAAVSANASTPLSTSLLDFAGPTAASAFESSTSFSSVALQPVPRFSGPLSGPLAAADRGFMSGPIERGFMSGPIENQSALFSAPFEKAPFFSDQLRRSLSRRLPKSGRAAARSIFRGLTKAIARTMSTTAYGHGSIVAPVKGSKYSHSADGGITNPRGCSGRSIVEATQWSDSLDSLSGNIHWAQGKAGEDRVQVVVSEDHGWVFVGIYDGFNGPDATDYLLSNLYSAVQRELKGLLWDDKDDTFCHEAFDDSVALAAADEFNPTVAANWTSNTRCCKEGRHHRRKLRGAAKKWEEKHRRQRCEFEKERLEFDRRLKEQLSRASPDHAVNHSQVLKALSRSLRNTEDSFLDIADKIGSENPELALMGSCVLVMLMKGEDVYLMSVGDSRAILARKEERDLWTSIGKARQDLERINEETLFDLEGLSDGHPSNELSNLSALQLTSDHSTSIEEEVRRIRNEHPDDASAISNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYVGTSPYITCNPSLYHHKLGPKDRFLILSSDGLYQYFTNEEAVAQVEMFIAATPEGDPAQHLAEEVLIRAAKRAGMDFHDLLEIPQGDRRRYHDDISIIIISLEGRIWRSCTQT >Ma11_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5064945:5067766:1 gene:Ma11_g06270 transcript:Ma11_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSKLAQDYTRDGSVDLKGNPVSRSQRGGWFACYFIVVYDAVERMAYQGISSNLVLYLTRNLHQGTLTAANNVTYWVGTGYLMPVLGAYVADAHLGRYWTFVLSSAIYFLGMCLLTLAVSLPSLRPPPCTHQGDAPKCSPASVLQVGVFLDALYVVAFGSGGTKPNISTIGADQFDEFDPRERAHKISFFNWWFFSSFLGTLFAGIVLVFIQDNVGWPLGYGIPTIALLLSVSIFLSGTRFYRHKVPQGSPLTRMARVIVAAIRKWNLPLPKSAAELHELDPEEYMRKGQFRILPSDSLRLLNKAAVRVGSTSTPWMLTTVTEVEETKQMLRMIPIWVACFVPSTVVAQVNTLFVKQGTTLDRRINVGRHHLQIPPATLVSFVTVSMLVSVVVYDRYFVKIMRSWTNNPRGITLLQRIGTGFLLHIITMLVASLTESRQLRAARDDVRLTIFGLIPQFVLMGVADCFMVVGMVEFFYSQAPESMKSLGTSYSFATYGVGNILSSLLLMAVSDITKRNGREGWISNNLNSSHLDYYYALLGVLGFINFVFFVYVSRAYVYKAEVYEQQVEASTEEHRAA >Ma10_p25970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33869879:33877836:1 gene:Ma10_g25970 transcript:Ma10_t25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVTGDRYLEHLVQFVERNAGPLLEGALTLKLNPVGLHYVHTRLEALQELEGLLAGAPVDYLRAYVSDLGDHRALEQLRRILGLLTALKVVSVLPPPGRDPTPLSLLPFGRLKVLELRGCDLSTTAAKGLLDLRHTLEKLICHNSTDALRHVFASRIADIKDSPAWNKLRFVSCTCNGLVLMDESLQLLPVVETLDLSRNRFAKLDNIRKCIKLRYLDLGFNHLRTISPLIEVSCRIVKLVLRNNALTSLRGIENLKSLEGLDLSYNIISSFTDLEILTSLPSLHNLWLEGNPICCSRWYRAHVFSFFSNLEKLKLDEKVISTREYWERHVIFARRQKRPAGYGFYFPAKDASEDESRISMKKKKHSRLASIEEEEQRRIICSDQESLSCDSDSLRKEEIISDNDTRVADLINRAKYMKNDQSVLWLREFQEYIDQTPDEAEFKSHSTEFSLAPHDMRQRKGHKPSETSSTHVANPAEISSGGTGSSILESDMSFKDAYPYIGDHRSNDIENMESSVVNSGNVSLIEQNLGLNLEQDILKHNLTEPQGVSPLELKLHSSPSYSTVEGHQVEGITKLGSLTAIDEIIGSQSSMYPRSPPHYREDILHRRLYLEEEFLQQSADSLSVRSSDSDTSCSDVASCELNSSSSDLDGLLIQTSANQGFSGYSLASLNLEYHAERKHDKASVRENSILISDNSTEQESDIDILKIGDKPSSTHGISVNGGYGFAHGTSQEVGDIEKQRGKGKLKRRFITLSEDLHKKPIYEKLNGDLEFIKTDGCGQWNITSEEPSVKAEQSCCNNHPSIVGGCNSCAKAGTSSLDLAQYEHIMDFFHRKVEGFGASETFEEVVRCDCVFQCGAVFQESEVAVLRSCKDKLYILLIEATPDGRDSISEVLGCHKFEDLREVVVGLGLQALRIYLEGSATYLFFTRISEKSKSLLSLLKLCDSTALSRSCSLTSWEQVQVKLFEKCLFKDLKIGIFFYSMLLFWHDDSEGESWFTRSIFVVEGYMLVCIENLVQFGSSIDDCGLTCPYYSLDSCCVIQDILEMVIELGDSRCLTLTFADFMSGVDCFADNAEKEKPSIEASKVRTWKLKWYSEETLFKFVALLKAIRAGLTATPLPLKGIS >Ma00_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44059271:44061973:-1 gene:Ma00_g05080 transcript:Ma00_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDGTGSELRSTLMAELARALQLVSQLQSHLDHPSAAELCKSLATEILSSIGKSILMAKSGDPDGDLQAGNSPRSENSSPAFRDPGRRDFMKKKKTMHKLTNQVRLIPGVGGVEGPVDDGYSWRKYGQKDILGAKHPRAYYRCTHRSSQGCQATKQVQRSGEDPLVFDVTYLGTHTCLQRPQRAPTSACLGHQSSYTLEMEGVHCEEEAWGLTSLSYGSMAVMGDTAADLFSSLSSPPAFSSPYQISSFDGGMKLQTSDSDIAEMISRGDSASNSSLVDMAFMLPEMDFAQNFQFDAPGFDSCSFFS >Ma10_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1818828:1818959:1 gene:Ma10_g00580 transcript:Ma10_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNLYIADVIRGHYGCICFVLCQVQGFGFQLCTSLGLAILI >Ma04_p39470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36609389:36609907:-1 gene:Ma04_g39470 transcript:Ma04_t39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKFHEDEHGEVIADLCGSTLRAPHGCHAQYMANMGSTASLVMSITICEHKDEASGGDQQQKGQKLWSLVVYHHTSPMLVPFPLRYACEFLMHVFGVQLNKEVELAAQTKEKHIL >mito11_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000022.1:131887:132015:1 gene:mito11_g00070 transcript:mito11_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVILVIIAYAGRYLGILIYLSRPNKEYFALGETSVMLCSC >Ma11_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21378484:21381823:1 gene:Ma11_g15700 transcript:Ma11_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRLAFIFFLAFFCAVIAYAAPADEVAALLSIKAELDDPLNALGDWNLPTDVAGSSHCNWTGVRCSSTGAVDGLDLSHLNLSGLIAGDIRRLTSLVRLNLCSNSFSSSLPEAIADLTMLRELDVSDNNFVRHFPTGLGGFQGLTSLNASGNNFDGPIPDDIGNATALETLDLRGNFFAGSIPLSYRNLQRLKFLGLSGNNLRGRLPAELGQLSSLETLIIGYNEIEGPIPVELGNLTNLRYLDMAVGNLSGAIPAKLGRLQSLTTVYLYKNNLDGEIPREIGDISSLQMLDLSDNLISGSIPPELSRLSNLKLLNLMCNRLKGAVPAGIGELPQLEVLELWNNSLSGALPANLGLNSPLQLLDVSSNSLSGKIPAGVCDGGNLTKLILFNNAFSGPIPTGLSTCLSLVRVRMQNNRLNGTIPSGLGRLPKLQRLELAGNELSGEIPDDISSSTSLSFIDLSHNHLRSSLPSNILSMPTLQTFLAADNELTGGIPDELQDCPSLSALDLSTNRLSGCIPSSLASCQHLVSLSLRSNRLTSTIPSSLATMPTLSILDLSNNFLTGTIPDNFGGSPALEMLNLAYNNLSGPVPANGLLLTINPDDLAGNAGLCGGGALPPCAANSPWASTTSSKASHLKHIAAGWLIGISAVLMIGLGVLGARRLYQMWHVDGGCCGDGKFEEETGAWPWRLTAFQRLNFTSTDVLACVKEANIIGMGATGIVYKAELHRHHAAVAVKKLWRPEGAAAELGDSDAGDLATEVSLLGRLRHRNIVKMLGYVRNGAEMMILYEYMQNGSLWEALHGKQEGRLLVDWVSRYNVAAGIAHGLAYLHHDCHPQVIHRDVKSSNVLLDGNLEAKIADFGLARMMVRKNETVSVVAGSYGYIAPEYGYTLKVDEKTDIYSFGVVLMELLTGKRPVEMEFGESQDIVGWVRGRLRSNHGVEELLDSSIGGRCEHVREEMLLVLRVAVLCTARSPKDRPPMRDVLTMLAEAKPRRKSSSSGGGGVVAKDKPVFTTSPDSGYP >Ma06_p10000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6944372:6948760:1 gene:Ma06_g10000 transcript:Ma06_t10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRISLFSCFLLIVLLHSARSDDTSSQLLKGINDYRVSLNLSQLTANQNADCLAEQLASAYKGQDCTNTTGSDTVPGTEQQFSNFPDFLSNCHLNATVIRDGSIMPACVPGLDPQLVLANFTESQYNQNLNDSSYVGIGLADEGNWVVAVLTTNTPAGNYGPATDTGAGSVVSIADHRCVMLSLLGFFMVLIS >Ma06_p30710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31941850:31959766:1 gene:Ma06_g30710 transcript:Ma06_t30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANREASNDEPSSRIRVLSSHLHSPPPTSRMASSEKDAALAATPSDAPTIFDKIIHKEIPSKVVYEDEKLGGVRMEDGPVLLHLLKLRPATSGETLADVLETLWKNRRTGLDSLEKSRIRSLLVLPAAQDLDPILACLRLLVRKCVHEKLTGDDIKKLFPHDLSIELQSSLVLLFQKYHNQWNEELSSDQETLPRLKSMTWIMQNRNSAPANRVAVITLKLQDYTNSTSGELEVKFQLSKDTLEAMLRTMTYISEQLSSSVEQSSEPSAKKPKQ >Ma02_p23290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28354672:28367697:-1 gene:Ma02_g23290 transcript:Ma02_t23290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSVSSILDELHKIGLSPVESLEFSESYVLKDKKTKSSFEHHILEPQRMTSISTISRVADHVSESRSDPLALQSSLFSEGNIMDLSGSHFENGLFSSSLSDIFNNKLKLSSNVVQSVHSVNSNFGEEVFFESMEDIEAQTIGNLLPDDDELLSGVTYDKGYTGKPNDETDVDDDIFYSGGGMELETDDKINENKTYEFAGGGASNYQQGKLNGPFASEHPYGEHPSRTLFVRNINSNIEDGELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAALNAIQALQNKPLRCWKLDIHFSIPKDNPSEKDVNQGMLLVFNLDSSVTNDDLHQIFGIYGEIKEICSTQHKCHHKSIEFYDVRAAEAALHALNGSDIAGRKIRLELSHLGGARCFTQQLSPELNQEELHGCWHESPNNYLPGCFGPSSLGVNTSKGLENGAIQGLKSAVRAPFNPIIEATFHGMSSSMSQNLPSPIRVASVGNIEAAHSDITHSLGQMNFEFQDMPGFHPRSLPDYHDEVNNDIPYHSSTMSAVGTGVISRPVEGIDKRHLQKVGSASFNGHATDHNEAFCSANGSCSLQGHQYVWNNTNVFHHKTPSPMSWSNPPSFINNIPTNPSQIHGIPRGQSHMVNTVVPLHHHVGSAPAVNPSLWGRRHTYGGDSIERPAFHPGSHGSMGLSAASQLHWLELTSHNIFSRVGGNCMDPSVSLAHIGILSPQQRGHIYHGRNPIFPMPGSFDGPTERIRSRRNDTNATQSDNKKQYELDIERIICGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMVNTQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPVGTNIKSRSGRWRTDSSEENHQGDKSTSANGEASCVAAVSPSGPQKI >Ma02_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28354672:28367697:-1 gene:Ma02_g23290 transcript:Ma02_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSVSSILDELHKIGLSPVESLEFSESYVLKDKKTKSSFEHHILEPQRMTSISTISRVADHVSESRSDPLALQSSLFSEGNIMDLSGSHFENGLFSSSLSDIFNNKLKLSSNVVQSVHSVNSNFGEEVFFESMEDIEAQTIGNLLPDDDELLSGVTYDKGYTGKPNDETDVDDDIFYSGGGMELETDDKINENKTYEFAGGGASNYQQGKLNGPFASEHPYGEHPSRTLFVRNINSNIEDGELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAALNAIQALQNKPLRCWKLDIHFSIPKDNPSEKDVNQGMLLVFNLDSSVTNDDLHQIFGIYGEIKEICSTQHKCHHKSIEFYDVRAAEAALHALNGSDIAGRKIRLELSHLGGARCFTQQLSPELNQEELHGCWHESPNNYLPGCFGPSSLGVNTSKGLENGAIQGLKSAVRAPFNPIIEATFHGMSSSMSQNLPSPIRVASVGNIEAAHSDITHSLGQMNFEFQDMPGFHPRSLPDYHDEVNNDIPYHSSTMSAVGTGVISRPVEGIDKRHLQKVGSASFNGHATDHNEAFCSANGSCSLQGHQYVWNNTNVFHHKTPSPMSWSNPPSFINNIPTNPSQIHGIPRGQSHMVNTVVPLHHHVGSAPAVNPSLWGRRHTYGGDSIERPAFHPGSHGSMGLSAASQLHWLELTSHNIFSRVGGNCMDPSVSLAHIGILSPQQRGHIYHGRNPIFPMPGSFDGPTERIRSRRNDTNATQSDNKKQYELDIERIICGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMVNTQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPVGTNIKSRSGRWRTDSSEENHQGDKSTSANGEASCVAAVSPSGPQKI >Ma02_p23290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28354672:28367697:-1 gene:Ma02_g23290 transcript:Ma02_t23290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSVSSILDELHKIGLSPVESLEFSESYVLKDKKTKSSFEHHILEPQRMTSISTISRVADHVSESRSDPLALQSSLFSEGNIMDLSGSHFENGLFSSSLSDIFNNKLKLSSNVVQSVHSVNSNFGEEVFFESMEDIEAQTIGNLLPDDDELLSGVTYDKGYTGKPNDETDVDDDIFYSGGGMELETDDKINENKTYEFAGGGASNYQQGKLNGPFASEHPYGEHPSRTLFVRNINSNIEDGELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAALNAIQALQNKPLRCWKLDIHFSIPKDNPSEKDVNQGMLLVFNLDSSVTNDDLHQIFGIYGEIKEICSTQHKCHHKSIEFYDVRAAEAALHALNGSDIAGRKIRLELSHLGGARCFTQQLSPELNQEELHGCWHESPNNYLPGCFGPSSLGVNTSKGLENGAIQGLKSAVRAPFNPIIEATFHGMSSSMSQNLPSPIRVASVGNIEAAHSDITHSLGQMNFEFQDMPGFHPRSLPDYHDEVNNDIPYHSSTMSAVGTGVISRPVEGIDKRHLQKVGSASFNGHATDHNEAFCSANGSCSLQGHQYVWNNTNVFHHKTPSPMSWSNPPSFINNIPTNPSQIHGIPRGQSHMVNTVVPLHHHVGSAPAVNPSLWGRRHTYGGDSIERPAFHPGSHGSMGLSAASQLHWLELTSHNIFSRVGGNCMDPSVSLAHIGILSPQQRGHIYHGRNPIFPMPGSFDGPTERIRSRRNDTNATQSDNKKQYELDIERIICGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMVNTQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPVGTNIKSRSGRWRTDSSEENHQGDKSTSANGEASCVAAVSPSGPQKI >Ma02_p23290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28354672:28367697:-1 gene:Ma02_g23290 transcript:Ma02_t23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSVSSILDELHKIGLSPVESLEFSESYVLKDKKTKSSFEHHILEPQRMTSISTISRVADHVSESRSDPLALQSSLFSEGNIMDLSGSHFENGLFSSSLSDIFNNKLKLSSNVVQSVHSVNSNFGEEVFFESMEDIEAQTIGNLLPDDDELLSGVTYDKGYTGKPNDETDVDDDIFYSGGGMELETDDKINENKTYEFAGGGASNYQQGKLNGPFASEHPYGEHPSRTLFVRNINSNIEDGELRALFEQYGDIRTLYTACKHRGFVMISYYDIRAALNAIQALQNKPLRCWKLDIHFSIPKDNPSEKDVNQGMLLVFNLDSSVTNDDLHQIFGIYGEIKEICSTQHKCHHKSIEFYDVRAAEAALHALNGSDIAGRKIRLELSHLGGARCFTQQLSPELNQEELHGCWHESPNNYLPGCFGPSSLGVNTSKGLENGAIQGLKSAVRAPFNPIIEATFHGMSSSMSQNLPSPIRVASVGNIEAAHSDITHSLGQMNFEFQDMPGFHPRSLPDYHDEVNNDIPYHSSTMSAVGTGVISRPVEGIDKRHLQKVGSASFNGHATDHNEAFCSANGSCSLQGHQYVWNNTNVFHHKTPSPMSWSNPPSFINNIPTNPSQIHGIPRGQSHMVNTVVPLHHHVGSAPAVNPSLWGRRHTYGGDSIERPAFHPGSHGSMGLSAASQLHWLELTSHNIFSRVGGNCMDPSVSLAHIGILSPQQRGHIYHGRNPIFPMPGSFDGPTERIRSRRNDTNATQSDNKKQYELDIERIICGEDSRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFIYLPIDFKNKCNVGYAFINMVNTQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPVGTNIKSRSGRWRTDSSEENHQGDKSTSANGEASCVAAVSPSGPQKI >Ma06_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9688207:9688777:-1 gene:Ma06_g14130 transcript:Ma06_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRVLIFITSGRAIGRGWAGEMDGDGSGRPTRRLLLLILSISLQLIPGKSAAMFGKSK >Ma11_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3428582:3429410:-1 gene:Ma11_g04380 transcript:Ma11_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLIGHCVLLLGLILAIVPFVASEEEDECVYTVYVRTGSVFKGGTDATIGLTLGDAAGREVAVADLVAWGGLMGPDHDYYERGALDAFSGRGPCGLATPLCRLNLTSDGAGAHHGWYCEYVEVTATGPHTLCSQTLFYVRQWLATDAPPYRLYATVDGCAQPEAAPNSDHRRLVVGSQTDSSSSSSS >Ma01_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7582449:7585227:-1 gene:Ma01_g10550 transcript:Ma01_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sedoheptulose-1,7-bisphosphatase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55800) UniProtKB/Swiss-Prot;Acc:P46283] METGAATCARGVIAHSVLYQSRLAVPSSAPSLPRSHRHSGLKSSSIFGDSLRLTPPKSRACRAVGSSSLATKCEIGDSLEEFLTKATPDKNLIRLLMAMGEALRTISFKVRTASCGGTACVNSFGDEQLAVDLLANNLLFEALQYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGIYGPRTTYIIALKDCPGTHEFLLLDEGKWQHVKDTTSIGEGKIFSPGNLRATFDNPDYDKLINYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPSSKAKLRLLFEVAPLGFLIEKAGGYSSDGTRSVLDKVIENLDDRTQVAYGSKNEIIRFEETLYGSSRLNKAGAPVGAAA >Ma02_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18802748:18803253:-1 gene:Ma02_g08450 transcript:Ma02_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLSEILRSGFMINSTLRRRTHLVQSFSVVFLYWFYVFS >Ma03_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1592083:1593953:-1 gene:Ma03_g02330 transcript:Ma03_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINSSLLRVRSPSSPIKRAIFFFACYLIIFALWSSSSFDDLDSKAKSSSSQKKMGDHETPRIEKPRQVVKKVLARSQPEGVGATVRRSIGRAELRSLDPFLMLDEFTVSAPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIRAGDLQWMTAGRGIVHSEMPAAEGENKGLQLWINLSSKDKMMEPRYQELQSKDISRVEKDGVDVRIIAGESFGVRSPVYTRTPTMYLDFTLQPGARVDQPIPDSWNAFAYIIEGEGLFGGPEDATAATSHHALVLGPGDGLIVRNPSARPLRFVLLGGQPLGEPVVQHGPFVMNTQAEIRRAFEDYQHHKNGFEKARHWRSQP >Ma03_p30020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32837103:32839802:1 gene:Ma03_g30020 transcript:Ma03_t30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGSFQDGRIASKRLMMLVALLLVSCCLGHGFGDEDHVEVEGIGSSCMESERRALLAIKSDMYDPDNWFSSWTGKDCCGWRGVACDNTTGHVTKLDLRYPDTYDVRDISDIMETMGGSKVNPSLQELKHLTYLDLSMNNFSHAPVPTMIASLVHLEYLNLSNAMFDGPIPPQFENLSNLHHLDLHGWYDDLHVDDLDWLSRIPSLKYLDMSYVDLSKATNWFYVINSISTLEVLHLIYVDLPYVPSRLPPFNLTAIATLDLSGNFIITSAMLSWLSNATSLENLFLSGCWSLTIESLQVALGALSNLKGLDLSDNSLEGEIREILNNVSSRGLKHLDLRSNQLSGDIPPGSLRDLEYLDLSGNSIVDVHILASLGNLTNLRHLELWGNSISGEIPPTVGKFVRLEYLDLSSNQLSGDIPPGSLRDLEYLDLSTNLIVDAHILASLGNLTNLRHLDLGGNSISGEIPPIVGDAVRLEYLYLSYNGIIGKIPQSMGNLSNLLELHLSGNKIVGWIPPSIGNLTNLVMLYLSSNNIVGCIPKTIGTLIHMKVLYLDDNQISGKIPETIGGLQNLQVLYLDNNFITGEIPATIGGLRNLLMLSLSNNLISGPIPETIGGLRNLQMLILKGAELPAWLQTQTQLTTLDLYGVGLSGNLPVWFSNFTRRLHRLNMSSNNLQGRLPSAPQLMLDLSNNSFIGPIPPSFVISTGLSLLSLSHNRINGSLPPSFVICNHFRSLTYLIIT >Ma10_p15780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27750070:27751103:1 gene:Ma10_g15780 transcript:Ma10_t15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRPSGAWSTRWLVVVLVHWLLCATERRRGAVVEASHVEFASLQSVPASVVDNRLRTGYHFQPPRNWINDPNGPMYFNGVYHLFYQYNPNGSVWGNIVWAHSVSTDLVNWIALDPAIRPSKPFDINGCWSGSATVLPGNRPAI >Ma01_p12670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9273470:9283190:-1 gene:Ma01_g12670 transcript:Ma01_t12670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFINFVIRPPRAEYNPDQYLWESEFTLAGRKYKRLDLELTNGRGHTLQCSHYVPSFVPESTALPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSGGDYVSLGWHEKDDLKTAVSFLRSNKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMMELVDVYKIRLPKFTIRMAVQYMRRIIQKRAKFDIMDLNAVQFAPKTFIPSLFGHASDDIFIQPHHSDLIYEAYAGDKNIIKFEGDHNSPRPQFYYDSVSIFFYNVLQPPQIPSSCTSNFQRYYDLGELKAGAGTDESLLYEIITSLRAVNTDAACSSSAPGNSNGAGSTAKSVSELLSESVAHISIDNDLDFLVDEDNMITNIGGNSVNESNLQDFVVDKDNMATNMGGNSAEEPNMQEKRTGRDEECCSYSSSNRESWGRCSSLGGNSDGSSSAECTASTTSKHQMTLRALATPLRRIQRKSSAKPKNKKKGTAVSKKPKREKLEKGETLSQRLRLCILGRANHRRHRST >Ma01_p12670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9273470:9283190:-1 gene:Ma01_g12670 transcript:Ma01_t12670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRIHSCRQKIQTPRLGGKYGDQLSSLQMDVVTPCSAVTMFPLLYQKVLLFLVSYTAMGIGSGLSGGDYVSLGWHEKDDLKTAVSFLRSNKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMMELVDVYKIRLPKFTIRMAVQYMRRIIQKRAKFDIMDLNAVQFAPKTFIPSLFGHASDDIFIQPHHSDLIYEAYAGDKNIIKFEGDHNSPRPQFYYDSVSIFFYNVLQPPQIPSSCTSNFQRYYDLGELKAGAGTDESLLYEIITSLRAVNTDAACSSSAPGNSNGAGSTAKSVSELLSESVAHISIDNDLDFLVDEDNMITNIGGNSVNESNLQDFVVDKDNMATNMGGNSAEEPNMQEKRTGRDEECCSYSSSNRESWGRCSSLGGNSDGSSSAECTASTTSKHQMTLRALATPLRRIQRKSSAKPKNKKKGTAVSKKPKREKLEKGETLSQRLRLCILGRANHRRHRST >Ma01_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9273470:9283190:-1 gene:Ma01_g12670 transcript:Ma01_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRIHSCRQKIQTPRLGGNLQMDVVTPCSAVTMFPLLYQKVLLFLVSYTAMGIGSGLSGGDYVSLGWHEKDDLKTAVSFLRSNKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMMELVDVYKIRLPKFTIRMAVQYMRRIIQKRAKFDIMDLNAVQFAPKTFIPSLFGHASDDIFIQPHHSDLIYEAYAGDKNIIKFEGDHNSPRPQFYYDSVSIFFYNVLQPPQIPSSCTSNFQRYYDLGELKAGAGTDESLLYEIITSLRAVNTDAACSSSAPGNSNGAGSTAKSVSELLSESVAHISIDNDLDFLVDEDNMITNIGGNSVNESNLQDFVVDKDNMATNMGGNSAEEPNMQEKRTGRDEECCSYSSSNRESWGRCSSLGGNSDGSSSAECTASTTSKHQMTLRALATPLRRIQRKSSAKPKNKKKGTAVSKKPKREKLEKGETLSQRLRLCILGRANHRRHRST >Ma08_p03850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2743738:2746875:1 gene:Ma08_g03850 transcript:Ma08_t03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKDQEDIFLFATHTYACRMLYHPPSPPYRHRPRRGTGGGGEDKKTMACLVHRPRKLMQLSLLGFLLLPFFFLVVLKPRLDPSSLLSLQVSVRSSIRIEVVEEKTSSSDDHDDKMMDMPNALESQPEEKKSVSYAAEMVQPLPPPPPPPPPPLQQNHNETEIESAAVIRIPNATKVEEEATRYSAAAVPSSKIWCDFSQRRSDTCTLHGDVRVLGSSVLLVKTSADASSEETTWRIKPYARKWETPVMELIRELPIRVASEASETPNCTARHAVPAVVFSTGGFSGKNFFHDFSDVLIPLFITSRRYHGEVQFLVTNFNPRWINRYEAILKRLSNYEVVDMDRDDRVRCFRNAHVGLMSHSELVIDPAKAPNNYSMADFRELLRSCFSLRRKSVRVGGSSKPRLLLMLRKGSRSLTNKRAVVSVARRLGYRVVVAGPEQTKNLSRFAAVVNSCDVMVGVHGAGLTNMIFLPANATLIQIIPWGGLRYACNHDYGDPTAAMGIRYSEYEVRQEESSLVKQYPRDHPVFTDPQSIHRQGWNALWAVFLDRQSIKLDVRRFRGVLQETIRSLPR >Ma11_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15848449:15850487:-1 gene:Ma11_g12060 transcript:Ma11_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHRTFPRPEPRRTGNGFIIASMLLSVCILSLIKARYCSAPYGKSRESSELEITMGAIRMLAAKSGDLALKGREDGEDYRLLLEAVSRDKAAMEVKKPVCIETSRRSDVCEAEGDLRVRASAQTIFVDPFLTSQEWKMKPYARKHDQPALAHVKEWTIRPFTEQEAPPSCTENYTVPAVVFSVGGYTGNLFHDFTDVIVPLFITSTRFHGEVQFVIADAKPWWLSKFSLLLKQLSKYEIIDADNDRDAVRCFPRVIAGLDFHKELGVDPAKAPSGYSMTDFKEMLRRAYGLERTRAEPSGDRWDVRRKPRLLIISRRSSRVFLNERGMSDMAMSLGFDVRIADPDVTTDLGKFARLVNSADVMIGVYGAGLTNIVFLPAGAVFIQVVPMGNLEWLARDTFEKPSPDMQIKYMDYRIQADESTLSDQYQKDHPVFTDPQSIHKKGWSELSKVYLENQDVKPHLGRLRITLLEALKFLPHGRKTTTQ >Ma05_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2023823:2024696:-1 gene:Ma05_g02930 transcript:Ma05_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSKLGFGCMGLSGIFNAPKISICVTDRPIVMELVGFTKAIQHPCDTCSQKINLPMKLLIHIYLSFYLDLLSYLILNTMGKLKKLVEESKVKYVGLS >Ma08_p20840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34917012:34919680:-1 gene:Ma08_g20840 transcript:Ma08_t20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARWLRRLWSSSKQSKEPKDYPGHGAEDRTEKKRWSFRRSRDSGDAAPGQNASTAAAIEAAWFKSFYAESEKEQSKHAIAVAAATAAAADAAVAAAEAAVAMVRLTSSGVDAVFSGGGGGVERLAAVRIQTAFRGYLARKALRALKALVKLQALVRGFLVRKQAAATLHSMQALVRAQAAVRARRARNLLPDDGNLVPEIRRRRSLEKFADPRGLQTSSFQNRRFSTSIDSPILARSPKIVEIDRCRTKPRSARRASLPAVDPADDLPLYAFSSPLPCQIPARISIPSRRNLQENDWCINGEKCRLSATAQSTPRYMNSFGNVAVTPAKSVCGAESVFRPYSSVTSSPSYMANTQSSKAKVRSQGAPRHPPESAETRKRQPLGEVNLEARANFGGIGMHKPRSQIQEAAFSFKRAVIGRLDRSSEPGKEAEREVYLRRM >Ma08_p20840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34917012:34920428:-1 gene:Ma08_g20840 transcript:Ma08_t20840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARWLRRLWSSSKQSKEPKDYPGHGAEDRTEKKRWSFRRSRDSGDAAPGQNASTAAAIEAAWFKSFYAESEKEQSKHAIAVAAATAAAADAAVAAAEAAVAMVRLTSSGVDAVFSGGGGGVERLAAVRIQTAFRGYLARKALRALKALVKLQALVRGFLVRKQAAATLHSMQALVRAQAAVRARRARNLLPDDGNLVPEIRRRRSLEKFADPRGLQTSSFQNRRFSTSIDSPILARSPKIVEIDRCRTKPRSARRASLPAVDPADDLPLYAFSSPLPCQIPARISIPSRRNLQENDWCINGEKCRLSATAQSTPRYMNSFGNVAVTPAKSVCGAESVFRPYSSVTSSPSYMANTQSSKAKVRSQGAPRHPPESAETRKRQPLGEVNLEARANFGGIGMHKPRSQIQEAAFSFKRAVIGRLDRSSEPGKEAEREVYLRRM >Ma08_p20840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34917012:34919528:-1 gene:Ma08_g20840 transcript:Ma08_t20840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARWLRRLWSSSKQSKEPKDYPGHGAEDRTEKKRWSFRRSRDSGDAAPGQNASTAAAIEAAWFKSFYAESEKEQSKHAIAVAAATAAAADAAVAAAEAAVAMVRLTSSGVDAVFSGGGGGVERLAAVRIQTAFRGYLARKALRALKALVKLQALVRGFLVRKQAAATLHSMQALVRAQAAVRARRARNLLPDDGNLVPEIRRRRSLEKFADPRGLQTSSFQNRRFSTSIDSPILARSPKIVEIDRCRTKPRSARRASLPAVDPADDLPLYAFSSPLPCQIPARISIPSRRNLQENDWCINGEKCRLSATAQSTPRYMNSFGNVAVTPAKSVCGAESVFRPYSSVTSSPSYMANTQSSKAKVRSQGAPRHPPESAETRKRQPLGEVNLEARANFGGIGMHKPRSQIQEAAFSFKRAVIGRLDRSSEPGKEAEREVYLRRM >Ma08_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10605953:10607467:-1 gene:Ma08_g13470 transcript:Ma08_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) UniProtKB/Swiss-Prot;Acc:Q8RX86] MDSRSRTCSITMLGSLGFEEQDAKIFASWVDYLKYAPVVVHKKGDGLIRRHEKFLFIARCTAHRYSRMSDTLQKCGRKIFFSLCEWGQDNPATWASSLGNSWRTTGDIEDNWNSMTSIADENDKWASYAGLGGWNDPDMLEVGNGGMTTEEYRTHFSIWALMKAPLLIGCDVRSMRNESLEILGNSEVIAVNQDKLGVQGKKVVGGGDGEVWCSPLRRGRVAVVMWNRGSSPASIIAKWTDVGLQPSVMVNARDLWAHQTTSSVRERRSGGPSRLQDVRVDSQVGEETCTSPNRAILLCLQASE >Ma03_p32410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34213232:34214591:-1 gene:Ma03_g32410 transcript:Ma03_t32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLSSPTTTSSSSLATTPPVLIRVTTILTLVAISLWANYEASRSVDISVVNSVYGSRADRLFDLKFASNGRAHRIIHHASQFVEQVLYPDEGYPRKPINHITLRLASDDDLPFLTSVSPGFDAGDYTIRLSPILMSAADADAAVAAAVHRAVAKLWLWDGQRTAPESLLEAAAEYLAMAAGFGSRPNIADAVALESNGTRWSAEFLQYCEAKRNGFVAGLNRGMQERWTELTADEAFGSPVRQVYAAYRSAPPGRIMESSDSTTDSVEARLSM >Ma06_p34500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34711964:34712676:1 gene:Ma06_g34500 transcript:Ma06_t34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSWEKIKVPRGATAIASPRLPNPKPSSLKDVRGPLDEPSSPSIARRLSPKAVLHSVRSASSVLRSWRSSPPSPCAEKRIVLYFTSLRVVRKTFEDCRFVRSILRGHRVAVDERDVSLDAGFLGELGRIVGRRRGVTTLPQVFIGGRHVGGADEIRQLHETGELRRYVEGVAPVPAGSCDGCGGVRFVICATCGGSHKCYSHKGGGGVFRSCPACNENGLVRCPQCRTSPAI >Ma04_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14537036:14538694:-1 gene:Ma04_g15910 transcript:Ma04_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGYGNSFLRQPSGGGEGWSSKSARGSRRWGRKSRREREEAPSWWGETGGGMVAKKRVLVVIDHSARAKHAMMWALTHVANKGDLLTLLHVVPPDPHQHHHHHHHHHHHRGGGEDDVPNLAKSLGTLCKACKSEVEVEALVIQGPKLATVLSQVRKLEASVLVLGQCKPSPLSCLLRSSSEEFVEQCISNADCLTLAVRKQRRGVGGYLISTRWQKNFWLLA >Ma09_p00130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:127446:135227:-1 gene:Ma09_g00130 transcript:Ma09_t00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREQMLQIFYESQDFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRNTRSKLESDLSSSRNRFAELIEQRDNLKKGREESDEREVALVELKAVELQHNKLKEELACYVDNDPAAVEQMKVAIEVAHSAANRWTDNIFTLQQWCSTNFPQAKEQLEHLYKEVGITDDLEYLQ >Ma09_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:127446:135227:-1 gene:Ma09_g00130 transcript:Ma09_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREQMLQIFYESQDFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRNTRSKLESDLSSSRNRFAELIEQRDNLKKGREESDEREVALVELKAVELQHNKLKKLQLRLHIQQLIDGQTTYLPYNNGVQPTSLKPKSNLNIYTRRSE >Ma07_p12390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9293614:9301736:-1 gene:Ma07_g12390 transcript:Ma07_t12390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEVSWLGNAGAPLATSARRRPFSRRFSSKSISASSVDGAPGSAAPVDRRWYNPDRRKREFYSPPKPVRRWVQAEHTTLHDCPSKDSFVLLSYNILGEYNASKHHELYWNIPSDFMNWSSRKRLICHEIRRWNADLLCLQEVDRYEDISICIRGKGYVGGYKGRTGGAKDGCALFWKKERFKLLEVDNIEFRSFGLHNNVAQLFVLELSEDDQRRVVVGNIHVLFNPKRGDVKFGQVHRLLLKANALSEKWGGIPVVLAGDFNSTPESAIYEFLSTSKLNIALHGRKKVFRQDKCQFVLDDLPCLINDWTGENLTRAIVNSHDSLITHPLQLKSSYASVQRNASTRNSQGEPLATSCHSKFLGTVDYICAGTQLDLLVPEFWIHCPWTR >Ma07_p12390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9293298:9301736:-1 gene:Ma07_g12390 transcript:Ma07_t12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEVSWLGNAGAPLATSARRRPFSRRFSSKSISASSVDGAPGSAAPVDRRWYNPDRRKREFYSPPKPVRRWVQAEHTTLHDCPSKDSFVLLSYNILGEYNASKHHELYWNIPSDFMNWSSRKRLICHEIRRWNADLLCLQEVDRYEDISICIRGKGYVGGYKGRTGGAKDGCALFWKKERFKLLEVDNIEFRSFGLHNNVAQLFVLELSEDDQRRVVVGNIHVLFNPKRGDVKFGQVHRLLLKANALSEKWGGIPVVLAGDFNSTPESAIYEFLSTSKLNIALHGRKKVFRQDKCQFVLDDLPCLINDWTGENLTRAIVNSHDSLITHPLQLKSSYASVQRNASTRNSQGEPLATSCHSKFLGTVDYIWYSTGLACTRVLDTLPLDTLRKLGGLPCKDIGSDHLALVAEFKFTGGNELQQDGN >Ma07_p12390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9293298:9301736:-1 gene:Ma07_g12390 transcript:Ma07_t12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEVSWLGNAGAPLATSARRRPFSRRFSSKSISASSVDGAPGSAAPVDRRWYNPDRRKREFYSPPKPVRRWVQAEHTTLHDCPSKDSFVLLSYNILGEYNASKHHELYWNIPSDFMNWSSRKRLICHEIRRWNADLLCLQEVDRYEDISICIRGKGYVGGYKGRTGGAKDGCALFWKKERQLSEDDQRRVVVGNIHVLFNPKRGDVKFGQVHRLLLKANALSEKWGGIPVVLAGDFNSTPESAIYEFLSTSKLNIALHGRKKVFRQDKCQFVLDDLPCLINDWTGENLTRAIVNSHDSLITHPLQLKSSYASVQRNASTRNSQGEPLATSCHSKFLGTVDYIWYSTGLACTRVLDTLPLDTLRKLGGLPCKDIGSDHLALVAEFKFTGGNELQQDGN >Ma07_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9293298:9301736:-1 gene:Ma07_g12390 transcript:Ma07_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEVSWLGNAGAPLATSARRRPFSRRFSSKSISASSVDGAPGSAAPVDRRWYNPDRRKREFYSPPKPVRRWVQAEHTTLHDCPSKDSFVLLSYNILGEYNASKHHELYWNIPSDFMNWSSRKRLICHEIRRWNADLLCLQEVDRYEDISICIRGKGYVGGYKGRTGGAKDGCALFWKKERFKLLEVDNIEFRSFGLHNNVAQLFVLEVHRLLLKANALSEKWGGIPVVLAGDFNSTPESAIYEFLSTSKLNIALHGRKKVFRQDKCQFVLDDLPCLINDWTGENLTRAIVNSHDSLITHPLQLKSSYASVQRNASTRNSQGEPLATSCHSKFLGTVDYIWYSTGLACTRVLDTLPLDTLRKLGGLPCKDIGSDHLALVAEFKFTGGNELQQDGN >Ma09_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7462299:7462554:1 gene:Ma09_g11020 transcript:Ma09_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDRFYGILRNPWKPVMGRGPGAVFVNLVVKPDKKLKEDVAKP >Ma06_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15370220:15371387:1 gene:Ma06_g21230 transcript:Ma06_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVNIVALPPLPEAFPWFSPRISFSHDSADPTPVAPREGRPDGHAEDFEFRLHDDPVTMLPADELFSGGKLVPMQLAAPKPAPESEIARSEPPVTARKVDIAGPDSYAFSPRAPRCTTRWRELLGLRRALAKPPGVHVTAPVPSAAAPAASKNPIPRSSIKHLLHRHHKPASPVASLSLPLLRDSDRESVAIASRISLSSSSSSSSSGPDHEDLGRLSIDSDKPSRVPPRVRLARPRPATPASSRVGFRPAEPAAPAPPRGASVDSPRMSSSGKIVFHGLERSSSSPGSFTGGPRPRPRGVERSYSANVRVAPVLNVVPVCSLRGSGKPVSVLGLAHVLSPQQQKDRIGSARNRAELARSARRRDQICTLPQKYF >Ma06_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18267525:18267809:-1 gene:Ma06_g22480 transcript:Ma06_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEYLGIEAKQAAVREVAKILPLQDLLISIASIKADYLSRQQDKEQWPRIMISFFT >Ma10_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14417923:14420874:-1 gene:Ma10_g03820 transcript:Ma10_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MEIDDFINVLKAQPSLIILLSSLGFLTLLRAAIAPLSWVYSTFLRPGKDLKSSYGSWALVTGATDGIGKAIAVQLALRGLHLVLAGRNPAKLEQVADGIRAAHTATMVKTVIVDLATDAADWIDRLEAEIEALDVGVLVNSAGTTYPHAMFFHEVEEELWRSIVRVNVEATTRVTRAVLPGMLRRRKGAVVNLGSAASAVLPSFPFSAVYAATKAYIDQLSRSLFVEYQRMGIDVQCQIPFYVATKMVSTKQSSTFVPSPDEYAKAAVDWIGYGPRCTPYWSHSLQWCFTCFIPDFVLDLWRLHVGITNRNQAVRSKEE >Ma06_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9091898:9096153:1 gene:Ma06_g13280 transcript:Ma06_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKMAAPLLLLLIASSLLQIWASDPLFYESFDEPFEGRWIVAEKEDYKGLWEHSKSDGHEDYGLLVSEKARKYAIVKELDEPVILKDGTVVLQFEVRLQNGLECGGAYLKYLRPQGAGWSPKGFDNESPYTIMFGPDKCGSTNKVHFILQHKNPKTGKFVEHHLKYPPSVPSDKLSHVYTAILKPDNELRILVDGEEKKKTNFFSADDLEPALIPPKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEEVDDPEATKPEDWDEDEDGEWEAPKIDNPKCESAPGCGEWKRPLKRNPEYKGKWHAPLIDNPNYKGIWKPQEIDNPDYFELDKPDFEPIAAIGIEIWTMQDGILFDNILITGDEKVAESYRSETWKPKYEVEKEKQKAEDAAAESSDGLSGFQKKVFDVLYKIADVPFLEPYKIKIIDVIEKAEKQPNVTIGILVSVAVVVATVIFRVLFGRKKPQAPVAMTATETRNSGAAESEAPGSNDDDEKEENDKDDASAPRARRSRRET >Ma05_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3520407:3521901:1 gene:Ma05_g04640 transcript:Ma05_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSLQDIWAPHWCSLTSEEESLVNRGIASLISVCSSSANPESDRTEAFSCGHVYLVKDSHHCRNFREMYDADKFIHRLSGVVNVADQLPAEVAARMPSLVRVPHGVSEDFIIQNIKPIFQTSHYLRLASYFTSVDWRRREKRNGDLDSTACLAMFGSLELKQGIAEAADGVVDRLRTLSRNIGSRFIAIDLRSDALVKRGCKESDGRSKACFGARETAEFLRRIGFNGDNPIYVTQTRWDESLDPLREFFPRSYTKVSSFSQRLQDHTAITAFCFRGVAGRSDACGEER >Ma03_p22020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26872801:26878727:-1 gene:Ma03_g22020 transcript:Ma03_t22020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVAVMQSSSAAVFDSDKCSRAAPVDRYRRQDDPPLAVEESHAQERPGQFDIWSAIQSQEAAAAAADQPAAPYVHPLARRSSSLLSQKSLEICTERLGSETGSDGFSSFMDDLDFHHPSKLAGEETEEEKKYVPFEKQAAGGGARELASVSSHCSVGRRSPPRSFPPPLPSISQRNVPCLHMRPHRLDGRLVMEAVAVPSKNYFHAQRVDGRLVLSLTDTTSGDKPGHASDATEITQPQMPEDVEQDNEDTVGNDTTEITQLEEEEEEEEEELEETNRYEEEEEEEEVEVVDRGTIVEVKVSTQPQQQNGAMKVHRSSLVINKFVGGAPLTSMTKCEQPVPSTVVPRRASPTTTTAAAAASTLSVTTEGYNDYGYIGLWAPLGGHHPPLDNKLLFTSKRRNRGELLHIMRRCSQLRRPLFIREPWCAVTST >Ma03_p22020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26872801:26876974:-1 gene:Ma03_g22020 transcript:Ma03_t22020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVAVMQSSSAAVFDSDKCSRAAPVDRYRRQDDPPLAVEESHAQERPGQFDIWSAIQSQEAAAAAADQPAAPYVHPLARRSSSLLSQKSLEICTERLGSETGSDGFSSFMDDLDFHHPSKLAGEETEEEKKYVPFEKQAAGGGARELASVSSHCSVGRRSPPRSFPPPLPSISQRNVPCLHMRPHRLDGRLVMEAVAVPSKNYFHAQRVDGRLVLSLTDTTSGDKPGHASDATEITQPQMPEDVEQDNEDTVGNDTTEITQLEEEEEEEEEELEETNRYEEEEEEEEVEVVDRGTIVEVKVSTQPQQQNGAMKVHRSSLVINKFVGGAPLTSMTKCEQPVPSTVVPRRASPTTTTAAAAASTLSVTTEGYNDYGYIGLWAPLGGHHPPLDNKLLFTSKRRNRGELLHIMRRCSQLRRPLFIREPWCAVTST >Ma03_p22020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26872801:26874626:-1 gene:Ma03_g22020 transcript:Ma03_t22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVAVMQSSSAAVFDSDKCSRAAPVDRYRRQDDPPLAVEESHAQERPGQFDIWSAIQSQEAAAAAADQPAAPYVHPLARRSSSLLSQKSLEICTERLGSETGSDGFSSFMDDLDFHHPSKLAGEETEEEKKYVPFEKQAAGGGARELASVSSHCSVGRRSPPRSFPPPLPSISQRNVPCLHMRPHRLDGRLVMEAVAVPSKNYFHAQRVDGRLVLSLTDTTSGDKPGHASDATEITQPQMPEDVEQDNEDTVGNDTTEITQLEEEEEEEEEELEETNRYEEEEEEEEVEVVDRGTIVEVKVSTQPQQQNGAMKVHRSSLVINKFVGGAPLTSMTKCEQPVPSTVVPRRASPTTTTAAAAASTLSVTTEGYNDYGYIGLWAPLGGHHPPLDNKLLFTSKRRNRGELLHIMRRCSQLRRPLFIREPWCAVTST >Ma03_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26872801:26878727:-1 gene:Ma03_g22020 transcript:Ma03_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVAVMQSSSAAVFDSDKCSRAAPVDRYRRQDDPPLAVEESHAQERPGQFDIWSAIQSQEAAAAAADQPAAPYVHPLARRSSSLLSQKSLEICTERLGSETGSDGFSSFMDDLDFHHPSKLAGEETEEEKKYVPFEKQAAGGGARELASVSSHCSVGRRSPPRSFPPPLPSISQRNVPCLHMRPHRLDGRLVMEAVAVPSKNYFHAQRVDGRLVLSLTDTTSGDKPGHASDATEITQPQMPEDVEQDNEDTVGNDTTEITQLEEEEEEEEEELEETNRYEEEEEEEEVEVVDRGTIVEVKVSTQPQQQNGAMKVHRSSLVINKFVGGAPLTSMTKCEQPVPSTVVPRRASPTTTTAAAAASTLSVTTEGYNDYGYIGLWAPLGGHHPPLDNKLLFTSKRRNRGELLHIMRRCSQLRRPLFIREPWCAVTST >Ma03_p22020.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26872801:26874627:-1 gene:Ma03_g22020 transcript:Ma03_t22020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVAVMQSSSAAVFDSDKCSRAAPVDRYRRQDDPPLAVEESHAQERPGQFDIWSAIQSQEAAAAAADQPAAPYVHPLARRSSSLLSQKSLEICTERLGSETGSDGFSSFMDDLDFHHPSKLAGEETEEEKKYVPFEKQAAGGGARELASVSSHCSVGRRSPPRSFPPPLPSISQRNVPCLHMRPHRLDGRLVMEAVAVPSKNYFHAQRVDGRLVLSLTDTTSGDKPGHASDATEITQPQMPEDVEQDNEDTVGNDTTEITQLEEEEEEEEEELEETNRYEEEEEEEEVEVVDRGTIVEVKVSTQPQQQNGAMKVHRSSLVINKFVGGAPLTSMTKCEQPVPSTVVPRRASPTTTTAAAAASTLSVTTEGYNDYGYIGLWAPLGGHHPPLDNKLLFTSKRRNRGELLHIMRRCSQLRRPLFIREPWCAVTST >Ma08_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4332386:4336167:1 gene:Ma08_g06410 transcript:Ma08_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 28 [Source:Projected from Arabidopsis thaliana (AT3G52860) UniProtKB/Swiss-Prot;Acc:Q9LFA5] MADQQQQAPPSEPERGDAAASRARLSSRSPMGAEGHPAQLEAPPVPSPPQREEMMACVVALEAALLPCLPARELQAVDRSVHCSHQIDVERHAREFMEAAKKLQLYFVGLQREDEPTKEEMLRKEISVMEEELKTKTELIKKHQRLIDGWRKELKEQLEKHVTELETV >Ma10_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8755994:8757391:-1 gene:Ma10_g02660 transcript:Ma10_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDSFSENNMKNKNDDDRRDVISRFHHHYLQQQQHQQQQNKKECLSDEVDSARSSGEIQKPKTDVEQNVEKALVVINSGGDGGAGDGATVEVAKRRRGRPPGSKNKPKPPVVITQEADPLASMRPHVLEIPARHDVVESLARFSRRRNLGICILAGTGAVANVSLRQPHFAGAPPPPNASAAATSIGFQGRFEILSISASFFPPAMAAFSTGISGEMSITLAGPQGQIVGGTVTGPLMATGTVVIVAAAFSNPTFHRLPVEDLSMSVSVSGGVGEPEEQHHQHQQQEHRPRRNQGPAATTMSAPETCGMSIYSGHLSSEVIWMPTARPPLPPPF >Ma06_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22597626:22597733:-1 gene:Ma06_g24150 transcript:Ma06_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRCLFSIELGYLFGQQSIKQPWGIKVMVRRILQ >Ma03_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1227088:1231972:-1 gene:Ma03_g01790 transcript:Ma03_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKEENFDSRGILKLIAKIGSLCCVAARPHGSSTTSREWSVGRNEPFWLTNSSISPPLSTRWDYRFHSEGLSFGSQGDGGVGRYVSSRSSNSKSSRSWGRGDSPGNHQFSASDGAISYISSPSDSFQNHQLTPAPTQGANVEEFVGDPASGPLISSLVEGTLGFSSFNGSISSRSDGSEYDPISKSHSSARRSFSNHRFFMSKPIHPVSFPDHITGIEEQNHTVGSTISSSSLHSDHRSTRPLPELHSLWFSEIGANLPRESVRWSSTGSVDFTGISEQLEPEVGYPYNAVPEVSKCGLCERLLSQRSPWGSRRIVRSGDMPVVSVLSCGHVYHAECLERVTPKTYKHDPPCPLCTKPDKNVPDQWAVCLARNRIPRLRSPRGEGPSSMWSCTQVGDCVEGALHTPNQSKMILSSRNRLKRQLSLKGNSAKERAENLKKSGLCPSNGFQGGIRVGQGTSWCSSSSDI >Ma01_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:98764:100586:1 gene:Ma01_g00100 transcript:Ma01_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPEISLYSCSSSPPLHHQSSSLGSPTAPIHHSGDMLSSLMSDGGGARETHPHPSHHHPSPQNGLISSTIHARQLLISCADLVHRGDLPAADRAISILSAAASPCGDSTERLIRQFCRALSVRVGRVSPSAESLGSLRSSYLSFNQISPFLRFSHLTPNQAILEAVDDHRQIHILDFDTYYGLQWPPLLQAIAERSDPSDPPFIRITGTGSNLEVLRRTGHRLQNFAHSLGLGFQFHPLLLHPTSTSLNFTPSPFRLHPGETLVVNCVLFLHKLQKEGGNEDGSRDLQAFLRTIRAMNPSVVTVAEREASHNSPNFMQRFVEALDYYMAVFESLEATLPPTSQERLAVEQVWLGQEIEGIVGGEGGGHERSERWENVLRDAGFSSVQLSNFAVSQARLLLRLHYPSEGYQVELVRDSLFLGWQNRHLFSVSSWR >Ma11_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15469297:15470702:-1 gene:Ma11_g11940 transcript:Ma11_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGGSSNQDEDMELRRGPWTLQEDTLLTHYVACHGEGRWNLLARCSGLRRTGKSCRLRWLNYLKPDIKRGNLSPEEQLLILELHSKWGNRWSRIAQFLPGRTDNEIKNYWRTRVQKQARQLKIDANSAMFRDAIRCYWMPRLLEKTASPRSLQTPHHGTATAVADQPPQDPLLQLLRPGMQCQFENPSSYELSGAEFCRPSNSPGLPSSTVLPQLPDLPEFSPNPPNQLNDIEFNPFHGNSSIEFNAYGSEACDLASVTASAVSYPASSSCDANNEYCMNKIGDSLWSMDELYVMLKSYMEGVACFL >Ma05_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10875500:10878645:-1 gene:Ma05_g14850 transcript:Ma05_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLLLLLFFFFLHLPLLFLPTLAAGHVESPASPSNGTTVYDLLPEYGLPPGVLPDTVKSFSLASNGRFVVELYGPCYVDFEYLVYYAPRVSGVIKYGGIASLEGVQVRRFMVWFDVGGIMVDVPSSEFIYFQVGWITRKLGIEQFQTVHSCRDSLSLLGRAKEVARSMLESIFAPQL >Ma10_p21530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31300276:31305401:1 gene:Ma10_g21530 transcript:Ma10_t21530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKMGRRRQVVDEKYTRPQGLYVLKDIDHKKLRKLILESKLAPCYPGLEDYSFTLEECPICFLYYPSLNRSRCCMKGICTECFLQMKPPHSTRPTQCPFCKTSNYAVEYRGAKTKEEKGMEQVEEQKVIEAQIRIRQKEIQDEAERMNKRQSISSSSRIMTPTDVRYHDTYDTSISVPPLKCTRQSIDFGSSQASCSAPATTRFSQMRQNRDDNFDLDLEDIMVMEAIWLSIQEQGSQGNPGCGGSSLPGPSTSGEWYNLHRTAPAKLSSSGGLACAAAALAERQHMNGDAAATNAAAVLRDGGSELPREEFGECSTDQWSEVAEAGTSYAGSDGMVEAGAAAMSLSEGTNMASGLSVPESFDEQMMLAMAVSLAEAQARTSSHGVSWQ >Ma10_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31300548:31305401:1 gene:Ma10_g21530 transcript:Ma10_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKMGRRRQVVDEKYTRPQGLYVLKDIDHKKLRKLILESKLAPCYPGLEDYSFTLEECPICFLYYPSLNRSRCCMKGICTECFLQMKPPHSTRPTQCPFCKTSNYAVEYRGAKTKEEKGMEQVEEQKVIEAQIRIRQKEIQDEAERMNKRQSISSSSRIMTPTDVRYHDTYDTSISVPPLKCTRQSIDFGSSQASCSAPATTRFSQMRQNRDDNFDLDLEDIMVMEAIWLSIQEQGSQGNPGCGGSSLPGPSTSGEWYNLHRTAPAKLSSSGGLACAAAALAERQHMNGDAAATNAAAVLRDGGSELPREEFGECSTDQWSEVAEAGTSYAGSDGMVEAGAAAMSLSEGTNMASGLSVPESFDEQMMLAMAVSLAEAQARTSSHGVSWQ >Ma09_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19912721:19917362:1 gene:Ma09_g19110 transcript:Ma09_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPYTVASDSETTGDDKSQSAFHDLAIGIEVGTSKCSIAVWNGAQVELLRNTRKQKSMRSYVMFKDDTSSGEVSSGGASNKTAYEEKEILSGSAIFNMKRLIGRADTDPIVHASKNLPFVVQTLGIGVKPFIAALVNNVWRSTTPEEVLAIFLVELKTMAEVQLKRLIRNVVLTIPVSFNRFQQIRVERACAMAGLHVLRLMPEPTAVALLYAQQQQQQQQQSLHGGMGSGCEKVALIFNMGAGYCDVATTATAGGVSQIKAMQGCTLGGEDILRNVLYHLLPNFDSLYSNRDTNKIRSMGLLRIAAQDAIHRLSTQSSVQINVDLGDGTKMQRVLHQSEFEEVNRNVFEICEKLIKLCLADSKLAAEDINDVILVGGCSRIPKIRSLVLGLCKKEKEYEGMDALEAAVTGAALEGAVASGITDPSGSLDMLTIQATPLSLGIRVHGGGFVPIIQRNTAIPVRKSTIFTTTQDGQTEALIVVYEGDGKMVEENHLMGYFKVTAIPLAPKGTAEISVCMDIDAANTLRVFAGAMMLGTDRANSPFFEVRMPTLDDAHGWCAQALVKTHGSALDLTTIPKELQPQKVQ >Ma09_p19110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19912721:19917362:1 gene:Ma09_g19110 transcript:Ma09_t19110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPYTVASDSETTGDDKSQSAFHDLAIGIEVGTSKCSIAVWNGAQVELLRNTRKQKSMRSYVMFKDDTSSGEVSSGGASNKTAYEEKEILSGSAIFNMKRLIGRADTDPIVHASKNLPFVVQTLGIGVKPFIAALVNNVWRSTTPEEVLAIFLVELKTMAEVQLKRLIRNVVLTIPVSFNRFQQIRVERACAMAGLHVLRLMPEPTAVALLYAQQQQQQQQQSLHGGMGSGCEKVALIFNMGAGYCDVATTATAGGVSQIKAMQGCTLGGEDILRNVLYHLLPNFDSLYSNRDTNKIRSMGLLRIAAQDAIHRLSTQSSVQINVDLGDGTKMQRVLHQSEFEEVNRNVFEICEKLIKLCLADSKLAAEDINDVILVGGCSRIPKIRSLVLGLCKKEKEYEGMDALEAAVTGAALEGAVASGITDPSGSLDMLTIQATPLSLGIRVHGGGFVPIIQRNTAIPVRKSTIFTTTQDGQTEALIVVYEGDGKMVEENHLMGYFKVTAIPLAPKGTAEISVCMDIDAANTLRVFAGAMMLGTDRANSPFFEVRMPTLDDAHGWCAQALVKTHGSALDLTTIPKELQPQKVQ >Ma07_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:456890:457075:-1 gene:Ma07_g00520 transcript:Ma07_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRERSSSYWKIIIHCIRFFYGQEISRVIGSAEHWSMHVELGLIAPPFSRTEELVTIPTL >Ma08_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37517677:37518076:-1 gene:Ma08_g24290 transcript:Ma08_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHQLIYIVIVIYICRWMDISWRVQLGKSPQELHLQPQPEDVVGRVQEKLLGGQLPPAGHKPTQLPHLPPQRTAYGEPPFPSMAEARPAAARRSTEFRELVAAPSGRLLPSPPVHLR >Ma04_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25902548:25903455:1 gene:Ma04_g23810 transcript:Ma04_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGIHGGDDVDHRQDSGNRRLYNPYQDLQIPYRTLYDLPTSPEFLFQEESLAQRRSWGENLTYYTGIGYLSGSVAGASLGLRRALRSAEPGDTLKIRINRILNSCGQDGRRIGNRVGVIGLMYAGLESGMVAARDTDDWVNSVLAGLGTGALFRAANGPRSAAIAGAIGGLMVGAAVAGKQVLKRYVPI >Ma02_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24378527:24380059:1 gene:Ma02_g17510 transcript:Ma02_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFDLNQACVVPSEEGDRDLGHLPTSALADRASSFSCSDLFSSRHDQGADYCTGRHVQQPLQEARELFLRAGSSDRMTQHPADADDDDALKLSLCDLDNITEEEGEDEEEEEEEEADRPGKWMSSKMRFMRKMMNSTHFVVSKPRGSMLLSEDQSQRSQGFGTGNQSNGNGIIRICSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAALNGGLIPATAPAKVRKEKKLDIDRTLPFKKRCKVDASSATAKKLCFDDVQLSSNKNTAIQKVFPQEERDAAILLMALSCGLIRS >Ma04_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2946087:2947346:1 gene:Ma04_g03820 transcript:Ma04_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACSRTIDMAIPFPHLLHHDRSQPAASPPSCAARSQDELKRVAAHRAVEFVQSGMVLGLGTGSTAAHALDRIGDLLRCGALRDIVGIPTSEWAAARAAAAGIPLSDLNAHPVVDLSIDGADEVDPALNLVKGRGGSLLREKMVEGASRRFVVIVDESKLVPRLGASGLAVPVEVIPFGWALTLRRLRSLFEGMPGFNLKLRTAATDAKANSFDENVFEQEAFVTDNKNYIVDLFFEDGIHGDLNLISDEILRITGVVEHGMFLGLASSVIVARKDGVARKDGVAMMEKKLNGI >Ma05_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18102480:18102742:-1 gene:Ma05_g16740 transcript:Ma05_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQALLSPWQKFNSLLKAGMASDARLVVQVLTRECGDVFQGLRSLVDVEVGTEMMAMAIAEAYP >Ma04_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1204127:1205882:-1 gene:Ma04_g01310 transcript:Ma04_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein PTF2 [Source:Projected from Arabidopsis thaliana (AT4G35540) UniProtKB/Swiss-Prot;Acc:O81787] MANCSGCGGRRVIVDPDSGDRVCGSCGRVLSTEHYRHEAFTSEGQPTSFLHSTGGDFGYRERKLHHARALIADLTARLGLSAARAAEAGALASDVTDGALGDGQWFPVLVAACSYLVSRRHRLPLSLSEAAAAVGHDACDLGRMAARVARHLGLPPLPEFDAAGVLDRVVRTCPCFSAVDPEKSKELIGQGRFLLHCATKWFLTTGRQPLPMVAAVLAFVAEVNGVGVSVEEIAKEIYAGVTTSKLRLKELMETLVRVARSLLPWGKDVTVKNVVQNAPLLIRLMERKSKSALSEGLGFGFGGLTGPYQDGEAEDSKYFEIDGEEDGNANCNILEDVNLSGECISSAYKNVLQRISHLKEIGEFDKVQVKKARKDQLDFVALQDSWEGRWDSEKKLTLEQMLERDVGYDALPPSFVTGVQARRFRKAKIEAAKRRIHKIMKPSSSVDAVGKVEDCLPGEEVVGRKRRSRKKLQVDSLDWEDCIIELLLLHQVNEDDIEQGQYNRLLDLHVFCS >Ma04_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7859749:7861231:1 gene:Ma04_g11170 transcript:Ma04_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAWSRTLDMAIPLPHLLHSDRPLPAAASHATPPSASESAAPPQDELKRVAAHRAVELVESGMVLGLGTGSTAAHALDRIGDLLRCGVLRDIVGIPTSEWAAARAAAAGIPLSDLNAHPVVDLSIDGADEVDPALNLVKGRGGSLLREKMVEGASRRFVVIVDDSKLVPRLGASGLAVPVEVIPFGYALTLRRLHKLFHGVPGFNLKLRTASVNAKASTFEEDGFELEPFVTDNKNYIVDLFFEEGIHGDLNLISDEILRITGVVEHGMFLGLASSVIVARKDGVVVMDK >Ma08_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5695053:5701404:1 gene:Ma08_g08210 transcript:Ma08_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKGISGDDGPKFRRPKASRRRMFSSSRREEVGEVNADARVGRDRSSTAWLISNSQRSGATSPPPLVGDSKNEGAGHQSRITVGARPNVLQPGSVVVNMEALEEQNLVISRISDGFNFEHVAAGWPSWLTAVAGDAVKGWLPRRADSFDNFNKIGQGTYSSVYKARDLETGKTVALKKVRFDNTDPESVRFMAREIYILRRLDHPNVVKLEALVTSKMSCDLYLVFEYMEHDISGLVATSCVKFTEPQVKCYMQQLLCGLDHCHGRGVLHRDIKGSNLLIDNNGILKIADFGLATFYNPDQKQELTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIIAELLAGRPIMPGKTEVEQLHKIFKLCGSPSEEFWRTSKLPHDPAIRGTAASALHSEFFTTKPFACDPSSLPKYPPSKEYDAKLRNEKARRLSKSSNYQV >Ma08_p08210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5695053:5701404:1 gene:Ma08_g08210 transcript:Ma08_t08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKGISGDDGPKFRRPKASRRRMFSSSRREEVGEVNADARVGRDRSSTAWLISNSQRSGATSPPPLVGDSKNEGAGHQSRITVGARPNVLQPGSVVVNMEALEEQNLVISRISDGFNFEHVAAGWPSWLTAVAGDAVKGWLPRRADSFDNFNKIGQGTYSSVYKARDLETGKTVALKKVRFDNTDPESVRFMAREIYILRRLDHPNVVKLEALVTSKMSCDLYLVFEYMEHDISGLVATSCVKFTEPQVKCYMQQLLCGLDHCHGRGVLHRDIKGSNLLIDNNGILKIADFGLATFYNPDQKQELTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIIAELLAGRPIMPGKTEVEQLHKIFKLCGSPSEEFWRTSKLPHGTSFKRQQLYPRCVRETFKDFPSSALALVDRLLSIDPAIRGTAASALHSEFFTTKPFACDPSSLPKYPPSKEYDAKLRNEKARRLSKSSNYQV >Ma04_p10550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7506500:7509805:-1 gene:Ma04_g10550 transcript:Ma04_t10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSVLRSHSLRAGAARVAAESAAASRPLLRGVPKMRPAVAARFLRSPVEASFCVETLMPMHSATASALMTSLLCVSRRGYGWLSEAGSEDA >Ma04_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7506500:7509805:-1 gene:Ma04_g10550 transcript:Ma04_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSVLRSHSLRAGAARVAAESAAASRPLLRGVPKMRPAVAARFLRSPVEASFCVETLMPMHSATASALMTSLLCVSRRGYGWLSEGRDETR >Ma04_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13446703:13448621:1 gene:Ma04_g15800 transcript:Ma04_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCILVHFCYGLKLYARPLLCHACLLVNLATHPFVYRRGRMVMKKPRIVIVGAGMAGLTAAHRLHAAAASGDLFDLCVVEAGHRLGGRILTSVFAGDRVEMGATWIHGIGGSPIHAIAHDIAALAGDREPWERMDGFPSDPLTVAEGGALVDPYAVVDPVTSLYRRLMDSARAGDATVDPKRPGVGPFLRHGLQEYRSSRGGSSGCGEWSLEELEECVFAMHEFMERTCTSADDLNELDLAAESEYRDYPGDQITIAKGYSQIVEHLASALPPGMIRLGRRLRRIEWCSDGDGDGQPVRLHFEGEHSAMAADHVIVTVSLGVLKAGLGKGGGDTSGVKFSPPLPAFKQEAIERLGFGVVDKLFMEIEGDDGGGGFPFLQIAFAHEEREGRRRVAGIPRWMRRTASICPIYRGSRVLLAWFAGREALDLEALLDEEIIRGVHATLDAFLPADVTCGGGDKVDRRCNERGAASSPPRIARVKRSGWGRDPLFLGSYSYVAVGSSGADLDLMAEPLPRLCGDPKEKEDRGASPPPPSPPPPLQILFAGEATHRTHYSTTHGAYLSGAREANRLLQHYCYTSAA >Ma07_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13205233:13205364:1 gene:Ma07_g16350 transcript:Ma07_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILDGGMTAQLICHSAGNHRIGASDEQSHGSLDDLSAKGCNQ >Ma04_p15280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11598704:11601691:-1 gene:Ma04_g15280 transcript:Ma04_t15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNYFLKESIDASIDLSEKEPTLLLSTDLQSTDLYLVSFSLWTIVVFFSCYLWELYQRVSSPDVLPLGNGKKHSLRTSKEDDADEAGRIANHSPSEAKSSRSRSASRSANPSPTRDHHLPHISYQAQSDAGPLLTSGSLFPFTSAGPATVENHQHIDGVGHGGGGDVLLRWGHKKRSRGPRTEGRAAAAAAAAMPPPCGPYSKVGYLRPSTPVRAATASLANRRAVEERSGGAPRSEKRLPQDPPAKANLDAEAGGAAATEKPSLDRFMWPRIYVSLSRKEKEDDFLAMKGTKLPQRPKKRAKNIDRSLQYCFPGMWLSDLTRGRYEVKEKMRVRKK >Ma04_p15280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11598023:11601691:-1 gene:Ma04_g15280 transcript:Ma04_t15280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSETPMPSVERIEAKLLCESRSASDMMRYQRVSSPDVLPLGNGKKHSLRTSKEDDADEAGRIANHSPSEAKSSRSRSASRSANPSPTRDHHLPHISYQAQSDAGPLLTSGSLFPFTSAGPATVENHQHIDGVGHGGGGDVLLRWGHKKRSRGPRTEGRAAAAAAAAMPPPCGPYSKVGYLRPSTPVRAATASLANRRAVEERSGGAPRSEKRLPQDPPAKANLDAEAGGAAATEKPSLDRFMWPRIYVSLSRKEKEDDFLAMKGTKLPQRPKKRAKNIDRSLQYCFPGMWLSDLTRGRYEVKEKMRVRKKQRGLKGIMESDSE >Ma04_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11598019:11601691:-1 gene:Ma04_g15280 transcript:Ma04_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNYFLKESIDASIDLSEKEPTLLLSTDLQSTDLYLVSFSLWTIVVFFSCYLWELYQRVSSPDVLPLGNGKKHSLRTSKEDDADEAGRIANHSPSEAKSSRSRSASRSANPSPTRDHHLPHISYQAQSDAGPLLTSGSLFPFTSAGPATVENHQHIDGVGHGGGGDVLLRWGHKKRSRGPRTEGRAAAAAAAAMPPPCGPYSKVGYLRPSTPVRAATASLANRRAVEERSGGAPRSEKRLPQDPPAKANLDAEAGGAAATEKPSLDRFMWPRIYVSLSRKEKEDDFLAMKGTKLPQRPKKRAKNIDRSLQYCFPGMWLSDLTRGRYEVKEKMRVRKKQRGLKGIMESDSE >Ma03_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1450378:1454307:-1 gene:Ma03_g02130 transcript:Ma03_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGAIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDVFNAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLMENGNTKDDLRLPTDETLLAQIKDGFADGKDLVVTVMSAMGEEQICALKDIGPK >Ma05_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5266469:5267819:-1 gene:Ma05_g07230 transcript:Ma05_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTPTLTLNSYKDTAFPSASLHYSSCLLTHDFNLSLVGITPMAKSISSLSIALFFLMSSFSSFISGAVGDSYGWQNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLNCGACYEMRCADDPRWCLPGSIVVTATNFCPPNYALPSDNGGWCNPPRQHFDLAEPAFLQIAQYRAGIVPVSFRRVPCVKKGGVRFTINGHSYFILVLITNVGAAGDVHAVSIKGSKTGWQRMSRNWGQNWQSNSYLDGQSLSFQVTTSDGRTITSYNVAPAGWQFGQTFQGQQF >Ma07_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6665024:6667753:-1 gene:Ma07_g08910 transcript:Ma07_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFLLSPDARSRFLRTAGRVLGCSYICLWSHLYHPPSNYLTAMVGWHRDDDSTHPSSSSGSPSRGLFDAYRRSICDIQHSCVPGLAYKNGLPYFELGDADLMDLASMRVQRQFYQEAGIKTAFFLGCPSGEIELGMTSPSNTNMQINVQRVFSEDFIRQSQLGSEEFIQQAQLGQMLPIPDQSRPSSSSSSSSLRSRSVGSAEHSSSGRSIMPDSALPRHVTMQAYGRYRNVLLPSAARDDAEITRAMIVVISSTSSSTTLMPFQTSEPAAGRSVGAFKPYCPALAPKSNANPSLHGQKMIKKVIMLLTTMNLTRFEAPMQDARTSSNQMHHMISERKRREKLNESFDALRMLLPRGSKKDKASVLDNTRNYLNSLRTQISELDERNRLLEVQLRHQRENEEDGDPNEAVQIRITGSSELEERNRLPEVQLRHQGEEEEDGDPNEIVQVRITWSSESTSETQRINIGVTVRVECNAIDMILNVLERLKRMRGATLVSVETSTRSSQTNVCMKASLTLQVKSGDCDEEAFKEAVVEAAYSALAASSTTAP >Ma11_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2522212:2525525:-1 gene:Ma11_g03410 transcript:Ma11_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGLCILLHFGNHSRVTGHKGSSKLLALLSHFERNLAEKMRKLHAEVISDVFSLSWIKHAVKSLSDVHADIKTLIMELQFPVSDWDERWIQEYFENSLKMLDICNALSAELTRLDQGQLLLRYVLRILDISSSFPSSEQLRRAHVSLDDWLKQANSSSPKLEKCHAILQILHDTLCLPKIKYSAKGRVLMRAFYGVEVMTLFTCSIIITALTGCSKPLMDLHIVDEFLWLEAFNNLQAFLNEEIRRQLLGGKVMMLKEIKALKMSALMFRSLTNRIDYVEEPAQLSMDVKKDESNSPKEYADPEKRRRLQECMTHLTDGGEVFGRELDSLSKQVNDFFHILLTGRDALLCNLRASSISKKQ >Ma06_p31510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32623786:32630378:-1 gene:Ma06_g31510 transcript:Ma06_t31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAPSRKSLSKIACNRLQKELAEWQVNPPAGFKHKATDNLQRWVIEVAGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSATMKQRPADNDHYVKNCRNGRSPKETRWWFHDDKV >Ma01_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2483238:2484300:-1 gene:Ma01_g03720 transcript:Ma01_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRPSTEPSRKSTKEKTRKDTAVATGNDEDEEEQEEAAAEADKKRRPPSSSSSAARRGVSGGGGGGGAPQPCCQAENCTADLTEAKRYHRRHKVCEAHSKAAVVMVAGFRQRFCQQCSRFHELAEFDDSKRSCRRRLAGHNERRRKSSSDAQAGEGSNRCRQADQDGRMQISLPGKPTYKHFQIR >Ma02_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26002923:26004197:1 gene:Ma02_g19870 transcript:Ma02_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDNQPTWVPYVPTRDCSMGFCSVYCPQWCYTIFPPPPTLEFSDGSSGVTFSPFVIAIITVLATALLLICYYTIVSKHCGAFNSLQRRFQPRGNDNVELDDDAAGLSQAQEASNASPSNGLDEALISKIAVHKYRKGEGSVQGTDCSVCLSEFREDDSLRLLPKCSHAFHVQCIDTWLRSHSNCPLCRTSIVSMNPTVPATREPVNDHHQVEEMVMVVAETVAGAEEEMERGSSGDAAKHPSRIYCDSGGMEERYTVVEIRDDDDDDIQSIRQSFSIDASHHGRLSIAGVQRMSTGDEQLAATGASSSSPAAGNHSKGISGRWKGRQ >Ma03_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26634556:26635885:-1 gene:Ma03_g21690 transcript:Ma03_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGDATAKMERKTVEKNRRMHMKRLCMKLASLIPKEYATNSKDMLSQVDHLDQATSYIKDLQGRIERMKQRGGIRTSAEGTNKDVDREMTTEFRLPVVEVRHQDLNLEVVLISGLEKRFKFHQVISVLEEEGAEVLNANFSVVGEMIFHTIHSQAISSRLGLEASRVSERLKELIR >Ma07_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28249295:28251251:-1 gene:Ma07_g20380 transcript:Ma07_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKSSSHHCHRHRRHPHTPPPSKGKLITVLSIDGGGVRGLIPGTIISFLESKLQELDGPDARIADYFDVITGTSTGGLLTAMITAPNENNRPMFSAKEVIDFYLENSPKIFPQAKGFLSSVTKLAGAIMGPKYDGKFLHTKVKDLLTETKLSQTLTNVIIPTFDIKLLQPVIFSSFEARLAAVKDAHLADICISTSAAPTYLPAHYFETKDSDGNTRSYNLIDGGIAANNPTLVAMSQIKKEIALMNKDFANFKSIDYHNFIVISIGTGSAKIEQKFSADLASKWGVLQWLYHGGSTPLIDSFFQGSADVVDIHMSSLFQSLNCEKNYLRIQDDTLVGERSSVDVSTKENLQELVQIGKNLLKKPVSRVNLETGIFEELDGEGTNADALTHLAQRLSQERRLRKANANGH >Ma10_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26935534:26937752:1 gene:Ma10_g14530 transcript:Ma10_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEGEELRKGEGREDRGGQALQLSRRGTRASGGGLIQRSRKRQRSISSCNSPRSTFLSRHSSFNWYDVDLWTEIAKYLDGSDLMRLSLANCWFNHLIAEESVWMHACLRDLHVPPSGEVSFPWKDIYGSAFDGSHSYNYRQQEKHIDWIRIGAFLLDSPVVLLTENLTLPKMLPRPEDDPAKTIQTTGTCLLAGARTGIWIADLQLVRCPVCNLNTCEGTMQVLDARHVELFLEEGFKDGSWEYEDIGSHRIAKQSIAAAGAIFDSKHLPDPSTAGVLDAKAWIGQQDDWQPKARLSLHAVAVNTNLQPNEGLQVRFQAMRSTGEDRKVVSIRISQQLV >Ma01_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25975042:25981202:-1 gene:Ma01_g22840 transcript:Ma01_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGEGRELPSAGELDEETIARKKSRRVSFADITAIHVFDRDDDYETPPDSRQVSADGGADVEAVGFHEGVPDGDGSKGSLRGREDEDDDENEEDDEDDGEQERLVYDLKPTDYLDQLCSMGYNSTQLAHFTDPPYACPKQKIEEHNLNYPVIASAILLSAAGRTAEKLSLPALQSKMKCDPEGYEAELQLLYRHFESSLHLFRCQSALRPSSDLSLAKDLGDLVMFMAHVTPFYPDKLANFPQQVADLLRVDSCALPSSLRCHLVQALILLVNRKIIDIEETLELFMDLQILGDRTLRKLAFSHVVHNIRRMNQKHKNEAKNRKLQNTLFFMLQGAEEQRAKRSLVILCDLHRRRVWFDDRTANAICTACFHSSSRIMISTLSFLLGYEQIEEEDDCEASSSEDDTTSQQPITLSREAIYKANHKGTVASKKKKKAKLQRVIRNMKRQQRITSQSNSSGYYSPLTHLKDAQGFAEKLFSRLQRCNERFEDRMMMLKVIARTVGLHRL >Ma04_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22480011:22481561:-1 gene:Ma04_g19830 transcript:Ma04_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEVALPVLKPQPHTPISSAFVETKCILGLALPTALTGLLLYSRSMISMLFLGRLGDLPLAGGALAIGFANITGYSVLSGLAMGMEPICGQAFGARRHHLLGLAFHRTILLLLCTSLPIALLWYCIHPILLLLGQRPALAAAASVYLQASLPDLFLQSFLHPLRIYLRTQCITLPLTACAALSIALHLPINYLLVSVLRLGIGGVALASVWTNLNLVVFLVAYIYLSGLHRNTSGLSFSAECFKGWRSLLNLAVPSCVSVCLEWWWYEIMIILCGLLLNPQATVASMGILIQTMSLIYIFPSSLSFGVSTRVANELGANRPDRARRAATVGLSCSFVLGLIALGFAVSVRHVWATMFTADSAILGLTSSVLPILGMCELGNCPQTTGCGVLRGSARPTVGANINLGSFYAIGMPVAVGFAFCTGLDFKGLWLGLLSAQATCVVLMITVIRRTDWEAQAERAHQLTGAPSDDSGAIVGCGGNQKDGASPPPKDVEVDGDETDRLIVKIEQTKFVA >Ma10_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33137171:33138756:-1 gene:Ma10_g24630 transcript:Ma10_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGSAERRPGHPALASRKSAPGQPWSHIETAHLIDAYEERWYALKRGQLKARQWEDVAAAVAGRCGLDEPSKTGTQCRHKVEKLRKRYRAERLRPVPSAWPFFNRMERMERGPLPITFRPPPPPPAAQSTDEDEEDDDDREDEDEDERNNTRSINGILRDSSWNSSRVARSLVPPKRRGFEMVAEDEEENDEDESEEEAADGAGEAEALSQMAAVVRGFGDRLVRMEKRRRELMREMKRDWMEMETKRAEMLMESQRCLLEKIAGAFPPAKKPKKSHNL >Ma10_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27976310:27984434:-1 gene:Ma10_g16160 transcript:Ma10_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGSSTPIGGAQSVTPSLLRSNSGLLGGSQPGLIPSPPPFSSLVSPRTQYSNSGSLLGNMSNVPPLNNSYGNGGPVGGLSGSPMNLQQHGSLVGVADMVGSAEPNPLLFTSSSGQSQGQQQCFQNPSSSQHGPDQPQSQIDAMQNLQQQFSVPQSQQLPLRGGLGSAGRLGPVKLEPQMGPSDQISPPQQLQTLHSIGMVKVDPQQLQSFRSLGPVKMESHHSDPSLFLQQQQQQQQQQQQQQILQLSRQNSQAAAAQMSLLQQQRMLQFQQQQQQQQIVKTLPQQRGQLRQQQLLQHNLPVRPPMRSTVYEPGMCARRLTQYMYHQQHRPQDNNIEFWRKFIAEYFAPSAKKRWCVSLYGSGRQTTGVLPQVWLTTNQDVWHCEICNHKPGRGFETTVEVLPRLFQIKYASGTLEELLYVDMPREYQNASGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFNPDLKISSWEFCARRHEELIPRRVIIPQVSQLGAMVQRYQAAAQNASLPTQDLQNTCNSFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSKETRSGPIDSLNNFPRRTSCSSGLQTQQPQQPEQQQPITQNPNHNDQNSAHATGIQLSAGSSNVVSINNSLNAASSTSTSAATIIGLLHQNSINTRQENQMNTVNSPYGGGNAVQIPSASSSNTLAPSQPNPPSPFSSLAPASNNNPTTTSHNAAHLSSINSPASLSTMQQPASQVHETDPNDSQSSVHQILHELMMSSQLNGVNSLGNDMKPINGITPALSVENCLVGHGLSNNSAISGAGFSGMGGIGLSAAASGMRPGGTNNVMAMNGRVGASHLSQDPTATSHQQQDIGNRLLERIGAVNSFNNLQFDWKSFP >Ma10_p16160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27976310:27984433:-1 gene:Ma10_g16160 transcript:Ma10_t16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGSSTPIGGAQSVTPSLLRSNSGLLGGSQPGLIPSPPPFSSLVSPRTQYSNSGSLLGNMSNVPPLNNSYGNGGPVGGLSGSPMNLQQHGSLVGVADMVGSAEPNPLLFTSSSGQSQGQQQCFQNPSSSQHGPDQPQSQIDAMQNLQQQFSVPQSQQLPLRGGLGSAGRLGPVKLEPQMGPSDQISPPQQLQTLHSIGMVKVDPQQLQSFRSLGPVKMESHHSDPSLFLQQQQQQQQQQQQQQILQLSRQNSQAAAAQMSLLQQQRMLQFQQQQQQQQIVKTLPQQRGQLRQQQLLQHNLPVRPPMRSTVYEPGMCARRLTQYMYHQQHRPQDNNIEFWRKFIAEYFAPSAKKRWCVSLYGSGRQTTGVLPQDVWHCEICNHKPGRGFETTVEVLPRLFQIKYASGTLEELLYVDMPREYQNASGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFNPDLKISSWEFCARRHEELIPRRVIIPQVSQLGAMVQRYQAAAQNASLPTQDLQNTCNSFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSKETRSGPIDSLNNFPRRTSCSSGLQTQQPQQPEQQQPITQNPNHNDQNSAHATGIQLSAGSSNVVSINNSLNAASSTSTSAATIIGLLHQNSINTRQENQMNTVNSPYGGGNAVQIPSASSSNTLAPSQPNPPSPFSSLAPASNNNPTTTSHNAAHLSSINSPASLSTMQQPASQVHETDPNDSQSSVHQILHELMMSSQLNGVNSLGNDMKPINGITPALSVENCLVGHGLSNNSAISGAGFSGMGGIGLSAAASGMRPGGTNNVMAMNGRVGASHLSQDPTATSHQQQDIGNRLLERIGAVNSFNNLQFDWKSFP >Ma05_p31630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41463865:41488013:-1 gene:Ma05_g31630 transcript:Ma05_t31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:Projected from Arabidopsis thaliana (AT5G60040) UniProtKB/TrEMBL;Acc:F4JXF8] MPPREEKLRCTKEPYIEDVGPQRIKSIRFSTFSGEEIKKLAEVQVWNNRIYGIDLRPVENGLLDPKMGAANKAGVCATCHGNFTECPGHFGYLKLTLPVFNVGFFNSILNVLKCICKACARILLLENDRRIFLKKMRNPKADIFMKNALMKKIRDKCKISICPWCGSRNGVVKKARSSFAIAHDFSRSVDESIEVVRAALSHIKAKSSFQIVHVLSPVTVLSLFKRMVDEDCELLNLYDRPDKLIVTTIAVPPVAVRPSVFVDFGKSSNEDSITTILKNIINTNSILREDLEGAAPPFKCLDCWAHLQIQVVEYINSEAPSVPDSKHRGLIQRLKGKQGRFRGNLSGKRVEFTGRTVISPDPNLKITEVAIPVLMAKKLTYPERVSAYNIEKLRQRICNGPDKYPGANFILLGDGTKQHLRYVDKKTAASELKYGYIVERHLEDGDVVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMGDSMDPIDLPSPAIIKPIELWTGKQLFSVLIRPNARTKVFLNLMVKEKIYTKSETMCPSDGYVYFRNSELICGQLGKVTLGNGNKDGLFSVLLRDYNSHAAASCMNRLAKLSARWIGNHGFSIGIDDVQPGEHLNQQKKKKIDEGYRECHELISLYGKGKLTLQPGCNAAQTLELKITGVLNQIRAIAGNVCMNELHWRNSPLIMSQCGSKGSPINISQMVSCVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSIYYDETVRNASGGIVQFLYGDDGMDPAKMEGKDGIPLNMDQLFMKVMATCPPREHDMLSPTEALRIMDDRLAKYDMSPEGGCSAAFKKLLSDFVHKRVSALANMRKSLKLDETDMGRKDSTVLENVAAKISGISSKQLEVFLETCISRYHSKKVEAGAAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINASKNISTPIVTATLLNGRDVLSARVVKSCIEKTVLGEVAKALKIVLKSSRPYIVVKLDMDLIEALHMRVSAESVCHSILNHPKIKLKSEHINNIGSDKLKIYPPEADRRKLQFEMHSLKAMLPKVIVKGIPTVERAVINETNGVYNLLVEGTNLLAVMGTPGIDASKTRSNHVIEVQRTLGIEAARQCIINEIQYTMRGHGMSIDTRHMMLLADLMTYKGEVLGITRFGISKMKESVLMLASFEKTAEHLFNASYGGRDDQIEGVSECIIMGIPMQLGTGILKVRQRVEKLPELKYAPDPIIS >Ma02_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17750617:17753589:-1 gene:Ma02_g06850 transcript:Ma02_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGSFDQWQKDAFFSAAEAVQESADIMESTYRMWTRNRRNGFGLEASDELSRELQTALGTAKWQLEEFEKAVRASHGNYSSQENNTIDRHWQFVAAIRNQILLVEKELNHSLIVEGKRPLRWVQLNDDERDDLAVFLSGVPHKFQRPKHKNTELARNFKDVVTINKGKECVEEFRAKELYKSKVDEVHAKVVQLNGQTGVLSSPDSGGAWKIVIANEDTDKGSTEVGPEILNHGYSQSGILGNVESTSKLKLFKNNPWKAKNEELLPLRNGLSYYLDSKGIRWFAQGVNGFTERSKNCFSHGGFQRNILGSQQQMHFVHSLRIAFLLMLSIILVVPFVLYST >Ma04_p33960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33342260:33353530:1 gene:Ma04_g33960 transcript:Ma04_t33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVSLNYWTATSSAVKLSAPIRTTGWLAEGGVPVTPGSSVDSGFGGGIWLHCPARSRRGREIRGSKARAMVQPVGKCWRGVIRRAVLASDMGAEEEVGRSRCFLRARNEEELLFCISKEVEAGNLSSDIATKLEELYYNYRDAVMQSGVPNATEIILSNMAVAFDRILLDVEDPFSFCPYHKAIREPFDYYMFGQNYVRPLIDFRTSFIGNLSLFFDMEEKLKKGHNIVLFSNHQTEPDPAFISLLLERTNSYFIEKMVFVAGDRVVTDPLCKPFSMGRNLICVYSKKHMHDIPELVEMKRRANTRSLKEMALLLRRGSQVIWIAPSGGRDRPDPLTGQWHPAPFDASSVDNMRRLVDHSGVVGHIYPLAMLCYEVMPPPPEVEKQIGERRKISFHGIGLSVAPEMNYDEITAGCENPEMAKEVFSQAVYDSVIKQYSVLKAAIYGCQGLNASNSVVSLSQPWF >Ma05_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3604468:3620164:-1 gene:Ma05_g04750 transcript:Ma05_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRFPPLFMALLFVVVAAAAASFGVASSSDAEVLLGFKATISDPSGALKSWVASSDPCNKNVSNWAGIICDNDGHVSGLRLEDMSLAGSLAQLSLLKGLPGIRTLSFLRNDLEGPMPEVGKMESLRAIFLSENKFSGQIPDNAFAGMSWLKKLHLSHNGFSGSIPTSIAALPKLLELRLDDNRFSGTIPDLRLTTAKLVNVSNNYLEGRIPDSLEMMNANMFAGNKALCGDPLQVPCQSSSSSSQSSTTQQPMVIAAVAIFVLVGIFAVAFLMPRHRQVQDEQVAQLQAPKNPESAPTKEKKLEEGAAGYDGSSNGRKAPKEHEQGRLIFVRAGRERFELQDLLKSSAEILGSGKFGCSYKASLTNGPSMVVKRFRDMNRVGKEDFEEHMRRLGRLSHSNLLPLVAYYYRKDEKLMVTDYVPKRSLANALHGFRAANIAALDWPTRLKIVRGIAKGLNYLYEELQMLSVPHGHLKSSNVLLSDSFEPLLTDYALVPVMNQAHAAQSMVAHKAPECKQHGKTSKKSDIWSFGILILEILTGKISIIDSPQEKGGVDLAGWVNSVDREEWASKVFDCEMKATKKNEGEMLKLLQIGLACCEENVEKRYELETALDRIEELKEEGDEDSSNIPTEGGAKTGDDLSIVGSNRGQELRDGEEEDKASIMYCRRNPEQMEVDAGCPLVAVAIDKDKGSQNALKWAVDNLLARGQTLTLIHVKLTSQPSANLDDDGGFKEPTDHQSKELFLPFRCFCTRKDVRCKDVLLEDVDAAKAIIEFVSHAAIEKLVVGASSKGGFVRRFKNHDVSASVTKGVPDFCTVYVIGKGKVSAMRNAVRPAPAVSPLRAQIQSEASLKSDALPPRFLLGPNGIGFVGVHRVSLSNRSPYARGMRASTIADLSLSDTDISFVSSGRPSIDQAFPPRLSNGSDGLDRSFEMALTPNNRSADSYSTGNEFSSFSQGSTGTSWSSQTMEDVEDEMKKLRLELKHTMDMYNNACKEALSAKQKAMELQRWKVDEQKRLYEAQMAEEAAVASVEKEKARRRVAMDTARAENRIAELESQKRVDAEMMAIKDAHENNRPLDSAPRADVRYRKYTIEDIEIATEYFAEHRKIGEGGYGPVYKCHLDHTAVAVKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCIVYEYMANGSLDDRLFRRGNSPVIPWQHRFRIACEIATGLLFLHRMKPEPLVHRDLKPGNILLDRNYVSKIGDVGLARLVPPSVADSVTQYRITSTAGTFCYIDPEYQQTGMLGVKSDIYSLGVLLLQIITAKPPMGLTHLVSRAIEQGTFAEVLDPAESDWPVEAAQRLAEKALKCTELRRKDRPDLEKVVLPELQWLSALGEDSLANCTQRYSTQSSPFNSQASMQEFMSDPLLTQNGFAIHSSESSATGRKSSVL >Ma00_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:177136:180159:-1 gene:Ma00_g00140 transcript:Ma00_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVVKLGSLVLRTLSKPIASRLKQQTGNYPKFREYIIGLAQANHRFTTTIQRRLYGQATDVKIRPLNEEKAVQAAADLFGELFVFSVAGAAIIFEVQRSARSEARKEESRRQELEAMKQKEQELAIELELLKVKLDELEHLARGRGLLSIFGVTHGQESLKSVNPTLAA >Ma06_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1169117:1172452:1 gene:Ma06_g01450 transcript:Ma06_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] MKRSRSVISRLVAHAAASPSPPPTDASHLDALRLFRRAHRRGAPLAADAFPPLLSACRSFPSLGRQAHALMVKSAADLDPVPATALLDVYSRCGLLRYALDVFDGMPVRDSIAWNAFISCLVRHGLPADAISAFRAMAVDGGSPFTGFTLCSVLKACASMRAVRLGKQIHARVIGDGNDSLVMATALIDFYSGCGMIQEAFDVFNRLNREKDVAIYNALISACFQNRRFKEAFSVLGLVRPNEITLTCALSACSDCLSLSYGKQVHCVMVRHGFDSDTTLCNAIVDMYAKCGELTSGRISFEQIHRKNVVSWTTMISAYGSHGRGVEAWKLFKRMQEEEISGHVSPNAVTFLSVLSACSHSGLVDEGRECLFAMQSKHGIDPGPEHYACFIYLLSGAAMLNACKANMDLKRGEQMARHLLSLDPDNPGSYVLLANFYAACGQWEGAEYLRRMMKDRGLKKEAGNSQLELPVAIEI >Ma01_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12763360:12764137:1 gene:Ma01_g17420 transcript:Ma01_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAQGE >Ma04_p23350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25543710:25545352:-1 gene:Ma04_g23350 transcript:Ma04_t23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKQEAEAKQEEKKVEKQEEEKKEEKAEEKKEEAKPSPPQPIVLSVALHCVGCAKKIKKSILKCRGVESVEVDMKQNQVTVKGVVDPQVLCSRIQERTTRKAIVLSPLPPAEGDSKPEAVPSQVSGMATVELLVNMHCEACAEQLKRRILKMRGVQTADTDLSTGKVTVTGTMDGEKLVEYISRRTGKLASIIPQPPKEEGKEEAEKKPEEEKPAEEKKEDKKEDEKAPQPEDGAGGNKEGDGKEEKGGGEEQKKEGEGVANDNANVVGQEEEDMMKKMIYWNGSITGEDEMARRMLHYMPVYVIQQPPPPPQFFSDENPNACCIS >Ma04_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25543717:25545357:-1 gene:Ma04_g23350 transcript:Ma04_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKQEAEAKQEEKKVEKQEEEKKEEKAEEKKEEAKPSPPQPIVLSVALHCVGCAKKIKKSILKCRGVESVEVDMKQNQVTVKGVVDPQVLCSRIQERTTRKAIVLSPLPPAEGDSKPEAVPSQVSGMATVELLVNMHCEACAEQLKRRILKMRGVQTADTDLSTGKVTVTGTMDGEKLVEYISRRTGKLASIIPQPPKEEGKEEAEKKPEEEKPAEEKKEDKKEDEKAPQPEDGAGGNKEGDGKEEKGGGEEQKKEGEGVANDNANVVGQEEEDMMKKMIYWNGSITGEDEMARRMLHYMPVYVIQQPPPPPQFFSDENPNACCIS >Ma09_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1111333:1113951:1 gene:Ma09_g01520 transcript:Ma09_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMQQQTQEHKFGNRETAETVLYRQYFNQTQGAKDRKITTNLNDGNENSETTAKDIPPPENAAPFTPEAAKPRDAKPIHRTDTPSVHDEGVIHKSPINPRDRQHVARANYGDNQKKPNRSRDRYQGKPAAERTANDAPPSDKRIAPEDTPGRVRTNPSDRAYGTPHHDVTVPPFAGWDENDPASGEKYTGIFNIIADNRRNPGTPYNPPTPSSQKQESNKTKGCGCLSWILK >Ma09_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2359989:2365153:1 gene:Ma09_g03570 transcript:Ma09_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGSKIEISFAGRFASSAIAASFAELCTIPLDTAKVRLQLQKKAATDAMAMPKYSGMLGTVATIAREEGMTALWKGIVPGLHRQCVFGGLRIGMYEPVKSFYVGENFVGDIPLSKKILAGLTTGALAITVANPTDLVKVRLQAEGKLPPGVPRRYSGALNAYSTIVRQEGVGALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVFTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTLDCFVKTMKNEGPLAFYKGFIPNFGRLGSWNVIMFLTLEQVKKLFAREVPS >Ma11_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24100209:24102800:1 gene:Ma11_g19150 transcript:Ma11_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQYHHLSFLLVSLCLVLSSSAASYVPSDSILLNCGSSSEATDSDGRKWIADSPTSTSSLVSKNSNPATAVYQDPSLPSAVPYMSARIFASESTYKFAVNKTERHWVRLHFYPSTYGDHAPNNSYFAVSTSDGVTLLKNFSAYITAKALTQAYIVKEFSIPPASDGSLSLTFTPSNDHNGSFAFVNGIEIISMPAIYSEPAELVGFSDQNVEVGDSALLTMYRLNVGGQYLPASNDSGLSRTWYDDSPYIYGAGFGVTSSASGKLEIKYPKDTAEYLAPTDVYSTARSMGPDPKVNMNFNLTWIFQVDGNFTYLVRLHFCEFQMSKINQRVFDIFINNQTAQAQADVIAWTSAKAVPTYKDYAAYVTDGAGDQQLWVALHPSAAAKPEYYDSVLNGLEIFKLNDGVGNLAGPNPEPSALLAEAELSTEQPSFASESSRKVHMIGSAAGGVAAASVALAICAVAYRRKKGITGDEFGGGGAGWLPLYGGNSRSSAGNSTISGKSCASSHLANLAAICRHFSFAEIKKATKNFDESLVIGVGGFGKVYKGTVDGGTKVAIKRSNPSSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEENGEMILVYDYMAHGTLREHLYKSNKPPLPWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEHWVAKVSDFGLSKTGPTMNQSHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARAALNPSLPREQVSLADWALQCQKRGVLADIIDPQLEGKIGRECLHKFAETAETCLLDHGVDRPSMGNVLWNLEFALQLQESFESGEPVSEEMADKAAAVGNGVALAAVSEVSDDTDGQSTVAVFSQLINPVGR >Ma04_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5962066:5963750:1 gene:Ma04_g08290 transcript:Ma04_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIVESLALKLPTMAKPVGPGVHPSATPCFCTVQLQDRPSSYCSVPLPLAVDPTSSTNLIDAPTSSSSSSPVIISVEPAAWQRSSGKRASLEVSVYVGRTGSTCGFSSGRLLGRVRVAVDLETAATRAAVVQSGWVSMGSQTSAARLHLVVRTEPDPRFVFQFGGEPECSPVVYQIQGKCASGQSGCVRQPVFSCRFTADRRRTTISRSLPTKRSFKRCLSFGGERDHEIRWEQRKGWTVTIHDLSGSPVAAASIVTPFVPSPGSDRVSRSNPGAWLILHAIGPSTTNWKPWGRLEAWRERGPADALGLRFELVTDAGPNNGLPIAESSLSVRKGGEFCIDPSVVAGAVMPGSWPFVGGFVMAAAVEGQGKASKPTVQVGLQHVSCMADVALFIALSAAIDLSMDACQSFSQKLRSELCPDQQEYNSL >Ma10_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14922176:14923824:1 gene:Ma10_g04220 transcript:Ma10_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGKGQPITSWTIHMIFRDFLGKRAGLIKALTTDYEKFRQQCDPQKPYMCLYGCPDETWELKEPPDVPHELPEPNIGINFARDGMPEKEWLAHIAIHSDAWLYSYAFYIAIRAGLDAETRQQLFNMINSSPTIYEIVSETVKMPAKEDTSSESNKDKSSS >Ma09_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7110903:7111363:1 gene:Ma09_g10440 transcript:Ma09_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAIKVGAWGGNGGSAFDMGPAHRIISVKIFSGDVVDAVDVTFTYYGKTETRHFGGSGGTPHE >Ma04_p29810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30641561:30642676:1 gene:Ma04_g29810 transcript:Ma04_t29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMQPQEKLRGGAVAALPFFLLLIMVSHQLVSADRFSVGDSKGWNPNVNYTVWVEKQKPFHVGDWLVFYYQSGMADVVQVDEAGYNKCDASNPISNYSKGRSYAFELNHTGRYYFICSRGYCYGGMRLAIAVEHLPPPSPPPSSLKDSSAAAPCRLLALTASLLAAALFPFHL >Ma09_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11111162:11113634:-1 gene:Ma09_g15770 transcript:Ma09_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g05340 [Source:Projected from Arabidopsis thaliana (AT3G05340) UniProtKB/Swiss-Prot;Acc:Q9MA85] MVVWNALISMYSKCGLLLDATKVFDQMRYRDSISWNSVISGCLIRNDWERGFRYFRDMRRSAIGSCDHATFTTVLSIYVEMELLLGITMVHALTIRSGYEQATSVGNALISAYFKHRCSNSAQKVFDGMLERNVITWTAMISGLAQSLMCKESLALFQHMRRVEQANSLTYSSSLLACSGLVALHEGQQIHGLIVKSGFLTDLCVESALMDLYAKCGMMEVALQLFQACKHPDDVCLTLILVGLSQNGLEEKAFKLFAEIVGSGMEMDANMFSAVLGAFGPSAPFSLGKQIHSLAVKKSLGSNVFVSNGLINMYAKCGELQDSSKIFKQMEIKNSVSWNSIIAAFACHGHGSEALRLYEHMKAEGMEPTDVTFLSLLHACSHVGSIEKGMGFLKSMSLDHGIMPRMEHYACVVDMLGRAGLLDDAKRFIEDLPLNPNAHLWQALLGASSIHGNLEMGRYAAEHLLLIAPECSAAYVLLANIYSSDGRWEERARILKMMKEMGVKKDTGSSWIEVEKEVHIFVVEDRVHPQAGLIYEVLNELVALIRDQEHVLHKRSQLYGIKM >Ma04_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24620331:24621217:-1 gene:Ma04_g22250 transcript:Ma04_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGKRMKGKVKWFNGAKGFGFITPDSGGEDLFVHQSSIKADGFRTLADGEDVEFSVAEGDDGRTKAVDVTAPDGGAVQGNGGRSGRGGRGGYGFNDRGGQGGGGRACYVCGEAGHMARDCYQGGSGGGGGGRACYNCGGTGHMARDCQQGGGGACYNCGEMGHFAKECPSTK >Ma07_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2923134:2926600:-1 gene:Ma07_g03830 transcript:Ma07_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRARAPGKIILSGEHAVVHGSTAVAAAIDLFTHVSFRLDPPVPPGNGDGSLGLELKDLGLAFFWSPSRLKEGLGDAVTVPSTPVSCSPERIKLIAALVEEQDIPEAKIWLSSGIAAFIHLYTSIHGYKAGKVVISSDLPLGSGLGSSASFCVALAASFLALSDAITVDKSQGGWLRLCENELQLVNRWAFEGEKIIHGKPSGIDNTVSAFGNMIQFRLGELIHIESSAPLRMLITNTKVGRNTKALVAGVSERASRHPDAMAAVFTAVNSISKELSTIIQSPALDDISVTAREEKIEELMEMNQGLLQCMGVSHASIETVLRVTMKYKLTSKLTGAGGGGCVLTLLPTLLSSAITDKVVAELESSGFQCLKVEVGGEGLQICFS >Ma05_p27500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38780130:38782205:1 gene:Ma05_g27500 transcript:Ma05_t27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGQEEDKKPADQSAHINLKVKSQDGNEVFFRIKRSTQLRKLMSAYCDRQSMDFNAIAFLFDGRRLRGEQSPDELEMEDGDEIDAMLHQTGGGRPST >Ma10_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23261096:23267211:-1 gene:Ma10_g09060 transcript:Ma10_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSASIPAEDGCGGAGVRAKRGRLRGLVFDMDGTLTVPVIDFGAMYRAVLGEEGYAAHRAARASAGGVDILHHIESWAPQEQQRAYEIIAHFERQGLDRLQIMPGASELCRYLDSRQIRRGLITRNVNMAVDIFHQRFGMEFVPALSREFRPYKPDPAPLLHICSIWDVPPSEVMMIGDSLRDDVVCGKRAGAFTCLLDETGRYGSPDSYTDDIRPDFKVSSLSQVLSLLETHFDLMPQPQTT >Ma05_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1607042:1610851:1 gene:Ma05_g02490 transcript:Ma05_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEEAGDVEKVVGNALMESVLSSGGELRSSNPLPRPLPFFPDSINRNSRLSSPSPSLRFPTFQIKPPPLPRLSQLLR >Ma07_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3993426:4000395:1 gene:Ma07_g05510 transcript:Ma07_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQLQPQAVSGPAAGGGGVQFPSTSLYVGDLDSGVTDAQLYDIFSQIGQVVSVRVCRDINTRRSLGYAYVNYNDPADAARAIEVLNFTPLNGKTIRIMISNRDPSTRRSGTANIFIKNLDKSIDNKALYDTFSAFGNILSCKVATDASGASKGYGFVQFEQEEAAQNAIEKLNGMLLNDKKVFVGPFVRKQERENAAGNTKFNNVFVKNLSESMTEDTLQEVFGEFGIITSCIVMREGDGKSKCFGFVNFENPDDAAQAVQELDGKKFDDKEWYVGKAQKKSEREQELKERFEQSKQEATEKSQGVNLYLKNLDDSIGDDNLRELFSGFGAIASCKIMRDKNGASKGSGFVAFQSPEDASRALSEMNGKMIGNKPLYVAPAQRKEDRRARLQAQFSQMRPVAMPPSVAPRVPLYPPGGPGLGQPLFYGQPPALVPPQPAFGFQQPLVPGMRPGPFPNFYMQMAQQGQQQVQRPGSRRAGGGGPVQQTQQHPMQMIQQQMLPRGGRPYRYPPGRGMPEVPVSGIHGGMFSLPYDMGGGMPQPTVPIGELTSALANATLEQQRTMLGESLYPLVDQLEHDYSAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVAQQHHQVVSPTDRLAALTLNDVVS >Ma11_p12100.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15906389:15925622:-1 gene:Ma11_g12100 transcript:Ma11_t12100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLKRPNVPRADLSGQTHMPPAPAVGTTPKLTTNDALAYLKAVKDVFQDKREKYDEFLEVMKDFKSQRIDTNGVIMRVKELFKGHLDLILGFNTFLPKGYEIKQPEDKKPVEFEEAITFVNKIKNRFQNDDRVYKSFLDILNMYRREDKSIHEVYQEVAALFQNHQDLLEEFIHFLPDASATFAPQHAYSGRGFVRRDDRSFLMPAVRNVYADKRETAYMSRTDRDSSVDCLDTEHGRQRRRAEKETDKKEDRDKRDHERDEDSEHDCGDLENSECRHKISSRRVDDSIDEPMQQGGDRAKNIGIYSFSVSSFDDKNALKSVYTREFNFCEKVKEKLYPDTYQEFLKCLHIYSKEIINRTELKNLVSDILGKFPDLMEGFNEFLAHCENIDGFLEGVFRKRHMARPVKIEDRDRERECEMDEQEKVHERRERNKEGDRVDKGALFNSRDGTSHKSSLLSSKEKYNICKPISELDLSNCQRCTPSYRLLPKNYSIPPASHRTELGASVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKLVEDLLEMMQDPVKSENPFRVEDHLTSLHLRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWFRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSTKALLAEIKDINDKMKKEDDVLLAIAGRNRRPIVPTMEFEYIDMDIHEDLYRIIKYSCGEVCTFSDQFDKVMKIWTTILEPLFGVQPRNQGVKSLQDVKPKSHAVKTIMPGLGESNGNPGAYNTKQCNGDQNIPSEQAPSFTTKSADGDTTVTENGFYDTIQATNCGENICSSPLQGRVQCCASVADEMPEITVPDSVAGRAEQSHNKISQEIASGVSCGSIGTGHCGTETLVEARATNENLPYSEGGQTGLQIISINGGSITESNKYYRPNDVFVSLNNLKVEREEGELSPNGDLDEDNCVALEDAATNVAHTGKDTSASGQYQVRPGDVEASCGEDAGDNGSVAAADDECEESAQRSTEVSGNASEAGEDVSSSESGDGEECSHEDQEEEDDAEHDDQDAKAISEGKADAHDAEGELFLHTVKPLARHVPAALHAEQDKSSRIFYGNDSYYVLFRLHQTLYERILSAKTNSSASEKKWKSSKDTSPPDLFMSALFNLLDGSADNTKFEDDCRGIIGTQSYVLFTMDKLIYKIVKQLQAIASDETDNKLLQLYLYEKSRQTGRSFDLVYHENACVLHDESIYRFEFFSQTSDVTQLSIQLLEHGHVNPEAAAVSVDPNFSSYLYSDFLSSVLVRKGAQGVFLGRNKRKYGDDNEHSVVGKAMDGIQVINGLECKISSCSSKVSYVLDTEDILFRARNKRRCSGGTICHDHSQPSQVHDAKVQRFNNLLSTFLSRT >Ma11_p12100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15906389:15925622:-1 gene:Ma11_g12100 transcript:Ma11_t12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLKRPNVPRADLSGQTHMPPAPAVGTTPKLTTNDALAYLKAVKDVFQDKREKYDEFLEVMKDFKSQRIDTNGVIMRVKELFKGHLDLILGFNTFLPKGYEIKQPEDKKPVEFEEAITFVNKIKNRFQNDDRVYKSFLDILNMYRREDKSIHEVYQEVAALFQNHQDLLEEFIHFLPDASATFAPQHAYSGRGFVRRDDRSFLMPAVRNVYADKRETAYMSRTDRDSSVDCLDTEHGRQRRRAEKETDKKEDRDKRDHERDEDSEHDCGDLENSECRHKISSRRVDDSIDEPMQQGGDRAKNIGIYSFSVSSFDDKNALKSVYTREFNFCEKVKEKLYPDTYQEFLKCLHIYSKEIINRTELKNLVSDILGKFPDLMEGFNEFLAHCENIDGFLEGVFRKRHMARPVKIEDRDRERECEMDEQEKVHERRERNKEGDRVDKELDLSNCQRCTPSYRLLPKNYSIPPASHRTELGASVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKLVEDLLEMMQDPVKSENPFRVEDHLTSLHLRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWFRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSTKALLAEIKDINDKMKKEDDVLLAIAGRNRRPIVPTMEFEYIDMDIHEDLYRIIKYSCGEVCTFSDQFDKVMKIWTTILEPLFGVQPRNQGVKSLQDVKPKSHAVKTIMPGLGESNGNPGAYNTKQCNGDQNIPSEQAPSFTTKSADGDTTVTENGFYDTIQATNCGENICSSPLQGRVQCCASVADEMPEITVPDSVAGRAEQSHNKISQEIASGVSCGSIGTGHCGTETLVEARATNENLPYSEGGQTGLQIISINGGSITESNKYYRPNDVFVSLNNLKVEREEGELSPNGDLDEDNCVALEDAATNVAHTGKDTSASGQYQVRPGDVEASCGEDAGDNGSVAAADDECEESAQRSTEVSGNASEAGEDVSSSESGDGEECSHEDQEEEDDAEHDDQDAKAISEGKADAHDAEGELFLHTVKPLARHVPAALHAEQDKSSRIFYGNDSYYVLFRLHQTLYERILSAKTNSSASEKKWKSSKDTSPPDLYAKFMSALFNLLDGSADNTKFEDDCRGIIGTQSYVLFTMDKLIYKIVKQLQAIASDETDNKLLQLYLYEKSRQTGRSFDLVYHENACVLHDESIYRFEFFSQTSDVTQLSIQLLEHGHVNPEAAAVSVDPNFSSYLYSDFLSSVLVRKGAQGVFLGRNKRKYGDDNEHSVVGKAMDGIQVINGLECKISSCSSKVSYVLDTEDILFRARNKRRCSGGTICHDHSQPSQVHDAKVQRFNNLLSTFLSRT >Ma11_p12100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15906832:15925622:-1 gene:Ma11_g12100 transcript:Ma11_t12100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLKRPNVPRADLSGQTHMPPAPAVGTTPKLTTNDALAYLKAVKDVFQDKREKYDEFLEVMKDFKSQRIDTNGVIMRVKELFKGHLDLILGFNTFLPKGYEIKQPEDKKPVEFEEAITFVNKIKNRFQNDDRVYKSFLDILNMYRREDKSIHEVYQEVAALFQNHQDLLEEFIHFLPDASATFAPQHAYSGRGFVRRDDRSFLMPAVRNVYADKRETAYMSRTDRDSSVDCLDTEHGRQRRRAEKETDKKEDRDKRDHERDEDSEHDCGDLENSECRHKISSRRVDDSIDEPMQQGGDRAKNIGIYSFSVSSFDDKNALKSVYTREFNFCEKVKEKLYPDTYQEFLKCLHIYSKEIINRTELKNLVSDILGKFPDLMEGFNEFLAHCENIDGFLEGVFRKRHMARPVKIEDRDRERECEMDEQEKVHERRERNKEGDRVDKGALFNSRDGTSHKSSLLSSKEKYNICKPISELDLSNCQRCTPSYRLLPKNYSIPPASHRTELGASVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKLVEDLLEMMQDPVKSENPFRVEDHLTSLHLRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWFRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSTKALLAEIKDINDKMKKEDDVLLAIAGRNRRPIVPTMEFEYIDMDIHEDLYRIIKYSCGEVCTFSDQFDKVMKIWTTILEPLFGVQPRNQGVKSLQDVKPKSHAVKTIMPGLGESNGNPGAYNTKQCNGDQNIPSEQAPSFTTKSADGDTTVTENGFYDTIQATNCGENICSSPLQGRVQCCASVADEMPEITVPDSVAGRAEQSHNKISQEIASGVSCGSIGTGHCGTETLVEARATNENLPYSEGGQTGLQIISINGGSITESNKYYRPNDVFVSLNNLKVEREEGELSPNGDLDEDNCVALEDAATNVAHTGKDTSASGQYQVRPGDVEASCGEDAGDNGSVAAADDECEESAQRSTEVSGNASEAGEDVSSSESGDGEECSHEDQEEEDDAEHDDQDAKAISEGKADAHDAEGELFLHTVKPLARHVPAALHAEQDKSSRIFYGNDSYYVLFRLHQTLYERILSAKTNSSASEKKWKSSKDTSPPDLYAKFMSALFNLLDGSADNTKFEDDCRGIIGTQSYVLFTMDKLIYKIVKQLQAIASDETDNKLLQLYLYEKSRQTGRSFDLVYHENACVLHDESIYRFEFFSQTSDVTQLSIQLLEHGHVNPEAAAVSVDPNFSSYLYSDFLSSVLVRKGAQGVFLGRNKRKYGDDNEHSVVGKAMDGIQVINGLECKISSCSSKVSYVLDTEDILFRARNKRRCSGGTICHDHSQPSQVHDAKVQRFNNLLSTFLSRT >Ma11_p12100.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15906389:15916129:-1 gene:Ma11_g12100 transcript:Ma11_t12100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRICHIQRFMSALFNLLDGSADNTKFEDDCRGIIGTQSYVLFTMDKLIYKIVKQLQAIASDETDNKLLQLYLYEKSRQTGRSFDLVYHENACVLHDESIYRFEFFSQTSDVTQLSIQLLEHGHVNPEAAAVSVDPNFSSYLYSDFLSSVLVRKGAQGVFLGRNKRKYGDDNEHSVVGKAMDGIQVINGLECKISSCSSKVSYVLDTEDILFRARNKRRCSGGTICHDHSQPSQVHDAKVQRFNNLLSTFLSRT >Ma11_p12100.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15906389:15925622:-1 gene:Ma11_g12100 transcript:Ma11_t12100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLKRPNVPRADLSGQTHMPPAPAVGTTPKLTTNDALAYLKAVKDVFQDKREKYDEFLEVMKDFKSQRIDTNGVIMRVKELFKGHLDLILGFNTFLPKGYEIKQPEDKKPVEFEEAITFVNKIKNRFQNDDRVYKSFLDILNMYRREDKSIHEVYQEVAALFQNHQDLLEEFIHFLPDASATFAPQHAYSGRGFVRRDDRSFLMPAVRNVYADKRETAYMSRTDRDSSVDCLDTEHGRQRRRAEKETDKKEDRDKRDHERDEDSEHDCGDLENSECRHKISSRRVDDSIDEPMQQGGDRAKNIGIYSFSVSSFDDKNALKSVYTREFNFCEKVKEKLYPDTYQEFLKCLHIYSKEIINRTELKNLVSDILGKFPDLMEGFNEFLAHCENIDGFLEGVFRKRHMARPVKIEDRDRERECEMDEQEKVHERRERNKEGDRVDKGALFNSRDGTSHKSSLLSSKEKYNICKPISELDLSNCQRCTPSYRLLPKNYSIPPASHRTELGASVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKLVEDLLEMMQDPVKSENPFRVEDHLTSLHLRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWFRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSTKALLAEIKDINDKMKKEDDVLLAIAGRNRRPIVPTMEFEYIDMDIHEDLYRIIKYSCGEVCTFSDQFDKVMKIWTTILEPLFGVQPRNQGVKSLQDVKPKSHAVKTIMPGLGESNGNPGAYNTKQCNGDQNIPSEQAPSFTTKSADGDTTVTENGFYDTIQATNCGENICSSPLQGRVQCCASVADEMPEITVPDSVAGRAEQSHNKISQEIASGVSCGSIGTGHCGTETLVEARATNENLPYSEGGQTGLQIISINGGSITESNKYYRPNDVFVSLNNLKVEREEGELSPNGDLDEDNCVALEDAATNVAHTGKDTSASGQYQVRPGDVEASCGEDAGDNGSVAAADDECEESAQRSTEVSGNASEAGEDVSSSESGDGEECSHEDQEEEDDAEHDDQDAKAISEGKADAHDAEGELFLHTVKPLARHVPAALHAEQDKSSRIFYGNDSYYVLFRLHQTLYERILSAKTNSSASEKKWKSSKDTSPPDLYAKFMSALFNLLDGSADNTKFEDDCRGIIGTQSYVLFTMDKLIYKIVKQLQAIASDETDNKLLQLYLYEKSRQTGRSFDLVYHENACVLHDESIYRFEFFSQTSDVTQLSIQLLEHGHVNPEAAAVSVDPNFSSYLYSDFLSSVLVRKGAQGVFLGRNKRKYGDDNEHSVVGKAMDGIQVINGLECKISSCSSKVSYVLDTEDILFRARNKRRCSGGTICHDHSQPSQVHDAKVQRFNNLLSTFLSRT >Ma11_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15906389:15918269:-1 gene:Ma11_g12100 transcript:Ma11_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVKIEDRDRERECEMDEQEKVHERRERNKEGDRVDKGALFNSRDGTSHKSSLLSSKEKYNICKPISELDLSNCQRCTPSYRLLPKNYSIPPASHRTELGASVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTKLVEDLLEMMQDPVKSENPFRVEDHLTSLHLRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWFRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKSLSTKALLAEIKDINDKMKKEDDVLLAIAGRNRRPIVPTMEFEYIDMDIHEDLYRIIKYSCGEVCTFSDQFDKVMKIWTTILEPLFGVQPRNQGVKSLQDVKPKSHAVKTIMPGLGESNGNPGAYNTKQCNGDQNIPSEQAPSFTTKSADGDTTVTENGFYDTIQATNCGENICSSPLQGRVQCCASVADEMPEITVPDSVAGRAEQSHNKISQEIASGVSCGSIGTGHCGTETLVEARATNENLPYSEGGQTGLQIISINGGSITESNKYYRPNDVFVSLNNLKVEREEGELSPNGDLDEDNCVALEDAATNVAHTGKDTSASGQYQVRPGDVEASCGEDAGDNGSVAAADDECEESAQRSTEVSGNASEAGEDVSSSESGDGEECSHEDQEEEDDAEHDDQDAKAISEGKADAHDAEGELFLHTVKPLARHVPAALHAEQDKSSRIFYGNDSYYVLFRLHQTLYERILSAKTNSSASEKKWKSSKDTSPPDLYAKFMSALFNLLDGSADNTKFEDDCRGIIGTQSYVLFTMDKLIYKIVKQLQAIASDETDNKLLQLYLYEKSRQTGRSFDLVYHENACVLHDESIYRFEFFSQTSDVTQLSIQLLEHGHVNPEAAAVSVDPNFSSYLYSDFLSSVLVRKGAQGVFLGRNKRKYGDDNEHSVVGKAMDGIQVINGLECKISSCSSKVSYVLDTEDILFRARNKRRCSGGTICHDHSQPSQVHDAKVQRFNNLLSTFLSRT >Ma06_p18010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12216499:12223230:1 gene:Ma06_g18010 transcript:Ma06_t18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMDLETENRLAALLMEEARRLRLEAEKEGVHVYLHQRNVRGRPNSRFLTATVLGVQQANRTVEVTEMWRAREKELELEAKLEDRSNKHRHKSRGEKHHTNSPHMGSSSRKKKENMKTTISCLTSKQDLEDCCSYEDDGLRDEDVEEFLHSRAKRGRGAVGSRMDEAGPYLSQTCSDQDGHSPSHDVRVKEEWENRILGPEKPSFLKLDSALCDDSDTETRVCHTSSSRKCHSKKRRSREEKLGKRKRTEQRSKHHSKSRRAKD >Ma10_p30460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36759958:36769495:1 gene:Ma10_g30460 transcript:Ma10_t30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACFLISLAVASCLVWKAHSFALSAKLVHRFSDEARAAAASRIGGGGGERWPTRRSKEYYQMLARSDLLRRKRRLGARYQMLFPSEGSETLSLGNDFGWLHYTWINIGTPNVSFLVALDAGSDLLWIPCDCIQCAPLSGYHGSLDKNLSMYSPAESRTSRYLSCNHELCSLGSTCGSAKQPCPYRINYYSENTSSSGLLVEDILHLATSEDHASVQASVIIGCGRKQSGDYLDGIAPDGLLGLGFGDISVPSSLARTGLIRNSFSLCFRDDDSGRILFGDRGLPTQQSTPFVPLDGKYDTYVIAVESFCMGSSCPGKTSFHALIDSGSSFTFLPNDIYKRVTLEFDRQVNVSKRATNNESPWEYCYEASPLGMPGLPKVKLIFGGNKSFMVINPIYPFFNTEGELAVFCLALQSSQESLGTIGQNFMTGYHLVFDRENLKLRWSRSDCHHDLDNSRRMPLGSPPRNRPENPLPTNEQHSFPNNARAISPAVAGRALPANSSSVSQGLNAWYCLLLLLTQLAVFAIG >Ma05_p13780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10013878:10016347:1 gene:Ma05_g13780 transcript:Ma05_t13780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRLSPVLHLHTSWQPRRRPPRGFRDIRCDGRSPSDGGSRSENAVLRLAWYGSELLGIAASLFRPSPSAAPSEELRADGLGFAAGQAEVAEAIKEDFDRSYFVTGNLTLEAYEDDCEFADPAGSFRGLRRFKRNCTNFGSLLEKSSMKLTKWEDFEDKSIGHWRFSCIMSFPWRPILSGI >Ma05_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10013878:10019389:1 gene:Ma05_g13780 transcript:Ma05_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRLSPVLHLHTSWQPRRRPPRGFRDIRCDGRSPSDGGSRSENAVLRLAWYGSELLGIAASLFRPSPSAAPSEELRADGLGFAAGQAEVAEAIKEDFDRSYFVTGNLTLEAYEDDCEFADPAGSFRGLRRFKRNCTNFGSLLEKSSMKLTKWEDFEDKSIGHWRFSCIMSFPWRPILSATGYTEYYFDAQSGRVCRHVEHWNVPKMALFKQIFRPSRWVWEKR >Ma05_p13780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10013878:10019389:1 gene:Ma05_g13780 transcript:Ma05_t13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRLSPVLHLHTSWQPRRRPPRGFRDIRCDGRSPSDGGSRSENAVLRLAWYGSELLGIAASLFRPSPSAAPSEELRADGLGFAAGQAEVAEAIKEDFDRSYFVTGNLTLEAYEDDCEFADPAGSFRGLRRFKRNCTNFGSLLEKSSMKLTKWEDFEDKSIGHWRFSCIMSFPWRPILSATGYTEYYFDAQSGRVCRHVEHWNVPKMALFKQIFRPSRWVWEKR >Ma06_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14307475:14309772:1 gene:Ma06_g20250 transcript:Ma06_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFMASSAHLLLFLNACLLLLPLLPTSGQRSTYIIHMNSSSMPASQPTHHDWYSTILENMSLATPNSTTSGRPQLLHTYHHALTGFAATLTADELRAMEGSSGFIAAYPDLEIKLHTTRTPQFLCLNHDTGLWPSSSYGDGVVIGVIDSGVWSESPSLNDGGMSPAPLWWNGTCELGTRCNWKLIGARSFNVSSSTADGESARDNDGHGTLVASVAAGAPVSGASFFGYANGTAVGMAPRAWISVYEVADGRTLVAFTCNVLAAMDAAIADRVDVISIAMGLTDLVPLYEDPFAIASFSAMKKGIMVVFSAGNDGPSNYTVTNAFPWAITVGGSSVDRRLTGTLTVYGDSFTGVSLYPLSKLLVDLPLVYNATISHCNSSELISQAAAGKIVVCHGLGGIGSMFYQIAAVNASEAAAAVFVTDDALFLEDGEFACPAIALDLDTGEVLLRYLSSLPYPTASMEFQETQVSGFLGPVIAAPAIGTYSSRGPSLISPDVLKPDVVAPGTRILGALANPFAVKSGTSFASAHVAGIAALLQAANYHSWSPAAIRSAMMTTAHQLDNTGDPIKDSATNSEATPLAIGAGHVDPNRALHPGLVYDAGEVDYVSFLCSQGFSQEQIMAIVGVDSYDCSSPSPHLNYPSFIAFFPPQPLWPGPQSFMRTVTNVDTKPAVYRAFVRQPVGFTVSVQPEELVFNATMATNTANFVLTIVQNEEPVTVVSSGSLTWVHEEFTYTVRSPIVVVAERAPAFP >Ma03_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5203271:5204782:-1 gene:Ma03_g07430 transcript:Ma03_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKGLLCFLFVLSLWASMGVSDGDTDVGVPPCVRKLLPCLDYLRSQEKPPAACCVPLGSALDEEIECLCKLFFDDHLLESLNISQSQILGFPPRCGLKAPDVTKCKFSSDAPKPNPIPPHASSPPTSTPKGKWSFMN >Ma04_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9219790:9225511:1 gene:Ma04_g12240 transcript:Ma04_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSKDVNGSFSGDKKIIVVFVLGGPGSGKGTQCAKIVENFGFTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPAEVTIKLLQKAMLEGGNDKFLIDGFPRNEENRAAFEDLTKIEPEFILYFDCPEEEMERRLLSRNQGRVDDNIETIRKRFRVFVESSLPVVEYYELKGKVRKVDALKPIDEVFETVKAIFAPFHTKVD >Ma09_p27860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38742310:38743450:1 gene:Ma09_g27860 transcript:Ma09_t27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTHELFLLLFLLFSLRCSMAMASSDDYTAFVYVGCSQTKSVSSSPYQSNVDSLLTSLANAAALSSYDSFTSSAASATSPAYGLFQCRTDLPNSVCASCVRSALVQLSSLCPSSTGATVQLKGCFFRFGDEPFLGKPDTTLLYNKCGSVAPDEHSSDLLVMRDTALSGLASGGGNYRLGTGGSVRGLAQCVGDQSPNDCGGCVAAAVAQLKDICGVADAGDAYLGKCYVRYWSDAADTSGHHHGNRPSGGRRWSVIAFLCLLSVATLGCV >Ma01_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11675692:11679405:1 gene:Ma01_g16100 transcript:Ma01_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLQYNFSANRVVSLIGPTARNVRTSSFSTARKMKATCLHVSPPFGLRQDKLYPRFVYDALKNEASVHAFGKNGDSKSENEPFSLESPKKATGNFRRELTVQDLLREWMKGRQFGGNGGYGNSYGGDGDPSGGPETEGSAGQFDELFQVIMATIGVIFLYTLITRGEELIRLARDCIKYLLGAKASTRLIHSMEKWRRFFEIVTCKGVL >Ma09_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10133168:10136390:1 gene:Ma09_g14810 transcript:Ma09_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGIAGAVFGPGWTFWVNPIVCSVVRADAVVCYASPRGSRPAAYTRCTHG >Ma05_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35090724:35092330:1 gene:Ma05_g23080 transcript:Ma05_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLKVLAMVDDKTKQKAIGAVAEIYGIDFIEADLKEQKMTIIGEMDTIAIAKKLKKMGRIDIVSVGPAKEEKKEEKKDEKKEEKKEEKKEEKKEEKKEEKKEEKK >Ma08_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27256798:27263678:-1 gene:Ma08_g17840 transcript:Ma08_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSGVIEISEYAKRLDVDNQISLRHYYRIAHNLLKQADIYWSEKNIIDLFVMLLRFSSLITETIPCHKDYQVVLQNEKLYFRKRLFDALNELEALKPDVQHLLEELNKRNRSQVNRLEQIPQDGSLDDSFERPSFRRHTLKNNIISQSRKLTRLVFRELKLISEFVQKRSLRIPCPKEETLSRHSILGPNGLHGPWQPPSVDQGIQYPSNLDLTPIEIPRLKLLNSLFDVVLTVNLLYSIFSFCFEICCLCYPFFFLNATACFNQQRINLWTIIKGLSPPPTFAEVQDVVVAAQVSKSSNPQTVSLQNELIRAESPQEVHISTVLLESFMRLAKSNTVRNLVTCGVLAGSLKNRKFYVTALIIPKQVATSNSCQTTNEEEIFDYQDKQSLFPLGWIHVRIY >Ma02_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23791292:23796103:1 gene:Ma02_g16420 transcript:Ma02_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPADDNSDATVGTTTTGAGVEEAAEDGEKPPVHPRGRSQGGGGGRRVTPTVDSAEEAAVEKVLPNGDLYTGGFVGSAPHGRGKYLWADGCMYEGEWRRGKATGKGKFSWPSGATFEGDFRSGRMEGFGTFTGADGDTYRGQWVADRKHGFGSKSYANGDYYEGMWRRNLQEGRGRYVWRNGNQYVGEWRGGVINGRGALIWANGNRYDGQWENGVPRGSGVFTWPDGSCYVGSWNRGEPKALNGTFYPAATAAWKETDGRRNPFFQLYDGSAPTAPLVLAPRKRSSSVDGGAAGRGSSAAEKNFPRICIWESDGDAGDITCDIIDTLEASMLYKDGLAFDHGSGTLIGSVHQRRRPSVLLMQEAKKPGQTISKGHKNYDLMLNLQLGIRYSIGKPGSTQLRELRPADFDPMEKFWTRFPPEGSKTTPPHQSVEFRWKDYCPRVFGHLRKLFSVDPADYMLAICGNDALRELSSPGKSGSFFFLTQDDRFMIKTVKKSEVKVLLRMLPSYYRHVCHCENSLVTRFYGVHCVKPIGGQKVRFIVMGNLFCSGYQIHRRFDLKGSSHGRTTDKAEGEIDETTTLKDLDLDFVFRLKTSWYIELLEQIKQDCEFLEAEGIMDYSLLVGVHFCDHLSASKISLSPFPDLPKLYDKDSFHRGEAWSDLSFSASTCQDDQMLDTRKPSIRLGANMPARAERITRTESEPFLVAGGGLSTPTRSGELHDVLLCFGIIDILQDYDITKKLEHAYKSLHVNPNSISAVDPKLYSRRFQDFIGRIFVEDD >Ma01_p03340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2160776:2165992:-1 gene:Ma01_g03340 transcript:Ma01_t03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSRPRQILFLEHWLRAAAAVDASQTPFPAAAAPPSAAVILRSWADLRDPSADPPRLLSALLTLSRGRSALHVSDPQAKLLLSLLPSSSPDAFPLVLSLLYTYLRKSSRPSTPLLLSILSVSSSFPPSTHSILLLGALSASPALPASALTPCLDYLCSFLDSSLPAIFRDADLLPEFLAGIGYALSRLEDDARLGKILNFLFRIWSAEAGGLRPSLSHGLMVLRLFEWCTSGFLASRSWSRIESLCGEISANRSKSRGNFAPLLVLMAPAGVLRAFRSNRVEIDPRLRKSIEESISYVAECTISRIGTDSGSNVSDDSHVLLQCMAIGLAQCGSISFNASVLRCLCLSLLNEVFPLQYFFRMSLENVNENSATCKAKEHLGSALFKEAGAVTGVFCNQYASADEVSKAMVENHLWDYSQEVYSNLRLAAWVHRGKSDELLGDLEKIAEAAFLMVVVFAAEVSKHKLNSKSSHEFRPEVSSRILVAFSCMEYLRRVRLPEYTEAVRRAVLTLQENADPCVSFVESMPPYTELTKAQGSIILERMRYIWSQDEVQTSRILFYLRVLPTCISFVPTSLFGKRVAPTMFLYMQHPNEKVTRASHSIFVSFVSSGKDSDQDDRVVLKEQLVFYYMQRALQVYPRITPFEGLASGVAALVRHLPAGSPAIFYCIHSLVAKASDLCGKAMSEDPTMWKNWEGSSGPPKKVLDLLLRLIYLVDIQVLPYLLKQLAEFIIQLPKDGQNALLDEMYFQVAESDDVTRKPVLVSWLQSLSFICSQKKASSTTEAAEKHGSPVPSNDGLSWNRTSARL >Ma01_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2160792:2165992:-1 gene:Ma01_g03340 transcript:Ma01_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSRPRQILFLEHWLRAAAAVDASQTPFPAAAAPPSAAVILRSWADLRDPSADPPRLLSALLTLSRGRSALHVSDPQAKLLLSLLPSSSPDAFPLVLSLLYTYLRKSSRPSTPLLLSILSVSSSFPPSTHSILLLGALSASPALPASALTPCLDYLCSFLDSSLPAIFRDADLLPEFLAGIGYALSRLEDDARLGKILNFLFRIWSAEAGGLRPSLSHGLMVLRLFEWCTSGFLASRSWSRIESLCGEISANRSKSRGNFAPLLVLMAPAGVLRAFRSNRVEIDPRLRKSIEESISYVAECTISRIGTDSGSNVSDDSHVLLQCMAIGLAQCGSISFNASVLRCLCLSLLNEVFPLQYFFRMSLENVNENSATCKAKEHLGSALFKEAGAVTGVFCNQYASADEVSKAMVENHLWDYSQEVYSNLRLAAWVHRGKSDELLGDLEKIAEAAFLMVVVFAAEVSKHKLNSKSSHEFRPEVSSRILVAFSCMEYLRRVRLPEYTEAVRRAVLTLQENADPCVSFVESMPPYTELTKAQGSIILERMRYIWSQDEVQTSRILFYLRVLPTCISFVPTSLFGKRVAPTMFLYMQHPNEKVTRASHSIFVSFVSSGKDSDQDDRVVLKEQLVFYYMQRALQVYPRITPFEGLASGVAALVRHLPAGSPAIFYCIHSLVAKASDLCGKAMSEDPTMWKNWEGSSGPPKKVLDLLLRLIYLVDIQVLPYLLKQLAEFIIQLPKDGQNALLDEMYFQVAESDDVTRKPVLVSWLQSLSFICSQKKASSTTEAAEKHGSPVPSNDGLSWNRTSARL >Ma05_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12065414:12065882:1 gene:Ma05_g15700 transcript:Ma05_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKLRNQSFYQVMSNLGFLAGTNNSMWLLNMKSQLMTLCVGHQQRFVHTLLLSQVFFLLHTVHTEAKLLLMVPTANRQNGSTSQRTRPHGTARKLEIKLPKPLFMHFRNFILLV >Ma04_p34190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33490118:33491396:-1 gene:Ma04_g34190 transcript:Ma04_t34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSSTKTQEAKAAARTTTPRYPAGASLPPLPDADVEETVKEVLSETPRPPRQTPLPIRADQVATPKEVEINDEAVPLADRSSNGCDTRCEDASEVCSISAKSETLSGPTTPAEKRRAAATETGRRAARDDRSPVKYQKKRSVSGEFACRRDRSVAVGCGSGRSSPSPARRRSEHAAIGRTNSAREASARATRDPGERSNRRSVSPAAERAAELRQRGGQCRAPEAATARVKGSMKQISADEGERRFCGQREGTVDGGVVVSEGEQPESLENPLVSLECFIFL >Ma07_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3214219:3216615:1 gene:Ma07_g04290 transcript:Ma07_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARDDSTTGLKSKACREKMRRDKLNDRFSELSLILDPGRTPKSDKASILSDAARVLVQLKADAQELKESNDKLQETIKDLKVEKNELRDEKMKLKADKETLEQQVKAISMAPSGFMPHPLAYHPAAAPTTFSPHVQAPSNKAAHFPAYPGMAMWQWLPPAVMDTTQDSKLWPPNA >Ma07_p04290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3213484:3216615:1 gene:Ma07_g04290 transcript:Ma07_t04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSIDDYWVDGGGSDAELRCAIESFCDMVPTTGVGIEEAYGVELTSLKKRARDDSTTGLKSKACREKMRRDKLNDRFSELSLILDPGRTPKSDKASILSDAARVLVQLKADAQELKESNDKLQETIKDLKVEKNELRDEKMKLKADKETLEQQVKAISMAPSGFMPHPLAYHPAAAPTTFSPHVQAPSNKAAHFPAYPGMAMWQWLPPAVMDTTQDSKLWPPNA >Ma09_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35868065:35871960:-1 gene:Ma09_g24190 transcript:Ma09_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFDAIDGEDATPKGSSRPFDDDGYVGYDPRLPSQRFDAFSSFSPAAAADGEVDEVIEEDPITTVEVGGSAGFPPSPEGFGYVADPIQEFTSEPAPFSMLDSNGQGYVEVDDGGAFTSDGPILPPPGEMQPDEGFILREWRRQNAILLEEKERKEKELRNQIIVEAEEYKHAFYEKRQLNSETNKKQNREREKLFLANQENFHANADKQYWKTIAELIPREIANIEKKRGKKDQDKKPSVVIIQGPKPGKPTDLSRMRQILLKLKHTPPPHMKPPPPPPPAAKDGATGANAGSKQAAPANSKETPVPSSEGRQA >Ma06_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9405196:9406839:-1 gene:Ma06_g13730 transcript:Ma06_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKLLLPELSIIWIFLLFPYSLRKKAGFWAPIVDAILRISGHLVDELDLCVAVRRSVGRNFLCLF >Ma05_p26490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38087766:38097307:1 gene:Ma05_g26490 transcript:Ma05_t26490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQAWLPGTNDPKIMPASRHRAPSRRPLWILAPILLVCMALVALYVHPLQRYSACYFFSSSVCSLKEWLPPVHTRVYNDDELAARVVIRDILLMPAVESKNPKIAFMFLTPGSLPFEKLWEKFFLGHGDKFSIYVHASREKPLHISSLFVGKEIRSEKVAWGKISMVDAEKRLLANALQDIDNQHFVLLSDSCVPLHKFDYIYNYLLGINVSFIDGFKDPGPHGAGRYSEHMLPEIEKEDFRKGAQWFSIKRQHAVLILADNVYYTKFKLYCRPRMEGRNCYSDEHYLPTLFHMVDPGGIANWSVTHVDWSEGKWHPKAYRARDVTFDLLKNIISIDESIHVTSDSKKVVQRRPCLWNGMKRPCYLFARKFYPEALNSLMHLLSNFTII >Ma05_p26490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38087766:38097307:1 gene:Ma05_g26490 transcript:Ma05_t26490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQAWLPGTNDPKIMPASRHRAPSRRPLWILAPILLVCMALVALYVHPLQRYSACYFFSSSVCSLKEWLPPVHTRVYNDDELAARVVIRDILLMPAVESKNPKIAFMFLTPGSLPFEKLWEKFFLGHGDKFSIYVHASREKPLHISSLFVGKEIRSEKVAWGKISMVDAEKRLLANALQDIDNQHFVLLSDSCVPLHKFDYIYNYLLGINVSFIDGFKDPGPHGAGRYSEHMLPEIEKEDFRKGAQWFSIKRQHAVLILADNVYYTKFKLYCRPRMEGRNCYSDEHYLPTLFHMVDPGGIANWSVTHVDWSEGKWHPKAYRARDVTFDLLKNIIKVVQRRPCLWNGMKRPCYLFARKFYPEALNSLMHLLSNFTII >Ma05_p26490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38087785:38097307:1 gene:Ma05_g26490 transcript:Ma05_t26490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQAWLPGTNDPKIMPASRHRAPSRRPLWILAPILLVCMALVALYVHPLQRYSACYFFSSSVCSLKEWLPPVHTRVYNDDELAARVVIRDILLMPAVESKNPKIAFMFLTPGSLPFEKLWEKFFLGHGDKFSIYVHASREKPLHISSLFVGKEIRSEKVAWGKISMVDAEKRLLANALQDIDNQHFVLLSDSCVPLHKFDYIYNYLLGINVSFIDGFKDPGPHGAGRYSEHMLPEIEKEDFRKGAQWFSIKRQHAVLILADNVYYTKFKLYCRPRMEGRNCYSDEHYLPTLFHMVDPGGIANWSVTHVDWSEGKWHPKAYRARDVTFDLLKNIISIDESIHVTSDSKKVVQRRPCLWNGMKRPCYLFARKFYPEALNSLMHLLSNFTII >Ma05_p26490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38087781:38097307:1 gene:Ma05_g26490 transcript:Ma05_t26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQAWLPGTNDPKIMPASRHRAPSRRPLWILAPILLVCMALVALYVHPLQRYSACYFFSSSVCSLKEWLPPVHTRVYNDDELAARVVIRDILLMPAVESKNPKIAFMFLTPGSLPFEKLWEKFFLGHGDKFSIYVHASREKPLHISSLFVGKEIRSEKVAWGKISMVDAEKRLLANALQDIDNQHFVLLSDSCVPLHKFDYIYNYLLGINVSFIDGFKDPGPHGAGRYSEHMLPEIEKEDFRKGAQWFSIKRQHAVLILADNVYYTKFKLYCRPRMEGRNCYSDEHYLPTLFHMVDPGGIANWSVTHVDWSEGKWHPKAYRARDVTFDLLKNIISIDESIHVTSDSKKVVQRRPCLWNGMKRPCYLFARKFYPEALNSLMHLLSNFTII >Ma08_p33530.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43793334:43797397:1 gene:Ma08_g33530 transcript:Ma08_t33530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRPVPRRESPWGLPEGDTRQPVAHRCNDRAEDVIQALFEGNPLKKVPGPFKLFWQCMRSKPGEEPTEPFYYLQLDPPKKEEAKLE >Ma08_p33530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43793176:43797397:1 gene:Ma08_g33530 transcript:Ma08_t33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRPVPRRESPWGLPEGDTRQPVAHRCNDRAEDVIQALFEGNPLKKVPGPFKLFWQCMRSKPGEEPTEPFYYLQLDPPKKEEAKLE >Ma08_p33530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43793338:43797397:1 gene:Ma08_g33530 transcript:Ma08_t33530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRPVPRRESPWGLPEGDTRQPVAHRCNDRAEDVIQALFEGNPLKKVPGPFKLFWQCMRSKPGEEPTEPFYYLQLDPPKKEEAKLE >Ma08_p33530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43793241:43797397:1 gene:Ma08_g33530 transcript:Ma08_t33530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRPVPRRESPWGLPEGDTRQPVAHRCNDRAEDVIQALFEGNPLKKVPGPFKLFWQCMRSKPGEEPTEPFYYLQLDPPKKEEAKLE >Ma10_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4242611:4243120:-1 gene:Ma10_g01200 transcript:Ma10_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKSRDHQFHRYKDRALYDLFTAQFGYIGCGFASQDSLTFSSNVNAVVQTRFHHHEKLKKIVLTWLLHSRCLRGLYGSGRARSWPWLHY >Ma09_p30110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40407139:40412157:1 gene:Ma09_g30110 transcript:Ma09_t30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSASTLPSFLLLLLFFLASPILSSAAKLGEACSADGDCDAGLRCDGCGDQQGACVRIQPYDPRSKGKDLPFNKYSWLTTHNSYAMTGASSATGASLLTFTNQLDNVTSQLNNGVRGLMLDMYDFENDIWLCHSTGGQCYNYTAFQPAINVLKEIETFLAANPSEVITIFVEDYVKSTNGLSKVFNASGLMKYWFPVDQMPKNGSDWPLLSNMINKNQRLLVFTSIASKEASEGIAYEWNYLVENQYGDQGMNPGSCPNRAESSLMSATSKSLVLMNYFRTVPSPANACTDNSDSLLSMLQTCHDLSANRWANFVAVDFYMKGDAPEAADVANGHMVCGCDNIAYCKANATFGTCDAPPKPSPATPTSVPTSDASIVGRFSTFSTVIPITVTLLLGIISL >Ma07_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7363379:7365769:1 gene:Ma07_g09850 transcript:Ma07_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDEEFREEEEVEEEEQRESPVDFDFFSLLSKPKDYYKILEVDYDATEEIIRSNYIRLALVCNI >Ma09_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3028807:3031892:-1 gene:Ma09_g04690 transcript:Ma09_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSWLITSSVASRSSRFSVLRRRIPFFSSSGDNKTTKMSGQGTPGGFSGNRASPHPRKPVSAGKSMYQGDGVYKSPNVFRNAKYPNTVMSQHSQASPESADESTYESPNVFRYAKSPHTVIAQRSRASPESIGSNIRRSPQSDAEMQHFDICKAKDVGHVILKRSLQAINREKRKEAERAKIVPQHIHLRPGMFLLKNYLDHDDQVKIVKKCRDLGVGLGGFYRPGYRDGAKLHLRMMCLGMNWDPQSRLYDSKRSIDGAEPPKIPEDFIEMVDRAIQSSHDFLNAQSHGVNAASEVPKISPDLCIVNFYDNNGRLGLHQDRDEREESLRRGLPVVSFSLGDTAKFLYGDQRDINKAQEVELESGDVLIFGGKSRHIFHGVSSIIPMTAPKWLIEETGMLPGRLNLTFRQY >Ma07_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:243527:245949:-1 gene:Ma07_g00260 transcript:Ma07_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLARFCDNVISPMTFQYAEPLSLTDLSVSEHGSKVRVGYQGSPDVFSEDSVLKAYPQGEAVPCEQFEVAFKAVVLSLVDKAVLPIENSMDGSYHQNYDLLLCHNLRLVGEVQLSINHRLVALPGVHKQQLRRVLSHPQALGQCEIASSTLDVVRESVDDSAGATQGLRDAGAIASARAADIYGHNVLEETMQAMNFLLSLFLVLAREPIIPRIERAFKTSIVFTLEEGPWSIIQSTGTSFSGEDKIHKDREQAPKESPS >Ma10_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21582120:21584525:1 gene:Ma10_g07230 transcript:Ma10_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRKWTSALKKALIPSCCRDYGKSKRSSRAATEVGRSTISEATAATKIQAAFRGFLARRAMGTLKRLIRLKNLIDGSAVGSQTANMVRRLQAMAVVQAQVRSRRMRMAEDHQALLRQLQLKQEREQQKAKIGEWNDSPRSKEQIEAKLLDRQEAATRRERALAYAFARQGKSSSKSPTPMFIDVNNLQWGWSLSERLTAAGPQEKHGHDAVKPKRILSAAQRPSQAPVTPRSNPASKASVNPSKSKPSPRNESPVTPRSKLASVASKKTPVSPGTGAWSADDGSSIRSRHRVTRSSVSDDSSLSSALSVASYTPSTASTKARSRFHSPQSDVAEGSQKGSVGSGKKRLPSPAAGKNKKNNGSSHARRTK >Ma09_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:188066:197678:-1 gene:Ma09_g00200 transcript:Ma09_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPPPPAATYAIIGPELPLFAGSSSYQDPDIVEVPPSSSAWTPSQPKRKRSQVVPHDVIELDGDDDPDDVMILGDSTSDYKNKQPVRHDKSWQKQVKDALSNDLLSSSANNGSEHAAPLDSLKIYDSGPLDLKYFDDLENEYAYDEDDYDDYESGAEVIENVFNFNLAAKFDDLDLPTGIEATVPWLKNSAAEEPSKNKQTLVLEDEIDTKYRLFKQFDTVRDHSDHHFTRPGHLKIAPTVKKPSKDWAKRIQHEWKVLEKDLPETIYVRIYEERMDILRAVIVGPAGTPYHDGLFFFDFFFPPNYPRVPPLVHYHSGGLRLNPNLYACGKVCLSLLNTWSGSGCEKWNPSNSTMLQVLVSIQALVLNAKPFFNEPGYAQTANTDYGEKKSLAYNEDTFLLSCRTMLYSLRRPPMHFEDFVAGHFRSHGRIILVACKAYMDGAQVGCIVGEGVQDVDEGDKSCSAAFKSSLKKLFEDLLMEFTVKGADCDEFLAQKVKIGTAKMADTTLRL >Ma09_p00200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:188066:197678:-1 gene:Ma09_g00200 transcript:Ma09_t00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPPPPAATLPLFAGSSSYQDPDIVEVPPSSSAWTPSQPKRKRSQVVPHDVIELDGDDDPDDVMILGDSTSDYKNKQPVRHDKSWQKQVKDALSNDLLSSSANNGSEHAAPLDSLKIYDSGPLDLKYFDDLENEYAYDEDDYDDYESGAEVIENVFNFNLAAKFDDLDLPTGIEATVPWLKNSAAEEPSKNKQTLVLEDEIDTKYRLFKQFDTVRDHSDHHFTRPGHLKIAPTVKKPSKDWAKRIQHEWKVLEKDLPETIYVRIYEERMDILRAVIVGPAGTPYHDGLFFFDFFFPPNYPRVPPLVHYHSGGLRLNPNLYACGKVCLSLLNTWSGSGCEKWNPSNSTMLQVLVSIQALVLNAKPFFNEPGYAQTANTDYGEKKSLAYNEDTFLLSCRTMLYSLRRPPMHFEDFVAGHFRSHGRIILVACKAYMDGAQVGCIVGEGVQDVDEGDKSCSAAFKSSLKKLFEDLLMEFTVKGADCDEFLAQKVKIGTAKMADTTLRL >Ma10_p28280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35212864:35221850:1 gene:Ma10_g28280 transcript:Ma10_t28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSGSATHLLFLLFLVSFAAFAAVRAAAFSRHGLTDAEAGLIRRRQLLYYLDEYGDRGERVTVDPSFHFANPGLRAAYVALQAWKQAILSDPHNFTGNWVGPDVCHYYGVYCASLPCNGSLTVVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPHKFRQLTRLFEIDISNNRFAGKFPGVLLELPSLKFLDIRFNEFEGGVPRELFDKPLDAIFINHNRFAFDIPDNFGNSPVSVIVLANNRFRGCFPASIGNMSNTLNEIILMNNGLRSCLPPEIGLLKKLTVFDVSFNELLGPLPEEIGGMVSLEQLDVAHNLLSGCIPESICELPHLQNFTFSYNFFTGEPPSCLKVQSFDDRRNCLPERPLQRSGKQCGSFLSHPVDCSSFRCKPFVRALPPPPSPPPPVYSPPPPPPSPPPPSPPPPVYSPPPPPPSPPPPSPPPPSPPPPSPPPPSPPPPPPPSPPPPSPPPPSPPPPSPPPPPPPVYPPPPPPPSPPPPPPCTGPPETSPPPPTPYYEAPLPPVVGVTYASPPPPPFY >Ma09_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7721598:7722513:-1 gene:Ma09_g11410 transcript:Ma09_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTKTRSRRGPQVCCFLILLLFVTLIILYLTVFKPKQPEVRGTVVGLRQIEFQPFPNFMLNITLVVAVTIENPNYAGFEFESGTTLIFYRGVLVGQAPVMEGEVGARSTETLSVYVELEVSTITANPNFVQDIASGILPLESFTSVRGKVTILGVFKLNASVFTSCDITVALLSRYASATCNSRVST >Ma06_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21440970:21443598:-1 gene:Ma06_g23430 transcript:Ma06_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAVAARRLLGRSLSLRPTVAARIGASRPSLRPLPRSRPAATASRFPRSPVETSFCLESLLPIHNATASALMTSMLTISRRGSGWLSEAGNDGV >Ma03_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28457932:28462132:-1 gene:Ma03_g24090 transcript:Ma03_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, Cytokinin signalin [Source: Projected from Oryza sativa (Os06g0183200)] MGISVLVLVILTSQIVEHTMEDANEETLEALVSQIKDHSNFLLQANTFTYEAAKALSWEENLSSFFTSGSKIVPQLYVPFLMEQWVAQISYIEPRGILFSYYRDGNQSYNLFSNVSNTSDDFFAYSWCTQALDGETGKPYGKIVCFQPGQSNDSKGASSGRKGEASWGVGWGNAKEQMLLFTAPVAKSGILSLGVPLRSLRDSISRINLQGGCFHLAVEGHIIAQNGPPHTHFVYNNSMMSVLVMDENDTNVLKEYDSFACHDNTSIRPSNTNYLWISGKRYQLDCFLLNVSGVQLVYTVTLPDKEVVPLFQKTKIAIVLLVLFMILGTILGSYVMLQLLQRAQLHETFLRADLIKQKGIIQQAERKSMNKSLAFASASHDIRTSLAGITGLIEICRSDTPQNSELSRNLEQMNGCVSKLLGILNSVLDISKIEAGKMQLEEIEFDIAQVLEESVDIFHVVALKKGLEVIWDPCDCSILLSSNVKGDCRRLKQIIDNLLGNAVKFTSEGHVVLRAWAKKPSLENLRCSSQNFCNSRNAFNPLLRWISKDKRDQSLIQNDNSLIEIVIEVDDTGMGIPKEKRASVFENYVQVKESSNRDYEGTGLGLGIVQSYVRLMGGEIKIQDKDPSEPGTCFRFNIFLKSSDSEGEEKDEKSSILCIESSTQIIQSNARTLAFRRGLSIEGIHSLLMVEGDETKRIMQRWMQNLGVKVWTINHRELLYPTLEKIKDNFNISGKFDTKSLVNFLNMTASYKSSKEKEDIEMDNVLPSTNKEFKNSSGGLSIYWLIVVELIHANFSEIASALKNFTDKIPRSHYKIVWLLNSNAPGEDLRRSKEVPCDLILQKPIHGSRLYLLLRLLQNFGRENEDLILDERQQYGESNSFMESDKFVVNSSILRSNQHANQIFGSKNSLKDEKPLKGMNILLVEDVSTLRHVATTMLARLGATIKSSENGLDALNLIRDALKELSPAHERDTEMGESKRFYYDVIIMDCEMPIMNGYEATRQIRQEERNYDLHIPIIALTAHATSEEENKSILSGMDFHLTKPIQARELIHAITTICQ >Ma04_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6054349:6055242:1 gene:Ma04_g08460 transcript:Ma04_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRGGCVLLALLFSFFSSSCGRTSSDGSASRSMDSLIRDRAFHELVRRRTGVAYRVPLPANLSTMEASVLRLRSSSLWRRGANLCASHIPPGTLAVPHVRRVVVVYQNWRGMSASYFGVPGYELAAPVIGLFLYDASDNASSAELDLRVTEDPVSIRFPVAAPDSSTRCARFERDGSVHLQNPASTGECTARSTGHFTIIVPSGSSSGHAPARKEKKWRVLAMDIVGGMFALALVVLMGVGIRRLVKKKRTMKQIVRHAEENDALGAACVGKSRMPSAAMTRTRPRMENEDAPMT >Ma10_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25909378:25915007:1 gene:Ma10_g12950 transcript:Ma10_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSHAGAPPPSPAAAPPPPIPVGLAAALPPNTEVQGDAKGVSEKVDWLNLPCPVAFEEIQREALMSLKPELFEGLRFDFTKGINQTFALSHSVFMGSMEVPSQSSDIIKVPTAHYEFGANFLDPKMMLIGRILTDGRLNARVKCDLTDNLTLKINAQLTNEPHFSQGMFNFDYKGQDFRSQFQIGNNAFYGANYIQSVSPHLSLGSEVFWLGHQRKSGIGFAARYNTDKMVTTGQVASTGIVALSYVQKVSEKVSLASEFMYNHMTRDVTSSFGYDYILRQCRLRGKLDSNGVVAAFLEERLNMGVNLLLSAEIDHSKKDYKFGFGMTVGE >Ma04_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2551957:2556879:1 gene:Ma04_g03290 transcript:Ma04_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVVGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGSLFIYIFNFELLWVHVTCYAGKFPTLVTHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQVFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma04_p03290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2551957:2556879:1 gene:Ma04_g03290 transcript:Ma04_t03290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVVGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQVFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma10_p29620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36150956:36152792:1 gene:Ma10_g29620 transcript:Ma10_t29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGQKKTNSPSPPPPVQERNAISSSLFFFLLLFFFTSPTGAAFFFALAPPTPPAHLRFEEANQFHNSDICPSASAGVGRATACDPSYVHIAMTLDSHYLRGSIAAVYSILWHTSCPDTMFFHFIAPAGGHDGGDDKLPSLLGSIVRSVFPSLRFEVYTFQEELVSGLISSSVRQTLENPLNYARVYLADLLDPCVHRVVYLDSDVVVVDDVRLLWDDAAARLASAAAVVAAPEYCHANFTRYFTSAFWAEGGARVFAGRRRRPCYFNTGVMVMDLRRWRAGGYRRRIERWMEVQRERRIYELGSLPPFLLVLAGEVEGLDHRWNQHGLGGDNLTGECRWLHPGPVSLMHWSGKGKPWDRLDAGSPCPVDHLWHPYDLFIRPSSGTDILAFFILYWSS >Ma10_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26721827:26724994:-1 gene:Ma10_g14200 transcript:Ma10_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFTGRPGSWLKPSAGHNRARAGNGIVYPVLGIAVCVALIYLMLGDFKFSPGKSQLSFVQRNGTQFVVDGRAFYVNGWNSYWLMDQSVEESSRPRVSEIFQTGAKMGMTVCRTWAFNDGAYHALQVSLGIFDERVFKALDWVIVEARRHGIRLLLSLVNNLQHYGGKTQYVKWAWDEGFGLSSSNDSFFFDPSIRSYFKIYLKTILTRKNHLTGIEYRDDPTIFAWELMNEPQCQSDASGDTLQEWIEEMTEYVKTIDKKHLLTIGLEGFYGPVSPEVKQNINPGKWYSTLGSDFVRNSKVPYVDFASAHIYPDQWLVEADLNEKVEYISKWITSHIEDGEKTLNKPIMFTEFGLSTKAKNFEYSHRIIFYKSIFNKIHESARQNGAGAGALIWQLLVQGMEDYNDDYGIVPRERPSVDKLIKEQSCQLIAVRYGKNSVDKSSVIC >Ma09_p29870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40212889:40219028:1 gene:Ma09_g29870 transcript:Ma09_t29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRGFWSRHRRRILISLGVLGSGYLVYKLYESHSRRLSELERQLDGARRVDELIKNQLQAHFENIQRISDTTTLPYAMHYLRSRILEDLDLSHLTEKLMQGKGQSNALSGKEKLELWDRLKILSFTRTAASLWSMTVLCLYVRVQVNILGRQLYLEIARGSENSLSLDEIDSFSRHGQQDFLATADYLATYGINSLIMNMQNAAMEVLKDKQLKEPFSMLQLRETMIQILEMFMNTSESNYWIHYLVPDNVNDYKQQMAMSAKGFDDSSILMDATKLEQLLFETHAVLSSPDFGNVLEISLKKVVNILIEDIGIHVGGTSSIGIPLVKLLPQITRLSLPLLDEPSSNKFVQAIRSLSEVELFYTLLYANMPSAS >Ma06_p28200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29931700:29937001:1 gene:Ma06_g28200 transcript:Ma06_t28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLAMGRGCFRSRNMAVIPCSILLSFSLLAGQVGGQFSDGLGFISLDCGYGRDAQAYYVGPLSGITYVSDAPYTDSGETHNISSVYASVTLPQRFLTVRSFPSGARNCYTFKSITPRLKYLIRASFLYGNYDSKNSSSVQFDLHFDVNFWKTMTVTSRSRRYYTETIMEATTDLISVCLVNTGRGTPFISSLELRPLNRTLYPVVNASLSLILSSRFDMGLTDDYVRFPSDPHDRFWNPFNDTTSLTKTSTNLTVENHVDDHFEAPHVVMKTAVVPVNSTKLALSLATEPGGLDEYYAVLHFSELEPLLQNESRQFFVYLGGTLLNDAKPFTPDYLSSSAVYSTNPTSAPTHYNISLVATSDSTLPPILNAAEVFSAMQNTIVPSDSRNVDFVTEVAQHLSRVHHRNLVSMIGYCIDGEHLALVYEYMSQGTLKEHLRESRGDRPLSWEQRLRIALEASQGLEYLHTGCKPSLIHRDVKTANILLNERLEAKISDFGLSKAFENEDHSHVSTKVVGTPGYLDPEYYVKNQLSEKSDVYSFGVVLLELITGQPPILIGSENIHIVEWVYERLAKGNVEDVVDKSLQGEYDVNCAWKIAYVALNCSMQSSTKRPTMTEVVMQLKERLALQSNLDETQLEGRNTLKLLKEHGEMHRISPFEIECASISDKDGPSAR >Ma06_p33470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34097767:34106473:1 gene:Ma06_g33470 transcript:Ma06_t33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIHRVPVKDETGSNVSSHPREEEYGAISDHLRNHVHLTNCIHLKNHMHRRSPNFAERSLMRDLIVLQKSTSLRDPSTSPPSWVSPYIVAGFARKSEKDGSTNSRRRSIEVDRRREIGRLSVSSPLVGGVATAKVTAVEAAGGYDFEQRDMDAVINTAEETGRSRKSDSLSGNRVTLKETPPKEVVGQEKEEPDKRANGQIFTPKALPEQLEEVPNRSCNFGKKNSDLFLHGRHESKGRTNNEVENTNHDHYRQSNRGKKCRLRGARRARGSVDLGGFGDRHDLTIAPDTVAQDSKNQKGYAEDFEEDTELEAARMQRNVCGIPWNWSRIHYRGKTFLDIAGRGLSCGLSDSRIKKAGGPVPQREGNTSNVATASDHFTPSTSSDSEVLPLLIEAPDSQDSGAHRFLSRDYSGELEIFSNHSLRHDRDSDLASEVRSSQQRSRQCGRGRHRSLTQKYMPKTFKDLVGQNLVVQALSNAILRGKVGLIYVFYGPHGTGKTSCARVFAKSLNCLSVEVPKPCDVCSSCISNNLGRSRDVLEFGPVGNFNFESIKDVFDDVMLLPRSSQYRVFILDDCDGLPSNFWSTIIKDIDRAPRHLIFVLICSNLDRLPHIIISRCQKFFFPKVRDSDIISTLQWIATSEGLEIDKDALKLIASRSDGSLRDAEMTLDQLSLLGKKISLPLVQELVGLVSDEKLVDLLDLALSADTVNTVKSLREIMETGVDPLALMSQLATTITDILAGSYVFTRERLRRKFFRQQILSKEDMERLRQALRTLSEAEKQLRASSDKLTWLTAALLQLAPDQQYMLPSSSTERSLNHSPLFLKNHCMTDTHGGSTNKQDDMQLVERSLLRGVGQGYSNGRSDDDLRNCITVANGKGDGGQTSHISGRRHKNIEKIWQAVLEHIPSDTLRQFLYHEGNLNSVSLGVAPTVHLAFSSNANKSRAEKFRGQILQAFESVLSSPVILEIRCRSRNGVRSDVPVALPGSESGSSKMTKKRQSVKNKKLLYSESENLAGKLIEENVLRRICSSKSRWLHPGPHVMTEDEIVEAEPRENEPMNKTMGLKEKGLGSVGEEASTSHHRNMVPLSERKGTEQNQNKSLVRGRVSLAHVIQQAEGCSRRGGWSRHKAMSIAEKLEQENLRLEPRSRSLLCWKSSRTTRAKLSNLKIRTRRPRFLLKLVTCGRCLHTRSPK >Ma09_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34159962:34160779:1 gene:Ma09_g22170 transcript:Ma09_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLAGAPGIRARSTKVILPDGRVRRIEAPINAAEIMLDEPGHFLVDSRSMHVGRRFAPLAADEDLEMGHVYAMFPMKRANAVVAAADMAVLLMAAQKEVRRELGHGARVLPEMAERSVPKPDLESMTEASEPAAVEIGEFKYRLSMCRSRRPTLETITEEGICSR >Ma08_p02420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1890509:1894309:1 gene:Ma08_g02420 transcript:Ma08_t02420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRQVSLLLSGGLCFKHCRLFFIFLLTFTSVVVAQYLQVLALIAISWTVHSIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRTVPTAKGQALADEYGVKFFETSAKTNLNVEQVFFSIAGDIKQRLAESNNKPEDRTIKINKPDQAAAEGTAAPRSACCGS >Ma08_p02420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1890123:1894306:1 gene:Ma08_g02420 transcript:Ma08_t02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADHDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRTVPTAKGQALADEYGVKFFETSAKTNLNVEQVFFSIAGDIKQRLAESNNKPEDRTIKINKPDQAAAEGTAAPRSACCGS >Ma08_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1891186:1894306:1 gene:Ma08_g02420 transcript:Ma08_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVIRILKPIGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRTVPTAKGQALADEYGVKFFETSAKTNLNVEQVFFSIAGDIKQRLAESNNKPEDRTIKINKPDQAAAEGTAAPRSACCGS >Ma06_p28700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30301295:30302241:-1 gene:Ma06_g28700 transcript:Ma06_t28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVKQLPLLFLFLLAFSASQAIHARESQFFSKTSREEPKEAAAPSKGEAPAATKKEDPPALPPQSHNGHGLYGRGPYRFSPTTTSSYGTYNYNGGARGNRDSTFQSGVPAGTELGGEEKFENGEEYHAVYNYGTRWKPDNNRPSSFSNEYETTPTTTPPAEWKGETDPTKQYGMSDTRFLENGKYFYDVNAEGKQYAQRSYQWRGNPEGYEPYTGARTGGYGDRRYGSWRGGYGHSNAGEEYQGSQEDYVP >Ma07_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15562181:15566966:1 gene:Ma07_g16810 transcript:Ma07_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCENSFESSPEMERFLCERLLDPSQPISERFRALFSLRNLRGAGPREALICATRDSSNLLAHEAAFALGQMQDVEAIPTLVNVLKDMSLHPIVRHEAAEALGAIGLDSVIPILEDSLTNDPAPEVQETCELALRRIKEQKSLNSMDGTSPINASPFLSVDPAVPTSLISSVDQLREVLLNEEEGMYERYAALFALRNDGRDAAVSAIISSLGARSALLRHEVAYVLGQLQNKTATATLSEVLKNVDEHPMVRHEAAEALGSIADGECVALLKEFASDPEPIVSQSCEVALSMLEYERSGMSFEYLFLQKPLVQ >Ma04_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1425036:1429578:-1 gene:Ma04_g01590 transcript:Ma04_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKPVLFLLCVTVVAPIVLAITPGFVGNSHFGDQIPILSSRNEVETLNALSQESVDAVKEPTWAVYPENSSNSDHVSAKSSNGVDASTTTEMPLGQSGQHKSRVLSEDSEGTNLQTKTVIQQVTKSESKDDGLQKPEMGRENKATAFKKSTFATESGEQDHQKPEKGSNIDSGDMPDAKIRQFRDQLIRAKVFLSLPSSKTYPEFIRDLRVQMRDTERTIGDATLDSELPKNAREKLKAMEQTLLKGKQIQEDCSAVVEKLRASYQSAEEQVRVQKKQELFLTQVAAKTLPRGLHCLSLHLTTQYYLLDSSQQQFQHQERLEDPKLYHYALFSDNVLATAVVVNSTVFHAKNPKNHVFHVVTDRLNYAAMKMWFLANPPGNAAIQVQNVEEFTWLNSSYSPVLKQLGSQSMIDFYFRLHHAKSDGNLKFRNPKYLSILNHLRFYLPEILPKLSKVVFLDDDVVVQKDLTSLWTIDLKGKVNGAVETCGESFHRFDRYLNFSNPLIAENFDPHACGWAFGMNVFDLDEWRKQNIIDIYHHWQTLNEDRLLWKLGTLPPGLITFWKRTYPLDRSWHVLGLGYNPNVNQQDIEGAAAIHYNGNMKPWLEIGMAKYHNYWSKYVNYDQVYLRDCSINP >Ma04_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23945639:23955970:1 gene:Ma04_g21400 transcript:Ma04_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNTDYFSSRSPIETLRRFQFFPLPPPPLPPLLHDPSLGVQIPFSDLDLDLRIPLDIDLFPIENARSQFLSDVIPKIHPDTDHRSSLRFAPRKRRLVSPLPEIDDPEKKSGAACHYEDDQKGWGSSRSGATDADISDEVSILIQGEKDVDECLDLRSSVFVAKDLEGNELLKEHLFEIVELDLPLGESMGSSKTEEAGIYFKIPDITIPLDSLDIDVEVTVIYPHKVAKSTYLVDDIHAKSDKEEFSPLKVNSSSVDRTLDHNMILPQLEVHEHYLDPDAGIANAEVLSCMLPLFEACDGHQVDKLAINANEFLESNSVDIMEHISEDTSMDYFPEEKPMSLSSILDMNVINLGDIMLLERGSVIYCVAANGDYSHMPCSVHYQEVQNFDFPSDDVLQIVVNSQQAKTLDMTELMVIDDMDFAGGLYQSFVSTELALIDDTFKSLPTPILCDDKAIKSVSMVVEELLHALKPHSLSASDGIYLDWHPLLEGVCNREICFTYMNMLHNVSSCSTTSDLQTDIAENAAIDIDFFDDFLENVDTLQCEELPTELHRNIPHITYSSSKPESTQMPNNGKFEENAERKVNIITQKTSFLSESMSQSNDLSFFLDVRKGTSMGNYKDGTVKCSDKHITAPIAVLQEPSIPCGIPKEVFAQWVIDVHTVCLSDHILGLMDHIKKSYSAILEESPYLKADLVHIANESETSSLSRQKLLNLIINKISKRCTSDSSHEDVMAYVALYGIKQLSYFLCFFGVHAAHLYVSYLVRNIKTMAERLRSLEALLEDECWKSGKQLIDPHPSLSLIEGLLMSNIQNSEKILIVAERVFWLPLTWKLASMGIKLHAVKANSLPPSNLDGIDSTEYDNSVLEHLHHSDCLLVSYENVSTSFPFNNFSVILEYGGPYASSRLSSLHPKSDALPQVHFIHVKIENHLTPIVLCEGFHACSHPGSTREAFSQFMPSVQQSLNNIIETLNFVPTEEKSKCGSSESANQMESSYENESINIPSFVRLKNVDSGAPCFPDIVVIVNTQSFKKEMLISRRTSYQKILAMEKAGLQVVERDIDLPLDLIFNAAVCLIWYEAQNFVDKKATRVEDSFITMFVENIATSTLMSLSYSFSACILIFEGESSFLAAIMESSDALYAAAASLDMNLQLFCSHDADSTDDIILSCIRSATRSAGDLYPAMPESESIGESFLTRFPSINPLSAHVILSSGGSLVEFLEWSNERRIQAVGKYHVPEESISLFSALCRYGEVGESKSVMTESSSIDSDFNSRLLSSPRKKRRHACHTYLMPSGDSFLAEPLNQNISTMEEPPAFQQYQLRNFSNIQEKMGKIKSNYYSDTLGKRPSGSTVIDHDLNSLVRHSYINKDFIDDINQHDYNFLEEKFPLASDKFSFLEKPELGIEPADRSSHAVSPRGLRMKGHSIFPSSTEIHHDTNKRSSMKDHYLSFDDISRKDNVLIKTQEYQKEKFMQDHRTNIVGLSAQEKVPPAYGENAFSNATQPSRSQGQCWITDFLHRLKEKGNGQQQTLPRNPCFNCRRTSNVKDRPSRSQSPSVIDTYRYQGSNQTRNTTRNKWRKDAKRPSISNKRECKESSFITRTWTPIDKRARQNLSFTKNGNEKQSKLVWRNRNSPNVGCSIRKRCRDDR >Ma01_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24359927:24368491:-1 gene:Ma01_g22320 transcript:Ma01_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIRTLAAILSILFVLVASVASSRLLLDDDKVSPSPTPNPVTSTKNNLSKSSQKPPANPSNSADPGSSTYPPPPNSTPGEQTKKNNGPGGNPNNSTTRTDTTSKEDPAKSGSPASQSKEDKEESGRPTKPENNSVVKETCDSQSTRCSYEKLVACLRRSENDSKNLSLLVQNTGDDALSVKIWGTPALHIDIDMVALLKNNSKKIYLPSNDWNVTEIVLSAGKGHCTIHIATSVPDWNLFQQFPSYATRLTPIYGAYFLLVAMVLVGGTWACCRFRKRGKRDDSGIPYQQLEMGVQPQSGSAVDSNAVDGWDDWDEDWDDEAATKPSEKHTSTSVSSNGLTSRTPKKDGWDADWDD >Ma10_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21375130:21383549:1 gene:Ma10_g07030 transcript:Ma10_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPTFSSACSLPRSLPVPPARSRRSGFRCGSIFGDNEDYYVDEPESAGDGFFFSGGKYSDGPSRSDEWFAQGKMVKAYPVYGGKGKAKDPVFGLTLGAGSQSEADLFRWFCVEAGSSLNPKVILVHGFPSQAYSYRKVLPVLSEDHHAIAFDWLGFGFSDKPQPRYGFDYTLDEYVSALGSLVDVLVPDKFSLVVQGYFSPVVLKYASCHQEKLTDLVLINPPLMENHVKLPSSLALFSNFLLGLIISQDPLSASDRALTSCGPYMMKEEDAMVYRRPYLTSGSSGFALNALSGAMKKELKAYVEAMRTTLASETWKTRTTICWGMRDRWLKYDGVEEFCKASNHKLVELAMAGHHVQEDSGEELGRIISQIISKRK >Ma10_p07030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21375130:21383549:1 gene:Ma10_g07030 transcript:Ma10_t07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPTFSSACSLPRSLPVPPARSRRSGFRCGSIFGDNEDYYVDEPESAGDGFFFSGGKYSDGPSRSDEWFAQGKMVKAYPVYGGKGKAKDPVFGLTLGAGSQSEADLFRWFCVEAGSSLNPKVILVHGFPSQAYSYRKVLPVLSEDHHAIAFDWLGFGFSDKPQPRYGFDYTLDAAEYVSALGSLVDVLVPDKFSLVVQGYFSPVVLKYASCHQEKLTDLVLINPPLMENHVKLPSSLALFSNFLLGLIISQDPLSASDRALTSCGPYMMKEEDAMVYRRPYLTSGSSGFALNALSGAMKKELKAYVEAMRTTLASETWKTRTTICWGMRDRWLKYDGVEEFCKASNHKLVELAMAGHHVQEDSGEELGRIISQIISKRK >Ma06_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9266987:9269967:1 gene:Ma06_g13530 transcript:Ma06_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKRIVKKRVKQFKRPQSDRKICVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVADLELLMMHNRTYCAEIAHNISTKKRKLIVERAAQLDIVVTNKLARLRSQEDE >Ma03_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9630103:9635163:-1 gene:Ma03_g12500 transcript:Ma03_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLQGRLKCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERSTDGVLTAVQTLASTALCGIVHSIIGGQPLLILGVAEPTVLMYTFMFNFAKDRADLGRNLFLAWSGWVCVWTSFLLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFRIPERENPKALEFIPSWRFANGMFALVLSFGLLFTALRSRKARSWRYGTGWLRGLIADYGVPLMVLVWTGVSYMPTSSVPKGIPRRLFSPNPWSPGAYENWTVIKEMLNVPFLYILGAFIPATMIAVLYYFDHSVASQLSQQKEFNLRKPASFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRSRLVATARQSMSQNSSLSQLYGNMQDAYRQMQTPLIYQESSARGLMELKDSTVQLASSMGNFDAPVDETVFDIEKEIDDLLPVEVKEQRLSNLLQAMMVGGCVGALPFLRKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEEQHATFVETVPFKTITFFTLFQTIYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYFLPKLFKGAHLTDLDAAEYEESPPLAYNLAAEIDIAKGCSFAESGEVLDDIVTRSRGEIKHMNSPKLTSSSGTPTNDIRGKTSPWLSDKAYSPRLNELRQDHSPRLGGRGPISPRTGEVGPSKLREGARDSPSK >Ma03_p12500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9630103:9635163:-1 gene:Ma03_g12500 transcript:Ma03_t12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLQGRLKCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERSTDGVLTAVQTLASTALCGIVHSIIGGQPLLILGVAEPTVLMYTFMFNFAKDRADLGRNLFLAWSGWVCVWTSFLLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFRIPERENPKALEFIPSWRFANGMFALVLSFGLLFTALRSRKARSWRYGTGWLRGLIADYGVPLMVLVWTGVSYMPTSSVPKGIPRRLFSPNPWSPGAYENWTVIKEMLNVPFLYILGAFIPATMIAVLYYFDHSVASQLSQQKEFNLRKPASFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRSRLVATARQSMSQNSSLSQLYGNMQDAYRQMQTPLIYQESSARGLMELKDSTVQLASSMGNFDAPVDETVFDIEKEIDDLLPVEVKEQRLSNLLQAMMVGGCVGALPFLRKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEEQHATFVETVPFKTITFFTLFQTIYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYFLPKLFKGAHLTDLDAAEYEESPPLAYNLAAEIDIAKGCSFAESGEVLDDIVTRSRGEIKHMNSPKLTSSSGTPTNDIRGKTSPWLSDKAYSPRLNELRQDHSPRLGGRGPISPRTGEVGPSKLREGARDSPSK >Ma03_p03620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2423577:2425819:1 gene:Ma03_g03620 transcript:Ma03_t03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASSQAHETQSEDFQAAIAKAVELRSLHAALLKRSNLGGSAVLGPPVGASPSLSRHSNPLSAAEDYPVFTPSYEEEPLWDRHYIQPENRSLSETWRSINLRTGKNDEAVNMSRNVVSASNSEQNVCFTNEHFSKRSTCVNHKLQASLIADVLNSSSSGTSPAYEAITTCNSCKPATINRESESEHKKSKLVTSSSHESEPPIQVHTKHRGPLLSWLFPRSKKKLKPEMSPNPIESEDMAQLLKEWGLLSLESLKKQLLEANNNRDAALAEVSEMRSSLGELQQKLVTLEIHCEELKKALKQTKHVKNDQILDRPNLSRRTKSSGGIKDNLMPVSHEVMVEGFLQMVSEARLSIKQFCKMLIHQIEETDCNLMEKLNLLLQSHRMALSNKYSKALTYHIVEALVNQSMYQDFENCVFQKNGSPKFLDPRQDRQENFSSFISLRNLSWNEVLCKGTKSYSEEFSRFCDRKMSCIVSLLNWSRAWPEQLLRCFFVAAKCIWLLHLLAFSFSPPLMILRVEEDQNFDPLYMEEILLGRRRAQIPGRVKTMVVPGFYIEDRVLRCRVLCRY >Ma10_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32069058:32070300:-1 gene:Ma10_g22910 transcript:Ma10_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRSKSVGRSSEAAQAALAAAGEEGEPSSPKVTCIGQVRIRNKKPAQPETPRPKDPKPPCQCFHKALLCSLFPARKKPKGGGGRSLWRRWVPLGGRSGGYQRREPDSPRAPPLEFIVIKTDEGDEEAEAEEHEEATRVFVASAPPKNALLLMRCRSAPHNSATSLATNARCAVSSLPEHQLPPPPASARGDEAESGHTELRKKKKNKSNERWRDLAAAAAELSEVDEEEEEDEEEEEAATGSESQRPLVLTRSRSEPAWRRRRAA >Ma10_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26147445:26160188:1 gene:Ma10_g13290 transcript:Ma10_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYALHLAMAALVGASFVAVSAYYVHRKTLAQLLELARAVDRDRDGGADGEGDGTFRRGPSLRHGGRRKGPGYYRRGAGSVSLPDVMAAVDGEEEDEEERVQRRRPVVNGPFLGDADEVALRGFPIPPGLPRLQTVPEGNKQSVHSSFNKRAAHGIRPTSPKSPVASASAFGSQEGSDEDDNLPNDSGLDNTYLETNGDIDQENKNLFQALPDHITDNGDQKSLAASSIIRSHSVSGNLHGGQPHPVAADILRKEPEQETFVRLRITPNEKPSPDEVEVYKILQNCLDLRDSYVFREEIAPWEKEVITDPSTPKPNPSPFAYTAEQMSDHVFQMEDGVVHVYANKDSMDRRLFPVADATTFFTDLHHILRVIAAGNIRTVCHRRLVLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGSDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLAASKYQMAEYRLSIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNMLDNIFLPLFEVTVNPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLATTFLCANNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPLPIFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVLQSGFSHALKSHWIGKSYYKRGPEGNEIHKTNVPHIRVEFRNMIWKEEMQLVYLGKAIIPDEIDK >Ma05_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4944007:4949753:-1 gene:Ma05_g06720 transcript:Ma05_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVERVSSKDKQVNPIAPTCMDSTRSTETGNNVEVHTSPCQSPRPPASLDSVSSKASHKKNLEPIIKNGTNVMKNPPRSPKCYPNPCPNATLPMIFETASSSSSTSTSMGTSTTTVTTKSSDAKLSDTCTTVNRGSNSSNRSDSIESANSAPIKRHTGGDCRWEAIQLANARESPVGIGHFRLLKRLGYGDIGSVYLVDLRGTGTYFAMKVMDKASIVSRNKLLRAQTEREILSMLDHPFLPTLYSHFETEKFYCLVMEYCSGGNLHSLRQKQPNKYFSEQAARFFASEVLLALEYLHMLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVDPTLVKSTSGRTSSSSFTNSMLDSEHVVQSCIQPSTFFPRILPKRNRKSKSDFGISNGSNLEFMAEPTHARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGSGNRATLHNVVGQPLRFPESPLVSLVARDLIQGLLVKDPQKRIAYHRGATEIKQHPFFDGINWALVRSMTPPHIPDPVNYNQFVSKEKKGSESGLSGSSANKGSSNDSSYLDFEYF >Ma06_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15458559:15459921:1 gene:Ma06_g21300 transcript:Ma06_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANSDSSGNSGALDGGGRPSRYESQKRRDWNSFRQYLRNHRPPLELARCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPHPPAPCPCPLRQAWGSLDALVGRLRAAFEEDGGQPEANPFAARAVRLYLREVRDSQAKARGIAYEKKKRKRPFPPLQGYNPQPPVPMAAAVPVIGYDQPESDLNLVSGGYQHHLHGHLMLPTVDASGDEAAAMAVAEAHSGGMIPLSVLN >Ma06_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9083713:9089326:-1 gene:Ma06_g13270 transcript:Ma06_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKTLPHHISDSNRRIIETSGPSRRVYQEWKGSNKFFLGGRLIFGPDVRSLALTISLIIVPVILFAIFVSQKLVNEFHHYGTLIIAITLIFAAYDIILLFLTSSRDPGIVPRNAHPPEPTDNGNISPSLCADWQGSHGGSSSLPPTKDVFVNGIIIKVKYCNTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYHLFFMFVFSTTILCLYVFAFCWVNLKKIMEAYECNLWAAVLKSPVSGILVIYTFIATWFVGGLTAFHLYLICTNQTTYENFRYRYDGKMNPYNHGCIHNVKEVLFSSVPKSMNNFRAKVKEDSAGFTSSRSLGRVVSPDMPKTSFDLESGGKRHTVAAEELEDIQSQFEIGASERCDTQPPHSSWPGDKGNWEITHDIEALAAEFGMEHGYGDNGNTRGIP >Ma06_p13270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9083713:9089326:-1 gene:Ma06_g13270 transcript:Ma06_t13270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKTLPHHISDSNRRIIETSGPSRRVYQEWKGSNKFFLGGRLIFGPDVRSLALTISLIIVPVILFAIFVSQKLVNEFHHYGTLIIAITLIFAAYDIILLFLTSSRDPGIVPRNAHPPEPTDNGNISPSLCADWQGSHGGSSSLPPTKDVFVNGIIIKVKYCNTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYHLFFMFVFSTTILCLYVFAFCWVNLKKIMEAYECNLWAAVLKSPVSGILVIYTFIATWFVGGLTAFHLYLICTNQTTYENFRYRYDGKMNPYNHGCIHNVKEVLFSSVPKSMNNFRAKVKEDSAGFTSSRSLGRVVSPDMPKTSFDLESGGKRHTVAAEELEDIQSQFEIGASERCDTQPPHSSWPGDKGNWEITHDIEALAAEFGMEHGYGDNGNTRGIP >Ma07_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17020257:17025292:1 gene:Ma07_g17310 transcript:Ma07_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVYLLCYVASTTITSSLLSLALAFQSIRLFFCRFGSPGPEVGGREGDGDGDGDAAVWLYEGRVHHARRRPVAHAFEYPVRYALIDLDRVPQPPHLSADRAREIAHTNGPVFLLTIPANMGYEQNPLSVYYCYEVAEDEGREEVGPAPILRMCIAEVTNTPWGERVSFVFCPGSDVVAKPLHVSPFMDMLGNWCMFADAPGEDLSLVISVQHPTLGNYFTATLRARRVHSFDSNFLATYFWLMPHKVAMWIYWQALKLWWKNVKFLDHPKYLSSRYRDDAVLRDRELLSQNAGQSKILPNCTSNMDFKGRWCVWSEAPWPWS >Ma06_p29800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31181618:31182561:-1 gene:Ma06_g29800 transcript:Ma06_t29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSSGIFASICLLHAAVAATCGALMMFYLNEIAVVGHGRETARRLQGSTPHDQLLIQTSDSFAGLLLFAIGLLLFMVAFVKDRDFQAFFAKGCILLHAAMALWRVFFERRLEDLARDWPRQLVGDLVLSLSWVLFLVYSWREKYD >Ma06_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12771001:12771405:-1 gene:Ma06_g18690 transcript:Ma06_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIYLVRPNTVSCPCSVTRLVRFRFRFVLSEAYDLVGRGGVGRANAKSRSGFVRQVNAYRCLINELVDE >Ma08_p20960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34991565:34992702:1 gene:Ma08_g20960 transcript:Ma08_t20960.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSHGLTRPDRKTIEKNRRIHMKALYSKLLSLLPTPSSQQEGGAVTLADRLNEAINYIKGKQEMLERMQKRKRQLTGSAGTASEVATASRSPKIDVQDLRPGLRVIAVISPCDHHLIFCEIVRVLGAEGVDIITASYAVVGDRAFHTIQFLAPKSGTGEADQVMGRLKKAIHA >Ma08_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34991565:34992702:1 gene:Ma08_g20960 transcript:Ma08_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHGLTRPDRKTIEKNRRIHMKALYSKLLSLLPTPSSQEGGAVTLADRLNEAINYIKGKQEMLERMQKRKRQLTGSAGTASEVATASRSPKIDVQDLRPGLRVIAVISPCDHHLIFCEIVRVLGAEGVDIITASYAVVGDRAFHTIQFLAPKSGTGEADQVMGRLKKAIHA >Ma01_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25096393:25103770:-1 gene:Ma01_g22470 transcript:Ma01_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSISESVALVRSQLEKRRLDGRTLQILESVLVARDVQSLLETRSALRQLFRSEALSVMGGISGMTVDRKLSTVQFFVWAFALVGDVESCLLLKYEALVLRESKHLKIHGLEVSYEEWLTFAKDSLDNGFYPVAIQGFERALHCFQTEKIEDPEFMSSEDAHIIKYIKKLKDVATSLIASCSVQTQSAEYLKRKGTQENICISCPSRTNHVASSMFRCGIKKRNMQKLQRSQGLPKEIVLTGWHVSSLSLLYVDFIAVEIGTVQQSVSLLEDSQRDQVAMFSGFRRGSGHLLIVLLCLSLFPREMATAASKMDGLVILL >Ma08_p27990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40076538:40082913:1 gene:Ma08_g27990 transcript:Ma08_t27990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVKELNTKGKECVRLADGKNDADECNHFTIRGYVAGVRKRDARICWPLFMPDNESSDILANMLPPLHVSKFKRWSCLNCIHMINASADATGNADSTNVQHKDINIKKILLNDDTKRLCFHSKECENIVHGERLVSNSCNNVSHVKPSTALYCGKKETGSTTEDAAREGTQIFICEKFRSEENQDQTFKPALAVAEGVELEARETQTRNIMVTQNKFDTIHLCDDVSPLVSVKPNRHSTNGVSDRVLIFGGTNPATYGNKDNVDGIAAKGKIYVIPDGMPKECRNLVGVDLGILRDDALTATAANVTNYDFTGLDQSNNEASYGTLDLSDGVNCSQNQNSLLSSSHEKVNHKKARKLRLLEDILKSEELHVPKKVCAFKGDAETCEMMNSDDRCSVGTNFEAQTRNCKSNLASRNSEVTTANPVNGAEADQSKDEEVTLLHWLKKVSKKFVTDDFQNKKALGAKGSAEIMYMEKVGISPSTHNEKDANPLPKRSGVSKQKKCYSVEKENKVPQVKPSGRCLISQKENLISKIAMMKHVCPDNVYPKMRNMISAPGKLVRSCDKKANFNRRKKKASQVEDRNSLQINWSRKGVVKKRRTTKMHEKEALDDIPMDIVELLARNQHERSLTNAEIASKKHHELSIINGEIRSGNISCVSEYCGSKDTNALYKSNALVNDTVHDVPTASCGKQNADHGTEAYNCAKYQKHILIDLNQQATEFPAIPAYDGHQSSTTHIPVVDPRKTHSLPSSCGRIRMQDFGSYQKDGVSAQSSAAGTHDMLSAPVKGRKYGISSGNNHAHCNYGKMVPYDSVFDRNQNTVVKTTDYQEPVMLTGSHILNAGGKSEQSTNRTTQPTTSCTVGGGNRCHSGGTGPMDLSNNDMISALHLLRLVDQAALTGTSWDINRVGIAQNSNLNFTNQSTQVPGVKNGVKIREAHQNSVATGYSAHNQNEGNFSRPHRPVPRVGVLGSLLQKEIMTRSNKCVAPLGCGARWSGELPSFFTEGIGRTDASSSANHTEYGDHSSQLSVTASTKTIVKAGDSPVHKFEMRQVRVSKNNELIQSVRHDCTTTNCIVNRNPADFSIPDEDNIYMRGFEDVSSKCPFPHKNPWYQTHHDGKKWQTMKLPVLKGP >Ma08_p27990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40078265:40082913:1 gene:Ma08_g27990 transcript:Ma08_t27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGYVAGVRKRDARICWPLFMPDNESSDILANMLPPLHVSKFKRWSCLNCIHMINASADATGNADSTNVQHKDINIKKILLNDDTKRLCFHSKECENIVHGERLVSNSCNNVSHVKPSTALYCGKKETGSTTEDAAREGTQIFICEKFRSEENQDQTFKPALAVAEGVELEARETQTRNIMVTQNKFDTIHLCDDVSPLVSVKPNRHSTNGVSDRVLIFGGTNPATYGNKDNVDGIAAKGKIYVIPDGMPKECRNLVGVDLGILRDDALTATAANVTNYDFTGLDQSNNEASYGTLDLSDGVNCSQNQNSLLSSSHEKVNHKKARKLRLLEDILKSEELHVPKKVCAFKGDAETCEMMNSDDRCSVGTNFEAQTRNCKSNLASRNSEVTTANPVNGAEADQSKDEEVTLLHWLKKVSKKFVTDDFQNKKALGAKGSAEIMYMEKVGISPSTHNEKDANPLPKRSGVSKQKKCYSVEKENKVPQVKPSGRCLISQKENLISKIAMMKHVCPDNVYPKMRNMISAPGKLVRSCDKKANFNRRKKKASQVEDRNSLQINWSRKGVVKKRRTTKMHEKEALDDIPMDIVELLARNQHERSLTNAEIASKKHHELSIINGEIRSGNISCVSEYCGSKDTNALYKSNALVNDTVHDVPTASCGKQNADHGTEAYNCAKYQKHILIDLNQQATEFPAIPAYDGHQSSTTHIPVVDPRKTHSLPSSCGRIRMQDFGSYQKDGVSAQSSAAGTHDMLSAPVKGRKYGISSGNNHAHCNYGKMVPYDSVFDRNQNTVVKTTDYQEPVMLTGSHILNAGGKSEQSTNRTTQPTTSCTVGGGNRCHSGGTGPMDLSNNDMISALHLLRLVDQAALTGTSWDINRVGIAQNSNLNFTNQSTQVPGVKNGVKIREAHQNSVATGYSAHNQNEGNFSRPHRPVPRVGVLGSLLQKEIMTRSNKCVAPLGCGARWSGELPSFFTEGIGRTDASSSANHTEYGDHSSQLSVTASTKTIVKAGDSPVHKFEMRQVRVSKNNELIQSVRHDCTTTNCIVNRNPADFSIPDEDNIYMRGFEDVSSKCPFPHKNPWYQTHHDGKKWQTMKLPVLKGP >Ma08_p27990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40076749:40082913:1 gene:Ma08_g27990 transcript:Ma08_t27990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVKELNTKGKECVRLADGKNDADECNHFTIRGYVAGVRKRDARICWPLFMPDNESSDILANMLPPLHVSKFKRWSCLNCIHMINASADATGNADSTNVQHKDINIKKILLNDDTKRLCFHSKECENIVHGERLVSNSCNNVSHVKPSTALYCGKKETGSTTEDAAREGTQIFICEKFRSEENQDQTFKPALAVAEGVELEARETQTRNIMVTQNKFDTIHLCDDVSPLVSVKPNRHSTNGVSDRVLIFGGTNPATYGNKDNVDGIAAKGKIYVIPDGMPKECRNLVGVDLGILRDDALTATAANVTNYDFTGLDQSNNEASYGTLDLSDGVNCSQNQNSLLSSSHEKVNHKKARKLRLLEDILKSEELHVPKKVCAFKGDAETCEMMNSDDRCSVGTNFEAQTRNCKSNLASRNSEVTTANPVNGAEADQSKDEEVTLLHWLKKVSKKFVTDDFQNKKALGAKGSAEIMYMEKVGISPSTHNEKDANPLPKRSGVSKQKKCYSVEKENKVPQVKPSGRCLISQKENLISKIAMMKHVCPDNVYPKMRNMISAPGKLVRSCDKKANFNRRKKKASQVEDRNSLQINWSRKGVVKKRRTTKMHEKEALDDIPMDIVELLARNQHERSLTNAEIASKKHHELSIINGEIRSGNISCVSEYCGSKDTNALYKSNALVNDTVHDVPTASCGKQNADHGTEAYNCAKYQKHILIDLNQQATEFPAIPAYDGHQSSTTHIPVVDPRKTHSLPSSCGRIRMQDFGSYQKDGVSAQSSAAGTHDMLSAPVKGRKYGISSGNNHAHCNYGKMVPYDSVFDRNQNTVVKTTDYQEPVMLTGSHILNAGGKSEQSTNRTTQPTTSCTVGGGNRCHSGGTGPMDLSNNDMISALHLLRLVDQAALTGTSWDINRVGIAQNSNLNFTNQSTQVPGVKNGVKIREAHQNSVATGYSAHNQNEGNFSRPHRPVPRVGVLGSLLQKEIMTRSNKCVAPLGCGARWSGELPSFFTEGIGRTDASSSANHTEYGDHSSQLSVTASTKTIVKAGDSPVHKFEMRQVRVSKNNELIQSVRHDCTTTNCIVNRNPADFSIPDEDNIYMRGFEDVSSKCPFPHKNPWYQTHHDGKKWQTMKLPVLKGP >Ma08_p05090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3487067:3490418:-1 gene:Ma08_g05090 transcript:Ma08_t05090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALGGQTNSSSTPPPPRSLTLDGSVKCLHGRLPPPEILERYQSLEHLSIANVGVSSLEKFPRLRNLQRLILSDNRIAGGLEFLVEAGLESLRDLDLSNNRIQFLEDLAPLAQLRLVSLDLYECPVTRIKDYRSRVFGMIRTLRYLDKMDADENERPESDDEEEEEDEEDEDEEEDPGSGEVDGDDRAGKLMNGVGTGGVGGIVDVYEEEESDAEEETETARRIDANGGERRYNACNGFRVAPVMAVDGGEDEEEEDDDIEDDDEDEDIDDDLGEEIDAEERDEDDVVEVHDVGDSEEEVDGVEEEGEEEVDGVEEEGEEEVDDEDEDGDGDEDQEDVEDEEDDGEPGSSGRLMSAEGEIDGHEQGEGDEDENGEIGEEDEQGVNEDRYSAEGDDDGEDEDEDDDNDGEYLVQPIAQPATARVDFDACNQEDEDEVDDDEDDLHSNIALQQQPSSASNPNKRRRGEEDDLDDDSVEDLRRSKHP >Ma08_p05090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3485852:3490418:-1 gene:Ma08_g05090 transcript:Ma08_t05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALGGQTNSSSTPPPPRSLTLDGSVKCLHGRLPPPEILERYQSLEHLSIANVGVSSLEKFPRLRNLQRLILSDNRIAGGLEFLVEAGLESLRDLDLSNNRIQFLEDLAPLAQLRLVSLDLYECPVTRIKDYRSRVFGMIRTLRYLDKMDADENERPESDDEEEEEDEEDEDEEEDPGSGEVDGDDRAGKLMNGVGTGGVGGIVDVYEEEESDAEEETETARRIDANGGERRYNACNGFRVAPVMAVDGGEDEEEEDDDIEDDDEDEDIDDDLGEEIDAEERDEDDVVEVHDVGDSEEEVDGVEEEGEEEVDGVEEEGEEEVDDEDEDGDGDEDQEDVEDEEDDGEPGSSGRLMSAEGEIDGHEQGEGDEDENGEIGEEDEQGVNEDRYSAEGDDDGEDEDEDDDNDGEYLVQPIAQPATARVDFDACNQEDEDEVDDDEDDLHSNIALQQQPSSASNPNKRRRGEEDDLDDDSVEDLRRSKHP >Ma08_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3487067:3490418:-1 gene:Ma08_g05090 transcript:Ma08_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALGGQTNSSSTPPPPRSLTLDGSVKCLHGRLPPPEILERYQSLEHLSIANVGVSSLEKFPRLRNLQRLILSDNRIAGGLEFLVEAGLESLRDLDLSNNRIQFLEDLAPLAQLRLVSLDLYECPVTRIKDYRSRVFGMIRTLRYLDKMDADENERPESDDEEEEEDEEDEDEEEDPGSGEVDGDDRAGKLMNGVGTGGVGGIVDVYEEEESDAEEETETARRIDANGGERRYNACNGFRVAPVMAVDGGEDEEEEDDDIEDDDEDEDIDDDLGEEIDAEERDEDDVVEVHDVGDSEEEVDGVEEEGEEEVDDEDEDGDGDEDQEDVEDEEDDGEPGSSGRLMSAEGEIDGHEQGEGDEDENGEIGEEDEQGVNEDRYSAEGDDDGEDEDEDDDNDGEYLVQPIAQPATARVDFDACNQEDEDEVDDDEDDLHSNIALQQQPSSASNPNKRRRGEEDDLDDDSVEDLRRSKHP >Ma10_p25570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33641266:33644682:-1 gene:Ma10_g25570 transcript:Ma10_t25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEGGRFCWGAKEGRAGIVVVFVWPSSLERQLEPYIQLYSSFGWRSLICHADFLTLYFPEKATSLADGVLKELVQELNVSPLPIVFSAFSGAPRGCMYKVLQLIDGKCEGRLGVDNYQLVKDCLCGQIYDSSPVDFTGDLGTRFILHPTVLKRSHPPRVVSWMAKALASGLSTFFIDRFEGQHADYLQTLYSSANVGPFLIFCSEDDELAPYPVVCNFAHHLEELGGDVKLIKWNSSPHVGHHKHHAADYKTAVSELLSKAATIYSERRRQFHVQQEGQRGVTNDPCDHFILPSSMEYHDTRDGCSTQDEQKCEIFHLPNAPSINAHSVLAQILFYGYVPKNVEGWDIKPNSSLTGRQTLPSGHRHAGFSPMKHTRRSRL >Ma10_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14871491:14874598:1 gene:Ma10_g04170 transcript:Ma10_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGRSASTYREAEDLFKDFRGRRAGMIKALTTDFTKFYQQCDPEKDNLYLYAFADETWEVRERPEKVLTEFPELVIGINLARDVTEEKRWLANVACHSDAWLLSFSLYWSLRFGFDKETRRQLFVMINTLPTISEVFLGAANNGEKETIPSNSIKDGPSSEKTWQHSRRGRQIKDDEDDDEDEHEHDDDDDDNDDDDDDDDDDDDAEDDGTCGACSKTHRQGEFWICCDACKKWYHAECVRITPSQAEQIKQYKCPRCCRRKTPSA >Ma07_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28193192:28196774:1 gene:Ma07_g20260 transcript:Ma07_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASECDGFSDYMVLRPDKAGVSDLFRLLYSSKVAENESVDCPVDTQINERRRRWAIFISLLLQKTFLLWRKPMAWLGSALEFWLNLLMDNHGFVSLLCNIFTGKVAYPQKESSAYRSCIGQMDTREELDARIQPSDGKYHAALSIMAAKLAYENESCIQNIVTNHWNMEYLGFYDGWNDYQEQYSSEAFVFNDKAADTELIVVAFRGTEPFDAVQWCADFDFSWYEIPNVGKVHGGFMKELGLQKKLGFPKDLPQSRDRPSYAYYDLREKLREVLRHKEKAKFLVTGHSLGGALAILFPSILALHGEEWLLGRLEGVYTFGQPRVGDVKFGEFVEQHLDKPKKRYFRYVYCNDIVPRVPYDDSALLFKHFGTCIYFNSLYKGKVVKEEPNKNYFSLWTVIPKYMNAWWEFIRSFLIGHVIGQDYKEGWFMTSMRLLALVAPGLTPHTPQDYVNCTRLGASPPSNKLE >Ma09_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19419130:19420256:1 gene:Ma09_g18960 transcript:Ma09_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVSRQGRQLQRYSKSGSRLVVGCIPYKYNPGDGGGEDLDRSMEVLVISSPKGNGLLFPKGGWETDETIKEAALREALEEAGVQGNVQVSLRSCPLPLQITRLCRSVADHLAVPSLQRKLGKWKYKSRTYGAVHEGIMFPLNVTEELGDWPEMHTRERKWVTVADAKEGCQHPWMKEALDRLVKRLSSSSSSNNSNSTASAF >Ma09_p18960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19419132:19420256:1 gene:Ma09_g18960 transcript:Ma09_t18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVSRQGRQLQRYSKSGSRLVVGCIPYKYNPGDGGGEDLDRSMEVLVISSPKGNGLLFPKGGWETDETIKEAALREALEEAGVQGNVQRKLGKWKYKSRTYGAVHEGIMFPLNVTEELGDWPEMHTRERKWVTVADAKEGCQHPWMKEALDRLVKRLSSSSSSNNSNSTASAF >Ma07_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32190131:32197984:1 gene:Ma07_g25060 transcript:Ma07_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLVQLWKRFRGSDKPPAHLGASRDYNVDMIPKFMMANGILVRTLIHTDVTKYLSFKAVDGSYVFNKGKIHKVPATDMEALRSPLMGLFEKRRARKFFIYVQDYDENDPKTHEGLDLTRVTTKELISKYGLDDNTIDFIGHALALHRDDRYLYEPALDTVKRMKLYSESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDMEGKACGVTSEGETARCKKVVCDPSYLPNKVRKVGKVARAIAIMSHPIPNTDESHSVQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKFIAFVSTEAETDRPDVELKPGIDLLGPVDELFFETYDRYEPVNEPSLDHCFISASYDATTHFESTVTDVLSMYTMITGKTVDLSVDLSAASAAEE >Ma04_p35470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34244620:34249564:-1 gene:Ma04_g35470 transcript:Ma04_t35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKNEGCVASVSKLSSASTSHHRRSKSASDRNLDPTKHGKSNCMAECQGTQNVLNMMKSHGSQGSHHQNSSDNSRNAALSSRASLEDDIKQLQMHLHQEKSTRFLLEKAIGRASSTLSPGHRHFSAQTKELIAEIELLEEEISNREQHVLSLYRSIFDQCVSQPTSAHSSGMASPAHSKSGGARKHPSIISSSFCSSKKFRLQPFQVLASIKESRRSDVLLKPKVGHEPLAREDMKLYARRNFEDPMKEKLSTSGRSHFARTLKDHLYQCPCRISEEMVRCMASIYCLIRCDSSEKPGKPRSPFLPRSSTRGTAEEQEWLSRSTVEVPSLSIDKRCPPASYATSNYRFLVEQLERVDVSVLETRAKLAFWINVYNALIMHAYLVYGIPSSSLRRISLFNKAAYTIGGQIITANCIEYSLLCCRTSRMGRWLETILSTAMRKKHGEEKQLIDSKVGLPSCQPLVFFALCIGAFSDPMLRVYTAKHVIEELEKAKQEFLQAHVMVKKSSRVFLPRVLERYAKETCIGCEKLLAWVHEAVVDRKMNEAIHRCVASSGKRKASQIIEWLPYDTRFRYVIASDMMENPNGCNISFG >Ma03_p27210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30680231:30683061:-1 gene:Ma03_g27210 transcript:Ma03_t27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQNNYGGSYRDQASFWQFSDQLRLQTSGLSDLSIGDSIWSDCYVKQQPLPASTDLNGGGSFAGWKSAFGSQKLAFGTSNYGVNRYNNADSNRIGESGGVKSSSYFGGNYNDGGNGVIKDYFNTAVNKPINKPVIGNGKKSNSNDGGSGKKKKNVNYNNNNSNNNKNNNDKDAAVDKRFKTLPPSEALPRNEAIGGYIFVCNNDTMEENLRRQLFGLPSRYRDSVRAITPGLPLFLYNYSTHQLHGIFESASFGGTNIDPTAWEDKKCPGESRFPAQVRVVTRKLYEPLEEDSFRPILHHYDGPKFRLELNVEEALKLLDIFAEKNA >Ma04_p26030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27645576:27652573:-1 gene:Ma04_g26030 transcript:Ma04_t26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVVAQSIGIANLSPDVALALAPDVEYRLREIMQEAIKCMRHSKRTILTADDVDSALSLRNIEPLYGFASGDPLRFKRAVGHKDLFYIDDRDVDFKEVIDAPLPKAPLDTAVVAHWLAIEGVQPAIPENSPVEATVAPSENKKSDNSKDDGLHVDLKLPVKHVLSRELQLYFDKITELTVTRPESILFKEALLSLAADSGIHPLVPYFSYFIADEVARSLHDLPILFALMRVVRSLLHNPHIHIEPYLHQLMPSIITCVVAKRLGSRIADSHWELRDFSANLAASVCRRYGHVYHNLQSRLTKTLINAFLDPSKALTQHYGAIQGLAALGPGVVRLLVLPNLEPYLQLLEPEMQLEKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPGLLSPPKHKVWRSNGKVATSTPNKRKPSAIHSMQQPPFKKMAVDGAIGTMQPIAMTTGSQGAEDRFPTQSSGTGMGQSSAAGQVSVEAFGGRRTPTGSAVLAQAWKEDLDAGHLLASLYQLFGEGVLSFIQPVEMSFFI >Ma11_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4013923:4014943:1 gene:Ma11_g05230 transcript:Ma11_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPSRNHQHRRRPNPDNLLSASDLWPNSDAPLHVPAQDKVPRKRERKNLFRGIRQRPWGKWAAEIRDPVKGVRVWLGTFATAEEAARAYDREARRIRGKKAKVNFPNEVQPGEVDQSVPCNLARTSMEPKLEKAPALADGGDAEVRRLSEELMAYECYMNFFGIPYMEGGSAAEASLAPDKEPEAALVGITGSATEVCNPPVSSGMEMLWSFEDILPTSYGP >Ma06_p33640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34190675:34194469:1 gene:Ma06_g33640 transcript:Ma06_t33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDFSRPPAGDGDCNFEFVSHSSNFSDRPLRIEIVAGPPKGRPDGEGDSEWDRHRKRRRDAIEKRKANNFRKTLAILLYEQNPKPINLNKLILVGMPDEQEGEAVAMTEESPSGISQPSRHRGSSWSMDSPPVISIKSLSVSSTILSAKSPFFYKLFSNGMQESDQQHATLRIDASEEAALMELLSFMYSGKLSTTSPTLLLDVLMVADKFEVVSCMRHCCQLLKSLPMSQESALLYLELPSSISMASALQPLTEAAKEFLVNSFKDLTKNQDGLMELPLVGMEAVLSSDDVQVASEDALYDLVLKWARRHHPKLEERQEVFGARLGRLIRFPYMSSRKLRKVLTHNDLDQEFTNKAVLEALFFKVEPAHRRQALATDDVTNRRFVERAYKYRPVKVVEFELPNTQCIVYLDLKREECAHLFPSGRVYSQAFYIGGQGFFLSAHCNRDVHNSCHCFGLFLGMQEKGSVSFTVDYEFSARTMPSGQFDSKYKEKYTFAGGKAVGYRNLFALPWTSFMADDSPYFINGVLHLRAELTIE >Ma06_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21319289:21321016:1 gene:Ma06_g23370 transcript:Ma06_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHVNFSDHKIFKSSWNTWNHFYCDINETIIRESVDALVSTGLANLGYRYVNIDDCWAEHDRDSTGYMVPKRLTFPSGIKALADYVHGKGLKLGIYSDAGHQTCSQTMLGSLGHEKKDAETFASWGIDYLKYDNYFVCAIETRKNCRYRYPKMTRAMMRTGRPNFVSLCEWGDMHPTLWADKLRNSWRTTFDINDSWESMVSRAYQNEVYAEHARLGDPDMLEVGNGGMSNDEYIVHFSLWESNPSLQVPLIIGCDVRSMTKETLAILGNEEVIAINQDPLGVQAKKV >Ma04_p27270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28461874:28465720:1 gene:Ma04_g27270 transcript:Ma04_t27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLARELKNLDESPPEGIKVVVNDDDFTTIFADIEGPAGTPYENGTFRMKLLLSHDFPQSPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLIVVRCLLIEPFPESALNEQAGKMLLENYEEYAKHARLYTGIHALKPKPKCQPGISESTTALNVDQANVVSGDQMPLLTAPSTVPTEVPAQNARDENAVPVLPTESATVGASAAQKTEGMVAVKAQVDRRKMDARKKSLKRL >Ma06_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11508925:11516328:-1 gene:Ma06_g16990 transcript:Ma06_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYPLVRKRAVDGIIALLREAAGGVDCLIVECCYDRAVVLLKDEEKLVRLTAVKLISECGELFAASQGETEHSEQMDVVFVQLCLMARDMHMEVRFEAFVALGKVRLVHETVLLQSLSKKILGIKSGKAIVKCSTKGTKISLLSAAGAFVHGIEDEFYEVREAACRSLGMLTIFSVKFAYEATNLLMDMLNDDTEVVRLQTLQTLSHMANYDRLTMQDKHMQMFLGLLADISPSIRCLARKLLQLIKLPDFVSFRDTIDCLVSNLEAFPEEEEDIFFVLFSVGKQHKNFSAKLAKEFAKEIDLSCGELILDSHEVAAKLVLFISSSFSNGQRTTDIPMALYSYAIPFSGRITHALRGSVDQDSLLAYLCSYSKEIHNICTSSMPIHVAMSDITRKGNVLDFSKILTRSEQTQEKCVFLNEETMQFIKLNLETVGKTWPLMKSHCTQVVQNMLRACKEELETIAWNADDGAASSFLDFAALYIQVIQLVTEILDKSLPKKSCIIGMTSLDIILERLDMNLRRLRLLEIGVSPQPILARLTSTISHLEFLCEGPSNLSDFAKEVKHACTEGTAALFSTTYVFRKLLELFYPEQITFTGRFKQKKAEVLVIGNDSENPLTFVSGLPVGIFFRITLYEILNSDRLWLLLAVGESIQYVFLDLSQFEGCDAVRSCTLNVPFHGTPKAASFLLRASVGIECPSEDVTNHSKGQGGPIDDYAIICKENDVHFIGVNNR >Ma06_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1190510:1194408:-1 gene:Ma06_g01470 transcript:Ma06_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGSWKHHDIKVKDSKTLLFGEKQVTVFGIRNPEEIPWGEAGAEYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNENEYKPDINIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKEATYDEIKAAIKEESEGKLKGILGYVEEDLVSTDFVGDNRSSIFDAKAGIALNKKFAKLVSWYDNEWGYSSRVVDLIRHIHKNQ >Ma09_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13230313:13234728:-1 gene:Ma09_g17690 transcript:Ma09_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGKNWILAEKKRFVLGLLNATGCFVWNLYKKYSFCSRYFFSSECVATERRLKLGWSALVLEFNSFEREAKEADYQTHLDFSFVALSVASPEMVLCEQRRQLSSRSFKTSILSSISR >Ma10_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27243475:27244184:1 gene:Ma10_g14990 transcript:Ma10_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSPCASCKLLRRRCTPDCVFAPFFPSDEPHKFAMVHRVFGASNVSKMLQELPLHQRADAVSSLVYEANARMRDPVYGCVGAISYLQHQVSQLQMQLALAQAEMLCIQIQQAQLMDADGMQYLMQSDLSAMAQLTNYPSSSSNVPHEPLKRENPWT >Ma04_p36950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35095524:35099169:-1 gene:Ma04_g36950 transcript:Ma04_t36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPGELCLKIFHLLNHTSLAAAPQVCRKWRVLASDDALWSNLFKERWGGDCAAFYAPSDPKSWKDVYIVQHRCDRFGLGLRIITEGNDYYLIHQGEIQKYLGMRNLRNESCDDVAPLQGVEEHHSGIPDRILFFLGDLEAACAAAKRLQT >Ma03_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8224791:8230222:-1 gene:Ma03_g10890 transcript:Ma03_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSSSQGQPQQISFAMIHPSSSSSSVVHGSFMRSNEAGAYDLGELDQALFMYLDGQDHSSSPAQEQRQTLNIFPSQPMHVEPSTKGGMSLDSPASSGSKKSSDQAMELGDTKNDLPLLPERGKDSKAASVKEGNGKGTSGSKTSDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIKLTHLEQELQRARSQGLSFGGGALLEDQGLPAVVGGLSADAAMFDMEYMRWLEEHHRLMCELRAAVQEHQPENHLRMFVDSCLAHHDQMVNLKNIVIRSDVFHLISGVWMTPAERCFMWMGGFRPSELIKMLLSHMEPLTEEQILGVCGLQQSTQETEEALSQGLEALNQSLSDTITSDALSYPSNVADYMGQMAMAMNKLTTLEGFIRQADNLRQQTLHRLYQILTTRQMARSLLAIAEYCHRLRALSSLWLARPRHE >Ma03_p10890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8224791:8230222:-1 gene:Ma03_g10890 transcript:Ma03_t10890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSSSQGQPQQISFAMIHPSSSSSSVVHGSFMRSNEAGAYDLGELDQALFMYLDGQDHSSSPAQEQRQTLNIFPSQPMHVEPSTKGGMSLDSPASSGSKKSSDQAMELGDTKNDLPLLPERGKDSKAASVKKEGNGKGTSGSKTSDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIKLTHLEQELQRARSQGLSFGGGALLEDQGLPAVVGGLSAAVQEHQPENHLRMFVDSCLAHHDQMVNLKNIVIRSDVFHLISGVWMTPAERCFMWMGGFRPSELIKMLLSHMEPLTEEQILGVCGLQQSTQETEEALSQGLEALNQSLSDTITSDALSYPSNVADYMGQMAMAMNKLTTLEGFIRQADNLRQQTLHRLYQILTTRQMARSLLAIAEYCHRLRALSSLWLARPRHE >Ma03_p10890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8224791:8230222:-1 gene:Ma03_g10890 transcript:Ma03_t10890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSSSQGQPQQISFAMIHPSSSSSSVVHGSFMRSNEAGAYDLGELDQALFMYLDGQDHSSSPAQEQRQTLNIFPSQPMHVEPSTKGGMSLDSPASSGSKKSSDQAMELGDTKNDLPLLPERGKDSKAASVKKEGNGKGTSGSKTSDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIKLTHLEQELQRARSQGLSFGGGALLEDQGLPAVVGGLSAAMFDMEYMRWLEEHHRLMCELRAAVQEHQPENHLRMFVDSCLAHHDQMVNLKNIVIRSDVFHLISGVWMTPAERCFMWMGGFRPSELIKMLLSHMEPLTEEQILGVCGLQQSTQETEEALSQGLEALNQSLSDTITSDALSYPSNVADYMGQMAMAMNKLTTLEGFIRQADNLRQQTLHRLYQILTTRQMARSLLAIAEYCHRLRALSSLWLARPRHE >Ma03_p10890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8224791:8230222:-1 gene:Ma03_g10890 transcript:Ma03_t10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSSSQGQPQQISFAMIHPSSSSSSVVHGSFMRSNEAGAYDLGELDQALFMYLDGQDHSSSPAQEQRQTLNIFPSQPMHVEPSTKGGMSLDSPASSGSKKSSDQAMELGDTKNDLPLLPERGKDSKAASVKKEGNGKGTSGSKTSDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIKLTHLEQELQRARSQGLSFGGGALLEDQGLPAVVGGLSADAAMFDMEYMRWLEEHHRLMCELRAAVQEHQPENHLRMFVDSCLAHHDQMVNLKNIVIRSDVFHLISGVWMTPAERCFMWMGGFRPSELIKMLLSHMEPLTEEQILGVCGLQQSTQETEEALSQGLEALNQSLSDTITSDALSYPSNVADYMGQMAMAMNKLTTLEGFIRQADNLRQQTLHRLYQILTTRQMARSLLAIAEYCHRLRALSSLWLARPRHE >Ma09_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21350488:21356088:1 gene:Ma09_g19200 transcript:Ma09_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDSGSGSGGGGARTGLADAVHRSSTAMSASNISQPLLASPSLPMFNSPGLSLTLQSDMEGTGAAGKGGAWDLDSGRQNKEDENESRSGGSDNLGGLSGDNLEQENPRKKKRYHRHTPHQIEELEAFFKDCPHPDEKQRLELSKRLSLEARQVKFWFQNRRTQMKTQMERHENMILRQENDKLRAENLSIREATRNPLCSNCGGLAMLGEGSLEEQHLRIENARLKDELDRVCSLIGKFLGKPISALSSPLPLPMSDSTLDLAVGNNVFGGLGLVTPATLPPVTDFTAGATSGPFGTVTTPARNVGTGTLDGVDRSQERFVFLELALTAMDELVKMAQMEDPLWVPTLDGRKETLNYEEYLRSFPRCIGAKPVELVSEATRATGAVIINSLALVETLMDATRWVDMFPSVIARATTIDVISSGMGGSRNGVLQHMHAELQVLSPLVPVRDVRFLRFCKQLTEGAWAVVDVSIDGIRDDLSAPPPNMSCRRLPSGCLVQDMPNGYSKVTWVEHAEYDEAAVHPLFRPLVRSGMALGAHRWVVSLQRRCQSLAMLMSSSLSHDDTTTITPSGRRSMLKLAQRMTDNFCAGVCASSAHEWNNLSGGINIGEDVRVKTSQNVAEPGEPPGVVLSAATSVWLPIAPQRLFDFLRNQQLRSQWDILSNGGPMEEMAHIANGKETGNTVSLLRASAVSADHNSMLILQETCTDASGSVVVYAPVDVPAMHLVMSGGDSTYVTLLPSGFAILPDGRGSGAGVTHKAGGSLLTVGFQILVNNQPTAKLTVESVETVSNLISCTVEKIKAAIHCEA >Ma06_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8916836:8918359:-1 gene:Ma06_g12940 transcript:Ma06_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDAIYVLTDSQLVAEQLSDGYEAREPTMVKYLAKVQEMLRFKRDGIFPTDEASAQRIRRTQAWYSEVNGRLYKRSFSHPLLWCLGPEEAHTVLAEDHEGICGEHIAGHTLAYKILRQGAIVTDNRSQFASTRFREFCASYGIQLMFTSVAHPQTNGLAEVTNRSILDGLRRRVTAAQSAWVEELPSILWSLRTTSKIMTGESPYSLSYGTEAILPPEVVFPTPQAEKYDEEASARGLRAGLDLIEERRADAHLKDLSYKRAVARIYNRKVRPRPIKLGDLVLRRIEVGDPTRTHDKLVANWEGPYRVIDVVRLRTYRLATTEGCPLPRTWNVRNLKKFFV >Ma07_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27016096:27018410:1 gene:Ma07_g19010 transcript:Ma07_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIISPQSLVLLSTLLCLFLGGDCVTFVFVNKCEDTVWPGVLSNSGSPPLDATGFALPSGAYRTLLAPAGWSGRFWARTGCSFDGFGHGSCATGDCGSGQVECKGAGAAPPATLVEFTLGGGTRGGEDYYDVSLVDGYNLPMTVETSREGCATTGCVEDLNRLCPPELRTGEGAACRSACDAFGRPEFCCSGQYSNPQTCRPSAYSELFKSACPQAYSYAFDDATSTFTCAAAQSYAITFCPVSTPSRKASKNPTGVVPQDDSWLASLAIGSANPTRRSVASVSAAAVTVVVTGFLFVSS >Ma06_p35790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35531761:35539870:1 gene:Ma06_g35790 transcript:Ma06_t35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 2, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G46970) UniProtKB/Swiss-Prot;Acc:Q9SD76] MATTKETNATKVPAIAHPLAEESSEIASNIAYHARYSPHFSPLKFDPEQAYYATAESVLDLLIQRWNETYLHFHRIDPKQTYYLSMEYLQGRALTNAIGNLGASGAYADALNKLGHELEEIVEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRISKEGQEEVAEDWLEKFSPWEVVRHDVVYPIRFFGHVEISPTGSRKWVGGEIIQALAYDVPIPGYKTKNTISLRLWEAKASAEDFNLFQFNEGQYESASQLHSRAQQICAVLYPGDATENGKMLRLKQQFFLCSASLQDIIMRFKERRSGNMTWKWSEFPSKVAVQLNDTHPTLAIPELMRLLMDDEGLGWDEAWDVTTRTVAYTNHTVLPEALEKWPQAIMYKLLPRHMEIIEEIDKRFMAMIRSSLNGMESKLPAMQILDSSNPLKPVVRMANLCVVSSHTVNGVAQLHSDILKSELFADFFSIWPTKFQNKTNGITPRRWIRFCSPELSDIITKWLKTDEWITNFDLLSGLRQFAGNEELHAEWASAKMANKQRLAQYVLHVTGVTIDPNSLFDIQVKRIHEYKRQLLNILGTVYRYKKLKEMTVEERNKMTSRTVMIGGKAFSTYTNAKRIVKLVNDVGAVVNNDPEVNNYLKVVFIPNYNVSVAEILIPGSELSQHISTAGMEASGTSNMKFSLNGCIIIGTLDGANVEIREEIGEDNFFLFGAKADEVPRLRKEREKGLFNPDPRFEEAKQFIRSGAFGSYDYNPLLDSLEGNSGYGRGDYFLVGHDFPSYIEAQSSVDEAYKDRRRWLKMSILSTAGSGKFSSDRTISQYAKDIWDITACPVP >Ma05_p30460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40860185:40863174:1 gene:Ma05_g30460 transcript:Ma05_t30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALNDGSSSPRSQHASRCFEDLLESIIVDVASECHRIARLGLDRNLEEEEEELRLSAQARVADPGSSGESNSKNVVDIFGQTHPAIASETFNCMNCGRPVTAGRFAPHLEKCMGKGRKACTKATRSSTIARNRHSRGSPVTVYAPYSNATNSNRVPNGTTAGEEYTDYTFEEP >Ma06_p08120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5758136:5766100:-1 gene:Ma06_g08120 transcript:Ma06_t08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRRYLGLCSGKSSFPVVVPQPIDNGNSVENPNQHVKPVSVNKSETYSGSSNVSGLTTPKEALNHHCPEIKRSKRHRRKHYEDQEPRKMTGVYFKNMKWQAAIKVDKKQIHLGTVESQEEAARLYDRAAFMCGREPNFELTEEEKQELKQYNWDDFLAMTRSAINNKKHQRKIGAGRRKKLESQLPNNNRKQEGGTPVSSISEDDEDVDVETSAS >Ma06_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5758136:5766127:-1 gene:Ma06_g08120 transcript:Ma06_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRRYLGLCSGKSSFPVVVPQPIDNGNSVENPNQHVKPVSVYPISSVNTSPQKLVNKSETYSGSSNVSGLTTPKEALNHHCPEIKRSKRHRRKHYEDQEPRKMTGVYFKNMKWQAAIKVDKKQIHLGTVESQEEAARLYDRAAFMCGREPNFELTEEEKQELKQYNWDDFLAMTRSAINNKKHQRKIGAGRRKKLESQLPNNNRKQEGGTPVSSISEDDEDVDVETSAS >Ma01_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:377594:383286:-1 gene:Ma01_g00520 transcript:Ma01_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGVFFSFLGIIFFFDKGLLAMGNILFLSGLMLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPIIGMIVESYGFIVLFSGFWPTVAVFLQRIPIFGWLFQQPFVTSLLERYRGKRVPV >Ma01_p00520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:377594:383286:-1 gene:Ma01_g00520 transcript:Ma01_t00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGVFFSFLGIIFFFDKGLLAMGNILFLSGLMLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPIIGMIVESYGFIVLFSGFWPTVAVFLQRIPIFGWLFQQPFVTSLLERYRGKRVPV >Ma08_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7966908:7970618:1 gene:Ma08_g10850 transcript:Ma08_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor UNE10 [Source:Projected from Arabidopsis thaliana (AT4G00050) UniProtKB/Swiss-Prot;Acc:Q8GZ38] MSQRVPSWDVDDPPFNPSSSNLPLHRYHPSGAPLGRLMGYEVAELAWENGQLALHGLVPSRTGAKPGADAACSKHHLHHHPSGTLESVVNQATGAAARSPVLEGWLRRSTSVAVDALVPCQDDVASNRIADPDAPPCCKRARMVGVCSSQGSAAGSLPGRVDSTTFVTLDTWREDDVGLTATATTATTATATDTSAWPETENTSLGKRKVRALYDHVSISHTSSQTKPDSLYDEEEKDTKGEVGKPSGATKKSRAAAIHNQSERKRRDRINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVQMMSRMSSMMVAAMQQLQMPMVAQLLPHMAQFPQLPHMGLMDFASIGRSVPPVLPLLHPSAFLHLAATGGWDGIGDRRPVGSVLPDPFSALLACQMAQQPMSLDEYSRMVTLLQHLSQNQSPANLKSS >Ma08_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5561043:5567852:1 gene:Ma08_g08040 transcript:Ma08_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREREAGEEPIRWEDAIDVDDSDLLHLLVPSSSSSPHPHTLSSLPPPLRPCSRFPPPPQTLAPVPSPSPPSVHRSAEEPSPLPATTTPLIPGPAGAVQAALRRQSASARRDRPLLDDHRMDDHGMDLDEEDGDFKLNPWLCALEFLGEDCDLVWPIDSIKTRATARVPQVVGIVKSCSPNGLGNLFLTLKDPTGSIGASIHHGVFSDCNLDGDISVGCVLILKQVVAFCPARSICYLNATSKNVVKLINKDCGPPRKQLLASFTARDGYKNVESGFGTMRVKPTQSNVAETSLDESGKNYDHNTMMGNQKIGFVGEMSSETCAKVSTTTVAGRPDPGHLKRLWSVAGEQAAMLRVNARKEVTKSLNPQRTIDGDDECLARRLPFSNTITAATTLMSSEPSCYLAGDIEIVVGEAAESIVGHRAYADNEVRRTLNCERVIDSSKMDAGRSFQCDTIAKTSTTTMPSKSDLRINEAKRSIPKVSVAQWTDEQLLELFSDYPDEVELVKTSL >Ma05_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8701724:8702236:-1 gene:Ma05_g11930 transcript:Ma05_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLERLMRPVKKAMEDAGLEKHQIDEIVLVGGSTRIPNVQQLLLKDLFDGKEPNKDVNPDEAVAYGAAVQGSILSGEGGDETKDTSAGCGTPLLLVLRLLVE >Ma02_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14550973:14559619:1 gene:Ma02_g03240 transcript:Ma02_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVVGFDVGNDSCVVAAVRQRGIDVLLNDESQRENPAVVSFGEKQRFLGAAGAASAARFPRSTVSQVKRLLGRPFRDPAVQDDLRRLPFPTSEAPDGGILIRIRFLNEERVFTPVQILAMLLAHLKLIAEKSLETLVSDCVIGIPSYLTDLQRRAYLDAATIAGLKPLRLMHDCTATALGYGIYKTDSSARGSSFCVVFIDIGHCNTQVSVVSFGSERMNVLSHAFDANLGGRDFDEILFNHFTEKFKEEYQIDVHSNVRASIRLRVACEKLKRVLSANAEAPLNIECLMDEKDVKGFIKREEFERLCLGLLDRLLEPCKQALENAELNQDRINAVELVGSGSRIPAITRILTEFFRREPSRTLNASECVARGCALHCAMLSPIFRVRDYEVQDSFPFSVTFATDEGPITTVSRNVLFPKSQLIPSVKMLSFYRTDAFKMEAFYANQSELPPGASQKISCFQVGPFPVHEGERYKVKVKVRLNLHGMVSVESVSLIEDDDNSTVSRDASRVDNMETEPASDANSDSTVHTAENGIYEHVEHGSIPSSDTSKAERLPRRHELLITETIYGGTTKEWLLEAQEQEKWLAYQDKQMEQTKDKKNALEAYVYEIRNKLFERYRSFANDSEREGISVSLQQTEEWLYEDGDDETEKVYTGKLDELKKLVDPIENRYKDEEARAQATRELLKCIVDYRMAVSSLTTYERDVVIDECNKAEQWLRERSQQQDSLPKNTDPVLWSHEIKKRTEALDMSCRNHLRHKGSQSRSEDSRGSDHSNYENSRTD >Ma08_p26220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38855606:38857109:1 gene:Ma08_g26220 transcript:Ma08_t26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSGGGGGGRRTRRNPWQGFLCLASAAVSTIMICFASDHPSPRNELQPAQLSKRDVNVTPGDVYERRQWLTDGNGNGSGKGGRGGGGGGGGGGGGGGGGNGGGGGKGGRGGGGGGGGGGGGGGRGGGGSSGGSEGRGGGGGGAGRRRRSRHESRDDRADGGHNYSSSLYRVGEYARCSGRGRCGGMKLLCPMHCDGPCFYDCHSNCRAHCRF >Ma10_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15808586:15817760:1 gene:Ma10_g05070 transcript:Ma10_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASSKIEEDKALVLCRERRRLVRQALDERCSLAAAHVSYVQSLRNTGIALRQFVDPGSPVKSSLYTSTSATPEPLAPTDKPVSQFSNSSPSLSQHMETAESISPVPSLLSSGHLHVNHMKARTTSYMTVKEKPPTSVTMTLQNSPATPKHLASQSDEISSFEDSPTPTGMQPWDYFGVPHPIDNQLSFQDGKGLNYGFDNADETRHLSEEEGIPDLEEEEGDIAHGKNDLDSEDDFDQPSNEPLVRIFKNRNLVSEHQSKSDNSTIQSMVDIVSAAKHQNGDDMKLKNGIYENDRTPEKTPTKVASVKVAFSINGKAEESNPETSHKARDFLSCMNEIEDLFLKASESGREVPRMLEANKVQFRPLFPEGNAHRSKASAFLTACLACCKAETPHHQVSATNEVKYLTWHRSVSLLSSSSENFFGSMTKDDTQEPNGNLFNGTYMNSGSHASTLDRLYAWERKLYDEVKASGIIRREYDIKCRLLRQKESTKVKPENIDKIRAVVKDLHSRIRVAIQRIDYISKKIEEIRDKELEPQLEELIGGLTRMWRTMLDHHSRQYSIISLAFNNGSNKLSIQSELECRATVLEFELNSLGSNFTEWVSAHKSYLHAINEWLRKGLVLSQKRNRSFRRRPPEFCPKRDMAPPIFVTCEDWLLLLNSLPTKEVESAIKDLVTVTTHYLPCQEKKGYGTSKLSFSLRQNAEQGDKLGEHVLTNDTHANGSLDYGNLKSVLVEFLDKLKSFAKSSVSGYEALQKSIDEFRVSYERTVLRT >Ma10_p05070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15808553:15817760:1 gene:Ma10_g05070 transcript:Ma10_t05070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASSKIEEDKALVLCRERRRLVRQALDERCSLAAAHVSYVQSLRNTGIALRQFVDPGSPVKSSLYTSTSATPEPLAPTDKPVSQFSNSSPSLSQHMETAESISPVPSLLSSGHLHVNHMKARTTSYMTVKEKPPTSVTMTLQNSPATPKHLASQSDEISSFEDSPTPTGMQPWDYFGVPHPIDNQLSFQDGKGLNYGFDNADETRHLSEEEGIPDLEEEEGDIAHGKNDLDSEDDFDQPSNEPLVRIFKNRNLVSEHQSKSDNSTIQSMVDIVSAAKHQNGDDMKLKNGIYENDRTPEKTPTKVASVKVAFSINGKAEESNPETSHKARDFLSCMNEIEDLFLKASESGREVPRMLEANKVQFRPLFPEGNAHRSKASAFLTACLACCKAETPHHQVSATNEVKYLTWHRSVSLLSSSSENFFGSMTKDDTQEPNGNLFNGTYMNSGSHASTLDRLYAWERKLYDEVKASGIIRREYDIKCRLLRQKESTKVKPENIDKIRAVVKDLHSRIRVAIQRIDYISKKIEEIRDKELEPQLEELIGGLTRMWRTMLDHHSRQYSIISLAFNNGSNKLSIQSELECRATVLEFELNSLGSNFTEWVSAHKSYLHAINEWLRKGLVLSQKRNRSFRRRPPEFCPKRDMAPPIFVTCEDWLLLLNSLPTKEVESAIKDLVTVTTHYLPCQEKKGYGTSKLSFSLRQNAEQGDKLGEHVLTNDTHANGSLDYGNLKSVLVEFLDKLKSFAKSSVSGYEALQKSIDEFRVSYERTVLRT >Ma03_p13440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10565041:10568568:1 gene:Ma03_g13440 transcript:Ma03_t13440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDETPPEGIKVVLNDDDFSTIFADIEGPAGTPYENGVFRMKLLLSHDFPQLPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLLVIRCLLIEPFPESALNEQAGKMLLENYDEYARHARLYTVIHALKPKPKCQAGISESTTALNVDQSNMVSGGKMPLLSAPSTPPSKIPGPKGQDQNVLPPPAEGAAGAHAIPKKEGIVAAKVQTDKRKTDARKKSLKRL >Ma03_p13440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10564958:10568568:1 gene:Ma03_g13440 transcript:Ma03_t13440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDETPPEGIKVVLNDDDFSTIFADIEGPAGTPYENGVFRMKLLLSHDFPQLPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLLVIRCLLIEPFPESALNEQAGKMLLENYDEYARHARLYTVIHALKPKPKCQAGISESTTALNVDQSNMVSGGKMPLLSAPSTPPSKIPGPKGQDQNVLPPPAEGAAGAHAIPKKEGIVAAKVQTDKRKTDARKKSLKRL >Ma03_p13440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10565307:10568568:1 gene:Ma03_g13440 transcript:Ma03_t13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDETPPEGIKVVLNDDDFSTIFADIEGPAGTPYENGVFRMKLLLSHDFPQLPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLLVIRCLLIEPFPESALNEQAGKMLLENYDEYARHARLYTVIHALKPKPKCQAGISESTTALNVDQSNMVSGGKMPLLSAPSTPPSKIPGPKGQDQNVLPPPAEGAAGAHAIPKKEGIVAAKVQTDKRKTDARKKSLKRL >Ma03_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10565025:10568568:1 gene:Ma03_g13440 transcript:Ma03_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDETPPEGIKVVLNDDDFSTIFADIEGPAGTPYENGVFRMKLLLSHDFPQLPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLLVIRCLLIEPFPESALNEQAGKMLLENYDEYARHARLYTVIHALKPKPKCQAGISESTTALNVDQSNMVSGGKMPLLSAPSTPPSKIPGPKGQDQNVLPPPAEGAAGAHAIPKKEGIVAAKVQTDKRKTDARKKSLKRL >Ma11_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6576226:6578919:1 gene:Ma11_g08260 transcript:Ma11_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENQEHTAFITDLGVYFYKVMPFGLKNAGATYQRAVNKMFAAQIGRNVEVYVDDMIVKSRMAVDHLIDLVETFSTLRKYGLRLNPAKCTFGVGSGRFLGFIVHERGIDVNPEKCEEAFGQVKRHLANLPRLASVVLGEKLNVYLAASQHAVSSVLTKEVAGEQLPVYYANHVLNGPEEQYPSLEKLALALVLASRKLRPYFEAHTIEVITDQPLRQVLSKFDVAGRLLKWSVELGEFDIHYVPRTVIKTQSVADFIAELADGGNGSPKQTEEAWDLHVDGSATSSSAVAGLVLSAPDGRSFERSLRFGFRATKNEAEYEALLAKLKLALEMQVDVIRVFTDSQLVAEQLSDGYEAREPTMERYLAKVKSLVSNFSRFTLSKVSRNQNERADKLAKMALGLDHGNHPGVEDLPSRTISVSSVAPTEARTTWVQEMLLFKRNGVLPDDEATARRIRRTQAWYSEVNGRLYKRSFSQPLLRCLEPSEAKAVLAEVHEGICGEHIAARTLAYKILRQGYYWPTMSQDARIYVQRCGPCQWHARTPRQSAVPLSPIDCAWPFAQWGLDLLGPFPPASGQRRYIVVGVDYFTKWTEAEPLATITERQVEKFVWKSIVTRFGLPEAIITYNGSQFTSARLKRRVSAAQSAWVDELPSILWSLRTTPKAATRESPYSLSFGTEAVLPPEMIFPTLRTASYDEQVSTQGLRADLDLLEERRANAHLKDLSYKRAVARIYNRKVRPRPIKLDDLVLRRAEVSDPTRARGKLAPNWEGPYRVIEVIRVGAYRLATMQGHALPKTWNSQNLKRFFL >Ma02_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23118555:23124174:1 gene:Ma02_g15370 transcript:Ma02_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MMPGVCISSSLAASANGGSCGAVTMVNSDVRLLCKIPMNSVIIGPSLRRQELLRLHPTSSSRTRRMSSRVRATWPFRSNGKEMDVNIERSEAANEDILIFFFQLDLETRIQYALNMEQYEAAQQLRNKLDEVEAEIIKQREAKRGSTKSEAQDKAINLLRLRADMQKAIEDENYAVAAELRDEISKLETEILAASAKALAYENIQYAFRLGQRVRHKKFGYRAVICGMDPVCCESSSWMEIAHVDKLLQGRNQPFYQVLVDVRADPNLLVAYVAEENLLANEQPDMDRFDHPYASFLFYGMDTAGDFIPIKQLREKYNKPRHEVPVDTSDEDAGGNL >Ma09_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4767559:4768032:-1 gene:Ma09_g07270 transcript:Ma09_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRCLSSQCIGHGLPVWWPNTCIFYLHVGCAFRLVSISFQTQLSTCRYIKIVKQHSLEISQPGVDPSTGLTWQMTKRRRDHEVRKETEEKPGRCSDPRLPPYSTEEPFYSNVADNRLWPLPYETISSQV >Ma10_p21250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31148699:31151080:1 gene:Ma10_g21250 transcript:Ma10_t21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEGGPATAAIPLRSTATVAPPRYSLPPCRFYGEDVLFCVDVDVESKAEMAKGRAITRLDAIKQAVLLFVHTKLSMNPDHRFAFSILAQSVSWLRKEFSSEVDSALSAVRAITAADSSYGLADITQLFRIAAHEAKKSRAQGRLFRVVNLIF >Ma09_p26920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38056705:38058046:1 gene:Ma09_g26920 transcript:Ma09_t26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLVSSLLLLLAILSSPSSAAAAAAKGRDGAASSMPRFREAPQFYNSPSCPPPLPTGPGAACSPNTLVHVAMTLDVAYLRGSMAAILSVLQHTACPQSVFFHFVASSAAGYLDATIADAFPSLAFQIYPFADEQRVAGLISTSIRAALDRPLNYARSYLARLLPECARRVVYLDSDLVLVDDIAGLASTPIPDGLALAAPEYCNANFTSYFTPTFWANPALSVAFEGRRACYFNTGVMVMELGRWRDGGYTEKIEEWMELQKRMRIYELGSLPPFLLVFAGRIAAVEHRWNQHGLGGDNYRGLCRNLHPGPVSLLHWSGKGKPWARLDAGRPCPLDALWAPYDLLLRASFTINDS >Ma08_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7475666:7478250:-1 gene:Ma08_g10260 transcript:Ma08_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTAEEDEILAKYIAANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDLKRGNITKEEEAVIIKLHATLGNRWSVIAGHLPGRTDNEIKNYWNSHLSRRIDSFRRLGLDGGDAAVLDLSTLPGAGKRRGGRTSRSAARKNNIGGAVGRGQQQQQGVVVSPPVSLVQSDHSVVLDPDQNQASSVTNDGLVDAYEEMASELLCCTSPMDGRLWGTDAEMEHVLLGPGEESMAGRGWFHEGDSGVMTSSEERGGLVTVTSGPEEGGATGLGVETGPELVTKGEEEVGSSSSQAEKLLDWDLEDMEAELWDEAGDMWWHSEHQDLGLHGFDDGGYQEEPLDSWLIFSGTSLGDVTALGW >Ma09_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33849765:33850779:1 gene:Ma09_g21880 transcript:Ma09_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRCYSSEDYCVEDNDAGRKESVVVVMGATGTGKSKLSIDLATEFSGEVVNSDKIQVYRGLDITTNKMPVADRCGVPHHLLGDLDPAAGELPPAAFREMVARAISGIAGRGRLPVVAGGSNSFIYAAMAGSYDPGRSPFAGGWKAGRRREGRLRYRCCFLWVDVEAATLAEQLDRRVEEMVAAGMVEELGRYFEADEEAGKLRHPGLAKAIGLAEFQEYFLGEGRGTAPAYEAAVVAIKANTRRLAEEQVWKIERLKQMGWPLRRLDATAVVAARLAREAAEAEAAWERDVAGPGAAAVEQFLKEKSEGHLHHHIVPSPLIYA >Ma08_p32250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42937708:42944290:-1 gene:Ma08_g32250 transcript:Ma08_t32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTEYYDILGISVDASAAEIKKAYYLKARLVHPDKNLGDPQAAHNFQVLGEAYQVLSDPAKREEYDKHGKEGVPRDSMVDPAAVFGMLFGSEFFEDYVGQLALATIACVEVEEESQVPETRKQRVQEKIKELQKEREQKLIQVLKDRLHLYVSGQKEQFAAWASSEARRLSQAAFGEAMLHTIGYIYARQSAREIGKSRRYMGMPFIAEWVRDKGHHIKSQVNAASGAVALIQLQEGMKKLEGSEEEDLMKNFEEKKDAMLGSLWKINVLDIESTLSHVCQAVLKDNSVSKDVLKFRARALKKMGTIFQGAKGLYRRENSLRLEDGTGGVMP >Ma04_p36750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34979596:34996018:-1 gene:Ma04_g36750 transcript:Ma04_t36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGSGMEEMNGNGSVSSPAVDRSLPRANTMPGGTNCPERLETTPVKPNLERSKTERRKQSIPHDDPTAQLFDDKISDKQKMKMLNRIATVKDDGTVVVDVPSNLEATSLEVESEDAYGETVDEEPLDSTDLQYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGGDPKVLAEYMVKNKGFLPSAPSEIPIQRKQIKEIIFSLLPACKDPDVDTGIPFKADAIIANPPAYGHTHVAEALKIPIHIIFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINDFRKRKLKLRPVTYLSGAQDSASDIPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPESLVKWLEAGEKPIYIGFGSLPVQEPGKMTEIIVEALSITKQRGIINKGWGGLGSLEEPKDFVYSLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIIPFFGDQPFWGERVHARGLGPPPIPVDQFSLQKLVDAINFMMKPEVKENALILAKSMETEDGVSGAVKAFLKHLPPKLSSQDTLESSAFMDPLLAPVKKCFGCS >Ma03_p09630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7178656:7179740:-1 gene:Ma03_g09630 transcript:Ma03_t09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRLSDLMSSSWFYKLKDVGRANGSRRVQHSMKRFQSSASATASAASLPPFQPPKEHDYLPNRASYYTPSKERAEKLPRSPVNPKASDTRFPGEPPQKSKRQTRRRTATKPCADVVSSAVSASCGCRRTAAPVSLPIYGDECDPCEPTNPSVYDHRNADMDLVVSELKLPPILTKPVKKEVREPALHETGAFTDHSKAATRRSVSGIHGIKVRQVSPRVGSRKVQACRKPKVATTQQKRRPVSQSLVMIKSSSNPSRDFTESMVEMIVENNIREAKDLEELLACYLSLNSKEYHEVIIKVFEHIWFVLTDIRM >Ma10_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31569835:31574585:-1 gene:Ma10_g22060 transcript:Ma10_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSIPFQRELLASFTSRPKYQSPFALKSHSFSHFLTLSPLGRTIVDDFSKISAARTPATNVDERIALGVQTHDRRAKHQRTQGDERVGRNGGRDEGGTASEVKNVELGVAKQRPAFQRSRGEGTARKSKKMTPWVRRDKETEEERRMKKKSKKPKLREDEIDLRVKLDMCSKTGDVMGAIALYDSAVKEGIKLKQYHYNVLLYLCSAAAIGVIHPAKSGSSGSNPHYSSDESSSKHSAEAGEEDGELVHEENAQHTSESNGALIKDASQGARKDGVPIQVSEDIRDYARTRGLEIYEKMCLEKIPMSEAALTSVARVAMSMGNGDMAFEIVKQMKPLGVTPKLRSYGPALLTFCNDGDVDKAFEVEAHMSQNGVHPEEPELEALMRASVAARRGEKVYYLLHKLRTNVRQVSPSTAAVLEAWFRSAAASRLGKRKWDVQAVAEAIENGGGGWHGQGWLGKGKWNVSHTGVSTDGVCMACGDKLVTMDLDPIETENFANSVASLANKRERNSNFHKFQKWLDYYGPFEAVVDAANIGLFSQRRFSINKVNAVVNAIRQKLPMKRWPLIIVHNKRLTGGKMNAPLNMKLLEKWKYADAIYETPTGSNDDWYWLYAAIKCKCLIVTNDEMRDHIFQVLGNDFFPRWKERHQVHFSFHEGSFEFDMPPPCSIVIQENERGHWHIPISTVQEQERERTWLCVTRAHKAIEESSNPPRESCVPNTSSNPAASYVEAKNCVASKEHSKKTSRSSLRCKVDCKANELHTVISDIEAAEKLHNCVIDFQI >Ma06_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4518549:4519148:1 gene:Ma06_g06120 transcript:Ma06_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIYISFWSTAVAYTLPCCHHLPISWCSVL >Ma08_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5174427:5176116:-1 gene:Ma08_g07550 transcript:Ma08_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVLEAFRSRFSIFFFSFAAGFVLLAVVLELLRCMPWWCSCPVCEAYVTKSWAARFDNLCDWYAHLLRESPTRTIHIHVLRNTVTANPDNVEHMLRARFDNYPKGKPFSAILGDLLGRGIFNVDGDPWRFQRKMASAELGSTAVRFFACCIVTAEVRERLLPLFDAACAGYAVLDLQDVFRRFAFDNMCKISFGLDPGCLELSLPASEFAAAFDKASMLSAWRATSTMPIVWRAKRLLNRGSERELRDAIRLVNLLAKELIRQRRKLGFSSNHDLLSRFMACVDDDKYLRDITISFLLAGRDTVASALTSFFLLLSRHPDVRSAMRDEIDRVVGRGAATASYDHLRDLHYVHAAIHESMRLYPPVQFDSKFCLDDDVLPDGTFVGRGTRVTYHAYAMGRMEELWGSDCHEFRPQRWLRNGTFAPESPYKYPVFQGGLRVCLGKEMALMEMKTVIVAVVRQFDIDVQAAWPPKFAPGLTASLKGGLPVRIRRNACTEVDMSPTRI >Ma06_p26930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28949822:28954502:-1 gene:Ma06_g26930 transcript:Ma06_t26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVINVITGVTILRIDDAAPIEDASLLPHRHRRCHVRLRSVSRDTTQLQPWEERRESRNRKILGGETVAKNHHQSQSGGIFSIEAPPHVSNVQVAQSLDTIRRLCRIVYKYLEDGTKVTVSTGLAASGARIPHLRY >Ma11_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6222772:6223974:1 gene:Ma11_g07780 transcript:Ma11_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYKFRLLTKQQANVIVILREMAAQQVCCCLSSRKDIGFDGIWKSWFRINKNTCYIMTVPFSRQVLSQLAPYIILDEKLGSLAVQLVAGGSGIKGVKIILPTKEASALADERNFHDNSPEIPLDSIQVHLTNAESKFVSILSDAGDILVEGKAGRPAGHDWTYEENTWRRECEYQLYECV >Ma06_p29140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30598636:30602702:-1 gene:Ma06_g29140 transcript:Ma06_t29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPERSPSPEAESEAPPPAPQNTPEKPGSPEPAMMALAIVDRLSQEDMAVDVKVVGAAVSGGEGDRGLGNGCCAARKAESGLGAVPGILRRRAVTRAAFGLRVSAALLSLVSFSVMAADSTEGWAGDSFGRYSEYRYLVCVNAIAFAYSAFQAYTKVHYLILKKLIIRRPISYYFDLSMDQVLAYLLMSASSAAASRNDLWISRFGADEFMDMANGSIAISFLAFVALASSSLISARNLFTWNSNATS >Ma04_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25667624:25675148:-1 gene:Ma04_g23500 transcript:Ma04_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSTVVIKIKKRSLRLAGPEFSKPSGRSGLALEKECTTGACGGRRRIRIGWRRTSRCGLPQLPRGPVLGARGPRRPAVVEHVGLSGLAGGPIESAAVVLLHSLVLLPRAAQRRLRPWQLILCFGSPDRAVNSALASDLGLRLVHVDANRAVEVADIVMALFLGLLRRTLLLSRHSSSLSAASGWLGSIQPLCRGMRRCRGLVLGIIGKSASARCLATRSPTFKMSVLYFDLHDEAKGKSRCSPIAFPAAAQRMDTLNDLLTESDLCALHSAEGPQWMEAWVREMPNVLILPRSADYSAEVWMEIRENDHYEQSEKWVKESISQNTSSRSEGRRSRSGKKGKKRPAHRRSQQKSDDVSAVESTNNCTSHHGDDTAVSGRDQVLSSSSRFASPEDPKSKQMCPFEPMMESKSGKPMALSTELKTESPDELKDGFILALHSRGNPGFHVARQRVPGGGWILDTITYVTKRDPAAQFAVALKARKYLDCGLLLLVVNCCRLTEKWNSYLQVIVSMCGRAGGWRVQFWTNASLSIAETHSLSWMSASRFLQR >Ma03_p20970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26045472:26048412:1 gene:Ma03_g20970 transcript:Ma03_t20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTIVNAERRGKATATLQPISSVIVSFLRIMKDRDFQVFDPHRVGRITVELQGRINDCKALTYRQDLKSRNIEDYRLRMLPTRQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFH >Ma03_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26045466:26048412:1 gene:Ma03_g20970 transcript:Ma03_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTIVNAERRGKATATLQPISSVIVSFLRIMKDRGYIKDFQVFDPHRVGRITVELQGRINDCKALTYRQDLKSRNIEDYRLRMLPTRQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFH >Ma10_p29530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36094093:36101626:-1 gene:Ma10_g29530 transcript:Ma10_t29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKTIRRLEVVSPVPADIDIANSVDPFPIAAIAEDLNLGSKHYDLYGKYKAKVLLSVLDDLQGSEDGYYVVVGGISPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPPNKEGKRTFANVMFRRLQKLSISKTNPDELTPDEVKRFVRLDIDPDSITWRRVMDVNDRFLRKITVGQGPEEKGMIRDTGFDIAVASEIMAVLALTTSLAEMRERLGRMVIGNSNAGEPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGSDIGTEKFMNIKCRYSGLVPQCAIIVATIRALKMHGGGPEVVAGKPLDRAYITENVALVEAGCVNLSRHISNTKAYGVNVVVAINKFATDTDAEMNAVRTAALAAGAFDAVVCTHHAHGGKGAVDLGTAVQRACESQSQTLNFLYPLDIGIKEKIEAIAKSYGASGVEYSEQAEKQIKMYSKQGFSGLPICMAKTQYSFSHIPSQKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFFDIDIDIATGKVLGLS >Ma04_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5921235:5921910:1 gene:Ma04_g08210 transcript:Ma04_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELFVLGCTGVVVFIHGANFFFRALSHRLTARPLSFLGIAGW >Ma08_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35555110:35556643:-1 gene:Ma08_g21760 transcript:Ma08_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMMEERQARELLQMQQLVSLPCDHFAEEEDYIDMDVSSAVDVDLGGGGGGGTASFICYTMASPPLSKEFEFQMSGNPTEREATTSPADELFYKGKLLPLHLPPRLRMVEKLLESSKHSDGFGEKDVSAAVGGELSAVSDQKQSPWSKKLKSIKQSSLGLKLKASRSYLKSVFIRSRCSDESCAVLQCDERSNGYKKAAKTSPLGQIQQGKYVLEDTNAASLRSTEREKLLEEDLIHRKSFSGASSWNSTTKPSSSSTTSSCSSSLSSSFSSSSSLGVYQPQMLKRSSSVNSEMESSIQGAIAYCKESQQLVGGRKSASDAGLYPLPASRIAAACQARENPRFCRG >Ma07_p26010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32977475:32981525:-1 gene:Ma07_g26010 transcript:Ma07_t26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigE, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24120) UniProtKB/Swiss-Prot;Acc:Q9ZNX9] MGVVAVPSSASRSPFGSGLAVRRSSPLRRPFFFLAFKGGPGAKCSALVTPPEAVTQEAVKEPKKSPARAAKRSKRGKAVAVVAAPTTAAPSDACPRDPDYSEVAAALENIYKLSPADVSDGEESGRQTVVKDGSLGVDNVVRNRKKRVKRLGLEERISMRRRPKEEAGTEARRGEGREFDEDVEILVREYSGSTNLDSLDWKRMKIPPVLSSAEHTWLFKLMQPMKAILQVKEGMYKDLNREPTDGELADAVNMSVPQLRRHIEVARAARDKLIKHNLRLVLFVINKYYPEIASGQKFQEFCQAGAKGLITAIDRFEPKRGFRLSTYSLFWIRHSIIRSMTLSSFTKVPFGIESVRQEIKKAKLELSFELGRLPTEEEIVDRVGISLERYNEVMKASKPVLSLNARHVVTQEEFINGITDIDVGGDKRRQPAVLRLALDDVLDSLRPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHELKALLKLKHPTRVDYLRRYV >Ma02_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24247635:24248939:-1 gene:Ma02_g17250 transcript:Ma02_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLFLALLVCIADGQLSPTFYRKTCPNLQTVVRSAMTQAVNNEPRMGASILRLFFHDCFVNGCDGSILLDDTATITGEKNAGPNANSVRGYDVIDTIKSNVEAACPGIVSCADIVALAARDGVVLLGGPSWTVKLGRRDATTASQSAANTNLPAPSSSLSQLKTAFANKNLNARDLTALSGAHTIGQARCVNFRSHIYNDANIDASFASQTQQNCPSSGGDNTLAPLDLQTPTAFDNAYYQNLVSQKGLLHSDQELFNNGTQDALVRQYSTNAAAFSRDFAAAMVKMGNISPLTGSQGEIRLDCKKVN >Ma07_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3217229:3225024:-1 gene:Ma07_g04300 transcript:Ma07_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPRARSSPASSSISLTSSSDGGGDGGDGGRKDRKKEALGWIEWGRGWCSIIGEFLFQRIAASHVENPLPVPPLDGLTCIVTGATSGIGLEIARQLAESGAHVVMAVRRTKLAHELIQDWQNDKSEIGLTLNVEAMELDLLSLDSVVRFAEAWNARMVPLNVLINNAGIFAIGEPQRFSMDGYEEHMQVNHLAPALLSLLLLPSLLRGSPSRIINVNSIMHFVGYVDTHDMNMTSGRSKYTSLEGYSSSKLAQVKFTSILHKRIPAEAGISVLCVSPGIVHTNVARDLPKVIVAAYHLIPYFIFSALEGSRSTLFAATDPQVPEYCSVLKAEEWPVCAYISYDCRPINASEEAHNLKTSQDVWEKTLELIGLPSDALNKLIEGEAVQCRFGPKEE >Ma08_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20776963:20777247:1 gene:Ma08_g16800 transcript:Ma08_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYCIKEFAEALEVIPYALAENAGLNPIVIVTELWNRHAQGETNAGINVRKGLITNILGENVVQPLLVITSAITLATKCVRMVLKIDGIMTVR >Ma07_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27128491:27129543:-1 gene:Ma07_g19100 transcript:Ma07_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTLGVLIEVLKNLTTDIKICREYWSVLLQVINIVPAFFSFWAIHLYLQRRVVDAIPWDSPPASLINPGKGMVERKEIAFLVAAEAQIEATATKTFS >Ma03_p33090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34591718:34593390:-1 gene:Ma03_g33090 transcript:Ma03_t33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRLLAHGKRGGGAKRSFINTAAAAATIATTVLRPNSDDGGGDSEDEGPFFDLEFALPLREEDHLYRQKQQQFSSDTESYDEDEEEEEEEDEEFDLTVSPDRCRYGRGFSSRSEDLFFKGRLVPLEPSSLRDLAASEPKASKPQVTAFLLKSAAKFRVFRLGFHRKSNSASTQTNPVASPATTSPSPKQQHQNKFSVKFKVEETPLASLFTRDSSSRSSSSSRSVRFFADDGLPTSEARKLPKDVLQRYISKIKPLYINISKRYGGKLRFTGPLRSGGAWKVRPAGEGGEPSGGEQLKEPASAAGTVAGSLKSQDGTIPAGLKVVCRRLRKSRSASAAVASVRSPPLATGRRDDSLLEQQDGIQSAIAHCKRSFNRGSESPLMRSRSDPGEGRSVELGSGNNV >Ma03_p33090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34591718:34593390:-1 gene:Ma03_g33090 transcript:Ma03_t33090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRLLAHGKRGGGAKRSFINTAAAAATIATTVLRPNSDDGGGDSEDEGPFFDLEFALPLREEDHLYRQKQQQFSSDTESYDEDEEEEEEEDEEFDLTVSPDRCRYGRGFSSRSEDLFFKGRLVPLEPSSLRDLAASEPKASKPQVTAFLLKSAAKFRVFRLGFHRKSNSASTQTNPVASPATTSPSPKQQHQNKFSVKFKVEETPLASLFTRDSSSRSSSSSRSVRFFADDGLPTSEARKLPKDVLQRYISKIKPLYINISKRYGGKLRFTGPLRSGGAWKVRPAGEGGEPSGGEQLKEPASAAGTVAGSLKSQDGTIPAGLKVVCRRLRKSRSASAAVASVRSPPLATGRRDDSLLEQQDGIQSAIAHCKRSFNRGMYGSESPLMRSRSDPGEGRSVELGSGNNV >Ma10_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20474149:20480956:-1 gene:Ma10_g06900 transcript:Ma10_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYDYDDASLDSRYTRPPSAGQGSAGTDQGYDPNFVPDSVKTFVVHLYRHIREKNVYEIHQMYDGSFQRLSDRMFRESPWPSVEAIAPYVDNDHVFCLLYREMWFRHLYARLSPTGRQRVESWDNYCSLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSYCQYRAKLKNKAEEELQLLRQYDQAWNVYGVLNYLQALVEKSAITDVLEREKEGLEQFAATDGYDYEGGTSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDISQQGVYNVVIGSHISTIYHYGFANLMLRRYVEAIREFNKILLYILKYKQFHQKSPQYDQILKKNEQMYALLAICLSLCPQNNLVEENVNSQLRDKYNEKMTKMLRYDDEAYAVYDELFTYACPKFITPSAPVLEEPLTNYNQDAYRLQLKLFLYEVKQQQLLSGVRSFLKLYSTISIGKLAAYMEVDEPTLRTILMTYKHKMHAVDGDGKIIPNADVDFYISEDIVHVVESKPTKRYGDYFLRQILKFEEMIGELDRIKMD >Ma04_p33980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33360601:33362451:1 gene:Ma04_g33980 transcript:Ma04_t33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAVYTTPSLHSCSITSPAKTSLGFHQRQVIFFTSRRITKRGGRSTSEVTCSAEAKTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKALKDGVAVDKPIYNHVTGLLDPPELIRPPKILVIEGLHPMFDPRVRELLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQHADAVVEVLPTQLIPDDNEGKVLRVRLIMKEGLKHFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFAYAPDTYYSNEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHSDFPGSNNGTGLFQTIVGLKIRDLFEQIVAERAAAVAEAAKV >Ma06_p35550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35337480:35341352:-1 gene:Ma06_g35550 transcript:Ma06_t35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSPSSRSVCWSHSSSPSSSSPPSISSSIGVSDGRRGSKLRIVSKGRNRISREALVSGKTQEAWSGRDGQGKGKKKGGSGGGAAGAMMCSAEGIGRRLERGQVGRAAVAIPERAKVLLMLAVVMCLCNADRVVMSVAVVPLASRYGWSSSFLGIVQSSFLWGYLISSVAGGALADRYGGKRVLACGVAVWSLATFLTPWAANHSTAMLLAVRALFGLAEGVAFPSMSTLLFRWFPCSERASAVGISMAGFHMGNVISFLASPIILSSIGINGAFAFFAALGFIWLSAWTLGITNDPRDSPRISQAELQLIRAGKMDLKTENSQLPPLRYLLSKLPAWSCILANMANNWGYFVLLSWLPVYFKTVYNVNLKQAAWFSAVPWGVMALSGYIAGASSDFMIKSGCRITKVRKLMQSIGFIGPAVSLLCLRYAQTPTAAAVLMTIALSLSSFSQAGYFLNIQDIAPKYAGFLHGITNSAGTLAAIISTIGTGYFVQWLGSFQAFLTLTAALYFGTTVFYNLHATAEQVFF >Ma06_p09010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6354920:6358050:-1 gene:Ma06_g09010 transcript:Ma06_t09010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQTRQDLRGDCMLTEVSLDWRGNPCKPNKHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFNEMHFSLSESANIVTNFVGTIFILSLLGGFLSDSYLGSFWTMLIFGSVELSGFILLSVQAHLPQLRPPPCDMMSEAHCMEAKGFKATMFFLALYLVALGSGCLKPNMISHGADQFREDDPNQSKKLSTYFNIAYFSFCVGELIALTVLVWVQTRSGMDVGFGVSAAAMAMGLISLVSGVLLYRNRPSQGSIFNPIARVFVAAFSKRKQVCPSIVGDLVPSPSVHNLMHINKFRFLNKACMKSHDGSAMKESRWRLCTPAEVEQVKVILSVIPIFACTIIFNTILAQLQTFSVQQGSSMNAQLADSFKVPPASLQAIPYMMLIVLVPIYETCVVPLARRLTGKDPGISPLQRIGVGLFTVTFSMVAAAMIERKRRETAIGSNELLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSSAGMQSFSTAMTYCSYSFGFFLSSLLVSSVNKITSNSSNGGWLSDNDLNKDRLDLFYWLLAALSLLNFFHYLFWAKWYSDTPSPSTVTTHEEDYRSVSSSKHVGADQDVL >Ma06_p09010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6354920:6357895:-1 gene:Ma06_g09010 transcript:Ma06_t09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAVGNNLITYVFNEMHFSLSESANIVTNFVGTIFILSLLGGFLSDSYLGSFWTMLIFGSVELSGFILLSVQAHLPQLRPPPCDMMSEAHCMEAKGFKATMFFLALYLVALGSGCLKPNMISHGADQFREDDPNQSKKLSTYFNIAYFSFCVGELIALTVLVWVQTRSGMDVGFGVSAAAMAMGLISLVSGVLLYRNRPSQGSIFNPIARNVFLQVFVAAFSKRKQVCPSIVGDLVPSPSVHNLMHINKFRFLNKACMKSHDGSAMKESRWRLCTPAEVEQVKVILSVIPIFACTIIFNTILAQLQTFSVQQGSSMNAQLADSFKVPPASLQAIPYMMLIVLVPIYETCVVPLARRLTGKDPGISPLQRIGVGLFTVTFSMVAAAMIERKRRETAIGSNELLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSSAGMQSFSTAMTYCSYSFGFFLSSLLVSSVNKITSNSSNGGWLSDNDLNKDRLDLFYWLLAALSLLNFFHYLFWAKWYSDTPSPSTVTTHEEDYRSVSSSKHVGADQDVL >Ma06_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6354920:6358050:-1 gene:Ma06_g09010 transcript:Ma06_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQTRQDLRGDCMLTEVSLDWRGNPCKPNKHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFNEMHFSLSESANIVTNFVGTIFILSLLGGFLSDSYLGSFWTMLIFGSVELSGFILLSVQAHLPQLRPPPCDMMSEAHCMEAKGFKATMFFLALYLVALGSGCLKPNMISHGADQFREDDPNQSKKLSTYFNIAYFSFCVGELIALTVLVWVQTRSGMDVGFGVSAAAMAMGLISLVSGVLLYRNRPSQGSIFNPIARNVFLQVFVAAFSKRKQVCPSIVGDLVPSPSVHNLMHINKFRFLNKACMKSHDGSAMKESRWRLCTPAEVEQVKVILSVIPIFACTIIFNTILAQLQTFSVQQGSSMNAQLADSFKVPPASLQAIPYMMLIVLVPIYETCVVPLARRLTGKDPGISPLQRIGVGLFTVTFSMVAAAMIERKRRETAIGSNELLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSSAGMQSFSTAMTYCSYSFGFFLSSLLVSSVNKITSNSSNGGWLSDNDLNKDRLDLFYWLLAALSLLNFFHYLFWAKWYSDTPSPSTVTTHEEDYRSVSSSKHVGADQDVL >Ma11_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18597483:18603334:-1 gene:Ma11_g13600 transcript:Ma11_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRSSRPIARRRAAHISKYPDAQVISLGIGDTTEPIPEVITSAMAMRAHSLSTVEGYSGYGAEQGDKKLRAAIASTYYKDLAIDETDIFVSDGAKCDISRLQVLFGSEVKMAVQDPSYPAYVDSSVIVGQTSLFQKDIEKYGNIEYMRCVPENGFFPDLSTISHVDIIFFCSPNNPTGSATTRDQLIHLVQFAQDNGSIVVFDSAYSLYISDDSPRSIYEIPGAKEVAIETSSFSKFAGFTGVRLGWTVVPKELLFSDGFPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLKAMVETIKFYKENTKIIVDTFKSLGFDVYGGRNAPYVWVHFPGEAGLDVVTTPGSGFGPAGEGFVRVSAFGHRANIMEAAKRIKQLYK >Ma11_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2305853:2308192:1 gene:Ma11_g03130 transcript:Ma11_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVKRFHDLEVSQANLRDQLQWMLGEGSEGHGHGMRRREDGDADADPFLPGHFSHGPYRSVLQHVGHALHIFRPHTGEIIFWNQSAENLYGWLEDEALGKRVGDLLMNEEKIPYLGKIMDRLRRGQSWSGQLAFVKKSGEMFMAMVTKSPLYEDDDFVGVITVSSDAALLDDNCSEMLRGNQDQAHGPARTCKRVRWRRPPPIASSVSNLVQISSNCLCFFFFFFFSSLSFSLPTSIKQASKVFSRNRACGDNELDESCQVGDESGKKEL >Ma10_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22341471:22343269:1 gene:Ma10_g08070 transcript:Ma10_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g50270 [Source:Projected from Arabidopsis thaliana (AT1G50270) UniProtKB/Swiss-Prot;Acc:Q9SX45] MLREGVVPDEHTYPLVLKVLPKLSDIRPDQVHAQVLKFGYCADSFVRNSLVAAYAKCGGLASARNLLDGISDRDPVPWTAMIQGYVENDQASDALAVFDKMRTLGVHVDEVAIVSVLKGCGLLGNVWLGRCVHGFYVACGRVKWDVYVGSALVDMYAKCGCCDDAREQFDEMPLRNVVTWSTMITGYVQCGRYKSALSLFRDMLLEGQAPNEVTMASILTSCAQTGALGQGRWIHGYVNRSKLESSTVVGTALVDMYAKCGCIDEAVMVFNGMLQKDVYPWTALINGLAIHGYAYQCLDLFSRMVKDGVQPNEVTFIGVLSACSHSGLVEQGRYHFGSMFKDYSIRPKVEHYGCMVDLLGRAGMLKEAMLVIESMPMEPTPGVWGALLNACIIHEEFDLGERVGKHLIEMDPRHSGRYALLANLYSLSKKWDDDASVRMTMKGRRVEKTRGSSWTEVSGILTEFFAMDESHPETEAIYEMLDGLTKVMKLNGICR >Ma08_p31200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42195298:42195698:-1 gene:Ma08_g31200 transcript:Ma08_t31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFFYVDPEFEADPKMDGVNNLILSYTFFKVNEG >Ma01_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9862108:9868331:1 gene:Ma01_g13510 transcript:Ma01_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGFLDIQPTELKFPFELKKQSSCSMQLINKTDQYVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVTVTMQAQKEAPPDMQCKDKFLLQSVIAENGVTTKDITSEMFNKEPGKVVDEYKLRVVCVPASPPSPVPEESEEGSSPRLSTFENGAQSLKTLDSVSRANEPPREKSSEALAMNSKLPEEKNSAIQQNQKLRQELDQLRKELNGHYGSFSVTFVVLVGLLGALIGYIIKKS >Ma01_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10608923:10610208:1 gene:Ma01_g14500 transcript:Ma01_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRVQLGRGHGEDRVCYADKARWSRHSHQRHYQQSPWRSSSTSAAGTYPAPSFASGSSAEAVVSVSGTREQENRAGTEKDTPKTGIASVSSWGPSVAHSCNLKRFLESITPSVPALYPSKMEKRGWRASDQECRPYFALADLWVSFTEWSAYGAGVPLVLSGAGSVIQYYVPYLSGIQLYGESNRPFSDSRY >Ma01_p14500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10608892:10610208:1 gene:Ma01_g14500 transcript:Ma01_t14500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRVQLGRGHGEDRVCYADKARWSRHSHQRHYQQSPWRSSSTSAAGTYPAPSFASGSSAEAVVSVSGTREQENRAGTEKDTPKTGIASVSSWGPSVAHSCNLKRFLESITPSVPALYPSKMEKRGWRASDQECRPYFALADLWVSFTEWSAYGAGVPLVLSGAGSVIQYYVPYLSGIQLYGESNRPFSDSRY >Ma07_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31527829:31535226:-1 gene:Ma07_g24050 transcript:Ma07_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVDLPCDADGRCMVCEAVPPSPAEVVLCRTCATPWHAPCLSLPPATLATAVDWECPDCSSPDGSGVVAAVPDASSALIASIRAIEADRSLTEKEKAWRRQELVGGRGRVLVERDKEEEKKGKKMRNDDVLGFLDAKFICSFCMQLPDRPVTTPCGHNFCLKCFQKWVGQGKHSCAKCRAPIPSKMACEPRINSAIVVAIRMAKTAQSTISSDKKREYHSMCNENRPDKAFTTERAKKAGKANACSGQIFVAVPPDHFGPILAVHDPKRKKGVLVGEIWEDRLECRQWGAHLPHVAGIAGQSNQGAQSVALSGGYKDDEDHGDWFLYTGSGGRDLSGNKRTNKHQSFDQTFEKLNKALQVSCIKGYPVRVVRSQKEKRSSYAPETGVRYDGIYRIEKCWRKLGIQGFKVCRYLFVRCDNEPAPWTSDEQGDHPRPLPVINELKHATDITIRKQSPSWDYDEKHGWKWIKSPPKSRKHGPGGSPYERGRRKSSRTPQSTSVREKLLKELSCLICWKVMSFPLTTPCAHNFCKSCLLGSFADKSFVRVRTWEGGWSLRAQKIIKKCPSCPNDISDFLQNPQVNRELMDLIELLQKRKHEMNGEESSNLVDLSSEEENNDQEKRLRNYGSDLGKNMVENESDVLKGKKLKGKAFDICEQQYKSVDGESETYAVNCEMDEIGKTYCLGLKNKIVHCVTYKRRKTSCTLNGIRKTRSLGLTNKVVHYVTYKRRKLNCEMDEIGKTSCLGLTNKVVHYVTYKRRKLHCEMVEIGKTSCLGLTNKVVHHVTYKRRKTNCEMDKIDKTSCVGLTNKVVHYVTYKRRNTNYQMDKIDKTSCLGLTNKVVHYVTYKRRNTNYQMDKIDKINCLGLTSKVVRYVTYKRRKTNPDSWKK >Ma03_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:718189:722540:-1 gene:Ma03_g00910 transcript:Ma03_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTACLAALLLVLFGLASAASDMSIISYDEEHGVKGLERSKEEMRRMYEGWLIKHGRTYNALGEMERRFEIFKDNLRFIDAHNAEADAGLHRYRLGLNRFADLTNEEYRAGFIGTRPRGAARRNRVPSDRYRLGDGEELPESVDWRAKGAVAAVKDQGYCGSCWAFSTVGAVEGINQIVTGELITLSEQELVDCDNSYNMGCNGGLMDYAFEFIINNGGIDTEDDYPYKARNGMCDQNKKNAKVVSIDGYEDVPQNDEKALQKAVVNQPVSVAIEAGGRAFQLYQSGIFTGRCGTRLDHGVVVVGYGSDNGKDYWIVRNSWGGDWGEAGYIRMERNIKASTGKCGIAMEPSYPIKKGKNPPHPGPSPPSPVSPPTVCDEYYSCPPATTCCCLYEYGSYCFAWGCCPLEAAICCDDHFSCCPHDYPACNVGAGTCQISKDNPLGVKALVRTPAKPLWAFSEKNNVTLTL >Ma04_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20927893:20928912:1 gene:Ma04_g18760 transcript:Ma04_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFEILQKKRFLPTMPYQDDLPTFQAQKDTHLIGLRKRISSYSVKIQPLSTASSDWVFRKSKSMSSIGEFAGGPLRRWWDRWWGWVLSKKPAFAQDIEMNEEETALLGCHSKGSWQHIFYKLRSEARKLVRSNTTLPTTQGFRYDSFSYAQNFDDGKREEQ >Ma07_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27106415:27107555:1 gene:Ma07_g19080 transcript:Ma07_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLNVNEEYKKTLRTKSFVDMCSKVHQQLRRTISSSEDDDGDKDEASHRPTVSYTKHSEFLLELSQESVVEAATATIDGCSAYLYLHSLLLEYFDVTFRACTACTNVLASINRARERHRSIRHLLIKLSSTCLFDGDCTEFDRLASLLQLDNPLHPQNLANFQSVQSHCSLLMQQLARAHRGILRRLRFVRLTKKATGIVMISTCNVALVVAVVIAVHTVIGFGVVAAAAPVVMATRPRAGMRWARTKRARDLGRLGAQVDAAAKGAYIVGRDLDTVSRMVRRVQDEVEHEQEVARMTLRARERQLVREVARVVEGGAAGMEAQMEELKEHVCLCLITINRSRRMVAQEMMEGGAEPAVANAMA >Ma08_p29870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41323887:41325112:1 gene:Ma08_g29870 transcript:Ma08_t29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGEGKKSEEKKDDGGAALRPSQHEEQKAKVVFCSSSSSSSLSPTLELNLIESLVSVPSEPPPAEATTELEPRVFPCNYCRRRFYSSQALGGHQNAHKRERTLAKRGSHGAGFGEANCGYVPSMASLPLRGTYAGRPLGIQAHSMVHKPHKVTSPPLAAAMFYGQHGWSISPIISRRPEVGRISTEVIHATTIPPPVQLNDPAAAAAAAAAEMIQEVGGNHLNSRREKLPKLDLSLKL >Ma08_p29870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41324141:41325112:1 gene:Ma08_g29870 transcript:Ma08_t29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILPLHAGHIPCESSSMMEGEGKKSEEKKDDGGAALRPSQHEEQKAKVVFCSSSSSSSLSPTLELNLIESLVSVPSEPPPAEATTELEPRVFPCNYCRRRFYSSQALGGHQNAHKRERTLAKRGSHGAGFGEANCGYVPSMASLPLRGTYAGRPLGIQAHSMVHKPHKVTSPPLAAAMFYGQHGWSISPIISRRPEVGRISTEVIHATTIPPPVQLNDPAAAAAAAAAEMIQEVGGNHLNSRREKLPKLDLSLKL >Ma07_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2051476:2058290:1 gene:Ma07_g02570 transcript:Ma07_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A' [Source:Projected from Arabidopsis thaliana (AT1G09760) UniProtKB/Swiss-Prot;Acc:P43333] MVRLTADLIWKSPHFFNAIKERELDLRGNKIAVMENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLINNNRITRINPNIGEFVPKLHTLILTNNRLVNLMEIDPLASLPKLQFLSLLDNNITKKPNYRFYVIYKLKNLRLLDFRKVKQKERTEAERLFASKEAEEEAKKVSAKTFTPSEVPDVPDSSKVEQAPPKVAPTLEQITAIKAAIVNSQTLEEVARLEKALKLGQIPEEFMNLGKEATTASGDAVVDGMDTDDQNEVTEAQEQEQNEEAQPIEQD >Ma10_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14562003:14564307:-1 gene:Ma10_g03940 transcript:Ma10_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQDLTKLAWKLTRGMSTLEARLTFSGLAIFQAPKTISSQYYNKASFTNLCKTQLRPWPIIAHQIVSDNRGLQDFRGSHPCRGVHVEDGVVEDQDLRPWSTDPTAVFVPDTIGRPEVDPFAARNKVTSDEGKWGSEMSAFIRRLGGLSFSRESTSQLAMACSNPCVAGQCLSWIYTPAAQSVATLASASRNAGDEERLGEQITNGGVVGSPTDPLTKRKTLPFVPVCTALMASSTVSMPKQHLALRNPRPCRPRPGMSVAAPRRTPSAAPVLRSRPDRAREATSRLASVWRKIQGADDWNDLVQPLSPLLREEIVRYGEFVMACYKAFDLDPASQRYLSCKYGKRRMLEEVGLESSGYEITKYIYATPDISIPMQHGTCCGRWIGYVAVSSDEEVRRLGRRDVLVTFRGTVTSTEWIANFMSSLRPANLDPHDPRIDVKVESGFLSLYTSDDSTCRFGQGSCREQLLGEVSRLINKYQDEEMSITLAGHSMGSALALLSGYDLAELGVNRFQRQREIPITVYSFGGPRVGNTGFKERCEELGVKVLRVVNVHDPVTKLPGLFMNEHFRALGETYQLPWSCSCYAHVGVELALDFFKMQNPACVHDLGTYIGLLKCPKMVQVHKEGMVDLLAKAKMTFRKHKLQGWPWQDAAMQVGNLVQTLGLI >Ma04_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26656497:26662738:-1 gene:Ma04_g24700 transcript:Ma04_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MHSEACDPQEPQSPTGRRILDFEFRNSLPRVRTPSLSSAAPDPSPRSPALSSPPVMGSEARSAVKIVKGEFGYVLEDVPHLTDYLPDLPAYLNPLQDNPAYSVVKQYFVDHDDTVPEKIVVQRDSPRGLHFRRAGPRQRVYFESDDVHACIVTCGGLCPGLNTVIREIVCGLTHMYGVNKIIGIEGGYRGFYARNTIQLTPKSVNDIHKRGGTVLGTSRGGHDTLKIVDNIQDRGINQVYIIGGDGTQKGAAAIFEEIRRRRLKVSVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAGSVENGIGVVKLMGRYSGFLAMYATLASRDVDCCLIPESPFYLEGKGGLFEYMEKQLKENGHMVIVVAEGAGQDLIAESMRSMNHEDASGNKLLLDVGLWLSQRIKNYFTSRQKMVINLKYIDPTYMIRAIPSNASDNVYCTLLAQCAVHGAMAGYTGFTVGLVNGRHTYIPFDRVTETRNTVVITDRMWARLLSSTNQPSFLSSKDVEEAKMMEEIPKQTADEEKGMENGST >Ma04_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2873957:2874525:-1 gene:Ma04_g03720 transcript:Ma04_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKMAVVTFLLVSVLVASAVPAATAFGCFPDCYNRCANGDIGNVACSTMCSQACIVPKTLPDGTDLATLYPGGH >Ma08_p26280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38885959:38886618:1 gene:Ma08_g26280 transcript:Ma08_t26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVRRKHKLHAEHCSRVQSGKRVVESAKDTAGNITASTKAGMEKTKASVEEKVEKMRARDSEEKAEAGRRKEERKYEAEAEKEAAKERHAAEREDVRAGGAAGGVVAGYPAGQTPVASGGHAHAGGRGSTTGPVF >Ma11_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22931481:22931625:-1 gene:Ma11_g17650 transcript:Ma11_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVAGPEHSSEGKGNLRML >Ma04_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22669389:22672127:-1 gene:Ma04_g19990 transcript:Ma04_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASNLKQSSMDSFLHHHHHHHRPNPTAISKPETPAAEAASDYERIRRETIRRNQEFLQKLGIASATAIAHKNQHTSSKRRPRPPPASSFPLRRSSRNKRPPHDAPATSEDPAPELPDPGLIDSSVFQYVCDDEEAPLRPGAHLAESPSWSSTIVGFRVSGKAFRDPSLARIYSIDVCSSKKDRFLVAAGGHRGFISVYGGEWGDCENINSDGEMMDGPLMSWKGSPSWVSGVKFVEDNPMLLVSSSNDGAIVVWDLKKQPSSLSSWSPPVVAKSTELHTGGVYSMDRFSCWIATASKDSSVGVSRLTPAGELVAERNITGHHSGVIRGVCFGDGKERLADCGVDGRICVLDPRSPEPCALTIKSLHSTGVNTVEWNKWNNFLILSAGSDPILHLYDIRNAAGPVHSQLQGHVESNTGTCYRIYRPCFVGGKTIATPGQGTRKISLFDVEKATLISQGILGTDANLIMFKDDQQHPRLWSAAKQINQLCPLAQT >Ma11_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22844418:22848640:-1 gene:Ma11_g17540 transcript:Ma11_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGSWKHHEIKVKDSKTLLFGEKEVTVFGIRNPEEIPWGESGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVIGVNENEYTSDINIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDEIKAAIKEESEGKLKGILGYVEEDLVSTDFVGDSRSSIFDAKAGIALNPNFAKLVSWYDNEWGYSSRVIDLIRHIHKTK >Ma05_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5292335:5296681:-1 gene:Ma05_g07270 transcript:Ma05_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGITSCCFARCSRPPHCLTVKQKSSTTSARNLANPLPHQKLEGERSGGRRGRSHFEAWESEEKGDGERMSIYGRDPWGGPLEIHADSATDDDRSRNLDLDRAALSMTSRQLDETQQSWLLAGPGDKGKKKKKYVDLGCLIVSRKIFLWTVGAVVAAAALAGLITLIVKTVPHHHRPRPPPDNYTLALHKALMFFNAQRSGRIPKHNNVSWRGNSGMKDGFSDPSYGRSLVGGFYDAGDAIKFNFPASFAMTMLSWSVIEYSAKYEAAGELVHVKEIIKWGVDYLLKTFNSSADTIDRVAAQVGQGATSGGTNPNDHYCWTRPEDIDYPRPVYVCHSCSDLTAEMAAALASASIVFKDNKAYSQKLVHGAATLWKFAREQRGRYSEGGSDASVFYNSTSYWDEFVWGGAWMYLATGNSSYLQLSTHPKLAKHAGAFWGGPDYGVLSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTGIIMCSYLPVFNSFNRTKGGLIQLNHGRPQPLQYVVNAAFLASLYSDYLEAADTPGWYCGPNFFPTGALRDFARTQIDYILGKNPKKMSYVVGFGQRYPKHVHHRGASIPKNGVKYNCKGGWKWRDTKKPNPNTIIGAMVAGPDKHDGFHDIRTNYNYTEPTLAGNAGLVAALVALSGESTGVDKNTIFSAVPPMFPSPPPPPAPWRP >Ma03_p26440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30167313:30168481:-1 gene:Ma03_g26440 transcript:Ma03_t26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAHCSLALSLLCIFLCSSASGGAREQLPSALVVGTVFCDTCFRQELAESSYFISGASVAVECGDAANQLGYRKVATTDRRGVFGVRLPPRISRNLGLVEACSVKLLRSNEPFCAVAASATTAGLRLQSRRNGVRVYSAGFFSFKLLNQPELCHQKPQLDQAAFFLPSPPSFGGVPVPANPLFPPPSLLPPNPLQPPPSVLPPFPLQPPPSPSFDLPPVPLLTPPPPPPALPFPPVPFVPVPRFPGVPPASSWKKTSP >Ma08_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7623625:7625475:-1 gene:Ma08_g10420 transcript:Ma08_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLVLFLSVFSSISLRAPVAIAAASIARTIVVDLKGGGDFKSIQQAIDSVPDNNNNWTKIHVAAGVYREKVNVTSTKSYIVLEGDGAQTTSIEWGNYSDFSGHTTDTSATFTSYASNFVAKSITFKNTYNGFANLTPAVAAWIFGDKSAFYDCSFIGFQDTLADMLGRHYYKGCYIEGVVDFIFGYGQSIYERCNISTVQSLRKPGYVTAQGRNSTSDNGGFVFKWCTISGPQATYLGRAWKQYSRVIFYQTCMSDIIVPEGWYIWNAKDEGLVTFAESGCTGPGSDLSGRVKWEKQLSDDELKKFIDISYIDEEGWLDAQPPVD >Ma07_p26620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33341774:33345117:-1 gene:Ma07_g26620 transcript:Ma07_t26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLYQGSEYVLMKTRDGYWMLIINIPSEMFSNRCRRLRIMKISDLEFPPRATMKCQSWLGLATSWKISLVHYNLMTAWRKASVL >Ma08_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9815607:9846533:-1 gene:Ma08_g12780 transcript:Ma08_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKYKELRTAVDAVAAVDAHAHNLVDVDSSFPFLRCFSEAEGDALSLAPHTLSFKRSLRDIAALYNCRASLDELESHRKSSGLLSITAKCFEAANVSAVLIDDGILFDKMCDLEWHKSFAPAVGRILRIEHLAETILNDEAYDTSRWTMDLFIETFLTRMKSVADKIVAMKTIAAYRSGLQIDTEVSKADAEKGLLADLNAGRPVRIKNKSFIDFLFICSLEVATSFDLPMQVHTGFGDKDLDLRQSNPLHLRKVLEDKRFSKSQIVLLHASYPFSKEASYLASVYSQIYLDFGLAVPKLSVQGMIASLKELLELAPIKKVMFSSDGYAFPETFFLGAKRAREVVFSVLSTACDDGDLTLPEALEAAEDIFRRNSLQLYKLHGVVQSSISLNKTSMVTSHPDGIAFVRIIWIDTSAQHRCRVIPVRRFYEVVKNSGVGLTMASMGMTSFCDGPADGTNLTAVGEIRLLPDLTTKYRIPWASQEEMVLADMQIRPGEAWEYCPRNCLRRMSKILKDEFNLEMNAGFENEFYLLKNVIRDGKEQLVPFDKTRYCSTSAFDAASPILQEVNSALQSMDISVEQLHAEAGQGQFELSLGHKESGLAADNLIYVREVIRSIATKHGLLATFLPKYRLDDIGSGSHVHLSLWESGKNVFIGSEASKTRHGMSELGEKFMAGVYYHLPSILAFIAPLPNSYERIQPNTWSGAYHCWGKENREAPMRTACPPGVSRDLVSNFEIKSFDGCANPYLGLASIIAAGIDGLRRNLSLPEPIETNPSGHDSDVGRLPKELRESIGALSQDTVLIKFLGEELVTAVIGVRQAEDYYYRKNKDAVKELIYQY >Ma08_p31090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42114472:42117260:1 gene:Ma08_g31090 transcript:Ma08_t31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YlmG homolog protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21920) UniProtKB/Swiss-Prot;Acc:Q9C595] MAPLPPSTAAESPQATAAPFTGLLSSAFHHLPFSFPLPPPKLSGLDHAATAHVVGPHSSLLSAAERCVKTLAAALSEHPFFKPLLSTHSELQRFCQMRFRSPRFVSLPSGHSFAAILPGDSVAGLVVTNGIINFLNIYNTLLIVRLVLTWFPNSPPAIVSPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTATALPAELPGAITSQRNTCKQHWSFSLTTTQEKWLRRINSKRSKNSDDVC >Ma06_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4396733:4397886:-1 gene:Ma06_g05960 transcript:Ma06_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCAKEGLNRGAWTAHEDHILKSYIDTHGEGKWRSLPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISDDEDELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKKAYGKAYQPKPCMSNNSAAGPAAITAKAAPDHTAVVVQTKAQRCTKTFFHHDQALLVSSSTHQQHLQPASKPSMSPPKASPDAPANADPSRAAGDLPLTVGEEDEDPCFSMSLDSTFLLSGLSTEFWMESDSLQPHIEFNLSSVTAFLDADDDWLNWTPSC >Ma06_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6244782:6247218:1 gene:Ma06_g08920 transcript:Ma06_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSQSKAAAVAATEEVVVGKVALTPTDEVVKQLDVVPAPEVVKGPKKVALLSALAAEAAAEAEEKRACGAEKEAAEKAASVFQAVSFKEESSLVADLEDHEKKALDEMKQLVRAALASREFSSAPPPPAASLAKEAKLEEPPASTLVEAPPKPATETPAPPSRQAEDDEAPEQQSAADKNTVTANEDGAKTLEAIEETVVSVAATPPPEEAMTPVAAEEEAEKTDAAAAASAAKEVLIWGIPLLVDERSDTVLIKFLRARDFKAKEAMAMLKNAVLWREEFGIEALLSEDLGVPELERAVFMHGADRAGHPVCYNVYGELQSKELYALAFADEKKKKRFLRWRIQFLEKGIRNLLDFTPGGISTMVQVADLKNSTGPAKELRHAFALLQDNYPEFVAMQIFINVPWWYLAFNRMMSPFFTQRTRSKFVFARPSNTAETLSKYIAPEQVPVRYGGLSKENDPDFTSSDAVTEITIKPSMRQAIEIQVTEKCLLVWELRVLGWEVTYGAEFVPRSENGYTVIVQKERKLVAADEPVLKGSFKIGEAGKIVLTVHNSGSKRKKLLYRYKIKSSAEST >Ma06_p32700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33589244:33590805:1 gene:Ma06_g32700 transcript:Ma06_t32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGDKFGGGGGDNTAVVRISSEVMVAAVVFLFMVVVFVFFLYLYAKHYLRPDPALRGRRSRGRFVFSAADELGAGVPGRGLDAAVLRVLPVTVYRAADFKEGLECSVCLSELSDGEQARLLPKCNHGFHLECIDMWFHSHSTCPLCRSPVGAEPSTKPDSDPEAQTPPLQTLQESPVFPTNVLFWGDHDQVNAGSSTADTVAHGGSSQEGPSSSSGRSGKPEGALVIEIPRRAAEDLPSPISPLPSSRMAMEETRSPVSATFRSLRRLWSHGRWTGSSSSPRGGDIEQGLGGCAETSLPPPKSPSNS >Ma03_p30920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33356854:33358069:-1 gene:Ma03_g30920 transcript:Ma03_t30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNGRWQPPPAPTILNLPRLPRRSRAAAPRNPGLDRNVGDLPDRERSARPPPPPPPPPPPQVMMVEAEATSAGESRGDSGGEERWRFQAEILRAECNFLRMEREVALRKLERNRTEMEIALKSAMETLVSGRKKIDGCGSVGTALDEEIEELEEKLEELKLGNSNRRKRSTGSSRKLLRGSWGGDFDRRAFMLRRQLKKMEEDTSVKDIQEISVQAFANKGPEAERHQPEDHDVAAYSNQSRLFTDDMEKLRKKMEGMSKGMLERMEECSYLLSAKSSTITTTTTTKSELNCSSQQTTGITEAADMAHSPILQIQQQQQQEKLVSVIQNHLVSFQKWHC >Ma11_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21879007:21885567:-1 gene:Ma11_g16320 transcript:Ma11_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRVTTAAAATRSLVSAGRASTKPTLDFSSVSFSSPRISSSVDGLAGSGAALLFALRLHSAVDPLTPRLERASAAFSTSSPAEAAAMLGSLAPASSFVSQMSRNVSEAIRHYGRCYWELSKARLSMLVVATSGTGFVLGSGSAIDIAGLSCTCAGTMMVAASANSLNQVFEIQNDAKMKRTRQRPLPSGRLSVPHAAIWASTVGIAGTTLLAWKANYLAAGLAASNLVLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAASSGELPLKSVILPAALYFWQIPHFMALAYLCRNDYIAGGFRMFSLADASGQRTALVSLRNCLYLLPLGLLAYNWGLTSKWFALESSLLTIFSSISALLFVRERTSKNARRMFYGSLVYLPVFMSGLLLHRQPNEQHEHVISEPTTMNVLLSEVEVQEGNSSSTGQYKQRKDLTCTQARPPIAYASVAPFPFLPVPLYVSPDS >Ma06_p37870.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36809169:36817276:-1 gene:Ma06_g37870 transcript:Ma06_t37870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTSKMPFSFDWETLALLNGEETEISKSAQELKSKIGSGIGMGTGSLQFSGGDMCSSSKVGSCSFKSSISASDSTSNAMRKIPELNFESVGGFLVNQNKNKDLARVDISETSPAVVSAEGTKEPVITLKLGKRTYFEDPCLRNNMKSSYFPASNPLSTTSVKKSRVYQQNLLRAYCQVEGCNIDLSTSKDYHRKHKVCESHSKSPKVVVAGQDRRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQLETISFSSSKLSVSYYDDKQQANLVFGQSPFRQMTSIASSIWDGSGSLKLTQSEGSWRKSSETGSTNGQLLFPSNTNLNNAYTGHDMDSLLALNGTTAEILNQGLEATATFQSDGAPDLWRALSLLSTNKSCSPPITGYANDARPEQPLLQVLPFESRSDSGHQLQESLLFKPFFGTVFVDTSHLS >Ma06_p37870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36812354:36817278:-1 gene:Ma06_g37870 transcript:Ma06_t37870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTSKMPFSFDWETLALLNGEETEISKSAQELKSKIGSGIGMGTGSLQFSGGDMCSSSKVGSCSFKSSISASDSTSNAMRKIPELNFESVGGFLVNQNKNKDLARVDISETSPAVVSAEGTKEPVITLKLGKRTYFEDPCLRNNMKSSYFPASNPLSTTSVKKSRVYQQNLLRAYCQVEGCNIDLSTSKDYHRKHKVCESHSKSPKVVVAGQDRRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQLETISFSSSKLSVSYYDDKQQANLVFGQSPFRQMTSIASSIWDGSGSLKLTQSEGSWRKSSETGSTNGQLLFPSNTNLNNAYTGHDMDSLLALNGTTAEILNQGLEATATFQSDGAPDLWRALSLLSTNKSCSPPITGYANDARPEQPLLQVLPFESRSDSGHQLQESLLFKPFFGTVFVDTSHLS >Ma06_p37870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36809170:36817275:-1 gene:Ma06_g37870 transcript:Ma06_t37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSLQFSGGDMCSSSKVGSCSFKSSISASDSTSNAMRKIPELNFESVGGFLVNQNKNKDLARVDISETSPAVVSAEGTKEPVITLKLGKRTYFEDPCLRNNMKSSYFPASNPLSTTSVKKSRVYQQNLLRAYCQVEGCNIDLSTSKDYHRKHKVCESHSKSPKVVVAGQDRRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQLETISFSSSKLSVSYYDDKQQANLVFGQSPFRQMTSIASSIWDGSGSLKLTQSEGSWRKSSETGSTNGQLLFPSNTNLNNAYTGHDMDSLLALNGTTAEILNQGLEATATFQSDGAPDLWRALSLLSTNKSCSPPITGYANDARPEQPLLQVLPFESRSDSGHQLQESLLFKPFFGTVFVDTSHLS >Ma06_p37870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36809169:36817276:-1 gene:Ma06_g37870 transcript:Ma06_t37870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTSKMPFSFDWETLALLNGEETEISKSAQELKSKIGSGIGMGTGSLQFSGGDMCSSSKVGSCSFKSSISASDSTSNAMRKIPELNFESVGGFLVNQNKNKDLARVDISETSPAVVSAEGTKEPVITLKLGKRTYFEDPCLRNNMKSSYFPASNPLSTTSVKKSRVYQQNLLRAYCQVEGCNIDLSTSKDYHRKHKVCESHSKSPKVVVAGQDRRFCQQCSRFHDLSEFDQKKRSCRRRLSDHNARRRKPQLETISFSSSKLSVSYYDDKQQANLVFGQSPFRQMTSIASSIWDGSGSLKLTQSEGSWRKSSETGSTNGQLLFPSNTNLNNAYTGHDMDSLLALNGTTAEILNQGLEATATFQSDGAPDLWRALSLLSTNKSCSPPITGYANDARPEQPLLQVLPFESRSDSGHQLQESLLFKPFFGTVFVDTSHLS >Ma05_p27580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38816778:38817266:1 gene:Ma05_g27580 transcript:Ma05_t27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDRCLLLLVLLFFGLIVSTSSAYSGRMVGARTEVQDVETNKEVQDLGLFSVDEYNRRLALRGAGLLTFSRVAAAQRQVVSGIKYYLQVVAAVEGTGEEGQQHRTFDAVVIVKPWLSSRSLVSFAPRRPALNSQDNGGDAHLNVR >Ma06_p32660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33557655:33559766:-1 gene:Ma06_g32660 transcript:Ma06_t32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFRRTTNRAREFILTRTYSFDRLNRYLRQLVLFVYRFSALRLSSFCIHLIYFVFLALLGSLIMVLLKPSDPSFSPSYVDMLFMSTSALTVSGLGTVETERLSSAQIAVLTLLMFVGGEVFVTLLGLLLRRTSEHDKREASAVGVDAVRSELEPVASSRVVTIDSIEPVDGSAAMAEEKDLRLSCVRWLRYIVSSYIFTFHLFGTSLLLIYIYRVSNARDILRKKGINVFLFSLSTTVSSFANGGLIATNENMAAFNRNPTMLLLMIAQVLAGNLLFPLFLRLVIWTLKKITKREEFRHMLKSTSETRFSPLLPEKQTCFLSLTVVGLMTALLVLFCSMDWDGAVFDGLTSFEKIVSAFFIAANSRHAGENSIDPSLISPAVLVFIIVMMYLPPSATFWPAEEDDTSSDGIKKQNKKKKSWVQNLLISQLSCIIVYIILICIIERRKMRRDPLNFSSLNMIFEVTSAYGNVGLSTGYSCSRLMKLHPEASCQDKPYSLAGWWSDESKIILSSVMLYGRLKKFSAQDGKAWRLY >Ma08_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8314138:8318075:-1 gene:Ma08_g11260 transcript:Ma08_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLADEIREDQANLDITSVKTLTEEAMSQHPQKKFSNDEASRAASNMKNDVCPKKNYKQRSKSLKLISDAYANNLAASASLNGRQSNSMDLTERSFCNFDMAALLTWYYGYTCQQMNADSSDQFDLWHASGSIGPKIHNHLDDLDDHLDQKISFFQKTLADVALAIKIQKSMVEKQLHGQWAGHLKEFMDALDTLNLDKELSLSFLQDPDSLLLEHIQNFHSNQAGKLFSLGSDKYSEDIQLLGEENNSSGISKESDTKQLFHKQNRYNYFWKKGKSRGIKSSKESSNSKALFRIVVLKPSSAIIQNSSVIVPPGSPPQSHHMLRQDKDGERILSEFSLREVKRRIQHMIGKSRKEQHVISMDGILHRTPVCSNDTGDSCKLIHNESVVASSAISSCNAKKVSEYLPHDERKDKKIYSESEIKISSHISSSKHQSLICEEAKKHLAEMLDTTVDSLPVIQASESLGRVLSLSRCNDLRPRSSPQRDKELVMSPEETADTSHVFKQEGAANNLSPERPNLEFSSCSLSIPGDESNLLILKTEVVDTNISEPSCLTEDLNNKDKSEEEEEEEEAIQGLGSSEGNLLALTESTLPPSSLTRENSVAPESTSTNEKLEQPSPVSVLETLFSEYSTTAESTTVEHYDIEAQHRQVTHEDYDNYSRIIASPDVSYSLRDHLHDKQARFDYVKVVLEASGLANEFSERWDTADQLLDPSLFDEIGIFFCFLQDDPKLLFDCMNEVLVETQERFSKHTQWLSLIQPNLLPTPSRASLIQEVSNCLERHLHIQLPNTLDQAIRKELEDRGWMDLRFESENIAILICESLLDDIMEETVRNFGF >Ma11_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9106737:9107959:1 gene:Ma11_g09840 transcript:Ma11_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEVPQYFTCPISLQIMTDPVTTITGMTYDRESIERWLLVDDHPMCPVTKQPLPRDSDLTPNHTLRRLIQAWCVVNASRGVERIPTPRAPVDGPGIRKLVHGLSAPRLQLDSLNLIAALARENESNRRCMLQYGVAGAMVDVINTCTERKQMDRIDVALGVLDSLRASPDDLKPIVDGDRRIIDSMTWILHHGAGDDRDVRSTAIVVLKSVIEAADSRVLEQLTPDFFQAVLSLVRDRISQRGTKAALQVLLHAAAWGRNRIKIVEAGGVKEIVELELTAPDKRTTEINLGLLNQLCTTADGRAELVGHAASIATVSKRILRVSSLADDQGVRILWSLCRYSATTEVLQEMMSLGAVSKLCLVLQANCPDSVKEKARLVLRLHSGVWKDSPCLHSYLLSRYT >Ma03_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25174108:25174975:-1 gene:Ma03_g19910 transcript:Ma03_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWERGGECSSKKKRVMVLIDGTAGAEQAMVWALTHVANKGDLVTLLHVLPPHCSGSAHREEETSRLIDSLGSICKAIRPQVRVEVLVIQGPKLATVLGQVKKLDVSVLVLNQSKPSPLCCMMTSSHEEFVEQCIDRAECQTMAVRKQSHGVGGYLVSTRWQKNFWLLA >Ma05_p13580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9864725:9866710:1 gene:Ma05_g13580 transcript:Ma05_t13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAHDEQRPFFPFGNPLRIMFPKGSNPSVETRKLLFSFEQSLAENLKKLSPKDASDVFTLSWMSLAIEFLSHTHNSIKTLMNELQLPVSDWDEKWTNIYLDSSVKLLDICIALIAELSRLDRGQLFLKYVLHLVDISTGCPSSEELAKAHLYLHEWIERIDSKSPKLENCPAIIVSLQGTLGFPKVKSSKGKALMRALYGFRVMTVFIFGIFSATMSGCSKPSIDVHASDGFLWFEAFGDLQAVVNGEFKRQFGSGKVAVFKEIEAVKLCASRLYDLTNDVNYKEEPAQDSDGINLEDGSITPGKGSDLRRQWLRDCVTNLAAGVQTLGHELDSLSKQADHFFQIILMGRDALLCNLRMSMVTKDISVNALKS >Ma05_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9864725:9866710:1 gene:Ma05_g13580 transcript:Ma05_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAHDEQRPFFPFGNPLRIMFPKGSNPSVETRKLLFSFEQSLAENLKKLSPKDASDVFTLSWMSLAIEFLSHTHNSIKTLMNELQLPVSDWDEKWTNIYLDSSVKLLDICIALIAELSRLDRGQLFLKYVLHLVDISTGCPSSEELAKAHLYLHEWIERIDSKSPKLENCPAIIVSLQGTLGFPKVKSSKGKALMRALYGFRVMTVFIFGIFSATMSGCSKPSIDVHASDGFLWFEAFGDLQAVVNGEFKRQFGSGKVAVFKEIEAVKLCASRLYDLTNDVNYKEEPAQDSDGINLEDGSITPGKGSDLRRQWLRDCVTNLAAGVQTLGHELDSLSKQADHFFQIILMGRDALLCNLRMSMVTKDISVNALKS >Ma11_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2218745:2220582:-1 gene:Ma11_g03020 transcript:Ma11_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQKRDEEDGRRKTAEGGGGGGVGGVLGGGAAVPPSGVLPVHRFIVPKPEPLEMLGMGAFQIIRRPASRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIQWLLEHAEPAIIAATGTGTIPAIATSVGGTLKIPTEAPTSAPVTSTSTPAAADDTAVDDEGAGKKRRKKLQPLRTGGGTVVAGYYPVQDPMLPVGGAITMSSGLAPMGSGAQGLVPMWTLGGSAAGASVIPPGPLWVLPPPSSAAGPSSQSQVWTFPQAPQIIHLAAVRPASTDTVFSSGVSGVNVATAAGAQPKAPAAGGKHELQLMSDPGTFQRGQAREVDDDDEDEDDEDDSSAED >Ma03_p24990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29154376:29160043:1 gene:Ma03_g24990 transcript:Ma03_t24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVAGDSSSLNPSFAIQEAGSRNKRKFHADLPLVDSNALADALQTELPNFDLFPAEQTSEIPSLEHHEGECDMCRTHMFGFKEGPELDEFQDVDWSCLTESQLEEILLDNLDVVFMTAIKMITSHGYTKEVATSAVLSSGLCYGYKDTVSNVVDNALALLRRGQQVDSSSKENISKNLKELEKRVLAEMISVLRGVRPFISTGEALWCLLMSDANVTQACAMDSSSSNAVISDEYLGTSVAAKLESGSESNDTIPVSSKSNVRGVIPCSDIAQQSEPGKMMVIPSLPHGKFSASNENDLVSKPKAMKESLISSSNHVGESSSSIVSRSPQEEKSVSGKKVHVGCSKRGTVQHRSVHVEKSYRPLGTKAVSRACRQSGSGSLIMDRKCKQISDATSISLKSSSLKPGEATGTEKSFADANLNLSFSHGYSSSPTGGRKEVTSRSMTPTTDTELSLSLSSGSSIALSPTQESNVDAPNCSSNGMIHSGTTFRDWIPEDKKDEMLLILVPLMRELQVQLQDWSDWAQEKVMQAARRLSKEKVELQILRQEKEEAACLQKERQTLEENTRKKLAEMELAISKASAQVERANAAARRLEFENTQLRLGMEAAKLHAAQSEANCQEASRREMKTLKMFQSWEKQQIMFQEELANEKHQLSQLQQQLEQVKELQDQSEARWRQEEKMKNEALAEISAERNEWEQIETSAKSQENALRLKAENDLQRCKSDIRRLEQQIAQVRQVTNLSILAAPRWGTERTYACRLLGGSKNINANILADIMDSQDSASEELQRERECVMCLSEEMSVVFLPCAHQVVCTKCNELHEKQAMKDCPSCRTPIQRRVSVRLADR >Ma03_p24990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29154376:29160043:1 gene:Ma03_g24990 transcript:Ma03_t24990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVAGDSSSLNPSFAIQEAGSRNKRKFHADLPLVDSNALADALQTELPNFDLFPAEQTSEIPSLEHHEGECDMCRTHMFGFKEGPELDEFQDVDWSCLTESQLEEILLDNLDVVFMTAIKMITSHGYTKEVATSAVLSSGLCYGYKDTVSNVVDNALALLRRGQQVDSSSKENISKNLKELEKRVLAEMISVLRGVRPFISTGEALWCLLMSDANVTQACAMDSSSSNAVISDEYLGTSVAAKLESGSESNDTIPVSSKSNVRGVIPCSDIAQQSEPGKMMVIPSLPHGKFSASNENDLVSKPKAMKESLISSSNHVGESSSSIVSRSPQEEKSVSGKKVHVGCSKRGTVQHRSVHVEKSYRPLGTKAVSRACRQSGSGSLIMDRKCKQISDATSISLKSSSLKPGEATGTEKSFADANLNLSFSHGYSSSPTGGRKEVTSRSMTPTTDTELSLSLSSGSSIALSPTQESNVDAPNCSSNGMIHSGTTFRDWIPEDKKDEMLLILVPLMRELQVQLQDWSDWAQEKVMQAARRLSKEKVELQILRQEKEEAACLQKERQTLEENTRKKLAEMELAISKASAQVERANAAARRLEFENTQLRLGMEAAKLHAAQSEANCQEASRREMKTLKMFQSWEKQQIMFQEELANEKHQLSQLQQQLEQVKELQDQSEARWRQEEKMKNEALAEISAERNEWEQIETSAKSQENALRLKAENDLQRCKSDIRRLEQQIAQVRQVTNLSILAAPRWGTERTYACRLLGGSKNINANILADIMDSQDSASEELQRERECVMCLSEEMSVVFLPCAHQVVCTKCNELHEKQAMKDCPSCRTPIQRRVSVRLADR >Ma09_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34716725:34721697:1 gene:Ma09_g22840 transcript:Ma09_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MSARTNLEMVDSSDFTFCKVGLQAEDGNLESPKAIPDVRKIILEDNPSGKVGTENNLVSDDKSQGTAGLKGQSDVSKSNISSEVVPTKVDDSKKKTQKPDGEADDLAQKQKAKVKNPVGRTKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNQRLISMDEVKQHKTGGSIWTVLKGHVYNISPYMKFHPGGEDMLMKAAGRDSTSLFNKYHAWVNAEFLLERCLVGILEYN >Ma08_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9114703:9121365:-1 gene:Ma08_g12100 transcript:Ma08_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPRYQALLHLKRYSGAADIADDRTSTTRSEGADWMAQNIYGKEDRCSVASVTGTAKGWNEIACVLEITSIYSSSTGESLVIPLLNILAYPFISPLSLFPKQLVREEPSAGCLEAGAASATFSEHPEMIYGNLFSHQMPFLQLLHGAMQAGEEEVELHLQQYQYQHEGFFTSCTQESNFQLLLRLQGQNCFKQLRTAEMDTSRVVEQLESCITHASESETRGVVHHKTPATVAATGPTRSAAGVGPNERRKRKRPRQASTSKSVQEVESQRMTHIAVERNRRRLMNDHLATLRSLMPSSYVHRGDQASIIGGAIDFVKELEQRLLSLRTQKRLLESAAVRLRPNDDEPRHTSVLHDGFFISPQYTGYSRSQQRRRYANGEEAQQEDGTGVDVEATVVQGHVNLKVATRRRRGQLARAIAAMEELRLSVLHLNVASLEPSSILYSLSLKMEEACKLGSADEVATAVHQIFSYINACC >Ma03_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7373178:7373315:1 gene:Ma03_g09910 transcript:Ma03_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMFILTYIVEFFEFHVHSIILLVLMNETTANNIFSRQLDSIST >Ma03_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6954537:6960519:1 gene:Ma03_g09420 transcript:Ma03_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVFLLFVFLASLQTSSGSTDAQDAAALLSLMTQWQNTPPSWGKTDDPCGTPWEGVRCSNSRVTSLTLSTMGIKGTLGDDIGQLSELKILDLSYNTMLGGTLTPNIGNLMELTILILVGCSFNGNIPDELGSLGNLSYLALNSNQFTGSIPASLGKLSNLNWFDIADNQLTGPLPISTETSPGLDQLVRTQHFHFNKNQLSGAIPEKLFSSDMTLLHVLFDGNNFTGKIPDSIGLVQKIQVLRLDRNALSGPVPSNINNLTHVKELNLANNRLTGMMPNLTGMNSLNYVDLSNNTFGASETPAWFSELQSLRALVIESGGLYGEVPKELFSFTQLQQVILDNNEFNGTLDMGNSISQQLQIVNFKNNKLTGVAHDASYDRTLILIGNPLCDWLSNTKFCSLRQEPAIPSYSTSLAECAANLCPPDQSLNPQNCKCVYPYEGVMFFRAPLFRDVTNSTLFQSLESSLWKKLDLPPGSVFLQNPFFNIDSYLQVQVKIFPSSGMYFNRSEILQIGFKLSNQIYKPPEIFGPYSFKAFQYPFPGVEGKSPIAIGLIIGIAVGCALLVVGLLLVTIYALRQRKQAQRAIKLSKPFASWAHSGDEIVDAPQLKGARWFSYDELRRCTNNFSVSNEIGSGGYGKVYKGMLPGGQVVAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVALVGFCFDEGEQMLVYEFIPNGTLREGLSGKSGILLDWRRRLRIALGSARGLAYLHELADPPIIHRDVKTSNILLDEKLNAKVADFGLSKLVSDNEKGHISTQVKGTMGYLDPEYYLTQQLSDKSDVYSFGVVMLELITAKQPLEKGKYIVREVKMAINADDEEFYGLKELMDHAIQNAAYLIAFRKFAELALRCLEESAGDRPSMSDVVKEIEIMLNADGLSTNSNSASSSATDFGYAKGVPKHPYDSHSRKDVSSSNSFEYSGGYTFSTKPEPK >Ma09_p29190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39701395:39706068:1 gene:Ma09_g29190 transcript:Ma09_t29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLVMSLVAQCIGRLQMGDYRTVEAAAEQGGTSPHIEAEKYQIDWGSLVASDPTHHFQTIPSSRQELTRAYLEKGTELGQALADSLFALPSSDDPDGPVVLLPQPTTKLPREKHLPKPKPPTKWELFAKMKGIKKHKKEKHALDEQTADEPGEDPFSKRKAEKNKRIEKQEKNRPENLKQAAKVGALPSHVQLAATALPITGSQAPKKASKKELEDIAGMATTATASGGKFDKKVARGEISRHWEVSEVSAPCGRKGDELTREATNSKNSPPADVQKLS >Ma01_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6845802:6848599:1 gene:Ma01_g09510 transcript:Ma01_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNFEYDARQSELERLFGRYWKVDRVDMKSGFAFIYMDDERDAGDAIQALDRTEFGRHGQWLHVEWTKLVVVGRSGSSRRSLANMTHTKTLFAQEDATKALEATNMRKGLLMDRVISLEYALRDDDDRRNGYSPKRRRRYRSLARRGRDSGRTPSPYGRGREKDSPDSG >Ma03_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28854790:28855752:1 gene:Ma03_g24560 transcript:Ma03_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTVTRTGHQVRTRVFISSNEGLRCLTRVPVSRFRYIPTSEGAFRFSFRSPFSASFLSLVRRGRRRRRRRRRSPRSGGCGDRTTRLRLSSRSTLATRAYIDFVTRHLAFLFSVNAHALRSIYLLRKDCR >Ma10_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25862887:25863111:-1 gene:Ma10_g12860 transcript:Ma10_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSQGGGNGVGCLSLDLFDLIQVCMEFILNPSGSTLIASVDRIN >Ma08_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4763902:4765362:1 gene:Ma08_g06980 transcript:Ma08_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAGPLPEPSPILSRAVDGCSNLNSVGEMKLLQWELVVWYNGKAPWLHINSRQVH >Ma10_p09900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24033882:24036162:-1 gene:Ma10_g09900 transcript:Ma10_t09900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDTVITIRDKLRGKIGQTKVKRYWPGKAPEWADEVEDDEDIRASKLAALEKAFPTRDDADVPQKDDRRLRRLAESRTENKEEVRADHRRIRQAEIVLTTEEENNRLEGLELEEEDEDAIEERRRRIKEKLLQREQEEAALLPVEEEEEAEEEEEESEYETDSEEEQMGIAMVKPVFIPKSQRDTIAERERLEEEERRLEELVKKRLDERKVETRQIVVEEIRKDEEIQKNLEAEANISDVDTDDDMNEAEEYEAWKTREIARIKRERDDRDARLKEKEEIEKVRNMTEEERREWERKNPKPLSAPKQKWRFMQKYYHKGAFFQSSSDDHAATAGTDDIFRRDFSAPTGEDRMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWSMNGPLRSKYNAKMAGMNAPIEKPKGSKKLKDWEIK >Ma10_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24033882:24036166:-1 gene:Ma10_g09900 transcript:Ma10_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDTVITIRDKLRGKIGQTKVKRYWPGKAPEWADEVEDDEDIRASKLAALEKAFPTRDDADVPQKDDRRLRRLAESRTENKEEVRADHRRIRQAEIVLTTEEENNRLEGLELEEEDEDAIEERRRRIKEKLLQREQEEAALLPVEEEEEAEEEEEESEYETDSEEEQMGIAMVKPVFIPKSQRDTIAERERLEEEERRLEELVKKRLDERKVETRQIVVEEIRKDEEIQKNLEAEANISDVDTDDDMNEAEEYEAWKTREIARIKRERDDRDARLKEKEEIEKVRNMTEEERREWERKNPKPLSAPKQKWRFMQKYYHKGAFFQSSSDDHAATAGTDDIFRRDFSAPTGEDRMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWSMNGPLRSKYNAKMAGMNAPIEKPKGSKKLKDWEIK >Ma03_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6608320:6610790:-1 gene:Ma03_g08990 transcript:Ma03_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP18.3 [Source:Projected from Arabidopsis thaliana (AT1G54780) UniProtKB/TrEMBL;Acc:A0A178WHT4] METIISRCSSAPLLLPKPSSHHPRLPLLSKPISCTLKNSSPAPSPHKRSWISHLHHGLATAALSLAINFCPVPSLDPPALASEFDVLSEGPPVEYVVDDAGVLSRVTKSDLKSLMSDLEKRKNVHINFITLRKLTSKADAFEYADQVLEKWYPTVEEGNNKGIVVLVTSQKEGAITGGPAFVQAVGDTILDATVSENLPVLATDEKYNEAIVSAAKRLVAAIDGLPDPGGPKFKDNKRESNFKSKEETEEKRGQFTLVVVGLLVIAFVVPMAQYYAYVSKK >Ma08_p31740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42555386:42556346:-1 gene:Ma08_g31740 transcript:Ma08_t31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLGFVNFKLYHSINVKYPPILDPRLEALAAELYALCRYISAGSGRLSGDPQAVTSIEDGQREVEKTGTRTEESELRLAQLQHQLPANEPGALMHLMENADDMDDDDHEKKECRNLFRNLKIFLSREVPRESLLFVIPAFGGVVSWEGDGSPFKESDEDITHQVKIHSPLMHALYQLSFMLLKFC >Ma04_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20064870:20096711:-1 gene:Ma04_g18280 transcript:Ma04_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MGRRRASQLLAGYRQFLLGRPTPSSHEQSISGSYWKLNTWRPCCMFRVSVGTPQASNYLNLGKTYAIKQRSFGSAATDIPRNPAFSNLNADDISYFRTILGERNVVEDEDRLSAANVDWMRKYQGSSKLLLLPKSSQEVSKILHHCNSRKLAVVPQGGNTGLVGGSVPVHDEVIINLALMDKIVSLDKINGILVCEGGCILENLSSFLENEGFIMPLDLGAKGSCQIGGNISTNAGGLRFVRYGSLHGTILGLEVVLANGTILDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLMSMNLAFLACKDFTSCQKLLLEAKRALGGVLSAFEFMDSHSMDLVLSHLDGVRSPLPSSLYNFYILVETTGSDETYDKTKLEAFLLRSMEEGLILDGVVAQDINQSSSFWRIREGISEASVKVGAVYKYDLSLPIDQFYGIVEEMRNRLGEAAKVVGYGHLGDGNLHLNIMTTRYDSDILAQIEPYVYEWTSKHKGSISAEHGLGLMKANKIHYSKSPETVQLMVDIKKMLDPNLILNPYKVLPQSCFN >Ma04_p18280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20064870:20096783:-1 gene:Ma04_g18280 transcript:Ma04_t18280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MGRRRASQLLAGYRQFLLGRPTPSSHEQSISGSYWKLNTWRPCCMFRVSVGTPQASNYLNLGKTYAIKQRSFGSAATDIPRNPAFSNLNADDISYFRTILGERNVVEDEDRLSAANVDWMRKYQGSSKLLLLPKSSQEVSKILHHCNSRKLAVVPQGGNTGLVGGSVPVHDEVIINLALMDKIVSLDKINGILVCEGGCILENLSSFLENEGFIMPLDLGAKGSCQIGGNISTNAGGLRFVRYGSLHGTILGLEVVLANGTILDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLMSMNLAFLACKDFTSCQKLLLEAKRALGGVLSAFEFMDSHSMDLVLSHLDGVRSPLPSSLYNFYILVETTGSDETYDKTKLEAFLLRSMEEGLILDGVVAQDINQSSSFWRIREGISEASVKVGAVYKYDLSLPIDQFYGIVEEMRNRLGEAAKVVGYGHLGDGNLHLNIMTTRYDSDILAQIEPYVYEWTSKHKGSISAEHGLGLMKANKIHYSKSPETVQLMVDIKKMLDPNLILNPYKVLPQSCFN >Ma07_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8620058:8634962:-1 gene:Ma07_g11590 transcript:Ma07_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLGRGAGAGRGNGKRPLPAPPPTTLHRPGAAPGGRLPIGASAAAARSRPGGTSVAPSAPAREETFSLESGGGPPDFAAIIRLTPDLVDEIRRVEAQGGIARIKFDSNFNTSGNVIDVGGKEFSFTWSRELGDLCDIYEEHRSGEGGHGLLVESGSAWRKLTVQRILDESTKNHVKMRSEEAERLSKSRKAIVLDPANPSVKNQAKTFAAAAVEGSMRRMNWKQKKEFFKKRKTDSNQVSNIGPSRSVVKSVTASNNNKGRLSVSPLPSPPEQHVPGTSGSTFGISTSLKGQKQHDDNIPSSNINKEESGNFKEVPSRVSSGVKHDASGHAVGDQLHDLRHLLITVLSENPKGMSLKALEKAVGERVPNSVKKIESVIKHIAICQAPGRYFLKPGVELESSIARAPESGSSPESSHDHTEAVVADVEKALEEKIEHETNSNLNPEEELDITGNIDTVGSSQDPFSSDMKANNDSQVQACSSSESGSDSESDSESSGSGSDSGSQSRASSSDSESDGSSSSKEGSDVDVDIMTSDNEKDVVVHKAMTTETLLSSSPKAWTIFGDEHVKNDVRMDQEAQVPSPPIDLNDSDKIDQMTEVVEPSKCFPPNGSNEAFENLEANANFLFSEKGASTSPYRGKQLERQQMPLGNYSFNDVNEQVLKSSNNERQTTPKDGSNHEPSDSSKKVTKQKPKRAFTSEYSEGRLEGAKRAKVASSAQFMSSRKSEDATFSGGMPHVSPERPRHDGYKDRTIETQNFLLGGKSTDSDSQECSPAMYGRTLAQGNSSRMPPSPDFASGLDNRNKGSDSMEKPSRYIEKTGKKYAEITRDNLDRDLHLRDNFNLKEKMQKDIRNNNSDVKERYFAKNVGEISGAKVSAFRDSCQTKSSEHFGTSKINGQQTGKEKSDGERSQIVGGKGSMLRRELSDLELGEFRETPKGGEESAVVKIQLDRKGSFKTLENKVPTNDGSDFEKSKGRASVNSDLEISKGRTCISASRNLKKQSPTGSRVAGQGNHGLQRRDPAESFSDSAQPAQPQLRTMVCQNEQLPAVDHADSEAACWDKSAETASRNEKRSGQKMGLENHSGAYKKIHANMLQHDNKNSGQTGQNNGELAQKSNASDQSKNNVLMANDGNDRSRRDSSSDEDNFFYLKYDKDEPELRGPIKDLSEYKQYVQEYREKYEVYCYLNKNLEKIRNVFLQLGDDLEIAKGRDVKEYYNVVEKLREMYHHYQERNRQMKKVFILLHEELKTLKQRIKTFADGCTNE >Ma03_p30200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32929180:32932847:1 gene:Ma03_g30200 transcript:Ma03_t30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) UniProtKB/Swiss-Prot;Acc:Q9LFS2] MLLRYLLLLLIPSPATSQSWQPSEIPSTATNTERRWSSAKRLGNPLMTGDGRLLACSGMNLLCFDKNGTIAWIVPFQYTCRLDVAPVNDDRGKIYLVAEDRVLRITPPNIGRSASTVEVFFGTNSTFGSSGEIIGISISILYASVFVSVRNRGLFALLPKGELLWTAGPMLYRFGYRQGCKRNITDCYFNSVPVVDQCEGTLYVSNTEGQVYSLYIRSPHFRWIQDFSSIDKKINIIPGNNGHLYLIFPRKAVLMSLDVATGNMSWRNNVGPLSAETILPVVDSNGWLSIGSLDGYLYSFSPVGDLKKFLQKTASDSVIQATPVLDCSGFAVYVPQTVMEGKSSRVIGDYTFISALKPLSIVFTLLAPATGTVYWADNHHGEVSSFLSKSDLRYFELDDRILLTVLSAGSECQLIKKKKEKCNALPPNLVLYVNAGIGSSLPCYSAGQRIAWTCSQSNPRFVTTDAGNERAVLLFLFFQFAILLILGGTVRSCCVFWRKKKLQDHGLGRFLDKRRSLHRRRKSFDRMISELEEKAAEDATNDEALERLGEAVTAKEGVVRKLSTSYSLGRDRTGSRRGSILPLYDDGRKKSHSFHCARRESVTIFNTYSDTSSSDESRNSSNYHHESGSGGCSDEAGPSSRVAAAEGDGEGSSDAASEARTFANPMFVEDAGDSVRHSRDEELTRDPMQEGVGARGMWLKRRRTLSSTN >Ma09_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29395220:29400696:-1 gene:Ma09_g20460 transcript:Ma09_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHKLRKFSFHGSSCCLGIQAETRSNSERTLMSSFWRDQSSRCWIRQWSSSSREMENDFGNFNSLDAHHPLCLTSVAKDMKVPLENKNNHAFINQAALAWNEMRKEWVGDQSKSCRRIPREPSISWCSTYDDLLSTSQPFPESIPLSEMVDFLVDIWHEEGLYD >Ma09_p20460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29395220:29400679:-1 gene:Ma09_g20460 transcript:Ma09_t20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHGDVILIDECSCISYVNLASMGCCLGIQAETRSNSERTLMSSFWRDQSSRCWIRQWSSSSREMENDFGNFNSLDAHHPLCLTSVAKDMKVPLENKNNHAFINQAALAWNEMRKEWVGDQSKSCRRIPREPSISWCSTYDDLLSTSQPFPESIPLSEMVDFLVDIWHEEGLYD >Ma02_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26874929:26884002:1 gene:Ma02_g21170 transcript:Ma02_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAQPTDAEMADAGGQPPQPVPSQGQESIQATLSHGGRFIQYNIFGNIFEVTSKYKPPIMPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPVRETFNDVYIAYELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEVDLDFVNENARRYIRQLPRHPRQSFPEKFSHVHPAAIDLVERMLTFDPRQRITVEDALAHPYLASLHDISDEPVCMTPFSFDFEQHALSEEQMKELIYREAIAFNLEYQQ >Ma04_p34320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33579540:33582966:-1 gene:Ma04_g34320 transcript:Ma04_t34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSPIVTFFFVLLVASAVLGDDPYRFFTWNITYGDIWPMGVKQQGILINGQFPGPQIEAVTNDNVIINVFNSLPEPFLISWNGIQQRRNSWQDGVYGTNCPIPPGRNFTYVMQFKDQIGSFFYFPSLAFHKAAGGFGGIRVLSRPLIPVPFPPPAGDFTLLIGDWYKANHSTLKYVLDSGRDLAFPDGVLINGRGSYGNTFTVDQGRTYRFRICNVGLATSLNIRIQGHTMKLVEVEGSHTLQNIYSSLDVHLAQCYSVLVTADQPALDYFIVVSTRFTSSVLTTTAILHYSNSAGRTVGAPPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSAPVINGKQRYAVNSVSFIPADTPLKVADFYKIPGVVFLGSIPDNPTFGGGYLQTSVMAANFRDYVEIVFENYENTMQSWHIDGYSFWTVGMDGGQWSAASRKNYNLRDAVARCTVQVFPKSWSAIYMPLDNVGMWNIRSEHWARQYLGQQFYLRVYSPANSWRDENPIPRNALLCGRASGRRTRPL >Ma03_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23582361:23585023:1 gene:Ma03_g17980 transcript:Ma03_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVGVAERRREALVVCGEKMSAGITMSDLETGEELMRLPTCASPPHGLLCLADHHLVASQLQRHRSYRGGAIFFWALNKPQSPHRSYPLENIGPISSSKDGIYLAGGAPAGNVYIWEVMKVTSGKLLKIWPAHQNPLSCLAFSHDGTLLISASEDGVIHVWSMIRYQSSETSATVWDVISGRLVQTHVFSAPVTAIASDPQEQLLFAGCMDGRILVSELNLGLEETPSTISEDSSGFLGGHKDCITTLSFSPGGKWLISASKDGAACIWDANLCQLFRRFSYKKGNLISAHACMVKLPRSSLSSAFLVQLLVISDNFKIRIHLN >Ma06_p29920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31284975:31286691:-1 gene:Ma06_g29920 transcript:Ma06_t29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLPSLLLLLLPAPISAIYPYDGSHALRRMTLRHPRRLPSRLASQSAHRRSPFPKRYVLAETKTTPALASSNSSPNSSSSEHHHSRSHKQSVRNWIVGFITGSLWGIISGIILSVLYRQVVNCIRGRYRSPGSPSIFSPTHIKRAEDLAFLEKDDGLAALEVIGRGGCGEVYKAQQPPDPRKPDRPGMVLAIKKIMKRTPDSAEPTSDEESRLLDKWMRQIRSEIQTVGHIRHRNLLPLLAHVTRRDCHLLIYEFMRNGTLHDAIRGASAGRRKLEWPARYIIALGIAAGLEYLHVVHRPRVIHRDLKPANILLDDDLNPRISDFGLAKVVPDTVSGSMRSGVAGTIGYIAPEYHQTLLFTDRCDVYSFGVILAVLVTGKFPTDEFYWTTEEISMVDWMRNAMRSAEPEVAIDRTLVGNGFEEQMLLVLKIACFCTYDNPKARPNSREVRLMLAQIKH >Ma05_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8886212:8887156:1 gene:Ma05_g12250 transcript:Ma05_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILPEANRRHGTGVGSPFHSLLKPSYYIYEHKRGISAHSFGHHLVEREREREREREERETYCKNIFEPKMVSYGCICTCT >Ma03_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23914206:23915734:1 gene:Ma03_g18370 transcript:Ma03_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTPPCVLMLFVPSVMVLISSLRGFSVGELEVGFYSTSCPNAEEIVRWVVAEAANSDSAIPAALLRLHYHDCIVRGCDGSILIRDPDDASLEKKSSNHAGVRGFDVIERAKARLEAECEGVVSCADIVALAARDAVFLSRGPYYDVPTGRRDGRVSNVSDASHMPDIRESVAVLKAKFAKKGLSEKDLVLLSAAHTIGTTACFFLQDRLYNFFEGGGSDPSINPHFLPELKLRCPAGGDINVRLPLDPGSETVFDMHILRNIRSGLGVIESDAKLYADASTRAIIDSYLGSLSPVPSFDRDFAESMVRMGMLDVHTGSHGEIRRVCSRFN >Ma10_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25397345:25398703:1 gene:Ma10_g12190 transcript:Ma10_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPNIDMITASLRNCSLRRGRREPKPPPLPRRQQRRHLVEDSDEIAGVTVELNSGTALPHNWEQYLDMRTGEVYYINWETGIRTTEDPRNSTIASSYSDEGEASDDEDSGSSYDASGETTGSSYDASGDTPCSSSLSSASSTETSASVDDVGSGGGGGHVLVAAGCRACFTYFMVPKRAHVCPSCGGRLFHLGNNGSS >Ma06_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22070963:22073406:1 gene:Ma06_g23910 transcript:Ma06_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAKNIVFLKGLNDAKYWKFFKRCAFGEANPNDHPKLELIGKQIAKKLVGSPLAAKTIGGVLKSKLEEEHWRNIMESKLWQVEQQKDDIFPALKLSYEHLPTSALKQCFVYFSLFPKNYHFDKDRLVRMWMAQGFLQSNESGKRMEEEIGRDYFDELLYRSFFQDTELIKQSKIYVVHDLLHHLAESLSAHEHFRVEDDEPAEIPDRVWHMYISSSNLANIHENLHKLKILRSLEVSGSLLDNLYRYNLINFIEEALKQLKCLRVIVLDELPESIGHLKHLRYLEVPGGQLLGLLKSVCRLYHVQGLSLQFCVPEIGRLKSLQVLKEFHVRKKKGYELGQLRDMRQLQGQLSIMNLDMVGSATECIQARLDNKEHLNALLLFWRQLEKRDNNPDKHEEVFEALQPHPNLTELRITGYMGIKSPSWLNQTLLSNLEHLELEDCQGWEALPLLGLLPFLRILHLKSLKAVKHIGPGFYGDNVTTFPSLEELLFSDMIEWSQWSGIETSHQLFPRLSRLQINRCHKLRGSLVMPTLLEKLHVVLSDDPTWESHEKPQVILSDDIWDSCETKDISSILKLSIDNISLLTDCLPAESLSSVYRLDVIYCSSLVSFTDEQEKWFQKLTSLKELRITDRDNLTELPSDLINLASLETLQIQNAQNLTSRPGKACQAS >Ma04_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23561116:23564037:1 gene:Ma04_g20870 transcript:Ma04_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSSPSPLGSSSEQAAPSAEALMDQLKNQLAQAYAEEFFETIRSKCFAKCITKPGTSLSGSESSCISRCVDRYIEATGIISRALFSSPR >Ma06_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2615562:2619357:1 gene:Ma06_g03590 transcript:Ma06_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAFHLRLPSLLPVLLLLLLSSSLSYRPRGALAAQFDVGVILDRKTWIGKISRTCMIMAVEDFYKANPDYTTRLSLHWRDTDNSSISAASAALDLLKNVRVQAIIGPQTSTQAKFVAILGDKAQVPIISFSATSPTTSSSQNPYFIRTAWNDSSQAQAIASLVEAFGWREVVPVYEDSEYGASIVPHLIDALQEVGANVPNRSMIPLSATADRRLVLAELQKLNQSRTRVFVVHMSYSLAFRLFSTAQEAGMMGEGYVWITTYGLTDLVDLQGPAAARIMRGALGIRPYVRNTTKHQVFKARWRRRYHQESVNDNVTEPTVYGLWAYDTVWSLAMAAEAVRASSSSPFTWSNVTNSSTDLGRLGSSPTGPTLRELILNTTLVDGMSGRFEIVEDGQVLQSRAFEILNVADDGWRRVGFWTPTHGASRHMNKATALRVVEWPGGGTKPPKGWEWPTAGNKLIVGVPVKPGFPQFVTANNSVPDGYCIKVFEAVLSQLPYHVPIQYEIYKDNHGESNGTYDDLVYQVFLQKYDAVVGDVTIRANRSLYVDFTLPFTVSGVSMVVPIRDERRKDAWTFMNPLTPNLWFASGAAFVFTGLVVWFLEHRINVNFNPGRASNQIGTVFYFSFSTLVFAHQEKVLSNLARVVVVIWLFVVLILQSSYTASLTSMLTVQQLQPTVSDVDQLVRDGSKVGYLKDSFMPGLLKRLKFNESQLIAYESPQEYHDALLNGSVAAIVDEIPYLKVFLSKYCDKFTMVGTIDKTSGLGFAFPKGSPLVPDVSRAILNVTETNKTKDLENMLYGNTSCPDKDPDMTSSRLTFNSFWGLFLISGATSFSALILHLVFFLYEHRHGLQDGRWRSILGWLATLAKLYSQTDSCADAPEKAKPQDGTATGDIPSSPYLDSGWQTPSSISNHANGYLGSEDDTGTPPEEEETPGREISEQTQGSPSFAEMLRR >Ma04_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17819705:17820724:1 gene:Ma04_g17470 transcript:Ma04_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 4 [Source:Projected from Arabidopsis thaliana (AT1G29000) UniProtKB/Swiss-Prot;Acc:Q9SHQ8] MVEKKTEEVIVAEYKVHIHCGECARAVEKHIIRNAGVQKVDINVSSGKVIVKGSNFDVKQVQERVERKTRKKVELISPKPKPKEVKPPEKKEEKKEVIKTTVIKVHLHCANCENDLKLMLLKHKEIHKVETNRAAQTCTIVGTIKEEELIKHIRKKARKHAEIVPQKVEKKVEEKKIKLEVKDGKEELTVEKKEELKTKDVVVPYFIHCTHAPQWFSDEDPNACSVM >Ma05_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9351379:9354294:1 gene:Ma05_g12930 transcript:Ma05_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGSLDALDFSTLESLLHLNLSYNQLGGVIPPTISALSRLVSLDLTSNRFTSKISVGMGSMKELQFLSLCHNQMVGAIPPSLSNLTSLVSLSLKDNKLMSVIPKELGRLHKLMYLDIGVNRLSGSIPSSLGNLTKLYHLDLYQNQLTGVIPQELKNLINLVYLSISNNNLTGRVLSSFRNQTKLQSFLLSENQLSGSIPFEIGNFIEVTILNFSKNLLINSIPFSIGNMTKLKSLDLSDNQLSGSIPFEIGNLGKINNLDLSKNLLLGSIPLSMENLTQLNFLHLGSNQLCCPIPSSLGRLTKLNELLLEQNQISGSIPSSLGNLTQLQFLSLQVNQLSGSFPRSLGMLSKLRYLCLLINLLSGPLPMEINNITGLISLQLSKNNFFGYLPPDICKGGALKYLTLYMNNFQGAIPTSLKNCTRLVRVRLEHNQLTGDASQYLGVYPHLSYMDLSFNLFSGTLSPDWAKWHDLTRLRISNNNISGVIPTEFGQLTKLEDLDLSSNYLQGEIPKSFGSLTLLYNLSLSNNQLVGQVPLEFGMLSNLRLLDLSSNNLAGRIPDQLGNCTNLGSLKLNNNNFSGTIPLAIGYLVHLQDTFDVSHNSLTGEVPSQLSKLVMLQSLNLSHNSLSGHLPSSLTYMTSLSTVDVSYNELDGPIPDSPAFRRAPAEWFAHNNDLCGVVRGLPPCVTLGTPTKDDRSKRHKVVVIAIIASVVFFLLLFIFIGAALRFHKRKKQPVPVDDNHIIEGAFSILNFDGRDVYKDIIEATEDFDAKNCIGSGAYGSVYRAELASGELLAVKKIHLPDTEGTFDEQPFQNEIQTRTQIRHRNIVKLYGFCSSPRHKFLVYEYMERGSLGSVLRSDTAAELDWVKRVSIVKDVARALFYMHHDCTPPIVHRDITSNNILLDSEFKACVSDFGIARLLKPDSSNWTMLAGTRGYLAPGKFLSQINPLKTNDPKFCIPYSLTVISKHT >Ma01_p09570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6882243:6883287:1 gene:Ma01_g09570 transcript:Ma01_t09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDNVPPFFLCPISLQVMEDPVTISTGVSYDRASIDRWLTVYEHHTCPVTNQHLTDLTLTPNATLLRLIQSWAACSTGCVAPAVAATKPGFDVSEILGDLGDPAARADGKAKALNTIRSLVLDDDGNAAHMEKAGVTSLVASLIARCEPSEALRNLVDPVVLIDEATSVLHLLKPSPETLREVSERRNGELIANLASLLQRGSHQARVHAALLLRSIYEVVGDNLKARIPVDLIEGVVEILKDQNADRATTMALLAIMIEVLPHGKNRLKAVQAGAVAVTVELLVEESVDRRKCEAMLYLLELMCGRAEGRAELVGHPAGVAAVVAKLLSLSSGVT >Ma08_p15020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14988638:14994803:1 gene:Ma08_g15020 transcript:Ma08_t15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGINKKTLAITLFFQLLSSVACFSRSDFTSTFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHLPGKIKDGRTGDIADDHYHRYMEDISLMHSLGVNSYRFSMSWSRILPRIQPFVTLNHFDVPQELEDRYGSWLSPQIREDFGHLAEVCFKEFGDRVKFWTTFNEPNLFVKFSYAFGKYPPGRCSEPYANCTTGDSEVEPYIAAHNIILSHATAVDIYRKNYQVKQGGSIGIVITSKWYEPLTNSTADCLATQRALSFEGPWILDPILLGDYPSEMHEVLGSRLPIFTYEEKKLLLNKLDFIGINHYSTNYVMDCMLSSCDLDGYMRDALIATTGYKDGVLIGEPTALPTYYAVPYGIEKMVRYIMERYNNVPMYITENGYAQGSSGAFTKELINDTERIKFMHSYLTFLSAAISQGADVRGYFSWSLLDNFEWAFGYTVRFGLFHVDYKTQRRTPKLSAKWYKKFLSGKKLQLRTSTQNNEI >Ma08_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14988638:14994803:1 gene:Ma08_g15020 transcript:Ma08_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINKKTLAITLFFQLLSSVACFSRSDFTSTFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHLPGKIKDGRTGDIADDHYHRYMEDISLMHSLGVNSYRFSMSWSRILPRGRFGDVNPVGIKFYNRIIDSLLIKGIQPFVTLNHFDVPQELEDRYGSWLSPQIREDFGHLAEVCFKEFGDRVKFWTTFNEPNLFVKFSYAFGKYPPGRCSEPYANCTTGDSEVEPYIAAHNIILSHATAVDIYRKNYQVKQGGSIGIVITSKWYEPLTNSTADCLATQRALSFEGPWILDPILLGDYPSEMHEVLGSRLPIFTYEEKKLLLNKLDFIGINHYSTNYVMDCMLSSCDLDGYMRDALIATTGYKDGVLIGEPTALPTYYAVPYGIEKMVRYIMERYNNVPMYITENGYAQGSSGAFTKELINDTERIKFMHSYLTFLSAAISQGADVRGYFSWSLLDNFEWAFGYTVRFGLFHVDYKTQRRTPKLSAKWYKKFLSGKKLQLRTSTQNNEI >Ma03_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19115092:19115967:-1 gene:Ma03_g16510 transcript:Ma03_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCGNCDCVDKSQCVKKGNSYGIDIVETEKSYVDEVIVAAEAAEHDGKCKCGAACACTDCKCGN >Ma01_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2454502:2478292:-1 gene:Ma01_g03700 transcript:Ma01_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGRKGLLWGTAVFLLLLGFVRPFVALRPLKERVSSAADSWGEEQLFLRRDENDLISYSAWNITGTYRGRWSFLDATNGSTRFLDFGKSSGVSVVELVSSPTRIHGVHYIQGAVTFHDVFDNEHDHGVAQMRLEGVYIWPFQKLRMVANSVANDESIQEEDYFFSNPYNLLGVFSSQVFQESTRDKIRRNKSLTYDMEKHCHVEIAAQVSRISSNQNDGNHDLYHLEGLMEGPATDGDGECFSSILLNATSVNIEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSIIMIGQQAVMDAYLCLLNLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMHNGENWETMRRELSVLYSRFYGILLGGILVLYQMHNFLRPILLIMYSFWIPQIVTNVIRDTRKPLHPHYIVGMTLTRLAIPLYIFGCPSNFLRIEVDEYWCIYLGVFMGLQASVLLLQHYLGSRCFIPRQILPEKYCYYRKFENASQETDCVICMTAIDFTQHSNGYMVTPCDHCFHSGCLQRWMDIKMECPTCRRSLPPA >Ma01_p03700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2454499:2478285:-1 gene:Ma01_g03700 transcript:Ma01_t03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGRKGLLWGTAVFLLLLGFVRPFVALRPLKERVSSAADSWGEEQLFLRRDENDLISYSAWNITGTYRGRWSFLDATNGSTRFLDFGKSSGVSVVELVSSPTRIHGVHYIQGAVTFHDVFDNEHDHGVAQMRLEGVYIWPFQKLRMVANSVANDESIQEEDYFFSNPYNLLGVFSSQVFQESTRDKIRRNKSLTYDMEKHCHVEIAAQVSRISSNQNDGNHDLYHLEGLMEGPATDGDGECFSSILLNATSVNIEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSIIMIGQQAVMDAYLCLLNLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMHNGENWETMRRELSVLYSRFYGILLGGILVLYQMHNFLRPILLIMYSFWIPQIVTNVIRDTRKPLHPHYIVGMTLTRLAIPLYIFGCPSNFLRIEVDEYWCIYLGVFMGLQASVLLLQHYLGSRCFIPRQILPEKYCYYRKFENASQETDCVICMTAIDFTQHSNGYMVTPCDHCFHSGCLQRWMDIKMECPTCRRSLPPA >Ma01_p03700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2454499:2478292:-1 gene:Ma01_g03700 transcript:Ma01_t03700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGRKGLLWGTAVFLLLLGFVRPFVALRPLKERVSSAADSWGEEQLFLRRDENDLISYSAWNITGTYRGRWSFLDATNGSTRFLDFGKSSGVSVVELVSSPTRIHGVHYIQGAVTFHDVFDNEHDHGVAQMRLEGVYIWPFQKLRMVANSVANDESIQEEDYFFSNPYNLLGVFSSQVFQESTRDKIRRNKSLTYDMEKHCHVEIAAQVSRISSNQNDGNHDLYHLEGLMEGPATDGDGECFSSILLNATSVNIEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSIIMIGQQAVMDAYLCLLNLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMHNGENWETMRRELSVLYSRFYGILLGGILVLYQMHNFLRPILLIMYSFWIPQIVTNVIRDTRKPLHPHYIVGMTLTRLAIPLYIFGCPSNFLRIEVDEYWCIYLGVFMGLQASVLLLQHYLGSRCFIPRQILPEKYCYYRKFENASQETDCVICMTAIDFTQHSNGYMVTPCDHCFHSGCLQRWMDIKMECPTCRRSLPPA >Ma09_p29490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39938591:39938799:-1 gene:Ma09_g29490 transcript:Ma09_t29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFLLTLYKARSASYVHGICRF >Ma02_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27760626:27762022:-1 gene:Ma02_g22420 transcript:Ma02_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLLLVSCIAFLSSSSEAYDYPPLVNGLSFDFYKSSCPSLKSIVRKHLKQAFKNDVGLAAGLLRLHFHDCFVQGCDGSILLDGSAGGPSEKDAPPNLTLRPAAFEAINDLQALITKACGQVVSCADIAALAARYSVHLSGGPKYKVPVGRRDGLSFATRDDVLSSLPGPTFNVTNLLDAFGKLDLDADDLVSLSGGHTIGIGHCTSFENRLFPSQDSTLDQTFADNLYLTCPVANTTNTTVLDVRSPDTFDNKYYVDLLNRQGLFTSDQDLYTDSRTQPTVERFAAKQSLFFKKFVFSITKMGQLSVLTGNQGEIRSNCSAINSGNKLLSSMADGEGGSNAF >Ma09_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17915024:17915745:-1 gene:Ma09_g18420 transcript:Ma09_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIVIKVQMKCDKCRVKAMQVVAAAGADSVAVEGEEKDQLVVVGDCVDPANLTTTLRKKVGHACIVKVEEAKEEEAKKESVAWCCPGYPLRDKVEAAKESARWCYPPCQNVVMYDHEIYASDPGACSIL >Ma09_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9881908:9883599:1 gene:Ma09_g14470 transcript:Ma09_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIQSFVVVYNLNNQSFHLYILICSMMEQNSKSFAIPRCVPFLSHSHSSSICFITTFATLLVLVLLQIQVITSSVSTGSLSWPFFDQALHRDESSIHTELGRARSMLRDSVTFLPLKDLRFAKEPMSGHTWFMSSMNDTFEGDETRHLHFPSEASKGRLLCLSARDTSDGTQNLYALAWPEALPHDATLFPGLTFVSDTYFDYGNLWHGTSAILPFVSWHQRKECAVPDRWVLFHWGELRTSMGAWVHNLTRAAIGEVRIEDLRGHGGAGPACFEKAVVFRHNEGAMKKQRRREVYDMMRCKARAYCGVTRAITDPKAIRMTLLLRLGSRSFKNESTVIRIFEKECAKVDGCVVKVAWGNNMTFCDQVKLMSETDILVSPHGCQLTNLFLMDKNSSVMEFYPKGWQELAGAGQYIYSWMANWAGMQHKGSWRDPQGEECPHTDKLACFLFYKDAQIGHDEARFADWAAKVLGEVKEMKSSEASSGSRHADLAGSSIPCPCDR >Ma02_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17299569:17300875:-1 gene:Ma02_g06260 transcript:Ma02_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRCGQVSAAMKKGKKKQAKGELDRLKQAEKKKRRLEKALATSAALRSELEQKKQKKIEEQKRLDEEGASIAEAVALHVLGGEDADESCHFMINNTSKHNHWEYSSNIDISMDYQSFTNYSIDGWGLGTNAYNPSWKWNSWGIGLPLPPQLFTEDVQSPHFHKASQGPDISAEILAAQAVSSLQIAEDSHAAQFVGQGAATITNKMLGGSSTSDKVNIYRKI >Ma07_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14012065:14014756:1 gene:Ma07_g16450 transcript:Ma07_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANALTTTSASTTTIEAQAGGAGAEDVAAKAVNKRYDALMTVRSKAIKGKGAWYWAHLEPVLVQSSDTGLPKAVKLRCSLCDTVFSASNPSRTASEHLKRGTCPNFSSSLSAAAPPSSVVLTSPSPKPISSIPPCSSSPSPLRNHHQPNSRKRSSSSVAVVSPSPAPFHALHLAIVDPSRFSSSPTTPAAAGSEIDYSSPPPLPLPPPQSQLVLSGGKDDLDALAMLEDSVKKLKSPKASHGVALSKSQVDSAISLLTDWFHDSAGVGAVSLFSIEHPKFRAFLGQVGLPPISPRDLVGHRLDARYEEARADADARIHDALFFQLASDGWKPLNSDGDSIMNVTVNLPNGTTVYRRSVLTHGRAPSKYAEEVLWDTVAEITGNAAVQRCAGIVADKFKSTALRDLEKQNHWMVNLSCQLQGFRSLVKDFARELPLFHAVATNCCKLASYFNTHSEVRSIFHGYQLQELDHAGLLRVPPSDRSLDGGGNHLSAFMMMEDILTSARAVQSVILHESYKLICLTDPTARELADVIGDMDFWNKLGAAHSLVKLIQDMVGEMETERPLVGQCLPLWEELRSKVKGWCGRYCVEEGPVEKVMEKRFKKNYHPAWSAAFILDPLYLMKDVSGKYLPPFKCLTPDQEKDVDKLITRLVSREEAHIALMELMKWRAEGLDPLYAQAVQVKLQDPVTGKYRIANPQSSRLVWETCLSELKSLGKVAVRLIFLHATTCGFKHSPRLTRWVRRVHGRSGASMERVRKLVFVAANAKLERADFSNQEDKDITLLLLDEEEDDVPNEHNVVVERSSV >Ma03_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4793299:4796141:-1 gene:Ma03_g06980 transcript:Ma03_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSERSRRPLVPGYLYSSASRPLGLERMISGSSRISKAGNGGASMGSFVAQAPSEPGKIELYSPMYYAVCTFAGIASCGPTHTAVTPLDVVKCNMQIDPAKYKSITSGFGVLLKEQGVRGFFRGWAPTLLGYSAQGACKYGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGLVPLWGRQIPYTMMKFASFENTVEMIYKYSIPTPKEQCSRSLQVGVSIVGGYIAGVFCAIVSHPADNLVSFLNNAKGATVTDAVKRLGLWGLCTRGLPLRIVMVGTLTGAQWGIYDSCKVMAGLPTTGSVAAAVPSTA >Ma06_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16621424:16630712:-1 gene:Ma06_g21910 transcript:Ma06_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELTAQQTALYDRQIRVWGVDAQKRLSKAQILVSGLNGTSVEFCKNIVLAGVGSLTLMDDRLVTEDALQANFLIPRDETVYSGRSLSELCCESLRDFNPMVRVLAEKGDLAQCEMEFLDKFDVVVVTGCSLKTKIEINEKCRKRAKRIAFYAIECRDSCGEIFVDLQNYTYAQKKSDGTAECHLSYPSLEDAILIPWRNLPRKVSKLYFAMRVIEKFELSEGRNPGELSNFDLPAVLKQRKELCDAQSLNESHVPETLLERILVAGPMLYPPVCPILGGILGQEVIKAISGKGDPLKNFFYFDAADGKGVIEDISNPSSI >Ma06_p38780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37415988:37427552:-1 gene:Ma06_g38780 transcript:Ma06_t38780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQRAMHPLCCIVLDRPGVAGDQSPDLPTNAPGGGGGPPRTNGDDVGVAGFLYKWTNYGRGWRSRWFSLRHGVLSYSKIRPRDGISPPENGGTRVIGDASERISSRAGGLREAPAKPVDVVYLKVSSFRESRSDDRRFYIFSRTKTLHLRTDSKKDRVAWIEALVLASSVYSLRTLSERISFIPNDITFSTERLRDRMLVEGLREDVIKDCEQIMLSEFSEYHRRLQIHYEKCLNFLGTVQQQLEEVNIKNEAASCEGHLQLMKTEYSCSGHGKYSEYSTTESSDDVEKQETDDISDEEESYFFDTKESFNDVSDSCAFNIRVANHETTTSKRESKTGDVEIMYTEEELHCQHMFPHIERRKNLPAPLEKEKSISLWSLIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDQAYEFGKRGNSLMRILKVAAFAVSGYASSIGRSCKPFNPLLGETYEADFPEKGIRFISEKVSHHPMLIACHCEGKGWKFWGDSNLTSKFWGQSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLIFGRLYCEHHGTMNIRGNRQFSCQLKFKEKSLFDRNPRQVQGYVEDVTGAKVASLLGKWDDLMYRNKGDDVPNKTCNITQNMCLLWERRETSTYRFKTSSRPEASGKWGIRKGKCRKVAIGEKAANVKEIAREWLEAAMVPTRG >Ma06_p38780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37415988:37426896:-1 gene:Ma06_g38780 transcript:Ma06_t38780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEGLREDVIKDCEQIMLSEFSEYHRRLQIHYEKCLNFLGTVQQQLEEVNIKNEAASCEGHLQLMKTEYSCSGHGKYSEYSTTESSDDVEKQETDDISDEEESYFFDTKESFNDVSDSCAFNIRVANHETTTSKRESKTGDVEIMYTEEELHCQHMFPHIERRKNLPAPLEKEKSISLWSLIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDQAYEFGKRGNSLMRILKVAAFAVSGYASSIGRSCKPFNPLLGETYEADFPEKGIRFISEKVSHHPMLIACHCEGKGWKFWGDSNLTSKFWGQSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLIFGRLYCEHHGTMNIRGNRQFSCQLKFKEKSLFDRNPRQVQGYVEDVTGAKVASLLGKWDDLMYRNKGDDVPNKTCNITQNMCLLWERSKPPANPTRYNLTSFAITLNELTSELQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLEKRQRMSRKLQENGWKPRWFQQEGEDSTYHYVGGYWEAREQKKWDGCPDIFGEF >Ma06_p38780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37415988:37426559:-1 gene:Ma06_g38780 transcript:Ma06_t38780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEGLREDVIKDCEQIMLSEFSEYHRRLQIHYEKCLNFLGTVQQQLEEVNIKNEAASCEGHLQLMKTEYSCSGHGKYSEYSTTESSDDVEKQETDDISDEEESYFFDTKESFNDVSDSCAFNIRVANHETTTSKRESKTGDVEIMYTEEELHCQHMFPHIERRKNLPAPLEKEKSISLWSLIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDQAYEFGKRGNSLMRILKVAAFAVSGYASSIGRSCKPFNPLLGETYEADFPEKGIRFISEKVSHHPMLIACHCEGKGWKFWGDSNLTSKFWGQSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLIFGRLYCEHHGTMNIRGNRQFSCQLKFKEKSLFDRNPRQVQGYVEDVTGAKVASLLGKWDDLMYRNKGDDVPNKTCNITQNMCLLWERSKPPANPTRYNLTSFAITLNELTSELQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLEKRQRMSRKLQENGWKPRWFQQEGEDSTYHYVGGYWEAREQKKWDGCPDIFGEF >Ma06_p38780.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37415988:37427552:-1 gene:Ma06_g38780 transcript:Ma06_t38780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQRAMHPLCCIVLDRPGVAGDQSPDLPTNAPGGGGGPPRTNGDDVGVAGFLYKWTNYGRGWRSRWFSLRHGVLSYSKIRPRDGISPPENGGTRVIGDASERISSRAGGLREAPAKPVDVVYLKVSSFRESRSDDRRFYIFSRTKTLHLRTDSKKDRVAWIEALVLASSVYSLRTLSERISFIPNDITFSTERLRDRMLVEGLREDVIKDCEQIMLSEFSEYHRRLQIHYEKCLNFLGTVQQQLEEVNIKNEAASCEGHLQLMKTEYSCSGHGKYSEYSTTESSDDVEKQETDDISDEEESYFFDTKESFNDVSDSCAFNIRVANHETTTSKRESKTGDVEIMYTEEELHCQHMFPHIERRKNLPAPLEKEKSISLWSLIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDQAYEFGKRGNSLMRILKVAAFAVSGYASSIGRSCKPFNPLLGETYEADFPEKGIRFISEKVSHHPMLIACHCEGKGWKFWGDSNLTSKFWGQSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLIFGRLYCEHHGTMNIRGNRQFSCQLKFKEKSLFDRNPRQVQGYVEDVTGAKVASLLGKWDDLMYRNKGDDVPNKTCNITQNMCLLWERSKPPANPTRYNLTSFAITLNELTSELQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLEKRQRMSRKLQENGWKPRWFQQEGEDSTYHYVGGYWEAREQKKWDGCPDIFGEF >Ma06_p38780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37415988:37427552:-1 gene:Ma06_g38780 transcript:Ma06_t38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQRAMHPLCCIVLDRPGVAGDQSPDLPTNAPGGGGGPPRTNGDDVGVAGFLYKWTNYGRGWRSRWFSLRHGVLSYSKIRPRDGISPPENGGTRVIGDASERISSRAGGLREAPAKPVDVVYLKVSSFRESRSDDRRFYIFSRTKTLHLRTDSKKDRVAWIEALVLASSVYSLRTLSERISFIPNDITFSTERLRDRMLVEGLREDVIKDCEQIMLSEFSEYHRRLQIHYEKCLNFLGTVQQQLEEVNIKNEAASCEGHLQLMKTEYSCSGHGKYSEYSTTESSDDVEKQETDDISDEEESYFFDTKESFNDVSDSCAFNIRVANHETTTSKRESKTGDVEIMYTEEELHCQHMFPHIERRKNLPAPLEKEKSISLWSLIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDQAYEFGKRGNSLMRILKVAAFAVSGYASSIGRSCKPFNPLLGETYEADFPEKGIRFISEKVSHHPMLIACHCEGKGWKFWGDSNLTSKFWGQSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLIFGRLYCEHHGTMNIRGNRQFSCQLKFKEKSLFDRNPRQVQGYVEDVTGAKVASLLGKWDDLMYRNKGDDVPNKTCNITQNMCLLWERSKPPANPTRYNLTSFAITLNELTSELQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLEKRQRMSRKLQENGWKPRWFQQEGEDSTYHYVGGYWEAREQKKWDGCPDIFGEF >Ma09_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33900887:33901390:-1 gene:Ma09_g21930 transcript:Ma09_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPILLLFLVSALLLSAAVPTASVTCNPLELSPCSSAVLSGARPSAACCAKLKEQQPCFCQYKKNPSLKDYVNSDNGKKALKACGVPIPSC >Ma08_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8311321:8313677:-1 gene:Ma08_g11250 transcript:Ma08_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKLFSFLCLFYVLCSNPYQVTSSINLQRRTYIVHVQPPESAAPGTSSDRVVWYRSFLATVTSELQMIHAYTNVASGFAARLTEQELAAMSLIPGFVRAYPDRMYRLQTTHTPDFLGLHMHQGLWNLSSYGKGVIVGLLDTGVFPDHPSFSGLGMPPPPAKWTGRCDFNASSCNNKLIGARTFLAGAKAARGEAFASDPPNDDVGHGTHTSSTAAGAAVPGAQVLGNAKGVAVGMAPLAHLAMYKVCADFGCFSSDILAGMDAAVSDGVDVLSLSLGGASLPFYDDSIALGAYAAIENGVFVSCAAGNGGPESSTLSNEAPWILTVAASTMDRNIRVTVTLGNGLSFDGESLYQPSSFPPTLYPLVYAGASGNPDTVFCGIGSFDGFDVKGKIVLCERGGGIGRIDKGTAVQSAGGIGMILMNQAADGYSTLADAHVLPASHVSFAAGEQIKAYINSLASPTAALLFKGTILGTSPAPAITSFSSRGPSQASPGILKPDITGPGVNVLAAWPFPVGPSNYTGVTFDIISGTSMATPHLSGIAALIKGVHPDWSPAAIKSAMMTTASVQDHSGNPIVNEQLVPADLFATGAGHVNPVKASNPGLVYDLTADDYIGYLCGLGYTSTEVSVIARKPILCPTVSSIPEKDLNYPSISVSLGGKVTYTVVKRTVKNVGEAAVTYWAEVGAPNGTYVRVYPRALSFTYVNEEKQFFVVFKMTGGCGCVGAAQGYLKWVSVKHEVRSPISITYTN >Ma08_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6789664:6795585:1 gene:Ma08_g09380 transcript:Ma08_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MGTSGGRPRPSRYQSLRSSCCCLLLLLLSSGGCLRASAAGPIKTVVVMVMENRSFDHMLGWMKRLNPAINGVDGSEWNPVSASDAASDRVYFRDGAHFVDPDPGHSFQAIREQIFGSNDTSADPAPMNGFVQQARSMSDNMTESVMNGFPPEMVAVYRALVGEFAVFDRWFASVPSSTQPNRLFVHSGTSHGATSNVASKLAIGYPQRTIFENIDDADLSFGIYYQNVPATLFYRNLRKLKYISKFHSYEQKFKKHAGDGSLPNYVVIEQRYMDKKDKPATDDHPSHDVYQGQLFVKEVYETIRSSPQWNETLFIITYDEHGGFFDHVPTPVKGVPSPDGIVGPEPFFFTFDRLGVRVPTIMVSPWIEKGTVVHGPNGIPTPTSEYEHSSIPATVKKIFDLPSYLTKRDEWAGTFEGIVLSRTEPRTDCPVELPSPVRIRQTEANEEAPLSEFQQELMQLASVLNGDHLLTSFQEKVLKRMNVKEGIAYAESAVKRFFEAGLTAKKMGVDDEQIVQMRPSLTSRSSSSSVHHRHP >Ma07_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31474475:31475623:-1 gene:Ma07_g24010 transcript:Ma07_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRFRSSSQDMPRIALYEASRMNSSSVMVDPRGGRGVAPTPRSSMEKKRKKRDLTTALRTSTVLDCSSNVSCHQGAERNVRKRNKHAEEKKKKKKKDDEADNGEGRGYIHVRARRGEATDSHSRAERVRRERIRERMDLLRGLVPGCDKNTGKAVMLDEIINYVQFLQNQVELLRTPWKLVVVVHAQFLSMKLASMNPIFYDLERFESSALQTSPFHQAAPEGASRSYTMKEASTPLLLHDQGPISLPQEHGSVVVKVADRRQELLHQVVFNNVCSFQ >Ma11_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1068989:1075833:-1 gene:Ma11_g01510 transcript:Ma11_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37250) UniProtKB/Swiss-Prot;Acc:Q9ZUU1] MAIVHRLLRSAAAAFSPAAAAARRGLSALAAEQTLERNVQWVFLGCPGVGKGTYASRLSQLVGVPHIATGDLVREELASSGPLSQQLAEIVNQGKLVSDEIIMDLLSKRLERGETKGESGFILDGFPRTVGQAEILEGVTEIDLVVNLKLREDVLLEKCLGRRTCSQCGGNFNIASINVDEGNGKPGMYMPPLLPPANCMSKLIIRADDTEEVVKKRLDIYNELSRPVEEFYRKRGKLLEFDLPGGIPESWPKLLQALNIEDHEDKQCAVA >Ma08_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11300348:11302639:1 gene:Ma08_g14190 transcript:Ma08_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSFVADKIKRFGKAAFTTRSNSLRQNPVEIVKSLRQEAHSELVKLMERQDKVEHLLAFKLGKKRPFHEVSIQMKGIINVVGFLLFGKNDFRQSCGVLHGAGIKTGIHSRFMFEKVVGQKNDLVAEFVTSREHPLSLERLMYSAHINKLSSATIALGVECNDFTISPSSMQEQCLNGFIPSGPPLFNQNHGCAAGIVLKGTKIAGSLAELVSGIGMQRDGTGQSSCLTTFGQVSYQLFERVKVNLSGAWQKPASGFIKLGTLTIPLSNLKQHTGAEASHAIAVTEPADGDLANSAAGSIAIMLDSEVDGSSKLGFWLELQKSSPGSPKLGLSLSNTPSGELGWGVKLRGTSNEQLTLHMEGFLMFCLGGNFVLQPGLVYVIEGGTRTPAFICQSSWSL >Ma08_p26930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39333830:39341368:-1 gene:Ma08_g26930 transcript:Ma08_t26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTLLFGKKSRSHAKGTGTSKAATDRGYNCGEPVLAVNSPLISEPAPVSGLISTSESKLGGIALVTSHVSQAVIGSTSSKETSNDMEEQAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLHAMEGIVKLQAVARGRRVRCTFTGLKVTTEFSHAKTVEAWKVKFSSNAFVSKLLASSLLAKSLQIQYDKGDPNSVFSWLDRWTSTSFWQPISKSKKVIDSKGQTNRDNCNMGTESGKSRRSVRTNPASNIGGGQTNAKYEPERTKRNLKKVPNPPAETVQRHPQYEVDRVKRNLRKMKDASEQPEVETQKSNTRLKKVDISSSDTLDQGIEESIEKPEENINPTPNVKADTETALVPVTAGPVDVLIDDNEDSTLVVNGDLSLMEEQFCHENQKTSKRRSSFSAKSDAPVLPSYMSTTESAKAKLRGQVSPTIVSESDDKNGFIRRHSLPSEMSSSRTKRLIQAGGKGEIGRDVNDRAIKVGWRR >Ma08_p26930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39333830:39353250:-1 gene:Ma08_g26930 transcript:Ma08_t26930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLHAMEGIVKLQAVARGRRVRCTFTGLKVTTEFSHAKTVEAWKVKFSSNAFVSKLLASSLLAKSLQIQYDKGDPNSVFSWLDRWTSTSFWQPISKSKKVIDSKGQTNRDNCNMGTESGKSRRSVRTNPASNIGGGQTNAKYEPERTKRNLKKVPNPPAETVQRHPQYEVDRVKRNLRKMKDASEQPEVETQKSNTRLKKVDISSSDTLDQGIEESIEKPEENINPTPNVKADTETALVPVTAGPVDVLIDDNEDSTLVVNGDLSLMEEQFCHENQKTSKRRSSFSAKSDAPVLPSYMSTTESAKAKLRGQVSPTIVSESDDKNGFIRRHSLPSEMSSSRTKRLIQAGGKGEIGRDVNDRAIKVGWRR >Ma08_p26930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39333830:39341342:-1 gene:Ma08_g26930 transcript:Ma08_t26930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTLLFGKKSRSHAKGTGTSKAATDRGYNCGEPVLAVNSPLISEPAPVSGLISTSESKLGGIALVTSHVSQAVIGSTSSKETSNDMEEQAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLHAMEGIVKLQAVARGRRVRCTFTGLKVTTEFSHAKTVEAWKVKFSSNAFVSKLLASSLLAKSLQIQYDKGDPNSVFSWLDRWTSTSFWQPISKSKKVIDSKGQTNRDNCNMGTESGKSRRSVRTNPASNIGGGQTNAKYEPERTKRNLKKVPNPPAETVQRHPQYEVDRVKRNLRKMKDASEQPEVETQKSNTRLKKVDISSSDTLDQGIEESIEKPEENINPTPNVKADTETALVPVTAGPVDVLIDDNEDSTLVVNGDLSLMEEQFCHENQKTSKRRSSFSAKSDAPVLPSYMSTTESAKAKLRGQVSPTIVSESDDKNGFIRRHSLPSEMSSSRTKRLIQAGGKGEIGRDVNDRAIKVGWRR >Ma08_p26930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39333830:39340723:-1 gene:Ma08_g26930 transcript:Ma08_t26930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTLLFGKKSRSHAKGTGTSKAATDRGYNCGEPVLAVNSPLISEPAPVSGLISTSESKLGGIALVTSHVSQAVIGSTSSKETSNDMEEQAATKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLHAMEGIVKLQAVARGRRVRCTFTGLKVTTEFSHAKTVEAWKVKFSSNAFVSKLLASSLLAKSLQIQYDKGDPNSVFSWLDRWTSTSFWQPISKSKKVIDSKGQTNRDNCNMGTESGKSRRSVRTNPASNIGGGQTNAKYEPERTKRNLKKVPNPPAETVQRHPQYEVDRVKRNLRKMKDASEQPEVETQKSNTRLKKVDISSSDTLDQGIEESIEKPEENINPTPNVKADTETALVPVTAGPVDVLIDDNEDSTLVVNGDLSLMEEQFCHENQKTSKRRSSFSAKSDAPVLPSYMSTTESAKAKLRGQVSPTIVSESDDKNGFIRRHSLPSEMSSSRTKRLIQAGGKGEIGRDVNDRAIKVGWRR >Ma02_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18169657:18171094:1 gene:Ma02_g07520 transcript:Ma02_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRTGWPNIFRFNRTVRRATAGSLWPRTGETREAGRPWRKPKVLGLGSARPSLSPRLTYGWKRRHSIRILLHQDSSSLARAEHRAYAGGTRRSGFHQRVAGRDAGTGRSEDRATGALKFSGRIRCSQCGRCRRTFSGLSIPAVLFVRRLLPLTLGMVSDWSFSLCSMWNKSFLAFLSGCVSTRLVLMLDKAMNLGLHVLWLGITSLLFCWHEKCN >Ma11_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20516036:20516880:-1 gene:Ma11_g14820 transcript:Ma11_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPKPVASPPTQAPPMPSSSPSPPAQASAPPERLLDHVLRAAAVVLTFIAAVVMGAAKEDLTPADSFFGASVTGPKIKSVNSAAFVYFIIANVLVLVYSIASLALSFVNRAASKGLELALSLADVVMLAFLFTSNGAASAIILVAEQGQARFFWTKFICSNASGFCASVKAAIVLSMFAAVVHLLLVFLKLLVLQKKSQ >Ma08_p22430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36012427:36016026:1 gene:Ma08_g22430 transcript:Ma08_t22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSHGNNDTDKPKSPSPPPNTAAAPSPNSATPDVAAQDQPASSSSPPPTPIGLVLGRPMQDVHATYTIGKELGRGQFGVTHLCTHKTTAEQFACKTIAKRKLTSKEDVEDVRREVEIMYHLAGQANVVELKGAYEDKHSVHLVMELCAGGELFDRIVAQGHFTEFAAASLLRTIVQIVHTCHSMGVMHRDLKPENFLLLNKEEDSPLKATDFGLSVFFKQGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYILLCGVPPFWAESERGIFNAILQGEIDFVSDPWPNISSGAKDLVKQMLNSDPNQRLTAFDVLNHPWIKEDGEAPDTPLDNTVLNRLKQFQAMNQFKKAALKVIAGCLSEEEIKGLKEMFKNMDSDNSGTITLEELEQGLAKQGTKLSEHEVKQLMEAADADGNGTIDYEEFITATVHMNRMDREEHLYTAFQFFDKDNSGYITKEELEQALKEKGMCDGEEIKDIISEADADNDGRINYDEFVAMIRKGDPEPNQKKRRDVFV >Ma05_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:273627:276327:-1 gene:Ma05_g00460 transcript:Ma05_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFISLIHDEMRNLKLLSTGKHKVRVSTQTKIYVSQDTTSTSLSHSLREVDYKQRPPGTANTSKTVPENLVLKSGLGEHQSLYPNEQSNFEKDQLAKISDVETELTRASSDAERNSRPSTSSSAQSAAAFFGNISLRNDSSILEACESIDRLNQYLRQRKADVEAGVPGQFLHAVIGQAVADVGSVVSTIACAFFLNETQTSSQHCVLPVINTKRADFMAHSELKWLLNSCRVDESSIVFVDEIDLSYHNRFGNLKLVLVNDHKLPPNKEGLKDVPIEMFNCKEVCSESASLEDVTMSQDCSCCTLIAEKYAETSPEILAGQGFSRLLL >Ma10_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26879782:26885853:1 gene:Ma10_g14420 transcript:Ma10_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIHYDNAKFRRRSPLKVITQALVTSHIRYCCRGCSTGNFLMLLMILGLTYLITHKSSDHSASNIHLNSAANVGHKYVKEGVSIIDKIWRKPPRLPPQLPPDEAQIVSSSLEEQRLHWFSRKQKVKEAFVHAWSGYKNHAMGYDELMPLSQRGTDGLGGLGATIVDSLDTAIIMGADEIVYEAVPWIEKQLVERIEKKGQVNLFETTIRVLGGLLSAYHLSRGDKGTISDSGIPMTLKGVKSEIFLELAKTLADRLLSAFASSPTSIPFSDVVLHDHSAHPAPDGLSSTAEVSTLQLEFNYLSEISGDPKYRSKAMKVLEHIRSLPKVEGLVPIHISPHSGQFNGENIRLGSRGDSYYEYLIKVWIQQKNSGNQLNYLYQMYEEAMRGVRHLLVRKSIPKGLVFVGELPHGSNGSFSPKMDHLVCFLPGTLALGATKGITKKKAIERNLLTPDDLENLKLAEDLAKTCFEMYAITSTGLAPEIAYFHIEGDSEGGLDGGNKSSEYIDDIIIKHNDRHNLLRPETVESLFVLHRVTEDPKYREWGWQIFEAFEKYTKVDSGGYTSLDDVTLIPPPRRDKMETFFLGETLKYLYLLFGDENVVPLDKFVFNTEAHPLPIIWSKYSA >Ma05_p02450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1576943:1579637:1 gene:Ma05_g02450 transcript:Ma05_t02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEENDHASKRSRMMCNGSQAAKIGRKQLARDDDEDGEKRKGEGGADANRIGSWQHYPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGCDQPSKAIEWLIKAAAAAINELPPLDGFLKPPHPSGEEIMEINPDVECSYNQQQQQQHQSSKSGCSSTSEISKGSVLSLSQSESRIKARERARERTAKDKAKDRDDSGPIVASHHQNQNPNPYPNPQTPSLTTTFTELLTGGGSVRNNSNVTAAVAGQNSDRSCIQKQISTADYFVQAGLFAQPQKSHQLPSSFPSQSHFGNRSPMGMLPFNIAATGHHPEMQQLFLQDHVFPVSAVAASGDYNLNFSISSGIAGFSRGTLQSNSPAQMPQQHHHSHNNLPRLSSTVDGSNLQFFFGSAAGSAAAATSAENQFPAGMDGGLQLCYGDGYRHSDLKGKGKR >Ma05_p02450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1576943:1579637:1 gene:Ma05_g02450 transcript:Ma05_t02450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELEENDHASKRSRMMCNGSQAAKIGRKQLARDDDEDGEKRKGEGGADANRIGSWQHYPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGCDQPSKAIEWLIKAAAAAINELPPLDGFLKPPHPSGEEIMEINPDVECSYNQQQQQQHQSSKSGCSSTSEISKGSVLSLSQSESRIKARERARERTAKDKAKDRDDSGPIVASHHQNQNPNPYPNPQTPSLTTTFTELLTGGGSVRNNSNVTAAVAGQNSDRSCIQKQISTADYFVQAGLFAQPQKSHQLPSSFPSQSHFGNRSPMGMLPFNIAATGHHPEMQQLFLQDHVFPVSAVAASGDYNLNFSISSGIAGFSRGTLQSNSPAQMPQQHHHSHNNLPRLSSTVDGSNLQFFFGSAAGSAAAATSAENQFPAGMDGGLQLCYGDGYRHSDLKGKGKR >Ma05_p02450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1576943:1579637:1 gene:Ma05_g02450 transcript:Ma05_t02450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELEENDHASKRSRMMCNGSQAAKIGRKQLARDDDEDGEKRKGEGGADANRIGSWQHYPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGCDQPSKAIEWLIKAAAAAINELPPLDGFLKPPHPSGEEIMEINPDVECSYNQQQQQQHQSSKSGCSSTSEISKGSVLSLSQSESRIKARERARERTAKDKAKDRDDSGPIVASHHQNQNPNPYPNPQTPSLTTTFTELLTGGGSVRNNSNVTAAVAGQNSDRSCIQKQISTADYFVQAGLFAQPQKSHQLPSSFPSQSHFGNRSPMGMLPFNIAATGHHPEMQQLFLQDHVFPVSAVAASGDYNLNFSISSGIAGFSRGTLQSNSPAQMPQQHHHSHNNLPRLSSTVDGSNLQFFFGSAAGSAAAATSAENQFPAGMDGGLQLCYGDGYRHSDLKGKGKR >Ma05_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1576943:1583780:1 gene:Ma05_g02450 transcript:Ma05_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEENDHASKRSRMMCNGSQAAKIGRKQLARDDDEDGEKRKGEGGADANRIGSWQHYPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGCDQPSKAIEWLIKAAAAAINELPPLDGFLKPPHPSGEEIMEINPDVECSYNQQQQQQHQSSKSGCSSTSEISKGSVLSLSQSESRIKARERARERTAKDKAKDRDDSGPIVASHHQNQNPNPYPNPQTPSLTTTFTELLTGGGSVRNNSNVTAAVAGQNSDRSCIQKQISTADYFVQAGLFAQPQKSHQLPSSFPSQSHFGNRSPMGMLPFNIAATGHHPEMQQLFLQDHVFPVSAVAASGDYNLNFSISSGIAGFSRGTLQSNSPAQMPQQHHHSHNNLPRLSSTVDGSNLQFFFGSAAGSAAAATSAENQFPAGMDGGLQLCYGDGYRHSDLKGKGKR >Ma01_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1741218:1744314:-1 gene:Ma01_g02600 transcript:Ma01_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTPTKTIQPFHARSTSMPSGDHPFMLKVEEELQKVKSSVVQSSSTAHMICEGLRGIQGLYRCIEELLCLPSSNQIFMNPQQNKWVEVELEMSVRLLDLLGKLRDNMILIKEQIRDLEMTVRRRGEVVAQSKMQAYLHPNKKAEKDVKNCFRFLKQMDDKYALCCTDDKESNSWTVVRTLKAAREITISLLQSILKFLSMPRPKTKTSRWSLISKALHKRKVACEGEHEDIEANDDGRVQKARDQLQILQNSIDEIEAGLECLFRSLVQNRVSLLNILSLWLIPLRHQFSFSMSRSTSMPLRDHPLMLRVEEELQPVKSSVVKSYSTTYMIWKGLRGLQGLYRCIKELLCLPSSNHIFLNPKQKKMVEVELDVSIRLLDLLSTIRDSMI >Ma05_p29620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40252031:40256911:-1 gene:Ma05_g29620 transcript:Ma05_t29620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAACISLGPTPLSHLKNAEKGAAFSLASVRGAGFRPSPNALFLRIPSRPSRRKPSPRRTAVRPFSPVMEWQDCSSEIEVDVPCSVAYDCYSDREMIPKWMPFISSVKVLQDKPDLSRWALKYEVFGRDVEFSWLARNLQPIPNQKIHWRSLEGLPNRGAVRFFPKGPSSCRIQLTVSYEIPEILIPVASALKPFLEGLLAQGLERFAKVAKEYQRKIPQR >Ma05_p29620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40253218:40256911:-1 gene:Ma05_g29620 transcript:Ma05_t29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAACISLGPTPLSHLKNAEKGAAFSLASVRGAGFRPSPNALFLRIPSRPSRRKPSPRRTAVRPFSPVMEWQDCSSEIEVDVPCSVAYDCYSDREMIPKWMPFISSVKVLQDKPDLSRWALKYEVFGRDVEFSWLARNLQPIPNQKIHWRSLEGLPNRGAVRFFPKGPSSCRIQLTVSYEIPEILIPVASVSA >Ma04_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4086458:4088564:1 gene:Ma04_g05460 transcript:Ma04_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEFKNCKKPEVDYRKGLWSPDEDQKLRDSILQHGVSCWSEVPAKAGLRRNGKSCRLRWINYLRPGLKRGNFSPEEEETIVKLQSKLGNKWSQIAMQLPGRTDNEVKNHWNSYMKKKITKPQELHTSSSASSALNLTIQRLTMHKEENDHGVPSAARGYTEALEACLKNYDQSVSQNIGGVQNRTAADHFPKVLFADFLSFEQANWDSLFNLDDAINNQWHCNNFYTASQVDAQYGNNVIVPNGFRFTNTCGQFE >Ma03_p32940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34522694:34524221:1 gene:Ma03_g32940 transcript:Ma03_t32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACFLPLSDASSFMVLHIATSVYFSGVMVRLMLVLAPAACIMSGIALSEAFDVFTRSIKLQLPKMFESPPSGAGDINSSQDVIKVDTKAATVKSESAPKERPSRKNRKKEKEIVEMVPNRPLNAERLLVLPLEASAVAILLLIILGAFYVVHCVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLRHNTDVDDKCLAIEI >Ma01_p12020.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8702711:8707732:-1 gene:Ma01_g12020 transcript:Ma01_t12020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYGDARQQAVAAAMAPPAATLKRPRLDYHDVPSGSDMLGYYVLEDERTVNHAIRDTESLGASYDRYLRDGISSYPAGQPVRPVGGGISSQPVDDRRMMTIGALDGQGGRRPEPLLPPDASNTLFVEGLPADCTRREVSHIFRPFVGFEEVRLVNKESRQPRGDPIILCFVDFTTAAQAAIALEALQGYRFDENDRKSANLRLQFARFPGPRSFGGPRGRQH >Ma01_p12020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8699457:8707732:-1 gene:Ma01_g12020 transcript:Ma01_t12020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYGDARQQAVAAAMAPPAATLKRPRLDYHDVPSGSDMLGYYVLEDERTVNHAIRDTESLGASYDRYLRDGISSYPAGQPVRPVGGGISSQPVDDRRMMTIGALDGQGGRRPEPLLPPDASNTLFVEGLPADCTRREVSHIFRPFVGFEEVRLVNKESRQPRGDPIILCFVDFTTAAQAAIALEALQGTQCGLRLDV >Ma01_p12020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8699457:8707732:-1 gene:Ma01_g12020 transcript:Ma01_t12020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYGDARQQAVAAAMAPPAATLKRPRLDYHDVPSGSDMLGYYVLEDERTVNHAIRDTESLGASYDRYLRDGISSYPAGQPVRPVGGGISSQPVDDRRMMTIGALDGQGGRRPEPLLPPDASNTLFVEGLPADCTRREVSHIFRPFVGFEEVRLVNKESRQPRGDPIILCFVDFTTAAQAAIALEALQGTQCGLRLDV >Ma01_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8704254:8707732:-1 gene:Ma01_g12020 transcript:Ma01_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYGDARQQAVAAAMAPPAATLKRPRLDYHDVPSGSDMLGYYVLEDERTVNHAIRDTESLGASYDRYLRDGISSYPAGQPVRPVGGGISSQPVDDRRMMTIGALDGQGGRRPEPLLPPDASNTLFVEGLPADCTRREVSHIFRPFVGFEEVRLVNKESRQPRGDPIILCFVDFTTAAQAAIALEALQVLHEVLFFSTLLLQGPPFLFGWSILSSISLTCLLYGLSIF >Ma01_p12020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8702351:8707732:-1 gene:Ma01_g12020 transcript:Ma01_t12020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYGDARQQAVAAAMAPPAATLKRPRLDYHDVPSGSDMLGYYVLEDERTVNHAIRDTESLGASYDRYLRDGISSYPAGQPVRPVGGGISSQPVDDRRMMTIGALDGQGGRRPEPLLPPDASNTLFVEGLPADCTRREVSHIFRPFVGFEEVRLVNKESRQPRGDPIILCFVDFTTAAQAAIALEALQGTQCGLRLDV >Ma08_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4958207:4958922:1 gene:Ma08_g07250 transcript:Ma08_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPPYVVIFLVSSLSLLLLGTSDDRLTPGEFISLNETLVSDAGEFVFGFFSPTNSTGDFYAGVWYNNIPQRTVIWVANREKPINDSSATLRISDDSNLVIVDSEGGIFWSSNLSGFGKPGNDTAAVLYNSGNLVLRANSHNILWQSFDHPTDTFVPDPDLVGDKALQEKPSVDWENAHRITSNQHLCGILNNARI >Ma09_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2320781:2322289:1 gene:Ma09_g03470 transcript:Ma09_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDQKCDDNQAVGRWTLVGTTALVTGGTKGIGHAIVEELARFGAAVHTCSRNEAELNECLQQWRALNLKITGSVCDVSSDVEREKLMQTVNSVFHGKLHILVNNAGTVIWKPVVEQTPENYRRIISTNLDSAFHLSQLAHPLLKASGRGCIVNISSIAGFVAIDSASVYAATKGALNQLTRSLACEWAKDNIRVNCVAPAYIRTPLIQTLSEDEEFVAREARRVPLGRLGEPEEVAAVVAFLCLPASGYVDGQVIIVDGGRTVNGNN >Ma06_p28570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30216660:30219289:1 gene:Ma06_g28570 transcript:Ma06_t28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFSVKILGPNQSPYEGGVFKLELFLPDEYPMVAPKVRFLTKIYHPNIDKLGRICLDILKDKWTPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKANEAEALETAKEWTHVYASGA >Ma04_p28090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29224514:29230828:1 gene:Ma04_g28090 transcript:Ma04_t28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQRRHWELPQYTASRDGPDHSPLFRATVTVNGAAFHSPDDSCSSKQAQDRAAQVAFEQLSAEDPPTAPSWPLPVALDSQVSYKNQLQIYLQKKNKGLPSYFSVHDGSPIRHFKAIVKIDGQSFESTGYFHTIKEAEQSAAMVALMSLFPKGNEQDDAVYKNLLQELMQKHGFPLPKYTTISYGESHIPSFSSTVEIKGEFFKGDVAKTKKQAEMNAAKVAWSHLKEEISSRFPSDLLAKWQVLVASEPVISRSDTITNLKHLKPSVDAHKMDDIISDGHGEASGLTVSPPCDQIINSAKEAMNTDGSFRHSASYTDEHNPEITINKKDTVSLVHKPEAVDVMKNGKTLQGAMSDDGSSALSSNSNGSNIHAKTATEPPIGRNTVLLCNRVRIYPNKSDLVLPEDAIPLPCSDDSWVAVSFDL >Ma03_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26667161:26678169:1 gene:Ma03_g21750 transcript:Ma03_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAHQLNRDAFLEGFVSSLARQALPTKLRAWRSRATEDRAYGLHSFLHPLTYGYYPKSIREIVKDRLPKFTADQVKMVKGSYDYVGVNQYTSYYMKDNGVTNPKPVSYQDDWHVEFKFDRDGVPIGPQAYSGWLYIVPWGMYKAVTYVKVNYGDPVIILAENGMDQPGNVTLPEGLRDTKRINFYKSYITELKRAMDDGATVIGYFAWSLLDNFEWRLGYTSRFGLVYVDFKTNMRYPKESAYWFKNMLKRKKNN >Ma02_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28818079:28822575:-1 gene:Ma02_g24070 transcript:Ma02_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHATGGKIVSGIVLYEIKMQLQDTDNQLSTWAPNQVSPCTWRSVQCDDSNINVIQLMLPSMGFKGTLSPIVGELVYLEVLELSGNNITGNIPGELGNLSRLTSLKLQNNQFTGEIPASLGRLPKLKFMDLSENNLNGKIPDSLSSLGSLTDINLAYNKLTGGVPKQLYQVTKFNFTGNNISCGAHFLNQCESDLLNQGGSHNSKRTLLLGGIGGGIGLVFVTVIFLLWRSRKKDYLHDLFVDVAGEADHKIEFGQLKRFSWRELQIATGDFNEKNVLGQGGFGKVYVGVLSDDSKVAIKRLTDHGNRAGEDAFLREVDLISVAVHKNLLRLIGFCTTPTERLLVYPYMQNLSVAYQLRELKPGEPVLDWPRRKQVAMGTAHGLEYLHEHCNPKIIHRDVKAANILLDENFEAVVGDFGLAKLVDVRKTSVTTRVRGTMGHIAPEYLSTGKSSNKTDVFGYGIMLLELVTGQRAIDISLLDGDVLLLDKVKKLWRENQLELIIDPNLDRNYDILEVEKLFQIALLCTQASPEDRPIMSDVIRMLEGEGLTERWEQLQQVEVTQKQDYQRIQKRLNWDDDTSYNQEAIELSGAR >Ma05_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11956476:11960798:1 gene:Ma05_g15620 transcript:Ma05_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secondary wall-specific cellulose synthase, Secondary cell wall formatio [Source: Projected from Oryza sativa (Os10g0467800)] MDSESATRLVAGSHWRNELHVMHNHQEKSRANTRLCRVCGDEIGLRDNGDPFAACLECGFPVCQPCYEYERREGNQACPQCNTRYKRHKGCPRVEGDDDDGVEMDDFEEEFQIKSPKKSPDDHQRFDANSENGERVQPWRPSAHTLSSFAGSIVGKELEMERDMEGNIEWKDRVEKWKERKGQINKDDGGNDEDNYEDDMLMAEARQPLWRKVPIPSSRISPYRIVIVLRLAILVFFFRFRITTPATDAYALWLTSVICEIWFALSWILDQFPKWFPITRETYLDRLAMRFEREGEPNRLAPVDFFVSTVDPLKEPPIITANTVLSILSVDYPVDKVSCYVSDDGASMLTFDTMSETAEFARRWVPFCKKHSIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSAGALDVEGKELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYINNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNREALYGYDPPKSEKRPKMTCDCWPSWFCCCCCGRRKSNAKKGEKKAFLGLHKRSSKAIVVSKKGYTKRGFDLEDIEEGLEGYDDLEKSSLMSQKNFEKRFGQSPVFIASTLMEEGGLPQGSNSAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCIPDRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYGYGGNLKWLERFAYTNTIVYPFTSIPLLAYCTIPAICLLTGKFIIPTIDNIASLWFLGLFLSIIATGILELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTAKAADDSEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQQGPVLKQCGVEC >Ma05_p15620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11956477:11960797:1 gene:Ma05_g15620 transcript:Ma05_t15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secondary wall-specific cellulose synthase, Secondary cell wall formatio [Source: Projected from Oryza sativa (Os10g0467800)] MDSESATRLVAGSHWRNELHVMHNHQEPKVQKSRANTRLCRVCGDEIGLRDNGDPFAACLECGFPVCQPCYEYERREGNQACPQCNTRYKRHKGCPRVEGDDDDGVEMDDFEEEFQIKSPKKSPDDHQRFDANSENGERVQPWRPSAHTLSSFAGSIVGKELEMERDMEGNIEWKDRVEKWKERKGQINKDDGGNDEDNYEDDMLMAEARQPLWRKVPIPSSRISPYRIVIVLRLAILVFFFRFRITTPATDAYALWLTSVICEIWFALSWILDQFPKWFPITRETYLDRLAMRFEREGEPNRLAPVDFFVSTVDPLKEPPIITANTVLSILSVDYPVDKVSCYVSDDGASMLTFDTMSETAEFARRWVPFCKKHSIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSAGALDVEGKELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYINNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNREALYGYDPPKSEKRPKMTCDCWPSWFCCCCCGRRKSNAKKGEKKAFLGLHKRSSKAIVVSKKGYTKRGFDLEDIEEGLEGYDDLEKSSLMSQKNFEKRFGQSPVFIASTLMEEGGLPQGSNSAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCIPDRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYGYGGNLKWLERFAYTNTIVYPFTSIPLLAYCTIPAICLLTGKFIIPTIDNIASLWFLGLFLSIIATGILELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTAKAADDSEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQQGPVLKQCGVEC >Ma08_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34974425:34976024:-1 gene:Ma08_g20930 transcript:Ma08_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPNSSEPESSANSMSSHSSASSSYYPPLSKALKDRTFGTCNQGTTASRKQGNGVGGAAAKRLRDRNRHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGAAAVLNFPELAGTLPRPATLSPRDVQAAAAKAAAMDPGERAETPAAAPAESDELGEIVELPRLDDCCFLDSADSGGEFLYDDPVDSWALPVPWVESSDPLWAASDVVFPTSFEALVWN >Ma06_p28470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30149589:30152498:1 gene:Ma06_g28470 transcript:Ma06_t28470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDKGYLADHPGATTITPAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEVPKKKSKRSSGCLMANFMCGGTCDA >Ma06_p28470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30149587:30152508:1 gene:Ma06_g28470 transcript:Ma06_t28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDKGYLADHPGATTITPAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEVPKKKSKRSSGCLMANFMCRGTCDA >Ma01_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22259252:22261438:-1 gene:Ma01_g21970 transcript:Ma01_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLCFEPKRFPATYEPWISRDHRSIILLLLQSCSSKQQLAQIHARMIRTRLVQDTFAVSRIIALLTSPSALFSTVYARRVFDQIPHPNLFMWNSMIRGYTHHRAPRDALSTFKLLLACGGSSPDTYTYAATARACAQLNNLPTGSAVHGLVMKCGFDSDMFVTSGIISFYNGCGKVDVARQMFDEMPHKDVVSWTSMISGYLQLNQLDEGFRLFDEMRKVGVEPNKVTVMSLLSACGQSRALERGRRLHSRILEHGWESDLAVGNSVVSMYAKCGDTINAMDAFEKMPARNTATWNALMGGFVRSGHCREALSVFQEMTCSYTRPDEITMATALSACAQLGDLQQGKLVHAFIEEEDKMITCDVFLGNSLINMYAKSGDLAEAEAIFHKMLVRDVFSWTALISGYVQGNCYKKALSHFEEMRLSRVKANEVTLVSLLSACSQLGALDWGRRIHAYIEENEVRKDACLQNALVDMYAKCGCIDIALQIFHEMRCKDAHTWNAMIGGLAANGRGREAIGLFDQMHELRDVRPDGVTLMAVIGACGHSGLVKEGLAYFNLMARSYGIVPGVEHYGCLVDLLGRAGFIEEAIDLIEKMPMTANNLIWGSLLAACRIHGKMELAERAARNLMKLAPNDEGAHVLVSNVYAEARRWDDVGQVRSLMGCKGIAKSPGCSTIEVDGVVHEFVAGDRSIRQNEFACLVLDSLVLQTKLIAGGSCGYT >Ma04_p37490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35381165:35382183:-1 gene:Ma04_g37490 transcript:Ma04_t37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMEPSRAAKRLWRVVRVVLYMLRKGLSKDKFMMDLHLLIERGKIAGKALGNLMTFHHHDPREDRSFVFSGFSCRSTDPNLSFYSPMEVEFSCSNTPAYPSFRAVKRKSRRRRRYHDDDYDYDAAAVAKAFEILNSELSDAESVVASPSPAPVIWSFGKSPAVVRQLRITDSPFPSREEDDDVDIHIDEKAEEFIRRFYEQLRLQQRTPMTPEFQRYRRQPLMGRA >Ma10_p31480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37597326:37615678:1 gene:Ma10_g31480 transcript:Ma10_t31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-f [Source:Projected from Arabidopsis thaliana (AT1G55620) UniProtKB/Swiss-Prot;Acc:Q8RXR2] MSGGEFELDDHKALLRSAPAASNLDLEAQGPSSGSAGTSAGATGTPSSARKSGIGDLLRHLDRGLSNRGHSRRHLDRGGFPLPPDGRSHDALSDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSRSSQRQGVDLLAAIFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKPAFIVPAYELKSAAELPLYLILGMLCGAVSVAFTRLVVWFTKSFEFLKDKFALPAVILPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLVGAKVVATALCKGSGLVGGLYAPSLMIGAALGAVFGGSAAEFINSAIPGNGAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQPKDSDLTETRSPRRGYSSLSTTEEKNSVWRQSDGGDGLELSHLETDIHSHGTINEEVLLDDLKVSLAMSKNYVKVYRTTILKEAIKLMHDNQQTCVLIVDHEDFLEGILTLGDIQRKGFETGGEVPDTPKGDSTISDVNIYTISSCLTRGLQYRSRESGLLTCFPDTDLTTAKQLMEAKGIKQLPVVKRGGRRRNDRKRRLIGLLYYDSIGHCLREEVDRWRTIDQQRKDFQESAMNGH >Ma04_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11182028:11182885:-1 gene:Ma04_g14700 transcript:Ma04_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPVHRPLPPPPAKPALSAMGRRPQMMAKEPAKRRASLAEVAGATTAGFAALCCCCPCGLVHLLIVVVLKLPLGLVRRALRLRRNRWAASTKPKTGLWRTEAGVFRGDDDFSLYHGVLLARSSFNETYPAESPSPELAELEREMLAKFHAAGFWRSLSQR >Ma07_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9256141:9291642:-1 gene:Ma07_g12380 transcript:Ma07_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MDFLSNIPVHLCTAFLIRRSVGNGFACTRRGPVTPTDISIRNSPTRGPCLLPVSTACGPSRCRRRAPAPPLRCPSGNDAALPPSSSPRACSKRILQTLILDLSPGRQRLRHDVGGLSFLRTSQLTDLPDPPPQARPVPLQGELQAVSWFQFFPCEADSNSLSEKRLKAEQKDAATHLVLSAHLQLQNEGFLSTWTNSFVGPWDPSQGAHNPDEKIKLWLFLPGRHSSVVETAHAAISRLRVVGSGIWLAPGDSEEVGVALAQALRNSLERSLRELSYVRFGDVFTRCHPLTSNVRRPQPTIEFTFAATEEAIYVHAVVSAKHIRGLCSSDMEKLLWHCSSHSIRDGIPAIVAPTGMRGRLTGCCPSDLVKQVYSSKLKGSNGIAIGMPSHMVQSSNCQLRGQRCYVEVTFDCHSDIVMGSNNKQNTNESHVHNEEPHLIAVGKVQKKHGVDHLPVLERTFIYPAEAVVIPMMHRAFARSFNKSLWLQNWVGTSLSEMWPLWNFSDSSQPELCLALGDAFISDPFNGLGVEFNGMRLQKQYNSSSNSISSSISSISRTSSESEHATAVGAGDLEADADSLTCRQSGLSSNDQFENDGPRKVSKRPRTGATDTCVQTGTVLSATIQDAFMSDYSIAEVDNSGAAGAQNTQVGSHWDWDGDDRGIGMDIQTLLSEFGGFGDFFEDDILAFGEPPGTAESQALIFPAAEFGDVTGSPCNGVMEVSEQNICHAGLASLEGFNHQTVIHTEETTETKSDIPKDTRLSSHAESGHSTGKFDYLTKAEAMMTFAPEYAPVETPNSEFSTSIFRSPYVPRSKMVESSSSSSSAYMYNAMPPPCIESSEEKSDKPTKLTLCELGHEGISSVKSSKLYTHVACGIEKKNNRSVNSDVTSNNGEGLSSICGVKSMNAALTFQKKGEHMLESAGFLMPVKTVLATDIECMMYQTAMCKVRHTLLSLRNKVSMGLRNAMSDLVQSDSSVKSDIMTVKHELRKKDSIPVRLAGDIDGGMQDGTFTTPVGVWRSVGAPKATKPTRVCENLPSMPLNNLSDEGINFHGQRQPLQDLLDAIAFLVQQSTSFVDFSLDTNDGYGAYYWLGIQEQRRREFACGPSMIHAGCGGLISTCHSLDIAGVDLIDPLSADVQASSVMSLLQSDIKVALKSAFGNLDGPLSVIDWCRGCSHFVDSGTPGDGNEPRDSSGAFSLVGEPISPPQSTGGSSSTRDRARIDESSQQRLNQETCNLEAEQQKGFSRFRPTIMVLPLPAILVGYQDDWLKTSVNSLRLWEKAPLEPYASPKPVTYYALCPDIELLTSAAVDFFQQLGTVYEICKLGSHTPHYSGGQMELPTGACMSSGLVLVDCPQQVKVSRNHVNIVSSIRDFFLSLSKSWSPKSFIRSLTKVIKDLKLASSFSVNQKECSSGPCTVVYVVCPFPEPKAILQTLVECSSALGSVVLSQDKERRSLLYSQVAKALNCTAAVDEASASNVLMLSGFSVPKLVLQIITVETLLRIYRPSSELAILKDIAFTVYNKARRIPRAVSSSDMFQNSSFSGRSQSGLMHMTSSIPGLSKDCLVPRMSGPSLSREGEIDAALRPGPWDSSWQTSRIGGLSCEQNRSADLCGLDDARFMFEPLFILAEPGTLEHGSSPIVFGSSVLESSSLKFVDDTGGIYMQSSTSGGAAEIGTASVVDGSEHDNKAPSLHCTYGWTEDWRWLVCIWTDSRGELLDSCIFPFGGISSRQDTKVLQTIFVQILQQGCQISSSCSDAGLVRPRDMIITRFGCFFELECQEWQNAIYSFGGNDIKKWPLQLRRSTPDGVTSSNNGSSLQQHDLVMIQERNLPSSPSPSLYSPHSKSSFTKSGMGQPNSKKQILVGQTGVDSSRSSLQLVQSISLVGVSIDHSLHLILQADMSSSGGTQSSSSSSVTSYVEGFSPLKSLGLMQASYLLIPSPNMRCLPPLPLHLPTCLTSESPPLAHLLHSKGSAIPLATGYIVSKTVPPMGQDLGEPTREDWPSVLSVSLIDHYGGNNSNIQERMIRGAGSSNAVKQVRNVNPESVNKDYELETHIVLESIAAELHSLSWMTVSPVYLERRTALPVHCDMLLRLRRLLHYADKELIRLPENVL >Ma03_p12370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9551121:9552596:-1 gene:Ma03_g12370 transcript:Ma03_t12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRSRVKGSSPPAAASICQEGIDDEEDILPAAVCLTEEELQVARILCRLPETILYFDLRLRRITSPSLPDYLRWGTRRRRSISDDPPPPLPPSPAPPPPPPGEEKEEGDGCEVPTSSSAFAVEATTSSPATPLSFPGSGGEDDDGRPSSAAPSPPPKQRFKNPKRHKEWVEEQRQKIATLTDDKAYLKRVIDEYRSRIEMLRANNSVLREIDHRQAKAAAVDYSRTPNHHQNHRVIPDLNALPEEAVEEEGPAVAAAAAATSGWQDQKQQQQRSVDYKVASMEARKRRREIQREKRSCSPWMHASKALRLR >Ma03_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9551121:9552596:-1 gene:Ma03_g12370 transcript:Ma03_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRSRVKGSSPPAAASICQEGIDDEEDILPAAVCLTEEELQVARILCRLPETILYFDLRLRRITSPSLPDYLRWGTRRRRSISDDPPPPLPPSPAPPPPPPGEEKEEGDGCEVPTSSSAFAVEATTSSPATPLSFPGSGGEDDDGRPSSAAPSPPPKQRFKNPKRHKEWVEEQRQKIATLTDDKAYLKRVIDEYRSRIEMLRANNSVLREAKAAAVDYSRTPNHHQNHRVIPDLNALPEEAVEEEGPAVAAAAAATSGWQDQKQQQQRSVDYKVASMEARKRRREIQREKRSCSPWMHASKALRLR >Ma07_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11467062:11467310:1 gene:Ma07_g15250 transcript:Ma07_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISEQSTHGLFVVVVAKEKEGECILLLILVEIIIVFKFPQLCLIYGRFRHKTSVFEGTMAVAHDREELPCTVRCFGWLQYH >Ma03_p29120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32152526:32157411:-1 gene:Ma03_g29120 transcript:Ma03_t29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLANYASDGDDDEGVDDDKASDGDAPAAKSLQLPLPKPSSSLFSSSLPPPKSSAALLSSSLFSSLPAPKSISSTPSSAPQNPSRPKSVHLGVVDGEEEEEEGGILTAGTPSSTPFRAQSSMFSALPPPKPSSYSRRKASHVDAENGEEEEKGGIFTADMPSSTPVMAQKSMFSALPPPKDSSSTSLFSSIPPPKSEQPNPQKITNPPSSDRNPKRVVQFKLPLNPSMLKSRDFDDDDDDEEDKERRSVKDSFSVATKASSSVSSMLPAPKNTFGLARSAASASSRRSIVEADVPAGEQGGTSSVQESFGFGDSGSYHGGLVGAPPETGTDGSGDLGFPGSTNDVGWDPSGVEGTIYSGFDDSSAAASWDPSYGGAVNYESYEGNWSEGTAAVVSEAPDMTKIAGKRGRNDIPTEIVEVKQDELMKNRPRQDQSKLTGIAFGPSYQPASSAKGKPSKLHKRKHQIGSLYFDMKQKEMELAERRAKGLLTKAETHAKYGW >Ma09_p27680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38616581:38621734:1 gene:Ma09_g27680 transcript:Ma09_t27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFILSSFSLRQPILGKGARVVAMTLDQVYMLKVEGIGFRFLPDPLQIKKCSSIKSANVSRSFDGVPVFQTFWLRKRTSSIAPIYFQKEDIERELLKVSKASSGSEFSHDIMVESLEDVLKNWSCTEHINEVSA >mito6_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000017.1:358536:358781:1 gene:mito6_g00080 transcript:mito6_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWALEGFVLGATVIGGIVYNPEDAPFSIARSRTYLFIAILQKNFLVSEKNLLFYREG >Ma01_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27901153:27901976:1 gene:Ma01_g23400 transcript:Ma01_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASACAALCSAPFGTRFARRYTSTSLSFSASLSKAGHPLFGVDAGRGRVRAMATYKVKLVLPEGEFELDCPDDVYILDHAEEAGISLPYSCRAGSCSSCAGKIVKGEVDQADASFLDDDQIEAGYVLTCAAYPRSDVIIETHKEEELTG >Ma02_p14920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22828208:22835566:-1 gene:Ma02_g14920 transcript:Ma02_t14920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MGSLKAHPPCANPLLLSPSSLRVPAPLSCSLPIIPRRPTKLRCEFELKGNGALPGDADPRAVDRLKALEAAMNDINSSFGRGSVTRLGSAGGALVETFPSGCLTLDFALGGGLPKGRVVEIYGPESSGKTTLALHAIAEIQKLGGNAMLVDAEHAFDPAYSSALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQIGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVFYGNPEVTSGGIALKFFASLRLEIRSIGKIKSAKGDEDVGIRVSRPYKQAEFEIIFGEGVSGLGCLLDCAELMDVVVKRGSWYSHGENSRLGQGREKALQYLRENPVICNEVEKAVRSMMMDGSRHMSFLAFGQLSAAEEEQT >Ma02_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22828208:22835566:-1 gene:Ma02_g14920 transcript:Ma02_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MGSLKAHPPCANPLLLSPSSLRVPAPLSCSLPIIPRRPTKLRCEFELKGNGALPGDADPRAVDRLKALEAAMNDINSSFGRGSVTRLGSAGGALVETFPSGCLTLDFALGGGLPKGRVVEIYGPESSGKTTLALHAIAEIQKLGGNAMLVDAEHAFDPAYSSALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQIGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVFYGNPEVTSGGIALKFFASLRLEIRSIGKIKSAKGDEDVGIRVRVRVQKSKVSRPYKQAEFEIIFGEGVSGLGCLLDCAELMDVVVKRGSWYSHGENRLGQGREKALQYLRENPVICNEVEKAVRSMMMDGSRHMSFLAFGQLSAAEEEQT >Ma02_p14920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22828208:22835566:-1 gene:Ma02_g14920 transcript:Ma02_t14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MGSLKAHPPCANPLLLSPSSLRVPAPLSCSLPIIPRRPTKLRCEFELKGNGALPGDADPRAVDRLKALEAAMNDINSSFGRGSVTRLGSAGGALVETFPSGCLTLDFALGGGLPKGRVVEIYGPESSGKTTLALHAIAEIQKLGGNAMLVDAEHAFDPAYSSALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQIGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVFYGNPEVTSGGIALKFFASLRLEIRSIGKIKSAKGDEDVGIRVRVRVQKSKVSRPYKQAEFEIIFGEGVSGLGCLLDCAELMDVVVKRGSWYSHGENSRLGQGREKALQYLRENPVICNEVEKAVRSMMMDGSRHMSFLAFGQLSAAEEEQT >Ma02_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23782683:23784562:-1 gene:Ma02_g16410 transcript:Ma02_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCLRSELEMSVALLQTPFRLEKCDLAKDDPVMALHDEEDLPGQFDVWSAIQAQKAANPVAADAPAPYVHPLVRRSSSSLSQKSLEICTESLGSETGSDDFSSFLDELDHDYSLDIGAEKEEANHDMHDKLVVMEEGGVWFRKKETEEVQVRLRKGKELTSVNYHCSVSRQSHLRSFPPPLPSISRRDGVPCLHMRPHRREGRLLVEAVPVPSQNYFHAQRGDGRLLLSFIDATFDDPEASSDNIQINEESNGIEVEEIERSAEEEEVEEKNCCAEDEDEEEEEEEEEVEVVDRGAVIEVKVSTQPQQQTGGAMKVLRSSLVINKFVGITPQRSNTTCGLPPESDEASKTDLNNAGKLQATTAAPIVRRPPPTTTTAAAAVAVASTLSASAEAYSDETRLPIGNDGHLPPDNKLLFTSKRRNREELLHSMRRCSQLRRPLFIREPCCIATSS >Ma08_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5656107:5665255:1 gene:Ma08_g08160 transcript:Ma08_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLGLCGYPSSHAKALLRSRLGSRRGRKRQVVARGSVGQQPLTASGRPTSESRNPFPGKLEADVVVIGSGIGGLCCAGLLARYQQDVLVLESHDLPGGAAHSFEVKGYKFDSGPSLFSGFQSRGPQANPLSQVLDALGEPVPCATYDSWMVYVPEGEFLSRIGPTEFLKDLETFVSLDAVHEWKKLLEAVLPMSAAAMALPPLSIRGDWGVVSTAAARYAPSLLKSFIQMGPQGALGATKLLRPFSEIIDSLELKHPFVRNWVDLLCFLLAGVKSDGIISAEIVYMFAEWYKPGCVLEYPLKGSGAVVDALVQGLKKYGGRLALGSHVDKIVVENGRATGVKLSSGHFVRAKKAVVSNASMWDTLNLLPPDVVPNTYKEQVEATPQCESFMHLHLGFDAQNISEDLGIHHIVVNDWSRGVDADQNVVLISVPSVHGKGLAPPGKHVLHAYTPGTEPYSLWEGLDRRSMEYRKLKEERSEVMWRAVERALGPGFSREKCDVKLVGTPLTHQRFLRRNRGTYGPAIKAGEATFPGHSTPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSQLLDAIGI >Ma05_p31660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41495825:41502734:-1 gene:Ma05_g31660 transcript:Ma05_t31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAAEQTGGLDLNAGEQEGESTDAAAEPASDPGGDGHGKCLADSDGGDSSPTEVGDASCGGQNATMVVAADNDGVTAANKVTEAGVEVVGCGGAADVGGDIEIWVDKQNDVEDEVGSRSLVDGCQGDQKLECAAVEAGSTMVSAVETAVTEEVVTVPGRIKPVEFEKTRNEDETVEKFDSSVKKPYLEIDMMAGGNFGEGETATTEDEETQSSLIAANGSGGQGEAQMDVLEIENVTGGARTTNAVNLDEVTVDGSHFLENEAVVGEKDNYVQNENRTELVEDDLSQKEKEENVVNGAVDCDSIDEHLQDKLDVLDLSSSEMEVGSSCTRKLEVSMVNAECTVESVPTLTTAAMDRNDVEMSVDTSDPGAIQDGVSAITNQTEVKEKQLSFQNGNKIVDGRLQPEVSALGSIVSELEKNSLDEEKLKAGFANPAYLSGCDSSMNVVGLEAGKPDGVADQGDIEIGVAESDRKELAGEGISSIEIASQVISHDLVDIHLEPTEVDLGANALDARCAMGAAESDSLVTLASVEAESSGGTRGQRRTQVDALEIGIGNELPGHGLSIVKENQNLINEAVDHPEVDKHLHESEGVLRPGDTDQNEELIVESSFVVDETEDILTVAINQYNESKELDRKPEASRLSLLDADGGRASFSEMSFASLSQNIQDKENDIHEKELRKVDPLLVDRSKTDKISDDKRDSDLTDPKEVNILGKNPLGEYLLDVGDLVSTGPDTAANVQVVKTDSGDIHLLYDNQETESQTFMEDVNLSVEISMSRTSESFQIENVPSGIEFAHVVVNESQETESQNVSSLATSNHFTNDIGENCYPGSLQNMVTEYNVCKEDESPTTEFCQNVETGRNLEADQEFVLGGSILNVSPDAGTHDNERMVVDEQETSKGTDKQAVEHVATRPNISVENSDEHPCYYLPSKDEDSFCTSDLVWGKVKSHPWWPGQIFDPSDASDLALRHQKKDNFLVAYFGDKTFAWCDESQLKHFETYFSQMEKQSNSDVFVDAIDGALDEVARRMGLGMTCSCFPEAANANSSDQKVENAGIREDSNGCNVDRSAILSYFQPGRLLEYVKALAKFPDYGTDSLELVIVNAQLKAFFRSKGYPELPSFKFISGLSENDAEISDSKSEGIMEVTIEQSGPIVSEMMFGKLKSRGRWSSMAKQKNVLEDGKKQKNLSELMEVECSQLANGANTEFGIKVDESDSLYSNKKRKATDSDSSDSEKGKKKRLDSLGDLQNKLPSLAISSSFKIGECIRRVASQLTGAPPILKGHSEASLKNVSKDDSIFDVFVTDGFSDVNMQSPRDKKENWEDYSSDEMLSQLWSAAMDPVKGHNCLSVIISFFTEVRDYCVSTSYSEKKHPEKTGGRRGRKRKVDNQFSVLEMTELDHMQDSYWSDLISHSGPAKSKGEAQTRSQRKKRKSSGQTSSTLVLVPVLQGAEHIHVGKIVPNIRQTAPIDRPIISVEEKMVDEFMPTALVLNFNGSSSLPSETDLIRIFSRYGPLKEAATEVQQKNNRVVVVFKRRADAEIAFSNAGKYSIFGPSLLSYRLRYFPSTPDAFPDSKQLDKTDALPVEKSNLNTPANVHPSMSIASPDTGLRDKSDTVLMGSSSTNIPVDLHPSMSSTCPDTNLQDKSDLVPTENSSANDPSDLHPSISDAYADTNLLDKNGAAVLELSDLHPLMSDGSPDTNLQEKSDAVPTENSSANNPSNLHPSVSDAYLDTNLLDKNDTAVFEHSSISLPSNLDGSPGTNLQEKSDAVCTENSSANNPSNFHPSMSDAYLDTNLLDKNDAAVLEHSNISLPSDLHPLMSDGSPDANLQDTSDAMPTENSSTNNPSYLHPPMPYLDTNIRDKNDAAVLEHSNTSLPRDLHPPMSDGSLDVNLEDNSDVILTENSDIPSHPYPSMCNASPDISLQDKSDITNVAGNFHLSTLDASPDTNFQDKNDGILRESCSTTIPGNLDPSTVDGSLNKPENKGNAAPVEDHGINISAQAERESVQDAIEVISEKPVATVTDVDGQAG >Ma05_p31660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41495825:41502734:-1 gene:Ma05_g31660 transcript:Ma05_t31660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAAEQTGGLDLNAGEQEGESTDAAAEPASDPGGDGHGKCLADSDGGDSSPTEVGDASCGGQNATMVVAADNDGVTAANKVTEAGVEVVGCGGAADVGGDIEIWVDKQNDVEDEVGSRSLVDGCQGDQKLECAAVEAGSTMVSAVETAVTEEVVTVPGRIKPVEFEKTRNEDETVEKFDSSVKKPYLEIDMMAGGNFGEGETATTEDEETQSSLIAANGSGGQGEAQMDVLEIENVTGGARTTNAVNLDEVTVDGSHFLENEAVVGEKDNYVQNENRTELVEDDLSQKEKEENVVNGAVDCDSIDEHLQDKLDVLDLSSSEMEVGSSCTRKLEVSMVNAECTVESVPTLTTAAMDRNDVEMSVDTSDPGAIQDGVSAITNQTEVKEKQLSFQNGNKIVDGRLQPEVSALGSIVSELEKNSLDEEKLKAGFANPAYLSGCDSSMNVVGLEAGKPDGVADQGDIEIGVAESDRKELAGEGISSIEIASQVISHDLVDIHLEPTEVDLGANALDARCAMGAAESDSLVTLASVEAESSGGTRGQRRTQVDALEIGIGNELPGHGLSIVKENQNLINEAVDHPEVDKHLHESEGVLRPGDTDQNEELIVESSFVVDETEDILTVAINQYNESKELDRKPEASRLSLLDADGGRASFSEMSFASLSQNIQDKENDIHEKELRKVDPLLVDRSKTDKISDDKRDSDLTDPKEVNILGKNPLGEYLLDVGDLVSTGPDTAANVQVVKTDSGDIHLLYDNQETESQTFMEDVNLSVEISMSRTSESFQIENVPSGIEFAHVVVNESQETESQNVSSLATSNHFTNDIGENCYPGSLQNMVTEYNVCKEDESPTTEFCQNVETGRNLEADQEFVLGGSILNVSPDAGTHDNERMVVDEQETSKGTDKQAVEHVATRPNISVENSDEHPCYYLPSKDEDSFCTSDLVWGKVKSHPWWPGQIFDPSDASDLALRHQKKDNFLVAYFGDKTFAWCDESQLKHFETYFSQMEKQSNSDVFVDAIDGALDEVARRMGLGMTCSCFPEAANANSSDQKVENAGIREDSNGCNVDRSAILSYFQPGRLLEYVKALAKFPDYGTDSLELVIVNAQLKAFFRSKGYPELPSFKFISGLSENDAEISDSKSEGIMEVTIEQSGPIVSEMMFGKLKSRGRWSSMAKQKNVLEDGKKQKNLSELMEVECSQLANGANTEFGIKVDESDSLYSNKKRKATDSDSSDSEKGKKKRLDSLGDLQNKLPSLAISSSFKIGECIRRVASQLTGAPPILKGHSEASLKNVSKDDSIFDVFVTDGFSDVNMQSPRDKKENWEDYSSDEMLSQLWSAAMDPVKGHNCLSVIISFFTEVRDYCVSTSYSEKKHPEKTGGRRGRKRKVDNQFSVLEMTELDHMQDSYWSDLISHSGPAKSKGEAQTRSQRKKRKSSGQTSSTLVLVPVLQGAEHIHVGKIVPNIRQTAPIDRPIISVEEKMVDEFMPTALVLNFNGSSSLPSETDLIRIFSRYGPLKEAATEVQQKNNRVVVVFKRRADAEIAFSNAGKYSIFGPSLLSYRLRYFPSTPDAFPDSKQLDKTDALPVEKSNLNTPANVHPSMSIASPDTGLRDKSDTVLMGSSSTNIPVDLHPSMSSTCPDTNLQDKSDLVPTENSSANDPSDLHPSISDAYADTNLLDKNGAAVLELSDLHPLMSDGSPDTNLQEKSDAVPTENSSANNPSNLHPSVSDAYLDTNLLDKNDTAVFEHSSISLPSNLDGSPGTNLQEKSDAVCTENSSANNPSNFHPSMSDAYLDTNLLDKNDAAVLEHSNISLPSDLHPLMSDGSPDANLQDTSDAMPTENSSTNNPSYLHPPMPYLDTNIRDKNDAAVLEHSNTSLPRDLHPPMSDGSLDVNLEDNSDVILTENSDIPSHPYPSMCNASPDISLQDKSDITNVAGNFHLSTLDASPDTNFQDKNDGILRESCSTTIPGNLDPSTVDGSLNKPENKGNAAPVEDHGINISAQAERESVQDAIEVISEKPVATVTDVDGQAG >Ma05_p31660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41495825:41502734:-1 gene:Ma05_g31660 transcript:Ma05_t31660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAAEQTGGLDLNAGEQEGESTDAAAEPASDPGGDGHGKCLADSDGGDSSPTEVGDASCGGQNATMVVAADNDGVTAANKVTEAGVEVVGCGGAADVGGDIEIWVDKQNDVEDEVGSRSLVDGCQGDQKLECAAVEAGSTMVSAVETAVTEEVVTVPGRIKPVEFEKTRNEDETVEKFDSSVKKPYLEIDMMAGGNFGEGETATTEDEETQSSLIAANGSGGQGEAQMDVLEIENVTGGARTTNAVNLDEVTVDGSHFLENEAVVGEKDNYVQNENRTELVEDDLSQKEKEENVVNGAVDCDSIDEHLQDKLDVLDLSSSEMEVGSSCTRKLEVSMVNAECTVESVPTLTTAAMDRNDVEMSVDTSDPGAIQDGVSAITNQTEVKEKQLSFQNGNKIVDGRLQPEVSALGSIVSELEKNSLDEEKLKAGFANPAYLSGCDSSMNVVGLEAGKPDGVADQGDIEIGVAESDRKELAGEGISSIEIASQVISHDLVDIHLEPTEVDLGANALDARCAMGAAESDSLVTLASVEAESSGGTRGQRRTQVDALEIGIGNELPGHGLSIVKENQNLINEAVDHPEVDKHLHESEGVLRPGDTDQNEELIVESSFVVDETEDILTVAINQYNESKELDRKPEASRLSLLDADGGRASFSEMSFASLSQNIQDKENDIHEKELRKVDPLLVDRSKTDKISDDKRDSDLTDPKEVNILGKNPLGEYLLDVGDLVSTGPDTAANVQVVKTDSGDIHLLYDNQETESQTFMEDVNLSVEISMSRTSESFQIENVPSGIEFAHVVVNESQETESQNVSSLATSNHFTNDIGENCYPGSLQNMVTEYNVCKEDESPTTEFCQNVETGRNLEADQEFVLGGSILNVSPDAGTHDNERMVVDEQETSKGTDKQAVEHVATRPNISVENSDEHPCYYLPSKDEDSFCTSDLVWGKVKSHPWWPGQIFDPSDASDLALRHQKKDNFLVAYFGDKTFAWCDESQLKHFETYFSQMEKQSNSDVFVDAIDGALDEVARRMGLGMTCSCFPEAANANSSDQKVENAGIREDSNGCNVDRSAILSYFQPGRLLEYVKALAKFPDYGTDSLELVIVNAQLKAFFRSKGYPELPSFKFISGLSENDAEISDSKSEGIMEVTIEQSGPIVSEMMFGKLKSRGRWSSMAKQKNVLEDGKKQKNLSELMEVECSQLANGANTEFGIKVDESDSLYSNKKRKATDSDSSDSEKGKKKRLDSLGDLQNKLPSLAISSSFKIGECIRRVASQLTGAPPILKGHSEASLKNVSKDDSIFDVFVTDGFSDVNMQSPRDKKENWEDYSSDEMLSQLWSAAMDPVKGHNCLSVIISFFTEVRDYCVSTSYSEKKHPEKTGGRRGRKRKVDNQFSVLEMTELDHMQDSYWSDLISHSGPAKSKGEAQTRSQRKKRKSSGQTSSTLVLVPVLQGAEHIHVGKIVPNIRQTAPIDRPIISVEEKMVDEFMPTALVLNFNGSSSLPSETDLIRIFSRYGPLKEAATEVQQKNNRVVVVFKRRADAEIAFSNAGKYSIFGPSLLSYRLRYFPSTPDAFPDSKQLDKTDALPVEKSNLNTPANVHPSMSIASPDTGLRDKSDTVLMGSSSTNIPVDLHPSMSSTCPDTNLQDKSDLVPTENSSANDPSDLHPSISDAYADTNLLDKNGAAVLELSDLHPLMSDGSPDTNLQEKSDAVPTENSSANNPSNLHPSVSDAYLDTNLLDKNDTAVFEHSSISLPSNLDGSPGTNLQEKSDAVCTENSSANNPSNFHPSMSDAYLDTNLLDKNDAAVLEHSNISLPSDLHPLMSDGSPDANLQDTSDAMPTENSSTNNPSYLHPPMPYLDTNIRDKNDAAVLEHSNTSLPRDLHPPMSDGSLDVNLEDNSDVILTENSDIPSHPYPSMCNASPDISLQDKSDITNVAGNFHLSTLDASPDTNFQDKNDGILRESCSTTIPGNLDPSTVDGSLNKPENKGNAAPVEDHGINISAQAERESVQDAIEVISEKPVATVTDVDGQAG >Ma05_p31660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41495825:41502734:-1 gene:Ma05_g31660 transcript:Ma05_t31660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAAEQTGGLDLNAGEQEGESTDAAAEPASDPGGDGHGKCLADSDGGDSSPTEVGDASCGGQNATMVVAADNDGVTAANKVTEAGVEVVGCGGAADVGGDIEIWVDKQNDVEDEVGSRSLVDGCQGDQKLECAAVEAGSTMVSAVETAVTEEVVTVPGRIKPVEFEKTRNEDETVEKFDSSVKKPYLEIDMMAGGNFGEGETATTEDEETQSSLIAANGSGGQGEAQMDVLEIENVTGGARTTNAVNLDEVTVDGSHFLENEAVVGEKDNYVQNENRTELVEDDLSQKEKEENVVNGAVDCDSIDEHLQDKLDVLDLSSSEMEVGSSCTRKLEVSMVNAECTVESVPTLTTAAMDRNDVEMSVDTSDPGAIQDGVSAITNQTEVKEKQLSFQNGNKIVDGRLQPEVSALGSIVSELEKNSLDEEKLKAGFANPAYLSGCDSSMNVVGLEAGKPDGVADQGDIEIGVAESDRKELAGEGISSIEIASQVISHDLVDIHLEPTEVDLGANALDARCAMGAAESDSLVTLASVEAESSGGTRGQRRTQVDALEIGIGNELPGHGLSIVKENQNLINEAVDHPEVDKHLHESEGVLRPGDTDQNEELIVESSFVVDETEDILTVAINQYNESKELDRKPEASRLSLLDADGGRASFSEMSFASLSQNIQDKENDIHEKELRKVDPLLVDRSKTDKISDDKRDSDLTDPKEVNILGKNPLGEYLLDVGDLVSTGPDTAANVQVVKTDSGDIHLLYDNQETESQTFMEDVNLSVEISMSRTSESFQIENVPSGIEFAHVVVNESQETESQNVSSLATSNHFTNDIGENCYPGSLQNMVTEYNVCKEDESPTTEFCQNVETGRNLEADQEFVLGGSILNVSPDAGTHDNERMVVDEQETSKGTDKQAVEHVATRPNISVENSDEHPCYYLPSKDEDSFCTSDLVWGKVKSHPWWPGQIFDPSDASDLALRHQKKDNFLVAYFGDKTFAWCDESQLKHFETYFSQMEKQSNSDVFVDAIDGALDEVARRMGLGMTCSCFPEAANANSSDQKVENAGIREDSNGCNVDRSAILSYFQPGRLLEYVKALAKFPDYGTDSLELVIVNAQLKAFFRSKGYPELPSFKFISGLSENDAEISDSKSEGIMEVTIEQSGPIVSEMMFGKLKSRGRWSSMAKQKNVLEDGKKQKNLSELMEVECSQLANGANTEFGIKVDESDSLYSNKKRKATDSDSSDSEKGKKKRLDSLGDLQNKLPSLAISSSFKIGECIRRVASQLTGAPPILKGHSEASLKNVSKDDSIFDVFVTDGFSDVNMQSPRDKKENWEDYSSDEMLSQLWSAAMDPVKGHNCLSVIISFFTEVRDYCVSTSYSEKKHPEKTGGRRGRKRKVDNQFSVLEMTELDHMQDSYWSDLISHSGPAKSKGEAQTRSQRKKRKSSGQTSSTLVLVPVLQGAEHIHVGKIVPNIRQTAPIDRPIISVEEKMVDEFMPTALVLNFNGSSSLPSETDLIRIFSRYGPLKEAATEVQQKNNRVVVVFKRRADAEIAFSNAGKYSIFGPSLLSYRLRYFPSTPDAFPDSKQLDKTDALPVEKSNLNTPANVHPSMSIASPDTGLRDKSDTVLMGSSSTNIPVDLHPSMSSTCPDTNLQDKSDLVPTENSSANDPSDLHPSISDAYADTNLLDKNGAAVLELSDLHPLMSDGSPDTNLQEKSDAVPTENSSANNPSNLHPSVSDAYLDTNLLDKNDTAVFEHSSISLPSNLDGSPGTNLQEKSDAVCTENSSANNPSNFHPSMSDAYLDTNLLDKNDAAVLEHSNISLPSDLHPLMSDGSPDANLQDTSDAMPTENSSTNNPSYLHPPMPYLDTNIRDKNDAAVLEHSNTSLPRDLHPPMSDGSLDVNLEDNSDVILTENSDIPSHPYPSMCNASPDISLQDKSDITNVAGNFHLSTLDASPDTNFQDKNDGILRESCSTTIPGNLDPSTVDGSLNKPENKGNAAPVEDHGINISAQAERESVQDAIEVISEKPVATVTDVDGQAG >Ma08_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28701646:28701881:-1 gene:Ma08_g18150 transcript:Ma08_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYFYQRTIRISDRNRVGISQIHHLSLSVVYQNVLAVGRLPLRLVVW >Ma06_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24694916:24699989:1 gene:Ma06_g24910 transcript:Ma06_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVATISLATSSTPPASRAKIQKPAPGTLVLGSSAASLSSSSISLRHVVPAGRGGGRGAAFGARMVSMPSVEKPPPSLDFETSVFKKEKITLAGHDEYIVKGGRDLFYLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLVVAKSDIVVKIGLRKGSRSFDEARAAGFTEENGTLGDIWETVAGSDLLLLLISDAAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRAVDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTENGMSEELAYKNTVECITGIISKTISTKGMLSVYSALTEEGKKEFNAAYSASYYPCMDILYECYEDVACGSEICSVVLAGRRFQEKEGLPAFPMGKIDQTRMWKVGERVRATRPAGDLGPLHPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQAFVSVDKNRSINQDLISNYLYDPVHDAIGVCAQLRPTVDISVPPDADFVRPELRQSSN >Ma08_p26990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39400898:39402066:-1 gene:Ma08_g26990 transcript:Ma08_t26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGTASHTTTTKAGSSKNTSSRFSSSSSSTSSSSQATATQACAACKYQRRKCNPDCTLAPYFPANQQHKFLNAHRLFGVSNILKIIRNLDPYQHAEAMKTIIYQSDMRALDPVGGCYRIIRDLEYQIERDSNELALVLRQLAVCRAQAAQASVASDLEVHPNLLLNTATSEDVDNVIYGGNIFPTINQQDQQQYYNYLCYDDDITRDHQNNHPNTSIIINNNSNNDNNDLSSLQHQPHFNCMVEDEDVKPLVDMFDVRQTSMGGDEDNADEAGHPRCSSTQVPELKDETSPVEHAQEEHDLKGAASLFTLTNCS >Ma05_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:271664:272628:-1 gene:Ma05_g00450 transcript:Ma05_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGISIEELLKHEDSAAKEVIQFQESEKLRLFVIVSGHYDRQKNFKRELLVCTDTPEFMKNFLRFLSTNGTDFPLKSMNLVDLRHELRAFEINNMSTSRRSVEQLLDEFDGALKKRIAFLS >Ma08_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37521244:37521540:-1 gene:Ma08_g24300 transcript:Ma08_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASASRNLLPPLFVDRLFRLPEIAILFASLRSGSQKKHHRRAREKAVAVPSLSLTALITIFLNYE >Ma09_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33670963:33671135:1 gene:Ma09_g21760 transcript:Ma09_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIQFADREARSGRPAKYTATASGTLVPELDDRRS >Ma03_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24465102:24474143:-1 gene:Ma03_g19000 transcript:Ma03_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALLIVAVVVSALVLLVSVYLLVNYQHPDDHNQAYFPKLVVVLGISIAAISILMLPADVANRQACRHAIYNGACSLTLPMKQLWLAVYIADAILVFFVIPFAMFYYEGDQDKGIGKRLKSALLWVVTSAIVCGLLLGILYGLVGKVDFTVRHLSSSAESFPSSWTGFSRSQPCISSSRLCDAYIAPATSEKTWTMRTSFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKGATDLGKKARELKKTIETLHQEERSGSKGRKWRKNLKEAEKELFLLEDDMKALEEMYPQGEQAETVWALTVLGYLAKFVLGVVGLIVSVAWVAHIVIYLLINPPLSPFLNEVFIKLDSVWGLLGTAAFAIFCFYLLLAVIAGEMMLGLKLVFFTIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCASAFAYYSQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVGFAVLTLFYYAAFGWRKRKPTGRFQFSN >Ma04_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16830130:16831364:1 gene:Ma04_g16920 transcript:Ma04_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQLCLGELAAMHEIYGWLGFATINNHNVKQAHCNQVRFVHGITSSCRSFVKRRGISVKHSFLGDLINLLQIRSAVVETHKFCIVCCLYFDHNT >Ma04_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11651349:11652417:1 gene:Ma04_g15370 transcript:Ma04_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGERTHCDPTVHVPPWHFAEYPTVVMQQYSAIPVSGVAGLSCDLPPHLLGEAALAALQRFLPCNNDPSSAATAEAYSCDEFRMYEFKVRRCPRGRSHDWTECPYAHQGEKARRRDPRRFHYSGTPCPDFRRGGGCRRGDACELAHGVFETWLHPARYRTQPCKDGAACRRRVCFFAHSPMQLRVVLPPSPTSPGKDGARVTLSPTSTLAPAPMSPPSDGSSPPVSPVGVDEVVKAMRKLQLSKVSSAPPFGTRSGMGFLSAFGSAPVTPGTREDEAAKRREEEEAVPDLNWVSELVKD >Ma09_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33464744:33466486:1 gene:Ma09_g21660 transcript:Ma09_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIQTSFKLPSPLPSWPPGGEFAQGIIDLGGLEVCQVSTFTQVWATHEGGQDGLGATFFKPSTVPSGFSVLGYYAQPNNQPLFGWVLVGRGSGDGNTLAQPSDYTLVWSSESSNINQDGRGYFWLPTPPEGYHAVGLVVTNSSEKPSVEEVRCVRSDLTDEPESDAYIWSTDGFSVDSLRPATRGINALGVPVGTFIARTNGAATSATLACLKNREANFSSMPNLRQVEALMQAYSPWIYFHPDEVYLPSSVSWFFDNGALLYQKGNQNPTPIDSGGSNLPQGDSNDGAYWIDLPADDGQKNKIKKGDISSTKLYLHIKPMLGATFTDVVIWIFYPFNGPAKAKLGLFNVSLGKIGEHVGDWEQLTLRISNFTGELRRLYFAEHSSGTWVDASQLDFPEGNKPVGYSSLHGHAMYSKPGLVLQGNSKLGIGIRNDTAKGNSFDSGRSFEVVAAEYMGSAVTEPAWLNYMREWGPKISYDISNELKKVEKLLPGKFRSRLESIINSLPDEVLREEGPTGPKEKRSWAMDEN >Ma01_p15840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11531688:11548991:1 gene:Ma01_g15840 transcript:Ma01_t15840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MESNQSGSERDKGSSLPSSISVVCSFWREFDLEKERSGLDEQGLKIAENQEVSQKNRRKLAESTRDFKKASKDEKLSLFNSLLKNYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASFAEQDEKLSELESENRKMKLELEEYRSEATHLRNQQATIRRLEDRNRQLEQQMEEKVREIVEIKQRSLAEENQKTLEVLKERELLLQDQLRQAKESVVNMQKLHEIAQSQLFELRTQSEEERAAKESEVNLLMDEVERAQTRLVSLEREKGLLRSQVQSTDEENGDKKSDLEVSSILESSLNVKEKIISELNMELHNMETTLSNEREQHLNEIKKLNALLNEKDTALVEMRKELQERPTAKLVDDLHKKVKILQAVGYNSIEAEDWELATSGEEMSKLESLLLDKNRKMEHELTQLKVKISEKASLLEAAEGKISELTAKVDEQQKLIAKLEDDILKGYNSTERKGSLLNDWDLQEIGSSEVSEGADHRLIASDQDQSSMLKVICNQRDRFRTRLREAEEVCNFTTDKLKLYTLFFELFLIYSCHIYQQVRQLKEKIGMLTVELENTKADNVKLYGKIRYVQDYSLEKLSSRGPKKYAEDVESGFSSDVESKYKKMYEDDINPFAAFSKKERDQRYKELGIRDKITLSSGRFLLGNKYARTFVFFYSIGLHLLVFTCLYRMSALSYQSTTPGHNEAILDAGNLTLSHAF >Ma01_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11531688:11548991:1 gene:Ma01_g15840 transcript:Ma01_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MESNQSGSERDKGSSLPSSISVVCSFWREFDLEKERSGLDEQGLKIAENQEVSQKNRRKLAESTRDFKKASKDEKLSLFNSLLKNYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASFAEQDEKLSELESENRKMKLELEEYRSEATHLRNQQATIRRLEDRNRQLEQQMEEKVREIVEIKQRSLAEENQKTLEVLKERELLLQDQLRQAKESVVNMQKLHEIAQSQLFELRTQSEEERAAKESEVNLLMDEVERAQTRLVSLEREKGLLRSQVQSTDEENGDKKSDLEVSSILESSLNVKEKIISELNMELHNMETTLSNEREQHLNEIKKLNALLNEKDTALVEMRKELQERPTAKLVDDLHKKVKILQAVGYNSIEAEDWELATSGEEMSKLESLLLDKNRKMEHELTQLKVKISEKASLLEAAEGKISELTAKVDEQQKLIAKLEDDILKGYNSTERKGSLLNDWDLQEIGSSEVSEGADHRLIASDQDQSSMLKVICNQRDRFRTRLREAEEQVRQLKEKIGMLTVELENTKADNVKLYGKIRYVQDYSLEKLSSRGPKKYAEDVESGFSSDVESKYKKMYEDDINPFAAFSKKERDQRYKELGIRDKITLSSGRFLLGNKYARTFVFFYSIGLHLLVFTCLYRMSALSYQSTTPGHNEAILDAGNLTLSHAF >Ma07_p16720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15360455:15366305:1 gene:Ma07_g16720 transcript:Ma07_t16720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVGRSNSIRRSSPESKLEAKMVEAMQRRASEGTSVKSFNSIIMKFPKIDESLRKCKSIFEQFDEDSNGAIDQEELKHCFQKLEISFNEQEIGDLFLACDIDENMGMKFNEFIVLLCLVYLLKEPAAVQANSRMGLPDLETTFETLVDAFVFLDKNKDGYVSKNEMVHAINETTGGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIDDVEDEE >Ma07_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15360455:15366305:1 gene:Ma07_g16720 transcript:Ma07_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVGRSNSIRRSSPESKLEAKMVEAMQRRASEGTSVKSFNSIIMKFPKIDESLRKCKSIFEQFDEDSNGAIDQEELKHCFQKLEISFNEQEIGDLFLACDIDENMGMKFNEFIVLLCLVYLLKEPAAVQANSRMGLPDLETTFETLVDAFVFLDKNKDGYVSKNEMVHAINETTGGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIDDVEDEE >Ma07_p16720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15360455:15366305:1 gene:Ma07_g16720 transcript:Ma07_t16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVGRSNSIRRSSPESKLEAKMVEAMQRRASEGTSVKSFNSIIMKFPKIDESLRKCKSIFEQFDEDSNGAIDQEELKHCFQKLEISFNEQEIGDLFLACDIDENMGMKFNEFIVLLCLVYLLKEPAAVQANSRMGLPDLETTFETLVDAFVFLDKNKDGYVSKNEMVHAINETTGGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIDDVEDEE >Ma01_p12880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9421854:9435813:1 gene:Ma01_g12880 transcript:Ma01_t12880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGFSFFRSTGWFDEKKADLSSKQDVAPSLSILLDKEIYRPGDLVTATVEICSPKVSNGYTDGGNWSNKASSFLLDNFSFEVKGLEKLDSQWFSTQKPLPGLKQRRGEHLFLDCMAPSIVSKVIISSGCSKTYVLRAELPKILPPSYRGVSIRYIYYVRSTISGRWFVLDNNDGSGVSTDDLIQVEARAPLQIWVLEKSNNLLTEEGNLPIAADQLDIYWREKDADSEWVRANENLDVLDEEYDSSKDEVSSVSSYNPSRGNFDMPYRSSLSLQSIASRLSSNDFHNFQADRTTVPSHVPLAQLSVAEAIDDPGAGESSPQNRLNDSLSTPSPSQQRSSQESKFLKDDIVSPYTPKPVEPLSSEGFIRGRSYNIKIDDQTLLRFSPKNSDSTYYFGDMIGGTLTFFHGGFRRCLEIAITLEIAETITQRFVHPSRRSSPTITKVQSEHHEVVADLVQTSFLFSIPIDGPISFSTPKVSVQWSLRFEFFTTPKDLDLSRYEHPLLIEQREKGEWILPITVHAPPLRIRAGQTTNEKPLSLGNLQCA >Ma01_p12880.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9421854:9435813:1 gene:Ma01_g12880 transcript:Ma01_t12880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGFSFFRSTGWFDEKKADLSSKQDVAPSLSILLDKEIYRPGDLVTATVEICSPKVSNGYTDGGNWSNKASSFLLDNFSFEVKGLEKLDSQWFSTQKPLPGLKQRRGEHLFLDCMAPSIVSKVIISSGCSKTYVLRAELPKILPPSYRGVSIRYIYYVRSTISGRWFVLDNNDGSGVSTDDLIQVEARAPLQIWVLEKSNNLLTEEGNLPIAADQLDIYWREKDADSEWVRANENLDVLDEEYDSSKDESIASRLSSNDFHNFQADRTTVPSHVPLAQLSVAEAIDDPGAGESSPQNRLNDSLSTPSPSQQRSSQESKFLKDDIVSPYTPKPVEPLSSEGFIRGRSYNIKIDDQTLLRFSPKNSDSTYYFGDMIGGTLTFFHGGFRRCLEIAITLEIAETITQRFVHPSRRSSPTITKVQSEHHEVVADLVQTSFLFSIPIDGPISFSTPKVSVQWSLRFEFFTTPKDLDLSRYEHPLLIEQREKGEWILPITVHAPPLRIRAGQTTNEKPLSLGNLQCA >Ma01_p12880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9421854:9435813:1 gene:Ma01_g12880 transcript:Ma01_t12880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGFSFFRSTGWFDEKKADLSSKQDVAPSLSILLDKEIYRPGDLVTATVEICSPKVSNGYTDGGNWSNKASSFLLDNFSFEVKGLEKLDSQWFSTQKPLPGLKQRRGEHLFLDCMAPSIVSKVIISSGCSKTYVLRAELPKILPPSYRGVSIRYIYYVRSTISGRWFVLDNNDGSGVSTDDLIQVEARAPLQIWVLEKSNNLLTEEGNLPIAADQLDIYWREKDADSEWVRANENLDVLDEEYDSSKDEVSSVSSYNPSRGNFDMPYRSSLSLQSIASRLSSNDFHNFQADRTTVPSHVPLAQLSVAEAIDDPGAGESSPQNRLNDSLSTPSPSQQRSSQESKFLKDDIVSPYTPKPVEPLSSEGFIRGRSYNIKIDDQTLLRFSPKNSDSTYYFGDMIGGTLTFFHGGFRRCLEIAITLEIAETITQRFVHPSRRSSPTITKVQSEHHEVVADLVQTSFLFSIPIDGPISFSTPKVSVQWSLRFEFFTTPKDLDLSRYEHPLLIEQREKGEWILPITVHAPPLRIRAGQTTNEKPLSLGNLQCA >Ma01_p12880.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9421854:9435813:1 gene:Ma01_g12880 transcript:Ma01_t12880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGFSFFRSTGWFDEKKADLSSKQDVAPSLSILLDKEIYRPGDLVTATVEICSPKVSNGYTDGGNWSNKASSFLLDNFSFEVKGLEKLDSQWFSTQKPLPGLKQRRGEHLFLDCMAPSIVSKVIISSGCSKTYVLRAELPKILPPSYRGVSIRYIYYVRSTISGRWFVLDNNDGSGVSTDDLIQVEARAPLQIWVLEKSNNLLTEEGNLPIAADQLDIYWREKDADSEWVRANENLDVLDEEYDSSKDEVSSVSSYNPSRGNFDMPYRSSLSLQSIASRLSSNDFHNFQADRTTVPSHVPLAQLSVAEAIDDPGAGESSPQNRLNDSLSTPSPSQQRSSQESKFLKDDIVSPYTPKPVEPLSSEGFIRGRSYNIKIDDQTLLRFSPKNSDSTYYFGDMIGGTLTFFHGGFRRCLEIAITLEIAETITQRFVHPSRRSSPTITKVQSEHHEVVADLVQTSFLFSIPIDGPISFSTPKVSVQWSLRFEFFTTPKDLDLSRYEHPLLIEQREKGEWILPITVHAPPLRIRAGQTTNEKPLSLGNLQCA >Ma01_p12880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9421854:9435813:1 gene:Ma01_g12880 transcript:Ma01_t12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGFSFFRSTGWFDEKKADLSSKQDVAPSLSILLDKEIYRPGDLVTATVEICSPKVSNGYTDGGNWSNKASSFLLDNFSFEVKGLEKLDSQWFSTQKPLPGLKQRRGEHLFLDCMAPSIVSKVIISSGCSKTYVLRAELPKILPPSYRGVSIRYIYYVRSTISGRWFVLDNNDGSGVSTDDLIQVEARAPLQIWVLEKSNNLLTEEGNLPIAADQLDIYWREKDADSEWVRANENLDVLDEEYDSSKDEVSSVSSYNPSRGNFDMPYRSSLSLQSIASRLSSNDFHNFQADRTTVPSHVPLAQLSVAEAIDDPGAGESSPQNRLNDSLSTPSPSQQRSSQESKFLKDDIVSPYTPKPVEPLSSEGFIRGRSYNIKIDDQTLLRFSPKNSDSTYYFGDMIGGTLTFFHGGFRRCLEIAITLEIAETITQRFVHPSRRSSPTITKVQSEHHEVVADLVQTSFLFSIPIDGPISFSTPKVSVQWSLRFEFFTTPKDLDLSRYEHPLLIEQREKGEWILPITVHAPPLRIRAGQTTNEKPLSLGNLQCA >Ma01_p12880.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9421854:9435813:1 gene:Ma01_g12880 transcript:Ma01_t12880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGFSFFRSTGWFDEKKADLSSKQDVAPSLSILLDKEIYRPGDLVTATVEICSPKVSNGYTDGGNWSNKASSFLLDNFSFEVKGLEKLDSQWFSTQKPLPGLKQRRGEHLFLDCMAPSIVSKVIISSGCSKTYVLRAELPKILPPSYRGVSIRYIYYVRSTISGRWFVLDNNDGSGVSTDDLIQVEARAPLQIWVLEKSNNLLTEEGNLPIAADQLDIYWREKDADSEWVRANENLDVLDEEYDSSKDEVSSVSSYNPSRGNFDMPYRSSLSLQSIASRLSSNDFHNFQADRTTVPSHVPLAQLSVAEAIDDPGAGESSPQNRLNDSLSTPSPSQQRSSQESKFLKDDIVSPYTPKPVEPLSSEGFIRGRSYNIKIDDQTLLRFSPKNSDSTYYFGDMIGGTLTFFHGGFRRCLEIAITLEIAETITQRFVHPSRRSSPTITKVQSEHHEVVADLVQTSFLFSIPIDGPISFSTPKVSVQWSLRFEFFTTPKDLDLSRYEHPLLIEQREKGEWILPITVHAPPLRIRAGQTTNEKPLSLGNLQCA >Ma01_p12880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9421854:9435813:1 gene:Ma01_g12880 transcript:Ma01_t12880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGFSFFRSTGWFDEKKADLSSKQDVAPSLSILLDKEIYRPGDLVTATVEICSPKVSNGYTDGGNWSNKASSFLLDNFSFEVKGLEKLDSQWFSTQKPLPGLKQRRGEHLFLDCMAPSIVSKVIISSGCSKTYVLRAELPKILPPSYRGVSIRYIYYVRSTISGRWFVLDNNDGSGVSTDDLIQVEARAPLQIWVLEKSNNLLTEEGNLPIAADQLDIYWREKDADSEWVRANENLDVLDEEYDSSKDEVSSVSSYNPSRGNFDMPYRSSLSLQSIASRLSSNDFHNFQADRTTVPSHVPLAQLSVAEAIDDPGAGESSPQNRLNDSLSTPSPSQQRSSQESKFLKDDIVSPYTPKPVEPLSSEGFIRGRSYNIKIDDQTLLRFSPKNSDSTYYFGDMIGGTLTFFHGGFRRCLEIAITLEIAETITQRFVHPSRRSSPTITKVQSEHHEVVADLVQTSFLFSIPIDGPISFSTPKVSVQWSLRFEFFTTPKDLDLSRYEHPLLIEQREKGEWILPITVHAPPLRIRAGQTTNEKPLSLGNLQCA >Ma01_p12880.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9421854:9435813:1 gene:Ma01_g12880 transcript:Ma01_t12880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGFSFFRSTGWFDEKKADLSSKQDVAPSLSILLDKEIYRPGDLVTATVEICSPKVSNGYTDGGNWSNKASSFLLDNFSFEVKGLEKLDSQWFSTQKPLPGLKQRRGEHLFLDCMAPSIVSKVIISSGCSKTYVLRAELPKILPPSYRGVSIRYIYYVRSTISGRWFVLDNNDGSGVSTDDLIQVEARAPLQIWVLEKSNNLLTEEGNLPIAADQLDIYWREKDADSEWVRANENLDVLDEEYDSSKDEVSSVSSYNPSRGNFDMPYRSSLSLQSIASRLSSNDFHNFQADRTTVPSHVPLAQLSVAEAIDDPGAGESSPQNRLNDSLSTPSPSQQRSSQESKFLKDDIVSPYTPKPVEPLSSEGFIRGRSYNIKIDDQTLLRFSPKNSDSTYYFGDMIGGTLTFFHGGFRRCLEIAITLEIAETITQRFVHPSRRSSPTITKVQSEHHEVVADLVQTSFLFSIPIDGPISFSTPKVSVQWSLRFEFFTTPKDLDLSRYEHPLLIEQREKGEWILPITVHAPPLRIRAGQTTNEKPLSLGNLQCA >Ma04_p37690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35466277:35469573:-1 gene:Ma04_g37690 transcript:Ma04_t37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLHTSLRHKLLTLLTLQFPCFRQLKHDCNFLEEEGIMHYSLLLGMHICSAPFEAILQVHHSSANSAGKNMLNVEFFTKSDFFCYISLQISMSCKIILALVFLNGGIITKKCSAYSVQAQMIATRVQMELNQSTAMQIKVFHQMATTHPHPLLQKPKVYSVSGWQPGRSALGKRKAIWHHQLMPRGKINSTMFSLSLSLSLCLCVYEIISMDGYKGNNYWARVQSSVIKCRPAFALGKRW >Ma11_p00660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:461394:464370:1 gene:Ma11_g00660 transcript:Ma11_t00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSESVPRKPHQPIDEITTVSERIEGVDPLLERIKSLDIATPLLNSLPPSETSISDILVRKPSSSSSIQGNLNPKVLLELFSMYREWQEGKVKNICGKQEEIDSKIETADALAVKLLQRFNYSVSAMRSTAHGLAEAFTGRSWRT >Ma11_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:461394:464490:1 gene:Ma11_g00660 transcript:Ma11_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSESVPRKPHQPIDEITTVSERIEGVDPLLERIKSLDIATPLLNSLPPSETSISDILVRKPSSSSSIQGNLNPKVLLELFSMYREWQEGKVKNICGKQEEIDSKIETADALAVKLLQRFNYSVSAMRSTAHGLAEVQHLQVEVGELKGRLTEVISNCDALCKRIAAEGAESSHLAHL >Ma04_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2947714:2952776:-1 gene:Ma04_g03830 transcript:Ma04_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEEAVRRRNALSEYRKQLLNCKEIESRTRAVKDKLKAARKEFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKENLIAGTRVVLDMTTLTIMRILPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKD >Ma06_p33370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34034389:34034535:1 gene:Ma06_g33370 transcript:Ma06_t33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWRCLSILWGRRDRWGIERFMTPEVVELLDLDSTASGDGGFGSTGV >Ma08_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5167687:5171848:-1 gene:Ma08_g07540 transcript:Ma08_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein, Cytokinin signaling and stress respons [Source: Projected from Oryza sativa (Os09g0567400)] MPPPLCSRVLLSHPFSPMSLMALREQLNMLVNSMYIEGLLDQQFQQLQMLQDASSPGFVAEVITLFCEDAERILTELTKLVDQAVVEFQKVDAYVHQLKGSSSSVGAQNVKLACIQFRQFCEENNKDGCLNALNVVKHHYYLLRNKFDTMLQLEQRIQAYDSKQQN >Ma07_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8058710:8059613:-1 gene:Ma07_g10810 transcript:Ma07_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSHLKEEKMIDMSYEPSSQLVKKKNLTNHFHCFCRTAWSTKIHLFPGHFRQFIHQKLTRTDPSREGAEKRKQRRRQGMDDCRPLGFLIGLPFAVLALALSLVGAVVWLLGTILGCLCPCCICFSGLANLAMTLIKMPVNVIRWFVRQIPC >Ma03_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2309907:2313068:1 gene:Ma03_g03440 transcript:Ma03_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSASLSLPLSPSTSSSSSNSCTLSSSSAFQVSKPRKPRRAKKGANPDKKRLGNGKRSSAFRGVTRHRWTGRYEAHLWDKHCWNPIQNKKGRQVYLGAYDDEEAAARAYDLAALKYWGPDSALNFPFVTYTKEYEEMQNMSKEEYLASLRRRSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFGTEEEAAQAYDLAAIEYRGPNAVTNFDISCYVKFPQPLLQPHPIPQPLQMPKARPPAQEQQPSATCEEERRQAVELPSYVDHTAAAAADGVDAIPWSPFMDQCFDDVYADHCVGLHRTGDLHSIFGSVGFDDNVDILFDASESSSRVEEGDGDAAKDCIFEDSAATVSNLVGVRLSQLLQ >Ma10_p28910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35651171:35652308:1 gene:Ma10_g28910 transcript:Ma10_t28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEVETVPAEQEAPAHDVEEVVVKEETPAAVADEAKVEVEAAQPAIEVVESEEKPAEEEKAEEVVVAEEVTEETKPDTELAAPDATPEETTAPATEETVESPEAEAAVEEEEAAPTAVEETKVEVETPAASV >Ma08_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24195793:24197845:1 gene:Ma08_g17280 transcript:Ma08_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAVAVVFAVACVLPALAIAARDVGVVKRGFVVQGRVFCDTCRAGFETPVSTYIQGATVRVECRSEFTGAKTCSFEGTTDHTGTYNILVEGEHDDHEICESLLVSSPESGCKTALQGRERAPVFLSHNNGIASDTRFANSLGFLKDTSLPVCTELLKSYEQYED >Ma10_p00910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3833179:3854473:-1 gene:Ma10_g00910 transcript:Ma10_t00910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDDKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGATPALKRRTVAARRRHRDSARAKIRKTAEKLLLNHLKAKRLEELAAEIKKQKEGSKEKQISDEDTKGKKVLDSNDEIGAEGSSVLLRGDMESGVSSQQNLDELLAASLAAEEEMEINKNVLTSEGGLDEAEDDNDENEELIFPMDNIHIDPAVLASLPPSMQLDLLVQMRESIMAENRQKYQKMKKAPTKFSELQIQSYLKTIAFRREIDEVQKCAAGRGIAGVQTSRIASEANREFIFSSSFTGDKQVLASRGVANSGNGDHYSLAKQSTSDSAKCSLLINQPKLVKEVSGSKHVKDFGPDVETYCDENGRVRVSRVKGLGICMTRDLQRNLDLMEKYEQEKRRGDNSSDQVASHTKKVVDVSEGLSGNNHSPSVTSNEGKGETFSEKSSSLPLDRRGYMDELTIHGSENAMEISFSEDDSGMKATDVDNIFMQLVSGGQTANLPLECVHSDKSTNDSESDCSWEEGLVKEISEIPNGFADITASPKSDLKKNQSSLAESSYEVADVDWEEGVCQNPDTSSQFLDKPVKDVSRGTLEEEADIQEAIRRSLEDFKEQNSSTNSSAVTELVRSVKDQISDGVILHKLVTNTCVHLDNDAEESPSCNDGQLDNRCKEDDLQNPDSSKNNLDSNNLSGLDFDGESSLISSREDEQIVMTSQRGNIPPGKPSDDHPSWLEQSLDASYEVQIKSCSRMSTEELCEIRPDTSTNITDDGSKVVGSSNHDSRHISRSSVSPERGYNNSLSGNSSLMEEIIDTGMKSQQNIAEKDNVISDHIGYSSQILNNQMEVSEASLDKEISLLRQERVNLGNEQRMLERDAESVNNEMFAECQELLQMFGLPYIIAPTEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFVKDIECELGLDRDKLIRMALLLGSDYTEGVSGIGIVNAIEVIHAFPEEDGLQKFRQWVESPDPAILGKLVTGSHSNRSLKENNNGADAIKRSSQGDASEESVSRGHDDEKPTGNEAIKDIFIDKHRNVSKNWHISPSFPSEMVISAYTSPQVDESTEPFMWGKPDLPLLRKLCWEKFGWTTQKADELLVPVLKEYNKHETQLRLEAFYTFNERFAKVRSQRIKKALKGITGTLSSDLTDELVKEGPSSRKKRCIGLSTGEEYKPENSTGGNSKRGKKVMRQSRGQKTEKEFIYSEDLAGDSHVLEKDDIDDTSVLSLNRTVKGRRGRGRGRGRGRGARGRGKGKGRGRSSPIKADSDSTDDGDDYNANVQLENHSKVPEVLPKLHRSMRPLKQVQYAEEDFEVDESNGTLNPSGSKLLGVATEQEPIENDNRSRVGDLSDVDKGAHTFSKDSSSGEYLFSGGGFCLDDNVLQGDAIQLAASPIQGSAEMDREDRDGCKQHALEDDTSRGYLFSGGGFCMDESDEQVDATRPTSSQIENSRPSRDGQSNLGLSAMSSLRRKRRKT >Ma10_p00910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3833179:3854473:-1 gene:Ma10_g00910 transcript:Ma10_t00910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDDKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGATPALKRRTVAARRRHRDSARAKIRKTAEKLLLNHLKAKRLEELAAEIKKQKEGSKEKQISDEDTKGKKVLDSNDEIGAEGSSVLLRGDMESGVSSQQNLDELLAASLAAEEEMEINKNVLTSEGGLDEAEDDNDENEELIFPMDNIHIDPAVLASLPPSMQLDLLVQMRESIMAENRQKYQKMKKAPTKFSELQIQSYLKTIAFRREIDEVQKCAAGRGIAGVQTSRIASEANREFIFSSSFTGDKQVLASRGVANSGNGDHYSLAKQSTSDSAKCSLLINQPKLVKEVSGSKHVKDFGPDVETYCDENGRVRVSRVKGLGICMTRDLQRNLDLMEKYEQEKRRGDNSSDQVASHTKKVVDVSEGLSGNNHSPSVTSNEGKGETFSEKSSSLPLDRRGYMDELTIHGSENAMEISFSEDDSGMKATDVDNIFMQLVSGGQTANLPLECVHSDKSTNDSESDCSWEEGLVKEISEIPNGFADITASPKSDLKKNQSSLAESSYEVADVDWEEGVCQNPDTSSQFLDKPVKDVSRGTLEEEADIQEAIRRSLEDFKEQNSSTNSSAVTELVRSVKDQISDGVILHKLVTNTCVHLDNDAEESPSCNDGQLDNRCKEDDLQNPDSSKNNLDSNNLSGLDFDGESSLISSREDEQIVMTSQRGNIPPGKPSDDHPSWLEQSLDASYEVQIKSCSRMSTEELCEIRPDTSTNITDDGSKVVGSSNHDSRHISRSSVSPERGYNNSLSGNSSLMEEIIDTGMKSQQNIAEKDNVISDHIGYSSQILNNQMEVSEASLDKEISLLRQERVNLGNEQRMLERDAESVNNEMFAECQELLQMFGLPYIIAPTEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFVKDIECELGLDRDKLIRMALLLGSDYTEGVSGIGIVNAIEVIHAFPEEDGLQKFRQWVESPDPAILGKLVTGSHSNRSLKENNNGADAIKRSSQGDASEESVSRGHDDEKPTGNEAIKDIFIDKHRNVSKNWHISPSFPSEMVISAYTSPQVDESTEPFMWGKPDLPLLRKLCWEKFGWTTQKADELLVPVLKEYNKHETQLRLEAFYTFNERFAKVRSQRIKKALKGITGTLSSDLTDELVKEGPSSRKKRCIGLSTGEEYKPENSTGGNSKRGKKVMRQSRGQKTEKEFIYSEDLAGDSHVLEKDDIDDTSVLSLNRTVKGRRGRGRGRGRGRGARGRGKGKGRGRSSPIKADSDSTDDGDDYNANVQLENHSKVPEVLPKLHRSMRPLKQVQYAEEDFEVDESNGTLNPSGSKLLGVATEQEPIENDNRSRVGDLSDVDKGAHTFSKDSSSGEYLFSGGGFCLDDNVLQGDAIQLAASPIQGSAEMDREDRDGCKQHALEDDTSRGYLFSGGGFCMDESDEQVDATRPTSSQIENSRPSRVTSDISDDPQKFSGHSVPDDMKKTSEPLQDSSLLVENITSFQDGQSNLGLSAMSSLRRKRRKT >Ma10_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3834829:3854473:-1 gene:Ma10_g00910 transcript:Ma10_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDDKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGATPALKRRTVAARRRHRDSARAKIRKTAEKLLLNHLKAKRLEELAAEIKKQKEGSKEKQISDEDTKGKKVLDSNDEIGAEGSSVLLRGDMESGVSSQQNLDELLAASLAAEEEMEINKNVLTSEGGLDEAEDDNDENEELIFPMDNIHIDPAVLASLPPSMQLDLLVQMRESIMAENRQKYQKMKKAPTKFSELQIQSYLKTIAFRREIDEVQKCAAGRGIAGVQTSRIASEANREFIFSSSFTGDKQVLASRGVANSGNGDHYSLAKQSTSDSAKCSLLINQPKLVKEVSGSKHVKDFGPDVETYCDENGRVRVSRVKGLGICMTRDLQRNLDLMEKYEQEKRRGDNSSDQVASHTKKVVDVSEGLSGNNHSPSVTSNEGKGETFSEKSSSLPLDRRGYMDELTIHGSENAMEISFSEDDSGMKATDVDNIFMQLVSGGQTANLPLECVHSDKSTNDSESDCSWEEGLVKEISEIPNGFADITASPKSDLKKNQSSLAESSYEVADVDWEEGVCQNPDTSSQFLDKPVKDVSRGTLEEEADIQEAIRRSLEDFKEQNSSTNSSAVTELVRSVKDQISDGVILHKLVTNTCVHLDNDAEESPSCNDGQLDNRCKEDDLQNPDSSKNNLDSNNLSGLDFDGESSLISSREDEQIVMTSQRGNIPPGKPSDDHPSWLEQSLDASYEVQIKSCSRMSTEELCEIRPDTSTNITDDGSKVVGSSNHDSRHISRSSVSPERGYNNSLSGNSSLMEEIIDTGMKSQQNIAEKDNVISDHIGYSSQILNNQMEVSEASLDKEISLLRQERVNLGNEQRMLERDAESVNNEMFAECQELLQMFGLPYIIAPTEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFVKDIECELGLDRDKLIRMALLLGSDYTEGVSGIGIVNAIEVIHAFPEEDGLQKFRQWVESPDPAILGKLVTGSHSNRSLKENNNGADAIKRSSQGDASEESVSRGHDDEKPTGNEAIKDIFIDKHRNVSKNWHISPSFPSEMVISAYTSPQVDESTEPFMWGKPDLPLLRKLCWEKFGWTTQKADELLVPVLKEYNKHETQLRLEAFYTFNERFAKVRSQRIKKALKGITGTLSSDLTDELVKEGPSSRKKRCIGLSTGEEYKPENSTGGNSKRGKKVMRQSRGQKTEKEFIYSEDLAGDSHVLEKDDIDDTSVLSLNRTVKGRRGRGRGRGRGRGARGRGKGKGRGRSSPIKADSDSTDDGDDYNANVQLENHSKVPEVLPKLHRSMRPLKQVQYAEEDFEVDESNGTLNPSGSKLLGVATEQEPIENDNRSRVGDLSDVDKGAHTFSKDSSSGEYLFSGGGFCLDDNVLQGDAIQLAASPIQGSAEMDREDRDGCKQHALEDDTSRGYLFSGGGFCMDESDEQVDATRPTSSQIENSRPSRVTSDISDDPQKFSGHSVPDDMKKTSEPLQDSSLLVENITSFQDGQSNLGLSAMSSLRRKRRKT >Ma10_p00910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3833179:3854473:-1 gene:Ma10_g00910 transcript:Ma10_t00910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDDKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGATPALKRRTVAARRRHRDSARAKIRKTAEKLLLNHLKAKRLEELAAEIKKQKEGSKEKQISDEDTKGKKVLDSNDEIGAEGSSVLLRGDMESGVSSQQNLDELLAASLAAEEEMEINKNVLTSEGGLDEAEDDNDENEELIFPMDNIHIDPAVLASLPPSMQLDLLVQMRESIMAENRQKYQKMKKAPTKFSELQIQSYLKTIAFRREIDEVQKCAAGRGIAGVQTSRIASEANREFIFSSSFTGDKQVLASRGVANSGNGDHYSLAKQSTSDSAKCSLLINQPKLVKEVSGSKHVKDFGPDVETYCDENGRVRVSRVKGLGICMTRDLQRNLDLMEKYEQEKRRGDNSSDQVASHTKKVVDVSEGLSGNNHSPSVTSNEGKGETFSEKSSSLPLDRRGYMDELTIHGSENAMEISFSEDDSGMKATDVDNIFMQLVSGGQTANLPLECVHSDKSTNDSESDCSWEEGLVKEISEIPNGFADITASPKSDLKKNQSSLAESSYEVADVDWEEGVCQNPDTSSQFLDKPVKDVSRGTLEEEADIQEAIRRSLEDFKEQNSSTNSSAVTELVRSVKDQISDGVILHKLVTNTCVHLDNDAEESPSCNDGQLDNRCKEDDLQNPDSSKNNLDSNNLSGLDFDGESSLISSREDEQIVMTSQRGNIPPGKPSDDHPSWLEQSLDASYEVQIKSCSRMSTEELCEIRPDTSTNITDDGSKVVGSSNHDSRHISRSSVSPERGYNNSLSGNSSLMEEIIDTGMKSQQNIAEKDNVISDHIGYSSQILNNQMEVSEASLDKEISLLRQERVNLGNEQRMLERDAESVNNEMFAECQELLQMFGLPYIIAPTEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFVKDIECELGLDRDKLIRMALLLGSDYTEGVSGIGIVNAIEVIHAFPEEDGLQKFRQWVESPDPAILGKLVTGSHSNRSLKENNNGADAIKRSSQGDASEESVSRGHDDEKPTGNEAIKDIFIDKHRNVSKNWHISPSFPSEMVISAYTSPQVDESTEPFMWGKPDLPLLRKLCWEKFGWTTQKADELLVPVLKEYNKHETQLRLEAFYTFNERFAKVRSQRIKKALKGITGTLSSDLTDELVKEGPSSRKKRCIGLSTGEEYKPENSTGGNSKRGKKVMRQSRGQKTEKEFIYSEDLAGDSHVLEKDDIDDTSVLSLNRTVKGRRGRGRGRGRGRGARGRGKGKGRGRSSPIKADSDSTDDGDDYNANVQLENHSKVPEVLPKLHRSMRPLKQVQYAEEDFEVDESNGTLNPSGSKLLGVATEQEPIENDNRSRVGDLSDVDKGAHTFSKDSSSGEYLFSGGGFCLDDNVLQGDAIQLAASPIQGSAEMDREDRDGCKQHALEDDTSRGYLFSGGGFCMDESDEQVDATRPTSSQIENSRPSRVTSDISDDPQKFSGHSVPDDMKKTSEPLQDSSLLVENITSFQDGQSNLGLSAMSSLRRKRRKT >Ma09_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8191760:8195765:1 gene:Ma09_g12130 transcript:Ma09_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPFPLPQEDFSLKETAPRLGGGGLGGGDKLTNTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKDRIQASVVEVVVKDKDFVKDDFMGMVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGEKVKGELMLAVWMGTQADEAFPDAWHSDAATVPGDGLANIRSKVYLSPKLWYVRVNIIEAQDLLLNAKPGFPEVFVKATIGNQTLRTRISPSRCPNPLWNEDLMFVVAEPFEEHLILSVEERIGPNKDEVLGKAVIHLQKVERRFDCRIINSRWYHLEKHVAADGEQKKEVKFASRIHLRLCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFSPKWNEQYTWEVYDPCTVITVGVFDNCHLQGGDKANGAKDNRIGKVRIRLSTLETDKVYTHSYPLLVLHTTGVKKMGEVHLAVRFSCSSLLNMLHTYSRPLLPKMHYLHPLTVTQLDYLRHQSTQIVSTRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIINVLSGLIATGRWFGQICLWKNPITTVLIHVLYVILVLYPELILPTMFLYLFLIGVWYYRWRPRKPPHMDTRLSHADTAHPDELDEEFDTFPTSRPPEIVRMRYDRLRSVAGRVQTVVGDLATQGERFQSLLSWRDPRATALFLIFCLAAAIVLYVTPFQVVALLTGFYVLRHPRFRHRLPSVPLNFFRRLPARTDSML >Ma11_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22478803:22482740:-1 gene:Ma11_g17090 transcript:Ma11_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MPVRRERERARREREMASNYYYGWKSFSEDEDRPEKPRRFGVTEIRGPDHCLAGRRHDLEDILESMGEFVDGLKFSGGSHSLMPKEFIREITELAHRHNIYVSTGDWSEHLLRKGPSSFKQYVEDCKNLGFDTIELNAGSLKLPEEALLRFVHLIKGGGLKAKPQFSVKFDRSEIPVTGDRAFGAYIAPVPPSSEIIEDVDLLIRRAERCLEAGADMIMIDADDICKYADSLRSDIIAKIIGRLGLEKTMFEASSGKTSEWFVKRYGPRVNLFVDHSEVMNLECLRGLNLGRNHTSVLGSSFFRI >Ma03_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4367176:4368796:1 gene:Ma03_g06290 transcript:Ma03_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHVLERSPMRSSQGKSSLSRHLQRVYPLGIQKSCSILSLSSLSLSQNSNASSLNSSMSSWDPKIPVSVHKLFSSWENSELLAGVIGKGTLGLDRLGSSEGREASADDELNKEVECAEPGSLKRCNWITQSSDEVYVSFHDECWGVPVYDDNQLFELLAMCGMLIDHSWTEILKRREMFREAFAAFDHILVAKMEEKEIMEISSTKELMLAECRVRCIVDNAKCMQRVAKEFGSFSAYIWGHVNRKPMVNRHKYPRIVPLRTPKSEAISKDLVRRGFRLVGPVIVYSFMQAAGIAMDHLVDCFRFGDCVRLAERSWGLSNLAM >Ma07_p28160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34301539:34301927:-1 gene:Ma07_g28160 transcript:Ma07_t28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLNTNLVLMLALLLSPLPLKGASDTEKKSDAEYVPVTPVKYRPVADVRAAYKQCSDCRCCSATDQSKCETTKCCYELICNEAVNPAANCSFKPTACNCNNCN >Ma11_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18968868:18970234:1 gene:Ma11_g13820 transcript:Ma11_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEIVAFSNQGKGQNFVLKRCGGVVMTLLSVGIESGGLCYSGYSY >Ma07_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:283341:297160:1 gene:Ma07_g00320 transcript:Ma07_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRDKWFLGSTAAAAAVVVVLFGLVPPLVALRPLRERDSAAGGGSWGDERLFLRSYESDLSPYSAWNITGTYKGRWNFVETTNGSSRLFDFGNSNGESVLELVSTSTKISGVHYVQGAVTFHDVFDSMHDHVFSKLRLEGVYIWPFRQLRMVASSGGDGKVLQEEDYFLSNPYHLLGVFSSQVLQESHQDKIWRRKSLNYDVEKHCNIEIAAQVSRTSGQHVHGDHERYHLEGLLESPKVDDDGECFSPILLNATSLNTEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSVIMIGQQAIMDAYFCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWETMRRELSVLYSRFYGILLGGILLMYELHNLLRPILFAMYSFWIPQIITNVIRDTRKPLHPHYIIGMTLTRAAIPLYVFGCPSNFLRIQVDRSWCIFLGLFMGLQALILLLQYFLGSRWFIPRQILPEKYCYYRKLENDTNQIADCVICMTGIDLLTQQRSNDYMVTPCDHFFHTGCLQRWMDIKMECPTCRRSLPPA >Ma02_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25533959:25535131:1 gene:Ma02_g19340 transcript:Ma02_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFLWTSFLKFKLGELALVIAMVYLRGCSWNSACVESTGCGRRKKEACKKHHVTNTDICRP >Ma09_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5303180:5315715:-1 gene:Ma09_g08070 transcript:Ma09_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGQMLLEEHVRLASVLAPSKTKFFPSLTKIVGTLGSSSRSVETIEACLTAGMSVARFDFSWLTAEYHQETLDNLKKAVSNVKKPCAVMLDTVGPELQVHNTPGEPIELKAGNHVIITPDLSRVPSAEILPINFTDLAKAVKKGDTIFIGQYLFTGSETTSVWLEVLDTAGKDINCLVKNSARLSGSIFTMHVSQVAISLPTLTSSDKQVISTWGLQNNVDLISLSYTRHAEDVRELREFLKSHNLLTTQIYAKIENFEGLDHFDEILQEADGIILSRGNLGIDLPPEKVFVFQKTAIQKCNMAGKPAIITRVVDSMVDNLRPTRAEATDVANAVLDGTDGISLGAETLRGLYPVETIRTVGQICAEAESVYNHSHQFKRIVQYVGEPMSHEESVASSAVRAAIKVKAAVIVVFTSSGRASRLIAKYRPPMPVLAVVFPQEGMGSVELSSFGITQARQCLAVRGVYPILAPSSKGDASMSKEESGLKLALGYGRSVGILKPYDRAVIFEKIGDSSVVKIIEFEDS >Ma10_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30668677:30670383:1 gene:Ma10_g20560 transcript:Ma10_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFDAFPRPQSADGPATILAIGTANPANVMDQMEYADYYFRITNAEDKTELKRKFKRICEKSTIKKRHMSLTEEILKKNPSLCEYMAPSFDARQLIVLEEVPRLAKEAAAKAIKEWGRPTSDITHLVFCSAAGLDIPGVDYRLLQLLGLPVSVRRVMLYNVGCHAGGTALRVAKDLAENNKDARVLVVCSELNVMFFRGPDDDHFENLIGQALFGDGAAALIVGADPVEGAEKPIFQLASASQVMLPESEEMVAGHLREIGLTFHLASKLPAIVGSNIERCLEGAFEPLGITNWNELFWIVHPGGRAIIDQVEARAGLAPEKLAATRHVLSEYGNMQSASVLFIMDEMRKRSAMEGHATTGQGCQWGVLFGFGPGLTVETVVLHSVPI >Ma05_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36869152:36873866:1 gene:Ma05_g24640 transcript:Ma05_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGGEGSNGVGGGGGEAAPSISALAATAIGSVEPRLGPQERSRWEGCFGGMFSWFGSQKRKKRIVPASRTPDGNASTTRANGLQAAGISNENTTVNLSVLAPPSSPASFINSALPSTAQSPNCFLSISANSPGGPSSAMFAPGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLSSSLDIRSSGKENGLPYSPSSYGVGSDLQVTYPLYPGSPSSSLISPASGTPRTGLSSPFPERDIPMQWDASASARDSPCFRNGSSKLFGLDSATTRNFILCPDSSFFYPATSAQFHLDQAQQSFPHAGGRLSISREADGYSIGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNHVELPDPLDESFTMSPFANNKTGKEQCPITELDDKGNDNFIGVDILVHLYQTIMLGFPNSCCCQDHLDIRCVQNHTSSASFSFEFLF >Ma05_p24640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36869140:36874389:1 gene:Ma05_g24640 transcript:Ma05_t24640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGGEGSNGVGGGGGEAAPSISALAATAIGSVEPRLGPQERSRWEGCFGGMFSWFGSQKRKKRIVPASRTPDGNASTTRANGLQAAGISNENTTVNLSVLAPPSSPASFINSALPSTAQSPNCFLSISANSPGGPSSAMFAPGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLSSSLDIRSSGKENGLPYSPSSYGVGSDLQVTYPLYPGSPSSSLISPASGTPRTGLSSPFPERDIPMQWDASASARDSPCFRNGSSKLFGLDSATTRNFILCPDSSFFYPATSAQFHLDQAQQSFPHAGGRLSISREADGYSIGGNRHNKTCKQDVEEIEAYRASFGFSADEIITTQNHVELPDPLDESFTMSPFANNKTGKEQCPITELDDKGKKLPNLLDTMGPKQPTSDCKSNQNNIHAAPKHNCWSADYPPLLNVGAEKVDSRTSDDQVRKRAHPGQSFSDAEIDYRRARSLREANTLLAWRN >Ma08_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35228684:35229964:1 gene:Ma08_g21290 transcript:Ma08_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRALLLVVAGLVLLVAKERTMAADFNFYRDMSCYWGPQNLAVWNNGQNFALSLNNISGCGIQSSNQFLFGSIEMQIKLVPGNSAGTVTTYYMSSTGDKHDEIDFEFLGNVSGQPYIIHTNIYTQGVGNREVQFYPWFDPTAAFHNYTIHWNPSQVVWFIDGIPIRVFRNYQQYGIAFPNQQAMRAYSSIWNGDGWATRGGLVKIDWKNAPFTARYQQVNLRACPYWQGSTAQCSASTPANWWTSPAYSKLSYAQQGQLMWVRSKYMIYDYCQDEKRFNGKTAPECSLPLY >Ma09_p16130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11442615:11450644:-1 gene:Ma09_g16130 transcript:Ma09_t16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPGIPKVEAVAVGGGEAPSEGRRESDPPRLEQKKARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTLINFPVTDYARDLEEMQMVSKEDYLVSLRRKSSAFSRGFPKYRGLSRQPQNNRWETPFRQMPGDEYFNNCSTSSDAATDGRFAGIAGLERKVDLTSYIKWWMPKKARQPESASPVEDVGRELRMLECSVQPTEPYKLPSLGLPGRGDAPQRLSACSILSRSDSFKSFLHKSLNSGDIKDDGSHKTMDHEKSVPLLYSGCGLGISGVSVALNELPVPRTAYQMALLSAPLRSSYPIDPASEPLLWTSPPPSQQPLGEPQLRKNEMTSSAYAYQCQD >Ma09_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11442615:11450630:-1 gene:Ma09_g16130 transcript:Ma09_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPGIPKVEAVAVGGGEAPSEGRRESDPPRLEQKKARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTLINFPVTDYARDLEEMQMVSKEDYLVSLRRKSSAFSRGFPKYRGLSRQPQNNRWETPFRQMPGDEYFNNCSTSSDAATDGRFAGIAGLERKVDLTSYIKWWMPKKARQPESASPVEDVGRELRMLECSVQPTEPYKLPSLGLPGRGDAPQRLSACSILSRSDSFKSFLHKSLNSGDIKDDGSHKTMDHEKSVPLLYSGCGLGISGVSVALNELPVPRTAYQMALLSAPLRSSYPIDPASEPLLWTSPPPSQQPLGEPLRKNEMTSSAYAYQCQD >Ma04_p27550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28724780:28731548:1 gene:Ma04_g27550 transcript:Ma04_t27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHREARRGSMAAATANGGGGGGGGLSRRRQRNSSGFRDSPEEDGRMEMPETSRLRDRGAKKDRDRDRSSRSKRRRGERTLHGSNRDRDEADESSEESIDQDEDDEDEDLSVPVRLPPSSPPLVNQAAASSPQHNHQINHHHQQQLPRKSFPPKVVKWKPDEMIGFTVPRKARSASKRSHETSGLGGAGGGGGGGDQITRQASISPSRLSPASTTQLSPSSSNGSLRKKMKQVSGAKIRPPKISKSTSLSHDEIEMEVAEVLYGMTRQFECLPKHDGHKVDSRDVDGGSGNEAKSRLPSTNSLSPSPVAYPSALPSSNSCSNPAPFAAIAPKRKKPRPVKFDEESPTSPVGVQHLSSLSVPFVAKIDSENQTKTEASSPRSEKNSASAAIKIGGESVDVLVSQALLSDVQQQQESAKTKKGKTQELHTSTGGSNNGDKVESKEELVPRAKGSACGGLDVNICDTEARKMAPDSPKEEMFKIDLMAPPPGKLSPETGDFNDFDPDHKPQGPDKETALKLNNKDKTEEKPAESTVTRDEQQTEKSVQREIDSRKQVVIKQNLDLQLDLEKPKKDDSGIDKVQVQKQQAKDPKVEPKQEKSGSTPSLQMPLTVGTWPGGFPPYGYMGQVPSLHAVVPMDGSAGPSSSLQPPAFLQTHPRPKRCATHCYIAQMISNHQKFARMNCFWTAAAGAAPLYGTKPYNPNIAPPSDASTPGNPTQGSFLGANMGTLQEAKGSPALASYMGSTSQEKMLSSSNTIMESAQRKPLIFQQVPHSAAANNMLHGPAFIFPINQQQATAATANRAGGAKSTPGSAAEVRASGAMSSAVGSCGGGGTANPVNLSFASLPPNEAQYVAFVQNNMYPFPAHISGATAFRGTSNAQAMPFFYPPHMLHPSQLRPQQQQPPAGPLPHVQPSHHNPGNLSGSSQKHPQQLHGIKGSVSVANANGCPATNHRQDHLPQHSRPMECKGMEDDLPTTDAAIAMGNGGGHGDKQPVYQQKQNMKVELAPPQAFAIPFASFGGAGTATPGLDFSSMVQNHAILQSLPENSRHGYHQMTTAAVAATTQVAEKKKVHQVSEDVKSVARELNTNMVGEEDRKIMVASKGLQHSFSFEKADNEPPISSVLSNSGVDISARSVNLIHTSANGSRSTDRASGTATATTAATIAATSQQMQQHVLHLQKQQLQYQLASTRSKPSASSNNTNMHPESLPGGCTGTKFPRALTGFPQAFVQGGSPIQRPQGKTSSGRLVDPAAAPPLVKNNVLQLQGRASQQPFPAQNHQTQISFGVNSNKMVAPGGQHLSGVCRSPSPSSSSVAVGSPSNSVNKNASGSPSASASVKPSPQTSAILLPQQSAVKQSASSSSSKSTTASNSNMPSILGHPQKVPAPSSNTKQQQQPQQSKQQPFSEAQIFFSNPHMQQVHCAQSSAAPPTAAQYYQKRQSEQQMRQSQQQQQQSSAPSSAGMLSLCAPSALTLAGVPTTSDPAKALAAASVAAANSIKGLPPPGYYNAAQLAVAAQSASGSPRPPISATFPYMSLPPFTMKSSSEQKPAAGSDNLHACWQPEKR >Ma06_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8814547:8823995:1 gene:Ma06_g12760 transcript:Ma06_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MPARSGGRKFSFDLLAGDSSGDERSILPRLDSDPILSNGGDGVRSPRRRRKHKASKKNKNKMAVDGPASEDLSVLTELGDVKPSVVENGRCQDGIGIRVLENRSVVETICENTVVEAACENSQVSRVSFAELRQRNVNGSAAEEPEEDATSTRERLTGQWKPEANGAVSKLAKESSLDWNRVMENDPNFIGEVSFVGRSPFKYFMGEIYGGSSLRGTISAGNEKKRQRVYNTMFHVPWRCERLIIAGFFVCLDSFLSLLTIMPARIAMAVWRVLNTRKFWRLSAAELSDFGCFLVLALGVASLQLADISLIYHFIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFDSAEGLSTCPPDNMKFELIRFILDEAIAVIAFVVHSFILLAQAITLSTCIIAHNNAVLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITAFVLFVLAQNILEAEGPWFESFITNALLVYMCEVLVDAIKHSFLAKFNEIKPIAYSEFLEDLCEQTLNEKPDEGRKDLTFIPLAPACVVIRVLTPVYAHLLPSGPLPWRLVWILFLSSLTYIMLAIWKILVGLSLRRLATWYIKLRRDRKQHMD >Ma06_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15155575:15158794:1 gene:Ma06_g21050 transcript:Ma06_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSASRLERIPSSKALAPPHDTDLRPARKPQPRRRIRNPAFPGAAVGARPRKGGAGSGGRRSGPATPLLRWKFNEKPAPEPGRKVDDAGASELPPPPLPRVSARKLAAGIWHLRPLDSGGGLRDGEGRPAPPGPEPVPGHQGIQLLYNPLSTDIHTKQNIKKEFASPVSVLSPKYGSIHKFAGFQSSAMEKATKWDPGSLMTSEEVYRFYSHLKLLEDQELNTVSIVSSLRTELESAHARINELENERRSAKKKLDQFLKRLAEEKASWRSREHEKVRAIIEGMKADLDRERKKRQKIEIVHNKLVNELAEAKLTAKRLLQDYEKECKSRELVEDVCDELAKEIGEDKTEIESLRLEALKIREEVEEEKRMLQMAEVWREERVQMKLIDAKLMLEEKYSQLRDLIMELEVFLAAETTEDLDVALMREAELLREKANSVNIDEIKELSYQPPPASEDIYAVFEELQPAQETNERYIQPCGGHSPRSHASKIDTASPETDVFLEHPTKQHTRELIDSNDDVDDDSDWETMSHPEEQGSSNTLDGSEPSVNGYCKESNASASEADWKEDGMRNNRLNNEIIEVCSTNTKSRKKVSSICRLWRSAAHDNVEDLKKTSAEVKPGRLSDGRISNGTISSNNGEEYKKLSVEHMNGRPSNGRISNVTLSPDIGLDEVGLSLGQWSSPDSLNHHINRGMKGCIEWPRGNQKHSLKSKLMEARLESQRVQLRHVLKQKI >Ma06_p21050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15155575:15158763:1 gene:Ma06_g21050 transcript:Ma06_t21050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSASRLERIPSSKALAPPHDTDLRPARKPQPRRRIRNPAFPGAAVGARPRKGGAGSGGRRSGPATPLLRWKFNEKPAPEPGRKVDDAGASELPPPPLPRVSARKLAAGIWHLRPLDSGGGLRDGEGRPAPPGPEPVPGHQGIQLLYNPLSTDIHTKQNIKKEFASPVSVLSPKYGSIHKSSAMEKATKWDPGSLMTSEEVYRFYSHLKLLEDQELNTVSIVSSLRTELESAHARINELENERRSAKKKLDQFLKRLAEEKASWRSREHEKVRAIIEGMKADLDRERKKRQKIEIVHNKLVNELAEAKLTAKRLLQDYEKECKSRELVEDVCDELAKEIGEDKTEIESLRLEALKIREEVEEEKRMLQMAEVWREERVQMKLIDAKLMLEEKYSQLRDLIMELEVFLAAETTEDLDVALMREAELLREKANSVNIDEIKELSYQPPPASEDIYAVFEELQPAQETNERYIQPCGGHSPRSHASKIDTASPETDVFLEHPTKQHTRELIDSNDDVDDDSDWETMSHPEEQGSSNTLDGSEPSVNGYCKESNASASEADWKEDGMRNNRLNNEIIEVCSTNTKSRKKVSSICRLWRSAAHDNVEDLKKTSAEVKPGRLSDGRISNGTISSNNGEEYKKLSVEHMNGRPSNGRISNVTLSPDIGLDEVGLSLGQWSSPDSLNHHINRGMKGCIEWPRGNQKHSLKSKLMEARLESQRVQLRHVLKQKI >Ma09_p25250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36770092:36771519:-1 gene:Ma09_g25250 transcript:Ma09_t25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLFARRGRLLSPQSPTAMMMRAMIRWHGTLLRLSHAELFCYSNPRCPGCNVAALVPCQSSPSMMKRLSEI >Ma01_p15620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11299095:11301560:-1 gene:Ma01_g15620 transcript:Ma01_t15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISLLNEQEHFSHAFTCVLELRSRSFRNLQFLWFWLKTMGGARWCSFFFSSLVSFCFEPRLLSKNK >Ma07_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30274713:30277584:1 gene:Ma07_g22260 transcript:Ma07_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASDALDVGGAQPKKRTFRKFSYRGVDLDQLLDMGLDELVKLFDARARRRFQRGLKRKPMALIKKLRKAKRDAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Ma03_p27620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30939645:30941680:1 gene:Ma03_g27620 transcript:Ma03_t27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 4 [Source:Projected from Arabidopsis thaliana (AT5G49340) UniProtKB/Swiss-Prot;Acc:Q9FJ06] MASSIFKYVFMDFFKPMLQLLLFVFPAFYTAVFSLLVFFAFVVFLGRSPQPATFVFDPQVIAIADPRSVPADHANASGLPFPAPPHANTSLGVRRKASPENASDLAPAAANHSAEAKKGRGGSPEKGQKALESSKDLAATCDLFDGRWVFDESTPRYPPGSCPFIDSSFDCFGNGRPDQNYTKLRWKPKGCSIPRLEGKKMLRALRGKRLVFVGDSLNRNMWESLLCILRHPLKRKHRVFEVSGRKEFRTDNSYAFRFEDYNCSIEFFRSPFLVRESSWVDSQGKSRETLRLDLIEASSDAYRTADILVFNSGHWWTHEKTSKGRNFYQEGDHVYPQLSAEDAYRKAMRTWGTWVDTRVNSNRTRVFFRGYSWSHFSGGQWNSGGNCDGETEPITEDKHLAKYLKLTGILESVIGEMTTPVLYLNITRMTDYRKDAHPSVYRVPAGKRKPGEFQDCSHWCLPGVPDAWNELLYAMLLRELS >Ma10_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30455682:30456252:1 gene:Ma10_g20200 transcript:Ma10_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLLHAVTALVSAWSRNMSRAARKLSRRRSSFIPSFRGKKKERDGGFGDEDTEGELEEEMQGEDGVWRRTILMGEKCQPLDFSGVIYYDADGRQLAEVPTPRSPLRSPLPSFAQKSPVTAGYVC >Ma08_p32100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42787513:42811823:-1 gene:Ma08_g32100 transcript:Ma08_t32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MAERQLIVAVEGTAALGPYWQTILSDYLDKIIRYYYGNEMTGQKLNGANPELALVVFNTHGPYSAFVVDRSGWTKDLDVFLQWLSAMRFTGGGFTEAAIAEGLSEALMMFSAASNVTDNHQNHETQKHCILVAASNPHPLPTPVYRPPVPASEHKETGEVQTENGLADAQTVAKSFGQCFVSLSVISPKQLPNLREIYNAGKRNPRALDPSVDHVRNPQYLVLLSENFKEACAALSRPSMPNLTHNQGISNQGIVKLDGASAAPISGPPATSNPSVNGSMMNRQPITVGSIPTATVKVEPTTVSPMVSGPAFSHLPSVANVASQGISSLQNSSPSSSQEMNASIDTTQEIKPLVNPISQSSRPAVPAPANVSILNNLSQHRQVMTSASIAGGSSIGLPTMGGTPMAVHMSNMISSGMSSSALSGISSVPVSGALMTTSQVAQNTTLGSLASATSNLSGNSNIGISSTLNNLQGNIPVGQSVSNVGQGTLGSGAQIGQGGMTINQNMMNNLVPSGVSSGPGTMIPTPGMTQQSGVHSLGVANNSAINMSLTQHAPGIQQSQSKYLKIWEGSLSGQRQGQPVFICKLEGYRSQSASDSLAADWPMTMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGDMVVFKPQVSSQQQQLQQHQQQQLQQQQQQLQQQQQQQLQQQQQQQLQQQQLQPQSQSHSLLQQQAQQHQHMQPQQLQQQSQPQQQQQQQQQQQQQQMVGTGMGQTFIQGHGRSQIMTQGKLSQAGPTNMPGGAFLP >Ma04_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16468345:16470435:-1 gene:Ma04_g16710 transcript:Ma04_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPTPAARPSSRYLDRVLLSLPDPRRLPNRIWPDDDNDRHRHDASSSSSSAVATSVPCAASPFCEDAPPPPPVKLSHPLLRALESSGGPATPPFSQTLAQLIVSGLALHRLAAGRAIKALCACPSSVPLAVSLFSGVDDPDAFLSNIILRSYISFGRPDQALAFFRRHALPSRVFPNHFTFPLLAKLFSELGRAGDGRSVHSLAARLGFESDLYVRNSLIHMYSTLGDVDSARKLFDLDLDSDFVTWNSMIDGYVKNGMVDSARRLFDDMSERDIITWNVMIAGHAGVGDMDAAKDLFIKMPERDVVSWNTLIDGYARKDETGVARELFDVMPIKNMVSWNVVLALYARIKNYRECLKLFDSMTATGDAKPNEATFVSVLTACACLGDLARGKWVHSLMKNSSGAIKPDVLLSTALLNMYSKCGDMHLAKEIFDSMEEHSVATWNSMIIGYGLHGNGEKALELFMKMEKEGPRPNEATFVCVLSACAHGGMVLEGWWCFDRMIHFHKIEPKVDHFGCLMDLLSRAGFLKVSEKLVQGMPVEPVPALWGALVSACKTHCDLHLGEVIGKKLIDMEPQDIGPYILLSNIYAAKGRWDDVEKVRKMMEKKGLRKGTGISLVSIDDHVVDHNISVGKKSVVFSMLSEMGMSIKSSCTGTYKMQRNTV >Ma03_p28360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31483143:31484462:-1 gene:Ma03_g28360 transcript:Ma03_t28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 31 [Source:Projected from Arabidopsis thaliana (AT3G48970) UniProtKB/Swiss-Prot;Acc:Q84K70] MAGLEVRVRNLDCEGCSSKIRRALLKLKGVKEVDIDVESQKITVRGYAVHEKKVIKAIRSIGKTAEPWPYPRGHSHLSSFYTYPAGIASSYYSETPGCDAAEVQAFFHTPAVYSVAVASDETVASLFSDENPNACTVM >Ma02_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4709236:4720115:1 gene:Ma02_g00360 transcript:Ma02_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAGEEFSVVVLASDLGVDARSFLSPAERQAAEDDDENVVWHDCHAHLIADEDFSDLEVLQAFRLEGADKAGNRILRIVGKYFPALIINGERLKRYVFRKFFTELQEGPFCILYMHSTVQSEDNNPGMSIMRWIYEELPSGYKERLQIVYFLHPGLRSRLVLATFGRFFLSGRLYWKIKYVSRLQYLWDDIKKAEVEIPEFVHKHDDLLEHRPLTDYGIEPDPFHLTEVPAMEYSLGRYENKWSSRTYM >Ma04_p15720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12072234:12092563:1 gene:Ma04_g15720 transcript:Ma04_t15720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAGNGSDARRTPEEEFPPCCRKARASAPESEAKCHETVVSGWFSNSSLSDKDGKFMYFNNPMWPGEAHSLKVEKILYQGKSEFQEILVFQSSMYGKVLVLDGIVQLTERDECAYQEMIAHLPLCSIPSPKTVLVIGGGDGGVLREIARHSSVEHIDICEIDKMVIDVCKQFFPDLSVGFDDPRVRLHVADGPARELVEKPFFEMIARALKPGGVLCNQAESMWLHTHLIQDMLSICREIFKSVHYAWASVPTYPSGVIGFLLCSTNGPPVHFLNPINPIDKQEALKCKRELRFYNSEMHKAAFVLPSFAKKELSSFLSSPLTV >Ma04_p15720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12072222:12091207:1 gene:Ma04_g15720 transcript:Ma04_t15720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAGNGSDARRTPEEEFPPCCRKARASAPESEAKCHETVVSGWFSNSSLSDKDGKFMYFNNPMWPGEAHSLKVEKILYQGKSEFQEILVFQSSMYGKVLVLDGIVQLTERDECAYQEMIAHLPLCSIPSPKTVLVIGGGDGGVLREIARHSSVEHIDICEIDKMVIDVCKQFFPDLSVGFDDPRVRLHVADGIKFLRDAPEAMYDVIIVDSSDPIGPARELVEKPFFEMIARALKPGGVLCNQAESMWLHTHLIQDMLSICREIFKSVHYAWASVPTYPRFYY >Ma04_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12072222:12092563:1 gene:Ma04_g15720 transcript:Ma04_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAGNGSDARRTPEEEFPPCCRKARASAPESEAKCHETVVSGWFSNSSLSDKDGKFMYFNNPMWPGEAHSLKVEKILYQGKSEFQEILVFQSSMYGKVLVLDGIVQLTERDECAYQEMIAHLPLCSIPSPKTVLVIGGGDGGVLREIARHSSVEHIDICEIDKMVIDVCKQFFPDLSVGFDDPRVRLHVADGIKFLRDAPEAMYDVIIVDSSDPIGPARELVEKPFFEMIARALKPGGVLCNQAESMWLHTHLIQDMLSICREIFKSVHYAWASVPTYPSGVIGFLLCSTNGPPVHFLNPINPIDKQEALKCKRELRFYNSEMHKAAFVLPSFAKKELSSFLSSPLTV >Ma02_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22225575:22231837:1 gene:Ma02_g14080 transcript:Ma02_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMACLSSLLSPRRPVLPSSSPPLCSARGRRAFAPPLRSRCNRGLERLSLRFRATADQQGQVQDDEIVDGKILQYCSIDKKDKKTLGELEQEFLQALQSFYYDKKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQKLLEASMAYVSGNPIMTDAEFDELKLRLKKEGSDIVQEGPRCSLRSRKVYSDLSVDYFKMFLLNVPAAVIALTLFFFLDDLTGFEITYLLELPEPYGFIFTWFAALPLIFVLSQAITNAIVKDFLILKGACPNCGTENVSFFGTLLSVPSGGSTNTVKCSNCGTALVYDSNSRLITLPEPSEA >Ma05_p29760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40339851:40344242:1 gene:Ma05_g29760 transcript:Ma05_t29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLLSCSPKPPSRIQNRSSSPNTRFPLSCESRNFSDWCFIRRGLAVSVVSLALALTLGSSPPGALSEAPPPPSRNPVLAGIANTKSWFQFYGDGFSIRVPPLFEDIMEPEEFSAGFSFYGDKAKPKTFAARFASPDRSEVVTVVIRPTNQLKITFLEAKDITELGTLKEAAKIFVPGGANLYSARTIKIKEDESLRTYYFYEFGIDTQRVALMTSVYSGKAYIAGAAAPENKWDDDGVNLRSAAISLSIV >Ma07_p21680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29743391:29745334:1 gene:Ma07_g21680 transcript:Ma07_t21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQAYRVETAPRLAQWRIDNISSSCTYRKSDPFKVGLWNWYLTVEKNKQLYVKLYPEMSSLTREQPPVASFNIKLVFSSSPNRRTIVHPAVCDKQLKNNDDFVWAIDNSFTGRFIIDIEFLDLKTVPPSGGEPSSIWSSHHIEKHSENTALASFAQMLAEGIHTDITINAAADGSIGAHRAVLAARSPVFRSMFSHDLREKELSTVDISDMSFEACQAFLNYIYGNFQPEEFLRHRVALLGAADKYDIADLKEACHESLLEDIDAVNVLERLHTAYLYGLPRLKGGCMRYLVNFGKIYELWDDFNAFLQTADRELIAEIFHEILVAWRGF >Ma07_p21680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29743391:29745334:1 gene:Ma07_g21680 transcript:Ma07_t21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQAYRVETAPRLAQWRIDNISSSCTYRKSDPFKVGLWNWYLTVEKNKQLYVKLYPEMSSLTREQPPVASFNIKLVFSSSPNRRTIVHPAVCDKQLKNNDDFVWAIDNSFTGRFIIDIEFLDLKTVPPSVSKQSSCSPLYMVLITGNYCFVEQQGGEPSSIWSSHHIEKHSENTALASFAQMLAEGIHTDITINAAADGSIGAHRAVLAARSPVFRSMFSHDLREKELSTVDISDMSFEACQAFLNYIYGNFQPEEFLRHRVALLGAADKYDIADLKEACHESLLEDIDAVNVLERLHTAYLYGLPRLKGGCMRYLVNFGKIYELWDDFNAFLQTADRELIAEIFHEILVAWRGF >Ma09_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35918496:35931651:1 gene:Ma09_g24240 transcript:Ma09_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRRRGGNRVKNLEQLKPGDLVLAKVKGYPAWPAKISRPEEFDRSPDPRKYFVQFFGTSEIAFVLPADIQVFTDESKGKLITRCQGKTVKYFTSAVEEICEAFEELNKKHSGESGQDIVRNSSALASPSVSGFEDSKHLREHHEPSHYNGRGENDCSNNELHGMELGSRSQEEDVSSDLTLGGPGSLLKRNKTSSEGVQVPKKEKLAVSESASHTCSGTEEKLMCANSDVSKRNEPETLPKTDTIEPLPKIYSHDGLEDSSDSKDENESQEKNAGNVLKVLESDHQTTKVTGEDSRRVTSRDTDLRNSKISKSLKISEEHSFEKEKKHSDPRKEATDVSEEHGYKGSMSSGESTVKIFQVRNKKRTLDGSKDSCPVKRSKMVEENSDKWKNSRHNDLSNIDSRSKGGKVVKTEKSGISMKTENQLTSEMKMHHGGMPITCNEVVLSTTKGSEGMDAVATTATKATASTIQTGSWFVKDGTIDRSLSTHIRYRRRSRRLNDVKVEEGQKTPFHKDSTSNLVLAHSGISVSEKKFHSVMEGNKDSPSGYAVAENPDLIRDEKPSDDVTLLVKMAEKYKERRVEKSESLQASQSPKKQEYQKSSFGDSRPPIVSPKTPVAVDDAMKSIDQTFIKPDIKPLGSYSGKKSQNHPSKLSNHQTERLSSSRSQATPEKKKASSKSVSVKATSKCNMHTTALTGNKLNNKHPGGQNSQKDVLGHKRSEASKEEKVASYSKSVFTDTTKSMKHLIAAAQAKRRDAQSRCLPPVNAIPVISSPNALFGRSPSPATPIPFSSTNSAQRDIKETYASMPFDSPSAAPWELPSTNKVEIKECEHRTSPDQRPLGVSLSGGTEAAVARDAFEGMIETLSRTKDSIGRATRLAIECAKYGIVGEIVELLIQKLETEPSFHRRVDLFFLVDSITQCSHTQKGIAGSSYIPTIQEALPRLLAAAAPPGSGARENRRQCLKVLRLWLERKIMPESLLRRYIDDIEVPNVDVNAGFFPRRPSRAERSVDDPIREMDGMHVDEYGSNTTFQLPGLLSTNVFEDEEDHPTTLCRDSGNEMPVGVGSTLEELDTCSLTPSDRHHHVLKDVDGELEMEDDPLSKDEKGITRNDYQKVELKYQESSITLEATSINPDELPPLPTAPPPPLDSPPLPPPPPPLSPSPPSPPPPPPPSSPSPPPPPPPPPLPISGQTSLPSVPILPTVSPSPPSLFYAPVQEELRTQNGNKLVDMAGNAAIQGQETALNSEVVLQQHPSSVANRMSNTQCLSNFTSSRQFEYGHNELYLAPQNSHHIHQFQQGNSSFHQRPYNSHPPSQTPSTYPLPTAQMPTGHFPHVTPMSQQPVLQSHNPYTLTSVPNGQRQLMSDEQRKVHSSDFSPDNQHAAWVSGARPSCSGAPIVQDGFMRSTRERPLSNPMGFQLPVHNPRPSGGSVSGHGFRQVLPGRSDVPGLNCWRPG >Ma06_p38650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37333665:37334697:1 gene:Ma06_g38650 transcript:Ma06_t38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKISSVEWTDICIYTLSFVEAKLSHGSQKQKISKTSTVAYQIVGFAAHLLLELLEELRTKSFDELTKIFVNGCWVRIHRDPDFLVNISRQFKKHVLFF >Ma03_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1061847:1062584:1 gene:Ma03_g01510 transcript:Ma03_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATAPVESVQCFGRKKTAVAVAHCKRGRGLIKVNGVPIELVKPEILRLKAFEPILLLGRQRFMGVDIRIRVRGGGKTSQIYAIRQSIAKALVAYHQKFVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Ma06_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2789547:2804007:-1 gene:Ma06_g03860 transcript:Ma06_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRLWLGIPKRSLPSLFLSRKAFGRPWSSPIMGSPLGLVQGRKEAFSPFMRAISHLSTRYETNGGIVEGTHDFMRLRTFLLSRFFHATGPCYAIERDYYEILGIPQDASLDDIKKAFHALAKKYHPDANKNNPTSKRKFQEIRDAYETLRDPKKRAKYDKKSVQGSEKVGYAADDTEGFHEAYHDPFSEFHKTNHGPFSNSFFKIFTEVFEHERETYAADTQVELNISFSEAAKGCIKQVSFTSQVLCSSCHGRGHPVNAKPSRCPTCNGVGTVTVFPFTSTCSSCRGLGKIIKDHCSACRGLGVVDGVKNVNVTIPAGVDSGDTISVPNAGNQGGNGVHPGNLYIKLQVDKDPVFLRDGSDVYVDTHISFTQAILGGNVEVPTLSGKTKVKIPKGVQPGQLLILRGRGLPKQIGLVDHGDQYVRFRVHFPSSVNDRQRELLEEFAKEEANQESYGFADGNWLYQQLSTG >Ma07_p25520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32558741:32566375:-1 gene:Ma07_g25520 transcript:Ma07_t25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIWIGKEDIKAITKASCSISLKLLSIMIAIHLDDKAYICIESTSWLNNIENLYIKIIMQTVLSKTSSLAFESTTFLPYSSSFISILFTVSWSRSNVERVETNPEMAELEANKRNNMLPSLWAIAVILVLGFNEFIILLR >Ma04_p36810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35033806:35036033:1 gene:Ma04_g36810 transcript:Ma04_t36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLEKFKPNAGCRFPTYSYWWIRQSIRKAIFQNSRIIRLPDNIYAFLKEIKYARRLCIQEGRLPTNEEIAKRVGITVKKLEKLLLYFRDPVSIQEHAWQNVTFQEITADPGVEIPDLIVAKQLMRQHVRDILKTLKPKERKIIQYRFGMHENKKKTLSEIGDIYGLSNERIRQLESRALNKLKEWCLTTQGLKAYRDLLV >Ma08_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1188223:1192879:-1 gene:Ma08_g01270 transcript:Ma08_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSPTDGNELEASGLGLSRYGSDPGSLLAGVASEGGGISRFAPAGEDSSSSCRGSDRGPRGPYEPDEVHAAVDLAAKVGGGPALVRHSSSPAGFFSRLLMDHGLSSPSGIGSYSRTGNGGAHTVASRRLRSQWSFSRKDTLSHISEMSIPEIGEDVDCDSSSDEATAHVEQSFISGNFQLSSWDDSNSIMFSAPHKRTKGNNGDILTSLSNTDSQFNLSKNSLEVSSIEKYLQLQQDSVPCRVRAKRGCATHPRSIAERERRTRISKRLQKLHNLVPNMDKQTSTSDMLELAIQYIKELQSQVQKLKQEQEDCICTSRQEKA >Ma05_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10193249:10196460:1 gene:Ma05_g14010 transcript:Ma05_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAMBA [Source:Projected from Arabidopsis thaliana (AT1G32310) UniProtKB/Swiss-Prot;Acc:Q9C613] MSSPARSSASAASGGQGGGGGNAGFGDDASPYHFPLESAYDRKDEALAALRSELMAALQKEVKSLDDDAWKFAGPRSQIHRISRPGGYLQKQAGSPGEANQLTKPTRSVLR >Ma02_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25091822:25092493:1 gene:Ma02_g18640 transcript:Ma02_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIARFLLHKSISAFSSLPAILTKPPTPVPLGLPFSSFRLMRCPLPPSLGRHGFATGGGPLDHSKEVDEINLKFAEAREEIEAAMESKETIYFDEEAECAREAVKTVLDMFEGLLARLPEKDRMALQRSMGLKIEQLKAELKQLDD >Ma03_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5289912:5290301:1 gene:Ma03_g07520 transcript:Ma03_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNSEVVKVAECGCCGMWEECTVEYIGRVKERFGGVWVCGLCAEAIKDEQARLGVGVEAALLVHAKFRQTATVDPSVRIARSLLQFLKKMISSPAASPGKL >Ma01_p21710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21655840:21665429:-1 gene:Ma01_g21710 transcript:Ma01_t21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEPSTGGGGGGGGGETGGEAGRTSRFLFRCFSSLILSLAASFLFSFLLGLPGLAIEELSASAPLSVPSTCRILSSSIDIRTSKICELGMFNYKAKRVFYPSEKTKFRCRYDYYWASVFEVEYKEYFSSHTFHAVAEVPKEALPEECRPSFGAAWLTRMKFKVNETYNCRYIPGSQRADIFLDDLFYCQAKEPSITEMIRRYFTLFRRLYYKQISGQHVVYSVAGVISGILISIFVVILMKIVHLLARASVRKWNAFGANIMVIVVQLRRVCLFVAYLCAMCWLTLHYSETIGLKRLFFNSEVDERTS >Ma01_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21656131:21665322:-1 gene:Ma01_g21710 transcript:Ma01_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEPSTGGGGGGGGGETGGEAGRTSRFLFRCFSSLILSLAASFLFSFLLGLPGLAIEELSASAPLSVPSTCRILSSSIDIRTSKICELGMFNYKAKRVFYPSEKTKFRCRYDYYWASVFEVEYKEYFSSHTFHAVAEVPKEALPEECRPSFGAAWLTRMKFKVQKIVL >Ma05_p12490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9047195:9051090:-1 gene:Ma05_g12490 transcript:Ma05_t12490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MAASGSGGGGGGGGGGGVSREGSAKATVADRISQAVQSTSNLLHLMQESSPSQAELIKLPKNLFAKVSTIKNTGQVLDQLPRVISSLDAYMESSLHSAPQLKTVTQLLSHMENSQLKYVFPTSQQPEEQKHADSENTAVANSSSDHGVFSSNNGEGLSHHESH >Ma05_p12490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9047194:9051090:-1 gene:Ma05_g12490 transcript:Ma05_t12490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MAASGSGGGGGGGGGGGVSREGSAKATVADRISQAVQSTSNLLHLMQESSPSQAELIKLPKNLFAKVSTIKNTGQVLDQLPRVISSLDAYMESSLHSAPQLKTVTQLLSHMENSQLKYVFPTSQQPEEQKHADSENTAVANSSSDHGVFSSNNGEGLSHHESH >Ma05_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9047399:9051090:-1 gene:Ma05_g12490 transcript:Ma05_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MAASGSGGGGGGGGGGGVSREGSAKATVADRISQAVQSTSNLLHLMQESSPSQAELIKLPKNLFAKVSTIKNTGQVLDQLPRVISSLDAYMESSLHSAPQLKTVTQLLSHMENSQLKYVFPTSQQPEEQKHADSENTAVANSSSDHGVFSSNNGEGLSHHESH >Ma02_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29350967:29353217:-1 gene:Ma02_g24980 transcript:Ma02_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALASDCQMDGLGPLPGNLPENSSFDSYEGGHVALPTANFSQSCPHRPGMMDDVHALDLSASAGLGGGVSFCQPSLAGMALLGEDASSGLDHLQESIQGPFYLHHKMPSLVSGVTEAMRCNSGWEHKSCQAAPGNPRFQQQQRSSCSPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLLDQVEKLSVPYMRSSGSKKRSRTTQEASNEEAKRDLRSRGLCLVPLACTSYMTTTTEQGVWSAATYGGSD >Ma06_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:334801:340943:1 gene:Ma06_g00420 transcript:Ma06_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MNFLTTPKPELGLRRLASAPLSKLPGPSPRRFPVRQRFRNGTNLWALPRKKSELRCEADGSDRTSNGVLEKAASGFAAAAAAAAVMAVCGCDAPALAESLTVAFPVSRAREVNTVQRTLVEAWGLIRETFIDPTFNHQDWDLKLQQTMVEMFPLKSADAAYNKISGMLATLGDPFTRIISPKEYQSFRIGSDGNLQGVGLFINVEPKSGNLVVLSCIEGSPADRAGIHEGDELIEIDGENLAGLGSEAAARKLRGRVGTTVRVKLHSGGAESGIGNGLREVQLPREVINLSPISSTIISHISIDGHELKTGYVRLSAFSQNAAAEMENVILEMEDQGVQSYILDLRNNPGGLVKAGLDVAQMWLDGDETLVNTIDREGNMLPINMVDGHALTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHTTFGKGKIQSVTELHDGSALFITVAKYLSPALHDIDQVGIAPDVQCTADKLTSSIASLSRDKNTTSTLESDSCIMVAEHELEVQKLKGSAS >Ma06_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4495258:4503522:-1 gene:Ma06_g06100 transcript:Ma06_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPRLYDHVAISDSDIRNIVLSYLVHNCFKETAETFLTCTGMNQPVDYLLDMEKRKSILHFTMEGNALKAIELTEQLVPNLLEDNKDLCFDLLSLHFIDLVRSRKCMEALEFAQTKLTPFGKVPKFVGKLEDFITLLAYEEPEKSPMFHLLSPEYRENIADCLNRAILDRANLPSYSSMERLIQQAAVVRHVLHQELGKDGHPPFSLKAFLDN >Ma10_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24629354:24633819:1 gene:Ma10_g10980 transcript:Ma10_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFDGAGAAEVVAELRESFESGRTRSFEWRVAQLKGIARLIVEKEAEITAALDDDLAKPQIESFIGEISMVRESCRFALKELKRWMKPEKVATSVTTFPSSAKVVSEPLGVVLIISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEVAPATSSFFARILPDYVDNSCIRVVEGSIPETTALLDQKFDKILYTGNARVGRIVMTAAAKHLTPVVLELGGKCPVLVDSNVDIKVATRRIVAGKWGCNSGQACIAPDYIITTKSFAPKLVDALKITLEKFYGKDPLESADLSRIVNSNHFKRLMNLLDDEKVSGTIVHGGQRNEKRLRIAPTILLDVPSDSLIMKEEVFGPLLPIVTVDELREGFGLINSKGKPLAAYLFTKDRKLEEKFVKSVSAGGMVINDTILQVTNPRLPFGGVGESGMGSYHGKFSFDAFSHKKAVLSRGFGGDVSMRYPPYTAHKQKILRGLITGNIIAVFLALIGWTRH >Ma07_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10492706:10493547:-1 gene:Ma07_g14020 transcript:Ma07_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLRSHQLTRLFNLMLLRVDRSFKASGRQGRQQIP >Ma05_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22877104:22881000:1 gene:Ma05_g18170 transcript:Ma05_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKPAKQETRKDEIFARVMPPLDQAFVRWLARDVKRLHAFAPRNPRAIKPPDHYIEYMRLYGWLDLDMDDPDLACLIK >Ma06_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22466843:22469950:-1 gene:Ma06_g24100 transcript:Ma06_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGYEGSDGDGTSDFSRRGIRTAVTIGLSPLYGPLGLSPNTRWHASVTCSNPGSDLHCSAPKRSGKAPVPAKKKPEKVVNPLFEKRPKQFGIGGALPPKRDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDRAAKKERLLKRAQAEAEGKTIELKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGAIVHKKTASVLCLTTMKNEDKLEFSKILEAIKANFNDKFDEVRRKWGGGIMGSKSLAKSKAREKVLAKEAAQRMT >Ma02_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13877858:13884906:1 gene:Ma02_g02620 transcript:Ma02_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLRRFSSLRRTPPLCRPSLPRTTRPILRPPTLLPPLARCLAPFSLLSTTAPFEGVAGGDASPYAISEVDEEPVSHRCTDAYAAIELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVVSDHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVDSKEFWDGMNFLELGDIPYLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGAAQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPIIKHFIAGVEDKGKYVGFCSLGLSCQSTENVQLREHFHMRPEMTGVLVNKINPLSDAHNVLKKDDIILAFDGVPIANDGSVPFRNRERITFDHLVSMKKPGETAILSLLRDGIEQEFSISLRPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKRAGEQLVILSQVLMDDINAGYERLSEFQVKKVNDVEVENLKHLCGLIEGCTEESIRLDLDDERVIVLNYKNARLATSRILKRHRIPSAMSNDLIDEQATNGEVEVACSS >Ma06_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6043752:6055650:1 gene:Ma06_g08560 transcript:Ma06_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAGEASAARTAVLLTGGGSVCPPREAHPAEPKDGGTNPTSRGEVGSCQVATKPLSDADEHRGREAEVSLLDESKFDVNLQLWSLRIPREHCTSVGRLLHGTDLSEIPSHVLDPLKGLCNIEAVPYSLTLGYSYWGADHILKQILPHGMEVPTSFETVGHVAHLNLTEDQLPYKDVIAKVIYDKNQPIIQTVVNKIGTITNEFRVPTFEVLAGKSDMVTEVKQYGVTFKLDYSLVYWNSRLEHEHIRLVSLFQRGETICDMFAGIGPFSIPAARKGCHVYANDLNPDSVHYLRINANINKVEDRVFAYNMDARAFMHHVMTVPDSDGMQKTGEAVSNEDHYHKLATDEKEVVTLEMLNAGKDNQDSVNGSSVKKKTAVKRQLDKASEVFQGNRNTNKRIRGFHLTVFRPWEHVDHVIMNLPASALDFLGVFKGLIQREHWRGSLPWIHCYCFIRSTETKESILSKAESLLSTKIADPIFHRVRDVAPNKAMFCLSFKLPTETCCRDVNNVGAE >Ma06_p08560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6043752:6055650:1 gene:Ma06_g08560 transcript:Ma06_t08560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAGEASAARTAVLLTGGGSVCPPREAHPAEPKDGGTNPTSRGEVGSCQVATKPLSDADEHRGREAEVSLLDESKFDVNLQLWSLRIPREHCTSVGRLLHGYMLDRARIKPIVEDPTSEKNRLVILSEKIQNSDLSEIPSHVLDPLKGLCNIEAVPYSLTLGYSYWGADHILKQILPHGMEVPTSFETVGHVAHLNLTEDQLPYKDVIAKVIYDKNQPIIQTVVNKIGTITNEFRVPTFEVLAGKSDMVTEVKQYGVTFKLDYSLVYWNSRLEHEHIRLVSLFQRGETICDMFAGIGPFSIPAARKGCHVYANDLNPDSVHYLRINANINKVEDRVFAYNMDARAFMHHVMTVPDSDGMQKTGEAVSNEDHYHKLATDEKEEMLNAGKDNQDSVNGSSVKKKTAVKRQLDKASEVFQGNRNTNKRIRGFHLTVFRPWEHVDHVIMNLPASALDFLGVFKGLIQREHWRGSLPWIHCYCFIRSTETKESILSKAESLLSTKIADPIFHRVRDVAPNKAMFCLSFKLPTETCCRDVNNVGAE >Ma06_p08560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6043752:6055650:1 gene:Ma06_g08560 transcript:Ma06_t08560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAGEASAARTAVLLTGGGSVCPPREAHPAEPKDGGTNPTSRGEVGSCQVATKPLSDADEHRGREAEVSLLDESKFDVNLQLWSLRIPREHCTSVGRLLHGYMLDRARIKPIVEDPTSEKNRLVILSEKIQNSDLSEIPSHVLDPLKGLCNIEAVPYSLTLGYSYWGADHILKQILPHGMEVPTSFETVGHVAHLNLTEDQLPYKDVIAKVIYDKNQPIIQTVVNKIGTITNEFRVPTFEVLAGKSDMVTEVKQYGVTFKLDYSLVYWNSRLEHEHIRLVSLFQRGETICDMFAGIGPFSIPAARKGCHVYANDLNPDSVHYLRINANINKVEDRVFAYNMDARAFMHHVMTVPDSDGMQKTGEAVSNEDHYHKLATDEKEVVTLEMLNAGKDNQDSVNGSSVKKKTAVKRQLDKASEVFQGNRNTNKRIRGFHLTVFRPWEHVDHVIMNLPASALDFLGVFKGLIQREHWRGSLPWIHCYCFIRSTETKESILSKAESLLSTKIADPIFHRVRDVAPNKAMFCLSFKLPTETCCRDVNNVGAE >Ma06_p08560.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6043752:6055650:1 gene:Ma06_g08560 transcript:Ma06_t08560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAGEASAARTAVLLTGGGSVCPPREAHPAEPKDGGTNPTSRGEVGSCQVATKPLSDADEHRGREAEVSLLDESKFDVNLQLWSLRIPREHCTSVGRLLHGYMLDRARIKPIVEDPTSEKNRLVILSEKIQNSDLSEIPSHVLDPLKGLCNIEAVPYSLTLGYSYWGADHILKQILPHGMEVPTSFETVGHVAHLNLTEDQLPYKDVIAKKNQPIIQTVVNKIGTITNEFRVPTFEVLAGKSDMVTEVKQYGVTFKLDYSLVYWNSRLEHEHIRLVSLFQRGETICDMFAGIGPFSIPAARKGCHVYANDLNPDSVHYLRINANINKVEDRVFAYNMDARAFMHHVMTVPDSDGMQKTGEAVSNEDHYHKLATDEKEVVTLEMLNAGKDNQDSVNGSSVKKKTAVKRQLDKASEVFQGNRNTNKRIRGFHLTVFRPWEHVDHVIMNLPASALDFLGVFKGLIQREHWRGSLPWIHCYCFIRSTETKESILSKAESLLSTKIADPIFHRVRDVAPNKAMFCLSFKLPTETCCRDVNNVGAE >Ma06_p08560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6043752:6055650:1 gene:Ma06_g08560 transcript:Ma06_t08560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAGEASAARTAVLLTGGGSVCPPREAHPAEPKDGGTNPTSRGEVGSCQVATKPLSDADEHRGREAEVSLLDESKFDVNLQLWSLRIPREHCTSVGRLLHGYMLDRARIKPIVEDPTSEKNRLVILSEKIQNSDLSEIPSHVLDPLKGLCNIEAVPYSLTLGYSYWGADHILKQILPHGMEVPTSFETVGHVAHLNLTEDQLPYKDVIAKVIYDKNQPIIQTVVNKIGTITNEFRVPTFEVLAGKSDMVTEVKQYGVTFKLDYSLVYWNSRLEHEHIRLVSLFQRGETICDMFAGIGPFSIPAARKGCHVYANDLNPDSVHYLRINANINKVEDRVFAYNMDARAFMHHVMTVPDSDGMQKTGEAVSNEDHYHKLATDEKEVFQGNRNTNKRIRGFHLTVFRPWEHVDHVIMNLPASALDFLGVFKGLIQREHWRGSLPWIHCYCFIRSTETKESILSKAESLLSTKIADPIFHRVRDVAPNKAMFCLSFKLPTETCCRDVNNVGAE >Ma00_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29817190:29817422:1 gene:Ma00_g03540 transcript:Ma00_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDEGREAIESEFSCQVNQICDVRMVNCTIERKHTADAAHCDSELSLQFKLQL >Ma06_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2662122:2675276:1 gene:Ma06_g03670 transcript:Ma06_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLVEAKKPDGCDADATVIQRRIEFHPARKFFSPSSNGAFYLETLNPDSETPRPSACNRDPPAVSAPSLGRRSDGVEAYEHEMDPELSSRIRFRNIGAGLANLGNTCFLNSVLQCLTYTEPFAAYLQSGKHQISCQIAGFCAMCAIQNHVMIALQSTGKILSPSTLVKNLRCISRNFRNSRQEDAHEYMVSLLESMHKCCLPSGVPSESPTAYEKSLVHKIFGGRLRSQVKCMQCSYSSNKFDPFLDLSLEIAKVDSLWKALKHFTAVEQLDGGERQYQCQNCKEKVRALKQLTIHKAPYVLTIHLKRFDSLFPGQKIDTKVDFDLTLDLKPFISDPHEDDLKYTLYGVLVHAGWSTESGHYYCYVCTSSGMWHSLDDNQVYQVSEKTVLEQKAYMLFYVRDRSSIVKKSSEMIQKDCVSTNPPGKKLIPHSALVIKGAVLNCLVDGKLSTLESSSAILKSYPITDCHPDSGIGSSSDSQLPGVAFLRENDNNIQNENVAPQSDSQLLGRKAALLRANSDIMSNALQQGKKSADAASSKEFMMPVSQIIQQRLIEDSIEPARDKDFVVMVTHANDATVTSESDQTNSGKCLLSNDWNEEVKSEVLLSVPNNASCSDSSPQQHHEKILKQINMHENDDVAIAIYQNNDALSQKGTSNVPADKNPLKLLNQLGFMQMASHDKTSVEHQIGNESKRCSTNTQCNGGYLKLEESGMTNVLKGCSSGFVEKEVLDVMKSQTGLKPKKHVKHSLNGIYFGRKQLFLSLLSADKIRKRNRRKKRLSIMTSLQKKAVPDDVSLNDQGTSTSEIVKNVVLSECSGRKNSRASFKKNRSTCSMRNDSYCNSESLNISTGGIGGDNGKDRTHDHPEQSRWCSSSTDYQCNSRDTILDDNGLLQHNIMKLLMRGLNKKTVARWYHLESPEFEVHGLKSSRSSRIDYVVDEWNEEYCQGRRKKAKKSKESNGGQNEFQEMTDVKQQERSKVKKQRLLSIIPFRI >Ma03_p11890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9213178:9214325:1 gene:Ma03_g11890 transcript:Ma03_t11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVLRAFWNGPVGPKTTHFWGPVANGGFVVAAMADMKKPAETISGNMTTAMCLYSGALMRFAWMVQPRNYLLLACHASNEVVQLYQLSRWVNAQG >Ma03_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9213182:9215009:1 gene:Ma03_g11890 transcript:Ma03_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVLRAFWNGPVGPKTTHFWGPVANGGFVVAAMADMKKPAETISGNMTTAMCLYSGALMRFAWMVQPRNYLLLACHASNEVVQLYQLSRWVNAQGYLKNRDPAEEQ >Ma06_p29780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31172199:31174965:1 gene:Ma06_g29780 transcript:Ma06_t29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 8, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G50160) UniProtKB/Swiss-Prot;Acc:Q8VY13] MARNTTLLLLLVLKLFMAVLLAAWACIWIIRPTQSWKRSWHVAEDRANATFLGDYGLTVVVYCLPVLAVATLGYISLHIAAKKDDLRKRRPLMPAFTNPLIVSSPVGVLSAAELLGVALFIVFLAWTYYSNVSSDFKKMTPYKSLKLNRWQLKMMHMGVRIGSLSEACLLLLFLPILRGMAVFRILGVQYEASVRYHIWIGNTMILLSVLHGISIMFIWATKNRLLKEIIQWQATGRVNIAGAIALATGVIIWITSLPQVRRKQFQLFFSAHHLYMVFILFFLIHAGDRHFYLVFAGVLLFALDKILRIIQSRKQTFLVSASILPCRAVKLTLPKHPCMDYTPTSIVFIKVPGISEFQWHPFSITSSSNMDDSELSVLIKCQGQWTTDLYDMLNSMTDAGSDHPKSIPVAVEGPYGPATVEHLRYRSLVLIAGGSGISPLMSILQDIASRNGAMERSPTKVHLIYAVKKVQDLSMLALISPLLLTHSAELGNLHLKLFVTEEDGPPFTAEKMLQDLSRVKTITMNKASPEGTAVPTPEGLPWKAAITALSLLLFLASLIILTHLFVHQEKKSSKKKNPSWIGDLLLLCSLAIAASCCTVATVLSRWRKSVDDSQELSHKHHELAEMLPDKVHGALQTHEIYFGCRPNLGDMLAEIATGTGDSEVGVFVCGPTSMQEAVASFCTRHNKRLKREERKLKRSFNVHFLNFSL >Ma03_p32100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34065282:34066316:-1 gene:Ma03_g32100 transcript:Ma03_t32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGEIVSRLSHKDYVRCTAVCRSWRQCPPPPRPGARSMRLFMLPENGECRIIDPSRNAVIHVDFPELAGVDVLSSKAGWLLLRRTDLSLFFFRPSTRTCIHLPPTNRVLYPQNCTAAFSAAPTAPDCVAVILNCLLGRTEVLTWRPGDDRWTVRIFAETFVFTRIYELFACGHVFYCQGYDLRVCVFDSRESTLREAEVIRDFTYADCETYLTEFEGELHVVFIGGCCTRVCVHRVDSSLTLKEEVRSLEDRTIYVGRWMADMVRSTEGQVMNKVYFPITLTRSDKYLQHFSMKTEKYYPNRPLNMRKKLRTMWDDRMEHRVWIEADKSMLDLEESMSSSRH >Ma03_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:20482083:20483910:1 gene:Ma03_g16780 transcript:Ma03_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITMPENQGGGSPLSMAFRGRTFLSLRRSQVVSMEQGQEQELDLFQTHVADRLLALLPPSNVGGGGEPPLSLAFLSKLLDALIACEEEFRSLLGQNHASLLSKPPADRAVADLLDRAVKSLDVCNAVSLALRSLRHWHRHALIAASALPSDHCGQGQLNRARRALAKLLASGPDSPSAASGRYDGSSGRSSGSSNHMRALSWSVSRNWSAGRQMPPVPAHLATPRGGEAGGAGMALAVYAMSSVLSFSMWVLAAAVPCQDRGSAAPSSPVSPRQHLPWAAAMAGLQDRITDEWRRRKGLAGLLAEIQGVEQCGKELMEAVGESGPPPSRAEDVAARAAELAEACRRLEEGLGPLERQVREVFHRVIGNRAEVLRCVDHSARTAATTPNPPLLSQ >Ma03_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19144640:19154648:-1 gene:Ma03_g16570 transcript:Ma03_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16290) UniProtKB/Swiss-Prot;Acc:A8MPR5] MELAASSSLLFSSLPLAPSPPKAPFRSIPRKCFVPRNSVNPPLDDQNEEEAKKNCTMLLQLSVTMTVISSSLPLPRAHAGVTEKKPRPKRPAETLSPEELKSWSRGLPTVGDRIPYTEILTLRDEGKLRHIVKPPSVTLKLRPNLVLVVLDDSRVLRAVLPAAERDERFWESWDRLELDSFCINAYTPPVRKPEVPTPYLGWLVNIPGHFLSMEKPKPKSKRVLELENARKELAERRREELARVRAEREAMEKIMKAQKKAEERKRRKQIKKAKYEESLRQARKNYQRMAYMWDDMARDKNVATAIGFVIFYVFYRTVVLNYRKQQKDYEDRLKIEKAEAEERKKMRQLEREMAGLEGPGEDESEERGDEQNPYMKMAMKFMQSGARVRRANSKVPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTLGEMYRRRGIKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPAVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGNVITIAATNRPDILDPALVRPGRFDRKIFIPKPSLIGRIEILKVHARKKPMADDVDYMAVASMTNGMVGAELANIIEIAAINMIRDGRSEITTDDLLQAAQIEERGMLDKKDRRPEMWKRLALNEAAMAIVAVNFPDLKNIEFITIAPRAGRELGYVRVKMDHIKFTKGMLSRQSLIDHITVQIAPRAADEIWFGENQLSTIWAETADNARSAARSFVLGGLSEKYHGLSNFWVADRINDIDLEAQRLLNNCYDRAKEILRRNKELMDVIVDQLVQKKSLTKQEFFRLVEEYGHVDQMPKNIIDIRKTKLLQFQQMMMAGKERAQGSLV >Ma06_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2675815:2681298:-1 gene:Ma06_g03680 transcript:Ma06_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVFAEIIDGEVYKYYADGEWKKSASGKSVSIINPTTRTPQYKVQACTQEEVNKVMDAAKAAQKLWARTPLWKRAEFLHKAAAILKEHKNPIAECLVKEIAKPAKDAVTEVVRSGDLISYTAEDGVRILGEGKLLVSDSFPGNERSKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNALVLKPPTQGAVAALHMIHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVTANIVKGGYSYSGQRCTAVKVVLIMESVADAVVEKVKAKMANLTIGPPEENCDITPVVSESSANFIQGLVMDAKQKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRISSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMETGTVQINSAPARGPDHFPFQGLKESGIGSQGITNSINMMTKIKSTVINLPSPSYTIG >Ma08_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6519221:6519603:-1 gene:Ma08_g09000 transcript:Ma08_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEHNEVIYHIPIQLTGLSHEGIRIGGGSNDNKGLLVCDMGCLFPFPTPNSLFWVFNAPSLQAWICSIRCKDCDGSEGIELQQPPQAHSREGGQNIINLG >Ma05_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4822228:4827536:1 gene:Ma05_g06490 transcript:Ma05_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFEDEAEQTVTIDEYIEELEAEELEADLVLGGDEGKECTYPRGYMKRQAIFSCLTCVPGGTAGVCTACSLSCHDGHEVVELWTKRKFCCDCGNSKFGGVLCKLCPDKETENSENSYNHNFRGSYCTCGRPYPDPDAKEQIEMIQCCICEDWFHENHLGLNSSDEIPRDEDGEPLYEDFICQQCAITCSFLKLYPAYIWATSKQNNAPSVSNAENVVDNGSLDSRYPDKNEDNVPVAEILGHSSSSGSKPESVSTKEESVCDEGTEINVSSEDTKPCPENQELSAKCIIGVDINVSSVLLEKMEPMFLSKKWRELLCKCGTCYEFYTRNGIEYLTDKEDTIEAYEKMAKSKREEKLKQQEGAELNFLNTLNHVQKIEILSGIADMKNEFRTFLESFDQSKPVTSEDIKGVFENLAKKKKQRLS >Ma11_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25197414:25201465:-1 gene:Ma11_g20780 transcript:Ma11_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKVEQQKAVAVCRGRVDLLAAAIRHRYALAAAHAAYSDSLLSVSVALHRVLHFQPSTSSSPVLPLPTHRKSEPSPPPQLVSSARHSSSGSHIHFLPSDSDDDDDDSPFHSGDSSPIHHLDHYGTVPRGPGFGNIYYARSQPPPPSVALGQPPQSYETVQVGYFEPYAASTSHGYPYSYFPGNYGSMGGFFGPSSPPQAMQPPTWTTASALRGSSSSRPPPPPPPPPSTSTWDFLNPFETYENYHHRYTPSWSSREVREEEGIPDLEEEDHDIAKVSYGHQKFASFSSAAAGEHSSSMGASTRRTDGTSSVRGSYFYESRSSAAGRNSSYEPEVVDKNAVAHGVQRSQVQQQNVADPKKILDASDFADEIKTQFERASQCTRELSELLEVGKRHHQPKQSLFEVSARMITVMTPPSSSVSLGFEGDKEMSSKSLASTLQKMYAWERKLYHEVRAEEKMRLLLRRSHKELRRMIERGAEAHKINSTRSLIDKLSTKIRIAIQVVDSISKKINRLRDEELWPRMTELVLGLVRMWNTMLECHQMQHRALSEVKKLDSVISVGKISSSHADEIMQLELEILKWTSNFAAWINAQRNYVKALNGWLVLCLRYEPQETADGVPPYSPRRIGAPPVFIICNCWSQAMDMTSERNVLESMQAFATAVRRLWEQQNIDHHERMIAIRDMDRWLKTLEKNIKDIHKEVDSLNKKLALVPGQIGLRIYQPVFEGHTAEITGTQLGLEKIFEAMQDFTASSVKAYDKLLEHCEEQRMSG >Ma11_p20780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25197414:25201465:-1 gene:Ma11_g20780 transcript:Ma11_t20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKVEQQKAVAVCRGRVDLLAAAIRHRYALAAAHAAYSDSLLSVSVALHRVLHFQPSTSSSPVLPLPTHRKSEPSPPPQLVSSARHSSSGSHIHFLPSDSDDDDDDSPFHSGDSSPIHHLDHYGTVPRGPGFGNIYYARSQPPPPSVALGQPPQSYETVQVGYFEPYAASTSHGYPYSYFPGNYGSMGGFFGPSSPPQAMQPPTWTTASALRGSSSSRPPPPPPPPPSTSTWDFLNPFETYENYHHRYTPSWSSREVREEEGIPDLEEEDHDIAKVSYGHQKFASFSSAAAGEHSSSMGASTRRTDGTSSVRGSYFYESRSSAAGRNSSYEPEVVDKNAVAHGVQRSQVQQQNVADPKKILDASDFADEIKTQFERASQCTRELSELLEVGKRHHQPKQSLFEVSARMITVMTPPSSSVSLGFEGDKEMSSKSLASTLQKMYAWERKLYHEAEEKMRLLLRRSHKELRRMIERGAEAHKINSTRSLIDKLSTKIRIAIQVVDSISKKINRLRDEELWPRMTELVLGLVRMWNTMLECHQMQHRALSEVKKLDSVISVGKISSSHADEIMQLELEILKWTSNFAAWINAQRNYVKALNGWLVLCLRYEPQETADGVPPYSPRRIGAPPVFIICNCWSQAMDMTSERNVLESMQAFATAVRRLWEQQNIDHHERMIAIRDMDRWLKTLEKNIKDIHKEVDSLNKKLALVPGQIGLRIYQPVFEGHTAEITGTQLGLEKIFEAMQDFTASSVKAYDKLLEHCEEQRMSG >Ma06_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3466550:3471010:-1 gene:Ma06_g04660 transcript:Ma06_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSLSPPPKSSSVSDSAGEEGKQELQGKIRGHEVAISELEHLQPSRAVYQKSGNIFFRRSIKTAIASEQKQLDLAKSRLQKLNAI >Ma06_p04660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3466312:3471010:-1 gene:Ma06_g04660 transcript:Ma06_t04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSLSPPPKSSSVSDSAGEEGKQELQGKIRGHEVAISELEHLQPSRAVYQKSGNIFFRRSIKTAIASEQKQLDLAKSRLQKLNAI >Ma04_p26840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28158208:28159775:-1 gene:Ma04_g26840 transcript:Ma04_t26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHLAPTTGNGESKTRPHFVLVPFLAQGHMIPMADMAVLLAERGARVSIITTPVNVARTEAVVRRVRRAGIAVEFVELTFPCAESGLPEGCERIDLLPSYELLKQFHDATGLLRHPLTQHLRAQRQPPTCMIADSCNPWTKGVAEELRMPYLLFHGPSCWNMLCARMILRHKIYEQIGDPFEPFDVPGLPHRLEISMAQTAWFITMPGWEKFREEVWEAETAADGFVINTFEALEATYVECYSRDAKGKKVWTIGPLSLSNQDPDDKAARGNKASVDKHRILLWLDEKAPRSVVYVSFGSIVRHSPAQVLEIGRGLEASGQAFLWVIKEVDASSPEVEKWLSCGGFQERVGDKGLIIKGWAPQAAILSHPAVGGFVTHCGWNSVVEAVSEGVPMATWPHFSSDQYINERLIVDVLRTGVAVGVTVPDAHVSAEEIEKAVLRLMDGGEKGEERRERARELGRKAKEAMEVGGSSYANVTQLIHYASHHDQARG >Ma02_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:151734:153973:1 gene:Ma02_g00040 transcript:Ma02_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCISNVFGKKKIFKGEVHLFSYINRINNFGWFFYSSILNLET >Ma09_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3742475:3749385:-1 gene:Ma09_g05820 transcript:Ma09_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase type B catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G56740) UniProtKB/TrEMBL;Acc:Q1JPN3] MALKQKGGEISAEAKKRRRVGFANIDTGVEASECIKVFLVKSGDEVGSTNSFCINPVDLNQFFGEDGKIYGYKGLRVNIWLSTVSFHAYSEITFESTSDGGKGITDLEPALQDIFGELLTEKEQFLQTFSTERNFIRNIVSDGAVVPCKTSKEDDHASNSNSEADGSMIEVIRMKQDSEPVCLLYSRLVPLVLLLVDGGSPIDVTDPRWEIFLVVKKVQDPLGDCITNVLGFATVYRFFHYPDSTRLRIGQILVLPPYQGQGHGRHLLESVYSVAVSENIYDVTAEEPSDYLQYLRACIDTKRLLCFQPIKPAINSVVSCLKEGNLSKRICRSSSCPPASVMEIARQKLKINKKQFLRCWEVLIYLNLNQENSKCTENFRAWVSDRVKSDIVDKDAVDSRKHLVEVPNDYDHDMTFVVCWSQNGGEPDGIDGNQGGSQSTQDEQLNELVKKRLEDIVKITNKVSAILRN >Ma03_p29080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32126684:32127568:-1 gene:Ma03_g29080 transcript:Ma03_t29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSISSTRFLLLYLVLLFVPSPTVAFTWQVCSTSAGNFTANSTYESNLNLLLSSLVSNGSVPGFFTDTVGRIPNQVQGLVLCRGDTNATTCGSCLNNAKLEILRLCAYNKDAVVWDEECLLRFSNRQFLSTLDNDPTAALANLNQVNYEADRFNKVVNELLDSTADWAAYNSTKRYATGQAFNVTQAVPNIYGLAQCTPDMSTSDCRQCLKGVLQGLPQGRMGARNQGVRCNIRFEVTRFYEGTPIIWLLSPLTNATTPAENATAPPSAPTAHPAVGPTGKEGKDAPLAQLIN >Ma04_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10251487:10252017:-1 gene:Ma04_g13550 transcript:Ma04_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPRLAASGLAVPVEIIPFGWALTLRRLQSLFDGVPGFNLKLRTASINAKATTFDEKEWDSEPFVTDNKNYIADLFFENGIHGDLRVISDAILRITGVVEHGLFLGLASSVVVAQKDGVVVMKSIDNN >Ma04_p27580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28771731:28773522:-1 gene:Ma04_g27580 transcript:Ma04_t27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGPSLPSPSPPLLLQPFPLAVEPNHLPSDTSSSSSLSFSPSLLIIAAILACVCVASVSIHLILRLLFSSRRSSSSSSSVAAASLLVSSRSASASVTSTADPSSDPVKSALIDSLPIFNLSSSLAALPKSSPDCAVCLRPFGPDEDLRLLPACRHAFHSGCVEPWIRKSPSCPLCRAPVTLPPPPLPSVASHTPCEDPPMSRSFDVEIGSASSRTPGDVLSRRNPSLPTPQSSSSSSRHQTHSIGSSFDHMMNEEEEDVEAVVHRIPRRQDADSAASGPVAAGPSGEGSRGWLMDYVDRLSSSSFRFSGRWSHLYDDGGGRESAEPEGTARRETEEEEDDDSGGGLAALYMWVTGV >Ma02_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20707605:20710590:1 gene:Ma02_g11620 transcript:Ma02_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLPSLSSASASPNPNRPDRLLSLRPNTLALPSLSAAASRIRISSSSATSVPGRDPRVSDEWGEKPEPEADRPGEKAEPEVEASAQADAPKDEDEWGREPGGAEYLSGNGTPVPSDDKLEDLKRCQVDSLYGTELGLRASVEDRAEILELINQLEASNPTPAPTEAPELLDGNWILLYTSFSMILPLLAVGFKVHPEYRSSSRKAFFSLQRYPPPWICQKKLTSSGRRLLETCTADMEPRTRGCCKHRSFHLWPATSQSTTAWK >Ma10_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26534533:26537474:-1 gene:Ma10_g13930 transcript:Ma10_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MAASFHLLSSNTFTIPSSVARRGFDADRKLFHKFPNRDPFSLPILSPAGVPKPLHRETTTWRASLVDDAGNGAMEAPKPTRRGRKKKSADSSPATPVKRTRRRSQKKTPEDEAAAEGPRMPEEAKAPTVAAPVEEEEEEDFDDGMDFPYESPPLVCCFGAARREFVPTVRVSERQMHPDQYSSWKQLQWSPPEFVRAPGGPPSNVAISHARLGGRAAFMGKVGDDDFGNDLVYRMNLEKVQTRAVKIDPAVRTAASHMKIELEDRDDGSGKRLVAKTVKHCAEDSFLKSEIDVAVLKEARIFHFNSEVLLSPAMQPALFWAIKLSKKYNSNVFFDLNLPLSLWRSRDETREVINKAWSNSDLIEVSRQEMEFLLDEEYYERKRNYRPQYYSESYEQTKNRRDYHHYTRKEIAPLWHDGLKILFVTDGTLRIHYYTPKFDGAVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTIHPEMYEDQDMLERHLRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Ma08_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16024866:16025222:-1 gene:Ma08_g15810 transcript:Ma08_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFATHDGTRSLIRIDDTWCLLVDHNKHVFRKASISSLSSPTSSHGFWVLVVSVPRRGDGGIAMETKAMERVMKETFRDKLTLCNIVKSTLILCYDLQSSTLLVFSHADTLESESFDF >Ma05_p11000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7982296:7987005:-1 gene:Ma05_g11000 transcript:Ma05_t11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) UniProtKB/Swiss-Prot;Acc:Q9M622] MTSSGHPRRRLVRGFAGFFSYSLFLVSVSSLLCPSDALVHLRSKPFSFTFIDAPARFAVPVDGLGICGSLHAAEPLDACSVLRTNWTAVGDGGGGDARFVLISRGVCSFEEKVRNAQDGGFEAAIIYDDQEKSSLYSMVGDSAGIHIHAVFVSKMAGETLKKFARGEKGECCVGSSMDETAGTILVISFVSLVVIIMVLAAFMLARNCRLLRNGVHSQPSSMKREAVEMLPCFTFRTAYINSKHTAETCAICLEDYRDGDSLRVLPCLHDFHLVCVDSWLTKWGTFCPVCKRDMRS >Ma05_p11000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7982322:7987005:-1 gene:Ma05_g11000 transcript:Ma05_t11000.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) UniProtKB/Swiss-Prot;Acc:Q9M622] MTSSGHPRRRLVRGFAGFFSYSLFLVSVSSLLCPSDALVHLRSKPFSFTFIDAPARFAVPVDGLGICGSLHAAEPLDACSVLRTNWTAVGDGGGGDARFVLISRGVCSFEEKVRNAQDGGFEAAIIYDDQEKSSLYSMVGDSAGIHIHAVFVSKMAGETLKKFARGEKGECCVGSSMDETAGTILVISFVSLVVIIMVLAAFMLARNCRLLRNGVHSQPSSMKREAVEMLPCFTFRTAYINSKHTAETCAICLEDYRDGDSLRVLPCLHDFHLVCVDSWLTKWGTFCPVCKRDMRS >Ma05_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7982322:7987005:-1 gene:Ma05_g11000 transcript:Ma05_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) UniProtKB/Swiss-Prot;Acc:Q9M622] MTSSGHPRRRLVRGFAGFFSYSLFLVSVSSLLCPSDALVHLRSKPFSFTFIDAPARFAVPVDGLGICGSLHAAEPLDACSVLRTNWTAVGDGGGGDARFVLISRGVCSFEEKVRNAQDGGFEAAIIYDDQEKSSLYSMVGDSAGIHIHAVFVSKMAGETLKKFARGEKGECCVGSSMDETAGTILVISFVSLVVIIMVLAAFMLARNCRLLRNGVHSQPSSMKREAVEMLPCFTFRTAYINSKHTAETCAICLEDYRDGDSLRVLPCLHDFHLVCVDSWLTKWGTFCPVCKRDMRS >Ma05_p11000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7982316:7987005:-1 gene:Ma05_g11000 transcript:Ma05_t11000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) UniProtKB/Swiss-Prot;Acc:Q9M622] MTSSGHPRRRLVRGFAGFFSYSLFLVSVSSLLCPSDALVHLRSKPFSFTFIDAPARFAVPVDGLGICGSLHAAEPLDACSVLRTNWTAVGDGGGGDARFVLISRGVCSFEEKVRNAQDGGFEAAIIYDDQEKSSLYSMVGDSAGIHIHAVFVSKMAGETLKKFARGEKGECCVGSSMDETAGTILVISFVSLVVIIMVLAAFMLARNCRLLRNGVHSQPSSMKREAVEMLPCFTFRTAYINSKHTAETCAICLEDYRDGDSLRVLPCLHDFHLVCVDSWLTKWGTFCPVCKRDMRS >Ma06_p18590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12708759:12719590:1 gene:Ma06_g18590 transcript:Ma06_t18590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNKVTDEGIAVVRSVVGQDFSDMDIIRALHLANNDVSAAINIIFDTPRIRVTPGDGSAGARRRNLDPLTVADSPADHAPVTAGSPTSAESDLPAERNTDGGVAAESSGSKESDWWLVGSTELSGLSTCKGRRIKPGDKVNFSFPLANKTTSPSTATRFPGRGRSVASCSEIVRFSTEDHVEIGRIPNEWARCLLPLVRTKKIKIEGFCKSVPEVLGIMDTIHLSVSVYINSSMIQKHQQTTVRSINISTEESSVHPLPSLFRLLGLTPFRKAEFTPEDLYTRKRAIEFKDRSGVSAEVLPSEKLRKLCVNGSKVEDNEETISDTDMDSIIGIADSSQLEEMIPPDSLQCELRPYQKQALYWMVQLEKGRRFKEAATTLHPCWSAYHIADRRGFVVYLNAFSGDVTLEFPSTLHMSRGGILADAMGLGKTIMTIALLLAHSGKGGSSSSTSSQDSLDTNEVNDMSDQSPITSNKLTSITGFRKLFKSKASLVGGGNLIVCPMTLLSQWKVELETHTHPGSLTVYVHYGQSRTKDAKFLAQNDVVLTTYGVLASEYSAESTEENGVLYSVRWFRVVLDEAHTVKSSKSQVSMAAAFLNADRRWCLTGTPIQNNLEDVYSLLRFLRVEPWGNWGLWYKLIQKPYEEGDERGLKLVQSILRPIMLRRTKSSTDAEGRPILVLPPAQVEVLYCELSAAEKDFYEALFKRSKVKFDMFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFSDLNKLAKHFLKGGKDAGNGDTCAVPSKAYIKEVVEELRKGEEGECPICLEAYEDAVLTPCAHRLCRECLFASWRSALSGLCPVCRKIINKQDLITAPTDRRFRIDVEKNWVESSKVSVLLQELDKLRSLGAKSIIFSQWTGFLDLLEIPLSR >Ma06_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12708759:12719139:1 gene:Ma06_g18590 transcript:Ma06_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNKVTDEGIAVVRSVVGQDFSDMDIIRALHLANNDVSAAINIIFDTPRIRVTPGDGSAGARRRNLDPLTVADSPADHAPVTAGSPTSAESDLPAERNTDGGVAAESSGSKESDWWLVGSTELSGLSTCKGRRIKPGDKVNFSFPLANKTTSPSTATRFPGRGRSVASCSEIVRFSTEDHVEIGRIPNEWARCLLPLVRTKKIKIEGFCKSVPEVLGIMDTIHLSVSVYINSSMIQKHQQTTVRSINISTEESSVHPLPSLFRLLGLTPFRKAEFTPEDLYTRKRAIEFKDRSGVSAEVLPSEKLRKLCVNGSKVEDNEETISDTDMDSIIGIADSSQLEEMIPPDSLQCELRPYQKQALYWMVQLEKGRRFKEAATTLHPCWSAYHIADRRGFVVYLNAFSGDVTLEFPSTLHMSRGGILADAMGLGKTIMTIALLLAHSGKGGSSSSTSSQDSLDTNEVNDMSDQSPITSNKLTSITGFRKLFKSKASLVGGGNLIVCPMTLLSQWKVELETHTHPGSLTVYVHYGQSRTKDAKFLAQNDVVLTTYGVLASEYSAESTEENGVLYSVRWFRVVLDEAHTVKSSKSQVSMAAAFLNADRRWCLTGTPIQNNLEDVYSLLRFLRVEPWGNWGLWYKLIQKPYEEGDERGLKLVQSILRPIMLRRTKSSTDAEGRPILVLPPAQVEVLYCELSAAEKDFYEALFKRSKVKFDMFVEQGRVLHNYASILELLLRLRQCCDHPFLVMRW >Ma08_p16770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20753748:20755855:1 gene:Ma08_g16770 transcript:Ma08_t16770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLCFPFSRSTRMGDHLALLVDHLLTESTLEAAIGSRKQGQIATDSASSEDPGKEFTRKRSVRDRVSPGKLVECRICQEEDEDCNLEIPCSCCGSLKYAHRKCVQRWCNEKGDTVCEICLKEFKPDYTAPPPKLFHYGSIPMNFRGNWEITREDLDDSRIITLFPSERDTMRSGYDDLSALSTRSTVCCQTVAITFMLLLLLRHMLPLVISGTEQHPFAPISILLLRTAGISLPVFLMIRTIRTFRHQQRR >Ma08_p16770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20753748:20755855:1 gene:Ma08_g16770 transcript:Ma08_t16770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLCFPFSRSTRMGDHLALLVDHLLTESTLEAAIGSRKQGQIATDSASSEDPGKEFTRKRSVRDRVSPGKLVECRICQEEDEDCNLEIPCSCCGSLKYAHRKCVQRWCNEKGDTVCEICLKEFKPDYTAPPPKLFHYGSIPMNFRGNWEITREDLDDSRIITLFPSERDTMRSGYDDLSALSTRSTVCCQTVAITFMLLLLLRHMLPLVISGTEQHPFAPISILLLRTAGISLPVFLMIRTIRTFRHQQFLLEMLQRR >Ma08_p16770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20753748:20755855:1 gene:Ma08_g16770 transcript:Ma08_t16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDHLLTESTLEAAIGSRKQGQIATDSASSEDPGKEFTRKRSVRDRVSPGKLVECRICQEEDEDCNLEIPCSCCGSLKYAHRKCVQRWCNEKGDTVCEICLKEFKPDYTAPPPKLFHYGSIPMNFRGNWEITREDLDDSRIITLFPSERDTMRSGYDDLSALSTRSTVCCQTVAITFMLLLLLRHMLPLVISGTEQHPFAPISILLLRTAGISLPVFLMIRTIRTFRHQQFLLEMLQRR >Ma08_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20753748:20755855:1 gene:Ma08_g16770 transcript:Ma08_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLCFPFSRSTRMGDHLALLVDHLLTESTLEAAIGSRKQGQIATDSASSEDPGKEFTRKRSVRDRVSPGKLVECRICQEEDEDCNLEIPCSCCGSLKYAHRKCVQRWCNEKGDTVCEICLKEFKPDYTAPPPKLFHYGSIPMNFRGNWEITREDLDDSRIITLFPSERDTMRSGYDDLSALSTRSTVCCQTVAITFMLLLLLRHMLPLVISGTEQHPFAPISILLLRTAGISLPVFLMIRTIRTFRHQQLSVASHC >Ma02_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21371527:21387112:1 gene:Ma02_g12750 transcript:Ma02_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAEVLLILFLTLGPMGSTGEENTSTDITFPLPANCPKRCGNISFEYPFGIGSGCYRAGFNLTCISHSTDPPTRSLFLGDGTVEVIDFDMDNGIVYVKTPIVTMDVDEEYINHTLIDLGNFPFSFNLEANFTDSFTISLAYNEILVFGCSANADLVDLATNKTINTCSTTCFANSSSPYEYWYSFDTGYCSFDMYNICPDIDECKFPDRYICNGVCNNTMGSYSCTCPRGTTGDPKRACIPDKKQTVLLGVIIGVSNGVGLFLLSTSLIILRRKWKKRKQKRIREKHFRQNHGLLLQQLISSREDVAERTKIFPLEEVEKATNNFDETRVIGRGGHGTVYKGILSDQRVVAIKKSKIVKKSEIDQFVNEVAILSQINHRNVVKLFGCCLETEVPLLIYEFISNGALSDHLHTSD >Ma06_p19200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13133231:13136110:1 gene:Ma06_g19200 transcript:Ma06_t19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRGIRSGLKLLSHSEAALPRSVTHEFHATSMKRMGGHGHDEPFYVHAKHMYNLDRMKHQKLKVTLGVLSAFSIGVVVPIYAVIFQQKKAASG >Ma10_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33121185:33129774:-1 gene:Ma10_g24610 transcript:Ma10_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQKLERVQSLLSLMEARGLSSSHRDADRFLADFVLFLVQPCGILTVEDRCRIISDFLPKTSSEVLEEAFIFANKEGIEKKENIGYNLKFHCQQIHTGPSLQSSVEKDLDIDLSKIKEIPMIGLDAMKQANSTLEDFCRSYFMFHGLDASKPREIFKYLPILSFTESYIYQLDTLNEKALHLSSKVATSPTTELNNLTHLCNDVTYHNVRNNTNEFCSADPLIRLLQCEGLLSERIRKELNSGIEYWALERKLCHALSGKKKILMEDIMKAIHLKSFDYRVLNLLLYQLRGQQVNELHMEFLSVSEFLVEIADDLYDYEDDVVENSFNILRMFVGVYGASTSPSMLAKCITEAEEKYERLIRTLDPELSSSYRRRCEEATREGGATSGHAFGTWNIPPVIGDEESFRMERLAVGAGQDSSYATASGIHAKTKFCEKLRRI >Ma06_p27140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29158053:29160735:1 gene:Ma06_g27140 transcript:Ma06_t27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELENAKGSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPSIVHRKCF >Ma01_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17135744:17136689:1 gene:Ma01_g20180 transcript:Ma01_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLFRHSFFFKQIDPVWALLASNYWTSKYYLPRIFNMRDRAWEAWKAVEGRKPKEEAMSDYLTKVKRLLEAAATTAYATLSHLALCSTIVSFELRCMRMSSFLVSKAKYGHVE >Ma04_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9675886:9680518:1 gene:Ma04_g12820 transcript:Ma04_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEERVEQVMSEVHLGCPPHFSGPYVSHFTVSLPPTPNRSGDRFQVEASSKEMVSLETHDDHSPKRKIRCSYSQSSAQFPQEDTSDESLSLDKDGDLVLTRRKSHINGCLSFGLTIQHTITSSLLEVGLQVWKAALVLTDFIMHKSFTSSDLNDVTAIELGAGTGLVGIAVARVAKTVYITDRGVHILDNCDTNTHINSSLLKFRENSVRVRELDWKESWPPPSITADLPSQHRSSKSRYLWASLEIEEAENATVLLAADVIYSDELTDSFFSMVEKLMSRGSQKVLYLALEKRYNFSMDELDVVANGYSHFRSFFVEDEDHAEHIDGLRPGFLGKQIDLAEIPQYIREYERGKDLELWKITYCRN >Ma05_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24241361:24246453:1 gene:Ma05_g18630 transcript:Ma05_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLTGIRFPTARALGLSTRSGFPSQRTFGANLSPVSKKQIKVRFPVASATGKLLVPGTESDDLSSSTTLNSNKEAASCNLQVLQETSGVKIEDNIELEAGQTSISSALIGEESMNQGDKSSVSSQAKEEVEDVEEKPRFVPPPGTGQRIYEIDPSLKGYRVHLDYRYNQYKKMREMIDQYEGGLEAFSRGYEKFGFQRSASGITYREWAPGAKVSKYLVVLIF >Ma07_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31333054:31333161:1 gene:Ma07_g23830 transcript:Ma07_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVWCPNRPQCNLLQSKLVLLCRGLQTGSVLKQA >Ma08_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5706005:5707473:1 gene:Ma08_g08220 transcript:Ma08_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLKIRSHKFQIMVLFFNLVIDMLRVLCWWKYGSYKETLKQAVTSTNQKRRHYQVSEEILLEDRFAHGCPLIHSGCSSPTGLSADPEIRTPVSHVPQAGAADLPIASGSMVARSDSRQSAKCTHTLRNQVASEYNHLGSADSSEKREWTLHLLDGHSSSHKKDDRKEDKESTTGVALRTEFTTLGH >Ma06_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9730902:9732025:-1 gene:Ma06_g14200 transcript:Ma06_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVVGLRRFLGALALLLLAHQAAAAEPLAFVGRSGSKLVAAGLTVCRTWAFNDGGNPALQSSPGVYNEKMFQGLDFAVSEAKNHGIRLVMSLINNFKDFGGKTQYVQWARDAGEAIKTDDDFYTNAKVKQYYKNHVLKVLTRVNTITKVAYKDDPTIMTWDLMNEPRCPSEPSGQTVTQAWVKEMAAYTKSIDSKHLLQVGFEGFYGSSTPDKIGLYNPGGYKYGTDFIIGNQVNEIDYTTIHAYPDAW >Ma10_p30890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37123073:37125107:1 gene:Ma10_g30890 transcript:Ma10_t30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 25 [Source:Projected from Arabidopsis thaliana (AT5G57550) UniProtKB/Swiss-Prot;Acc:Q38907] MASDIAVGVAWRTELPQGMGEPRSSFSFASAKRVGLDNDFIVVKANHHQPYHLSGLCFGSISSPHTHTPPCFSALLSEFYLFLFHLFCFWTRLLVATLHRDFHITWGDGRGKILDDGKLLTLTLDKISGSGFQSKDEYLFGSINMQIKLVAGDSAGTVTAFYLSSHGPTHDEIDFEFLGNLSGDPYIVHTNVFTQGKGNREQQFYLWFDPSKDFHTYSIIWNPRHVILAVDGTPIRDLKNLESKGVGFPKNQPMRLYSIQLVYDYCADLQRFPEGLPQECKLQ >Ma08_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5850296:5851759:1 gene:Ma08_g08400 transcript:Ma08_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSELLQSVTPSENVDSSDLCEPLEVREEDDTKPSCGRGSGDFASEKREGSASGGGKRLFDIIQQVRNADGDSGNGSRWRSLTDSLRRAGAALTAVSSSQPCPISDPEVAVSICRNPVVSRNVSIRNPYPISDPELVVSSRSNTVRCRSVSLSVSVRNSEPPVQEPMAVAVAAEEPPAVSKEISGGHKTYPGDTDDSWAPAAAPSKEEEEEEEEEEEEEQPAKMSLMALLEQTDMQWGGSEEELEAEEEEEEEEEEVAQDKPDGGGDGMLYVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGYILEILDIF >Ma10_p31050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37276074:37296984:-1 gene:Ma10_g31050 transcript:Ma10_t31050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHAHLTGQISSQMSTQSSTQVSGPSQQIGNFMASQMQGLGSGPMDSELQNGRATMQQKIYNILQRKNQAYSEEWVRRVPELVRRLEDRIFREAATREGYLNLALDPVDHRLCSIIKNVLNNSRPLSHHITPSSAVSTMIPTPGISNNGSTNTAVSVQLENPTVTARNTSVAPQTKANMANLLSTANGPPDAGNNTSLNASDVCNGYQHQSANFGLGSGGSNIMSSVASTSIPRQFSQMIPTPGLNSQQAASANSECSNGTGFSSTETPVAPQSLQQKKYAVSQNSHIFNSLGAQINAGMRSNVLHKGSPYGFSHALANGALGLIGNTMQLSGSAASEGVLTPNPYVSSPKPLQQHHQPRMPTSLSQQILPVVDDGNTVRTTDITHSETFHGPDSSSLSAMNNMNSVNLHHKLRANAGLLNYNASFKSTQLPLNIIPQLLDHSEKMNYQSSQSTREQLLQSQQHMQQSTQQPNQTYAQFVQNQHLLPQRQQQNQQIALMNDSLRKSSATSHFGEQLVPSHSNVTCSEPLIQSAARHVQPPDLQTQYQQNASAEGHAKSAQFLGHLPSPRDFHVSVSEGSLQLLHSHLQSDGFSENIGHISSELQADELLQFQWHPQPLKQAQMPEKQSCQQLQEELNQRIAGQDDTQQPNVSAREVDSGREDSIKQQDYLKQIRWLLFLHHAHRCPSRKGLCRESNCLKAQELIMHMDACNSEQCRFPRCSQSRKLVRHIRNCKVADCPVCIPVRDHIAANYKAHARALSDTSVVTEIKTDSDGMRTDTIPTEDSGDRQPASKRMKVQHISPFLPKVEASVVCLPSGNQHCDFQETEALECKHRTVMISANSEVVLKMDEPTGSGHEQVPVFGSDISENKNLPTCEKDPSVSNSVNSHVKQENMVVDKMLDQSTIEIKQDPGNQTTDQITGNKSGKPKIKGVSLIELFTPEQITEHIMGLRQWVGQSKAKAEKNQARERSMTENSCQLCAVEKLTFEPPPIYCSPCGARIKRNAFYYTIGSSETRHYFCIPCYNEARGETIEAEGSTFLKTKLEKKRNDEETEEWWVQCDKCEVWQHQVCALFNGRRNDGEAEFTCPNCCVKEIERGERKPLPQSAVLGAKDLPKTILSDHIERRLFRRLKQERQERSRHLGKNFDEVPGAEGLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPEIKTVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLRGEDYILYCHPEIQKTPKSDKLREWYLTMLRKAAKENIVVDLTNLYDHFFITMGECKAKVTAARLPYFDGDYWPGAAEDMIYQLRQEEDGKKQLKRGKTKMTITKRALKAAGQTDLSGNASKDALLMQKLGETICPMKEDFIMVHLQHACTHCCILMVSGTRWVCNQCKNFQLCDKCHEAEQSVDERDRHPTNSREKHMLYPVENNDVPHDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHHDIEAGQGWRCETCPDFDVCNACYQKGGIDHPHMLTNHPSTADRDAQNKEARAKRVLQLRKMLDLLVHASQCRSPQCQYPNCRKVKGLFRHGIQCRTRASGGCVLCKKIWYLLQIHSRACKESECSVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAADTG >Ma10_p31050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37276074:37296984:-1 gene:Ma10_g31050 transcript:Ma10_t31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHAHLTGQISSQMSTQSSTQVSGPSQQIGNFMASQMQGLGSGPMDSELQNGRATMQQKIYNILQRKNQAYSEEWVRRVPELVRRLEDRIFREAATREGYLNLALDPVDHRLCSIIKNVLNNSRPLSHHITPSSAVSTMIPTPGISNNGSTNTAVSVQLENPTVTARNTSVAPQTKANMANLLSTANGPPDAGNNTSLNASDGTVCNGYQHQSANFGLGSGGSNIMSSVASTSIPRQFSQMIPTPGLNSQQAASANSECSNGTGFSSTETPVAPQSLQQKKYAVSQNSHIFNSLGAQINAGMRSNVLHKGSPYGFSHALANGALGLIGNTMQLSGSAASEGVLTPNPYVSSPKPLQQHHQPRMPTSLSQQILPVVDDGNTVRTTDITHSETFHGPDSSSLSAMNNMNSVNLHHKLRANAGLLNYNASFKSTQLPLNIIPQLLDHSEKMNYQSSQSTREQLLQSQQHMQQSTQQPNQTYAQFVQNQHLLPQRQQQNQQIALMNDSLRKSSATSHFGEQLVPSHSNVTCSEPLIQSAARHVQPPDLQTQYQQNASAEGHAKSAQFLGHLPSPRDFHVSVSEGSLQLLHSHLQSDGFSENIGHISSELQADELLQFQWHPQPLKQAQMPEKQSCQQLQEELNQRIAGQDDTQQPNVSAREVDSGREDSIKQQDYLKQIRWLLFLHHAHRCPSRKGLCRESNCLKAQELIMHMDACNSEQCRFPRCSQSRKLVRHIRNCKVADCPVCIPVRDHIAANYKAHARALSDTSVVTEIKTDSDGMRTDTIPTEDSGDRQPASKRMKVQHISPFLPKVEASVVCLPSGNQHCDFQETEALECKHRTVMISANSEVVLKMDEPTGSGHEQVPVFGSDISENKNLPTCEKDPSVSNSVNSHVKQENMVVDKMLDQSTIEIKQDPGNQTTDQITGNKSGKPKIKGVSLIELFTPEQITEHIMGLRQWVGQSKAKAEKNQARERSMTENSCQLCAVEKLTFEPPPIYCSPCGARIKRNAFYYTIGSSETRHYFCIPCYNEARGETIEAEGSTFLKTKLEKKRNDEETEEWWVQCDKCEVWQHQVCALFNGRRNDGEAEFTCPNCCVKEIERGERKPLPQSAVLGAKDLPKTILSDHIERRLFRRLKQERQERSRHLGKNFDEVPGAEGLVVRVVSSVDKKLEVKQRFLEIFQEENYPKEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPEIKTVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLRGEDYILYCHPEIQKTPKSDKLREWYLTMLRKAAKENIVVDLTNLYDHFFITMGECKAKVTAARLPYFDGDYWPGAAEDMIYQLRQEEDGKKQLKRGKTKMTITKRALKAAGQTDLSGNASKDALLMQKLGETICPMKEDFIMVHLQHACTHCCILMVSGTRWVCNQCKNFQLCDKCHEAEQSVDERDRHPTNSREKHMLYPVENNDVPHDTKDKDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHHDIEAGQGWRCETCPDFDVCNACYQKGGIDHPHMLTNHPSTADRDAQNKEARAKRVLQLRKMLDLLVHASQCRSPQCQYPNCRKVKGLFRHGIQCRTRASGGCVLCKKIWYLLQIHSRACKESECSVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAADTG >Ma06_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2692237:2692936:1 gene:Ma06_g03700 transcript:Ma06_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAASFAQRHSRRPLTHSQPVSQYKNANSVGDRGEIQRLESGKVSSGVGATMATVAGHALLQCVFDRCIAAFDTEVRRRPYHRNCGCALHRAGQSPEGLPCNGRVSFRIHPSGGDLAASMSVSGGPKTQSWKTKPPPYAAK >Ma10_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28215054:28217448:-1 gene:Ma10_g16560 transcript:Ma10_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein CLAVATA2 [Source:Projected from Arabidopsis thaliana (AT1G65380) UniProtKB/Swiss-Prot;Acc:O80809] MAALDRKFVSLLLLLLTSLVAAAEEAGLGLDAGDRDALFRFRSQLNDPRLRLASWNGSNCTTWAGISCENRTGRVFRINLGDSGLSGAALELLCNLSLLETLVLSGNDFSGPIPRCFGRLQALRTLDLGRNKLQGSLPPEMAGLWQLEELVLSGNPGVGGLFPEWIGTFSYRLKRLDLGSTSLQGEIPEGLFHLSSLKFLDLSGNRLVGELKDFEQPLVHLNLSQNQLSGTLPCFSASTGSLTALNLASNALVGGIPTCISSLRALLELNLSSNGLQYRISPRLIFSDKLQVLDLSSNELSGPIPGSLVEEPERAELLLLNLSRNQLSGEIPAEMTELRSLQGLFLSQNQLTGEIPVAIGNLTYLQSLDLSYNFLSGPIPVSLAGCFQLWQLKLDHNNLSGALHPELDALDSLRILDLAGNRISGEIPLPLAGCKSLEVVDLSSNDLGGELSGAILKWQNLRFLSLARNHLSGDLPNWMFSFQYLRSLNLSGNHFSGYIPDGDFIVSDEFNGNSNEPYDGFSMVLVPVSVNFAGSRQLEFNYKLHSQVGIDLSNNALRGEIPEGLIGLKGLEYLNLSYNDLAGRIPGNLEKMGKLKRLDLSHNSLSGEVPASISVLRELEALNLSYNCLSGPVPTREGLQRFPGAFAGNPALCMEFSGKGCDTEANLPAGKAIGASGGRDDGWMSVGAFWISAVASFYASVVALLCSPMSREYIFQAFKPEF >Ma07_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8397823:8400377:-1 gene:Ma07_g11280 transcript:Ma07_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLLHSLKKSRRKLLILLLSFLLLLALIATVTTLSSRRSSSVSTSHVILHTSCGATRYPSLCVSAISSSPSLLSSISSHRDVILASLNLTASAVHRSILHVHSLSSAYANLTDRERTALADCLDMFHVSLDELRRTANDLRVLPVAAKDHARPLPADPEILVSAAMTNQESCLDGFSHDQLDRRLRGSLIAELTHVMHMCSNALSMIKGLPGRGGVQRRKTTGFGVDKEGWPKWMGEEDRRRMAAEEVAADAVVAADGTGDYSTVGEAVAAAPSKSKKRYVIRIKAGTYAENVEVPKKKTNIMFVGDGRETTVITGSRNVVDGSTTFRSATLAVVGEGFLARGLRIENTAGPSKHQAVALRVGADLSAFYDCDILGYQDTLYLHSLRQFFRGCLIQGTVDFIFGNAAAVLQDCDIQVRRPNPNQKNMVTASGRDDPNEPTGIVIHRSRITAAPDLAPVQGSFRTYLGRPWKEYARTVIMESEISDVIEPEGWHEWSGTFALDTLYYGEYRNTGAGAGTGSRVQWKGYKVITSAAEAAEFTPGSFIAGSSWLEATGFPYSLGLSS >Ma01_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21099859:21102199:1 gene:Ma01_g21440 transcript:Ma01_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 19 [Source:Projected from Arabidopsis thaliana (AT2G34060) UniProtKB/Swiss-Prot;Acc:O22959] MGGRSSFLRVALLTFSLGFYFVSCCVGFVEGGYSNATKRKRVPVRHQLSLDFYANTCPHVDQLVASVTARRFRDSPASGAATIRLFFHDCFVEGCDASILIAPTTGGKVVVERDVEDNKNLAPEAFETVEMAKALVESKCPGMVTCADILAMAARDFVRLAGGPNYGVKKGRKDSRVSMAGKVRGNLPRANSTVDELIRLFAAKGFRTEDLVALSGAHTIGFSHCDQFVSRLYDFRGTGEPDPSIDLRLLKALRMSCPRSGGNNDVVAPFDVQTPFSFDHMYYGNLEAKMGLLATDQALFLDPRTRPLVQGLGRDKVRFFDAFAAGMEKMGSIRVKKGKTGEIRKVCSKHLAA >Ma05_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35263262:35263549:1 gene:Ma05_g23240 transcript:Ma05_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRNKTYPINLSSAVLQNMIWSRSVQLAENKAHMDDLRPLNYSREAQFC >Ma10_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32496087:32498171:1 gene:Ma10_g23690 transcript:Ma10_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLEEYKPGLAMVAVQCIYAAMALSAKAAFTHGMSPMVFVVYRQAIASIVLLPINIITKRGNIGQIDLGTRGFCLVFLASLIGATLNQFFYYRGMDLASSSVATAMTNLIPAITFVMAASLGLEKVKARSLRSVAKVIGTVICVGGATAMAFLKGPRLLSMEFRHLLLGSATDKWVVGALFLIGSSCCWSFWLILQVPICNDYLDPLSLSTWMCLISTFQSATITFFLEPQLSSWKITSLVELLSCLFAGIFGSGVTFYLQSWCISVRGPLFSAMFNPLSTVITTVLALILLHEQLHLGSLVGAVAVVSGLYMVLWGKAKDLDPKRRSQPGDDAHKVTIVIEPKQSYKTDLTKPLMEERAEEAVEK >Ma01_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1022770:1025679:1 gene:Ma01_g01460 transcript:Ma01_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDFEEYAAKAKTLPENTTNENKLILYGLYKQATVGPVNTSRPGIFNMRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAAAAA >Ma03_p21320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26314514:26315340:-1 gene:Ma03_g21320 transcript:Ma03_t21320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKAGEAIESFEESSPKPDPNSSSGDKNKAPEVEVHLFRRGRGPIDVFRSKLGGWDQDRLEVQDILDKYGFKSLFAFNPESGRGVPIRFSSRNGRSILPYTGGSVVVIDGEPKDSLVKPVTKIMVGVAVLTLLIAIFFKETPEWFKSSRFSGITFPPWVLACMVIVFTRLRKRTKDVLKKYGR >Ma03_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26311934:26315340:-1 gene:Ma03_g21320 transcript:Ma03_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKAGEAIESFEESSPKPDPNSSSGDKNKAPEVEVHLFRRGRGPIDVFRSKLGGWDQDRLEVQDILDKYGFKSLFAFNPESGRGVPIRFSSRNGRSILPYTGGSVVVIDGEPKDSLVKPVTKIMVGVAVLTLLIAIFFKETPEWFKSSRFSGITFPPWVLACMVIVFTRLRKRTKDVLKKYGR >Ma04_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10266061:10270001:1 gene:Ma04_g13580 transcript:Ma04_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSCSPSPPRGYERRGRSPSPRGRYGGRGRDLPTSLLVRNLRRDCRPEDLRRQFGQFGPLKDIYLPRDYYTGEPRGFGFVQYVDPADAADAKYHMDRQVLLGRELTVVFAEENRKKPLEMRARQRRGRDHRRSPRHPRSPRYSRSRSRSPQCSRPARGRSRSQSHSYDSPSPNHRHHSRSVSPRNGKPNRERSYSHSPPGSRSRSRSPGDLPE >Ma07_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28160117:28160598:-1 gene:Ma07_g20210 transcript:Ma07_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKRTKVKKGWLAVRVGLEGEEGGFRRFVIPIAYLHHPHFTRLLEAAREVYGFRSSGPLKLPCSVDDFLHLRWLIERESHHSHSLHLHSFPLHSC >Ma05_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6194405:6198655:-1 gene:Ma05_g08400 transcript:Ma05_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLFSDIGKNAKDLLTKDYTCDQKLTISTTSASGVALTSSAVKKGGLYTSDIGSLYKYKNTLVNVKVDTDSNISTTLIISEILPSTKAIASIKFPDYNSGKLEVWYLHHHASLASVMSLKQSPVVELSGTVGAQGLAFGAEAGFDSASGTFTKYGAGVSLTKAEYDASVIMVDKGDTLRASYVYHLDEMQKSTVVAEFVRRFSTNENTVTVGGQHALDSKTTVKARLNNSGKLGALLQHELRPKSTLTISGELDTKALERTPRLGLALALKP >Ma04_p33440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33055514:33059108:-1 gene:Ma04_g33440 transcript:Ma04_t33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMQAGSSLDALVSSFHTRIVELQELVIARNMYPSTSMPDLSAVDVTLKTMESQIQAIKDRLQEERNAIPKAKKLIDLSQRQQRKLQHMLAHMPPSMLKNQSRSVQNPSSSLVPDDSGPDILHEPCHLKEEPVAMLKGKKGPSPAPRWYISAEELDSLSSYMRGRLTLDKVNIAINEIAMYAEANSHLVSCPKKKLAEDTWEKALELRDIATTEAVKGKHFFLETDIKGPGLKLDNTGKAILTVLRHLGRILEARIGHHRVFILSKPH >Ma02_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24357646:24359628:-1 gene:Ma02_g17480 transcript:Ma02_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSSASLEKQVRDENSVFVLEDDDSCPERGMRRLACLYSQQGKKGLNQDAAILCKDYGVEDGVFCGVFDGHGRSGHIVSRMVRDNLPALLLSQHNALLLDDEDHRSAGGDDTSSADGEEFSDVSPDMFDEWKEACIKAFRDMDNELEEQLHLDCSCSGSTAVSVIQQGRDMIIANLGDSRAVLGTVSVDGRLEAVQLTIDLKPNVPQEAERIKKNNGRVFARKDEPHVQRVWLPDVDFPGLAMARAFGDFELKNYGVISIPQVAYHRLSDRDRFVVLATDGVWDVLDNEMVVSIVSSTGKKEEASKAVVEAAVRAWRFTFPSSKVDDCSAACLFF >Ma10_p23360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32342309:32343409:1 gene:Ma10_g23360 transcript:Ma10_t23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREMARRLLQEFSHAHSIAQASLMSFGVIAILIVVLVLLALCWKSRKPKPTWKTPNSTKSPADNFSGNLRTISYFDYRTLKKATRDFHPKNQLGGGGFGPVYKGTLDDGRIIAVKQLSVGKSQQGEREFLAEVRMLTSIQHKNLVHLIGCCSEGAERLLVYECMTNGSLNSIFSGLCFNLLHHFVLNFVSIFSCCCL >Ma10_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32342309:32343409:1 gene:Ma10_g23360 transcript:Ma10_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREMARRLLQEFSHAHSIAQASLMSFGVIAILIVVLVLLALCWKSRKPKPTWKTPNSTKSPAGNNSLYEQNKNHILSLLVSVFPDNFSGNLRTISYFDYRTLKKATRDFHPKNQLGGGGFGPVYKGTLDDGRIIAVKQLSVGKSQQGEREFLAEVRMLTSIQHKNLVHLIGCCSEGAERLLVYECMTNGSLNSIFSGLCFNLLHHFVLNFVSIFSCCCL >Ma02_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19041842:19045916:1 gene:Ma02_g08780 transcript:Ma02_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRATLALGVASLLLVAYASAHDFVRFGYRGAIGPDKWGGLCPDYELCSKGKHQSPINIVKDDVVYDPTLEPLQRDYAATNATLVDNGFNIALRYDSGVGHVIVGGKNYTLIQMHWHSPSEHTIDGERFPVELHLVHSSDDGNITVVAILYRFGHPDPFVSQIKNKVAELAKEVCAGDEEAHVPVGIVQTRAMKRHSRKYFRYVGSLTTPPCTENVIWNILGKVREMTAEQLAELKAPLHEEYYNNSRPTQPLNGRTVLLYDESKAS >Ma08_p30170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41552740:41561953:1 gene:Ma08_g30170 transcript:Ma08_t30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEERDPQRLKRIAAAAYDYDNDPRWAEYWSNVLIPPHMAARSDVVDHFKRKFYQRFIDPDLVVEAMSSTSSSQSSRASDGPSSQTTAQNLRPQNSGSGSGSTGRAPSTGRNVNSLRLDQRSIQFSVNAWVLVVATLGMLPVAPRNLSNKAYRLSLLGTACSSVCSLYTVYGKPRAWNLPSIQTWLQTIIGAKDFIHFIYCITLITSKLHLKFALIPVFCWAVDHVARFLRRNFARSTLYRKYLEEPCIWVEANGTTLNILSSNAEIALGFLLIISLFSLQRNIIQTFIYWQLLKLMYHAPVTAGYHQSVWARIGRAVNPYIYRYTPFLNSPISAVQRWWFR >Ma10_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33087246:33092250:-1 gene:Ma10_g24530 transcript:Ma10_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGFSGGGRGSGGNGHDFFDVSYQAKDLGENGFGHELMSPAGTNRSRQSLIFTFLKLGLVVFIVLSLSSSLYWAISISISSRGNIHHGYRRLQEQVVADLTEIGELSLGIARLKELEFCPPEYENYAPCYNNVSEDFDLVDPLVPIEYERNCVPDAKKGCLILPPRNYRIPLRWPGGRDIIWKENVKITGHEFSSGSLTKRMMVEEEQISFRSGSLMVDGVEDYTHQIAEMIGLPNESNFIESGIRTVLDIGCGFGSFGAHLFSKQLLTMCIANYEASGSQVQLTLERGIPAMIGSFSSRQLPYPYLSFDMLHCARCEIEWEKNDGIFLVEVDRLLRPGGYFVWTSQMNTHRSQREKENQKKWSLIRDFAENLCWDMLSQQDETIVWKKTSRRKCYSSRKAGPAVCGRSHDVESPYYQPLNPCIAGTRSRRWIPIECRTPWPSRARPNSTELDIHGIHLEDFAEDAANWNSVIHNFWSLLSPLIFSDHPKRPGDEDPSPPFNMLRNVLDMNAHFGGFNAALLDAGKSVWVMNVVPTSGPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLETRQKHRCSMLDIFLEIDRILRPEGWVIIRDAAHLVEAARSMTTQLRWDARMVELDSSSDKKLLVCQKPFFRKQQ >Ma06_p34280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34589227:34592637:1 gene:Ma06_g34280 transcript:Ma06_t34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLVRRLSSVATQGRRGCSSSRSTAAEINGGADAVVPEIPPFDYAPPRYDGPRAAEILRKRSEYLSPSMFYFYKKPLNIVDGKMQYLFDQDGRRYLDAFAGIATVCCGHCHPDVVDAVVRQTSHLQHSTVVYLNHAIADFAEALASKLPGDLKVVFFTNSGTEANELALLITRLYTGCHDIVSVRNAYHGNAAGTMGTTAQYNWKFNVMQSGVHHAMNPDPYRGAFGSDGEKYARDVQEIIEFGTSGQVAGFISESIQGVGGVVELAPGYLPAVYESIRKAGGLCIADEVQSGFARTGSHFWGFEAHGIVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRNYFNTFGGNPVCTAGGLAVLRVLEKENLQHNALLVGSYLKGRLKALQQKHEIIGDVRGRGMMLGVELVTDRKQKTPARTEISHAMDQMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFTEVMDIALSKL >Ma07_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6496885:6502606:-1 gene:Ma07_g08720 transcript:Ma07_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDPRAAVPGYIPSDVPSTASHQTWDMSGSQGTPDLPRINMLPLRSGSYGLDDHAGVSSHAMTRLGGLAAGDSIRALEGPVLARRDVPLNINHSIVANGLSPEESNILFVDGLPTDCTRREVGHLFRPFIGFKEIRVVHKEPRRTGDKARVLCFVEFDNAKCALTALEALQGYKFDDKKPDAPVLRIQLVKFPFRPASVHDAQR >Ma11_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3424101:3427775:1 gene:Ma11_g04370 transcript:Ma11_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETVTSRYVKLNKIHDAPMEEIRPGELNQPIRVPQLEIQKCIECGQPLPESYQPPADEHWTTGICGCAEDAESCWTGLFCPCVLFGHNVERLKEDIPWTTPCACHAVFVEGGIALAVATAAFHGVDPRTSFLIGECLMFSWWMCGIYTGIFRQSLQKKYHLKNSPCDPCMVHCCMHWCAICQEHREMRGHLSDNVETQPSVINPPPTQEMAANEGHDSGVSANQRSV >Ma05_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2084171:2084940:-1 gene:Ma05_g03000 transcript:Ma05_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASYVKDTELRLGLPGTHAPVATRGSKRALPEDDEKSHGKSKARVVGWPPIQSYRKNSFRARKAEAEVAGSYVKVSMDGAPYLRKIDLRVYRGYKELREALEDMFGCFSLGEASGAEGCTGSEHAMAYEDKDGDLMLVGDVPWEMFISSCKRLRIMKGSEARGLG >Ma03_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2078382:2079150:-1 gene:Ma03_g03080 transcript:Ma03_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRMLFLDQSALFNFHSFLTVVLLVISTCTYIRCSSLPSSSRGPGLGPLSM >Ma03_p28550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31686442:31692765:1 gene:Ma03_g28550 transcript:Ma03_t28550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSKDVNGGFPGYKKITVVFVLGGPGSGKGTQCAKIVENFGFTHLSAGDLLRAEIKSGSENGSMISSMIKEGKIVPSEVTVELLQKAMLESGNDKFLIDGFPRNEENRATFENVTKIEPEFILFFNCPEEEMEQRLLSRNQGRDDDNIETIRKRFKVFVESSIPVVEYYDMKGKVRKVDALKPIDEVFEAVKAVFDPFHVKVE >Ma03_p28550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31686442:31692604:1 gene:Ma03_g28550 transcript:Ma03_t28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSKDVNGGFPGYKKITVVFVLGGPGSGKGTQCAKIVENFGFTHLSAGDLLRAEIKSGSENGSMISSMIKEGKIVPSEVTVELLQKAMLESGNDKFLIDGFPRNEENRATFENVTKIEPEFILFFNCPEEEMEQRLLSRNQGRDDDNIETIRKRFKVFVESSIPVVEYYDMKGKVRKVDALKPIDEVFEAVKAVFDPFHVKVE >Ma03_p28550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31686442:31692604:1 gene:Ma03_g28550 transcript:Ma03_t28550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSKDVNGGFPGYKKITVVFVLGGPGSGKGTQCAKIVENFGFTHLSAGDLLRAEIKSGSENGSMISSMIKEGKIVPSEVTVELLQKAMLESGNDKFLIDGFPRNEENRATFENVTKIEPEFILFFNCPEEEMEQRLLSRNQGRDDDNIETIRKRFKVFVESSIPVVEYYDMKGKVRKVDALKPIDEVFEAVKAVFDPFHVKVE >Ma03_p28550.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31686442:31692604:1 gene:Ma03_g28550 transcript:Ma03_t28550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSKDVNGGFPGYKKITVVFVLGGPGSGKGTQCAKIVENFGFTHLSAGDLLRAEIKSGSENGSMISSMIKEGKIVPSEVTVELLQKAMLESGNDKFLIDGFPRNEENRATFENVTKIEPEFILFFNCPEEEMEQRLLSRNQGRDDDNIETIRKRFKVFVESSIPVVEYYDMKGKVRKVDALKPIDEVFEAVKAVFDPFHVKVE >Ma03_p28550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31686442:31692387:1 gene:Ma03_g28550 transcript:Ma03_t28550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSKDVNGGFPGYKKITVVFVLGGPGSGKGTQCAKIVENFGFTHLSAGDLLRAEIKSGSENGSMISSMIKEGKIVPSEVTVELLQKAMLESGNDKFLIDGFPRNEENRATFENVTKIEPEFILFFNCPEEEMEQRLLSRNQGRDDDNIETIRKRFKVFVESSIPVVEYYDMKGKVRKVDALKPIDEVFEAVKAVFDPFHVKVE >Ma05_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35212897:35218630:-1 gene:Ma05_g23190 transcript:Ma05_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFETFSNDGDEAAAAAVAGSATNRPFDDGYLGYDPRLPSQRFDAFSTFSPADDVHPAVDHFPPASPGFPVDVASGGDFDFHSEDVPIHHPVGGGSGGDSIPASPEGYGFSSSTSPFTMPQANGATYGVEENGEIFTSDAPILPDPEQMQRDEGFILREWRRQNAVLLEEKERKEKELRNEIISEAEEYKQAFYEKRKLNCETSKLQNREKETLYLANQEKFHANADKQYWKAIAELIPHEIANIEKRGKKDKDKKPSIVVIQGPKPGKPTDLSRMRQILVKLKHTPPPHMKPPTPPVATPAKDAKDGAAAEGKKPAPPAKNAKANGAAAVTKVDTATAEGQAVKAPEVVATA >Ma04_p08670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6178130:6184571:1 gene:Ma04_g08670 transcript:Ma04_t08670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQDASLSSINVSAGGLVWVRRRNGSWWPGRTVGLHELPVKCLLPPRSGTPIKLLGREDGSMDWYNLEKSTRVKAFRCGEFDECIQKAMASAVHSSKFSTSTGKYVHREDAILHALEIEKSYFPSRKQNGSGMNNFYRATGCDFTKKSKKMHRLDKQRGLMARKFDTFEENSPQEIPQSLVSYEQLDKLIAADAQLMEKEHWRTPNDSEGEGINHVRDQKDIGLGITSTRKPHVHVETELFTDLALPDSASLSESNINNRFFSSGPIISCKSSGSCLKRKRSSAKAPEDVRRDCHHALSKVCKGSRIIIPSYCYWDGTFGGFWPLKEATEKTSKELLSIPSWTNITCGSGTSGETLLGASESTCKFDGTDFNSQIKDCELASMLEFIDNECSDGLVDITLVMGDRIGEDFQKASEYCPIRDLLPRVDEKHYNGCCKDDLVSHFAEGLRESSYTDSRHQLNSVNKKAEKRSVEPHLISKKNLNYMRFSRITNSESHINGANQSENSLDQMEKKIFFAGLARKIGSNHSDELLTLDSCHCHLVKDESVSEAYDVSQSQSSDLPCSSSEWEHCQSDALKHCVINCSTSLLPSRDHERSSRRQIPISTLMTRQLFPRDRVSTLTCSKYQVVKQIRSTGLGSSLYDVELTVETKTGRPHVPLNSLMSKLNGKAIVGHPAPVEVMEDGSSDTLITKNDCWPATSNINRSLKNGLVIIGRATPGKKAAQTYRGVQWGNYDQLNVPSLLERKHSNSRTSRLSPRKIRRLSSIRKPEVETIVRPAVACVPLGLVFSRITEAFPSSL >Ma04_p08670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6177335:6184571:1 gene:Ma04_g08670 transcript:Ma04_t08670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQDASLSSINVSAGGLVWVRRRNGSWWPGRTVGLHELPVKCLLPPRSGTPIKLLGREDGSMDWYNLEKSTRVKAFRCGEFDECIQKAMASAVHSSKFSTSTGKYVHREDAILHALEIEKSYFPSRKQNGSGMNNFYRATGCDFTKKSKKMHRLDKQRGLMARKFDTFEENSPQEIPQSLVSYEQLDKLIAADAQLMEKEHWRTPNDSEGEGINHVRDQKDIGLGITSTRKPHVHVETELFTDLALPDSASLSESNINNRFFSSGPIISCKSSGSCLKRKRSSAKAPEDVRRDCHHALSKVCKGSRIIIPSYCYWDGTFGGFWPLKEATEKTSKELLSIPSWTNITCGSGTSGETLLGASESTCKFDGTDFNSQIKDCELASMLEFIDNECSDGLVDITLVMGDRIGEDFQKASEYCPIRDLLPRVDEKHYNGCCKDDLVSHFAEGLRESSYTDSRHQLNSVNKKAEKRSVEPHLISKKNLNYMRFSRITNSESHINGANQSENSLDQMEKKIFFAGLARKIGSNHSDELLTLDSCHCHLVKDESVSEAYDVSQSQSSDLPCSSSEWEHCQSDALKHCVINCSTSLLPSRDHERSSRRQIPISTLMTRQLFPRDRVSTLTCSKYQVVKQIRSTGLGSSLYDVELTVETKTGRPHVPLNSLMSKLNGKAIVGHPAPVEVMEDGSSDTLITKNDCWPATSNINRSLKNGLVIIGRATPGKKAAQTYRGVQWGNYDQLNVPSLLERKHSNSRTSRLSPRKIRRLSSIRKPEVETIVRPAVACVPLGLVFSRITEAFPSSL >Ma04_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6178533:6184571:1 gene:Ma04_g08670 transcript:Ma04_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQDASLSSINVSAGGLVWVRRRNGSWWPGRTVGLHELPVKCLLPPRSGTPIKLLGREDGSMDWYNLEKSTRVKAFRCGEFDECIQKAMASAVHSSKFSTSTGKYVHREDAILHALEIEKSYFPSRKQNGSGMNNFYRATGCDFTKKSKKMHRLDKQRGLMARKFDTFEENSPQEIPQSLVSYEQLDKLIAADAQLMEKEHWRTPNDSEGEGINHVRDQKDIGLGITSTRKPHVHVETELFTDLALPDSASLSESNINNRFFSSGPIISCKSSGSCLKRKRSSAKAPEDVRRDCHHALSKVCKGSRIIIPSYCYWDGTFGGFWPLKEATEKTSKELLSIPSWTNITCGSGTSGETLLGASESTCKFDGTDFNSQIKDCELASMLEFIDNECSDGLVDITLVMGDRIGEDFQKASEYCPIRDLLPRVDEKHYNGCCKDDLVSHFAEGLRESSYTDSRHQLNSVNKKAEKRSVEPHLISKKNLNYMRFSRITNSESHINGANQSENSLDQMEKKIFFAGLARKIGSNHSDELLTLDSCHCHLVKDESVSEAYDVSQSQSSDLPCSSSEWEHCQSDALKHCVINCSTSLLPSRDHERSSRRQIPISTLMTRQLFPRDRVSTLTCSKYQVVKQIRSTGLGSSLYDVELTVETKTGRPHVPLNSLMSKLNGKAIVGHPAPVEVMEDGSSDTLITKNDCWPATSNINRSLKNGLVIIGRATPGKKAAQTYRGVQWGNYDQLNVPSLLERKHSNSRTSRLSPRKIRRLSSIRKPEVETIVRPAVACVPLGLVFSRITEAFPSSL >Ma04_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5065356:5067394:-1 gene:Ma04_g06960 transcript:Ma04_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPANIDWKNIESRSVRDEAYENINAPKWLDLAAPEASPVDDDAWFCRPDCKHPKTAEDFKLLATPSPKAKLMRSSSERLPLGERNGTRRDENNLKRRAGIAAPLLPVSPHKPKAAASKKFREDLENQDPNQSTLQRPSRPLGAPKRCNTAKEMIKSSAEKKVEEQREEPKQKKAQPRLKSTLSASNLFSGKDILNQISEFYHEIKKMAIGRGRTPAEETKKEVKKVADIVPEAEDTKLLTPKKDDASVKKSSKMAVRIEIEKSTALKEVRAYPPTPQRFTSPSIRSTRNPKATATGRSPLNKPPKSATLQKVIQQEMEQDKEEKTAILPVKGEDCNRAAAAADTDTEEGSSADLFWFLKPCTYLVK >Ma06_p27030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29062746:29065691:-1 gene:Ma06_g27030 transcript:Ma06_t27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPNECLLDEEVHINSTGQNSPISGPLQLHPTLQVEEHTPGRKLICSLASSYEPYNLPAQPIRNHIWRGHFHVCNKELGPFTAHISSKACEKVWNIAKKLPAVLQMTKLSRLDSWPKSFKTSPPNDESIALYFFAQDARVGAMLDELLCEITKKDFVLKVKHDEAELLAFSSIVLPESYCKFQEKYFLWAVFRRRQGAVSCAPAECSPSYDEITEEKERAPYLTHATKKESDDIAENCIVADKLVGNSNNSEEKLDECHDRNACLLPSGKQIPLNCEYPSGEQIVSDCEMAEPSNGCKDYHQELFTFNFDHDEGTTNYPMSLFPEQGEDMALTSRIRGSDSLDLKLGYSWSTCDDAHLKDMFNSDSLT >Ma10_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25206170:25212247:-1 gene:Ma10_g11820 transcript:Ma10_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGAGGGAGGGEGKSAAAGAGKPPRPTITLPPRSALESLFHGGGGIPEVSPGPLTLVSSFFADDPESECRSFTQLLVGAMNSPASAARRPAGITEEKEKVMESGSEDGGTERGSGGSDGGGGSGLVRLGQNRPASLAVSQTQAFAIPPGLSPASLLDSPGFFSSGLGTFGVSHQEALAQVTAQPSHSQYCMLSQAEYPSTFVATSSSQHPIQEISTLKPNSTAYESAGVPHSDQISQPMAITVDKPADDGYNWRKYGQKMVKGSEYPRSYYKCSHSNCPVKKKVEHSLDGQITEIIYKGQHNHTRPVLNKRSKEDGIFHSGSNEITESVNNPTTSESASHGHLGNLRRSSGMTVATSSSKRDRESDYSAPQQLFGSCDREEASEIQKDGRDGHDADAKRMNVSVSSQTTSTEPKVVVQTTSEVDLLDDGYRWRKYGQKVVKGNPNPRSYYKCTYHGCNVRKHIERAATDPKAVVTTYEGKHNHDVPFARNSSHNMAGAGAASSTVQSNNQGFFRTTNFRNNDQRPVAVLQLKEEHEIT >Ma01_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12223680:12230211:-1 gene:Ma01_g16780 transcript:Ma01_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGRSSAPLKNFSSRYKAELLHHFKTWRLNSACRSLSTQAATTGSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPLLKGAMKRGDWYRTKDLVLKGSDWIVNEIKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERLTLEKARKEAYQAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWLASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAMLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAQKQLLEAAAA >Ma04_p37280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35272662:35274776:1 gene:Ma04_g37280 transcript:Ma04_t37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKAVIFGKRSSRSHTSKGKDGLKPGVDKEHFSVGEPSHVTVKSPVINQPVLVSNNSIGTSSENRTDSTLVTGAVRVESQEIVGHQASSNPAKALEERAATKVQAAFRGYQENSRKREFVFMCSHGGCSVH >Ma10_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24822152:24822535:-1 gene:Ma10_g11240 transcript:Ma10_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKLYLLVGFKLLLLLFMAFGKGVAAQVEEATNSTSSPWLRGRRSLRRHGCWYQPWICLERLRPFERRMCCRNRCVDTGADANNCGLCGIRCPFRRWCCNGLCIDVMANPLRQPVPAWPPLPVRPV >Ma01_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:338709:340416:-1 gene:Ma01_g00480 transcript:Ma01_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLFDSLYCQEDSLELEEERTGPVPPVLDELAAVAEEEWANVLCFLAAKEEETRPELPVDCGGEDTYLLSARREAVEWVARTAARRAFSVLTAMLAVNYLDRCFLPSAAAGGLRLQKDKPWMGRLAAVACLSLAAKVEETSVPLLLDLQAAATPEPEENKYVFEAKTIRRMELLVLSTLSWRMNPVTPLSFVHHLLLRLYPKYKNAISTTTAARIRELVGGCETILLSVIADWRWVRYPASAWAAAALLHAADGGGGAAAASSDFYETHHLVAFLDVPKEKVEECYQLIMESMVHDGGITGHKRKHWTSSLSHCHTWPGSPDGVVGSCFSCESSSSGGDSWTICPSSVSSSPEIRPSKRPNSTANKTIGDEGIVEEGVLFAFVPM >Ma02_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25202287:25203998:-1 gene:Ma02_g18790 transcript:Ma02_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVDPSVHKSQRPRAHGLVRHAENRIGYVLSVPFYISPTVWGINSINFLLWANKRCRSIGSLICPRRRCFRRRYQLLWVSSSIKLVFACLPPIGVVKGQSWRLLNTASTGL >Ma08_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:125487:126506:1 gene:Ma08_g00140 transcript:Ma08_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVDSFLSSFKKYSAAAKNKKKGRRAIARTDALNASSSSSSASSYSEEYSTATGLQTTPRSVLQAAHGKTPLFLFPDEVSLLDLFNMFDCDGDGKITKRELEAVLRRLVPDAPTAEEVASMVAEMDRDGDGCISLDEFAALGPALAASAGGGESELREAFAVFDADGDGKISAEELLGVFATLGDCGCTLEDCRRMIGGVDADGDGFVGFEDFVRMMDGQIRR >Ma09_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35222665:35223422:-1 gene:Ma09_g23360 transcript:Ma09_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIFSVKVIKISKVSIDRSIEAFSSPPSLSLFALQSLIEFGAQQEEHMGFIEVVSQLAKPALDVHGQCFHVHMQWSLAHLGTGSRYPNHLLHH >Ma11_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27312631:27314190:1 gene:Ma11_g24280 transcript:Ma11_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRGGIRVKQCELCVRPARIHCESDEASLCWECDAKVHGANFLVARHSRCLLCRSCQSPTPWRAEGARLRPTFSVCERCAATTSSSAEGSGGGEGCGAGDEEEGEGEGGRRDREEDEEEEEEDDDEGENQVVPWSLTPPPAASSSNSSEGEEEPGRIGRIGNGGGFLKRMRGNADLSVSQDDLACSSSLPSYLPPSPAPSPAASATMAAAAKDEASSCASAFRSSKDRKRPALRPEPASDTDTAGAMLDVRVHGRVDLMTRLLSSSDPNRYKNLEG >Ma01_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21773900:21775630:1 gene:Ma01_g21770 transcript:Ma01_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIAGSTLLRHLGPRLFFSAAAARAPTAGEPACSLLLSGSASLAPARSPAAVLVRLFPVRMTSTTAAQAFGGEQEGEAKPPAASREATAVPPSERKAVASYWGIQPSKIIKEDGTPWRWSCFMPWETYKADTSIDLKKHHVPATLLDKLAYWMVKALRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMFLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAQPRWYERALVIAVQGVFFNAYFLGYLVSPKFAHRVTGYLEEEAIHSYTEFLRDLEAGEIDNVPAPAIAIDYWRLPADATLKDVVMVVRADEAHHRDVNHFASDIHYRGMELKDIPAPLGYH >Ma07_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3183672:3188365:-1 gene:Ma07_g04250 transcript:Ma07_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSFALVALFPRVKDSILMTHRGGFWALLSVRACCFFLFSFLIRARLAFSQNQTCESKDLNALLGFANELDLGWVLNGSYSGCCDWPGVSCGPPTINGRRVVGLDLSRKSLRGSISDSLAGLDQLKRLDLSVNFLQGVVPPQLLRLPLLELINLSTNQLKGVIPSNLNLPAIQVFNISYNQFTGSHPILVGSSNLTYFDLTSNDFYGPIDAGICNSSAKIRILRFSENRFYGDFPRGLKNCSSLTELWLSMNDLSGDLPDALFDMTSMTQLFLQGNQFSSDLSKNMSNLSNLVEIDLSLNRFSGFIPDVFGSLAKLESFSAQSNKLVGNLPSSLSKLSSLRVLNLNNNSLSGEINLNCTAMPKLSTLDLGSNSFSGPIPDMLLQCVQLNTLNLAKNNLTGEIPHSFKNFTSLSDLSLTGNHFSNITSALQILQYCPKLTSLVLTRNFHGGEMMPVDGIQGFEKMELLVIANCALTGSIPSWLANLTRLKVLDISWNRLSGSIPMWLGNLDNLFYLDLSNNSLSGQLPNSLTQMKSLMSGSKSLQVSSMENFPFFIKRNSSRKGLQYNQVSSFPPSLILGDNMLVGQILPGFRNLVVLHVLDLSWNNLSGNIPAELSGMTSLEILDLSHNNLTGAIPSSLTNLSFLSKFDVAYNNLVGQVPTGGQFSTFSSSDFEGNSELCGIHLLPCKSKDLLPSRVKTHKRAARISMAAGIGIGASFLLGVVYWIILGRHSGKHEDNPKVVAHADESSDAAGCSIVLLFHKDSKELNIDDILKSTNNFNQAFIVGCGGYGLVYKATLPDGRNIAIKRLSGDFFQVEREFQAEVETLSRAQHRNLVSLQGCCKFGNDRLLIYSYMENGSLDYWLHEKHEGSLMLDWEKRLQIALGAARGLAYLHESCEPHILHRDIKSSNILLDEEFEAHLADFGLARLISPSETHVTTELVGTLGYIPPEYGQSPGATFKGDVYSFGIVLLELLTGRRPVDMCKPKGSRDVVSWVLQMKKERREAEVFDPCIYDKDDNSQILRMLELACLCISESPKLRPSTNQLVSWLEEICSNGQLTK >Ma09_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6078047:6084063:-1 gene:Ma09_g09150 transcript:Ma09_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAEEQLCEAAVEGDCAKIGDLLSAGADPTYFDASGMTPLMYAARHGHADSARLLLSAGAPWNALSPSNISAGDLAMEHAHQEAFDVLLNAGIQAELVLGTIARVAERNGEKEGGGLNYLEDRVSFSEDKLMDSESKAVMMEWERPLMEAHARAVCGGGGKVLNVGFGMGLVDEAIQRYGPVEHTIVEAHPEVYERMLRSGWGKKENVKIVFGRWQDVLPQLESYDGVFFDTYGEYYEDLRQFHQHLPRLLKPGGVYSYFNGLCGDNAFFHVVYCQLVALELGNLGYSTQFIPLPVKDCLTEEVWEGVKRKYWQLDTYYLPVCQPLSDSE >Ma10_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32900379:32908480:1 gene:Ma10_g24220 transcript:Ma10_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLTLFETCHLRDRLFFSSSVNAAAVTVGGTISPDPHFMVEYLVNSCGFSPSEAAKFSKPLAHLRSTEKPDAVLNFMRSQGFDGAGIRKVISWKPNYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNHGILLFNAQRSIVPRLEMWESLLGSRELVLKHLKKTMRFFHSSVEKTLHPNQKFLRDECGIPEERLSVVLRSHPQLISHKPESLRALVARADELGMPRQSRMFIWTLNILQRVSKERFEAKGEFMRRFGWSESEFSSAVRKAPNFIGMSLDMLRRKVEFFINVVGCTPSFIADKSYLLLFSLQKRVIPRFRVTEMLKSKGLLTGQAKFPYILALSDTKFLEKFVLPHKENVPELLDILRVEGVCKGKGFATGAIFCPTMAAATLRSVLRRNSLLPLFETRSLRGLFFFSSSIDPAAAVGVTISPDPNFMVEYLVNSCGFSPSEAAKFSKPLAHLRSTEKPDAVINFMRSQGFDGAGIRKVISGDPRYLCCNVEKNLTPKFQFLRDLGLSESDIADAILKNDVILRLDVHRSLVPKLEMWESILGSRELVLKHLKKTRWFFFSSVEKTLHPNLKFLRDECGIPEERVSVVLRSYPQLISQKPESLRALVARANELGMPRQSRMFVRTLNALHNVSQERFEAKVELMRSFGWSESEFSSVVRKVPTFSCMSLDMMRRKMKFFINVVGYTPSFIASQPTILLYSLQKRVIPRFRVTEMLKSKGLWTGQGKFTCILTFSDTKFMEKFVLPHKENVPELLDILRVAGTWKGNDTLHLASEDEEGLS >Ma10_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20374044:20377800:-1 gene:Ma10_g06820 transcript:Ma10_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYEASARSWTQKYAMG >Ma07_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31196081:31198534:-1 gene:Ma07_g23620 transcript:Ma07_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSPPPPPDPSTDADLLRHLLFLSRDVSSHQQPSPSSSPLRVNPNFSSVPRNVKQLSLLFEELLLAVRDDDDRCVDFALPRLASLCFREILLVLHRLKAHFDHCSARSRAFVLFRAEPLAAEIHEQVVDLATFLDILPVAELRIPEDVRDHVRLLLRQLRRSSPAVDPAALSLRRDILELIALVESGTVPDHAALQGIFRRLGIDDSWNCRHEIDSLERDIADGAAADRWVPAMVALASILRYARYVLFGASTPRLDTSAGADGKKSPFSEAEDLAVPADFRCPISLDLMRDPMVVATGQTYDRDSIVRWIGSGHATCPKSGQALAHLELVPNRALKNLICCWCRDNNLPFDGADVTSNEQADADNATTAAANKAALEAARMTASFLVEELAAAPCTDAAHRVVHELRLLAKHGSDNRAFVAEAGAIPLLLPLLRSDDAGLQVSAVTALLNLSILEANKRLIMHADGAVDGIVHVLAEGAKWRAKENAAATVLSLSSIHSYRRRLARHPRVVEVLLQMARHGPASSKKDAMAAIHSLAGDRENTGRLVEGGVVGAALEAVGEPEVAEEAAAVLAAVARRGGAEAVAKAEGAVARLVGLLRRGSDWARESAAAALVAVCRRSESGVVAELAAMPGIEWVIWELMGAGTERARRKAASLSRICRRWAAAVEAERTARFSAMSVTTSSTTVAS >Ma06_p38380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37155670:37156928:-1 gene:Ma06_g38380 transcript:Ma06_t38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESRGYRRPNDALGFFEKKQEDHSCSAYPSPAISSTTTTAAGEPVVNPQNKPPPKKIRNSNKDRHTKVEGRGRRIRVPALCAARIFQLTRELGHKTDGETVEWLLQQAEPAVIAATGTGTIPAAAAFTSLSTISLRNSGSSVSASPHLLAANYFNSDRSFSFSSDGQSTSTSVFLNVNPCSKRGREPEIRQHRPHPLQNQIAVHGQASHGRMPGTLWPVTNPNTQDTMGGDDRSTWTLPDQLGSSNILRVSASSGLHSLNLCTSMAMLPSQQLDLCWGGDGGTPASLDGYRSLSTAERMPSPTRQAHGDGLCGGTSGNLAKQLIQEYNNKNM >Ma07_p19730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27689786:27691187:1 gene:Ma07_g19730 transcript:Ma07_t19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFPVIDMEKLLGRERGEAMEILRDACEKWGFFEILNHGISHDLMDEVEKVNKDQYNKCREQKFNEFANKALENADSEIDHLDWESTFFLRHLPVSNISEIPDLDDQYRKAMKEFAAEMEKLAERLLDLLGENLGLEKGYLKKAFSNGSKGPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDQVSGLQFLKDGEWLDVPPMRHAIVVNLGDQLEVITNGKYKSVVHRVVAQTDGNRMSIASFYNPGSDAVIFPAPALVEKEAEEKKEVYPKFVFEDYMKLYVGHKFQAKEPRFEAMKAMEAVATHPIATS >Ma06_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3897305:3897727:-1 gene:Ma06_g05260 transcript:Ma06_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILTMDHQPALPCVHECIQSCSESVSCFQSIQILSAALIHQTILEMAMPGEANLTRSHLHSCITEMSVQYQ >Ma11_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4200315:4202646:-1 gene:Ma11_g05450 transcript:Ma11_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITGDGGSFSSGTNTGEDEVHKQRRLFYGSPAAAANIDIAASQLLPVKKKRSLPGTPDPNAEVIALSPTTLMATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRSSAETRKRVYVCPEPTCVHHHPTRALGDLTGVKKHYCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGSREYKCDCGTIFSRRDSFVTHRAFCDALAQENHKLSQPLMATMASSLQGHVPGLTMPVQLTDTDHLMPGYMAGGTLSAFSNPPSTSNVRSPMGLDGLIEAQMSRPMAHVSATAPCIPFKAHELSYQMVGVGGARSGDQFWDADGSLKHTVDFLGVRGERRLQLQQQQQFGGVGHGERIESLHPLQQQQQFGGVGHGERIESLHPLQQQQQFGGVGHGERIESLHPLLQQMGHGGSRAED >Ma01_p10580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7605866:7611103:1 gene:Ma01_g10580 transcript:Ma01_t10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNVTTEDLIDALREVDWSSPPRPVSEFFSRFTLPRSYSKWNSRLKCNLYYYRTNYFLLIIFVLGLGFLRKPLAIIAAFLTGLSIAFLNDSFAVCFNEKVTRTVRQFSPHLAAKLRPPMTPVLRARSSAKRAIHICGCRRWVFVLIFSAASCILWLTSCNLLTILWALVIGLLAILLHASFRTPNLKARLNTFREEFRAVWRNYSEL >Ma03_p14930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14726359:14732997:-1 gene:Ma03_g14930 transcript:Ma03_t14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRDSLGLVLPQVCCGARLGCPCKVGDAGRGRRKRLFLRILSDEIRARRWRCGASMPPVGNNRPSLNADFLSSAKGSVKAQKILVSGQLADELVGEESASRTVQLASRFTSYQEDPLVDKLRTQLGVIHPIPSPPINRNIIGFFVFFFFIGVAFDKVWTSRKKNKSQRDVKNGTWPQVPTSFSIFFEKDLQRKESVEWVNMVLGKLWKVYRSGIENWIIGLLQPVIDNLKKPSYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLLLSLKFGIIPIVVPVGIRDFDIDGELWVKLRLIPTEPWIGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSLFLTKLLTEDLPRLFVRPKKIVLDFQKGKALGPVPVNVKTEEIQEGNKDSVGELSVTLVDARKLAYAIFGKTDPYVVLSLGDQVIQSKKNSQTTVIGPPGEPIWNQDFHLLVANPRKQKLYIQVKDSFGFTDITIGTGEIELSSLQDTVPTDKIVALRGGWNLLRKQLSGELLLRLTYKAYVEDEEDAIEKELVDTDASDDESSEYEQADELYEQSFSGYPSGGETESFMDVLAALLVSEEFRGIVSSETATSKVSGQSAYPESPVSRTRGRAAEISALDADSASGGFKESTLVWLAAVTSIAVLIAINVGGSNFFNP >Ma03_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14726359:14732997:-1 gene:Ma03_g14930 transcript:Ma03_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRDSLGLVLPQVCCGARLGCPCKVGDAGRGRRKRLFLRILSDEIRARRWRCGASMPPVGNNRPSLNADFLSSAKGSVKAQKILVSGQLADELVGEESASRTVQLASRFTSYQEDPLVDKLRTQLGVIHPIPSPPINRNIIGFFVFFFFIGVAFDKVWTSRKKNKSQRDVKNGTWPQVPTSFSIFFEKDLQRKESVEWVNMVLGKLWKVYRSGIENWIIGLLQPVIDNLKKPSYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLLLSLKFGIIPIVVPVGIRDFDIDGELWVKLRLIPTEPWIGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSLFLTKLLTEDLPRLFVRPKKIVLDFQKGKALGPVPVNVKTEEIQEGNKDSVGELSVTLVDARKLAYAIFGKTDPYVVLSLGDQVIQSKKNSQTTVIGPPGEPIWNQDFHLLVANPRKQKLYIQVKDSFGFTDITIGTGEIELSSLQDTVPTDKIVALRGGWNLLRKQLSGELLLRLTYKAYVEDEEDAIEKELVDTDASDDESSEYEQADELYEQSFSGYPSGGETESFMDVLAALLVSEEFRGIVSSETATSKVSGQSAYPESPVSRTRGRAAEISALDADSASGGFKESTLVWLAAVTSIAVLIAINVGGSNFFNP >Ma03_p30260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32968487:32969584:1 gene:Ma03_g30260 transcript:Ma03_t30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSSFLSLAPLCVGGCSVSEHKQVLGRWLFLSIGVGV >Ma10_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29317864:29321122:-1 gene:Ma10_g18380 transcript:Ma10_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAWRAVVMVAALVQICSSRELESNLSHPNRIVKLPGQPQVSFQQFSGYVTVDERKHRALFYYFAEAEMDPSSKPLVLWLNGGPGCSSVGVGAFSENGPFRPKGEVLVRNEYSWNKEANMLYLETPAGVGFSFSSDSSDYEGVNDRITARDNLVFLQRWFTKFPRYKGRDLYITGESYAGHYVPQLAQLMVDFNKKEKVFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDTTYRIFTSACNYSRYVSEYYRGSLSSICQRVMSQVTRETSRFVDKYDVTLDVCISSVLSQSMVLSPQQVTERVDVCVEDETVSYLNRKDVQAALHANLVGVTKWTVCSSVIEYELLNLEVPTISIVGSLVKSGIPVLVYSGDQDSVIPLTGSRTLVQRLANELGLKTTIPYRAWFEGEQVGGWTQVYGDVLSFATVRGASHEAPFSQPERSLVLFRAFLQGRPLPETFTYAP >Ma10_p18380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29317968:29320995:-1 gene:Ma10_g18380 transcript:Ma10_t18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCFFSLSFLVQFLPGGGSGNMHSTAWRAVVMVAALVQICSSRELESNLSHPNRIVKLPGQPQVSFQQFSGYVTVDERKHRALFYYFAEAEMDPSSKPLVLWLNGGPGCSSVGVGAFSENGPFRPKGEVLVRNEYSWNKEANMLYLETPAGVGFSFSSDSSDYEGVNDRITARDNLVFLQRWFTKFPRYKGRDLYITGESYAGHYVPQLAQLMVDFNKKEKVFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDTTYRIFTSACNYSRYVSEYYRGSLSSICQRVMSQVTRETSRFVDKYDVTLDVCISSVLSQSMVLSPQQVTERVDVCVEDETVSYLNRKDVQAALHANLVGVTKWTVCSSVIEYELLNLEVPTISIVGSLVKSGIPVLVYSGDQDSVIPLTGSRTLVQRLANELGLKTTIPYRAWFEGEQVGGWTQVYGDVLSFATVRGASHEAPFSQPERSLVLFRAFLQGRPLPETFTYAP >Ma06_p36660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35967748:35970189:-1 gene:Ma06_g36660 transcript:Ma06_t36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNVEKNKAAKGSQLETNKKAMTIQCKVCMQTFMCTTSEVKCREHAEAKHPKSDVYQCFPHLKK >Ma01_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3743948:3749612:-1 gene:Ma01_g05330 transcript:Ma01_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHGGYLEAIVRGHRSGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGYMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYNFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELALCEDIDQVRGAMEKYPPYQSIFAKISYGESQMLDKAFYEEEVKRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRIHDSVVFIF >Ma03_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3517946:3529219:1 gene:Ma03_g05360 transcript:Ma03_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVLPGKRKLPEQDSNNNSVLADETTKAEPIPKRRNLSRTCVHEVAVPNGYSSSKDEATFGSLSNPVYGGQMAKTYPFELDPFQRVSVACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTIAPNASCLVMTTEILRGMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENEQFKEDNFMKLQETFTKQKAQADGNKSGGKVSGRIAKGGTGSAGSDIYKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNSQEEKDAVEQVFRNAVLCLNEEDRTLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMNILKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIKNSFHQFQYEKALPDMGQKISKLEQEACLLDSSGEAELAEYHKLGLEVAQLEKKIMSEITRPEKVLMYLVPGRLVKVRDGGTDWGWGVVVNVVKKPPTASSTLPPALVSARGSSAYIVDTLLHCSPGLSENGSRPKPCPPRPGEKGEMHVVPVPLPLISGLSSIRIAIPSDLRPAEARQNVLLAVQELGNRYPQGLPKLHPVKDMGIKDPEFVNLVNQIEEIEQKIFAHPLHKSGQSEQQFKWYQRKAEVNHEIQHIKSKMRDSQLQKFRDELKNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPCDKSNEQIHLRNELTKPLQQLQDSARRIAQIQRECKLDINVEEYVESTSRPYLMDVIYCWSKGASFAEVIEMTDIFEGSIIRLARRLDEFLNQLRAAAHAVGEVDLEKKFEAGSESLRRGIMFANSLYL >Ma03_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6763923:6766117:1 gene:Ma03_g09180 transcript:Ma03_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Ma09_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3736609:3740828:-1 gene:Ma09_g05810 transcript:Ma09_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGMRCFSSKKMALLCFFFFFFFVAAVQVRAQTTDAGFINIDCGIPENSSYVSGLTYVSDAQYTDTGVNYNVSPASFPALAQRFLTVRSFPDGARNCYTFKSIVRGWKYLIRATFLYGNYDLKNSPSVQFDLYLGVNLWKTINLTDPSSNYLTEAVTEAITDLISVCLVNTGRGTPFISGLDLRPTAASHYPLVNASRSLVLLDRFNMAPTGISIRYPLDPYDRYWFQYTTQPSWDEISTNSTVETPGNDHFEVPSKVMQTAVFPANSTKLELSFTPDPGDLDEFYAVMYFAELQQNASRQFFVYLNGALLNDAKPFTPDFLVSDAIYNINPSAGYSELNISLVATESSTLPPLLNAVEVFSTVRNTNVASDGGDVHAMMAIKEWYQVKNNNWAGDPCSPKSYTWDGLNCTFNASGIPRVTALNLSYKGLIREISPSFASLSAIQFLDLSHNNLTGPIPAALAYIPSLKLLDLTDNQLDGSIPSALLERSRNKSITLRTDGNPNLCDDPASCESKPTSRQKGKTAAIVISCVVSVVVLFAAVIILYMLRKKQGLKSSARGTTDKRHNDDELPLENRKFTYRQLQSITDNFERIIGKGGFGTVYYGHLEDDTEVAVKMLSQSSSQGTKEFLAEAQHLTRVHHKNLVSMVGYCMDGDHLALVYEFMSQGTLKDHIRGTGTAAPLSWEQRLQIALEAALGLEYLHTGCKPPLIHRDVKTTNILLNERLEAKISDFGLSRTFQSDGHSHVSTRVVGTMGYLDPKYFNRNQLSQKSDVYSFGVVLLELITGQPPVVNDPENTHLVEWVRRRLAKGNIEDVVDPSLQQENVVNSAWKVANVALACAAHASSNRPTMSDVVMQLKESLALHGDGAKLHFQHLSSEKMYTDSGDISQISKFDIEQVGNISDSEGPSAR >Ma08_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6126838:6129233:-1 gene:Ma08_g08670 transcript:Ma08_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPNPELEQSLLPQEDDCLSGDYFSIAGFWSRLTFRWLNPVFAKGRAERLELRHIPGVPRSETAETSLCLLQESLRQQKLESASLPRAIIRAVWRSLALNAVFADDAGLNTLSSYLGPFLITNFVEFVSGKDSGHGRYYGYVLASLFFVAKTVESLTQRQWYFGARRIGIRVRAALMAAIYEKCLAIQHSGSSTGKLINFLDVDVERIGDFFWYVHGIWLLPVQVSLALLILHRNLGAPASFAALAATALVMTLKCMRILKLHSWETAYLNKLLQLRDVERSWLRRYLYTCSAIAFLFWASPILVSVSIDRIHSLMKEEEQKQLSPSRSTQASDMAVEITPGVYSWEADSSLKKPTLKIENKIRIMRGEKVAVCGTVGSGKSSFLCSIMGEENVLFGKAMDRRWYQEVRGMNLSGGQKQRIQLARAIYNNSDIYLLDDPFSAVDAHTGTHLFKECLMNLLSCKTVIYCTHQLEFLHAADLILVMRDGKVVQSGKYEELMEDANHAKKKGNPDR >Ma06_p20950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15067918:15077539:-1 gene:Ma06_g20950 transcript:Ma06_t20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKESTSVPLSQAADPEDPAKAPPTSPSSSTRKACCAVLQSWVSKKFMTGCVVLFPVAITFYVTWWFIQFVDGFFSPLYDKLGVDIFGLGFLTSLVFVFLIGIFVSSWLGATVIWVGEWFIKRMPFVRHIYSASKQISTAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSSVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSVREGIEIIVSGGMTMPQLITPLERIPRKNQSIRLNRIT >Ma06_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15067918:15077539:-1 gene:Ma06_g20950 transcript:Ma06_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKESTSVPLSQAADPEDPAKAPPTSPSSSTRKACCAVLQSWVSKKFMTGCVVLFPVAITFYVTWWFIQFVDGFFSPLYDKLGVDIFGLGFLTSLVFVFLIGIFVSSWLGATVIWVGEWFIKRMPFVRHIYSASKQISTAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSSVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSVREGIEIIVSGGMTMPQLITPLERIPRKNQSIRLNRIT >Ma10_p29710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36199120:36202123:1 gene:Ma10_g29710 transcript:Ma10_t29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRPNSSNEIHDIDVVTAQNSYISPTLLHQKSLKEVFPPFLARKVVAETIATFLLVFATCGSAALSKSNPGLVSQLGASVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVARHFPWIQVPFYMAAQISGAMIASFVLRELLHPITDLGTTAPSDTAVKALVMEIVVTFCMMFVTSAVATDTKAVGELAGLAVGSSVCITSILAGPISGGSMNPARTLGPAVASSNYDSLWVYFLGPVLGTLSGACSYSFIRMTETQPQATAAQKLSSFKLRRLQSLEMASPTNNAFDNI >Ma04_p25940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27563682:27568869:1 gene:Ma04_g25940 transcript:Ma04_t25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAAAGLQAVVARPYIISPTRMFTSTTTISGFNLKVAKQGLTNSSGIFSRQSLCERYPSASSKNCRVVTRAMSGETEKGTAYGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEIIVGTWVPALNIFETSLRRGKFDESRRLPNGSLMEISKIYPLDAIYDTPEDVPEDVKTNKRYAGCSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKSLLETSRRGYLAAISASSYSFVSLLQHFLPIMNSGGASISLTYIASERTIPGYGGGMSSAKAALESDTRVLAFEAGRKGQIRVNTISAGPLGSRAAKAIGFIEKMIDYSYSNAPLQKELLADEVGNTAAFLVSPLASAVTGSVVYVDNGLNTMGFAIDSSTLAT >Ma04_p25940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27563684:27568869:1 gene:Ma04_g25940 transcript:Ma04_t25940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAAAGLQAVVARPYIISPTRMFTSTTTISGFNLKVAKQGLTNSSGIFSRQSLCERYPSASSKNCRVVTRAMSGETEKGTAYGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEIIVGTWVPALNIFETSLRRGKFDESRRLPNGSLMEISKIYPLDAIYDTPEDVPEDVKTNKRYAGCSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKSLLETSRRGYLAAISASSYSFVSLLQHFLPIMNSGGASISLTYIASERTIPGYGGGMSSAKAALESDTRVLAFEAGRKGQIRVNTISAGPLGSRAAKAIGFIEKMIDYSYSNAPLQKELLADEVGNTAAFLVSPLASAVTGSVVYVDNGLNTMGFAIDSSTLAT >Ma04_p25940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27564279:27568869:1 gene:Ma04_g25940 transcript:Ma04_t25940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAAAGLQAVVARPYIISPTRMFTSTTTISGFNLKVAKQGLTNSSGIFSRQSLCERYPSASSKNCRVVTRAMSGETEKGTAYGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEIIVGTWVPALNIFETSLRRGKFDESRRLPNGSLMEISKIYPLDAIYDTPEDVPEDVKTNKRYAGCSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKSLLETSRRGYLAAISASSYSFVSLLQHFLPIMNSGGASISLTYIASERTIPGYGGGMSSAKAALESDTRVLAFEAGRKGQIRVNTISAGPLGSRAAKAIGFIEKMIDYSYSNAPLQKELLADEVGNTAAFLVSPLASAVTGSVVYVDNGLNTMGFAIDSSTLAT >Ma04_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4360063:4378280:1 gene:Ma04_g05840 transcript:Ma04_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRRDEEWGGSGGDGRSETNRRSSSSSAPGAFDIPPKNAPVARLRRWRKAALVLNASRRFRYTLDLKKREEKEQLRRKIRLHAQVIRAALLFKDAGVKGTPGAPGGSAFPTGGFGIGEEQLTTMMRDHNFSAIEEGGGVKGLANLLKTDLDRGISGVDMEVLCRRKIFGANTYPRKKGRSFWVFLWESWQDLTLVILMIAAVLSLVLGIKTEGIKEGWYDGGSIAFAVILVVIVTAVSDYRQSLQFQNLNEEKQNIHMEVIRSGRRIKVSIFDIVVGDVVPLKIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGNMLVTAVGINTEWGLLMSSISEDTGEETPLQVRLNGVATFIGIAGLTVAAAVLLVLLARYFTGHTKNPNGSVQFAKGQTNVKAAVNGAIKIFTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYIGGRKINHLENVELSPITASLLIEGIAHNTSGSVFEPEDGGVIEVTGSPTEKAILLWGLKLGMKFDSERSKTSILHVFPFNSEKKRGGVAVYQAGSEVHVHWKGAAEIVLASCTSWLDTDGSKKPMTSEADTFKKYIDNMAEVSLRCVAFAYRSFELEKVPDEEQRENWLLPEDDLILVAIVGIKDPCRPGVKEAVDLCTHAGVKVRMVTGDNLQTAKAIALECGILTDANASEPTLIEGRTFRMKTDAERNAIVEQITVMGRSSPSDKLLLVQALRRRDHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATETPTDHLMDRPPVGRREPLITNIMWRNLTIQALYQVTVLLVLNFGGRSILHLRNDSRAHADKVKNTFIFNTFVLCQIFNEFNARKPDELNIFSGVIGNRVFMGIVGITTLLQVLIIEFLGKFTSTVRLNWKLWLVSIVIAFISWPLALLGKLLPVPKTPFGDYFSWCCTWCSKRDDGSLVKAGSNEQP >Ma11_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16916869:16918204:-1 gene:Ma11_g12960 transcript:Ma11_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPHYNPQDKLTDEKVKREAIEISEDKSRAKIDPVALDVTWGNDPRHWCINKNKLGSITLLQVSWLEVVGKLDGSRLVAGERYKVKFMVKMRADAFGWSGCPVYLMAKDASGKFVWKKADLSLLPSDTELPIPDIQSQVLIFTAPPDQVVFGLFEIWRGTWKGGLEIVRVIIDKVPPDNFKR >Ma09_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5635095:5637727:1 gene:Ma09_g08510 transcript:Ma09_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSDNKVRLLDLGRRCLSKVVDLAHLPTPVDLGHLDSVWSTPDLLASYTVTPPVRPWPSPLTSRRLAALISRLKDPHLALRAFLHAARFTPGFSPSYPPYHALILRLASARAFPLLPPVISALRRSGLRPSEDAFIALIRAYSLASRPAAALRSFLSIPSFGLRPSVRSFNALLNAMVQNRRLDLVALLFRNCRSKFGIIPNVCTCNILLKALCKLGDVRRALRVLDDMPGWGIVPNVVSYTTILAFYCVKGDLPAARELFDRIIARGWTPDVTTYTVLIDGYCRQGRLVDATKLMDEMEAAGITSNDITYSVVIEACCKDKRSGEALNLLDDMLQGKYIPSSSLCCKVIDTLCEDGKVEDACWMWRKLLKKNVTPDNSISSTLIYWLCKEGKVLEARKLFDEFERRFIPSLLTYNTLISGMCENGELQEAGRLWDDMVERRCSPNVFTYNMLIKGFCKARNVREGVRILDEMLEKGCLPNKSTFGMLVDGLPDSGDEEELDRILQIVDSSRRNVFDEDVWDIFVRKVVTGSENVREHISAVLKT >Ma11_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8622640:8624510:-1 gene:Ma11_g09520 transcript:Ma11_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCFVIFVCADFVSDLFLFCPFILFYSVDKKIIPRVTNDVKLISGGKILENNKTIAQCRSPFGELPAGVITMHVVVQPSLTKIKTEKKVDEFPKKTTCSCSIL >Ma03_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15349078:15353017:1 gene:Ma03_g15460 transcript:Ma03_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKYTKGCTGWLIVAVVAVLVVAAVVLTIKQTHHRKALPVPGPPGAIDQKYASALAVALQFFQLQKSGKLVNNKFSWRGDSALDDGKEAGLDLSKGMYDSGDHMKFGFPMAYTATVLSWSILEYGDQMSAVKLLESALDALKWITDYFINAHPSDNVLYVQVGDPDIDHKCWERPETMIEKRPLTQVNKSIPGTDVAAETAAAMAAASLVFKTRNVTYSDLLLQHAEKLFTFADSYRGSYSVSFPQVQKYYNSTGYGDELLWAASWLYHATGDQTYLSYVTVQNGNAFADWGRPTWFSWDDKRAGTQVLLSRVNFFGSSQSSNAEMKGLRLYRKTAEAVMCGLLPDSPTATSSRTDGGLVWIDEWNALQHPVAASFLAVVYSDYMLTSRTPEIRCSGKSFTPTDLRNFATSQADYILGNNPMKLSYLVGYGSSYPQQVHHRGASIPANLDTGCKGFKWLTSIAPNPNVATGGLVGGPFKNDSFIDSRNNSMQTEPSTYNSAVLVGLLSGLVTTSSVATSFT >Ma10_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24315951:24317189:-1 gene:Ma10_g10350 transcript:Ma10_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPCLLVFFLLHVPLACPAPSGIRATLTHVDSNGEFTASERYRRAMRRSQHRMDAIGEMLTVSGIDARATVHDGLGEYMMDLAVGTPALPFSAIMDTGSDLVWAQCQPCVECLPHSALQYDPSNSSTYSASPCTDSYCTALPSTCTTACIYHYDYMDSSWTEGVLSTETFTFGSGDPIPDITFGCGYNNSFNTPFYSSGLVGLGPGSLSLVSQLGFGKFSYCLTSIDDLSSTGTLLLGSYADLKEPASAIRSTPLVADSYYFLDLRGMSIGATLLPIPSDTFANNIFIDSGTTFTTLPRAALELVQQELRSVVDLPPANDIPWFDTCFQLKSGEVPQLPDMTFHFSGADMVLPMRNYMVVDMEAGVMCLAMNPSSDPVSIFGNFQQQNMHILYDVAGKTLSFAPAQCDKL >Ma01_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9546199:9549750:1 gene:Ma01_g13020 transcript:Ma01_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFPSPKHLLLLLFTAALLLVSLSLRSFPTIRSLSSHRYSFRGGSTGTDGSGETLHVHKNPYHPLDPLTYNEIKAIRSILASHPDFASPNTFPSIHSLSLLEPEKAVVLAWRPGDTLPPRRALVVAYSANHTHVLPVDIASRSVLRHVVRARPAGYPSLTPEEMERATKAAAAHPDVINAVFYRGLNPSSGVVCGPLASGWYGPEEENRRVIKVQCYAKSPNFYMSPVEGLTVTVDVDTGGVIRVSDQGLGIPVPRNRDTDYRYESQRRIPTTEAVAVNPMSMEQAGKPSMRVGAGGHAVQWAGWEVHIRPDARAGMVVSRARFRDPEGGGAWRDVMYKGMVSELFVPYMDPGEGWYFKTYMDAGEYGMGTNAFPLLRLNDCPRNAIYMDAVFAAADGTPFVRPDVVCVFERYEGDVAWRHTENPVSGYDIREARPKVTLVARMVASVGNYDYTVDWEFQMDGLIRVKVSLSGMLMVKATRYGNLSQVPEGEDLYGTLVADNIVGVVHDHFVTFYLDMDVDGPSNSFVKVHMETQETAPGESPRKSYMKVVREVARTEEDAKVKLKLYDPSEFHVVNPSRLSKLGNPSGYKLVPGATAASLLDLDDPPQKRAAFTNNQIWVTPYNRSEEWAGGLLAYQSHGDDNLAVWSKRDRKIENKDIVLWYTMGFHHVPCQEDYPIMPTVFSTFDLKPVNFFRINPIIRAAPYTEEDLPVCNGVHATL >Ma04_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24893007:24903573:1 gene:Ma04_g22630 transcript:Ma04_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCRGSFGSKYYNHSFSKPGERPASKRSEDSSVSDDTSKKPAQKQRDAGAAPAAIVMRRGVDPNANYVLGHKTPNIRDLYLVGRKLGQGQFGTTFLCTEIATGKEYACKSIAKRKLISKEDVEDVRREIQIMHHLSGHKNVVTIKGAYEDSLYVHIVMELCAGGELFDRIIERGHYSERKAAELIRVIVGVVEACHSLGVMHRDLKPENFLLVNKDDDSSLKAIDFGLSVFFKPDQIFTDVVGSPYYVAPEVLCKNYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAILKGVIDFDLDPWPLISESAKDLIRKMLCSTPSERLTAHQVLCHPWICDHGVAPDRALDPAVLSRLKNFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGSITFDELKAGLRRYGSTMKDTEIRDLMEAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFSYFDKDSSGYITVDELQQACKEHNMTDAFLEDIIKEVDQDNDGRIDYGEFVAMMLKGTMGVGRRTMRNSLNVSMRDAPGTY >Ma11_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27647238:27648140:1 gene:Ma11_g24800 transcript:Ma11_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGYRKMRVSDRFISKSSSIDFSDLTFYPEPKESHEHPYPTSMAQERDTNVEIHDRYFTWQEVDAARPGSGLALSRSCSSASRRFRASGKTILETMVRKAFTMRRSSSVAGGYWRIHDTDGGDGEGEFVEEQQLRSPMKKKKKKKGNILRACKQIFGF >Ma02_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28710129:28710341:1 gene:Ma02_g23880 transcript:Ma02_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRERKCLTDKEKLYCLILAPKGYVTLIPWPKSHDHVHNAEVHTRARQLKRLFRTEFSISAMYSVFDDG >Ma07_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27987161:27989094:-1 gene:Ma07_g20050 transcript:Ma07_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKRMIKVMKIDGTTLKPKPPARAADVLRDYPGYNLLESEEVKRLGHRARPLDSHAQLETGKLYFLVELPRAPDHQRGPRRVWSGELQVSAKERLESLMLARRAMSDLSSVGRSSNVEAEENMDGSIRLKMRLPKAEVEKLMQDSRNAIEAAEKIMNLCAVTDGGAPTTTTQLPVPAVGTGRKEKRARFAAAPVEIIA >Ma10_p26120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33971343:33974479:-1 gene:Ma10_g26120 transcript:Ma10_t26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWTSTHRLWEKWASNNVGSSGEPLKAALLLNYDPSAPSRLLSLIAEQEGTDLKAVDLNPFIDFVKRNNLQKEFFFIGPEQYLVTSIYEHWFCARCVNTLNPGGEGAIIMQIAPHLLIGMYEGSIGAASRAMVAVDQFAWQLCRKSH >Ma05_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8541796:8542428:1 gene:Ma05_g11690 transcript:Ma05_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVKHIEPNAVIYTTLLDSLYKEGKPDEADELWKEMVDKGCARDVAAYNVRVMHRALHGKLEDILELIREMEAAGIKPDTITYPCLITCHCHAGQVEDAKAVYRGLRKKGFSPKASPDRIFLANLCENGDVDMGSEVFRDSLKLNKVPDFGTMKLLVEGMAKKLKMQEAKAVADQVKKRFPENLVGRKEVGEELRLIADVEVSDNLEVA >Ma07_p03270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2525743:2542984:1 gene:Ma07_g03270 transcript:Ma07_t03270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGESSSPARPLGGPSPRDALRNEIYERLLDSGNEEVISNPNLRDLLDAHLSRLPLRYGLDLNMERVEDVLLHQKILADAEDPDKRPIFHVRFMKTGACEDDAQQQSVRIDSNGKGYSDIVHEGDMVPNLRNRDRGIEFEPCSKLEDLNLDVKDIAQEIRDSKEVHSMRHDIKDVAIHEIIFSSIDKPKLLSQLSALLADIGLNIREAHVFSTTDGYSLDVFEVDGWPEEETDGLLKELDDAAIAYNEGSLSGASRCSSTEKVLGSQSKVVDWEIDRRFLKMGKKIARGSCGDLYRATYLGQDVAVKILRADQLNEALLLEFNQEVDILRRVHHKNIVHYIGACVKPTELCIITEYMTGGNLYDHLHKQHISLELPHLLKFSIDICKGMDYLHQNNIIHRDLKTANLLLDANSVVKVGDFGVARFQNQEGVMTAETGTYRWMAPEVINHQHYDNKADVFSFAIVLWELATSRVPYDNMTPLQAALGVRQGLRPDIPKDMHPTLAALMQRCWDEIPSKRPSFEEITQELEELLHQVQASSKTSP >Ma07_p03270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2525743:2542984:1 gene:Ma07_g03270 transcript:Ma07_t03270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGESSSPARPLGGPSPRDALRNEIYERLLDSGNEEVISNPNLRDLLDAHLSRLPLRYGLDLNMERVEDVLLHQKILADAEDPDKRPIFHVRFMKVQKTGACEDDAQQQSVRIDSNGKGYSDIVHEGDMVPNLRNRDRGIEFEPCSKLEDLNLDVKDIAQEIRDSKEVHSMRHDIKDVAIHEIIFSSIDKPKLLSQLSALLADIGLNIREAHVFSTTDGYSLDVFEVDGWPEEETDGLLKELDDAAIAYNEGSLSGASRCSSTEKVLGSQSKVVDWEIDRRFLKMGKKIARGSCGDLYRATYLGQDVAVKILRADQLNEALLLEFNQEVDILRRVHHKNIVHYIGACVKPTELCIITEYMTGGNLYDHLHKQHISLELPHLLKFSIDICKGMDYLHQNNIIHRDLKTANLLLDANSVVKVGDFGVARFQNQEGVMTAETGTYRWMAPEVINHQHYDNKADVFSFAIVLWELATSRVPYDNMTPLQAALGVRQGLRPDIPKDMHPTLAALMQRCWDEIPSKRPSFEEITQELEELLHQVQASSKTSP >Ma07_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2525744:2542984:1 gene:Ma07_g03270 transcript:Ma07_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGESSSPARPLGGPSPRDALRNEIYERLLDSGNEEVISNPNLRDLLDAHLSRLPLRYGLDLNMERVEDVLLHQKILADAEDPDKRPIFHVRFMKVQKCIQTGACEDDAQQQSVRIDSNGKGYSDIVHEGDMVPNLRDRGIEFEPCSKLEDLNLDVKDIAQEIRDSKEVHSMRHDIKDVAIHEIIFSSIDKPKLLSQLSALLADIGLNIREAHVFSTTDGYSLDVFEVDGWPEEETDGLLKELDDAAIAYNEGSLSGASRCSSTEKVLGSQSKVVDWEIDRRFLKMGKKIARGSCGDLYRATYLGQDVAVKILRADQLNEALLLEFNQEVDILRRVHHKNIVHYIGACVKPTELCIITEYMTGGNLYDHLHKQHISLELPHLLKFSIDICKGMDYLHQNNIIHRDLKTANLLLDANSVVKVGDFGVARFQNQEGVMTAETGTYRWMAPEVINHQHYDNKADVFSFAIVLWELATSRVPYDNMTPLQAALGVRQGLRPDIPKDMHPTLAALMQRCWDEIPSKRPSFEEITQELEELLHQVQASSKTSP >Ma07_p03270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2525743:2542984:1 gene:Ma07_g03270 transcript:Ma07_t03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGESSSPARPLGGPSPRDALRNEIYERLLDSGNEEVISNPNLRDLLDAHLSRLPLRYGLDLNMERVEDVLLHQKILADAEDPDKRPIFHVRFMKCIQTGACEDDAQQQSVRIDSNGKGYSDIVHEGDMVPNLRNRDRGIEFEPCSKLEDLNLDVKDIAQEIRDSKEVHSMRHDIKDVAIHEIIFSSIDKPKLLSQLSALLADIGLNIREAHVFSTTDGYSLDVFEVDGWPEEETDGLLKELDDAAIAYNEGSLSGASRCSSTEKVLGSQSKVVDWEIDRRFLKMGKKIARGSCGDLYRATYLGQDVAVKILRADQLNEALLLEFNQEVDILRRVHHKNIVHYIGACVKPTELCIITEYMTGGNLYDHLHKQHISLELPHLLKFSIDICKGMDYLHQNNIIHRDLKTANLLLDANSVVKVGDFGVARFQNQEGVMTAETGTYRWMAPEVINHQHYDNKADVFSFAIVLWELATSRVPYDNMTPLQAALGVRQGLRPDIPKDMHPTLAALMQRCWDEIPSKRPSFEEITQELEELLHQVQASSKTSP >Ma07_p03270.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2525743:2542984:1 gene:Ma07_g03270 transcript:Ma07_t03270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGESSSPARPLGGPSPRDALRNEIYERLLDSGNEEVISNPNLRDLLDAHLSRLPLRYGLDLNMERVEDVLLHQKILADAEDPDKRPIFHVRFMKVQKCIQTGACEDDAQQQSVRIDSNGKGYSDIVHEGDMVPNLRNRDRGIEFEPCSKLEDLNLDVKDIAQEIRDSKEVHSMRHDIKDVAIHEIIFSSIDKPKLLSQLSALLADIGLNIREAHVFSTTDGYSLDVFEVDGWPEEETDGLLKELDDAAIAYNEGSLSGASRCSSTEKVLGSQSKVVDWEIDRRFLKMGKKIARGSCGDLYRATYLGQDVAVKILRADQLNEALLLEFNQEVDILRRVHHKNIVHYIGACVKPTELCIITEYMTGGNLYDHLHKQHISLELPHLLKFSIDICKGMDYLHQNNIIHRDLKTANLLLDANSVVKVGDFGVARFQNQEGVMTAETGTYRWMAPEVINHQHYDNKADVFSFAIVLWELATSRVPYDNMTPLQAALGVRQGLRPDIPKDMHPTLAALMQRCWDEIPSKRPSFEEITQELEELLHQVQASSKTSP >Ma08_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35646729:35649605:-1 gene:Ma08_g21900 transcript:Ma08_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVLSSERFVFRPLPAVFSRPESGLRSPASLKPLRSGCNRGGGLDLCRPVGLGGRREWRLGVSAPARMAPFADEMKDGEGKGERVASGCDGEEEVFDPAMPPPFGLAEIRAAIPKHCWQKDPWRSMSYVVRDVVAVFGLAAAAAFLDNWIAWPLYWVAQGTMFWALFVLGHDCGHGSFSNNSKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHAENDESWHPLSEKLYKSLDSLTQKLRFTMPYPLFAFPLYLWARSPGKTGTHFHPSSDLFLPNEKKDVITSTACWITMVGVLAGLACLMGPLQVLKLYGIPYLIFVMWLDLVTYLHHHGHNEKLPWYRGKEWSYLRGGLTTVDRDYGWINDIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPVKSGPLPLHIFGILAKSLARNHYVSDTGDVVYYQSDDRLSDAPKTKSH >Ma08_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9717744:9718319:-1 gene:Ma08_g12740 transcript:Ma08_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRLLLAGKRGRHRCSPFTAIEEAVRGCFPPCVTTTESVCCRQQIGEGARPAKKSKTGDEEREEVDGQSEDDARYWL >Ma04_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3568153:3570312:1 gene:Ma04_g04650 transcript:Ma04_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSPPWPPHLLLVLFLFLATWRAAADAPDDRSALLEFKETTLSPAPSDWGGQDGPCINNVSKWTGVYCDKDGRVSVLRLESMNLSGTLTLDALSELPNLRSLSFSNNSLEGSIPNVTKLPNLKSIYLSMNRFAGEIPDGMFSAMLGLKVLWLSQNNFSGSIPSSLTAPKKLAELRLDGNKFEGQIPALWQPNLQLVNVSFNDLEGPIPERLSNMSASWFEGNKNLCGPPLAVSCESPKKNLSPALLVVVIVISVAALVAIVGATTFLFRRRKKEATTVNKLRSVKPETTVHLEADGMGLGTVRYHEGEKKVPKEEKLLFVGERRGTFGLQDLLRASAEVLGSGNFGSSYKAILLDGPSVVVKRFKEMNGVGREYFQEHMRRLGRLSHPNLLPLVAYYYRKEEKLLISDYIPNGSLAHMLYGNRTSRTSPLDWPTRLKIIKGVARGLAYLYEELPMLTVPHGHLKSSNVLLDLSFEPILTDYALAPVMNKAHASELMVAYKSPECAQHGKPSTKSDVWSLGILMLEILTGRFPANHLRPGRAGTDLAKWVSSVIREEWTGEVFDGTMKGTRNSEGEMLKLLRIAMACCETDVRRRCEMAAALERIEELKERESDAEFSSSAISEGEAFYSSKALTDDDFSSPKN >Ma03_p33430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34772605:34785520:1 gene:Ma03_g33430 transcript:Ma03_t33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDDRIRTLIENGVKLRHRSMFLIVGDKSRDQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVESGNITYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHSHAAARFNERFLLSIALCKACVIMDDELNILPISSHIRSIQSVSTTEDPEGLSERERELKNLKDQFHDDFPVGPLIGKCCTMDQGKAVITFLDAILDKTLRSTVALIAARGRGKSAALGLAVAGAVAAGYSNIFVTAPSPENLKTLFEFVCKGINALEYKEHLHYDLVKSADPELKKATIQINIYKQHRQTIQYLRPHDHAKLSQVELLVVDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQMPSQGADGNHSGRLFKKVELNESIRYASGDPIESWLNGLLCLDVASYIPNISRLPHPSECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPSHHLFVLLGPVDESKNHLPDILCVIQVCLEGQISRKSAIKSLSEGRQPFGDQIPWKFCEQFQDNVFPTLSGARIVRIAVHPSALRLGYGSTAVDLLTRYYEGQLAHFAEEDAEQVEEPEVRVTEAAEKVSLLEENIKPRANLPPLLVHLHERHPEKLHYIGVSFGLTLDLFRFWRKHKFFPFYVGQIPSAVTGEHTCMLLKPLNNDDVEVGESGEHGFLEPFYQDFRRRFLRLLGTTCHKFDYKLAMSVLASKINFAEQEHELTVMNGDLKPMNNLLSPHDMKRLEAYTNNCVDYHMILDLVPILAHQYFQGNIPVTLSPVQASVLFCIGLQNKDVGDIKEEMKLEREQILSLFIKVMKKLYNHLYNVVAREIDETLPWLKIEMAPHSISVDEELDQAAREVMEKMKAENDDGILNPEYLQQYAIVDKEGEFEKALQNGGVKVSASGIVSVKSNRKKMGKLGASQETNKSKRKGKDGEKPKSNKKKRT >Ma01_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2564154:2565077:-1 gene:Ma01_g03890 transcript:Ma01_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLPFLALLTLVYALPAASSGGGVIVGSGRSFRDLLRSYGLPAGIIPRAIESFDLDPSSGLLEVRFHRTCYARYDDGLAYFDRVVRGNLSYGALSGVVGWSHEELFLWFPVKGILIADPSSGVILFDIGLAQKHLSVSAFEDPPDCQPAAVAIFGKDEEPREDWILVDSS >Ma01_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2691234:2691764:-1 gene:Ma01_g04160 transcript:Ma01_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPFEPFSSDDESDIAPSLFTVLFSDFLVSYFFFIAGFVIVSIIFFFYKATMKHRERKRKWSAVATMLARIPRSPYVVSSSSSSSSSTTSVSIIVPEPDVQSCVICLEEFVNGEELWMLPRCKHLFHGVCIKPWLLVRSATCPVCRKLVTQGETSGAGSRNNSNNYDDTYLFFMR >Ma09_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1648750:1649967:1 gene:Ma09_g02310 transcript:Ma09_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLRLRLAGIIIATTQNCGCSPDLCCSSWGYCGTGNDYCGAGCHSGPCEASPRPDDVPVARIVTQSFFDGIISQADRGCAGKSFYKRDAFLTAASSYTSFGHVGTTDDSKREIAAFFAHITHETGHFCYIEEIDGASRDYCDEANTEYPCVSGKSYYGRGPIQLSWNYNYGAAGESIGFDGLRSPETVAIDVVVSFKTALWFWMNTCHSLITSGQGFGATIRAINGDLECDGKNPATANARVGYYKDYCSQLGVDAGSDLTC >Ma04_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7212658:7213851:1 gene:Ma04_g10060 transcript:Ma04_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGDMVVSMDSAKPVPAPFLTKTYQLVDDPCTDPIVSWGGDEGTFVVWRPPEFARDLLPNYFKHSNFSSFVRQLNTYGFRKIAADRWEFANEYFRRGEKHLLSEIHRRKTSQVPQFFHCHPQHAWIEPPSAVPGGDVDFLSALSEDNQRLRKKNSLLLSELTRMKKLYNDIIYFIQHHVAPPVAPEPRHARLVELPPCDQNQPLPATAEPDSGVKLFGFPIHGKKRLNRETICLAESNPEEQKPLEKSLEREGSVQDVRES >Ma11_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26572843:26584180:-1 gene:Ma11_g23080 transcript:Ma11_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYALHLAVAALVGASFVAVSAYYVHRKTLGQLLEFARAVEKERGRDRRGDDDDEPKRGLLRRGRYGYRRSGSGSDRRGWGSASLPDVMAATALDGEEVEEEEEEEEEAAAAELDPRGFPIPVGLPRLQTVPEGNVQSLHGISNKRGGHGIRSTSKSPVASASAFGSQEGSEEEDNLPNDSKLDNAYLHANGIIGEEGKSLYQALPDHIAVNGDPKSLTASSMIRSHSVSGDLHGVPPDPVAADILRKEPEQETFVRLKITPTETPSADEAEVYKILQNCLELRETYVFREERAPWEKEVITDPSTPKANPNPFFYMPEQKTNHVFCMVDGVVHVYACKDSKERLFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLVLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFCDLAASKYQMAEYRLSIYGRKQSEWDQLASWIVNNELYSENVVWLIQIPRLYNVYKEMGIVTSFQNLLDNIFLPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLCAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPLPMFFHRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKNYYRRGPDGNDIHKTNVPHIRLEFRDMIWREEMRQVYLGKAVLPKEVEK >Ma05_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19596056:19630619:1 gene:Ma05_g17240 transcript:Ma05_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MSSALRRMSTSPFSSCAFQQRTSSWWPSRRPPSTPTSRRSLVVAAAASNFANENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAVAPYERPALTKGYLFPLDKKPARLPGFHTCVGAGGERQTSEWYKEHGIEVLYEDPVAAIDIQNQILTTSSGNHLKYGSLIISTGCAAVRFPENIGGNLPGVHYIRDVADADSLVSSLEKAKKVVVIGGGYIGMEVAAAAAGWNLDITIIFPEDRIMPRLFTPSLARRYEELYQKNGIKFIKGVLIDKLEGGTDGRVATVLLRNGSIIEADTVIVGIGAKPVVSPFEAVGLNNNVGGIQVDSLFRTSIPGIFAIGDVAAFPLKIYDRMARVEHVDHARKSAQHCVRTLLTAHTQPYDYLPFFYSRVFEYEGSSRKIWWQFYGDNVGDTIEVGDFDLKVATFWLDSESRVKGIFLESGSPEEFSLLPKLAKSQPVVDRAKLQSASSVEEALEIASSSL >Ma10_p27650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34835953:34840456:-1 gene:Ma10_g27650 transcript:Ma10_t27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGCSWVRRAMFSHTVCHRLDYANLHSILLPIKSESNPRLKPKRTAEASQLSSISLPVDRNAGPETASSISHSASLSSSQLLLRDRRRGSKTNKSSSEIPISYLRSEQEPKSGASNSTVVVSSSTQKANKHRKPKTMGSLEISSFSIHPDQESKVSPNKLSGGSSSFASQSDQDPRLKHRGSNSGTILVSRPSIRFQLDDNFQNNGPISFKDGQKFILRQRSASPLPTTILSDVFKEARANEKRFATPPPRRKGSEKSVSSKILSKEGREHHVAPYPPPPETSSLHQFSLMKATGKHKSLKEASWARYFEQGGGKVISLGTSDDWMVDPSQLYVGLRFASGAHSKLYHGIYKDQPVAVKIIRQPDDDENGLMAARLEKQFTREVTLLSHLYHRNVIKLVAAWQKPPVFCVITEYLSGGSLRAFLHKLKQKSLPLQKLIAIALDVARGMEYIHSQGVIHRDLKPENILFDQDLCVKIADFGIACEEAHCDTLTEDPGTFRWMAPEMIKHKPYGRKVDVYSFGLVLWEMATGRIPYEEMMPVQAAFAVVDKNSRPVVPPECPTALRTLIEQCWALHPDKRPDFWQIVKVLEQFESALAQDGTLDTVANVNCQDHKKRLLRWIHKLKPTHADGGSAPPPPLKLSTSMTKLL >Ma08_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10819663:10821049:1 gene:Ma08_g13700 transcript:Ma08_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLVTGVLGKRFVNRIWAARDPAPPAVASSRKRGVHASSYDKNEDEPVGPTAVPDNVIDAQADKYYWGPHPTTGVFGPAAETGASSAAAGGAKTAAGPSALDETVWYRPLEDVDKTPHA >Ma04_p34210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33518815:33527642:1 gene:Ma04_g34210 transcript:Ma04_t34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYDSNPFEEEEEEVNPFADTGARGKATGLNYGGGPFYMNPATVPPASNSRLSPLPPEPADFYNDRSATIDIPLDTAKDLRKKEKELQAKEAELNKKEKELKRREEAAARAGIVIEEKNWPPFFPIIHHDIANEIPIHVQRLQYFAFASLLGLFACLFWNIIAVTAAWIKGEGVKIWLLAIIYFIAGVPGAYVLWYRPLYRAMRTESALKFGWFFLFYVLHIGFVIYSAVAPPIFFKGKSLTGILPAVDIIGDHLLVGIFYFIGFGMFCLESLLSVWVIQQVYMYFRGSGKAAELKREAARGAMRAAI >Ma02_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25311595:25312008:1 gene:Ma02_g18990 transcript:Ma02_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVDDHFPPLDLSWLDPEPTACPSLSPVEQRRLRRKISNRESARRCRMRKQRHLGELRAESSRLRDENRELASRVRTLAQQTLLLRRANGRLLAESSALRLRLTELRRLVLLRQLLTHGGFGCLGYEQELASLIA >Ma10_p29370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35975442:35976925:1 gene:Ma10_g29370 transcript:Ma10_t29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVELKVGMHCEECIKAIKKAIKKIEDVETYRLDAELNKITVTGNVTSEEVIRVLQKIGKSATSWSEE >Ma11_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6932123:6932924:-1 gene:Ma11_g08710 transcript:Ma11_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKGMTSLRLLLISLALAHLIAASHAVPSTRDGRMLRGCRSVGDAVQVINEDEEAKIQRMDIEINDYPGSGANDRHTPKPPE >Ma02_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26114347:26124996:1 gene:Ma02_g20070 transcript:Ma02_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRGYWTLAAAAIAFRLLLLLCFPKDLHLGSRPEVATPLTSLRRLAEGYWLKQASMSPYSGSMYHGSPLLLSFLGQLTIRRVEGRPPHFYCSLMFVVVDFITAILIRATGQKLLLSRHKSFQVLNLSKLVEASGTVNPGDFASLVYLWNPLTIVTCMGSSTSPVDNLMVVMAIYGACSRIAPLAAFGWVFATHLSLYPIILIVPVILLLGYGLDAPPSKLFLHKTSDASSSGALNQSPDKSGASKLTDNTIHTFSWVQVLHFVLWVFIWLCYVLLLSSISLEDVGGLSEMFRKTYGFILTVEDLSPNIGVLWYFFAEVFDFFRNFFLIVFHVNILFMILPLAIRLKHRSCFLAFVYIAISSMLKSYPSVGDSALYLGLLALFCNELADMHFSFFLFCGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYACLQTVLVVESVSSMLKHDRMLRKLVKA >Ma00_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39033794:39034130:1 gene:Ma00_g04540 transcript:Ma00_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGTVGVASTYSEVRLEEAPELGYDPLGTPSRGEICVRGKTLFSEYYKNPELTKEVMVDGWFHTGILGR >Ma01_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:557990:558402:-1 gene:Ma01_g00780 transcript:Ma01_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGHLGSTARLHATFLLVKCGFHTAEVTWVRLPAI >Ma05_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1138264:1139404:1 gene:Ma05_g01860 transcript:Ma05_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTKRVVLITGCGKGGIGYEYCKAFASHGCYVFASDLPDRLHDLADLASENVEPLELDVSSDESVAAAVSEVLASRGRIDVLVNNAGVGSTGPLAELNLDEIRRAWEVNALGQVRLVQEVAPRMAARRAGRIVNVGSVVGAVATPWAGSYCATKAAVDAMSDALRVELRPFGVHVIKVVPGAVRSGLGRANAERLAARSWRLYEGFEDAIAGRAGASQVGRATEPGVLARHVARRVLSPRPPRAIVFGHMTGLFAALAWSPLWLRDWFFAKRFGLDKKL >Ma06_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7490824:7498270:1 gene:Ma06_g10760 transcript:Ma06_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGYACATLALRAKPSLSHSHRLSLLDDGGGDELFLRGLSINPRFLLYGYYLRQSSLIYWSPLRTFLAGHGGNHCCRVSLRDEEPCAPRMSAKRGGCTNCCCCRSSQRRFQMIVECCCRESFGSRMRENDADHDEFDHPRRYAKREFEIRSDADGTVRSLRARRDGSSSVCPSCGHENGASWGRKVGRETSRSSTDKKGYVGKKANAGVRKVREVWNVEDDVDYDSSEESDYTERDYNLRASTSGKVSSRKTKGRETKLIASSHREKEYHHLEDEDEVKNRLSWEGSQKFTRISEMDNNVDVASGSKNMADLKVDMDKRCTSSVKQQGEVDQQVVKRDKQELNKRIQRGASWSVSAHGRSGEDYHQRKNIVGLQSREGSQTFVTVSDVHGDDTLMASRSKNFVDKSKVGMVESSSSTLKQQGQVDKRVVRQAELRTDGSDGYVHNEDQVATQRESREGSQKIVRISEVHENNAERISSVENVHDTGRMDKEHSSTSTLKQHAAVDQLAFQSHGSGEHLQNLRQMKELHSSNFQLGSNIERRHEEVLVKNRDDKLVSVHMARDKKGQVDQKIIDKKTHGEQFENFLDVSRLQQCGTDNASSSHGLLQSRVDDENCSMSTVSLVHQVGKHQNQSENQCTHQSSLRRESGKNTNASELSKKDSGRMSTAQVIYRTHMQNEIDDRRYSSKRESKQDFGISEYSATDIQRDSDSQETSNMGVRNQLESSSLLLVQDEQKRHSQQTNQEITSARDLDSGSSSLYTHIQQPNDHTSQDEMYLVKSDALDSAGRLESSSAVFVDEFVDKLRQETSSYKMSSGTQTEGTRSKDSQATTSIQSCRLDATTKDEEDKYKQEGSRRSSSRYGTGGPSDEMWNVRSPTSQEYNRTEEPGVDGLSARVADSTNTAPTLESTVARRSSKSLWAHIADIIRMGWANRAESHTSMQKSGKRSSSEGSEAWFSGQDASDDENNVNGRSSALKQLLPVGDPTDQVHETHSSISQGSLKALDVIAMQLGSSASSSLGIKGDYTSTSASTDLRPEEVTLIENEKGTEGLPSSAIAVDQSLTGVAPSVTIDEGIAYTGNLAIPVSGYMKLEEDTIRKEPTEADKTAGMDGELKNRKLQRNKQVLRETFEEWEEAYRLESEQRKTDEFFMREALVEAQKAADIWEVPVGAVLVQNGKIIARGYNLVEETRDATAHAEMICIREASNLLRTWRLAETTLYVTLEPCPMCAGAILQARIDTVVWGAPNKLLGADGSWVRLFPGDNGVSNSSDPSNQKAGPVHPFHPDIRIRRGVLTTECSEVLQQFFQLRRKKKKQDSPPQSCLPVPNRPTKLFAKMHNIFSIMFRL >Ma09_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35684677:35687184:-1 gene:Ma09_g24020 transcript:Ma09_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPPKAAPFPLFSLLPCSSFFFFFLCCFAVYFFSFASSEPSSADVVLLLNKIKPALQGSATNAELSSWNVSLPLCLWRGLRWSAGGSAAKIRCEDDLALRSNLSLSSDPSLHLLSIRLPAAALAGSLPPELGLFSYLESLYLDVNSLTGPIPLELGNAPALSDLDLAGNALEGALPPSIWNLCDRLVSLRLHENDLSGSVPDPAMPSASCNKLKVLDLSNNRFQGSFPKFVSEFSGLEELDLSNNRFSGSITDSLAGLGKLVRLNLSYNNFTGPLPASFGESKFKAEAFQGNPGLCGPPLGKCGSGSGLSSGAIAGIVIGLLAGAVVLASVSIGWVQGRKRRNRARKADEEADMVFEEDGNGGGDGKLIVFQGGEHLSLEDVLNATGQVMEKTNYGTVYKAKLADGGNISLRLLREGSCKDQAECLPVIRQLGRVRHENLTALRAFYQGKRGEKLLIYDYHPSRSLHDLLHDTRAGKPLLNWPRRHKIALGVARGLAHLHTGLETPITHGNVRSKNVLIDEYFVPRLTEFGLDKLMVPAVADEMVSAAKCDGYKAPELQKMKKCGSRTDVYAFGILLLEILMGRKPGKGTGEGADLPALVKVAVLEETTMEVFDVEVLKGTRNPMEDGLVQALKLAMGCCAPAAAARPDMNEVVKQLEENRSRNRSALYTPTDRSEIGTPF >Ma10_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27553462:27562678:-1 gene:Ma10_g15480 transcript:Ma10_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVEVISACLKVGMSVARFDFSWGDVEYHQETLENLKTAIKSTKKLCAVMLDTVGPELQVVNKSEKAISLEADGYVILTPDKEQEASSELLPINFSGLSKAVKPGDTIFIGQYLFTGSETTSVWLEVSELKGEDVLCIIKNTATLAGSLFTLHVSQIHIDLPTLTEADKIAIGTWGVRNKIDFLSLSYTRHAEDVRQAREFLSKLGDLCQTQIFAKVESIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVITRVVDSMTDNLRPTRAEATDVANAILDGSDAILLGAETLRGLYPVETISTVGRICYEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASIIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSASNESVLKVALDHGKASGLIKSHDRVVVCQKVGDASVVKIIELED >Ma09_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35529082:35530290:-1 gene:Ma09_g23810 transcript:Ma09_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRADHVPLYANKVGPFHNPSETYRSYDLPFCSPEHVTEKTEALGEEVLNDDRLVDAPYELYFLEEQQSKSLCQKKHVKRRCCKVQTCCCIKGLLLMICLYGV >Ma04_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5702089:5706505:-1 gene:Ma04_g07890 transcript:Ma04_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWTAKENKTFERALAVYDKDTPDRWHNIARAVGGKTAEEVKRHYDLLVEDIRRIEAGQMPYVHYKSPGSRVLKHSPEQGGELLFELALPFYDEETPGAVSKCGPGGRPRAVRRGSEAPPCHHDLLVKNIDLIEQP >Ma02_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26080961:26082964:1 gene:Ma02_g20020 transcript:Ma02_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKLYKLVSKESETPVSIAIKEAAQSVEKVLKALSPSSEERWNEPPLILAAQMGLHDFVGKILRVCPQSATYLDTKGRNVLQVAVMYRCEEIVKIIMDMRTILPFWLFSKIDPKTGNTILHLASRGSPDVAKKDQDEPDAMQLHYDLVWFEMVQSSIPKELVHSRNKKGKTAQELFTSNHKQMRKSCKKQLVGIAKTCTSAVAAVVFAMSSSFSHTDDPKTGDSRMFKALSYTYVIGLSFAATSLFLLLSLVNSSYKEQKFRRAIPTKFFLARLTYNMALGTLLLAFTFNTFLQIYGVEGAKEKQEITFMLEIIVCPVLTCLLLFFPDAIFGTFRRFI >Ma05_p12370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8947877:8952704:1 gene:Ma05_g12370 transcript:Ma05_t12370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISELEMSPPELSSADKQLGLRTSSLRNGLSTQNLDAPLIESLKEGDRFGEQNELAPEVDSFMSVGSFKEGGRSSFHGASHPPEPLDSDIVKKVYVVLDQVKSDRGCLMRGLSVKGPLVEGLSIQVPANAARLSRNQSFPDDPNEPGAVSSPFSASLASRSTEKTFLPPGSEEKECVWDASLPPSGNVSPHSSIDSTGMVTAMSIVNSCTTSDGMLSMERASESAKGSVMMDSLESTKSSDSGVSDISGLSDDSIWSNLPGSANKPHKGNDPRWKAILAVRTRDGNLGMSHFRLLKLLGCGDIGSVYLSELCGTRCYFAMKVMDKASLASRKKVTRAKTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGALHTLRQRQPGKHFSECAARFYAAEVLLALEYLHMLGVIYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLMKMSSLDSDPFKRETGAFCVKPTCIEPSSVCVQPACFIPRLFPWRNKKRERKPWAEIPRQQAATLPELVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGSGNRATLFNVIGQQLRFPETPSTSNASQDLIRGLLAKDPQHRLGMKRGATEIKQHPFFEGVNWALIRCSMPPEVPRPVEVVELPPKFGVAEGFKASSKRIVGADVKCGGKYVDFEFF >Ma05_p12370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8947877:8952704:1 gene:Ma05_g12370 transcript:Ma05_t12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISELEMSPPELSSADKQLGLRTSSLRNGLSTQNLDAPLIESLKEGDRFGEQNELAPEVDSFMSVGSFKEGGRSSFHGASHPPEPLDSDIVKKVYVVLDQVKSDRGCLMRGLSVKGPLVEGLSIQVPANAARLSRNQSFPDDPNEPGAVSSPFSASLASRSTEKTFLPPGSEEKECVWDASLPPSGNVSPHSSIDSTGMVTAMSIVNSCTTSDGMLSMERASESAKGSVMMDSLESTKSSDSGVSDISGLSDDSIWSNLPGSANKPHKGNDPRWKAILAVRTRDGNLGMSHFRLLKLLGCGDIGSVYLSELCGTRCYFAMKVMDKASLASRKKVTRAKTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGALHTLRQRQPGKHFSECAARFYAAEVLLALEYLHMLGVIYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLMKMSSLDSDPFKRETGAFCVKPTCIEPSSVCVQPACFIPRLFPWRNKKRERKPWAEIPRQQAATLPELVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGSGNRATLFNVIGQQLRFPETPSTSNASQDLIRGLLAKDPQHRLGMKRGATEIKQHPFFEGVNWALIRCSMPPEVPRPVEVVELPPKFGVAEGFKASSKRIVGADVKCGGKYVDFEFF >Ma05_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8947877:8952704:1 gene:Ma05_g12370 transcript:Ma05_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISELEMSPPELSSADKQLGLRTSSLRNGLSTQNLDAPLIESLKEGDRFGEQNELAPEVDSFMSVGSFKEGGRSSFHGASHPPEPLDSDIVKKVYVVLDQVKSDRGCLMRGLSVKGPLVEGLSIQVPANAARLSRNQSFPDDPNEPGAVSSPFSASLASRSTEKTFLPPGSEEKECVWDASLPPSGNVSPHSSIDSTGMVTAMSIVNSCTTSDGMLSMERASESAKGSVMMDSLESTKSSDSGVSDISGLSDDSIWSNLPGSANKPHKGNDPRWKAILAVRTRDGNLGMSHFRLLKLLGCGDIGSVYLSELCGTRCYFAMKVMDKASLASRKKVTRAKTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGALHTLRQRQPGKHFSECAARFYAAEVLLALEYLHMLGVIYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLMKMSSLDSDPFKRETGAFCVKPTCIEPSSVCVQPACFIPRLFPWRNKKRERKPWAEIPRQQAATLPELVVEPTTARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGRTPFKGSGNRATLFNVIGQQLRFPETPSTSNASQDLIRGLLAKDPQHRLGMKRGATEIKQHPFFEGVNWALIRCSMPPEVPRPVEVVELPPKFGVAEGFKASSKRIVGADVKCGGKYVDFEFF >Ma06_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12289125:12289430:1 gene:Ma06_g18130 transcript:Ma06_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFMAVILFILLSPGLLFQVPGRSRFIEFGNFQTSGISILVHSIIYFGLLAIFLLAVHVHMYIGY >Ma05_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5565752:5567539:1 gene:Ma05_g07660 transcript:Ma05_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFLRWWWWLLLWALSCCVFSTDGAANITRVIIVDQSGQGNFTTLQSAIDSLPDGNSQWIQIYIKQGTYRRNNLASWQNTFKSGSERHLQAVAALVGGDRNSFHDCAFIGYQDTLCDYRGRHHFDKCWIEGAIDFIFGFGQSVYSRCVLNSVDGGWLTAHAKTSADSPGGFVFKYCTIRATKMTYLGRAWNQHSTVLFHKTWMPSTIRPEGWDAWHVIPNQYQMTYVEDGNIGAGSNTSGRVSWLKSLPPEQLQGFLSSIEFLGPDRWFDKQP >Ma10_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1251905:1261130:1 gene:Ma10_g00100 transcript:Ma10_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGDLTGNWKVVMHGQSNQYYYWNTVTGETSWEIPSGMEVSISGVDLSSTVGGQVAYPVLMHANNAAPEMHGITNLVPTSMEAYGTVELDKENGKRSGNAFLDFSKTSGEDLSIYEVKHATETTACYETTDIHSAELVKYGQSLLQRLKAVKGSLNGHEWMEKEIEFRISDCRALSSYGLSLLPFWWHTETQLKQLESVISREEASFVAEKSILLCVGAASEVVEKINGENHQSVLDTAATVVQTDEHMPEIEGTIIKGFSSGLTSKNLGTDTEVEGVQPVSNVESHTEDVDMDVEMEVDDETITVHTAAQYSSTTECPASVEPAILTNTSSVVSTSVPADEPSIPPPPDEEWIPPPPPDSELIPPPPPEDPPLPSYPPPYAEAIPPPFQDQYSLGYAVPSYEYYAPAVSEVTSVSYYVHADGSHISETVQPSYYEPVVSSSYPELVADVQSVEPITYYGISGGAVPHVPVVTVTGSSGYYVESGPVSYNDGVSVLDQASSVGYTMESVNSVLPPVKHESDVAAVSKEPDKGSVQVTSNASSMQLASNASLNGSTTVATSAATKSKSRVLRGKKRTVAVAPTLRSNKKVSSLVDKWKAAKEELHGEEDEEPEDALEILEKKRQKEIEEWRARQIASGEAQDNANFLPLGGDWRERVKRRRAEAKTGPGETVPGAAVNEKKQPDLAELSRSLPPGWQAYWDESSKEVYYGNSSTSETTWTKPAR >Ma10_p00100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1251905:1261130:1 gene:Ma10_g00100 transcript:Ma10_t00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGDLTGNWKVVMHGQSNQYYYWNTVTGETSWEIPSGMEVSISGVDLSSTVGGQVAYPVLMHANNAAPEMHGITNLVPTSMEAYGTVELDKENGKRSGNAFLDFSKTSGEDLSIYEVKHATETTACYETTDIHSAELVKYGQSLLQRLKAVKGSLNGHEWMEKEIEFRISDCRALSSYGLSLLPFWWHTETQLKQLESVISREEASFVAEKSILLCVGAASEVVEKINGENHQSVLDTAATVVQTDEHMPEIEGTIIKGFSSGLTSKNLGTDTEVEGVQPVSNVESHTEDVDMDVEMEVDDETITVHTAAQYSSTTECPASVEPAILTNTSSVVSTSVPADEPSIPPPPDEEWIPPPPPDSELIPPPPPEDPPLPSYPPPYAEAIPPPFQDQYSLGYAVPSYEYYAPAVSEVTSVSYYVHADGSHISETVQPSYYEPVVSSSYPELVADVQSVEPITYYGISGGAVPHVPVVTVTGSSGYYVESGPVSYNDGVSVLDQASSVGYTMESVNSVLPPVKHESDVAAVSKEPDKGSVQVTSNASSMQLASNASLNGSTTVATSAATKSKSRVLRGKKRTVAVAPTLRSNKKVSSLVDKWKAAKEELHGEEDEEPEDALEILEKKRQKEIEEWRARQIASGEAQDNANFLPLGGDWRERVKRRRAEAKTGPGETVPGAAVNEKKQPDLAELSRSLPPGWQAYWDESSKEVYYGNSSTSETTWTKPAR >Ma10_p00100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1251885:1261130:1 gene:Ma10_g00100 transcript:Ma10_t00100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRERRLAAMMAASRRVKLDLFTEPSGEMVGNSLHDEVGGDLDKDHHEVPSSPSSSGQKQENPLLLLEQYSDDELEDDTSEQPTHTAEASVSTGPEVQGQESVGASGIAGSKPDNADATGDIKDDDQLDHINNVDSNDTKESDVALAASQYTEADPASQTVLDASGMQIVGDLTGNWKVVMHGQSNQYYYWNTVTGETSWEIPSGMEVSISGVDLSSTVGGQVAYPVLMHANNAAPEMHGITNLVPTSMEAYGTVELDKENGKRSGNAFLDFSKTSGEDLSIYEVKHATETTACYETTDIHSAELVKYGQSLLQRLKAVKGSLNGHEWMEKEIEFRISDCRALSSYGLSLLPFWWHTETQLKQLESVISREEASFVAEKSILLCVGAASEVVEKINGENHQSVLDTAATVVQTDEHMPEIEGTIIKGFSSGLTSKNLGTDTEVEGVQPVSNVESHTEDVDMDVEMEVDDETITVHTAAQYSSTTECPASVEPAILTNTSSVVSTSVPADEPSIPPPPDEEWIPPPPPDSELIPPPPPEDPPLPSYPPPYAEAIPPPFQDQYSLGYAVPSYEYYAPAVSEVTSVSYYVHADGSHISETVQPSYYEPVVSSSYPELVADVQSVEPITYYGISGGAVPHVPVVTVTGSSGYYVESGPVSYNDGVSVLDQASSVGYTMESVNSVLPPVKHESDVAAVSKEPDKGSVQVTSNASSMQLASNASLNGSTTVATSAATKSKSRVLRGKKRTVAVAPTLRSNKKVSSLVDKWKAAKEELHGEEDEEPEDALEILEKKRQKEIEEWRARQIASGEAQDNANFLPLGGDWRERVKRRRAEAKTGPGETVPGAAVNEKKQPDLAELSRSLPPGWQAYWDESSKEVYYGNSSTSETTWTKPAR >Ma02_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25284210:25288382:1 gene:Ma02_g18940 transcript:Ma02_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRVGRLEQGQTKIRNVPIAVTPEGFWCCPSPAVLQKSLKNHNHQSKSKASSPLRSRASSLQRTATSTVDKKTHSGSLGSKVVSDDQRCPTSDTAVPAAVSPASVPERPSERPPKPNVDNPQRKISVGFGQPETSDLKVILFGKEGICVRMSVHWNILAENSRFFADKLAGQSPVPCLEVADCEDVEIYVETVGLMYCKELKHKLIKQSVPRVLRIIKVAESLGFRACIKSCLDYLEAVPWVAEEEENVVSSIRHLQNYGASPLLKRVASDLSDPPNDTLAHIMELVLKSNEDRGRREMKSLVLKLLKENNIWTNGSVNICIELFYSSCRSCMESLLDLFRQASEPGFSDKSLDSKDPVMRHIALEADNLLWLVEILADRHAADEFAVLWAGQHELAELHSKLPIMSRHLVSCITARLFVGIGKGEILPPRDTRQLLLQVWLQPLIGDYSWLQHGCRSFDRKVVEEGIGQTILTLPLEDQQSILLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPYVEYQGINPQSDKS >Ma02_p18940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25284210:25288382:1 gene:Ma02_g18940 transcript:Ma02_t18940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRVGRLEQGQTKIRNVPIAVTPEGFWCCPSPAVLQKSLKNHNHQSKSKASSPLRSRASSLQRTATSTVDKKTHSGSLGSKVVSDDQRCPTSDTAVPAAVSPASVPERPSERPPKPNVDNPQRKISVGFGQPETSDLKVILFGKEGICVRMSVHWNILAENSRFFADKLAGQSPVPCLEVADCEDVEIYVETVGLMYCKELKHKLIKQSVPRVLRIIKVAESLGFRACIKSCLDYLEAVPWVAEEEENVVSSIRHLQNYGASPLLKRVASDLSDPPNDTLAHIMELVLKSNEDRGRREMKSLVLKLLKENNIWTNGSVNICIELFYSSCRSCMESLLDLFRQASEPGFSDKSLDSKDPVMRHIALEADNLLWLVEILADRHAADEFAVLWAGQHELAELHSKLPIMSRHLVSCITARLFVGIGKGEILPPRDTRQLLLQVWLQPLIGDYSWLQHGCRSFDRKVVEEGIGQTILTLPLEDQQSILLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPYVEYQGINPQSDKS >Ma02_p18940.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25284210:25288382:1 gene:Ma02_g18940 transcript:Ma02_t18940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRVGRLEQGQTKIRNVPIAVTPEGFWCCPSPAVLQKSLKNHNHQSKSKASSPLRSRASSLQRTATSTVDKKTHSGSLGSKVVSDDQRCPTSDTAVPAAVSPASVPERPSERPPKPNVDNPQRKISVGFGQPETSDLKVILFGKEGICVRMSVHWNILAENSRFFADKLAGQSPVPCLEVADCEDVEIYVETVGLMYCKELKHKLIKQSVPRVLRIIKVAESLGFRACIKSCLDYLEAVPWVAEEEENVVSSIRHLQNYGASPLLKRVASDLSDPPNDTLAHIMELVLKSNEDRGRREMKSLVLKLLKENNIWTNGSVNICIELFYSSCRSCMESLLDLFRQASEPGFSDKSLDSKDPVMRHIALEADNLLWLVEILADRHAADEFAVLWAGQHELAELHSKLPIMSRHLVSCITARLFVGIGKGEILPPRDTRQLLLQVWLQPLIGDYSWLQHGCRSFDRKVVEEGIGQTILTLPLEDQQSILLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPYVEYQGINPQSDKS >Ma02_p18940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25284210:25288382:1 gene:Ma02_g18940 transcript:Ma02_t18940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRVGRLEQGQTKIRNVPIAVTPEGFWCCPSPAVLQKSLKNHNHQSKSKASSPLRSRASSLQRTATSTVDKKTHSGSLGSKVVSDDQRCPTSDTAVPAAVSPASVPERPSERPPKPNVDNPQRKISVGFGQPETSDLKVILFGKEGICVRMSVHWNILAENSRFFADKLAGQSPVPCLEVADCEDVEIYVETVGLMYCKELKHKLIKQSVPRVLRIIKVAESLGFRACIKSCLDYLEAVPWVAEEEENVVSSIRHLQNYGASPLLKRVASDLSDPPNDTLAHIMELVLKSNEDRGRREMKSLVLKLLKENNIWTNGSVNICIELFYSSCRSCMESLLDLFRQASEPGFSDKSLDSKDPVMRHIALEADNLLWLVEILADRHAADEFAVLWAGQHELAELHSKLPIMSRHLVSCITARLFVGIGKGEILPPRDTRQLLLQVWLQPLIGDYSWLQHGCRSFDRKVVEEGIGQTILTLPLEDQQSILLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPYVEYQGINPQSDKS >Ma02_p18940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25283964:25288382:1 gene:Ma02_g18940 transcript:Ma02_t18940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFRVGRLEQGQTKIRNVPIAVTPEGFWCCPSPAVLQKSLKNHNHQSKSKASSPLRSRASSLQRTATSTVDKKTHSGSLGSKVVSDDQRCPTSDTAVPAAVSPASVPERPSERPPKPNVDNPQRKISVGFGQPETSDLKVILFGKEGICVRMSVHWNILAENSRFFADKLAGQSPVPCLEVADCEDVEIYVETVGLMYCKELKHKLIKQSVPRVLRIIKVAESLGFRACIKSCLDYLEAVPWVAEEEENVVSSIRHLQNYGASPLLKRVASDLSDPPNDTLAHIMELVLKSNEDRGRREMKSLVLKLLKENNIWTNGSVNICIELFYSSCRSCMESLLDLFRQASEPGFSDKSLDSKDPVMRHIALEADNLLWLVEILADRHAADEFAVLWAGQHELAELHSKLPIMSRHLVSCITARLFVGIGKGEILPPRDTRQLLLQVWLQPLIGDYSWLQHGCRSFDRKVVEEGIGQTILTLPLEDQQSILLSWLGSFLKVGDNCPNLQRAFEVWWRRTFIRPYVEYQGINPQSDKS >Ma10_p26520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34177307:34181025:1 gene:Ma10_g26520 transcript:Ma10_t26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILVSRSAKEVIKGGIELHDEATVSDLQEAIYARTKKFYPSRQRLTLPLQSGNQGKPVVLSPKKKLVEYCDGNAKTLTVVFKDLGVQVLYSTLFFWEYLGPLIIYPIFYYFPVYKYFGYEGERVIYPVQTYATCYWCLHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGTYVAYHVNHPLYTPVSDLQMKIGFGFGLICQVSNFYCHLILRNLRSSDGNGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTIAGYVFLVVATLIMTNWALAKHRRLKKLFDGKDGRPRYPRRWVILPPFI >Ma10_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26043597:26044371:-1 gene:Ma10_g13110 transcript:Ma10_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGASSGSSMFHSSGSSEDLQAAMDEKKRKRMISNRESARRSRLRKQKHLDDLMAQANQLRKENSRVLSVLNLTTRHCAAAQAENSVLRAQTMELSNRLQSLNEMLDIFNGNSISSSSSSFFMGDGFHAIDNSISPWIQSYTTQPIMAAAEHMFHH >Ma07_p27460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33831820:33833405:-1 gene:Ma07_g27460 transcript:Ma07_t27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDTGVMSGAMLFIQEDLKATDGQIQVLAGILNACALVGSLTAGRVSDWIGRRYTIVVAAAIFFLGSLLMSLGLNFAMLMAGRCVAGVGVGYALMIAPVYSVEVSSPSSRGCLSSLPEMCISLGILFGYLSNYIFGKLPLIYGWRLMLGVAMVPSVALAFSIFAMPESPRWLVMQGRIKDARDVLRRVSNTKEEAERRLEDIKATVGIDRSSTEDVVQLVSKHHGEGVWKELLRPTPTVRRILTATIGIHIFQHATGIEAIVLYSPRIFKKAGLVTKNQQFLATIGVGVFKTLFIFVAILLVDKAGRRKLLLTSLSGMILSLTGLGTVMTLARHSQEKLLWAQVSCVVFVFSFVTSFSIGLGPVTWVYCSEIFPLRLRAQGTSLGVAINRLMNSAMSMSFISLYKAITIGGAFFLLAGIGVVAWAFYFFCCPETKGRALEEEMEQVFNKGSNTNPRNKQEDEKKGVKA >Ma04_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3067999:3069112:-1 gene:Ma04_g03970 transcript:Ma04_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELISKGHQLDAINFAYEAGLQDKFTPVSLLKSFLKDSNKATSTFEDHNGGGQTTNYTCRKEQSIIRAAIKCIQEHKLEAEFPLESLQKRLEQLEKAKVEKKKPSGGGPAAPANKRTRANNGGPMPPAKAGRLTNNVHVSSPAAPAFVRSPSAHTTYPAAAPYPYDSPAGHGVYGSRSPPDIRDSYRYPAEVGPVALGAPYHSPPMSYPVYGNYNPLGGYNNGVAPGYQQAYYR >Ma04_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26022754:26026704:1 gene:Ma04_g23940 transcript:Ma04_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFGRGPRELTGAADLINRYKLSAHHDFFCKRILPLSISDTHYLHNVVGDTEIRKGEGMELGQLFQSAPYLRETTAQIQQFNLDLLGQAFELRDTAPIDLPLSEKGTPTIPGKSKGDSKDKGRKHKKHKVKDREKDKEHKKHKRRHKDRSKDKDREKKKDKSGHHDSGGDHSKKHHEKKRKHDGNEDSVDNHKHKKSKVVGMHKSLKIEEVGGIKVSS >Ma06_p35640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35412523:35425335:1 gene:Ma06_g35640 transcript:Ma06_t35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLPRALTSHVCFHHILLLLLLQPLLLRRTASATEPDRVALLAFRAAITKDPSGILHSWNNSRHFCRWSGVTCDDPDHRERVTTLALEFMSLRGVISPSIGNLTYLGYLLLSNNSFYGEIPPEISRLAQLKDLNLSYNALNGTVPVSLGLCTNLLGIDFTGNLISGNIPAQLGSLLKLLVLNLGLNKLVGDITPFLGNLSSLLQLDLSSNKLTGEIPSSLGKLSNLTYLDLSANMIVGGIPPSLGKLSSIGMLNLAGNALSGAIPPNMANLLTLGFLDLSNNSLSGEIPPLLGRIVPLQILLLNNNNLTGSLPNSLGNLASLSYLDLSKNSLSGSIPPSITNLSSLQVLDLSFNNLVGRLPEEIGLLTSLEFFQVSVNGLSGPVPLSLYSISSLQTLSMAYNQLSGTLPLDIGDTLPNLSFLGMASNRLEGQIPWSLANATSLRQIDLSRNNFSGRIPANLGNLPYLKQVSLGNNSLEARDAEDWEFISSLTNCSQLEELSLIENDLGGVLPASIANLSIQLKSLTLGRNHISGSFPPGIRNFVNLVTLSLNENHFAGSIPDYLGELVNLEALILYGNKFSSNIPSSLGKLTRLNELVLFDNDLGGSIPVSLGNCQNLNFLDLSGNRLSGSIPIEVLSIGSLSSYLDLSNNQLNGTLPPEVGKLRNMPFLSVAINRLSGGIPTTLGDCQVLESLNLSRNFFQGSIPTSLSNLKGIKRLDLSSNNLSGSFPGFLAGLPDLQLLNLSFNDLNGEVPVDKIFGNSSEFYVVGNHKLCGGISSLHLPSCSTQSSKKNRSLILEITLPIVVLLLLFALFMTCCYARKHKKLGLPAKVLENVPTRLSYLELMKATDDFSSENLIGVGSYGSVYRGVLGDGKTLVAIKVLNLVQRGAFKAFVAECEALRSIRHRNLVKILTTCSSVDLRGNEFRAIVFDFMPNGSLESWLHSDTDNKMYFKRLGLLRRLDIAIDVAAAVSYLHDHCETPIIHCDLKPSNVLLDGDMTGRVGDFGLARFLSNGTDRYLSSSVAMKGSIGYMAPEYGMGGQVSTHADVYSYGVLLLELFTGRRPTDDMFKDGLTLQKHVEGAFTKGAQVTGIADPSLFSDEEEGEDTSVLRTGSQASERITRCLESVLMVGLCCAKESPRERITIKDAVTRVETIKSLLHTTKICSTHTSKKNRSLILEITVPIVVSLLLFALFTTCCYARKHKKLGLPAKVLENVPPRLSYLELMKATDDFSDENLIGVGSYGSVYRGVLGDGITLVAIKVLNLLQQSAFKAFVAECEALRSIRHRNLVKILTTCSSVDLRGNEFRAIVFDFMPNGSLESWLHPDTDKKLYSKRLGLLRRLDIAIDVAAALNYLHDHCETPIIHCDLKPSNVLLDGNMTARVGDFGLARFLFNGTDRYLSSSVAMKGSIGYMAPEYGMGGLVSTHADVYSYGVLLLELFTGRRPTDDMFKDGLTLQKHVEGAFTKGAQVTGIADPSLFSDEEEGEDTSVLRTGSQASERITRCLESVLMVGLCCAKESPRERITIKDAVTRIETIKSLLLTPKM >Ma10_p25750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33732306:33734877:1 gene:Ma10_g25750 transcript:Ma10_t25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILRISILNLSVACEPKGYLFFVYFIPASVSCQAQNLQTGLAGIDLEFVTSKTSLSSAPSTLTTCSTASTLTVPSYSEKTSSGSLYTPRTEGEILSASNLKSFTLKDMKIITRNFGSECCIGEVGFGYVYKGWMDDQTLAPSKPGRGMVVAVKKLKLESFQGHKEWLTEVNYLGQLHHPNVVKLIGYCLDDDNRLLVYEYMAKNLTLFDEDTTHQHPLDWATRLNVKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTQDYAAPEYIMTGRLSAKADAYSFEVVVLLEMLSGC >Ma10_p31180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37370466:37386515:-1 gene:Ma10_g31180 transcript:Ma10_t31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSYPPYTLSARGQQVIIKFKIPPTCELSHIITDLVKNLGDTSKQLGGGSKIHLYAFDSAAGWRIALNPSEKSGVSDATNEGGLSILIISSLHDSDFCVIKFIKRGMYSFRELDAMVSALKLAGEQANIKKSSGSYTKAYKRGDIYPEKTVSALEAMGVVVYGLDETSGISLDGTISWENMAGYDDQKREIEDTILLALQSPEVYDEVARGTRCKFETNRPRAVLFEGPPGTGKTTTARVIAKQAGVPLLYVPLEVIMSKCYGESERLLAKVFSLANELPSGAIIFLDEVDSFAASRCNRMHEATRRILSVILRQIDGFEKEKQVIVIAATNRKKDLDPALISRFDSMISFSLPDQQTREGIAAQYAKHLLKTEIILLAAATDGMSGRDIRDVCEQTERHWASKLIRGQAPNDATRRTTKLPPIEEYINSAEQRREALLLDISGGNKCPKSCI >Ma03_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2673514:2677919:1 gene:Ma03_g04110 transcript:Ma03_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWESILGKDGRRFVKRKDSDAGEHGRALEELRSSMYSDFHTSEGAKRQQQRLCGPFVAMTFNFIVAVGIIMANKVVMGKVGFNFPVALSLIHYVTSWFLMAIFKALSLLPAAPPSKATPFSSLFVLGVVMALATGLANVSLQHNSVGFYQMAKIAVTPTIVLAEFMLFSKKVSLQKVITLGIVSVGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSNMQQTGNWTALALMWKTSPITIFFFMVLMPLLDPPGVLSFGWNWNNVAAIIISALFGFLLQWSGALALGATSATSHVVLGQFKTCVIMLGGYIFFKSDPGMVSLFGAVVALCGMSFYTYLNLRDSKESSAGAIKQLLPKQNSFSLKPKTIIDEEDNTDAINPV >Ma07_p00560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:477684:482906:1 gene:Ma07_g00560 transcript:Ma07_t00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQSQVPVPAADQNDQRRLHHLLYEAAPVSYQPQSYASPPLSYFTCPNPNPSAADAAAGVNPFDVRDHRIATPYAMTVAGGIGLAPYYNMAGGQAQEFPALPFHVDPSSYGPIRVAIDTKVKVKDLEMKKSKVIQGGAAAESVKICTVCNVVCNSDKVFTSHLAGEKHAIKMKATGTIPKVKDVETKKSKVIQGGAAAESVRICKLCNVVCNSDKVFASHLAGEKHIMKMSATGTKTKFKDLETKKSKVIQGGVAAESVRVCTLCDVVCNSDKAFAFHLAGEKHVLKAQGRLSNSPSMVSPNETIFPACTSGSHTASWIVQPLYCEICNISCNSEDVLNKHKMGKKHKKNLEKLQETFTEKPTNDQVVDLQMENPAAFEETENKTGPALEQDLETKRRKVLEQGAAADAIRGCYLCNVVCNSQKVLDIHIAGQKHKAMVKKQQEILTSKS >Ma06_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4141583:4149736:1 gene:Ma06_g05560 transcript:Ma06_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGANARLRFGLSSMQGWRATMEDAHAAVPDLDNCTSFFGVYDGHGGKVVAKFCAKYLHAEILKCEPQLGGDLSASVQKTFLRMDEMMKGQRGWRELAVLGDKMDKFTGLIEGLIWSPRGGYSSEHLDEWAYEEGPHSDFSGPTSGSTACVAVIRNNQLIVANAGDSRCVLSRKGQAISLSTDHKPYLVEEKERIVKAGGFIQAGRINGSLNLTRAIGDMEFKQNKYLPAEKQVVTCNPDINIVELCDDDEFLILACDGVWDCMTSQQLVDFINEHIKTESCLSAVCERVLDRCLAPSTISGDGSDNMTMILVQFNKPIRPDAPHP >Ma11_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3471381:3472674:-1 gene:Ma11_g04480 transcript:Ma11_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16250) UniProtKB/Swiss-Prot;Acc:Q9LU21] MGLLQFNSPGISSIPITSSFNYNSKSLRPLHLPNQVSLERRKLFAISTNTTSGGSESADVASEEPPSIDFAFVNSKLLPDGTPDVQYRSACGGQKLRDIMLDNNIDLYGPYDGPLLNCSGGGTCGTCIVEVVEGKEILSLRTDKEKELLKKKPKTWRLACQTMVGNKDSRGQIIIQQLPEWKVHEWEK >Ma00_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2972862:2974916:1 gene:Ma00_g00760 transcript:Ma00_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPRFRLSLIGRSGLKQARQIHAHLISLGLVSYTYVTSRVLALYALHDMALAHQLFARIPQPTIFNWNTMIRGFSDTARPHRGLAVYVGMRRHDVFPNMHTFPFTIKSCTGVPVLSQVHGQVFKFGFDLDVFVTSSLVKRYSDLGAVQLASKVFDESSHRNVVCWTSLVTGYCSHGLVDKARSLFDHMPERNGASWSAMITGYVQNERHKEAIELFHELRECSAAELNDALLVSALSACASLGALEEGRWIHSHIDAKGSTHYGLELGTALVDFYAKCGFVDGAREVFDKMPRKDVTAWSAMIMGLALNGHSRPAISVFSEMLKQGVAPNAITFIGVLAACNHGGLVDEGRAHFESMVSAYAVRPTIEHYGCMVDLLSRAGHTAEAEGLIESMPMEPDGVIWGALLNGCRMHGHFKRGERAGRRVIELEPAHFGRYVGLANVYASMKRWDGVAELRTAMRKRQVTTTPGWSSIGHLDDKTHRQKETQ >Ma07_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30433476:30435294:-1 gene:Ma07_g22520 transcript:Ma07_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSQVLICEVPWSDPDMEGEPGGSRRPNFPLQLLEKKEEDSCSSSAYPSLAISSTTAAGEIAADRLRKPPPKRSSNKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRGSGSSVSAPSHLRAANYFGGVAAAHPGPSLRFHNEWERGGSSAFPPEVPSSSSSVLLNFNSCSIGLDASSEADIGNIRKRRWESEIHQHQPSPVQHQMAGYSQASHGQMPGTVWMVTNPNAQDMVGSSNQSIWTFPQAANASMLRGSMSGGLHFMNIPTPMALLPSQQLGLGSGGGEGYTGIPSALNMYRSSPSNSEAMQSMTQQSHRDSERHDTMSTSDS >Ma05_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19178911:19181468:1 gene:Ma05_g17000 transcript:Ma05_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGTVESDSDKKPAKKCSSDAAATASNNGCFDCNICLDFAADPVVTLCGHLYCWPCIYKWLQQGNGGGGESSQQCPVCKAALFQRSLVPLYGRGHSTKSAQQSLDIPRRPSFPREAIEQRLLQLNEEQDPVMQQRRRHVVESSGDHAPPFSPLAAARVTNSAAGEVLGGMAVAVLPWMFRDQEWASVYHPSPYHVVGNGVRRQEVELARSLHQIWVFLFCCAILCLLLF >Ma05_p17000.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19178585:19181468:1 gene:Ma05_g17000 transcript:Ma05_t17000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGTVESDSDKKPAKKCSSDAAATASNNGCFDCNICLDFAADPVVTLCGHLYCWPCIYKWLQQGNGGGGESSQQCPVCKAALFQRSLVPLYGRGHSTKSAQQSLDIPRRPSFPREAIEQRLLQLNEEQDPVMQQRRRHVVESSGDHAPPFSPLAAARVTNSAAGEVLGGMAVAVLPWMFRDQEWASVYHPSPYHVVGNGVRRQEVELARSLHQIWVFLFCCAILCLLLF >Ma05_p17000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19178332:19181468:1 gene:Ma05_g17000 transcript:Ma05_t17000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGTVESDSDKKPAKKCSSDAAATASNNGCFDCNICLDFAADPVVTLCGHLYCWPCIYKWLQQGNGGGGESSQQCPVCKAALFQRSLVPLYGRGHSTKSAQQSLDIPRRPSFPREAIEQRLLQLNEEQDPVMQQRRRHVVESSGDHAPPFSPLAAARVTNSAAGEVLGGMAVAVLPWMFRDQEWASVYHPSPYHVVGNGVRRQEVELARSLHQIWVFLFCCAILCLLLF >Ma05_p17000.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19178332:19181468:1 gene:Ma05_g17000 transcript:Ma05_t17000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGTVESDSDKKPAKKCSSDAAATASNNGCFDCNICLDFAADPVVTLCGHLYCWPCIYKWLQQGNGGGGESSQQCPVCKAALFQRSLVPLYGRGHSTKSAQQSLDIPRRPSFPREAIEQRLLQLNEEQDPVMQQRRRHVVESSGDHAPPFSPLAAARVTNSAAGEVLGGMAVAVLPWMFRDQEWASVYHPSPYHVVGNGVRRQEVELARSLHQIWVFLFCCAILCLLLF >Ma05_p17000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19178911:19181468:1 gene:Ma05_g17000 transcript:Ma05_t17000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEGTVESDSDKKPAKKCSSDAAATASNNGCFDCNICLDFAADPVVTLCGHLYCWPCIYKWLQQGNGGGGESSQQCPVCKAALFQRSLVPLYGRGHSTKSAQQSLDIPRRPSFPREAIEQRLLQLNEEQDPVMQQRRRHVVESSGDHAPPFSPLAAARVTNSAAGEVLGGMAVAVLPWMFRDQEWASVYHPSPYHVVGNGVRRQEVELARSLHQIWVFLFCCAILCLLLF >Ma05_p17000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19178480:19181468:1 gene:Ma05_g17000 transcript:Ma05_t17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGTVESDSDKKPAKKCSSDAAATASNNGCFDCNICLDFAADPVVTLCGHLYCWPCIYKWLQQGNGGGGESSQQCPVCKAALFQRSLVPLYGRGHSTKSAQQSLDIPRRPSFPREAIEQRLLQLNEEQDPVMQQRRRHVVESSGDHAPPFSPLAAARVTNSAAGEVLGGMAVAVLPWMFRDQEWASVYHPSPYHVVGNGVRRQEVELARSLHQIWVFLFCCAILCLLLF >Ma08_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35001146:35001942:-1 gene:Ma08_g20980 transcript:Ma08_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCLSSRRLLVSVLLLMISPIAPPCRIASAMEEEYAAVVGNHFMGLSPRAGSRFLAQGVKKGDRCDPVANNVCSGVQAKDGTQLLYCCKSHCRNVLNDRNNCGACGVRCGFGQLCCKGKCTAVAYDVDNCGKCGTVCQPELRCEYGSCGYA >Ma04_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11266037:11268070:1 gene:Ma04_g14830 transcript:Ma04_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETLPPQPPPLPATDPPSSPTPRISIVHGPVIVGAGPSGLAVAASLRRLCVPSVILERSDGIADLWSHRMYDRLTLHLPKPFCQLPHLPFPAYFPSYPSKDHFLGYLHAYAHHFSLRPLFGCTVMDARFDPAVSLWRVTAIRRDLSDVVEFLSPWLVVATGENAEPVVPEIKGAEGFEGSLLHSSEYKSGVEYEGKRVLVVGCGNSGMEICVDLCEHGAMPFMSVRSGVHILPREMLGTSTFGVAMKLLRWLPTKVVDRFLLIMAKMMIGDTEKYGLKRPKVGPLELKNTTGKTPVLDVGALSRIKDERIKIVSEVESLTSNGAKFVDGGEMAFHAVVFATGYKSNAPLWLKEAGVLTAEGKPEHSFPNGEKGIYFVGFSGKGLLGASVDAIKTALDVSARWTRLSESKDVGL >Ma11_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26445603:26449048:-1 gene:Ma11_g22850 transcript:Ma11_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKPVEVCVKAAVGDPDSLGDCPFSQRVLLTLEEKKIPYDIKLLDVNSKPDWFLEISPEGKVPVLKLDDGKWVPDSDVITQILEEKYPNPSLVTPPEYSSVGSKIFSSFIKFLKSKDANDGSEQALLDELHALDEHLKQHGPYVNGENVSAVDLSLAPKLYHLVIVLGHFKGWKVPENLTYVHAYIKLLFNRESFVKTKPAKEEHVIAGWAPKVTA >Ma08_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9368645:9370769:-1 gene:Ma08_g12360 transcript:Ma08_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGGSKNRKRRRSPRKSLPLERAQERCRPPRRNVSTKQITPKSLTPDLSPLNPAVEDNTLPELKENLAQGSLSRTRKKVTFNLNVQTYEEVSGDEDSKCLSEDDEGPEAVDEDGKPHEGQDEFSPKLGAFPLNHRYQNCEISDDDGSDCGGDEEEDYSDSDFDEEEDDEVGTEEKEEESYDSFFSLAIDEEPQRLQEVRSPEPKCPSSPGRQPILLAGGGNKRDRSQYVHPVLNPVQNLTQWKEVKVHSARAKNAKVENAGTEKENQMMFCSEAMNNKAKKPQGSIGLDRTPNCSTKQEISVDASLSNWLLSSENSTVEGPEASNSPRSNSAFSREDRPILGALTVEDLKQASVTSSPRRSPSRSTDEKPILGTVGRYWNSRNQGDDSASSRQPSSGHNGSPNTTIKYREDKPVNWNSTPFEVMLERALETGTA >Ma09_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12993011:13010772:-1 gene:Ma09_g17420 transcript:Ma09_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRGRRGELPPSQETIEKLENMVDACNFYEAQQMYKSLSARYAASEKYAEALDILQSGALIQLKHGQITCGAELAVLFVETLVKGKYSYSEETLDRVRKMYEGFPNIPIPEHLEDDDDMQKLSEALVAAKVRVEGCLSFLRSAIKWSSEFGAPKKGSPQLHNMLAEYLYSESPEVDMTKVSSHFVRGNDPEKFASVLVNFMGKCYPGEDDMAIARAVMLYLSQGNLRDANNLMDELNKQLEHKQLELPDSDLILFIKYLLQALERDSFPLFKILRQKYKTSIDRESLFDGLLDEIAEKFYGIRRSGLPDIFGDLLKMM >Ma10_p30930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37150316:37160114:-1 gene:Ma10_g30930 transcript:Ma10_t30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKRPLDLSSVAAAAGEPNPASPSPSSSSSSSASASKRRHPPSARVPFRSPPPLKPSSVQIIFRILCPGDKSGGVVGKGGAFLRRCREETGARIRVEDPVPGSDERVVVVVAEAQPKRWAEAGGGEAEADASPAQRALIRVFERILRVDEDGLGEGIDGGGGGTKEKGMHGLVLCRLLAQGNQVGSVLGKGGKVVEKIRQGSGAQVRVFGKDQVPPCASAGDELIHISGSFSSVKKALLSVSSCLQDYQRTEPTSSARTVPLVPMDPYAHWNYFPSPHVSEYHFRGYAPNPGAEISPSSQRKVEVVFRMLCSNDKVWSIIGKGGIVIRTMQNETGASIKIADPVSDSDERVITISAHEISELCRSPAQDAVLRVHSRLSEMLDKGSAVAARLLVPSHQIGCLLGKGGAVIAEMRRATGASIRIFLKEQVPKCAQPNDEVVQVTGTFQSVQDALLLITSRIRDIIFPFKTYSSAGIGQSAHATYEMPFVLPTARHELTPSGANPPVSISDSADHAVGFHNTLDRQHPVSQSVDQLGVDRGSYGNETPRPCPATDCAAPTLTPEVVNSGNTRRNQDAGTSLDFESGLIGSGSQANVVRSKTVEVVVPQQYLGFVYGDNGSNLADLREISGAKVAVRDPKPGATEGAVTISGTIEQTRTAQSLLHAFILCGLEHN >Ma03_p09590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7156058:7160806:-1 gene:Ma03_g09590 transcript:Ma03_t09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGSVSDPSNGEIAAEGRKESALDHCYRLFNRQRSIHQIIGGGKAADVILWKRRWISIAVMIGATIAWFLFERSGLSFLTICSDILLILIVIQFARANSAVLLDKQLQPLPELVLSEEMVNNAAASFRVKINNMLMMAHDITLGKDFRIFFQVVVILWLLSVVGSFFSFFTLAYIGIIVSFTIPALYDKYEDRVDRYAGMVHRKISKHYKIVDENVIRRLPRRFSKSKDA >Ma03_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7156058:7160812:-1 gene:Ma03_g09590 transcript:Ma03_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGSVSDPSNGEIAAEGRKESALDHCYRLFNRQRSIHQIIGGGKAADVILWKRRWISIAVMIGATIAWFLFERSGLSFLTICSDILLILIVIQFARANSAVLLDKQLQPLPELVLSEEMVNNAAASFRVKINNMLMMAHDITLGKDFRIFFQVVVILWLLSVVGSFFSFFTLAYIGIIVSFTIPALYDKYEDRVDRYAGMVHRKISKHYKIVDENVIRRLPRRFSKSKDA >Ma06_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5216473:5223926:-1 gene:Ma06_g07320 transcript:Ma06_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQMVAEMQPSSQDSHDIRNNHQNTLVTEASGQDPSSSTFADSKSKKVSHADIELVQNLIERCLQLYMNKGEVVRTLSNRARIEPGFTALVWQKLEEENPEFFRAYYIRLKLKKQIILFNQLLEHQYHLMKYPIPFKVPLVPMQNGIHPVHVNNLPMGYPVLQPQILTTGQLHVDPMACGLSDYHVVNGIPAPGSSHPIRMNTGNDDTSEAAPLAPQCSTMSPMSEMAVTSASVESNQFSFTPSEITMGMDASAIDTTFTPDVFNTGGLQLGADGVTSSKDSIRSLGQLWNFSLSDLTADMTNLGDFGALGGYSGSPFLPSDSDILLDSPDQDDLVEYFADSITGKCSQSNEDK >Ma07_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6028347:6030639:-1 gene:Ma07_g08080 transcript:Ma07_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRSRFFLLVISPLFLILPFAESQSFVGVNYGEVADNLPPPEATARLLKSTTISKIRLYGTDPPILRALAGTNISVIIGAADGDIPSLASDPSAARRWVSANVLPFLPATAISIVSVGNEVLAPGDASLGPQLLPAMRNLHSALSEAAPSAGIKVSTVHSMAVLASSEPPSAGVFRADLTSNLAGVLGFLRETGAPFMINPYPYFAYQSDPRPETLAFCLFRPNPGRFDGGSKLTYTNMFDAQLDAVKSALTAAGFSEAEVVVAETGWPYRGDQDEAGATVDNAKAFNVNLVAHLRSMAGTPLMPGRSVDTYIFALYDEDLKPGPTSERSFGLFRADLSPVYDAGLAKTGTTQGNSTGTPARKPVAAGWCVPKAEATDEDLQANLDYACAQQGVDCRPIQEGGACYLPNTVRSHAAYAMNQLYQNTGRHGWDCDFRKTAVLTRDNPSYATCVYSGAGS >Ma02_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15137159:15141931:-1 gene:Ma02_g03720 transcript:Ma02_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKGRDPLVPHRHVRTDKPYPQPTPRSSPFPPPPAPQHFHVSRFIAFVAIWFWSLVCVMNATGSMGIAVAVRPCCRLLVAQLFPECPCHQRRAAAAAAFFFPRCPHDRRRAPALSLAPQRRSAASLVRAALAPARDEAAVSDARRLSTAAGPVPGVDRAFERIFVQGLAAVEPLVIERVAEKERMLVVEEKRKVEEVEEERVTEVAKAREVSESEKEAWRLLKNAVVEYCGSPVGTVAATDPAAEALNYDQVFIRDFVPSALAFLLKGETEIVRNFLLYTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDVSKDEVEEMLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYTLQERVDVQTGIKLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYCALRCSREMIAVNDGSKSLLRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSQDAINKFNIYPEQIPGWLVDWVPEKGGYFIGNLQPAHMDFRFFSLGNLWAIVSSLATPRQAEGILDLIEEKWDDLVGNMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTSACIKMGRPELARKAITIAENRLSNDKWPEYYDTPTGRFIGKQSRLYQTWTIAGFLASKLLLENPEMASILTFEEDLELLEGCACNLIKSPRTQCSRHAAKSHVLH >Ma06_p05820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4301420:4304117:1 gene:Ma06_g05820 transcript:Ma06_t05820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPKKFWESLIKPFIGGRNKEEKGEEDLEAIAATEHKVFRYEMLVAATRNFNPKQKLGEGGFGPVFKGRLEDGRDVAVKRLGRGSRQGAREFENEAMLLSRVQHKNVVNLYGYCAHDDDKLLVYEYIPNESLDKLLFSDEGNGSQMQLDWKRRYQVIVGVARGLLYLHEGAHTAIIHRDIKASNILLDNRWVPKIADFGMARLFPEDQTHVNTRVAGTNGYMAPEYVMHGSLSTKADVFSFGVLVLELISGRKNSAFSPLPDPEANSLLEWAWKLYKKGQRLELLDPAVASTADAEQVAMCVQIGLLCTQSDPKLRPDMKRVVIILSKQPSVLEEPTRPGIPGSRYRRRSYGTRGSHYSAGESSSTINSASTAATTATTSTTLTMHQESHQEQHPNPT >Ma06_p05820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4301422:4304117:1 gene:Ma06_g05820 transcript:Ma06_t05820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPKKFWESLIKPFIGGRNKEEKGEEDLEAIAATEHKVFRYEMLVAATRNFNPKQKLGEGGFGPVFKGRLEDGRDVAVKRLGRGSRQGAREFENEAMLLSRVQHKNVVNLYGYCAHDDDKLLVYEYIPNESLDKLLFSGEDEGNGSQMQLDWKRRYQVIVGVARGLLYLHEGAHTAIIHRDIKASNILLDNRWVPKIADFGMARLFPEDQTHVNTRVAGTNGYMAPEYVMHGSLSTKADVFSFGVLVLELISGRKNSAFSPLPDPEANSLLEWAWKLYKKGQRLELLDPAVASTADAEQVAMCVQIGLLCTQSDPKLRPDMKRVVIILSKQPSVLEEPTRPGIPGSRYRRRSYGTRGSHYSAGESSSTINSASTAATTATTSTTLTMHQESHQEQHPNPT >Ma06_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4301421:4304117:1 gene:Ma06_g05820 transcript:Ma06_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPKKFWESLIKPFIGGRNKEEKGEEDLEAIAATEHKVFRYEMLVAATRNFNPKQKLGEGGFGPVFKGRLEDGRDVAVKRLGRGSRQGAREFENEAMLLSRVQHKNVVNLYGYCAHDDDKLLVYEYIPNESLDKLLFSEGEDEGNGSQMQLDWKRRYQVIVGVARGLLYLHEGAHTAIIHRDIKASNILLDNRWVPKIADFGMARLFPEDQTHVNTRVAGTNGYMAPEYVMHGSLSTKADVFSFGVLVLELISGRKNSAFSPLPDPEANSLLEWAWKLYKKGQRLELLDPAVASTADAEQVAMCVQIGLLCTQSDPKLRPDMKRVVIILSKQPSVLEEPTRPGIPGSRYRRRSYGTRGSHYSAGESSSTINSASTAATTATTSTTLTMHQESHQEQHPNPT >Ma04_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5446732:5449473:1 gene:Ma04_g07540 transcript:Ma04_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPAVVSNSAAAVPTDAPVPTEERSRSSAEFVEAIARPPANLKLKVFIVFYSMYGHIEGLARRMKIGVDGIEDVEGVLYRVPETLPPEVLEQMKAPPKDPAIPEISAAELVEADGILFGFPTRFGSMAAQMKAFFDSTGQLWREQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLVHHGMIFVPIGYTFGAGMFKMDEIRGGSPYGAGVFAGDGTREPGDMELALAEHQGKYMANVVKRLAH >Ma04_p36400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34796182:34799024:1 gene:Ma04_g36400 transcript:Ma04_t36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPNRVWTAWLFVVCVYWCFCSMERNKMFVEPSHIVYEELQSVSPALVNDELKPRYHFRSARNWLNDPNGPMYYKGIYHFFYQYNPYGSVWGNIVWAHSVSTDLINWKALAPAIYPSEPFDIYGCWSGSATVLPGDKPVILYTGVDPEQRQVQNIAFPANLSDPYLREWTKPDYNPVIPPELGVNASAFRDPTTAWYAPNKHWTLVVGSKRDRRGMAILYRSKDFVHWIKAKHPLHSSKDIGMWECPDFFPVAAKGRQGLDTSACGDGVKHVLKVSLDATRYEYYTLGKYFHYMDKYVPDVTSTDDHTGLRYDYGNFYASKTFYDPAKKRRILWGWANESDSAYTDKDKGWAGVQAIPRSIWLDDSGRQLVQWPIEEFETLRDKHIFVKNKNIPSGGFLEIKEIQTAQADVEVTFDVASLEKAEEFDPYYVDDAEAFCAKKTAETKGGVGPFGLLVLASANREERTAVFFRIFKAQSKYMVLMCHDPTRSSKRESTYKPTFAGFVDIDVTKTRKISLRSLIDHSVVESFGAGGKTCITSRVYPSVAIGEKAHLFVFNNGAQDVKLSELNAWEITEPEMNDEIIY >Ma09_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2994082:2994473:1 gene:Ma09_g04630 transcript:Ma09_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFRCCPQIFLSYRISWNRFVAEEKPRRYISWTSSRNGQKLTTHFTRQL >Ma03_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5411055:5438237:-1 gene:Ma03_g07700 transcript:Ma03_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSFAASIIRHWHMLMVRDRVFGPTTTTRHVYDVAAQHVVSSAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDSQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPCDECGEGGAVNFSQLNLIDLAGSESSRAETTGVRRKEGAYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGQGRVSLICTVTPSSSNAEETHNTLKFAHRAKCIEIQASQNKIIDEKSLIKKYQNEIRCLKQELEQLKRGIVTVVPQKDSGENDIFLLKQKLEDGHVKLQSRLEQEEEAKAALLGRIQRLTKLILVSTKANQSPRFPQRAGPRRRHSFGEEELAYLPYRRRDMILDNENGVFYAPMEGFGETNDDASKEEKKNRKHGLLNWFKIRKRDSGLTTLMSSDGDKSSRTKSYTAPSTPHAESVNFPSEPRISNSLVSDRIPADLLEVMHDRELPAVDFSSQETPLTSIKTTDHVELLKEQLKILAGEVALNSSVLKRLSDEAAKNPKNEQIQMEMRKVSEEIKAKNQQIASLEKQMAKTVSLTQNRMGNSGISPSYAELLEQLNEKSLELEVKTADNSIIQDQLQQKIFECEEMQETITSLKQQLAQSLEMKDSSTRVKSEYLFEETSITESTPSRDISAELLPHANLVSEVEELKRKVSELSEAKSQLEARNQKLVEESAYAKGLASAAGVELKALSEEVTKLMNHNERLAAELASMKNSAQRRASSGPKNTRRDSHIKRHEPTVKKEVTSSNERELQAALVEKEQREAELQKKVEESKQREAFLENELANMWILVAKLKNSRGTEPEVLDSNP >Ma03_p07700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5411054:5438693:-1 gene:Ma03_g07700 transcript:Ma03_t07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPDSRQRRSAQVSSKPANSPTSSTTSSSRQVPEASVDGQSSPASSSVRDKPQYFCGDSEAFDMEGSKESVTVTVRFRPLSPREIRQGEEIAWYADGDTIVRSEHNPSLAYAYDRVFGPTTTTRHVYDVAAQHVVSSAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDSQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPCDECGEGGAVNFSQLNLIDLAGSESSRAETTGVRRKEGAYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGQGRVSLICTVTPSSSNAEETHNTLKFAHRAKCIEIQASQNKIIDEKSLIKKYQNEIRCLKQELEQLKRGIVTVVPQKDSGENDIFLLKQKLEDGHVKLQSRLEQEEEAKAALLGRIQRLTKLILVSTKANQSPRFPQRAGPRRRHSFGEEELAYLPYRRRDMILDNENGVFYAPMEGFGETNDDASKEEKKNRKHGLLNWFKIRKRDSGLTTLMSSDGDKSSRTKSYTAPSTPHAESVNFPSEPRISNSLVSDRIPADLLEVMHDRELPAVDFSSQETPLTSIKTTDHVELLKEQLKILAGEVALNSSVLKRLSDEAAKNPKNEQIQMEMRKVSEEIKAKNQQIASLEKQMAKTVSLTQNRMGNSGISPSYAELLEQLNEKSLELEVKTADNSIIQDQLQQKIFECEEMQETITSLKQQLAQSLEMKDSSTRVKSEYLFEETSITESTPSRDISAELLPHANLVSEVEELKRKVSELSEAKSQLEARNQKLVEESAYAKGLASAAGVELKALSEEVTKLMNHNERLAAELASMKNSAQRRASSGPKNTRRDSHIKRHEPTVKKEVTSSNERELQAALVEKEQREAELQKKVEESKQREAFLENELANMWILVAKLKNSRGTEPEVLDSNP >Ma08_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2747406:2748765:1 gene:Ma08_g03860 transcript:Ma08_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPSKVGVASRSIGGQQLRSGSHLSRAFGFEPTTARLTCSLQSDLRDLAHKCSDAAKLAGFALATSALVVAGASAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVDSFAFKPGKYNAKKFCLEPTSFTVKAEGVSKNAPAEFQRTKLMTRLTYTLDEIEGPFEVSPDGAVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFSGKFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELSKENIKNTSSSTGSITLSVTKSKPETGEVIGVFESLQPSDTDLGAKTPKDVKIQGVWYAQLEQ >Ma05_p30080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40608313:40608894:1 gene:Ma05_g30080 transcript:Ma05_t30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQFICKSGRNNNQPMLQVTPAQLTDCSADCCLISSKGSEKEQRDKNIIQASVKPQEDNRDSRNVPDVGRKERDGVNNFLEHPRSKSTAVQQENRQQPDGFALPCLATELELKIYVGDEAASSCKQFDLNGFSWN >Ma03_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:241320:241693:1 gene:Ma03_g00230 transcript:Ma03_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQLLAIQAK >Ma11_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25476113:25479641:-1 gene:Ma11_g21240 transcript:Ma11_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRAPFAAVRDRPSKGQSLLGRPAQSSPSLLHGLRCLLGGLPARRGPWRRSFLRALLFAFLVGFFWGLFSFPDLDDAGSLAPPHGFFFDPVRSANATAAEEPVDPFSKLLIIVTPTYNRASQGYHLNRLAHTLKLVPPPLLWIVVETKTATLETADILMRSGVMYRHLVCRKNTSVSLHRDVRQRHTALKHIRHHRLDGIVYFADDDNVYSLDLFERLRRIRRFGTWPVAMLSQSKNKAILEGPVCSGGRVIGWHTNEKGNNLRRFHVDMSGFAFNSTVLWNPKRWMYPKDAIELLDTVSEGFEDTGFIEHIVEDEHQMEGLPDDCSRIMNWRMHLEAKNRVYPRGWQTSKNLDAIIPLK >Ma05_p29750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40333368:40339196:1 gene:Ma05_g29750 transcript:Ma05_t29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTSTPFTTMPSPSSSSTKPIKRPSTASHGGPGGSLHHSLTPSIGICASCKSSPSAATLDLLILLLVLFSCAFLIVSSLAHLARSLTAVLPPAPAFFAPFRDAPYPYLAGLLLFLVVSLAAAHLSCLRPCPLPWSSRRRCGNPRCRGLKKALEFDVQLQTEECIRSPDPAQSAAWKEIDDLPWKGGQQGNNPDYECLRAELRRMAPPNGRAVLLFRSRCGCPLAKLEAWGPKRGRRHKKVPANLAIEGGDR >Ma07_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4621820:4631823:-1 gene:Ma07_g06420 transcript:Ma07_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVLVALALSLVGGLSTSIGGLLVILSNAPNLKMIGSLQGFAAGLMMSMSFFDLAHNAMNSLGFFRGNIWFFVGVLFFASVVNFIPEPSVPGTCKEDGDGSVKDMIRKHHRQVLFSGIVTAVGITVHNFPEGMAVFIGTTKGLRIGITFALAIALHNIPEGVAVALPVYYATESKWQAFKLAALSGFAEPLGVIIIAYLFPRNLNPEILEGLLGSVAGVMAFLTLHEMLPIAFDYAGHKQAVQALFLGMAFMSVSLYFLDISLPKEMRL >Ma06_p23850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22038677:22045061:1 gene:Ma06_g23850 transcript:Ma06_t23850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWGLGWKRPSEIFHLSLDYGEPGANADDDDFPSSSSSPPPPPLPPPPPPPPPPQPPSPRRPSSGVLGFRIDLDWTAGDDEEQIALRLQSQLMVALPPPQDAVVLDLRGDEERGCVEVEMKVLKRREPLRSVRMSKAAGSGQQTDGLGVLTRLIRSNLAPSGPANGVQGLADHWKNVTVLSLCGCGLSVFPVELTKLPLLEKLYLDNNKLLLLPPELGELRSMKVLRVDNNMLSSVPVELRQCVMLVELSLEHNKLVRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHNLRHLSLANIRIEATENLKSVNVHIETENSSYFIASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDHSNRVAISKEENAIRQLISMISSDDRHVVEQACFALSSLAADVSLAMQLIKSDIMQPIESLLRSVDQEELISVLQVLVTLAFASDSVAQKMLTKDVLKSLKALCANKNTEVQCLSILAVGNLAFCSENRRTLSHSESLRELLLRLTVMPVPRVNKAAARALAILGENENLRRAIRGKPVGKQGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAVALGIKQMTLDQCEDIYKELGKLVFAEPTPKDNEAATWREKLDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFVVSTLVSVTPAQPFLFRNYQYPAGTPESPLGMAESPAVTAIGTAIPSAQIASRRGASIGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLISIGCGSVPTKVRKGGWRYLDTGQVLIESACSVDRVEEALDTLLPMIPEVQYFRFNPVDERCDMELDETDPAIWLKLEAATEEYVQKNCELFKNVCERLVPRNEHEERLSEKLNSQQFSKSKSFNSGLDETSPSLGWRRMVLLVESSHSPDIGNTDHHARTLEKFCASNGIRLSLTNCTSGFSKPATRFPTPFTSPLFTGSFPSSPLLYSPECGPQRINRIDLVPPLSLDGHPTGKPSPPTSPLVSRQASLHVRSLHDKLQDLPQVGIIHLALQNDSTGSILRTWRACR >Ma06_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22040407:22047409:1 gene:Ma06_g23850 transcript:Ma06_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRVLRLFGNPLEFLPEILPLHNLRHLSLANIRIEATENLKSVNVHIETENSSYFIASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDHSNRVAISKEENAIRQLISMISSDDRHVVEQACFALSSLAADVSLAMQLIKSDIMQPIESLLRSVDQEELISVLQVLVTLAFASDSVAQKMLTKDVLKSLKALCANKNTEVQCLSILAVGNLAFCSENRRTLSHSESLRELLLRLTVMPVPRVNKAAARALAILGENENLRRAIRGKPVGKQGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAVALGIKQMTLDQCEDIYKELGKLVFAEPTPKDNEAATWREKLDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFVVSTLVSVTPAQPFLFRNYQYPAGTPESPLGMAESPAVTAIGTAIPSAQIASRRGASIGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLISIGCGSVPTKVRKGGWRYLDTGQVLIESACSVDRVEEALDTLLPMIPEVQYFRFNPVDERCDMELDETDPAIWLKLEAATEEYVQKNCELFKNVCERLVPRNEHEERLSEKLNSQQFSKSKSFNSGLDETSPSLGWRRMVLLVESSHSPDIGNTDHHARTLEKFCASNGIRLSLTNCTSGFSKPATRFPTPFTSPLFTGSFPSSPLLYSPECGPQRINRIDLVPPLSLDGHPTGKPSPPTSPLVSRQASLHVRSLHDKLQDLPQVGIIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQSVKLSLSPLMRGRHRKEAYSLAKVSSVADLVTKWRCFQVGGILHRYIGRQTQVMEDNQEIGAFMFRRTVPAVHLTSEDVRWMVGAWRDRIIICTGKYGLAPSLVKAFLDSGAKAVVSSSLEPPDVQSIQFNVVGDYNGFENGRFEIGDEEAEDDYVLEPASPASDWEDSDAEKGGEPVVIWNGDDEDLSEFVCLLYDLLFHEVSRVDVALQHALRSHPKLRYSCHLPNIH >Ma06_p23850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22038677:22045084:1 gene:Ma06_g23850 transcript:Ma06_t23850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWGLGWKRPSEIFHLSLDYGEPGANADDDDFPSSSSSPPPPPLPPPPPPPPPPQPPSPRRPSSGVLGFRIDLDWTAGDDEEQIALRLQSQLMVALPPPQDAVVLDLRGDEERGCVEVEMKVLKRREPLRSVRMSKAAGSGQQTDGLGVLTRLIRSNLAPSGPANGVQGLADHWKNVTVLSLCGCGLSVFPVELTKLPLLEKLYLDNNKLLLLPPELGELRSMKVLRVDNNMLSSVPVELRQCVMLVELSLEHNKLVRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHNLRHLSLANIRIEATENLKSVNVHIETENSSYFIASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDHSNRVAISKEENAIRQLISMISSDDRHVVEQACFALSSLAADVSLAMQLIKSDIMQPIESLLRSVDQEELISVLQVLVTLAFASDSVAQKMLTKDVLKSLKALCANKNTEVQCLSILAVGNLAFCSENRRTLSHSESLRELLLRLTVMPVPRVNKAAARALAILGENENLRRAIRGKPVGKQGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAVALGIKQMTLDQCEDIYKELGKLVFAEPTPKDNEAATWREKLDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFVVSTLVSVTPAQPFLFRNYQYPAGTPESPLGMAESPAVTAIGTAIPSAQIASRRGASIGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLISIGCGSVPTKVRKGGWRYLDTGQVLIESACSVDRVEEALDTLLPMIPEVQYFRFNPVDERCDMELDETDPAIWLKLEAATEEYVQKNCELFKNVCERLVPRNEHEERLSEKLNSQQFSKSKSFNSGLDETSPSLGWRRMVLLVESSHSPDIGNTDHHARTLEKFCASNGIRLSLTNCTSGFSKPATRFPTPFTSPLFTGSFPSSPLLYSPECGPQRINRIDLVPPLSLDGHPTGKPSPPTSPLVSRQASLHVRSLHDKLQDLPQVGIIHLALQNDSTGSILRMMYLWLQNLASLQIDFFRALN >Ma06_p23850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22038677:22047409:1 gene:Ma06_g23850 transcript:Ma06_t23850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWGLGWKRPSEIFHLSLDYGEPGANADDDDFPSSSSSPPPPPLPPPPPPPPPPQPPSPRRPSSGVLGFRIDLDWTAGDDEEQIALRLQSQLMVALPPPQDAVVLDLRGDEERGCVEVEMKVLKRREPLRSVRMSKAAGSGQQTDGLGVLTRLIRSNLAPSGPANGVQGLADHWKNVTVLSLCGCGLSVFPVELTKLPLLEKLYLDNNKLLLLPPELGELRSMKVLRVDNNMLSSVPVELRQCVMLVELSLEHNKLVRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHNLRHLSLANIRIEATENLKSVNVHIETENSSYFIASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDHSNRVAISKEENAIRQLISMISSDDRHVVEQACFALSSLAADVSLAMQLIKSDIMQPIESLLRSVDQEELISVLQVLVTLAFASDSVAQKMLTKDVLKSLKALCANKNTEVQCLSILAVGNLAFCSENRRTLSHSESLRELLLRLTVMPVPRVNKAAARALAILGENENLRRAIRGKPVGKQGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAVALGIKQMTLDQCEDIYKELGKLVFAEPTPKDNEAATWREKLDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFVVSTLVSVTPAQPFLFRNYQYPAGTPESPLGMAESPAVTAIGTAIPSAQIASRRGASIGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLISIGCGSVPTKVRKGGWRYLDTGQVLIESACSVDRVEEALDTLLPMIPEVQYFRFNPVDERCDMELDETDPAIWLKLEAATEEYVQKNCELFKNVCERLVPRNEHEERLSEKLNSQQFSKSKSFNSGLDETSPSLGWRRMVLLVESSHSPDIGNTDHHARTLEKFCASNGIRLSLTNCTSGFSKPATRFPTPFTSPLFTGSFPSSPLLYSPECGPQRINRIDLVPPLSLDGHPTGKPSPPTSPLVSRQASLHVRSLHDKLQDLPQVGIIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQSVKLSLSPLMRGRHRKEAYSLAKVSSVADLVTKWRCFQVGGILHRYIGRQTQVMEDNQEIGAFMFRRTVPAVHLTSEDVRWMVGAWRDRIIICTGKYGLAPSLVKAFLDSGAKAVVSSSLEPPDVQSIQFNVVGDYNGFENGRFEIGDEEAEDDYVLEPASPASDWEDSDAEKGGEPVVIWNGDDEDLSEFVCLLYDLLFHEVSRVDVALQHALRSHPKLRYSCHLPNIH >Ma10_p28820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35587529:35592737:-1 gene:Ma10_g28820 transcript:Ma10_t28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATAMALVVALLAATVDAGDQNGVFTPCADAKIQRWDGFAFGIAFSSYESFFSNGVKLSPCDTRLSLSSNGAQLAVFRPKVDEISLLTINTSNNPSVTSGGYMVAFAGKKYAARSLPAFIGNSTYVVTSFTLVLEFHKGTLQNLYWKRDGCASCKGKSSFVCLNNQDCAIKTSSCKTQGGAVDCSIGIQLAFSGTDKHDAVLNSWYEVSNLRQYSLFGLYSNLKDSLTGEYNKFF >Ma07_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:320963:325341:1 gene:Ma07_g00370 transcript:Ma07_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVARIPSADEWHDPGRLAAAVFGAARGRTSFTPANLKKVLVRQQQSKDVPSSSSSSSALTAAGDSVAGEEDGGSPATARRRQSQIHHRWAVQQAREMVTTIDRHAQQAEISTLTTTSQPVSVRAASLLREASPSASECSAGSAAVSSSCAGAGAGDLPPNVRASSLIQMWRELEAEAGLTPKHRTVCGGGTMENASAASFSADEPSGCNSDFSDESDAFVDWNSDMTTTANSSSSCSLNDNEKSRVGSIVKMLSSGHGARRFMATLNSENEPSGRENPVATNKTERLRSTGSSSVLSPRRLRGRGEMENLVARMEKERRRELAALAEHQHVSRFPYRGRLQSMLRLKSLRRQVEVHDQMQGPSTASELDQLHNGSTISYLRERFNHIGQHCGGRKRALESSSSAHVQFPMDAEDTVYKSSAQVQFPMDAEGSAHTYSSDNNQYQEIVIHPQIAPPETNSSYSRSDYLQEGSQSVDGSWDERNLWVTNLDWQRPSNGWHGEAVAEELESYPQQNTSNWISRPSDPWTGWGANRKPDAYHDLFQNFSDNVEIRELLERRRVSTSLASDFCNKMNQLILSFLHRQTQQSFHENSEETHVDYPFWQPSDEYCNAEQDASVSSSLVPLQYHTLHHPENWQHTSFTHQSSHNLLDMEAMRDLRSDMAQIHEEISELRALIESCMDWQAKLRQSIKHDIMDAIHQSGGTASVSQGSKAKPGGRGSCCICCEMQVDSLLYRCGHMCTCFKCACQLQWNSGLCPICRSPIVDVVRTTFPNY >Ma02_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23202931:23207894:1 gene:Ma02_g15550 transcript:Ma02_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPPSLLGGDGSDGEVGEEAVSLGSRRTGDTSLSLPRPADVVWPEHFVEAVAAQVAVDAASSAGPLAAAPAVVAVFQVCSTWRAASLSELLWQDLTRRVWTSRDRSPPSWRDEFVRLHRTAANFRARRYAYSHLLPSSPVALSCRRLALSDDHLAAGFLNGSVCVFDLPAGQLLATYWPNPHLDRLGRFSRAISGIVLAEPDERLAFASQDGDVHVVRLSIAGSVQRAHVGNLMEDGTLVDFTGNGRWWVGLFAGVPGRSWRVWDAATEQLVYVGGTLTDPDAVLGWHMLIDLSSPLVGRVRIVEPGFAVGFTDSSMEVVDLNDTGAMVIRLELPHGAVVDSVDACEDRVIVVDSRGLAMVHWVPALQEICRFSTLRRAEDQHELGEMSVCMNRNYAVVRSADRIRMWDATTGEYLYSFRERIGEALVVAASDRYVAAWATDTGLHLWDFGDM >Ma02_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25978873:25981050:1 gene:Ma02_g19820 transcript:Ma02_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFPTATPPLCSRRCFRPPFSLCILLPHLLLSLSLSTFTFCSVPKHCGLTKSKQITLLPNAHDSLPRLFRHQQLLTPHHILHVDWLGCR >Ma06_p14680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10044709:10045541:1 gene:Ma06_g14680 transcript:Ma06_t14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNPMSQQQQQQQQQHHHGTWPREPAMHLYHHPCQLEQREGHHEREQMFEKCLTPSDVGKLNRLVIPKQHAEKYFPLDGNSGEKDLALSFEDETGKPWRFRYSYWSSSQSYVLTKGWSRFVKEKKLDAGDVVLFQRPRGGGDRLYIGCRRQGANETPSPARTATRLNEKAPWSRVHRTASGPHPVSPSGACSRQAPVQQDGLRHAGETRQAAAENTAVAPGGKAKRLRLFGVNLECGPASDRRPLHSASWL >Ma03_p26180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30005353:30008692:-1 gene:Ma03_g26180 transcript:Ma03_t26180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHLQAPVATLQQRGAAASPGRKQKAAAGGDEKNRRALGDIGNRVNFRDVDGKVQPQISLPVARFAAQVLENAQPAEPDKKPAFVAANVRGKEGAKAAKQKAAVKPKTEEVIEISSGDEGAKAAEQKVSVNLKTEEVIEISSGNGDRRTSRKKVHTLTSVLTARSKVACEVVHKPNNLAHDIDELDADDELAVVDYVEDIYSFYRFAERYSRPRDYMGSQVEINAKMRSILADWLTEVHRKFELMPETLYLTFYIVDAYLSVETVPRRELQLVGVSSMLVACKYEEIWAPQVNDFIYISDRAYGREQILATEKAILNKLEWNLTVPTPYVFLVRFLKAAMSDKEMENMTFFFAELGLMHYSMVIKHRPSMLAASAVYAARWTLERSPRWTETLERHTGYDELQLGDCAQHLLSFHASAAESKLRAVYNKYSSSRRGAVALRSPATKPL >Ma03_p26180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30005350:30008686:-1 gene:Ma03_g26180 transcript:Ma03_t26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHLQAPVATLQQRGRKQKAAAGGDEKNRRALGDIGNRVNFRDVDGKVQPQISLPVARFAAQVLENAQPAEPDKKPAFVAANVRGKEGAKAAKQKAAVKPKTEEVIEISSGDEGAKAAEQKVSVNLKTEEVIEISSGNGDRRTSRKKVHTLTSVLTARSKVACEVVHKPNNLAHDIDELDADDELAVVDYVEDIYSFYRFAERYSRPRDYMGSQVEINAKMRSILADWLTEVHRKFELMPETLYLTFYIVDAYLSVETVPRRELQLVGVSSMLVACKYEEIWAPQVNDFIYISDRAYGREQILATEKAILNKLEWNLTVPTPYVFLVRFLKAAMSDKEMENMTFFFAELGLMHYSMVIKHRPSMLAASAVYAARWTLERSPRWTETLERHTGYDELQLGDCAQHLLSFHASAAESKLRAVYNKYSSSRRGAVALRSPATKPL >Ma08_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8804846:8806613:1 gene:Ma08_g11810 transcript:Ma08_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLISENETLTKLRHRQPTHESINLRGGAGGDSGGEAGAPMAILSRQISLESGIAWVETEEKEVQGRRSSDAKEEPRVVLSRNSRSCGAPGATAGNAGQRKGDFSMFRTKSALVSRQNSFLSSRKESGPDLNHADGVPGLAGTDDRVNKSVSAGRYFAALRGPELDQVRDCEDILLPKGEVWPFLLRFPIGCFGMCLGLGSQAILWRALASSPAMAFLHVTPDINLVFWLLALVVFVFVSITYILKCVFYFEAICREYYHPVRVNFFFAPWIACMFLAIGAPARLAPKQPHPAIWCTLIAPVFVLELKIYGQWLSGGKRRLCKVANPSCHLSVVGNFVGAILAAKVGWEEAGKLLWAVGLAHYLCLFVTLYQRLPTTQALPKELHPVYSMFIATPAAASIAWSAIYGKFDAVARTFYFIALFLYCSLIVRINFFRGFKFSVAWWSYTFPMTTASVATIKYAEEVPCFFTKGLAVSLSVISSTMVFLLLLSTLLHALVWRSLFPNDVAIAIAKDGNGGTRLRGTDKAAAAQRRYDPKRWA >Ma06_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8720574:8721095:1 gene:Ma06_g12580 transcript:Ma06_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWAFLLSTLTSSLLSLLVFSAAAAVISGRRGRRRAAGFFHPYTIDGGGGERVLWCAVKAVQEENPDLDCAVFTGDDASPQSLSARALDRFGVKLLRPPQVAPVPSLVYAEFGIRIEIWCFSFNPIPLVYVSRSNTFGSRWIMLWLGLSLLLVLQRYISLSSFAIGLLLKYE >Ma05_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10771965:10777537:-1 gene:Ma05_g14710 transcript:Ma05_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLRTPPVRLPSFVSPFVNRLPFTKPLSHSAKLSSPSRRRLFAAFSPRAMASSASPSSSQMAAPGDVTGVSDAFEKIRAHQEAAARLSPVEEIRTLLDLSTRGMLSTFSQVHEGYPSGSMVDFACDHDGSPILAVSSLAVHSKNLLANPKCSLLVAKDPEDRTDIVVTIYGDAVPVSEDDNEAARAAYLRRHPDAFWVDFGDFSFIHIKPKYVRYVSGVATALLGSGEFDGEEYKAAKVDPISQFTKPITSHMNRDHQEDTKAIVQHSTSVKVDFAHMQDVDSLGFNVKAGYQGSTLKLRIPFPRRAQDRK >Ma05_p14710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10770520:10777537:-1 gene:Ma05_g14710 transcript:Ma05_t14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLRTPPVRLPSFVSPFVNRLPFTKPLSHSAKLSSPSRRRLFAAFSPRAMASSASPSSSQMAAPGDVTGVSDAFEKIRAHQEAAARLSPVEEIRTLLDLSTRGMLSTFSQVHEGYPSGSMVDFACDHDGSPILAVSSLAVHSKNLLANPKCSLLVAKDPEDRTDIVVTIYGDAVPVSEDDNEAARAAYLRRHPDAFWVDFGDFSFIHIKPKYVRYVSGVATALLGSGEFDGEEYKAAKVDPISQFTKPITSHMNRDHQEDTKAIVQHSTSVKVDFAHMQDVDSLGFNVKAGYQGSTLKLRIPFPRRAQDRKDVKTLIVEMLQAAKSNEGLS >Ma11_p03740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2788350:2792124:1 gene:Ma11_g03740 transcript:Ma11_t03740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAMDVGTIHEALSPKHLRKQLAATVREIQWSYAIFWSPSTGQPGVLAWSDGYYNGDIKTRKMTQPMELKADQMGLQRSKQLRELYGSLSAGDNNQQTRRPSASLSPEDLTDAEWYYLVCMTFTFTPGQGLPGKAFATNQHIWLNNAQFADSKIFSRSLLAKSASIQTLVCIPFAGGVLELGTTEKVLEDPALIKQISSFFREMPNPVGSEKSASSPQMTENDEDILCPSLDNDVDSSMALEEHDLMSGRQAATETDPTHLPFGLGSYAAAAEHAQPVQDKVEEPEIVSPDDSSNVYCLNQPREDSFGTDGLTGISRTQNPWLGALNSNECLPMPCVGAQRVVTSAKKEIVSNQMLDGIEEGNCSKHNSLELDGDGSRYAKTVAVILRNSKPVLFFLTISRESSFVVWRRGLSTPKPFTGTPQTLLKKILMDRRWLHGGHMEKAWRPEGESGGSYLLSEMRREKLNEKFVVLRSLIPSISKVDKASILDDATRYLKQLERRVQELESCRETAELSGRDRRKQHPDVSERTSDNYIHREITDGRKASANKRKARDMDEAEAEHEHHCVEVSVTMKEKEVVVKMHCPWREYLLPEIVESMSNLHLDPLSVQSSTVDGMLAMTVKSKLRSTTVASPVMIKRSLQRVIGKCL >Ma11_p03740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2788350:2792124:1 gene:Ma11_g03740 transcript:Ma11_t03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAMDVGTIHEALSPKHLRKQLAATVREIQWSYAIFWSPSTGQPGVLAWSDGYYNGDIKTRKMTQPMELKADQMGLQRSKQLRELYGSLSAGDNNQQTRRPSASLSPEDLTDAEWYYLVCMTFTFTPGQGLPGKAFATNQHIWLNNAQFADSKIFSRSLLAKSASIQTLVCIPFAGGVLELGTTEKVLEDPALIKQISSFFREMPNPVGSEKSASSPQMTENDEDILCPSLDNDVDSSMALEEHDLMSGRQAATETDPTHLPFGLGSYAAAAEHAQPVQDKVEEPEIVSPDDSSNVYCLNQPREDSFGTDGLTGISRTQNPWLGALNSNECLPMPCVGAQRVVTSAKKEIVSNQMLDGIEEGNCSKHNSLELDGDGSRYAKTVAVILRNSKPVLFFLTISRESSFVVWRRGLSTPKPFTGTPQTLLKKILMDRRWLHGGHMEKAWRPEGESGGSYLLSEMRREKLNEKFVVLRSLIPSISKVDKASILDDATRYLKQLERRVQELESCRETAELSGRDRRKQHPDVSERTSDNYIHREITDGRKASANKRKARDMDEAEAEHEHHCVEVSVTMKEKEVVVKMHCPWREYLLPEIVESMSNLHLDPLSVQSSTVDGMLAMTVKSKLRSTTVASPVMIKRSLQRVIGKCL >Ma11_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2787595:2792124:1 gene:Ma11_g03740 transcript:Ma11_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAMDVGTIHEALSPKHLRKQLAATVREIQWSYAIFWSPSTGQPGVLAWSDGYYNGDIKTRKMTQPMELKADQMGLQRSKQLRELYGSLSAGDNNQQTRRPSASLSPEDLTDAEWYYLVCMTFTFTPGQGLPGKAFATNQHIWLNNAQFADSKIFSRSLLAKSASIQTLVCIPFAGGVLELGTTEKVLEDPALIKQISSFFREMPNPVGSEKSASSPQMTENDEDILCPSLDNDVDSSMALEEHDLMSGRQAATETDPTHLPFGLGSYAAAAEHAQPVQDKVEEPEIVSPDDSSNVYCLNQPREDSFGTDGLTGISRTQNPWLGALNSNECLPMPCVGAQRVVTSAKKEIVSNQMLDGIEEGNCSKHNSLELDGDGSRYAKTVAVILRNSKPVLFFLTISRESSFVVWRRGLSTPKPFTGTPQTLLKKILMDRRWLHGGHMEKAWRPEGESGGSYLLSEMRREKLNEKFVVLRSLIPSISKVDKASILDDATRYLKQLERRVQELESCRETAELSGRDRRKQHPDVSERTSDNYIHREITDGRKASANKRKARDMDEAEAEHEHHCVEVSVTMKEKEVVVKMHCPWREYLLPEIVESMSNLHLDPLSVQSSTVDGMLAMTVKSKLRSTTVASPVMIKRSLQRVIGKCL >Ma09_p25340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36848102:36851189:1 gene:Ma09_g25340 transcript:Ma09_t25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTRSRILLSSFRASLPRLSSPVQTLAACPRDVPPPDPLADLVRYHLSSGDTDAAVAAIRNSADLGPPPSSPAALNPLFSALSRTGQFASAISLFDSLSWARDAADLVTFNILIHCGCQSRQFDLAHQLLGELRSRGYTPDVVSFNTLIKGLCDEHRVSDAFAVLDEMKQEGILPNSYTYSMLIGLVTRGRSDSEKGLNLIREMLQLGLEPSIVNLNCVLSASCKEVKIDVAKALYGRMSKSGVLGDVVSYTCFVNALCKKKMLAEAKTLFSEMQQKGVFPNVFTYNAIIHGLCANWFLKDAVAMIDQMLVNCLRPTISTYTTLMDGLIRAGQVEEALKYLDVMKGHGSGPDKYIYGTLLNGLCHKGRVEEAMKLFRLMESDGLADVAAYNMLIGGFCRVGMMEEAVKLFLEVTENGLECDVIMCTTLIHGFCKNGKVEAAQEMVSHMERNDLKPDAITYMALIEGLCKTENYDGVEQLLDSVEKIGIEPNSVAYKGLVRRLCISGHSDRAVYLLEMMKQRGQQLSPETCKALLDEINGAKKLQNTENLQCQMEEIG >Ma05_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5924549:5925796:-1 gene:Ma05_g07990 transcript:Ma05_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNELKNANAIKDEEIQLLKEILNSFQTCLSVNAPKDMEMSGYQVAGDLAETKVEFHEIETGSSHEGDINEIQSSSLLEDKFRADIDTLLEENLDFWLRFSTSYHQIQNFQTTFKNLKSDIEKNQDGNVAVMAPAEEAWRFSSLCSIQDEISRVLKESESEEVHLTPYQAAKFQGEVFSMQIENNKVAKELQAGLDHVRGLQMEVGRTLSKLNENFKLSGSRNHQQHNQFRQLITKTIPLRAFLFGTKPKKPSMFSCMNPALQKQYSDLRYAFPR >Ma09_p05280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3400475:3410411:1 gene:Ma09_g05280 transcript:Ma09_t05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGLLMSVLGVGVGVGLGIGLASGQAVGKWAAPAGSSSDGVTEEAVEAELRRRVVDGRETKVTFDEFPYYLSEQTRVILTSAAYVYLKQTDVLKYTRNLTPASRAILLSGPTELYQQMLAKALAHYYEAKLLLLDVTEFSIKIQNKCGGCNKDMFVKRSMSEATLGRLSGILGSLSTILRMEESEGMFRRQSSSMELRQRRPDYANSTNELPKTASFSAEMGGLTSQHGSRNMVNPKRSSCWSFDEKILIHSLYKVLILISKSNPIILYIRDVEHFICRSERVYSLFQKMLTKLSGHVIILGSRLSEPDNCCKDVDARLTTLFPYNIEIKPPEDENHLVSWKSQLEKDTKTIQIQDNRNHITEVLAANDLECDDLGTICLSDILVLSTYIEEIVVSAVSYHLMNNKDPQYRNGKLVITSKSLSHGLSIFQDSRLCGKDTLKLEASAESEEDVNEDARTATKPENNGEALLPGNKSETEKSTTLVKDGETLTPIKVPEVAPDNEFEKRIRPEVIPANEIGVTFDDIGALDETKESLQELIILPLQRPDLFKGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKHVRALFTLAAKVAPTIIFVDEVDGMLGQRTRVGEHEAMRKIKNEFMTRWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRESILRKLLSKEKVEGLDYKELATMTEGYSGSDLKNLCITAAYRPLRELIQRERSKELGKKQKTEEGESSSAGSENRDEDDQPAIALRPLNMDDLRQAKNQVAASFAAEGSVMNELKQWNDLYGDGGSRKRQQLTYFL >Ma09_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3400488:3410411:1 gene:Ma09_g05280 transcript:Ma09_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKALAHYYEAKLLLLDVTEFSIKIQNKCGGCNKDMFVKRSMSEATLGRLSGILGSLSTILRMEESEGMFRRQSSSMELRQRRPDYANSTNELPKTASFSAEMGGLTSQHGSRNMVNPKRSSCWSFDEKILIHSLYKVLILISKSNPIILYIRDVEHFICRSERVYSLFQKMLTKLSGHVIILGSRLSEPDNCCKDVDARLTTLFPYNIEIKPPEDENHLVSWKSQLEKDTKTIQIQDNRNHITEVLAANDLECDDLGTICLSDILVLSTYIEEIVVSAVSYHLMNNKDPQYRNGKLVITSKSLSHGLSIFQDSRLCGKDTLKLEASAESEEDVNEDARTATKPENNGEALLPGNKSETEKSTTLVKDGETLTPIKVPEVAPDNEFEKRIRPEVIPANEIGVTFDDIGALDETKESLQELIILPLQRPDLFKGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKHVRALFTLAAKVAPTIIFVDEVDGMLGQRTRVGEHEAMRKIKNEFMTRWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRESILRKLLSKEKVEGLDYKELATMTEGYSGSDLKNLCITAAYRPLRELIQRERSKELGKKQKTEEGESSSAGSENRDEDDQPAIALRPLNMDDLRQAKNQVAASFAAEGSVMNELKQWNDLYGDGGSRKRQQLTYFL >Ma09_p05280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3400510:3410411:1 gene:Ma09_g05280 transcript:Ma09_t05280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGLLMSVLGVGVGVGLGIGLASGQAVGKWAAPAGSSSDGVTEEAVEAELRRRVVDGRETKVTFDEFPYYLSEQTRVILTSAAYVYLKQTDVLKYTRNLTPASRAILLSGPTELYQQMLAKALAHYYEAKLLLLDVTEFSIKIQNKCGGCNKDMFVKRSMSEATLGRLSGILGSLSTILRMEESEGMFRRQSSSMELRQRRPDYANSTNELPKTASFSAEMGGLTSQHGSRNMVNPKRSSCWSFDEKILIHSLYKVLILISKSNPIILYIRDVEHFICRSERVYSLFQKMLTKLSGHVIILGSRLSEPDNCCKDVDARLTTLFPYNIEIKPPEDENHLVSWKSQLEKDTKTIQIQDNRNHITEVLAANDLECDDLGTICLSDILVLSTYIEEIVVSAVSYHLMNNKDPQYRNGKLVITSKSLSHGLSIFQDSRLCGKDTLKLEASAESEEDVNEDARTATKPENNGEALLPGNKSETEKSTTLVKDGETLTPIKVPEVAPDNEFEKRIRPEVIPANEIGVTFDDIGALDETKESLQELIILPLQRPDLFKGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKHVRALFTLAAKVAPTIIFVDEVDGMLGQRTRVGEHEAMRKIKNEFMTRWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRESILRKLLSKEKVEGLDYKELATMTEGYSGSDLKNLCITAAYRPLRELIQRERSKELGKKQKTEEGESSSAGSENRDEDDQPAIALRPLNMDDLRQAKNQVAASFAAEGSVMNELKQWNDLYGDGGSRKRQQLTYFL >Ma05_p02350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1503517:1509361:-1 gene:Ma05_g02350 transcript:Ma05_t02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MEGGGVGEKPLRRIAEAFEGLAEAANCKTASMEVAPFSRACSHVSVLFGCLGIAFKFAEMDYVAKVEDLSKASNSISTLHSLLELDIQQDSVRQAGSHSRNLLRVKRGLDMVKILFEQILVTDGNSLRDPASKAYAKVFAPHHGWAIRKAVAAGMYALPTKAQLLKKLNEDEASAKIQMECYIRTSAPVIVYIEELFNSRQLGIDW >Ma07_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6708138:6729806:-1 gene:Ma07_g08970 transcript:Ma07_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGRRKKLASRLLTEEDEGYLKWKGRIPLIYDWFTNDNLSWPSLSCRWGQQLEEKAYKNIQRLYLSERTDGTYPNTLVVANCEVVKPRTAAAEHISVFDEESQSPYVKKIKTIIHPGEVNRIRELPQNSNIVATHTDSPEVLIWDVDAQPDCGDDLGAADSHPNLILTGHQSNAEYALAMCNYEALVLSGGRDNLVVLWCIRDHVSSLTNTTSLGVPMGSPSHMQSVNASEDKISDSPTVAPRSIFHGHTDTVEDVQFCHFSPQEFCSVGDDSCLILWDARAGRSPALKVEKAHDGDINCVDWSPHDENFLLTGSADSSVKIFDRRNLTSGGAGSSLHTFQHHKAAVLCVQWSPDKSSVFGSAGEDNLVNIWDYAKVGASYEHFMRTPTAPPGLFFQHAGHRDKVVDFHWNATEPWTIASVSDDCARVNGGGTLQVWRMSDLIHRHEDEVLAELEMRYRHEDEELNEQLVSSFHS >Ma07_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:352546:358756:-1 gene:Ma07_g00420 transcript:Ma07_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLTGFSGIKRKVRGRPSKKKEKITNDSSTEQEAVASFIEDLGNNLENGEELVTRKRPKRAAACSNFKEKHIKLSDGSLLVEIKKNQVEKDEIVAVQMTKLGPDDIPPCRKLVDCILHDADGNMQPFEMSEIDDIFITAVVMPLDDNLEKVKERGIKCEGFGRIESWSISGYDDGSPVIWLSTNSADYECVKPASIYRKFYDLFHEKACICVEIYRKLARSVGGNPDLSLEELLAAVLRSVGGTKSFSSGLTSRDFVISLGDFVYNQLVGLDETSGNNDVQLATLPTLVALRDKCRRRTAFNKSSNIPNGSLKIKEGDKMEVIEDEDVKLARLLQEEEDWKSMRQRRGRRAMTSQKNIYIKISEAEIANDYPLPSYYIPSVQETDEYIFFDSDSVSYLDLPKRVLNNWALYNSDSRLISLELLPMKPCTEVDVMIFGSGIMREDDGSGYFFETGSGQSSLSVSECVDNEGIPVYLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPSKKYALWYEPVLKTARLAISIITLLKEQSRASKLSFIDVIKKVAEFDKSHPAYVSSNMALVERYVVVHGQIILQQFAEYPDETIQKCAFVMRLSEKMEERHHTKLVMKKRVTMQKEANLNPNAVVKPLLSKRKVMRATTTRLINKIWGDYYSIHFPEDSKDSEAHDSKEVEEQEDTEEEEADDDSILVNEEKASTSCSFMHSGKFKPASKETKWEGEPTGKMNSGEALYKCAFVHGHWVAVGRAAIVEANELSEVPAILFLEYMFEKHDGTKMLHGRVMQKGLETILGNAADEREVFLTNNCMEIELGYIREPVSVEIQSRQWGHKFRKEYANADKIDRGKAEERKKKGLPVEFYCKSLYWPERGGFFTLPYKSLGLGTGVCDSCEQKVSQQDEFLISSKTCFIYKKSEYNVHDFLYIRPQFFAKEKEEDRGTHKAGRNVGLKAFVVCHLLEIQTSLGCKEPTPKSTQVRVGRFYRPEDVSTTKGYSSDIREVYYSEEVLMVPVEMIEGKCVVRRKIDFPNMELPVIMDHVFFCEYAYDPVKGSLKQLPANVKLISLRKHTASSASKRLKGKEKCKENMQDDSDQQKGLHKENCLATLDIFAGCGGLSEGLQKSGVSFTKWAIEYEQPAGEAFSVNHPEALVFIDNCNVILRAIMERCGDADDCNSTPEAAELAAAFDDEKIKNLPVPGEVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFAEYFRPRYFLLENVRNFVSFNKGQTFRLTLASLLAMGYQVRFGILEAGAYGVSQSRKRALIWAASPDETLPEWPEPMHVFAGSELRISMPCGVHYAAVKSTAGGAPFRSITVRDTIGDLPPVQNGASKSPIDYGSEPTSWFQKQIRGNTMVLNDHISKEMNELNLVRCQHIPKRAGADWHDLPDEKVKLSSGQTVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDGYRFSGSIQNKHRQIGNAVPPPLAYALGRKLKEAVESKRP >Ma10_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23594680:23598188:1 gene:Ma10_g09410 transcript:Ma10_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLFLLLVLCLLQGGTATPEEELQILSQFRASLTAAKSSTFESWDAGSPACGFVGVRCDSTGSVSEIDLTDAGISGEISFASLCRLPSLSSLSLGLNSLSGSVSADLRNCTALRRLDLAANNLAGPVPDLAPLSELRVLNLSGNTLTGAFPWGSLAHLTGLQVLSLGENSFDPSPFPKVVVSLTKLNWLFLSSCNIHGDIPPSIGNLTELVDLELASNLLTGGIPPEIARLSKLWMLELFNNSLTGRIPAGFGNLSRLAYFDASMNQLEGDLAELRSLTSLVSLQLFMNDLSGEVPPEFGEFRYLTNLSLYTNRLTGTLPPTLGSWAKFDFIDVSTNFFTGSIPPDMCRRGTMTRLLILENNFTGEIPENYGSCLSLVRFRVNKNSLSGVVPAGLWSLPNLNIIDLAVNQFEGGIGAGIGKAKSLYQLYLNENRFSGKLPPEIGGVTSIVNIDVSYNRFYGEIPASIGELKQLLSLNFESNAFSGTIPNAIGSCVRLSSVGLAANNLSGPIPASLGQLTSLNSLDLSNNQLSGEIPVSLAPLKLSALDLSNNQLTGAVPAALAIAAYNRSFAGNSGLCAEGGGANSLRSLRQCPATTRGSSDELRVVLTCFLAGAAVILACIGLYIALRKRLTDGRGGHHTVVNDPSWDLKSFRILTFDEQEIVDAIKPDNLIGKGGSGEVYRVELASGEVVAVKHIWNDPVGETKERSTAAILGARGRRRPARREFEAEIATLSAVRHVNLVKLYCSITSEESCLLVYEHLPNGSLWDRLHGPSAGKASELGWDERYEIAVGAARGLEYLHHGWDRPILHRDVKSSNILLDQCFKPRIADFGLAKVLQSAASGAGGGKEGSSAHVIAGTYGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGRQPIEAEYGENKDIVHWASRRMGNRESVMAMVDLRIPEWAREEAVKVLRIAVLCTARLPATRPSMRAVVQMLEEAGRCRAFSGAFGGGKAEKVESEAVVGD >Ma08_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37971325:37973436:-1 gene:Ma08_g24940 transcript:Ma08_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSKQVGRRRGWSPSPCVGSHFLPVHYDGDVNALYRGGDDHHAVSLTSTTLGSLVLDREDLSFDEKAMIKSTNDLPAVTTEANVVEGLVRAKTLSGMVDRRIPESPTMTPSNEPEVINAWELMAGLEDASSPHLSLADAVDCSLSFGTSRDVHRSWPDSELSSAASLPKPQWMQLSPVDSVVSDFDPAISTSFREALDVLSPQQQSHSILQSPELDKEDKDKNKEPSRASARTGSIESADGREVPETIGIVRARINEFQQKIDVKKTRPNASSSEMASSLVCPPGGEGKVVFYFTSIRGILRTFEDCWAVRVILHGYGVRVDERDVSMHAGFKEELIDMLGPGYGGNSLPRVFANGHHLGGADELRHLHEVGRLSKLIEFCEMESQGKGRGDAASSCDGCGDVRFVLCGTCSGSCKVYVEAEEEGDDLGRFRRCPDCNENGLVRCPVCCLQRE >Ma06_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3133665:3135976:1 gene:Ma06_g04340 transcript:Ma06_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTRPNISNEIHDIDVVTAQSSVSPRLLHHESLRELFPPFLARKVVAEMISTFLLVFVTCGAGALNKSNSGVVSQLGASVAGGLIVTVMIYAVGHISGAHMNPAVTFAFAVSRHFPWIQVPFYMCAQISGAMVASFVLRELLHPITNLGTTTPSDTAAKALVMETVVTFCMMFVTSAVATDTKAVGELAGLAVGSSVCITSILAGPVSGGSMNPARTLGPAVASRNYQSLWVYFVGPVLGTVSGSFSYSFIRMTEKQQHTTAAQKLSSFKLRRLQSQEMASPTSNAFENV >Ma05_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32856135:32858231:-1 gene:Ma05_g21180 transcript:Ma05_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFSCPSSFSLPSPPPLPPRSPVFDPIPEHEKEEEEEESQQLEVVPKEQPSSASVSGSPYVHNPTPLHSSVTASSAATSAPTATPRRPSRCRGQEENEVVSVSCNKCRPTSRDKLITVVPLDNAAGQHQHPMVSSSPSLRGLRSLFLSLTGRSPAAASATPVSDVHEDQWRLAAAELSRKLVHATRKRDEALVEASRLKYSLAELERKVERLESHCRDLGAALQPGPATGLPPSSAPFTAEAFHLAIAEAHTAVRHLARSLISQVRLAGPGSRSSDRVATLIQPYDPRAAVQWRRNPSGLLFYMEALLNRVLYAGFEEDDEEESDLIDPAARCEASRTGYEAVRGLGWDEVLSKGTRHYSVGLSRFCDRKMSEVVAMVGRTRPWPEGLLQAFFGSAKGAWVVRLMARSVHPAIPTLRANRGARFDGRFMEDVAADRVKRLTPVGVRMMVAPGFHVYTGGVVKCKVLCVYNSGNTECSIDSCSGRNTSERPQQQKRQSM >Ma08_p25480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38289345:38296941:-1 gene:Ma08_g25480 transcript:Ma08_t25480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cadmium/zinc-transporting ATPase HMA4 [Source:Projected from Arabidopsis thaliana (AT2G19110) UniProtKB/Swiss-Prot;Acc:O64474] MGEPSKDRIGKVPKHQKSYFDVLGLCCSSEVPLIEKILKPLSGVQKVSVIVPSKTVIVVHDSLLISQHEILKALNQARLEATVRAYGSAEITKKWPSPYILACGVLLVVSLFKRFFHPLRWFAIAAVLVGINPIILRGIAAIRRLTLDINILLLIAVGGAVALRDYSEAAFVVFLFTIAEWLESRASHKATVGMSSLMSMAPQKAVLAETGQVVDIEDVEINTIIEVKAGEVIPIDGIVVDGQSEVDERSLTGESLPVTKQVNSLVWAGTLNIDGYISLRTTALSENSAVAKMKRLVEEAQNRRSNTQRLIDSCTKYYTPAVVIVAAGVALVPLVMRVNNPRIWFQLALVLLVSACPCALVLSTPVATFCALLKAARIGLLIKGGDVLEALAKIRVVAFDKTGTITKGEFKVVEFQSISSKVSLEMLLYWVSSIESKSSHPMAAALVEHARSHSIEPKPDCVKEFHIYPGEGIYGEVDGRDIHIGNKRIAARVLCETVPNMEDMKEGVTYGYVFLDMVPVGTYALSDTCRIGAAEAIKELKSLGIKTAMLTGDSMEASLHAQRQLNHVMEEVHAELLPEDKVQLIGKLKSREGSTAMVGDGMNDAPALAMADVGISMGVSGSAVAMETSHITLMSNDICKIPRAIRLARKTRRMIIMNIIFSGVTKIAILAIAFAGRPLLWAAVLADVGTCLLVILNSMTLLQTKTSPKKKCCGSSHKAHMEKPKHAEHCGCQDNHGCHDHRKAMDERRHSHCMNHDHPEESPAHARCCQELATKPTNSSQEHSITITDARHDSGDLQKQQDGPDSNMTCENQTLKCSSNNCNDKEKKRIEECCMGNRNDCGMQKGCSSSQGLIVERRELGGCCRTYTKACGSKDSCCASGRVQLPEIITE >Ma08_p25480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38289345:38296941:-1 gene:Ma08_g25480 transcript:Ma08_t25480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cadmium/zinc-transporting ATPase HMA4 [Source:Projected from Arabidopsis thaliana (AT2G19110) UniProtKB/Swiss-Prot;Acc:O64474] MGEPSKDRIGKVPKHQKSYFDVLGLCCSSEVPLIEKILKPLSGVQKVSVIVPSKTVIVVHDSLLISQHEILKALNQARLEATVRAYGSAEITKKWPSPYILACGVLLVVSLFKRFFHPLRWFAIAAVLVGINPIILRGIAAIRRLTLDINILLLIAVGGAVALRDYSEAAFVVFLFTIAEWLESRASHKATVGMSSLMSMAPQKAVLAETGQVVDIEDVEINTIIEVKAGEVIPIDGIVVDGQSEVDERSLTGESLPVTKQVNSLVWAGTLNIDGYISLRTTALSENSAVAKMKRLVEEAQNRRSNTQRLIDSCTKYYTPAVVIVAAGVALVPLVMRVNNPRIWFQLALVLLVSACPCALVLSTPVATFCALLKAARIGLLIKGGDVLEALAKIRVVAFDKTGTITKGEFKVVEFQSISSKVSLEMLLYWVSSIESKSSHPMAAALVEHARSHSIEPKPDCVKEFHIYPGEGIYGEVDGRDIHIGNKRIAARVLCETVPNMEDMKEGVTYGYVFLDMVPVGTYALSDTCRIGAAEAIKELKSLGIKTAMLTGDSMEASLHAQRQLNHVMEEVHAELLPEDKVQLIGKLKSREGSTAMVGDGMNDAPALAMADVGISMGVSGSAVAMETSHITLMSNDICKIPRAIRLARKTRRMIIMNIIFSGVTKIAILAIAFAGRPLLWAAVLADVGTCLLVILNSMTLLQTKTSPKKKCCGSSHKAHMEKPKHAEHCGCQDNHGCHDHRKAMDERRHSHCMNHDHPEESPAHARCCQELATKPTNSSQEHSITITDARHDSGDLQKQQDGPDSNMTCENQTLKCSSNNCNDKEKKRIEECCMGNRNDCGMQKGCSSSQGLIVERRELGGCCRTYTKACGSKDSCCASGRVQLPEIITE >Ma08_p25480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38289345:38296941:-1 gene:Ma08_g25480 transcript:Ma08_t25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cadmium/zinc-transporting ATPase HMA4 [Source:Projected from Arabidopsis thaliana (AT2G19110) UniProtKB/Swiss-Prot;Acc:O64474] MGEPSKDRIGKVPKHQKSYFDVLGLCCSSEVPLIEKILKPLSGVQKVSVIVPSKTVIVVHDSLLISQHEILKALNQARLEATVRAYGSAEITKKWPSPYILACGVLLVVSLFKRFFHPLRWFAIAAVLVGINPIILRGIAAIRRLTLDINILLLIAVGGAVALRDYSEAAFVVFLFTIAEWLESRASHKATVGMSSLMSMAPQKAVLAETGQVVDIEDVEINTIIEVKAGEVIPIDGIVVDGQSEVDERSLTGESLPVTKQVNSLVWAGTLNIDGYISLRTTALSENSAVAKMKRLVEEAQNRRSNTQRLIDSCTKYYTPAVVIVAAGVALVPLVMRVNNPRIWFQLALVLLVSACPCALVLSTPVATFCALLKAARIGLLIKGGDVLEALAKIRVVAFDKTGTITKGEFKVVEFQSISSKVSLEMLLYWVSSIESKSSHPMAAALVEHARSHSIEPKPDCVKEFHIYPGEGIYGEVDGRDIHIGNKRIAARVLCETVPNMEDMKEGVTYGYVFLDMVPVGTYALSDTCRIGAAEAIKELKSLGIKTAMLTGDSMEASLHAQRQLNHVMEEVHAELLPEDKVQLIGKLKSREGSTAMVGDGMNDAPALAMADVGISMGVSGSAVAMETSHITLMSNDICKIPRAIRLARKTRRMIIMNIIFSGVTKIAILAIAFAGRPLLWAAVLADVGTCLLVILNSMTLLQTKTSPKKKCCGSSHKAHMEKPKHAEHCGCQDNHGCHDHRKAMDERRHSHCMNHDHPEESPAHARCCQELATKPTNSSQEHSITITDARHDSGDLQKQQDGPDSNMTCENQTLKCSSNNCNDKEKKRIEECCMGNRNDCGMQKGCSSSQGLIVERRELGGCCRTYTKACGSKDSCCASGRVQLPEIITE >Ma08_p25480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38289345:38296941:-1 gene:Ma08_g25480 transcript:Ma08_t25480.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cadmium/zinc-transporting ATPase HMA4 [Source:Projected from Arabidopsis thaliana (AT2G19110) UniProtKB/Swiss-Prot;Acc:O64474] MGEPSKDRIGKVPKHQKSYFDVLGLCCSSEVPLIEKILKPLSGVQKVSVIVPSKTVIVVHDSLLISQHEILKALNQARLEATVRAYGSAEITKKWPSPYILACGVLLVVSLFKRFFHPLRWFAIAAVLVGINPIILRGIAAIRRLTLDINILLLIAVGGAVALRDYSEAAFVVFLFTIAEWLESRASHKATVGMSSLMSMAPQKAVLAETGQVVDIEDVEINTIIEVKAGEVIPIDGIVVDGQSEVDERSLTGESLPVTKQVNSLVWAGTLNIDGYISLRTTALSENSAVAKMKRLVEEAQNRRSNTQRLIDSCTKYYTPAVVIVAAGVALVPLVMRVNNPRIWFQLALVLLVSACPCALVLSTPVATFCALLKAARIGLLIKGGDVLEALAKIRVVAFDKTGTITKGEFKVVEFQSISSKVSLEMLLYWVSSIESKSSHPMAAALVEHARSHSIEPKPDCVKEFHIYPGEGIYGEVDGRDIHIGNKRIAARVLCETVPNMEDMKEGVTYGYVFLDMVPVGTYALSDTCRIGAAEAIKELKSLGIKTAMLTGDSMEASLHAQRQLNHVMEEVHAELLPEDKVQLIGKLKSREGSTAMVGDGMNDAPALAMADVGISMGVSGSAVAMETSHITLMSNDICKIPRAIRLARKTRRMIIMNIIFSGVTKIAILAIAFAGRPLLWAAVLADVGTCLLVILNSMTLLQTKTSPKKKCCGSSHKAHMEKPKHAEHCGCQDNHGCHDHRKAMDERRHSHCMNHDHPEESPAHARCCQELATKPTNSSQEHSITITDARHDSGDLQKQQDGPDSNMTCENQTLKCSSNNCNDKEKKRIEECCMGNRNDCGMQKGCSSSQGLIVERRELGGCCRTYTKACGSKDSCCASGRVQLPEIITE >Ma07_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27226960:27228437:-1 gene:Ma07_g19180 transcript:Ma07_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED4 MMQSHASPIPPSPARLGITATSPSLPPNPIPNPTPNPNPKPSPPSSSSAAGQYTAATSSALLSLLPPLPRAQAILPQMAALASKLFDLSPHRSVWLTSYRGNPPNFSKELNSSAAAATATAAPVPASTKDLMSLFTTLQTQLFEAVAELQEILDLQDARVKVAREIRAKDSALLSFTKKIREAEQVLDHLIDDYSNYRRDPKRPRIEQDGESNYSMSLHSSLDLEEILSYAHRISYTTFAPPEHGAGLAPLRGALPPAPQDNEMRASQLYHFADLDVGLPKKAAPEAKERAAADAVTEILLQPTPPREDVPVAMLPPLLPIAVPPGWRKGMPVELPSELPPVPPGWKPGDPVTLPLDGVMVGNKGDEQQMPGVPGVLVGQPKAPEAIQVKYVQLDINPDQDDYSSDYSSEVGSSEEDED >Ma06_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6390590:6398766:-1 gene:Ma06_g09050 transcript:Ma06_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQEGSNDIDGMEGAWREIERNGSGLYENEEAGSMREPLLRKRTMNTTSQIAIVGANVCTIESLDYEIVENDLFEQDWRSRKKVQIFQYIVLKWTLALLIGLATGLVGFFNNLAVENIAGFKLLLTSNLMLEHRYFAAFLAYCSTNAILAASAAALCAYVAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSIGGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWTWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVSLRSLIEYCRSGKCGLFGKGGLIMFDVSSSVTTYSTPDLIVIIVLGVIGGVFGALFNYLLDRILRTYSFINEKGAPFKILLTVAISILTSCCSYGLPWLASCTPCPAHLQEQCPTIGRSGNFKNFQCPPGHYNDLASLFLNTNDDAIRNLFSAGTDNEFHMSTLFVFFAAVYCLGLVTYGIAVPSGLFIPVILAGATYGRMVGTLLGPISDLDAGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLVMLVLLISKTVADSFNKGVYDQIVRMKGLPFMEAHAEPHMRHLVARDVLSGPPVSFSGVEKVGTIVHALRLTGHNGFPVVDEPPFSDAPELVGLVLRSHLLILLKGKRFSKERVKTGVREMLQRFGAFDFAKAGSGKGLKLEDLNILEEEMDMFIDLHPVTNKSPYTVVETMSLAKAAILFRELGLRHLCVVPKTPGTPPIVGILTRHDFMPEHILGLFPHHHSHK >Ma06_p09050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6390590:6397200:-1 gene:Ma06_g09050 transcript:Ma06_t09050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQEGSNDIDGMEGAWREIERNGSGLYENEEAGSMREPLLRKRTMNTTSQIAIVGANVCTIESLDYEIVENDLFEQDWRSRKKVQIFQYIVLKWTLALLIGLATGLVGFFNNLAVENIAGFKLLLTSNLMLEHRYFAAFLAYCSTNAILAASAAALCAYVAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSIGGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWTWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVSLRSLIEYCRSGKCGLFGKGGLIMFDVSSSVTTYSTPDLIVIIVLGVIGGVFGALFNYLLDRILRTYSFINEKGAPFKILLTVAISILTSCCSYGLPWLASCTPCPAHLQEQCPTIGRSGNFKNFQCPPGHYNDLASLFLNTNDDAIRNLFSAGTDNEFHMSTLFVFFAAVYCLGLVTYGIAVPSGLFIPVILAGATYGRMVGTLLGPISDLDAGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLVMLVLLISKTVADSFNKGVYDQIVRMKGLPFMEAHAEPHMRHLVARDVLSGPPVSFSGVEKVGTIVHALRLTGHNGFPVVDEPPFSDAPELVGLVLRSHLLILLKGKRFSKERVKTGVREMLQRFGAFDFAKAGSGKGLKLEDLNILEEEMDMFIDLHPVTNKSPYTVVETMSLAKAAILFRELGLRHLCVVPKTPGTPPIVGILTRHDFMPEHILGLFPHHHSHK >Ma06_p09050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6390590:6397224:-1 gene:Ma06_g09050 transcript:Ma06_t09050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQEGSNDIDGMEGAWREIERNGSGLYENEEAGSMREPLLRKRTMNTTSQIAIVGANVCTIESLDYEIVENDLFEQDWRSRKKVQIFQYIVLKWTLALLIGLATGLVGFFNNLAVENIAGFKLLLTSNLMLEHRYFAAFLAYCSTNAILAASAAALCAYVAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSIGGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWTWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVSLRSLIEYCRSGKCGLFGKGGLIMFDVSSSVTTYSTPDLIVIIVLGVIGGVFGALFNYLLDRILRTYSFINEKGAPFKILLTVAISILTSCCSYGLPWLASCTPCPAHLQEQCPTIGRSGNFKNFQCPPGHYNDLASLFLNTNDDAIRNLFSAGTDNEFHMSTLFVFFAAVYCLGLVTYGIAVPSGLFIPVILAGATYGRMVGTLLGPISDLDAGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLVMLVLLISKTVADSFNKGVYDQIVRMKGLPFMEAHAEPHMRHLVARDVLSGPPVSFSGVEKVGTIVHALRLTGHNGFPVVDEPPFSDAPELVGLVLRSHLLILLKGKRFSKERVKTGVREMLQRFGAFDFAKAGSGKGLKLEDLNILEEEMDMFIDLHPVTNKSPYTVVETMSLAKAAILFRELGLRHLCVVPKTPGTPPIVGILTRHDFMPEHILGLFPHHHSHK >Ma10_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24898876:24900158:-1 gene:Ma10_g11350 transcript:Ma10_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRCQEGHRLCANNCGFFGSPATLNLCSKCYRDHRLKEEQERQQQPASSSAASAMLTVAKSFPASSPAVVVVDEEVSGASVPAAPPEETEKKKSAAEGPSRCARCRKRVGLTGFQCRCGATYCGVHRYAEQHDCTFDFKAAGRAAIARANPVVKADKLHKI >Ma09_p30830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40749422:40753536:1 gene:Ma09_g30830 transcript:Ma09_t30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKLPKRVGLRIPFFQAVRCEIKKEITVEGFKASVLVLRFMWSPQLSHHRAKHSASGPPHASLLTGRNDKLRQLTHQSRWNAGLVAWGVVARYNVAPLPTSARLPGILSSKTLAPPPYSDPRAATKLHRRGRIRNPAFPGAGAEARLRKGGPASGGRRSGPTTPLLRWKFNEAPPPKPSRKAENAGSSEAPPPLPGVSARKLAAGIWHLQPLDAGSGVRGGGGEGRRTPPCLEFAGFPSAETEKATKWDPGSSMTLEEVYRFYNHLKVVEHEDVNTVSIVSSLCTELEKAHARISELETERRSAKKKLDQFLKSLADEKASWQSREHEKVQAIIEAMKADLDRERKRRQRIEIVHTKLVNELAETKLAAKQLLQDYKKGCKARELVEEVCDELTKEIGEDKAEIESLKMEALNIREQVEEEKRMLQMAEVWREERVQMKLIDAKLTLEEKYSQLRDLKAELEAFLAARMDADMDVALRREAELLKEKANSVTVEEIKEFSYQPPPSSEDIYAVFEEPQPRQETNERDIQLCCNHSPRSHASKVNTATPETDVFLGHPMKQHELIDSDDDVEDDSDWETVSHAEEQGSSNTLDVSEPSVNGYCKESNASVSIADWEENGNNRLNNEIITDCSTNAMSSKKVSSICRLWRSSTHDIIEDLKRTPVEVKPGRLSDGRISNGTRASTNGEEYQKLSVEHTNGMISKGTLSPDLGFGEVGLSPGSITQWSSADSLNLHTTRGMKGCIEWPRGKQKYSLKAQLMEARMESQKIQLRHVLEQKI >Ma05_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33560142:33560540:-1 gene:Ma05_g21770 transcript:Ma05_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIITWIITPRHLPVVGYPPLGPRRPRDLHEFVIVLSRNICDEWSYLIA >Ma04_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10471082:10472607:1 gene:Ma04_g13810 transcript:Ma04_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPDHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPIVQIPYEKAASTPAPPLKAYDIRHVSKDSAAAAARAAEELHKVNKPRSRFKRSGAKTARPDTPLPEFQRARSHESAASHATEPGPSAAAAGGEDAECRENESMASADTAEEASHVSQGEPQRAEEAEQEEGEISLELTLGLEPASRSARPAEHEAAPRCDVSSWDAVACTSGLGLALPPPS >Ma05_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16276560:16277130:-1 gene:Ma05_g16370 transcript:Ma05_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILRFLLFAALLLHISAAAESQGCDLSSIQVQQNNTGATVGYDPVFEVEVKNQCRCTVTDVFLRSEGFASSMMVDPKLFRREGVGYLVNDGKGIPSSLSVKFRYAWDRAFKMSTASLQVKCWGIA >Ma02_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24637582:24642092:1 gene:Ma02_g17910 transcript:Ma02_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWITKGEYEESGPAIVHRKCF >Ma09_p28820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39472821:39475317:1 gene:Ma09_g28820 transcript:Ma09_t28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPSPSDSSASSCFDLLCGEDAGELAPFGDGQPIAGFVGGEGAAARSAGPDSLDPVARRDAVAWILKVRAYHRFRPLTACLAVNYVDRFLSSHRLPQNGWALQLLSVACLSLAAKMEETVVPSLLDLQVEDAKFIFDPHTVLRMELLVLAALDWRLRSVTPLSFVDFFAHKIDPCGRTARLLVLQATQVILAAMHEVDFLSHCPPALAAAAMICAADETQDRTFVNPATAASWCVGLTEEGIGNCCRLMRQVAVDQRLRKSPMILSQHRVTSPVKRESGISSSSSAPPTKRRKLNSNCN >Ma05_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23057252:23057527:1 gene:Ma05_g18250 transcript:Ma05_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSWTGPTRMSTINFLVYCNRRVVFHKLVNASEKIQDADYIENLMGTVVEEISPQYFVHIITDNRANFKKVGLQLMERKILFWTPCAALI >Ma09_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17855861:17858703:-1 gene:Ma09_g18390 transcript:Ma09_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTTDQPAGSIDPTTPKLLYPGSKDVEFCNDDFYYDLNMDDVDLTFENYKYQSGCNFLLTFSRLFKSHSLTAIISKHQGQSHADYM >Ma09_p03610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2391336:2394769:1 gene:Ma09_g03610 transcript:Ma09_t03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRQKKVGLKRIDAALDALLPYGFSKETICSTINKLLKVYDDNAAWYLIEEGSYSLVIETILEEQKQEAREEGRTREEATASRVSSNVAQAEPVNWKDISSTDPNQGLEHKVNGGGQPNLTAREVEPLSASSSGCHQNLSNQFISLRPRRLPCYGWISEDEDEE >Ma09_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2391336:2394769:1 gene:Ma09_g03610 transcript:Ma09_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRQKKVGLKRIDAALDALLPYGFSKETICSTINKLLKVYDDNAAWYLIEEGSYSLVIETILEEQKQEAREEGRTREEATASRVSSNVAQAEEPVNWKDISSTDPNQGLEHKVNGGGQPNLTAREVEPLSASSSGCHQNLSNQFISLRPRRLPCYGWISEDEDEE >Ma09_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8034700:8041269:-1 gene:Ma09_g11860 transcript:Ma09_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNALVNGLAGAGGGMIAQIITYPLQTVNTRQQTERIAKKTSLAAAASGGDLSSSEAAPSSAGGTIYQILQLFKMEGWGGLYSGLKPSLVGTAASQGIYYYFYQVLKNEAEAAAAARKKKGLGDGTVGMFSWLVVAAIAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEARKEAILREFAGTTYMEHELVKLDLMKPRPYGTFHAVREVYHEAGIKGFWKGLIPTLIMVCNPSIQFMIYETSLKHLRSKRTGTKHGDKNVTALEVFLLGALAKLGATLATYPLLVVKSRLQAKQEIGRNAMSRYTGTGDAILKMIRYEGLRGFYKGMGTKIVQSVFAASVLFMVKEEFVKAFIFIADERKKHRLKSGK >Ma09_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7749759:7755893:1 gene:Ma09_g11460 transcript:Ma09_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLGIVSEARDLNQCIPATQSISKQIKAYSFGELKEAKQVSLGRMEVLGIVSEARELSQARTAPVMDHSPPFLATYKSLFGGQQAIDTETEVVECCDLPLIDLSRLHDVLEAAQCKQDIVTAASEWGFFQIVSHGIPDGLLARLREEQVKMFRQPFKRKTSEKLLDFSDDSYRWGTPTATSLKHLSWSEAFHVPLSSSNKPARSSTIRCVIEELSLAMAQLATQLVDTLAEGFGRDGTYMKENCTRNMCYLRLNRYPPCPIPAQVFGLVPHTDSDFLTILCQDEVIGLQLKKGGRWFTVRPNPNTLVINIGDLFQAWSNGLYKSVEHRVMSNPHLERFSVAYFMCPSNETVIESSAQPAIYRKFSFGEYRQQVQQDVRRMGHKVGLTRFLA >Ma09_p11460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7752028:7755893:1 gene:Ma09_g11460 transcript:Ma09_t11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAYSFGELKEAKQVSLGRMEVLGIVSEARELSQARTAPVMDHSPPFLATYKSLFGGQQAIDTETEVVECCDLPLIDLSRLHDVLEAAQCKQDIVTAASEWGFFQIVSHGIPDGLLARLREEQVKMFRQPFKRKTSEKLLDFSDDSYRWGTPTATSLKHLSWSEAFHVPLSSSNKPARSSTIRCVIEELSLAMAQLATQLVDTLAEGFGRDGTYMKENCTRNMCYLRLNRYPPCPIPAQVFGLVPHTDSDFLTILCQDEVIGLQLKKGGRWFTVRPNPNTLVINIGDLFQAWSNGLYKSVEHRVMSNPHLERFSVAYFMCPSNETVIESSAQPAIYRKFSFGEYRQQVQQDVRRMGHKVGLTRFLA >Ma05_p25990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37785676:37790784:-1 gene:Ma05_g25990 transcript:Ma05_t25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLDHFPKHGLDGLYEEKRDIKFDIENSEDDRKTRIGSIKKKAIDASAKLRNSLNKRGRRSSKVMSVSIEDVRDAEEMQAVDAFRQILILEELLPSRHDDYHMMLRFLKARKFDIEKAKQMWADMLQWRKDFGADTILEEFEFKELDQVMEYYPQGHHGVDKEGRPVYIERLGQVDANKMMQVTTMDRYIKYHVKEFERSFDVKFPACSIAAKRHIDQSTTIIDVQGVGCKQFNKVARDLISRIQKVDGDNYPETLCRMFIINAGQGFRLLWSTVKSFLDPKTTAKINVLGNKYQSKLLEVIDASELPEFLGGTCNCEGGCLRSDKGPWKDPEIMKMVQNGAGSCGRQQPTTDAEEKTISEDEIVYPKRQESYCGVRVFAADNVSSKISRSHIVHPQPSPVHEELDIPDCKAADKKFPTPYSYGDYLPIVDKAVDSCWNKEIPDEKLAISKGACNPSEIYRGPDGFNNNIFGGVVAFVMGVFTVLRVSRGMPKKVADADIGCAKPVEPAAPTLPAAEFSSALKRLGNLEEKVSILSKKPPQMPSEKEEILNAAVKRVDALETELAATKKALEDALVRQGEFLAYIEKKKKKKNKLVSYLKFSFNFLLEIF >Ma08_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6897750:6899967:1 gene:Ma08_g09530 transcript:Ma08_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQKKKKSSGKKSVAMPKKRKRVLVKNKKKPNPKKKHKQVEIRKAQSRKCEDGIGYHKRKRRTTMHHSFWLNGLLWMGKADDERGKHFRKTNVVLPFQMLRRSSRKPVCCLCLTEYNSEVMYVCCQNCEDWFHGDVYSLALEEINNLIGFKCHKCRGRSAPVCPFSQSLVVHEIQSMEFPIAETIITEEQDTKEKLHSGTSESSSVVVHDEDYLDQQKDCSPCVRDESTFALKTELLNGPYCVQSFDPEDQKFISCRHDNGLHIEATSQKVGESSNEMVIVQELLVSSNVDHSEESRILSGNELCDVEIAKY >Ma04_p33000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32844813:32845727:-1 gene:Ma04_g33000 transcript:Ma04_t33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTHGKRESFYDTDQEDEQVEFCRHPPFVPCSPSPSSLSTGVRSIDGMGNDSFILKEHMFDKVVTPSDVGKLNRLVVPKQHAERHLPMDALANEKGLLLCFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKGLDAGDTVSFSRGVGEAGRHRLYIDWKRRPQSRALPRVPLPALSFARPVWQCSCNSWQARTPVAASLGSVSRHPLYLRPLAARPPQKEVQQAGSSGVPSAPLVRGQAAVKRVRLFGVNLDCPDTEGDANCRIRSTPPVGAPRFQPRTAPPLLEPSRAVGETLACSSSL >Ma10_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29288235:29290951:-1 gene:Ma10_g18320 transcript:Ma10_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETTSSSANDPRLPSAAKPYVPPTLSPQDLPIDYAGFLAVVFGVVGVTLRYKLCSWLAIIFCAQSLANMKNFENDLKQLSMAFMFGVMGLVTNYLGPSRAAKQR >Ma01_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14034091:14050222:1 gene:Ma01_g18750 transcript:Ma01_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAITSHTKSFIHKLSRSIESIPTLVASLYRFLLFQANPFWIQSCYFFSLSMAGFLLLKILPLRDVTSKPTNVDLLFMSASANTVSSMDAMEMEVFSNYQLGVLTLLMVTGGEVFVSLLGLHFAKIKSQKKDSSLDASGMELATLSDEAELGHQKPDLMPPDMTVLDMKSSSRKHLFFVVLGYLIVAHVVGFLLILVYLRLVPDAGTVLDRKGINASMFSIFTTVSTFANCGFVLTNENMVVFRTCSGLLLIVIVQALVGNTMYASSLRAVIWLLKKLTKRQEYDYLLNNYGEMGYDHLLPGPHALYLAITVAGLVLLQLILFCCMEWTSDSITGLSTYQKIVGAVFMSVNSRYAGESIVDLSAISPAILVLFVVMMYLPPYTCFLPREDDRRLLEDGETSRRTTGLGLILSPLSSIAIFTIIICITERRQMSRDPLNFSVLNVVVEVVSAYGTVGYTTGYSCKRQVKADVHCKDVSAGFSAKWSNKGKLVLIAVMFFGRLKKFSMGGGKYWQFI >Ma06_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14634957:14635130:-1 gene:Ma06_g20520 transcript:Ma06_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDFLLVLSYLGAIPKCIKEGACILTSAFDLDQPKFNGATLQQWNIRFHTPYKLIG >Ma06_p35820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35553308:35556164:-1 gene:Ma06_g35820 transcript:Ma06_t35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSSALLFTFAFIGFVADAAIVEHTFRVGNLTLTRLCEERVVTAVNDQMPGPTIYVNEGDTLVVHAINESPTNMTIHWHGVYQRLSAWADGPNMVTQCSVRPGNNYTYRFNVTGQEGTLWWHAHFSLLRVTVYGALIIRPRGGAAALPFSPPDQEANILLGEWWNGNPIDVLNAAYVSGSAPNVSDAFTINGQPGDLYNCSKKHTYKLEVVSGKTYWLRIINAAVNGQFFFKVAGHNFTVVAVDATYTKPYETDVVVIAPGQTVDALMVANAAPGNYYMAARPYISAGPEGPPVDLSTTTGIVKYASVNSSSAPVMPALPGLYDTPTAHRFYTNLTALVRPGDPTVPLDVDEKMFVTIGSGIVPCSPPQFLCNKTTGSASASMNNVSFVFPPTTPFLVAHYNNLSIYKTDFPDNPPVFFDFTNPAVNTDPALRSLRNTVQDIRLKKVKYNATVEIVLQNTAIEGAENHPIHLHSFNFFVLAQGFGNYNATAAVSSFNLVDPQVRNTIAVPAGGWAVIRFVANNPGVWFMHCHFDIHLALGLGTAFWVENGNTPDSILPPPPPDYPAC >Ma09_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2969962:2974081:-1 gene:Ma09_g04600 transcript:Ma09_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLPSPTSLQRYARLAVETIRRAKIAKPALKSLASGKEEAAAAPSRGPGRWMDERERQQGRVPLKDVVADCTRRWFQDALKEARAGDAAMQVLVGQMYHSGYGVPRNEQKAYTWISKASKYRSSVWKVSDKRPGYNASDSDTDEEKMSMKS >Ma08_p30670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41852968:41859473:1 gene:Ma08_g30670 transcript:Ma08_t30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGDDTKVAQKEFSGTNTEKIRADDVKVDQSCSIKSESVDYGNTEKSQADAVHKIMTKSPEKSSNNCGNLCSLTCEDECIGTQNCCKEFGESINKRRMDSGVPLQRFSEANGDSALPGSPSSSWSEDETQSFLLGLYIFGKNLGQVKRFIECKKMGDILSHYYGNFYKSEAYCRWSECRKIRSRRCILGHRIFTGWRQQEFLSRVLPRIPKDVQHTLMEATNILNEGRVSLEEFVCTLKTTVGLQALVEAIGIGKGHDLTSIISDPVRSNQSLSIRSEIPVGKACSSLTSGDIIKILTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDVSSKHALVFLVPGIKKFSRKKLVKGHHYFDSVSDVLNKVASDPSLVELEVEGADGTKDENGCAMDTESIQNGLLDRQRHHYLRPKVSIYNSELMKFTIVDTSLVQADEPFKVRELRSLPIEALSNCSPLTHTGQTGSDSSGDSDDSSSDDQGDYDSDAFDNKKPKVSSKGIVDKAVHAAPSGNALTLSSTIVPTNGYISSDQCLGQLNGKLHIKDTKSQFSRRAKSERQSYLAPMPKRRRLTACKEQSGHRAYSLLNSHKLMEEGAQPKLGAQKASDDIVGDMHPKISTNAGPGQLEKCDFKEECCGNAATLEATFCDGKPGSRNLIDLNVPLDFENGEHLNSELAGSQHDLNEVEAVNLTETKQQLDGFGAVENLTEEPGDQQPLVNSRRQSTRNRPPTTKALEALACGFLGTKRRGRDTRALLPGSVAKRPSRHVHKTVEAPAPAPAPPTNTISSAIGLSNATTVNDLYKVNIHQVHTSGESCVQPDGDGIHNLLRFS >Ma08_p30670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41852034:41859473:1 gene:Ma08_g30670 transcript:Ma08_t30670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEMDYMEEEQTARTFPDQFPSPDPVCRNGIYDEPQLCPRIGDEYQVEIPPLATESQCLSVKACVTSTSNVLAVDHHVGVGLPISIMWVQRVGDDTKVAQKEFSGTNTEKIRADDVKVDQSCSIKSESVDYGNTEKSQADAVHKIMTKSPEKSSNNCGNLCSLTCEDECIGTQNCCKEFGESINKRRMDSGVPLQRFSEANGDSALPGSPSSSWSEDETQSFLLGLYIFGKNLGQVKRFIECKKMGDILSHYYGNFYKSEAYCRWSECRKIRSRRCILGHRIFTGWRQQEFLSRVLPRIPKDVQHTLMEATNILNEGRVSLEEFVCTLKTTVGLQALVEAIGIGKGHDLTSIISDPVRSNQSLSIRSEIPVGKACSSLTSGDIIKILTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDVSSKHALVFLVPGIKKFSRKKLVKGHHYFDSVSDVLNKVASDPSLVELEVEGADGTKDENGCAMDTESIQNGLLDRQRHHYLRPKVSIYNSELMKFTIVDTSLVQADEPFKVRELRSLPIEALSNCSPLTHTGQTGSDSSGDSDDSSSDDQGDYDSDAFDNKKPKVSSKGIVDKAVHAAPSGNALTLSSTIVPTNGYISSDQCLGQLNGKLHIKDTKSQFSRRAKSERQSYLAPMPKRRRLTACKEQSGHRAYSLLNSHKLMEEGAQPKLGAQKASDDIVGDMHPKISTNAGPGQLEKCDFKEECCGNAATLEATFCDGKPGSRNLIDLNVPLDFENGEHLNSELAGSQHDLNEVEAVNLTETKQQLDGFGAVENLTEEPGDQQPLVNSRRQSTRNRPPTTKALEALACGFLGTKRRGRDTRALLPGSVAKRPSRHVHKTVEAPAPAPAPPTNTISSAIGLSNATTVNDLYKVNIHQVHTSGESCVQPDGDGIHNLLRFS >Ma10_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30952209:30955407:-1 gene:Ma10_g20920 transcript:Ma10_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEESPADDRIQKFLDDLESQHALLSNCTLLWKSLAEHFSSLRQALALRSQSLDAHLQALESNTQKSLDSLALRDSSLPDRESAAAAALHERRDAALAEIQRSDAPSADLRGLLRWYARRMDSPGLWRFVVSRRKDLHALRREVPDAVAASLDPARLVVDASEDFLNHHADDGGADRNWALGMLLRSLLISEGGKAPEVAESMREKAAAVAEAWKEKFSTKEEGGEGGGGTMGGSEAQIFLQMVVAFGLRSRFEEGFLKKLVLEHASRKDMAKLAAALGLGEQLADVIDELVKTGKEIEAVYFVHESGLTERFPPDSLLKFYLQASRKKANSISKNGNNSIAAKEESSNMEINALKSIIKCVETCKLGSKFNVDGLKKRLAEMEKIKAERKRSAVANRPQGKRLRAAAGATPILRPAKAARGPNKPYAPYGQNPPAVSHIPATRHVYSYPGQGGFDGLASAQYGAPRSQSPATVPQQYYAHDDMGALRAGMHHGGPSITYGGYDYTAPAPTQQSRPH >Ma01_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13505779:13508617:-1 gene:Ma01_g18200 transcript:Ma01_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGGGDEKDRDATPTVLFKIDRNRASATFCVGVPFLTGPYRPAHRQGVEKAATTTISSAAATSAPYTAITSLRGGSDGFARALKIDGCYSPQGPSHYGIPLVLFVSPHDTVAAADPLRHQPGPLAFRDQGFEKKNKGGENGEAAAGNPAGGAGRGGEGGNEEKDAGGSITVVLKVDMHCEGCAKKVIKSVKGVQGVEGVKADAGSGKLTVMGKLDPWKLRDRVEAKTHKKVDLVSPANFPKKGADANDPSSSSAKKPAAVKKPDDKKPQDKLAVTTVVLKIRLHCEGCIRRIRKTITKIKGVDSVVFDTQKDLVTVKGTMDAKPLPEVLKAKLKRDVEMVQPKKDGGEKKDKGGGGEKKEKGGGGGGGGGGGGQKETNAKAATVPEPPNEMDYYAGYGYRIELVHAPQLFSDENPNSCSVM >Ma02_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14375911:14383180:1 gene:Ma02_g03020 transcript:Ma02_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESSRRSAMDRSREPGLKRPRLAAEDAAERDRAAVSTKRDPRLRAVGQPLDPRVPRPPRVGDREGSDDAPRGGSHQELVAQYKTALAELTFNSKPIITNLTIIAGESLHAAREIAAVVCANILEVPNEQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYKQVDSSIHSSMRHLFGTWRGVFPPASLQIIEKELDFPPITNGSSKSESSKLDSQPQRPAHSIHVNPKYLEARQRLQQSSRAKDISSDDFSGVVSTIDDAKRYDRITTVGNSRQWKNLPAKMPNVQCPQQEFINNVIHDKKRLKVIRDHEYSSDLSQELDLGIGRVGERLKDGDGHNNAVDLGDRDRSKLEASRSWKNSEEEEYMWDDMKTGTEYGGTNNSLKGDWHNADADRSVRMQSGKWMSLKPEHVQCNLNKVNDAFPRLVKTNKGESKVLPYEANDILNKQDFFEKLRPSSAVYDTNLGLRTEASSNSLSQRKASSEHHSSSFWTSHELPASLVGLDKNCSRAGQPEGQSLSFSAGLSTSISSSLPLPGLCSSVPSSTLGLHANIPGSSGTFGQQWQQTLQLPSLSSDLTPSSTSIQQRKPHNSIDPDRLRSHLFSQTGHKPLHLAGSVDFVSGKSHAQPLGASQSEITQHLEDLFDPTTSTSYNQPRDRPPLIQQSQYNLSQWQAATQSQPSRTETETQPSLRSETESQPSYQTEKLSPLPPGLGTHQAEKDSCTSHSNDPAVRQPHTSSLLAAIMKSGGLLPNNSISNLQKPSVQPPLPVGPPPIQVTSAAPSNTPSVFPPLSLDDTPDLKPPQFGDTIPPLPPGPPPPSSSSVAVNSDNSKTSGANVNSLSSLLSSLVAKGLISSSSTELPTTSTAKLVDKAKDQCIGFPSNSMEQVPSFLTTSSGIPPISTEDPATSNSVAGAALSQSSAAELKNLVGFEFKSEIMRRFHPLVLTSLFDDLKHQCNICGLRFRLQEQLQCHLDWHAPKKSEMSNFNQTYRKWFPEMRDWVNGPVGPQSSLEAAISLEEVAPYEEESEPMVPADESQCLCALCGEPFEDIFSESRDEWMYKGTVYLELQNKQDTTSNMDGPADQLPIVHAHCMSQWSTRHC >Ma02_p03020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14375911:14383180:1 gene:Ma02_g03020 transcript:Ma02_t03020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESSRRSAMDRSREPGLKRPRLAAEDAAERDRAAVSTKRDPRLRAVGQPLDPRVPRPPRVGDREGSDDAPRGGSHQELVAQYKTALAELTFNSKPIITNLTIIAGESLHAAREIAAVVCANILEVPNEQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYKQVDSSIHSSMRHLFGTWRGVFPPASLQIIEKELDFPPITNGSSKSESSKLDSQPQRPAHSIHVNPKYLEARQRLQQSSRAKDISSDDFSGVVSTIDDAKRYDRITTVGNSRQWKNLPAKMPNVQCPQQEFINNVIHDKKRLKVIRDHEYSSDLSQELDLGIGRVGERLKDGDGHNNAGTNFTEAQLNRMNEFDVNHFYDNYQVSGSRRSNTLLSSVDLGDRDRSKLEASRSWKNSEEEEYMWDDMKTGTEYGGTNNSLKGDWHNADADRSVRMQSGKWMSLKPEHVQCNLNKVNDAFPRLVKTNKGESKVLPYEDFFEKLRPSSAVYDTNLGLRTEASSNSLSQRKASSEHHSSSFWTSHELPASLVGLDKNCSRAGQPEGQSLSFSAGLSTSISSSLPLPGLCSSVPSSTLGLHANIPGSSGTFGQQWQQTLQLPSLSSDLTPSSTSIQQRKPHNSIDPDRLRSHLFSQTGHKPLHLAGSVDFVSGKSHAQPLGASQSEITQHLEDLFDPTTSTSYNQPRDRPPLIQQSQYNLSQWQAATQSQPSRTETETQPSLRSETESQPSYQTEKLSPLPPGLGTHQAEKDSCTSHSNDPAVRQPHTSSLLAAIMKSGGLLPNNSISNLQKPSVQPPLPVGPPPIQVTSAAPSNTPSVFPPLSLDDTPDLKPPQFGDTIPPLPPGPPPPSSSSVAVNSDNSKTSGANVNSLSSLLSSLVAKGLISSSSTELPTTSTAKLVDKAKDQCIGFPSNSMEQVPSFLTTSSGIPPISTEDPATSNSVAGAALSQSSAAELKNLVGFEFKSEIMRRFHPLVLTSLFDDLKHQCNICGLRFRLQEQLQCHLDWHAPKKSEMSNFNQTYRKWFPEMRDWVNGPVGPQSSLEAAISLEEVAPYEEESEPMVPADESQCLCALCGEPFEDIFSESRDEWMYKGTVYLELQNKQDTTSNMDGPADQLPIVHAHCMSQWSTRHC >Ma02_p03020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14375911:14383180:1 gene:Ma02_g03020 transcript:Ma02_t03020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESSRRSAMDRSREPGLKRPRLAAEDAAERDRAAVSTKRDPRLRAVGQPLDPRVPRPPRVGDREGSDDAPRGGSHQELVAQYKTALAELTFNSKPIITNLTIIAGESLHAAREIAAVVCANILEVPNEQKLPSLYLLDSIVKNIGRDYIKCFAARLPEVFCKAYKQVDSSIHSSMRHLFGTWRGVFPPASLQIIEKELDFPPITNGSSKSESSKLDSQPQRPAHSIHVNPKYLEARQRLQQSSRAKDISSDDFSGVVSTIDDAKRYDRITTVGNSRQWKNLPAKMPNVQCPQQEFINNVIHDKKRLKVIRDHEYSSDLSQELDLGIGRVGERLKDGDGHNNAGTNFTEAQLNRMNEFDVNHFYDNYQVSGSRRSNTLLSSVDLGDRDRSKLEASRSWKNSEEEEYMWDDMKTGTEYGGTNNSLKGDWHNADADRSVRMQSGKWMSLKPEHVQCNLNKVNDAFPRLVKTNKGESKVLPYEANDILNKQDFFEKLRPSSAVYDTNLGLRTEASSNSLSQRKASSEHHSSSFWTSHELPASLVGLDKNCSRAGQPEGQSLSFSAGLSTSISSSLPLPGLCSSVPSSTLGLHANIPGSSGTFGQQWQQTLQLPSLSSDLTPSSTSIQQRKPHNSIDPDRLRSHLFSQTGHKPLHLAGSVDFVSGKSHAQPLGASQSEITQHLEDLFDPTTSTSYNQPRDRPPLIQQSQYNLSQWQAATQSQPSRTETETQPSLRSETESQPSYQTEKLSPLPPGLGTHQAEKDSCTSHSNDPAVRQPHTSSLLAAIMKSGGLLPNNSISNLQKPSVQPPLPVGPPPIQVTSAAPSNTPSVFPPLSLDDTPDLKPPQFGDTIPPLPPGPPPPSSSSVAVNSDNSKTSGANVNSLSSLLSSLVAKGLISSSSTELPTTSTAKLVDKAKDQCIGFPSNSMEQVPSFLTTSSGIPPISTEDPATSNSVAGAALSQSSAAELKNLVGFEFKSEIMRRFHPLVLTSLFDDLKHQCNICGLRFRLQEQLQCHLDWHAPKKSEMSNFNQTYRKWFPEMRDWVNGPVGPQSSLEAAISLEEVAPYEEESEPMVPADESQCLCALCGEPFEDIFSESRDEWMYKGTVYLELQNKQDTTSNMDGPADQLPIVHAHCMSQWSTRHC >Ma08_p27790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39920586:39933769:1 gene:Ma08_g27790 transcript:Ma08_t27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLRALRRPLRPFSSAPVSPFPFYCRTPSSSFRLFSSAAAHAAAPPSPARTALDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERALDSISLERERGITIASKVTSISWKDNELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVVAKALKLGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKNPVADARNMSPLLDAIIKHVPSPAANLEAPFQMLVSMMERDFYLGRILTGRISSGIIHIGDKIHGLRCTDNGAEKFEEGKVTKLMKKKGTNIIMIDSAGAGDIISVAGLTSPSIGHTVANVEVTTALPTVQLDPPTISMTFGVNDSSLAGRDGTHLTGGKIGDRLLSEAETNLAINVLPGQLSDSYEVQGRGELQLGILIENMRREGFELSVSPPRVMYKMENNQKLEPIEEVTIEVNDEHVGLVMEALSHRRAEVTDMGPVPGTTGRTRMSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLSYSKHRGALGNVRKGVLISVGNGIITAHALMSLEARGTLFVSPGMETYEGMIVGEHSRDSDLDVNPVRTKELTNIRAPGKDENVRLSPPRLMSLEEAIGYVASDELIEVTPKAVRLRKRYLDANKRKMMKNKPKD >Ma08_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34760414:34766887:1 gene:Ma08_g20630 transcript:Ma08_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMALRLFGRRTSIAARGFSSSCETEKAAKDGKPINLFSAINQALHIALDTDPRAYVFGEDVRFGGVFRCTTGLADRFGRSRVFNTPLCEQGIVGFAIGLAAMGNRAIAEIQFADYIYPAFDQIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPESFFCHVPGLKVVIPRSPQQAKGLLLSCIRDPNPSIFFEPKWLYRLAVEEVPEHDYMLPLSEAEVIRQGSDITLVGWGAQLSVLEQACVEAAKEGISCELIDLRTLIPWDKETVEASVRKTGKLLISHEAPVTGGFGAEISASIAESCFLRLEAPVARICGLDTPFPLVYEQFYMPTKNKILDAIKATVNY >Ma05_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35170989:35173771:1 gene:Ma05_g23150 transcript:Ma05_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATVVELMAISGLAPSASAPRAAAVSQASSPRGRCDTRLLPSFDGLRMSSRVRPISPAKRLAGSLMAVRRGAVVCEASEATIQLPEVSKMTWQSLVVGSETPVLVDFWAPWCGPCRMIEPTIVKLAKAYEGKLKCYKLNTDNNPDIATQYGIRSIPTVMIFRNGEKKDAVIGAVPESTLVSTIEKFVVR >Ma11_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5798921:5801244:-1 gene:Ma11_g07250 transcript:Ma11_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGREDPTSEVGKQGRLAMMELANMISVPMALNAVVRLNVPDAIWQSGSNSPLTAAEILALLRPPPPSSSDPSVLQRLLRLLASHGVFAENRCATSGARRYSLTDVGRTLVPSGDGASYAAYVLQHHQDALVRAWPRLHEAVLDPAGPEPFARANGGVPAYAYYGGDREANALMQRAMWGVSEPFMEALLDGYGSAGFGSVETLVDVGGSSGACLDMIMRRFPSVKRGINFDLPEVVAEAPPLAGVTHVGGNMFESIPTGDAIFMKWVLTTWTDEECTAILRNCYNALPEGGKVIACEPVLPVETDNSRRTRALLEGDIFVMAIYRTLGRERTEEEFRQLGGVVGFTAFRAIYLDPFYAVVEYQK >Ma09_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8757634:8761140:1 gene:Ma09_g13020 transcript:Ma09_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCFFSNLFVLFFSFCNVAFSFEPLNMEVEALIAIRSELHDPHGVLSNWDEDSVDPCSWAMITCSPENLVIGLGAPSQNLSGTLSGRIANLTNLQQVLLQNNNISGELPPELGLLPKLQSLDLSNNGFSGSVPASLGHLTSLRYLRLNNNSLSGSFPESLSRIPQLSFLDLSCNNLSGPVPLFPTRTFNIVGNPLICGNRRTEECSRTAIPSSLPFLLDSSAQKRSKAKKLGIAMGASVGGSSLLLLLALVLFLCRRKRQKNQWILGLGDREKEEGAVMVGLGNLRRFTLRELRAATENFSGRNVLGKGGFGHVYRGRLADGTVVAVKRLRADAVGSGNGEAQFRTEVEMISLAVHRNLLRLLGYCAASCERLLVYPFMPNGSVAARLRGKPPLDWSTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANVLLDDCCEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELISGRRVLEFGKGPNQNQKGAMLDWVRKVYQERKLDLLVDRDLGSSYDRIEVAEMVQVALLCTQYLPSHRPKMSEVVRMLEGDGLADKWEASNRPLVQVNAPNSDAHSDSNGFFVLNNDDDDGSGDGNSDGDAGSGDIDMVEEMELSGPR >Ma11_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5341150:5341320:-1 gene:Ma11_g06600 transcript:Ma11_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKYFRPAEVDSLRGDSTKVEFQELVKMMVDHDLEIAEREKVLVDAGYIDAQQQP >Ma07_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7932616:7938579:1 gene:Ma07_g10650 transcript:Ma07_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAMATGAAHLHISPHPFNHLGSRDVARRDHGPRLRHCFDRNTATYDGISFLGPGICRRRAIPPFLCRSYRSENRGDETSSSDSPSPEGDGRTVVVKDESESRQLKRKGPLYSLKSMLLRLSGSDSGPVGQNRKLVEKVEEIFFSFATQLGRYLVTMTSTGIILAIGFQLSGGDSQMNTLIWYSWLGGIIIGTMIGANMVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVHQTVEELRENLKDGLAVSKNKARTNLSHAMVVGIACDVCKPEDVRKLANFAVDELGSVDIWINNAGTNKGFRPLLQFTDDDIDQIVSTNLVGSLLCTREAIHIIGSQDKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQASLLKECKRSKVGVHTASPGMVLTELLLSGSSLRNKQMFNIICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWLRRGRWFDEQGRALYAAEADRIRNWAESRARFSVTDAMEMYAENTWVSVFSLSVVCAFIILSSSGNAFHGT >Ma03_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6001690:6005621:1 gene:Ma03_g08320 transcript:Ma03_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02270) UniProtKB/TrEMBL;Acc:F4KCB8] MGNEGTATSAADVGPVVEVKNLRFTYPGIDGHPPPGSAPLIDGFSLSLRAGDRCLLVGTNGAGKTTILKILGGKHMVDPEMVRILGRSAFHDTALTSSGDLSYLGGEWRRDVAFAGFGVSIQMDISAEKMIYGVSGVDPQRRDELINVLDIDLSWRMHKASDGQRRRIQICMGLLKPFKVLLLDEITVDLDVLARANLLRYLSKECEERGATIIYATHIFDGLEDWPTHVVYVAHGKLQLAVPLEKVKEMSNLSLMRTVESWLRKERDEDRRRRQERKAIGLPEHEKQLEGTRVTGDPARSAVQVMNNGWAAGRLHSTVAGQENFFLSSNRVLRQ >Ma03_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26797712:26798765:-1 gene:Ma03_g21930 transcript:Ma03_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRAEAGLPSSKAAAESPAKAQRRQKGSTPKASAAAGRTRQAVKPDHARKGRGNDKGPAAAAAADLQQSSTSPVAPAEEEVVGWVDGASELGDWWWGLWGVEEDKLSGWFPFVDEDFLCSDARGGELSGGLLWEEAYHDIWQLQHIYEIPQAASN >Ma11_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27348862:27357520:-1 gene:Ma11_g24360 transcript:Ma11_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKASVSKELNEKHGKVLEGLLKLSENRECADCKSKGPRWASVNLGIFICLQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQTMGNEKANSYWEAKLPPNYDRVEIENFIRAKYKEKRWASQDNRFKSPSKAQEEMDPENKQKSSDTGRENINFVKSLDKKDNTPQSTRKDTRVIPKVPIRINPEKPYEHNQPFFLFLLSCKKQIGGAFDSVLFTDHFRYNHYFAVPSESKLETGRTQVVSPEVAPARVTATTTTPSKVERTGDLLNMLSVDDPCENGPESSSFDTNAWVKFESEELTSASQKNTTASVDCKNAITGAEDLFKDSSLLQSSTQKKHQTNKNGIMCPFDKCDGSQSSMVSPFGLHQQQQVFLSQQKDFPMAADKFDDTPPVFTRGTHQRSVSDSITMQGYTAAQSWANFSHQVPGNVPLAAQLYSNNSREMGSLTCPHPSGSYGPVTASGLHAQGSIASVNEATAAGGGVNKPHTSFRSTASTRSLGDFDFSSLTEGMFSKH >Ma07_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5870100:5872089:1 gene:Ma07_g07900 transcript:Ma07_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGDITLENNNVMCPPNPLDPEEFRRQGHMMVDFIADYYHDVDKYPVLSQVSPGYLRDRLPDSAPNHAEPIEAILQDVRNHIVPGITHWQSPNYFAYFPSSGSVAGFLGEMLSVGFNVVGFNWMSSPAATELETIVMDWLGKMLNLPKPFLFSGGGGGVLQGTTCEGILCTVTAARDKVLNKIGRDRIGDLVVYCSDQTHCALKKAAQIAGIHPDNIRALPTHQRDAFGLCPETLGAALAADVSEGLVPLYLCSTVGTTSSTAVDPLRALCEVAAEYDVWVHVDAAYAGSACICPEFRHFIDGVESASSFSFNAHKWFFTTLDCCCLWVKEPQHLVNALSTNPEYLRNKATESKKVVDFKDWQIALSRRFRALKLWMVLRSYGVANLRNFIRSHVNMAELFEGFVAKDERFEVVVPRNFAMVCFRLLPPLGSRSTRDGGLETANAINKRLLDAVNATGKIYMTHAVVGGVYIIRFAVGASLTEERHVRSAWSVVQEQAEALLAEFEVQAALQEKAETGLTEFNLHASMQEQAGQAVHA >Ma08_p31850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42648479:42649284:-1 gene:Ma08_g31850 transcript:Ma08_t31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVSEFERRMGGKTAGEVLVGLLRQLIEQLAADTRPAFQQQLVRHHLQQAWKEWLMAWHSDESDRFGREETGLLLVRTMESCAGRFSSTELTVTHPNYSRLCHLLSSLCHNLRRRQMVVAESITEECAVTSSCKDKAVEAEMQELARCVLQTSDDLNNHTKQTFLLVAKSFYYAAHCSPAALRSHISEVLFMPVA >Ma09_p26410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37708265:37714169:-1 gene:Ma09_g26410 transcript:Ma09_t26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPDIGWQYGTMIGGHRHHVQCNYCHRIMIGGITRFKKHLASKRGEIKGCDAVPKEVREIIAHHLATRKPRRPNKRRRKTAEGTSSAPISTNYSVESDASDPDMTHARQGVLTFNEAEVHSQRTSEQQFEIGTRGFIDAFSCIQYKDEQDFMPTRATDIGWAHGAMVNGDRQKIECKYCHKIILGGGISRLKQHLAGERGNIAPCDQVPDDVKAQMQQHLGFKVLENCNVQQNTEEYNGDALKVTPISPTAASHRRRGKDEGYSKKRKKVEMLRSPQGSTFPLSTLQLSFASQEIIDQADITVAKFMYESGIPLSAANSVYFQRMADAIAGVGPGYKMPSHHSLKGKLLSRCTTDAEEISKELRKSWEVTGCTVLVDRLMDTAGRSIINFFVYCPKGTMFLKSVDVSQIETSLEGLVHLFESIIQDVGPGNIVHFLSDSAPWYKAAGKVLMDKYKTFFWSVCVNHCIELMFKGLSEMDEVYGVIAKAKKITQLINNDAWLLNLLKKTTEGRDLIRPGMTQSITDHLTLQKIFSLKDALQQMFTCDSWEESVLSKQKLGMGVKNIVFDLQFWQSCANIIKVSEPLVRVLHLGDGGERPSMGYTFDAFEKAKKDIILAFDNQESDYLPYLAVINRVHDEFHSPLHAAAYYLNPSIYYNTRFFITNVIQKGLLDCIETLEPDLTAQDNITKHKSFYEDSLGDFSRPLALRGRESLPPAAWWSMYASDYPDLQRFAVRILSQTCRMPTFRRNCYINEYAHSSKNRLERARLNDATFIHYNLHLQEGQPVATESKGLINGENDLLSISSYDAGDWIDDPGILEVEGINLLDVTLPGGPLPVIDKAGNTSDGNLVIDD >Ma09_p26410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37708265:37714200:-1 gene:Ma09_g26410 transcript:Ma09_t26410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPDIGWQYGTMIGGHRHHVQCNYCHRIMIGGITRFKKHLASKRGEIKGCDAVPKEVREIIAHHLATRKPRRPNKRRRKTAEGTSSAPISTNYSVESDASDPDMTHARQGVLTFNEAEVHSQRTSEQQFEIGTRGFIDAFSCIQYKDEQDFMPTRATDIGWAHGAMVNGDRQKIECKYCHKIILGGGISRLKQHLAGERGNIAPCDQVPDDVKAQMQQHLGFKVLENCNVQQNTEEYNGDALKVTPISPTAASHRRRGKDEGYSKKRKKVEMLRSPQGSTFPLSTLQLSFASQEIIDQADITVAKFMYESGIPLSAANSVYFQRMADAIAGVGPGYKMPSHHSLKGKLLSRCTTDAEEISKELRKSWEVTGCTVLVDRLMDTAGRSIINFFVYCPKGTMFLKSVDVSQIETSLEGLVHLFESIIQDVGPGNIVHFLSDSAPWYKAAGKVLMDKYKTFFWSVCVNHCIELMFKGLSEMDEVYGVIAKAKKITQLINNDAWLLNLLKKTTEGRDLIRPGMTQSITDHLTLQKIFSLKDALQQMFTCDSWEESVLSKQKLGMGVKNIVFDLQFWQSCANIIKVSEPLVRVLHLGDGGERPSMGYTFDAFEKAKKDIILAFDNQESDYLPYLAVINRVHDEFHSPLHAAAYYLNPSIYYNTRFFITNVIQKGLLDCIETLEPDLTAQDNITKHKSFYEDSLGDFSRPLALRGRESLPPAAWWSMYASDYPDLQRFAVRILSQTCRMPTFRRNCYINEYAHSSKNRLERARLNDATFIHYNLHLQEGQPVATESKGLINGENDLLSISSYDAGDWIDDPGILEVEGINLLDVTLPGGPLPVIDKAGNTSDGNLVIDD >Ma08_p20460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34516467:34521729:1 gene:Ma08_g20460 transcript:Ma08_t20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAQQMAGRAVEKVIVHPLVLLSIVDNYNRVARDTRKRVIGVLLGSSAKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNDYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLREIRGYLDLVIDGKLPMNHEILYHLQDIFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSNPTAIPNAAGS >Ma08_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34516537:34521729:1 gene:Ma08_g20460 transcript:Ma08_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAQQMAGRAVEKVIVHPLVLLSIVDNYNRVARDTRKRVIGVLLGSSAKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNDYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLREIRGYLDLVIDGKLPMNHEILYHLQDIFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSNPTAIPNAAGS >Ma05_p28760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39649220:39650060:-1 gene:Ma05_g28760 transcript:Ma05_t28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQPQEVKSKVAKVDSEESWDLFTTQADDRGCPVFVHFGASWCVPSLAMNTCFEELANSHQDILFLLVDVDEAKGVASRMEVKAMPTFVLMKHGTVLSKMVGANPEEMRKMVQCYVQSICPATTSE >Ma10_p28110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35115243:35120096:-1 gene:Ma10_g28110 transcript:Ma10_t28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGLVCAIEATKICIDAVSSSNSTDRRNFVMVNVAQCKSFLEEVLQKLAERLPADFELLLKTENDCAAAVQKGYISSKLYELIQIIRSLGMPSQVVCLIFVERNITAKVLERFIKNVCFLSHFTVSYLAGGSSSVDALTPKTQKDILDSFRSGKANLLFTTDVPDCSCVIRFDLPKTARSYIQSHGRARQAGSHYVIMLERGNLQQRDLLFDIIKNKHSTVDIALNRDQDSLVSIVSINEDLGAYYVDSTGASVTADSSVSLINTYCQNLPRDKYFTPKPIFRYTLDAGTMSAQ >Ma10_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27517978:27518761:1 gene:Ma10_g15440 transcript:Ma10_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHFQDGTEALGCPQNNTTSPFHDTGSDDFITDMNLSVNGNSNRSDNPILHTGNICPTISLSLSNLTGESSADDHEDCGVSPMFLTGESTWDSNPEPGHLHLQERNEAKMTYNEKNRSRMFGKSITYASRKVKDDTRKRMKGGFVKADETCDFDPCRAGSS >Ma00_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:41529560:41530640:1 gene:Ma00_g04820 transcript:Ma00_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLALVAFPTFLLLVIPGIMYTRMFMDLARKIRDEYEKAGTIAEHAVSSIRTVYSFMVERRTMSVFSNALEDSIKLGLLPSLTKGIVVWSNSVTFAIWAFMAWYGSRIVMYHEGKGGTVFAVGTAIVTGGLALGSGLSNIKYFSEASSAGERFMKVIRRTPRIDSDSIEGTVIENLSGDVPAGKTVALVGGSGSGKSTVIALMERFYNPLGGEIFLDGVDIRSVKLKWLRSHILLVSQEPALFATSIKENLLFGKEEATMEEVVAAATASNAHNFISQLPEGYDTHVILSCNSIFPSI >Ma07_p26590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33325166:33330247:-1 gene:Ma07_g26590 transcript:Ma07_t26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPLPAAGSKSSFWSSPREMVFASLSKLRIFPRKSSTGGPDVTAPSFSSSASSSSQQQMKKSPNSAGENTSKSKMKTTFDDEIDMKYRLFKQFDVVQDHSDHYYKFSKIKRLFPLQPTKDWVRWIQHEWKILENDLPETIYVRAYEERMDILRAVIVGPAGTPYHDGLFFFDIFFPPTYPQKPPEVYYRSGGLRINPNLYDSGRVCLSLLNTWSGEGCEKWIPYKSSMLQVLVSIQALVLNAKPFFNEPVYAEIKSTPDVEKRSLIYNEGAFVLSCRTMLYLVRNPPKHFGDFVAGHFRKHGGTILKACYAYMNGVQVGCTISQGINEVIEGSKNFSASFQTSLKLLSKDLLREFTRKGADCSEFLALVEVKN >Ma11_p23430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26772177:26775401:1 gene:Ma11_g23430 transcript:Ma11_t23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVASSIVRFVSDKLGAKVLKELGLLKGVGEELKRLESTLAAIQDVLEDAEARQVKEKSLRVWLRELKDVAYDLDDLLDETAVKALTKGKVRGLPLTPKSIRVRHEIARKVKKMRKRLDAIAEERATFHLREGTAKDSEPSSGVREQTGSLVDESQVYGRQQDKEQIIDFLLGDSTEEHNNNLGVIAIVGLGGLGKTTLAQLVYNDEGVRQHFEKRMWVYVSDKFDSKSLMRSIIESLSKKEFTLPDMDPMQRELVEQIRGRRFLLVLDDVWNEDYELWDRLRILLNNGAKGSKVVVTTRSRRVASVMNADDVHFLAGLSDDDCWLLFERRAFESGSSARNPSLVAIGKEIVRRCGGMPLAAKALGSMMRFKREVSQWVAVRDNEIWRPSADVDDDQILPALMLSYSHLPPRLKQCFAYCAMIPKGKTMRIETLAQLWVAGGLADLEDVGSHYVDQLLSRSLLEIGQEEAHGAVSLVKMHDVVHDLARFTLGHLRKLRALYVIVTEGIFEEYGVRVLDGKNVYGRSAEEQDEDERVLLAIFSTMKPLRALHLDGFPMKALPAAVQNLDHLRYLDLSRTDLRTLPPAIGRLHNLQILKLLSCTGLEALPESIGELVNLVTLDLCLCRRLSSLPDCIGRMGNLRNLDLRMLPTYLLGDGDGDGGLEELGTLNLEGELYIGNLQNLRSAAEAGEANLREKRGLRSLKLKWDLTSWFQQEDRNDVAAIGGEHTEPVEDALGSLRPQPDLEVLRIEGYVGKVLPGWMMDCSIPNLVELSLDFFTRCEKLPALERLSCLTELNLSRFPRVECLPRLGQLPCLRVLCLEALPAVKRLGSELSGGGCAFPALEELRLVFMSDLEEWSGTEGEDFLPRLSELVLTDCPKLGALPSTFPSVNRLTMNVDDKLLLSHLERGAFPNLKHLGICNCDLDDEAGMPEVLVERLASVESSSWTGRPVTASESEMMMTQCIELTV >Ma11_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23421881:23432245:1 gene:Ma11_g18330 transcript:Ma11_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPMGSRGCAMDVLGSASCVVACLLGGLLLALSLLSPPLSGARLPGIRRRRRKPVRVYMDGCFDMMHYGHCNALRQAQALGDQLVVGVVSDDEITANKGPPVIPLHERMLMVGAVKWVDEVIPDAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSLGGSHNHASLQRQFSHGHSQKVNDVGFGTGTRISHFLPTSRRIVQFSNGKGPGPNARIVYIDGAFDLFHAGHVEVLRLARELGDFLLVGIHTDQTISATQGPHRPIMNLHERSLSVLACRYADEVIIGAPWEVSKDMITTFNISLVVHGTVAEYMDFSKEESNPYAVPMAMGIYKQLNSPLDITTSTIIKRIVSNHEAYQKRNEKKEASEKRYYETKTYVSGD >Ma10_p26870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34360291:34362989:-1 gene:Ma10_g26870 transcript:Ma10_t26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSNVFMGSCFCVVDSIRSTIAVLAGGDDLCPLFPGSSRFLSPGRNVDVYCPPRKRARVIAPLGFSFRGREEVAAEKQQPRSIDTLPDECLFEILRRLPGEKERSSCACVSKRWLMLLISIRSSELAARMKTTDESVKTRMPDLSKGVCAEEKECENNGYLTRRLDAEEATDIRLASIAFGSCSRGGLGRLFIRGSNSTRVTDVGLSAIAHGCPSLRVLSLWKVPLITDAGLSEIADGCPLLEKIDLCQCPQISDKGLIAVAQKCPNLTSLTIESCSSIHNEGLQAIGRCCPNLKSVTVKDCMRVGDQGIASLVSSASSSLQRIKLQTLNISDLVLAVIGHYGKNVIDLALIDLQKVNEKGFWVMGKTLGLQRLRSISITSCSGLTDLGLQAISKGSPSLKQLFICKSEYLSDAGLRAFAETARALENLHLEDCNQVTLVGVSSLLKCNPELKSLALARCLGIKDITLCPTQMPSCVSLRSLTIHDCPGVTDASLQTVGKICPQLQNVDLSGQIGVTDASLIPLIGNSKGGFVEVNLSNCVNVTDVLVAMLVKSHGGTLKVFNLDGCKQITDRSLLAIADGCSELDNLDLSSCSISDYGVAVLASARQLNLRILSLASCSKVTQKSLPFLGNMGSSMVGLNLQHCRLISTYGIGLLEEKLWWCDILS >Ma08_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38035536:38036934:1 gene:Ma08_g25010 transcript:Ma08_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVAAFLGHVGSKTSCGYGVVTGGLLAWGLCYNDEMSPSQDYCDPNYLYPCVEGVEYYGRGALPVYWNYTYGLIGDALKVDLLNHPEYLEQNATLAFQAAIWRWMTPMKKKQPSAHDVFVGNWKL >Ma07_p28010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34171267:34176271:-1 gene:Ma07_g28010 transcript:Ma07_t28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKLENKMLPSSIEFRLPLKKILQFSPLATCSRRLCGCTSENLSLRKFYATERFVSCLQACTDIRLLRKIHARIFTHGLVDNSYLQSKLLNCYAKFGGLAESRWVFHKTVNKNIALWNSAIVSYFRAGHFEEVLMLYLNIKYKGIDVASSAINFGLKSCMELMNIEFGRSVHVDAFKVGLNDDRFIGSSLIALYFKFGSIKDAERAFIEIIDKDVVVYTAMVTGYAEFTDFNARGAFEIVTVMHREGLNANRVTLVSLLQAAGQLRELEQGRSVHCYALRRGIGVSDEVLLTSLVDMYAKCGAISIAASVLRQTKKKTVASWNALIARLSQLGQSSEALKYFCLMMQDNNLFPDSITLANVLSACSNWNLVQHTTSLHAYIMRRNIQLDEVLTTTLIELYSKCHKMKRARILFDHLICRDTIVYNVMISGYLHNGLVEEAINMFSDMIREAARPNFATVVSLLSAFADVGDARKGRWVHGIVIRYGLELDLDVSNLIMHMYAKCGQIENAKMIFNLITDKDLVSWTVMMMGYVNIGLADEATAMFQKMQRTGEEPDSPVIVTLLQAHAQLGSSEPVQEIHGYIYRTCLVEDIATMNSLILTYAKCGRVDVAEAVFKGMTRPELASWNTMIAAYGIHGYCTQVLEMFSQMQRENLKPDELTFSSVLSACSHAGLVEEGWRIFSSMNSDYLVAPQEEHYNCMVDLLGRAGQLEEAYNLVKCSPLRDRASAMCTLLAACKVHKNTKLGELIGQELLDLEPQVSGTYALMSNVYAQSGNWNEAAKVWTTARQRGLAKIPGYSLVELNECACGD >Ma07_p28010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34171267:34176271:-1 gene:Ma07_g28010 transcript:Ma07_t28010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKLENKMLPSSIEFRLPLKKILQFSPLATCSRRLCGCTSENLSLRKFYATERFVSCLQACTDIRLLRKIHARIFTHGLVDNSYLQSKLLNCYAKFGGLAESRWVFHKTVNKNIALWNSAIVSYFRAGHFEEVLMLYLNIKYKGIDVASSAINFGLKSCMELMNIEFGRSVHVDAFKVGLNDDRFIGSSLIALYFKFGSIKDAERAFIEIIDKDVVVYTAMVTGYAEFTDFNARGAFEIVTVMHREGLNANRVTLVSLLQAAGQLRELEQGRSVHCYALRRGIGVSDEVLLTSLVDMYAKCGAISIAASVLRQTKKKTVASWNALIARLSQLGQSSEALKYFCLMMQDNNLFPDSITLANVLSACSNWNLVQHTTSLHAYIMRRNIQLDEVLTTTLIELYSKCHKMKRARILFDHLICRDTIVYNVMISGYLHNGLVEEAINMFSDMIREAARPNFATVVSLLSAFADVGDARKGRWVHGIVIRYGLELDLDVSNLIMHMYAKCGQIENAKMIFNLITDKDLVSWTVMMMGYVNIGLADEATAMFQKMQRTGEEPDSPVIVTLLQAHAQLGSSEPVQEIHGYIYRTCLVEDIATMNSLILTYAKCGRVDVAEAVFKGMTRPELASWNTMIAAYGIHGYCTQVLEMFSQMQRENLKPDELTFSSVLSACSHAGLVEEGWRIFSSMNSDYLVAPQEEHYNCMVDLLGRAGQLEEAYNLVKCSPLRDRASAMCTLLAACKVHKNTKLGELIGQELLDLEPQVSGTYALMSNVYAQSGNWNEAAKVWTTARQRGLAKIPGYSLVELNECACGD >Ma07_p28010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34171267:34176271:-1 gene:Ma07_g28010 transcript:Ma07_t28010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKLENKMLPSSIEFRLPLKKILQFSPLATCSRRLCGCTSENLSLRKFYATERFVSCLQACTDIRLLRKIHARIFTHGLVDNSYLQSKLLNCYAKFGGLAESRWVFHKTVNKNIALWNSAIVSYFRAGHFEEVLMLYLNIKYKGIDVASSAINFGLKSCMELMNIEFGRSVHVDAFKVGLNDDRFIGSSLIALYFKFGSIKDAERAFIEIIDKDVVVYTAMVTGYAEFTDFNARGAFEIVTVMHREGLNANRVTLVSLLQAAGQLRELEQGRSVHCYALRRGIGVSDEVLLTSLVDMYAKCGAISIAASVLRQTKKKTVASWNALIARLSQLGQSSEALKYFCLMMQDNNLFPDSITLANVLSACSNWNLVQHTTSLHAYIMRRNIQLDEVLTTTLIELYSKCHKMKRARILFDHLICRDTIVYNVMISGYLHNGLVEEAINMFSDMIREAARPNFATVVSLLSAFADVGDARKGRWVHGIVIRYGLELDLDVSNLIMHMYAKCGQIENAKMIFNLITDKDLVSWTVMMMGYVNIGLADEATAMFQKMQRTGEEPDSPVIVTLLQAHAQLGSSEPVQEIHGYIYRTCLVEDIATMNSLILTYAKCGRVDVAEAVFKGMTRPELASWNTMIAAYGIHGYCTQVLEMFSQMQRENLKPDELTFSSVLSACSHAGLVEEGWRIFSSMNSDYLVAPQEEHYNCMVDLLGRAGQLEEAYNLVKCSPLRDRASAMCTLLAACKVHKNTKLGELIGQELLDLEPQVSGTYALMSNVYAQSGNWNEAAKVWTTARQRGLAKIPGYSLVELNECACGD >Ma07_p28010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34171267:34176271:-1 gene:Ma07_g28010 transcript:Ma07_t28010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKLENKMLPSSIEFRLPLKKILQFSPLATCSRRLCGCTSENLSLRKFYATERFVSCLQACTDIRLLRKIHARIFTHGLVDNSYLQSKLLNCYAKFGGLAESRWVFHKTVNKNIALWNSAIVSYFRAGHFEEVLMLYLNIKYKGIDVASSAINFGLKSCMELMNIEFGRSVHVDAFKVGLNDDRFIGSSLIALYFKFGSIKDAERAFIEIIDKDVVVYTAMVTGYAEFTDFNARGAFEIVTVMHREGLNANRVTLVSLLQAAGQLRELEQGRSVHCYALRRGIGVSDEVLLTSLVDMYAKCGAISIAASVLRQTKKKTVASWNALIARLSQLGQSSEALKYFCLMMQDNNLFPDSITLANVLSACSNWNLVQHTTSLHAYIMRRNIQLDEVLTTTLIELYSKCHKMKRARILFDHLICRDTIVYNVMISGYLHNGLVEEAINMFSDMIREAARPNFATVVSLLSAFADVGDARKGRWVHGIVIRYGLELDLDVSNLIMHMYAKCGQIENAKMIFNLITDKDLVSWTVMMMGYVNIGLADEATAMFQKMQRTGEEPDSPVIVTLLQAHAQLGSSEPVQEIHGYIYRTCLVEDIATMNSLILTYAKCGRVDVAEAVFKGMTRPELASWNTMIAAYGIHGYCTQVLEMFSQMQRENLKPDELTFSSVLSACSHAGLVEEGWRIFSSMNSDYLVAPQEEHYNCMVDLLGRAGQLEEAYNLVKCSPLRDRASAMCTLLAACKVHKNTKLGELIGQELLDLEPQVSGTYALMSNVYAQSGNWNEAAKVWTTARQRGLAKIPGYSLVELNECACGD >Ma08_p09650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7031434:7041175:-1 gene:Ma08_g09650 transcript:Ma08_t09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDKNIEIWKVKKLIRALEEARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKKLIGKYFEEISQDTGKYVFGVEDTLKALEMGAVETLIVWENLDINRYVLKHSTSGETIIKHLRKDQETDQNNFLDSATSAELEVQEKISLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDLRAFDELSDEEFEEDSE >Ma06_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3620274:3625268:-1 gene:Ma06_g04870 transcript:Ma06_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEIEDSISYQAETISSGSISFGRFEAETMSWERRSSFSHNRYLEEVEKYATPGSVTQKKAYFEAHFKKKPLLHHTFPGFQSEAKLQFAEDCAGNHTWNVDDSVECGDDGQVEYTFFEAPSVSGEHEVTKCEQEEVLSPNFSREYTPWNNEELSDRGAFDYGEAYQVQSNITPQDGNDMQIVAKENHEHETSSHQELLDGQEFSSKIPSDVKNKTPVLNKMERMSTEVPEKVEKKFAKGKLRNQLPDSQTSRKPSTYKSSHSAGKTFPKKLVEVERGDTEKMQPQQRFPARISRSSLDSKNQKAVDSEKLKARVGEEKRSEKCLSGQMVIHVLDPLPGKCQTDIQQSADRCARVPLSDQTKVKHRADAFKFRSDQRAEKRKEFYMKLEEKMHVKEAEMTEIQARTQEEADAEIKQLRKSLNFKATPMPSFYNKAAPAVLNGKKVAAMPASFSKSQNKTRISANRNSYRDKSPVISRIYREGSTGEPKHTDNDNHQETVPAKKIQAGKKDEKNRLHAQQGDGSGKKEARGNMVRSRVRKMKGIVAGNIAVQVAS >Ma06_p04870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3620274:3625268:-1 gene:Ma06_g04870 transcript:Ma06_t04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEIEDSISYQAETISSGSISFGRFEAETMSWERRSSFSHNRYLEEVEKYATPGSVTQKKAYFEAHFKKKPLLHHTFPGFQSEAKLQFAEDCAGNHTWNVDDSVECGDDGQVEYTFFEAPSVSGEHEVTKCEQEEVLSPNFSREYTPWNNEELSDRGAFDYGEAYQVQSNITPQDGNDMQIVAKENHEHETSSHQELLDGQEFSSKIPSDVKNKTPVLNKMERMSTEVPEKVEKKFAKGKLRNQLPDSQTSRKPSTYKSSHSAGKTFPKKLVEVERGDTEKMQPQQRFPARISRSSLDSKNQKAVDSEKLKARVGEEKRSEKCLSGQMVIHVLDPLPGKCQTDIQQSADRCARVPLSDQTKVKHRADAFKFRSDQRAEKRKEFYMKLEEKMHVKEAEMTEIQARTQEEADAEIKQLRKSLNFKATPMPSFYNKAAPAVLNGKKVAAMPASFSKSQNKTRISANRNSYRDKSPVISRIYREGSTDNHQETVPAKKIQAGKKDEKNRLHAQQGDGSGKKEARGNMVRSRVRKMKGIVAGNIAVQVAS >Ma06_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2269734:2275406:-1 gene:Ma06_g03060 transcript:Ma06_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFLIPRASKTLSHHLLRSPPSRVDSIPSAAGVSGCLRALHSVVSRSSRPFYRRGIFPPFSRFMVSASSPRLDLAEAVSSALDIDIRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVASHSSVSEDIVMVNNGCLCCTVRGDLVKMLLKLVKNKRDKFDHIVIETTGLAKPSPVIETFCSDELVARYVKLDGVVTLVDCKHAMRHLDEVKPRWVVNEAVEQVAYADRIILNKTDLVNEAELDALTNRIKLINGMAQIKQAKHSIVDMDFVLGIGGYDLDRIETDVQVEASHDKGHHCGNGHDHHGEHGGHHHDHVHDSSVTSISIVSEGTLDLDEVNDWLERLVDEKGEDLYRMKGVVSVNDSTGRFVFQGVHSMLDGCPAKPWGPDEKRINKLVFIGRNLDEAALRKAFKGCLI >Ma08_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5526995:5527750:1 gene:Ma08_g07970 transcript:Ma08_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSTTSKDLDAGSPRYGRPLPRQSRPIPIDERASPSRPSSSAVPFSWEHRPGIPKTSGPLTSRPTGPLLPLPPSLRSAPAGNSRKKRPVATSAADPFATALTECAKDPAGPAIEELLARGGGSVVERRRRGPAAAWSVSDRLGLFGLYASCKATCAVADSAVRVPRSGPSNRCPVQR >Ma01_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3515858:3524411:1 gene:Ma01_g05030 transcript:Ma01_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENNPKSNSNDNNYSKGKKRKYLPHGKPVKKGLYPLRPGVQGVFLTCDGGRERQATNEALNLLETYYEELVHGKKSVVKCGAVPSKPLNKIIKFRDSDSSSDEDEDSPHADESDASLRDHGDLQTKKVKEDASPSHEKEPEMQKPAEESEDLPSKKQRVNTCAVKMENVESTKTDDKPIDELIEDELRELGDRNKRHFVSLDSGCNGVVFIQMHKRAGDPSPTEIVQHMMTNAASTKKHMSRFILRVIPVELTCYASEQEISKAIKPIIEQHFPNEAPIPQKFAVLYEARSNTGIERMTIIDAVAKSVPQPHKVDLKNPDKTIIVQIVKTICLIGVVERYKQLSKYNLRELTSPKQ >Ma01_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:221580:226067:-1 gene:Ma01_g00300 transcript:Ma01_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNAFYDAVNGGGDVWINENRFRIVRQLGEGGFAYVFLVKEVVADGAAGLARNKSIDPSHISDHGTYAMKKVIIQTEEQLELVKQEIRVSSLFNHPNLLPLLDHVVIPVKGTQDGSRKHEAYLLFPVHLDGTLLDIAKVMQSKKEFFTTTTVLQIFRQLCAGLKHMHSFDPPYAHNDVKPGNVLITHRKGQPPGAILMDFGSARPARKEIRSRSEALQLQDWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGASPFEYAIGESGGSLQLAVMNAQIKWPSGPDPPYPESLHQFIVWMLQPQPAVRPKIDDIIVHVDKLISKYSS >Ma04_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11456375:11458051:1 gene:Ma04_g15130 transcript:Ma04_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTDPALDLISLIRSPKPAACFSGVPVVDLTKPDAAARLVEACKEFGFFKVTNHGIPVEFMRRLEAEAVNFFSLPPVEKEKSGPAKPLGYGNKRIGANGDMGWVEYLLFAVTSKPLSYTPMDFLREPSACLFRSALKEYLSAVRKLASQVLELMAQGLEIEPRDVISKLVTSEASDGIFRLNHYPPCPVLRGSNYSLTGFGEHTDPQIISVLRSNNSTGLQIALKDGSWVSVPPDEESFFINVGDSLQVLTNGRFRSVRHRVVANGMESRVSMIYFFGPPFAEKIAPLPQLMGEREHSLYKEFTWDEYKKAAYKSRLADNRLGPFEK >Ma05_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6832019:6842130:-1 gene:Ma05_g09440 transcript:Ma05_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGPEEAKLAAWEGSKEGIGKNKRRKKTRGGGNNNTKGEETTGCWMKFRLMGGCVPSRAKVDNSISSATTHCESKSTNDGSRDQPVAPLASGSTTGSNAESNSSASKVGEELKVSSQLRKFTFSDLKSATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHREWLAEVNYLGELQHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRALPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKSSNVLLDVDYNAKLSDFGLAKDAPDGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSYGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPNLRERRRFYRLIDPRLEGNFSIKGAQKASQLAHACLSRDPKARPLMSEVVEVLKPLINLKDMASSSYFFQTMQTERTMAHSNSVGARNGMKPQGSFGRNGQPPTRSLSHGAHASPYHQSPKPNAR >Ma07_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4854758:4856242:-1 gene:Ma07_g06750 transcript:Ma07_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASSLSELGFANDVHHALFRPIQEAAPPSPTKRHTKISVVGAGNVGMAIAQTILTQHLADELALVDAKPDMLRGEMLDLQHAAAFLPHTKILASTDYSVTAGSDICIITAGARQLPGETRLSLLQRNLALFKHIVPPVAKHSPGALLLVVSNPVDVLTYIAWKLSGFPPNRVIGSGTNLDSSRFKFLLAEHLEVNAQDVQAYMVGEHGDSSVALWSSISVGGVPILSQLTKDKAAMEQGVLERIRKAVVESAYEVIRLKGYTSWAIGYSVASLARSLLRDQHRIHPVSLLAKGFYGIPEDHEVFLSLPAQLGRSGVLSVANIQLSEEEAARLRRSADTLWELQRQLEI >Ma09_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3390366:3393899:-1 gene:Ma09_g05260 transcript:Ma09_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRPENGAMTFDEVSMERSKSFVMAMQELKNLRPQLYSAAEYCEKSYLRSEQKQMVLDNLKSYAVRAIVNAVDHLGTVAYKLTDLFEQQLLDASTMEMKISCLNQQNFTCQAYGDKDGLSQHQTPARTLRHHKHYILPSQYASNMLSWHVASEANSAATGDPLAAPCTRGVKCYKVNSEVFHLLVAEDPAASLPLSAPLRATSGNVIFDMIPNKSASMGSLKSPKPISAIKSFDSPGRREICRPPGRSKSMLSTFFNKNKTFKTRKVSVS >Ma06_p26920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28947926:28949389:1 gene:Ma06_g26920 transcript:Ma06_t26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEGHHFVLVHGAGHGAWCWFKLRCLLEASGHRVSCPDLASAGIHPADPNSILSIDEYDAPLFSIMSALPEHEKVILVGHSAGGLSVTHALHVFNNKIKVAIFVAATMLPWGYQTEEDIKDGVPDLSRFGDVYELKYNMGPNNPPTSVALRKEFQRKILYQLNPIEDSTLASMLLRPWPATLPSTRFHKVEGLEQARRVYIKTTHDNMVNPQQQDAMIRRWPPDEVLVMETDHSPFFSAPMELFKLVMKASHLRP >Ma05_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8511580:8515371:1 gene:Ma05_g11640 transcript:Ma05_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKCCLLLLVASSLSYIVCSTTVSHDGRALIIDGQRRVIFSGSIHYPRSTPEMWPDLIRKSKEGGLDAIETYVFWNGHEPRRREYNFEGNYDLIRFLEEVQNAGLYAILRIGPYVCAEWNYGGLPVWLYKIPGMQTRTDNQPWKDEMQNFTTLIVDKVKEERLLATQGGPIILLQIENEYGNGDIEIRYGEAGHRYINWCANMAESLVSDVPWIMCQQSDAPQPMINTCNGFSGCDGFTPNNGNSPKIWTENWTGSFKIWGSPHPHRPVEEVAFQVARFFQSNGTVQNYYMYHGGTNFGRTSGGPYIATSYDYDAPLDEYGNIRQPKWGHLKELHASVKLMEKALTYGEVVEDHLGNGLTITKFSGDGIVPGCFLSNQNSTVDATISFQGTNYFLPAWSVSILPDCKKEVYNTAKVKTQTSIMVTKKDNAGDQSKDLRWSWKPERLHDSAKGFGNSFTVNKLLEQKTTTVDASDYLWYTTNVEVSKKESFTLSVSTTGHILYAFVNGRLVGSEYATGGTYNFIFERKVTFKPGKNQISLLSATVGLSNYGAFYDNTPVGIVGGPVKLIGKNNTILDLSESNWSYKIGLDGEVRKLQLDEERWYSGVIPTKRPFTWYKTTFQAPLGSEPVVVDLLGLGKGEAWVNGNSLGRFWPNFTANPDGCKQCDYRGNFQTNKCQTACGEASQRWYHVPRSFLKRGEPNTLTLFEEAGGDPNQVNFQTVTVGTACTSAGEGDALSLSCQGGRTISSVDFATFGEPDGTCGDYESGGCGSDEAVAILKDACLGRESCSIKISDTIGTSCAKLASPRKLVGQVTCS >Ma05_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9968280:9973780:1 gene:Ma05_g13740 transcript:Ma05_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGSAGRSPSERNRMAAELPLVVGLNCLEDPSFEEEALAGTARVEHVGLSALADGRIESAAAVVLHSLSLVPGPAQRRLRPWQLVLCLGSADRAVDSALAADLGLRLVHVDASRAEEVADTVMALFLSLLRRTHLLSRDSSSSASAGWFGSIQPLCRGMRRCRGLVLGIIGRSASARCLATRSLAFKMSVLYFDLHHEGKEKSGRPVIAFPPAARRMDTLNDLLALSDLVSLHCSLSDATLHILNSERLQHIKPGAFIVNSGSTQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFLDGIVPDYAVSDEDETINESAYEDEQAKKQVKEPQQVQDVDQLTGESHLTSGYMNQKVSHHLKESQVSGESKNTGSRTEGRHSGSGKKGKKRPARRRSQQNSDDSSAADSASGYTSGQDDDTAISGRDKILSSNSRFASSEDPRNKQMCIFEQISDLSSGKQVAVSTDDGELLKNGFVVALRAIDRPGYHVSRQRIPGGGWFLDTVSNVSKRDPAAQFLVSFKSKDTLGLQSFAAGGKLLQINRKMEFVFANHSFDVCGSWTLQGSVLEQCKLVNCKNPLAVLEVSIEILAAVAEDGISRWLD >Ma08_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3340510:3341978:1 gene:Ma08_g04820 transcript:Ma08_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFASVLDFSRRRRRWLLLAAAVGVSGYGAYRFYHLPSVSRKRKQIAKFVGAFVSSSDSVSSAAEVLGLVSSDLNRFLRSDADEIPASLRQLAKIARSEEFSGSVSRVSEAVAVGMVRGFSSASNHGEKNESALSSFSDRFFEKLFSPAGSGFASVVVGSFARNLVIGFYSKGEAGGDESVDRADKAAVPEWFRLLSCDDSRKLIADFIQRFVSTAVTVYLEKTMTINAYDQIFSGFTNPKHEAKVKDILVSVCNGAVETLVSTSHRVMTNRGLSTPSVDRAGGVAQEGEWDKNYRDKTSSLDENEANSSAGWVDRVSSTLAVPSNRRLVLDVTGRVTFETVRSFLDFLSWKLCDSARRGVKVAREEVVERAVEVVRYVSAKSMALFTICLTLCLQLCVGTRLLTPV >Ma10_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11508601:11508870:1 gene:Ma10_g03140 transcript:Ma10_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELRKKIDLPGIIMLVDVIGAIDKYDCLDRFVQLRGVPALDDWLQEVRKGKTGDGFSFKESDKVVEDFLVFALCTGKVACKPQRTADL >Ma06_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9325923:9332089:1 gene:Ma06_g13620 transcript:Ma06_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MPGDSSPEPEPDDPDTEFVEMDPSGRYGRYREVLGKGAFKTVYKAFDELEGIEVAWNQVKMTDLLRSADDYDRLCSEVLLLQTLKHKNIIKFYNSWLDDKNNNINFITEVFTSGTLRQYRKKHKHVDVRALKKWSRQILSGLHYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRHAHSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYIECTNAAQIYKKVTSGIKPASLAKVKDPGVRRFIEKCIANVSERLPAWELLLHPFLRLDMDNDSIGSLRPSPGQPDFVGHSNSRTSYDNEEPATTGRDFTVEGQRKDLNTISLKLRIADSTGQFRNIYFPFDIGADTSVSVATEMVAELDLTDQDVTTIAAMIDAEIQAYVPDWMPGYAFDNNSNDDTVSDSNSHASEAVDEVSALPNQSDYPSGGLTLERFPSGRKYWSGSPKATTVDLPSSPARSNSYSKLDDLHGSEDISDEVYNGKGDSFNKDGQRERCPNDSFSAKQQRHSPHFSDSGDNRLDIGLSPLSSNFRNRSSSNRLNQDNEESASCAGSQMCHAPANSEQHKGNDQHVHETLLANESEDVRSVMHKLEHLLIEQQKELDDLEKKHSVAIAEILKELPPEQHSDVLRKCCSKVSVSKMQI >Ma01_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2807886:2809253:-1 gene:Ma01_g04280 transcript:Ma01_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQIPRTEIVLSLRRGFRTQTHTAAKILHAHLLRTHRLPHSDVHPKLLLLLRDPRDVSRWNSLLATLARHGSHSLVLRNFALVNRLGLPSDSYSFCTVLTVASSLKAAGLGRQIHACALRSGWICSIFVCGALIDCYARSLAVEDASQLFDEMAVRNTVCVNSLLGGYVESRLWTEGLHLFRRMRELKLEPDGFTLSAILRICAEAPAISLGLQVHAHLLRRISIINEDVFTLSSLLEMYGKCGLVDTARLVFELAGQAKRRDVVLWTSMLNAYGRNGQFAEVVLTYEIMLTEGIKPDEIALLAVISACNHSGDVIKGLHYFESMYRVHNLVPGPEHYGCVVDMLCRVGNLKMAWKFTNEMILETEGDGDSNMGVSVWGALLSACRDSGNVEIGNFAAKRALELDPNNSGVYVEWSNLCARVGLWNEIGELRQLMKVKGLRKDTGCSRLEFLNR >Ma03_p03900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2549427:2551488:-1 gene:Ma03_g03900 transcript:Ma03_t03900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDNMFFGSPLEKFYNGLMGGLYSPQVTHLREGLQFLQRSEYYHTLRSYHKNMAQTFMEMKKIWGRCRSNISVEERILTWNSSKGHKPVFVVDLNVFPDEDTLKMVERNEKTLPFSKKAKYINENCDPSFPLNGLLCNTKRKPMGVLKLKPSVSGLVQNQTLQPLPDKPGEPTKQLPKAKGVLKIKPKYDSLNPKKPRTESEQISANIWGVHAPRASGPQFVFKKDGLDFTEKLPILHQLDRDGIAYGNQEAVQKKELLYAGAETFMDSEIFPRKLKIITDVRQDAVGKCKEQFPLIANQNLRIFPREADLIGEYRNDKKILNNTNTQQNRSIYESSADPKIETFPLTFEKHREKRLNAICEAGLRTADNCTDKHDILTKPSDHLEDGSKDDATNVTHSGAEKCLLSPPITYKRKKPYRKINQVDSLKQQPDIVNFEPAAPSGIVKPKPMAIKIKFRGLTGYNT >Ma03_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2549427:2552871:-1 gene:Ma03_g03900 transcript:Ma03_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKIRPQGPGNSHHLQQSFVHEEKLFSDRIFGDDHDEHEAAEVGCEYFMIGGQMCSIPYELYDLPDLNGILSLETWNYHLTEDERFSLVAFLPDMDQETFWLTIHELLTGDNMFFGSPLEKFYNGLMGGLYSPQVTHLREGLQFLQRSEYYHTLRSYHKNMAQTFMEMKKIWGRCRSNISVEERILTWNSSKGHKPVFVVDLNVFPDEDTLKMVERNEKTLPFSKKAKYINENCDPSFPLNGLLCNTKRKPMGVLKLKPSVSGLVQNQTLQPLPDKPGEPTKQLPKAKGVLKIKPKYDSLNPKKPRTESEQISANIWGVHAPRASGPQFVFKKDGLDFTEKLPILHQLDRDGIAYGNQEAVQKKELLYAGAETFMDSEIFPRKLKIITDVRQDAVGKCKEQFPLIANQNLRIFPREADLIGEYRNDKKILNNTNTQQNRSIYESSADPKIETFPLTFEKHREKRLNAICEAGLRTADNCTDKHDILTKPSDHLEDGSKDDATNVTHSGAEKCLLSPPITYKRKKPYRKINQVDSLKQQPDIVNFEPAAPSGIVKPKPMAIKIKFRGLTGYNT >Ma01_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3981192:3986067:-1 gene:Ma01_g05640 transcript:Ma01_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRPPIPPNSSATKSNPRPNISPALYAIPESTPLPDSPSSFPPASPYIINHKRRGPRLLNGGSRLQPPPPPQVVQKAEAVNGNGVEVGVNGSHGNEFDGKGKPGASHGGQGEPDSVTVELHEEKLKDAHVESGVVGSEELAKPCPVDLEGDMETEDFFDPQDSLSTSSNPELDRSNGSVCWRPGTPSGEYFDAFEEISSDGTSQSYRIIEDELRETRLNLLMEIEKRKQTEEAVENLQNQWKMLSHHLSLVGLKFSAPTFTIEVDKQSNVDPAELCQHIVVSRFVADAIGRACSRAEVEMETEPLIESKNFEIARLRDRLQYYEAANREMSQRNQEAVELARQHRNRRKRRQKWFWCTVGLLVTVGATAVAWSYLPVSRSSTTEGSATESHEK >Ma07_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5362838:5386242:-1 gene:Ma07_g07330 transcript:Ma07_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRLKCRIQKWDSLRLEFWNLSRLSRRGVSQSSYSRCSPQIDTDPPLRDSLVRKFCSPAISPWRQDIFSSRYSPSISYNCCRFYSSEGDGSSASGGKHVPVKGATNFDKESTSKEDTFSDSNRCNEHAWLGEQDQLDWLNCEKLSIDSKRKECPFLTKRERFKNEFMRRVVPWDKIKVSWDKFPYHIREHTKNLLVECAASHLKHKNFTSSYGARLTSSSGRILLQGFPGTELCRERIVRAVARDLQVPLLVLDSNVLAPYDFGQECASESDTDDGHVESGEECTSESEAEDGNDLSNEEWTSSNESKSGESDDDVDVRASAEALKKLVPCSLEEFAKRVAGEVESSSTSNEPDATASPEQSKRPFKKGDRVKYLGASVHIEVDNRSLSTGQRGEVYEVNGDQVAVILDNTGNKVEEENSETTKEQDAKPSIYWIDIQDIVHDLDTQAEDWYIAMEALFEILPSLQPVIIYFPDCSQWLSRAVPKSNRKEFINEVMEMFDQISGPVVLICGQSIVESGSKEKERLTMLLPGLGRVARLPLPLKRITEGLGASKSSKEKDICKLFSNVIFIHPPEEEEQIRIFNKQIEEDRRIIISRNNLIELHKVLGENEMLCMDLLHVKTDGVILTKQKAEKVVGWAKNHYLSSSLLPSIKGDRLMIPSESLDIAIARLKEEESIFKKSSQILATITKDEFEKNFVSALIPPDEIGVKFDDIGALEDVKRTLNELVSLPMRRPELFSRGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGTNFINITSSSLTSKWFGDAEKLTKALFSFASRLAPVIIFIDEVDSLLGARGGAFEHEATRRIRNEFMAAWDGMKTKDSQRILVLAATNRPFDLDDAVIRRLPRRIYVGLPDAENRMKILRVLLSQENLEPGFRFNELAIATEGYSGSDLKNLCIAAAYRPVEEFLEEERERGRSDTMPSLRPLKLDDFIQAKSKVGASVAYDATSMNELRQWNDQYGEGGSRTRSTFGFGNASHRR >Ma07_p07330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5362838:5386236:-1 gene:Ma07_g07330 transcript:Ma07_t07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRLKCRIQKWDSLRLEFWNLSRLSRRGVSQSSYSRCSPQIDTDPPLRDSLVRKFCSPAISPWRQDIFSSRYSPSISYNCCRFYSSEGDGSSASGGKHVPVKGATNFDKESTSKEDTFSDSNRCNEHAWLGEQDQLDWLNCEKLSIDSKRKECPFLTKRERFKNEFMRRVVPWDKIKVSWDKFPYHIREHTKNLLVECAASHLKHKNFTSSYGARLTSSSGRILLQGFPGTELCRERIVRAVARDLQVPLLVLDSNVLAPYDFGQECASESDTDDGHVESGEECTSESEAEDGNDLSNEEWTSSNESKSGESDDDVDVRASAEALKKLVPCSLEEFAKRVAGEVESSSTSNEPDATASPEQSKRPFKKGDRVKYLGASVHIEVDNRIILGKIPTSDGSTNAFTFVSGRSLSTGQRGEVYEVNGDQVAVILDNTGNKVEEENSETTKEQDAKPSIYWIDIQDIVHDLDTQAEDWYIAMEALFEILPSLQPVIIYFPDCSQWLSRAVPKSNRKEFINEVMEMFDQISGPVVLICGQSIVESGSKEKERLTMLLPGLGRVARLPLPLKRITEGLGASKSSKEKDICKLFSNVIFIHPPEEEEQIRIFNKQIEEDRRIIISRNNLIELHKVLGENEMLCMDLLHVKTDGVILTKQKAEKVVGWAKNHYLSSSLLPSIKGDRLMIPSESLDIAIARLKEEESIFKKSSQILATITKDEFEKNFVSALIPPDEIGVKFDDIGALEDVKRTLNELVSLPMRRPELFSRGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGTNFINITSSSLTSKWFGDAEKLTKALFSFASRLAPVIIFIDEVDSLLGARGGAFEHEATRRIRNEFMAAWDGMKTKDSQRILVLAATNRPFDLDDAVIRRLPRRIYVGLPDAENRMKILRVLLSQENLEPGFRFNELAIATEGYSGSDLKNLCIAAAYRPVEEFLEEERERGRSDTMPSLRPLKLDDFIQAKSKVGASVAYDATSMNELRQWNDQYGEGGSRTRSTFGFGNASHRR >Ma07_p07330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5362838:5386251:-1 gene:Ma07_g07330 transcript:Ma07_t07330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRLKCRIQKWDSLRLEFWNLSRLSRRGVSQSSYSRCSPQIDTDPPLRDSLVRKFCSPAISPWRQDIFSSRYSPSISYNCCRFYSSEGDGSSASGGKHVPVKGATNFDKESTSKEDTFSDSNRCNEHAWLGEQDQLDWLNCEKLSIDSKRKECPFLTKRERFKNEFMRRVVPWDKIKVSWDKFPYHIREHTKNLLVECAASHLKHKNFTSSYGARLTSSSGRILLQGFPGTELCRERIVRAVARDLQVPLLVLDSNVLAPYDFGQECASESDTDDGHVESGEECTSESEAEDGNDLSNEEWTSSNESKSGESDDDVDVRASAEALKKLVPCSLEEFAKRVAGEVESSSTSNEPDATASPEQSKRPFKKGDRVKYLGASVHIEVDNRIILGKIPTSDGSTNAFTFVSGRSLSTGQRGEVYEVNGDQVAVILDNTGNKVEEENSETTKEQDAKPSIYWIDIQDIVHDLDTQAEDWYIAMEALFEILPSLQPVIIYFPDCSQWLSRAVPKSNRKEFINEVMEMFDQISGPVVLICGQSIVESGSKEKERLTMLLPGLGRVARLPLPLKRITEGLGASKSSKEKDICKLFSNVIFIHPPEEEEQIRIFNKQIEEDRRIIISRNNLIELHKVLGENEMLCMDLLHVKTDGVILTKQKAEKVVGWAKNHYLSSSLLPSIKGDRLMIPSESLDIAIARLKEEESIFKKSSQILATITKDEFEKNFVSALIPPDEIGVKFDDIGALEDVKRTLNELVSLPMRRPELFSRGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGTNFINITSSSLTSKWFGDAEKLTKALFSFASRLAPVIIFIDEVDSLLGARGGAFEHEATRRIRNEFMAAWDGMKTKDSQRILVLAATNRPFDLDDAVIRRLPRRIYVGLPDAENRMKILRVLLSQENLEPGFRFNELAIATEGYSGSDLKNLCIAAAYRPVEEFLEEERERGRSDTMPSLRPLKLDDFIQAKSKVGASVAYDATSMNELRQWNDQYGEGGSRTRSTFGFGNASHRR >Ma09_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3319553:3324639:-1 gene:Ma09_g05150 transcript:Ma09_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKADRKAALDVAAWTFNIVTSVGIIMVNKALLATHRFTFATTLTGLHFATTTLLTIIFRWLGYIQPSHLPLSNLIKFVLFANLSIVGMNISLMWNSVGFYQIAKLSMIPVSCLLEVVFDKIHYSRATKLSIVVVLAGVAICTVTDVSVNARGLIAATIAVWSTALQQYYVHFLQKKYSLGSFNLLGHTAPVQAASLLILGPFVDYWLTNKRVDKFDYSVTAVFFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFFLFGREGLNLHVISGMILAVLGMIWYGNASSKPGGKERRTYSLPVDKSQKHGLLSETGDRDDEV >Ma10_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33284674:33288677:-1 gene:Ma10_g24900 transcript:Ma10_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEADEGTNHPRRRPRFLCLHGFRTSGAIMRTQVVGKWPEEVTARLDLVFPDAPFPAEGKSDVEGIFSPPYYEWFQFDKDFMEYTNLDECFAYIEDLMIQHGPFDGLMGFSQGAILSAALVGLQSKGIALTRVPKVKYLIIIGGAKFQSQPVAERAYAAAIDCTSLHFLGDMDFLKKHGEALLESFVKPYVIRHPRGHTVPRLDDKSLETMHDFLQKIENDLPSDETSNDKHEEVYMS >Ma02_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26218747:26249784:-1 gene:Ma02_g20190 transcript:Ma02_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSDGELMRSDAKPCTRLMRQTAGIFSVGGALAFWVLCRLHYGPRITNPRSLRWASCGAISVSSTSALLVRLFSPECEPQNIAAFDKPK >Ma02_p20190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26218747:26221097:-1 gene:Ma02_g20190 transcript:Ma02_t20190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSDGELMRSDAKPCTRLMRQTAGIFSVGGALAFWVLCRLHYGPRITNPRSLRWASCGAISVSSTSALLVRLFSPECEPQNIAAFDKPK >Ma02_p20190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26218747:26221097:-1 gene:Ma02_g20190 transcript:Ma02_t20190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSDGELMRSDAKPCTRLMRQTAGIFSVGGALAFWVLCRLHYGPRITNPRSLRWASCGAISVSSTSALLVRLFSPECEPQNIAAFDKPK >Ma08_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6192682:6215466:1 gene:Ma08_g08750 transcript:Ma08_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACGYLCCFCPSLRTRSRQPVKRYKKLLTDAFPRSPDGEPNDRMIGKLCEYASRNPARIPKMQLIVPLCYILRQVDSTYMFNVEGLMPKLCQLAQEVGEDDRGLHVRSAALQALASMVLFMGEYSHISMNFDDIVLVILDNYEVHQMSSGNSKQDFQCTQDQNHLEEVGRVKDDVSSFQDSWKKVLSVPQITTIEVDAAVDLSRSPTYWSKVCLQNMAKLAKEATTVRRVLEPLFRKLDSGKYWSSEEGIACSILSEMQLLMESAGQNSDMLISTLIKHLDHKNIGKQLIIQINIINVARHLMQQAKFQASLSILASINDLIRHLRKCLQYSIESSNMGDDDGEKWNSVLHFALEECLIQLANKVGDTGPIIDIMAVLLENIPTTATIARAIISSVYRTVQLVASIPNLSYQKKAFPEALFHQLLLAMTHPDHEIRVGSHRVFSAILVPTSVCPWSIPFIPPAFNGSDPEGTLLVALSGFASSGAIMEKLTCKNSFENVSLANTKELDDAMGNSMDERWQKFSGNLKQYLVHPSPNGKNLYSVTNGKFVSRSGEEELILMRLSSHQVGLLLSTVWVQATSPENSPANYEALAHTYSLAFLFSQAKNSSHVALVRCFQLAFSLRSVALKHENYLQPSRRRSLYTLASYMLIFSAKASDLPEIISSVKFMDGMVDPHLNFSEDSTLHATYKGSCSYLYGSKEDDIAAMEFLEKVERDDKQLKESVISHLMKKYEKLPEEKLISVREQLLHKFSPDDALPLGPPSFMETPYPCSLLAQKGCDEVMTPTFLEDGETFPEAFRSHSDQKMSESVNNFDVLSVNQLIESVIETARQVASLPTSTIPVPYDQMKSQCEALVIGKQQKMSVLQNLKHQQEDWIVLPEENIVDLVDMQKILHYPEEGLESVEKELIQRSNSVLGESEQSFRLPSSSPFDKFLKAAGC >Ma09_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11787911:11798606:-1 gene:Ma09_g16410 transcript:Ma09_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCFPCFGSASQREEEEEEVKKRNEGKGGGGFKGASLSHHGGSDKLRSRTGSDSKKEASTPKESNAGHIAAQTFTFRELAAATKNFRQECLLGEGGFGRVYKGRLENGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPADKEPLDWNIRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTRPSGEQNLVAWARPLFKDRRKFPNMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAASVQRTRFGSSTPRSRSGSDNQQAVHSPHQNSPDLRQRDPFKAGGKGAKVGRGGSAGGSGRKWGLDDLETQESQMDSPVHVGVAEGSPKTVDPNLVREHAVAEAKLWGENWRERQPRNTPGNYDSTHE >Ma04_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5511347:5514150:-1 gene:Ma04_g07610 transcript:Ma04_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFLFLHSLRTFESTLRVLTSSALILSFLFSERPAASATGMTSDTDDLPALLSIKAHLHPPASLSSWDSHNSSTAFCQWPGVTCGNSQNPGRVTSLDLANLGLTGSISPDIGNLTFLRSLNLSLNSLQGQLPPELGRLSHLESLLLSRNALEGRIPGTLANCSSLWRISLGSNRLAGEIPAALGALPKLQILSLHYNDIGGRIPASLGNLSSMTHIDLVGNRVTGTIPPSLGRLQSLVHISVTGNSLTGAIPANIFNMSSLCYLYVGYNQLSGTLPPDMGNTLVNLEVLQAFGNTLEGPLPISLPNASRLTEIVLPYNRLSGPLPRDIGRLRYLSSLSLRDNRLEAKKAEDWEFLASLANCSNLRTLDLGYNKLEGTLPAAIANLSTQLKWLGLGGNEIHGSIPAGIGSFTHLHRLYLDQMALAGDIPAAIGKLQNLHILSLNGNRLSGVLPSTVGNLTQLERLYLNDNSLQGEIPKSFHNLQRLTVCDLSFNELEGSIPKELAELSSLTRYLNLSHNLLTGPLPSEFGSLKNLQVLDISDNRLSGEIPSTLGECQVLQYLYLQRNHLQGTIPDSLSSLGGIQVLDLSCNYLSGPIPLTFERLQHMKFLNLSLNDLQGQVPDQGGFKNANLYSVAGNDKLCGGIQELHLQPCSRLVPGNKCGSPAVRSPISFVFIVSLLVLSLGKASYLVRKQRTRIYMPAGPSKRQTSILEVRPGGRSM >Ma07_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2125831:2126925:-1 gene:Ma07_g02680 transcript:Ma07_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRAARPRRRRRRPPPPPPRPGATAGQIRKAAVRGGAARKRGVDLNEGDRLVGGRDPLANPIPSRRFSARLLAKKRLAVFVERPEVAADYIVTEKKDGRESSSLRRDSPDEKGNSMIDSRKKTGAPTLASALTAQNKHQV >Ma03_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19439545:19440674:1 gene:Ma03_g16750 transcript:Ma03_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAKLTSSMLTSCDDAENIVFHIITDKKTYTSMHTWFALHSVFPAILEVRGLHQSNIPPDEDAVIMDTVEELHQSSYAYRYYRGVAEEYRRLSALKPSTFSLVNYMRIHLPELERVIFLDGDVVVQRDLTSLWHLDLHGLVMGAVSSQECNHGLCIGKTFNDYMNVSNPLISSSSLDLQRTQVCMVGGHEHFRSASMEKEQHRQDLPALA >Ma06_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10233065:10257790:-1 gene:Ma06_g15040 transcript:Ma06_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSALVLTTTFLLPLNHRPTFLAIPSHLSSSDGHGRSRAGPQGKWKCCSTPKDKSASTQQRQRKPPRRSLSGKSEPDVDPIGFLSKFAISHRGFAQFLRERYKALKDRRFELYSRFIDLKESASGYEILGMHRHRQHRVDYMEWAPGARYCSLVGDFNGWSATENSARDGHLGHDDFGYWFIILEDKLRDGEEPDEYFFQEYNYMDDYDKGDSNINVEELLRRINDEYWEPGEVWPRKSRMEMVSKLYEQMFGPNSPQTDEELGEILDAETRYKQWKEVSKFDHVNDRPRFDVIDDGKEFDIFSVKGDPVSAEKFKSKKPPLAYWIEMRKGRKAWLKKYMPAISHGSRYKVYFNTPDGALERVPAWATYVLPDGKEAYAVYWEPPPEDMYKWKYKRPKTPKSLRIYECHVGISGSEPKISSFNEFTSKVLPHVKNAGYNAIQLFGVVEHWDYSSVGYKVTNFFAVSSRFGTPDDFKQLVDEAHGLGILVFLDVVHSYASADESAGLSLFDGSNDCYFHTGKRGHHKYWGTRMFKYGDVDVLHFLLSNLKWWVAEYQVDGFQFHSLPSMMYTHNGFATFTGDIEEYCNQYVDKDALLYLILANEMLHELYPDIITIAEDATFYPGLCEPTTQGGLGFDYWINFSVSELWLWLLENVPDQDWSMNKIVSVLLTNQQSHHKMLLYAENHNQSISGGRSFAEILFSKADEQIIESDNVLFRGASLHKMIKLLTFTMSGSAYLNFMGNEFAHPNRVEFPVPSNKFSFALANRQWDLLMDEVHRQLFNFDKDMMRIDEDENILSSGPPKVHHINDSNMVISYNRGPLLFLFNFHPTICYEAYCVGVEEAGEYQLILNTDEVSYGGLGMLKRNLYLQRTSTKRTDGHRNSLEVSLPRRSAQVYKLTRILTT >Ma06_p15040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10233065:10257790:-1 gene:Ma06_g15040 transcript:Ma06_t15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSALVLTTTFLLPLNHRPTFLAIPSHLSSSDGHGRSRAGPQGKWKCCSTPKDKSASTQQRQRKPPRRSLSGKSEPDVDPIGFLSKFAISHRGFAQFLRERYKALKDRRFELYSRFIDLKESASGYEILGMHRHRQHRVDYMEWAPGARYCSLVGDFNGWSATENSARDGHLGHDDFGYWFIILEDKLRDGEEPDEYFFQEYNYMDDYDKGDSNINVEELLRRINDEYWEPGEVWPRKSRMEMVSKLYEQMFGPNSPQTDEELGEILDAETRYKQWKEVSKFDHVNDRPRFDVIDDGKEFDIFSVKGDPVSAEKFKSKKPPLAYWIEMRKGRKAWLKKYMPAISHGSRYKVYFNTPDGALERVPAWATYVLPEADGKEAYAVYWEPPPEDMYKWKYKRPKTPKSLRIYECHVGISGSEPKISSFNEFTSKVLPHVKNAGYNAIQLFGVVEHWDYSSVGYKVTNFFAVSSRFGTPDDFKQLVDEAHGLGILVFLDVVHSYASADESAGLSLFDGSNDCYFHTGKRGHHKYWGTRMFKYGDVDVLHFLLSNLKWWVAEYQVDGFQFHSLPSMMYTHNGFATFTGDIEEYCNQYVDKDALLYLILANEMLHELYPDIITIAEDATFYPGLCEPTTQGGLGFDYWINFSVSELWLWLLENVPDQDWSMNKIVSVLLTNQQSHHKMLLYAENHNQSISGGRSFAEILFSKADEQIIESDNVLFRGASLHKMIKLLTFTMSGSAYLNFMGNEFAHPNRVEFPVPSNKFSFALANRQWDLLMDEVHRQLFNFDKDMMRIDEDENILSSGPPKVHHINDSNMVISYNRGPLLFLFNFHPTICYEAYCVGVEEAGEYQLILNTDEVSYGGLGMLKRNLYLQRTSTKRTDGHRNSLEVSLPRRSAQVYKLTRILTT >Ma11_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4019840:4020178:-1 gene:Ma11_g05250 transcript:Ma11_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVYLMLLVKLSRFLVRRTGSKFDAVITEEAFHEQDQ >Ma08_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:519043:527649:1 gene:Ma08_g00530 transcript:Ma08_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFKRQIDRESRWSPNGSKDSANGDGIQAIGRSSHKMIETVMQSSAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKQWSRPSGSIHSSEKGHFEHGSGLLSRWFSSHYHGGVHDENSVARHTVNLLTSTIKIDADQSDLRFCFRIISPSKTYTLQAESAMDQMDWIEKITGVIASLLSSQSPEQQLLTSPTGRGHHHAASESSSFSNSSDADHLAIDELSLEKNFASGNFGRFGRSSQHHKVNSKQEKPIDVLRKVHGNDVCAECGAPEPDWASLNLGVLLCIECSGVHRNLGVHISKVRSLTLDVRAWEPSVINLFQSLGNTFANSIWEELLSSISNGKCDDTSSILLDKNQEHMCISKPKHSDPISVKEKFIHAKYAEKDFVCRREVDQLSVAQHMWESVSANDKKSVYHHIVTSSVDINITYGQTFTNSGDSWHKPYTTSSLSSASTNEDRNELDECFEGFSLLHLACWTADIGMIELLLQYGANLNSTDSRRRTPLHQCILKGRHLFAKLLISRGADPHATDEDGKSPIQYAIESGDIDYEDIIFLS >Ma04_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21749378:21751557:-1 gene:Ma04_g19170 transcript:Ma04_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKATKTSLNPHLQKKKVVMLPREEGRKVEMATKSQFTLRLWGLKNRFNSILTMSTALIIVLTIFITMRNGDQAEILAMEAKESSAGTCDLFSGQWVYDNTTYPLYSESGCKFMSDQSACEKFGRRDLKYQNWRWQPHGCNLPRFNVTKLLERLRDRRLVFVGDSLNRNQWISMVCLLGASLPDSHKIMVSNGSLMSFKAKEHNASIDFYWAPLLVESNSDDPVHHRIPDRVARAQSIEKHARHWTNADVLVFNTYLWWRRPTMNILWGSFEDEHGIHKEIDSLRGYELALNTWSDWLEFHVDHLKTKLFFMSMSPTHSSGEEWGRPSGQNCYHETEPIAEEGYRGKDTNENMMRVVEKVIKSLRGRGVNVQMLNITQLSEYRKDGHPSIYRKQWEPLTKEQQDNPGSYADCIHWCLPGVPDVWNQLLYAYLFPE >Ma06_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11965144:11965779:1 gene:Ma06_g17650 transcript:Ma06_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSRRWLTVCGCTPGKPEREREREREREREV >Ma10_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26272849:26277750:1 gene:Ma10_g13500 transcript:Ma10_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALMKIVRPCWKPAPEEGRGRGGGSPARADGLLWYKDLGRHAVGEFSMAVAQANNLLEDGSQIESGPLSWSEEAPGPHGTFVGVYDGHGGPEASRYINERLFFHLKKFASEQQDMSVDVIKKAFSATEEGFISFVRKQWFTKPQIASVGSCCLVGVVSGGILYVANLGDSRAVLGRFDGGFREVTAVQMCPEHNASFESVREELHSLHPNDPQIVVLKHKVWRVKGLIQVSRSIGDAYLKDAEFNREPLLSKFRLPEPFQKPILSAEPSIVTHKLCPEDQFIIFASDGLWEHLSNQEAVDMIQNYPRNGIARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDPALISRNFYHGPVLSLKGAGVPV >Ma10_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24626614:24628761:1 gene:Ma10_g10970 transcript:Ma10_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWTAAGKGLLIAFLLAFTAAISPSASAASTKKAKGSDSPPLMLQLPIDTGRRDPSSVVFPIYGDVYPHGLYYVAMSIGDPPKPYFLDIDTGSDLTWLQCDAPCVRCSKGPHPWYRPTRNKLVPCRDPLCAALHVGTIHDENCDQCDYQIQYEDRGSSLGVLISDAFNLRLINTTVVRPVFAFGCGYDQQFAIQNAPTPTDGLLGLGTGKISVLSQLSDQGVTKNVMGHCLGGKGGGYLFFGDDFVPTSRMTWAPMSRSRNYYSPGPANLYWGTRSLGVNQMEVVFDTGSTFTYFGFQPYQAFLSAVKSDLSKKPLKEEFDDPTLPVCWKGPKAFKSVKDVKKYFKTLALNFVNGNRALLEVPPENYLIITKHGNACLGILNGTEAGLNKDLNIIGDISMQDRMVVYDNERQHIGWVQIGCDRLPKPGASSR >Ma09_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4089486:4089891:-1 gene:Ma09_g06390 transcript:Ma09_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPQFSASAHFSPSLLAVPPPPTNHCSSRRLLTNIDRRRLIVELSRRRQLVQGVDIGNRRCGSPFLPPTECLARTLETPLEPPRSDVSRLDVPPDGSISISFASSSLS >Ma08_p14310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12090883:12091153:-1 gene:Ma08_g14310 transcript:Ma08_t14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTTAVLKTAVRREMAVKICSDAAVAAAAGREATTTAKEEDAAAVVKQGRLSEEDTC >Ma07_p27330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33769039:33776037:1 gene:Ma07_g27330 transcript:Ma07_t27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIVPPSSDAHVSLPMESRSMSGEIHVILGPMFAGKTTALLRRIQIEMNNGRSVAMIKSDKDHRYGLDSVVTHDGVKMPCFAVSELLSFRDKLGAEAYNKLDVIGIDEAQFFEDLYDFCCNAADCDGKTVVVAGLDGDYLRKRFGSVLDVVPLADSVMKLTARCEICDNTMWTEVVDKASRTASTILMSSTGVEPSPTTVTLNMSSAMDKTICSDTLSSQRHTSDVSAYDGRNPEDFQFKPQVGASYSLGLSSLRPMSEIGNNMTMFSGGHSEQVSAGLIKKEHEPSMQSQNQSQGQNLVASENESILSESVPNSAIEGTNLRVYLPAEAASEDGYNWRKYGHKHVKGSEYPRSYYKCTHPTCEMKKQMERSDGLVTGIIYKGHHDHPKPQSSCRLAAGTMLSCHEEEKTDKLSSLMSVEDESTNAPDHTYHQIDPNSITESP >Ma07_p29160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:35002048:35011966:-1 gene:Ma07_g29160 transcript:Ma07_t29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLKKITTGAMLSHSVALEEFREGIIRCFRVMLLNLQPCSVSSCSCKQRVIMPTSKSIDGAFVHHNTLSRDCVESLECLLAFLQSQNASAAVGHWLSLLLQAAELEALRGHCGSANLRKEAFLTLRVLVAKVGTVDALAFFLLGVVSRFAKALYVSKNMISGAAGSSVAIEQPICGLTEFLIIVLDDKANLHSLEMPVNDIGSLSPMENKSTQSVLEALRSLPLNGHVQSANMIGDSFNQAINDDHKRKIVDHSNGKRNLFVHRSKEWIDETSSNVDKLMSAAFPHLCIHSAEKVRKALVDGIQGLLLNCRCTLQRSKLMLLVSILSQYSFLPLNWFGQLPQMRAVSSELEVLGRHQHPNLTIPFLKAVGEIAKASQTEASSLPDQTEIFSAHVSSKILMMQKWINENHVDSSSFSMCTKSQLSLVLLNDWHMTMKSAKLCFIEFFFQEIGSVNFDDVSLHLEYWEELLFKFNEMKRYRRIVGSLVGSCLKAASPLVSSQKESACLVSLDIDTLGHGYNPSMGHAAWFLDVSVSLAKVEEAYKHEKQTKAAIWEAVQLLSLNDLKDGMEAADDEADENRVLPAMNIIWPYLILCLKNKVSVV >Ma11_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16522:18884:1 gene:Ma11_g00040 transcript:Ma11_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCASTSPTISIPHPLLSPLKPPATFLCHSSKTSAVTASSPTADQTPSSSSLSDQLLPLSLTLLTSQPTSPSATVAPPPRLPAKPTWTNPSKPRPTVLALRRQPRPPFSHNPSLRPLTALSRTLRLSPDLPSALSAAFPPGHSPSRDDALLLLNSLRSWQKSLQFLDFLRSLPDFPLDTIFYNVVLKSLRAGHQWHHVERLAGEMIDASVPLDNITYSTIITAAKRCRRFDGALRWFERMYRTGLMPDEVTYSAVLDVYARLGHREEVVTLYERACASGWRPDAVAFSVLGKMFGEAGDYDGIRYVLKEMKDLGVKPNAVVYNTLIQATGKAGKPGLARSLFEEMVAAGLSPNEKTLTSLIKIYGKARWSRDALELWERMKSNRWPMDFILYNTLLSMCADLGLEEEAEKLFEDMRRPDRYARPDSWSYTAMINIYGSGGKPDRAVKMFEEMLEKGVELNVMSCTCLIQCLGKARRIGDAVRVFETATERGIRPDDRLCGCLLSVAAVCEEGGETDMVLGCLKKANNRLVGLLKMLRDEQVGFGEIKDEFRGIMNEAAVEVRRPFCNCLIDICRNQGHPSRRANELLHLGNLYGLYPGLHARKSEEWSLDLRSLSVGAAKTAFEEWMKSLWDSVVEEEEEEVLPFSFSVYTGSGTHKFSQGLASSFTSHLNEVAAPFRQVEDKCGSFVASKEDLVSWLRATFSPAAVVNA >Ma03_p02600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1755547:1762053:-1 gene:Ma03_g02600 transcript:Ma03_t02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEMDLVHLVKDNQAEDVLCSEMEIDENDVLSCMNDHHNVGSEKGEGSTVVFSREAPLLTKDALISKDYGCGSKKIRSRASVLMEESEVRSKDKWKQEKKLSRQDRIELGRLFQRVVSSQDSELAENLIQLADPQTLNDMLCIALDSIWFLTNRQELNIITGLIKKIVANGANEFTRAALRTSFLASCVSACQSRTMSLADTVGIMAQRLHERLQECHSDEVLKAEAGAKVQKFTEWALKCIGIHCRCQENKGRRNHSTIVEVQLQLSAFKTFLDIAGNHLSGKDFTEAFDAACFPLTLFSSSFEPGWASGTSATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYSKIGTMECLVEEGNAGAFLGPLMRAAERGCMQVVEWFVTRGCKDMELCLALTAAASSSQVGIAAYLLPHIPQHVLAALSIEILKAAGERSSGSLDGVVFLLRSDFLGDPAATYAVADSMARSSDESVASDLRAFLKEHWSEAAFAEGLRFGQDHFVNIMRIFRRGSSPIHLKDLPQPLVTAIAYLPLYRECLEAGGQLLPQKLRGQLVEAAHRVSGRPVSKNSQTRELMAILEHHLPTFFLQAPTVSRAAYR >Ma03_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1755547:1762195:-1 gene:Ma03_g02600 transcript:Ma03_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEMDLVHLVKDNQAEDVLCSEMEIDENDVLSCMNDHHNVGSEKGEGSTVVFSREAPLLTKDALISKDYGCGSKKIRSRASVLMEESEVRSKDKWKQEKKLSRQDRIELGRLFQRVVSSQDSELAENLIQLADPQTLNDMLCIALDSIWFLTNRQELNIITGLIKKIVANGANEFTRAALRTSFLASCVSACQSRTMSLADTVGIMAQRLHERLQECHSDEVLKAEAGAKVQKFTEWALKCIGIHCRCQENKGRRNHSTIVEVQLQLSAFKTFLDIAGNHLSGKDFTEAFDAACFPLTLFSSSFEPGWASGTSATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYSKIGTMECLVEEGNAGAFLGPLMRAAERGCMQVVEWFVTRGCKDMELCLALTAAASSSQVGIAAYLLPHIPQHVLAALSIEILKAAGERSSGSLDGVVFLLRSDFLGDPAATYAVADSMARSSDESVASDLRAFLKEHWSEAAFAEGLRFGQDHFVNIMRIFRRGSSPIHLKDLPQPLVTAIAYLPLYRECLEAGGQLLPQKLRGQLVEAAHRVSGRPVSKNSQTRELMAILEHHLPTFFLQAPTVSRAAYR >Ma07_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15144981:15145884:1 gene:Ma07_g16660 transcript:Ma07_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIPTSNTLDVATCGRAPRNHTCQTSRWSSLHATTVYEASLSSPPSSPASPPTTQNKDGSKEWPPFRSAGGHSRCRSSEARLFLLVTPEREGPFSSSSIPPPRRSRNPGV >Ma06_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19557419:19557685:1 gene:Ma06_g22810 transcript:Ma06_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPKVQEIVSGILRGDVKELLLLDVTPLSLGIETLWRDLYAAYQPKHNYSNQQESGLLDCS >Ma03_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2450297:2452775:-1 gene:Ma03_g03670 transcript:Ma03_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYTNFSRGFYNHYPFTSTPPPPPVATQSYSFITHSYTSSFLPRHPPSPPLREALPLLSLSPTRHREEEEEEEEEEEEDTSCSASAEGAKNKAARIEDEADDEVDAVTVALHIGLPSPGAVDLISRLPSTSEDHSAEKETEGGDDVVPIGYPSNPIGRLNKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVKGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGRGHAAYGINCLEEEDDPSSEIEQDCHQPHEQR >Ma05_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6696817:6697040:1 gene:Ma05_g09190 transcript:Ma05_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKHKGLRKHQENVHPLKASISKRLICDSFLNIVT >Ma04_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10876818:10879667:-1 gene:Ma04_g14370 transcript:Ma04_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLSNQFNWHDKAMMFEHYHWKKAMKKNEPYQFKWNQDMTKPLRDSYYYNWPVYFT >Ma09_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4364205:4368522:-1 gene:Ma09_g06840 transcript:Ma09_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQESHSIFVKEDGGSLFWNADTAPTTPLSPVNSERMEILVHSNSTPLHLQTRVNTWSSPISHSSEADEELSPTLQVHVNCQNEPHCTRFSSPYSMLSPVQSPPVDIKLNFKASPSCPRSNLDIQRSCFSPFLFVGASPSAPIEPQENGQTRLLPVHANETEFVEQDENGRLWWTEVDELHDERNSMGSHGAASDLTQPMGGRSPRLHLSTEPSLLPQIRFALETNGSNLQGEITVEEIEKAIMSSALMNKISLSHEEAEECAQLIVEELDAGHGAFESLQQEDNLAKTSAHTGWSPSRPTSSSGGLTMSRIDLFLRTHWRRAWVVLLWLAACMALFAWKFVQYRHRLAFDVMGYCLCTAKGAAETLKLNMALVLLPVCRNTMTWLRRSRRINSVVPFNDTINFHKLVAGGIVIGIILHGGTHLTCDFPRIANADRLVFRQTIAYCFQYRQPSYVQIVLTTEGATGIAMVVLMIVAFLLATRPSRRSPASLPRPIGRWAGFNAFWYSHHLLILVYVLLVIHSMFLFLTHDVTEKTTWMYIAIPVLIYAGERMFRTIRSEIYNVEVVKATIYPGKVLSLKLMKPAGFTFRSGMHIYVQCPEISRFEWHPFSLTSAPGDDHLGLHIRSLGDWSCQIYSLFQEALLSGKPDLPHVSIDGPYGAASQDHAKYKIILLVGLGIGATPFISILKDIANGLRRPPPPEDNVGDANGRRTGSGPEKAYFYWVTREQGSFEWFRDIMKEVSVLNKKQGVIEMHNYLTSVFEEGDKRSALVRAVQALHFMKSGVDIISKTPVKTKFARPNWSRVLSRLAGRHGGKRIGVFYCGPAALGRDLERLCHEMSIETSTRFVFHKEHY >Ma04_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4839947:4845185:-1 gene:Ma04_g06630 transcript:Ma04_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFCSISSDQSGTSPDLGLRDPGHASYSESPGPGNMMYLSFLNSGPYRDAVAGGAQTHQNHIELPVATTIISPDLTTGNSVMVPSCLGNHANNAWKNGRSEMLFMQTDRGSILGTDDLLHAADPQTSVQTQLSIIHGLSLSLQHSNVTLQNQGLSLSLSTQMPVPSIQYPSTTSNIPFFDSNQSTSGNVGSFREEHFQTRNFHDNASPNGLTSLTRLIPNSKYLRAAQELLDEVVNVRKALKQKTDKSQSLPTSAGTITFKDGSGGSKNEGLISNPQEATVNWSSGLSPLVKQDLQNKVSNLLGMLDEIDRRYKQYYHQMQIIVSYFDAIAGCGTAKPYTALAFQTISCHFRCLRDAINGQIQATRKNLGEADNSSSKSGLLSRLRNIDQKLRQQSALQQFGSMQQHTWRPQRGLPESSVSILRAWLFEHFLHPIICFASYPKESEKLILARQTGLSRSQVTNWFINARVRLWKPMIEDMYKEEIGDTEIDSNSSENPPKLKEDFQSSEDHEDLQMYGTGRYQTGQIIDSSRPITMNVAEAAATFQHEASAQDSYKYLKANEQRPIGEDSSFLQDVIGHPDGKGRFLAYQMA >Ma08_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5983456:5987231:1 gene:Ma08_g08510 transcript:Ma08_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDAGCFEEELENGVGLEEDVAEIGWESGMTDSESESARAIEDAVRVLLQGLGEDPEREGLRRTPHRVAKAFREGTRGYKQKVKDIVQGALFPEAGLETGTGHAGGAGGLVVVRNINLFSYCESCLLPFSIQCHVGYVPSGRRVVGLSKLSRVADVFSKRLQDPQRLANEICSALHNSIKPAGVAVALHCWHIQFPEALPCNSNSTYPSKLDMQGWIQVLVSSSSGVLKEEKSSLWDDFLALLKLKSINIERGDTNLYSASSWCPSRVLETSLCNGHCARSPKNGKTSKTGVTHASMMAAVASILHSLGEDPSRKELVRTPHHYVQWLMNSRSSNLDLRLNGLSKANSCNVVDGNFTCLNEIQSVLNIPFCSQCEHHLLPFHGVVHIGYLDKQEGKCIERSVLQSVVHSFACKLQVQERLTRQIAEAVYSIFNIGVIVVVEAHHLCMISRGIEKVGSNTATIAVLGQFSTDAKAKGLFLQTIADNNASEG >Ma10_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25520530:25523852:-1 gene:Ma10_g12420 transcript:Ma10_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTFILRLHSMSLSPLLSSRALALPPARSSPLLRLRPLLAAASYPLRHSASGLGTRCFSTRPTTSSLNDPSPNWSNRPPKETILLDGCDFEHWLVVVEPPDPSLTRDEIIDSYIKTLAEVLGSEEEARMSIYSVSTKHYFAFGCKVSEEISYKIKPLPKVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWVRNNARAQERSRRNDRPRNFDRSRNFERRRENMQNFQNRPPPMANQDSQNHNAQNAMPPNAQNPMPPRDAPPMPNHDYNATPPTAGGMMNYQNQTPNPQNTYVPNAGPSHQGSVQGYQGYQGGSPGGNMPGYVPNAGPSHQGGVQGYQGHQGGSPGGNMPGYVPNAGPSHQGGVQGYQGYQGGSPGGNMPGRVGYQGSDAGYHGGGSAYQGGSPGHHGGNPPYQGGFSGYQPGSSTYQGGNPNYQQGGSNYQGGAPAYRGRDVSGRDE >Ma06_p38400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37163116:37164852:-1 gene:Ma06_g38400 transcript:Ma06_t38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQEAKASKFTRICVFCGSSQGKKRSYQDAAIELGKELVSRNIDLVYGGGSVGLMGLVSQAVHDGGRHVTGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARRSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFVSPNARHIILSAPTAKELMKKLEEYFPRHERVASKLNWETEQLGYSKYEISR >Ma01_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2536071:2543316:-1 gene:Ma01_g03840 transcript:Ma01_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWPRTRVLNSAQSRPFPPPIQRCRRVSVRCFSSLPRLFPAVRVYIGFHNPSHLPSAKLLSTFSSPSRARRRSLPPPMEPADDSSVVSETSSAEDYVHVSEPAADEPAPNPNLAAQTVLDSGILSEASSGGGSGGGEGSAEEGAAEGKKVLPEDLAKGVLFLQCESSAEGGSCDVYLVGTAHVSQESCKEVQAIISYLKPQVVFLELCSSRVAILTPQNLQVPTVSEMIDMWKKKKMNTFGILYSWFLAKVAHKLEVFPGSEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGKMTLWHRAKFLYYILFQTIFLPSSDDLNKMLKEMDDVDMLTLFIQEMSKAFPTLMETLLHERDMYMSSTLLKVAREHSSVVAVVGKGHLSGIKKNWEQPIEIKRLLEVPTQSVGPSRTKILASVGVALTGVATAVYLLGRR >Ma11_p21910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25883852:25886432:1 gene:Ma11_g21910 transcript:Ma11_t21910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFVLAAKSGYIRRKVMESESANLSCIDLSDLSIGAEVFERVAKFCYGVNFEISVRNVAALRCAAEYLQMTEEYCRGNLAARTEEFINQAAVKTLPGAVALLRSCEGPLLPMAESLRVVQRSVDAISLKACKELNRPTRSPPNWWAGELAVLSPTSLQMILIAMKSRGADPKSLAAAIVVYAENFLPHLLHPSSGASAPAGGKDGTRERSLLESVVSILLPDCDAPLPVGFIFYLLRAAIFLEASERCRRELERRASACLDQATVADLLTITLDYAGERVVDLETARRIVAGFAEREAGGGGGAVYKGDSGAAGCSAAVQKVARTVDSFVGKIASDEELSVSKFTGIAGALPKSARRFDDDLYRAVDIYLKAHPGLDEIEREKASSVIDPLKLSYEARLHASQNKRLPLQIVLHALYYDQLKQRSGMEDEAAAGAPGATLGPDHGLNADVSLIRENEALRSELARMKMYVSEMHRGGGGGGQGSGVKARSKKAMFFSSVSRTLGKLNPFKQGSKDTSNMDDGVVVDVTKPRRRRFSIS >Ma11_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25883865:25886432:1 gene:Ma11_g21910 transcript:Ma11_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMASSDLSSRLSAAMETTSHWDFSQDIPSDIVVQIGDATFQLHKFVLAAKSGYIRRKVMESESANLSCIDLSDLSIGAEVFERVAKFCYGVNFEISVRNVAALRCAAEYLQMTEEYCRGNLAARTEEFINQAAVKTLPGAVALLRSCEGPLLPMAESLRVVQRSVDAISLKACKELNRPTRSPPNWWAGELAVLSPTSLQMILIAMKSRGADPKSLAAAIVVYAENFLPHLLHPSSGASAPAGGKDGTRERSLLESVVSILLPDCDAPLPVGFIFYLLRAAIFLEASERCRRELERRASACLDQATVADLLTITLDYAGERVVDLETARRIVAGFAEREAGGGGGAVYKGDSGAAGCSAAVQKVARTVDSFVGKIASDEELSVSKFTGIAGALPKSARRFDDDLYRAVDIYLKAHPGLDEIEREKASSVIDPLKLSYEARLHASQNKRLPLQIVLHALYYDQLKQRSGMEDEAAAGAPGATLGPDHGLNADVSLIRENEALRSELARMKMYVSEMHRGGGGGGQGSGVKARSKKAMFFSSVSRTLGKLNPFKQGSKDTSNMDDGVVVDVTKPRRRRFSIS >Ma03_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3538884:3539873:-1 gene:Ma03_g05400 transcript:Ma03_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDGKRLRCAMDYILEAEMNGEISSDNVIYIVENINVAAIETTLWSMEWAIAELVNHPNAQQRLRKELWDVLGDEPLTETNLQRLPYLQAVAKETLRLHSPIPLLVPHMNLEEAKLGGYDIPKRTKVIVNAWWLGNNPEWWHKPEEFRPERFLDEEKEVEALVGGKVDFRFLPFGVGRRSCPGIILALPLLSLIVGKLVKDFELVPPPGVDKIDVTEKGGQFSLQIANHSTIAFHPIAP >Ma09_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36634579:36638687:-1 gene:Ma09_g25040 transcript:Ma09_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G03280) UniProtKB/Swiss-Prot;Acc:Q9ZR03] MASTTLSTAAAPSQLSSGKNGIFSPSRALLSRPARGLGLGKERSGKITCQATSIPADRVPDMGKRQLLNLLLLGTLSLPTAGMLIPYTYFFVPPGSGGAGGGTVAKDALGNDVTLDGWLKTHGPGDRTLTQGLKGDPTYLVVENDKTLATYGINAVCTHLGCVVPWNAAEKKFICPCHGSQYNNQGRVVRGPAPLSLALAHVDVDDGKVVFVPWVETDFRTGEDPWWA >Ma04_p26350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27829777:27832250:-1 gene:Ma04_g26350 transcript:Ma04_t26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAALFLHLSSCEPGFNLVADFGFAYMHIMKNTRISVSD >Ma06_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2460651:2461500:1 gene:Ma06_g03350 transcript:Ma06_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVHSCLRPPPPKLTTLHETSSSSTVAPGSERKEVSWRSRCVATAACVIIGSTVGLGGGDGNVLAGELRAVEGSQVRMAMRWSDKRRCPPWHANSLENIMPENLPRPYGGRRSDGHVAYGHHTAAPVIGSFVHYRSSCFSL >Ma06_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4048239:4051240:-1 gene:Ma06_g05440 transcript:Ma06_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELCKKSKSLRRLLSVTVGRRRSRDEEDTHENASPVRESKQVELMLDVSSPPLKPTWRCFSYNEIHKATNGFHQDNLVGRGGHAEVYRGVMEDGRAIAVKRMMIAATDEQREKNFLTELGTVGHVRHPNVSALLGCCIDRDLHLIFEFSSRGSVSSNLHDENSPPMAWKLRYDIAVGTARGLHYLHKGCQRRIIHRDIKASNVLLTTSFEPQISDFGLAKWLPSEWTHRAVAPIEGTFGCLAPEYFMHGIVDEKTDVFAFGVFLLEIISGRKPVDGSHRSLLSWVDARPFLDDGNMRVLVDPRLCEDYDMEQLRRLTFSASLCVRAAATLRPSMTEVVELLEGGEISQDRWTTPEEEAEEVEEEFWGFDDLINDDDGTTPSSLGSPMRSNVQNK >Ma06_p05440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4048239:4051236:-1 gene:Ma06_g05440 transcript:Ma06_t05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELCKKSKSLRRLLSVTVGRRRSRDEEDTHENASPVRESKQVELMLDVSSPPLKPTWRCFSYNEIHKATNGFHQDNLVGRGGHAEVYRGVMEDGRAIAVKRMMIAATDEQREKNFLTELGTVGHVRHPNVSALLGCCIDRDLHLIFEFSSRGSVSSNLHDENSPPMAWKLRYDIAVGTARGLHYLHKGCQRRIIHRDIKASNVLLTTSFEPQISDFGLAKWLPSEWTHRAVAPIEGTFGCLAPEYFMHGIVDEKTDVFAFGVFLLEIISGRKPVDGSHRSLLSWARPFLDDGNMRVLVDPRLCEDYDMEQLRRLTFSASLCVRAAATLRPSMTEVVELLEGGEISQDRWTTPEEEAEEVEEEFWGFDDLINDDDGTTPSSLGSPMRSNVQNK >Ma06_p05440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4048239:4051656:-1 gene:Ma06_g05440 transcript:Ma06_t05440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRELCKKSKSLRRLLSVTVGRRRSRDEEDTHENASPVRESKQVELMLDVSSPPLKPTWRCFSYNEIHKATNGFHQDNLVGRGGHAEVYRGVMEDGRAIAVKRMMIAATDEQREKNFLTELGTVGHVRHPNVSALLGCCIDRDLHLIFEFSSRGSVSSNLHDENSPPMAWKLRYDIAVGTARGLHYLHKGCQRRIIHRDIKASNVLLTTSFEPQISDFGLAKWLPSEWTHRAVAPIEGTFGCLAPEYFMHGIVDEKTDVFAFGVFLLEIISGRKPVDGSHRSLLSWVDARPFLDDGNMRVLVDPRLCEDYDMEQLRRLTFSASLCVRAAATLRPSMTEVVELLEGGEISQDRWTTPEEEAEEVEEEFWGFDDLINDDDGTTPSSLGSPMRSNVQNK >Ma10_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14664064:14664313:1 gene:Ma10_g04020 transcript:Ma10_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPELQTSEIPNTFYFTFNLNPDVRKNGLKIHNVVPPTEEEARLVLERMDADKIFA >Ma04_p30690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31271935:31275516:1 gene:Ma04_g30690 transcript:Ma04_t30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIISGQGKSRGTNYMNNRTPCPPHCDNIHILLQHIITIYQSDGRTAPVSRGKELDALPSLKPSIAAATPCERAALQVSSLGSSPPRSSTIYRLRGLCRTRKGRSRGDQLRIRIGFPWRDGAIGIAVELAQGSHSS >Ma10_p17290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28746959:28749164:-1 gene:Ma10_g17290 transcript:Ma10_t17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAGVAQRFWWDLSSNSRSPFVSHALKGSRATSTTSSSVSTGAPASASVESAGPILSVAEGRQRGDAADDSYDNNGRHHQQLAETAKRVCEVVVRRPRWESFLLSHFAPSELFHPTCVRHVLRPLVSTKPLLSLRYLLWLSSHPDASPADAATSASLLDALANARAWKAALLAIRSTKCRPDPPTIELFLYRLIQREGRVTDEAMETISLVKTHLGYSPSLPTWNSIFSAYLRAGRTDLVWRLYEMMMQLGISGDASTAGYLIEAFCMDNELDDAYRLLREVSRNGLVPDVISITKLVTGYCRDGNYGKVSELLHLMIAVGCMPDIFTYQSIIHGLCENGKADEGFHIFNNLKLRGYAPDLVTYTTMIDGLCKMGRTTDAWNLWSEMVAKGTKPNEYAYNVIINGYCKAGDLSKAQELYQEMCRSGFRESTVSCNTLIAGLCLHGRVGEAVDMFEEMPTKGIEHDVITYNTLIQGLCKEGRTAEALKLYETLLLVGLQPSVSTYTPLIEALCNEGNVLGAMELMRCMKEKGLEPLVRTNDYIINGFCRVGKAEAGMAWLVNMLENNLKPQRDTINRLLECLSNSFRVDDALLVLDAVYKIGYSLETSVCYLLVSQLCGEDHRQAALQMEEILVS >Ma01_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:545176:549150:1 gene:Ma01_g00740 transcript:Ma01_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRSMAVAPWIAAVLLLSAVAGGLGFYLPGVAPSDFQKKDPLLVKVNKLTSTKTQLPYSYYSLPHCRPDSIVDSAENLGEVLRGDRIENSPYVFEMREPHMCQIVCKVTLNDKDAKDLKEKIEDEYRVNMILDNLPLVVPIKRLDQDTPTFYQHGFYIGAKGQATGKKDVKYYIHNHLSFLVRYHKDMQMDLARIVGFEVKSFSVKHEYEGQWSGNKTRLSTCDPHARRSVVNSDAPQEVEANKDIIFTYDVDFQASEVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPANSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKMFKGTEWKKITLRTAFTFPGIVFAIFFVLNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAVEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLDITKPVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Ma04_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8671535:8677062:-1 gene:Ma04_g12090 transcript:Ma04_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQAFEHGEEEVIKGRVVVEEWSRPELQAFHLHSPPHPSSADQDVPQEELLVIEVAERGSGGVAGPVAAASGGAMSPATTVSSSAAPGLEMEATNGNIKVEEPPVPPPSAAVATAPAAAGSGSQEDKKPAPPAPTVGFGKLFRFADGLDCVLMAVGTAGAIVHGCSLPIFLRFFADLVNSFGSNTGDPDTMVREVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALNQDVRYFDTEVRTSDVVFAINADAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAAWQLALVTLAVVPLIAVIGGIHTATLAKLSSKSQDALSQASNIAEQALAQIRTVQSFVGESRVLQAYSASLGVAQKLGYRSGFAKGLGLGATYFTVFCCYALLLWYGGLLVRHHHTNGGLAISTMFAVMIGGLALGQSAPSMAAFAKARVAAAKIYRTIEHRPSIDRKNDTGITIALVGSSGSGKSTVVSLIERFYDPTAGQILLDGHDIKSLKLRWLRQQIGLVSQEPALFATTIKENLLLGREDATQVEMEEAARVANAHSFIVKLPDGYDSQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTVRKADVVAVLQQGSVTEIGTHDELMGKGDNGLFAKLIRMQEQAHEAALINARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSEFSFSVDPNHRMEKLAFRDQASSFLRLAKMNSPEWSYALIGSIGSMVCGSMSAFFAYVLSAVLSAYYAQDYKYMRREIGKYCYLMIGVSSVALLFNTMQHLFWDVVGENLTKRVREKMLTSILRNEIAWFDREENASARIAGRLTSDAHSVRSAIGDRISVIVQNASLMLVAFTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMNGFSGDLEVAHAKATQIAGEAVSNVRTVAAFNSEAKITELFAANLQSPLRRCFWKGQIAGSGFGIAQFLLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAEALTLAPDFIKGGRAMRSAFELIDRKTEIEPDDLDAAPLPDRLRGEVEFKHVDFAYPSCPDMPVFRDLTLRARAGKMLALVGPSGCGKSTVISLIQRFYEPTSGRILIDGKDIRKYNLKALRRVISVVPQEPFLFAASIFDNIAYGREAATEAEVVEAATMANAHKFISALPDGYRTWVGERGVQLSGGQRQRIAIARVLVKKAPIMLLDEATSALDAEAERSVQEALERSGVGRTTIVVAHRLATVRNAHVIAVIDDGKVVEQGSHSHLLNHHPDGCYARMLQLQRFSNNGVPQGPSSST >Ma06_p35800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35547184:35551794:-1 gene:Ma06_g35800 transcript:Ma06_t35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRTKTEISFAGRFASSAIAACFAELCTIPLDTAKVRLQLQKKAATDAMAMPKYRGMLGTVATIAREEGMTALWKGIVPGLHRQCLFGGLRIGLYEPVKSFYVGENFVGDIPLSKKILAGLTTGALAITVANPTDLVKVRLQAEGKLPPGVPRRYSGALNAYSTIVRQEGLGALWTGLGPNVARNAIINAAELASYDEVKQTILKIPGFTDNVFTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTLDCFVKTMKNEGPLAFYKGFLPNFGRLGSWNVIMFLTLEQVKKLFAREVPV >Ma05_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22432284:22441901:1 gene:Ma05_g18060 transcript:Ma05_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIVHNEVGPSTATGLSSHSNDTDDDRMIALVLSEEYEKLDGAVSRRLANLASVPHVPRINTFIPSLSDATLDHQRLLQRLNAYGLYEVKVSGDGNCQFRAISDQLYRSSEYHKHVRKEIVKQLKEFHAFYEGYVPMKYKRYYKKMAKSGEWGDHITLQAAADKFQAKICLLTSFRDTCFVEIVPQNQAPQRELWLSFWSEVHYNSIYELRDLPTQHKPRKKHWLF >Ma04_p36320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34742380:34743692:-1 gene:Ma04_g36320 transcript:Ma04_t36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKPQELLPSITPMWKRKMVGLGEGRGGMGLVKILLFLLASTSTGSIRTESRSTNTTFPLPFFCRKSCGSISFGYPFGIDDGCFRTGFNLTCRNHSTSSPRLFLGDGTIEVTRIHMNQGLVYIKPPTINMGVDDEFISTSLIDLDNWPYSFKLKEQMARNSYQELTSNRVYVVGCSAIARLVDLTTNKTISNCLSICAANDSSLNSFWSDINNINCTMDLYFKNSNALEIQLNRIGFHLVNNSSIKAIMFDAENHDLVEILNESRTNVEATLAWYMNDHLSCEEAMNTDTYACLSQNSLCYDAVYDTFYSSNNIGYLCRCSASYQGNPYVPNGCQGNFPPL >Ma03_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5831222:5832387:-1 gene:Ma03_g08090 transcript:Ma03_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFVTAVEDLDLTPGGNPPPRHDEEGEGTKTGAAGGGQRRRKTLYRGIRRRPWGKWAAEIRDPRKGSRVWLGTYGTAEEAARAYDVAAREIRGSKAKLNFPNPSDYAAAAAAAAAAAAAEPPTKRRAAAWAEEESSASCSASLEEGLRERISSLEALLGLEHEESSVAAASAGEGSETSSADLCGDLCFV >Ma08_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1912821:1915477:-1 gene:Ma08_g02470 transcript:Ma08_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFESFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYEESGPAIVHRKCF >Ma00_p02250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16984363:16988930:-1 gene:Ma00_g02250 transcript:Ma00_t02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLHPFHHRYLLFLFIIIGAASTVTVAAALSLDLHHRFSDRVRKWEEDHGLPGAWWPEKGTAEYAAALAPHDRVLHRRSLADGSVLTFANGNATFWIDLPGFLYYAVVELGTPNMTILVALDTGSSLFWVPCSLTPVNNLEGIYSPGQSSTSQNVPCNSSFCDLRSKCSGANSNCPYFVRYADDSSSLGILVEDVLYLRREDATSKTVEAQITFGCSEYVEGMNMALPYGIFGLGMGNTSVPSILSSKGIILDSFSMCFGVDGIGRINFGDKGSLDQEETPFNIDNRGFYNISITGMEVGNTSIDSDFSVIVDSGTSFTYLPDPVYTKFTESFNAQVQENRHKPDNSLPFEFCYNSSSRYSFQRPNISLIAKGGSKFPVSHPMLMFIERQQNVYICCLAVFKSRGFNIIGQNFMTGLRVVFDREKLSLGWKKFDCYSTENSSTRPLQNLSPAPSPGVAFEPSTSAEAPNPRSNHTQVVQTPRNRSSRSNSKISIIMSLLFFWAVL >Ma00_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16984363:16988930:-1 gene:Ma00_g02250 transcript:Ma00_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLHPFHHRYLLFLFIIIGAASTVTVAAALSLDLHHRFSDRVRKWEEDHGLPGAWWPEKGTAEYAAALAPHDRVLHRRSLADGSVLTFANGNATFWIDLPGFLYYAVVELGTPNMTILVALDTGSSLFWVPCSLTPVNNLEGIYSPGQSSTSQNVPCNSSFCDLRSKCSGANSNCPYFVRYADDSSSLGILVEDVLYLRREDATSKTVEAQITFGCSEYVEVDGIGRINFGDKGSLDQEETPFNIDNRGFYNISITGMEVGNTSIDSDFSVIVDSGTSFTYLPDPVYTKFTESFNAQVQENRHKPDNSLPFEFCYNSSSRYSFQRPNISLIAKGGSKFPVSHPMLMFIERQQNVYICCLAVFKSRGFNIIGQNFMTGLRVVFDREKLSLGWKKFDCYSTENSSTRPLQNLSPAPSPGVAFEPSTSAEAPNPRSNHTQVVQTPRNRSSRSNSKISIIMSLLFFWAVL >Ma05_p30030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40560816:40571730:-1 gene:Ma05_g30030 transcript:Ma05_t30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAPAEEAGVDAGKETATTNDATKPDAEAGVDVVKETAHGPVQPPPDTGRAPWSKTATAAAAAAEDVGKKGDLVMGAESWPALGDVWTKGSPNRAAAKVSSPALSPPMAVQNAGQVRGNVLPPPPSPFVQGSIDMHKSDGFRTNNSSKHHSSNPHKHGPRHNAPVNSGQPFTVPFACHQQPVLYPSLQPSNLMNDYGYYTCSAQFPNCQLPESNMPVVPSSQAGGNYGNRNFQPPPQGVPYNWPPNCGYGGTAYNIPAPPNNFNPTWCNQWAVRGCENGPRTPVPRTTISSVPQFYGPPSGFVYGPSIPEKLQVPCFYPHAPRYSNQTADMKVLRANLVKQIEYYFSNGNLQTDQYLISLMDEQGWVSISEIATFRRVKKMTSDISLILDALRSSHLIEVQGNQIRTRSDWSKWVPASRQPVVSAQSQSIGNQPPVRVENSDNNETNVICISHENSSANSNPTDDCSETEINNCSECSLDNLLISDGTIIHNGDDMSNSKKVESGKFSEDGQRDSCGGCNCTPVSHSIGTYVDIRTNFGDSGISKMSVEEAKLSISDAKVEKIANPTSMRSGIQASDFGNGFLDESLSLNGQSTFMLDEELELEQTTNETEHLSLNNSVDDEEDEVNVNDQDVHRLVIVTQDIMDDKDDRTGSGRQETISIELASAINDGLFFYEQELCAQQSSNQRNRVRTEIKSGDSKATINATSSLDLKANIDIGNNASEELGEANSRKRQSKSHSLRKQRLFPSNFRNYGSGRNHHGIVSESPPSNSVGFFFGSTPPENTSLMSPKLSCSPHGILSGSPPVGSMPKSFPPFQHPSHRLLEENQFKQQKYLKFHKRCLYNRRRLGIGCSEEMMTLYRFWSYFLRNMFNEAMYDEFCKLAMEDAAAEYNYGLECLFRFYSYGLEKHFREDLYDDFEQLTIDFYKKGNIYGLEKYWAFHHFREEWGETEPIRKHPELERLLREKYHSLDDFRSEEKAEKASKEE >Ma05_p30030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40560816:40571730:-1 gene:Ma05_g30030 transcript:Ma05_t30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAPAEEAGVDAGKETATTNDATKPDAEAGVDVVKETAHGPVQPPPDTGRAPWSKTATAAAAAAEDVGKKGDLVMGAESWPALGDVWTKGSPNRAAAKVSSPALSPPMAVQNAGQVRGNVLPPPPSPFVQGSIDMHKSDGFRTNNSSKHHSSNPHKHGPRHNAPVNSGQPFTVPFACHQQPVLYPSLQPSNLMNDYGYYTCSAQFPNCQLPESNMPVVPSSQAGGNYGNRNFQPPPQGVPYNWPPNCGYGGTAYNIPAPPNNFNPTWCNQWAVRGCENGPRTPVPRTTISSVPQFYGPPSGFVYGPSIPGGPPPPPPLMHSLSAPRPEKLQVPCFYPHAPRYSNQTADMKVLRANLVKQIEYYFSNGNLQTDQYLISLMDEQGWVSISEIATFRRVKKMTSDISLILDALRSSHLIEVQGNQIRTRSDWSKWVPASRQPVVSAQSQSIGNQPPVRVENSDNNETNVICISHENSSANSNPTDDCSETEINNCSECSLDNLLISDGTIIHNGDDMSNSKKVESGKFSEDGQRDSCGGCNCTPVSHSIGTYVDIRTNFGDSGISKMSVEEAKLSISDAKVEKIANPTSMRSGIQASDFGNGFLDESLSLNGQSTFMLDEELELEQTTNETEHLSLNNSVDDEEDEVNVNDQDVHRLVIVTQDIMDDKDDRTGSGRQETISIELASAINDGLFFYEQELCAQQSSNQRNRVRTEIKSGDSKATINATSSLDLKANIDIGNNASEELGEANSRKRQSKSHSLRKQRLFPSNFRNYGSGRNHHGIVSESPPSNSVGFFFGSTPPENTSLMSPKLSCSPHGILSGSPPVGSMPKSFPPFQHPSHRLLEENQFKQQKYLKFHKRCLYNRRRLGIGCSEEMMTLYRFWSYFLRNMFNEAMYDEFCKLAMEDAAAEYNYGLECLFRFYSYGLEKHFREDLYDDFEQLTIDFYKKGNIYGLEKYWAFHHFREEWGETEPIRKHPELERLLREKYHSLDDFRSEEKAEKASKEE >Ma09_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36480166:36491775:1 gene:Ma09_g24860 transcript:Ma09_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVRRAFPIPISLTRLPYVEIEYASIIIGEPTHISSRFSRRLGFPVQALALFLSLSLSLSLSLSCSIEGRSPDRAPPSHVITPFPSPFLRHQPVINQNTVGISLKGQALGSGSKMFFGGFNYYGNSFEQTYRCYPASFIDKPQLEIGDKIIMPPSALDRLASLHIDYPMLFELHNAATERVSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDIVRVKNATLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSSAISIIETDCEVDFAPPLDYKEPERPQTSLTRGNAPEQAHHDLQNEAEVEKKFTPFVGVGRRLDGKPSKENTPSIGSSMKDNKSETIDGMKRLSPSNSEGSSSRQAKGKLIFGSNANRTPKEEPKVASKEANEQPEKKEEPKFQAFSGKKYSLKG >Ma04_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16484800:16486086:1 gene:Ma04_g16720 transcript:Ma04_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 20 [Source:Projected from Arabidopsis thaliana (AT2G35380) UniProtKB/Swiss-Prot;Acc:Q9SLH7] MAKSFVLGLLLVLVGVEADGGLSPHYYKETCPLAEHIIRQNVETVVYRDPRLAASLLRLHFHDCFVLGCDASVLLDDADGIVSEKNAVPNLNSLRGFEVIDSIKAVLEEACPLTVSCADILAIVARDAVHLRGGPTWEVYSGRKDSLTASLSEANKRIPAPNFTLDMLISNFHDQGLDIVDLVSLSGSHTIGRSRCVSFKGRLYGQDPIEPFEEHDLYHRYSEFFSSLRSACPPSGGDDTLVPLDLKTPRRFDNRYFHNLVRGNALLLSDDELIAGYEDDGVASLVWAYARDQELFFRHYRSSIVKMGSINVLTGEQGEVRHHCRYVNAYYH >Ma03_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4050227:4052599:-1 gene:Ma03_g05890 transcript:Ma03_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFESLTASEQNPVPRLLYISFACPASLAHSSLDHRSFAFSATGMLTSNDGGRCPPALARRPTVLRLPRRRRRMAVVRLGSRRGWRWRGRRLLAGLLRRLRLRCLAAKYRAALSRLRSCHAALVRDLMDGAASIEAVQSQLVMEPYFTAPFFPVTTVNCHPANYYALF >Ma07_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28360753:28362454:-1 gene:Ma07_g20480 transcript:Ma07_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYRRILSKEPHRHDDDEGSASTVRHPATLPPCCPPTPPPSPLPPLCTDDQHDRRLANLLMIGAAVIAAVLLLSITYYAVLRRRRCRFPLRVAATGPASIGDDDDDGFVGDGEPFHHVWYIRTVGLDESTIGSIAVEEYRASDGILDGVSDCSVCLNEFHDGERVRLLPRCGHAFHVSCIDTWLRAHVNCPLCRVRIVDPNGEPSPPAAAVALAVSITASGGSVDLDSTFPAAEETALIRIQPLEEQQNGGGTESTVAIRIPINHTEAFDLPPESSGSRGQSDVGGFGLRPVRRSFSMDTPLMNSIIGRVKPEESIIDEEGKDATFEEDSSPKNRVKHWNSSKGAMDKGHSDIERSLSSSGRGFLFSKYGQIARIHSMPM >Ma11_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17247409:17247954:-1 gene:Ma11_g13060 transcript:Ma11_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTIWLINLVGNVYVKFRDEDDAANILVNLKGRYYDGGLIFMCFISCLMHFVSSTCRQHKDNACNQGGFCNFIHFKQISWYLLYLSSTTFCSDNSLVSFLIIMVLFSGS >Ma00_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31342737:31343144:1 gene:Ma00_g03820 transcript:Ma00_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIGCPTAVAMGQCATILGSWGETARCSTSMEPRERTSLWCPTTSSRSTCTSSARGRRGGPATLPGCKPWPSCSSPTPWSSARWDGKKVTVPTEGEAEWRVRAGGEGDGREVVVERTGETNSVRVTLGGWWRRT >Ma09_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27943835:27953304:-1 gene:Ma09_g20140 transcript:Ma09_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRGSKNTGAASPQSVVELLVAETVLAAERSLLCFILAVGSISSQTGPRNEGSEVILGILRRSKPEAVPENKDDGESDDDNDEDGDSQEDGGKDDFSGEEGNDNEGENDDPEVNGGGGSEEEDEEDDEEDDDEEEDEDEDEEEDDEDEDEELPQPPSKKRK >Ma09_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13015897:13020541:1 gene:Ma09_g17440 transcript:Ma09_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLLLVFLLHLAVSQRFASSSSSSSSSPKVSLALYYETLCPYSANFIVNYLAKIFDDGLISIVDVDLVPYGNARIQNGTISCQHGPYECLLNTVEACAIDAWPDLNQHFSFIYCVESLVLKRKYLEWESCFSETGLGSHAVSECYDNGHGQELELKYAAQTDSLEPPHRYVPWVVVDGQPLYEDYENFEAYICKAYSGESPNACSGLTVVTNQEKESNGHACYAGEMIRPSTVVENDEMKIKMVI >Ma09_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4202818:4204899:1 gene:Ma09_g06550 transcript:Ma09_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESSEPYRHDFPFHDDLFSVFSQKPDGLQGFDPQTAPPFMSFGDYLHGSTTDGSLVVQGFDAPRSQPCDPVVGGDGGKNAAAGGGDGMTPVTPNFSASSSSTEAAGDEDWRPCKVDQPKQEVQEEEKHAPQDGEGRDKTKKANKPRKKGERQRGPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTAQKCNVKKRVERSCQDPTTVITTYEGQHTHHSPASLRGGGGGGGHMLSTSPTTNLRRSLLLQQVSRSSSSAGYTNPNTYHAGLPPLLPQLHVPDHGLLQDIVPSFTHGSQR >Ma09_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6127649:6127795:-1 gene:Ma09_g09240 transcript:Ma09_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQRERERERGEEAERETDEFKIFIGRVWGFRPVATAFHRTKQIDK >Ma04_p26430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27882049:27886479:-1 gene:Ma04_g26430 transcript:Ma04_t26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQACSAKTRGFGDRTTSDVTVCLRNRDGRPEWFHCHSTILRRESKYFADRLPENRPASPSHDTGNCIEVHCTGNEYDNYVKVLKLLYLSEESVLDSWDSVKSAIGVLRVSVSLQCRSIIQSCILYLEAVPWEEDEEEEIIKVASSLGPEAQPLLARIKPVSTNDTKNVFLSAVRFAMSVTSSVPPFTDELKTSAREQVEYMLLEDEDAPLVAVDDDVKSEVSTGLARMFTTLKTALNMLASDVDQSLEAGEHQLLQSLSDLEWMCNIIPKMEMMKEFVFGWAHVSDHILAVIQDEKYSSSLWSVKAKSIEVTGKALDAIGYGSVVLPAPFRVHFLRTWLPYIRKMKHLLDLKSMEDESFPYKMDGDLCQNVEGAITSLVLALPSNDQAEIFTDWMKKTEQLSYPDLSEAFEVWCYRTKAAKRRLMASLNEAGNPAVSLG >Ma06_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9110523:9115149:-1 gene:Ma06_g13300 transcript:Ma06_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVRSADSSTAYGESKPYAHKGGGDSLHISRQILSADKRMYRNGPSCHSDFTNSSSEMMHVGPLQASTSTIPRLYLQVPSAPYQLMANIHSSITLENPYSSFFEAVQHPDSSASSNISHQTSHSLSDNLSSDHEIDYGEDEIRLKLQEIEQVLLNDTDEDLVDSYQIMGIEDDWAEPIKDLLLTKSPKESSSDSNVSCIGSNREPRTPKQLLFDCAAAISEGVTEEARAIIAELRQMVSIQGDPPQRLSAYMVEGLAARIASSGRGLYKALKCKEPPTSDQLSAMQILFEVCPCFKFGYMAANYIIIEAFRDEEKVHIIDFDLNQGSQYINLIQTLSTWPHKPPHLRISAVDDPESVQRAVGGLEIIGRRLEKLAEELGVPFEFSAIAVKSGDVTPGMLDRRLGEALVVNFAFQLHHMPDESVSTVNQRDELLRMVKGLGPKLVTVVEQDMNTNTAPFLPRFMEVYNYYSAVFDSLDATLPRDSSDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFVSCPFGTNVNGLVRALSGSYCDRYKIKEESGALYFGWEDKTLVVTSAWR >Ma06_p13300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9110523:9115149:-1 gene:Ma06_g13300 transcript:Ma06_t13300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVRSADSSTAYGESKPYAHKGGGDSLHISRQILSADKRMYRNGPSCHSDFTNSSSEMMHVGPLQASTSTIPRLYLQVPSAPYQLMANIHSSITLENPYSSFFEAVQHPDSSASSNISHQTSHSLSDNLSSDHEIDYGEDEIRLKLQEIEQVLLNDTDEDLVDSYQIMGIEDDWAEPIKDLLLTKSPKESSSDSNVSCIGSNREPRTPKQLLFDCAAAISEGVTEEARAIIAELRQMVSIQGDPPQRLSAYMVEGLAARIASSGRGLYKALKCKEPPTSDQLSAMQILFEVCPCFKFGYMAANYIIIEAFRDEEKVHIIDFDLNQGSQYINLIQTLSTWPHKPPHLRISAVDDPESVQRAVGGLEIIGRRLEKLAEELGVPFEFSAIAVKSGDVTPGMLDRRLGEALVVNFAFQLHHMPDESVSTVNQRDELLRMVKGLGPKLVTVVEQDMNTNTAPFLPRFMEVYNYYSAVFDSLDATLPRDSSDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFVSCPFGTNVNGLVRALSGSYCDRYKIKEESGALYFGWEDKTLVVTSAWR >Ma06_p13300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9110523:9115149:-1 gene:Ma06_g13300 transcript:Ma06_t13300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVRSADSSTAYGESKPYAHKGGGDSLHISRQILSADKRMYRNGPSCHSDFTNSSSEMMHVGPLQASTSTIPRLYLQVPSAPYQLMANIHSSITLENPYSSFFEAVQHPDSSASSNISHQTSHSLSDNLSSDHEIDYGEDEIRLKLQEIEQVLLNDTDEDLVDSYQIMGIEDDWAEPIKDLLLTKSPKESSSDSNVSCIGSNREPRTPKQLLFDCAAAISEGVTEEARAIIAELRQMVSIQGDPPQRLSAYMVEGLAARIASSGRGLYKALKCKEPPTSDQLSAMQILFEVCPCFKFGYMAANYIIIEAFRDEEKVHIIDFDLNQGSQYINLIQTLSTWPHKPPHLRISAVDDPESVQRAVGGLEIIGRRLEKLAEELGVPFEFSAIAVKSGDVTPGMLDRRLGEALVVNFAFQLHHMPDESVSTVNQRDELLRMVKGLGPKLVTVVEQDMNTNTAPFLPRFMEVYNYYSAVFDSLDATLPRDSSDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFVSCPFGTNVNGLVRALSGSYCDRYKIKEESGALYFGWEDKTLVVTSAWR >Ma06_p13300.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9110523:9115149:-1 gene:Ma06_g13300 transcript:Ma06_t13300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVRSADSSTAYGESKPYAHKGGGDSLHISRQILSADKRMYRNGPSCHSDFTNSSSEMMHVGPLQASTSTIPRLYLQVPSAPYQLMANIHSSITLENPYSSFFEAVQHPDSSASSNISHQTSHSLSDNLSSDHEIDYGEDEIRLKLQEIEQVLLNDTDEDLVDSYQIMGIEDDWAEPIKDLLLTKSPKESSSDSNVSCIGSNREPRTPKQLLFDCAAAISEGVTEEARAIIAELRQMVSIQGDPPQRLSAYMVEGLAARIASSGRGLYKALKCKEPPTSDQLSAMQILFEVCPCFKFGYMAANYIIIEAFRDEEKVHIIDFDLNQGSQYINLIQTLSTWPHKPPHLRISAVDDPESVQRAVGGLEIIGRRLEKLAEELGVPFEFSAIAVKSGDVTPGMLDRRLGEALVVNFAFQLHHMPDESVSTVNQRDELLRMVKGLGPKLVTVVEQDMNTNTAPFLPRFMEVYNYYSAVFDSLDATLPRDSSDRMNVERQCLARDIVNIVACEGADRIERYEVAGKWRARMTMAGFVSCPFGTNVNGLVRALSGSYCDRYKIKEESGALYFGWEDKTLVVTSAWR >Ma02_p22030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27491661:27494412:-1 gene:Ma02_g22030 transcript:Ma02_t22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAARRKKEMGQGAHAPHSPTSPPAPPSPSGGGDGHGHHREEDSASSGEEDAADRETAVVKREEAAAPVSKEVQEVSVEVAPVPASEEAQEVGVDLVRDGAPEAGVVADSPAVVPVDGSSSAKEEVVEVAEPAAETSKTSIDVDSLSHGTEQKPVTVLNNLVSQVPRENTELCRGTADSEVSVTLLERRTTWWNCCGLFDVLTGSRGNSRCFFKNHRLLSIYNQSQRNTYNLKCTSW >Ma02_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27489491:27494412:-1 gene:Ma02_g22030 transcript:Ma02_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAARRKKEMGQGAHAPHSPTSPPAPPSPSGGGDGHGHHREEDSASSGEEDAADRETAVVKREEAAAPVSKEVQEVSVEVAPVPASEEAQEVGVDLVRDGAPEAGVVADSPAVVPVDGSSSAKEEVVEVAEPAAETSKTSIDVDSLSHGTEQKPVTVLNNLVSQVPRENTELCRGTADSEVSVTLLERRTTWWNCCGLFDVLTGSRGNSSRT >Ma02_p22030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27489491:27494412:-1 gene:Ma02_g22030 transcript:Ma02_t22030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAARRKKEMGQGAHAPHSPTSPPAPPSPSGGGDGHGHHREEDSASSGEEDAADRETAVVKREEAAAPVSKEVQEVSVEVAPVPASEEAQEVGVDLVRDGAPEAGVVADSPAVVPVDGSSSAKEEVVEVAEPAAETSKTSIDVDSLSHGTEQKPVTVLNNLVSQVPRENTELCRGTADSEVSVTLLERRTTWWNCCGLFDVLTGSRGNSRT >Ma01_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24093131:24094349:-1 gene:Ma01_g22230 transcript:Ma01_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVLLGSAIREMERASELTTKLQSLVELGDCSDTAKESAGVLSEELLQACNVTLSMLKSRRTNVRIKSGSRNVAPHDVLIRRDSRRNYVRQEVTAAPFNDGHQWRKYGEKTIAGCIFPRGYYRCTYSKDQRCEAKKHVQQQDCGVPSLFLVIYKGEHTCKSMVLRGCQPLEQLQLQGCREYTCNPSFPLKLTATATPPAHSFSLRFDSEDRFSGMNTTRKSVDEGTIRWQGEETLQSSLSAVVTSSSKVASPDLTFLESMETLQSSLSAVHDVDDEGSLRSLGFDIDLLRSDLDDIDLSYNSAIN >Ma09_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1192357:1195119:1 gene:Ma09_g01600 transcript:Ma09_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTSTGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPAKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLAEYWWCTERCLDWGPNGGPDLIVDDGGDATLLIHEGVKAEEEYEKTGKLPDPASTDNAEFQIVLGIIRDGLKVDPKKYRKMKERLVGVSEETTTGVKRLYQMQASGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLPVLTLEDVVSEADIFVTTTGNKDIIMVDHMKKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKTTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTPSQADYISVPIEGPYKPAHYRY >Ma06_p11770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8209806:8210927:-1 gene:Ma06_g11770 transcript:Ma06_t11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRNRFLFSATNASSSSCGNLEFCQPPFPPSPPPPAPIQEPSTTVRFRHSTLLPSLPIAAASLLAAAAFLLLVTFFFLRRCRRRRAAADEEQFGASEDGVGGVEIDHHVWYIRTTGLDESTIRAVTAWAYKADDGVLGESPADCAVCLSELRDGELVRLLPKCGHAFHLTCVDTWLRSHVNCPLCRAPVVFPTSVSIDSEPGASTLPSFSSALSSPDSALDSVPSALEDSHQNDGQQLDTGQGALEVESEGDSLEFGAGNRIMIDQIPTFELQVPSDVREQGFQPIRRSFSMDSFTLPHPMHRPDLEEGLSDHTKEPALREVLDVRTWRKEGNTSGGTASLQKEIEISSSSASGKFFLSRHLRARSSVLPL >Ma05_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8601880:8603120:1 gene:Ma05_g11740 transcript:Ma05_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPKEVKLYGHGFSGYCTMVNSALKLKGVAFEYVEEDLQNKSEALLSLNPVYKKVPVLVVDGEPIAESLVILQYIDDVWKNPPLLPEDPYQRAKVRFWADYVYQKLVPPVYRIRTSEGDARKKAEEEFRANMITLEDGIREELWSAGGSFINGEKPGLLDVIMGSCHTGLKYLEDVAGVKLVEKDRTPLLCSCMEAFVELDVVKGP >Ma10_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5121469:5127461:1 gene:Ma10_g01740 transcript:Ma10_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCLTICFLLVLRYFACKNVSSNKLHLSPFEPPGWHRAGWGFGYIPGVEHSFASSHVALTGTCCRARDQVSGCLWDCSCSFNLLKPLFSPSPSFLLCTQGAR >Ma08_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10178283:10181370:-1 gene:Ma08_g12960 transcript:Ma08_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTHNKIPNVYLVTFSIFPILEGSLVNIMSPIKYLKLGGTEFKMLFVLVFTFGLAIILSKTGVEGRRLEENPLDQSPRGVQGKEYVLADNKAVFSSSSAGGSNNEEAKKDINSTPGEMKVKAVKDASPGYRFSATKDAENDKMFDLKIFLINIVSHIQYLKLVSEGTNSSLSTMKIPFALVLTFGLVMIISKISVDCRRLEENPLDFSLQGVKGKDYMLDHNKVVFGSTIGGRSDEKIKKNVKSMGEKKGKATVDPSFGIPFGVTKNANDNNRNSNVKNNKYDGDTFAHTLGMSADSHHQISIDEYRRMFGDLPKHP >Ma05_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33891526:33891937:1 gene:Ma05_g22140 transcript:Ma05_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKVEHSYLETRGLSLHIAHVGKGELGAVLFIHGFPEIWYSWRHQMNAVAKAGFKAIAPDLRSYGLSTQPSETEKTTWEDLVADLLAILNFLSISKVPFWLKQ >Ma05_p29400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40092220:40092778:1 gene:Ma05_g29400 transcript:Ma05_t29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVQSSPLLLAVLLLLSTAAAAGGSGKQPPLGWIPSLAGCRGTIAECLGDEELDLGAVVSRRVLATSSYISYGALRADTTPCSQPGASYYNCQPGAQSNPYSRSCSAITQCRS >Ma07_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11344746:11352923:1 gene:Ma07_g15110 transcript:Ma07_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSGEAFDLEAKRRDDDSWHPCRITLSSNSAVFGISLNFESCDEEDIISSREDLMGRLRFRSNPVQDNCSHLRGGEKVLVMNIVQSKCFYFDAVIEKAYRVRHSRRLHCRCTFVVKWLVPKLKGITINVPSKSIMKLSDKKIDSHPVVAAFLAALKPIPDVKVLPFLNSLEEATCEANLPQDLEKQVEMISKLADGSEPSKDVLSKCKQANSARRRRSTITSDTVSLINQGNSRRITRSQTKVNAELVKHCDNAPLLNPLAAQAALASLVHGQLADKQESSIFRLQDCLSDLPLNVEKKQDDHLYLEVEATAIQSIACTMDDVIELPNIVQEVTKQRLIKDLKLNSSITISRGDVVKFFPDESPYSVTLNKKSGREANESENGCLVSSATKDHPTKLAIKGKLNGGGVSTRLTRSGVKRRIFESTEKESSNEKFPSLVSTKLSCSGPQILTKIINQYQDSGERNEIIEIVDLDASEDDESSKRELVFTSMETIIDRTQERKKRPRRSSVEFIEVDQGGEISQPKKKRESSKKPILRFSRRLRLLPGSRSQS >Ma11_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21947779:21975531:1 gene:Ma11_g16440 transcript:Ma11_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ homolog subfamily C GRV2 [Source:Projected from Arabidopsis thaliana (AT2G26890) UniProtKB/Swiss-Prot;Acc:F4IVL6] MDFVSRHAASASANHHHPPPVEAAPAPVPAGPAVPEEPEYLARYMAIKHSWRGRYKRIFCISSSGIVTLDPSTLVVTNSYDVAADFEGAAPVLGRGDDVGSQEFTVSVRTDGKGKFKAIKFSSRFRASILTALHRLRWGKLGPVMEFPVLHLRRRTSEWIPFKLKVTATGAELLDGQSGDPRWCLDFRDMDSPAIILLADNYGNRSVDSGGFVLCPMYGRKSKAFTAAAGASNSAIVSYLTKTAKTTVGLLLSVNSSQSMTIADFINKRAKEAVGANETPYGGWSVTRLRSAAKGTANVESLSLGIGPKGGLGEQGDSVSRQLILTKLAIVERRPDNYEAVIVRPLSAVSSLVRFAEEPQMFAVEFSDGCPIHVYASTSRDSLLAALRDVLQSESQSPVPLLPRLTMPGHRIDPPCGSVCSHKHQLSPSQQHPVVDVETASMHLKHLAAAAKDAVAEGGSVPGSRAKLWRRIREFNACVPYGGVPPNLEVPEVVLMALITMLPATPNLPPDAPPPPPPSPKAAATVIGFIACLRRLLASKSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVSMLIGGGPGDSSILVDSKGETHATYMHTKSVLFAHQNYVTILVNRLKPASVSPLLSMSVVEVLEAMLCEPQGETTQHTTFVELLRQVAGLRRHLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPAGERRDVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRIDAASEDQNQLNEEVLLTRRRQRHILQQRKGRFGRSIASQELGLSPLQNVQDGDLAKQTPSAFLGAQSDLKPLQESNFGQYLVSSFAHPGASQISEPSYAFPQNSGSGVVISDNFHQMSQKLDPNASASVDAAVNFVGSMDSDFPAPAQVIVENTPVGSGRLLCNWHGFWRAFELDHNRADLIWNERTRQELREALQAEVHKLDVEKERTEDILPEGGILEVNNVQDSAPKISWNYAEFLVSYASLSKEVCVGQYYLRLLLESGSSCGAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAIPDELGSSDDWCDMGRLDGFGGGGGSAVRELCSRAMTIVYEQHYKTIGPFDGTAHITVLLDRTDDRALRHRLLLLLKVLMKDLSNVEACVLVGGCVLAVDLLTAAHEASERTSIPLQSNLIAATAFMEPLKEWMFIDKDGTQVGPMEKDAIRRCWSKKTIDWTTKCWASGMADWKRLRDIRELRWALAVRVPVLTPIQVGEAALAILHSMVSARSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAILTGEPSIVEVAASLLKAIVTRNPKAMVRLYSTGAFYFSLAYPGSNLHSIAQLFSVTHIHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLSQHCHSLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISMDDIIIGKNRQPSEAEDDKYNKPSQIDSIDEERLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQATMQGLQGPQVWRLLLLLKGQCILYRRYGGVLEPFKYAGYPMLLNAVTVDEDDSNFLSSERAPLLIAASELIWLTCASSSLNGEELIRDDGIPLLATLLSRCMCVVQPTTPANEPATIIVTNVMRTFSVVSQFETARAEMLKFGGLVEDIVHCTELELIPAAVDAALQTAAHLSASSESQDALLAAGLLWYLLPLLLQYDSTAEENGLNEAHGVGASVQIAKNIHAVLATQALSKLCGVCEDGVSIPYNQPAASALRALLTPKLANMLKSRASKDLLSNLNANLETPEIIWNSSTRAELLKFVDQQRANQRPDGSYDLLESQSFTYQALSKELHVGNVYLRVYNDQPDYEISEPEVFSVALLKYISELVHTLKDLDVAAANTFDHNFSSPELSALQNGAVSVASDKEKANEFLKVSGVDERREQEALAIKNLQIGLTSLQNLLTSNPSLAAMFSTKEQLTPLFECLTVVVPVESNIPQICLTVLLLLTTYAPCLEAMVAERANAILLLQILHRNPACREGALAVLYSLAGTPELAWAVAKHGGVVYILELILPMHEEIPLQQRAAAASLLSKLVGQPMHGPRVAITLARFLPDGLVSAVRDGPGEAVVSSLDQTTETPELVWTPAMAASLSAQLSTMALDLYQEQMKGRLDDWDVPEQASGQHVMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSIAATHYESRAADSELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAFEGSREKMASEEVTSASNDGHKETEDAQSDSSSQTPQERVRLSCLRILHQLASSTICAEAMAATSVGTPQVVPLLMKAIGWQGGGILALETLKRVVVAGNRARDALVAQALKVGLVEVLLGLLDWRAGGRHGLCAQMKWNESEASIGRVLAVEVLHAFAAEGAHCGKIRDILNASDVWSAYKDQKHDLFLPSNAQSAAAGVAGLIESSSSKFTYALTAPPPQPAPMRLPPTASAANSNEK >Ma10_p31120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37335777:37335908:1 gene:Ma10_g31120 transcript:Ma10_t31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPQIRGLMKTNICSLNNNRNKVRPLGSCKSKSKNVTSSMRF >Ma06_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15290804:15292627:-1 gene:Ma06_g21160 transcript:Ma06_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISEGSGGGKPQRRTCSCTKQDFLPEESFQSWANYGKALKETGMRLKDRVMSRSLDKSELTEIRARSGHEMKKNLTWWDLIWFGIGAVIGAGIFVLTGQEARDDAGPAVILSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVSRSWTSYFATLLNHHPNDFRIHATSLDPDYSHLDPIAVVVITLVCVAAVLSTKATSRFNYVASIIHLAIIIFIIIAGLTHANTKNLSEFAPFGVRGLFSASAVLFFAYVGFDAVSTMAEETRNPAKDIPLGLVGAMTVTTVCYCLLALTLCLMQPYAQIDPDAPFSVAFEAIGMEWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPWFAEVHPTTGTPINATVVMLVATAIIAFFTNLNILSNLLSISTLFIFMLVAVALLVRRYYVSGETTDTNRNKLLGAIVLILASSIATAAYWAAGGEGWVGYVVTVVVWFGATGFLWWAVPQARAPQTWGVPLVPWLPSASIAINIFLLGSIDGPSFVRFGVWTVLLLIYYFFFGLHASYDTAKASAADGAGV >Ma06_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8193374:8196344:1 gene:Ma06_g11750 transcript:Ma06_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTADHGGKKGPDGDRSASYAPYPKLTPEDIAPPPAAATTMPPESNPYVVPSAASSSSNNTMDTVRVVLEKFGKKVNVAAKKTEDFAGDFWQHLKTGPSIADAAMGRIAQTTKVISEGGYDNIFHQTFKTLPEEKLKKTYACYLSASAGPVMGVLYLSTAKLAFCSDNPLSYKVRDQTQWTYYKVIIPLHQIRSVNPTASNVKLGEKYIQVVSIDNHEFWFMGLVNYDSAVKNLQEAVQDARI >Ma01_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5535088:5539009:-1 gene:Ma01_g07630 transcript:Ma01_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFDVLGVPSTRPISWEGSEMETAFQDGKEEVIQAWYMDDSEEDQRLPHHREPKEFVSLDKLAELGIVSWRLNPDDYENDEDLKKIREARGYSYTDICDVCPEKLPNYEAKLKSFFEEHLHTDEEIRYCLEGSGYFDVRDKNECWIRIAVKKGGMIVLPAGIYHRFTLDTNNYIKAMRLFVGEPVWTPYNRPHDHLPARQEYLNSFVINEAGDRAVEAR >Ma10_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31509036:31511069:-1 gene:Ma10_g21940 transcript:Ma10_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHSEESTVTEKIEEEPRKPAGSSSSSSDSESDCEEPVQSSSVKAKVYRLFGREKPVHQVLGGGKSADVMLWRDKKISAGALSAATAIWVMFELVGYHLLTFVCHGLILSLVILFLWSKACTFINKSPPRIPEVSIPEDQAVQVARTLRYEMNRALAVLREVALGRDVKKFLTVIAGLWLLSMVGSSVNFLTLVYILFVTLHTVPVLYEKYEDKVDAAAEKAMAEIKKQYAVLDDKVLSKIPRGPLKDKKH >Ma04_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18184508:18186267:-1 gene:Ma04_g17610 transcript:Ma04_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGMGLNLLLLLAMVATNILSLYHLSSTRSPTPSSTTPADVPDHLLHQLHTIRATISHLTRLRSSSSSSSAAASAPPPELLLYSRIAPIASSCSDHPDLLHRYMNYTPFAACPRDDAGAVAEALILRGCHPLPRRRCFSPTAPKVPASLPSDPFHAALPDAAVLWPLSAACRSFSCLPPALGFDPKIEATRFLSARSVLDLPLTQLLNLARSAGAAPIRLGLDVGGGTGTLAVQLRRMANATVLTTTLDLGAPYSEAAALRGVVPLHAPLQQRFPVQDGVLDLVRTGHAVNRWIPGPALEFLLYDADRVLRPGGLLWIDHFFCRAGDLDAVYVPMIGHLGYRRIKWTVANKTDAGGLKNGEVYLTALLQKPLLAASTAVKASSS >Ma05_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20284764:20286498:1 gene:Ma05_g17440 transcript:Ma05_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPNNHANGDHHSNRPYPPPEARRQRHYVGPSISTSSSAASFKGCCCCLFLLLTFLALLAVAIALVVVLVLKPKKPQFDLQQVVVQYLLVAPAASAIGATVGGGGASSPAAYLSLNITLLLMADNPNKVGIRYEAAALDVMYRGLPLGVATVPRFEQPARSRRLVQTRVVVDRFNVLQSDALNLVRDAALNDRVDLRLTGDVAAKILVFGIPTPRVQASVDCAVAISPRKQSLTYKQCGVDGLNF >Ma08_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1958599:1965912:-1 gene:Ma08_g02600 transcript:Ma08_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRSVGAVVEEDREPIAEEAVQKKAKRDCSVSSEVAGSSAMEEENNRANGTEVDANGNRKRSEIDEDLHSRQLAVYGRETMRRLVASNVLISGLQGLGAEIAKNLVLAGVKSITLHDEGDVELWDLSSNFFFSEDDIGKNRALACVLKLQELNNAVTVSTLTGTLSKEQLSCFQAVVFTDLSLEKAIEFDDHCHNHQPSIPFIRCEVRGLFGSVFCDFGPEFTVFDLDGEEPHTGIIASISSDNPALVSCVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKIKSARPYSFILDEDTTQFGAYKKGGIVTQIKEPKVLRFRTLREALVESGDFLLSDFSKFGHPPLLHLAFQALDKFRYEMGRFPVAGSEDDAQKLISLVISINESLGDGKLEEVDKKLLHHFANGCKAILNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPPEPLEPSDVKPLNCRYDAQISVFGSKLQKKLEEAKVFIVGSGALGCEFLKNLALMGVCCGQKSKLNITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAVSINPSLHIEAFQNRASPDTENVFDDAFWESTDVVINALDNVTARMYIDARCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNAFLSNPSAYASALKSQGDAQARDLLDRVLECLDKDRCETFQDCINWARLRFEDYFSNRVKQLTFTFPEDAITSTGVPFWSAPKRFPRPLEFSSGDLGHLHFVMAASMLRAETFGIPIPGWSKYPKKLADAVDKVIVPEFQPKTGVNIVTDEKATSLSSVSVDDAAVINDLLAKLEECAKKLPPGFRMNPVQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATATAMATGLVCLELYKVLAGGHKVEDYRNTFANLALPLFSMAEPVPPNVMKHRDMSWTIWDRWIVKGDLTLRELLRWLKDKGLNAYSISSGTSLLYNSMFPRHNNRMDRKVVDLIKEVAKVEVPPYRRHVDVVVACEDDKDGDDVDIPLVSIYFR >Ma04_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9260980:9264594:-1 gene:Ma04_g12280 transcript:Ma04_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRSLPLSRRDLAAATVVFAIFGVGLAGLYLSMPASDYSFLKLPRTLEDIRILRDNLESYTNDYTVQVLICYFTVYIFMQTFMIPGTVFMSILAGALFGIAGGMVLVILAATAGASSCYFLSKIIGRPLVFSLWPDKLSFFQAQVAKRREKLLNYILFLRVTPTLPNTFINMASPIVDVPYSIFFLATLIGLIPAAYVTVRAGTALGELKSVADLYDFQSIATLFFIGIVTVTPTLISKGQK >Ma01_p06600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4728177:4746538:-1 gene:Ma01_g06600 transcript:Ma01_t06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MASSPSSSVSVECANLCKLYKGEGGGWYECSVLSCAWKAPRVLTGSLASTTLPRCSAHHRDEKVRVRRKRWLCQVNAARSEDLNEGQLRCTNSVDFSVYEWSSRSHITLQTSKKWRLGCASSNSSFSDSISPDSLWEDLKPTISYLAQEELKLVHDALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTKLVTFDRIEKEFGATVRHIVEGETKVSKLGKLKCKSADSSVQDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIALETLQVFAPLAKLLGMYQIKSELEYLSFLYTNPSDFVELKKRVEDLYRDYEKELDEAKKILRQRIEEDQFLDLVSVKTEVRSVCKELYSVYKAVLKSKRSIHEINQIAQLRIIIRPKTCNGVGPLCSAQQICYHVLGLVHEIWTPIPRAIKDYIATPKPNGYQSLHTTVMPFLYESMFHLEVQIRTEDMDLIAERGIAAHYSGRVVPGLVAKEIPGGRNSKGKTTCLNNTDFALRIGWLNAIRDWQQEFVGNMSSREFVDTVMRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYMIHTDIGNNMVAAKVNGNLVSPMHILANAEVVEIITYNALSSKSAFQRHQQWLQHAKTRSARHKIMKFLREQAALAATEITADTVNDFVADLKDGGEYEQTFSRSPSNERKSIWEKMLAIAKESTSTERKHDLLPVRNTSDLPKINGKYNKIVQKMCMKINGNPMTRDDAFSEFIYGKIPTYKEVLPGLESWKAGKIALWHHVEGNSIMWFCVVCIDRKGMMAEVSSALTAVGIRICSCVAEIDRRRGMGVMLFHFEGTPDNLVNACSSVDVILGVLGWSAGCSWSSPMDDHNFL >Ma01_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4728177:4746538:-1 gene:Ma01_g06600 transcript:Ma01_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MASSPSSSVSVECANLCKLYKGEGGGWYECSVLSCAWKAPRVLTGSLASTTLPRCSAHHRDEKVRVRRKRWLCQVNAARSEDLNEGQLRCTNSVDFSVYEWSSRSHITLQTSKKWRLGCASSNSSFSDSISPDSLWEDLKPTISYLAQEELKLVHDALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTKLVTFDRIEKEFGATVRHIVEGETKVSKLGKLKCKSADSSVQDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIALETLQVFAPLAKLLGMYQIKSELEYLSFLYTNPSDFVELKKRVEDLYRDYEKELDEAKKILRQRIEEDQFLDLVSVKTEVRSVCKELYSVYKAVLKSKRSIHEINQIAQLRIIIRPKTCNGVGPLCSAQQICYHVLGLVHEIWTPIPRAIKDYIATPKPNGYQSLHTTVMPFLYESMFHLEVQIRTEDMDLIAERGIAAHYSGRVVPGLVAKEIPGGRNSKGKTTCLNNTDFALRIGWLNAIRDWQQEFVGNMSSREFVDTVMRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYMIHTDIGNNMVAAKVNGNLVSPMHILANAEVVEIITYNALSSKSAFQRHQQWLQHAKTRSARHKIMKFLREQAALAATEITADTVNDFVADLKDGGEYEQTFSRSPSNERKSIWEKMLAIAKESTSTERKHDLLPVRNTSDLPKINGKYNKIVQKMCMKINGNPMTRDDAFSEFIYGKIPTYKEVLPGLESWKAGKIALWHHVEGNSIMWFCVVCIDRKGMMAEVSSALTAVGIRICSCVKLTEEGVWV >Ma06_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7831095:7833362:1 gene:Ma06_g11150 transcript:Ma06_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIASHLIRELLSRGHTVRATVRDTEDVAKVGFLWSMGGAKERLKLVKADLLVDGSFDLAVDGVDGVFHTASPVFVPQDQNVQQALIDPAIQGTKNVLKSCSKASSVRRVVLTSSCSSIRYRYDVTLVSPLNESHWSDPEYCKRYNLWYAYAKTLAEKEAWRLAGHFGINLVVVNPSFVVGPLIAPRPTSTLLLILSILKGKYTYVPISCSESQTSRKHLSLALLKPDHLLKGNISWYPNTTVGFVHVDDVIFSHILAMEDSRVSGRLICSGSVARWSEIVEMIRANNPSYPMPSKRNDQEGDSNPHSMDTTKIRELGLPCFKTLPQMFNDCIKSFREKGLL >Ma04_p29050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30002777:30013707:-1 gene:Ma04_g29050 transcript:Ma04_t29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNGKGRPPKRKVTDDAKMVKAAVASEKPHNNLENGKVTCKRPKRAAACSNFKEETVRISEKSVFVETKKVRIEEDEEVAIDLTRLGAEDLPPCRRLVDFTFHDADGNAQPFEMSEIDDCFITAIIMPMDDNMEKEKERGIKCEGFGRIESWSISGYDEGLPIIWVSTENADYECVKPASSYKKFYDLFYEKARTCIEVYRKLARSVGGNPNLSLEELLAAVIRSMSGTKNFPGGLSSREFVISLGDFVYNQLTGLDETSANTDVHLATLPALMALRDECRSRSESCKLAPRMPNGNLQINEGEKLDATEDDDEKLATILQEEEDWKAMKQQRGRHAMNSQKNIYVKISEAEIANDYPLPAYYRPSTNEMDEYIFFESESHMSYSDLPRRILDNWALYNSDSRLITLELLPMKPCAEVDVTVFGSGQMREDDGCGYFLDEGLGQSSSSANPSYNEGVPTYLSAIKEWMIEFGSSMIFISIRTDVAWYRLGKPTQQYVPWYEPVLKTARLAIAIITLLKEQTRVSKLSFVDVIKKVSAFDKSHPAYISSNLALVERFIVVHGQIILQQFAEYPDEKIRKCAFVSGLSDKMEQKCHTKLIMKKRSVVRKEANLNPCAAIGPVISNRKAMRATTTRFISKIWGDYYLNYFPEDSHDGDPCESIVPEEEQEDNDDEEVDEEMTLVQVEKAPMPHSSSRSCHSKSSYKEIRWEGKSIGQMDSGEALYHNAVVRGQIIAVGGAVTTEVYEADVPAILFVEYMFEKHDGIKMVHGRMMHRGSQTILGNAAAEREVFLANDCMEIELGNVKESIVVDIRLRSWGHKYRKEYANADKIDRAKAEENKRKGLPMEYYCKNLYYPEKGGFFALPYSSLGLGSGFCNSCKERTLQVDEFILSSETSFVYKKIEYSVQDFMYVQPQFFAEGKEEDHGTFKAGRNVGLKAYVVCQILEIQAPTGSKKPTTESTKVKVRRFYRPEDVSSSKAYSSDIREVYYSEEILTVPVEMIKGKCEVRKKVDLPNLELPVVLDHVFFCEYSYDPVKGTLKQLPAKTKLTSLARKADPYTSRKKKGKEKCEDNQQNFSDKRKDEPCENRLATLDIFAGCGGLSEGLQQSGVSYTKWAIEYEQPAGEAFSENHLETLMFVDNCNVILRAIMEKCGDADECISTSEAAELAAAFDEEKLKNLPVPGEVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFAEYFRPKFFLLENVRNFVSFNKGQTFRLTLASLLAMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEEILPEWPEPMHVFAGPELKISMPMNVQYAAVRSTAGGAPFRSITVRDTIGDLPPVENGASKSTINYGSEPMSWFQKKIRGSMLSLNDHISKEMNELNLIRCQRIPKRPGADWRDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWDGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDSYRFSGNIQNKHRQIGNAVPPPLAYALGTKLKEAIEAKLSSV >Ma04_p29050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30002777:30012362:-1 gene:Ma04_g29050 transcript:Ma04_t29050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARELIGLDSTEMKKNGKGRPPKRKVTDDAKMVKAAVASEKPHNNLENGKVTCKRPKRAAACSNFKEETVRISEKSVFVETKKVRIEEDEEVAIDLTRLGAEDLPPCRRLVDFTFHDADGNAQPFEMSEIDDCFITAIIMPMDDNMEKEKERGIKCEGFGRIESWSISGYDEGLPIIWVSTENADYECVKPASSYKKFYDLFYEKARTCIEVYRKLARSVGGNPNLSLEELLAAVIRSMSGTKNFPGGLSSREFVISLGDFVYNQLTGLDETSANTDVHLATLPALMALRDECRSRSESCKLAPRMPNGNLQINEGEKLDATEDDDEKLATILQEEEDWKAMKQQRGRHAMNSQKNIYVKISEAEIANDYPLPAYYRPSTNEMDEYIFFESESHMSYSDLPRRILDNWALYNSDSRLITLELLPMKPCAEVDVTVFGSGQMREDDGCGYFLDEGLGQSSSSANPSYNEGVPTYLSAIKEWMIEFGSSMIFISIRTDVAWYRLGKPTQQYVPWYEPVLKTARLAIAIITLLKEQTRVSKLSFVDVIKKVSAFDKSHPAYISSNLALVERFIVVHGQIILQQFAEYPDEKIRKCAFVSGLSDKMEQKCHTKLIMKKRSVVRKEANLNPCAAIGPVISNRKAMRATTTRFISKIWGDYYLNYFPEDSHDGDPCESIVPEEEQEDNDDEEVDEEMTLVQVEKAPMPHSSSRSCHSKSSYKEIRWEGKSIGQMDSGEALYHNAVVRGQIIAVGGAVTTEVYEADVPAILFVEYMFEKHDGIKMVHGRMMHRGSQTILGNAAAEREVFLANDCMEIELGNVKESIVVDIRLRSWGHKYRKEYANADKIDRAKAEENKRKGLPMEYYCKNLYYPEKGGFFALPYSSLGLGSGFCNSCKERTLQVDEFILSSETSFVYKKIEYSVQDFMYVQPQFFAEGKEEDHGTFKAGRNVGLKAYVVCQILEIQAPTGSKKPTTESTKVKVRRFYRPEDVSSSKAYSSDIREVYYSEEILTVPVEMIKGKCEVRKKVDLPNLELPVVLDHVFFCEYSYDPVKGTLKQLPAKTKLTSLARKADPYTSRKKKGKEKCEDNQQNFSDKRKDEPCENRLATLDIFAGCGGLSEGLQQSGVSYTKWAIEYEQPAGEAFSENHLETLMFVDNCNVILRAIMEKCGDADECISTSEAAELAAAFDEEKLKNLPVPGEVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFAEYFRPKFFLLENVRNFVSFNKGQTFRLTLASLLAMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEEILPEWPEPMHVFAGPELKISMPMNVQYAAVRSTAGGAPFRSITVRDTIGDLPPVENGASKSTINYGSEPMSWFQKKIRGSMLSLNDHISKEMNELNLIRCQRIPKRPGADWRDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWDGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDSYRFSGNIQNKHRQIGNAVPPPLAYALGTKLKEAIEAKLSSV >Ma10_p29510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36065092:36088511:1 gene:Ma10_g29510 transcript:Ma10_t29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEKDYVPMLSGNIEMRRFTARSASMSVPPNASYDGEDSLVFHTGPLRTQRTTEYGVAVGPLSRNRKPENHWTGHGKLHNRSQAVMPEVLGGGAFPDTNYVTRNEHLLMSGPLGLCNNPDCTECPAVYKAKRSHQKSSVSLEKKLHNILYGDDDRWVKKWISGLNSYFPIMNPHTKVVQQWNKFFVISCLIAIFIDPLFFFLLSVQKNNKCIVFNWSLATAIAVVRSLTDFIYLLHIILQFRLAYVAPESRVVGAGDLVDQPKKIVLHYLRGYFLLDLFVVLPLPQVMILAVIPNYVGSSEANYAKNLLRVTVLLQYIPRIIRFLPLLGGQSASGFIFESAWANFVINLLMFALAGHVVGSCWYLFGLQRVNQCLQDTCSATPNNFNCSTLIDCGRGHAIQNDARQQWVHDNNSSACFNTNGDFDYGIYQKTVLLTTKSSAVKRYIYSLFWGFQQISTLAGNQVPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVERWMSHRRLPEPLRRKVRQAERFSWAATRGVNEEELLENLPEDIQREIRRHFFKFLNKVRIFTLMDEPILDAVCEKLRQKVYIGGSAIFYEGGTVEKMVFIVRGKLESIGADGNVAPLSEGDVCGEELLTWYLEHSSINKEGGKIRFPGSRLFATRTVKCITNVEAFALRAADLEEVTTLFSRFLRNPRVQGAIRYESPYWRNMAATRIQVAWRYRQRRIKRVSTNSSSRAR >Ma10_p29430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36032073:36033247:-1 gene:Ma10_g29430 transcript:Ma10_t29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTGVSAFPEGENIFSWIGTIEGSKGTPYKGLLYKLSLSFPLVYPFKPPLVKFETPCFHPNIDQCGNICLDILQDMWSSAYDCRTILLSIQSLLGEPNNESPLNSYAATLWSNQEDYKKMVHKQYMDNI >Ma08_p25960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38629094:38631076:1 gene:Ma08_g25960 transcript:Ma08_t25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKDVDRITGPWSQEEDEALQSLVQRHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRHFTAEEDEIIVRAHRRFGNKWATIARLLSGRTDNAIKNHWNSTLKRKYSTACPVDNELRHRYDAITTEKEAAAHREDEAVATRPLKRASSDGLTLLSGGAGLCLSPGSPSRSDLSDSSHHSHSLISPPAAASHIHQPFPRTGGVVTLSFSPSQHHHHGGPITAAADPTSLKNDDGPTTFLTLSLPGSDQIHTSSHRHVLAGPNTNNQNQLQPLPSSAHAPHRMMQRCSATAASPSGRARIPNADDERRSAPFSFSGEFMAVMQEMIRSEVRSYMVELEQGRMVCGTQPPPCNAAAKRVGVTDAE >Ma06_p36630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35947425:35953679:-1 gene:Ma06_g36630 transcript:Ma06_t36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGPLRSFSLLSRHLRSLAPLLSFSSPPGAHPLRLFHPFVASPGSFPGRLRPSAAGALAQKIGKAVRSPGAPSRARVYADINVHRPKDYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVRATDKEKCIIKILKPVKKKKIKREIKILQNLCGGSNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHQQRKLRLIDWGLAEFYHPEKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNACLKKYQLELDPQLEALVGRHSRKPWTRFINADNQHVAVPEAVDFVDKLLRYDHQERPTAKEAMAHPYFNPVRSPESSRTHA >Ma01_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20588484:20596906:-1 gene:Ma01_g21170 transcript:Ma01_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGQVRRLQDDDIQSNVLEIVGSNVQSTYITCPADPSATLGIKLPFLVMIVKNLKKYFTFEIQILDDKNVRRRFRASNFQSVTRVKPFICTMPLTLEDGWNNIQLNLADLTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPQEFKLYLPMQKA >Ma11_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6986253:6987156:-1 gene:Ma11_g08800 transcript:Ma11_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTVQSTAVVGGLSLATTAGFMVAAVLVIFILLIFVFVLYLNAKRWNGANPVSGRGRARARLVFVAEPAAGPQQRGLDAAVIEALPSVSFRPEDFEEAVECAVCLCRLAEGEATRLLPKCNHAFHRECIDMWFYSHSTCPLCRNPVVLDEPETADNSTAAVASDARAGGSSSHSPANLSQASVGGSGSASVCSASRLEGALAIEITWGEVDGFQAPSRLPEEDLRSPTAAALRSLRRLLSCGSRMAGDVEQGCLPVPKPPPSS >Ma09_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10204414:10223002:-1 gene:Ma09_g14890 transcript:Ma09_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDASALLLDALQSCDYRGLYDRFASFLLPFGDFVPLHSHNVNPPATKKGATTTTSSSVSATAAPKKRGPPKKKKKLEPDPAALRPLAKQFLPFLCRALKHLPALLRKSPKSTNVDVDDRRIVELLAVYRLLLDCLACIGPCLAGKPYSVHLQRGRLVVCLEACGRYAEAEEEALALLESLGAILVEAASMPKSRKMKIAGGGCFLPDPEQVGADDPEITMLVIEVITVLSGCAYKSKITKEVAYDRILTLVDQVQPWLRFLNPEALQKYQVLLVNTLYKCSLFLVEEYKDFEKELVQRFCIRMLRECIISRSVDRFASMVRKITSSINLQWAGGSFLLLGILNLTLESIVCSCKVDLLEAVNEFLEIVSYFASRICAANIDICRSSANLLYEQGEDVLQVSSLVASILTLYASGLHFKYSSIQPKESVSDFHSSNNANTIMLFHDCGVDLQKLSIILDSLASSFHMSSYVNETVFNSEIKDSRVLPAVASESGKFDACMQTHGKTSLISYIDALEFLCKPIVENVNTAWKNLTPEQESILHSDTLNYVQKVLHQFSDLILAASRHSDISERVEQRLNESHGTLLQVAVAAFRISLLIGGKYQKSLLHINCIISSTWIEPQELKFLISAISNVGAVCYNNGWLEKASKALQLCIEIIWTYVKLMCGTYSSKSKGVYSDNMAEVQYKDAINDALSRIATVIDFLYKCGAKNIKEIIIKSLYKLSAAEDILHDMTGLLTLMKQWVKITCKEFKDVDAVDNAPVLYSSLLDYHSTWSMKIISIILEQELVAYNLMESRNPKLCQKMELKVMDFLLKDVYTSKDYNLQRSRVLVTKGRAFRAHGTEGLSKCLECLSEAISLLRFISDDSSQDIASVSHQLALTYILYAHCAQEANQDCGVILHNVHCALNLWSEMNVQGYCSPSNYHQWGTMSILPLLCSMVDLLSLKGCLKFQLEICKVIIRFSMLENMLSEKCIFMLWSNRRLNHSLCSSPIDEVFVLNISEQFGLNVNFLDYWINCIKQHPPSQCMLLQKLFPNDFVLSEATGHSSKRPFGAQISTEEVKEVATSLVAEVPFTYQSAFIAAYLYHDLSERLFSNGRISEALLYAKEALCLRNKILRRKFIYTVVEQSAQSKSDGVTQYRNDHISLVPISNVITDVWPDFNKSGNLDDSLLSPWSVLRCYLESTFQVGIIHESTGNGAEAECLFRIGKNISCLQGFPVLAIAFTMLLGQLYRRKHQWDLAENELKSAKKLLVEYDNIISCTRCKMVLEVTIDMQVGDLYRSLFDKGTQIKSTGSLSDALGLYRSALEKLELAEMVSCIDICQKPEANGGILQNDDSIKEASNRIQQTIKLCSSTKEENSSVCSICRSLNSHKSVFHTRQVPKESDEISLLKTVNRKSQVKNISKKSLRCSTKNLNHQSKARRNGSSNQDSNVMNATSVGYSKFNGSANHELCTEAISCGELLKEHNGAAEADCGDKEEWKCSKMECWRCLIHQVMETGFMQNIIHLHWECHRRRLVLMLLLKIAKCLGTHNGKHGEHEVHEVFGQCVLVMFNWKSLKHCGMPDSSLIECVVDESLGDFFRIERAAVLYNMSWYSLKKIISEHPRIPCCSLSKIQMSTVLRWLLKAFILCQESPLLFQKVSRLLSSIFLLTTLDGSISLPLQTENSISLNHWAAYFHQVSVGTYLHCQYYSRDKACSSKTPEMAITLIA >Ma03_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14878867:14881573:-1 gene:Ma03_g15120 transcript:Ma03_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRERPRAFTACLLLLLLLLSASASARAGATTHSRAEVNCSNRCVAENCNSIGIRYGKFCGVGWTGCPGEKPCDELDACCKVHDECVEKKGMMSVQCHEKFKTCIKKVKKSGKVGFSKECPYETAMPTMMQGMDMAILFSQLGIQKAEL >Ma01_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14179348:14180883:1 gene:Ma01_g18820 transcript:Ma01_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGKKRVRAEESDDGKKPQELDLSGMSLDSLPNPSINLGAIAKLDLSNNNLQCIPESLTARLLNLVVLDVHSNQLRALPNSIGCLSKMKALNVSGNLIESLPKTIEDCRALQELIANFNQLTKLPETLGFELTDLRKLAVNSNKLAFLPYSTSHMTSLRVLDARLNCLRSLPDGLENLIRLEVLNVGQNFHYLQSLPYAIGLLVSLVELDISYNSIGALPSSMGCMAKLRKFQAEGNPLVCPPMDVVEQGVESVRGYLSARMNGSDTAGSSPAKKNSWIKKLVKCGTFSGGMISSNISVGDENDGFLLSDYRSIDGLASPRYVGIFSPRRLFSPRRASPRK >Ma03_p32390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34206529:34207351:-1 gene:Ma03_g32390 transcript:Ma03_t32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNTRPSAGDLKSILESVGAEVDEKRVDLFLSEVKGKDLAELIAAGREKFASVPSGGAVAAVAVSAPGAGAAPAAEEPKKEEKVEEKEESDDDMGFSLFD >Ma07_p03250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2512382:2516837:1 gene:Ma07_g03250 transcript:Ma07_t03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNGAASTETRTVPRLNERILSSMSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDLSELPPHRLAEIRRFFEDYKKNENKEVAVNEFLPATTAREAIQYSMDLYAQYILQSLRQ >Ma07_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2512331:2516837:1 gene:Ma07_g03250 transcript:Ma07_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNGAASTETRTVPRLNERILSSMSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDLSELPPHRLAEIRRFFEDYKKNENKEVAVNEFLPATTAREAIQYSMDLYAQYILQSLRQ >Ma01_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24517769:24520892:-1 gene:Ma01_g22390 transcript:Ma01_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSHIAVPSWLLPLLLGLFSLLFRHIDAEVQYHDFVVQATPVKRLCKAHNIITVNGQFPGPTIEVKNGDTLVINVVNRARYNVTLHWHGVRQMRTAWADGPEFVTQCPIRPGGSYTYRFTIEGQEGTLWWHAHSSWLRATVYGALIILPKENSSYPFTKPKREVPVILGEWWDRNPIDVVREATRTGAAPNISDAFTINGQPGDLYNCSNKDTTMIPVKAGETNLLRFINAALNTELFVAIANHKMTVVSADASYTKPFTTSVLMLGPGQTTDVLVTMDQPASRYYIAARAYASAQGVAFDNTTTTAILEYDCGCSEPGQGVPPVFPALPAYNDTGAASAFSAGLRSLSTVDIPGPVDENLFFTVGLGLFGCPPGKTCGGPNNTRMAASINNVSFVLPDSYSILQAHYQRVPGVFTTDFPAVPPVQFDYTAQNVSRSLWQPVAATKVYKLKYGSVVQLVLQGTNIFAAENHPIHIHGYDFYILAEGFGNFNAAKDNAKFNLVDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVDDGVGELQSLEAPPVDLPAC >Ma06_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1257949:1263710:1 gene:Ma06_g01540 transcript:Ma06_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDAVMQAAKKAKEAGSTHFCMGAAWRDTIGRKTNFNQILEYVKDIRAMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSRDICSAGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLLDQKPVEIWEMIRMIATARIAMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTSPNNNFDADQLMFKILGLNLDFSRGRRGSRRST >Ma01_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25447643:25448110:-1 gene:Ma01_g22600 transcript:Ma01_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTVCLGQELEPAVVFEVIGEPAIAIDGVPPMLPVSSVPVHKDITGFGSINDPCFGEWLEGRKVQKLFGDQYYSGTVVKFDTEVNWYKVIYEDGDSEDLEWHELEEVLLPLDISIPLTTLALQMCKLKQSASTSGITLPRTRKGYTKKGRKKED >Ma00_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9732977:9744233:-1 gene:Ma00_g01570 transcript:Ma00_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQNIFTRPSVFDGSLPISMVEDVTGLHPYQFWINVQYSIFFKEHKQDVATYITTASEQMVIDYISSPEIAFLKSLSGKLRSGKTKLIFRFLEVDDVEPSAKQTYKALLTDLSTIKSFASGILVPKSYIWPVNKDQYLEPHTSLVTDAHNLGLEVYASNFANDMPASYNYSFDPTAEYLQFIDNSNFSVDGVLTDFPSTASEAVACLAHNKNNTLPTEGRPLIITHNGASGVFAGCTDLAYQQAAEDGADILDCSVQMSKDGVVFCLDSADLMGHTTAIKTFMPRATVVPEIQKENGIFSFDLTWSEIQSLKPELTTPLSQSGLARNPAAKNQGKFMTLTDFLEFAKKSTVAGVLINIENAGYLVSKKGLGIVEAVSTALTNASYDKLPKKQVLIQSDDTAVLSAFKKNSGYKRVLYVKETISDAPKPTVDEIKHSADAVNLPRSSIVADSGFFVSAFTGVVDKMHAANISVYVSVLRNEFLAIAFDYFSDPMVELATYVAGVQVDGVVTEFPATLAAYLRSPCSNMNAKLPYSILPVEPGSLLSLVSPEALPPAEAPAPVLAVADIQDPPLPPVADLSDKASVTAAPQTSPPRSGQSAIAVNAALSLLIVMLSFVQLRFL >Ma11_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26414191:26417961:1 gene:Ma11_g22760 transcript:Ma11_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDHHHHHHHHPSTLLSMDPSGVSQPSLAAASAHDDYDHELLGQQRPQITLSGPPDINLPLAGDRSPPQQSWNPVSCDMLDVRLGTQVYDADTALNLPKVGIIPAGGRKCAKRGDSIWGAWFFFNFYFKPVLSEKFKGKIIHDANGFSGFDKSDLCPDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRLGEPQFPFSVDKGFARSHRMQRKQYRGLSNPQCVHGIEVVWSPNLSMVSEADCKKWVDLLGRDLNFSIPPEASDFGSWRNLPSTDFELERPPPPLKNISHLNSRKLLNGTGLNLSTQPSHAGGDCMDLSPKCSKRRKDFFPHGTDEDCCLSNNSYCQDMEIRPSEPSWVNEFTGVMRHACGPVTAAKTIYEDEEGYLIMVSLPFSDQQKVKVSWKNNLTHGIVKISCVSTARMPYIKRHDRTFKLTDPSPEHCPPGEFVREIPLATRIPEDANLEAYYDETGTVLEIMIPKHRVGSEEHEVHVCMRPPHLGSNELLLS >Ma01_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1509691:1510991:-1 gene:Ma01_g02230 transcript:Ma01_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGSIPSSLGRLTSLKTLSLSGNLLQGQLPPTLGSIKGLLQINMGRNLLTGPIPSAFSSLHSLESLDLSKNMLSGEIPSFVGKYQNLTFVDLSNNQFSGEIPMSLCSLSNAMDISLSQNKLTGQIPHQIDGLKSLTSLSLGANLLSGSIPESLSRLQKLWYLNLLNGSVPNNLTRLVNIRHASFRANRLCGQIPQSRPFNIFPTAAYAHNMCLCGQPLSPCKKP >Ma11_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8638978:8641838:-1 gene:Ma11_g09550 transcript:Ma11_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNSGRGGVGGGGGRGGGATGTMEEQELSLPILLAERVAKAVREAESFKLECADVGKQAEHLAAMLRSAARVAAASPSPSYDRPLRRVLAEAAKALERALALVRRCRRAGFLRRVVTITTGSTDFRKALALLDASAADLRWLLSIYSDEDGGGFAISLPPIASTDPILAWVWSYATTVQSPARAPADRAHAAQALATLALDNDRNKKLILEEGGVPPLLALLRDGPSVESQIAAATALSNLASDADNVSVILEELAVPIIVHVLSDSPMRLQTQVAGLVSRLAAHQPVAQDEFARENGIRPLVSLLCIGVPFDDIRPTQRKPSSIHSLVQGMGVPSSNSNASGSGGGSSRRSSFLLRDYYHQHRKDRENESPEAKLALKVACADALWMLAKGCLSNCRKITETKGLLCLSKIIELEKGELQQHCMMTVMEIAAAAEMDADLRRSAFRMNSPAARSVVEHLIQVSQQGSSVVLQISAIKAIGCLARTFPAKETKVLCPLVLQLGHWNPEVAAEAAKALGKFANPENFNCVEHSKTITEFAGVPVLMQLHRSGEKAQLPAVILLSYLALHIPKSEALERAKVLGALQSVARSPLAQDSSIRDMLPRAIYQLELFQVGLHSHRQMYEP >Ma03_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4614273:4615640:-1 gene:Ma03_g06700 transcript:Ma03_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFVEGGIAAVVAGCSTHPLDLIKVRMQLQGETLGPAVAFQSGAGAASLPHRPAVTTPPPKRLGPIAVGTQILRSEGVAALFTGVSATMLRQSLYSTTRLGLYDVLKKKWSPAGDGASLPIHLKVAAGLFAGGVGAAVGNPADVAMVRMQADGRLPPAERRNYRSVLDAIQRMARQEGVGSLWRGSSLTVNRAMIVTASQLATYDQAKEAILRRRGAGADGLGTHVAASLVAGLVASAASNPVDVVKTRVMNMKVEAGTQPPYAGAADCALKTVRAEGPMALFKGFVPTVSRQGPYTVVLFITLEQIRKLLEDF >Ma06_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11010481:11015745:1 gene:Ma06_g16260 transcript:Ma06_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLFEYANNSVKATIERYKKACSDTAGTGSVPQLNAQHYQQESAKLQQQINHIQSTNRSLMGEGLSSMSLRDMKQLENKLEKGISKIRTKKNELLNAEIEYMQKREMELQNDNVFLRNKITENERAQQQMNSLPSASEYEILTPFDSRNFLQVLQPAQHYSQHQQAGLQLG >Ma06_p25790.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26286577:26290207:-1 gene:Ma06_g25790 transcript:Ma06_t25790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLDILNEEYLEASSFYTQVPPVEPPRPMKGLHEVGPPPFLSKTFDMVDDPLTDQVVSWSLTSNSFVVWDPHAFAMTLLPRYFKHRNFSSFVRQLNTYGFRKVDADRWEFANEGFLRGQKHLLKTVKRRKPPSYPPPRQQSTGPFLEVGHFGLDGEINRLKRDKNILMAEVVKLRQEQQNTRGELQAMEERLQCTEQRQQQMMNFLARALQSPDFFQQLVQHQGKRKELEEAISKKRRRPIEAGPYHGEGETSQSQEIEPPFDVETGQIEGNYGPEVSELEDLALKIQGLGRNKEDEGKQEVKQEGGDTELNDEFWEELLNEQIEEEKGRSESRKGGDANDIGLLADRLGYLSSTSPK >Ma06_p25790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26286577:26290191:-1 gene:Ma06_g25790 transcript:Ma06_t25790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLDILNEEYLEASSFYTQVPPVEPPRPMKGLHEVGPPPFLSKTFDMVDDPLTDQVVSWSLTSNSFVVWDPHAFAMTLLPRYFKHRNFSSFVRQLNTYGFRKVDADRWEFANEGFLRGQKHLLKTVKRRKPPSYPPPRQQSTGPFLEVGHFGLDGEINRLKRDKNILMAEVVKLRQEQQNTRGELQAMEERLQCTEQRQQQMMNFLARALQSPDFFQQLVQHQGKRKELEEAISKKRRRPIEAGPYHGEGETSQSQEIEPPFDVETGQIEGNYGPEVSELEDLALKIQGLGRNKEDEGKQEVKQEGGDTELNDEFWEELLNEQIEEEKGRSESRKGGDANDIGLLADRLGYLSSTSPK >Ma06_p25790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26286577:26290191:-1 gene:Ma06_g25790 transcript:Ma06_t25790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLDILNEEYLEASSFYTQVPPVEPPRPMKGLHEVGPPPFLSKTFDMVDDPLTDQVVSWSLTSNSFVVWDPHAFAMTLLPRYFKHRNFSSFVRQLNTYGFRKVDADRWEFANEGFLRGQKHLLKTVKRRKPPSYPPPRQQSTGPFLEVGHFGLDGEINRLKRDKNILMAEVVKLRQEQQNTRGELQAMEERLQCTEQRQQQMMNFLARALQSPDFFQQLVQHQGKRKELEEAISKKRRRPIEAGPYHGEGETSQSQEIEPPFDVETGQIEGNYGPEVSELEDLALKIQGLGRNKEDEGKQEVKQEGGDTELNDEFWEELLNEQIEEEKGRSESRKGGDANDIGLLADRLGYLSSTSPK >Ma06_p25790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26286577:26290191:-1 gene:Ma06_g25790 transcript:Ma06_t25790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLDILNEEYLEASSFYTQVPPVEPPRPMKGLHEVGPPPFLSKTFDMVDDPLTDQVVSWSLTSNSFVVWDPHAFAMTLLPRYFKHRNFSSFVRQLNTYGFRKVDADRWEFANEGFLRGQKHLLKTVKRRKPPSYPPPRQQSTGPFLEVGHFGLDGEINRLKRDKNILMAEVVKLRQEQQNTRGELQAMEERLQCTEQRQQQMMNFLARALQSPDFFQQLVQHQGKRKELEEAISKKRRRPIEAGPYHGEGETSQSQEIEPPFDVETGQIEGNYGPEVSELEDLALKIQGLGRNKEDEGKQEVKQEGGDTELNDEFWEELLNEQIEEEKGRSESRKGGDANDIGLLADRLGYLSSTSPK >Ma06_p25790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26286577:26290197:-1 gene:Ma06_g25790 transcript:Ma06_t25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLDILNEEYLEASSFYTQVPPVEPPRPMKGLHEVGPPPFLSKTFDMVDDPLTDQVVSWSLTSNSFVVWDPHAFAMTLLPRYFKHRNFSSFVRQLNTYGFRKVDADRWEFANEGFLRGQKHLLKTVKRRKPPSYPPPRQQSTGPFLEVGHFGLDGEINRLKRDKNILMAEVVKLRQEQQNTRGELQAMEERLQCTEQRQQQMMNFLARALQSPDFFQQLVQHQGKRKELEEAISKKRRRPIEAGPYHGEGETSQSQEIEPPFDVETGQIEGNYGPEVSELEDLALKIQGLGRNKEDEGKQEVKQEGGDTELNDEFWEELLNEQIEEEKGRSESRKGGDANDIGLLADRLGYLSSTSPK >Ma08_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3943586:3946214:1 gene:Ma08_g05820 transcript:Ma08_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resistance to phytophthora 1 [Source:Projected from Arabidopsis thaliana (AT2G48070) UniProtKB/TrEMBL;Acc:F4IN59] MYPLYAAAAPSGFRIPKLHAIFSAPKPTSRSLALICSKRVRAEARPDGVDSTTATAATAEEEGNQEAPESSSGSGNSKPTLVTPISDKEIKKVVQKTAATFAPRASTATKNPAVPGTALYSVFEVQGYASMLLGGALSFNLIFPSNQPDIWRLMGMWSIWMFTIPSLRARDCLKNEKEALNYLFLLIPLLNILIPFFWKSFAVVWSADTIAFFLMYAWKLGWLQRSE >Ma09_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12381648:12386451:1 gene:Ma09_g16880 transcript:Ma09_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Ma07_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27694910:27695149:1 gene:Ma07_g19760 transcript:Ma07_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRERERENIVMHGVPVRYMLEERERERDGVETKWERKRIALLSFVRCQEIFALFPAMESCSVASATFLAGEFTLFR >Ma05_p28530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39498954:39500110:1 gene:Ma05_g28530 transcript:Ma05_t28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELAVSAAPAAYFPVVFFDGDSEIDVGSVLVHPSVGFKKFQASVSQRIGVAPHQISISLVRRKKARVSPEVRRKVTIDEASDFAAIARERDCFVLAVLRRPRRERRGRSKRKSHEVGEEKKAVPEMTILRRNPMGSGVLDPMRGGLVPEAIAGLGLWDYEAQLRSIQRQRERLLISTAAAAAAEVSYYPFAVGHRPSSPASCRECEAAKAAGRSPGFHWCVHDAVTIGFRSPVGPIQRPSKSHVEASA >Ma01_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11075035:11079609:1 gene:Ma01_g15310 transcript:Ma01_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRHHPALAVGVGVLLLVLSSATAFSTFDEANPIRSVTDRVRSADATVIRALGLSRHALDFARFAHRYGKSYGSAAEIRRRFGIFVENLELIRSTNRRGLPYTLAINRFADWSWEEFQAGRLGAAQNCSATDRGNHLLTDAVVPDTKDWREVGIVSPVKNQGHCGSCWTFSTTGALEAAYAQATGKNISLSEQQLVDCARAFNNFGCNGGLPSQAFEYIKYNGGIDTEESYPYSATNGICSFKPENVGVKVTASVNITKGAEDELKHAVGLVRPVSVAFQVVRDFRFYKGGVYTSETCGNTELDVNHAVLAVGYGVENGIPYWLIKNSWGSDWGLDGYFKMELGKNMCGIATCASYPIIAV >Ma11_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5670694:5678448:1 gene:Ma11_g07070 transcript:Ma11_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSVHLSYGFGVSPLLRCNPRFCSLASLPTIASLSQSSISASPWRSPLCVPCPPSHAVFRAFWMSSGGPDTRPDVVAATGPAAAPSMFQKPKICFCHSCGNPTKHVIPDGEEKIRAVCMVCGKIHYENPKMVVGCLVEHNDKVLLCKRKIEPSYGLWTLPAGFLEIGESAADGAARETLEEACAEVEIISPFAQLDIPLIGQSYIIFRARLKTPHFSPGPESLECALFALDDIPFDSLAFSSIIVTLRMYIEDINSGNLNFHYCTINKRPGASPSDPRGFNVDYHLRS >Ma05_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31826932:31831923:1 gene:Ma05_g20230 transcript:Ma05_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSKHDPEDGGDDTAARAPTNPSSKDPVRSLTSQLKDMVLKFSGTHRHCKGGSSSSFGKSKTLHHHQFRDRSTYLDKDVASDAGSQYDFIRAAASSSSTPAWDFSSYSNDNVGQYEEEGSSYGGKWIQQQVEEDAVVVDEEGEPKEWMAQVEPGVHITFVSLPGGAGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFSRQAFPTPPRSDDGERESSPPFYTRDSQSSPVNLPPTGKERLSKSTYGPPSTSGRGAYCPPVPDPSQHLLLPQYFYPAAFATAPAAGVKGECSSMDASRTTTSSRASVSISNASDLDVTEWVEQDEPGVRITIRELPDGTRELRRVRFSRERFGEVRAKLWWEENRERIQAQYL >Ma07_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4012811:4018199:1 gene:Ma07_g05540 transcript:Ma07_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAAGADGFRALDEASLVEYIKATPALRAQLGERLEGLAIKEVGDGNLNFVYIVAGPAGSIVIKQAIPYLRLIGASWPLTKERAYFESLALKVHGSLCPDHVPQVYHFDQPLSLIAMRYLEPPHIILRKGLIAGIEYPLLAQHMSDYLARTLFFTSLLYHSTLEHRHAVAKFCGNAELCRLTEQVVFSDPYKVAQYNRWTSPHLDHDVEAVRDDDILKIEVAELKSMFCERAQALIHGDLHTSSVMVTIDSTQVIDPEFAFYGPMGFDIGAFLGNLILAFFSQDGHADKDNDRMVYKQWILRTIEETWNLFHHKFVSLWNENFDGHGEAYLVDIYNKPELQLLVQKKYMTDLFHDALGFGAAKMIRRIVGVAHVEDFESISDETKRALCERRALDCAKTILKERRKFETISQVISVVQEISAP >Ma04_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20161503:20200007:-1 gene:Ma04_g18340 transcript:Ma04_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MDLDPEGIFRDDSDSESELYEEKEPTKELAVYLIDASPKMFAPIADHENGNKETYFHVAIDCITRSLKTQIIGRSYDEVALCFFNTKEKKNLQDVNSVYVFNVMERELIDRPTAKLIKEFSSIEDKFMSTIGSRYGVLPGSRENSLYNAFWVAQALLRKGSVKTSSKRILIFTNDDDPFGSMTGAMKADMIRTTTQRAKDAQDLGISFELLPLSRPDEEFNVSMFYADMIGLEGTDISQFLPSASEKLEDMKDQLRKRIFKKRKVRTLTFSIANGISIQVNTYALIRPTLPGATMWLDSVTNLPLQTERSFICADTGALIQEPSKLFHPYKNQMVQFSKDQILEVKKISNSHLRLLGFKPLDCLKDYHNLRPSTFIFPTDEEVIGSTRIFIALYRSMLRLKRFAFAFYGKSSNPRLVALVAQDEIISSSGQVEPPGMHMIYLPYSDDIRHVEELHRTDDAIAPRATDDQIKKASALMRRIDLKDFSVCQFANPALQRHYGILQALALDEDEMPDIKDETLPDEEGLARPGVVRVLEEFKIAVYGENHDQEEADSMSMRGSRSEASRKRKEMAETAERESSRYNWADLAESGQLKDLTVIELKYYLTAHNLSVTGKKEALISRILTHLGK >Ma03_p31900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33950998:33954282:1 gene:Ma03_g31900 transcript:Ma03_t31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MILRHHRLLNLHMLSTPPIAPSLAAVSFRRPLSLAARTTPGTGSPPPPPPSPHPVKPSLASNVAGADLVRTALFVPPGVAREEVTPDMLLPGSNIVVGPYAGHAQIKQVEFVKSSARARDCPKDNRPEFAILGRSNVGKSSLINALVRKKEFALTSKKPGKTQLINHFLVNKSWYIVDLPGYGFANASQSARTDWSSFTKGYFLNRDTLVAVLLLVDASIPPQQIDLDCANWLGRNNIGMTFVFTKCDKIKGGKGKRSDDNIKHFQDLISKYYKEPPPWIMTSSVTGLGRDELLLHMSQLRNYWDNDEVV >Ma09_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5998636:6002506:-1 gene:Ma09_g09020 transcript:Ma09_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEILKLSENLDPNLVVSTPCRRRMRSPATTLAKSKKAAPKTPVRTLSSSPAPVKENAKTPEGSKRMVVEDEEAKDLDGSSKARMMRRLMLEEAMRGLPEPGAGRVMYLVKTFERLLSISKETVGERSLEMKRKVMNWALPGLQHPPRAKVTELSSSPVTRSTEFLPTEDDKDERLSCGSDETDVGRKNQQNSTGSSGRSRSKKLKVKTQPPFKLRTEQRGRFKEEQFIKMVKEMLLEKKRCTPIAQRLPWTTDEHEILIKPHVKEPTEPIDLILHSDAHAAERAEFDLHVAESMSFVEQMKMERERQKKVEEEEEEEKRRLGKELVLKAQQPMPCFDQPSVPRKSTKPQTVPKEPRFPYAPPPKGTVRKTSDS >Ma11_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:773176:776627:1 gene:Ma11_g01060 transcript:Ma11_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSLNGPVLCGNPSPKGPVAARRAARRWRRSRWWGPVTLPHRSCPDRRPPSAGSRPDDSSAPYEMTVERALKLLGVSEGASFDDILRAKNAVLTLCKDDMEAAAQVEAAYDMLLMQRLSQRRAGKVANGNIRYADIRAKRSTGTSALPEWLQKTVKNIPVSVESPSTNSLGIQAGVYGALMVLTFVSGSSPASAGPYTGADVPGLILATSFGASLYFLSKKRINLGKAAVITIGGLVVGAVIGSAVEQWLQVDIVPFYGIHSPAVIVTEFILFSQLLVSLYLR >Ma07_p26670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33368338:33368796:-1 gene:Ma07_g26670 transcript:Ma07_t26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKATIQETDMPLEMQMQAMSSASEALDLFDALDCRNIAGHIKKEFDVVYGPGWQCVVGSSFGCFFTHSLGTFIYFHLETLQFLIFKGASA >Ma05_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3110223:3116501:-1 gene:Ma05_g04140 transcript:Ma05_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g63050 [Source:Projected from Arabidopsis thaliana (AT5G63050) UniProtKB/TrEMBL;Acc:Q6NM17] MALIAHQTQVSFSPHLISSKAPIQRLSWGSSAVQFLYKTHEFVPLKHHFHFREWPISVQKRKGFKVSSFKSNTQNDGPEKRNSKISRPPVQISGMQQGREDVLSESHDVQNHQLSYSSEGTDSTTARSLAIQRLFRNWLVMLRSHTSNHTMDDNVSGTVVQSVSSESQHGTMGRQAAKVLKTALVYFLGLDAAVSIPLVIFIPWYLTVKMVYGAEVTKELMPLWILGPLIFALYIKIIQGLCSLYVFVFMQAVRLIKNLPRYSLLVYNFIAEGKLRAYLWDHFVKPIVDIKNMDYGAFSRRKYKQFVTWAVEKYLDYVESIWPYYCRTIRFLKKAHLI >Ma03_p21260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26277005:26278348:1 gene:Ma03_g21260 transcript:Ma03_t21260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATGASNDPMRTEGDGGAAGLLAAEADNVGEHGGFPWMRVLVLAILTFNFLLALYRSTDDPGNAAFVVASYADLISLFYCLRLFERTPEAAVQMRKNLKKAVWCLSALLVAMFSYRVAAVMPPAVAVIVWCMAGFTVSGSFCALFVFDSDGYGDTDGCEKKHLTA >Ma03_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26277005:26278348:1 gene:Ma03_g21260 transcript:Ma03_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATGASNDPMRTEGDGGAAGLLAAEADNVGEHGGFPWMRVLVLAILTFNFLLALYRSTDDPGNAAFVVASYADLISLFYCLRLFERTPEAAVQMRKNLKKAVWCLSALLVAMFSYRVAAVMPPAVAVIVWCMAGFTVSGSFCALFVFDSDGYGDTDGCEKKHLTA >Ma06_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3097597:3098623:-1 gene:Ma06_g04280 transcript:Ma06_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLLALVLVSALLFLSSPQGYGRRIHVMKHYEAWNSPVPSHKEDSRMGRETTEMEMDYPEPGANTNPRAGAIFGSPPPSPPVH >Ma10_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23017163:23020949:-1 gene:Ma10_g08710 transcript:Ma10_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRNLSDRRCVSDSIRRAGSRRVMMEPWLLVWEAFTEQLEERQSDWAYSRTVVFLDTLLNLAFVAAAAGVLVLSRDEAPSMPLRLWIGGYALQCVLFIVCVCVRFQRRHLQRGVEERSSHDRGGLGPSSPSDVVEARGCNADQGQNEEARSVAKDLEFASNMFSCIWWFVGFYCVSAGGQALIRDAPQLYWLCIVFLAFDCIGISIAVCCCVCIINIVYVVTDQQGGASDEDIRQLPTYKFRRIDNFEILFNEVQRSKGGMMIECGSDEPIEHVLSAEDAVCCMCLSAYKDGVELRVPPCRHHFHCACIDKWLYINATCPLCKYNIV >Ma05_p32150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41851173:41853081:-1 gene:Ma05_g32150 transcript:Ma05_t32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSNLPVDSFRPKANSQHDDKELGVEESFCASSLCSGCNQTGATHSEINAVQQPFQAEQSILLKTYGDGECVQIGDIDVRSNITESKEQASTKLSSMDALYDLSHSSGATGMEAIVEDTNLATASSGSNILSSEFNDTQQPLLIAKDFAASKSTDDGLQVSLSDDDLQAFPDNRQEVEMAMLSGLESLGYPLSQFPTWFMSIIIFIIF >Ma04_p33680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33183482:33190053:1 gene:Ma04_g33680 transcript:Ma04_t33680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVKYSTAKWNQFLSLQVSKWKWLKQNMLVMQENLLQLLISVLALMVCCRIICVGGDGIVNEVLNGILTRDDQKEAISIPIGIIPAGSDNSLVWTVLGVRDPISAALAIVKGGLTATDVFAVEWIQTGVIHFGSTVSYFGFLSDVLELSEKYQKHFGPLRYFVAGFLKFMCLPKYSFEVEYLPMSKEIGNSEGRLLENQGKKDISDIYTDIMQRSTKEGIPRASSLSSIDSIMSPNRMSGKDMDTTGSTIASIEPSDYVRGLDPKAKQMSSVRSNLVDEPDEVIHPQPHLSANSNWPRTRSKSRTDKTWTSETTTNDSRYSWAATSLYDKEDISSTVSDPGPVWDSEPKWDAEPNWEAENPIELPGPPDDMEQVMEKVPVPSLEDKWVVKKGQFLGVLVCNHSCKTVQSLSSQVVAPKAVHDDNSLDLLLVCGSGRFRLLKFFICLQFGRHLALPYVDYIKVKSVKIKPGTSTHNGCGIDGELLHVKGQVICSLLPEQCRLIGRPACK >Ma04_p33680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33181199:33190053:1 gene:Ma04_g33680 transcript:Ma04_t33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALKFYFKVEMLKSVQQHPNVTSPRGFLHQSVRRVSRKHSHAATMQHKSPIVFPEKRGKVKSLRQIDANGVNEGPVKMKTHEHRIDIGDEKSDLLGYEIFSGKLFLYKKMRSTSDEEQTGPGTVNSDSIDAKLTSRALIWGSHVLSLEDVISVSYKACFRHFTVHAYPVRKRSCGLFCLLKPQRSQKDFCFLASSSEEAIQWVQSFADQQCYINCSPHPLVSGKKQDSDVVASVPLYGMPYIKCKSPPRILVVLNPRSGHGRSSKVFHSKVEPIFKLAGFKMEVVKTKYAGHARELASTVDFSACPDGIICVGGDGIVNEVLNGILTRDDQKEAISIPIGIIPAGSDNSLVWTVLGVRDPISAALAIVKGGLTATDVFAVEWIQTGVIHFGSTVSYFGFLSDVLELSEKYQKHFGPLRYFVAGFLKFMCLPKYSFEVEYLPMSKEIGNSEGRLLENQGKKDISDIYTDIMQRSTKEGIPRASSLSSIDSIMSPNRMSGKDMDTTGSTIASIEPSDYVRGLDPKAKQMSSVRSNLVDEPDEVIHPQPHLSANSNWPRTRSKSRTDKTWTSETTTNDSRYSWAATSLYDKEDISSTVSDPGPVWDSEPKWDAEPNWEAENPIELPGPPDDMEQVMEKVPVPSLEDKWVVKKGQFLGVLVCNHSCKTVQSLSSQVVAPKAVHDDNSLDLLLVCGSGRFRLLKFFICLQFGRHLALPYVDYIKVKSVKIKPGTSTHNGCGIDGELLHVKGQVICSLLPEQCRLIGRPACK >Ma04_p33680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33181199:33190053:1 gene:Ma04_g33680 transcript:Ma04_t33680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVQQHPNVTSPRGFLHQSVRRVSRKHSHAATMQHKSPIVFPEKRGKVKSLRQIDANGVNEGPVKMKTHEHRIDIGDEKSDLLGYEIFSGKLFLYKKMRSTSDEEQTGPGTVNSDSIDAKLTSRALIWGSHVLSLEDVISVSYKACFRHFTVHAYPVRKRSCGLFCLLKPQRSQKDFCFLASSSEEAIQWVQSFADQQCYINCSPHPLVSGKKQDSDVVASVPLYGMPYIKCKSPPRILVVLNPRSGHGRSSKVFHSKVEPIFKLAGFKMEVVKTKYAGHARELASTVDFSACPDGIICVGGDGIVNEVLNGILTRDDQKEAISIPIGIIPAGSDNSLVWTVLGVRDPISAALAIVKGGLTATDVFAVEWIQTGVIHFGSTVSYFGFLSDVLELSEKYQKHFGPLRYFVAGFLKFMCLPKYSFEVEYLPMSKEIGNSEGRLLENQGKKDISDIYTDIMQRSTKEGIPRASSLSSIDSIMSPNRMSGKDMDTTGSTIASIEPSDYVRGLDPKAKQMSSVRSNLVDEPDEVIHPQPHLSANSNWPRTRSKSRTDKTWTSETTTNDSRYSWAATSLYDKEDISSTVSDPGPVWDSEPKWDAEPNWEAENPIELPGPPDDMEQVMEKVPVPSLEDKWVVKKGQFLGVLVCNHSCKTVQSLSSQVVAPKAVHDDNSLDLLLVCGSGRFRLLKFFICLQFGRHLALPYVDYIKVKSVKIKPGTSTHNGCGIDGELLHVKGQVICSLLPEQCRLIGRPACK >Ma06_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25164630:25172148:1 gene:Ma06_g24970 transcript:Ma06_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEEALAGFAEVVRMEPEKAEWGFKALKQTVKLYYRLGKYKEMMEAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYETTLKALEEAKNERLWFKTNLKLCTIWFDIGEYGRMSKILKELHKSCQREDGTDDQKKGTQLLEVYAIEIQMYTQTKNNKKLKQLYQKALSIKSAIPHPRIMGIIHECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIQGHIDQVNKLLERSDRSKGMKKYAAIDKWNTQLRSLYQTISNRVG >Ma00_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16319434:16319972:-1 gene:Ma00_g02180 transcript:Ma00_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKLSWCCALLLMVLLVSSCRNYVDEGGDVDAPLWASRGSSDQPCDEIYVVRDGETLQTISEKCDDPYILEENPHIQDFDDVYPGLVLKITPFGGNR >Ma08_p27820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39949235:39958517:-1 gene:Ma08_g27820 transcript:Ma08_t27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRFKAKPTGRRNFSTPEEMVAGSSARPRTFKQVQQEEAEHSEEEESEESGGETEETEKKKGILDISEIQNPNLAKPKNVKARAVDVESTPELSRREREEIERQRAHERYMRLQEQGKTEQARKDLERLALIRQQRAEAAKKREEEKAAKEQKKAEARK >Ma11_p11900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15139051:15139826:1 gene:Ma11_g11900 transcript:Ma11_t11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELRHPYPNHLLHDISNGSYSAHTLRRGPMYSTYAALRDRKLRRTGAESPAPTFTTPTKMPTANSSLTRSVTDFSIARRKENKKPMETSMTPSPPPPVAPKAAMNRMGSGLVAARRSYSCLKELTELSIVASTAIHEEDKQGKGGNVKSFRGRTTTWRGW >Ma05_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:477:3218:1 gene:Ma05_g00010 transcript:Ma05_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIKSRPLPTAQCTPGHPCPKPSDSFSRATTAAFPRRAAVFLSIGEQRKQRRYLPPGCSCCCCVFLCERRLLRLPLHRRNGVSAPRVGLPSSRELFDAKLENKTYRIITR >Ma11_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27779455:27780549:-1 gene:Ma11_g24980 transcript:Ma11_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESCNLDKDEAEILKPSSSSSPSPSPSPSPSPSPSPPPPPPSPPSVCVNPPPELPVPKTPGSFGNAAVADSGATLSCESHPSKEALPPVRLVSRCSSCRKRVGLTGFRCRCGDLFCASHRYSDTHDCSFDYKAAGREQIAKANPLIRAAKVIKI >Ma05_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32913552:32914413:1 gene:Ma05_g21240 transcript:Ma05_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSLSSSQFLGLRIAPPPNTTAASLSPRSPSSLRSPRVSAAYAAAAECPRAAHAPVPAAVANPSSLYDVLGVSPGASGQEIKAAYRQLALACHPDVIATGRKGASAEKFMRVHAAYATLSDPGKRANYDRELAAAAMLVRHRWPAARTYARSTSFPRYGRRTWETDQCW >Ma01_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23327935:23328339:-1 gene:Ma01_g22110 transcript:Ma01_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRRWCIVRFYQGLRVRNRIIQCKFCR >Ma06_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11592722:11596126:1 gene:Ma06_g17100 transcript:Ma06_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEESSPLLKHPDGREDAAPECAEKVPAPPPETAPEPHEKVPLETGPKLQEKPPLTAATVPTGWTADGLPVGCGSVVGEPVGRAQWNSSLFACLGRNDEFCSSDLEVCLLGSFAPCVLYGSNAERLGAVPGSFADNCLPYIGLYVLGNAVFGWNCLAPWFSHPSRTAIRRKFNLEGSFEAFSRSCGCCHGVAEDELRREQLEQVCDFATHYMCHTCSLCQEGRELRRRLPHPGLNMRPVLLMMPPMEQTMGRGA >Ma04_p15880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14491881:14492183:1 gene:Ma04_g15880 transcript:Ma04_t15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADPPSQTTASRGRAADVCIWFVSILFFLLLLAGGAMLVLYMVLPETSTTMWFPAAGMILVGIPWAFWIMTCFYRFMSWRAPHDDASPAAASAPPTTNK >Ma08_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22780350:22783281:1 gene:Ma08_g17070 transcript:Ma08_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFDYTPLPYLGPRAAEIVRKRSEFLSPSISYLYKNPLNIVDGKMQYLFDEDGRRYLDAFGGIATVSCGHCHPDIVEAIINQTKRLQHPTVLYLNHAVADFAEALASKFPGDLKVVFFTNSGTEANELAMMIARVYTGCHDIISVRNAYHGNAAGSMGATAQSNWKFNVIQTGMHHALNPDQYRGIFGSAGEKYAKDVEEIIDFGTSGRVAGFISEAIQGVGGVMELAPGYLPAVYRTIKKAGGLFIADEVQAGFARTGSHFWGFEAHGVVPDIVTSAKGAGNGIPIGAVVTTPEIARVLTQRCYFNTFGGNPVCTAAGHAVLKVIEKENLQENALVVGSYLKDQLKALQDKHDIIGDVRGRGLMLGVELVTDRQQKTPAKTEILHAMETMKDMGVLVGKGGFYGNVFRITPPLCFSKEDADFFLDVMDIALSKI >Ma07_p19540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27510755:27513687:1 gene:Ma07_g19540 transcript:Ma07_t19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYNHHLLLLLLLLCLIRSFSTELITAALCLPEESSALLQLKNGFSNSSSKLASWQPGSDCCLWDGVTCDPATGVVTALDLSGRSLSGGLDRSLFNLTSLTSLNLAYNLFRGIRLLDFPFSKLANLAVLNLSNAGFGGQIPAGIGRLEKLVSLDLSTLYLEELPNSTLKLHDPDLGTIIRNLSNLKELLLDGVNISADGYDWCRAVSASTPGLQFLSLMGCSLTGPLHSSLAGLRSLSRLRLDQNNLNSSLPEFFGNFSSLIVLRMSSCRLQGSIPQGIFRLRNLTVLDVSDNSMLSGRLPHFPEDSALESLVLFDTNLSGPLPPSIGNLKALSRLLLYSCSFSGSIPRSIANLTQLAHLDLSFNGFSGEIPPVRQWSKISEIILTSNNLTGPIPSSLGNEGLRNLTKIDMRNNSLSGSIPASLFALPSLQLLQLSQNQFSAQLEEFSIASPSLNTVDLSNNKLQGSIPTSLIKLSGLKVLSLASNNFSGTLEIDRFQNLRNLSNLDLSNNMLSVRDGSNSSWYGSFPKISTLKLASCNLEKIPAFLRSQDQISSLDLSNNRIPGAIPRWIWSIGNGTFNYLNLSFNLFTSVERPPPDLSNSSMMILDLHHNMLQGPIPLLPLNTIILDYSHNNFTSSIPASFSTYLNYTVLLSLSNNSLTGEIPFSICSASYLQVLDLSDNNLSGSIPSCLMESSIELGVLNLRGNQFHGSIPENISELCALRTINLNQNQLEGKLPRSLANCYLLEVLDLGNNQFVDTFPYWLGNLSALRVLVLKSNRFYGAPAHLPGTKEGNCTFLNLQIFDLSSNQFTGNLPENCFKNLKAMMFRSDDGLQTVEYKFLQFSKSSYYQNTVTIMSKGQSMTLLKVLTIFRAIDLSNNMFNGSIPEVIAWKHVAA >Ma07_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27510755:27514894:1 gene:Ma07_g19540 transcript:Ma07_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYNHHLLLLLLLLCLIRSFSTELITAALCLPEESSALLQLKNGFSNSSSKLASWQPGSDCCLWDGVTCDPATGVVTALDLSGRSLSGGLDRSLFNLTSLTSLNLAYNLFRGIRLLDFPFSKLANLAVLNLSNAGFGGQIPAGIGRLEKLVSLDLSTLYLEELPNSTLKLHDPDLGTIIRNLSNLKELLLDGVNISADGYDWCRAVSASTPGLQFLSLMGCSLTGPLHSSLAGLRSLSRLRLDQNNLNSSLPEFFGNFSSLIVLRMSSCRLQGSIPQGIFRLRNLTVLDVSDNSMLSGRLPHFPEDSALESLVLFDTNLSGPLPPSIGNLKALSRLLLYSCSFSGSIPRSIANLTQLAHLDLSFNGFSGEIPPVRQWSKISEIILTSNNLTGPIPSSLGNEGLRNLTKIDMRNNSLSGSIPASLFALPSLQLLQLSQNQFSAQLEEFSIASPSLNTVDLSNNKLQGSIPTSLIKLSGLKVLSLASNNFSGTLEIDRFQNLRNLSNLDLSNNMLSVRDGSNSSWYGSFPKISTLKLASCNLEKIPAFLRSQDQISSLDLSNNRIPGAIPRWIWSIGNGTFNYLNLSFNLFTSVERPPPDLSNSSMMILDLHHNMLQGPIPLLPLNTIILDYSHNNFTSSIPASFSTYLNYTVLLSLSNNSLTGEIPFSICSASYLQVLDLSDNNLSGSIPSCLMESSIELGVLNLRGNQFHGSIPENISELCALRTINLNQNQLEGKLPRSLANCYLLEVLDLGNNQFVDTFPYWLGNLSALRVLVLKSNRFYGAPAHLPGTKEGNCTFLNLQIFDLSSNQFTGNLPENCFKNLKAMMFRSDDGLQTVEYKFLQFSKSSYYQNTVTIMSKGQSMTLLKVLTIFRAIDLSNNMFNGSIPEVIGELNLLCVLNISHNSLIGEIPPQLGNMLQLESLDLSLNNLSGKIPQALASLTFLSFLNLSYNNLVGQIPQGPQFQTFSDTSFEGNKGLCGTPFLKQCREETHSDSKLSSSESSTDLNWQCIIIGLGFGGGMALFVVPLMMWDKGKRWYNKHIDKMLWAIVPRLPCETCTNVKVGAEDVNYGTVEIEDEGRRFCLFCTQLELRSGQAIIHHVECSCHYRLADES >Ma03_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25109540:25121007:1 gene:Ma03_g19840 transcript:Ma03_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAMSMEEEGSGGEVAAPAREVLLISAGASHSVALLSGNAMCSWGRGEDGQLGHGDAEDRLFPTILSALDSQGIVSVTCGADHTAAYSQSDDQVYSWGWGDFGRLGHGNSSDVFSPQPIKVLQGLKIKQIACGDSHCLAVTMDGEVQSWGRNQNGQLGLGTTEDSLIPQKIQAFQGICVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLIPEKVASIKGEKMVLVACGWRHTITVSSSGNLYTYGWSKYGQLGHGDFEDHLSPHWLEVLKDSCISQISGGWRHTMALTSDGRLYGWGWNKFGQVGIGDNDDHCSPVQVNFPEEQKVKQISCGWRHTLALTERGNVFSWGRGTSGQLGHGDIVDWNIPKMIEVISKDGLGCKQIESSKFLPSSGKIWVSPSERYAIVPDENIAKHSTNPIKGNGSDANVPENDVKRMRV >Ma08_p32140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42828839:42855327:-1 gene:Ma08_g32140 transcript:Ma08_t32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MSRIPTGNRRDTSQPGGYSNETSLRVVVLENSEVYIIISLSSRPDTQVIYVDPTTGALRYDGKIGKDVFGSEEQAMNYVTDGSRLLCKSNIYGRAILGYASLGSFGLLLVATKVTASIPNLPGGGCVYTVTESQWIRIPLQNTQPQGKGELKNIQELAELDIDGKHYFCETRDITRSFPSRRSFQEPDDEFVWNGWFSKPFKDIGLPKHCVILLQGFAECRSFGGTGQQGGVVALIARRSRLHPGTRYLARGLNACCGTGNEVECEQLVWVPQRAGQNVSFSSYLWRRGTIPIWWGAELKIAVEAEIYVSAQDPYRGSLQYYKRLSRRYGPQISELKAVGQKKTPVPIICVNLLRSAEGKAETILVEHFKDSVKYVRSTGKLPSTFIQLINYDWHATVKSKGEQETIEGLWRHLKAPTMAIGFSEGNYFASEKQLKECKGLVVSNDDFDGGFCLRSLQNGVIRFNCADSLDRTNAASYFGALQVFVEQCERLGVYLDRDAFFGFSSINKSADYSGNTGPLPPGWEERYDSVTGKHFYINHNTRTTTWEHPCKGKPWKRFDMSFDRFKSSTVLAPVNQLADLFLLAGDIHATLYTGSKAMHSHILNIFNDDGGKFSKFSAAQNVKITLQRRYQNVIVDSSRQKQLEMFLGLRLFKHLPSIPMHPLKVLSRPSGCFLKPIPTVLPTTDNGSSLLSFKKKNQIWVCPPAADVVELFIYLAEPGHVCEILLTISHGADDSTYPATVDVRTGCSIDELKLVLEGACIPRSPDGTNVSIPLTGKVDSKDLAVTGKSSHAQEGSYLPLLFDYEELEGELNFLTRIIALTFYPSVPGRPVTLGEIEVLGVSLPWTRIFTENVVGANYIKLLQENSRQSNTSQQGSDVNVTTNPFLCNSNDISGSSSSNGGGRPAQQSATDNLIDLLTGDLITSSQSEISSITENSQFNSQDPLDLLGGSVADNLFRAPDNTESESKNEPVKEFGGVRHYIDISTSLFGSNKGGNFDFMQSLKLEIERLRLNISAAERDRALLSVSIDPATIDPNRLLDYYDLVSVCSYADKLALLAQTAYEDKVNASIGLEQVDDDIDFWNINEFGETCCGAACEVRAEMTPIGTFSDVSSSGMLPLLLECTICQRKACKVCCVGKGANFLLDNDFKEVKIYNGLSSQTGSNHGGQNEGSYRSHSALDDGVICKNCCSEDILQALSVDYIRVLCTLRRRARTHNAARWALGQVVGPVLDSLYNLWQSIETGKRQLRALLNGAESLAEFPYASLLHQVETAEGSEPLLSLLAPLGMGEHHGYWRAPPSMSTVEFSVVLGSLSDISGVALVISSCGYTTSDCPTIQIWASNTIHTDKRSSMGIWDLKSLISSSPQLYGPEKLSSEKEIPRHVKFEFRNPVRCRIVWIKLTLPQSESSSVNTEEEYNLFSFDENFTYKPKLPASDGIVNNNRCIHAKRVIVFGKSLKKEVDQDASLQVPEMMKIKSFLERSPQLSRFRVPIEAERLKDNDLALEQFLSPSVPVLAGFRIDAFNVIRPRTTHSPFPKLDIWDCSTFMEDRYILPAVLYIQVSVVQESRKSVVVGEYRLPEVKSGTALYFDFPRPLQAQVIVFKLLGDVTAFADDIAEQDNTSLRTLPSASGLSLSNRIKLYYYADPYELGKLASLSAI >Ma03_p03170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2144427:2146487:1 gene:Ma03_g03170 transcript:Ma03_t03170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVHRDRNTVCVMDASRRLGVSLVERLLRRGYVVHAATYGRGESSGSLRRLFGENQRLKLFHADPFDYQSIVDAMKGCAGLFYTFEPPQDQSYDEFLVEVEVRSAHNVLEACAQVETMERAVFTSSVTAVVWKENRKAAADFDEREWSEPNFCRKYKLWHALAKTVAEKTAWALAMDRGVDMVCVNAGLLLVAADLSVADPYMKGAAQMYEDGVLVTVDVDFLVDAHVAVYETPSAYGRYLCFNGAVCHPHDAVKLERLLSHDAAQPASSDGLTATQQRIQNKKLNQVMIDFDAGGHVDE >Ma03_p03170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2144427:2146491:1 gene:Ma03_g03170 transcript:Ma03_t03170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVHRDRNTVCVMDASRRLGVSLVERLLRRGYVVHAATYGRGFGSLYPVSSCGGSEVLDADWVSLMAAVAGESSGSLRRLFGENQRLKLFHADPFDYQSIVDAMKGCAGLFYTFEPPQDQSYDEFLVEVEVRSAHNVLEACAQVETMERAVFTSSVTAVVWKENRKAAADFDEREWSEPNFCRKYKLWHALAKTVAEKTAWALAMDRGVDMVCVNAGLLLVAADLSVADPYMKGAAQMYEDGVLVTVDVDFLVDAHVAVYETPSAYGRYLCFNGAVCHPHDAVKLERLLSHDAAQPASSDGLTATQQRIQNKKLNQVMIDFDAGGHVDE >Ma03_p03170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2144427:2146489:1 gene:Ma03_g03170 transcript:Ma03_t03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVHRDRNTVCVMDASRRLGVSLVERLLRRGYVVHAATYGRGFGESSGSLRRLFGENQRLKLFHADPFDYQSIVDAMKGCAGLFYTFEPPQDQSYDEFLVEVEVRSAHNVLEACAQVETMERAVFTSSVTAVVWKENRKAAADFDEREWSEPNFCRKYKLWHALAKTVAEKTAWALAMDRGVDMVCVNAGLLLVAADLSVADPYMKGAAQMYEDGVLVTVDVDFLVDAHVAVYETPSAYGRYLCFNGAVCHPHDAVKLERLLSHDAAQPASSDGLTATQQRIQNKKLNQVMIDFDAGGHVDE >Ma03_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2144427:2146490:1 gene:Ma03_g03170 transcript:Ma03_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVHRDRNTVCVMDASRRLGVSLVERLLRRGYVVHAATYGRESSGSLRRLFGENQRLKLFHADPFDYQSIVDAMKGCAGLFYTFEPPQDQSYDEFLVEVEVRSAHNVLEACAQVETMERAVFTSSVTAVVWKENRKAAADFDEREWSEPNFCRKYKLWHALAKTVAEKTAWALAMDRGVDMVCVNAGLLLVAADLSVADPYMKGAAQMYEDGVLVTVDVDFLVDAHVAVYETPSAYGRYLCFNGAVCHPHDAVKLERLLSHDAAQPASSDGLTATQQRIQNKKLNQVMIDFDAGGHVDE >Ma07_p02020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1566737:1567354:1 gene:Ma07_g02020 transcript:Ma07_t02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSKAIAAVSGMVRQRLRAAVRTRGGGGEGAGRWTSPGTEERPKGYIFNRLPPPPGKSREWEDWELPCYITSFLAIVILGVGLNAKPDLTLETWAHQKALERLQKQETASDSD >Ma07_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12777882:12779988:1 gene:Ma07_g16170 transcript:Ma07_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRLSSLCLLSVHKALETSSAALPCSPIPTSPAPTTNTTATGFNTSCIGGRRRRRWALVSKSGRGVRTVECRAAVVEDVDEEVLVPAEAEAEEAAEEYDWRQEWYPLYLTAQVPDDAPLGLTVFDKQVVLYRDGAGVLRCYEDRCPHRLAKLSEGQLVEGRLECLYHGWQFEGEGKCVKIPQLQEGGKIPRAACVRTYEVRNSQGVVWVWMSEKNPPNDEKLPWFEHYAKPGFQDTSTIHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLVFTVTERSSRGFAGHWGRSKNPALMNFLRFQAPCVLSNDLEFVDKAGVKQYFSALFLCRPTGQGKSMLIVRFGASSRSPVFNLVPEWYFHQNACKVFEQDMGFLSSQNEVLVKERVPTKELYLNLRSSDTWVAEYRKWMDKVGHGMPYYFGHSSLSPPKQPAVVEQAPVGLVAGISASFPAKGGVGTQHAPNPANRYYRHVVHCKGCRSVVKTFQAWKQTLVLLSLAAVASAILASAQQWKVVLLASAALFLAGSSVCSFVVSLITTNFIRTHRRL >Ma11_p05590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4281090:4281934:-1 gene:Ma11_g05590 transcript:Ma11_t05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGFTFQPSDQDLVLHFLLRWIHGLQLCRNVVQEADAYAREPEALLRGRQKAYFFTTLKPSSRNSSQVARRAGRGTWTLNTSKQGDPIKLAVAGEHKVIVWFKRHLSFHLGNAKNSTGFVMDEFSLSSSYAPSNAKGRPGQKVLCVIRQTQRAINDAAKRKRQQLQTWSPPPTPAAGVRKVSNARLQKLQLLYIFRSMKRWGLTTAEEEAQLKNLMHDPQVDENHPTIKNMVAQFADRASQLDSIHHGSLS >Ma03_p26430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30158308:30164462:-1 gene:Ma03_g26430 transcript:Ma03_t26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGGRHSPLPPVSAALLDIELDVDGSPWPFDLSSFVASPFSPFFLSSSSCPPPPSSLSFQLPPSPLWILEDRAPEIPGALAECSRFLDGSPDTTIAKAYVLDSKARQVQIPVPEENCGSSCVIKERMTQALRYFKESTDQQALVQVWAPVKNGSRCVLTTSGQPFILDPQSTKLLQYRTVSLMYIFSVDEDDDADMGLPGRVFTKRMPEWTPNVQYYSSKEYQRLNHALLHNVQGTLALPVFEPSGHSCIGVVEIVMTSQKVNYAYEVDKVCKALEAVNLKSSEILDHPNVVIANDGRQAALAEILEILTVVCEAEKLPLAQTWVPCRHRTVLAHGGGLKKICSSFDGSCAGQVCMSTTDVAFYIIDAHLWGFREACVEHHLQKGQGVAGRAFALRRACFSRDITEYCKSDYPLVHYARMFDLAGCLAICLQSIHSGDDDYILEFFLPAECKSSAEQQSLLNSISALLIQCFQSLKVITGVEFQEGISLQLVDLVTDDNYESIPKHLSSPCDDTHKSPETNIYEAEDNDDSRNEGNATSDLDKQQVATDSNVKKNGKIPLDSTALTDNTSRTPGKRRGKAEKMISLEVLQQYFSGSLKDAAKSLGVCPTTMKRICRHHGISRWPSRKINKVNRSLSKLKHVIESVQGAGALDLASLACPLPVALDSVPWPVNLDNLKDVKDGVKERDLSPEKSRGSEDQRNKSVSLQVHVEERVHLQVEAGRDSHCSTGSSSEGSMDDTPTSQGSCQGNPVNNDIFVSNQQALSDLRQALGIGSSSKFTSQNACDQIPLNENSLPTAVNVEPQLPVGLLIKDSASSKDLQNLCTFAAEASQDERGMAVTQNTLHPWEMQENRTVIIKASYKEDIIRFRLPHSAGVLAVKDEISKRLKLEVGTFDIKYLDDDHEWVMLTCDSDLEECIEISRLSGAHIIRLSVHDIMTHHGSSCGSSEFDHHSYVPRQFSMG >Ma03_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6021325:6024659:1 gene:Ma03_g08340 transcript:Ma03_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAEVIHKSIPVLDVQCRYIAKEIEEMVDFSAAAVAVAATATAPLSPAFRQDARGSETAAAVNDAVSELDLRTSDAISDVSVELPQFVPSIRSGSFADIGPRNYMEDEHIRIDDLSAHLGSVLTCPTPSAFYGVFDGHGGPDAAAYIKRHALRFLFEDGNFPQASVADNDFMVSVENSIREAFLLADLALAEDCSINSSSGTTALTALVFGKLLLVANAGDCRAVLCRKGEAVDMSQDHRPIHAAERERVEQSGGFVEDGYLNGVLSVTRALGDWDLKMPRGSPSPLIAEPEFRQAVLTEDDEFLIIGCDGIWDVMSSQRAVSIVRKGLRRHDDPERCARELVMEALRLNTFDNLTVIVICFSDEYCTSSTPPRDEQQQSRLRCCKSLSTEALCNLRSWLANEGSN >Ma09_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1731211:1733826:1 gene:Ma09_g02460 transcript:Ma09_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIFRSFLRCFIRACRYIILCREFLDSLAVEIYELKSKREDVERDVVKARRGGKQPRSQVMWWLDRVESLESKFSKISTEFERRLMLPGNLAPNVWSSYRLSSRADEMIAEVRYLKSKGSFDQVADEVFPDRFEEVPSSPTVGMDLVLEQLRRVLEDDAVGIVGIYGMGGVGKTALLSRFHNEFLADATHLDVVIFIDACRGLGVDRIQRMIGDRLGLSRKNRGSQEEKAATLFRVLNKMRFVLILDGVCRSLDLRTVGVPIPKRRSKCKIVLATRNEDLCDQMGAKKKIKVEALPWDAAWKLFTETAGEEMIDSHPGIRRQAEILVRKCGGLPLVLIAVARALASKRSLEEWKHAVAIMNSDPAQLPGIAEHVLRPLKLSYDLLPDDTSRSCALHFALYREGCRLHQNLLQECWIGEGILGDFEDVEEANNRACYLLGVLSAASLIDRVDANGYTRMHPVIRATVLWIACECGKKENRWFVREREGLTDAPDAETWAGATRLALGDNEIAVLPEAPQCPDLVSLKLKNNLGIEKIPDGFFGFMPSLAVLDLHSTSIKELPPGIGNLVGLQFLELCGTKLKSLPKELGALTKLKYLGLNWTVDLASIPDGLIRDLGQLRVLRMIVSYRSWKAGSSGDGVDMGELEALNRLRILDITVGTAAALERLAQSRRLAPATVALLIKGCRDLSSIELPSVMGKNMKRLKWLRASHSKELEDVVIGGGGGGLENLVLEHLLKAKIVWRGSHGQNLRGLYIYGCNGMEQLIYHKEDEETEDGRASGGVAIVPFPNLTEIALRGLPELKRVSEERKMLVFPSLESMEVAECPKLKKLTLVAEKLREIKCQRSWWDQLEWEEEDDATKSAFQLIMKPLQ >Ma01_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8116945:8119831:-1 gene:Ma01_g11240 transcript:Ma01_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGGILTEETRRMGCEKASGGGGGGGGERKYEVGEGRGRGAGVEGEGVGEGLLYVKVMTDEQLEVLRRQIAVYATICEQLVEMHKAITAHHDSLAGMRLGGLYGDPLMASGGHKITARQRWTPTTMQLQILESMFNQGNGTPSKQKIKEITIELSQHGQISESNVYNWFQNRRARSKRKQMASFPSNTESEVEADEECMNEKKPKPDGSHHEGMPAGVNNLPMYDAQLHSFERELSQAQGIHRSSESSKSSSGSGQMSLNEYVLSTPRFDNSMEKFDIPSFNPYHPGESYDIMG >Ma00_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:204597:204731:1 gene:Ma00_g00200 transcript:Ma00_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISLNRVCICIVSTSDLLQDHLAMNRVGDCACCFFKNNLIHSS >Ma10_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33239595:33241835:-1 gene:Ma10_g24800 transcript:Ma10_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKPSLWELVKVPLLKAGVGKVIVTTRNECVARIMQTMEPLSLNILSFDKCWMLFEKLALESLESSSRHNNLVDIGRKIVEKCKGLPLAVKVIARALSYEDDEGKWMDILESELWESVDANLEILPALKISYDCLPIDLKRCFRYLSLFPKDTVLYERYIVHLWMSQGLLRPPRSKQAEDIGSDYVRNLVERSILQIKGIRTGGHALDPEEEKELVMHDLVHDLAQSVAQGESLSIAANKLASIFQRDGDKLQKVRHLYLVFDDRMAPRDLEALLKLKRLRTLITAVPHFMEAYTFEFIDELFHNLKYLRALDFSHTNIAGLPDSIGDLKLLRYLSIEGNETDSLPESICSLYNLQILNVTATFDLKELPSGIVNLPNIRHLMLNESGVAIPRGLGKLTNLQTLDCIALSPTSWRYEIEELKGLVNHRGKLIIYNLRYVDKYVAQTETPLKTKDRIEFLTLGWYEDDPYEHEGMDDDTTKQVLECFRPHPNLKQLIIYAYGGARFTTWVGDSSFSKLVNIEMAICWKCNLLPPLGQLPSLRVLSIYDFPGLQRIGREFCGVGSATKGFPSLETLTFSCISNCEEWDGVEADDFPCLLQLTIDRCPKLRIFPRHPFSSLRKLELSQFGDISDDAPYVSFDDDTRVSSYPPPVLARYLSFRGFHSFLVDMDLPSLEELKISQCSKLTCVTGLTNLTSLHSLTIDGCPYLQFSPTERLSSTLQHLKIRNSPWVKQWEEANQDTSIFSNTR >Ma06_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6221911:6227442:-1 gene:Ma06_g08890 transcript:Ma06_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDVAHRVQIPVPGRSGNGGNSPYGSFSKPASTNRASGERRVKRLRLSKALIISEGTTVSEACLRLAARRVDAVLLTDANEFLSGIVTAKDVATRVVAEGLDPEKTIISKIMTRNPTFVMADTLAIEALQKMIQGKFKHLPVVENGEVIAMLNIAKCLFDAISRIEKAMEQGNAITAALKGLESQMESKFSVPYTFTEALHQNICNPVLSTIISENVRVVLVSPSDSVYVATKKMLEFQVYSVIVATRNGLQGVLTSKDVVMCVVAQHLSPELTPLEKVMTANTECATMEMTILEALHLTRDSNFLYLPVLSREDHVVACLDVLQVTSAVILMVSKFRFFILITDLYYACITYMSSFRVEGDTGAINGVVDIVKRSSGILLLLWRQDIRNMIHIVSYLLRVITSLLTNIKYYEDNEGDKVLLSSDDGLVGAINHAKLTGWKVLRLHIDDPGTNKIILSSKSGISTMQRNEWTSLRAGILAGTIAVTSIGVMVYLKRSKV >Ma07_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:318493:320224:-1 gene:Ma07_g00360 transcript:Ma07_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRAVLVGCNYPGTKAELKGCINDVNRMRQSLVERFGFAEEDITVLIDTDRSYTQPTGANIRRAISDLVASAHPGDYLFFHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDDFREFVNKVPQGCRLTIVSDSCHSGGLIDKAKEQIGESTKSSDQVGSESGFGFRSFLKQTVHEAFESRGIHLPHEGHRRRHEDAHDAEREYRERGGQGYFKNRSLPLSTLIELLKQKTGKEDIDVGKIRPTLFEVFGEDASPKVKKFMKFILHKLHRHGEGGDGGEGGSLMSIVGGLAHEFLMQKLDDEEEEEEEVRPEEVYASAGRKGREDNGILISGCQSDQTSADANPAGGQGGAYGALSNAIQTILREADGEVSNRKVVLRARKMLEKQGFTQRPGLYCSDDHVDAAFICEA >Ma09_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1859864:1862878:-1 gene:Ma09_g02660 transcript:Ma09_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDLERVLSHILPMPAMMAQGRRLGMPNHMEMIRDRLWGINGTIKDAEHRALKEPESEEWVTDVGAAIVDIDDLLGRILDWHPRGGAAAASNRSSHSICSIREASRQAILLELKEMVGRLNYLVRRGSVLGLSKEIMESVDPRQEEEYSTVLREEVVGRNEHVEEIIDSLKQPQSGDCDELQIINDLGGKTTLARLIYHHPWVQEQFQHRIWVDVPNIASLDPMWIMREFTSSITGEPCEDIWLFFDGIHGSKYLLVLDDLNIGEEDRDKWLQLENFLLLVGAPGSTVVVIPDLFFIQDVLGSAVRTWHFSGLPEDDWVQLCLRQALIRPDQREEAKAIIHSCKRNTQDWSPTDAKIFGSVLRYTEMSRWQQQLDAVNMRQWEKVRHNKDMALIFLHYMTPTMTRLVLYRWLIVQDGKTNYEDFLHVLAAEGFLPYSDVEGTITEKLEFHVYDYMHFLFTTTKHCYDLRGVLSNSTIPRQCLYLRMFVDSNTVTFPMTLFDGINQLRGMFTNLIHLRILYLRAIKIQQLPNSVGKLLILRYLNLSQSEIQALPKSLCKLRNLRVLNLAHCEKLQKLPKRIHNLENLHVLKLAYCTKLQMLPISITGLINLQELDLEGCQWLLELPEGLNNMKKLANLNVYRCPLNQMPYGISQMSNLLKLSGHIVVGDLGNALSKLESLRNLKELWLQNLQQVSNSEDGLTPLKLHDILPQLMYLKLHWKWVNMEDMRTSELVSLQILEGLQPNSNLKKLEIILYLGEEFPVWIKEGFNHLHKLKEIKLINLKRCKKLPSLGGLLDLEIVELSGMDLINVVDEAFYGDDGTFPELKRLTLSHMLALEKWLKVERKKEFLFPKLRRLTLIQCPKFKALEVDLEVSTLSIWLNNKMLQTSEFEGWQNLPIKNLEIVGCQEMRCLPQDMERCVKLRSLTINGCDNLDCLPEWLQGFKHLKSLCLYDCGALSSIPEKLKRLRKVVIKVCPKLRL >Ma04_p32580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32533427:32534486:-1 gene:Ma04_g32580 transcript:Ma04_t32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNPALFKDTSPRMFIFWSNNDDPVRCINTLCPGFVTTGKLPLGAQMSLISQYNDPNKQYVERFHLFRDANTKNWWLKVGGIDILVGYWPSELVPKLTSDGAKEIFWGGQMYPAKKRLRNLEMGSGHFPEEGYAKAAYVSKIMYALHDGPELIKPSSDQIDESVDKPQCYDVDSDVRYSEERGYYIFYGGKPSNFCPY >Ma03_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3984677:3985532:-1 gene:Ma03_g05780 transcript:Ma03_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEVPLEAVALRLYSLPSYAAGSVWAWAAVLAAALGIWGIRTVGSRSDASPPPPPLNVPALPAERAEPQASAATDRKEFRPIAQPSGCHVKETNAAKAPFTAYYHGASRDGCGVVEDDDESEEGEEDGVPGVGCRATALWDGGRQLDWLTARQRRRPDDLGWYRYQDMAALNGSVVRLWDGGLTARRRPQQKPFYETATCEV >Ma03_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4465940:4466720:1 gene:Ma03_g06460 transcript:Ma03_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSSSSPSTYSPRNRSRFGDTTFTKVFVGGLAWETPTEELRRYFEQFGEILEAVIITDKITGRSKGYGFVTFRESESATRSVADPNPVIDGRRANCNIASAGKPRPSPRQGIMLRPSPRQWKIDALLHHSCLCERKKCLLSPTESICRKRIDQQ >Ma08_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:31108789:31112287:-1 gene:Ma08_g18470 transcript:Ma08_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAITEKREQQRQRPSGCVGIFLQFLDWNRRFAKKKLFSKKLLPPAHAARRASKKFCTDDKMPMAKLLLIAEENRGGFPSKKKLDADIGNAVQTPGLVARLMGLESMPVSVNERPRKAIGCNLVYEGDEELRSGTSGFGKTESRPQKLRKTEELLQRQPVKHDGRVRPNVFGKNVTSSCSSINHHKLMSPVKSPRLLSGSHRARLMQAATKILEPGSQPRNRAKCSIAYVVPSPPGAEATAAAATCLKRSKEPLSDFEFRSCMGYGSLVEMPGLGTHEREPFTTRFGSSAFQFSIASSSRQDSMEKNTMSLLTLAEQKSKLNPAVQSQINVQNNACDDFERKQHLQNHRNVCKLKPDNITPKVNNLRHNQAAMLREKAAEQMTYGATACSRKQSRSDFTELKGHQGFSAIGTTMKEPRCMTSIGEVMNNHRVQLGRNCWGKNISCKRKSISFHNDDFVVFGPAFVRESSNERDLLSGSKTGVPINQPICRRCVERSLTKEKKETDNFRGWYSEMASFTFKSPMRKSANSSSHEELAEKCRNRYQLNARLSAREKLLSDSINTNPVFQRRTRLEGEEISRPIEKRIREDAQPNASILEELMISLKTGTRTADQNGSSKTEGLYSLHTNLSDFSVPKQKLCNIQTEAQEKAKFETSAGLMYDQTSPVSILEASISNDSCSYVSIHASSVSANQSRFSLTENQCTEPSLDMDIDLLDSANQSRFSLTENQCTEPSLDMDIDLLDSATSSGIMESVIGKIQHSTDNMLSKSSIHSSEAGISGTKLCEARRTISNSETTFMNFALYASVWIADFVLESILLDVLEAIIGSSEDQKITSGDKDAKGKYQLKKCLFDCMIQSLDSKCKHFGKNGKSLRTPFSLTEDLLIREVHEEIRGWVNLSGKFLDDLIQEEMKNSTAIWKTCGIEVFEAGNAIEGHILQALVNETMTDFCNG >Ma08_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4498239:4520186:-1 gene:Ma08_g06640 transcript:Ma08_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVAEEPRHAPDRRAEQAKTLVGALNLLSRNLPLPPDVFRAVSSIYHGDEPSELQEMVEGGGAPASAESIAINGVPGEGSLIVALEDVLLNQQSTRMCSSALRESKERHFNSLIQHRLAELEVLPSSRGEDLQMKCLLELYGIKLVDLQRKVRSQVSAEYWLHKKCAHPGKTLFDWGMMRLTYPFNMYGTGDSFAMEADNRRRKKRYVERLSKLEEDEKNQADIGKRKFFADVLNAAREFQLQTQAVLKRRKQRNDGVQAWHARQRQRATRAEKLRFQALKADDQEAYMRMVEESKNERLTMLLGKTNELLVCLGAAVQRQKDAEHTDGVEAVKDSGTNSLPHISISKNETPEGFSLGNGDDAVDVKSNQNIKATDLLEGQRQYDSAVHSIQEKVTEQPSMLQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLMENKCVTGPHLIVAPKAVLPNWINEFSTWAPSIVAVLYDGRLNERKAMREEYSGQGKFNVMITHYDLIMRDKAFLKKIHWYYMIVDEGHRLKNHECVLAKTLISGYHIRRRLLLTGTPIQNSLQELWALLNFLLPSIFNSVQNFEEWFNAPFADKCEVTLTDEEELLIIRRLHQVIRPFLLRRKKDEVEKYLPGKTQVILKCDLSAWQKAYYQQITDIGRVGLESGIKSKSLQNLSMQLRKCCNHPYLFVGDYNMWQKEEIVRASGKFELLDRLLPKLQKSGHRVLLFSQMTRLIDILEIYLQLHGFKYLRLDGSTKTDDRGMFLKQFNAPNSPYFMFLLSTRAGGLGLNLQSADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEEILERAKQKMGIDAKVIQAGLFNTTSTAQDRRVMLQEIMRRGTNSLGTDVPSETEINRLAARTEEEFWLFEKVDEERRQKERYKSRLMEEKEVPDWVYHKTNQEKTKESIGVDTRSGEVTGKRRRKEVIYTDLLSDVQWMKAVEDGGDLSKLSSAGKRSRFLFGTHESGEQPSESDEVVGQNMTKEKNMDSMVSVGVSDDSSKKPVKYQSGNLPDNKDEEGDAGGWQEKIITWRSHKRKRSSHGVSSSASDI >Ma10_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26846173:26848613:1 gene:Ma10_g14350 transcript:Ma10_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKDKEVVGGHEDDERSVGSSRSPSQKRPLEVLDLNEDVTIDSSEGEEEEEEEVAEDGDDDGDGGSSTEVARGGSSSNNSSTDNNFNNKSGDTTEGSSGRAPTVRQYVRSKLPRLRWTPDLHLAFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDGSGQEKSAISSVMTPMDLHLKEHRLHEMFYRRTDSFQPFRLDNGGFFSSRSIHEPDQFCNAFFHGSQFLKASVLRSSNFGRHREWEFNRQAAAWDSCLRDQGPSKGLIHDMIFSQKRKPSTSHLFDVRDAISGNGNPRTVHQFLEGRRWSPADMIEARKWEGNRTGNFGSSGYPLAKAVPADPVSGNTLFGWKGNSNICIDTMQSNSHVPIVVNDELPPNSQQPFQVDESRRRQDKPLRNSEDMHAKTETPMKDAKKMRMATTTKDLTPDLQLSLRSSLINDGGYEKKSLETEQVNSMLSLSLSPSTSMQREKHMKAEMQFLEIGSSKKAVLGLSTLDLTMSIRASE >Ma10_p14350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26846174:26848610:1 gene:Ma10_g14350 transcript:Ma10_t14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKDKEVVGGHEDDERSVGSSRSPSQKRPLEVLDLNEDVTIDSSEGEEEEEEEVAEDGDDDGDGGSSTEVARGGSSSNNSSTDNNFNNKSGDTTEGSSGRAPTVRQYVRSKLPRLRWTPDLHLAFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDGSGQEKSAISSVMTPMDLHLKEHRLHEMFYRRTDSFQPFRLDNGGFFSSRSIHEPDQFCNAFFHGSQFLKASVLRSSNFGHREWEFNRQAAAWDSCLRDQGPSKGLIHDMIFSQKRKPSTSHLFDVRDAISGNGNPRTVHQFLEGRRWSPADMIEARKWEGNRTGNFGSSGYPLAKAVPADPVSGNTLFGWKGNSNICIDTMQSNSHVPIVVNDELPPNSQQPFQVDESRRRQDKPLRNSEDMHAKTETPMKDAKKMRMATTTKDLTPDLQLSLRSSLINDGGYEKKSLETEQVNSMLSLSLSPSTSMQREKHMKAEMQFLEIGSSKKAVLGLSTLDLTMSIRASE >Ma05_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11450995:11458753:1 gene:Ma05_g15380 transcript:Ma05_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] MDPSGSSSEGPGGKPPARPQKPGPWPPRIEPFFANKDHNPWELMSWARRTGFNPNHSGETASVVSDRDAADEQNSARGLDLERGIRRRGDGVPRKPEIEPVAGRGRTNRGVEIGPMVPGPGAGPAARSEKEKRKVGVEPPAVGLKDERREAGEETPLRIKSDGPEGNGHGNGAAAISLVKADEDSRKEAGKDEREVGKDEREVEIDFFSDGQEPEDLSGYKPPSGFRAGVTENPGLVPLIFYGVQHYLSLAGSLVFIPLIMVPTMGGTDEETATVISTMLLVSGITTIMHSYFGSRLPLVQGSSFVYLAPALVIINSEEFRNLSENKFKHIMRELQGAILVGSVFQAVLGYSGLMSLLLRLINPVVVAPTVAVVGLAFFSYGFPQAGSCVEISIPLILLVLIFTLYLRRISLFGNRIFLIYAVPLSVAIVWAYAFFLTAGGAYNYKGCSSNIPSSNILSDRCRRHEITMKRCRTDVSNAWRAAAWVRVPYPFQWGFPTFHFRTSLIMVIVSLVASVDSVGTYHATSLLVNLSPPTPGVVSRGIGLEGFASILAGLWGTGTGSTTITENIHTIDVTRVASRKALEFGASLLILFSFVGKIGALLASIPLALAASVLCFTWALIVALGLSTLQYTQTASSRNIIIVGFTLFISFSIPAYFQQYEPNSSLILPSYLVPYGAASNGPVHTSSEGFNFALNGLLSLNMVVAFLVAFILDNTVPGSRQERGVYIWSDSKRLDTDSSSLEPYSLPAKVRRYFWWAKWVGL >Ma11_p16950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22365020:22371831:1 gene:Ma11_g16950 transcript:Ma11_t16950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDSRHKPHIIGKVFIAVFLIVLCILITKKSPDPSGASLLSLHVPGATHVLVTGGAGYIGSHAVLRLLKDSYRVTVVDNLSRGNIGAIKILQALFPEPGRLQFIYADLGNARAVNKIFAENTFDAVMHFAAVAYVGESMLEPLRYYHNITANTLVVLEAMAAHGVKTLIYSSTCATYGEPEKMAITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTRDGTCIRDYIDVTDLVDAHVKALDKAKPRRVGIYNVGTGKGITVKEFVEACKKATGADIKVEYLSRRPGDYAEVYSDPSKINRELNWTAHYTDLEKSLSIAWRWQKSHRNGYGSP >Ma11_p16950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22364953:22371831:1 gene:Ma11_g16950 transcript:Ma11_t16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDSRHKPHIIGKVFIAVFLIVLCILITKKSPDPSGASLLSLHVPGATHVLVTGGAGYIGSHAVLRLLKDSYRVTVVDNLSRGNIGAIKILQALFPEPGRLQFIYADLGNARAVNKIFAENTFDAVMHFAAVAYVGESMLEPLRYYHNITANTLVVLEAMAAHGVKTLIYSSTCATYGEPEKMAITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTRDGTCIRDYIDVTDLVDAHVKALDKAKPRRVGIYNVGTGKGITVKEFVEACKKATGADIKVEYLSRRPGDYAEVYSDPSKINRELNWTAHYTDLEKSLSIAWRWQKSHRNGYGSP >Ma11_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22365020:22371831:1 gene:Ma11_g16950 transcript:Ma11_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDSRHKPHIIGKVFIAVFLIVLCILITKKSPDPSGASLLSLHVPGATHVLVTGGAGYIGSHAVLRLLKDSYRVTVVDNLSRGNIGAIKILQALFPEPGRLQFIYADLGNARAVNKIFAENTFDAVMHFAAVAYVGESMLEPLRYYHNITANTLVVLEAMAAHGVKTLIYSSTCATYGEPEKMAITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTRDGTCIRDYIDVTDLVDAHVKALDKAKPRRVGIYNVGTGKGITVKEFVEACKKATGADIKVEYLSRRPGDYAEVYSDPSKINRELNWTAHYTDLEKSLSIAWRWQKSHRNGYGSP >Ma07_p26110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33039572:33044336:-1 gene:Ma07_g26110 transcript:Ma07_t26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFSFSGSADQNFEFAFNSSNFSDRVLRIEIVPDSPEDTTGGVGTSGLARHRKRRRADVKKERAVEFNLVSFPHSNQIDDDDGLTGANNEGEAVAMIEEFSSGDELSQCSDASWSMECSPSIRIKSLFISSAILAAKSRFFYKLFSNGMRESDQGHATLRISASEEAALMELLSFMYSGKLSTNSPMLLLDILMAADKFEVASCVKHCSQLLRSLPMSTDTALLYLELTSSIAMSSAIQPLTNAAKEFLAKSFKDLTKHQDGLIELPLVGVEAVLSSDELQVASEDAVYDFVLKWARHHYPDLEERREILSSHLSHLVRFPYMSCRKLRKVVTQNDLDQEIFSKAVLEALFFKAETPHRQRALASEQSSNRRYAERAYKYRPVKAIEFELPHPQCIVYLDLKQEECVHLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFAARAKPSGEFISKYKGYYTFTGGKAVGYRNLFAIPWTSFMADDSLYFINGVLHLRAELTIKQPQQQQQLP >Ma07_p26110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33039570:33044336:-1 gene:Ma07_g26110 transcript:Ma07_t26110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFSFSGSADQNFEFAFNSSNFSDRVLRIEIVPDSPEDTTGGVGTSGLARHRKRRRADVKKERGANNEGEAVAMIEEFSSGDELSQCSDASWSMECSPSIRIKSLFISSAILAAKSRFFYKLFSNGMRESDQGHATLRISASEEAALMELLSFMYSGKLSTNSPMLLLDILMAADKFEVASCVKHCSQLLRSLPMSTDTALLYLELTSSIAMSSAIQPLTNAAKEFLAKSFKDLTKHQDGLIELPLVGVEAVLSSDELQVASEDAVYDFVLKWARHHYPDLEERREILSSHLSHLVRFPYMSCRKLRKVVTQNDLDQEIFSKAVLEALFFKAETPHRQRALASEQSSNRRYAERAYKYRPVKAIEFELPHPQCIVYLDLKQEECVHLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFAARAKPSGEFISKYKGYYTFTGGKAVGYRNLFAIPWTSFMADDSLYFINGVLHLRAELTIKQPQQQQQLP >Ma05_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33705922:33706613:-1 gene:Ma05_g21970 transcript:Ma05_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVPHIKAGGDNSVTPFFVFAVHTTDTAAFGYCEMQFCIHLLKRPHLCRCICGRRGIYGTLKKQKISG >Ma04_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9983841:9996305:1 gene:Ma04_g13210 transcript:Ma04_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSGRSAVVAIECVAGSSRADEWWGGGEGEAALLHTGDVLEEITFGGCPPVRAPFKGGRAAVMKLLHAAFKRGDTSVLVRARRRDRAAQLELHACIVPHPPAGRRQYVLRSIRDPNYALGLVDRSESECIALQGSRSSRVVCALSNAKLKDGYITFPWEKKARDLLQVPYSSAFLSLIILPRASDSSGARYSSLEDTLAQANAWLVSSQAAGVPISFMNIQTEALLTKGETSSRTVSSGSAAATDLSNLANISLCEFEDYHGIDIGVIRAVRLWYSPAAGEMALEIKLQERDTKLGFAISRTDEGFIYISSVADDDSAGVAAARTRLGELHRRATRASKLLIVSRVGNEKVLPWMVSASGSIRCFDTVSLSQKLSLHRHALKPILLHLFTWEPSPALAAIPRVVEVPAPAPPRAPAEEKAPAPTPQSFAPPSPVDEVLDDLVYDISSGARLRKDAIGDVSLRFPLSDASSD >Ma10_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30092552:30097662:-1 gene:Ma10_g19580 transcript:Ma10_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGRDGDATGLGAGGGDAAAEDVGKPVVVAVPPAAQAEVIFHSRSRSQGVGGRRVAPVTPAAGSPEEDATVAVVEKVLPNGDMYTGGFIGNSPQGRGKYLWADGCMYEGEWRRGKAAGKGKFSWTSGATFEGEFRSGRMEGFGTFTGSEGDTYRGSWVADRKHGYGCKWYANGDYYEGGWRRNLQEGRGRYVWRNGNQYVGEWRNGVISGRGALVWANGNRYDGHWENGVPKGSGVFTWPDGSCYVGSWGKGDQKSLNGTFYPAVTADRKEIAGKRSPFSPLDDAFVLPPLVPASRKRSSVDGVLGRKSSTADKNFPRICIWESEGEAGDITCDILDTIEAAMLCQDDLSSDQAGHALIGSVPPRRSPCCLSAKEAKKPGQTILKGHKNYDLMLSLQLGISYSVGKPGSLRELKVGDFDPREKFWTRFPPEGSKITPPHQSAEFRWKDYCPVVFRHLRKLFNVDPADYMVAICGNDALRELSSPGKSGSVFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVRRYENSLVTKFYGVHCVKPIGGPKVRFIVMGNLFCSEYHIHRRFDLKGSSYGRTTDKAEKEIDDMTTLKDLDLNYVFRLHNSWYLELLEQIKRDCEFLESEGIMDYSLLLGLHFCDDVSASSTGLSPCSASPKSHRKRGSFQDRTTRSGLQFSRSTRQDMDLTMDGRNPSIRLGLNMPARAEHTTRSASDPFIAGGGLPMLTRSGKIHDVILYFGVIDILQDYDITKKLEHAYKSLQVDPNSISAVDPKLYSRRFQDFIRRIFMEDD >Ma06_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10413516:10418441:1 gene:Ma06_g15330 transcript:Ma06_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGEGNGEKKLLEAGFGASEVKKQWKNPLQVQILENAYAVAPNPSAAMKEELAKLTGLDYKQVQYWFGNRRYMDRHGPRRYRTRNEGDGKFVNFAAVSNSGLSSSSTSFADPVAGTESSSSRRNSEMLQHLVPERLAQLFTQMQDQQSVTVHVEKKLGHPLRADGPILGVEFKPLPPGAFGAPLAQQRSSLWPCDGKVTERPTVKSIKAATSLPMSGCCLMTNFSNEGTDISTRDFDALNPDGSPRVVEEYQLFPMQPSWLDSYERVKQAICPLSPANTLNNQVLTSAEGQTVSEYVSAASAFTPQGQLSDSTNQSQQGKQMTISSTLNGHQKAPDHLYRSSASDSQDKNHPATRLDNQFPSSDQIITCNANELRSEKIHSLGSSSDNGNQKHDLTEVQKIVDAKKERDSGVPDVGHGDPGPGGHGQGGEGSSHLQMELVENHISAVVAFKEVENKNFNKVVMNQTADLPHQVGHWHGYSRYFVKPRERSHSSITDTGDTRVSYFSNTGRCVRPLIAEWNEREKKAVYIDNDNESSLSWSTGSDSTCSDGFDDQATENGRHYAQTNSVDPCDIDIENDDIHKDHNRQEVVDVDLNVEDDEDETDDEDSNMKEESKDKKMEVNEDRRTSPLSSEQTA >Ma04_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14931060:14935543:1 gene:Ma04_g16200 transcript:Ma04_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQSPLIASPNPCPIPRNPRSYPISRILFSRCCFGTLRDRSLPSLQCCASKGSDAAAVEAVTEVEVAVGEAESREAGGAGSAGVSVGIGSPALTAGLGLYRMSLGDQAFFLLAFIACTTSVAFTSLVVAAIPTLLAMRRTATSLARLADTATEELPSTMAAIRLSGMEISDLTLELSDLSQEISDGISKSAQAVQAAEAGIRQIGALARCQTVSMIEERANLPNISLKPMVAGAARKTSHVVGKAKKTFMNIISGGEHSQKSEDMSDKVEI >Ma01_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11599997:11602510:-1 gene:Ma01_g15930 transcript:Ma01_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKTVDPFSKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAHRKIRLRSEDVQGKNVLTNFWGMDLTTDKLRHIVRRWQTLIEAHVDVKTTDNYTLRLFCIAFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMVNQATTCDLKDLVLKFIPDVIGKEIEKATSSIFPLQNVYIRKVKILKAPKFDLGKLMEVHGDYKEDVGVKVDRPAEDVQMEGESEVAGA >Ma07_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10903145:10903603:-1 gene:Ma07_g14480 transcript:Ma07_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRLQKLYIDFASVVFREGFLDNQYTQLQQLQDESEPKFVLQVGTLFLEDSGKLINGLRSILDQQDVDFEKLDACVRKLMGSSASIGARRVKNVCMAFHNSCKKGSKEGCLKCLQQVAQEYLLVKRKLETLFKLETQILAAGGSVPLLSQ >Ma10_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1392075:1395289:1 gene:Ma10_g00170 transcript:Ma10_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASPNCSSRKEKLPSPLPPPPSHDDRHSHHSAPGELSWLDNSCVQDLDHFAKTLTGIKAKGVRPELLGSILSHYASRWLPELFGRASAGPTPESPTAAWLKKRFFIETLASVLPTEKGSIPCDFLLKLLRTASMVGAEPSCVRELEARAAAQLDEASLKELMIPAFSHTCGTLLDVGLVLRLVQRFAGTDDGGAAAKSGAALARVAKLVDSYLAEVALDAGLTVAEFEELASSLPAHARAMDDGLYRAIDTYIKAHPSTSKQERKTLCRLIDARKLSAEASLHAAQNERLPVRSVIQVLFSEHTKVNRLTDWSGSFSGPRSPNPAALEPPGRCPSKREVLVQQQEMRRLRDDVARLQVHCHALQAQIDKLTCVKKKRGFFRWASFLLLFRTTSDVVEKVEEYELGAERPTPMRTKKGRLAQGKGTPAKWRNSLS >Ma10_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32091791:32092641:1 gene:Ma10_g22950 transcript:Ma10_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 10 [Source:Projected from Arabidopsis thaliana (AT3G08920) UniProtKB/Swiss-Prot;Acc:Q9SR92] MAAGAAHVATAKLRRATFMASTPPPAQGRAYPSGGQLELIRSGVVRAIPPKDAAAALRTEDFRLLDVRPAWEYEKARVGGSLHVPFFVADTDPTPVTLLKKWVHFGYIGLWTGQHLTTINEQFLPQVEELVPDKEDKLLVACGEGLRSMIAVRALHNGGYKNLGWLAGGFNRSGDGDFSEVQGTSKLQYATVGGASYLFLQLLLLLKVIGKEN >Ma03_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14723695:14726213:-1 gene:Ma03_g14920 transcript:Ma03_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSNTDRLHFVLIPWLAPGHMLPMIDIACLLAEHGTTVTVVTTPANASRIGPTIDRVADSGLPVCFVSLRFPAVEAGLPEGCESVDAIPSSDLMIDFFNAGKLLKHPLTDHLCGCRPAPSCVITGTHHAWADDVALEFGVPCLVFRGYSCFSLLSVENLHLYKTHEHVPSATEPFVLPGLPHRVEIKRCQLPRHIERAHRFAEMFREIRDAESSSDGIVVNSFDELEVGYGERLAKATGKKVWNIGPVSLVCNQGRQDIARREDKASVDEAQCSRWLDSWSPESVIYVSFGSMGSFAPSQLMELGSGLLASGRPFVWVIKVGRTWTEETQTWMSERVEGNSKCLLIKGWAPQVRILSHPAVGGFVTHCGWNSTLEGVCAGVPMITWPLFAEQFLNEKLIVEVLAIGVSLGVEKPTEWGKLVEEDVVVVTKGELAKAVEILMDKGEQGEERRKRAKALKEKAMRAMEDAGSSHLNMERLIQYVLVSRESYHTYHPHHTPPPQRKLCVGYRKGGPMHGFGFKELSLSWSLAIDEGWMCSGGTK >Ma08_p19340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33125177:33126220:1 gene:Ma08_g19340 transcript:Ma08_t19340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTPPAQEQQQQQHLVLRIRNSAHSHRESASEEEREDEEASRTALSTFRAKEEEIERKKMEVREKVFAQLGRVEEESKRLAVIQKELEAIADPTRKEVSAIRKKIDAVNRELKPLGHNCLKKEKEYKEALEAFNGKNREKAQLVNRLIELVSESERLRMKKLEELGKTVDSLR >Ma08_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33125177:33126220:1 gene:Ma08_g19340 transcript:Ma08_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTPPAQEQQQQQHLVLRIRNSAHSHRESASEEEREDEEASRTALSTFRAKEEEIERKKMEVREKVFAQLGRVEEESKRLAVIQKELEAIADPTRKEVSAIRKKIDAVNRELKPLGHNCLKKEYKEALEAFNGKNREKAQLVNRLIELVSESERLRMKKLEELGKTVDSLR >Ma02_p11100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20436241:20438269:-1 gene:Ma02_g11100 transcript:Ma02_t11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVEKMIAVGIVWGATNAVMRRGALVWDRKLQLRSRTPGHRPRGRLLGHVLRWIDLLLTWQYSVPFLINLSASAAFFHMLGGAPISVAVPVTNATTFAATAVAAMILREEMRVGLTFFGHSQTQI >Ma02_p11100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20437682:20438269:-1 gene:Ma02_g11100 transcript:Ma02_t11100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVEKMIAVGIVWGATNAVMRRGALVWDRKLQLRSRTPGHRPRGRLLGHVLRWIDLLLTWQYSVPFLINLSASAAFFHMLGGAPISVAVPVTNATTFAATAVAAMILREEMRVGLTFFGTALIVLGVWICIRSGD >Ma02_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20437199:20438269:-1 gene:Ma02_g11100 transcript:Ma02_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVEKMIAVGIVWGATNAVMRRGALVWDRKLQLRSRTPGHRPRGRLLGHVLRWIDLLLTWQYSVPFLINLSASAAFFHMLGGAPISVAVPVTNATTFAATAVAAMILREEMRVGLTFFDDLRRGESYD >Ma05_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12806277:12829477:-1 gene:Ma05_g15860 transcript:Ma05_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLSTRSAQFGSAPPPVRSASPDGIWSKHLDSITFDQLHKFWSELPLRARKELLRLDKQTLFEQARRNLYCSRCNGLLLEGFSQIITYGKSLQQEDPSFQQSDRAGTPQSQNTNDFEVLDPSLHPWGGLTTTKHGILTVLDCFMCARSLKTLQNVFDNAQARERERELLYPDACGVGGRGWISQGMANYGRGHGHGARETCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMYRFDNKRFCRDCRRNVIREFKELKEFKRMRKEPRCTRWFCVADLAFQYEVSEDTVQADWNQSFTDMVGTYHHFEWAIGTGEGQTDILDFKDVGMNGKVEETGLNLGGLGACFITLRAWKLDGRCIELCVKAHALKGQHCVHRRLIVGDGFVTITEGESIRRFFEHAEEAEEEEDDDAMDKDENELDDDNSRSQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHCTFVCLALELLEERLHVACKEIITLEKQTKLLEEEEKEKRKEEERKERRRTKEREKKLRRKERLKEKGRERKLIESKSLHAALPESKNKSSPSTYEESIIIPDSADFVGVTGDISLLEFPVFPDVTDEQSSGGSINMKTDDSLQQQSDVDGKFYTKSGLSFTSECTKSLRRKLRSRKENFLEQSSNCYDRHRFYISNESRDQQDETDISTSCSRGINGLHWPSRERFVMNNHRKCALKFNEKSHCAHARVQGRFDFQCCNCSCNKRDDYNEKDGYHIFTIRSGRERKTASRIERELDMPRSSSRNVRYNNGCYFSDNFVVPKGKHVGDTPGKEILHTKQVWEPLDTHKKISKSNSDSKITFRCISKVESSKEICFDKGENGCQQSNQFESVGNMCSSEHSTSSGKVDTLIGCQVLKDHDIPDGYCLDTNIACQNEFGLVKKTEYCSNKGIEENLSPIKINCSDTVRSSSSSDNCFSCISEGDSSTSSSSLQNAESSLSSDSEDACQQSCARDASVCNNDSFHEYLDQFPDKKIMTNRGGSFANSTAEFLAENFVECDLSGGRSKNVQDSNNGQFGFVVSPPPNCMLPMRNNSIHVPVISSPMVGYHTQHISSWAASPCNGFIPFPQANHYLLPTLGCGLPANRLSDLNMHYNIVQPLNVPVFDARKEFMYQTGNRMNVRNSEDQNKHPSFCGFQQLNTSVQPIGSQRFLERSFSDRQLPSKQSAEQNGSAECCAKSHNGSSSFSLFHFGGPMAGTNDEFIGKLPSLEDETTGGFVSNLTTTHAQPCSKEEIKVEEYCLFSSRNSTRFSFF >Ma10_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22806260:22814840:-1 gene:Ma10_g08460 transcript:Ma10_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAPRIKKIMQADEDVGKIALAVPILVSKALELFLQDLCDRTYEITLQRGAKTLNSSHLKQCVKAYNAYDFLTGVVNKVPNLGGMEPCEDEKGICRRRKTLPHAGEVESNEELHLRSSKMPMRNSNVSPRGRGRGRGRGRGRPPTKARDARYIKYEDDDITFGEHDEEVPLGQPGQPEGAEKENTNQTVDPSSMSLVSGAATTANETKLEKCSAWPLPDGVGDIGFEPSRLVQLNMQVDEDEDYDNED >Ma04_p38000.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35661597:35671851:1 gene:Ma04_g38000 transcript:Ma04_t38000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWFRSLVGIRKQEKFQHPENDENKSASADKSQGRKKNSVGLDCAKTEDEYAPGTAPMAGDANIQSNSNATSSPSTSNNRQVTCQTQESIREGWAATVIQSAFRAFLARRALRALKGLVRLQALVRGHSVRKQAAITLRCMQALVRVQARVRARRVRMALESQMGRQEVQEQQPYEARVREIEEGWCDSVGSVEEIQAKLLKRQEAAAKRERAMAYALTHQWQAGPRKPAALEGFEPDNNNWGWNWLERWMAVRPWENRFLDISLKDGVKVHENGTAEGKSGASGTKTQTKPTGKKPISTLHSNTLIHKSVLSVSDGSGSSSSRSVSMQVSSPFPSGKPKQKLSSEEVYGEATSQASRFGARSYSNPMERPSQLESQTKRLSLPNSGLGGMGKPTTNKVAVNRSATRKPLKGAQKSETKHHQNPKDPASKRAEVQI >Ma04_p38000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35661597:35671851:1 gene:Ma04_g38000 transcript:Ma04_t38000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWFRSLVGIRKQEKFQHPENDENKSASADKSQGRKKNSVGLDCAKTEDEYAPGTAPMAGDANIQSNSNATSSPSTSNNRQVTCQTQESIREGWAATVIQSAFRAFLARRALRALKGLVRLQALVRGHSVRKQAAITLRCMQALVRVQARVRARRVRMALESQMGRQEVQEQQPYEARVREIEEGWCDSVGSVEEIQAKLLKRQEAAAKRERAMAYALTHQWQAGPRKPAALEGFEPDNNNWGWNWLERWMAVRPWENRFLDISLKDGVKVHENGTAEGKSGASGTKTQTKPTGKKPISTLHSNTLIHKSVLSVSDGSGSSSSRSVSMQVSSPFPSGKPKQKLSSEEVYGEATSQASRFGARSYSNPMERPSQLESQTKRLSLPNSGLGGMGKPTTNKVAVNRSATRKPLKGAQKSETKHHQNPKDPASKRAEVQI >Ma04_p38000.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35661597:35671851:1 gene:Ma04_g38000 transcript:Ma04_t38000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWFRSLVGIRKQEKFQHPENDENARRALRALKGLVRLQALVRGHSVRKQAAITLRCMQALVRVQARVRARRVRMALESQMGRQEVQEQQPYEARVREIEEGWCDSVGSVEEIQAKLLKRQEAAAKRERAMAYALTHQWQAGPRKPAALEGFEPDNNNWGWNWLERWMAVRPWENRFLDISLKDGVKVHENGTAEGKSGASGTKTQTKPTGKKPISTLHSNTLIHKSVLSVSDGSGSSSSRSVSMQVSSPFPSGKPKQKLSSEEVYGEATSQASRFGARSYSNPMERPSQLESQTKRLSLPNSGLGGMGKPTTNKVAVNRSATRKPLKGAQKSETKHHQNPKDPASKRAEVQI >Ma04_p38000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35661597:35671851:1 gene:Ma04_g38000 transcript:Ma04_t38000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWFRSLVGIRKQEKFQHPENDENARRALRALKGLVRLQALVRGHSVRKQAAITLRCMQALVRVQARVRARRVRMALESQMGRQEVQEQQPYEARVREIEEGWCDSVGSVEEIQAKLLKRQEAAAKRERAMAYALTHQWQAGPRKPAALEGFEPDNNNWGWNWLERWMAVRPWENRFLDISLKDGVKVHENGTAEGKSGASGTKTQTKPTGKKPISTLHSNTLIHKSVLSVSDGSGSSSSRSVSMQVSSPFPSGKPKQKLSSEEVYGEATSQASRFGARSYSNPMERPSQLESQTKRLSLPNSGLGGMGKPTTNKVAVNRSATRKPLKGAQKSETKHHQNPKDPASKRAEVQI >Ma04_p38000.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35661597:35671851:1 gene:Ma04_g38000 transcript:Ma04_t38000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWFRSLVGIRKQEKFQHPENDENKSASADKSQGRKKNSVGLDCAKTEDEYAPGTAPMAGDANIQSNSNATSSPSTSNNRQVTCQTQESIREGWAATVIQSAFRAFLARRALRALKGLVRLQALVRGHSVRKQAAITLRCMQALVRVQARVRARRVRMALESQMGRQEVQEQQPYEARVREIEEGWCDSVGSVEEIQAKLLKRQEAAAKRERAMAYALTHQWQAGPRKPAALEGFEPDNNNWGWNWLERWMAVRPWENRFLDISLKDGVKVHENGTAEGKSGASGTKTQTKPTGKKPISTLHSNTLIHKSVLSVSDGSGSSSSRSVSMQVSSPFPSGKPKQKLSSEEVYGEATSQASRFGARSYSNPMERPSQLESQTKRLSLPNSGLGGMGKPTTNKVAVNRSATRKPLKGAQKSETKHHQNPKDPASKRAEVQI >Ma04_p38000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35661597:35671851:1 gene:Ma04_g38000 transcript:Ma04_t38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWFRSLVGIRKQEKFQHPENDENKSASADKSQGRKKNSVGLDCAKTEDEYAPGTAPMAGDANIQSNSNATSSPSTSNNRQVTCQTQESIREGWAATVIQSAFRAFLARRALRALKGLVRLQALVRGHSVRKQAAITLRCMQALVRVQARVRARRVRMALESQMGRQEVQEQQPYEARVREIEEGWCDSVGSVEEIQAKLLKRQEAAAKRERAMAYALTHQWQAGPRKPAALEGFEPDNNNWGWNWLERWMAVRPWENRFLDISLKDGVKVHENGTAEGKSGASGTKTQTKPTGKKPISTLHSNTLIHKSVLSVSDGSGSSSSRSVSMQVSSPFPSGKPKQKLSSEEVYGEATSQASRFGARSYSNPMERPSQLESQTKRLSLPNSGLGGMGKPTTNKVAVNRSATRKPLKGAQKSETKHHQNPKDPASKRAEVQI >Ma04_p38000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35661994:35671851:1 gene:Ma04_g38000 transcript:Ma04_t38000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWFRSLVGIRKQEKFQHPENDENKSASADKSQGRKKNSVGLDCAKTEDEYAPGTAPMAGDANIQSNSNATSSPSTSNNRQVTCQTQESIREGWAATVIQSAFRAFLARRALRALKGLVRLQALVRGHSVRKQAAITLRCMQALVRVQARVRARRVRMALESQMGRQEVQEQQPYEARVREIEEGWCDSVGSVEEIQAKLLKRQEAAAKRERAMAYALTHQWQAGPRKPAALEGFEPDNNNWGWNWLERWMAVRPWENRFLDISLKDGVKVHENGTAEGKSGASGTKTQTKPTGKKPISTLHSNTLIHKSVLSVSDGSGSSSSRSVSMQVSSPFPSGKPKQKLSSEEVYGEATSQASRFGARSYSNPMERPSQLESQTKRLSLPNSGLGGMGKPTTNKVAVNRSATRKPLKGAQKSETKHHQNPKDPASKRAEVQI >Ma04_p38000.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35661597:35671851:1 gene:Ma04_g38000 transcript:Ma04_t38000.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWFRSLVGIRKQEKFQHPENDENKSASADKSQGRKKNSVGLDCAKTEDEYAPGTAPMAGDANIQSNSNATSSPSTSNNRQVTCQTQESIREGWAATVIQSAFRAFLARRALRALKGLVRLQALVRGHSVRKQAAITLRCMQALVRVQARVRARRVRMALESQMGRQEVQEQQPYEARVREIEEGWCDSVGSVEEIQAKLLKRQEAAAKRERAMAYALTHQWQAGPRKPAALEGFEPDNNNWGWNWLERWMAVRPWENRFLDISLKDGVKVHENGTAEGKSGASGTKTQTKPTGKKPISTLHSNTLIHKSVLSVSDGSGSSSSRSVSMQVSSPFPSGKPKQKLSSEEVYGEATSQASRFGARSYSNPMERPSQLESQTKRLSLPNSGLGGMGKPTTNKVAVNRSATRKPLKGAQKSETKHHQNPKDPASKRAEVQI >Ma04_p38000.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35661597:35671851:1 gene:Ma04_g38000 transcript:Ma04_t38000.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWFRSLVGIRKQEKFQHPENDENKSASADKSQGRKKNSVGLDCAKTEDEYAPGTAPMAGDANIQSNSNATSSPSTSNNRQVTCQTQESIREGWAATVIQSAFRAFLARRALRALKGLVRLQALVRGHSVRKQAAITLRCMQALVRVQARVRARRVRMALESQMGRQEVQEQQPYEARVREIEEGWCDSVGSVEEIQAKLLKRQEAAAKRERAMAYALTHQWQAGPRKPAALEGFEPDNNNWGWNWLERWMAVRPWENRFLDISLKDGVKVHENGTAEGKSGASGTKTQTKPTGKKPISTLHSNTLIHKSVLSVSDGSGSSSSRSVSMQVSSPFPSGKPKQKLSSEEVYGEATSQASRFGARSYSNPMERPSQLESQTKRLSLPNSGLGGMGKPTTNKVAVNRSATRKPLKGAQKSETKHHQNPKDPASKRAEVQI >Ma08_p29970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41391063:41393699:-1 gene:Ma08_g29970 transcript:Ma08_t29970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAASSGATGKSMLNPDAPLFIPMAFQQVEDFSAEWWELVKTTTWFREHWLHQHQDQETFDAADDDEDVINLLPDSFDLGVGDESSILEAEHDDAAGDQISMALKKERIGNGIPSDAEAVARSLGVESPKNGSRSILEPTKHREKPAQRLSPRCGPHHVIQQPR >Ma08_p29970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41391063:41393547:-1 gene:Ma08_g29970 transcript:Ma08_t29970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCQKGSNDWETMSTMAASSGATGKSMLNPDAPLFIPMAFQQVEDFSAEWWELVKTTTWFREHWLHQHQDQETFDAADDDEDVINLLPDSFDLGVGDESSILEAEHDDAAGDQISMALKKERIGIPSDAEAVARSLGVESPKNGSRSILEPTKHREKPAQRLSPRCGPHHVIQQPR >Ma08_p29970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41391063:41393539:-1 gene:Ma08_g29970 transcript:Ma08_t29970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCQKGSNDWETMSTMAASSGATGKSMLNPDAPLFIPMAFQQVEDFSAEWWELVKTTTWFREHWLHQHQDQETFDAADDDEDVINLLPDSFDLGVGDESSILEAEHDDAAGDQISMALKKERIGNGIPSDAEAVARSLGVESPKNGSRSILEPTKHREKPAQRLSPRCGPHHVIQQPR >Ma08_p29970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41391063:41393699:-1 gene:Ma08_g29970 transcript:Ma08_t29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAASSGATGKSMLNPDAPLFIPMAFQQVEDFSAEWWELVKTTTWFREHWLHQHQDQETFDAADDDEDVINLLPDSFDLGVGDESSILEAEHDDAAGDQISMALKKERIGNGIPSDAEAVARSLGVESPKNGSRSILEPTKHREKPAQRLSPRCGPHHVIQQPR >Ma05_p05040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3857169:3860520:1 gene:Ma05_g05040 transcript:Ma05_t05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor-binding protein [Source:Projected from Arabidopsis thaliana (AT4G15802) UniProtKB/Swiss-Prot;Acc:Q8GW48] MAATNPGGVKLILQADQDSEYPAQSTADMTVFVQNLLVQMQNRFQAMSDSILSKIDDMGSKIDELEQSINDLKAEMGVEGVANSKPEEDEPSEDAA >Ma05_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3857159:3860520:1 gene:Ma05_g05040 transcript:Ma05_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor-binding protein [Source:Projected from Arabidopsis thaliana (AT4G15802) UniProtKB/Swiss-Prot;Acc:Q8GW48] MAATNPGGVKADQDSEYPAQSTADMTVFVQNLLVQMQNRFQAMSDSILSKIDDMGSKIDELEQSINDLKAEMGVEGVANSKPEEDEPSEDAA >Ma01_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6301501:6302342:-1 gene:Ma01_g08780 transcript:Ma01_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVERGWRLSSTRRTLPGVANYKEPCTKVLSEGSEEW >Ma10_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25365695:25367218:-1 gene:Ma10_g12090 transcript:Ma10_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLDHPWAFTIGILGNIISLMVFLAPLPTFYRVYTRKSTEGFQSVPYVVALFSCMLWFCYAFLKTDAYLLITINSIGCAIETVYIVIYLTYAPKVAKIFTAKLVLVVNVGMFGLILLLTLLLAEGSKRVGAFGWICVSFSVSVFVAPLSVIRLVMRTKSVEFMPVSLSFFLTLSAIVWFAYGLLTKDIYVGLPNVVGFIFGILQMVLYVAYRDKNRVAIEHKLPEHIISIAKLSAEKASEIYPIDSATPVVHDQDTVQEQGGGAEEAKGGMAAPQRENETNSVEV >Ma02_p23660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28582648:28589874:1 gene:Ma02_g23660 transcript:Ma02_t23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQQQALMQQALLLQQQQQQQALYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRQSAALAIVTLNGRQLFGQPLKVNWAYASGQREDTSGHYNIFVGDLSPEITDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGANANEDKQNSDSKSVMDLTNGSAEDGQENTNDDGPENNPQYTTVYVGNLAHEVTQLDLHRQFHGLGAGVIEEVRIQREKGFGFVRYSNHSEAALAIQIGNGQILCGKPIKCSWGSKPTPPGTSSAPLPPPAAAPFPGLSAADLFGYDPALALSKMSSSQSLMHAQGQHALKQAAMAMGAGGSQAIYDGGFQNASAAQQLMYY >Ma02_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28582648:28589874:1 gene:Ma02_g23660 transcript:Ma02_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQQQALMQQALLLQQQQQQQALYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRQSAALAIVTLNGRQLFGQPLKVNWAYASGQREDTSGHYNIFVGDLSPEITDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGANANEDKQNSDSKSVMDLTNGSADGQENTNDDGPENNPQYTTVYVGNLAHEVTQLDLHRQFHGLGAGVIEEVRIQREKGFGFVRYSNHSEAALAIQIGNGQILCGKPIKCSWGSKPTPPGTSSAPLPPPAAAPFPGLSAADLFGYDPALALSKMSSSQSLMHAQGQHALKQAAMAMGAGGSQAIYDGGFQNASAAQQLMYY >Ma01_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5627890:5632279:1 gene:Ma01_g07760 transcript:Ma01_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLADSLIPDHRSDSGADEIAARAATAESRPLGSDDGSGEEEVDPSRGVKEDLSELSKTLARQFWGVASFLAPPSQSSDGDSGRRHDPPPPSDNGQAEASDSAAMTGIRYDFAEIGGRFRSGFSKISGNMGVSEISKIASNFLPLQAEEEDEEEEQEEEEDDDSFSGGAIGITEEVMAFVRNISMHPETWLDFPLLSDDEDSDDFEMSDAQLEHALTVERLSPRLIALRIELCPTHMSEGYFWKTYFVLLHPRLNKHDAELLSTPQVVEARALLLQKLQNQNKSDSQRLVDVYRKSEETSFPPLKQVTASTDAYETSSTESQQIIYTEKYPVQVAHIEFIDKSIVEEGPPTSRLVELSIVSNASIERSDDDDDDDWLKDETGDIDCSGSLTIAMYNEDVSFSDLEEDEPDAPKALK >Ma02_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23167879:23168146:-1 gene:Ma02_g15480 transcript:Ma02_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIAAGSGLPWRSPPAASRIWLIPFINPAHQLRIISS >Ma11_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1255045:1257513:1 gene:Ma11_g01770 transcript:Ma11_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEVREYTNLSDPKDRKCGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKQAFAAFKKAASLVDSSPAPLPLPLPLRVEPKPKSGIRQQDLLKNIIGIKPKRQKVSSPSSLQSERPTVSSTGDGEGQPGKNISNSTPSKTQKNACLAANVAMQEQQEQPVHEDTSMKTNGDEANQKTEDTVKSLLGLAYESSDDERPEENIQP >Ma11_p01770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1255174:1257513:1 gene:Ma11_g01770 transcript:Ma11_t01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKQAFAAFKKAASLVDSSPAPLPLPLPLRVEPKPKSGIRQQDLLKNIIGIKPKRQKVSSPSSLQSERPTVSSTGDGEGQPGKNISNSTPSKTQKNACLAANVAMQEQQEQPVHEDTSMKTNGDEANQKTEDTVKSLLGLAYESSDDERPEENIQP >Ma09_p10110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6910994:6914753:-1 gene:Ma09_g10110 transcript:Ma09_t10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMARKLVVEVMEAHDLLPKDGMGTSSPYVVVDFDGQRRKTQTVLRNLSPTWNEALEFNVIGPVDDAGEPVEVEVYHDRRVGPSRRNNFLGRVRIDSRKVVKKGEEAVESFPVEKKSFFSWVRGDIYLKVYYVDEPVPEPKPPAPPSEAAPKSDERPPPAEAVNMDAGKTEAPVTVQPTEAAEQAPDTAAPESEKSPPPPPPPEEPPVGAADTEKPKESDAPPPVTENGGTGNPAETAEANPSPLPEPSTEAPPPPPEPEKESTPNWVPQPRQMAPPARTPEAMERSKYDLVDKMQYLFVRVVRARKLPGNAKPHVRVAAYGRHVSTRTARRSVFFEWNQTFAFVRDASAAAADPSALEISVWDLPPFSDLNDEEEEHFLGGVRFDVAEVPLRDPPDSPLAPQWYRLEGGRGRGGDLMLASWVGTQADDSFPHAWKADAPTSHAGSHSKVYVSPKLWYIRATVIEAQDTVTSSIKEHAVYVRAALGFQVLKTRNAVSRNGAPPSWNEDLLFVEAEPFGEDQKLVISLELRHGKDAIVLGSGSILLSTIERRVDDRKVASRWLDLLPTSEEAQAKKGRRPVHGGRLHVRVCFDGGYHVSDEPQHAESDYRPSARQLWRSPVGVVEVGIIGCRGLLPVRTVDGKGTTDAYAVAKYGPKWARTRTAANSFDPAWNEQYTWPVYDPCTVLTVAVFDQSDDGKDATSRPMGKVRIRLSTLETNRIYRGSYPLVMLLPSGVKRMGEIELAVRFSRAGSALDLLHVYGQPMLPAMHHLRPIPAAQREPLRLAAARIVAAHLGRSEPSLQREVALWVLDPAAEPRGYSMRRVRANWYRIVAALSWVADVARWVEDTRAWRNATTTLLVHGVLVLLVWFPELAAPTVAVHVAVVGAWRYRRRARGPVPHPCLRASQAEAVEREELDEEFDPVPSARGPEVVRARYDRMRGVAARFQAMLGDVAAQVERVQALVTWRDPRATGMFVALCFLLAVALYVVPARMAVVAAGFYYLRHPMFRDRLPPAAVNFYRRLPSLADRII >Ma08_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4673991:4684754:1 gene:Ma08_g06850 transcript:Ma08_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVASDSDPMNPCCAKLRQKFLKLEGSRNALRQAVQILEQQLNKLESENANLKKVNREQENAEFHKRSKEEESSIIHTLEKQINELKSEISAYQQMETKDHSNSLDAHIAERDAEIKMLKESLVKEKMRGDAEKKKAEIATKKAADAKKLLDMEKSKCDDARKLANADKKKAEEVRLSLEKFKTEVNEARAKLVAERTKAHEFDKLIEEEKQKTIMEKKRAELERTKAEELSKILEVQRREARDDKVRVEHMKQMLEDEKQHKENLQRKLVEILSEREAASGCLCSRDKKLKGDTSAKPAVVKTLKEQLKFAKKQLKYAKRMTKLEKAEKKLISQQFHLLKQEFIQLSCHLKMLGDQISHVTEGTHSLGKIEDPLRYNLQNVSLGLRCSERDFQLGNCCCKGSFHIPGSTRECSCFAASGRQHARKITGTKSEVDPLTRDSSRNRPQSSTVCSTSTTCPDRELMGSQAIDATFLATSSKLAEGFSSHGLIIPNVPRADAEHVHNKMTASEAKKTHRSCIKKKTHRSGSVYEGNKFTDKIQVCGGGKKRKIHDPMASITSFCDKDNQVHLVERHFSGKNLMRTKGAPLKEICHQNSGMSKIINASRGLRTEMHRSFMSAEDRQGITHLQPSSQVRKDEIDIDCSMLPCFPCKSKISENNPLNIIEEIPAVSNDQADLVFLENGTREDCMKLLMLDDVDDERRYREAIERPLSPTLPVIKCSVVGLKGEDHSHYLIKEHFREPTNDKDTFETYHCFDVINGEINSNKFELVMPTPPVLKDNVGSVHASEESELNNARTFMRDLNKHEELNNFGHNHENNISSGAGKGFDMRDIDVTMNTTDFPPSIMKLRENLQAEPLVHHVIDPYVASALNVGSNASTRENDQHLASGNDSLGGEKSSQTGCHQTSNPGQIVRSESYVHLSAKQELVNPLGGNVGLEETTGFCDAFSDTEDENSISHIIQARNNIASEKTGCCVIFSHTKDEDSISRIIQARNNLASKNFGRSQVDCNIVEVLHSLALELDLQSEEKVCVFFSLLLGNIAGSLFANSGSIMVENVLQFTKSFATETSKVISDATACQYFSEICQLDILLHLIDDFLITRRIMVCNGMESEQTCSSPLSSKSYQLNGQNVTEAAAKTYQLIAASILSASICAVFDQIGFLLEVSYKVLCLCKHDTSCNLLMLHIFAFAIGEKFFTMEKFNFHVSAIKSVVSLLESGHHSLLSFCSASDVDICFSPCKQCPFSKDAVCTEKNVSMLMDILQDSFAGSSSVGYSFTSSLYPLSESNPRVKEGICCSREPDSLGGQCEASCVLFKYGDHAVDFLNNTSKRALCYFVDIVSVVELFGCYMNWKWISDNLLLRLLNMLESYPPNEFSAAVVVLVGQLGRFGVDLGGYQQIGVPQLRNKLSSLLDTYAKGRSNLPDQLAVVGALVNLLPVSFEEIINGRLEHPVDTSHSHQIEPVKQWFSHLDKKLQILVSNFFGHADL >Ma08_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7259553:7262615:-1 gene:Ma08_g09950 transcript:Ma08_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKRYEDYMRGRREKELPALGLKQLKKILKRCGREFQSQHQKQDDGGGSKFAGNCAVCDGTFFPSLHKEMSAVVVCFNQHAQKLLKLHLASGFRKLIMWLRGKSPKNDGALIQEGKDLVAYAIINSIAMRKILKKYDKVHCSKQGQAFRSKAPSMHIEILQSPWLCELMAFYINLRQSKLKNEAITELYNDCSLTCDDDGKPTLSCGLFDSMQIEIDLTCSICLDTVFDPVALTCGHLFCYMCCCAAASITIVDGLKAADPKAKCPLCRREGVNAGAMHLVELNILLSQSCPEYWEKRCQTERVERVRQAKEHWQSLCREFMGM >Ma06_p34970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35034175:35036787:1 gene:Ma06_g34970 transcript:Ma06_t34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFDRRSSPMAEAEDIDRDGLLGHHSTRANANQDSGGGGKSITLGQLFKRVGDAHSGGGVTENDGYASPEHHVLELDEFAAEGGAGDHLASRPVPFVLAFTDLSYSVKKNRKMSLFRTNGLAVDPAAGLPPMEAISGTKTLLNSISGEAREGEIFAVLGASGSGKSTLIDALANRIVRESLQGSITLNGEKLEGRLLKVISAYVMQDDLLYPMLTVEETLMFSAEFRLPRSLSASKKKSRVQALIDQLGLRSAAKTIIGDEIHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAHSGSIVIMSVHQPSYRILCLLDRLLFLSRGQTVYSGPPDGIPRFFADFGHPIPDNENPTEFALDLIRESEGTPDGAKPLVDFNKSRQNTQLALTTAEESSVSLKDAISASISRGKLVSGATDRTTSASSVQKHANPFWIEMAVLTKRSFTNTKRMPELFAIRLGAVLVTGFILATIFWRLDNSPKGVQERLGFFAIAMSTMFYTCADALPVFLQERNIFMRETAYNAYRRSSYVLSHAIVGFPPLILLSIAFSLTTFFAVGLAGGTQGFLFFVLIVLASFWAGSGFVTFLSGVVTHVMLGYTVVVAILAYFLLFSGFFITRDRIHDYWIWFHYMSLVKYPYEAVMQNEFDDSHKCFARGVQMFDNTPLGGLPDVMKLNVLKSMSNSLGANITGTTCITTGTDILKQQSITQLDKWECLWVTVAWGFLFRFLFYIALLLGSRNKRR >Ma01_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12865234:12866230:1 gene:Ma01_g17560 transcript:Ma01_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVASSRERRIVVAVDESEESLSALRWCLRNLVRPAPGDGSGAKDMIVLLYARPTPPVYSALNGTENGREHHVFGTQEDFVPDLQVRETGRSFAEDVTATLDMYGRDLADSVTEKARNICKDYGNVKVDVKISVGDAREVICQMVDKLGADLLVMGSHGYGFIKRALLGSVSDHCARNAKCPVLIVKHREA >Ma05_p27440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38751501:38752072:1 gene:Ma05_g27440 transcript:Ma05_t27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQKIEIFKSLEDWAKDNLWVHPRPVEKCWQPQDFLPDSSSEGFYEEVMDLREWSKELPDDFFVCLIGDMITEENVPTYQTIINTNDSVRVLFLDLKECYICRIPGQRTIPILVLFIPHFRRGVPSFPMGILPGLLKIMGSSIWPRYVEQFHLTKSSMRLLTPR >Ma05_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32319299:32320923:1 gene:Ma05_g20630 transcript:Ma05_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLVGEIISRFEKKGFYLKGLKMVSVERSFAEKHYADLSAKPFFAGLVEYIISGPVVAMVWAGKNIVVTGRKIIGATNPADSAPGTIRGDLAIEIGRNVIHGSDSIESASKEIALWFPEGVAEWQSNLHPWIYE >Ma05_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23242654:23244881:-1 gene:Ma05_g18290 transcript:Ma05_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSTIAPAEVLYHSRRDDIHHRVYVHRSEEAMLVTNNQEDRSFIMEESYERLQRSRMQYVHLGILQVRLQTLHRQEEGILALLVFRDNRWMDDRSIIATMEVDLTRGSQLVYVIPDIMMTIGDFYRNIQLSILTRGYDTWRNGEANLLVTKGMVGRLSNTPNVAFVYEVSGVVDYLTSHGVRALPGRSPSKMKIAVREIEFLGAVLGNSRIKLQPHIIKKIIG >Ma03_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2490972:2495442:-1 gene:Ma03_g03760 transcript:Ma03_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSSRQRWEMEAELGEMDLDPEDLQPSVPLKRVPAGDLFEAARAGDLDRLRYLLDSGVNVNARDPWDSVALYYACLAGHVDAARMLLDAGAICSERTFDGDRCHYAALNLRVRRLLKAFEARPPPLAPLPAALRDTFLSCAANRRAYIEQWEAGAGSAACAAGYLPLSDGSTSSPFPPDITFYVDGKPIEAHRVVLSARSPFFKKKFETDWKDRKEVRFSSQKLSYHALYSLIHFFYSDRLEVAVDDMEDLARTCRACKCDELQNILQKELIHQRYAEYKSLKDVDNSQKRFILQGLSLPEQDRLPFALHRILQVSLANSCGRNNDSVDRLEIQMSQPSNDLADICIKVGRRIYRCHQVILASRSEYFRARLSRMTDFLDGNNELSSFTLPFLEEHDLSTEAFEKMIEYMYTDGLKDMEPDQAEEIFDAASRYLLFPLKRAVADVLLPHLELVSPAELCHWLILSDMYGVLKIREYCLDVIACNFETFADTREFRAMLLTFPPPSGDSSLRTTRPSAPGSTGNSDQGNLLDDLREKWLEAEAAELDKRDESAALFDKRLEVLMLVAEREDNKVHSDPIERKHFDQASPRV >Ma10_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31418237:31418609:1 gene:Ma10_g21780 transcript:Ma10_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGQPVGNLFIRYSGNILASDLLRWPRLYHQESQTWINVHGMDDAAAFFLGSPYDVALHGGASCCS >Ma09_p25260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36796745:36797947:1 gene:Ma09_g25260 transcript:Ma09_t25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAGDEEDEKARWCGLNMDMMEMILKHLPIADHISASVVCKSWRLATSDIILPALRAPWLLGSAMDSASLDWGFYASSNGGRSLGLEIPKEFRSQWCCGSSKGWLMHLRKPRRRFREFEARLLNPITGATVELPSFPPTIIKSIISTSPFATDFTLAALCFELINFVKYVIVYRPGHPRGKKLLIDDAIDIVFHHGRLYVLTDSAEIWVCAFDPRWKVSFQCILTLFEEEDRHPGSYWAKLVGSNDGVFVVYCSTTTGVEGQQLKVFEVKEWGLRHHRVVEVNSLGGRTFFLGPFSDGVAIYKMKSSSKLDIIKPDHIYYLAGSDYSLWAHCMQKGRSFRVEGFKLVDHIVEWFIPDLEDRSGLMAVTPTHSRSLLLTISFVMLAVSVRCMLGWLGI >Ma06_p37850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36792916:36796639:-1 gene:Ma06_g37850 transcript:Ma06_t37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRKTEEVAPMDEADRTIYSTFCGAANSLSQLYTQAMHQQTLSFDAGERHALEKLYQWILRQHEVESRLTVADVVTHIQNEMGYGGDDSSTSPVLEFQQQTQSASHFTNSSIQPFPGLSGQVSTGIAPRSGDSDHAKSSAFSNALSSSACGSLPHYRLAQGGGYYTNGPSTGDAGARSHDPNQNQELHSLSFNDSSMDMNPDSPKHESYL >Ma01_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5014941:5015651:1 gene:Ma01_g06930 transcript:Ma01_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELKRVFQMFDRNGDGSITKTELQDSLKNLGIHIPEEELASMIEKIDVNGDGCVDMEEFGTLYQAIMDERDEDEDMLEAFNVFDQNGDGFITVEELRTVLGSLGLKQGRTVEDCRRMISKVDVDGDGKVNFKEFKQMMKGGGFAALT >Ma06_p38750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37388533:37390802:-1 gene:Ma06_g38750 transcript:Ma06_t38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPFPFSMNKLLAQQQQTQPPNCPSFFLPLHTSAGNREDHQPQHFSSPLQKRPAVVDSFHGSRTPGLFLRGDQPQQLGFPQHLNASAFQLQPTPAKPKLADGNESDAAAATMHQQHQALVDQLFKAAELIEAGNIVSARGILARLNHQLPFPVGKPLLRSVFYFKEALHLVAAKSHRSPPPSSSAISTPLDVVLKLGTYKTFSDVSPIVQFTSFTCIQALLEALGSATRIYIIDFDIGIGVQWSAFMQELAQRWSTAMAAVPYLKITAFTSPSSHHPLELHLIHQNLCHFASSLSIPFEFDVLNLNDFEPSNFLRMCSALDAAVAVNLRVGSAACPPISTLLRFVTQLCPKIVVSVDHGCDRVDLPFAHHVLHAFQSCTVLLDSIDAAGTNQDVVSKIERYLVQPRIENAVLGRRWSSDKTLPWRTLYASAGFVPMRFSNFTETQAECLLKRVLARGFHVEKRQTSLSLCWQRRELVSVSAWKC >Ma09_p30210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40451414:40452767:1 gene:Ma09_g30210 transcript:Ma09_t30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEVPSYFLCPISLELMRDPVTLTTGITYDRDSIERWIFDRKQSTCPATKQPLEDRELTPNHTLRRLIQAWCTLNSSNGVDRIPTPRPPIDKAQIAKLLEEARLPQFRLGSLRELRTIVSESDRNRRCVEATPGVVDFLASVIVNYGSEGETGDGSESASACDEALVLLQTLEISEEGLLDVFVKNGGIMESLSMILRRSNYQSRSNAMLILNSLLGVIPPARSIGLGGELFQEIVNVVRDRISQQATKAALHALLRLCLYGKSRVKAVEAGAVHALVELLLESPQKRVCELALAGLDWLCGCADGRAELVRHRAAIPAVSKKILRVSQLASEKAVRILHSVARHSATAAVLQEMMNVGVVSKLCLVLQVGCGGKMEEKAREILKLHSRVWKDSPCLSPLYSSTYPMSYCT >Ma04_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10327396:10329679:1 gene:Ma04_g13650 transcript:Ma04_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLEVEMAWNVVILPEQLDANGLLLHKAIILRLMDDIANRKASKEHGYYVAVTTLNSIGEGKVRELSGDVLFPVTFSCITQKPAKGEILVGTVDKILKQGIFLKSGPISSIFLSEKMMRDYKYVGGENPMFLNDKHAKLEKDTMVRFKVLGLKWLESDREFQILATLAGDFLGSL >Ma03_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23376754:23378310:-1 gene:Ma03_g17800 transcript:Ma03_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g24740 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/TrEMBL;Acc:Q1EBU3] MLCGQEVNVKCTVSSEATLDHTCSLKYAKDLHQHASPPWREDDKDGHYKFEVGEDLTSRYKIHGKMGEGTFGQVLECWDREREEMVAIKIIRGIKKYREAAMIEIDMLQQLGKHDKNGSLCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVRKFARQLLECVACG >Ma04_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1932399:1935142:-1 gene:Ma04_g02220 transcript:Ma04_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPTPPPPPVIGKAGSFTVFITPPSTPTPSEGPRSRIASPAPALSSSSPGKEAPFPVKAPLSPPRLVAAPPPVQVPPQQFEKPAVKSAGSVFGFLWDAVVKVQDAHLSLDEYLADWFGLNQSKYQWALNDYYESSGKEKEIGKVSKTKELADKGQAI >Ma06_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6688311:6689924:-1 gene:Ma06_g09590 transcript:Ma06_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLDHPWAFTFGILGNIISFMVYLAPLPTFYRVYRGKSTEGFQSVPYVVALFSAMLWVLYAFLKTDAFLLITINSFGCVIESVYVVLYFTYAPKLAKILTAKLVLVLNVGMFGSILLLTLLLPDGLKRVRVLGWICMCFSVSVFVAPLSIIRLVIRTKSVEFMPFMLSFFLTLSSIVWFAYGCLTKDKFVALPNVLGFAFGLLQMGLYLAFKCMKPTAVEPRLPEHIISISMLGVEVYPIDWKTPEVNDEEKAENGDRKEADTGEEKVEGMAAPHEENEVNHVDV >Ma07_p13680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10281600:10282798:1 gene:Ma07_g13680 transcript:Ma07_t13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTPPKSIRRSTSNSKRKCSKSSSPLKAAIDRSVRSCRRRLVKIFSRLAILGRSASNTPNPHKLGFRRLSTTTVKGPPQPPSPPAAAAPLPPPSFPEKKTVFLDLDETLVHSRTDPPPERYDFAVHPSIDGRVVPFYVLKRPGADELLRTAAKAFEVIVFTAGLREYASLVLDRLDPGGELISHRLYRDSCKEMEGKLVKDLSVVGRALDRAVLVDDNPNAYALQPENALQVAPFSDDLADQELRKVMKFFEVAVLFEDMRDAVAYYRSEYADRKLLAAVTTVSKLPTDEHPRLPVMS >Ma09_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3639230:3640535:1 gene:Ma09_g05680 transcript:Ma09_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMADQIKWASSESETSATSSSSSSSSGSSSSRRSSGGAATEQRKRERDSRRHPVYRGVRMRAWGKWVSEIREPRKKSRIWLGTFATPEMAARAHDAAALCVKGPSAAAAILNFPNLAGTLPRPASLSPRDVREAAARAAAMDPASPGSAASSPSPSSSPEEKLAAAPTDELGEIVELPRLGEELFDSAALEGDFIFHDTEDLWTYPQPWAESKGDHEFFPDPIWSQEVNASTGLEAPFWDFS >Ma11_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3820995:3829307:-1 gene:Ma11_g04910 transcript:Ma11_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGLSVASAPPSHFPARSNYLSDYLLRMNAMRALCHPVLPSFSSSTRSPTPCRRRLPTFSAAPHRRADRWILAAAPEAMASTQPWTAQEQEKAKEAKLWGGRFEDSVTDAVERFSESVSFDKALYKQDIMGSRAHARMLAHQGLMTVSDRDSILHGLDEIERRIEAGEFVWRMDREDVHMNIEAALTDIIGEPAKKLHTARSRNDQVVTDLRLWCREAIDKIVASIKQLQVALIKLGLSNEGLIVPGYTHLQRAQPVLLQHLLLSYVEQLERDVGRLVDCKDRMNFCPLGACALAGTGLPIDRFLTSDALGFTAPMRNSIDAVSDRDFVLEFLSANSIAAVHLSRLGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTLLVLCKGLPQAYNRDLQEDKEPLFDSVKTVLGMLEVTTEFAQNIAFNHARIQKVLPAGHLDATTLADYLVNKGLPFRTSHEIVGRCVALCVSRNCQLSELPLDQLQGINSIFKEDVYDFLGVENSVNKFSSYGSTGSECVAEQLSFWISKLQIGQTENEKTE >Ma07_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3555065:3558790:1 gene:Ma07_g04850 transcript:Ma07_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRAALLCVLMLAPGFRVGADGRDHRYKEGDHVPLYANKVGPFHNPSETYRFYDLPFCSPDHVTEKKEALGEVLNGDRLVDAPYELNFREEKRSKSLCKKALSKKDVGKLRDAVSKDYYFQMYYDDLPLWGFLGRIKKDKTDSSKSKYLLFKHIHFEILYNGDHVIEINVQADPNLSVDITDDKEINVEFSYSVSWKKTEVPFEKRMEKYSKSSFLPHHLEIHWFSIVNSCVTVLLLTGFLATILMRVLKNDFNKYSHDEESIEDQEETGWKYLNGDVFRFPKNKSLFSSIIGSGTQLLALTIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYMQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTILVILLIWALVTSPLLVLGGIAGKNSQTEFHAPCRTTKYPREIPQLPWYRSAIPQMMMAGFLPFSAIYIELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAAEDHEWWWRSVLCGGSTGIFIFFYCIYYYQARSDMSGFMQTSFFFGYMTCICYGFFLMLGTVGFRASLLFVRHIYQSIKCE >Ma05_p28190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39263536:39273465:1 gene:Ma05_g28190 transcript:Ma05_t28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEMNKEEIAEDYCFACKDGGHLRVCDFKNCLKAYHPECVDKDFSFMETDEHWTCGWHSCFICQKASTFQCYCCPSSVCRSCIKEAEFVHVKERTKGFCNNCLKLAILIEENIDVDSDGGKVDFRDSETYEFLFKEYWEIIKDQEGLKLADLQTANTLLKRGENLKGGSDSDRSPEDDTGSEFDEMEDNLDDGLPFLEEPKGRHGKSKKRKKRSMSKKRVFSSWGSVELIKFLTSIDKDTKEPLALLDACDIIKDYIQTNNLCDPDTKKKTNVVCDDRLYALFRKRKVKFHKIHSLLESHFASDNVSDDEFSSEEGDDSFARHKKRNTAADSKSNKSHPKNYKDDISVPAKSYYASIIGRNINLVYLKRSLIVEFLRNPDTFEEKVTGCFVRVKVDPNEFYSVPERMYKLGQVTGVKKALQAYKIGEMSTDMVLCVSDMHKDVQISTLSEDDFEEDECEYLCHLANEGLFRRPTVAELEKKIKIVHVDIMNHWIDKEIVKLQKLIDRANEKGWRKELYDYIDKRERLRTSEERQRLLREIPPVVADTCQIEPNTNSPHNSLAEKKECKPIEATQVNATSINPSF >Ma05_p28190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39263530:39273465:1 gene:Ma05_g28190 transcript:Ma05_t28190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDEMNKEEIAEDYCFACKDGGHLRVCDFKNCLKAYHPECVDKDFSFMETDEHWTCGWHSCFICQKASTFQCYCCPSSVCRSCIKEAEFVHVKERTKGFCNNCLKLAILIEENIDVDSDGGKVDFRDSETYEFLFKEYWEIIKDQEGLKLADLQTANTLLKRGENLKGGSDSDRSPEDDTGSEFDEMEDNLDDGLPFLEEPKGRHGKSKKRKKRSMSKKRVFSSWGSVELIKFLTSIDKDTKEPLALLDACDIIKDYIQTNNLCDPDTKKKTNVVCDDRLYALFRKRKVKFHKIHSLLESHFASDNVSDDEFSSEEGDDSFARHKKRNTAADSKSNKSHPKNYKDDISVPAKSYYASIIGRNINLVYLKRSLIVEFLRNPDTFEEKVTGCFVRVKVDPNEFYSVPERMYKLGQVTGVKKALQAYKIGEMSTDMVLCVSDMHKDVQISTLSEDDFEEDECEYLCHLANEGLFRRPTVAELEKKIKIVHVDIMNHWIDKEIVKLQKLIDRANEKGWRKELYDYIDKRERLRTSEERQRLLREIPPVVADTCQIEPNTNSPHNSLAEKKECKPIEATQVNATSINPSF >Ma08_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1885784:1889270:1 gene:Ma08_g02410 transcript:Ma08_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQHGNAVGALYLFDRLPERNCSSWNTAISGCIRVRLFAKAVELFGRMRDDGVMPNGFVLASLLTACNRWTNMVTRGFEIHGFALKLGLATDLYVGTSLLHMYGSRGMVFDARRFFRDMPERNVVSWTALMVGFSANGYPEEAMRAYREMRLEGVVCNQNSFATVISSCGLLEDKKVSLQVIGHAVVCGFETEVSVANALITLFENLGRREDAENLFQRMTERDTITWNSMITLYLHEGACEEPLQLFSDMRHHELVPDATTVSSLISACACLEYLNWGRGIHALSIRNGLSLFVSVNNTLINMYSMSGKHKAAELLFCHMPQRDLISWNTMISAYAQSGLSFDALRLLSYLLRENKETNHVTFATAVAACASPESFLVGKMIHALIILLGLKENLVVGNALITMYSKCKAMREALWVLQALPNYDLVTYNTLIGGHVENEEQREAMQVFNQMRKAGVRANYITIVNILGAFSDPRDLMKYGKPVHAHALSTGLESDEFVKNSLLTMYAKCGDLDSSIYIFDGLESKTAVSWNAMIASKAHHGQGEDAFKLFMEMRHAGNELDQFSLSGGLAASASLASVEEGQQLHALVIKLGFDSNLHVINATMDMYGKCGKMDDVSKIIPVPTKRSQQSWNIIISVYARHGCFDKAEDTFREMLEIGCRPDYVTFVSLLSACNHAGLVDKGFAYYKSMTSEYGISPGTEHCVCMVDLLGRSGRLVEAVQFIEDMTVAPNDLIWRSLLSSSRIHRNLDVGSKAAERLLELDPLDDSAYVLLSNVCATNGKWEEVDRLRRKMESINLKKRPACSWIKVKNQVSAFGIGDRNHPRANQIYAKLDEILQLIKKLGYVADTSFALHDTDEEQKEHNLWNHSEKLALAFGLMDLPQGSTVRVFKNLRVCGDCHLVYKLVSHAVGREIVLRDPYRFHHFGDGECSCSDYW >Ma03_p26520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30218195:30221138:-1 gene:Ma03_g26520 transcript:Ma03_t26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAALFYFILLLVLPFSARHHVAASEASASESAPNPFTARAAVIRYWNRKVPMSRPQPAFLLAKLSPLSAVDSATFSSLAAADPSALSTRLPALCAAARLLCSPAQANTYSAAARKDDSSAFASYQNSNFSDYGTGASGGRSAFKHYSDDLNVPVDTFRRYSRDSTGHNDSFASYAPDGNVVTANFTSYGSSATGGAGDFASYDHESNVPDLKFTNYDADATGRRRSFSSYSDDTNAGDQSFAGYGKDGDRVPTSFTSYANNSNVIGSSFAGYGEGAKGANDSFASYGFNGNVPENNFRSYGAGGNSGSERFSSYRDQSNVGDDSFASYAKGGGAATADFVNYGDSFNPGSDSFKGYGEGSAKHRVTFKSYAGDNTSFKGYAKSGVDFKSYRNSSVDPSSSSARAAATATATASLLSLRRAAGKPSNRWLVEPGKFFREHDLRRGSVMPMPDIRDKMPPRSFLPRSIAGRIPFSPAEVRRIFGIPADTALGKAVGDTVAECERAPSRGETKRCATSAEDVIDFAVSVLGSDVVVRSTATTAGSNADVLIGRVSGANGGKVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADILAVHSKQKINHGVAICHLDTSAWSPTHGAFVALGSAPGKIEVCHWIFEGDMTWTVAD >Ma05_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9586140:9588583:-1 gene:Ma05_g13230 transcript:Ma05_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEYTEETCVQFHFYLLFDLLSNLRIVNFHIIFMLYRLYQIDKGKNNTAILEKKKSPIRLIVDEAINGDNSVVSMNLNRYRICVVAKIEQCLIMGKKRRDTICIALADDTCDEPKIKMNKVVRLNLRVRLGNIISVHQCQYVSCEFFFLLLFDKLHITATLLLNLKLRKPILQSIVLLLLTQIFCEGEPVKREYEDRLDEVGYDDVGGARKLMAQIRELVELPLRHPQLFKSIGVKPPKGILFYGPPVERRIVSQLLTLMNGLKHRSLGGSLNPY >Ma05_p27930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39036386:39043752:-1 gene:Ma05_g27930 transcript:Ma05_t27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVASEPLRRSIREKWRKIWTKRSLMGLLLGQFVSLLITSTGFSSSELVRRGVNAPTSQSFLNYLLLAMFYGVFVVRRQRPLQINWYYYLMLAAVDVEANFIVVKSYQYTSLTSVMLLDCWAIPCVILFTWLFLKTKYGLRKFVGVAICVAGLVMVVFSDAHAKDRAQGGPNPLKGDLFIIAGSTLYAVSNVGEEFIVKKGDRVELMAMLGIFGAVISAIQISILERNELKDLNWTAGVVLPFLGYVLAMFLFYSTVPIILKICGATLLNLSLLTSDMWAVLIRIFAYHQKVDWMYFIAFAGVAVGLVIYSIRSKKEVETAQVAVATDKQDKREDEEAAMGNLTRGSTTVVENQSSGVVNQQPSSVTILPKQDSYVATSHHGN >Ma05_p27930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39036388:39043752:-1 gene:Ma05_g27930 transcript:Ma05_t27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVASEPLRRSIREKWRKIWTKRSLMGLLLGQFVSLLITSTGFSSSELVRRGVNAPTSQSFLNYLLLAMFYGVFVVRRQRPLQINWYYYLMLAAVDVEANFIVVKSYQYTSLTSVMLLDCWAIPCVILFTWLFLKTKYGLRKFVGVAICVAGLVMVVFSDAHAKDRAQGGPNPLKGDLFIIAGSTLYAVSNVGEEFIVKKGDRVELMAMLGIFGAVISAIQISILERNELKDLNWTAGVVLPFLGYVLAMFLFYSTVPIILKICGATLLNLSLLTSDMWAVLIRIFAYHQKVDWMYFIAFAGVAVGLVIYSISKKEVETAQVAVATDKQDKREDEEAAMGNLTRGSTTVVENQSSGVVNQQPSSVTILPKQDSYVATSHHGN >Ma07_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16318784:16321653:1 gene:Ma07_g17180 transcript:Ma07_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSRTADESVKDDKSKKSILPRIFRRKGKNKRGPDGDIIAEKEILFDLESRYMSKRGLAEAYPVIKKSFSGRNRSSRVGRLNLSVLERPRIKGFDRGQEYRIFVGTWNVAGKSPNIGLDLQDFLQVGGYSDIYVLGFQEIVPLNAGNVLVMEDNEPAAKWLALISQALNEPRDEVDDSNVSIYYKESRSTSGHLSQKSSFKVLSKNYGVDNPLVKTCNCEVEACGVGRRTRKPREFVDSGCSGSSEECYSMSRHASYEVRNNGKYCLIASKQMVGIFLAVWVRSELVQHIGHLRMASVGRGIMGCLGNKGCIAMSMSLHRTSFCFVCSHLTSGEKEGDELKRNADVAEILKSTQFPTICKTPDSQIPEKILDHDRVIWLGDLNYRISLSYHDARTLLEVNDWDALLDKDQLMTEREAGRVFDGWKEGKIYFAPTYKYWHNSDAYAGEMTKSKRKRRTPAWCDRILWYGNGIEQLQYIRGESRFSDHRPVCAVFKARVDNSNNGRHRKRCSSVGAGIPLDEVMPQRHSFFEY >Ma01_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4448613:4450654:1 gene:Ma01_g06210 transcript:Ma01_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLACRRFSSSSSSAASALPIPHRSLADPRGSDHDFVAVAHSHLLRADWPALVSLAPSLTPFRTAHLLLRLRCDPILSLEFYRWTLLHGTPASHSLDTHAIVLHNLTKSRRFKAAESIFRQSLIPRTQNSTSELFDAVLRTYRLCDSTPAVFDAMFKAYAHERKLRKATETFRLMRDYGFLPKIKSCNAFLSSLLYLGRADVALAFYREMHRCRISPNVYTLNMAIRALCNSGKLDKALDLFDKMEGMGFTPTVASFNTLIDAQCKNGVTGHAVKLKNTMAGKGLEPNVITYNTLIHGFCKESKLHEANRVFQEMKAAEVRPNTVTYNTLINGYSQMNNSEMGSRLYEEMVKDGIEVDIVTYNALILGLCNEGKTKKAAHVVKVLDQKNFVPNASTFAALITGQCKRQNSERALDIYKAMKRSGCTPNNDTFRLLIYTFCKNKDYEGAVELLKEMLGRYMAPDETLLTEVFEGIYSSGKTHLVTELHLDVVGTRLIPEVYFKNEHTTKLLDISDTEAQKMCMG >Ma11_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14003837:14015404:-1 gene:Ma11_g11570 transcript:Ma11_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIWRGRGGWVNGSTILDGHHVHGSVLQSWAFAALGVNLQELRLGWSGLKEDPLPALYHLHYFSVHYVHKAYDGEQLCFSTDWFPKLQELRLLHLCLKRIIIEKGSIANLRVLIMEGLLKLMIFPKVIEFLTFLRKLYFKECNDFYHMIQGDRRSSVEHIPKVLGKNQIDGTKTIEVLSWPNGITHPALSQPPGANSANHSMLLSTIATARTKTGKLSYQLIGA >Ma01_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4519763:4520467:1 gene:Ma01_g06330 transcript:Ma01_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSGTSSGSSQLQNSGSEEDLQAVMDQKKLRRMISNRESARRSRMRKQKHLDELMAHLNQLRKENRQLSTAFSLTKQQYVAVEAENCVLRAQMMELGNRLQSLDEILSFLNMNINISGHQMIDNSIKCLNQPIMASADMFYY >Ma10_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5253706:5269453:-1 gene:Ma10_g01780 transcript:Ma10_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYKTLGLGRNATKGEIKEAFRRSALKFHPDKHSQSSKEVREGASLMFKQASEAYEVLIDDRKRADYDRVWRGGYSDRWSRGASSSSYSYSSQYHEGRQYSYRRPPARDGVFGLDLESVFRLLTRRSFLVNMAFASVLLGAAVVVERSSETVWKMNNSGKSFEEAMESIEQNKVNKEKS >Ma11_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22949927:22959696:1 gene:Ma11_g17710 transcript:Ma11_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGSIVLGTDRAEESYIANVDRDALILDIDPAKSEANVVVNRIPEFDEDLLVLDDIPANEARWKLANEDREKEDHCTDATGVDIVAAENSSTPVEMVQDSSHVEAVTKCKAEVEDEQKRVKKLLKRKRASFDGNANCDNKEVLITKCQGELDELFEYHKEVSGLRLQLDDGAYHSNNMMVAYLLEESRLPFSKLVGEIYGALKGKNGITLASVRGSVLFVGQRMMYGISSADADVLEDESESSLWCWETRDIKLLPITLRGIINIRRMARKKIHERISALSATLSALTSPEHKGAYGNNLMEASIKLGKALNRQGISSFVENLTQKYCADMAEKGDWLQQKELMKKIEKNKHSAEKEKKKMDREFQKENLRREKELKRMQEEAEREEKHREKEAAELKKQIKRQLEEAARERRRREKEEAELKKQFAIQKQASIMERFLKSKKNSNSSDDKVSIKNSSTETSSKNTGITSAVTSSMDCGFSQECSLTTKDLRGLHITGWHKLAHLGRSCHWGVRRNPKIELMKELKLQRPSFVGEALEKNAALEKETSSHEANSSELSYDKLDNELESLTNNICQDDLHIQPSSAWMQHKKLLQFCQNHRPAYYGTWRRKSGVVGPRHPFRKDPELDYDIDSDEEWEEEDPGESLSDCDKNDEEILDAENCKNEDDTESEDSFVVPDGYLSENEGVEMQISCEPTEDEAKVSKCCKSEVDSEESRALLQWQKILCNLTEKALRKSHPLVISNLTHEKAKLLMAEDLAGTAKVEQICLRALCMQAFPGGSIVDILKDPNTSSDDQQVCRCSKENTTQGATVAMISDLDLPEFVRLIQSCPHGINKVVEVLQQKFPTTSKSRLRNKVREISNFVDSRWQVKKDVLEKIGLSTSQPPPDKDWTGTTKYFSKRCLPPKGRPTEISESSQSSSKLKRSFCGRERSFPNHLPLSKLRRPRLTLSAFKSSTREGERARLITVHGSG >Ma07_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10473289:10476519:1 gene:Ma07_g13990 transcript:Ma07_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKWIPQSLHGGDQDREEDLLGEFEGSDSWSPLQRLYGFAASLVIGFAFMLLSLIVFYRPIKFGIMFTFGNILAVGSTAFLIGPVQQARLMLDPVRIYATAIYVGSAIVALVCALWIHSKMLTLIAIIIEICALVWYSLSYVPFARRMVSELFIGCCDREF >Ma11_p20670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25057137:25064127:1 gene:Ma11_g20670 transcript:Ma11_t20670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MARRHGWQLPAHTFQVIAITVFFLLSIAFYAFFAPFLGKDLYEYVAIGVYSFLALCVFILYVRCTAIDPADPGILLAYNEASTYMPQRDRGSLEEPTKLGVNTEEETVKHKTASFSIGCFFCALLSKEDCRKDEDNAEQQTSIDEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFLSLMSMSLAWIVERLGDGFSHAPFVTIVALCTALSLLASVPLGELFFFHMILIRKGITTYEYVVAMRAQTEPPGPSVNEDQQSLPSSPMSSAPTAISGSSLGLQYRGAWCTPPRIFVDQQDEIIPHLEPGRVPSTIDPDAIDPSGQVKKLPKHPVPISAWKLAKLDKNEAKRAAAKARASSSVLKPIGSHMQYDIDRCSSGNISSRSSTVSADFGHRDHRVVAARSSPLKSSYPPSRASREDLETCPRTPSSFSSPHHPNSLSLTPALEQQPSNTRHFNPIYQSSANRSPWSVQSSDAKESMAGHLSEHGQARRTGTNPLGSSGPSVYWDQEAGRFVSSQSIAGPSSRGSRTELLYTEPSIFFGGPMLNEGPPRSFRNAGTSNQRQGGTERGRGLSQLPIFVPRDYQQDQLSRFP >Ma11_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25057137:25064127:1 gene:Ma11_g20670 transcript:Ma11_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MARRHGWQLPAHTFQVIAITVFFLLSIAFYAFFAPFLGKDLYEYVAIGVYSFLALCVFILYVRCTAIDPADPGILLAYNEASTYMPQRDRGSLEEPTKLGVNTEEETVKHKTASFSIGCFFCALLSKEDCRKDEDNAEQQTSIDEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFLSLMSMSLAWLAVESGIGIAVLVRSFTDKKQIESQIVERLGDGFSHAPFVTIVALCTALSLLASVPLGELFFFHMILIRKGITTYEYVVAMRAQTEPPGPSVNEDQQSLPSSPMSSAPTAISGSSLGLQYRGAWCTPPRIFVDQQDEIIPHLEPGRVPSTIDPDAIDPSGQVKKLPKHPVPISAWKLAKLDKNEAKRAAAKARASSSVLKPIGSHMQYDIDRCSSGNISSRSSTVSADFGHRDHRVVAARSSPLKSSYPPSRASREDLETCPRTPSSFSSPHHPNSLSLTPALEQQPSNTRHFNPIYQSSANRSPWSVQSSDAKESMAGHLSEHGQARRTGTNPLGSSGPSVYWDQEAGRFVSSQSIAGPSSRGSRTELLYTEPSIFFGGPMLNEGPPRSFRNAGTSNQRQGGTERGRGLSQLPIFVPRDYQQDQLSRFP >Ma11_p07560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6030464:6054128:-1 gene:Ma11_g07560 transcript:Ma11_t07560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MSRPNTRNKNKRQRSEDSSDASSVTLRKIHLTGAATKDDIRRLYSVLKPICQGCRGNSKDSPNCFCGLIPPPNGTRKTGLWQRMPEIILSLGPNPCKDLRSSTDTPAGLTNLGATCYANSILQCLYMNTSFRTCIFSVEPDFLKQHPVLDQLARLFAQLHSSKMSFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLERSLSHSQVANAKTAVQDLFRGHVSHVTRCSVCGKDSEASSKMEDFYELELNIKGLNNLDESLDDYLTLEELNGENQYFCDSCGKRVDATRCIKLRSLPQVLNFQLKRYVFLPKTTTKKKITSAFSFPRWLNMGTRLSNPSQSGLIYELSAILIHKGTAVNSGHYVAHIKDENSGHWWEFDDEHVSKLGCHPFGEVSNSKSHTKAQLAYSGPLESASIGNLVDDNLHTSEFHALMQEEFFSSTDAYMLMYNLRTEKENKDNLNKINKRDLEARSNSLPSYLYEEIQTLNASYASACEEYQKRKDSQVAYITERREEVKLVLSKAPADLLDDSYFWISVEWLRLWADSINPPCIDNSELQCVHGKIPIAKVTSMKRLSNTAWQMLFSQYGGGPTLTSNDVCADCLRDEAKNAVCADDYRDRKASLKQLAEAALAGNCPDGPSYYVSRAWLVQWLRRKNADFPCPTDAGPTAPLRCSHGNLLPEQAAGAKRVLVPESLWIFFFETAISSRSDNLLGFSTFSSDSEPCEICSRELKEVACMEDCLRASKLKQRQNHEKLVTAKTFALHPGCKYFLVPSSWLAKWRAYLTATGKNVSTCAEPENLEVIIDSLVCQKHSRLLERPLELVCKRGVITQKMSTTDGLVLITVMDWSLFCEEWNAKEDKGISAEIVVLSSPAIKLSGSCDDMPISDEDLDHSTDEANDGLEARKLLIKTYPETCEYCIGERESCELMRKLNYCDEAICVYLVRGKEVPKSIMEASASASEPDRRTSKRSRKTSFGNSVNLRVSGTTSIYQLKMMIWEAFGVVKENQKLHKGSTEIDGDSATLADKNIFPGDVLWVTDSEIYENRDIADELSAQKFDSRQAEEGFRGTLLSSDVPIHSFEDT >Ma11_p07560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6030464:6050487:-1 gene:Ma11_g07560 transcript:Ma11_t07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MEDFYELELNIKGLNNLDESLDDYLTLEELNGENQYFCDSCGKRVDATRCIKLRSLPQVLNFQLKRYVFLPKTTTKKKITSAFSFPRWLNMGTRLSNPSQSGLIYELSAILIHKGTAVNSGHYVAHIKDENSGHWWEFDDEHVSKLGCHPFGEVSNSKSHTKAQLAYSGPLESASIGNLVDDNLHTSEFHALMQEEFFSSTDAYMLMYNLRTEKENKDNLNKINKRDLEARSNSLPSYLYEEIQTLNASYASACEEYQKRKDSQVAYITERREEVKLVLSKAPADLLDDSYFWISVEWLRLWADSINPPCIDNSELQCVHGKIPIAKVTSMKRLSNTAWQMLFSQYGGGPTLTSNDVCADCLRDEAKNAVCADDYRDRKASLKQLAEAALAGNCPDGPSYYVSRAWLVQWLRRKNADFPCPTDAGPTAPLRCSHGNLLPEQAAGAKRVLVPESLWIFFFETAISSRSDNLLGFSTFSSDSEPCEICSRELKEVACMEDCLRASKLKQRQNHEKLVTAKTFALHPGCKYFLVPSSWLAKWRAYLTATGKNVSTCAEPENLEVIIDSLVCQKHSRLLERPLELVCKRGVITQKMSTTDGLVLITVMDWSLFCEEWNAKEDKGISAEIVVLSSPAIKLSGSCDDMPISDEDLDHSTDEANDGLEARKLLIKTYPETCEYCIGERESCELMRKLNYCDEAICVYLVRGKEVPKSIMEASASASEPDRRTSKRSRKTSFGNSVNLRVSGTTSIYQLKMMIWEAFGVVKENQKLHKGSTEIDGDSATLADKNIFPGDVLWVTDSEIYENRDIADELSAQKFDSRQAEEGFRGTLLSSDVPIHSFEDT >Ma11_p07560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6030464:6050063:-1 gene:Ma11_g07560 transcript:Ma11_t07560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MCGKDSEASSKMEDFYELELNIKGLNNLDESLDDYLTLEELNGENQYFCDSCGKRVDATRCIKLRSLPQVLNFQLKRYVFLPKTTTKKKITSAFSFPRWLNMGTRLSNPSQSGLIYELSAILIHKGTAVNSGHYVAHIKDENSGHWWEFDDEHVSKLGCHPFGEVSNSKSHTKAQLAYSGPLESASIGNLVDDNLHTSEFHALMQEEFFSSTDAYMLMYNLRTEKENKDNLNKINKRDLEARSNSLPSYLYEEIQTLNASYASACEEYQKRKDSQVAYITERREEVKLVLSKAPADLLDDSYFWISVEWLRLWADSINPPCIDNSELQCVHGKIPIAKVTSMKRLSNTAWQMLFSQYGGGPTLTSNDVCADCLRDEAKNAVCADDYRDRKASLKQLAEAALAGNCPDGPSYYVSRAWLVQWLRRKNADFPCPTDAGPTAPLRCSHGNLLPEQAAGAKRVLVPESLWIFFFETAISSRSDNLLGFSTFSSDSEPCEICSRELKEVACMEDCLRASKLKQRQNHEKLVTAKTFALHPGCKYFLVPSSWLAKWRAYLTATGKNVSTCAEPENLEVIIDSLVCQKHSRLLERPLELVCKRGVITQKMSTTDGLVLITVMDWSLFCEEWNAKEDKGISAEIVVLSSPAIKLSGSCDDMPISDEDLDHSTDEANDGLEARKLLIKTYPETCEYCIGERESCELMRKLNYCDEAICVYLVRGKEVPKSIMEASASASEPDRRTSKRSRKTSFGNSVNLRVSGTTSIYQLKMMIWEAFGVVKENQKLHKGSTEIDGDSATLADKNIFPGDVLWVTDSEIYENRDIADELSAQKFDSRQAEEGFRGTLLSSDVPIHSFEDT >Ma11_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6030464:6050540:-1 gene:Ma11_g07560 transcript:Ma11_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MLKKKCSVCGKDSEASSKMEDFYELELNIKGLNNLDESLDDYLTLEELNGENQYFCDSCGKRVDATRCIKLRSLPQVLNFQLKRYVFLPKTTTKKKITSAFSFPRWLNMGTRLSNPSQSGLIYELSAILIHKGTAVNSGHYVAHIKDENSGHWWEFDDEHVSKLGCHPFGEVSNSKSHTKAQLAYSGPLESASIGNLVDDNLHTSEFHALMQEEFFSSTDAYMLMYNLRTEKENKDNLNKINKRDLEARSNSLPSYLYEEIQTLNASYASACEEYQKRKDSQVAYITERREEVKLVLSKAPADLLDDSYFWISVEWLRLWADSINPPCIDNSELQCVHGKIPIAKVTSMKRLSNTAWQMLFSQYGGGPTLTSNDVCADCLRDEAKNAVCADDYRDRKASLKQLAEAALAGNCPDGPSYYVSRAWLVQWLRRKNADFPCPTDAGPTAPLRCSHGNLLPEQAAGAKRVLVPESLWIFFFETAISSRSDNLLGFSTFSSDSEPCEICSRELKEVACMEDCLRASKLKQRQNHEKLVTAKTFALHPGCKYFLVPSSWLAKWRAYLTATGKNVSTCAEPENLEVIIDSLVCQKHSRLLERPLELVCKRGVITQKMSTTDGLVLITVMDWSLFCEEWNAKEDKGISAEIVVLSSPAIKLSGSCDDMPISDEDLDHSTDEANDGLEARKLLIKTYPETCEYCIGERESCELMRKLNYCDEAICVYLVRGKEVPKSIMEASASASEPDRRTSKRSRKTSFGNSVNLRVSGTTSIYQLKMMIWEAFGVVKENQKLHKGSTEIDGDSATLADKNIFPGDVLWVTDSEIYENRDIADELSAQKFDSRQAEEGFRGTLLSSDVPIHSFEDT >Ma11_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22899763:22903102:1 gene:Ma11_g17610 transcript:Ma11_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVLLRRGSAVARGLLPTNGPPATAAASSAALFLQAQPHSSQTDSAAAAAKPKRTKTFSIYRWNPDHPEKPQLQHYEIDLGECGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKIPASSAATTITPLPHMYVIKDLVVDMTNFYNQYKSVEPWLKRKDPPPTPPKEIPQSKKDRAKLDGMYECILCACCSTSCPSYWWNPEAYLGPAALLHAHRWIQDSRDQYTKERLDAVNDEFKLYRCHTIMNCAHACPKGLNPAKQIESIKKLQLQ >Ma11_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27420614:27421486:1 gene:Ma11_g24460 transcript:Ma11_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLAGCFASQAARVAEASCSANRNSSLVAERPIQNAVTCFYRTVLSTHKELLTRVVWSKHHQAAASLSVTIQDSTSNAVESQLPQKTKGSRCFVAGDSVIGLHWDISAAKYEMGPEPAKDFYVVMIADAEFALLLGDMCGVFMKKDEGALPVAEFSVVSRREQVRGATLYSTRTQFGGDGKKHEILIRCKGDELDGDDSELHVAVDKKILVSVKRLKWNFRGNQTIFVDGSTIDVMWDVHGWWFCDSSHCALFMFRTRNSPQRRLWSEEELAQGTMSGFSLLIQAFKSQ >Ma06_p02760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2083767:2085364:1 gene:Ma06_g02760 transcript:Ma06_t02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMMMMGAEGGDRRHPTVVVPPWSSPFESPAAGIDYHHLAAAGGESTLALLQRQLRFDGDEAGWVEEDVEEPDSAVDVYSSDEFRMYEFKVRRCARGRSHDWTECPFVHPGEKARRRDPRKYHYSSAACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTTCARRVCFFAHAPDQLRVLSQHQQTTTLPAVESYDGSPLRQQQALECYISKKLMSSKTSTLMSPPVSPPMSPSRTALRRASWPVGTSLNEIVAALRQLQLTQANPAPSCWNLQLGDGLFGSPRCTASGFNAGFCSLPSTPTMAGTSGGGLGWADDADGGLAEGEEPVERVESGRALRAKIFEKLSKECVVERAEAAPPVPAPEVVK >Ma02_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10044492:10050317:-1 gene:Ma02_g01480 transcript:Ma02_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPRWVEEVAEEEAFEHTLLVVREVSVYKIPPRSTSGGYKCGDWLQSNKIWSGRLRVVSCGNRCEIRLEDPGSGELFAACFVLPGQRESSVEPALDSSRYFVLKIEDGRGKHAFIGLGFNERNEAFDFNVALSDHEKYLRRDHEKEASEEIDESQIGIHPSVNHRLKEGETIRINMKNKPATGGGMLSAAGLSAGISSKPKGSTLLAPPPGGAGKVRSPLPPPPNDPAVARMTAGHPAGFKAPKESTRHPTDSFSDLSAIKRNLPSTTGSGSNKNTASGWASF >Ma04_p05940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4442415:4446772:-1 gene:Ma04_g05940 transcript:Ma04_t05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSRLLTCPDPAPRVTDKGKRDEWSEGGVLCLLEVYESKWTLRNRAKLKGSDWEDIARLVSMRCSGTKALKTPTQCKNKIEAMKKRYRAESAAAHDPNSSSSWQFYARMDDLLKGTGNCSVFPKANHDIDLQALPKAEAEVEADGHLHDSNHDDGSNSMPINMNANADNIDDKKMENRGTDSNLSAPRGKKDATAEDGARDPGSSSKRRRVTGSEVADSIRFLAQSMLQIEQARMEMYKDSERLRAEAEIKRGEMELKRTEIMASTQLQIAKLFMKRIHQRSSKNQNPSLRSEIAILPRRDGQGD >Ma04_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4442415:4446772:-1 gene:Ma04_g05940 transcript:Ma04_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSRLLTCPDPAPRVTDKGKRDEWSEGGVLCLLEVYESKWTLRNRAKLKGSDWEDIARLVSMRCSGTKALKTPTQCKNKIEAMKKRYRAESAAAHDPNSSSSWQFYARMDDLLKGTGNCSVFPKANHDIDLQALPKAEAEVEADGHLHDSNHDDGSNSMPINMNANADNIDDKKMENRGTDSNLSAPRGKKDATAEDGARDPGSSSKRRRVTGSEVADSIRFLAQSMLQIEQARMEMYKDSERLRAEAEIKRGEMELKRTEIMASTQLQIAKLFMKRIHQRSSKNQNPSLRSEIAILPRRDGQGD >Ma01_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:384048:387536:-1 gene:Ma01_g00530 transcript:Ma01_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEWNLNEAAAEEDGSSPTASAATVEEEKGEAPRTGSADAENDGSVSYDVVVEVSDGREPVPGARKIFGFSFSSSRDGSPAAEREPGVVTRQFFPEGDAKGVTTTPSPRAHWAGVSTSPEPVVVVGGMTDELQAAKRTRRGPRSRSSQFRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFDLDDYKGDLEQMSNLTKEEFVHVLRRQSTSYPRGSSKYRGVTLHKCGKWEARMGQLLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKDTMTNFDPSIYADELHTSTGQLEHNLDLSLGRSGSRGDSLEPMANLNTRSKFDDKLKQLDGKENTRCSGNGYIQSPFLHKIQRNGQSSTPSQMNAGQFSRSNSNQHPSSSNGGRPEGGFLYNFMASKKRGIWVGEEAARNGYRLQCHHPNRSSSALLQHHQDSHRK >Ma04_p32300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32333830:32335623:1 gene:Ma04_g32300 transcript:Ma04_t32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASATYPCNCILLLLLFLFYLETGATNVHDQSCSPSDLRALYAFARSLDRGIRDWPAANSTRCCGWPGVRCALFSLSAVRVAGLDLSGKGLEGVLSPSLAGLDKLTFLNLSSNSFRGSIPPELLRLKLLEVLDLSSNHLSGELPPGIGNLSNLSRLVVSSNGFTGNIPDVFHDLRKLEVLSAKSNRFVGRLPTSLSSCSMLTVLDLWNNSLGGRIDLDFRRLDRLDTLNLGWNRLQGLIPEALSSCKALKILNLSRNNLSGQVPDKLCRLRSLSFLNLNVNSLSNISQALGVLQDCHNLRVLALAWNFQGEEMPTTGIRGFQRLRAMNIGYCALTGRIPSWLRNCEELRVLGLPWNRLTGEIPSWFGRFDHLFLLDLANNSFYGEIPASLAELKSLMSEIPPQDGDDSSIEFPFFGWSSNQQILEPLKYKHYTDFPPAVNLSYNRLNGSIWKEFGNLRGLHLLDLSWNNLSGSIPEELSSMVNLERLDLSFNNLSGSIPSSLTGLSFLSFFSVAFNHLQGLIPIGGQFLTFPCSSFEGNPGLYSDSLPFCEPVKATYQKEGDVDEDNIAFMGLPFAIGVASGFVFIVYVLMCCWQL >Ma03_p27810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31062537:31066231:-1 gene:Ma03_g27810 transcript:Ma03_t27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGALRSLRLHGNVIKNAMLQHIRVMNPATLPTVFSRFESVSSARLEEHGFESTTIRDIMKAKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEDKAIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLRAMQLMTDNRIRHIPVIDNNGMIGMVSIGDVVRAVVSEHREELNRLNAYIQGGY >Ma02_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13983090:13986126:1 gene:Ma02_g02690 transcript:Ma02_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARNRFLLSRSYASVAAKSNLAKQSPPFEPAPAAAMVKEGEEVPAPVIGRPLSDILRELNKKVPESLIRTRTEDGFTIRYIPWHFVNRILNLHAPEWSGEVRSIVYSADGKSVSVVYRVTLYGTDAEVHREATGTASTTDTEFGDPLQKAEAMAFRRACARLGLGLYLYHEDML >Ma04_p38200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35834021:35838257:1 gene:Ma04_g38200 transcript:Ma04_t38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALGALASVSPSVAADASLRKAGFKINNNLSYDNKLWIPLSSVNLKSRFSSTKFQCKVVSMSVQQTVQSKIPVQPLELENAKEPPLNLYKPKEPYTATIVSVERLVGPNAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGNPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDAETGKEDPSKNGVCSNFLCDSKPGDKILLTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEAVATYKFGGLAWLFLGVANSDSLLYDDEFTGYLKDYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEERGESWDTKLSQLKKNKQWHVEVY >Ma09_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7732544:7735301:-1 gene:Ma09_g11440 transcript:Ma09_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase [Source:Projected from Arabidopsis thaliana (AT3G21720) UniProtKB/Swiss-Prot;Acc:P28297] MASSFSVPSMIMAEESRFEAEVAEVQAWWNTERFKLTRRPYTARDVVSLRGTLRQSYASNELAKKLWSTLKTHQANGTASRTFGALDPVQVTMMAKYLDTIYVSGWQCSSTHTTSNEPGPDLADYPYDTVPNKVEHLFFAQQFHDRKQREARMSMSREERARTPYVDYFKPIIADGDTGFGGATATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVSVGEHINRLVAARLQFDIMGVETVLIARTDAVAANLIQTNVDSRDHQFILGCTNPHLKGRSLAGALAEAMAVGKNGPELQAIEDEWLSMAKLKTFSECVEDAINSLNIREDEKRQRLDEWANRSGYDKCLSYEQGRDVAARLGLENLFWDWDLPRTRDGFYRFKGSVMAAVVRGWAFAPHTDLIWMETSSPDLVECTRFAEGVKSKHPETMLAYNLSPSFNWDASGMADDQMRDFIPRIAKLGFCWQFITLAGFHADALVVDTFARDFARRGMLAYVERIQRQERNNGVDTLAHQRWSGASYYDKVLKTVQGGITSTAAMGKGVTEEQFQETWTENGVLSMSDANGIVLAKSRM >Ma04_p26250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27769378:27781761:1 gene:Ma04_g26250 transcript:Ma04_t26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11980) UniProtKB/Swiss-Prot;Acc:Q9SZ63] MAARAHRLLLLPSAFHRSVIPDPSLHLLPPLLSTAICSGGRRRRVRAQLIVGRMASGGSGAPSSPTRITLPGGDSVEVVAAPGVSDSDLRNAISSSLFKQWLKNMQSDTGLLAGGHVSLRQVYIQGVDMFGRGVGFLKFKADVFDKETQAKIPGIVFARGPAVAVLILLESRGKTYVVLTEQPRVPVGKLILELPAGMIDDEGGDVVGTAVREVEEEIGIHLNKEDMVNLTAFLDPVTGCKVFPSPGGCDEELSLFLYRGHVEEEIISALQGKEMGLRDHGELIKVHVVPYDTLWRVTADAKALAAIALYETAKRNGLLP >Ma08_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22050500:22052466:1 gene:Ma08_g16990 transcript:Ma08_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSKKHLKGSLHFVSHTLPSTPSRGRAAEQTCLALLQACISLRHLLQTTAFIIKSGLQSNPLVLTKFAAASSSLDALEVATSLLFSPHASTHLYDAFLFNTVIRSHAQSDRLGERRLAISYFSAMLRCRLLPNKFTFPFLLKACASLPEPTSVGAQVHACVVKYGYSSDQYVQNTLIHMYSGAPSSLDFARELFDRMPKTSPVTWSAMIGGYVRSGFSDKAVALFREMQGRGIQPDEITVILVLSACADLGALELVRWLGSYVERENIPKALPLCNALLDALAKCGDVDGAVALFEQMHAKSIVSWTSVIDGLALHGRGKEAVRVFEMMKGAGVVPDGVAFISVLTACCHSGMVDEGRHYFDSMKSDLGIEPQIEHYGCMVDLFSRVGMVERALEFVRTMPMEPSPVIWRMLIGACRVHGRLELGERIAKNLLEEDPVQDSTYVVLSNLYALRRQWQKKWETRRAMSERGIRKVPGCSLVELNGEIYEFIAGDESQLQYRGVYEMLEEIGRKIKLAGYLPRKTEVLLDIDEEDKEDALHWHSEKLAVAFVLLKTPPGTRIRIVKNLRVCGDCHAAFKFISKVYDREIVVRDRNRFHCFENGACSCKDFW >Ma03_p02000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1368445:1372083:1 gene:Ma03_g02000 transcript:Ma03_t02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASLWSLLLPGCYGSGHKKRSKKQIPIQRLSFSDVSSSTGVLSPEDLSISLVGSNLYVFTLAELKEATQSFSVGNFLGEGGFGPVYRGFVDEKVKPGLKSQPVAVKLLDLDGGQGHKEWLAEVLVLGRLRHPHLVKLIGYCCEEEHRLLVYEFMPRGSLENHLFKRYYTPLPWLARLRIAVGAAKGLGFLHDSDKPVIYRDFKASNILLDSFQDYKARLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRRCVDKARPSREQNLVEWARPCLSDPRKLYRIMDPGLEGQYSTQGAQRAAAVVYQCLSQSPKSRPHMSAIVEALEPLLDMNDVLLGTFVYVAPVAENGSEEGTETVGMKENGLRRQGHMHKLRSPKPGKLSDVLCREVGSRVRRNSPMHHKKNDT >Ma03_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1368474:1372083:1 gene:Ma03_g02000 transcript:Ma03_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASLWSLLLPGCYGSGHKKRSKKQIPIQRLSFSDVSSSTGVLSPEDLSISLVGSNLYVFTLAELKEATQSFSVGNFLGEGGFGPVYRGFVDEKVKPGLKSQPVAVKLLDLDGGQGHKEWLAEVLVLGRLRHPHLVKLIGYCCEEEHRLLVYEFMPRGSLENHLFKRYYTPLPWLARLRIAVGAAKGLGFLHDSDKPVIYRDFKASNILLDSDYKARLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRRCVDKARPSREQNLVEWARPCLSDPRKLYRIMDPGLEGQYSTQGAQRAAAVVYQCLSQSPKSRPHMSAIVEALEPLLDMNDVLLGTFVYVAPVAENGSEEGTETVGMKENGLRRQGHMHKLRSPKPGKLSDVLCREVGSRVRRNSPMHHKKNDT >Ma09_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19917117:19920868:-1 gene:Ma09_g19130 transcript:Ma09_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSNILGGSKGMAVKGTVVLMRKNTLDFTDFTASLLDGVQELLGQRVSLQLVSATVGDPKHGNRGVVGDPAYLDGFVSKLPSIAAGESTFDVTFHWQEKNGVPGAVIVKNRHASQFYLKSITLKDFPGKGRIHFVCNSWVYSADKYKYDRVFFANTAYLPGETPAPLKPYREDELLNLRGEDVTGQLQEWDRIYDYAYYNDLGNPDSDAALARPVLGGSSEYPYPRRGRTGRPPTKTDPNSESRLPLISLDIYVPRDERFGHLKMADFLTYALKALVQAVVPVLEAIADETPNEFDSFEDILKLYEGGLPVAKVPLLDELRDRIPFEMIRELFRTEGNQRLLKLPIPQIIEVNKYAWRTDEEFAREMLAGVNPVIIRRLEVFPPVSKLDPSKYGNQNSRITAAHIEHNLEGLTVDQALGGNRLFILDHHDALMPYVNRINSTASKIYATRTVLFLRDDSTLKPLAIELSLPHPDGEQHGAVSEVYMPEEAGVEGSIWELAKAYVVVNDSGVHQLISHWLNTHATMEPFVIATNRHLSVLHPIHKLLTPHYRDTMNINALARQILINAGGILEATVFPAKYAMEMSAVVYKNWNFVEQALPADLIKRGVAVKDSNNELRLLIKDYPYAVDGLAIWRTIETWVTEYCAIYYPNDAVLQADVELQAWWKEVREVGHGDKKDEAWWPQMQTVSELTQACTTIIWVASALHAALNFGQYPYAGYLPNRPTISRRFMPAPGTPEYEELKAHPDKAFLMTITSQLQTILGVSLIEILSMHSSDEVYLGQRDTPEWTTDQRALVAFNRFGSTLKRIEDEIIGRNGDESLKNRNGAAQVPYTLLFPTSERGLTGKGIPNSHRMQQGSNGFLFSCVSVILVSHMEVNKIGHFESINKLNYRYYIRQSRHKQQSTTPFAHHHTLHLQLIDEHRDHRVSQFTAIITTWLQTKIYSRQRSPMS >Ma02_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18343807:18346284:-1 gene:Ma02_g07830 transcript:Ma02_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNNWLGFSPSPQELPPSQAHQTHPSPGIISGGDVSTDPSSVTPLGVPSQRPDGSFGILETLDAPDHHLQGWSMKSIGYKGSDHELSVLVGSSSNQNTMDEEEQPKLEDYLGGHSFARYDRKLPPIAGNYADSGGYMLSNGCNNGGLMSSTDGCNSSSIGLSMIKAWLRNQPAPPAPVEGNGSDAAGCTNMIAQSLSLSMSTGRQSNSPLPLSASDTNHTDGCGGMGIDAQSHGVETVPRKSMDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGKQVYLGGYDKEDKAARAYDLAALKYWGASTTTNFPISNYEKEVEEMKHMTRQEYVASLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDMSRYDVKAILKSSALPVGGAAKRLDEISDDTEASVDGSMASHFTETLGGWPTIAFRQGHPLSCHYPYGQPSGWCKQEQGAMTAAAARRVQDLQQFNLGSNTQTFFQPSMHQRMMVPDAVIYTGNAGGNYQMDGITGRNMMPVSTMVGNQGQTEGKQMAQVNVLAAGDPYGGRNVYYLSQEDSGHEQTNGSNNWMAS >Ma08_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4888743:4903928:1 gene:Ma08_g07140 transcript:Ma08_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPAPTTFDEVFQCIFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRQEFESEGRKLPPKQESQVFDSNVITPGTEFMAVLSTALQYYIHLRLNYDPGWKRIKVLLSDANVPGEGEHKIMSYVRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQQDKCFLCGQMGHLAANCQGNAKRKSGEFDEKSEDVSVAKKPYQFLNIWTLREYLEYEFRIPNPPFEVDFERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFMVMGGYLTDSSTPNLSRVEHFIQAVGSYEDKIFQKRSRLHQRQAERIKREKAQIKRGDDIDPQVRTDLIVPVTRFQGSRLASGALPSPYQQIGSSYNLESTVCMRRENQVGRSTGAMPVDIQTSRSRTYDSKGVNDRARKIARLSSSGATIGAAIVEAENSFEVEICENREELKTKLKELLKEKSDLFNSENPVEDKVKLGEPGWKDRYYQEKFSAKYPEEIEAIQKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDMKDLGGLNISFKLGSPFKPFDQLMGVFPAASAHALPIHYRRLMSDPTSPILDFYPTDFEVDMNGKRFSWQGIAKLPFIEEIRLLSEIKKVEHTLTEEEARRNSIMSDMLFVNVSHTLSPYIFSLTSRFSHLSAKELAEVKEKLDPASSGGMNGYLCLCSGDPCPPIFRSPVKGMEDIMDNQVICSIYKLPDTHKHITRPPAGVIIPKKIVTAGDLKPPPVLWHEDTGRKPFENGRQNPPGSISGKQLGDAAHRLVKNSLQISRDHYSGDSRAAPLSYNTSTNGLPYSGGGHHVGDQRAAAAARAGHQVGHSQHYDPRNDSGDGHGRWYDSTTSTHYYERSLGSQYERDHYSSRSYYDPHSGSSHPDARGRVPAYNYPQGGGYPYPSANRPVPQHGPYAHTQAPYNANYGGYHSYATQQWEEQYGDERAPPPSQHTTGRSFGHHQQTTNRYTALDRSLNSRRPPPPPPGFGRQ >Ma06_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:989613:991457:-1 gene:Ma06_g01240 transcript:Ma06_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNAHSQAMARHHVDGLLVCPKNQLEQERRPRPHPEQALKCPRCASTNTKFCYYNNYSLSQPRYFCKGCRRYWTQGGSLRNVPVGGGCRKNKRSSSSFPSKMTQDLDLTATSSINPLPPTFVPPPLASDLTLAFSGLHKEPPTEHLGLENHCQSFLLEHASTESLLTTLSTNNGFLDTLRSGSVDALNPSGLNNPYYGFGVHGSVEVKVGVSVEDRLMFPVGGPGDAAARTVTGQGSWKDMDEGEAKVLMGLPWQVEGDGNMAVDSGRDWTGGASSWPGLMGSSLISAARTDMSSTIGDAYAFF >Ma10_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27175570:27179541:-1 gene:Ma10_g14890 transcript:Ma10_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNHDQRSRSARAATIHGCAQSGDLLGLQRRLQENPSLLNARNAVMAQTPLHVAAGYNNTSIVKFLLEWKRPEKVELEAKNMYGETPLHMAAKNGCSESARLLLTHGASLEAKANNGMTPLHLAVWHALRAEDCITVSTLLDYNADCSVKDNEGMTPLSHLSEGAGNEKLQGLLFRHIEEQRKRKAIESCSEAKAKMAEFEAAISNVVGLQELKMQLRRWARGMLFDEKRRALGLSIAPRRPPHMAFLGNPGTGKTMVARVLGKLLHMVGILPTDNVTEVQRTDLVGEFVGHTGPKTRRKIHEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKVVVIFAGYSEPMKRVIESNEGFRRRVTKYFYFDDFSTTELAQILHIKMNHQDQNSLLHGFNLHPTCSVEAVAKLIDRETTEKQRREMNGGLIDPLLANARENLDLRLDFDCSDTDGLVTITMEDLMAGLRLLS >Ma08_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8599816:8602110:-1 gene:Ma08_g11590 transcript:Ma08_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf20-like protein [Source:Projected from Arabidopsis thaliana (AT1G65420) UniProtKB/Swiss-Prot;Acc:O80813] MTPILAQRFLPSVDSECLERHSLLPPTGGATWRWGNMAPCTCRPPAKLGIIQETKSYQMRSYRWRTALALDTGGSPSSNGQENLGDNAGLGRTRLGTIVRAAAAQLLEKLNAARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAVIEGIGMLMYRKPFTTPVGRLQNLIVMVNYWKAGVCLGLFVDAFKLGS >Ma08_p11590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8599816:8602110:-1 gene:Ma08_g11590 transcript:Ma08_t11590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf20-like protein [Source:Projected from Arabidopsis thaliana (AT1G65420) UniProtKB/Swiss-Prot;Acc:O80813] MGYQMRSYRWRTALALDTGGSPSSNGQENLGDNAGLGRTRLGTIVRAAAAQLLEKLNAARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGVVVAVIEGIGMLMYRKPFTTPVGRLQNLIVMVNYWKAGVCLGLFVDAFKLGS >Ma03_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21695789:21698592:-1 gene:Ma03_g16890 transcript:Ma03_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGSSSWLTAVKRAFRSPSKDAEKKTARRREEPDQEEEEKHKREKRRWIFRKSSAQDQQQQVPQAKASPLPAVTPEQRHAIALAVASAATAEAAVATAQAAAEVVRLTRPSASFVKEHYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRVRLAQESSAAVSRGSNKSSFNCDTSFWESKYFQELAERRSMSRDGSSFADDWDDRPRTMEEIQAMLQVRKEAALKRERALSYAFSHQLWRSDRNPSPLLDEEVDGEVASVEDKRPHRWMDRWIASRPSFDNRVSSRARASTDYRDPIKTLEIDTARPFSYSAPANPRRQTPPPPPQPVGQHPTSPLHRTHLHNYQAYSPATPSPSKTRPLQVRSASPRCGREDRSLSTVQTPNYHHHAAASSSSSRQHHPAPLGAAVPNYMAATESAKARVRSQSAPRQRPGTPDRDRGGSAKKRLSFPAPDQQGCSQNLRSPSFKSAAGWFPGEQRSNVSSCCNDSLGGEVSPSSTTDLRPWLR >Ma02_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21956403:21957215:1 gene:Ma02_g13670 transcript:Ma02_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGCSELIVPLTLLRIALLLGHLRRLLFLVFDVIPWPDNSSSISRGSRWNQPLQSASATLTIGGALPVVKYEELPEADDGCAVCLCELEHGDEVRRLSNCRHVFHRGCLDRWVEHDQSTCPLCRAPLVPVEMRWASATDSYYNDDDNDDDCLSIDSSLHL >Ma04_p37300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35285482:35291169:1 gene:Ma04_g37300 transcript:Ma04_t37300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKAVIFGKRSSRSHTSKGKDGLKPGVDKEHFSGGEPSHVTVKSLVITQPVLVSNNSSGPSSENRTDSTLVTGAVRVESQEIVVHQASSNPAKAMEERAATKVQAAFRGYQSRRVFCALKGIIKLQALIRGHLVRRQAVTTLHCMWGIVRFQALVRGQRVRLSGIGLEVRTKYPQMKSVDDKKLDFSKMQLSANQFICKLLSALPVTKPVQIHYDPVEPNSVFSWLERWTSSQFWNPLPQSKKSVNVKSRVRCSSAVESESVRLKSNVHKNVAAKVDVMTESERHKRVTRKMLIPPADSVVENPQSEIEKVKRSLRKVSNSKKEPPEKPESENQKPTCTPRKVTNSLSDAPQVSNEHSSMKIKNDSVVSIDSKLVIVAAVKSVASGGPKNAVIDDSTAIKPHSPEEICKEESISNCDGELSLKDEPTSNDIQKSSKRRASFPPKPEPFAENASQNAPRLPSYMATTESAKAKLRGQVSPRVGSDSAERYNMPRRHSLPTSTNGKLNLQSSRAHKLIQASCKDGIRNDRSFTSSRDGSEKSIQVGWRR >Ma04_p37300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35285482:35291169:1 gene:Ma04_g37300 transcript:Ma04_t37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKAVIFGKRSSRSHTSKGKDGLKPGVDKEHFSGGEPSHVTVKSLVITQPVLVSNNSSGPSSENRTDSTLVTGAVRVESQEIVVHQASSNPAKAMEERAATKVQAAFRGYQSRRVFCALKGIIKLQALIRGHLVRRQAVTTLHCMWGIVRFQALVRGQRVRLSGIGLEVRTKYPQMKSVDDKKLDFSKMQLSANQFICKLLSALPVTKPVQIHYDPVEPNSVFSWLERWTSSQFWNPLPQSKKSVNVKSRVRCSSAVESESVRLKSNVHKNVAAKVDVMTESERHKRVTRKMLIPPADSVVENPQSEIEKVKRSLRKVSNSKKEPPEKPESENQKPTCTPRKVTNSLSDAPQVSNEHSSMKIKNDSVVSIDSKLVIVAAVKSVASGGPKNAVIDDSTAIKPHSPEEICKEESISNCDGELSLKDEPTSNDIQKSSKRRASFPPKPEPFAENASQNAPRLPSYMATTESAKAKLRGQVSPRVGSDSAERYNMPRRHSLPTSTNGKLNLQSSRAHKLIQASCKDGIRNDRSFTSSRDGSEKSIQVGWRR >Ma11_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4388804:4391363:1 gene:Ma11_g05710 transcript:Ma11_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAVSSGAVGRSSLNPNAALFIPMSYQQVEDFSPEWWELVKTTPWFREHWFHQYQEQETFGNDEEDMADALPDSFDLGITEFSLLEAESNGAAYHEPGKEMISGATEREKIEHAIQLYCSLMEEPYSDAKAAIKDLSLNSPKNGVKPLSVPAKYREKPLQCVSPKYSPRRIIHQPR >Ma11_p05710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4388634:4391363:1 gene:Ma11_g05710 transcript:Ma11_t05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAVSSGAVGRSSLNPNAALFIPMSYQQVEDFSPEWWELVKTTPWFREHWFHQYQEQETFGNDEEDMADALPDSFDLGITEFSLLEAESNGAAYHEPGKEMISGATEREKIEHAIQLYCSLMEEPYSDAKAAIKDLSLNSPKNGVKPLSVPAKYREKPLQCVSPKYSPRRIIHQPR >Ma02_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28706468:28707735:1 gene:Ma02_g23870 transcript:Ma02_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKDGVKKGPWTPEEDITLVSYIQQHGPGNWRAIPPNTGLRRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQSLLGNRWAAIASYLPERTDNDIKNYWNTYLKKKLRKLEIGGTDSPIGSVQSGRRSISKGQWERCLQTDVDMAKRALSQALSMDKESKASPFDRSLSSRPSSTYASSTENISRLLAGWMSGTSSKPGVAQASSASVRADTASSQGSASASSSNCIMSPEPLESLLGLHDSTPEGSEAQAPLSLLESWLLDEDAGQKQENFLDMATDYM >Ma08_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7995478:7999775:1 gene:Ma08_g10890 transcript:Ma08_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGRLWILVTLLLTLAAAAAAAASTTRRRHAYAAMMYMGTPRDYEFYVAMRVMMRSLAKLNVDADLVVIASVDVPVRWVQTLQEEDGVRVVTVENLKNPYENQDNFNTRFKLTLNKLYAWSLVSYDRVVMLDSDNIFLQRTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELEIGRENPDGADQGFLASYFPDLLDQPMFHPPINGTKLDGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWLKPWYWWSWPVLPLGLSWHEQRRKNLGYGSEVPVLLIQAIMYAGIIAVTRLARPSLSKLCYNRRPEKSIMILHTMLKVAAMWSILAAYTVPFFLIPRTVHPLLGWSLYVLGVASLSSIVINVFLLPPLPVLTVLLGILGSLVVMAFPWYSDGVVRALVVFAYAFCCAPMVWASLIKVSSSLQNLLEREAFFPRLGESTQVPEFNKLY >Ma08_p10910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8028285:8047101:1 gene:Ma08_g10910 transcript:Ma08_t10910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDQEQAHKSHRSHKSGASSKKKKKNHGSSELDAKERNPKAFAFNSSVKAKRLQSRAAEKEQRRLHVPTIDRSTGELPPFIVVVQGPPKVGKSLLIKCLVKHYTKHNLSEVRGPITVVSGKQRRVQFLECPNDINGMIDAAKIADLALLLVDGSYGFEMETFEFLNILQIHGFPKVMGVLTHIDKFKDVKKLRKTKQRLKHRFWSEIKDGAKLFYLSGLVHGKYPKRETHNLARFISVMKTQPLSWRSSHPYILVDRFEDVTPPGRVHTNNKCDRNITLYGYLRGSNMKKGTKVHIAGVGDFASTGITSLADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKVDEDTSASGKGNDRDVGVALVKTLQNTRYSIDEKLEQSFINLFGRKPPSASDDNVDANESRYSQRHVSILDREDDDRLDDKEVSDDDGKKELIAESESDDSDGDNNCTMDDDHEGDQQITAFSHDLKEEIEFHNGRFRRRVISSDYKYNGDLQDSEGDDMQELDDDDDDDDDLGDHMDVGSESSEGDEDLNSEDEGENVSKWKESLLAKTVSRQNGNLMQLVYGRNVTASTTTSQEAHDSSESDDSDEDFFMPKGERTKKLSDNLDVDIVDTEDSSKFNNIQLKDWSDEDLVKSIRDRFVTGDWSKAAQRGLGTEDVGDNETVFGDFEDLETGEVFKGTPEDNDKSSGSFRMGDEQEMEERRLKKLALRAKFDDDGSDLSDEEEHNKRKINQNQTDAGGYFDKLKEEIELRKQMNIAELNDLDEATRVEVEGFRIGTYLRLEIHGIPFEMFEYFDPRHPILVGGFALGEENVGYMQARLKRHRWHKKVLKTRDPIIISVGWRRYQTIPIYAIEDRNGRYRMLKYTPEHMHCLAMFWGPLAPPKTGILALQTLSNNQTGFRITATGAVQEFNHAAQIMKKVKLVGGPLKIFKKTALIKDMFTSDLEIAKFEGAAIRTVSGIRGQVKKAAKVELGNQPKKKGESVKEGIARCTFEDRILMSDIVFLRAWTRVEIPRFYNPVTTSLQPRDQTWKGMKTVAELRRDNNLPVPFNKDSVYKPIERKPRKFNSLVIPRKLQAALPFASKPKDKPARKRPSLESRRAVVMEPHERKVHALVQHLQQIKNEKMRKRKTKEQEKKKAYEAEKMKQEQLSKKRQREERKERYRREDKHKRTRRKVED >Ma08_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8028285:8047101:1 gene:Ma08_g10910 transcript:Ma08_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDQEQAHKSHRSHKSGASSKKKKKNHGSSELDAKERNPKAFAFNSSVKAKRLQSRAAEKEQRRLHVPTIDRSTGELPPFIVVVQGPPKVGKSLLIKCLVKHYTKHNLSEVRGPITVVSGKQRRVQFLECPNDINGMIDAAKIADLALLLVDGSYGFEMETFEFLNILQIHGFPKVMGVLTHIDKFKDVKKLRKTKQRLKHRFWSEIKDGAKLFYLSGLVHGKYPKRETHNLARFISVMKTQPLSWRSSHPYILVDRFEDVTPPGRVHTNNKCDRNITLYGYLRGSNMKKGTKVHIAGVGDFASTGITSLADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKVDEDTSASGKGNDRDVGVALVKTLQNTRYSIDEKLEQSFINLFGRKPPSASDDNVDANESRYSQRHVSILDREDDDRLDDKEVSDDDGKKELIAESESDDSDGDNNCTMDDDHEGDQQITAFSHDLKEEIEFHNGRFRRRVISSDYKYNGDLQDSEGDDMQELDDDDDDDDDLGDHMDVGSESSEGDEDLNSEDEGENVSKWKESLLAKTVSRQNGNLMQLVYGRNVTASTTTSQEAHDSSESDDSDEDFFMPKGERTKKLSDNLDVDIVDTEDSSKFNNIQLKDWSDEDLVKSIRDRFVTGDWSKAAQRGLGTEDVGDNETVFGDFEDLETGEVFKGTPEDNDKSSGSFRMGDEQEMEERRLKKLALRAKFDGQDDGSDLSDEEEHNKRKINQNQTDAGGYFDKLKEEIELRKQMNIAELNDLDEATRVEVEGFRIGTYLRLEIHGIPFEMFEYFDPRHPILVGGFALGEENVGYMQARLKRHRWHKKVLKTRDPIIISVGWRRYQTIPIYAIEDRNGRYRMLKYTPEHMHCLAMFWGPLAPPKTGILALQTLSNNQTGFRITATGAVQEFNHAAQIMKKVKLVGGPLKIFKKTALIKDMFTSDLEIAKFEGAAIRTVSGIRGQVKKAAKVELGNQPKKKGESVKEGIARCTFEDRILMSDIVFLRAWTRVEIPRFYNPVTTSLQPRDQTWKGMKTVAELRRDNNLPVPFNKDSVYKPIERKPRKFNSLVIPRKLQAALPFASKPKDKPARKRPSLESRRAVVMEPHERKVHALVQHLQQIKNEKMRKRKTKEQEKKKAYEAEKMKQEQLSKKRQREERKERYRREDKHKRTRRKVED >Ma08_p10910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8028268:8047101:1 gene:Ma08_g10910 transcript:Ma08_t10910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDQEQAHKSHRSHKSGASSKKKKKNHGSSELDAKERNPKAFAFNSSVKAKRLQSRAAEKEQRRLHVPTIDRSTGELPPFIVVVQGPPKVGKSLLIKCLVKHYTKHNLSEVRGPITVVSGKQRRVQFLECPNDINGMIDAAKIADLALLLVDGSYGFEMETFEFLNILQIHGFPKVMGVLTHIDKFKDVKKLRKTKQRLKHRFWSEIKDGAKLFYLSGLVHGKYPKRETHNLARFISVMKTQPLSWRSSHPYILVDRFEDVTPPGRVHTNNKCDRNITLYGYLRGSNMKKGTKVHIAGVGDFASTGITSLADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSKVDEDTSASGKGNDRDVGVALVKTLQNTRYSIDEKLEQSFINLFGRKPPSASDDNVDANESRYSQRHVSILDREDDDRLDDKEVSDDDGKKELIAESESDDSDGDNNCTMDDDHEGDQQITAFSHDLKEEIEFHNGRFRRRVISSDYKYNGDLQDSEGDDMQELDDDDDDDDDLGDHMDVGSESSEGDEDLNSEDEGENVSKWKESLLAKTVSRQNGNLMQLVYGRNVTASTTTSQEAHDSSESDDSDEDFFMPKGERTKKLSDNLDVDIVDTEDSSKFNNIQLKDWSDEDLVKSIRDRFVTGDWSKAAQRGLGTEDVGDNETVFGDFEDLETGEVFKGTPEDNDKSSGSFRMGDEQEMEERRLKKLALRAKFDGQDDGSDLSDEEEHNKRKINQNQTDAGGYFDKLKEEIELRKQMNIAELNDLDEATRVEVEGFRIGTYLRLEIHGIPFEMFEYFDPRHPILVGGFALGEENVGYMQARLKRHRWHKKVLKTRDPIIISVGWRRYQTIPIYAIEDRNGRYRMLKYTPEHMHCLAMFWGPLAPPKTGILALQTLSNNQTGFRITATGAVQEFNHAAQIMKKVKLVGGPLKIFKKTALIKDMFTSDLEIAKFEGAAIRTVSGIRGQVKKAAKVELGNQPKKKGESVKEGIARCTFEDRILMSDIVFLRAWTRVEIPRFYNPVTTSLQPRDQTWKGMKTVAELRRDNNLPVPFNKDSVYKPIERKPRKFNSLVIPRKLQAALPFASKPKDKPARKRPSLESRRAVVMEPHERKVHALVQHLQQIKNEKMRKRKTKEQEKKKAYEAEKMKQEQLSKKRQREERKERYRREDKHKRTRRKVED >Ma02_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19100812:19101573:1 gene:Ma02_g08860 transcript:Ma02_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFLTCFSHSSRDLVKLVLWGGDTRLLPETLHAGELMIRFPGRIVCHANSFYIGLPLPVLSADDELLPGQTYFLLPLDRFRPNQALTAATLASLSPSPTKVSLAGDGQCPFAYVKGGDGRKLIKVAPEFIARVISSGEDGRKSGAGDGGTLCSTPELQKHYAQLVGRRGRPWSPALETISESKSRRPSKVRLSPARLLRFEKRSS >Ma08_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8949807:8950312:-1 gene:Ma08_g11930 transcript:Ma08_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKERKMTVVGSVDPVDVVSKLRKFWHTGIVSVGPAKEEKKEEPKKEQAKKEEPKKEEAKKEEKKEEAKKEEKKEPHEQMIADQVNAYKNYNPHTTTHYYYSQSAEENPNSCSIL >Ma05_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6497437:6500647:1 gene:Ma05_g08840 transcript:Ma05_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDEYDYLFKVVLIGDSGVGKSNLLSRFTRDEFSLESKSTIGVEFATRSIRVEDKVIKAQIWDTAGQERYRAITSAYYRGAVGALVVYDITRHVTFENIERWLKELRDHTDTNIAIMLVGNKADLRHLRAVSIEDAKDFSERENTFFMETSALESTNVEDAFTEVLTQIYRVVSRKALEAGDDDSAALPKGQTVNVGSKDDVSAVKNNGCCSS >Ma09_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6147548:6148860:-1 gene:Ma09_g09280 transcript:Ma09_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGVMEERKNSYPGGLLIDPSSSSPTSPVSSKSRSSSSPSLSSITADQQQQKNLRCPRCDSTNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKTRAVVAIAAPGICAKSAGATKTRPASPDLVLRSGLVGGLENELSSTLWPSPHPSHLISLLRSSSLQNPNTILHSTPSLHLNSAIIDEDKTLLGSHTVADPGSTPNSYSPSLEALSQLALGASPWRNSNNYSSSYHHHHHHHQQSQPQNDDMLLGVTPSSEIQDLYQKFKSSANYHNEQLQTVMSNVGSFGSSCSSTASLMTMAGTLTNATAPIMDHIPLSAGEFGNWNPGLAWSDLPTLNGAFH >Ma01_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6278311:6278736:1 gene:Ma01_g08750 transcript:Ma01_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTPEMERVFKRFGAEEDDGKISSAELGEALRVLGSTAPDEIRRMMAELDTDGDGYIDFQEFSAFCRANPGLMQDVAEVF >Ma10_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5448498:5452680:1 gene:Ma10_g01900 transcript:Ma10_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLVDPFDSSNPHPLFDPALSPCDLFPPPSDLPFGDDFDLDIDFDFSVDDFLRSTENHLSTPSDVPPDPSPGDGSGVFSSSSSPDHQSSRNSGPASPGSGNSSSGSGVVDREVKVENEKSLKRKEGCFNSNPNANPRGGKLQRSEAIGNEEDDRRKTRLIRNRESAQLSRQRKKQYVEELEEKVRAMHSTINELNAKISYFMAENVSLRQQLGGNGAAPAVYPPSGPMPSTHFPWVPGYAFRPQGSPVPLVPIPRLKPQQPAHAPRAKKSETKTKKVASVSLLGLMLIILVFGILPGVHLRHRGTRDYVGVIKGGIVNEPRGMVLSVTGRGNDLTRTDDTGFCNGNISLEKDRVTGKRCQNGAVGPEITPKGRGSWPSTGSGGSVFTQNCSETLPALLYVPRNGKHVKIDGNLIIHSVLAGEKAMARSKERRSSAEESKDTALAIAGNMMSALAVPKSEREADHSIADDAYAKNLKSVSADGPLQQWFREGMAGPMLSSGMCTEVFQFDISPTSSSSIIPATSIMRSTSVANASEDLPSASAQQGRIKNRRILFPETVPLNGTTNRNGTQFGKPSKSSNFDDTKPVSSVVVSILADPKEAGNGDGDGMISRKSLSRIFVVVLLDSVKYVTYSCVLPFKTPAPIL >Ma01_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7177114:7187709:1 gene:Ma01_g10020 transcript:Ma01_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRVENSGQKNAGGDHRPSTIFVSNLPYSFKSSELEALFSEVGPVRRCFMVTSKGSEVTQGFGFVQFATVEDAEHSIQLKNRSAVDGRKMSVGLAKHRLPLEERQQKAKNLHSEDIGTKYNEIIHLTSVTEHKGGSQAQDIGKPQRSIVDDGKGILLASKDPTVEFPGSEKQRVARTVIFGNLVNSEMAAEVFRQAGEVGTICLINYPLPKEELKLHGLARDGCKSEAAAGACVWARQLGGGSSSRKWRVIVRNLPFKATVSEIREIFGSAGFVWDVLIPHKSDEGVSNGFAFVSFACKQDAENAIKNINGEDHLKVLKVIDKESVHKKELQNLKNEALDRHNLYLAKEGEILAGIPAAEGESESDMKKHETLMKKKAEMLQSPKFHLKPVIQKLMKDVKKGKVFIKKHSHGVGFVDFKEHEHVLVALRVLNNNLDVGLVCEESKADKADHCELFLGSKKPLDHISAFYADSI >Ma03_p32020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34017989:34022559:1 gene:Ma03_g32020 transcript:Ma03_t32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAVVLAGLVIAAAVYCGLDPLGHSPMVKFPGFETHPVELLPWSEFPAVRDPEDRLRGAEVRFLNQVQGPESIAFDPRGRGPYTGVADGRVLFWNGESWVDFAYTSPNRSEICNLNPSLFSYLKNEHICGRPLGLRFDKRTGDLYIADAYFGLLKVGPQGGLAAPLTTEAEGVPFNFTNDLDIDEEGNVYFTDSSTNYQRRNFMQLIFSGEPSGRLLKYNPVTKETIVLHRGLQFPNGVTLSKDGSFLLFCEGSRLRLNRHWLKGEKAGTLDVFAYLPGFPDNVRTNEKGEFWVAIHCRHSTYAHLMADHSKLRKFFLKLPIPVKYHYFMLVGGRLHALIIKYGPNGDLLETLEDKEGKVVKAVSEVEEKDGKLWIGSVLMSFIAVY >Ma11_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26654568:26656871:1 gene:Ma11_g23240 transcript:Ma11_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRSRISRPDAIVDPRFCASYPIDLTFFIDAAWLNCDHLAVTDINGNVVFKVEARKWNLRNRQVVVDASGKPVISMQQKLRSVHDRWQVFKGDSSDPKDLLFSVRRSSALQFKTELDVFLAANTEEEVCDFKMKGSYRKRSCTVYKGDSSTEVAQMSKEYKFVNSSVSKNAFGVAISPNTDHSFIAALLIIQHELLLHNEHSEASGAVVGGISDGIFQGLAAALGGS >Ma08_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4135357:4140213:-1 gene:Ma08_g06120 transcript:Ma08_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast RNA binding [Source:Projected from Arabidopsis thaliana (AT1G09340) TAIR;Acc:AT1G09340] MARLVAMQPRQASPWASSSSPLLPSSLSEFSGAALSISLQKRRRTWQPKGALQVTASGAKKILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDQDYEDFKSKILHLKGDRKDFEFVKTSLAAKGFDVIYDINGREAVEVEPILDALPKLEQFIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLDSRGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPNSGVQITQLGHVKDLARAFVMVLGNSKASKQVYNISGSKYVTFDGLARACAKGAGFPEPEIVHYNPKEFDFGKKKAFPFRDQHFFASIEKAQRELGWAPEFGLVEGLADSYNLDFGRGTFRKPADFTTDDMILGKSLVLQS >Ma04_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25786127:25787556:1 gene:Ma04_g23670 transcript:Ma04_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSLKLHNPFSPTSAATERRDLDFPAAVYLNRRLRNPPRTVAMATVPTNDGAPRINGHPHINGHAHSYAPALAPRVAFQGAPGAYSEFAAKTAVPGCATVPCRTFADAIAAVQAGQADRAILPVESTMEGTALRNYDLLLRHDLVISQEVNLFVHYCLLAMPGVRPAELRRVISHPMALAHCGRALAQLGLHRREPVEDTAGAVEMLRSHRLLDTAAIASPRAALLYGLDVLADGLQDESWNVTRFLLLSPKSSTSSATPPLPPPNPKTSMVIAHRGGSMVVLLKVLSAFSRRNINLTKLEIINSSAVENKAPVMILDIRGKGSLRAFPHVLYVDFEGSKEDPRAKEAIDEISQFSVFVRILGCYAANPNVYDLQ >Ma07_p12960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9750671:9757875:-1 gene:Ma07_g12960 transcript:Ma07_t12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAKVNPKPSPSPTPPAAQSVPLEDLFAALHRHAQNSEYELAARVADQVLAIAPGDEDALRCKVVALIKSDAIDKALSTIQASRHLPIHLRFYEAYCLYRQNKLHEALKVLDGQERNSMILQLESQILYRLGKMDACMDSYEKIQRFKIDSLDLKTNIIAALIAAGRSAEVQETMDALKVKATSNFELAYNYACSLIEKKKYAEAEQQLLSARRIGQEMLMEEDYADDEIETELAPIAVQLAYVHQLQGQTEEAIEAYMSIINRNLSDASSLAVATNNLISLRGTKDVSDSLRRLDRLIEKGTGARQFQLANSLDFKLSTRQKESLYANRLLLLLQANRLDQAQEVISALPEMFPDSVTPVLLQAALLVREKKAAKAEEVLIHYADKFPDKSRPVLLARAQIAAAAGHFQISVESLLKIPEIQNMPATVATLVSLQERIGDFSSAAAVLDSAIDWWKNAMTEENKLDLIMQKAAAFKLNHGREEEASQLYEKLVKSHGNIEALVGLVMTAAHTDLEKAELYEKQLKQLSGLKQLNVESLEKTPGARHVEGAHAVKVEVPEDVKKAKTKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRSQIRGSQGAVVREKHDSIAPATSSSVNGPSSKSGQNTSSSSKSGPQKVTSSEQSKASSKSKKKSRS >Ma03_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2207591:2209327:-1 gene:Ma03_g03280 transcript:Ma03_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSGVAAPTPQRPHVVLLPTPGMGHLIPLVELAKLLVAHHGFSVTIVTLDASSASKAQDALFSSLPDSISSLALPSVPLDDLPSDARIETIISVAASRSLAHLRDALSGLKSSANLVALVVDLFGTDYFTVALELCLPRYLFFPSNLLTLSLIFHLPELDATTTCEYRDLPEPLRLPGCVPIPGRDLLHPIQDRSNDAYRWVVHHARRYREAEGILVNSFDAIEPEAAKVLREPEPGRPPVYLVGPLTQCWNPKATDERAECLRWLDQQPPGSVLFVSFGSGGTLTTAQTAELALGLELSGQRFLWVVRSPSDGENASEAYFSVRSKNDPFGFLPAGFVQRTREVGLLVPSWAPQVAVLNHAATGGFLSHCGWNSTLESVVAGVAMVAWPLFAEQRQNAVMLAEGARIALRPRGAAEGGLVPREEVARAVRELMEGEEGKAARQRVAELRKAATSGLEEGGAAYKALAEVASKWKTTN >Ma06_p30180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31531584:31533623:-1 gene:Ma06_g30180 transcript:Ma06_t30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKQGVKVDKVLNFAIDDGRTYHTKFAPSKVLELMMCQENP >Ma04_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5393706:5398213:1 gene:Ma04_g07480 transcript:Ma04_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEDGVDGQPQPQPQQQQYKRLGGLVVGNYCHDVLFRGDLPVGETLGGAASFVSNVLDALAPSTSLYVAKVGADFAYAAPHPPRVVSSSSHTTLFHAHFPPVPAAGGYHGDRVLRRVRACDPILPADLPDDIRFDYGLAVGVAGEILPETLARMIDLCRVVLVDAQGMIRSFDPVDGTVRLVPLRSTEFFHLLPRIGFLKASAEEAPFVDIEEARKWCCVIVTHGKDGCQVYWKDGELHVSPFQADQIDPTGAGDSFMGGFVSGLVWGLPVPDAVLLGNFFGSLTVTQIGVPKFDQRMLQHVKEELKRRAAQHSGSCGGTISLDFHKSDTHEDFLEHLTGAAKLTCTGNPTDLHTNQDNQCQ >Ma01_p16350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11848960:11850058:-1 gene:Ma01_g16350 transcript:Ma01_t16350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFRGRRKACWRPRLRRQQKNSEPSRRPTDDVSSKQAMSDLEEKRAIDIAAAGSSTPKAKTSRIPEHLSCPPAPKKRRVTAMRWSENTPPVPFFTSPDLDLFFLYALREISL >Ma01_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11848960:11850058:-1 gene:Ma01_g16350 transcript:Ma01_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFRGRRKACWRPRLRRQQKNSEPSRRPTDDVSSKQAMSDLEEKRAIDIAAAGSSTPKAKTSRIPEHLSCPPAPKKRRVTAMRWSENTPPVPFFTSPDLDLFFLYALREISL >Ma07_p11520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8550951:8558792:1 gene:Ma07_g11520 transcript:Ma07_t11520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVPEKTPTPSEQWSLQDKGQPDLSQATVDETAKDENPPDGSSVEVSAQMDNQTPASEVAEKSDEAPVAEEINETPEESETESQEAAEEKPVIKIETAPADFRFPTTNQTRHCFTRYIEYHRCIAAKGEGAPECDKFAKYYRSLCPSEWIERWNEQRANGTFPGPL >Ma07_p11520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8550951:8558792:1 gene:Ma07_g11520 transcript:Ma07_t11520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVPEKTPTPSEQWSLQDKGQPDLSQATVDETAKDENPPDGSSVEVSAQMDNQTPASEVAEKSDEAPVAEEINETPEESETESQEAAEEKPVIKIETAPADFRFPTTNQTRHCFTRYIEYHRCIAAKGEGAPECDKFAKYYRSLCPSEWIERWNEQRANGTFPGPL >Ma07_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8550951:8558792:1 gene:Ma07_g11520 transcript:Ma07_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVPEKTPTPSEQWSLQDKGQPDLSQATVDETAKDENPPDGSSVEVSAQMDNQTPASEVAEKSDEAPVAEEINETPEESETESQEAAEEKPVIKIETAPADFRFPTTNQTRHCFTRYIEYHRCIAAKGEGAPECDKFAKYYRSLCPSEWIERWNEQRANGTFPGPL >Ma04_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17174298:17179110:-1 gene:Ma04_g16980 transcript:Ma04_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVSVSFSSSRLRWARPSSSHRRRDLLLRSLALPPSSRLSSPLPYASKRFSTYSQEAVAVVPDPRAWVGDLGGQSYGEDDDDDDDEDDRSLDLLARFLHSVFRKISRRARRAVRAMLPPAISTKLVGFSVNGVLILASLWILKAFLEVICTFGSMVFISILLVRGIWSGISYIRINQYSYKNTMDDEDSRWSGVQPT >Ma01_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11129188:11136854:-1 gene:Ma01_g15380 transcript:Ma01_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALAVRPSVALLAADLFPSSRRGFILPHRRNPCVAPQPPRHRLSTVSAMASAFKPEESRVPPALQLPTPRVSKFKIALCQLSVTPDKERNIAHARKAIEEAARKGAQLVLLPEIWNSPYSNDSFPIYAEDIEAGGDAAPSFSMLSEAARSLQITIVGGSIPERSGDCLYNTCCVFGTDGILKGKHRKIHLFDIDIPGKITFKESKTLTAGEHPTIVDTDVGRIGIGICYDIRFQELAILYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCSPARDSGAGYIAWGHSTLVGPFGEVIATTEHEEAIIVEEIDYSLIELRRSNLPLANQRHGDLYQLVDVQRLNSK >Ma08_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3726051:3727863:1 gene:Ma08_g05450 transcript:Ma08_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEGGSSSPLLRRPTLFPRSPQSSLFFCFALLLLLAAAALFLSSLLSSTQDHRPPAARPLAKLSRPVVLLISSDGFRFGYQFKAPTPNIRRLIAGGTEAEEGLIPVFPTATFPNHYSIVTGLYPAHHGIVYNYFVDPVSGDHFTTGSHEPRWWLGEPLWETVAAQGFNSAAYFWPGSEVIKGSWTCPAKFCPKYNGSVPFEERVDTVLGYFDLPKDEMPAFISLYFEDPDSQGHIVGPDHPDITKAVVRIDEMIGRLISGLEKRGIFEDVTIILVGDHGMVGNCGGKQIYLNDLSPWINIPESWVQSYSPLLVIRPPENVSSSDVVAKMNRGLSSGKVNNGKYLRVYLKEDLPDRLHYYASYRISPIVGLVAEGYKVAREMTDTCECGGAHGYDNAFFSMRTIFVAHGPRFERGAKIPSFENVEIYNLVTAILKLQGAPNNGSASFPNSVLLPSY >Ma02_p08570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18859283:18860720:-1 gene:Ma02_g08570 transcript:Ma02_t08570.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTANANSSSSIPKNYVTLKQLSEKHDASITEKADKANSSSSIPNNYVTLKQLKEKHDASIMETAAKEKHDDEERLRNLKQEENEKKAAEERRKADELLIPKPHRRTLGSRHKWPSNRQKWVVARLASPRIPDADGAKGSASGAVHVPPIGKSTGSDTNPEVGRKQNPKKKKKKKNKGKADREKNGGTEAAMAMATAEEESEEIKGSEKITADPSQENPVQVKEDGRRGRWTGAVNRSIGQLSGCGQERTVPTERRSVIIDDEAAAGAGRGRWTAARGGASNQRAGGFGRGRNLPDGAGLVWVRKTSST >Ma02_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18859283:18860720:-1 gene:Ma02_g08570 transcript:Ma02_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTANANSSSSIPKNYVTLKQLSEKHDASITEKADKANSSSSIPNNYVTLKQLKEKHDASIMETAAKVNSSSSSSSSIPKNFVSLKHLQELRLKEKHDDEERLRNLKQEENEKKAAEERRKADELLIPKPHRRTLGSRHKWPSNRQKWVVARLASPRIPDADGAKGSASGAVHVPPIGKSTGSDTNPEVGRKQNPKKKKKKKNKGKADREKNGGTEAAMAMATAEEESEEIKGSEKITADPSQENPVQVKEDGRRGRWTGAVNRSIGQLSGCGQERTVPTERRSVIIDDEAAAGAGRGRWTAARGGASNQRAGGFGRGRNLPDGAGLVWVRKTSST >Ma01_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4643041:4649701:-1 gene:Ma01_g06470 transcript:Ma01_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLAALLRSSSARRPTLARLSPSPSPPRRPSPAGYLLHRATCFATAAAAPSPPAPPPPPKVAAGPSGKITDEFTGAGAIGKVCQVIGAVVDVRFDEGLPPILTALEVLDNQIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGEIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQIESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAA >Ma04_p09180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6545877:6548166:-1 gene:Ma04_g09180 transcript:Ma04_t09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKRKVDQEDDLLPAAPNHADNPLPQLHQQPVVYTQAPASDDEDGGRGSESDQDDDDDDVGNLLEPISRDRLVGLLRFAAASDPAALAEIRRVADLDPVHRKLFVHGLGWDTTAEGLRAAFVRYGDIDDCRVIVDKASGRSKGYGFVLFRHRSSARRALRRPQKLIDNRMTSCQLASAGPSASLHHHNSASQHHQNPNPNPGPGPASHQDNISRKIYVGNVHSDIDGGRLLAFFSQYGEIEEGPIGFDRHTGKPKGYALFVYKTVEGALRALEEPSKNFEGHLLHCQKATDNKSKGALVQNTAASPNVVPSTGTLNGPGYALPPSDIGLAQQAAMLGQGLLGLGGGQAFGQGMQPNVALLTLLATAGQNPAAFGVTPAMLASLNPAFAAAFGATGTQQAVPPSAVPQTAQMASYGMGSAGYQVASGFQGHTGFQGSPGFQGPPGLQGTHPTAQQGGAGGVSSYPTAPGSMSRPPTGPMGGYGPL >Ma04_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6546265:6548166:-1 gene:Ma04_g09180 transcript:Ma04_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKRKVDQEDDLLPAAPNHADNPLPQLHQQPVVYTQAPASDDEDGGRGSESDQDDDDDDVGNLLEPISRDRLVGLLRFAAASDPAALAEIRRVADLDPVHRKLFVHGLGWDTTAEGLRAAFVRYGDIDDCRVIVDKASGRSKGYGFVLFRHRSSARRALRRPQKLIDNRMTSCQLASAGPSASLHHHNSASQHHQNPNPNPGPGPASHQDNISRKIYVGNVHSDIDGGRLLAFFSQYGEIEEGPIGFDRHTGKPKGYALFVYKTVEGALRALEEPSKNFEGHLLHCQKATDNKSKGALVQNTAASPNVVPSTGTLNGPGYALPPSDIGLAQQAAMLGQGLLGLGGGQAFGQGMQPNVALLTLLATAGQNPAAFGVTPAMLASLNPAFAAAFGATGTQQAVPPSAVPQTAQMASYGMGSAGYQVASGFQGHTGFQGSPGFQGPPGLQGTHPTAQQGGAGGVSSYPTAPGSMSRPPTGPMGGYGPL >Ma09_p26730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37939112:37939890:1 gene:Ma09_g26730 transcript:Ma09_t26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSM34 [Source:Projected from Arabidopsis thaliana (AT4G11650) UniProtKB/TrEMBL;Acc:A0A178V0T2] MASSTILSFLLFALLLALSRAATFEIVNWCPFTVWAAAVPGGGRQLDRGQTWVLDVNPGTTGGRIWARTGCSFDGSGSGSCQTGDCGGVLACQGYGSPPNTLAEFALNQFDNLDFLDVSLVDGFNVPMEFSPITGNCSAIRCLADIKGQCPAELWTPGGCNNPCTVFKTDEYCCTSGSCGPTNYSNFFKSNCPDAYSYPKDDATSTFTCPGNGDYKVIFCPSDGYPTRFGRTAVRFVIPRA >Ma01_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1165662:1168604:1 gene:Ma01_g01710 transcript:Ma01_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHESHYNEFPMQGFEHSHEFFGIQPGMEILGVPSKHQSWQAAASVSPWQVDNSSSSSSSSLRPLFSCVRTQRPSGGPSLSLLDMKHSAALVGVPQPPEQYSAAGDKPQLRAAYHLQQQQLRNSKFLGPAQELLNEFCGVTGQSSSKKKPNKTNHEGEAGKPSLSSPWHQSLRSMDLVELQKIKAQLLSMLEEVDMRYRKYYEEMRGVVAWFEVVGGEGAASAYAGLASKAMSRHFRCLRDGIVGQIRAVKEATGEKDSAAPGTTPGETPRLRMLDQRIRRQKAFQQGTMEPHPWRPQRGLPDRSVSVLRAWLFEHFLHPYPNDADKHILARQTGLSRGQVSNWFINARVRLWKPMVEEMYLEETKELENQADQAPTGREHNDHPNPNPNSGLSFDQKPLPVQPLVDSESLSSIINSSHHSDQTLHQYRQQHHRHVSDLGFPYSSSSSSTGVSLTLGLQHHSGGGMSLSLLHDSQLFSADHVDDDQQVHFSISDGEAVNLPYRDLMGAQLLQDMTR >Ma11_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16422146:16426927:1 gene:Ma11_g12490 transcript:Ma11_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVRRGLLLFVFLLSHVLCVLGGKSYYDVLQVSKGASDEQIKRAYRKLALKYHPDKNQGNEEANKRFAEINNAYEVLSDSEKRNIYDRYGEEGLKQHAAGGGRGPGMNIQDIFNEFFGTGHQEDQEESIPKGDDVIIELDATLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQIAPGMFQQMTEQVCEQCPNVKYEREGYFITVDIEKGMQDGQDVLFYEDGEPKIDGEAGDLKFRIRTAPHERFRREGNDLHTTVTISLLQALVGFEKTIEHLDEHPLEIGTKGITKPKEVRKFKGEGMPLHLSTKRGDLYVTFEVLFPKSLTEDQKNKIKSVLS >Ma08_p19330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33118778:33123650:1 gene:Ma08_g19330 transcript:Ma08_t19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGASEIIESGEESNLASRYGGSKTLSIKFKPNARDGKSQDVEDDLHELVRAIDLRTSSRVIGPSSQLGVDLLRKNALKKPVKIGASRPSGIGISESVTLKQALRRLCISQASEMAAMKRLSKPAGLSGSSEAGTIKRLCASVVIQSSDSGLPLNGEQRNLVKISIVPEKVAADSSKKATAFGQVRNLESCTSSAVSSPLAAVTPKVTKIRIQDVIKPTLEELCEFQSAAIQKENKGKSVSKAPVSSSQAVVASTKLIMTPYLTKPVHRNNTTKKKRKPEPISVPSGSIKGSEVEKSGVTTSRTKLQFSKEPVVPACVTMPTAGSCCPKKPAAPAYGTMNPSAKISMEDVDSGASKIFSSPNIHGSGRVAGSKASELSSSREKGECSHSSKSSIGDYSSSTSFSEESNQSGFSVKSCRPHMSKDVKWVAIHRNLIQLGSLGLKNFKLLKRLGCGDIGTVYLAELVGSECLFALKVMDIEFLISRKKMLRAQTEREILQMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQKQPRRSFAETAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCTVSPTLLRSSSLGTQETVRLSGPCAENSCIDPLCIRPSCVQVSCFTPRLESSTVAKTRKLKSDGQGQVRPLPQLVVEPTGARSNSFVGTHEYLAPEIIRGDGHGSAVDWWTFGILLYELLFGITPFRGPGNEETLANVVSQSLKFPDNPSVSSHARDLIRGLLVKEPESRLGSVTGAAEIKQHPFFEGLNWALIRSAAPPEIPRSHDCATPTVFHKKKEGKCLDFRAYGEDVEFELF >Ma08_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33118778:33123650:1 gene:Ma08_g19330 transcript:Ma08_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGASEIIESGEESNLASRYGGSKTLSIKFKPNARDGKSQDVEDDLHELVRAIDLRTSSRVIGPSSQLGVDLLRKNALKKPVKIGASRPSGIGISESVTLKQALRRLCISQASEMAAMKRLSKPAGLSGSSEAGTIKRLCASVVIQSSDSGLPLNGEQRNLVKISIVPEKVAADSSKKATAFGQVRNLESCTSSAVSSPLAAVTPKVTKIRIQDVIKPTLEELCEFQSAAIQKENKGKSVSKAPVSSSQAVVASTKLIMTPYLTKPVHRNNTTKKKRKPEPISVPSGSIKGSEVEKSGVTTSRTKLQFSKEPVVPACVTMPTAGSCCPKKPAAPAYGTMNPSAKISMEDVDSGASKIFSSPNIHGSGRVAGSKASELSSSREKGECSHSSKSSIGDYSSSTSFSEESNQSGFSVKSCRPHMSKDVKWVAIHRNLIQLGSLGLKNFKLLKRLGCGDIGTVYLAELVGSECLFALKVMDIEFLISRKKMLRAQTEREILQMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQKQPRRSFAETAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCTVSPTLLRSSSLGTQETVRLSGPCAENSCIDPLCIRPSCVQVSCFTPRLESSTVAKTRKLKSDGQGQVRPLPQLVVEPTGARSNSFVGTHEYLAPEIIRGDGHGSAVDWWTFGILLYELLFGITPFRGPGNEETLANVVSQSLKFPDNPSVSSHARDLIRGLLVKEPESRLGSVTGAAEIKQHPFFEGLNWALIRSAAPPEIPRSHDCATPTVFHKKKEGKCLDFRAYGEDVEFELF >Ma05_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35989798:36002749:1 gene:Ma05_g23830 transcript:Ma05_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 1 [Source:Projected from Arabidopsis thaliana (AT3G54670) UniProtKB/Swiss-Prot;Acc:Q6Q1P4] MTGGLSGGMEAKSNKWDDSAIEALKKRKDQLESEMESLGSLRMLQIKESEASEKITGLERKIHYSKIEEKNIQEKLSKLNEEKLNIREEIGHLKPELQKLKSVIAKRTEDIQKLEKRINGIVDRIYKDFSESVGVKNIREYEESQLKAAQEMYERKLSLSNHMSKLKYQLEYEQKRDMNTPISKLESSIDSLREELKNVKQKEYDVEHAAAEILDQMKKIEQKADDWKAKLDECEKVIDELKKKSDSFKGTIGKLQRVINSKEAQLEQLRSNKQEVLDKCELEQLKLPTVDDPMQTGTSSVLPVFDYTQLSRMYLQEMRPSEREKLGLDFKQKMDNLMVEIERTAPNLKALDQYEALQGKEKEVVEKFEAARKEEKEITDRYNSVKQKRYELFMEAFDHISKGIDKIYKQLTKSQTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDGARGSQDGDGGCGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >Ma05_p23830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35966596:36002749:1 gene:Ma05_g23830 transcript:Ma05_t23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 1 [Source:Projected from Arabidopsis thaliana (AT3G54670) UniProtKB/Swiss-Prot;Acc:Q6Q1P4] MPSILSPGKIHRLELENFKSYKGFQTIGPFYDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYAFDDREKENKGRKAFVRLVYLMANGIELQFTRTITGAGGSEYRIDGRVVGWDEYNGKLKSLGILVKARNFLVFQGDVESIASKNPKELTSLLEQISGSDELKKDYEELEEQKARAEEKSALIYQEKRTVVMERKQKKAQKEEAEKHLRLQEELKSLKKEHFLWQLFNIENDIEKLNGELDSEKKKLEEVLSLQKEFDSEAEMKKKEQAGYLKELTIREKKIAKIKLELDKKQPELLKLKEEKSRINSKIKSSIKELEKKKKDQRKHAEEIGKLQKDLQDVTEAIHELNEQAKHGVGKLELADDQLSEYHRIKEEAGMKTAKLRDEKEVQDRQLHADIEVQQNLEENFQQLLSREKELSTQEDESRKRLKQILDSVADYKKELDRVKKDLHKISKDRQSSGTKYQSLKQKLDEVDLQLRELKADKHESERDARLSETVQSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSIRVKPVIEKLRTLGGTAQLVFDVIQFDRSLEKAIIYAVGNTLVCDNLDEAKILSWSGERYKVVTVDGILLTKSGTMTGGLSGGMEAKSNKWDDSAIEALKKRKDQLESEMESLGSLRMLQIKESEASEKITGLERKIHYSKIEEKNIQEKLSKLNEEKLNIREEIGHLKPELQKLKSVIAKRTEDIQKLEKRINGIVDRIYKDFSESVGVKNIREYEESQLKAAQEMYERKLSLSNHMSKLKYQLEYEQKRDMNTPISKLESSIDSLREELKNVKQKEYDVEHAAAEILDQMKKIEQKADDWKAKLDECEKVIDELKKKSDSFKGTIGKLQRVINSKEAQLEQLRSNKQEVLDKCELEQLKLPTVDDPMQTGTSSVLPVFDYTQLSRMYLQEMRPSEREKLGLDFKQKMDNLMVEIERTAPNLKALDQYEALQGKEKEVVEKFEAARKEEKEITDRYNSVKQKRYELFMEAFDHISKGIDKIYKQLTKSQTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDGARGSQDGDGGCGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >Ma09_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:22693624:22707221:-1 gene:Ma09_g19310 transcript:Ma09_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGKKFGRGPRELTGAVDLINHYKLSGHHDFFCKRSLPLSVADTHYLHNVVGDTEIRKGEGMELGQLFQGAPYLRESTAQIQQFDLEILGQAFQLRDTAPIDLPMSDKGVPTIPGKSKGDSKDKERKHRKHKDKDREKDKEHKKRKHRHKDRSKDKDREKKKDRSGHHDSSGDHSKKHHEKKRKHDGTGDSVDNHKHKKT >Ma09_p19310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:22689131:22707236:-1 gene:Ma09_g19310 transcript:Ma09_t19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGKKFGRGPRELTGAVDLINHYKLSGHHDFFCKRSLPLSVADTHYLHNVVGDTEIRKGEGMELGQLFQGAPYLRESTAQIQQFDLEILGQAFQLRDTAPIDLPMSDKGVPTIPGKSKGDSKDKERKHRKHKDKDREKDKEHKKRKHRHKDRSKDKDREKKKDRSGHHDSSGDHSKKHHEKKRKHDGTGDSVDNHKHKKTVIIVKQVEVVFRTIAAAKISSAFSLTCLPIVIKLHYDLEVKDAYDMLRMISASFHVILKRSSWSYGDLSSYRSMAV >Ma09_p19310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:22692728:22707223:-1 gene:Ma09_g19310 transcript:Ma09_t19310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGKKFGRGPRELTGAVDLINHYKLSGHHDFFCKRSLPLSVADTHYLHNVVGDTEIRKGEGMELGQLFQGAPYLRESTAQIQQFDLEILGQAFQLRDTAPIDLPMSDKGVPTIPGKSKGDSKDKERKHRKHKDKDREKDKEHKKRKHRHKDRSKDKDREKKKDRSGHHDSSGDHSKKHHEKKRKHDGTGDSVDNHKHKKSKHKSPKVEEVGGIKVAS >Ma10_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30397585:30406107:-1 gene:Ma10_g20120 transcript:Ma10_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEANGLVKITRRNHMAQGDIDAKSKSDFGELDPWTAWAYKPRTISLLLIGTCILVWASGALDPESTASKDIVSSVKRGVWAMIAVFLAYSLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQNRDDARQFMKYLHPDLGVELPERSYGADCRIYVPDNPKSRFINVYETLFDEFVLAHIFGWWGKAIMIRNQPLLWVLSVGFELMELTFRHMLPNFNECWWDSIILDILICNWFGICAGMRTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPARWDKDEWHPLLGPWRFIQVLCLCVVFMTVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDREPVKKVGAFCWISLAICIVELLICVKFGHGLFPHPMPPWLITFWTTVGMALVVFLLVWSSHIHRVVMKKRL >Ma10_p20120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30398418:30406103:-1 gene:Ma10_g20120 transcript:Ma10_t20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEANGLVKITRRNHMAQGDIDAKSKSDFGELDPWTAWAYKPRTISLLLIGTCILVWASGALDPESTASKDIVSSVKRGVWAMIAVFLAYSLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQNRDDARQFMKYLHPDLGVELPERSYGADCRIYVPDNPKSRFINVYETLFDEFVLAHIFGWWGKAIMIRNQPLLWVLSVGFELMELTFRHMLPNFNECWWDSIILDILICNWFGICAGMRTVRYFDGKTYEWVGISRQPNIIGQKNIGAIYTRTMGQR >Ma03_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28280704:28281975:-1 gene:Ma03_g23850 transcript:Ma03_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRCQDGHRLCANNCGFFGSPATLNLCSKCYRDLRLKEEQAASAMIAVERSLSPPPPSAAASSAVAAPPSSSCGPIAVTVPPEGPSGSAAASVAAAGAEAPPARRPNRCASCRKKVGLTGFPCRCGATYCGEHRYPERHACSFDYKAAGREAIALANPIVKADKLRKI >Ma03_p23850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28280704:28281913:-1 gene:Ma03_g23850 transcript:Ma03_t23850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKAKPASKKASYCFRSGAGGFIPLQFPSFSPPFIERKRKGARERGRSDLSRIRAFCLFGLIDRKGERRGRTMAEEQRCQDGHRLCANNCGFFGSPATLNLCSKCYRDLRLKEEQAASAMIAVERSLSPPPPSAAASSAVAAPPSSSCGPIAVTVPPEGPSGSAAASVAAAGAEAPPARRPNRCASCRKKVGLTGFPCRCGATYCGEHRYPERHACSFDYKAAGREAIALANPIVKADKLRKI >Ma09_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33848148:33848544:-1 gene:Ma09_g21870 transcript:Ma09_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGLETSSALVSASSLSRMKEMQWRPQQSGPSLSPTLNQIGADGKPQRCLILLRWCQSRPVVSPWCCLSGELCGRHDFKWIRWMKPIVSSNKDANPASNGC >Ma03_p27430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30831139:30833840:-1 gene:Ma03_g27430 transcript:Ma03_t27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREREASAAVAGIRVWVVLVLLFSVLVLLFLPPRSLSSSFRGSRIIVVRNGWDTLNLLLVLFAILCGLLGRRSGGDDDAEKSLQEAAPTVGIRVMRSSSSYGDLRAEVATFGAASNDGWRCYDDAHLCRRRSESEGCERQRFGYLDPKTIPVVTFVLRQSSSTRSRSPPSPPSPPPRRRPGKRAVDGLPGREADKAEIFRSEKPHPQSPPPSQRQPGRRNDVDKLQEDKEVDKDEIFSSENLHPRSLPPPPPMPPQPSRRKTMEKFPAGEMRQIAEFAMKSHLSLPASETSQRRRGSVGNIPKWEVDQDPDFPLEKPQTPPPAPPPPPQPVRSLPPEEIISHNKWSTAGAKDIETTVALSYQKKKRGSKTKRSPNDTTLQYEVVASSAPPPPPPPPPLPSAFFNQLFSRKKLGGKNGRIHSVPATSPPPPPPPLPPALTQHHRKQVLHPPPPPPPPPPPPLPSSSRRPEKSSLSKYPSPPSSPLRGETVRFRQRESRDGVSVFCPSPDVNNKADLFIAQFHANWKLEKQNSIREKERRRRRNQHEAELKMARKASQ >Ma09_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5911892:5918923:1 gene:Ma09_g08890 transcript:Ma09_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNSTFDAEGRRMANGCGPSPEHKISVVMDSEKKENTGKNDEVEGTTMMNGFSPPPEDKSVVSDSGRHADEGKSVEEEKHGEQKMNSVEKEHDVENGTTKSEGMEETEEDRKTTDLNSAKAEDVKMADTVVEKGMEVEDVKMVNADDLKDEEGEKDEEAEGVEKEAKDEEGGIEGEREGGEEGAEEEDNDMEEGDNGSTEKKVDEDKEAERLKKKRTRGQKTFKKGEGKEGLTKSKDFFSPGTSSIERPVRERKTVERLVEVIEKESSREFQVEKGRGTPLKDIPNVAHKLAKKKPSDIKLIHQTLFGRRGKAVNFKNHILQFSGFVWHESDEKQRAKMKERLDKYVKDTLLDLCDLFDLPASKANTKKEDLVVKLLDFLAAPPHPTNEGILSVDKQSTKSRKRKRVAQGSGSKSMDHTHSKISRKKQTKSEETLSEDDKSAQEMDNEDDIKNSPYKRKAVKHSENEGETSECEEASEEDAHDEEDSGKGKQDKKKTSKQGSVGKEKIGSSSEKVPTPATTKSPAQSSSKCSKAEYDDDIGAKVFSRKKRTVDSPMKKSAPRSDKKEKDTGKKVAKSKTKSEAEHPSKEELREKICEILKEVDFNTATFTDILKRLATHYKGDLTPRKASIKLLIQEELTRLAEAAEEDEDDEDEEDAG >Ma09_p08890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5911875:5918923:1 gene:Ma09_g08890 transcript:Ma09_t08890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNSTFDAEGRRMANGCGPSPEHKISVVMDSEKKENTGKNDEVEGTTMMNGFSPPPEDKSVVSDSGRHADEGKSVEEEKHGEQKMNSVEKEHDVENGTTKSEGMEETEEDRKTTDLNSAKAEDVKMADTVVEKGMEVEDVKMVNADDLKDEEGEKDEEAEGVEKEAKDEEGGIEGEREGGEEGAEEEDNDMEEGDNGSTEKKVDEDKEAERLKKKRTRGQKTFKKGEGKEGLTKSKDFFSPGTSSIERPVRERKTVERLVEVIEKESSREFQVEKGRGTPLKDIPNVAHKLAKKKPSDIKLIHQTLFGRRGKAVNFKNHILQFSGFVWHESDEKQRAKMKERLDKYVKDTLLDLCDLFDLPASKANTKKEDLVVKLLDFLAAPPHPTNEGILSVDKQSTKSRKRKRVAQGSGSKSMDHTHSKISRKKQTKSEETLSEDDKSAQEMDNEDDIKNSPYKRKAVKHSENEGETSECEEASEEDAHDEEDSGKGKQDKKKTSKQGSVGKEKIGSSSEKVPTPATTKSPAQSSSKCSKAEYDDDIGAKVFSRKKRTVDSPMKKSAPRSDKKEKDTGKKVAKSKTKSEAEHPSKEELREKICEILKEVDFNTATFTDILKRLATHYKGDLTPRKASIKLLIQEELTRLAEAAEEDEDDEDEEDAG >Ma09_p08890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5911892:5918923:1 gene:Ma09_g08890 transcript:Ma09_t08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNSTFDAEGRRMANGCGPSPEHKISVVMDSEKKENTGKNDEVEGTTMMNGFSPPPEDKSVVSDSGRHADEGKSVEEEKHGEQKMNSVEKEHDVENGTTKSEGMEETEEDRKTTDLNSAKAEDVKMADTVVEKGMEVEDVKMVNADDLKDEEGEKDEEAEGVEKEAKDEEGGIEGEREGGEEGAEEEDNDMEEGDNGSTEKKVDEDKEAERLKKKRTRGQKTFKKGEGKEGLTKSKDFFSPGTSSIERPVRERKTVERLVEVIEKESSREFQVEKGRGTPLKDIPNVAHKLAKKKPSDIKLIHQTLFGRRGKAVNFKNHILQFSGFVWHESDEKQRAKMKERLDKYVKDTLLDLCDLFDLPASKANTKKEDLVVKLLDFLAAPPHPTNEGILSVDKQSTKSRKRKRVAQGSGSKSMDHTHSKISRKKQTKSEETLSEDDKSAQEMDNEDDIKNSPYKRKAVKHSENEGETSECEEASEEDAHDEEDSGKGKQDKKKTSKQGSVGKEKIGSSSEKVPTPATTKSPAQSSSKCSKAEYDDDIGAKVFSRKKRTVDSPMKKSAPRSDKKEKDTGKKVAKSKTKSEAEHPSKEELREKICEILKEVDFNTATFTDILKRLATHYKGDLTPRKASIKLLIQEELTRLAEAAEEDEDDEDEEDAG >Ma02_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27766654:27767287:-1 gene:Ma02_g22440 transcript:Ma02_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGGPNYKVALGRRDGLSYATEEAVLSFLPSPTSNVTALIDVFTELDLTTKDLVTLSGAHTIGIAHCSSFENRLFPTQDSTLDPIFAENLYLTCPVINISSTTVIDIRTPNTFDNKYYVDLLHREGLFTSDQGLYEDFRTKPFVIIFALCQHLFFENFVYSMTKMGQLSVLTGSQGEIRRNCSALNSNLSWYAVDGDGHDGKVF >Ma08_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2653083:2662164:1 gene:Ma08_g03710 transcript:Ma08_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASLRVPTGQGARQSLGGPSSSRPRSGWGPVFVKTASVRPPRSSLNIASTDSSPLEVKKNNVGNLTDWDLSASTMSLSPLDGRYMQKVKDLRPFFSEYGLIRHRVLVEVKWLLKLSEVPEITEVPQFSLDAQSFLEKIIHDFDINDALEVKKIEKITNHDVKAVEYYLKQRCKSHPEIEKVLEFFHFACTSEDINNLAHALSLKEAMNKVIFPVMVDLCEAIRVMAKENAHIPMLSRTHGQPASPTTLGKEMANFAVRLSERGKAFSKIHVLGKFAGAVGNYNAHKVAYPDINWKSITAEFVQSLGVDFNAYVTQIEPHDYIAELFNNVTQFNNILIDFDRDIWSYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLCLGNAVLSALSMKLPISRMQRDLTDSTVLRNLGVGLGYSLLAYKSALLGIKKLQVNESCLNEDLEQTWEVLAEPIQTVMRRYAVPEAYEKLKELTRGRAVSKKSIREFTESLDLPQEAKTILLNLTPHTYTGEAERLANSVDDAMDLVNGFNLP >Ma06_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3961353:3967151:1 gene:Ma06_g05330 transcript:Ma06_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEAESQKRKREVLLLGLADAYGLDMAVPRELDAEKCAPAAVELRFRFPDVTGAIKNSFRRRELCEFVSGALAGAVTKAVLAPLETIRTRMVVGVGSKHITGSFLEIIDEHGWQGLWAGNAVNMLRIIPTQAIELGTFECVKRTMISVQEKWKEHGSPKVAIGHINVDLSFLCISPVAVGGAAAGIISTIICHPLEVLKDRLTVNQEAYPGITLAFSKIYKNDGLGGLYAGLSPTLIGMLPYSTCYYFMYETMKNSYCQAKQKKSLNRAELLVIGALSGLTASTISFPLEVARKRLMVGSLQGKCPANMAATLSEIIREEGLMGLYRGWGASSLKVMPSSGITWMFYEAWKEILQVDLPHL >Ma04_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14677489:14683419:1 gene:Ma04_g16010 transcript:Ma04_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKASTQMSSFSCSSSSRVRGQPCMWPNMRKQWFGKNLLYGFGTLIVSPIKILRGVNQFLGLPPFFCNITCMSSSLQIELVPCLQDNYAYLLHDIDTGTVGVVDPSEAAPVINVLERRNQNLTYILNTHHHYDHTGGNLELKARYGAKVIGSAKDKDRIPGIDISLHDRETWMFAGHEVLVMETPGHTKGHVSYYFPGCGAVFTGDALFSLSCGKLFEGDPDQMLSSLQQIMSLPDATDVYCGHEYTLSNSKFALSIEPNNQALQEYAAHVAQLRSKMLPTIPTTIKREKQCNPFLRTSSPEIRRKLNIPLSASDAQALGIIRRAKDNF >Ma10_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24592239:24599492:1 gene:Ma10_g10890 transcript:Ma10_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEVVRAHRLKPTGRHGTTNPFVEVEFDGQRRRTATKLNDLNPSWNETLVFDVSDPLDLPDRTIDVSVFHERLSSSVDHRRRRRSFLGRVCLLGSSVASSRLDAALQLCPLDKRSLFFNVRGEITLRLYAVPDAYEAQAADGHRPLSSVSAPTIVLESIPSSSTILESIPSTISAAETLPPKSTVSVGEMMPPKKKSHRSFTKEKERREFRSVGTPSATGKSQVSQTTEFFSNHERSHHRSPQSYYRANHDLAGPAVAASVQQAPPPKIEYGIVETHPPLAALMGYRLARNSDKIKSTYDLVEQMEFLFVRIVRARNLPAMDIVGSLDPYVVVKLGNFTNKTNHRERNRDPEWENVFAFSKGHIQAQRLEVVVKDKNLIKDDIVGDVAIELPEVPLRAPPDGPVAPQWYRLRKGNNRDDDAYRGEIMMAVWMGTQADEVYPDALHSDAHLLPLASIPHTRSKVYFSPRMSYLRVNVISAQDLKPSRPDPAIYIKVQLGAQLRWTLVSSDRSPDPTWKNEELMLVACEPFDEPLVLMVQDRLLPNKDETLAKLEIRKGAIRTRADHHKPFAPQWFNLDNPNGSSGSGNGSGYGKLQLRVYYDNNYHVIDERVEMSSDHPIGSDEPTTKGSHLIRALGEHPSHGDLRDEHPATTSERYWRIFNDPGLSPLDGAPADPSPVSSEAFHDLTHQVRALTGATHSGSHTASGAPRFASGPVTPTWGQVAANPRGHPEPEALSSDSMDSLRAQLRFVNQRLDEVQKEVRRSKGDFGEDAHQGSLFSPEI >Ma07_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2661010:2661351:-1 gene:Ma07_g03440 transcript:Ma07_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRAPARLPALSPVRICSWVPPSGPTIRSPIVSRLKRPQRHCWPAGLRGTHVGEAKRLEKHFPLQCLRPIKSPSNGGELWVPVLRHRPQTTAVTSLGPAATVIKNMHLARY >Ma05_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2052963:2062938:1 gene:Ma05_g02970 transcript:Ma05_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLQVLAFWLVILVWSFASASSSGPEDFRRAFPIVEPDSEHTKLRLAREGLEAIQRITTPIAAVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPVELDIDGTKVSVLYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALRRIPNNNGDRNIDQVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMKDTELDQLYVKRRDQLKKLVATIIRPKVVQGKPLNGKDFVAFLEQILDALNKGEIPSTGSIVEVFNKVILDHCLKLYSQRMDILRLPVQENRLQEVHEESKAEAKKLFDQQHFGRHHAERSVLKLDDEIQKVYRTFLLANEYHSSKLCEARYTECEDKMDHLQVMRLPSMAKFNAGFLQCNQTFERDCVGPSKETYKQKMMKMLGKSRSLFIKDYNQRLSNWLVTFSLIVAIVGRFIIKFILLEISGWLMFIFLETYTRMFWSSESLYYNPAWHIIVSTWETIVYSPILDLDRWAIPIGILLLVLLVYWRCYGRRKHGSRSLLPLYNSRQKAGSNRPRTD >Ma06_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13349551:13353983:1 gene:Ma06_g19490 transcript:Ma06_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGAPPGQATAGHRFGQIPPPNPRGGGGGHRRALSETVLRLPDDLLFDTDPDFGISDIDFPSLSDDNLSGGCGAVAAEPGMSDPAAATSGRTVPGAHLRSLSVDGGIFDGLGFQAGAAAGGSSAGVGEQERRGHHRRSGSMDGSFSPFEGDSAATLSDYAKKAMTADKLAELALIDPRRAKRILANRQSAARSKERKIRYTNELERKVQTLQTEATTLSAQLTLLQRDTTDLTAENRELKLRLQAMEQQAQLHDALNDALREEVQRLKIATGQLPSANGNPFNGGLQHSVSNYYAHPQQLPPQSLRHGQHLHPSQMQISSNGQSLVHSPSDPMDFM >Ma09_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2459950:2479766:1 gene:Ma09_g03720 transcript:Ma09_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH11 [Source:Projected from Arabidopsis thaliana (AT3G46960) UniProtKB/Swiss-Prot;Acc:F4JAA5] MERVEATNEVAFRVGFTGYSGHLRLEPLPPVQRPTPLSSLPDFILPPAFPPETPESLKEYLEDNYLRPELDPDEFSVENSGRFWDFDWFGRAKVPLEPSAPRSVVAPSWELPFRRSKNTGPSGIWNPSSVEVDVAELMEGAQDSGSMPRMPGPAKDFVRGSTNSRPFRPGGLDGSQALARNPPEGALSGEWVRKVLDGGPAETVPPSFKKGLDLGDLKKYPCHWTCTDQKISAAKKDLMDDDLNVYSVQFDDLFKKAWEEDIIEGSIGDGSVQNEDEKEEINIDPLPEAEISSDNMILSEPGNMGDELPGQNIDSSKHQGEAWALVGGNDEIVSHFYELVPDMAIDFPFELDSFQKEAIYHLERGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLKPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPRHVNIILLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFHSGELYKICESDTFLPQGFRAVKDVYKRKKSSAGMGQSGTKVGVPFAQAGSQSRQHDSSSRGKIQKHSGHQITHSSYGTSGMNHSHSGLRRSESSLWLSLVNKLSKNSLLPVVIFCFSKNRVDKSADNMTGTDLTTSSEKSEIKVFCDKAFSRLKGSDRNLPQVVRVQNLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKDFRRLLPGEYIQMAGRAGRRGLDKIGTVIVMCRDEIPEESDLKQVMVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKERLLLQKLRQSTKKIECIKGEPAIEEYFEMASEAETHRQHILEAVLQSHTAQQFLSPGRVVVVKSQSAEDHLLGTVLKTPSAANKQYIILVLIADIASQAPSMSSNKLQEEESQNFQQGYFITPKGKRSMDEEYFSSVSSRKGSGAINIKLPYYGSASGTNYKVIAIDNKDIVSICNCKIKIDQVRLLEDPSNIAYSKTVQQLLEKKHDGNKYPPALDAVKDLKLRDMDLVQRYHSYNKLLQNMAENKCHGCIKFNEHIMLIKEQNRHKEEVNALKYQMSDDALQQMPDFQGRIDVLKEIYCIDSDLVVQLKGRVACEMNSGEELICTECLFENQLDDLEAEEAVAIMSSLVFQQKNTSEPSLTPKLAYAKKRLYDTAVRLGQLQSQFKLAIDPVEYARENLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKNAASIMGNSALYKKMETASDAIKRDIVFAASLYVTGV >Ma11_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25740642:25743103:-1 gene:Ma11_g21710 transcript:Ma11_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWADDNASMMEAFMAGTDLVHGFPWATPPPTPPRPSGMASSLDPGRAIVGPPTPSSPPSAFFNQETLQQRLQALIEGARESWTYGIFWQSSVDAATGTSFLGWGDGYYKGCEEDKRKQRAASTASAADQDHRKRVLRELNSLISGGVSLAPDEIVEEEVTDTEWFFLVSMTQSFVNGAGLPGQALCAGAPYWIAGAGRLSAASCERARHAQLFGIQTMVCAPVGSGVLELGSTDTILYNLDLMGKIRVLFNFSSRDAPDTAAAPSWLAQQSVAATPAAGHGESNPPVLWLTDPSTVEIKDSVSRVSTSVGISVTKPPIQSDSNPSSSILTENPTSAMQIPKVHDDHQRQIHQLQHQSSCSKPQAQSFMSKEFDFSGFASNGAVAPARSFKPESRDIPSFAGGKRDSSPAPVASSLFSRQQATAVADDKKTNRSTGATSRASNDGEGMLSFSSAPARAPSSGQLRSSSGGVPDGPDSDQSELEPSVREVESSRAVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAVSYINELRSKLQALEANKEDLQAQIQGLKKERESAPTQRPESNLKTMNGGGRCHGVEIEVKLLGSEALIRLQSQKRNHPAAVLMAALQDLDLEVHYASVSVVKDLMIQQATVKMSRRVFTQEQLSSVLYARLAAEALSSW >Ma02_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28950553:28952739:-1 gene:Ma02_g24280 transcript:Ma02_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEVWRRVKPYVAMVFLQFGYAGMFVVSVASLKQGMSHYVLVVYRNAVAAAVVAPFALWFERKVRPKMTLPIFLKIMALGLLEPVLDQNFYYMGTKYTSASFSSALYNILPAVTFLNAFVLRMEKIDIKKRHSQAKIIGTLVTVIGALIMILYKGPIVEFIWNKGRHLQAEAAAQNDTHWLLGTLMLLFSCCCWSAFFVLQSHTLKSYPAELSLTTLICLTGTGQAGAVALFMERGAKPWSIGFDMRLFTAVYSGIMCTGVAYYLQGVVMKERGPVFVTAFNPLCMIIVAVMGSIILAEEISLGRVIGAVVIVIGLYCLIWGKSSDRMNTSTENSEKTKAVDDVMISNSIDYVTVVDIPPEKKPDGIN >Ma02_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17411105:17411245:-1 gene:Ma02_g06400 transcript:Ma02_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWFLVVWLNSLLNRGSLQWCSNVVIPCAFSNGMPPSNTASLARG >Ma11_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1933975:1935973:1 gene:Ma11_g02640 transcript:Ma11_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGGPINDSLLVREELNSVCMEPGAASARFSEHPEMRYESLFSRQMPFLQLLQGAMQAEDETEEEKVELQLQQYQHQSLFTSCAQESNIELLLRLQRQNCLEQLRTPERDKARAVEQLESCITHTSESETRGTVHHKHPVAVTTTGPTRSAAAAGPNERRKRKRPRQASTSKSPEEAESQRMTHIAVERNRRRLMNDHLATLRSLMPSSYVQRGDQASIVGGAIEFVKELEHHLLSLQYEKRLRASAAVRSRSNDDEQCHASTLHDGFFISPQYTGYSQWKRRRGDGKGDEAQQENATGMDVEATLVQGHVNLKVAGRRRRGQLVRAIAAMEELRLSVLHLNIICLEPSSILYSLNLKMEEECKLGSADEVATAVHQIFSYINAC >Ma05_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37053985:37054640:-1 gene:Ma05_g24940 transcript:Ma05_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPAVPAAPGADWTANQAGIVAADGWDAAAAPVAAGPSVIQAGSKLAS >Ma09_p29990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40315822:40325607:-1 gene:Ma09_g29990 transcript:Ma09_t29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVQALIEHLVEPLLPARVSSAEPPTIDQQNSVAKQMHSVVLLYNYYHRKQFPRLEFLCFEAFCKVATIAKPILLTYMNVMHKNAEELQDLDQQLSVMEKKIMDACNISKTLDALKNAPNMDGWLVSRVAVFLVDASKEKCFLDFGSMTQGVWSLVEKEIKKPIALVNSRKMKKHASKNKSFSCDYSDGLLENEDSLQQLAFSVMEQKTGISCSKFSILERHLTYSLTQEKTTIRLYVMISMEVVTDGLVEFSIKDVLTSLSGPLIVTGLIPEVTSAVEYYHLLPYVNILSNWLSRYVTIYLIECHLKPREAPHAEFLDLPRHLPEVSECSLRSDRVLTVESHEMNNLSGQVEQIVDTSSNNISKAANEVTNKKCSSLHPTNGPSNGCTEKADMLNSVKKPHITKSNEGQTFGQVEQIVATSNNNIGKTANELTSKKSSSLHPRNDPSNGCTEQADMLNSSKKPRITKSNDGETFGRKSRNDLLQASLRILIKRRNDLVQQQRCLEDEIAHCEMNIQAIVNGQGSMKSKVESMIEACNALCSDVRGYPQEIKVKRLSEAILRSKSSSQELDDMCCENGWILPRYTVLPSTADGYFQASVTVRGIDFEMTANGDSMSSPCDARLAAATNMLSKIRSMIEQQRA >Ma10_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3861722:3862677:-1 gene:Ma10_g00930 transcript:Ma10_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLLKGRKSK >Ma05_p30480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40865328:40877246:-1 gene:Ma05_g30480 transcript:Ma05_t30480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSASKNSGSCHGGREGQLYVSLKMENFKIRRDLIPHVYGSVPITGSWDFSKALSMARESASMWELSFVVSPDHETLDFKFLLKPKYSNAPCVVEEGPSRLLTGGTLEEGDARSALFKIGGDEEVLEYKVFIKADIVSPFDLAASWRAYQENLQPSRVRGIPDVSINVAPNTGLEQIGPASPLELDLEHYVVPAPSASAGVVYAANLTETPRSLPTAGIFSKNDALSGSSYGSSKAGVFSLDYSISCKDLDTTVLDPDSDHPKCPPAPGMVESKSVGTFSPLPKQDGQKGLFVDRGVGSPRLVKSASAGAFTFDLKLDSETKKGMPAAAGAVAAAAVADQMLGPKEDSRLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKLGTNQSADFFRGDNPEGLEARNEVAALAMEDMIAWMQEGGQVGIFDATNSTRKRRNMLMKMAEGKCKIIFLETICNDEHIIERNIRLKIQQSPDYAEEPDFQAGMLDFKERLANYEKAYEPVEEGSYIKMIDMVSGQGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDAVLSEAGELYSKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALEEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYSYFADRPLKEIPHIEVPLHTIIEIQMGVIGVQEKRYKLMD >Ma05_p30480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40865674:40877246:-1 gene:Ma05_g30480 transcript:Ma05_t30480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSASKNSGSCHGGREGQLYVSLKMENFKIRRDLIPHVYGSVPITGSWDFSKALSMARESASMWELSFVVSPDHETLDFKFLLKPKYSNAPCVVEEGPSRLLTGGTLEEGDARSALFKIGGDEEVLEYKVFIKADIVSPFDLAASWRAYQENLQPSRVRGIPDVSINVAPNTGLEIGPASPLELDLEHYVVPAPSASAGVVYAANLTETPRSLPTAGIFSKNDALSGSSYGSSKAGVFSLDYSISCKDLDTTVLDPDSDHPKCPPAPGMVESKSVGTFSPLPKQDGQKGLFVDRGVGSPRLVKSASAGAFTFDLKLDSETKKGMPAAAGAVAAAAVADQMLGPKEDSRLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKLGTNQSADFFRGDNPEGLEARNEVAALAMEDMIAWMQEGGQVGIFDATNSTRKRRNMLMKMAEGKCKIIFLETICNDEHIIERNIRLKIQQSPDYAEEPDFQAGMLDFKERLANYEKAYEPVEEGSYIKMIDMVSGQGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDAVLSEAGELYSKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALEEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYSYFADRPLKEIPHIEVPLHTIIEIQMGVIGVQEKRYKLMD >Ma05_p30480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40865674:40877246:-1 gene:Ma05_g30480 transcript:Ma05_t30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSASKNSGSCHGGREGQLYVSLKMENFKIRRDLIPHVYGSVPITGSWDFSKALSMARESASMWELSFVVSPDHETLDFKFLLKPKYSNAPCVVEEGPSRLLTGGTLEEGDARSALFKIGGDEEVLEYKVFIKADIVSPFDLAASWRAYQENLQPSRVRGIPDVSINVAPNTGLEQIGPASPLELDLEHYVVPAPSASAGVVYAANLTETPRSLPTAGIFSKNDALSGSSYGSSKAGVFSLDYSISCKDLDTTVLDPDSDHPKCPPAPGMVESKSVGTFSPLPKQDGQKGLFVDRGVGSPRLVKSASAGAFTFDLKLDSETKKGMPAAAGAVAAAAVADQMLGPKEDSRLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKLGTNQSADFFRGDNPEGLEARNEVAALAMEDMIAWMQEGGQVGIFDATNSTRKRRNMLMKMAEGKCKIIFLETICNDEHIIERNIRLKIQQSPDYAEEPDFQAGMLDFKERLANYEKAYEPVEEGSYIKMIDMVSGQGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDAVLSEAGELYSKKLANFVEKRLKSERTASIWTSTLQRTILTASPIVGFPKIQWRALEEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYSYFADRPLKEIPHIEVPLHTIIEIQMGVIGVQEKRYKLMD >Ma07_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:249167:251083:1 gene:Ma07_g00270 transcript:Ma07_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEEGDGGGKKAGGAGGRGALKKGPWTPAEDAILMEHVRRHGEGNWNSVQRHSGLARCGKSCRLRWANHLRPNLKKGSFSPEEELLILRLHSQLGNKWARMAAHLPGRTDNEIKNYWNTRLKRRQRAGLPIYPPELQDGVGFDHYQLKHEAPTSLWTPPPLPSPSFAAEHKAQLPSLWPVPLLNHDSFRPPSGITLSPLRRNEFAGHLGFKFPPSPPPNPTSLFPKQQLRLGHSQATPPQLLSPLPWPPQGKMELPSCQLFPDLVGGGDDPTGRELLEALLQDERVTQDINIGELLALPTIDEQGALWEQIFGDGEGQVVEETSQGYFLGSATKLEGLHCDMGNKIKSELPGDAIPVEDDTPGLLNIPEPMVSKIPGWCNIDAAEISNGQSAATVSDGTDIQRLASSLSDAPAEYDRSISSWPWNNLPRIC >Ma04_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14495281:14499779:1 gene:Ma04_g15890 transcript:Ma04_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGVATQVDCGSRLFLALPDDVLALVSAYLRPLDLCALALCCRGLRAAVASSEKAWLAQCRRLGPPAHALPLWREGVRSYRALCRFLAAVAPLLGVWVHQNPELGNVVSVLWGFLSVVGVRIIPQELGPLGLDAGPLLWAPVFEILADADGAPSCFFLHGRGDHGEDCLYPGSVQSIDPSCNILLLEVDVRHKDPALPPGTLHLPRSQIFSAVSDRKDPTLGRKLRWSDSSNTISSPSAAPPSPPSIPFSRLPFSDRRRLLDQVAGRVRLEIPLDLATAPLFDRSPPCDDAKLLARRWSELVNMHKQISGGRIDRKAAELAPGLIEHAATTNGDVISHHQITVSGKRKALVSVAAYLRDGFKQFMSRSNSSKVAGLISRNGNSWASAQKKHAQLHQFLMSGDAVGLSLRATHMRLTAYRAWPDMHDNWFALYKLPMQVPSACHEHAGLWAGTFGWPPGQPSEDKPGKALFFLLLSYEEVEGRPLLIATKILEGTHYVLHPNGSAMFIANLDETSSDAFPWETDSEPLQVEVEQSYSGEGIASGYGFRYPGSKPGSLFVLQNGLLAFVWKESRSVLTLQRIDLQELLKRGERVPMLPPVANFSYLTKSYSNVFAGILSNSNCSY >Ma06_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8454249:8462266:-1 gene:Ma06_g12170 transcript:Ma06_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRQTLNPNKRLKEEFVSNLTGSSMLEIAALSAIIPALVILRQWSSFARVNGKFNVEVATSKKDDDKVPSRRDFRSYAVSLVVDYLFVVLPVLLILTVLADWAYIFTVLIILLLLLCISAKRSSYLRFKGEQHSSSPRTTISSYRVLVVITTCLSILAVDFKVFPRRYAKTETYGTGLMDLGVGSFVMANALVSRKARNSISVNWKATLKSISPLLFLGFGRLITTTGVDYQVHVGEYGVHWNFFFTLAAVSLLTSIFNIHPEYCGFFGLLVLIGYQACLLRGLNKYLISHERTADIISQNKEGLFSIFGYWGMYLVGVHLGYKILFSNHSSKKVGSIQSARARVWILAVLFWFLTIILDKYVERVSRRMCNLAFVMLVFAQNFQVLSVLMLSDLVPGQKPLALEEAFNQNLLGSFLQANVLTGLVNLSMDTLSASSVTAVGVLLGYSFVLSAVTGLVWSCGIKLKFW >Ma06_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16454965:16470167:1 gene:Ma06_g21900 transcript:Ma06_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWPWDFLPSSAIKRAFRSLLQKNLGDVILGDIDFDQLDVRLATGTICLSDLALDVDFLNQKIVGAPVMVKEGSIKSLSIKIPWKLTQNIEIELDELELVFGQSSGSNITLPDCSPSGYDVEQHSTNVEKLKLGNLENCYSYGYVHEGVKAIADTVKKCLTRFHGRINSVTVGFDLQSAFDELLVLRIKEIDFETYVSEDSMSKVVSYLKFQEANVEFLQMNYIDESTEPHKHRGRSFNKRVLQNGAIPILTGPNGGFSGTIKLSIPCENGSLDIHKVNADVSIDSVELRVQPNIIEWATSAWQSLNIGASHCQENQSEVNSDYNGSVDQFDECSNGMRSSQAYSERVDTTLQAALGNVSVILFLNNDDQKLPRGPNNFFDPLVSELNSESYMTCLSSSDVFSATEVNHHNKKMHHLESKCQDIIFNLKTCSQDAKFSASVKHINLDAYYDTQKYAVGFSSDDCKNISSEQIFLSHYLQEKVHGSLPTFPFQIQYHGLESALEDNTLNGLTHVRLLESFGECSCTFSVNSNDQKDPVTDSCMADRVWSLASSHERNRNKVIGEGFNFSSISHMEDLEKSNFKIRQELILNSALCLHIKLCSLWINLDDHDYKILYCLLNNVTDGCSKAANGMDSSIDNDINNEQMSLSSYNASQISILLDCDTINACIRFNELVEVAQPLQKELQGSWVCFKLKLNKFESLSVLSIDGITNGKFLWLNHGEGDLWGSKINRDRDESELLLITCRSSAMSRGNGEGSNVFFGPAGTAVTHTWNPESQQSYTSVIARCWTVIAPSAQLDWINTLYLYFSSSLREKESLVNDGTASKESFFLDLMDVGLSYEPQNKQSPVSSEDWDFVNFCDVEPDNEPDKMYTACLLAASSLSLSTHFRSDSAMDYHFHTRDVGLLISESIGFMHDIDGYSVAYLQKYGYTKIGQVSLLQFILRIRGLYWEIECAESHIDLESCCDTTYGLIQLIAQLQQLYAPDVEDVLMHSESSWNTIQQAGKEQNSGYMADISSSNSIISGSGSSTVNEDCQASGLLDDIVENAFKCHAMSDYCNIQSHNLLEQYKLGNISKSKVNVRDSDSSYISEMGNLLNQSVNKTWIDDGLMIIEDYFSINLPEGKFLPDNTYAADCPAKGRILLRNMDAKWRMYDGHDWIKSNGVSMCSVISNGRDRNVCLELSLSGLHLQYDMYPEGKTNASTLSLSVQDFYLFDTSRDAPWKMGNAGMPPWLYLELLPLRLHLDQAHINFLMSFFNQGPFDDFSPGSSNVLDESDMSKIVEALSAFIQKCEVRPVVIHVDYTPRHFNPAALGRGNYVELLNLVPWKGVELQLKHVCATGVHGWSNVCETVLGIWLEDVAHSQVPNILKGLTPANSLFSVCSGFSKLVMLPVKSYKNDCKLLKGMKRGAFAFVKSISIEAARLGVHLAAGTNDILLQAEDILKSAPKSETERKKANVRYNQPENVHEGIQQAYESLSDGFGRTISALVGTPLKDFQHGAGTGSALATAVHAVPAAAIYPLSYSARAVYSTLLGLRNSMDPDHKRQSMEKYRGPSPITRSPN >Ma08_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10584478:10584998:1 gene:Ma08_g13430 transcript:Ma08_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSCTSLWATSKAPLIIGCDVRSMTKETLAILGNEEVIAVNQGRHMRRKVRMDGDHEVWSGPLSGYRTVVRDLWKVLQMLRQLLLHFAIID >Ma03_p29730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32590782:32595445:-1 gene:Ma03_g29730 transcript:Ma03_t29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAVAPLSPTPASCLLVRGLLGRSLRLAAAAPFCAASFQIHARSTGRCHGSGASWVSAPFLASDWEQRRREKGVLTVRASSVPESTGGGSDKEAAPGGDLLQTLLLGSLFGLWYLFNIYFNIYNKQVLKVFQFPLTITLLQFSIGTFLVLFMWTTNLYKRPKISPMQLAAILPLALVHTMGNLFTNMSLGRVSVSFTHTIKAMEPFFSVLLSALFLGEVPTIWVILSLMPIVGGVALASLTEASFNWAGFWSAMASNLTFQSRNVLSKKVMVKKEESLDNINLFSIITIMSFFLLAPVTLFVEGIKITPTYLESAGLNLKQIYLRSLIAGLCFHAYQQVSYMILSRVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINALGTGVALGGVFLYSRVKRIKPKST >Ma03_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1895559:1898569:-1 gene:Ma03_g02770 transcript:Ma03_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKQEYEESGPSIVHRKCF >Ma04_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23601450:23604662:1 gene:Ma04_g20930 transcript:Ma04_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQHKIEMDKRDGEFGAPPVMAVPPVHQMSRFDQPIPWHAGYASHHLSQLTGNHMAILRLHTLHLVTRMLTHMVLTLHLVLLLLDIPNKMHRSKSHSFKFWSCRCTILLVGKCRVLLRLRMVLALYLFILRGTQLGLSRN >Ma07_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30485003:30485797:1 gene:Ma07_g22610 transcript:Ma07_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSTTAPYQSLALTEPIVLLFYKTVSIWFLLLQKISLQLVVFLRSRDEFPAPCASRIDEHAGKGASGDCGDALELTREDVEAVMEAMGIHRGSDGGDQLRERIAGAELSGLFEGEEPSLEEVEAAFAVFDDDRDGFIDAAELQRVLPKLGFREGLALEACRQMIAAYDENQDGRIDFDEFVKFMENSFC >Ma10_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1658083:1658712:-1 gene:Ma10_g00440 transcript:Ma10_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREALLDFKAGIHDTHNRLSSWVGQDCCAWEGVICGATTGHVVMLDLRNTFDRALRGERMMNSSLLALSHLEHLDLSFNNFSGIRIPEFIGSFKKLRYLNLSSTNFMGGIPARLGNLSSLYVLDLSVALHFTSLVDNLDWLSHLTSLKYLNLSGLNLTDVPDWFSSVNMLPSLQVLCMSYVGLDTIPASVEPNRCPRLVLIREPNSTA >Ma05_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8095352:8097394:1 gene:Ma05_g11170 transcript:Ma05_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLHSSAKIILYLTLLFPVFFFDRGDGTTFKFVNKCGETVWPGILSNAGSTQLQPTGFELASASSRSLQAPTGWSGRFWARTGCSASSGNAAWSCATGDCGSGQVECNGAGAAPPATLAEFTLASSSAAQDFYDVSLVDGYNLPVLVEASRGCAAAGCVVDMSTRCPAELRVGEACRSACDAFRKPEYCCSGAYASPATCRPTAYSQVFKAACPKSYSYAFDDPTSTFTCTGGADYTITFCPDSVPSWKSARDSASSSSSSSSSTSTSTSTSTSTSEPEGGMMLTDDAWLASLATGDAAPTRRRIPILRYSSIAFTAACVQLLLQL >Ma05_p11170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8095352:8097394:1 gene:Ma05_g11170 transcript:Ma05_t11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLHSSAKIILYLTLLFPVFFFDRGDGTTFKFVNKCGETVWPGILSNAGSTQLQPTGFELASASSRSLQAPTGWSGRFWARTGCSASSGNAAWSCATGDCGSGQVECNGAGAAPPATLAEFTLASSSAAQDFYDVSLVDGYNLPVLVEASRGCAAAGCVVDMSTRCPAELRVGEACRSACDAFRKPEYCCSGAYASPATCRPTAYSQVFKAACPKSYSYAFDDPTSTFTCTGGADYTITFCPDSVPSSWKSARDSASSSSSSSSSTSTSTSTSTSTSEPEGGMMLTDDAWLASLATGDAAPTRRRIPILRYSSIAFTAACVQLLLQL >Ma09_p26020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37379068:37381833:1 gene:Ma09_g26020 transcript:Ma09_t26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGTSSRGLLEIGKFALYVTVPVVATYCFATDSKTLHKLMAFRSYVVYPPEGPRPPSPEELREMARQVARKNNNQ >Ma09_p26020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37379068:37382229:1 gene:Ma09_g26020 transcript:Ma09_t26020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGTSSRGLLEIGKFALYVTVPVVATYCFATDSKTLHKLMAFRSYVVYPPEGPRPPSPEELREMARQVARKNNNQ >Ma03_p17410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22651426:22653581:-1 gene:Ma03_g17410 transcript:Ma03_t17410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDPKLPSWGLAELEQTAEAKMGAMAGPSCSVDLKLGGGSGDAGPPEQWRDQPRSTTTTTMACSPSKRARAPSMASQTASCLVDGCRADLSSCKEYHRRHKVCELHSKTPVVVVGGVEQRFCQQCSRFHLLTEFDEEKRSCRKRLDGHNRRRRKPQSESINSPTLLPLYQETMHAGTRFSTYPHVSQILPTQPSWAGIIKTEEDALYTHGHHCFPPGSFSNIYKQDKAAYGRTAMETVSAAESSSRIFSDGLTQVLDSDCALSLLSSPTQTSTINVGRMLSAGVVAVGEPLVSSLQYSGFSCSRVEDDQTGGVLISDADADADTDADLHCQDIFHVGGEGSSDVASHSLPFSWQ >Ma03_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22651426:22653581:-1 gene:Ma03_g17410 transcript:Ma03_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDPKLPSWGLAELEQTAEAKMGAMAGPSCSVDLKLGGGSGDAGPPEQWRDQPRSTTTTTMACSPSKRARAPSMASQTASCLVDGCRADLSSCKEYHRRHKVCELHSKTPVVVVGGVEQRFCQQCSRFHLLTEFDEEKRSCRKRLDGHNRRRRKPQSESINSPTLLPLYQGTRFSTYPHVSQILPTQPSWAGIIKTEEDALYTHGHHCFPPGSFSNIYKQDKAAYGRTAMETVSAAESSSRIFSDGLTQVLDSDCALSLLSSPTQTSTINVGRMLSAGVVAVGEPLVSSLQYSGFSCSRVEDDQTGGVLISDADADADTDADLHCQDIFHVGGEGSSDVASHSLPFSWQ >Ma03_p17410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22651426:22653557:-1 gene:Ma03_g17410 transcript:Ma03_t17410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDPKLPSWGLAELEQTAEAKMGAMAGPSCSVDLKLGGGSGDAGPPEQWRDQPRSTTTTTMACSPSKRARAPSMASQTASCLVDGCRADLSSCKEYHRRHKVCELHSKTPVVVVGGVEQRFCQQCSRFHLLTEFDEEKRSCRKRLDGHNRRRRKPQSESINSPTLLPLYQETMHAGTRFSTYPHVSQILPTQPSWAGIIKTEEDALYTHGHHCFPPGSFSNIYKQDKAAYGRTAMETVSAAESSSRIFSDGLTQVLDSDCALSLLSSPTQTSTINVGRMLSAGVVAVGEPLVSSLQYSGFSCSRVEDDQTGGVLISDADADADTDADLHCQDIFHVGGEGSSDVASHSLPFSWQ >Ma11_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6251519:6255433:-1 gene:Ma11_g07840 transcript:Ma11_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLEEAEELNVKKKTRKPLGRILLKGDNITLMMSTGK >Ma07_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4292750:4296085:-1 gene:Ma07_g05930 transcript:Ma07_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPQEREEDPNQITAPLLVPSDLPTAAASSSSPPPDQVEEEEENSPIEQVALTVPVEDDPTMPVLTFRMWVLGTASCVLLSFLNQFFWYRKEPLSITSISAQIAVVPLGHLMASTITDRVFFKGSRWEFTLNPGPFNIKEHVLITIFANSGAGSVYAIHVVTAVKIFYGKHITFFVSLLVVITTQVLGFGWAGIFRRYLVEPAAMWWPYNLVQVSLFRALHEREDRAKGGMTRNQFFMMAFICSFAYYVFPGYLFSMLTSLSWICWIFPHSILAQQLGSGLYGLGLGAIGLDWSTISSYLGSPLASPWFATANVAAGFVLIMYVVTPTAYWLDLYDAKTFPIFSDGLFTSTGQSYNISSIIDSNFHLDIEAYDKNGPLYLSTFFAVTYGVGFASLTATISHVLLFHGSEIWQMSKSAFEEKKMDIHTKLMSRYTQVPQWWFIVILVANIALTIFACEYYIDQLQLPWWGVLLACFIAIFFTLPIGIITATTNQTPGLNIITEYVMGYLYPGRPVANMCFKVYGYISMTQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLVAAIVYLGTAWWLMETIPNICNTELLSSDSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGTYSAINWFFLVGAVAPLLVWLAHKAFPGQDWIRLINMPVLIGATGMMPPATAVNYTTWIIVGFFSGYVVYRYRRDWWTRHNYVLSGALDAGLAFMAVLLYLCLGLEDISLNWWGNDLDGCSLASCPTAKGVIIEGCPVS >Ma08_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11211815:11213682:1 gene:Ma08_g14100 transcript:Ma08_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAARFVDARARLVSVIDACHGDSRRLKRVLARAVVAGLLPDPFVSARAVAAAAPSDLPLAFLAFRTAAPRPSAFAFAALIRAHSAAPDPSPAFSHFALMLRSCLYPDRFVLLSLVRASSRRAGASRATALSLHAVALRRGLLGDLHVATSLLHTYASVGLLNASAKLFDEMPLKTTITYNALISCCAKSAWHDYGLHLFAGMILHGTRLNADTIVAGLSCCAGLGALGHGRSLHALVLRRLPRMTPEVGTSVLHMYTKCGSLEAGRKVFDEMETTRDVSAWTAIIGGLATHGCGEEAMALFEKMTEEGVAPDSMAFTSALHACSHCGLVEVGIKLFNAMKGVYGVEPRMEHYGTMVDLLGRAGRVEEAKRVVESMSFKPNRVVLGSLLHACGVNGESRLGKRLERQLLRSESEAGEEEGGFFVGVSNLYAKGGRWNEVGWIRDEMVEKGVKKEKGFSLVEVHGRLHKFLVGDTRHPLTMEMHRILHGLDRGAMLG >Ma03_p16820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:20702615:20717851:-1 gene:Ma03_g16820 transcript:Ma03_t16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16835, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G16835) UniProtKB/Swiss-Prot;Acc:Q9M4P3] MGLPLTVHASNKAVAAYVRSGDLRSALKVFNAMPRRNTVSWNSLLAGYSKKHGRLDEALRLFARIPCPDVVSYNTLLSCHLINADLRGARRLFDAMTLKDVASWNTMLSGFSRVRAMDEARQLFSAMPQKNHVSWNAIVSGFARAGDMDSAEEHFRRAPDKNDVVLWTAMISGYMDAGNIEKAQELFDAMPTRNLVSWNAMLAGYVKNNRAEDGLKHFRKMLVFPQVIPNPSTLSSALLGCSNLSALALGKQIHQLAHKLSLIHNSTVGTSLMSMYCKCGELDDACVLFDGIQTKDIVTWNAMISGCAQHGHGVKAIELFDAMKREGVEPNCITFVAVLTACNHAGLLELGIQYFESMKREYGVEPESDHYSCMVDLLCRAGSLGKAVDLILSMPFKPHPAVFGNLLGACRIHKSLEFAEFSAQRLVESEPQSARAYVQLANVYASMNKWGDVSRVRKSMKQNDIVKMPGYSWIEVRGIFHEFRSGDRIHPQLDLIHQKLSEMEKRMKAVGYVPDLSFDLHDVGEDQKELMLMRHSEKLAIAFGLISTLQGTRLRVFKNLRVCGDCHNAAKFLSLIEKRDIILRDTTRFHHFSNGNCSCGDYW >Ma04_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2103236:2104610:1 gene:Ma04_g02450 transcript:Ma04_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRDAEAELNLPPGFRFHPTDEELVVHYLCRKTACQCQPVPIIAEIDLYKYEPWELPDKALFGNREWYFFTPRDRKYPNGSRPNRAAGKGYWKATGADKPISPKGSNRTVGIKKALVFYSGKAPKGVKTDWIMHEYRLADVNRSANKGSLRLDNWVLCRLYNKKNTWEKTKMQKQEETSFGETMQSVDDALSDSFRTPESDVENEVVLPDFDDLGYLSQASTGVQALSACKTAAHQMIEKSQKEDSEWFMDLKLDDLQSSYTNFGSTPISDATNQDYYFQSFVSPLLRPNHTNMRQF >Ma03_p31620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33767607:33770265:1 gene:Ma03_g31620 transcript:Ma03_t31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGGGIEGGGGGERWKAALVNISEIGTNVESLQKILVKKAVFVDEETFAKASLTSEQGRTIKALEQRVEALERELDAAIAAAARARTEKRQAEAAQRAAELRAKDLTRELENTTNVFKLHMEELRSQKEEISKKQSEIKVLEATVLTLSRNDTSAED >Ma08_p18690.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32228708:32231609:-1 gene:Ma08_g18690 transcript:Ma08_t18690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVQSLLVASSPPCLLPPCAAAASRPRALHRSPSSTDASRLVSSFTRGSPVFWVTSQRKKVNHQRTSVSIRCEQGTKESSGLDVWLGRLAMVGFATAITVEISTGKGLLENFGFKTPLPTLALVVAATVGLLTAFFIFQSAFRD >Ma08_p18690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32228687:32231609:-1 gene:Ma08_g18690 transcript:Ma08_t18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVQSLLVASSPPCLLPPCAAAASRPRALHRSPSSTDASRLVSSFTRGSPGVFWVTSQRKKVNHQRTSVSIRCEQGTKESSGLDVWLGRLAMVGFATAITVEISTGKGLLENFGFLTPLPTLALVVTALVGVLTAFFIFQSASRD >Ma08_p18690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32228687:32231609:-1 gene:Ma08_g18690 transcript:Ma08_t18690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVQSLLVASSPPCLLPPCAAAASRPRALHRSPSSTDASRLVSSFTRGSPVFWVTSQRKKVNHQRTSVSIRCEQGTKESSGLDVWLGRLAMVGFATAITVEISTGKGLLENFGFLTPLPTLALVVTALVGVLTAFFIFQSASRD >Ma08_p18690.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32228687:32231609:-1 gene:Ma08_g18690 transcript:Ma08_t18690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVQSLLVASSPPCLLPPCAAAASRPRALHRSPSSTDASRLVSSFTRGSPVFWVTSQRKKVNHQRTSVSIRCEQGTKESSGLDVWLGRLAMVGFATAITVEISTGKGLLENFGFLTPLPTLALVVTALVGVLTAFFIFQSASRD >Ma08_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32228708:32231609:-1 gene:Ma08_g18690 transcript:Ma08_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVQSLLVASSPPCLLPPCAAAASRPRALHRSPSSTDASRLVSSFTRGSPGVFWVTSQRKKVNHQRTSVSIRCEQGTKESSGLDVWLGRLAMVGFATAITVEISTGKGLLENFGFKTPLPTLALVVAATVGLLTAFFIFQSAFRD >Ma03_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8034301:8041991:-1 gene:Ma03_g10660 transcript:Ma03_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIDNPSDPSCSSNLSALNSHEGASEKLDSQEAKTVVLQDNPTSNFSIRDYVLASRNKGIESSWPFPQQFLQLCVKHGSKPILPPFEPPHLVRTQCVSKPAEPQPVACSEPDSILADDVLLEPLCVSPFGRKPASVRSKLHLPLKEIIPNSSDPAVSSEVGNTTVNERTKLDELIHLDAKNTLTVRTHHPTEETTNRISEDTVLVAESGSASEIPSELCAPEPSQNSDKLCKPLEKKCRLKIKLGAISKTSQLDNIASYIKVSDPMASKVCPVCKIFSSTSNTTLNAHMDQCLFVESNTKNILGELPKFKVKQRKKRLMVDIYTTAPCCTLEDLDRRNGTNWAVELALLAAPTTDVGNGTKRAKLSTMESIDDGNESAVYVDSSGMKVRILSKFHDAPLENNLKLRKHARDVKALKSVLNGKKKNFKSKYSKSMEVKTQKSKMSFKFIATPEGDHRSADQQHSETQTYKSYSENQVKNTAATSRQWVHTIQPDLEKGLINKGRNISLERTVSVNRTILAENSQSDPCNSSAVDCHQNNFSRSSEVDTGSPKMKRIDCLYNDFDRMNDVKVKSSEPLASSSRHSSKGTKALLKLSKSVDNCQSLKTKSVEDDSSVQTKYNKFSNLAMRPLECSPSMLNEATVTSKKNILVKRSYFHLEGRRGEAIQRPSMFLKFRKHRSILRTGKRGPSYLPPMNGVHGPTRSFGLNITRKNRTLCTRQSGLSSKFIRSESKVMNQGSPSQSNIPEYEKQESPDTLEEQKHNRLNVLALRPGCHDPQIEDSDMQIEVPDSEAAEKVVADDFVNADSLTFSTQSYSCPCADDVQSISGNEVHVVQHFKQRSDQQETVCDDVSCNEIDHQDIQIVEAADRGVEDSCAVQPTDCQAEITSVQDSSGCLSTHRDVELEVPRKSPSVTSFVVTADHDLSGDSGPSGSPLSTGSTISLTSSEDSRLKDSAEEPSLRAIAVQDRLCLASQTAGSIRAAEQRMSSGRNEELKEDLPSKVPGVGQPFCCSCWESISKDSQLSRQNGTSRKSKASQISNLFIGPSISSSFGTYPNWRTVLPANPGLESSDLSISMNNSLDSAAKYPTCIDLGSPSPSPQSQNKSTSNPVLRLMGKNLTVVTSEQLVQPQITALDFTPNMNSVSPGFSSTNNLLKKEGSAQHYDQLWFGSPAIGQALSTGDHQMLPHLSIVEMGGIARSPFYSWTTKTDQQTWQAKPTERPNFSQALMDASIVTDDPHFGQENELKGLVAGTANSLLLASGPSPSLQRSFSYFSSESQTRNISGGPGSLFPNCLHQKDDASLLNQRINSEGQGHLLLGPSIFQSPTSGQMAPTMYYPPLLR >Ma03_p10660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8034301:8041857:-1 gene:Ma03_g10660 transcript:Ma03_t10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIDNPSDPSCSSNLSALNSHEGASEKLDSQEAKTVVLQDNPTSNFSIRDYVLASRNKGIESSWPFPQQFLQLCVKHGSKPILPPFEPPHLVRTQCVSKPAEPQPVACSEPDSILADDVLLEPLCVSPFGRKPASVRSKLHLPLKEIIPNSSDPAVSSEVGNTTVNERTKLDELIHLDAKNTLTVRTHHPTEETTNRISEDTVLVAESGSASEIPSELCAPEPSQNSDKLCKPLEKKCRLKIKLGAISKTSQLDNIASYIKVSDPMASKVCPVCKIFSSTSNTTLNAHMDQCLFVESNTKNILGELPKFKVKQRKKRLMVDIYTTAPCCTLEDLDRRNGTNWAVELALLAAPTTDVGNGTKRAKLSTMESIDDGNESAVYVDSSGMKVRILSKFHDAPLENNLKLRKHARDVKALKSVLNGKKKNFKSKYSKSMEVKTQKSKMSFKFIATPEGDHRSADQQHSETQTYKSYSENQVKNTAATSRQWVHTIQPDLEKGLINKGRNISLERTVSVNRTILAENSQSDPCNSSAVDCHQNNFSRSSEVDTGSPKMKRIDCLYNDFDRMNDVKVKSSEPLASSSRHSSKGTKALLKLSKSVDNCQSLKTKSVEDDSSVQTKYNKFSNLAMRPLECSPSMLNEATVTSKKNILVKRSYFHLEGRRGEAIQRPSMFLKFRKHRSILRTGKRGPSYLPPMNGVHGPTRSFGLNITRKNRTLCTRQSGLSSKFIRSESKVMNQGSPSQSNIPEYEKQESPDTLEEQKHNRLNVLALRPGCHDPQIEDSDMQIEVPDSEAAEKVVADDFVNADSLTFSTQSYSCPCADDVQSISGNEVHVVQHFKQRSDQQETVCDDVSCNEIDHQDIQIVEAADRGVEDSCAVQPTDCQAEITSVQDSSGCLSTHRDVELEVPRKSPSVTSFVVTADHDLSGDSGPSGSPLSTGSTISLTSSEDSRLKDSAEEPSLRAIAVQDRLCLASQTAGSIRAAEQRMSSGRNEELKEDLPSKVPGVGQPFCCSCWESISKDSQLSRQNGTSRKSKASQISNLFIGPSISSSFGTYPNWRTVLPANPGLESSDLSISMNNSLDSAAKYPTCIDLGSPSPSPQSQNKSTSNPVLRLMGKNLTVVTSEQLVQPQITALDFTPNMNSVSPGFSSTNNLLKKEGSAQHYDQLWFGSPAIGQALSTGDHQMLPHLSIVEMGGIARSPFYSWTTKTDQQTWQAKPTERPNFSQALMDASIVTDDPHFGQENELKGLVAGTANSLLLASGPSPSLQRSFSYFSSESQTRNISGGPGSLFPNCLHQKDDASLLNQRINSEGQGHLLLGPSIFQSPTSGQMAPTMYYPPLLR >Ma04_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22288354:22292568:1 gene:Ma04_g19680 transcript:Ma04_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCCGSKRFAREMAFACRFADVHHALRVARDIWFNKVDVAGWLEAVSAHLAIGATSPPSPSGARKSNPLRWLLPPIPSCR >Ma04_p33160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32947793:32951915:1 gene:Ma04_g33160 transcript:Ma04_t33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFRSNFREGTEATPRKKSASAAV >Ma04_p29300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30261805:30261936:-1 gene:Ma04_g29300 transcript:Ma04_t29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTSSTEPLLHSKVSYARSLSYANDELKSFRSYLKWMCVD >Ma02_p00880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7141384:7147299:1 gene:Ma02_g00880 transcript:Ma02_t00880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIKDTYSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRSGESRGFAFVRYKYADEAQKAVERLDGRNVDGRNIMVQFAKYGPNAERIHKGRIVEAIPKTRGRSRSRSPRPRYRDSNRERDYRRRSRSRSRGRYESDRYNDRERDYRRRSRSASPDYSRGRGRDDRRSRSVSYDSASPARRSPSPERSLTPRRTPPSSPEKGSHGERSPPSKSASPLARRTGSRSPSSRSLNED >Ma02_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7141409:7147299:1 gene:Ma02_g00880 transcript:Ma02_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIKDTYSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRSGESRGFAFVRYKYADEAQKAVERLDGKFLFFFLMISLSVFFYSSRSEIYVDIYFIFFDLLGRNVDGRNIMVQFAKYGPNAERIHKGRIVEAIPKTRGRSRSRSPRPRYRDSNRERDYRRRSRSRSRGRYESDRYNDRERDYRRRSRSASPDYSRGRGRDDRRSRSVSYDSASPARRSPSPERSLTPRRTPPSSPEKGSHGERSPPSKSASPLARRTGSRSPSSRSLNED >Ma06_p31920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32911710:32913919:1 gene:Ma06_g31920 transcript:Ma06_t31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVWKIDMTMMDRNRHQNHYGVHYVSQNGKQQKLIVYMANLTRRNKQPLRSLLYIQS >Ma07_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14098837:14100401:1 gene:Ma07_g16510 transcript:Ma07_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKLLRVSLNPDGSLTRSDLIPLLPPSDPAVLCQDLPLNPARGTTFRLFLPRQPPPAPKLPLILYFHGGGFILFRAASGPIHAACARLAASLPAIVLSVDYRLAPEHRLPAAYDDALDAFLWLRSQAADGRGDLPFRYCADFSRCFLMGTSAGGNIAFYAAVRAAALTDSLHPVKIAGLVLDQPYFGGVERTESEERAKEDKIIPLVANDLMWELALPEGANRDHEYCNPMANEAKLLAAVGNLPRCLVRGHIGDPLYDRQREFAGMLERRGVSVVARMESEGLHGMEMFDASKEEELVADVRRFLYFEDGGGSAGVGLQRL >Ma11_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12816654:12820383:1 gene:Ma11_g11360 transcript:Ma11_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITYATTDSNWWVLALPAFLGADTLRCDTPMLLLSLFIAFVSLGLLSWALSPGGSAWSHGRARRGIVTIPGPRGLPVFGSLFALSSNLPHRALAALSAAAHAKPLMAFSIGSTPAVVSSDPAVAREILSHPAFADRPLKRSARELMFSRAIGFAPSGSYWRLLRRIASTHLFSPRRIAAHEPGRHADCFAMLAAVAVEQRRAGAVRLRPHLQNAALNNIMGSVFGRRYDVSCPSGIPEAEELKAMVREGFDLLGAFNWSDHLPWLARLYDRGNVKARCAALVPRVSRFVSGIIAEHRLRNPSQKHANDDFVDVLLSLDGHEKLEEDDMIAVLWEMIFRGTDTTALLTEWAMAELVLHPGVQVKLRHEIDTVVGPRCMPTDADVARMPYLQAVVKETLRAHPPGPLLSWARLSTADVHLSNGMLVPAGTTAMVNMWSIAHDAAVWASPEVFCPERFVEAEGGANVDVRGGDLRLAPFGAGRRVCPGKNLGLATVGLWVARLVHAFEWGPAAGAPVDLGEVLKLSLEMETPLTATATPRRQRAAKELGNAKVEKERCLPVDALTVSYTGGIASWVYVEALRAAVTHACR >Ma03_p30830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33295845:33296316:1 gene:Ma03_g30830 transcript:Ma03_t30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKMVVVALLLVALVMASSTVPAADALCFTDCYSRCANGQVGNVACSNMCSQACIVPKTLPDGTDLASLYPGGGK >Ma05_p07470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5439992:5447822:-1 gene:Ma05_g07470 transcript:Ma05_t07470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDLQDSKKVDSSSSTDTCNTASTKQDTTLREIPSILSTGISSWAQSLRNLQQSHQEDSQPGNAGKLTLQRLTSGFGSLLYPNLSSKDENVEHDPTTLQSGVWGSLTKGLVDSSKTAMKTVQGKARQIVSQNKKRYQDGEFDLDLTYITEKIIAMGFPSGYISSGILGLFEGLYRNHMEEVIKFLETQHKGKYKVYNLCSERLYDASLFEGKVACFPIDEYNCPPIQLIASFCESTKSWLQQDVENVVVVHAKAGIERTGLMISSLLLYLGFFATADESIDHFNKERCIDAKGLIIPSQIRYVRYFGCILTYFNGEVQPGRRCMLRGFRLYNCPYWIRPSITVSKHNGVLFSTKKHPKTKDLMPEDFWFKSKQKGIMIFAIPGEPGLTELAGDFKVHFHDRQGDFYCWLNTSMIDNKVTLSASELDGFDKRKIPSPGFQVEIVMVDYDHSRSQNPMSTNVNKDSDGRLATGKPKGDSSSISSTPNKNKDNKDDVFSDSDAEETRSPRGENANSPNDKTAGIEQASLEDGAAAAMVPKKAPTEGGSSGLMSEEAVVANSAAVSEFKAIAADASVFSFGDDEDEYESE >Ma05_p07470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5439992:5447822:-1 gene:Ma05_g07470 transcript:Ma05_t07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDLQDSKKVDSSSSTDTCNTASTKQDTTLREIPSILSTGISSWAQSLRNLQQSHQEDSQPGNAGKLTLQRLTSGFGSLLYPNLSSKDENVEHDPTTLQSGVWGSLTKGLVDSSKTAMKTVQGKARQIVSQNKKRYQDGEFDLDLTYITEKIIAMGFPSGYISSGILGLFEGLYRNHMEEVIKFLETQHKGKYKVYNLCSERLYDASLFEGKVACFPIDEYNCPPIQLIASFCESTKSWLQQDVENVVVVHAKAGIERTGLMISSLLLYLGFFATADESIDHFNKERCIDAKGLIIPSQIRYVRYFGCILTYFNGEVQPGRRCMLRGFRLYNCPYWIRPSITVSKHNGVLFSTKKHPKTKDLMPEDFWFKSKQKGIMIFAIPGEPGLTELAGDFKVHFHDRQGDFYCWLNTSMIDNKVTLSASELDGFDKRKIPSPGFQVEIVMVDYDHSRSQNPMSTNVNKDSDGRLATGKPKGDSSSISSTPNKNKDNKDDVFSDSDAEETRSPRGENANSPNDKTAGIEQASLEDGAAAAMVPKKAPTEGGSSGLMSEEAVVANSAAVSEFKAIAADASVFSFGDDEDEYESE >Ma05_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5439992:5447807:-1 gene:Ma05_g07470 transcript:Ma05_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MDLQDSKKVDSSSSTDTCNTASTKQDTTLREIPSILSTGISSWAQSLRNLQQSHQEDSQPGNAGKLTLQRLTSGFGSLLYPNLSSKDENVEHDPTTLQSGVWGSLTKGLVDSSKTAMKTVQGKARQIVSQNKKRYQDGEFDLDLTYITEKIIAMGFPSGYISSGILGLFEGLYRNHMEEVIKFLETQHKGKYKVYNLCSERLYDASLFEGKVACFPIDEYNCPPIQLIASFCESTKSWLQQDVENVVVVHAKAGIERTGLMISSLLLYLGFFATADESIDHFNKERCIDAKGLIIPSQIRYVRYFGCILTYFNGEVQPGRRCMLRGFRLYNCPYWIRPSITVSKHNGVLFSTKKHPKTKDLMPEDFWFKSKQKGIMIFAIPGEPGLTELAGDFKVHFHDRQGDFYCWLNTSMIDNKVTLSASELDGFDKRKIPSPGFQVEIVMVDYDHSRSQNPMSTNVNKDSDGRLATGKPKGDSSSISSTPNKNKDNKDDVFSDSDAEETRSPRGENANSPNDKTAGIEQASLEDGAAAAMVPKKAPTEGGSSGLMSEEAVVANSAAVSEFKAIAADASVFSFGDDEDEYESE >Ma10_p19250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29859745:29861695:-1 gene:Ma10_g19250 transcript:Ma10_t19250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPDPWVNMPHSTWLFSSSNSAADLQKPDISRQNAPFRAFPNYINPFGCSSSGDAAAPFPRIPARISAETMPTLNPPAIPTFGTPEFAGSHKRFMGFSQSWDQTSLIFSSSWNPYLTLNPTNQSLDLQGSNEVVSVGHGGEEMHEDTDEINALLYSDSDDEDYGEEEASTGHSPIEPTAGSSSEVASSVLPVKRKRIDVDEPDALLADTASSHHHDLGLEFRNRNTNTDGESSCVRGEDQDRKRIKREKILETVRVLRRIIPGGKGKDAATVLDEAICYLKSLKLKAKALGATPLLELR >Ma10_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29859745:29861695:-1 gene:Ma10_g19250 transcript:Ma10_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYPDPWVNMPHSTWLFSSSNSAADLQKPDISRQNAPFRAFPNYINPFGCSSSGDAAAPFPRIPARISAETMPTLNPPAIPTFGTPEFAGSHKRFMGFSQSWDQTSLIFSSSWNPYLTLNPTNQSLDLQGSNEVVSVGHGGEEMHEDTDEINALLYSDSDDEDYGEEEASTGHSPIEPTAGSSSEVASSVLPVKRKRIDVDEPDALLADTASSHHHDLGLEFRNRNTNTDGESSCVRGEDQDRKRIKREKILETVRVLRRIIPGGKGKDAATVLDEAICYLKSLKLKAKALGATPLLELRSLGQ >Ma09_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3802349:3804153:1 gene:Ma09_g05890 transcript:Ma09_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCSLAKGPAIHFFFNISKLRISRQRISSCSSEEFRSFSRHLGPREGKHGERTRALSSGSQQRPQGDGGGRRTGESLPWIAAAYGNREGKRIQKKAPARTKRSSWEEAAESFVARNDNDIVGKGTIVEGVEEEDGDVEPINDPRWDKIKSKYKGIIGQESGFQKPEIQRWSNRESWERRPVKEAGRSQFEKPEVQRWSKQESWGKRTWKEATESSVPKMVGQGVYGVGPVLAALMAERREFYVLYVQEGLDLSGNSKKKKDKKAVEKILQIAEKMDLKVIEASKHDLNMVVDNRPHQGLVLDASPLEMVNIRELDPVMKDDQSAPLWVALDEVTDPQNLGAIIRSAYFFGAQGVILCAKNSAPLSGVVSKASAGSLELIELLSCKNMMQFLSSSAENGWRVLGGSVSSRAVPLGDVEAGVPTILVLGSEGSGLRPLVERSCTQLIRIPGSDPLAVYGGTTEVDIEEKDQARSGKDLKSFLAVESLNVSVAAGVLLYHLIGKNNHDPGKEMNDASI >Ma03_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11189612:11194813:1 gene:Ma03_g14010 transcript:Ma03_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSNNIPITELFWSLLDKADRKFSRVRDLPIYGRNRNDADLHKAFKIYTQLWKLQQEHRQKLVEAGLRRWEIGEIAARIAQLYYGQYQRTSDSSYLAEAYIFYEAILSREYFRDSSGSPAQQDMALANKQLRFLARFLIVCLVLGRREMIAKLVVLLKSMVDECKKSFQETEFKEWKHVVQEIVRFLKVDTPFMNMRPLRYSFLFDVHPESLPIVASSYTKRNLVLRDVILSSYHNNEVKFTELTLDTFRMLQCLEWEPSGSFSMRSGADNSHFGTGTNRVNLLQDIRDHTLPPNPRKLILYRPSVTHFLMVLSTICEELPPDGIMLIYLSTAGGPALSNPVSLTSEISLDAAEKIGENFNNLELSSLVRPPHGRPTHTSIRNKESHIVGSDQQGCLWFGSRGNGGSNFIYPCDLIPFTRKPIFLVIDSDKSQAFKVIHGAEKGETTAILLSPSSRPPPLTSSSEYARFQNGSQFTMFLTAPVQAFCFLIGISGMNFDRDKYDKAEKLLSFSLNEWERTLITSNGLHPVWIEVLGDPFLRRLLLRFIFCEAVLALYAPTRHQEEFLPECLPHLPDSVNPESAISQSAVMQLADFLGVTNQFVFAEGIVPSETGTDDADRIVSSNAQECHLSDANGS >Ma03_p14010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11189612:11194873:1 gene:Ma03_g14010 transcript:Ma03_t14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSNNIPITELFWSLLDKADRKFSRVRDLPIYGRNRNDADLHKAFKIYTQLWKLQQEHRQKLVEAGLRRWEIGEIAARIAQLYYGQYQRTSDSSYLAEAYIFYEAILSREYFRDSSGSPAQQDMALANKQLRFLARFLIVCLVLGRREMIAKLVVLLKSMVDECKKSFQETEFKEWKHVVQEIVRFLKVDTPFMNMRPLRYSFLFDVHPESLPIVASSYTKRNLVLRDVILSSYHNNEVKFTELTLDTFRMLQCLEWEPSGSFSMRSGADNSHFGTGTNRVNLLQDIRDHTLPPNPRKLILYRPSVTHFLMVLSTICEELPPDGIMLIYLSTAGGPALSNPVSLTSEISLDAAEKIGENFNNLELSSLVRPPHGRPTHTSIRNKESHIVGSDQQGCLWFGSRGNGGSNFIYPCDLIPFTRKPIFLVIDSDKSQAFKVIHGAEKGETTAILLSPSSRPPPLTSSSEYARFQNGSQFTMFLTAPVQAFCFLIGISGMNFDRDKYDKAEKLLSFSLNEWERTLITSNGLHPVWIEVLGDPFLRRLLLRFIFCEAVLALYAPTRHQEEFLPECLPHLPDSVNPESAISQSAVMQLADFLGVTNQFVFAEGIVPSETGTDDADRIVSSNAQECHLSDANGS >Ma03_p14010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11189612:11194873:1 gene:Ma03_g14010 transcript:Ma03_t14010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSNNIPITELFWSLLDKADRKFSRVRDLPIYGRNRNDADLHKAFKIYTQLWKLQQEHRQKLVEAGLRRWEIGEIAARIAQLYYGQYQRTSDSSYLAEAYIFYEAILSREYFRDSSGSPAQQDMALANKQLRFLARFLIVCLVLGRREMIAKLVVLLKSMVDECKKSFQETEFKEWKHVVQEIVRFLKVDTPFMNMRPLRYSFLFDVHPESLPIVASSYTKRNLVLRDVILSSYHNNEVKFTELTLDTFRMLQCLEWEPSGSFSMRSGADNSHFGTGTNRVNLLQDIRDHTLPPNPRKLILYRPSVTHFLMVLSTICEELPPDGIMLIYLSTAGGPALSNPVSLTSEISLDAAEKIGENFNNLELSSLVRPPHGRPTHTSIRNKESHIVGSDQQGCLWFGSRGNGGSNFIYPCDLIPFTRKPIFLVIDSDKSQAFKVIHGAEKGETTAILLSPSSRPPPLTSSSEYARFQNGSQFTMFLTAPVQAFCFLIGISGMNFDRDKYDKAEKLLSFSLNEWERTLITSNGLHPVWIEVLGDPFLRRLLLRFIFCEAVLALYAPTRHQEEFLPECLPHLPDSVNPESAISQSAVMQLADFLGVTNQFVFAEGIVPSETGTDDADRIVSSNAQECHLSDANGS >Ma09_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1652601:1653830:-1 gene:Ma09_g02320 transcript:Ma09_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSTDNMHNPHPHYHQIFAGCLELELDDPNATERWAAELLRECATAISENDSGKLHHLLWMLNELASPYGDCEQKVASYFLQALFCKATELGEHCYKTSLAVAERCHSFESTRKVILKFQEASPWTTFGHVASNGAILEAFEGEAKLHIIDISNTYCTQWPTLLEALATRNDDTPHLRLTVVATVGMGGSVMDEIGMRMEKFARLMGVPFQFHVIRNLTRLDELKYEEFGLREGEAVAVNCVGALRRVSVEERDAFVGMLSRLRPRVVTVVEEEADFTSCKGEFVSCFEECLRFYTMYFEMLEESFAPTSNERLALERECSKSIVSVLACDGHDSGDCERREKGRQWCERLMESFSPSTFSDDVVDDLNALLRRYRAGWSLVPAEGDASGLYLTWKQEPAVWACAWKP >Ma08_p28970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40744469:40745475:1 gene:Ma08_g28970 transcript:Ma08_t28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTISSGTHFQKLRPVNAHPFVVSSFSYSFWKLREPRREDDRQRAQARKSQVKQRDDGLTPEQRRERDAKALQEKAAKKAAQAAVGVTTDSKNKDNAKK >Ma02_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22039340:22040316:1 gene:Ma02_g13800 transcript:Ma02_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLRPCACYRRIFLNSSAVATWYGSPGGAGSDGGACGYGDAVSKPPFSSFIAAGGPSLFKSGMGCGACYQVACTSNGACSGNPVTVVITDECPGGPCASDPVHFDLSGTAFGAMAKPGQADALRNVGSLQIQYSRVHCKYSSVNISFKMDAGSNPYYFAVVIEFEDGDGDLSAVDVQQAGSGFWIPTQQSWGAVWKLNSGWPLQAPLSIRLTSGLSGKTLVATNVIPVDWKPGATYNSTVNFP >Ma03_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23853459:23856547:1 gene:Ma03_g18300 transcript:Ma03_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSFVLLLFLLLLVLLSISLPASVASPLSTVAISRASNATVVCAVVRSIANDEYELSCTSLPTGLQLTYTSGNISSSAIAGGEGFLCFLRMSTNVSTMVWWGFYQESDYYGSSRDYKRVYRGPPLADLSAGDSRVCGIHAGGRPICWRWKQLVFPEGVRFSDIAVGRDFVCGLLRGSMEIRCFGNDTGVVGHEPTGSYRTIAAGSRHACAVSREGKLVCWGTGAPAMGATPSEISSLALGENKTCALGSQGRVTCWGERSNLPCSLNDTQFMAIQAKGSAICGILRVDYSLYCWGSDVFDHNPVVYANVLPGTCVPISSCPCGVLEGSGTLCDRGSAVCRLCEPEKISNPTASPTPSSPPPPSTNRAETSHKRRTVFVVIGSVGLALGVAASFCFCLLHFGRCNGRVHDLGGMHSAAAGALPPAVPSALARPHGSETSHTPIEWRFSSLVGGGRSPMVEEFPLSVLLAATDNFAESHKTGSGSFGSVYRATLDDGRVVAIKRAETSASTSNTPAAPSSAAAENKRHDKESAFLSELALLSRVNHKNLVRLLGYCKQGAERVLVYEFMTNGTLHDQLHKLPGASLASWSARLRVALDAARGLEYLHTYAVPPIIHRDIKSSNILLDDTWTAKVSDFGLSLMNPVDEEQGPVRTAGTVGYMDPEYYRLQHLTAKSDVYSFGVVLLELLTGCKVIRRYDDSGTPKNIVEFAVPHIVAHDIHRVLDPNLPPPIPSEIEAVTYVGYLAIDCVSPEGRERPTMTEVVDGLERAVAACDTPAGSLDRSTTVRSI >Ma09_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1924993:1925820:-1 gene:Ma09_g02790 transcript:Ma09_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKAEESTAPVAEFSIQALRLLSRSLLFLSTHSTHPLFGILAAASLLVILYLPRSLLSLVLSPVPISTFFLLAALLRHGSPPLKCPAAVATVDDGEEELTSLCPETKAESSCYHQEVIFSNDFISCGRRSRPLEIIYEEYEGEEEEERGGGGSHQYRGWLQNADLESVRLGSLGFTYAEEEKWGDGGSEDYRMWPQNADLGSRRAGPIRFAYGTDSDTESNGGSQAASEAEGSSSPEEHRLMWEDDEDGDDMIEIELELEEENMIEIDISGGR >Ma05_p24460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36709473:36710551:1 gene:Ma05_g24460 transcript:Ma05_t24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTWSIFHVGEEGGEAPKTVAAAIESSKGACERLEGLRILIQNSGRRSNVVINSSLRSCMPHHLTKASAPQFGFLKACFLCRKELSPHKDVYMYRGDQGFCSEECRRDQILLDERTEAEASAIGRMKPPLRPPAAAAANKVQESNRRRRIVAVA >Ma06_p07350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5232701:5239096:-1 gene:Ma06_g07350 transcript:Ma06_t07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHIYKTDSNKYETTARSWTQKYAMG >Ma05_p26020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37805075:37813560:-1 gene:Ma05_g26020 transcript:Ma05_t26020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDFIDLESSCPNNNNGDYDDDDKGSESRDAKTDSPSRLFSMKEDPLGTENVEVFTGNGEGGDDPNVLDMDLEDDLVQRQTILYERVEIVGSPIVEQLKGEGEQHKETVRAATLNNSTSNNNVIDESPIAGVKRARMTYIEKQPSVRVIYNSLARESKRKLMGLMQQWSQWQAKHQLSSSESEDVPLEDGEETYFPALHVDSKKSSVVTFWVDKQARQDVDKDKVKFEADVPLYDREYTLGSTSVDGSSGPEGIEALGASRCFNCGSYSHSLRDCPKPRDNIAISNARKMHTSKRNPTAGNHAQVRYYLKAPGKFDNLQAGVLGAETRECLGIGEYDPPPWFHRMREMGYPPGYLDVDDEDQPSGITIYADEETKEEYEDGELPERSEPEPPQRKMTVKFPGINAPLLENADHHRWAAPSTGSGSNPVRNRVHHRLDHSSDHHGWNLQEQRWPSDLRVNGAPDTEHVFSSSYPGYSPRYSPYNYNPIPRSPDLGRSLSDRGWRSPLHYETSPAHSPHSPHPYPSATHSPKDHHWSHDHWSNKSSYGRIPDSASQKAQDRHDHRGSHHRR >Ma05_p26020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37805075:37813555:-1 gene:Ma05_g26020 transcript:Ma05_t26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDFIDLESSCPNNNNGDYDDDDKGSESRDAKTDSPSRLFSMKEDPLGTENVEVFTGNGEGGDDPNVLDMDLEDDLVQRQTILYERVEIVGSPIVEQLKGEGEQHKETVRAATLNNSTSNNNVIDESPIAGVKRARMTYIEKQPSVRVIYNSLARESKRKLMGLMQQWSQWQAKHQLSSSESEDVPLEDGEETYFPALHVDSKKSSVVTFWVDKQARQDVDKDKVKFEADVPLYDREYTLGSTSVDGSSGPEGIEALGASRCFNCGSYSHSLRDCPKPRDNIAISNARKMHTSKRNPTAGNHAQVRYYLKAPGKFDNLQAGVLGAETRECLGIGEYDPPPWFHRMREMGYPPGYLDVDDEDQPSGITIYADEETKEEYEDGELPERSEPEPPQRKMTVKFPGINAPLLENADHHRWAAPSTGSGSNPVRNRVHHRLDHSSDHHGWNLQEQRWPSDLRVNGAPDTEHVFSSSYPGYSPRYSPYNYNPIPRSPDLGRSLSDRGWRSPLHYETSPAHSPHSPHPYPSATHSPKDHHWSHDHWSNKSSYGRIPDSASQKAQDRHDHRGSHHRR >Ma05_p26020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37805075:37813407:-1 gene:Ma05_g26020 transcript:Ma05_t26020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDFIDLESSCPNNNNGDYDDDDKGSESRDAKTDSPSRLFSMKEDPLGTENVEVFTGNGEGGDDPNVLDMDLEDDLVQRQTILYERVEIVGSPIVEQLKGEGEQHKETVRAATLNNSTSNNNVIDESPIAGVKRARMTYIEKQPSVRVIYNSLARESKRKLMGLMQQWSQWQAKHQLSSSESEDVPLEDGEETYFPALHVDSKKSSVVTFWVDKQARQDVDKDKVKFEADVPLYDREYTLGSTSVDGSSGPEGIEALGASRCFNCGSYSHSLRDCPKPRDNIAISNARKMHTSKRNPTAGNHAQVRYYLKAPGKFDNLQAGVLGAETRECLGIGEYDPPPWFHRMREMGYPPGYLDVDDEDQPSGITIYADEETKEEYEDGELPERSEPEPPQRKMTVKFPGINAPLLENADHHRWAAPSTGSGSNPVRNRVHHRLDHSSDHHGWNLQEQRWPSDLRVNGAPDTEHVFSSSYPGYSPRYSPYNYNPIPRSPDLGRSLSDRGWRSPLHYETSPAHSPHSPHPYPSATHSPKDHHWSHDHWSNKSSYGRIPDSASQKAQDRHDHRGSHHRR >Ma11_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20932719:20937464:1 gene:Ma11_g15230 transcript:Ma11_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSMAFKQKKRLKNTRNKYLKPGALAQICYNKTSSKSCTDIGKKRTVLESEKEEIGLMREAEVIQSNTPVMSPTKVSSQPAIDEAKHQNLPVTPKTPQSAVCDRQSRLETLPMDLLIKILCHLHHDQLRAVFHVSQRIRTAVLMARQLHFNYTTPDRSRQEMLRNKTPLPTEHWPFASKAGRKGTWGSSPHTPKAPRHGCRSSRLHLMDVKQIAAVLFQEPTLPPRHMMPPCLSRPVFKPIASTRVLFYEEELCQAVAQNKLR >Ma11_p15230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20932782:20937464:1 gene:Ma11_g15230 transcript:Ma11_t15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSMAFKQKKRLKNTRNKYLKPGALAQICYNKTSSKSCTDIGKKRTVLESEKEEIGLMREAEVIQSNTPVMSPTKVSSQPAIDEAKHQNLPVTPKTPQSAVCDRQSRLETLPMDLLIKILCHLHHDQLRAVFHVSQRIRTAVLMARQLHFNYTTPDRSRQEMLRNKTPLPTEHWPFASKAGRKGTWGSSPHTPKAPRHGCRSSRLHLMDVKQIAAVLFQEPTLPPRHMMPPCLSRPVFKPIASTRVLFYEEELCQAVAQNKLR >Ma05_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10454841:10456102:-1 gene:Ma05_g14340 transcript:Ma05_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVPQGRPETERPQLLLLRPPSAALDEVLSARFELLKSWESPLPLDRFLASHAADVRALLVINLFTVDGSLLDALPALRFVCTTSAGVNHIDLAECARRGIAVANAGNVFSQEVAEYAVGLLIDVLRRVSACDRYVRRGLWPRGGDYPLGSKLGCKRVGIVGLGSIGSEVAKRLQAFGCPISYFSRCRKPQFPYTYVPSVADLAAQSDVLVLACALTHETHHIINKDVMAALGKDGIVINVGRGALVDEAELVKRLMRGEIGGAGLDVFEHEPAVPEELFGVDNVVLSPHVAMQTFESSSDLCQLTAANLEAFFSDRPLLTSVSLPA >Ma10_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32263230:32263701:-1 gene:Ma10_g23220 transcript:Ma10_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQYGPIQLTYSAQNNQPGSHIYNPLANPLFGNPESWLLRRRSYSSLPLPSPPSPCGEVGAASSLAPKIGLLGLSPKKGALKEPQRDRKKTKNIKRDGSISLDDVVEIARVMRPRLDLHDLVC >Ma05_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5035091:5037913:1 gene:Ma05_g06870 transcript:Ma05_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPEVGVWVGETPSAPALARRWPAKQCPRALDRRPVGGSRGGGEDDCRICSATGDMWARLNGGEGRDVIGQSGGFSHESEHDLAVMVCDFLENGSGSAESRYSSDSDSRFSELAHLAEKVLLYKRAVTQYENDLQSIVGSLLFSIDEVDLYLVKEEQCNASCIRQSLVKLLKLSGYDAAVCSSRWQGFDKVPGGDHEYIDVVVSDGGDSKHLIIDIDFRSYFEIARAVESYDAILSSLPVVFVGSFPRLQQILQVMVDAAKFSLKQNSMPLPPWRSLAYLQAKWCSKYERKHNVDKKHQQNCSSDHRQCVGHLQRLKASLELEIESERLLKPATNDKKRTIKSERWRLSLRSC >Ma05_p06870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5035091:5037913:1 gene:Ma05_g06870 transcript:Ma05_t06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPEVGVWVGETPSAPALARRWPAKQCPRALDRRPVGGSRGGGEDDCRICSATGDMWARLNGGEGRDVIGQSGGFSHESEHDLAVMVCDFLENGSGSAESRYSSDSDSRFSELAHLAEKVLEEQCNASCIRQSLVKLLKLSGYDAAVCSSRWQGFDKVPGGDHEYIDVVVSDGGDSKHLIIDIDFRSYFEIARAVESYDAILSSLPVVFVGSFPRLQQILQVMVDAAKFSLKQNSMPLPPWRSLAYLQAKWCSKYERKHNVDKKHQQNCSSDHRQCVGHLQRLKASLELEIESERLLKPATNDKKRTIKSERWRLSLRSC >Ma03_p33110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34600234:34601515:1 gene:Ma03_g33110 transcript:Ma03_t33110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLRASSLDLPNSSEALSNLSRVTTAPSSIPSIPNPFLSSCKIPKLRISNPRRRRRQSALPTIAIGFTSFFSKKLPEDSRPTKVQELCVYEINERDRGSPAYLRLSQKQVNFLGDLVPFSNKAGSSFSIILSAYSQCSSSIFRWVNISFLSTCMTTIAVLGESGEAAGHHVGDLRADPARAGEGRRPVRGHLQLLLRGLRAHLGAGGVPDVRGVPPGRDRRLRSVRGCLRPGQAAADRLPLQDLLHLLPQGHPRPPQGAARHAGAALARRRAHPRRQGLRAGRRPQELHQLNVGAHIKIKP >Ma03_p33110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34600234:34601515:1 gene:Ma03_g33110 transcript:Ma03_t33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLRASSLDLPNSSEALSNLSRVTTAPSSIPSIPNPFLSSCKIPKLRISNPRRRRRQSALPTIAIVASLCFPKAEGNTRKRLSAKVQELCVYEINERDRGSPAYLRLSQKQVNFLGDLVPFSNKAGSSFSIILSAYSQCSSSIFRWVNISFLSTCMTTIAVLGESGEAAGHHVGDLRADPARAGEGRRPVRGHLQLLLRGLRAHLGAGGVPDVRGVPPGRDRRLRSVRGCLRPGQAAADRLPLQDLLHLLPQGHPRPPQGAARHAGAALARRRAHPRRQGLRAGRRPQELHQLNVGAHIKIKP >Ma03_p33110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34600234:34601515:1 gene:Ma03_g33110 transcript:Ma03_t33110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLRASSLDLPNSSEALSNLSRVTTAPSSIPSIPNPFLSSCKIPKLRISNPRRRRRQSALPTIAIGFTSFFSKKLPEDSRPTKVQELCVYEINERDRGSPAYLRLSQKQVNFLGDLVPFSNKLYSGNLEKRLGITSGICVLIQHVPERDGDRYEAIYSFYFGDYGHISVQGAYLTYEESHLAVTGGSGVFEGAYGQVKLQQIVFPFKIFYTFYLRGIPDLPKELLGTPVPPSPGVEPTPAAKACEPAAALKNYTN >Ma04_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4957333:4963626:1 gene:Ma04_g06800 transcript:Ma04_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGAEGKAKRVMVAIDESESSHYALEWVLSNLRSSLSSSPLVVFTVQPYPEISYLNAASFGAPPMELIQTVQQHQKELALSLLEKAKEICIQHGVVAETISEVGDPKEAICEAVEKLKIDLLIVGSHGKGAIQRVFLGSVSNYCVHNAKCPVLVVKKSV >Ma10_p15540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27612291:27614067:1 gene:Ma10_g15540 transcript:Ma10_t15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLMNSRTNKGHLFSSHLFLLPAMKDFASCFNEHAVKVSNSSCSGSSSSSSSCNSSVVDNTTSALGAVTCLYRTKLSTPRELLVKVTWSKGNVGPVLSVGIDDNPSIHRSEPDAMNCPLLRKKKGSRSYVSGSYAVGLHWDIASAKYGSGPEPIDGFYVVIVVNSEFALLLGDMSRDYIRNIEDSLPVAEFSMISRKEQVVGQTHHSTRARFCDGGIDHEITVRCKGDRCDAKASELSVSVDKKLVVQVRSLRWNFRGNQTIFIDGSPVDLMWDVHDWWFGSSSGCAAFMFRTRSTSESRLWLEDEMLQREQQATPGFSLLIQAFKNQ >Ma01_p13580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9897271:9901895:-1 gene:Ma01_g13580 transcript:Ma01_t13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGEGSAARRFWIGSKKESVFASYTPFMVCLAAGKLDMDTFRNFIAQDVFFLRAFSHAYEMAEECSDDDDAKAAINELRKAVLDKLKVYDSVVQEWGIDPTKEIIPNPATLKYTEFLLATADGKIEGGKGAGKIVTPFEKTKVAAYTVGAMTPCIRLYAFLGKELQFHMQYEGNGHPYKKWVDTYSSASFEARASQIEELLDKLSVSLTGEELEIIEKLYHRAMELEIEFYNAQPIVQPVVVPFTKLHEAANHLAFFSDFDLTCTVLDSSAILAEIAILSAFKAGQSGTDNLSAQRLPSDMRNSWDALSRQYTEEHEQCIESLLPSEQAKAFDYESLCKNLEQLSNFEKRTNCRVIESGLLKGIHLEDIKKAGERLVLQDGCREFFQKVIKIKEKLNVDCHILSYCWCADLIRSAFSSVGCTDDLSIHSNEFDYEESVSTGEIVRTMESPMDKVKTFRSILTNLSSEKKHLSVYIGDSVGDLLCLLEADVGIVIGSSISLRRVGEQFGVSFVPLYPGLIRKQREVPSEDSLVWNGLSGVLYTASSWTEIHAFLFGA >Ma08_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:181022:195342:1 gene:Ma08_g00210 transcript:Ma08_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGGIGCELLKTLALSGFQDVHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFMPQINITPYHANVKDPGFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLIESGTTGFLGQVTVHIKGRTECYECQPKPTPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKKQENDLFVSAGDGSDSEKPTDIFERGSDEDHEQYGRKIYNHVFGYSIEVALANEETWKSRRRPNPIFLKDVLPERLILQNGNLEKNFLTEDLPLSAMSSVGLSNPQDVWSLADNSRIFLEALRLFFGRREKEIGNLTFDKDDQLAVEFVTSAANIRASSFGISLHSLFDAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLQADFQNCRMTYCLEHPARKMLLMPVEPFEANKSCYVCSETPLLLEVNTRTSTLRDFVDRIVKNKLGMSFPLIMQGATLIFEVGDDLEEDVAANYALNLDKVLVELPTPVSSGTMLTVEDLQQELSCHINIKHREEFDEDKEPDKMVLLGWTAREKESQVVSNGNSTSAAVPAAAEATMKSEEAEVNAEISGTKRKLSEILEATENQEASQILSRPDADNFKNSHELEDDDDDLVVLDNYPETSKKQRLH >Ma08_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2105706:2107530:-1 gene:Ma08_g02810 transcript:Ma08_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVPPPSQSSEGRSVLHGRYELGRVIGQGTFGKVHLARNLLTGKSVAIKVVGKEKVIQVGMMEQVKREISVMKMVRHPNIVELYEVMATRSKIYFVMELVRGGELFAKVARSGRLREDAARNYFRQLVSAIDFCHGRGVYHRDLKLENLLLDDQGNLKIADFGLSAFAEHVRPDGLLHTACGTPAYVAPEVFGKKGYDGAKADLWSCGVILFILLAGFLPFHDENILAMYKKIRRGDFRCPPWFSSDARRLITKLLDPIPSTRITVGKLMEIPWFKKSSVPKGVPAPAAVGENGDREWAKKDGEEPETLNAFHLISFSDGFDLSPLFVGGERREEEMRFATREPASVVVSRLEGVAAITAGKYRVTKSSMTGVRLEGEQRGRKGKLAIAAQIFAVAPSVLVVAVKKDGGDTLEYQRFCSDELRPALKDIMLASSSDGQAAAP >Ma09_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19589447:19590510:-1 gene:Ma09_g19010 transcript:Ma09_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMILSEDRWPAAAACCWCSGWRRSSGRATR >Ma07_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27347271:27347806:-1 gene:Ma07_g19310 transcript:Ma07_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFNLDKLLLFLLCVVLLASEGEMVCGLRSVDHVLRYGEEGGLAEKNRRILANVDAGKKSAAKSSSFDSNSTSKRRVRRGSDPIHNRC >Ma07_p18050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24120029:24150066:1 gene:Ma07_g18050 transcript:Ma07_t18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MGLNRLVSANLLLKRTRWHCTRRYSAHAPKGPSLDGVKDIIAVASGKGGVGKSTTAVNLAVALANACQLKVGLLDADIYGPSVPTMMNLQGKPEVSKDMKMIPVENHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGDLDILVVDMPPGTGDAQLSISQRLQLSGALIVSTPQDIALIDARRGANMFRKVEVPILGLIENMSWFKCPHCGEKSYIFGDGGVQRTAKELDMKFLGEIPLELDIRSSSDEGNPIVLAAPNSGVAKAYTDVAEKLTKQLKELAEERQLGPQIML >Ma07_p18050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24120029:24150066:1 gene:Ma07_g18050 transcript:Ma07_t18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MGLNRLVSANLLLKRTRWHCTRRYSAHAPKGPSLDGVKDIIAVASGKGGVGKSTTAVNLAVALANACQLKVGLLDADIYGPSVPTMMNLQGKPEVSKDMKMIPVENHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGDLDILVVDMPPGTGDAQLSISQRLQLSAGALIVSTPQDIALIDARRGANMFRKVEVPILGLIENMSWFKCPHCGEKSYIFGDGGVQRTAKELDMKFLGEIPLELDIRSSSDEGNPIVLAAPNSGVAKAYTDVAEKLTKQLKELAEERQLGPQIML >Ma01_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6940869:6944532:-1 gene:Ma01_g09690 transcript:Ma01_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVVATTADPASVGPASAFLAMPGWNPGPAVATGMESFANRAVRLLKHQRSIVEEDYLDRRWEAATGEHVDEVIFLSRHTAVSNRPALTVHPIGVPHVREGEPLPQGGRPGWAGPPNPRIGPWLRLMKRIAQENGLIPEFEITLEATHHGPLVNTPTMFLEIGSTEEYWKRQDAAQAMALLLWEGLGVEGGPSVGNWGRENQGNKVLLGLGGGHYVP >Ma09_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2136838:2143072:-1 gene:Ma09_g03120 transcript:Ma09_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFNSRSLSEYLCEISAGAGCGYLKGQRNDFGVEYGSDCSSSFRKEESSSGQGSYNTSVKPRERPMDKEIPREAEIRWSSPSVIAKLMGLDEQPPVQVAHKRKKTINGYFQDIPSTGLQEKYLTREECLPRMSMAEHQEFKDVYEVIQRPKVERDRTKTDSSALPSLKQRKLNASKQWKSDVSFLGQNLLDAVHLPSDESLRISEKFTSELGNQDCTEHNFLNVFQEPNFLFRKYFHDSKRLAPSHLTSKKTIFKSSNGAKTESGEVYRSLKKKTDRFVHMQNGVFSSFRIPIAGKASRFLKVQNDSLSHKLARFASNNYQCIHPNHIVPLKHNIDKSRVSVHLRTPENLKFSHIRPSAQTGFREANIGRRDWLNFSHNSEAFHCKNKGSRELDREITELRESVSSSKMSIPVVEYNRFSREDSSCNISDMKSFCSPEGSSNHFNNWNSICFALAPSTEFIRSEARKNFSGNWKMAGNSKETVDCGKCSSVLAEMLALSDVVGQNSVSSSSKVHNVSVEKSTRPDMRASGGSPLSISNSDSWEDGFLTNLPNPASVPASSTTYGSQNLSSMNRFCDEHFCTPNDVLKLRPKKSDQRGSSSLVGFKSSNNQLYSNLSGKENNLSSQEIHLNQDRTRKGVLAKTAGEGNFKPLSIPNYMDVDMMMINNEELPQLSMASKAVKDPKVSNSNLLKETSFSHLQVDREQLTSVRITKALQPCAVSELELPLRVNGSGCSESLTGDLELHSKTLTSKLGDQCAEASEVLTSSNDDDREVCEFFQQAGHLEEEFMDEEEREYTYLLDILIFSGVHSAKQDSLRYACYLPEYPVNPNLFEKLEKKYGKLVAWSRSERKLMFDLSNSIVAEILAPCMDLHPWVNSTGRIGPMWGSEGLVEKTWQMLVKKRMELGAGNAEKKVLDTKWFNLGGNIDEIGREIERTLKEELLEELVEEFIIG >Ma09_p03120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2136838:2143072:-1 gene:Ma09_g03120 transcript:Ma09_t03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFNSRSLSEYLCEISAGCGYLKGQRNDFGVEYGSDCSSSFRKEESSSGQGSYNTSVKPRERPMDKEIPREAEIRWSSPSVIAKLMGLDEQPPVQVAHKRKKTINGYFQDIPSTGLQEKYLTREECLPRMSMAEHQEFKDVYEVIQRPKVERDRTKTDSSALPSLKQRKLNASKQWKSDVSFLGQNLLDAVHLPSDESLRISEKFTSELGNQDCTEHNFLNVFQEPNFLFRKYFHDSKRLAPSHLTSKKTIFKSSNGAKTESGEVYRSLKKKTDRFVHMQNGVFSSFRIPIAGKASRFLKVQNDSLSHKLARFASNNYQCIHPNHIVPLKHNIDKSRVSVHLRTPENLKFSHIRPSAQTGFREANIGRRDWLNFSHNSEAFHCKNKGSRELDREITELRESVSSSKMSIPVVEYNRFSREDSSCNISDMKSFCSPEGSSNHFNNWNSICFALAPSTEFIRSEARKNFSGNWKMAGNSKETVDCGKCSSVLAEMLALSDVVGQNSVSSSSKVHNVSVEKSTRPDMRASGGSPLSISNSDSWEDGFLTNLPNPASVPASSTTYGSQNLSSMNRFCDEHFCTPNDVLKLRPKKSDQRGSSSLVGFKSSNNQLYSNLSGKENNLSSQEIHLNQDRTRKGVLAKTAGEGNFKPLSIPNYMDVDMMMINNEELPQLSMASKAVKDPKVSNSNLLKETSFSHLQVDREQLTSVRITKALQPCAVSELELPLRVNGSGCSESLTGDLELHSKTLTSKLGDQCAEASEVLTSSNDDDREVCEFFQQAGHLEEEFMDEEEREYTYLLDILIFSGVHSAKQDSLRYACYLPEYPVNPNLFEKLEKKYGKLVAWSRSERKLMFDLSNSIVAEILAPCMDLHPWVNSTGRIGPMWGSEGLVEKTWQMLVKKRMELGAGNAEKKVLDTKWFNLGGNIDEIGREIERTLKEELLEELVEEFIIG >Ma09_p03120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2136838:2143072:-1 gene:Ma09_g03120 transcript:Ma09_t03120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIPREAEIRWSSPSVIAKLMGLDEQPPVQVAHKRKKTINGYFQDIPSTGLQEKYLTREECLPRMSMAEHQEFKDVYEVIQRPKVERDRTKTDSSALPSLKQRKLNASKQWKSDVSFLGQNLLDAVHLPSDESLRISEKFTSELGNQDCTEHNFLNVFQEPNFLFRKYFHDSKRLAPSHLTSKKTIFKSSNGAKTESGEVYRSLKKKTDRFVHMQNGVFSSFRIPIAGKASRFLKVQNDSLSHKLARFASNNYQCIHPNHIVPLKHNIDKSRVSVHLRTPENLKFSHIRPSAQTGFREANIGRRDWLNFSHNSEAFHCKNKGSRELDREITELRESVSSSKMSIPVVEYNRFSREDSSCNISDMKSFCSPEGSSNHFNNWNSICFALAPSTEFIRSEARKNFSGNWKMAGNSKETVDCGKCSSVLAEMLALSDVVGQNSVSSSSKVHNVSVEKSTRPDMRASGGSPLSISNSDSWEDGFLTNLPNPASVPASSTTYGSQNLSSMNRFCDEHFCTPNDVLKLRPKKSDQRGSSSLVGFKSSNNQLYSNLSGKENNLSSQEIHLNQDRTRKGVLAKTAGEGNFKPLSIPNYMDVDMMMINNEELPQLSMASKAVKDPKVSNSNLLKETSFSHLQVDREQLTSVRITKALQPCAVSELELPLRVNGSGCSESLTGDLELHSKTLTSKLGDQCAEASEVLTSSNDDDREVCEFFQQAGHLEEEFMDEEEREYTYLLDILIFSGVHSAKQDSLRYACYLPEYPVNPNLFEKLEKKYGKLVAWSRSERKLMFDLSNSIVAEILAPCMDLHPWVNSTGRIGPMWGSEGLVEKTWQMLVKKRMELGAGNAEKKVLDTKWFNLGGNIDEIGREIERTLKEELLEELVEEFIIG >Ma09_p03120.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2136838:2143528:-1 gene:Ma09_g03120 transcript:Ma09_t03120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDWFRTQQGSGVRRGRRRKRAYRVGGGMLRTPGCGYLKGQRNDFGVEYGSDCSSSFRKEESSSGQGSYNTSVKPRERPMDKEIPREAEIRWSSPSVIAKLMGLDEQPPVQVAHKRKKTINGYFQDIPSTGLQEKYLTREECLPRMSMAEHQEFKDVYEVIQRPKVERDRTKTDSSALPSLKQRKLNASKQWKSDVSFLGQNLLDAVHLPSDESLRISEKFTSELGNQDCTEHNFLNVFQEPNFLFRKYFHDSKRLAPSHLTSKKTIFKSSNGAKTESGEVYRSLKKKTDRFVHMQNGVFSSFRIPIAGKASRFLKVQNDSLSHKLARFASNNYQCIHPNHIVPLKHNIDKSRVSVHLRTPENLKFSHIRPSAQTGFREANIGRRDWLNFSHNSEAFHCKNKGSRELDREITELRESVSSSKMSIPVVEYNRFSREDSSCNISDMKSFCSPEGSSNHFNNWNSICFALAPSTEFIRSEARKNFSGNWKMAGNSKETVDCGKCSSVLAEMLALSDVVGQNSVSSSSKVHNVSVEKSTRPDMRASGGSPLSISNSDSWEDGFLTNLPNPASVPASSTTYGSQNLSSMNRFCDEHFCTPNDVLKLRPKKSDQRGSSSLVGFKSSNNQLYSNLSGKENNLSSQEIHLNQDRTRKGVLAKTAGEGNFKPLSIPNYMDVDMMMINNEELPQLSMASKAVKDPKVSNSNLLKETSFSHLQVDREQLTSVRITKALQPCAVSELELPLRVNGSGCSESLTGDLELHSKTLTSKLGDQCAEASEVLTSSNDDDREVCEFFQQAGHLEEEFMDEEEREYTYLLDILIFSGVHSAKQDSLRYACYLPEYPVNPNLFEKLEKKYGKLVAWSRSERKLMFDLSNSIVAEILAPCMDLHPWVNSTGRIGPMWGSEGLVEKTWQMLVKKRMELGAGNAEKKVLDTKWFNLGGNIDEIGREIERTLKEELLEELVEEFIIG >Ma05_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1807995:1820325:-1 gene:Ma05_g02730 transcript:Ma05_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPRALDKIIAHEEIAQNLKKLVSEHDCPHLLFYGPTGSGKKTLVMALLKQMFGPGVEKVKLENKMWKIDAGTRKIELELTTLSSTHHVEMNPSDAGFQDRHIVQEIIKEMARNRPVDTKGKKGFKVLVLNEVDKLSREGQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNIRVNAPTEEQIIKVLEFIGKKENLQLPPGFTARIAAQSNRNLRRAILSFETCRVQQYPFTVNQALPPLDWEQYVSEIASDIMKEQSPKRLFSVRGKIYELLVNCIPPEIILKKLLSELLKKLDSELKHEVCHWAAYYEHRMRFGQKAIFHIEAFVAKFMSIYKAFLIATFG >Ma06_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13121922:13122616:-1 gene:Ma06_g19170 transcript:Ma06_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSSFLLPSSCDRIETTSFSKGVHAKLKEKVSHLHFYFHDVVSGSNVTAVAVARPPNAQPPTSFGLVMVMDDLLTEGPEPTSRPVGRAQGLYAAAGLQEMGFLQAMNLVFVGGKYDGSVLTVLGRNAPLHAVREMPVVGGSGLFRFARGYAVARTHRLDMSTGNAIVEYDVYVMHY >Ma09_p28190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39018639:39036901:-1 gene:Ma09_g28190 transcript:Ma09_t28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide-binding protein alpha-1 subunit [Source:Projected from Arabidopsis thaliana (AT2G26300) UniProtKB/Swiss-Prot;Acc:P18064] MISMLYFVVESMGSFCSRQQPLNEVDSDENKQAAKIDSRIAEETKAEQHIHKLLLLGAGESGKSTIFKQIRLLFQTGFDEAELRSYTSVIHANVYQTIKILYDGAKELAENEPNSLKYVVRADNKEIGEKLSEIGSRMDSPCLTKEIAKEIVTLWNDTAIQETYSHGNILQVPDCAQYFMENLERFSEVNYIPTKEDVLHARVRTTGVVEIQFSPVGESRKSGEVYRLYDVGGQRNERRKWIHLFEGVTSVIFCAAISEYDQMLFEDDTKNRMMETKELFEWVLKQSCFEKSSFMLFLNKFDIFEKKVHRVPLNVCEWFKDYQPMGSGKQEVEHAYEFVKKKFEELYFQNSKPDCVDRAFKIYRTTALDQKLVKKTFKLVDETLRRRNLIEAGLL >Ma10_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14206536:14207222:1 gene:Ma10_g03560 transcript:Ma10_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLIYLFVFIADSGSLGSFLSDRTAKVFVAGHRGLVGSAVHRKLVSLGFTNLLSRTHAELDLTRQSDVKGLFAAELPSYVIVEAAKVGGIHANDTFRPTSSPPTSRSRPTSSTPPSAPAAAPSASSPSSASPASTPSIRPSRHRIRPCSPAPSSPPTNGTRWPRSPASRCARRTGSSTASTPSGPCRPASTVPTTLSTRRTPTCSRRCSVVSTKPRPREQCRWWCGA >Ma08_p24640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37740962:37754138:1 gene:Ma08_g24640 transcript:Ma08_t24640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MKTSRKKLICYTPKKSSLLGKELHSKLDSESAKKNLRKVSYSRRCRLKPNTFMKKRGIRRRNFLNGKPVKTMQKISHHTSVNGQCLSRLSTNGSSCLCSKGNPGTSDDNGTIKLHRRRRRKRKKKTVERDEASCLQRRTRYLLIKIKLEQNLIDAYSGDGWNGQSREKIKPEKELLRAQKQIVKCKIGIRDAIRKLDSLSCVGSIADSLMHPDGSVFHEHIFCAKCRSTEAFPDNDIILCDGTCNSGFHQKCLDPPLEKIPPGDQAWLCKFCTCKFEILEAINAHLGTCFSVNSNWEDIFKEATACSDVENTGLNHAEVWPSEDSEDEDYNPETNENSNSRSGIEENMSNDSSSSSLFSSSDGTISYSDSEHYSYLEKPFNIISRSKNRVDLFDSVGNYDSGPSNECAITSYRRQRRDVDYKKLHDEMFGKEPPENVAQSEDEDWGPNRRKRRKMEETTGTCMANPVNEDGSSNLALTEKISCDKKQLFRIPPVAVEKLRLAFAENELPSRSAKENLSKQLGISSEKVSKWFKNARYAALRMRKNETTNAVKNQDTSISMNESLSEDLGPPGCRVGKSFREVSGRRRLVLERTFNKGGTGCQLDEKTDSEQLYLTEVERLCRLEDKLQSLKKTLLSCMDEDKLTTKTCVREETVIYVPVAEVKEKA >Ma08_p24640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37740817:37754138:1 gene:Ma08_g24640 transcript:Ma08_t24640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MKTSRKKLICYTPKKSSLLGKELHSKLDSESAKKNLRKVSYSRRCRLKPNTFMKKRGIRRRNFLNGKPVKTMQKISHHTSVNGQCLSRLSTNGSSCLCSKGNPGTSDDNGTIKLHRRRRRKRKKKTVERDEASCLQRRTRYLLIKIKLEQNLIDAYSGDGWNGQSREKIKPEKELLRAQKQIVKCKIGIRDAIRKLDSLSCVGSIADSLMHPDGSVFHEHIFCAKCRSTEAFPDNDIILCDGTCNSGFHQKCLDPPLEKIPPGDQAWLCKFCTCKFEILEAINAHLGTCFSVNSNWEDIFKEATACSDVENTGLNHAEVWPSEDSEDEDYNPETNENSNSRSGIEENMSNDSSSSSLFSSSDGTISYSDSEHYSYLEKPFNIISRSKNRVDLFDSVGNYDSGPSNECAITSYRRQRRDVDYKKLHDEMFGKEPPENVAQSEDEDWGPNRRKRRKMEETTGTCMANPVNEDGSSNLALTEKISCDKKQLFRIPPVAVEKLRLAFAENELPSRSAKENLSKQLGISSEKVSKWFKNARYAALRMRKNETTNAVKNQDTSISMNESLSEDLGPPGCRVGKSFREVSGRRRLVLERTFNKGGTGCQLDEKTDSEQLYLTEVERLCRLEDKLQSLKKTLLSCMDEDKLTTKTCVREETVIYVPVAEVKEKA >Ma08_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37740817:37753331:1 gene:Ma08_g24640 transcript:Ma08_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MKTSRKKLICYTPKKSSLLGKELHSKLDSESAKKNLRKVSYSRRCRLKPNTFMKKRGIRRRNFLNGKPVKTMQKISHHTSVNGQCLSRLSTNGSSCLCSKGNPGTSDDNGTIKLHRRRRRKRKKKTVERDEASCLQRRTRYLLIKIKLEQNLIDAYSGDGWNGQSREKIKPEKELLRAQKQIVKCKIGIRDAIRKLDSLSCVGSIADSLMHPDGSVFHEHIFCAKCRSTEAFPDNDIILCDGTCNSGFHQKCLDPPLEKIPPGDQAWLCKFCTCKFEILEAINAHLGTCFSVNSNWEDIFKEATACSDVENTGLNHAEVWPSEDSEDEDYNPETNENSNSRSGIEENMSNDSSSSSLFSSSDGTISYSDSEHYSYLEKPFNIISRSKNRVDLFDSVGNYDSGPSNECAITSYRRQRRDVDYKKLHDEMFGKEPPENVAQSEDEDWGPNRRKRRKMEETTGTCMANPVNEDGSSNLALTEKISCDKKQLFRIPPVAVEKLRLAFAENELPSRSAKENLSKQLGISSEKVSKWFKNARYAALRMRKEICREEWLMNTPLTRSRYFIR >Ma07_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1646975:1661937:1 gene:Ma07_g02140 transcript:Ma07_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLAVLYPRCPGIIGSSKPRFLLLHHLLRRRAKGSLDLRCRAPLEGTRVAASSKPPPSPVSDSDQQPQISDVDVRFDLQKETEGLDLGWLPSFPHVLTASMANFLFGYHIGVMNGPIEAIAHELGFEGNSFLEGLVVSIFIAGAFIGSLGVSSFVDKFGSRRTFQLDTIPLILGALLSAQAHNLNEMLWGRFLVGLGIGTNTVLVPLYISEVSPTKYRGSLGSLCQIGTCLGIIASLALGIPSESDPHWWRIMLYIACVPGFILIFGMQFAVESPRWLYKVGRVNETKRVIETIWGESEVEKSIEEIKTVINDDVKNQKTSWLELLVEPNKKVAFIGGSLFILQQFAGINGVLYFSSLTFQDVGITSSSLASLLVGLTNFAGALFALTLMDNQGRRRLLIGSYLGMAVSMFLIVYAITVPLDEGSSHILSILGTLMYIFTFALGAGPVTGIIIPELSSNQSRSKIMGFSFSIHWICNFLVGLYFLELVEKFGVGPVYGAFGGVSLMSAVFATYFIVETKGRSLEEIEISMNANLAAKDE >Ma07_p02140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1647348:1661937:1 gene:Ma07_g02140 transcript:Ma07_t02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPIEAIAHELGFEGNSFLEGLVVSIFIAGAFIGSLGVSSFVDKFGSRRTFQLDTIPLILGALLSAQAHNLNEMLWGRFLVGLGIGTNTVLVPLYISEVSPTKYRGSLGSLCQIGTCLGIIASLALGIPSESDPHWWRIMLYIACVPGFILIFGMQFAVESPRWLYKVGRVNETKRVIETIWGESEVEKSIEEIKTVINDDVKNQKTSWLELLVEPNKKVAFIGGSLFILQQFAGINGVLYFSSLTFQDVGITSSSLASLLVGLTNFAGALFALTLMDNQGRRRLLIGSYLGMAVSMFLIVYAITVPLDEGSSHILSILGTLMYIFTFALGAGPVTGIIIPELSSNQSRSKIMGFSFSIHWICNFLVGLYFLELVEKFGVGPVYGAFGGVSLMSAVFATYFIVETKGRSLEEIEISMNANLAAKDE >Ma07_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2898801:2901504:-1 gene:Ma07_g03790 transcript:Ma07_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVAVLGSSDSVKGTVYFAQEGDGPTTVTGTISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPDDAGRHAGDLGNVTAGEDGTVTFSITDSQIPLSGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQA >Ma03_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25475817:25480925:1 gene:Ma03_g20330 transcript:Ma03_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPAFMRQLPSPPLIPTSTLLPVAAHAQSDEDSLAVLESELEEKWSEIRKTNSNLPVMGRKIVDSKEELDAGAEDDDVDNVGESEGDEFEQETG >Ma03_p20330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25475817:25481592:1 gene:Ma03_g20330 transcript:Ma03_t20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPAFMRQLPSPPLIPTSTLLPVAAHAQSDEDSLAVLESELEEKWSEIRKTNSNLPVMGRKIVDSKEELDAGAEDDDVDNVGESEGDEFEQETG >Ma11_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22175215:22177753:-1 gene:Ma11_g16730 transcript:Ma11_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MLLRLRSLNLSQPLPLFLRHPKTLNPTLRCLSSPSSSQSQGPLPSSEPAEWTDEIVYLDESGDVICSGRGLRPAEPGRDAHVLDGGLLRPIPRSAAASKLVELARRWRWGPDLDAHLDRLPFPPDPSLLSVALAALPAADPQPALSLFRWARRQPWFAPSDSTYALLLDRLFAAADFDAIQSLFDDILKAATFDDAEPSSTSFSSSLNLVVQYLCQASKLEISFSCFKKLRDAGFREFNTQTYNSLITLFLCKGLPFKAFEIYESMASSGCSLDASTYDLMVPALAKSGRLDAALRLFQEMKAKEGSGRPGLPIYAALVDSMGKAGRLDAAVGLYQELQSVGYRPSVTMYVSMIESLVKAGKLDAGLKLWNEMKGAGFRPNFGLYTMMVESHARSGRLETAASIFADMERSGFLPTPSTYACLIEMHSAAGQVDPAMRLYNSMTNAGLRPGLSTFTSLLSVLANKKLLDLAAKVLLEMKAVGFAVDVNASDVLMIYIKGGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKAGLYDSAQPLLETYVGSAAKVDLILYTSILAHLVRCKDEKNERAIMDIMSSAKHRAHEFMCGLFTGPEQRKKPVLSFVREFFQEIDYELEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALVSVVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQMLQSVESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHKLNVLFPSSAPEVRLLSPPKPLAVSR >Ma01_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8521101:8521407:-1 gene:Ma01_g11730 transcript:Ma01_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRTSRTGRTRHGVMRILLTKGL >Ma05_p28790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39660252:39667010:-1 gene:Ma05_g28790 transcript:Ma05_t28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMRSAFRRFFSISSFFPPPPAAVAPQAEPSTNLFISGLSKRTTSEGLMEAFSKFGQIIHARVVTDRVSGYSKGFGFVRYATLAEAAEGIKGMDGKFLDGWVIFAEYARPRPPPPGQTPPPPPSEPPYGYQ >Ma09_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34786696:34787200:-1 gene:Ma09_g22920 transcript:Ma09_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHVLLDFIDLLSIRPPGIMVFSTSIISCSRKHSSNSGISQTEENGSLGHEIVYSIKDECLEQKLRVEKVMKSEVIKELYLVELEVEDMSYLHAGHAGTRGSSGGRDLFQE >Ma04_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24950509:24956754:1 gene:Ma04_g22690 transcript:Ma04_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNAGAAKAGATKKTPTPKKDAAAAADAAERTPEVATKSTPKSGAKTAKVAGKQSASRAAAAASAPVTVTPDPKQGSEVEEAATPAVEKKPAAAYAKKSVGGKGKTPLAQKAAAADPPKVEVVEEPAIKVEAKESEDEVEEENELKHDVEVADVKDTGGDNSEKIEEDPADAGQQMEEEVEPVEFEEPVEEEFMGTEENIGGVEGEEASVGMEEEEDPRVEEDEQILISDMAKRRKMKKEQEIFVGGLDRDAMEEDLKMTFEKVGEVVEVRLHKDFVTNKNKGFAFVKFANKEQAARALIELKNPMIRGKRCGIAPSEDNDTLFLGNICNTWTKEAIKQKLKDYDVEGVEHITLVLDTQNDGLSRGFAFLEFSCHQDAMLAYKRLQKPDVIFGHPERTAKVAFAEPLREPDPEVMAQVKSVFVDGLPPFWDEDRVKEQFKGYGEIERVVLARNMSTAKRKDFGFVNFTTHEAAVTCVEGINDTELGDGKSKMKVRARLANPLPKTQAVKGGMSGGYRIGYTGVGVYSRFGRGFGRGRFPSFRAGFQGGRGFNFRGRGRGGRFSFAADSGLEGSSTEFQFRRPFGGRGGRGFFFPGRQGAFRGRGQPFSSRRPPFQPEEEFGRPFSGRYVGEEPYFYGDAGRGIKRPFSMMDHDSGYMEPGSRVRPRYDHPDPLPGASRYRDSFGMSGLYSRDYYGSDYGGGTYSSYGGPHSGGGYYY >Ma04_p22690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24950509:24956902:1 gene:Ma04_g22690 transcript:Ma04_t22690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNAGAAKAGATKKTPTPKKDAAAAADAAERTPEVATKSTPKSGAKTAKVAGKQSASRAAAAASAPVTVTPDPKQGSEVEEAATPAVEKKPAAAYAKKSVGGKGKTPLAQKAAAADPPKVEVVEEPAIKVEAKESEDEVEEENELKHDVEVADVKDTGGDNSEKIEEDPADAGQQMEEEVEPVEFEEPVEEEFMGTEENIGGVEGEEASVGMEEEEDPRVEEDEQILISDMAKRRKMKKEQEIFVGGLDRDAMEEDLKMTFEKVGEVVEVRLHKDFVTNKNKGFAFVKFANKEQAARALIELKNPMIRGKRCGIAPSEDNDTLFLGNICNTWTKEAIKQKLKDYDVEGVEHITLVLDTQNDGLSRGFAFLEFSCHQDAMLAYKRLQKPDVIFGHPERTAKVAFAEPLREPDPEVMAQVKSVFVDGLPPFWDEDRVKEQFKGYGEIERVVLARNMSTAKRKDFGFVNFTTHEAAVTCVEGINDTELGDGKSKMKVRARLANPLPKTQAVKGGMSGGYRIGYTGVGVYSRFGRGFGRGRFPSFRAGFQGGRGFNFRGRGRGGRFSFAADSGLEGSSTEFQFRRPFGGRGGRGFFFPGRQGAFRGRGQPFSSRRPPFQPEEEFGRPFSGRYVGEEPYFYGDAGRGIKRPFSMMDHDSGYMEPGSRVRPRYDHPDPLPGASRYRGSFSDSFGMSGLYSRDYYGSDYGGGTYSSYGGPHSGGGYYY >Ma00_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:2671337:2672206:1 gene:Ma00_g00720 transcript:Ma00_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEHSFRKKNVIVKSFCNVEVRSIFCALSQKFKILTIPKKFTFRKSYMLGFVKKRGGHKFCTKSRFDRFNRFIMNRLKILLTIPNILAQENLGIMLTIPNILSQENLYKPSR >Ma05_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11206217:11209451:-1 gene:Ma05_g15110 transcript:Ma05_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPILFSCFFPIGCVFLSDRMQVGSTIATIRCGLVDLTQSVRTRDPQGAVRHGIGPVQPLGRVDALHHLPC >Ma07_p24920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32081549:32089595:-1 gene:Ma07_g24920 transcript:Ma07_t24920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSSAPGITKFLHGDLDIWILEARSLPNMDLMTERMRKCFTVYGACGVPCGKPDNHRGVSKIITSDPYVSVCVSGATIAQTRVIPNSENPKWEEHFRVPVAHPASKIEFQVKDNDVFGAQLIGVAVIPVDKILSGETVSGWFPVVDPSGNSTKPYPELHFSLQFRNIEQNPLYKDGVGAGPNYSGVPNAYFPLHNQGSVTLYQDAHVPDNMLPNIALDEGKTYEQNKCWEDICHAIVEAHHLIYIIGWSVYHRVKLIREPTKPVPNGGELSLGELLKYKTQEGVRVVMLIWDDKTSHDKFLLKTDGVMHTHDEETRKFFKHSSVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQAGGNNRKISAFIGGLDLCDGRYDTPEHRLFRDLDTVFGKDFHNPTFPGTNQGPRQPWHDLHCKIEGPAAHDILTNFEQRWRKATKWRDFKLRKVTHWHDDALIKIERISWILSPSAYKSDTETSDEKDLENWHVQIFRSIDSGSVKGFPKHVHEAEGKNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFVGSSYHWPSYKNAGADNLIPMELALKIVSKIKAKERFAVYVVVPLWPEGIPTSAAVQEILFWQGQTMSMMYKVVGDALKEEGLSESHHPQDYLNFYCLGKCEPVLKEKLPPNQSPENSTQRLSQKFRRFMIYVHSKGMIVDDEYVVIGSANINQRSMEGSRDTEIAMGAYQPHYRWAEKHTHPRGQVYGYRMSLWAEHLGMLDDLFREPQTMECVRHVNKVAEFNWQSYASPEIKEMNGHLMRYPVKVERDGRVGPLPGHENFPDVGGKILGAHSTLPDVLTT >Ma07_p24920.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32081549:32089645:-1 gene:Ma07_g24920 transcript:Ma07_t24920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSSAPGITKFLHGDLDIWILEARSLPNMDLMTERMRKCFTVYGACGVPCGKPDNHRGVSKIITSDPYVSVCVSGATIAQTRVIPNSENPKWEEHFRVPVAHPASKIEFQVKDNDVFGAQLIGVAVIPVDKILSGETVSGWFPVVDPSGNSTKPYPELHFSLQFRNIEQNPLYKDGVGAGPNYSGVPNAYFPLHNQGSVTLYQDAHVPDNMLPNIALDEGKTYEQNKCWEDICHAIVEAHHLIYIIGWSVYHRVKLIREPTKPVPNGGELSLGELLKYKTQEGVRVVMLIWDDKTSHDKFLLKTDGVMHTHDEETRKFFKHSSVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQAGGNNRKISAFIGGLDLCDGRYDTPEHRLFRDLDTVFGKDFHNPTFPGTNQGPRQPWHDLHCKIEGPAAHDILTNFEQRWRKATKWRDFKLRKVTHWHDDALIKIERISWILSPSAYKSDTETSDEKDLENWHVQIFRSIDSGSVKGFPKHVHEAEGKNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFVGSSYHWPSYKNAGADNLIPMELALKIVSKIKAKERFAVYVVVPLWPEGIPTSAAVQEILFWQGQTMSMMYKVVGDALKEEGLSESHHPQDYLNFYCLGKCEPVLKEKLPPNQSPENSTQRLSQKFRRFMIYVHSKGMIVDDEYVVIGSANINQRSMEGSRDTEIAMGAYQPHYRWAEKHTHPRGQVYGYRMSLWAEHLGMLDDLFREPQTMECVRHVNKVAEFNWQSYASPEIKEMNGHLMRYPVKVERDGRVGPLPGHENFPDVGGKILGAHSTLPDVLTT >Ma07_p24920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32081549:32089582:-1 gene:Ma07_g24920 transcript:Ma07_t24920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSSAPGITKFLHGDLDIWILEARSLPNMDLMTERMRKCFTVYGACGVPCGKPDNHRGVSKIITSDPYVSVCVSGATIAQTRVIPNSENPKWEEHFRVPVAHPASKIEFQVKDNDVFGAQLIGVAVIPVDKILSGETVSGWFPVVDPSGNSTKPYPELHFSLQFRNIEQNPLYKDGVGAGPNYSGVPNAYFPLHNQGSVTLYQDAHVPDNMLPNIALDEGKTYEQNKCWEDICHAIVEAHHLIYIIGWSVYHRVKLIREPTKPVPNGGELSLGELLKYKTQEGVRVVMLIWDDKTSHDKFLLKTDGVMHTHDEETRKFFKHSSVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQAGGNNRKISAFIGGLDLCDGRYDTPEHRLFRDLDTVFGKDFHNPTFPGTNQGPRQPWHDLHCKIEGPAAHDILTNFEQRWRKATKWRDFKLRKVTHWHDDALIKIERISWILSPSAYKSDTETSDEKDLENWHVQIFRSIDSGSVKGFPKHVHEAEGKNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFVGSSYHWPSYKNAGADNLIPMELALKIVSKIKAKERFAVYVVVPLWPEGIPTSAAVQEILFWQGQTMSMMYKVVGDALKEEGLSESHHPQDYLNFYCLGKCEPVLKEKLPPNQSPENSTQRLSQKFRRFMIYVHSKGMIVDDEYVVIGSANINQRSMEGSRDTEIAMGAYQPHYRWAEKHTHPRGQVYGYRMSLWAEHLGMLDDLFREPQTMECVRHVNKVAEFNWQSYASPEIKEMNGHLMRYPVKVERDGRVGPLPGHENFPDVGGKILGAHSTLPDVLTT >Ma07_p24920.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32081549:32089645:-1 gene:Ma07_g24920 transcript:Ma07_t24920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSSAPGITKFLHGDLDIWILEARSLPNMDLMTERMRKCFTVYGACGVPCGKPDNHRGVSKIITSDPYVSVCVSGATIAQTRVIPNSENPKWEEHFRVPVAHPASKIEFQVKDNDVFGAQLIGVAVIPVDKILSGETVSGWFPVVDPSGNSTKPYPELHFSLQFRNIEQNPLYKDGVGAGPNYSGVPNAYFPLHNQGSVTLYQDAHVPDNMLPNIALDEGKTYEQNKCWEDICHAIVEAHHLIYIIGWSVYHRVKLIREPTKPVPNGGELSLGELLKYKTQEGVRVVMLIWDDKTSHDKFLLKTDGVMHTHDEETRKFFKHSSVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQAGGNNRKISAFIGGLDLCDGRYDTPEHRLFRDLDTVFGKDFHNPTFPGTNQGPRQPWHDLHCKIEGPAAHDILTNFEQRWRKATKWRDFKLRKVTHWHDDALIKIERISWILSPSAYKSDTETSDEKDLENWHVQIFRSIDSGSVKGFPKHVHEAEGKNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFVGSSYHWPSYKNAGADNLIPMELALKIVSKIKAKERFAVYVVVPLWPEGIPTSAAVQEILFWQGQTMSMMYKVVGDALKEEGLSESHHPQDYLNFYCLGKCEPVLKEKLPPNQSPENSTQRLSQKFRRFMIYVHSKGMIVDDEYVVIGSANINQRSMEGSRDTEIAMGAYQPHYRWAEKHTHPRGQVYGYRMSLWAEHLGMLDDLFREPQTMECVRHVNKVAEFNWQSYASPEIKEMNGHLMRYPVKVERDGRVGPLPGHENFPDVGGKILGAHSTLPDVLTT >Ma07_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32081549:32089582:-1 gene:Ma07_g24920 transcript:Ma07_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSSAPGITKFLHGDLDIWILEARSLPNMDLMTERMRKCFTVYGACGVPCGKPDNHRGVSKIITSDPYVSVCVSGATIAQTRVIPNSENPKWEEHFRVPVAHPASKIEFQVKDNDVFGAQLIGVAVIPVDKILSGETVSGWFPVVDPSGNSTKPYPELHFSLQFRNIEQNPLYKDGVGAGPNYSGVPNAYFPLHNQGSVTLYQDAHVPDNMLPNIALDEGKTYEQNKCWEDICHAIVEAHHLIYIIGWSVYHRVKLIREPTKPVPNGGELSLGELLKYKTQEGVRVVMLIWDDKTSHDKFLLKTDGVMHTHDEETRKFFKHSSVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQAGGNNRKISAFIGGLDLCDGRYDTPEHRLFRDLDTVFGKDFHNPTFPGTNQGPRQPWHDLHCKIEGPAAHDILTNFEQRWRKATKWRDFKLRKVTHWHDDALIKIERISWILSPSAYKSDTETSDEKDLENWHVQIFRSIDSGSVKGFPKHVHEAEGKNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFVGSSYHWPSYKNAGADNLIPMELALKIVSKIKAKERFAVYVVVPLWPEGIPTSAAVQEILFWQGQTMSMMYKVVGDALKEEGLSESHHPQDYLNFYCLGKCEPVLKEKLPPNQSPENSTQRLSQKFRRFMIYVHSKGMIVDDEYVVIGSANINQRSMEGSRDTEIAMGAYQPHYRWAEKHTHPRGQVYGYRMSLWAEHLGMLDDLFREPQTMECVRHVNKVAEFNWQSYASPEIKEMNGHLMRYPVKVERDGRVGPLPGHENFPDVGGKILGAHSTLPDVLTT >Ma06_p34520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34723082:34725914:-1 gene:Ma06_g34520 transcript:Ma06_t34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAPIGSSLPFSSSSALCPRRRPLLHLLRQPYCNRPLSLRVSSSISDSQNTNPNAVSKSLHVKPLESVRFDRLLTSSTEEEMGEGFFEAIEELERMVRDPSDVLGELVERLSARELQLVLVYFAQEGRDSYCALEVFDWLRKENRVDAETMELMVSIACGWIERLIGGEHAPEDVMTLLNEMECVGLDPGFSMVEKVVSLYWDRGKEDEAIAFVKDVLKRGGIGGYKIEEGHEGERGGPVGYLVWKMMVDGDYLGAVKLVIEFKENGLKPEVYSYLIALTALVKEQKEFSKALRKLKVSIKAGLINALDAENLGNIEKYQSALIRNGILLSDWALQEGSSAISGVVHERLLALYTCAGFGHEAEQQLWLMKLSGKEPDRELYDAVLAICASQKEAGAVGRLLAGVEIMSVGLRKKTLSWLLRGYVKGGFYVDASETLIKMLNLGISPEYLDRAAVLQGLRKNIQESGNIEPYIKLCKHLSDKDLVGPCLLYMYIHKYKLWILKML >Ma09_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5199866:5201121:-1 gene:Ma09_g07910 transcript:Ma09_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKASSLASGGRSLTSPPLPWTTRLYIALLSVVTDGARRSNGTINRCLLSFFDARSSASAKPRHGVRTADVPVDPSRDLWFRLFVPSSASSGRIPVIVYFHGGGFAYLSPASRAYDAFCRRICRKINALVVSVNYRLAPEHRYPAPYEDGVDVLRFLDDGGLASADPTAAGLADLSRCFLAGDSAGGNMVHHVARRWAADAAGGWKNLRLAGMVLIQPFFGGEERTESENRLVAAPLVSVDRTDWLWRAFLPEGADRDHEAANVFGPRADGELEAALPEAMVVVGGFDPLQDWQRRYYECMTERGKAVRLLEYPDAIHAFYVFPELKQSAAFIDELKAFIK >Ma01_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8960605:8970066:-1 gene:Ma01_g12370 transcript:Ma01_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactin [Source:Projected from Arabidopsis thaliana (AT1G03910) UniProtKB/Swiss-Prot;Acc:F4I2J8] MAKGEGDGKRERRRGRSPSSDDDDESETASDSPQRRRRSSSHGRSGRRSSDGDSSRRGRKKRSSGRRSSDDDGSDYFDSGSEDRRGRRKKRSSRDITDEEVLEYMAKKAQKKALKVAKKLKANAVSGYSNDSNPFGDSNLTEKFVWRKKIEHDITQGVPLDISVKAEKKRQRERMAEIEKVKKRREERAIEKAQHEEEMAMLARERARAEFQDWEKKEEEFHFDQSKVRSGIRLREGRSRPIDVLTKNLSGSEEFDIELNEPYMVFKGLTVKEMEELRDDIKMHLDLDRATPMHIEYWEALMVICNWELAEARKRDALDRARVRGEEPPPEVLAEERGLHSSIEADVKNLLEGKKFRDLEAMQQQIESQMRSGTAKVVEYWEAILKRLQIYKAKACLREIHTSLLRKYLQHLEQVADDEHHLEAHEDIRPEVEDVMHDTEDSKPYSPEPIIEEPSCEPEEELGSFSPQLLQGDEDDEAIDPEEDRAELERKREAVVIEQQRRIQEAITAKTNTPEDSMELKAMKAMGAMEEGDAVFGAGAEVTLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPVYTIEKDDSNGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >Ma01_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4112931:4114972:-1 gene:Ma01_g05810 transcript:Ma01_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQMGRERIKNLVTSGEGSSARPWPSMDFEVDSMSSRKNTSVKCSSCGARLVVPPQAQTICCAACRATTNVGRSRDPVRQAVGIVKAVVLNICSSISSLSSSSWSSGSSSYGYPTMGQPSSFPRVRGKKRAVLVGISYAGRQYELKGTVNDVNCMRYMLTEKFGFPAECILVLTEGERDPNRVPTKENLRAAMRWLVSGCVAGDSLVFHFSGHGVQRLDSSGDEMDGFDEALCPLDFESNGTIMDDEINDTLVRPLRRGVKLHALVDACHSGTILDLPYLCRLSRAGYYQWENHTAPSGVYKGTNGGLAILISGCDDHQTSADTSAFAGSASTGAMTYSFIQAIESEPGTTYGRLLTAMRAAIRASGIGISINGPIASLMRKVFNFGSTQVPQLSSSEMFDIYRKPFLL >Ma03_p30050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32848456:32852954:1 gene:Ma03_g30050 transcript:Ma03_t30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLADHHHDHTFSKIFIDVGNEKKTISFDLRPSDAINMTVQCKVPIQVDRNLVYSDRMRVVKPSKLTMQAPQSDGMLCDVDRPDGQPCFETEEFNLIQNMLTAAVEERYLDAAQWRDQLHQLRSNRKNWT >Ma02_p21690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27239058:27261365:-1 gene:Ma02_g21690 transcript:Ma02_t21690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGARGKGKGEKKKKDEKVLPLAVDITVKLPDESHVVLKGISTDRIIDVRRLLCVNTSTCNFTNYSLCHEVRGARLKDSVDITALKPCTLTLVEEDYDEERALAHVRRLLDLLCSTTCFGPSPPATPPPKDATPAVAAAAKDGKKSGGESGSRKAAPDPQRQAQSPTSPTKDLPADLEAEMSGACPRLGAFYEFFSLANLTPPIQFIRRTTNLRQDERPSDDHIFFLEVKLCNGKLVIVEACARGFYSLGKQRVLCHNLVDLLRHLSRAFDNAYEYLMKAFMERNKFGNLPYGFRANTWLVPPVAAQSPSIFPSLPAEDETWGGNGGGWGRDGKSDMVPWANEFLSLKSMPCKTAEERQIRDRRAFLLHSLFVDVAILRAIAAVKQAMEKKHDALPVGSENILHFETVGDFSITVTKDVSDAKCKVDTKIDGSKTTGIDAKHLVERNLLKGITADENTAAHDIATLGVVNVRYCGYIAVVKVNHHEKSEEHLPLQGVDIKDHPEGGANAFNINSLRMLLHKNHTSREKSTYNSLQNSKPEELSAAQAFVEKLLSDSLVNLEEEKAECNVSMRWELGACWIQHLQDQNNGEKDKKQAGEKDKKQTGEKTKSETRVEGLGKPLKILKNHKKKPDFDEEKISTVDRKSSDETPGGMKDVKLPFEEPKVETTATEDACKLKDLLPEPAYTRLQESKTGLHMKSPQELTEMASRYYDEVALPKLVSDFGSLELSPVDGRTLTDFMHTRGLRMHSLGRVVKLSEKLSHVQSLCIHEMIVRAFKHVVRAVIAAVSDTRDLSISIAATLNLLLGLPDSGVSHSSVPVHTLVWRWLEVFLKKRYDWELSVSSYFDIRKYAILRGLCHKAGIELAPKDFDMDSAFPFDKLDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVSYGTKALAKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATTYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKALEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPIQDGKGRDADSVKKRNLGLKVKVQSSQNLIVADSHATISDRTKKVDTASNSQDDKNTIDPGVEVKHEEVVEKQPAVSQQSEGTTEHKLPSDDEDGRDINTEIEDGWQPVQKQRLGGGSSQRIKQRRTSTWKTYNYQMNDVPGETAQSKPRFSYLNNRYYVLKKRTVVPGSFSDNLNMKIQSPGTKFGRRVYRAVTYRVKSVPSSTNQEITDNYRNAAERMAPSVDGQAPYLHHDNEVLKDQKYRTGDVSEPHNHLVVGLTNSPSYKDVALAPPGTIAKVQSLKSLEDTPLKQEIYIGKHVSELRDSFVNEKHAENAAELTQISDIAQENDSPQDVVLDLGTKAEIKGEGEGVCELESPLEPLASDLELSSSGSMPIKSSFDNNILCNEVQEVEQNDSHDPNLSENTSGIVTLTLECLTTKQSKEENHEEVLCSNVHVGSSSSIHQEDLQKVDISEKTFSDDPMVKLPSSDSDIRELPAKKLSASAAPFNPSLPVVINPLPVSVGLPPSGVIPTMTPWQLSATLHAAPTAVMPSVPPICTSPLHPFASSSRSPNILHPLPFIYPPYTRPQIMPNTTFAMNSNMFHGNHYPWQCNIGPNAPDFVAASVWPVCHPVDFSSFSPVLSPISESTVESTMTSDVSNGMSLTPLLSINIEEGTKTDENNEKSQVIDTGKSLDGKLSEKQEAEESQSSNTKTTELESETDFREDAQPSGEKHVHESSQKYEGEGSLGIYIKGRSRRKQTLRLPISLLKRPYGSQSFKVVYSKVVRGSDVRPANASSSIDANVS >Ma02_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27239058:27261365:-1 gene:Ma02_g21690 transcript:Ma02_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGARGKGKGEKKKKDEKVLPLAVDITVKLPDESHVVLKGISTDRIIDVRRLLCVNTSTCNFTNYSLCHEVRGARLKDSVDITALKPCTLTLVEEDYDEERALAHVRRLLDLLCSTTCFGPSPPATPPPKDATPAVAAAAKDGKKSGGESGSRKAAPDPQRQAQSPTSPTKDLPADLEAEMSGACPRLGAFYEFFSLANLTPPIQFIRRTTNLRQDERPSDDHIFFLEVKLCNGKLVIVEACARGFYSLGKQRVLCHNLVDLLRHLSRAFDNAYEYLMKAFMERNKFGNLPYGFRANTWLVPPVAAQSPSIFPSLPAEDETWGGNGGGWGRDGKSDMVPWANEFLSLKSMPCKTAEERQIRDRRAFLLHSLFVDVAILRAIAAVKQAMEKKHDALPVGSENILHFETVGDFSITVTKDVSDAKCKVDTKIDGSKTTGIDAKHLVERNLLKGITADENTAAHDIATLGVVNVRYCGYIAVVKVNHHEKSEEHLPLQGVDIKDHPEGGANAFNINSLRMLLHKNHTSREKSTYNSLQNSKPEELSAAQAFVEKLLSDSLVNLEEEKAECNVSMRWELGACWIQHLQDQNNGEKDKKQAGEKDKKQTGEKTKSETRVEGLGKPLKILKNHKKKPDFDEEKISTVDRKSSDETPGGMKDVKLPFEEPKVETTATEDACKLKDLLPEPAYTRLQESKTGLHMKSPQELTEMASRYYDEVALPKLVSDFGSLELSPVDGRTLTDFMHTRGLRMHSLGRVVKLSEKLSHVQSLCIHEMIVRAFKHVVRAVIAAVSDTRDLSISIAATLNLLLGLPDSGVSHSSVPVHTLVWRWLEVFLKKRYDWELSVSSYFDIRKYAILRGLCHKAGIELAPKDFDMDSAFPFDKLDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVSYGTKALAKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATTYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKALEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPIQDGKGRDADSVKKRNLGLKVKVQSSQNLIVADSHATISDRTKKVDTASNSQDDKNTIDPGVEVKHEEVVEKQPAVSQQSEGTTEHKLPSDDEDGRDINTEIEDGWQPVQKQRLGGGSSQRIKQRRTSTWKTYNYQMNDVPGETAQSKPRFSYLNNRYYVLKKRTVVPGSFSDNLNMKIQSPGTKFGRRVYRAVTYRVKSVPSSTNQEITDNYRNAAERMAPSVDGQAPYLHHDNEVLKDQKYRTGDVSEPHNHLVVGLTNSPSYKDVALAPPGTIAKVQSLKSLEDTPLKQEIYIGKHVSELRDSFVNEKHAENAAELTQISDIAQENDSPQDVVLDLGTKAEIKGEGEGVCELESPLEPLASDLELSSSGSMPIKSSFDNNILCNEVQEVEQNDSHDPNLSENTSGIVTLTLECLTTKQSKEENHEEVLCSNVHVGSSSSIHQEDLQKVDISEKTFSDDPMVKLPSSDSDIRELPAKKLSASAAPFNPSLPVVINPLPVSVGLPPSGVIPTMTPWQLSATLHAAPTAVMPSVPPICTSPLHPFASSSRSPNILHPLPFIYPPYTRPQIMPNTTFAMNSNMFHGNHYPWQCNIGPNAPDFVAASVWPVCHPVDFSSFSPVLSPISESTVESTMTSDVSNGMSLTPLLSINIEEGTKTDENNEKSQVIDTGKSLDGKLSEKQEAEESQSSNTKTTELESETDFREDAQPSGEKHVHESSQKYEGEGSLGIYIKGRSRRKQTLRLPISLLKRPYGSQSFKVVYSKVVRGSDVRPANASSSIDANVS >Ma05_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36209415:36216880:1 gene:Ma05_g24020 transcript:Ma05_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MSGPWSEEAEEDASSLAGTTDVELIKRAWRNEKAAPEILQFEGPLVLRVREQIQLLEETVEESTENGSNDLVVSLYQMDLDRTLFLLRSYLRIRLQKIEKYMIHISKTNLWNRLSEQEKKFAKRCTEIMEKLLEQSVLARLPYGYESFLKQSISSEEDDMVPEPQLDTFVFCKAKDAVGAFQLDDSGDEIVDLVADDLYVIRYKSIKGLVEAGQIDLV >Ma05_p24020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36209415:36214821:1 gene:Ma05_g24020 transcript:Ma05_t24020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MSGPWSEEAEEDASSLAGTTDVELIKRAWRNEKAAPEILQFEGPLVLRVREQIQLLEETVEESTENGSNDLVVSLYQMDLDRTLFLLRSYLRIRLQKIEKYMIHISKTNLWNRLSEQEKKFAKRCTEIMEKLLEQSVLARLPYGYESFLKQSISSEEDDMVPEPQLDTFVFCKAKDAVGAFQLDDSGDEIVDLVADDLYVIRYKSIKGLVEAGQIDLV >Ma06_p11000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7726075:7727020:-1 gene:Ma06_g11000 transcript:Ma06_t11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNHLHDVSGDSLPLLLLAAAVASIAYLRSLLLRLLPLSSSPVDAVHDIEPSIGSGLAGLVVLADHLASNRPFPFLSCPSEVGDRRPECAVCLCSLADGDRVRRLPCRHVFHGECLDGWLRQLNLSCPLCRSQLAAPELRAVVDRRIGAELVSWLSHY >Ma06_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7726075:7727020:-1 gene:Ma06_g11000 transcript:Ma06_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNHLHDVSGDSLPLLLLAAAVASIAYLRSLLLRLLPLSSSPVDAVHDIEPSIGSGLAGLVVLADHLASNRPFPFLSCPSEVGDRRPECAVCLCSLADGDRVRRLPCRHVFHGECLDGWLRQLNLSCPLCRSQLAAPELRAVVDRRIGAELVSWLSHY >Ma03_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26703846:26707005:-1 gene:Ma03_g21780 transcript:Ma03_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALASLLRSSAQRSHAIRLPAPRRLPPSIASRTSPTGFLLSRAVEYATTSAATGAPTTQQSPSSKGLTGKITDEFTGAGSIGQVCQVIGAVVDVRFDQGLPPILTALEVLDYPIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQKVANTGSPITVPVGRATLGRIINVVGEPIDENGDIKTSHHLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVSSFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKDSAS >Ma11_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21766613:21768649:1 gene:Ma11_g16200 transcript:Ma11_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPVQETMLLCLADDTESTNPIKEVDFFSQNRRQDVAEGRQGDAEDSPAHGATYVHTGLDLLTVNSQASKEEKKPKNKLTTLRIELIRVRDENRRLRSMMDQLTQSYTALQCQLLQVMRQREHEIRHTQVGKMCVSVPEGESSAHQFMQPHPTRMWSINGNSKDDDDDREHSSSLNISSNDHSIIPSAERVDVFSEGNNGPMPMQESSCADTSSDLPRRRARVSVRARSNARMIGDGCQWRKYGQKMAKGNPCPRAYYRCTMAIGCPVRKQVQRCAEDKTLLVATYEGNHNHPLPPAAKALASTTSAAAAMLLSGSTGSGGFLQSAFPTVALDLTQSVNPLQQLPQAHPAAVPLAMPLFMHRHPQKPPQALQLGLQRGAMVDTVTAAITTDPNFAAALAAAVTSIMGSAPASAAGASSGPHVVPGSPQLPKSCTTFSTN >Ma05_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17490217:17497937:1 gene:Ma05_g16580 transcript:Ma05_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLIDGELGQTATDEKQYDFDLFVIGAGSGGVRASRTSAAFGAKVAICELPFHPVSSEVIGGVGGTCVIRGCVPKKILVYAAQFRGEFE >Ma03_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:295383:299411:1 gene:Ma03_g00310 transcript:Ma03_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) UniProtKB/TrEMBL;Acc:Q9FFJ2] MSAASASAVAAATSPINHTLRATSVPPPSATPHLFAAQKSAFHGSSLSEHKRNCSSAGMKSNGAVGGRRGLVVRAKTAGASKNIEVDVDKPLGLTLGQKPGGGVVITAVDSGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGADVDVKRLTKRPAPPRFGRTLTESQKARATHICLDCGFIYFLPKPFDEQPDTYICPQCNAPKKRFVRYDVETGKPIGGGLPPIGVLVGLAVGVAAVGALLVYGLQ >Ma06_p29310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30722596:30725353:-1 gene:Ma06_g29310 transcript:Ma06_t29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVLVAATVVGMIGGARGAPTWCIARNGAGATALQAALDYACGSGLADCAPVQPSGICYLPNTLPSHASYAFNSYYQRSNAAPGACDFSGTATVTVTDPSYGSCTYPSSASTAGGSTSTPNTNTPSNSPVTTFTPPTAPTFSGTGGGIGGLSPPGFGSTEPNIDTSTASPLRPILSVATCLCFIPLLF >Ma04_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4555167:4556777:-1 gene:Ma04_g06170 transcript:Ma04_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVATGRTITGWAARDASGILSPYTFTLRKTGPEDVVIKVLFCGVCHTDLHQTKNDLGGSKYPMVPGHEVVGEVVEVGAEVTRFRLGDTVGAGVIVGCCRECAPCKAGVEQYCNKKVWSYNDVYADGKPTQGGFASAMVVDQQFLVRIPSALAPEQAAPLLCAGVTVYSPLKHFGLTAGGLRAGILGLGGVGHMGVKFAKAMGHHVTVISSSHRKRAEAVDHLGADAYLVSSDEDAMAAAADSLDYIVDTVPAFHPLERFLPLLKLDGKLILLGVINQPLQFLTPMVMLGRKCITGSFIGSMQETQEMLEFCVERGVTSTIEVVKMEYVNEAFRRLERNDVRYRFVVDVAGSNLDA >Ma04_p37400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35333560:35335143:1 gene:Ma04_g37400 transcript:Ma04_t37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGAESCVPLSEVATSNTHGEDSPYFAGWKAYDEDPYDAASNPAGVIQMGLAENQVSFDLLEKYLDQHPGASGWGCGISGFRENALFQDYHGLQTFRQEMATFMEQIREGRAKFDPERIVLTAGATAANELLTFILADPGDCLLIPTPYYPGFDRDLRWRTGVRIIPVHCSSSNGFQVTLQALEDAYVKAEGMKIRVRGLLLTNPSNPLGTAIARHVLEEVLDFVTQKDIHLISDEIYSGSVFSSDEFVSVAEIVEARGYKDCDRVHIVYSLSKDLGLPGFRVGAIYSYNDRVVTTARRMSSFTLVSSQTQRMLASMLADRGFTENYLKTNRERLKNRRDFITEGLKNAGIECLPGNAGLFCWMNLAPLLEEPTREGELRLWSLIVHEAKLNISPGSSCHCSEAGWFRVCFANMSQQTLEVAVRRIKDFMKNMKAIQEK >Ma01_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15807499:15809709:1 gene:Ma01_g19890 transcript:Ma01_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFSHSIPSPVSLHPMPSHLAADAPAVPVLPRSTDHHHHKLVISGGGHLSGHVPISGSKNSALAVLAGALCCSGGAVEVRGVPDISDARAMVAILRSLGAQVQERGGGELAVDSTALSSAEPAADEVRKIRAGFFVLGPLVARLGEAAVALPGGCSIGARPVDLYLRGLSALGAVVELRHGKVHVKAANGRGLTGGRFHLDYPSVGASETLMMAASMAEGVSVLTNVAQEPEVADLARFLVACGAQIKGVGTRTLVIDGRRSLHGAQFTVIPDRIETGTFMVAAAITRSCVSLSPVIPCHLTIIIDKLSAVGCRISQKGDGILEVSAATATAGGDLRGLYLKTLPYPGFPTDLQPQFMALLTTCGGPSIVEESVFENRMHHVKELQKLGARIEVHGRSAFVKGRTTQRNVALSGSKVEAADLRGGAALVLAGMAARGVTEVVGVHHIDRGYENFEAKLLNLGADISREVIC >Ma02_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27282404:27285404:-1 gene:Ma02_g21730 transcript:Ma02_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTVSTVTCSIRKAPHKAAPFNFMSSLSSFKPVFRRLQQQFVSLKVEVPKIIKTTSLNLLDGVVDSLFEFEEQAVFNEGNFAPVDEVGEALQLSVMDGEIPDDFPEGIYFRTGPNHLYPNQTAAVSIFGRTGYTWVEGDGMLHATYFSKDDEGNWKVSYKNKYVESETFLMEKNRNKKMFIPAADGDPTAILAAFVLNIVSQDCLRGLTNIILVNFRPPKYFQCILQMRFGKAVKDDSNTNVFEHAGKLYAVSEQHLPYEIDASDLHTLKAWDVNGAWHHPFTSHPKKAPHTGEMVIMGVDIKRPHYILGIISADGGEMLHKVDLKFRTGNLVHELGVTENYNIIMDYPLRFGINRVLAGKSFIGYDGDGESRIGVMPRFGDAESISWFTVRNHCSFHIINSFEDGDEVVVRGCRTTGSVLPGPDHKANKAEWYRRAYLQPNEDSHSFDPATDGVLFSRPYQWRLNMRTGAVKEGYLTGKEIAMDFPAINLSFTGLRNRYAYAQVVDSEASSKLGLSKYNMLAKLHFGLQDEDDEELAKVEYHELGDGEYCTGAQFVQKQEGTEEEDDGWLLCYVHDERSNISKVYVIDAKRFTEEPVAKISLPRRVPYGFHAYYVYE >Ma08_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6153100:6168074:1 gene:Ma08_g08710 transcript:Ma08_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQPVANGEPVVGENQAKPEEVMKRPPPSRPVIIADLNVDPPESDGEDCALDSVPHSIPRNSMDESSTVNSAAIIRDSDIIDGEDLDQHCQGVSVSREEKVSSLKAGLVHMARKMPKNAHTHFVLGLMYQRLGQPQKAVLAFEKSTEILQKDEEEIQRTDLLSLVLIHHAQCLLLANAGDSSEKELEGDELEEILVKLKCSVESDAKQAAFWNTLGLLLLRTGRLQSAISVLSSLLAIVPDYLDSLANLGIAYLQSGNLEYSAKCFQNLLLKDQNHPAALMNYATLLLCKYASIIPGPGANAGEGAFVHRVEATTVAKECLLVAVKADPRAGPLWVNLANAYYVSGDHRSAKKCLEKAANLEPIQMSTRYAIAVHRIKDVERTQDSREQLSWAANEMASILKEGDPAIIDLPIAWAGLAMAHRAQHEIASAFGSGQMDLDEADERALYTLKQAIEEDPDDAIQWHQLGLHNLCTMQFKASVKFLKAAVARSRECSFAWSNLGIALQLTDDPSSAELVYKKALSFAANQHAHAILSNLGNLYRRQKRFNDAKVIFAKSLELCPGYAPAYNNLGLVYVAEGLWEEAKTCFEKALRSDPLLDAAKSNMTKADAMTRIQETMRTI >Ma07_p07100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5151888:5165519:1 gene:Ma07_g07100 transcript:Ma07_t07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNYLCLSAFSTAVGLVGLQWWTVSSLDRMRSDGLFVGDGHGVSLESARRALELLLSSHVTVAMLVNFVINLYVLVVLLLKTLFFVRLNASETRKVLEGFVNYILYKGAFLLLVVPPDISQVIIWSSWLIFLCFLKIFQSLARDRLERLNASPSVTPLKYFRVFSALLMVLSADFLWMKLCMIYISHSSSLFMLLFFEPLCIAFETFQAIMVHGFQLLEICQGHSNKSAADCSADSDIQKTAAGSLSEWKGILIRHCGFILDMFTLAMVLGHYLMTWWLHGMAFHLVDVVLFLNSRALLSAIMKRIKTYINLWKSLSSLDGALPDASYEELCAYDDDCAICRGPMARAKKLWCNHLFHLVCLRSWLDQGLTEMYSCPICWRPLFVSSPRGHTRSISGNGTDDLQLPEYLNLGMNLQTVPDHASPLGASPNHQQNASDTIWRVAASDSSWAPPLANQGMAGAGTSSSVRPVGYGGVQMMMRQLASVSENFAHGSLDNDAWTPWPSQHTSMPSLPSSSSLRMNRNATGLHIRNTSPSVNNMSELLAMVDIVREVLPHIPDELIVQNLLRTNNIDITVNNLMQ >Ma07_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5154782:5165519:1 gene:Ma07_g07100 transcript:Ma07_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGYDLKVTNVAGAFLLLVVPPDISQVIIWSSWLIFLCFLKIFQSLARDRLERLNASPSVTPLKYFRVFSALLMVLSADFLWMKLCMIYISHSSSLFMLLFFEPLCIAFETFQAIMVHGFQLLEICQGHSNKSAADCSADSDIQKTAAGSLSEWKGILIRHCGFILDMFTLAMVLGHYLMTWWLHGMAFHLVDVVLFLNSRALLSAIMKRIKTYINLWKSLSSLDGALPDASYEELCAYDDDCAICRGPMARAKKLWCNHLFHLVCLRSWLDQGLTEMYSCPICWRPLFVSSPRGHTRSISGNGTDDLQLPEYLNLGMNLQTVPDHASPLGASPNHQQNASDTIWRVAASDSSWAPPLANQGMAGAGTSSSVRPVGYGGVQMMMRQLASVSENFAHGSLDNDAWTPWPSQHTSMPSLPSSSSLRMNRNATGLHIRNTSPSVNNMSELLAMVDIVREVLPHIPDELIVQNLLRTNNIDITVNNLMQ >Ma01_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4371022:4373911:1 gene:Ma01_g06110 transcript:Ma01_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLLKVFVLFSCVAIFTGDLCLAEPQRAQYGGGIVRNPEFNDGSTGWSVFGFGQIVERTSDTGNRFLATDRRNLSHQSMSQKVYLERGMLYTFSAWLQVDQGNTTITAIFETAKDGLVPIGAVEARSGCWSMLKGGLTAKSSGPAEFHFESKDTSVEIWVDSVSLQPFTEGQWRAHQAESINKVRKKTLAIRAVDANGHAVPGASVSIQQKRSGFPFGCAIASTILENSAYQSWFTSRFTVTTFENEMKWPSNEPEQGKEQYADADAMLAFAKQHGIAVRGHNLVMDVPEAVQSWVQSLPTQQLREAVNKRFNSVIPRYRGQVIAWDVVNENIHNTYYEDKLGENASSIFYQQAHQLDPNALMFLNDFNTLEAPVDDKATPEKYLQRLQQIRSFGNLPRMAIGLESHFTIPDISYVRSALDKLAGANVPIWLTELDVANSNESKYLEDVLREAYSHPAVQGIVMWGAWHPQGCWRMCLTDNNFKNLPTGDVVDKLIFEWKSDNLAATTDADGLYRVELFHGEYKITVSHPSSNSSSVRSLTLDSATQNNNVLSFIVLSN >Ma06_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6811190:6811531:1 gene:Ma06_g09800 transcript:Ma06_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWLELSTLLYSLRRYIFKYLKAVMCYTRRLASYRQEQRTRTRFHVGVLFHLRFKYADEHGLQEVNCLFMINAITCPQAS >Ma02_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26805414:26808892:1 gene:Ma02_g21060 transcript:Ma02_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGKVSSNDKQVHPIANTSMDPTKSSETGHGPNPRPPMSSDSVSTKVSRHKDLEPVAKDVSKSSSRSPKHNPTHGPGSRLPMISETASTSSSTSTSIGTSMATMAAKSSDAKLSDSCTTAVRGSSGGNRSDSTESANSAPIKRHTGGDCRWEAIQLANARESPVGIGHFRLLKRLGYGDIGSVYLVELRGTGAYFAMKVMDKASIVSRNKLLRAQTEREILSMLDHPFLPTLYSHFETQKFYCLVMEYCSGGNLHSLRQKQPNKYFSEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVREEGHIMLSDFDLSLRCSVDPTLVKSSSVRSGSSGGSSGNDMFDGEPPIQSCIQPSTFFPRILPKKNRKSKSDFGINNGSALEFMAEPTNARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGSGNRATLHNVVGQPLRFPETPLVSLVARDLIQGLLVKDPQRRIAYHRGATEIKQHHFFEGVNWALVRSMAPPHIPEPINYSQFASKEKKATDGGLSGSSGSKGSASDSSYFDFEYF >Ma08_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2588870:2589137:-1 gene:Ma08_g03580 transcript:Ma08_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYDSSVHVHVNLSMISVHYLLKFYPSISV >Ma02_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13295602:13298079:1 gene:Ma02_g02210 transcript:Ma02_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDDQLLDDLCALVLAAFRSPRTAPPMREQVTPAGFASFLLGASVAMMLCGSMTFLIGFLLLPWVIGLLAVVYFAGFVSNLSGLTRAILRRISASSPEAIPDILSSKFTTC >Ma09_p27820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38720122:38724986:-1 gene:Ma09_g27820 transcript:Ma09_t27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAKKAASEELERRSQYLSSLVQRTKIMGDNAAAAADHDKKEVVEATAAKPERREQLRQWSQQRMQEKEVVEESREKKKGVGDGDRCVGDVRDPPQGQQQQQQKEEEMNVKVRAADMSLALQKHAFRCARETLTSMPKLESKRLALALKKEFDSTYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKVYILLFRTAVEPVDR >Ma05_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32337677:32337963:1 gene:Ma05_g20670 transcript:Ma05_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPSYIILPRSSNTTLPLSDRIPFWPAAPRGYRSRERMGKYATQVRKIALLLMDAILESLGLGPAV >Ma06_p08100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5748184:5749989:1 gene:Ma06_g08100 transcript:Ma06_t08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLLEACHPNGHQDFNYRLDDPFHSIANGFPCGFDGFCPQHLSPPLDDTENMVPIEDIQSLGLPCYIAESTNGDHVEPEDVSMVSMTPSLETPIDFSYPDELSSVVNNKPPQMEVEKNKKAMPARRSGGKGQKKTSVVKGQWTAEEDRLLISLVEQHGLRKWSHIAQMLHGRIGKQCRERWHNHLRPNIKKDTWSDEEDKILIQAHTEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRCRNRKNPKSGMLLQNYIKSLALSSAPSTRRRKASSGNSKAATAGAVEELSAAADASPNSDDNLVPACDFSDVMASLLFDEEEEEEKAVPCESYDFGYFLDQLGCGADVDKSLQTEVEVEVAMEWEDMAAMPLCCEDMKPEMDLLEMLSQSSMQ >Ma11_p19970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24614283:24616556:1 gene:Ma11_g19970 transcript:Ma11_t19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSTWVLCFLALLLLSGLSPVMPASPDASPIDREIKDADASKLRTYIIRMRLPENATFASTQALENWYRSLLPPIAANSSVARFVYAYSDAITGFAARLTEEELGYIEQKEGFLASYQDVKLPLLTTHTPELLGLQPGQGLWSNSNMGKGVIIGVLDTGLAIGHPSFGDDGMEPPPSRWKGNCPHNGIGCNNKVIGVRIFTSSGGGSAPEGAADDEVGHGTHTSSTAVGNFVKNANVLGSGNGTAAGVAPRAHLSIYKVCSSDGCITSDVLAGMDAAIKDGVDVLSLSLGGGPRALYADVIAIGAFSAIEKGIFVSCAGGNSGPSPSTLSNEAPWILTVGATTIDRNIRATVKLGNGLEFDGETAFQPANFSSTMLPLVMPSSSQEYRNCLVGVVMPEVKGKMVVCQRALGSRIQLGETVKTNGGAAMLIINGELDGYTTLAEAHVLPASHLNYVNGSSIEKYVNTSKEPVASITFKGTILGVSPSPTISFFSSRGPNSISPGILKPDVVGPGVNILAAWPFEVGPSPNNSATVFFNMISGTSMSTPHLSGTAALIKSVHPDWSPAAIKSAIMTTSDIVANDGKPITDELHQPATFFATGTGHVNPTKAADPGLLYDLNADDYIGYLCGLGYSDAQVEIVTHRRVTCDTVKKIKDVELNYPAIVITAAASFDNITVNRTVTCFCSGKTVFKLKVDKLSGVSAKVSPETLEFSHENEKKTYSVSLSWQSSNVNDAEGNLWWVSDMHSVRIPIVVRA >Ma02_p12820.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21460932:21469286:1 gene:Ma02_g12820 transcript:Ma02_t12820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHNLNFNHGRGFSQSFSNQNVVPFQSEVVNSATGLMSGGMHSSGEISGMAGMIMPRNLGTQSNTSSMMSLPGKSSGNIILESAHPLKHSPAVAVRWSFEELIVLKQCLVAYADEPNIMKYIKIAARLPDKTVRDVAMRCQLMTKKEIGKRRKLEDYHADKKEKMAYSSSVASVNSVRSDNMTTYSFPMLDVHCSNQLLLKASPINSETQRLLIENVTLLDQIGSNLEMSKVHDNVNLFFRTRDNIRTILNSISSMPGIMSQMPSLPVSMDDNLFQSIFPLISQVYVPGSSHLKEEPRFW >Ma02_p12820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21460981:21469286:1 gene:Ma02_g12820 transcript:Ma02_t12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHNLNFNHGRGFSQSFSNQNVVPFQSEVVNSATGLMSGGMHSSGEISGMAGMIMPRNLGTQSNTSSMMSLPGKSSGNIILESAHPLKHSPAVAVRWSFEELIVLKQCLVAYADEPNIMKYIKIAARLPDKTVRDVAMRCQLMTKKEIGKRRKLEDYHADKKVKDSMEKMAYSSSVASVNSVRSDNMTTYSFPMLDVHCSNQLLLKASPINSETQRLLIENVTLLDQIGSNLEMSKVHDNVNLFFRTRDNIRTILNSISSMPGIMSQMPSLPVSMDDNLFQSIFPLISQVYVPGSSHLKEEPRFW >Ma02_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21460981:21469286:1 gene:Ma02_g12820 transcript:Ma02_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHNLNFNHGRGFSQSFSNQNVVPFQSEVVNSATGLMSGGMHSSGEISGMAGMIMPRNLGTQSNTSSMMSLPGKSSGNIILESAHPLKHSPAVAVRWSFEELIVLKQCLVAYADEPNIMKYIKIAARLPDKTVRDVAMRCQLMTKEIGKRRKLEDYHADKKVKDSMEKMAYSSSVASVNSVRSDNMTTYSFPMLDVHCSNQLLLKASPINSETQRLLIENVTLLDQIGSNLEMSKVHDNVNLFFRTRDNIRTILNSISSMPGIMSQMPSLPVSMDDNLFQSIFPLISQVYVPGSSHLKEEPRFW >Ma02_p12820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21460981:21469286:1 gene:Ma02_g12820 transcript:Ma02_t12820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHNLNFNHGRGFSQSFSNQNVVPFQSEVVNSATGLMSGGMHSSGEISGMAGMIMPRNLGTQSNTSSMMSLPGKSSGNIILESAHPLKHSPAVAVRWSFEELIVLKQCLVAYADEPNIMKYIKIAARLPDKTVRDVAMRCQLMTKEIGKRRKLEDYHADKKEKMAYSSSVASVNSVRSDNMTTYSFPMLDVHCSNQLLLKASPINSETQRLLIENVTLLDQIGSNLEMSKVHDNVNLFFRTRDNIRTILNSISSMPGIMSQMPSLPVSMDDNLFQSIFPLISQVYVPGSSHLKEEPRFW >Ma09_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17055346:17055507:-1 gene:Ma09_g18220 transcript:Ma09_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIENDLPNESVYPLLHRELMWWLDVETYLVVNSNKADKITYLSVILNKGVLT >Ma01_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1027854:1030719:1 gene:Ma01_g01480 transcript:Ma01_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVVMICAAVSFLGLLSASLGFAAEATRIRVSDVQTSTLGQCTYPRSPSLALGLIAAVALVIAQAIISTIAGCICCKKYPNPSDTNWTIGMFAFVASWVTFIIALVLLLSGAALNDKWGQERVYFGKYCYVIESRVFTGGAVLSLASVALGIFYYVSSTLPKNIQVSNPQQNQGISLGHSNIPPGTQTTLVFVHEDTYIRQQFP >Ma02_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19574915:19579247:-1 gene:Ma02_g09710 transcript:Ma02_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGKLGDGTTPGTWVMGTPVAPHANPGNWQGVPVDHVTSSSAGPSAGHCPSNTNRAMPSVPGASHFPSSTYGGGTGNPYVNISPFPHGSNASGNPYVNVSPVPTKSPSETILKVLGRCGKKLEDTTRKAGDVAGNVWHHLKTSPNVTDAALARLAQGTKVLAEGGNEKVFQQAFGIFPGEQLRKAYACYLSTSAGPVIGTLYLSTARIAFCSDNPLCTNFSNAQQEWAYYKIAVPLDQLRAVNPSANSRNPSEKYIQIITMDNHEFWFMGFVSYDKALKNLREALHFSPHGSYQPNF >Ma06_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7875652:7877770:1 gene:Ma06_g11210 transcript:Ma06_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSKRKRRSGDDEPRPSKPRAASGDDPQATDDEVEEFFAILRRMRDASRSIATAGGGARSDSRPQPPPPLPPPPAGPRWSPEFALEDFQGDGLARDGGAADKVAEESAAKPSRCLDLNADPEPEGLAVASPRGEATARCARAPA >Ma08_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21179445:21181012:1 gene:Ma08_g16850 transcript:Ma08_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPHRHMIGYRQGNTSIHFVLALLLVAPRCGAQSSAMSQNYYGQTNPSNISPSIATVIVVLISAFFFLAFFSFYIRQCAGASVAVHQRDGVAGRVRSGRRSGPAGLSVEVLETFPMMAYAEAKALKVGRGALECAVCLSEFEDDEALRLLPGCYHVFHPDCIDAWLASHITCPVCRYDLSVASLEPPLAISTADPTPENADPLPDHVVVTDRAPTDQEAIELARIGSERREARSRRGRRSAKLPRSHSTGHSLVQSGDGQREDVDRYTLRLPDHIRQEIFAARKFHRSASCVAFPVAGEGSSRPGYCGGAAEGEGSNRVARSVRLGISDRWPSFLIRTLSLTVPAWKRGEGEGSAKKAEAEGSSRGRFGGVRTPFDCLGGGGARFNVPGDERGPAQ >Ma07_p11460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8506920:8512443:1 gene:Ma07_g11460 transcript:Ma07_t11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHSVSDGVGDIHLKTDNLSSTDGQTENYKSVYKVGLPPRRNFIREFADAVKETLFADDPLRPYKDQPKSRKLLLGLQFLFPVLEWGRYYNLSKFKGDVIAGLTIASLCIPQDIGYAKLANMDPKYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEVDPLNDKEEYRRLAFTATFFAGVTQATLGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKGFLGIKNFTKNTDIISVMKSVWGSVHHGWNWQTILIGTIFLAFLLFAKYIGKKRKSLFWVPAIAPLISVVLSTLLVDLTRADKYGVQIVKKIDRGINPSSVGQIHFSGSYALKGFRIGVVAAMIALTEAIAIGRTFAAMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNFMAGCKTSVSNMVMSLVVMLTLLLITPLFKYTPNAILSSIIISAVISLIDYEAAYLIWKVDKFDFIACMGAFFGVVFVSVEIGLLVAVSISLAKILLQVTRPRTALLGNLPGTTIYRNMEQYPEATKVPGILIVRVDSAIYFTNSNYVKERILRWLRDEEEQLKENDLPQIDFLIVEMSPVTDIDTSGVHAFEELYRSLRKHEVQLVLANPGPVVIEKLYSAKFPELLGHDKVFLTVAEAVMTCTPKAREDV >Ma07_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8508484:8512443:1 gene:Ma07_g11460 transcript:Ma07_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHSVSDGVGDIHLKTDNLSSTDGQTENYKSVYKVGLPPRRNFIREFADAVKETLFADDPLRPYKDQPKSRKLLLGLQFLFPVLEWGRYYNLSKFKGDVIAGLTIASLCIPQDIGYAKLANMDPKYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEVDPLNDKEEYRRLAFTATFFAGVTQATLGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKGFLGIKNFTKNTDIISVMKSVWGSVHHGWNWQTILIGTIFLAFLLFAKYIGKKRKSLFWVPAIAPLISVVLSTLLVDLTRADKYGVQIVKKIDRGINPSSVGQIHFSGSYALKGFRIGVVAAMIALTEAIAIGRTFAAMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNFMAGCKTSVSNMVMSLVVMLTLLLITPLFKYTPNAILSSIIISAVISLIDYEAAYLIWKVDKFDFIACMGAFFGVVFVSVEIGLLVAVSISLAKILLQVTRPRTALLGNLPGTTIYRNMEQYPEATKVPGILIVRVDSAIYFTNSNYVKERILRWLRDEEEQLKENDLPQIDFLIVEMSPVTDIDTSGVHAFEELYRSLRKHEVQLVLANPGPVVIEKLYSAKFPELLGHDKVFLTVAEAVMTCTPKAREDV >Ma07_p11460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8507190:8512443:1 gene:Ma07_g11460 transcript:Ma07_t11460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHSVSDGVGDIHLKTDNLSSTDGQTENYKSVYKVGLPPRRNFIREFADAVKETLFADDPLRPYKDQPKSRKLLLGLQFLFPVLEWGRYYNLSKFKGDVIAGLTIASLCIPQDIGYAKLANMDPKYGLYSSFVPPLIYAVMGSSRDIAIGPVAVVSLLLGTLLQNEVDPLNDKEEYRRLAFTATFFAGVTQATLGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKGFLGIKNFTKNTDIISVMKSVWGSVHHGWNWQTILIGTIFLAFLLFAKYIGKKRKSLFWVPAIAPLISVVLSTLLVDLTRADKYGVQIVKKIDRGINPSSVGQIHFSGSYALKGFRIGVVAAMIALTEAIAIGRTFAAMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNFMAGCKTSVSNMVMSLVVMLTLLLITPLFKYTPNAILSSIIISAVISLIDYEAAYLIWKVDKFDFIACMGAFFGVVFVSVEIGLLVAVSISLAKILLQVTRPRTALLGNLPGTTIYRNMEQYPEATKVPGILIVRVDSAIYFTNSNYVKERILRWLRDEEEQLKENDLPQIDFLIVEMSPVTDIDTSGVHAFEELYRSLRKHEVQLVLANPGPVVIEKLYSAKFPELLGHDKVFLTVAEAVMTCTPKAREDV >Ma05_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30210064:30295474:1 gene:Ma05_g19920 transcript:Ma05_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLMSERWMITVIIGNVFIATILARVEESLRKELLRAIDLRLVTLKQDLATACARACSAGFTTDSVSELLLFAEYFGAKRLSEACNKFIVLCQRHSELIGQQHQPQPVSLNLKSFADGNVCPSSSSDTSIDKPELGDGGAGKPPDGAGLQHHNINTSQPSQLNSTELGTSEQAKPIQRRRAVSEEPISCASSANEPAQQDGGGSFRRLSVQDRINLFENKQKEQSSSSRNITTAGIVNRVVAGKWEHRRLPSDVSEKSVLRRWSGASDMSIDLNISNSNTFNDHKESRNAVGNPTSANLPCPSLSKSEETEAFELKDTATSQCWLDLKERMVTSSSSLQFQCKNFPGDKDCTEGEDIKFSMSKDRPVLDKRQHKHYRSASVSRMEYCGLGNQDASRTQKTGLSETSNNAELKDHAACHIHLKTEDHVQLKNQVALPEISQAVSAVTKQNSWREQVLQSQTRENPSRSDGVELKDQAEVVNQLQTFERRTDVEAKEVKANGLSDSQARFKISSGLSLECDLQISQSQKKKNAVKMEEAVARKAVKRKEDASHQEINCPKQSFVPKGSVDEIIQGDTDHMPAFPLTKTKEIEPPSAYQMEQLPAGMASKRNQELNDELRMKANELEKLFAAHKLGTLSEQTASSQRSRPVDVQEDHVPMAMEKRHAVVLPDQVPEKKYPRNIPNNVVDFDANFLLKMVGNKEHCSSMNQKFDAVSPSDDSRGKFFFKYMQKRDAKLLEEWETERVQKDAKMKAMRDSLERSQAEMNSRYSGTADRHGSKYTHHHAGKLRSISSTSINCKNQAVDSVHEEGEDLDDLYKQVGHGQHTSYNDSFGDNSSRSTNSLKLFSTKTLSSSTPRPSVASAPKTSVKSTGTVSVKHMIRTENPLAESLSNFSDFRKENAKPSAAINRVNTREKPKFHSRSKSIVEETNLVKEDKPRRSSSMRKSAAIPGELKNLSPLNSVSPGFSKAQRDAAFINKVHKTEEFKQLIRKGKGSGPVLESIIIKSKASVVSELNKNGEYSEGIIQQEDSPDLDKDLLERPSAEQDLEASDFPVDSDSEKPQHIQEYENSDEFWSEYGDVQTSLSQADYDTAPASPKFSTSAGNTLESAGESPRLWNPHLHNSFPYVHEASNIDAFVDSPVGSPASWNLHPLNQMMETDAARTRKKWGSAPMPMIVANASQQSHKDVTKGFKRLLKFGRKSRGAETLVTDWVSASTASEGDDDTEDGHDLATRPADDLRKSRMGCLFPHDGFNEGEIFPEQGTSLFLFDSLSTIFNE >Ma09_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11722038:11724350:-1 gene:Ma09_g16350 transcript:Ma09_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLLRQHSVVFLELFLVLVLGGIAMHVSDPDVPSSLDALRLEGNLSFHDVSLAAKDFGNRFRFLPSAILHPVSVSDIAAIIKHVFQMGPSSKLTVAARGHGHSLQGQAQADGGVVIHMESLRGGGTRVHAGERPYVDASGGELWINVLLECLKHGLAPKSWTDYLHLTIGGTLSNAGISGQAFRHGPQISNVHQLEIVTGKGELLTCSTEENAALFHAALGGLGQFGVITRARIALEPAPEMVKWIRVLYSDFSSFTEDQEKLISARETFDYIEGFVIINRTGLLNNWRSSFNPQDPVQASRFDSDGRILFCLEMTKNFNRDEADVMHQRVEALLSRLRYIPSTLFQSEVTYLEFLDRVHVSEVKLRSKGLWEVPHPWLNLLIPRTRIKDFAEEVFGKILTDSNNGPILLYPLHRSKWDNRTSAVIPDEEVFYLVAFLSSALSSSDHDNLERALKQNDKILDFCNKAGIQMKQYLPHYTTQEEWKAHFGDRWELFAHRKGIYDPLSILAPGQRIFRKVVRSSRR >Ma03_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5873331:5874119:-1 gene:Ma03_g08160 transcript:Ma03_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSWTLEIEFSVQASRIFKAAVLDWHSLAPKVVPEFVVSGVVLEGEGGAGSVRQLNFSPAIPFGYVKERLDFVDVDKLECKQTLVEGGHIGSKLETASTHFKFEPKAGGGSVLKVVSTYKFLPGVEDNEGEIVKSKETLTGIMKAAEGYLVANPSAYP >Ma00_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31332793:31333998:1 gene:Ma00_g03800 transcript:Ma00_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVNLDCRDDSCSLLPVVADGDLEGCKCCIHEVTGCKATQFPGASSPCSAAADKLVTTTKEYPADPFLLDVNDSNSLYGTDEFRMFCFKVLPCSRAYFHDWTACPFGHPGESARRRDPRKYAYSCFPCLPFRRGACPKGDMCEFAHGVFERWLHPMQYRTRMCKDGTHCARRVCFFAHTSEELRASLECSPFLQLPCANIAWPELHLLALGDLHRVRNRSSLLNVDDLALLPDCRLQQINDLSLLLGQEYPATSLAGRKCSSSLFSAEISSPPGFTSAHQLASSPQQHQSPLFSPRAMNHGHDGNSAPCIIEWSSCPSYPIPAALTPQERLQRHLPALSAVDDGSTSITGSSDSSRTNEWIALSKEIEQEVNGEGLGPHKRSTSFELGCRSEKEADVSWV >Ma04_p26670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28046085:28060691:-1 gene:Ma04_g26670 transcript:Ma04_t26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDNSVALWDLTSSAVVARVTSSERCLLYSMRMWGNSLKVLRVASGTIFNEIIVWKLIPESPPSSPAILMEHPCRNTSSCAITQIDGRNYVAFHLNRLIGHEGSIFRMAWSSDGTKLMSVSDDRSARIWISAGQEQEFDNFKEFSSDLILFGHNARIWDCYMSHSVVITAGEDCTCRAWGMNGNLLMIFKEHIGRGIWRCLYDPDSSLLVSAGFDSTIKVYQLYSSSSMETREQGGLIDDLKDQREIFEICAPKLTKQLGLMDSKSEYVRCIRFTRENILFVATNNGYLYHAELSNPGNVKWTELIQVSEAQIICIDILSRNFSEFSLDAEEIVAIGDGNGKVTVVSLTNGDHAPKVSLSFSWSAEMERQLLGVHWCRSLGSSYIFTSDPRGMLKLWKINKDSLQSNSQNTTRGPSAFLLAVFASSFRHRIICIDALSKEEILICGDKRGNITLFPLSEELMVANHEDVMKNITSLDHFKGAHGISSVTSIYIARSYFNHVEIQTTGADGCICYFKYDKIHHKVEFLGMKQVKEISMIQSVFSSSNSEDMVLGNYAVGFTSVDFIMWDLTNETKTIKIPCGGWRRPYSFHFGAVPEHQNCFAYLKDHIIHVHRLWVVAGEKLFPKVLHMQYHGREIHSLCFISLGLISKISKGCHSWIATGCEDGSVRLARYSPTEMGGWSESILLGEHVGGSAVRSICFIPKIYTFGSQIHNTSNGCAYHTSANSNEDQLLLLSVGSKQVLTSWVLRNSTAENRDSKYLSDPSKFQFSSVSFQWLSTHMPQKFANSRRKVAKPIKLSEEGSCSEKTSTESDQISRLMSSECRKDKHDCTFVDQIDNDWRYLAVTAFLLKHVNSRFTVCFIVVACSDATVMLRALLLPYRLWFDVALLVPTKSPILSLQHIVVTDSAQIGNAYFLISGSTDGSITFWDLTEAVGFFMHQVLDVQPQMFIDCQRRPQTGRGSQGGRRWRSLANLSSEKRSRNSEGINNVTNLNDCENGFETSSTSENDQTIYPLGIKLNLASELHEIQPLHVLNSVHQSGINCLHVSKMECRGPKSERAYCVISGGDDQSLHLLGFSLQVELTDQGCERSKPTCRNHIEDATCYHPSGSSFVRECSDCTADKDNKLDILFQNRISSAHSSAVKGAWTDGTWAFSTGLDQRIRCWKIDDSCGIRECASVIVSVPEPEALDAIICDSERNRYQIAVAGRGMQMVEFIASSVEDSSNL >Ma04_p26670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28046083:28061117:-1 gene:Ma04_g26670 transcript:Ma04_t26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGERNSWRLRTGPYLGEISALSFIPLSPRMSSFPLLLAGTGSELLVYDVESGKLINTFQVFEGVRVHGISLRSPDTKEDFFSSEADHLVAVFGERRVKLFFLRVDVGLTDRAGGELSVRLDLVQRLPGFDHWILDACFLKEDELLAMGLSDNSVALWDLTSSAVVARVTSSERCLLYSMRMWGNSLKVLRVASGTIFNEIIVWKLIPESPPSSPAILMEHPCRNTSSCAITQIDGRNYVAFHLNRLIGHEGSIFRMAWSSDGTKLMSVSDDRSARIWISAGQEQEFDNFKEFSSDLILFGHNARIWDCYMSHSVVITAGEDCTCRAWGMNGNLLMIFKEHIGRGIWRCLYDPDSSLLVSAGFDSTIKVYQLYSSSSMETREQGGLIDDLKDQREIFEICAPKLTKQLGLMDSKSEYVRCIRFTRENILFVATNNGYLYHAELSNPGNVKWTELIQVSEAQIICIDILSRNFSEFSLDAEEIVAIGDGNGKVTVVSLTNGDHAPKVSLSFSWSAEMERQLLGVHWCRSLGSSYIFTSDPRGMLKLWKINKDSLQSNSQNTTRGPSAFLLAVFASSFRHRIICIDALSKEEILICGDKRGNITLFPLSEELMVANHEDVMKNITSLDHFKGAHGISSVTSIYIARSYFNHVEIQTTGADGCICYFKYDKIHHKVEFLGMKQVKEISMIQSVFSSSNSEDMVLGNYAVGFTSVDFIMWDLTNETKTIKIPCGGWRRPYSFHFGAVPEHQNCFAYLKDHIIHVHRLWVVAGEKLFPKVLHMQYHGREIHSLCFISLGLISKISKGCHSWIATGCEDGSVRLARYSPTEMGGWSESILLGEHVGGSAVRSICFIPKIYTFGSQIHNTSNGCAYHTSANSNEDQLLLLSVGSKQVLTSWVLRNSTAENRDSKYLSDPSKFQFSSVSFQWLSTHMPQKFANSRRKVAKPIKLSEEGSCSEKTSTESDQISRLMSSECRKDKHDCTFVDQIDNDWRYLAVTAFLLKHVNSRFTVCFIVVACSDATVMLRALLLPYRLWFDVALLVPTKSPILSLQHIVVTDSAQIGNAYFLISGSTDGSITFWDLTEAVGFFMHQVLDVQPQMFIDCQRRPQTGRGSQGGRRWRSLANLSSEKRSRNSEGINNVTNLNDCENGFETSSTSENDQTIYPLGIKLNLASELHEIQPLHVLNSVHQSGINCLHVSKMECRGPKSERAYCVISGGDDQSLHLLGFSLQVELTDQGCERSKPTCRNHIEDATCYHPSGSSFVRECSDCTADKDNKLDILFQNRISSAHSSAVKGAWTDGTWAFSTGLDQRIRCWKIDDSCGIRECASVIVSVPEPEALDAIICDSERNRYQIAVAGRGMQMVEFIASSVEDSSNL >Ma10_p28850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35611718:35612377:-1 gene:Ma10_g28850 transcript:Ma10_t28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDRMGYMAVVAVSCGMALVALQLHKRLTSEFMKKVELSIGGKRPKKKVRFADDVVEPARRKTVGGRPPSVHA >Ma11_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2934543:2940181:-1 gene:Ma11_g03840 transcript:Ma11_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAARWRSEKNKIKAVFKLQFQATQVPLSASEAATVSLVPLDVGKPTVRSERVAVVGGTCKWSNPVYETVKLTRDPKSGKINDKLYQFLVSTTGSTRAGLLGEAIVNLADYVEVFRASSVSFHLKTEAILHVTIQRMLDDVAGREAEENGDATMRQQGRTLQSQLTKSDNEEGVKALNGRNDANLVKDVSYISREARVKFPSSRNLPTYDDCNGKLEKSHSFDAISAASSDSSSEIYTPKENSIKNGNNQKDSTSLLSPLADIVMQPKLMTSSGDWSETLAPDRSTDGSTNSSGESGLTERLQCSDETLEKLKNEVVILTRKVEVSELELQTLRKQITKENKRGQDLLKEISSLKEERSALRRECEELKLSQKRTDFDETLSTESQLVREDPLSKLEEIKQELYHEKNLNSSLRLQLQKTQEANSELLLAVRDLDDLLEQKNRETLCHKCRKIDVEAENDEDIQGSKFRNQLPQLHQSECKQVLLETTSENDKEQHALLVNGHNNMRTEYSLEEKIADLNSEIELYNKDREELEMQMEQLALDYEILKQENHDVSHKLEQTQLREQLRMQYECSAHLSVISDLETHVQCLEKELQTQAESFESDADTLMQAKVEQEKKAIQAEQALRKTQWNNANTAERLHEELNKLSSQVSSVFYDNEKIVKQALKEASELRSQRSHLEKMLEETKENLVSLRGQYRMNLQQLLNLVNFKSKEADRLHLELKNKKEELEDYKKSGEARLKESWEKMQLLKNEIENLKMENYLISGQKEKLAAEMENLESTNTGNQLTLQVKNSENEILKNEIALLKQKVENTLEELSDQRNMKDEKETMITMLNSKVETLGVQYNDLKQSLSEGELEKEKLRRLVSNLTGGLLKEEDMIISSEEELGNSYTNEGKPCQKSNKFAGTNEFEGDVACLQQQRVGNKAQTNYINNKDQELATRHSGTNSEENQHIVSYICDQYTFAKMSSEMALLKRQNQSMEAELKEMQERYSEISLKFAEVEGERQQLVMTIRTLKNALKN >Ma04_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2494994:2496726:1 gene:Ma04_g03180 transcript:Ma04_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKDSSGGSGHGAMHANVPMPHSDPLIHHSSADDDYYARHSSSSATASSGYYSDHPTAASGDSSPFVMSPWHQSAPYPPCDPASADAAALPCTGLIGSLVREEGHIYSLAAIGDLLYTGSDSKNIRVWKNQKDFAGFKSSSGLVKAIVIAADRIFTGHQDGRIRSWRVSPKDATVHKRIGTLPRLKDVLRSSLNPSNYVEIRRNRSALWIRHSDAISCLSLNEEQGLLYSGSWDKTFKAWRISDSKCLESVVAHDDAVNSVVAAFDGLVFTGSADGTVKMWRREKQGKGIKHTLVQTLLKQEFAVNSLVVSPTAPIVYCGSSDGLVNFWEGEHHPTHGGVLRGHKMAVLCLSAAGSLLLSGSADKTICVWRREGAAHTCLSVLSGHSSPVKCLAMETEAGSEENGGSYAAARWIVYSGSLDKSVKVWRVSEQAPLELEQSGAYGGSNSGGSSGGTGQAYGGSVGQEFEMPVEQLRAAA >Ma07_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8539813:8543320:1 gene:Ma07_g11500 transcript:Ma07_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVAPPRAYEVRIKIICTSLCHSDVTIWSIKDPAGFPRIFGHEAVGVVESVGEHVEEVSVGDMVLSCFLAHCGDCVDCRSVRSNVCSGVPLGLQEGVMPRDGTSRFTDASGAPVQHFLNVSSFSEYTVVDVTHVVKVAAAMPPEKACLLSCGVSTGVGAAWKVAAVEPKSTVAVFGLGSVGLAVAEGARLQGAGRIIGVDLNPDKFEIGKTFGVTDFVNPMDIGERSISEVIKEMTGGGADYCFECIGLASLMSDAFQSCRPGWGKTIILGVEMHFSPVSINPYEILQGKTIMGSLFGGIKAKTDIPILMNRYLNKELHLDEFITHEVGFHDINKAFELLMAGKSLRCIIWMDR >Ma03_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22905612:22906653:-1 gene:Ma03_g17540 transcript:Ma03_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAAVESPSSGRRNRNRSASPSPEFEFWVDANPSSHQPQLLTADELFSDGLLLPLGLLSLPLPGHTRTAARQTEPAQQPPPLPPAPKPITASASCSSTSESKRWKDMFKVAERKGVEERKLLKDRRSGAAVLNIGIWPFSRSRSAGSATTSGGRPRAAAYGREASSAPCSRSSSRGESSKPASPSASAAAGGRKWAASPGRADGVHFGRSSPVWPFHCGSNVVEPVARRRPDHRRNVTARKKGNGGGVGLWCST >Ma11_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20732311:20742403:-1 gene:Ma11_g15030 transcript:Ma11_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEARLDSAVFQLTPTRTRCDLVIIANDKTEKIASGLLNPFLAHLKAAQDQIAKGGYSIILEPDPETDAAWFTKGTVERFVRFVSTPEVLERVTTIESEILQIENAIVIQSNDNLGLSSVDDHQMKPAESMEGIKTFVDSDAEKAIVLYKPGSQSNPPDSNGSTTQEENSKVQLLRVLETRKIVLRKEQGMAFARSAAAGFDMDKMVDLIPFAESFGASRLKEACLRFMELWKKKHESGQWLEVEAAEAMSTQSEFSALNESGIIFATDSMMKKDHGYSQSIAGDMVVETDGKADKQIPSDPKVSSGHQEHLQGQFQHPIFPQWPMHSPPGLPVFQPYPMQGMPCYQNYPVSIPYFHPSYHPMEDPRFNSSHRKGLRRQSMDNKDIESETWERSTHSHDDMDQNTSDLEKEGSHGHKCHKRVGRPGKNKSGVVVIRNINYVTSKKNGAGESESELQSVSESEAEEESDNLRSNMRKSKHGHSTKTFKKEDGRTKPVEYSDAYSNDKVTYGEEADTGNWQAFQHLLLKAEEKSRTVNEDMLTGENEPSKRKQKKGEADPIIPPDRDYGDFRDRKMVGFDSVNGWANRMKQAASDDQLLVSSIGRDSIENQFKEIENGGGTYRRMSSDEFMIYGQENHFISKNPSDPLVDHMGKHAVYAIKRSSYSVTDESFMLPYRSGSQDLGSDSINAIDMDSEIPSALQKAQNSYEVKSQLSHEPDDLSLVHERGMESVSMGYDPAKDYDFEVPIGNSVKLEAINTADLSTSIKEESKKSDKEKNSRASNDSMDKRTKDALVKKGPSSRLKPLTEAQKRAEKVRSYKADLQKVKKEREEEELKRLEALKRQRQKSIAARSSSSATRMAVTPQQTKARVAAKPLPSPYKGSKFSDSEPVSSPFHKLPIRTSSIGSSVPQKATKSSKLNGSNHGLSRSLSSLPEIKRESKGLTPEAKADNLRPRRLSDPRGSYTQRGSSVKSVASAQVPKRNIPDESREKITAIMQLDKSKSATLPEIRINSPKTSSDRVKKESVSKDLLQRVTGRNSSQASDSINENLTGNKPPSNSNENPVIEKTVVMLENNVVTAPVVQQLDETLDTKETSHGEESCTPIVIDQVEDSGGGKLDEQLSSYKMVVPYTRNEPQKFSNSTAAEKNYQAPSSRSTPLDDPVTTNLGNDGGQRTSESEMVAICAVETTTNISNFENLSLGGQLHETYEKPRGKELKGFRKLLKFGRKSHSSALGEGNIDSDASSVDDQTVGAASSNDVHILKNLIAQDDTNAGGTPTKVSRPFSLLSPFRSKNNEKKPAA >Ma05_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7529600:7533264:1 gene:Ma05_g10440 transcript:Ma05_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPCDDAVVIQAPEAAGGPSVISVSCPDKTGLGCDFCRVILFFGLSIRRGDVSTDGKWCYVVFWVEERGGRPTPWGLLKKRLLAACPAVSVASGLHNFYYGPQELMLAERPPQVFLLKFSCYDRMGLLHDVTQVLCDLELIIRRVKVSTTPDGRVMDLFFISDTRELLHTKGRKEETCEQLRAVLGDSMANCEIEPANAQIVECLQASPFLPPAITEELFNLELPEESPVGSPSSPSNISVKMDNSLSPSHTLIQILGCDHKGLLYDIMRTLKDYNIQVSYGRVYASRNGSCEIDLFVMQMDGKKILDPNKQRAVCSRLRMELFHPLRVAVMKRGPDMELLVANPVELSGKGRPLVFYDITLALKILQIRIFLAEIGRHVIGDREWEVYRFLLSDGFESCASQDKIVEGVTKMLMGWE >Ma06_p37260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36380855:36382439:1 gene:Ma06_g37260 transcript:Ma06_t37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLLKDILPHCNINELTHIENSTKESHAEGTDDSWKRFYEQQFGVESANTVINRMKQKKVVSKWRLLYEAKQKEREEAKNRMAKKLEQSYAESQASESSYTFIFCAC >Ma06_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15348293:15351361:1 gene:Ma06_g21200 transcript:Ma06_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIIAGWFVSSVVAKVTDIIKFYIKNQIEYRKDKKWKLHELEKHLRKIQAAIFEVGKRRITNPSLEAWLWDVKDAVYSVEDIIDDFHYKLEEKARSEGEGEGKVSRVSLLAQKVGAETKEFLKAFAFASETTSKLNTAVQTSAKLVEQISILVSGAQFSVVTNKQHGVAIPDWRRTTSPTKSTCSARGRQHDIDRLLNMIGDASGDDKYSVVAIVGHGGVGKTHLARLVYNIVKKEKIFHIMVWVCACNNFDVRRLSIEMVESAAIKRPSDLHTISNLEEIQNILGEGLMGKRFLIVLDDVWEESNTNWENLCVPLNSGEKGSKIVVTTTNQNVAKMMRTKEIIHLDGVEGEECWELVREHALGDRNHIAIPHKLESIGRKIAKKLGGSPLAAVTVGRALESKLEEEHWRRILRKRICEVKQTEGDIVAVLRLSYEDLPAHLKQCYLSCSLFPRNHCFEKDELVRFWMALGFVRGDDETTITEDIGEELIEELSSRSFFVNAKRRHNKFELHPILHEFAECVCDGEYFRFEGIKSSKPIRIPNKAHHVYVAADDLIAVTETLCEKKEIRSLVVAGRLSSTQKDIKSKYNLSLEMVLKSLESLRLLVVSELASGLPEAIGGLKHLRHLEVPGNAITEWPKSFCKLYHLQWLILRMHSKSVSLPDDMNKLSNLRCVDADTEAIAALPWIGNLIYLQELRDYRIQRKKKGFDVGQLKHMNQLRRLCIRGLQHVESREQAADAVLEDKEHLRWLELCWSNEGKPIAPTACKDSLEGLRPHPDLRELNINGYKGHRHPCWMENKYLLGLERLEMWSCHQLTSLPPLGELPFLRVLHLRRMEEVEEVVSLASPICPSFIVVICSSFFRGCRSKLIDRTTYIWCSGVLFVLVVLFFPLYCLCCQNYPLVNILGLALTNWYQSLVLGSFGNNDNNKDCCREIRQKCQLRHVATQDGGHSGSRRS >Ma03_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27854579:27888169:-1 gene:Ma03_g23220 transcript:Ma03_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSVVPRTTTSFPIETQVFGREKQLNHLLEQLMISADGSGSSNSSISTLTIVGIGGVGKTTLAQHAYHHERVKDYFHHKVWVCVSDNFNVERLTKEIIESLTQNTCDLNNFDTLQVVVKEKLTSKRFLLVLDDVWNEDSLKWERFCAPLRYGEPGSKILVTTRSKKIAEMVGNPIPLGGLDEASYWKLFKKCAFGSKDAGEFPQLEAIAKKIAGRLEGLPLAARTVGGLLKDPMNEKHWRNIAESEIWQLQQDEQGVLPVLQLSYQCLPPHLKRCFVFCSLFPKDHRFEGEHLVRLWMAEGYVAQDNNMTMEDTGSRYFLDLVNRSFFQEAPWRSKYVMHDLIHDLAQFISDGEFCRIDDESKEIPNTTRHLSTKLTDGTKLMELSCYDKLRTLWINSRSIWFDSPVESPLFIQFEKLKNIRVLLLKNYGLRELPETIGELIHLRYLDISYNRYIRWLPESLCDLYNLRVLDLQDCKLQSFPHGMSKLINLMHLNAADEIISKINNIGKLTSLQGLSSFKVLKDQGHEVAQLGSLKQLHGRLRITNLENVESKQEANKANLNNKQYLDELVLGWTSDDGASLDGNELVVSEEVLEGLQPHQALQRLMIVGYIGVRSPSWLQAQLLANLRTLILINCKAWKDLSYIGQLPNLKKLYVKRMPAVKQISHGLSTENKFLHNLEELVLENMVALEELPSLGRLPCLKDLRIQGMSAVTKVGHGFFGCRDRGKCFPCLEVLNFSSMPAWAEWSWADGRELFPCLLKLQIVQCPRLKRMPPLPPSLKSLSLCKVGLTEVPRLWAEIDGSSSSMTVSELKLYSLEKVELEDIPKCEGLPCLGKCFPSLEELAFIDMPEWEEWSWADGRQLFPCLRELEIVQCPRLKRLPPLPPLETLSLYEVGLTEVAGLREGIHGGGSCVTASLSSVEISQCPNLRNLEEGLLSHSFLNIGDIAILECAELVWLPVKEFKELTSLEKLSIRSCPKLLSMTRDGDINICLPPSIKELVLSDCGNLGKLLLGCLHNLTSLTRLEIGGCPSIESLPETSLLHLKRLEYLKIWECGELRSIDELLLNEGNRQVGVLSVEKLCIDDTALFKVPFLRRILPSVRALTISNFPRATLSGEEEQLFRSLTALRWLEFKNCKNLQSLPTELHALSSLWLLTIIECPEIQSVPEKGLPTSLMNLHFSGCHPRLTEQLEKRLAEMKSSGRFLDIKVHHIQPLLLRIDAIGFSLFSVGFPS >Ma07_p26450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33236977:33238939:1 gene:Ma07_g26450 transcript:Ma07_t26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWFFLLLAIASLSTVHAQLPTTDGYVSIDCGISSNTNYTDETTSIPYVSDDGFIDTGTDHTIATNYAGSSLEKQLQTLRSFPNGSRNCYALTVTPDQKYLVRASFMYGSYDGLNGASPSNPLLFDLHLGVNLWTTVNITKASDVHRAEAIFVASADSASVCLVKTGSATPFISALELRPLKNAIYSYANATQNLVLSLRINLAPTTNHLLRYPFDRYDRIWLPFVASAGWTSLSTNLTVKNFAKDQFEAPSAVMQTAAVPVNSSMLEFYWDFVGSGAPVNEFYANLHFSELLPNTSRAFNVYLNGDKWYANYTPPYLMSDAIYSTTPLTPSLRYNWALNSSGLSTLPPILNALEVYAPMFFKNMPTDSDDGTLGYFLFPFS >Ma08_p11210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8269310:8275874:-1 gene:Ma08_g11210 transcript:Ma08_t11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKARAKEEYHKATSEPVYDEYVGCGDEIEPDLITGIRASLEHQYIYEETIRHRQPNSQWEHGGGSGPMPQRIQRSASMRQPTAPPQLSRIGSMRQSGIRGFMRGLGRRSALDIIDIDPQAYPSQITKQTRIDDAYTKEKKRDIGKAIAKWFNFHKIPANTAQGPYYQSMISSIQKSGTGIQPPTPKEIHGMYLDEEVAELKDWIKSFKRQWDEYGVTLMCDSWIGSTRMSIINFFIYCNRRVVFHKSVNVSEKIQDANYIENIMDTVVEEIGSQYIVQIVTDNEANFKKAGLQLMKKRKTLFWTPCATHCMDLMLKDISELPSVNKCITRAQSITKFIYNNHWIHSLMQKYINGEILRPGVTRFATNFIILKSIQQKKQGLMAMVISQEWSDSRYSRSSDGKKMEKIILSSRFWDVVKEIITGVEPLYVVLRKVDIDKRPQMPYLRHMLITAREEVKKAFKDDFKANQYLQIIDRRIEVYMDQDIYNAAYYLNPAIQFRYSLGMRSDLLSALRNAIYRLLSNTTNAADAIMENRLFLETIGSFSDIVAISCRYNMDPELFVMLIKSDLYFFVAEWWLQFGGDAPNLRKVAIRVLSQTTTSSGCERNWSTFALIHTKVRNRLSYRRFEKLVYVYYNMQLRLQCAELDKEPKETEIDPIDFQFYNEDSEPMLEWVEAMENLEDPLLDEAGDPQRPSRFIIKAIKEEEAHPRQEEDSPQLERDGRSQTTSSKTTRVTKDTQPSQSSAQRANAKAKAKGKAVTSVAPLGRIESSDETPSQSYSTTRSIQRHGSDVDSSASTDDGGDVGKSMVPSTQFEGDAWTEEQYFTPITQDSDRGTRQGTSQVYTRKGKTVDDFKQMRQSLHNVDTEQSSSYSQSSYYKESYDQQQYGDSWSDFSEQQSGDQFYDTEQQISGKSRNSDIVLHASYQYMPQSYLPQESPQTRVIHDDQTTTITTLMHQWHTMYQYTMSWDQFQDWVQQTYQIDIQIHRIEDPDPLPVESRRSFWW >Ma00_p01040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3758010:3759800:-1 gene:Ma00_g01040 transcript:Ma00_t01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFSWPRGRKTLSVLRTWFLVNLFMARSAFPFRMRMERKLNTGCGTPFARSWQQPFLVVSTIYGLLLGLVYCTLVLHQGPQCLMYLTLLDQWELCMLLNFHIQVVEIS >Ma03_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16911755:16927044:-1 gene:Ma03_g15710 transcript:Ma03_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MMVTRLTIVEFCRWVAGGGSLAFLRHHFFSSSKSRLTVLSAFLLFLLVVAASLFAISHLLPLSSSSTASPSSTNLLSWFYFSPSSSNNSSNSTPPPPPREKEKKQDDVVVDPLLVNRSGAVSESTYGGNGDGGADSSSMQGPLSGKESDQRGWKSAFPAHAENFTKNNTLASATDRSTGGEASGEVTGKSFASESKPSNGTSSVAPKSSDKETSGEVVIGKSFASESKTPDMTSSTATKSSGNETSGEAVIAKSFASESQHPDMTSSCVGAEKQILVRNSTIKDVCFKGQISEKKSGAMGEDHQMKQQHGEMNQGGVAVGGGKLIDPVEEAAPAAAAGKRNDSRPTVAVKATGGSSEQCDIFHGRWVRAEKNQPYYPAGSCPYLDDDFNCHKNGRPDSDFLKWRWQPYDCDIPRLNASDFLGRLRGKRLVFVGDSLNRNMWESLVCILRHSISNKKRVYEVSGRSQFKVKGRRNHFKYKGYYSFRFEDYQCSVDFVRSPFLVREMQYKNVHGSEDERLRLDILDETTLAYREADVIVFNTGHWWTHEQTSRGRNYYQEGNHVYPVLKVMEAYKKALTTWSRWIDMNIDSSKTQVVFRGYSLTHFRGGQWNSGGQCHKETEPIFNQSYLSKYPSKMRVVEQILKQTRTPVIYLNISRLTDYRKDGHPSIYRKKYMSVEEQIAAEKSQDCSHWCLPGIPDSWNELLYASLLIVGKGSWRR >Ma01_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4246203:4254267:-1 gene:Ma01_g06000 transcript:Ma01_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRHFTHPSCYLTRTCQQSFICNGCGVAGYGVSYRCNSCDFDLHEYCARCPQSLSCSMHSHCLTLTQSGGCGRRCSVCCQATSRLVYQCGPCGFVVHPLCVQGRRW >Ma02_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15510121:15519961:1 gene:Ma02_g04040 transcript:Ma02_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCM7 MAALKSVDFAVDKALAKDFLNNFADALGEPKYLNILQDVANRKRRAIHIELDDLVDYKDLDEAFLQRVTENTRRYINIFAEAIDELMPEPTEVFPVDEDRDILMTQRVDDGMDNVDNSDPLQKMPSEIRRFFEVYIKAFSKGTPFTVRQVRASHIGQLVKISGIVTRCSDVKPLMQVAVYTCEDCGFEIYQEVTARVFMPLFECPSNRCKINKAKGNLILQLRASKFLKFQEARIQELAEHVPKGHIPRSLTVHLRGELTRKVVPGDVVELSGIFLPIPYSGFRALRAGLVADTYLEAMSITHFKKKYEDYELKADEQEQIERLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLSDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPITNEMVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDNDLEMARHVVYVHQNLESPSLGFTPLEPSVLRAYISTARRIAPSVPRELEEYIATAYSSIRQDEAKSNAPHSYTTVRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSVLRDEVARTNTMDVSYACALNWISRKGYSEGQLKECLEEYAALNVWQIHPNTFDIHFIDA >Ma05_p11910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8689218:8692746:1 gene:Ma05_g11910 transcript:Ma05_t11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRKTLARRFASLLRPSTAPAPASRPSPPRRSSFLQKRPFFQPALPPDRSAMPFGGDRIAERIRCLCPDRIRLDGLLPPLPPPPKPIAVTEGESEAEEEKSVSLEEVRKVVRASQVAAARARLVATGESCVPYTDFVRICCEASNGEQGLEIARSLDKSGIVIVLGNVVFLRPEEVAKAIENMIPSSLSHHHYDQCREELRKMEETKAEIDQRAANQVRKELQCGLGFMLAGTAALMRVTFWELSWDVMEPICFYLTSVYFMARYAFFLRSYKEPSFKGYFASRFAAKQKRLMESQNFDLSRFNELSQSFLRPLPPPLLPHLDFTSPSYCHCHVKSPSLIGSSQ >Ma06_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7880165:7881904:-1 gene:Ma06_g11220 transcript:Ma06_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRWAMQQHNAFAACEEMRAPFAVADRKPPVFCPKPRRLSPLPAFADPVRPLRWHSIHQADFTDLKAGAELLDVYLAKGGEENQVASSPPFFCGSPPSRAANPVVHDARFGEDRPPAPFAPLPLIQSGPPLSPNQGCAHAKFGLKPAAVRIEGFDCLDRDRRRCSGITAVA >Ma10_p30550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36828034:36829718:1 gene:Ma10_g30550 transcript:Ma10_t30550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLQKRLFCLFPCNNSSIYLSSYQLCGLFNFSTATYESSEHSSNFIVVNPLQSCELSSEKAAKAAKYHTCRKNSSSLSIEFFKQNGWSDAQVMKLTQKAPKLLRAKVETTLKPRMRSLQDMGFSVAEIVQLVSKWPTILFNNIQPNLNFLRSLLGSNERLLIACRRNGFLLNSNLPRKIEPNISLLRECGISNERIARMVVTMPSFVVRKSKFIKEVIEHVEELGVPRDCGMFPHALLVVMNVSRSKCDATFATFKSFGWSQPDIIAILRKSPFVWKLSKKNVSDKMTFLMKEAGCELQYIISHPVLLSLSLEKRLRPRHEVINFLEQNKLLDKGHSLIYVLTLTEQKFINKYLFPYKEKFTALYYSYVAAVQGKQHVVVEN >Ma10_p30550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36828034:36829718:1 gene:Ma10_g30550 transcript:Ma10_t30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLQKRLFCLFPCNNSSIYLSSYQLCGLFNFSTATYESSEHSSNFIVVNPLQSCELSSEKAAKAAKYHTCRKNSSSLSIEFFKQNGWSDAQVMKLTQKAPKLLRAKVETTLKPRMRSLQDMGFSVAEIVQLVSKWPTILFNNIQPNLNFLRSLLGSNERLLIACRRNGFLLNSNLPRKIEPNISLLRECGISNERIARMVVTMPSFVVRKSKFIKEVIEHVEELGVPRDCGMFPHALLVVMNVSRSKCDATFATFKSFGWSQPDIIAILRKSPFVWKLSKKNVSDKMTFLMKEAGCELQYIISHPVLLSLSLEKRLRPRHEVINFLEQNKLLDKGHSLIYVLTLTEQKFINKYLFPYKEKFTALYYSYVAAVQGKQHVVVEN >Ma04_p38480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35994974:36003620:1 gene:Ma04_g38480 transcript:Ma04_t38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MAKKLGKKARKFAKKNLPSVMKRQRKLNSMFKKKSAPRRLKVSNEVFLEESNKSKNVQQTHGDREGMILDEAVYNNDLGDLFHQDDYDLDEDVSVSDGYISEDPECPYISESEDEICSEEKSGDAPILLQNREIYQEIAKQKRKLDKLCVKDPQFSKFLESRRSDLHKSKSEEIYSDEEGDANSADDDGIRESETSLSGKVLTSSTLDVWCWMVMEQPNSSTLSSLLYGFRAACHYGVDSDEVSQQKIANREVFSKLLTFVLQEAHGIFCRLLGISGSMNKENMLKTTKKSEWKSVRPLIKSYLRSSLFLLNQEMDNQILMFVISQLRLSIMFFAAFPSLAKRLIKRSIHLWATGDENLSACSFFIIRDIASKFSSDYFDICLMRTYRAFIANCKFMDPVNLKHIKFLMHSIVEIYSLDIQKSSQKVLVSVQQLASILRQALKIKKKEELKKIHSWQYINCISVWVEFVSCNYKNYDLQPLLVLIIEIIRGIAHLFSGPRYLPLRFKCVKILNQLSLSSGVFIPIASLLFDCLEHRGNINVDRTQRSHVDFSSLLKVPKQLLKSRDFHEESILTAIQLLSEHFSQWSYHISFPELTTIPLILLKRFHENTTLESVRRTVKRLMDQAQQNSEFIQRKRDEVSFSPEDQSSVDSFLQLDKNDKNAPFGRFYASIRHTSISQNMIH >Ma05_p28720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39633656:39635136:-1 gene:Ma05_g28720 transcript:Ma05_t28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRQCELCDRAARIHCESDQASLCWECDAKVHGANFLVARHSRCLLCRSCQSPTQWRAEGARLGLTVSVCERCAATASARGRKEGGGGGEEVEEEQGEGGGREEDEEDEEEEEEEEEDDDDEGEGEEEDEGDNQVVPWSLTPPPVTSSSSSEGEEESGRMGNGGGLKRMRENADLAVSQDDLACSSSRPRYLASAPAPSPASAEDEASSFAPAVRPTMDRKRPALLRPAVGLMTPFLSSSDPNRYKNLQG >Ma05_p18480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23965696:23969386:1 gene:Ma05_g18480 transcript:Ma05_t18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLLLLTLFTVVSPTTCASLQSDALVLASIRKGFHSSTPELGSWNTTDLSSACSWFGVRCEHGRVVAVDLSNLNVSGSASLEISGLDSLVNLSLAGNQLQGAITVSDLPSLRYLNISSNLFDGGLDWDYASLPNLEVLDAYDNNFTACLPSGLDGSKRIKYVDLGGNYISGRIPASYGSLTRLEYLSLNGNDLRGRIPGELGNLTSLKQLYLGYYNVFDGGIPTELGKLTNLVHLDLPSCGLDGEIPPEIGNLTNLDTLFLHSNRLSGPIPTTLGNLTRLALLDLSNNALTGEVPHELAALAELSLLNLFMNRLHGSIPEFVAGLPDLETLQLFMNNFTGAIPEKLGSSGRVRVLDLSSNKLTGVVPAHLCPLNELKVLILLNNFLFGPIPDSLGECLSLTRLRLGQNYLNDSIPSGLLYLPNLNLLELQSNYLSGLIPENDPNRGHNPTQLAQLNLSNNLLTGPIPSSISDLSSIQTLLLGSNQLAGPIPGSIGQLRRMVKLDLSSNGLSGSIPPEIGSCTQLTYLDLSQNNLSGSIPAEIAGVSILNYLNLSRNHLIDSIPRSIAAMRSLTAADFSFNDLSGELLEAGQLGYLNASAFVGNAGLCGPAVNNPCKITVSPVQAQRGAGDFKLVFALGLLLCSLVFAAAAAVRARSHRGSAEWRLTAFQKVDFGVSDVLECMKDGNVVGRGGGGVVYMGRTRAGDAIAVKQLRAGGHDHGFRAEVRTLGSIRHRNIVRLLAFCTNQETNVLVYEYMGNGSLGEVLHGKGGGFLGWDRRYRIAVEAARGLCYLHHDCSPIIVHRDVKSNNILLGAGFEAHVADFGLAKFLQHGGASETMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVQWAKSVTSCSRDNAAAIVDPRLSTVPVDELMHVFFVAMLCVQENSVERPTMREVVQMLSEFPHHVPENQSPSSSSTARDKKQTNYCKLFPDLLT >Ma03_p26550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30238162:30242497:1 gene:Ma03_g26550 transcript:Ma03_t26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTGNDGTDYGAYTFANLEREPYWPSEKLRISITGAGGFIASHIARRLKNEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTSGANHVFNLAADMGGMGFIQSNHSVIFYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMADMVLSFESKNLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPSMKLKDGLRITYFWIKEQIEKEKARGQDVSVYGSSKVVGTQAPVQLGSLRAADGKE >Ma02_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8734545:8735516:-1 gene:Ma02_g01220 transcript:Ma02_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRRKHWKHAPFAPSSSSLSQSSSSAPFRWSDGSSGSGDDDLVEKEHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDASATQRGLLLSFEDRNGNSWRFRYSYWNSSQSFVMTKGWSRFVKEKRLAAGDTVSFGRGVGESGRDRLYIDWKRRPERLDPIPVPRIPLPGVTFARSVGPTGGHLYMLPATTTVYGHHGQGYGYNIASMTALEGQFLYFPPPVTGRPPIEVQPGGGGGLSMVLDPVPVAHSHATARHVRLFGVNLVCPDAEDDGGVRGVTSSILLQSQQDSALPLLSLPLQQDTLVPSSSMIKEQHSPSDLDL >Ma11_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26527166:26536527:1 gene:Ma11_g22990 transcript:Ma11_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTTDHHSAPPLPPQPPLYSFGVPWPDLNEGLLYTDLVRSTGNATTLIEFYSSKYKNSAPLQGWLQRIRNRQITVDGGLVTDPCFALRDGAEVVYHRLPWKEPFAPYLLEVLYEDNDMVAINKPSGLQVLPGGLFQQRTVLMQLQWKEWNKVSSDCSKRQMTQETHPVPVHRLGRGTSGILLCAKTKLAKTRLSAYFANGTAFAGDDGKARRISKVYRALVAGVIARDEFVIKQPIGTMHYPGVAKGLYVASSSGKPALSKVQVLERDAQRNQAVVQVEIHSGRPHQIRIHLAFAGHPLIGDPLYDIGGQPKAFEPESSCDCAADDGGYQKPVQAVPGDCGYYLHAYQLFLSHPVTNKRIEITASLPPILQTQEERDQENLQR >Ma08_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18955513:18983630:-1 gene:Ma08_g16510 transcript:Ma08_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-phytoene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G14210) UniProtKB/Swiss-Prot;Acc:Q07356] MNIIGSVSPMKLSGTIQRRYWWHPNPDKKCSFHKCSGSNKLESFRNSEFMGFKMKAPIWLLKDKKPRHGASPLQVFCKDFPRPELENTVSFLEAAQLSSSFCNGPRPRKPLKVVIAGAGLAGLSTAKYLADAGHKPIVLEARDVLGGKVAAWKDNDGDWYETGLHIFFGAYPNMQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPFNGIFAILRNSEMLTWPEKVRFALGLLPAMLGGQAYVEAQDGLTVTEWMRRQGVPDRVNDEVFIAMSKALNFINPDELSMQCVLIALNRFLQEKHGSKMAFLDGNPPERLCKPIVDHIESLGGEVWVNSRTQKIELNPDGTVKHFLLSSGNIISGDVYVIATPVDILKLLLPQEWKDILYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYDPDRSMLELVFAPAEQWISCSDQEIVDATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPDCEPCRPLQRSPVKGFYLAGDYTKQKYLASMEGAVLSGKLCAQAITQDYDVLVAQAAQREVQVSI >Ma08_p16510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18955513:18983456:-1 gene:Ma08_g16510 transcript:Ma08_t16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-phytoene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G14210) UniProtKB/Swiss-Prot;Acc:Q07356] MNIIGSVSPMKLSGTIQRRYWWHPNPDKKCSFHKCSGSNKLESFRNSEFMGFKMKAPIWLLKDKKPRHGASPLQVFCKDFPRPELENTVSFLEAAQLSSSFCNGPRPRKPLKVVIAGAGLAGLSTAKYLADAGHKPIVLEARDVLGGKVAAWKDNDGDWYETGLHIFFGAYPNMQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPFNGIFAILRNSEMLTWPEKVRFALGLLPAMLGGQAYVEAQDGLTVTEWMRRQGVPDRVNDEVFIAMSKALNFINPDELSMQCVLIALNRFLQEKHGSKMAFLDGNPPERLCKPIVDHIESLGGEVWVNSRTQKIELNPDGTVKHFLLSSGNIISGDVYVIATPVDILKLLLPQEWKDILYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYDPDRSMLELVFAPAEQWISCSDQEIVDATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPDCEPCRPLQRSPVKGFYLAGDYTKQKYLASMEGAVLSGKLCAQAITQDYDVLVAQAAQREVQVSI >Ma06_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12094287:12095291:-1 gene:Ma06_g17810 transcript:Ma06_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRASSALKRIYSVVVAMVKAKSMAVKSKTSALKTRLLVLGLLHNKKVLMKAINHKIHALMGQERGEGGAHSAEEQNKAIVLYDAAKNEALPSPTSAEPSYCDDDDNYPDLRHSLFDVEDEDDEELVNTTGSVVDLVRNSREDGSEFSLEDEIDHVADVFIRRFHRQMRLQKLESFKRYQEMLQRSV >Ma02_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27658806:27662746:-1 gene:Ma02_g22280 transcript:Ma02_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPAVREGEQVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Ma02_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21113812:21120756:1 gene:Ma02_g12350 transcript:Ma02_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMTKPCLRTPFLKRFLESRCSFFTSKGLHQIGLWKSECSCGSLAVSMNELWLNDNWSLPSSLCPCAEPKSQLSSCLSCWEDYYQWRCLPLDSPVALLLHWPLTVYHCFQLLAAQGSISEVKDELNIHYLGPEKELLQLGVFGELLALFSGVQIHMDLVGPAVPQFRDGKTVSLCKYLPCAEQDCICKSSCADSGARRLNGKCVTVHIKLHKGFYHDIRGNILKDSYPHLIIAPNAGVAAYSSWSPTIELIKEMGIPAIFTDFCEEAANLAADCISTVTSLPLRLPIQINPFRQPMVVEDSALYVPCYSNCFLFGM >Ma02_p12350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21111976:21120756:1 gene:Ma02_g12350 transcript:Ma02_t12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASAERRKGWWWRKATECAARGSGTPCAPGPPTRRCGLCGAVAYCSTAHQISHWTYHKKECTRLEEQMRHVDILSDFPFTFFIEDINQESRCSFFTSKGLHQIGLWKSECSCGSLAVSMNELWLNDNWSLPSSLCPCAEPKSQLSSCLSCWEDYYQWRCLPLDSPVALLLHWPLTVYHCFQLLAAQGSISEVKDELNIHYLGPEKELLQLGVFGELLALFSGVQIHMDLVGPAVPQFRDGKTVSLCKYLPCAEQDCICKSSCADSGARRLNGKCVTVHIKLHKGFYHDIRGNILKDSYPHLIIAPNAGVAAYSSWSPTIELIKEMGIPAIFTDFCEEAANLAADCISTVTSLPLRLPIQINPFRQPMVVEDSALYVPCYSNCFLFGM >Ma04_p29780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30620027:30621088:-1 gene:Ma04_g29780 transcript:Ma04_t29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPCSGASTLGPERKQMACRIQRKSRNIPPPTGASTRADDATSQARVAGAVDDADHAFFRPCTSLQNKRRFLHNQGRQLFSFSLVGGREEGKGLRMAWWERVVVFPARRVWIGVATRLGVQRTGLKKLRKEVRTCEYEDVRVMWEILRKADSETGRRPSPAGRAIQRRRRRRRRGHGDIWPSLFDWAPCCLCRNL >Ma10_p23750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32518853:32520430:-1 gene:Ma10_g23750 transcript:Ma10_t23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRVIEDELFPSTPGKVKIERTHAASRQFHRCFASTSTLFLWALLLIALTASYLSFQSFVDTSSRYFTASWGGLHWERQIRASAAVRRDDGIAVLVTGAAGFVGTHVSLALRRRGDGVVGIDNFNAYYDPSLKKARKALLAGHGVFVVDGDINDARLLEKLFDTVPFTHVMHLAAQAGVRYAIENPASYIHSNVAGLVTLLEACKSADPQPAIVWASSSSVYGLNDKVPFSESDRTDRPASLYAATKKAGEEITHIYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDGTDLARDFTYIDDIVKGCVAALDTAEASTGIGKQKRRPAQYRIYNLGNTSPVTVPALVRILERYLKVKARKNMVEMPGNGDVPFTHANISLARAELGYKPTTNLETGLKKFVKWYLSYYGYSRRAGGKHQ >Ma10_p30440.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36733409:36742434:-1 gene:Ma10_g30440 transcript:Ma10_t30440.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPDHHIGMWWEDSIKTDSCQNICTSPAAETDMRLVYMLEDIPHKELTQPKDHDQETNKSSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLCQLEQELEQVRQKGIYIGGRLGERTLGLSGSVNSGLCWWHLSGIAAFEMQYGHWVEKQNQQTCQLRAALQAHASDFELHMLVKSGIRHYDNLFRIKAITAKSDVFYLMSGMWRTPTERFFLWIGGFRPSKLLKVLSSQLNPLTEQQMRAVTGLQQCSQQAEDALSQGLDKLQQTLSETLACDPFGTFGAKNYMGQMAIAMTKLEALVSFVNQVTYKFCRHNQFILRITFDNRLCSKCTTSSQLANQHEGCLPWVTTSNAFARLVPYGQLVLPHESA >Ma10_p30440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36733409:36742434:-1 gene:Ma10_g30440 transcript:Ma10_t30440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPDHHIGMWWEDSIKTDSCQNICTSPAAETDMRLVYMLEDIPHKELTQPKDHDQETNKSSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLCQLEQELEQGIYIGGRLGERTLGLSGSVNSGLCWWHLSGIAAFEMQYGHWVEKQNQQTCQLRAALQAHASDFELHMLVKSGIRHYDNLFRIKAITAKSDVFYLMSGMWRTPTERFFLWIGGFRPSKLLKVLSSQLNPLTEQQMRAVTGLQQCSQQAEDALSQGLDKLQQTLSETLACDPFGTFGAKNYMGQMAIAMTKLEALVSFVNQVTYKFCRHNQFILRITFDNRLCSKCTTSSQLANQHEGCLPWVTTSNAFARLVPYGQLVLPHESA >Ma10_p30440.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36733409:36744088:-1 gene:Ma10_g30440 transcript:Ma10_t30440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPDHHIGMWWEDSIKTDSCQNICTSPAAETDMRLVYMLEDIPHKELTQPKDHDQETNKSSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLCQLEQELEQVRQKGIYIGGRLGERTLGLSGSVNSGLCWWHLSGIAAFEMQYGHWVEKQNQQTCQLRAALQAHASDFELHMLVKSGIRHYDNLFRIKAITAKSDVFYLMSGMWRTPTERFFLWIGGFRPSKLLKVLSSQLNPLTEQQMRAVTGLQQCSQQAEDALSQGLDKLQQTLSETLACDPFGTFGAKNYMGQMAIAMTKLEALVSFVNQVTYKFCRHNQFILRITFDNRLCSKCTTSSQLANQHEGCLPWVTTSNAFARLVPYGQLVLPHESA >Ma10_p30440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36733409:36742446:-1 gene:Ma10_g30440 transcript:Ma10_t30440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPDHHIGMWWEDSIKTDSCQNICTSPAAETDMRLVYMLEDIPHKELTQPKDHDQETNKSSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLCQLEQELEQVRQKGIYIGGRLGERTLGLSGSVNSGIAAFEMQYGHWVEKQNQQTCQLRAALQAHASDFELHMLVKSGIRHYDNLFRIKAITAKSDVFYLMSGMWRTPTERFFLWIGGFRPSKLLKVLSSQLNPLTEQQMRAVTGLQQCSQQAEDALSQGLDKLQQTLSETLACDPFGTFGAKNYMGQMAIAMTKLEALVSFVNQVTYKFCRHNQFILRITFDNRLCSKCTTSSQLANQHEGCLPWVTTSNAFARLVPYGQLVLPHESA >Ma10_p30440.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36733409:36744087:-1 gene:Ma10_g30440 transcript:Ma10_t30440.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPDHHIGMWWEDSIKTDSCQNICTSPAAETDMRLVYMLEDIPHKELTQPKDHDQETNKSSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLCQLEQELEQVRQKGIYIGGRLGERTLGLSGSVNSGIAAFEMQYGHWVEKQNQQTCQLRAALQAHASDFELHMLVKSGIRHYDNLFRIKAITAKSDVFYLMSGMWRTPTERFFLWIGGFRPSKLLKVLSSQLNPLTEQQMRAVTGLQQCSQQAEDALSQGLDKLQQTLSETLACDPFGTFGAKNYMGQMAIAMTKLEALVSFVNQADHLRQQALQQMYNILTTRQSARGLLALGDYFQCLRTLSSLWATRPPS >Ma10_p30440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36733409:36742434:-1 gene:Ma10_g30440 transcript:Ma10_t30440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPDHHIGMWWEDSIKTDSCQNICTSPAAETDMRLVYMLEDIPHKELTQPKDHDQETNKSSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLCQLEQELEQVRQKGIYIGGRLGERTLGLSGSVNSGLCWWHLSGIAAFEMQYGHWVEKQNQQTCQLRAALQAHASDFELHMLVKSGIRHYDNLFRIKAITAKSDVFYLMSGMWRTPTERFFLWIGGFRPSKLLKVLSSQLNPLTEQQMRAVTGLQQCSQQAEDALSQGLDKLQQTLSETLACDPFGTFGAKNYMGQMAIAMTKLEALVSFVNQVTYKFCRHNQFILRITFDNRLCSKCTTSSQLANQHEGCLPWVTTSNAFARLVPYGQLVLPHESA >Ma10_p30440.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36733409:36742434:-1 gene:Ma10_g30440 transcript:Ma10_t30440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPDHHIGMWWEDSIKTDSCQNICTSPAAETDMRLVYMLEDIPHKELTQPKDHDQETNKSSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLCQLEQELEQVRQKGIYIGGRLGERTLGLSGSVNSGLCWWHLSGIAAFEMQYGHWVEKQNQQTCQLRAALQAHASDFELHMLVKSGIRHYDNLFRIKAITAKSDVFYLMSGMWRTPTERFFLWIGGFRPSKLLKVLSSQLNPLTEQQMRAVTGLQQCSQQAEDALSQGLDKLQQTLSETLACDPFGTFGAKNYMGQMAIAMTKLEALVSFVNQADHLRQQALQQMYNILTTRQSARGLLALGDYFQCLRTLSSLWATRPPS >Ma10_p30440.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36733409:36742434:-1 gene:Ma10_g30440 transcript:Ma10_t30440.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPDHHIGMWWEDSIKTDSCQNICTSPAAETDMRLVYMLEDIPHKELTQPKDHDQETNKSSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLCQLEQELEQVRQKGIYIGGRLGERTLGLSGSVNSGLCWWHLSGIAAFEMQYGHWVEKQNQQTCQLRAALQAHASDFELHMLVKSGIRHYDNLFRIKAITAKSDVFYLMSGMWRTPTERFFLWIGGFRPSKLLKVLSSQLNPLTEQQMRAVTGLQQCSQQAEDALSQGLDKLQQTLSETLACDPFGTFGAKNYMGQMAIAMTKLEALADHLRQQALQQMYNILTTRQSARGLLALGDYFQCLRTLSSLWATRPPS >Ma10_p30440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36733409:36742446:-1 gene:Ma10_g30440 transcript:Ma10_t30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEPDHHIGMWWEDSIKTDSCQNICTSPAAETDMRLVYMLEDIPHKELTQPKDHDQETNKSSDKVLRRLAQNREAARKSRLRKKAYVQQLESSRLKLCQLEQELEQVRQKGIYIGGRLGERTLGLSGSVNSGIAAFEMQYGHWVEKQNQQTCQLRAALQAHASDFELHMLVKSGIRHYDNLFRIKAITAKSDVFYLMSGMWRTPTERFFLWIGGFRPSKLLKVLSSQLNPLTEQQMRAVTGLQQCSQQAEDALSQGLDKLQQTLSETLACDPFGTFGAKNYMGQMAIAMTKLEALVSFVNQADHLRQQALQQMYNILTTRQSARGLLALGDYFQCLRTLSSLWATRPPS >Ma08_p04690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3254817:3259751:1 gene:Ma08_g04690 transcript:Ma08_t04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSGRREMSSTPENVVVVAVRAEREISKTALAWALTHVVRPGDVVTLLAVLADREATGWRRWLLWGFPGFGGDRRSRERCPISASCSQMALQIDGRSEINVRIKVVGSDPNASGSSSSSSGDRGGGVVAAESKRVGANWVVLDKQLKQEEKHCMEELQCNIVVIKGSCAKVLRLNLGGIHNKPLPPFSLSSSSSSHSPICSEKYLDKNSRLAKTASSPVEDAKKASPRPETKTVALSSNAAPTASFFVRERNPLFEKLHTGNLTPIEDVGSDGEGTADSEDNGGGSTGSTPLGLKLHYDALLSLSARGGYPGFHLASGPVAGQLRRAVYWIPQNYTPEKAQMNNATKATQKSPQTEKHLSGDHRLRSPGADQECTTPYSSDVREAVSLFGSSPSVPPPLCSLCRHKAPVFGKPPRRFTYRQLEVATDGFADATFVAEGGGGRVHRGVLEDGRVVAVKRLKATSCGKAAAAAEEEEEEFCEEVEVLSRAQHRNVVMLVGFCVEGATKVLVYEYICNGSLDLHLYGQAQPPLDWIARMKTAVGVARGLRYLHEDCRVGFVVHKDMRPNNVLLTHDFEPLLGDFRLTRWQTETSRSVDTNVPEAFGYLAPEYIEHGIVTDKSDVYAFGVVLLELITGRRALDTNLPKGHQFLVEWARPLLSLASEDGQTIAVDRFLDPRLDRDQARFFSQELRAMARAASLCLRREPQSRPSMSKVLRILEGDSIVDQALDVSSVGSRSGRIIRPVLQPDMGISGSLSYRFPREAVASALCADRSWPTALYESM >Ma08_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3254817:3259751:1 gene:Ma08_g04690 transcript:Ma08_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSGRREMSSTPENVVVVAVRAEREISKTALAWALTHVVRPGDVVTLLAVLADREATGWRRWLLWGFPGFGGDRRSRERCPISASCSQMALQIDGRSEINVRIKVVGSDPNASGSSSSSSGDRGGGVVAAESKRVGANWVVLDKQLKQEEKHCMEELQCNIVVIKGSCAKVLRLNLGGIHNKPLPPFSLSSSSSSHSPICSEKYLDKNSRLAKTASSPVEDAKKASPRPETKTVALSSNAAPTASFFVRERNPLFEKLHTGNLTPIEDVGSDGEGTADSEDNGGGSTGSTPLGLKLHYDASRGGYPGFHLASGPVAGQLRRAVYWIPQNYTPEKAQMNNATKATQKSPQTEKHLSGDHRLRSPGADQECTTPYSSDVREAVSLFGSSPSVPPPLCSLCRHKAPVFGKPPRRFTYRQLEVATDGFADATFVAEGGGGRVHRGVLEDGRVVAVKRLKATSCGKAAAAAEEEEEEFCEEVEVLSRAQHRNVVMLVGFCVEGATKVLVYEYICNGSLDLHLYGQAQPPLDWIARMKTAVGVARGLRYLHEDCRVGFVVHKDMRPNNVLLTHDFEPLLGDFRLTRWQTETSRSVDTNVPEAFGYLAPEYIEHGIVTDKSDVYAFGVVLLELITGRRALDTNLPKGHQFLVEWARPLLSLASEDGQTIAVDRFLDPRLDRDQARFFSQELRAMARAASLCLRREPQSRPSMSKVLRILEGDSIVDQALDVSSVGSRSGRIIRPVLQPDMGISGSLSYRFPREAVASALCADRSWPTALYESM >Ma09_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:686427:700545:-1 gene:Ma09_g00990 transcript:Ma09_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHPHRRTRLSALLLVALWSLAESKYIAYNTTQRIVADKLNVHIVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIIPALLADKNRRFIYVEQAFFQRWWRQQSDEIKSTVKELLSSGQLEFINGGMCMHDEAAVHYIDMIDQTTLGHRFIKQEFGIIPRIGWQIDPFGHSAVQAYLLSAEVGFDALYFFRIDYQDLAKRKDLKSLEVIWRASKSRGLSADVFTGIFPKNYEPPPGGFYFEVNDDSPVIQDDPLLFDYNVQERVDDFVAAALSQANITRANHIMFTMGTDFKYQYANSWFRQLDKFIHYVNKDGRVNALYSTPSIYTDAKYALEESWPLKTGDFFPYADNPNAYWTGYFTSRPAIKGYVRMMSAYYLAARQLEFFTERNSSGYTTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLSIGYSEAEKLVGASLACLTEPISSPGCYNTTTKFEQCPLLNISYCPPSEVDLSLGKKMIVLVYNSLGWKRNDIIRIPVISESIVHDSDGNEIESQLLPLADATINLRSHHVKAYLGISPSITPKFWLIFAVSVPPLGFNTYFVSSTKGKGSVASVSTFYSSEESKSSNIEVGKGKLKLLYNVKDGTLTHYLNSRSLVKASMEQTYSYYAGDSGSGNDPQASGAYIFRPNGTFPIKPEKKTPTTIVRGSILDEVHQQINPWIYQVSRVYKDKEHAEVEFTVGPIPVDDEIGKEIVTKITTGMATNKTFYTDSNGRDFIKRVRDYRSDLELQVNQPVAGNYYPINLGIYMQDDSTEFSVLVDRSVGGSSILDGQIELMLHRRLLYDDGRGVGEALNETVCVNGECAGLTVQGKFYVKIDPLGEGSRWRRTFGQEIYSPLLVAFSIEDGGNWTGSHTANFSAMDPSYSLPDNVALITLQELEDGNVLLRLAHLYEVGEHKDLSAMAYVELKKMFPVRKIGNIIEMNLSANQEKTAMEKKRLKWKTEGSSGVETIARGAPVDPSELVVELAPMEVRTFLIKFDYISFAKIGDR >Ma09_p00990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:686427:700545:-1 gene:Ma09_g00990 transcript:Ma09_t00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHPHRRTRLSALLLVALWSLAESKYIAYNTTQRIVADKLNVHIVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIIPALLADKNRRFIYVEQAFFQRWWRQQSDEIKSTVKELLSSGQLEFINGGMCMHDEAAVHYIDMIDQTTLGHRFIKQEFGIIPRIGWQIDPFGHSAVQAYLLSAEVGFDALYFFRIDYQDLAKRKDLKSLEVIWRASKSRGLSADVFTGIFPKNYEPPPGGFYFEVNDDSPVIQDDPLLFDYNVQERVDDFVAAALSQANITRANHIMFTMGTDFKYQYANSWFRQLDKFIHYVNKDGRVNALYSTPSIYTDAKYALEESWPLKTGDFFPYADNPNAYWTGYFTSRPAIKGYVRMMSAYYLAARQLEFFTERNSSGYTTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLSIGYSEAEKLVGASLACLTEPISSPGCYNTTTKFEQCPLLNISYCPPSEVDLSLGKKMIVLVYNSLGWKRNDIIRIPVISESIVHDSDGNEIESQLLPLADATINLRSHHVKAYLGISPSITPKFWLIFAVSVPPLGFNTYFVSSTKGKVAGSVASVSTFYSSEESKSSNIEVGKGKLKLLYNVKDGTLTHYLNSRSLVKASMEQTYSYYAGDSGSGNDPQASGAYIFRPNGTFPIKPEKKTPTTIVRGSILDEVHQQINPWIYQVSRVYKDKEHAEVEFTVGPIPVDDEIGKEIVTKITTGMATNKTFYTDSNGRDFIKRVRDYRSDLELQVNQPVAGNYYPINLGIYMQDDSTEFSVLVDRSVGGSSILDGQIELMLHRRLLYDDGRGVGEALNETVCVNGECAGLTVQGKFYVKIDPLGEGSRWRRTFGQEIYSPLLVAFSIEDGGNWTGSHTANFSAMDPSYSLPDNVALITLQELEDGNVLLRLAHLYEVGEHKDLSAMAYVELKKMFPVRKIGNIIEMNLSANQEKTAMEKKRLKWKTEGSSGVETIARGAPVDPSELVVELAPMEVRTFLIKFDYISFAKIGDR >Ma04_p29470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30408061:30414089:-1 gene:Ma04_g29470 transcript:Ma04_t29470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENTHASKRSRTVGNGGQASKIGRKEHQDEDEEDRERKGGGADAGRVAPWLHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAINELPPLDGFPKLPQPSGDEVMKADPDVERSYSQQQQQQQHPSTKSGCSSTSDTSKGSGLSLSRSESRIMARERARERAAKDKEKGRDDSSHIAAFLHQNLNPQTSSSFTKLLASSNGANNVAAVAEGEENSGHNCIQKQIPTAIYFGQAGLFAQSQKSQQLPSGFSSQSHFGNSCPMGMLPFNVAATGDHQEMQQFSLLQDNFFPVSAVAAMANYNLNFSISSGLAGFNRGTLQSNSPAQMPQEHHHSHNHLQRLSSTVDGSNLQFFGAAAGSAAAATNAENQFPAGFGDHLQLCYGDGYRQSDLKGKGKN >Ma04_p29470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30408061:30414089:-1 gene:Ma04_g29470 transcript:Ma04_t29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENTHASKRSRTVGNGGQASKIGRKEHQDEDEEDRERKGGGADAGRVAPWLHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAINELPPLDGFPKLPQPSGDEVMKADPDVERSYSQQQQQQQHPSTKSGCSSTSDTSKGSGLSLSRSESRIMARERARERAAKDKEKGRDDSSHIAAFLHQNLNPQTSSSFTKLLASSNGANNVAAVAEGEENSGHNCIQKQIPTAIYFGQAGLFAQSQKSQQLPSGFSSQSHFGNSCPMGMLPFNVAATGDHQEMQQFSLLQDNFFPVSAVAAMANYNLNFSISSGLAGFNRGTLQSNSPAQMPQEHHHSHNHLQRLSSTVDGSNLQFFGAAAGSAAAATNAENQFPAGFGDHLQLCYGDGYRQSDLKGKGKN >Ma04_p29470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30408061:30414089:-1 gene:Ma04_g29470 transcript:Ma04_t29470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENTHASKRSRTVGNGGQASKIGRKEHQDEDEEDRERKGGGADAGRVAPWLHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAINELPPLDGFPKLPQPSGDEVMKADPDVERSYSQQQQQQQHPSTKSGCSSTSDTSKGSGLSLSRSESRIMARERARERAAKDKEKGRDDSSHIAAFLHQNLNPQTSSSFTKLLASSNGANNVAAVAEGEENSGHNCIQKQIPTAIYFGQAGLFAQSQKSQQLPSGFSSQSHFGNSCPMGMLPFNVAATGDHQEMQQFSLLQDNFFPVSAVAAMANYNLNFSISSGLAGFNRGTLQSNSPAQMPQEHHHSHNHLQRLSSTVDGSNLQFFGAAAGSAAAATNAENQFPAGFGDHLQLCYGDGYRQSDLKGKGKN >Ma04_p29470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30408061:30414089:-1 gene:Ma04_g29470 transcript:Ma04_t29470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENTHASKRSRTVGNGGQASKIGRKEHQDEDEEDRERKGGGADAGRVAPWLHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAINELPPLDGFPKLPQPSGDEVMKADPDVERSYSQQQQQQQHPSTKSGCSSTSDTSKGSGLSLSRSESRIMARERARERAAKDKEKGRDDSSHIAAFLHQNLNPQTSSSFTKLLASSNGANNVAAVAEGEENSGHNCIQKQIPTAIYFGQAGLFAQSQKSQQLPSGFSSQSHFGNSCPMGMLPFNVAATGDHQEMQQFSLLQDNFFPVSAVAAMANYNLNFSISSGLAGFNRGTLQSNSPAQMPQEHHHSHNHLQRLSSTVDGSNLQFFGAAAGSAAAATNAENQFPAGFGDHLQLCYGDGYRQSDLKGKGKN >Ma10_p01110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4010182:4019671:-1 gene:Ma10_g01110 transcript:Ma10_t01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGQVLVEIQPQELKFAFELKKQISCTVQLVNKSTDYVAYKVKTTSPKRYCVRPNTGIILPRSTCDFTVTMQAPKEVPPDMQLKDKFLVQSTVVPYGTTDEDIMPSFFSKENGRYIQENKLRVVLASPPHSPVVEPINGVRQEPALENPDSAETCIFDDGALQHELANEVPILRKTSVLHNGAPKHEAIHENPLLEKACISSNQALNEVPDIISSPVAKDIDDLKFKLNNLEVKLNEAEKTIMSLKKENNATLQEGEKLQQEIALLRLKSSARVQAGFPFLFVVFIAFVSMTLGYLWHS >Ma10_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4010182:4019695:-1 gene:Ma10_g01110 transcript:Ma10_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGQVLVEIQPQELKFAFELKKQISCTVQLVNKSTDYVAYKVKTTSPKRYCVRPNTGIILPRSTCDFTVTMQAPKEVPPDMQLKDKFLVQSTVVPYGTTDEDIMPSFFSKENGRYIQENKLRVVLASPPHSPVVEPINGVRQEPALENPDSAETCIFDDGALQHELANEVPILRKTSVLHNGAPKHEAIHENPLLEKACISSNQALNEVPDIISSPVAKDIDDLKFKLNNLEVKLNEAEKTIMSLKKENNATLQEGEKLQQEIALLRLKSSARVQAGFPFLFVVFIAFVSMTLGYLWHS >Ma07_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15474742:15494704:-1 gene:Ma07_g16760 transcript:Ma07_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGGPPDSEDFVLRSAVRSGLKREFVFALRSQAQLPSSLGRTRSGRSAAAPAAFPPRATKKRRKSGGDLPLKTAATVTDVVDCDDEPVTDVVSSANQTPLETLVSVDVCGESAAEAALPRMNSVAAPVIVDGDDEPTADFVPSAIWTPLATLVPVDGCGESAAEAAPPQISRVEAPTVVDRDDVPTADAASSANGPVLETLVSIDGCGEPAAETAPPQMKPVKDPTVVDRDDEPISDAVSLANGGIFENPVLIDSSGESATEIAAPQVKPIKVFVRSPLRGKAGGLLKSPNRWVQALASAADAPIVLDDVAACKSNGISLDNRCSVKNQIVINCDAELNVDHLASKNQLESSRASQIEDTVQVSIIESPPPALTMNNEEPLQGTPTVMDYQDGGKMENSLPQKPVRRFTRSLLKVPPVEKEGPIAIISSMESGHDSIMDDDKFPGKPNRRSGIKSEEEDSGSDVGAGVSGESTGSEGTKGGENSVNGSLNSTPKNKMELKMSKKISLTKLPGNVRELLSTGLLEGLPVKYMTSNGKQIELHGVIKGNGILCSCATCDSSIVVSAYVFEQHAGSTKKHPADFIYLQNGNSLHDVVKACHGAPLDMLEAAIQGAIGPVPPKKCFTCQKCKVSFSTSRVGKFAWLCDLCLELKQLSRTPSPLNGVVSSTRLSRTSSTPDMSNNSSKNLLSIKKSSLGRLTRKDLGLHKLVFMSGILPEGTEVGYYVRGKRLLEGYIKDSGIYCRCCNTVVSPSQFEAHAGRAARRKPYNNIYTSNGVSLHELSVSLSKDRKLSANENDDLCSICADGGDLLLCDLCPRAFHTGCVGLPSIPVGDWYCQYCINLHQRERSVACNDNAIAAGRVAGVDPIEQIFKRSIRIVTTSQTDAGGCAFCRSHDFSKSRFDDRTVMICDQCEKEYHVGCLREQMMADLKELPEGEWFCCDDCSRIWNSLQEFLFRGTQPLPELNTDIIKKKLENKGVNGDADVDIRWRLLSGKTDTADSKLLLSRAVAIFHESFDPIIEATTGRDLIPSMVYGRTVRDQDFGGMFCAVLTVGSSVVSAGILRVLGSEIAELPLVATSREHQGQGYFQSLFSCIERLLGSLNVKHFLLPAADEAESIWTKKFGFTKITLDQLHKFLNGARTTVFEGTSMLHKSIPAIPVSSQVEPAVN >Ma06_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8910432:8913182:1 gene:Ma06_g12930 transcript:Ma06_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTKAEPRKRAVKKWFSKVVPAMEGCARTSDSSKAAAGVFEGQSSKQKKKKKKKCLAGCCSKSCWCTCKSSKRSAYKHHQSRKDDDDVTVRLPPVSPRSRGTDNVDAAEMPTLRTARDVFIVAERLPPGPARELPVPNPIVGQEVYLKTALGYLADDAVGVVGIHGTGGVGKTTLLRSINNQFCGSAARTEFDHVMLAVVGKDPDIKKLQGAIAYEVGLLLNADDSEVVRAAAIFDFLKARSFLLLLDDLWAPLELAKVGIPQPSSDSAIGRKQKLMISTRLVDIAGRMQAYKILILECLKWEEAWNLFKSTVGEDTVGDQRIRSFAVTLAKECRGLPLALVTMGSAMAAKKTAEEWQSVISSIKTSPLHEISSAEDESLALLHVSCGSLRDHRMRQCFSSCSLWPEGYHMSKENLIRSWMGLGSTHHFDDINEAYNIGNAMIETLKASSLLKNSERSNSRLEMHDVVREMASWIASEEGSSRNKWSVGANSSGRTGWDEWSRAETICLMFKDIAALPDSCNCPDLQSLILRGNKRLSKIPNGLFPCMIALRYLDLSHTGILRLPAEVGTLVNLQFLDLSYTKIACLPEEIRELTSLRHLELEGTTELRTIPRGVISSLGMLQVLNLYMSGFANWNWLSVRGHRGITFEELVSLPKLRSVGFTVRNIPSLLRLFSISHVSTHSLTIRELRGLISLHLLPALLSRNKMGRLRNLTVESSRCLKELVMGEEADDAPNWRLHQLEVLNLVCLPELERVIWRGVPPHACLPNLRFLSLLCCNSLKNITWILHLPLLQELYVQNCDEMERVMEEEKAEKIGTPLPNLRYIYLRDLKKLVSIKDHALPFPGLERILVYNCSELKQLPLGAKSAEKLRMIFGERGWWERLEWGNQSIKSVFASCFREIPAGYEPSMKILDGL >Ma01_p05750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4057208:4060735:-1 gene:Ma01_g05750 transcript:Ma01_t05750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKFLQLVEEKKKKILEKKEGPLKWEQRLEAASKAKADAEAKKRKLKASTHKKRTHSGSDYDSDSDSSDSERKYRKKRIHKKHRKHDQSDSEDGGKRKHRSTKRSSSSSDHDSNDDDDAGRKRHTHGRKYRCSSGTDSSSSPSSIEDDEKKANRKSHSRRHKHHHRSGDEDSTSDSQARRRHSKHKHHLRLSEDDSPGYDDHKHNRISSQGKSSGEEYETGKPRDAKKSHHKHGHHHHNHQKHNLHRCSVEPDVELGKHESKTSADGTSSN >Ma01_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4057208:4060735:-1 gene:Ma01_g05750 transcript:Ma01_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKFLQLVEEKKKKILEKKEGPLKWEQRLEAASKAKADAEAKKRKLKASTHKKRTHSGSDYDSDSDSSDSERKYRKKRIHKKHRKHDQSDSEDGGKRKHRSTKRSSSSSDHDSNDDDDAGRKRHTHGRKYRCSSGTDSSSSPSSIEDDEKKANRKSHSRRHKHHHRSGDEDSTSDSQARRRHSKHKHHLRLSEDDSPGYDDHKHNRISSQGKSSGEEYETGKPRDAKKSHHKHGHHHHNHQKHNLHRCSVEPDVELGKHESKTSADGTSSN >Ma06_p29880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31234858:31239681:-1 gene:Ma06_g29880 transcript:Ma06_t29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MEALGRNFTTTLTTCGSPRRHQAAGFRRRVRMLPVAEPLFPAGGGGYPSRFKRLSGSVRMDATVGGEEEEMRQAKEMAAARRRWETLIREQKVKVLTPREAGYAIQLSNKTLLDVRPSTEHEKAWVRGSTWIPIFDVENTGDIGTLSKKITNFVMGGWWSGSSMLVYDRDFLSKVEEKFPKESDLILVCQKGLRSLAACEQLYNAGFRNLFWVQGGLEAADEEDFPREGPQPFKLAGIGGVSEFLGWTDQQRAIGAREGWSYRLVFTGRLLGLILLADALFLGAQRLGPLLQEWRSH >Ma08_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32668310:32672834:-1 gene:Ma08_g18900 transcript:Ma08_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNMYGSPLPLRMSESNRRIIEAGDPTKRLYQVWKGSNRFFLGGRLIFGPDVRSLMLTIPLIVVPVILFVLFVSHKLINEFQHHLGNFIVAFAVIFAAYDILVLFLTSGRDPGIVPRNSRHPEPTDAGSVSPSLSAEWSGRYSTASTLPPTKDVFVNGIIVKVKYCNTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFFMFVSSTTILCLYVFTFCWVNLTKIMGAYDCNLWRAVLKSPVSGMLIIYTFIGAWFVGGLTAFHLYLVCTNQTTYENFRYRYDGKMNPYNRGCIYNVKEVLFSGIPKSINNFRAKVTDDLSRFTTSHSLGRTVSTDMAKPNFDLEVGLKRHSVAAEEPGDIQNKFEIGALERCEARPPHSIWDTTDDVDALSVEFGMGGKWETQDVQLGYGERVKTRSHDHRVKIQHGY >Ma03_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24996530:24998050:-1 gene:Ma03_g19720 transcript:Ma03_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENPSSNRYMGRNDSDTSGAFSDCNSDRSGEFPSSGSPTTSSSSIGGGGGGGGLHRLLVSYAVDYSHEVVRRLISDLESPSATVESQRRAAMELRLLAKHNPENRLRVAAAGAVGPLVALVSHPDPQLQEHGVTAVLNLSLCDENKTLIAAAGAIRPLVCALSTGTPVARENAACALLRLAQLDDLRTAIGRSGAIPPLVALLESGGPRAKKDAATALFALLASRENKTRAVEAGIVRSLLDLMADPDSGMVDKAAYVLNAVVEVAEGRAAAVEEDGIPVLVEMVEAGTSRQKDIAVRSLLQICKESAVYRKMAAHEGAVPPLVALSQSATKKSKEKAEALIELLRQPRRTAGDSRR >Ma08_p30870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41988509:41990552:-1 gene:Ma08_g30870 transcript:Ma08_t30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVESREKTRTMVIELSKKVKKIGEDDPRRVAHSFKAGLALTLVSVFYYVTPLFDGFGVSSMWAVLTVVVIMEYTVGGTLSKGLNRAVATLVGGSLGVAAHQIAVLCGEKGEPILLGLFVFILAAAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVDELLQLALQRVSTIVVGVATCLCTSIFVFPVWAGEDLHKLVAADLEKLANFLEGLSTEYFAEKGEVENLETKSFLQVYISVLNSKPTEDSLMSLARWEPGHGRFWFRHPWKQYLRIGALSRQCAFSMDALATYITTYPKFKPSSDPDLHLKIRKTCQEMSSESAKALKELSSSIRAMTVPSLASRDASTVVATVRKLEDVLSEGKATSDVLHLATIASLLTQLDVRAREIAGSVEELARLAHFKRFDPMHEVRVKP >Ma08_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2621530:2626635:-1 gene:Ma08_g03630 transcript:Ma08_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRPDRKKGRTFHWLRFDSDEASRESKVRESKTRAEEQQETGEKNEGSIEIIERAKRLLSVHGVLPPSTALAASPPSIPIPPSHPFFGSKLLKTALLRSRSSLPFLPEKAKFYRELEAAVDVVERACRLCVDVKKALLSDKGRILEKNDQTPVTIADFGVQALISLELKRLFPSIPLVAEEDSGFLRSNSGDLQRVHGHDGNSLVNLVLTAVADKATGADDPLTSDVVLEAIDRGGKDAVSFDAQPATYWVLDPIDGTRGFLKGNDALYVVGLALVVDGKIVLGVMGCPNWKVDILSSGIHDRKTGDCETGIIMIAHEGCGTWTRKFFDGMDKFLSMQGGWQQCFVDSCYMVHEARFCIPDSQTWDLIPLSAFFSSTVDDTKDRDRGTILLLPTCCGSLCKYLMVASGRASVFILRARAQTSIKVWDHAVGVICIQEAGGKVTDWIGTELDLAADEAGRRIIYPSGT >Ma06_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12406190:12407877:-1 gene:Ma06_g18260 transcript:Ma06_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSGGGGGEGWRVAPPMSAMEILRETVRILRAEPSPFMATLVILICPVSSALLSAVLVPPAASDALARRLAFLAAASGLPLARPLLQLCRHLAATLLSAAACFPLLATALLLARTSVAYSVACAYAGKKFRPADFFAAVRRAWRRLISTYLWVCASISACLALFLALLLLACKAFAALGYPPEIVVYPALLTVLAFSMAYAHTIIVCNLASVISVLEEVSGLLALLRSVRLIRGQTQAGLLMFLGSTIGMAFVEGLFEHRVKTLSYGNGSSRLWEGPLLVFMYSFVVLIDSMMSAVFYFTCRSSVMEELDGDGRALKGTQNASPEVDRC >Ma04_p38530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36020375:36022244:-1 gene:Ma04_g38530 transcript:Ma04_t38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEETEAAMMISMRAALGLVTNNPNEGHLDDLTIMKIAKQHKAGLDSTRISKRTARKEINEGFFFIHSRFGLITPTTLLGELNLAINAIKCFSYAL >Ma10_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22909883:22911459:-1 gene:Ma10_g08560 transcript:Ma10_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELIISPSCSSSLNAPAAADLQYRLQSFLLARPEWWAYAIFWRASPDHRVLSFGDGNFRGARKSRGSDDSVDDGEWFYVVSLSRSFVVARDGDANPVPARVYGSLAPVWLAGVRALQACGCDRTREAQLHGIETLACFPVPGGVLELGSADYIAENWVLVQQVSAIFNTTPHDAAIAGAEPTAAPAPLSAARKDGAGLSSSVDSEHSDSDCHLLAERRRPKKRGRKQESGSHEGPANHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLSDAVAYIKELEAKVDKLEAEAKTAMKETTTSATTHGTTTTTTSETAMEVEVKLLGAEALIRAQSDDRNHPPARLMVALRDLGLHVHHASVSCVKESVLQDVVVEVPCELQGHEGLRAALLAKLQTS >Ma05_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:372975:383803:1 gene:Ma05_g00640 transcript:Ma05_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKATSLGLFRAHHHPPSSALRFRSLPSIPHLRSRPSSSRSLAFSRSSCSFLPRPRTRLSTGWGSDWRSPIGPRPRIRSSSTVIQLFDRKMTTTATENVFKDVLTSLPKPEGGEYGKYYSLPALNDPRIDRLPYSIRILLESAIRNCDDFQVTKNDVEKIIDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNRLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSLIEAYLRANKMFVDYNEPQKERVYSSYLELDLADVEPCISGPKRPHDRVPLKEMKADWHSCLDNKVGFKGFAVPKDSQEKVAKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACQLGLQVKPWVKTSLAPGSGVVTKYLLKSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVAAAISDNDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKDRKSIYFKDIWPSTEEIAQVVQSSVLPEMFKSTYEAITKGNPMWNQLTVPATTLYSWDTNSTYIHEPPYFKDMTMAPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRRDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPTGDKLYVFEAAMRYKADGYDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDAETLGLTGYESYTIDLPSCISDIRPGQDITVVTDSGKSFTCTLRFDTEVELAYFNHGGILPFVIRNLISSQH >Ma07_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10226681:10230675:-1 gene:Ma07_g13610 transcript:Ma07_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVPVEELTSGASGRIIPVFRNIRRFVPSPASLLRVLLFLHSLALWFFRFVRRRSPSASRTAATAASPRRRSSRGSWSVAAEEEDVLRRRAIAGGVEMVTTSEEGGADVACRCRTFVFVGPRRSALFCRSWVPASGDLRGIILIIHGLNEHSGRYSHFAKQLMACNFGVYAMDWIGHGGSDGLHGYVPSLDYVVEDTIKFLEKIKSENPKIPCFLFGHSTGGAVVLKAASYPYVEAMVEGIILTSPALRVKPAHAILGAVAPIFSLVLPKFQFKGANKRGIPVSRDPAAMLAKYSDPLVYTGPIRVRTGHEILRLSSYLQQSMKSVTVPFLVLHGTADRVTDPLASQDLHNVAASRHKDIKLYEGFLHDLLFEPERDEVGTDIINWMLRMLQPQSL >Ma10_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22864141:22866372:1 gene:Ma10_g08520 transcript:Ma10_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGMKPTKPGLEEPQEQLHRIRITLSSKNVKNLEKVCADLVRGAKDKKLTVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLVSSSEVVKQITSITIEPGVEVEVTIAEP >Ma09_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32509045:32512481:1 gene:Ma09_g21480 transcript:Ma09_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDYVAGKSSAKMRAPKIASSSLVATLTCLQFAFAMYATFLLYYMSPSVDLRSKADFSWATRIAQHWKQFIGHPHVMSSIQDIPAVVDSPAAVCEFEQIDFSQKKSDDALMIKLKRELYDEVLAFQKANSGTESLAELTRMKSKWSRVGPNIPKITVILNHFKRKTLCAQLDSLLNQTLPFHHLWVLSFGSPNEVSLRRIVESYNNSRISFISSSYDFKYYGRFQMALQTEADFVYILDDDMIPGKKMLEILSHVGGTDKYRNSVLGSIGRILPFRQKDFTFPSYRKFLSKEAGLYLPDPAYDITVERIVQVDFLSSSWFLSADLVKTLFVETPFTFMTGEDLHLSYQLRKYRDAGSYVLPIDPSDKDTWGDSEHRLAYVSETTVIFKDVVRVRDDQWWRALSAGYVTQWAAMYPQKIDALFYAHSVGEVRALAPLLEKFRTTVGRKAYIVVSGGSFCSCQEAVTVLRWPENVCRERRFKIFDLEIGAISGASNSEVPVVQAVYSSLKGLLKIHNPSLLIAVDDVDQKVKNALKMAAEGTANVTALVLLPRADVPKVLWMADLRPIALPSWNRMRITVNVITQNRATSLRRLLGSLQNAYYLGDEVRLSFNMDSKVDQETLKVVGSFRWAQGPKFIRRRIIHGGLIRAVSESWYPSDDDDFGLLLEDDIEVSPYYYVWIKYALLSYHYDPQVSLPELASISLYTPRLVEVVKERPKWNATEFFKKIHPNTPYLHQLPCSWGAVFFPKHWREFYAYMNARFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHNKEDFEVPLMKAEFTKLLPAGKLPPANKLPVLNLFNQAVSLKALKAAGAKLRQDLISCDEAEIVTVDHSTGLPRNCTRF >Ma09_p21480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32508885:32512481:1 gene:Ma09_g21480 transcript:Ma09_t21480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDYVAGKSSAKMRAPKIASSSLVATLTCLQFAFAMYATFLLYYMSPSVDLRSKADFSWATRIAQHWKQFIGHPHVMSSIQDIPAVVDSPAAVCEFEQIDFSQKKSDDALMIKLKRELYDEVLAFQKANSGTESLAELTRMKSKWSRVGPNIPKITVILNHFKRKTLCAQLDSLLNQTLPFHHLWVLSFGSPNEVSLRRIVESYNNSRISFISSSYDFKYYGRFQMALQTEADFVYILDDDMIPGKKMLEILSHVGGTDKYRNSVLGSIGRILPFRQKDFTFPSYRKFLSKEAGLYLPDPAYDITVERIVQVDFLSSSWFLSADLVKTLFVETPFTFMTGEDLHLSYQLRKYRDAGSYVLPIDPSDKDTWGDSEHRLAYVSETTVIFKDVVRVRDDQWWRALSAGYVTQWAAMYPQKIDALFYAHSVGEVRALAPLLEKFRTTVGRKAYIVVSGGSFCSCQEAVTVLRWPENVCRERRFKIFDLEIGAISGASNSEVPVVQAVYSSLKGLLKIHNPSLLIAVDDVDQKVKNALKMAAEGTANVTALVLLPRADVPKVLWMADLRPIALPSWNRMRITVNVITQNRATSLRRLLGSLQNAYYLGDEVRLSFNMDSKVDQETLKVVGSFRWAQGPKFIRRRIIHGGLIRAVSESWYPSDDDDFGLLLEDDIEVSPYYYVWIKYALLSYHYDPQVSLPELASISLYTPRLVEVVKERPKWNATEFFKKIHPNTPYLHQLPCSWGAVFFPKHWREFYAYMNARFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHNKEDFEVPLMKAEFTKLLPAGKLPPANKLPVLNLFNQAVSLKALKAAGAKLRQDLISCDEAEIVTVDHSTGLPRNCTRF >Ma09_p21480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32508740:32512481:1 gene:Ma09_g21480 transcript:Ma09_t21480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDYVAGKSSAKMRAPKIASSSLVATLTCLQFAFAMYATFLLYYMSPSVDLRSKADFSWATRIAQHWKQFIGHPHVMSSIQDIPAVVDSPAAVCEFEQIDFSQKKSDDALMIKLKRELYDEVLAFQKANSGTESLAELTRMKSKWSRVGPNIPKITVILNHFKRKTLCAQLDSLLNQTLPFHHLWVLSFGSPNEVSLRRIVESYNNSRISFISSSYDFKYYGRFQMALQTEADFVYILDDDMIPGKKMLEILSHVGGTDKYRNSVLGSIGRILPFRQKDFTFPSYRKFLSKEAGLYLPDPAYDITVERIVQVDFLSSSWFLSADLVKTLFVETPFTFMTGEDLHLSYQLRKYRDAGSYVLPIDPSDKDTWGDSEHRLAYVSETTVIFKDVVRVRDDQWWRALSAGYVTQWAAMYPQKIDALFYAHSVGEVRALAPLLEKFRTTVGRKAYIVVSGGSFCSCQEAVTVLRWPENVCRERRFKIFDLEIGAISGASNSEVPVVQAVYSSLKGLLKIHNPSLLIAVDDVDQKVKNALKMAAEGTANVTALVLLPRADVPKVLWMADLRPIALPSWNRMRITVNVITQNRATSLRRLLGSLQNAYYLGDEVRLSFNMDSKVDQETLKVVGSFRWAQGPKFIRRRIIHGGLIRAVSESWYPSDDDDFGLLLEDDIEVSPYYYVWIKYALLSYHYDPQVSLPELASISLYTPRLVEVVKERPKWNATEFFKKIHPNTPYLHQLPCSWGAVFFPKHWREFYAYMNARFTEDAKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHNKEDFEVPLMKAEFTKLLPAGKLPPANKLPVLNLFNQAVSLKALKAAGAKLRQDLISCDEAEIVTVDHSTGLPRNCTRF >Ma04_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24345569:24345733:1 gene:Ma04_g21900 transcript:Ma04_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRDVRSEIIPTIIILHKYLILIPPNLKPKFYDNI >Ma04_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6579629:6580014:-1 gene:Ma04_g09230 transcript:Ma04_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARENELEKWNDARGISMNIFVKEGCHRV >Ma11_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3656199:3656799:1 gene:Ma11_g04690 transcript:Ma11_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELRGLGVSGAFRLGSSLSGCDVVTVRSCPVLEPEWLSLLGWLCGKPVLPLGHFPPADMKHDAAGSFTEGNDFFRWLGKREVADGLEDSNQGPGTGDHGWVPRIKILANPSVGGFMTHCGWNSLVEVLQFGLPLVLLPLANDEGLNAHLMAEKKVGVEVPRREEARPSMGMMSQGQ >Ma04_p20090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22814313:22816672:-1 gene:Ma04_g20090 transcript:Ma04_t20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQGVRILLGLTFSFLSLLLLYAWYYCYRRRFSSRRSKGGSLEDGGGVRYGGEEELQAEELIKFAGGESLTAHDILDAPGEVVAKSGYGTLYRASIQKSNSVVLLRFVRPDCVGRTEEVLPAVRTLGSVRHPNLVPMRAMYVGPKGEKLFVHPFYAAGTLAQLLRAGVAESHRWDIIYKLSCGIAGGLDHLHNGYEKTIIHGNLKSNNILLDADFQPRLSDFGLHIILNPAETQEMLEASAAQGYKAPELMKMKDASRETDVYSLGVVLLEMLTQKDPINNDFLQSKDLHLPSFLRILVLEHKVSDVFTSELLKESINQNSSNEDGLVMLFHLAVACCSPSPTMRPDIKTVLGRLEDIGR >Ma04_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22814313:22816672:-1 gene:Ma04_g20090 transcript:Ma04_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQGVRILLGLTFSFLSLLLLYAWYYCYRRRFSSRRSKGGSLEDGGGVRYGGEEELQAEELIKFAGGESLTAHDILDAPGEVVAKSGYGTLYRASIQKSNSVVLLRFVRPDCVGRTEEVLPAVRTLGSVRHPNLVPMRAMYVGPKGEKLFVHPFYAAGTLAQLLRAGVAESHRWDIIYKLSCGIAGGLDHLHNGYEKTIIHGNLKSNNILLDADFQPRLSDFGLHIILNPAETQEMLEASAAQGYKAPELMKMKDASRETDVYSLGVVLLEMLTQKDPINNDFLQSKDLHLPSFLRILVLEHKVSDVFTSELLKESINQNSSNEDGLVMLFHLAVACCSPSPTMRPDIKTVLGRLEDIGR >Ma07_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30601479:30602905:1 gene:Ma07_g22800 transcript:Ma07_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGIMRREGGGGGGAAAVADGVLKKVLLSYAYVAIWIFLSFTVIVYNKYILDPKMYGWPFPISLTMIHMAFCSAIAFLVVRVLRLVEAPSSPSMTRAFYLSSVVPIGALYSLSLWFSNSAYMYLSVSFIQMLKALMPVAVYSIGVLFKKESFKSASMLNMLSISFGVAIAAYGEARFDATGVSLQLGAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLACLLVPWSLVELPVLRARSAASIRPDLLIFGTNSLCAFALNLAVFLLVGKTSALTMNIAGVVKDWLLIAFSWSVIRDAVTAVNLFGYAIAFLGVAYYNHVKLQALKAKEAQKNAALADEEARKLLEQVDGSGSDPKVNSQA >Ma04_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7671878:7672473:1 gene:Ma04_g10850 transcript:Ma04_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLPLAQLCFFHMLLVKKGISTNDYFIALRE >Ma07_p27080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33596899:33598307:-1 gene:Ma07_g27080 transcript:Ma07_t27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPGEIGIPASSSSSSGYKPSLVRGGSAFSKPTLFPSTSFVSPRGEGDAGGAGVGSGGVGRGGARNGNILGNTEALPPPTLESTPTAIDRLPQSLGKNADQAAISNSSPGAAGVGLFADNSKPTTIAKTSTITAATGAAYISTKYKECLRNHAAALGGHVVDGCGEFMPSGDPDTPEALKCAACGCHRSFHRRETDGSAGTANSYYHGTTRLPLLLPPPHPQAHPYHQQQFQLGGFSSSPSAAVRGTSGFVHFGGSNPSGSGGTTTESSSEERLNTATPTPTTIPRKRSRTKFTAEQKENMLAFAERVGWRFQRQDDAMVEQFCAEIGVRRQVLKVWMHNNKHTAVRKQQQLQPPPPEEQPVQQPQSAQRLQQ >Ma09_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:862676:864195:-1 gene:Ma09_g01160 transcript:Ma09_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKPSPVRCGALRLPPGFRFHPSDEELVVQYLKRKVFSCPLPAFFIPDIDLRKHDPWNLPGACQGERYFFNLRKSRYPNGKRSNRAASSGYWKATGKDKQIVASGCNQVVGIKKVLIFYRGKLPAGSRTDWIMHEYSLAGSDNPALIFPQRKNSTHGMMVPNQDWVLCRIFKKRRTTNMVDEIEQDRDEGKIRSSAAGFIDFMQQRESDQTPSSSSSP >Ma05_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35107607:35108584:1 gene:Ma05_g23100 transcript:Ma05_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGTQDKCKACEKTVHFIDLLTADGVTYHKTCFKCSHCKGTLSMCNYSSMDGVLYCKPHFEQLFKETGTFTRKFPTGTKSGERNEQSKAPTKISSMFCGTQDKCATCKKTAYPLETMTMEGESYHKTCFKCSVGGCMLTPSSYAALDGILYCKHHFAQLFMEKGSYNHVIQAALEKQNAGEQPPSSEPTPEPTEDQEQT >Ma06_p38440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37193361:37198499:-1 gene:Ma06_g38440 transcript:Ma06_t38440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDVTGGLINSISRFIHLVACQTTKSASLKDFRKIVGILKLLRPVVDQVFDPELPFDEHLMKELEELDVAVNEARDLVEKGPQRMSKIYTVLQSEPILLKVQKSALEICHFSSALLQSSSLSAHIQNCIQELQYAEQDTVSELIDHALKDLKANIIPSIEDLIKIMATLCLTSNQELLMESIALEKERMKAELKSKAEVVDHINQVIVLVTHICYCIEKLEQFGVLNGHPVPSHFRCPLSLQLMLDPVIVASGQTYERSFIQKWLDSGLRICPRTRQTLAYISLIPNYTVKVLITDWCEEKKIKLDVSAQSGNVTNPFLSSAAFEDHMHGDDLKHSMGRHSTHKSSLEGHDQTQHHKTKVSPKRDQKDCSYSTDHQMMPSMVNMEADALVGKSGCHSHNESMSSVISSIGIMSKFEDNTCLVGDTTYPACSPFNKELSSSPWCGQNQLFGSENGHEKNVTGKFLLHSSNLDDLTTSHHVQKLTDGLKSESPELQTAAASELRLLAKHNMENRVLIGKFGAIPPLVSLLHSMGKKVQENAVTALLNLSINDDNKILIAEAGAIGALMHVLDSGTTEAKENSAAALFTLSALEEYKAKIGRSGAAKALVHLLGSGNLRGRKDAAAALFNLSIFHENKVRIVQAGAVKYLVELMDPSSGMVDKSVALLANLSTIPEGRMAIVQERGIPPLVEIVETGSARGRENAASTLSQLCLNSQKICSLVLQEGVVPPLIALAQFGTPRAKEKAQQILSHLRSQREGIGRKAKS >Ma06_p38440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37193361:37198499:-1 gene:Ma06_g38440 transcript:Ma06_t38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIYTVLQSEPILLKVQKSALEICHFSSALLQSSSLSAHIQNCIQELQYAEQDTVSELIDHALKDLKANIIPSIEDLIKIMATLCLTSNQELLMESIALEKERMKAELKSKAEVVDHINQVIVLVTHICYCIEKLEQFGVLNGHPVPSHFRCPLSLQLMLDPVIVASGQTYERSFIQKWLDSGLRICPRTRQTLAYISLIPNYTVKVLITDWCEEKKIKLDVSAQSGNVTNPFLSSAAFEDHMHGDDLKHSMGRHSTHKSSLEGHDQTQHHKTKVSPKRDQKDCSYSTDHQMMPSMVNMEADALVGKSGCHSHNESMSSVISSIGIMSKFEDNTCLVGDTTYPACSPFNKELSSSPWCGQNQLFGSENGHEKNVTGKFLLHSSNLDDLTTSHHVQKLTDGLKSESPELQTAAASELRLLAKHNMENRVLIGKFGAIPPLVSLLHSMGKKVQENAVTALLNLSINDDNKILIAEAGAIGALMHVLDSGTTEAKENSAAALFTLSALEEYKAKIGRSGAAKALVHLLGSGNLRGRKDAAAALFNLSIFHENKVRIVQAGAVKYLVELMDPSSGMVDKSVALLANLSTIPEGRMAIVQERGIPPLVEIVETGSARGRENAASTLSQLCLNSQKICSLVLQEGVVPPLIALAQFGTPRAKEKAQQILSHLRSQREGIGRKAKS >Ma05_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9755415:9757696:-1 gene:Ma05_g13420 transcript:Ma05_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESWTRDDDIEVRETNEIKMRLRMISALEGSTSAFLFLGWRFADVLTVGDLFRRAMRHWRTNLGPLELEAALRILNTIVHLQIATCSLLDY >Ma05_p13420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9754938:9757696:-1 gene:Ma05_g13420 transcript:Ma05_t13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESWTRDDDIEVRETNEIKMRLRMISALEGSTSAFLFLGWRFADVLTVGDLFRRAMRHWRTNLGPLELEAALRILNTEG >Ma01_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10994017:10996170:1 gene:Ma01_g15170 transcript:Ma01_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCEREIRFELLARLCPNSTGADIRSVCTEAGMFAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Ma04_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25418477:25423185:-1 gene:Ma04_g23250 transcript:Ma04_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MSGSVEVQRRFDHAGMGEEATRRRRRGGEEDDEEEEDEDERGMEAWERAYADERSWEDLQEDESGLLRPIDTKTLVHAQYRRRLLQKSAASAASRIQKGLIRYLYLVVDLSRAASETDYRPSRMVAVAKCAEAFIREFFDQNPLSHIGLVTIKDGVAHRLTDIGGSPESQIKALMGKLECSGDASLQNALELIHGYLSQIPSYGHREVLILYSARNTCDPGDIMETIKNCKNAKIRCSVIGLSAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEGVIAICSCHKDVKIGGGYTCPRCKARVCELPAECRICGLTLVSSPHLARSYHHLFPVTPFNEVSSVVPFKLSQKLAQTCYGCQQSLLSHGSKPAPHVSCPKCNQRFCLDCDIYIHESLHNCPGCESHRSSTT >Ma03_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19111538:19112992:1 gene:Ma03_g16500 transcript:Ma03_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSPDPSSPNSTSSSSSSNGVATAAAPSSAPLTPKSIPRSIDANPSPTTFVQADAGSFKQVVQMLTGSVETTAKHVGGTVASPTRSGIPPAAKATGPKKPAFKLYERRGSLKNLKMICSDPNSPLGRAAFPPRRQPEILSPSTLDLPSLTLSPVTPLIPDPFNHSAAAAAASSAEDRAIAEKGFYLHPSPRTTTGAAEPPRLLPLFPVTSPRMPSASST >Ma10_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21902495:21902707:-1 gene:Ma10_g07560 transcript:Ma10_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYPHNVFFTFHANTAYNPDIRSFCHYTAIIPRQIPLHHTHMNRPLIPQIVQQVRPSLPQHLPQQIDKS >Ma00_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5108842:5111197:1 gene:Ma00_g01230 transcript:Ma00_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMKQILTKPIQLADQVSKWAGDAQTNKQECLELKARADKLAALLRQAARTELYERPARRIMDDTEQVLGKALALVDRCRNRSLVHRVFSITPGAAFVKMCTQIDNSVADVSWLLRVSAPAGDDDDDGGLFHGLCPIAQNEPILTLIWSNIATLHTGQPDARSEAAAALVSLARDNQHFAKLIIEEDGVAPLLRLLKDGKAEGQENAARALGLLGRDSESVDYLVAAGVCSAFAKVLKDGPMKVQAVVAWAVAELAANNPNCQDVFSKNNVVRLLVGHLAFETVEEHSKYSVSSKAMSIHSVVLANQAAASASPLDDGNERTPFPHPDGAQGQSKSNQFHSVVQSTVASAKSSKAAQNQNSTSTGSATVPWKPHQHSLSGSGNRAREMEDPSTKANMKAMAAKALWQLAKGNADICRIITESRALLCFAVLLEKGAGDVRCNSAMALMEIARVAEHSADLRRSAFKPNSPASKAVIDQFLQIVEKGDYNDLLLPSVTALGCLSRTFRATETRIIAPLVRLLDEKEMAVMRESVIALTKFACTENYLNINHSQAIIDAGGARHLIQLVYLGEQVQIEALILLCYIAKHVPDSQELADAQVLNTLSWASKQAHLVQDLRVDELLPEAMTGLELYQSRKL >Ma05_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4802591:4805947:-1 gene:Ma05_g06430 transcript:Ma05_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEGYDGNLKGSHQTIIPFQRCAVTVPAITGPKRHEGDPTASCFQNPHHQQIFIVRSCGGCLRAELVVFGDGNLGLGWPKQAAGGQTSAAPPGNATNPKLEQGRKKKEQDLDPRRSLYLPIQADANESEKEGEVAPQGLQEQQREEQSLRGFQLHQIHHNRSSYFSSSSSSAATASSSEYACLPERIGEGHSHNSAGYDQLALLHHHHQQQLQRPQPRSRHGGARGGAVGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLMKNAKAAIDELAELPPWSPSATVAAAQAASSRRHPPPSTQLPSAEQTIGESSSKPVAVADPALSTAFSFGVGGGDGGNEGNTFLPPSLDTDSIADTIKSFFPMAAAATPPSSSPSIGFLSYSPELPSRTSGQAQDLRLSLQSFQDPIFHDPESGHHHHHHHSQYHQSPTPSRHDAHFPSSAQLASDAAGWAEQSHRIAPWNMVDSSGGGGGYVFSFPPPQAAPLHSLLGQSLFFSQRGPLQSSNSPAVRAWADPVDAAADHRMQPALYSSMPSIGFASGASFPGFRIPARIQGEEEHDGHNDKPPSAASASRH >Ma11_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2621599:2624430:-1 gene:Ma11_g03540 transcript:Ma11_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMPSAVADGEPPPNYADALSKCLLFYDGQRSGKLPPTQRLTWRKDSALKDGQDAGVDLVGGYYDAGDNVKFGFPMAYTGTVLAWSIIEFSEELGPELAHAHEALRWLTDYLLKATAQPNRIFVQVGDPYSDHNCWERPEDMDTLRTTYQVNDTHPGSEVAGETAAALAAASIAFRSSDPAYADELLSRAKTVYNFGHTYQGSYGTSLGKWVCPFYCDYSGYQDELLWGAAWLNRATNSSQYENHIIHGIQQVQMKTQLDETAREWFFGWDNKEAGIYILLQTQKNDSENSRLAQAFACSLLPQSKFKSVKYTPGGLLYNTPGCNMQDVTGFSFLLLVYARHLINVGETITCDNSQVPPSALIDVARSQMKYLLGHNPNKMSYMVGYGTKFPQMIHHRGSTLPSMDEHPQRMHCRDGEPYFNTTAPNLNLLIGAVVGGPNDGTDNFLDSRYCPNQTEPTTYVNAPLVGLLAYFNKNG >Ma06_p26820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28736993:28737319:1 gene:Ma06_g26820 transcript:Ma06_t26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIFTLDQEHGQRDQKPLIRIKVILPIRQLELCIITYCFISIFYVTAEVSFLAIRHGVTAMWSVPCNCTEKNSNYNTQLWYNNVKRGLSCQERRDRLEHDNWCNIS >Ma09_p12310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8305400:8308765:-1 gene:Ma09_g12310 transcript:Ma09_t12310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISASWFLRSKSVGLFRGLISWCGRQGIPLSNPTRTSLVGSRNGYYLMNWTSHMHRCTCISRLSMCTCSGPRGYKDMPFSSCVLVSSLIYHKPIIYNPFNPQRWQSIRFRSNALVTLNTDDDVARFSVGIPNPKPGFQSRPTKKRKMMSRKAKLNELKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEIPKAPEQVHDPEILTEEERFYLRRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCRPCRPGQIHEYAQELERLSKGTAIDVKANNTIIFYRGKNYVQPDIMSPPGTLSKQKALEKYRFEQSLEHTSEFIEKLEQELEEYHKHVALYQKNKEITTKSTAGEEK >Ma09_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8305400:8308645:-1 gene:Ma09_g12310 transcript:Ma09_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHFTSFNVYMFWSERIQGYAIFILRSDDDVARFSVGIPNPKPGFQSRPTKKRKMMSRKAKLNELKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEIPKAPEQVHDPEILTEEERFYLRRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCRPCRPGQIHEYAQELERLSKGTAIDVKANNTIIFYRGKNYVQPDIMSPPGTLSKQKALEKYRFEQSLEHTSEFIEKLEQELEEYHKHVALYQKNKEITTKSTAGEEK >Ma09_p12310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8305400:8308765:-1 gene:Ma09_g12310 transcript:Ma09_t12310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISASWFLRSKSVGLFRGLISWCGRQGIPLSNPTRTSLVGSRNGYYLMNWTSHMHRCTCISRLSMCTCSGPRGYKDMPFSSCVLVSSLIYHKPIIYNPFNPQRWQSIRFRSNALVTLNTDDDVARFSVGIPNPKPGFQSRPTKKRKMMSRKAKLNELKWYRLKAKKKMKSPNPEVRIRKEEWLIEKLRKYEIPKAPEQVHDPEILTEEERFYLRRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCRPCRPGQIHEYAQELERLSKGTAIDVKANNTIIFYRGKNYVQPDIMSPPGTLSKQKALEKYRFEQSLEHTSEFIEKLEQELEEYHKHVALYQKNKEITTKSTAGEEK >Ma09_p12600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8480249:8484289:-1 gene:Ma09_g12600 transcript:Ma09_t12600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACHHALGLIQAQGNSPRTGLQDKVGSFSLELVSKGFDMDIQLLSRGSHYPGSRKLCLVRASSSHSSVADPITISSNSNSSDSQKRSRCANVPLTQKGVEEAIAAGKRISNIPIDMIYTSSLIRAHMTAMLAMTQHRRKKIVPQLLSGKNVMIAAHGNSLRYIIMYLDKLTSEEVISLELSTGIPMLLYILKKGKFIRRGSPIGPSEAGVYAYTRSLALYRQKLDEMVQ >Ma09_p12600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8480249:8484289:-1 gene:Ma09_g12600 transcript:Ma09_t12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACHHALGLIQAQGNSPRTGLQDKVGSFSLELVSKGFDMDIQLLSRGSHYPGSRKLCLVRASSSHSSVADPITISSNSNSSDSQKRSRCANVPLTQKGVEEAIAAGKRISNIPIDMIYTSSLIRAHMTAMLAMTQHRRKKIVPQLLSGKNVMIAAHGNSLRYIIMYLDKLTSEEVISLELSTGIPMLLYILKKGKFIRRGSPIGPSEAGVYAYTRSLALYRQKLDEMVQ >Ma07_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6696177:6700858:-1 gene:Ma07_g08950 transcript:Ma07_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLYVSCIISLLLQAGLASKTRHFKWEVGYMYASPDCEEKILLGINGQFPGPTIRAKAGDTIHVELKNALHTEGVVIHWHGIRQYGTPWADGTASISQCAINPEETYVYRFQVDKAGTYFYHGHYGMQRAAGLYGSLIVEVADGEEEPFHYDGEFNLLLSDWYHQSIYDQMVGLSSKPFRWIGEPQSLLINGRGQYNCSLAAHLMEGSSTCKLTSKDCAPVVLRVLPGKTYRLRVTSTTSLSSLNLAIGNHKMMVVEADGNYVEPFIVDDMDIYSGESYSVLINTDQNPSSNYWLSVGVRGRKPNTQPALAIINYQPNSPSKLPESSPPVTPEWNDYNHSKSFSRKILARQGTPKPPLYAEQKIALLNTQNKINGYIKWSINNVSLALPPTPYLGSMRYHLKNAFDPSKPPDNFPSDYDVMKPPKNPNSTQSSNAYVIQFNSTVDVILQNANALADNVSEIHPWHLHGHDFWVLGYGDERFEEKDASQFNLKNPPLRNTVVIFPYGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVIFAEGVDHVRRIPKDAITCGMTAKKLMNNVLP >Ma04_p27620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28813468:28818848:1 gene:Ma04_g27620 transcript:Ma04_t27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHPSVDSATAAPKLAALPKKRGSYNCGRCGLPKKGHTCPSADGGPAPLLPRSGHGLRRALFSDEDRASSALVPALVDEEVAGTPVPEVVEEEEKEDDEVDWTEEAMILPTSCMVEVLKRLSPMELMRAAAVCRGWRGCVRRMWRSAEELRLSVSPRSQIGFVGSLLQKCAGLSRLTLRMESDVDATMLACVVFSLPNLEAFEINMTKNTVNRITGNELSRFVSEKRCLTTLKVEGCTNIGFLNISSSSLSTLWLSNLYCISKMVFKCPNLREISLDFTRQENDSTDLVTMMENLGSSCPRLTNIHIASIQLCNEAVLALTSANLRCLRMLSLVLGSRITDAAVTSIVSCYTSLELLDLSGSSISDSGIRMICKVLPETLSRLLLALCPNITSSGIQFAAVQLPLLQLIDCGMSISDTGYHYESSQEKLCPNIERSGEYTMCQKLSTMKSQRIYQKLIIKHANLRKLSLWGCSGLDALYLNCPELNDLNLNSCTNLHPERLLLRCPNLKDIHASGCQDMLIGAIRNQVLNEFVAVENHLPCKRLADGSKRVQVPLFIQQISDGKKQKRRQMTQCIVHHV >Ma09_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34617504:34624649:-1 gene:Ma09_g22720 transcript:Ma09_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGCKGKSICSKHVTMLTAVIMSFGVISCCLGHGEGDRDDRLRAEGKGCIESERRALLAIRSDMFDSDERLSSWIGEDCCSWRGVACDNATSHVIKLDLHHPYPYDLVDEGFCAASETMGVSKLNPALHDLKHLEYLDLSMNNFSGSHIPHMIASLVHLEYLNLSNAMFGGLIPPQLGNLSKLHYLDLGGCRHSDPRADDLDWLSRIPSLKYVDMSFVNLSKATNWLHQVNSIPSLEVLHLRWARLPYIPSPLPHFNMTSIVKLDISGYRNFYPAILRWFSHASSLVYLDLFECGGIDIESLQMTLGALSNLKALDLQYNLIKGDIFGIVMNVSRSFKHLDLSWNSLSGEVEQVLWSLGPLEYLGLDVKGFDTQYTRILSLVTSIDLSNNNLSGEIPRELTNLHGLLFLNLSKNHLRGTIPEKIGSMEQLESLDLSMNNLTGDIPSSFSSLNFLSHLNLSHNNLPGRIPTAGGQMSTFIDDPSIYDGNEYLCGTPLPECPGDAAHQSPPHEHEEKNDDRLETVWEITSIVMGFVVGFWSFVGTMIMKQSIRIAFFRFFDKAYDWCYVQLAVGCARLKSKRQSVT >Ma08_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33045667:33049716:1 gene:Ma08_g19220 transcript:Ma08_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDSSGVGDGDRCWWWWLWVHATVFLLRPLLAVSFVLSLILLSWFVAWKTVLIHVPLVQEICGLRKKPAKPKPRDTHRLTRLYNSNPALRRNSSNAQETS >Ma07_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16389125:16389622:1 gene:Ma07_g17190 transcript:Ma07_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSLLFLALSVFVWSHAAEDNTRDLSLEDDRELERELKTLNKPFVKSFQDKYGITYDCVDIYKQPAFDHPLLKNHTLQVTYHLVLAFYVYLLFILFYWNTFTNFIS >Ma10_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28341071:28341667:1 gene:Ma10_g16730 transcript:Ma10_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRGDLPPSSSPRHLCEASDPPPQSPAQGCSPVSELLRLGNPDGCSGKKEGRGVVRDEGLCNAPDSRHVFAIGVLEQETWPCLFRFKIWWMIPRTGKKGSDIPLESQMLLLEAKEDVPMEDKMVDSTASTDTFYVLLLPVSDGAFRANLQGNSANEVEIYLETG >Ma10_p10550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24442203:24444335:1 gene:Ma10_g10550 transcript:Ma10_t10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNQPHVPKKSSSFSSSRDEKHREINRNLSLGSIKLEQSYEKKERHDQETIEEDREEEKATKEQEEEKEASNDEEPEATLLTISEDIDRFLRLLLAVEDGQDGSHEPPEIPESTVEKFVILVEEEIAKYETGEEKWPSCDDELSLLDAIDRVSKLTSAVAKFSSELKYNEAMNHSGSVLHHAMCFLEDEFHSLLQDSRTKQEAASSSSRTKQLPSLIYRFHEPERSVSPSSESSPCESSQAYIPENTERLHRIADAMISAGYVTECCQVFSIARRNAFEAGLPSLGFEKVGIEDVIKMAWENLESEIATWVKAFRHTITASFTAERELCEAVFASHRAISDRLFLGFANGAIVQLLTFAEAVTMTKRSAEKLFKVLDVYEAMRDVLPTVEALLPDDGEQDEPSVFADPKTEISSLRYRLGEAAVAIFCDLESSIKADNSKTPVPGGAVHPLTRYVMNYLKYACEYKSTLEQVFKEHKHAEKPSSFDEDRENSQTSGGGDGDRNSNSSGNDNYNPFAAQLIEVMDLLHSNLESKSKLYKDLALSNIFLMNNGRYIAKKVKGSQTEKYIKFGPEDLENLIDELFDGTPSSSIASRRRT >Ma08_p01880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1544095:1564690:1 gene:Ma08_g01880 transcript:Ma08_t01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEEALPGSSKRPLSYSPSRSPASTRLFRVPPRRPALQTSAVKKPPEPLRRAVADCLSPAAPHLHGNPSTLASEAARILRDFMANPSTTDMAYTMLVEHALAERDRSPAVLPRCVAFLKFYLLRYVPKVSTLRQIDLFCMNAIAECESVNSRRVPMLTKSSTQRSKSSSTVSNACVPSLPRPNFASASLMKSLNYVRSLVARHTPKLSFQPVMQTTASTSAKQLLPTLSSLLTRSFTSQLSPEVVSSKDALEIKEPSGPSASALSNIEEVDGEGNKYIFSDVLKWRWPGEGEYRMSCLTKESCGVMRSQDIHTHSFLEVGAAGLLVGDIETKIKDHSWMYSVTHNLRDTDLLWPSTTTMATNFSSSNSHLKAITAFKRMKPGPQQVWANIPVSTFHPRVRPLFQYRHYSEQQPLKLSLAEIHEVIAEVCSESTTSNANTLIATSQSNHISQPATDVAISVLIKLVIDMYMLDPGTATPLALYMLEGMLGSQRVASRARAFDFILNLGVHAQLLEPMLLEDPQSSEVVKPLQEPYINNEEQPGTPGKMNNESSMQQRIFSAVDNFESWLLVILFEILRLLVQIDEREEIVWASALSCLFYFVCDKGHILRRRLDGLDIRVIKTFLEISSEYSWAEVVHCKLICMMTNMLYQTSNESAEDVPEIPTFLVQQVDRLGGIDFICIEYIRANSREEKNNLFLVLFDYVVHQINEICLASGASAYTHDDIQPLVAMLMLANAPEAFYIAIKHGMDGIGEILKRSISVALLRSSNYERQNMLLDKIMRKLDATISVFTRLDTEFTYMFRITKTCKSSMSIKDVLGESDIGEKARLSWVTLHSLLHSERLANRHHGYIWLVELLILEISERKNESIWSNVKNLQQQIAVTGNQDSAFSEVPLSICMLCGLLKSKHNFIRWGFLFVLEKLLTRCKLLLDETELQDMNNDNVISNNTENRLDKANAVIDIMSGALSLVVQINETDHINILKMCDMLFAQICLRLQSAIQVPLGDFNDLSHLSCRTTKSREGNLVTHISLEGSNSDQRRDELSQAVDNLSGADQASTVCETASMAAMLLRGHAIAPMQLVARVPASLFYWPLIQLAGAATDDIALGVAVGSKGRGNIPGATSDIRAALLLLLIGKCTADPDALLEIEGKEFFRGLLDDMDSRVAYYSASFLLKRMMTEEPETYQRMLQSLIFKAQQSNNEKLLENPYLQMRGILQLSNDLGSLL >Ma08_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1544095:1564690:1 gene:Ma08_g01880 transcript:Ma08_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEEALPGSSKRPLSYSPSRSPASTRLFRVPPRRPALQTSAVKKPPEPLRRAVADCLSPAAPHLHGNPSTLASEAARILRDFMANPSTTDMAYTMLVEHALAERDRSPAVLPRCVAFLKFYLLRYVPKVSTLRQIDLFCMNAIAECESVNSRRVPMLTKSSTQRSKSSSTVSNACVPSLPRPNFASASLMKSLNYVRSLVARHTPKLSFQPVMQTTASTSAKQLLPTLSSLLTRSFTSQLSPEVVSSKDALEIKEPSGPSASALSNIEEVDGEGNKYIFSDVLKWRWPGEGEYRMSCLTKESCGVMRSQDIHTHSFLEVGAAGLLVGDIETKIKDHSWMYSVTHNLRDTDLLWPSTTTMATNFSSSNSHLKAITAFKRMKPGPQQVWANIPVSTFHPRVRPLFQYRHYSEQQPLKLSLAEIHEVIAEVCSESTTSNANTLIATSQSNHISQPATDVAISVLIKLVIDMYMLDPGTATPLALYMLEGMLGSQRVASRARAFDFILNLGVHAQLLEPMLLEDPQSSEVVKPLQEPYINNEEQPGTPGKMNNESSMQQRIFSAVDNFESWLLVILFEILRLLVQIDEREEIVWASALSCLFYFVCDKGHILRRRLDGLDIRVIKTFLEISSEYSWAEVVHCKLICMMTNMLYQTSNESAEDVPEIPTFLVQQVDRLGGIDFICIEYIRANSREEKNNLFLVLFDYVVHQINEICLASGASAYTHDDIQPLVAMLMLANAPEAFYIAIKHGMDGIGEILKRSISVALLRSSNYERQNMLLDKIMRKLDATISVFTRLDTEFTYMFRITKTCKSSMSIKDVLGESDIGEKARLSWVTLHSLLHSERLANRHHGYIWLVELLILEISERKNESIWSNVKNLQQQIAVTGNQDSAFSEVPLSICMLCGLLKSKHNFIRWGFLFVLEKLLTRCKLLLDETELQDMNNDNVISNNTENRLDKANAVIDIMSGALSLVVQINETDHINILKMCDMLFAQICLRLQSAIQVPLGDFNDLSHLSCRTTKSREGNLVTHISLEGSNSDQRRDELSQAVDNLSGADQASTVCETASMAAMLLRGHAIAPMQLVARVPASLFYWPLIQLAGAATDDIALGVAVGSKGRGNIPGATSDIRAALLLLLIGKCTADPDALLEIEGKEFFRGLLDDMDSRVAYYSASFLLKRMMTEEPETYQRMLQSLIFKAQQSNNEKLLENPYLQMRGILQLSNDLGSLL >Ma10_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:18309787:18311492:-1 gene:Ma10_g06300 transcript:Ma10_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKVSAFLPRPGHQLLSSSSFSASAFTSGNPSSSSIAASVDDLAKLINDQPIPVLRHHIPTPFLSSPLVDSLLLRLFAAHAAAPKALYLFRLSLRGHRDHPPSSSAFATILHILTRARHFDAAFELIDDVARSQPALLTPKSLAVLLSRHAKFRTFDETLDAFDRAERAWAAAGLSFSFDEFNALLRAYCTQGRVSEARAIFRRFHSRFPPNSRTLNTLLLGFKESQNLVAFDLFYHDMMIRGFEPDAVTYCIRMDAYCKKMRFFDALGLLDEMAKNNCSPTVKSFTTLIHGSGIAKNPMHARRLFDEMVARGLVPDRGAYNALMGSFVRVGNLRSALEIMEEMEKAIQLDDVSYYTLLCGMKKYEDLEGFWKLYKRMVEKNFVPRTRTVMLLMKVFCENSRADLGLSLWNYLVEKGFCPHRHALDSLVTALCCKGNVVEAYKCFKQVVDRGRVPSERAFRVLEGFLVRTKKLDMMEELDQMTKRMQALVPSQF >Ma04_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10905596:10908822:1 gene:Ma04_g14390 transcript:Ma04_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGGPKIKSELRVFESADELATDLAEYISQLSENSVKERGCFTIALSGGSLISLMGKLCEAPYDKTVDWTKWFVFWADERAVAKNHIDSNHKLTKDLFLSKVPILNSHVFSINDNVTVEEAAAEYEFAIRQLVKIRTVGVSESNDCPKFDLILLGMGPDGHVASLFPHHPALELKEEWVTYITDSPKPPPERITFTLPVINSASNVAMVAVGEEKAMAVHLAAAGAGENFDASSLPARMVQPTDGKLVWFLDSTAASVLDVSNGGSG >Ma10_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29199399:29199986:1 gene:Ma10_g18110 transcript:Ma10_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLHAIVALLLILFTSSYLQATMAGSAFCGSKCKVRCSKASVKDRCLKYCGLCCEQCRCVPSGTYGHKDECPCYRDKYTGSGKRRRPKCP >Ma02_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28682585:28685813:-1 gene:Ma02_g23820 transcript:Ma02_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MATNPSTYALSQSSDSKQRLQQRRRLFQETEWTRSDGRSFHQCRPAFLRTGAANAASGSAYAEFGATKVIVSVFGPRESKKAMMYSGTGRLNCNVSYTTFSTPVRGQGSDHKEYSSMLHKALEGAIILETFPKTTVDVFALVLESGGGDLPVIVTCASLALADAGIMMYDLVASVSVSCFGRNLVIDPTSEEEACQDGTLMITCMPARNEVTQLMLTGEWTTAKINEAMELCLDACSKLAEIMRSCLKEAASGSS >Ma09_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24143781:24152851:1 gene:Ma09_g19500 transcript:Ma09_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALATAAAITDQRQKIEHYRLILASVLSSSPVDTSLAKRFIDHMVSDEVPLVVSRQLLQSFAQDLGRLEADVQKEIAHYALTQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGVRILDDMYKLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQQEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEEIDEDALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVNLERILRKPEIDAFAEELKPHQKALLPDNSTVLDRAMIEHNLLSASKLYTNISFEELGTLLGIPPQKAEKIAARMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIAGLCQALNDILDSMTSKGMSVPV >Ma04_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3588584:3588721:1 gene:Ma04_g04700 transcript:Ma04_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVLLIVLMVYAPFSLSMKTMWVQRTFSSTWRRFIGSVFTVVAL >Ma09_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3218244:3218738:1 gene:Ma09_g05020 transcript:Ma09_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPSLVPTPSARPRVLAAAMQTATMYELLSVAETAGQEEIKAAYRREARRWHPDACRVAGNERRYAESFIRAREAYEVLSDPVRRRHYDLVLSADRGAAAIGANAASRAGGGREGARARKRIEDWDWEGQLQGLQRRSAAAAAGGEGTWAGRMRRARQTEPSI >Ma06_p36200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35737108:35738487:-1 gene:Ma06_g36200 transcript:Ma06_t36200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTAIWRRFILPERGLCFRRDFSSTIYVSRLSYYTSDEDFENMFSRFGRVEEARLIRDARTNRPKGFGFVTYASDAEAEKAIKSMDGRDEELLRSSICLHH >Ma06_p36200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35734997:35738511:-1 gene:Ma06_g36200 transcript:Ma06_t36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTAIWRRFILPERGLCFRRDFSSTIYVSRLSYYTSDEDFENMFSRFGRVEEARLIRDARTNRPKGFGFVTYASDAEAEKAIKSMDGRIYGGRLIFVEYAKSGSQEDKT >Ma06_p36200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35734409:35738487:-1 gene:Ma06_g36200 transcript:Ma06_t36200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTAIWRRFILPERGLCFRRDFSSTIYVSRLSYYTSDEDFENMFSRFGRVEEARLIRDARTNRPKGFGFVTYASDAEAEKAIKSMDGRIYGGRLIFVEYAKSGSQEDKT >Ma06_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21936646:21938160:1 gene:Ma06_g23780 transcript:Ma06_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVKGFFKGFKHMSQIFVDKEHEMEIGYPTDVKHVAHIGWDNGSGYSPSWMTEFNTSSDLSPARNYGKSREPSCSSQECHQPRGPQLSLRPIEDGSSQPEVPKDRKKKRKKHKASSPRSSATRSSKVSSKLRASYAKAVGDDAEAQDQRRGV >Ma11_p22680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26371551:26375265:-1 gene:Ma11_g22680 transcript:Ma11_t22680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKVKAENPSHDVFASDTVFECLGEDSPHGSRKGNVLSSSSSRVSASSVPPTPRSEGEILTSSNLKSFTFNELRTATRNFRPDSVLGEGGFGSVFKGWINEHTLAAAKPGTGMVIAVKKLNQEGFQGHKEWLAEVNYLSQLSHPNLVKLIGYCLEDEQRLLVYEFMSRGSLENHLFRRSSYYQPLSWNLRMKVALGAAKGLAFLHSSKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEILTGRRAIDKNRPTGEYKLVEWAKPHLASKRKIISILDSRLRAQYSLAGAQKIAGLADRCLSLETKHRPTMDQVVVALEQLQHGKDAERNPKTEQNSSGQRPAMPRWRSWEDVGNRKIAHPRPSASSLPT >Ma11_p22680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26371551:26374981:-1 gene:Ma11_g22680 transcript:Ma11_t22680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILAPRRHADAGEDSPHGSRKGNVLSSSSSRVSASSVPPTPRSEGEILTSSNLKSFTFNELRTATRNFRPDSVLGEGGFGSVFKGWINEHTLAAAKPGTGMVIAVKKLNQEGFQGHKEWLAEVNYLSQLSHPNLVKLIGYCLEDEQRLLVYEFMSRGSLENHLFRRSSYYQPLSWNLRMKVALGAAKGLAFLHSSKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEILTGRRAIDKNRPTGEYKLVEWAKPHLASKRKIISILDSRLRAQYSLAGAQKIAGLADRCLSLETKHRPTMDQVVVALEQLQHGKDAERNPKTEQNSSGQRPAMPRWRSWEDVGNRKIAHPRPSASSLPT >Ma11_p22680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26371551:26375265:-1 gene:Ma11_g22680 transcript:Ma11_t22680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKVKAENPSHDVFASGEDSPHGSRKGNVLSSSSSRVSASSVPPTPRSEGEILTSSNLKSFTFNELRTATRNFRPDSVLGEGGFGSVFKGWINEHTLAAAKPGTGMVIAVKKLNQEGFQGHKEWLAEVNYLSQLSHPNLVKLIGYCLEDEQRLLVYEFMSRGSLENHLFRRSSYYQPLSWNLRMKVALGAAKGLAFLHSSKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEILTGRRAIDKNRPTGEYKLVEWAKPHLASKRKIISILDSRLRAQYSLAGAQKIAGLADRCLSLETKHRPTMDQVVVALEQLQHGKDAERNPKTEQNSSGQRPAMPRWRSWEDVGNRKIAHPRPSASSLPT >Ma11_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26371551:26374976:-1 gene:Ma11_g22680 transcript:Ma11_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAPRRHADAGEDSPHGSRKGNVLSSSSSRVSASSVPPTPRSEGEILTSSNLKSFTFNELRTATRNFRPDSVLGEGGFGSVFKGWINEHTLAAAKPGTGMVIAVKKLNQEGFQGHKEWLAEVNYLSQLSHPNLVKLIGYCLEDEQRLLVYEFMSRGSLENHLFRRSSYYQPLSWNLRMKVALGAAKGLAFLHSSKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEILTGRRAIDKNRPTGEYKLVEWAKPHLASKRKIISILDSRLRAQYSLAGAQKIAGLADRCLSLETKHRPTMDQVVVALEQLQHGKDAERNPKTEQNSSGQRPAMPRWRSWEDVGNRKIAHPRPSASSLPT >Ma02_p08990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19182273:19187400:-1 gene:Ma02_g08990 transcript:Ma02_t08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQQIRFGEPTATGSRPVETPEDHPSREELRDERPAAITERYLRLFNDPGLSPPDAPVGPPSVSPEAFHDLSHQVRELTSMVQTIVPLIPQPTPPHADRPLQQREPAPRMHAPHPGLPPSPQNQTAQLEDRETRGTSSPPEPERPPANPTNVLQAQLHLFNQRLNEVQQEMRRSKGELGTDGYQGSPFAPEVKDQVIPPHFRLPSLDTYNGDTDPANHVSAFRTQMALYGTSDALMCRAFPTTLRGPTRAWYDNLKAGTISSFDQLARDFELNFLAYARPKPSVALLLGLNQREDESLSHFLNRFTKQIRGLSDAHPSLLMQAFMIGLRPSRFFWSLVERPPTTVPEMLQRASLFVVAEAWMAGKPGGHRGTKSEPPRQQQPETSRRKLDRPDPSISRPPLPALNSSRTKIFLHIREKGLLKEPYPMSSPRALADQSKYCRFHRQRGHNTEQCRELKRQIEELIRRGHLDQYLRPDKEPSPCPEGPIERHIDVITGGPASGGDSMARKKAYARAASAEAPSVTFPARAYEQAEHDDALVISARIANAQVKRIMVDTGSSADILYFDAFQKLGLSRDNMKPVSSALTGFTGDSISPLGAITLPLTLGAPSRSKTTITTFLVIDLPAAYNAILGRPTLNKIRAVISTYYQTVKFPTHAGTGEIAGSPRESRRYYLTAVSLPKKVRIEQPLADPRETQKPTPHLGPKGTTVAVPLLEDRSERTIKIGSELPEHEQGQLVGLLQKNADIFAWSPSDMAGIDPEVALHHLSISPGARPVKQKLRRQAPERQAAVREEVTRLLEAGFIKEAGYPQWLSNVVLVKKANGSWRMCVDYTSLNSACPKDCYPLPRVDHLVDATAGHARLSFMDAFSGYNQIRMTPEDQKHTAFITDQGRTVNKMFAHQIGRNMEVYVDDMIVKSQEARAHLADLTEAFATLRRFGMRLNPAKCAFGVTSGKFLGFIVHERGIDANPEKVQAIINMQSPRTIKDLQHLNGKLVAISRFLARSGDRCFPFFRALKNPKGFQWTTECKEALEQVKQHLTNLPRLASVSPGEKLRISLAASPHAVSSVLVKESFSDQLPVYYVSHVLNGPEERYPPIEKLALALVLCARKLRPYFQAHPVEVITDQPLRQALSKFDVVGRLLKWAVELSEHDIQYMPRTAIKAQTLADFIAELTQIGNESLSQPPEAWILHVDGSANSKGAGAGLVLRAPDGRSFERSLRFGFRATNNEAEYEALLAGLRLALEMQVVALHVHTDSQLVAEQLSGGYEARDQTMARYLTQVKSLTAKFLHFTLSNVPRGENERADALAKLASMPAPEVGPEVEELPARAVEIAATATSGASTTWIQELLRFKRDGILPPDEASARRLRRTHAWYTEVGERLYKRSFSYPLLRCLEPDEAQTVLAEIHEGICEEHIGGRTLAHKILRQGYYWPTMCRDATTHVQRCTSCQEHARTPQLPAVPLTPIDCAWPFAQWGLDLLGPFPPVAGQRRYIVVGVDYFTKWVEVEPLATITARQIEKFVWRNLITRFGLPKTIITDNGPQFASQGFQEFCAKHGIRLKYSSVAHPQTNRLTEVTNRSILDGLKRRISTARTGWTDELPSVLWALRTTPKTATGESPYSLAFGTEAVLPHEVTIATLRTKSYDEGTSDEGLRAALDLLEERRADAHIRALSYKRAVARVYNRKCDPDLSN >Ma11_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23933573:23934437:1 gene:Ma11_g18890 transcript:Ma11_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATEAIAAYRALLRATREYFPGDKLRQAEAAVVIRERFEKNRGVTAEADVKLLLDEAREAFDFMSHMIEQLKLIPSGGYVVKPTK >Ma05_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12183625:12187127:-1 gene:Ma05_g15770 transcript:Ma05_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73530) UniProtKB/Swiss-Prot;Acc:Q9FX45] MALGRDLAAEERRLLGVLLPPSDPLHQALCLSFRTTEESLRNAFQNFGQLVEVNLVMDRIANRPRGFAFLRYATEEESKKAIEGMHGKFLDGRVIFVEVAKPRSDVRQASRQTPERYRS >Ma05_p15770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12183625:12187158:-1 gene:Ma05_g15770 transcript:Ma05_t15770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73530) UniProtKB/Swiss-Prot;Acc:Q9FX45] MATGLLPAASPPPSSSLRERRHTPDITSSTSSSSWFLARFPSIRSSRSPWPSAATSRRRSGGFSVSCCLPPTPSTKLYVSGLSFRTTEESLRNAFQNFGQLVEVNLVMDRIANRPRGFAFLRYATEEESKKAIEGMHGKFLDGRVIFVEVAKPRSDVRQASRQTPERYRS >Ma08_p32950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43486047:43489982:1 gene:Ma08_g32950 transcript:Ma08_t32950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRFSRRLPARLTPRIVSSPRVSARRKLWVAPRPPSLGDLAAAFCLASLVLVVGCLMYVNVKGYLGSGSLVAGLTGDADVCDIFDGRWVPDSSYPLYNSSECPFAERGFNCLANGRKDMDYLKWRWKPWRCDVPKFNVQDVLERLRGKRVVFVGDSMSRTQWESLICMLMTGVQDPNTVYEVDGKHISKTIRFLGVRFQTFNLSIEFFRSVFLVQQSLPPRHGPKRVRTTLKLDKMDDMSRKWVDSDVLIFNSGHWWTPSKLFDMGCYFQVGGALKLGMSVDSAFTIALNTWASWVETMVDTNRTHVFFRTFEPSHWSDSNQKVCNLTQHPLAEAKANDRTEFSEIIVEVVERMKVPVTVLNVTPMGAYRSDAHVGTWSHPSTVTDCSHWCLPGVPDAWNELVFSYLLVKGEFPHAGKKWDKDQ >Ma08_p32950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43486047:43490375:1 gene:Ma08_g32950 transcript:Ma08_t32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRFSRRLPARLTPRIVSSPRVSARRKLWVAPRPPSLGDLAAAFCLASLVLVVGCLMYVNVKGYLGSGSLVAGLTGDADVCDIFDGRWVPDSSYPLYNSSECPFAERGFNCLANGRKDMDYLKWRWKPWRCDVPKFNVQDVLERLRGKRVVFVGDSMSRTQWESLICMLMTGVQDPNTVYEVDGKHISKTIRFLGVRFQTFNLSIEFFRSVFLVQQSLPPRHGPKRVRTTLKLDKMDDMSRKWVDSDVLIFNSGHWWTPSKLFDMGCYFQVGGALKLGMSVDSAFTIALNTWASWVETMVDTNRTHVFFRTFEPSHWSDSNQKVCNLTQHPLAEAKANDRTEFSEIIVEVVERMKVPVTVLNVTPMGAYRSDAHVGTWSHPSTVTDCSHWCLPGVPDAWNELVFSYLLVKGWRKL >Ma03_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8234256:8237460:-1 gene:Ma03_g10900 transcript:Ma03_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLDIIAQELSRDASNFEVDGVKHNNLDDNDVSDEEIESEELERRMWKDRIKLKRIKEREKFAAESAASERSKPKHTSDQARRKKMSRAQDGILKYMLKLMEVCNVRGFVYGIIPEKGKPVSGASDNIRAWWKEKVRFDKNGPAAIAKYEVENFAAQNAQNSRSKHHHSLMDLQDATLGSLLSSLMQHCDPPQRKYPLEKGISPPWWPSGNEEWWISLGLPKGQGPPYKKPHDLKKVWKVGVLTAVIKHMSPDIEKIKTHVRKSKCLQDKMSAKESSIWLGVLSREEMTVNQLCCDNGMSHVIENSGHRRDREEPNSSSNEYDVDVLEDVSGSISSKDDGINLQVETQACAGNITPTSREDRLAENSNQLGLSKDKTSQQPKRKRPRGSAVSVDKQMVATQSERMPEETRNATTDMNGAALTTLAHHRPSVNREPCMNPNSTHQERDSGSQYLVPQPGISNLASFPCVNVASENIYIGGQPLPYPGLGNSEPQNATTYDTGANDGLYSSSGGFGILQDKQQRPMSITNHGIRSDRSIISVENNLYENVMAPNASSHTVTGEMHLFLDEPFYGEPDKLVDNSFGALPLDFIRIGSTDLIPDLGDILQDDDLMEYLGT >Ma03_p10900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8234256:8237426:-1 gene:Ma03_g10900 transcript:Ma03_t10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLDIIAQELSRDASNFEVDGVKHNNLDDNDVSDEEIESEELERRMWKDRIKLKRIKEREKFAAESAASERSKPKHTSDQARRKKMSRAQDGILKYMLKLMEVCNVRGFVYGIIPEKGKPVSGASDNIRAWWKEKVRFDKNGPAAIAKYEVENFAAQNAQNSRSKHHHSLMDLQDATLGSLLSSLMQHCDPPQRKYPLEKGISPPWWPSGNEEWWISLGLPKGQGPPYKKPHDLKKVWKVGVLTAVIKHMSPDIEKIKTHVRKSKCLQDKMSAKESSIWLGVLSREEMTVNQLCCDNGMSHVIENSGHRRDREEPNSSSNEYDVDVLEDVSGSISSKDDGINLQVETQACAGNITPTSREDRLAENSNQLGLSKDKTSQQPKRKRPRGSAVSVDKQMVATQSERMPEETRNATTDMNGAALTTLAHHRPSVNREPCMNPNSTHQERDSGSQYLVPQPGISNLASFPCVNVASENIYIGGQPLPYPGLGNSEPQNATTYDTGANDGLYSSSGGFGILQDKQQRPMSITNHGIRSDRSIISVENNLYENVMAPNASSHTVTGEMHLFLDEPFYGEPDKLVDNSFGALPLDFIRIGSTDLIPDLGDILQDDDLMEYLGT >Ma06_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11596222:11599591:1 gene:Ma06_g17110 transcript:Ma06_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRFGLDDLISINSFAVQTFKRRNGGRNKHGRGHVNFARCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAYEGYLLTKLYIKMQYCVSCAIHSNVVRVRSRTDRRNREPPQRFRRRDDLARPGQGPRPVAAGNPAARP >Ma01_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10314244:10320922:1 gene:Ma01_g14080 transcript:Ma01_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGALVDGVRRWFQRRSHRSSAATASSFLTDDPAYDESCQEEEEEEEDQLPVVQDLDLIGLPRIRVPKRFKMPPIEQPYKKSMLDTEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTHSGERVAIKKINDVFEHVSDATRILREIKLLRFLRHPDVVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTRKPLFPGKNVVHQLDLMTDLLGTPSAETIARIRNEKARRYLSSMRKKTPVPLSHKFPSVDPLALRLLERLLAFDPKDRPTAEEALADPYFHGLANVDREPSTQPISKLEFEFERRKLIKDDVRELIYKEILEYHPQMLQGYLRGGEQTSFMYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVCATKVEIAGQNKDFEKRPAESVAGTTLQSPPKLQQEPDQASATENGLNKPNSSTRSLLKSASISASKCVVKGKGDTEEEPMSENTNEVVDELSHKVEELYV >Ma04_p27700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28870929:28880742:-1 gene:Ma04_g27700 transcript:Ma04_t27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFRNLAFWVVVLGFFLASAAASDPDDFRRAFPIVEPDSEHTKIRLAREGLEAIQRITNPIAVVAVIGPYRSGKSFLLNQLLSLSCDEGFGVGHMRDTKTKGMWVWGTPVDLDVDGTKVSILYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALRRVPNNNGDRNIDQVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMKDSELDQLYVEKREQLKQLVATIIRPKIIQGKPLNGNEFVAFLEQILEALNKGEIPSTGSIVEVFNKVILERCLKLYSQRMDIMRLPIQENRLQEVHEESKAEAKKLFDRQRFGRHHAEQSIVKLDDEIQKVYRTFLLENEYQSSKLCEAKYTECEDKMDHLQVMRLPSMAKFNAGFLQCNETFERQCVGPLKELYKQKMMKMLGKSRSLFIKDYNDRLSNWLVIFSLTVAMVGRFIIKFFLLEIAGWAMFIFLETYTRMFWSSESMYYNPSWHVIVSTWETIVYSPFLDLDRWAIPIGILLLVLLLYWRCCGSRKPGSHWLLPLYNNRHRDGDSRPRSD >Ma03_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28208001:28211168:-1 gene:Ma03_g23710 transcript:Ma03_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSEEVVKEKIILSQEKSIQQLHDLVQSLKQQLLHCRGINNSVHDDGNTLSEKM >Ma06_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21248891:21250092:1 gene:Ma06_g23310 transcript:Ma06_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Ma06_p23310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21247693:21250092:1 gene:Ma06_g23310 transcript:Ma06_t23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHAKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Ma03_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24718307:24721299:-1 gene:Ma03_g19380 transcript:Ma03_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNVIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKKFEDMLPGIINQLGPDNLENLKRLAEHLQKQSPSTATAAKQDNDDDDVPDLVPGETFEAAADESQAS >Ma08_p11710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8698286:8702818:1 gene:Ma08_g11710 transcript:Ma08_t11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVGAPLSAPFSLGASSPRARRRASLLPKLTRRRSISESSSSAPIRLCCRSLASLMDRSPSRLALASMGDDPIREWILTEGKATQITRISPIGGGCINHASRYDTDSGSFFVKTNRRIGPSMFEAESLGLSAMYATQSIRVPMPYKVGPLPTGGSFIIMEFIEFGPSRGSQSVLGRKLAEMHQAGKSSKGFGFDIDNTIGSTPQINSWSSDWIEFFSEHRLGYQLKLALDQYGDSAIYEKGQRLMKNLQPLFEGVVIEPCLLHGDLWSGNISSDKNGDPVILDPACYYGHPEAEFGMSWCAGFGGAFYSSYFEVMPKQPGFEKRRDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLEV >Ma08_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8698276:8702809:1 gene:Ma08_g11710 transcript:Ma08_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVGAPLSAPFSLGASSPRARRRASLLPKLTRRRSIMASMGDDPIREWILTEGKATQITRISPIGGGCINHASRYDTDSGSFFVKTNRRIGPSMFEAESLGLSAMYATQSIRVPMPYKVGPLPTGGSFIIMEFIEFGPSRGSQSVLGRKLAEMHQAGKSSKGFGFDIDNTIGSTPQINSWSSDWIEFFSEHRLGYQLKLALDQYGDSAIYEKGQRLMKNLQPLFEGVVIEPCLLHGDLWSGNISSDKNGDPVILDPACYCDAKTARLREEEGSLHALPLLESLQSIWFRVSLISYVDHR >Ma08_p11710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8698276:8702818:1 gene:Ma08_g11710 transcript:Ma08_t11710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVGAPLSAPFSLGASSPRARRRASLLPKLTRRRSIMASMGDDPIREWILTEGKATQITRISPIGGGCINHASRYDTDSGSFFVKTNRRIGPSMFEAESLGLSAMYATQSIRVPMPYKVGPLPTGGSFIIMEFIEFGPSRGSQSVLGRKLAEMHQAGKSSKGFGFDIDNTIGSTPQINSWSSDWIEFFSEHRLGYQLKLALDQYGDSAIYEKGQRLMKNLQPLFEGVVIEPCLLHGDLWSGNISSDKNGDPVILDPACYYGHPEAEFGMSWCAGFGGAFYSSYFEVMPKQPGFEKRRDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLEV >Ma11_p23750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26989858:26990392:1 gene:Ma11_g23750 transcript:Ma11_t23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETQKTFPKHTRVISKTSFLKNSTFSFSSTSVSARRSTFLEHCCLCRGKLQQGKDIYMYRGDRAFCSEECRCRQIFMDEESGTRDRCSLAAAAAAAAVAEGMYPAERRRGRAAACRWFC >Ma07_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7744693:7744857:1 gene:Ma07_g10400 transcript:Ma07_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDQFANYIAQKVLETQDDQQRELIGLQIKIHLNTLKKYICRKHVVPHVENSL >Ma02_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22681748:22682263:-1 gene:Ma02_g14720 transcript:Ma02_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRWPIQLRLAVVATACIGCSLAHQHLVGDSIWSIPPSNEFYSNWASNRSFAVGDDLVFRFELGFYDVVQVSRREFDSCSADNAFRSFLVGPATVSLNEEGVFYFICSFGNYCLLGQKLSVTVQRLLPPPTGASPSSTPPASPHLK >Ma06_p34940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35028698:35028781:1 gene:Ma06_g34940 transcript:Ma06_t34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMLRLNVFCEDHEFSIQFQRNYFAL >Ma03_p19830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25085702:25087183:-1 gene:Ma03_g19830 transcript:Ma03_t19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDLVLPPGFRFHPTDEELVVHYLLRRCAAQPIPVPIVAEVDLYKYDPWQLPGMARYGEEEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGSPRPVAIKKALVFYSGKPPKGEKTNWIMHEYRLADVDRSARKKNQSLRFDDWVLCRIYHKKGAVGKQANPDRKPAGPKSQPRPAPQPQLKQEAVSHARAPTTDMICFDSAESLPRLLGESSSSGHAPSYTSPDFACEREAESQPRWADDGFSDWMIDLGIGFIDDAAAASAVAAAGDAFPPLSPAAAFRDSFQDVFVYLQTPV >Ma02_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25429331:25439489:-1 gene:Ma02_g19160 transcript:Ma02_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLSESFELSRGEPSRDRDIELGLQQTMNVAEQGLEKFFKQVEEIEKLIEKLSKLSANLQAANEKSKSVTKASDMKAIKQHMQKEIDEVGKIARLAKSNLEELDRDNLASRQKPGCGKGSSVDRSRTATTVALKKKLKERMSEFQTLRETIHQEYREVVERRIFTVTGNRADEETIDRLIETGNSEQIFQKAIQEQGRGQVMDTLAEIQERHNTVKDLERKLLELQQIFLDMAVLVDAQGEMLDNIESQVSSAVDHVQSGTVALQKAKKLQKNSRKWMCIAIIILLLIVVIIVVAVIKPWSKGT >Ma10_p26590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34217682:34226531:1 gene:Ma10_g26590 transcript:Ma10_t26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESPLTMLSDMGVRSVIGSGGDGFGGEELEKELGLLRREQRRQEAMDRERELNVYRSGSAPPTVEGSLTALGGILGREVASGMPDFSTAKNGYGFSTEEELLSNPAYVSYYYSHVNLNPRLPPPVLSKEDWRSTQRLQVGSSVVGGIEDRRKINCREEGDGRSLFLKQPVFGQLEEHPAEPAKVPGSGEWLEKGDGLIGLSIGRQKSFADVVQDELVCKSPISAHQSHPATRNAFVNGLESSSSATTQFPLHKESALLNGQQFGAYPQSNNGPEKVSVPLTHSFASVVGSSLRRSTTPDAQLVARAPSPCLPHGGPRTRASDEKANDSSSVGAASSSTLESNDLIAALSGFNLSDIGTAADDNFTHPKLQQRFDDRHNTLFSSQSDQNNVKAHNILKSSDPEYPSMQSISKSTKFSYPDSYKSSGGQAELINSGSGLNGLIESQRSYVPSGNSYLQAPSPYISTAGSSSPHYQNLENANGAFASSGLNAYSENLTLPTTLLNHVGSGNLPPLFEGAVAASAVASGMESRALGGGLFASPNLAGPADLQTLSRIGNQTAAAALQTSLNDPLYVQYLKAAEYTAQIAANCCDPSLERGYMGNSYADLLGIPKAYVESLLQQENQYNMPFLSKSGRLNHSYYGNPAFGLGNLYPGSPLASSIASPVGHGSPLNLSERNMRFSSNLRNLSGSVLGSWHSDPTGNIDERFPSSLLDEFKSNKTRCFELAEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVFDEIVPHALSLMTDVFGNYVVQKFFDHGSAAQRRELANQLNGHVLALSLQMYGCRVIQKAIEVVDLDQKIQMVSELDGHVLRCVRDQNGNHVIQKCIECVPEDAIQFIISTFYGQVVTLSTHPYGCRVIQRVLEHCDDPNTQQIVMEEILQSVCMLAKDQYGNYVVQHVLEHGKPHERSVIIKKLTGQIVQMSQQKFASNVVEKCLTFGNLEERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIGVQSSSSSYPSYMAQG >Ma10_p26590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34217682:34226531:1 gene:Ma10_g26590 transcript:Ma10_t26590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTESPLTMLSDMGVRSVIGSGGDGFGGEELEKELGLLRREQRRQEAMDRERELNVYRSGSAPPTVEGSLTALGGILGREVASGMPDFSTAKNGYGFSTEEELLSNPAYVSYYYSHVNLNPRLPPPVLSKEDWRSTQRLQVGSSVVGGIEDRRKINCREEGDGRSLFLKQPVFGQLEEHPAEPAKVPGSGEWLEKGDGLIGLSIGRQKSFADVVQDELVCKSPISAHQSHPATRNAFVNGLESSSSATTQFPLHKESALLNGQQFGAYPQSNNGPEKVSVPLTHSFASVVGSSLRRSTTPDAQLVARAPSPCLPHGGPRTRASDEKANDSSSVGAASSSTLESNDLIAALSGFNLSDIGTAADDNFTHPKLQQRFDDRHNTLFSSQSDQNNVKAHNILKSSDPEYPSMQSISKSTKFSYPDSYKSSGGQAELINSGSGLNGLIESQRSYVPSGNSYLQAPSPYISTAGSSSPHYQNLENANGAFASSGLNAYSENLTLPTTLLNHVGSGNLPPLFEGAVAASAVASGMESRALGGGLFASPNLAGPADLQTLSRIGNQTAAAALQTSLNDPLYVQYLKAAEYTAQIAANCCDPSLERGYMGNSYADLLGIPKAYVESLLQQENQYNMPFLSKSGRLNHSYYGNPAFGLGNLYPGSPLASSIASPVGHGSPLNLSERNMRFSSNLRNLSGSVLGSWHSDPTGNIDERFPSSLLDEFKSNKTRCFELAEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVFDEIVPHALSLMTDVFGNYVVQKFFDHGSAAQRRELANQLNGHVLALSLQMYGCRVIQKAIEVVDLDQKIQMVSELDGHVLRCVRDQNGNHVIQKCIECVPEDAIQFIISTFYGQVVTLSTHPYGCRVIQRVLEHCDDPNTQQIVMEEILQSVCMLAKDQYGNYVVQHVLEHGKPHERSVIIKKLTGQIVQMSQQKFASNVVEKCLTFGNLEERQLLVNEMLGSTDENEPLQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIGVQSSSSSYPSYMAQG >Ma07_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15955194:15955491:-1 gene:Ma07_g16950 transcript:Ma07_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFAYHYILEYLFCPGLLW >Ma11_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9140523:9143344:1 gene:Ma11_g09920 transcript:Ma11_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAADETGRSRAYRLVAAKGED >Ma03_p28180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31320172:31323045:1 gene:Ma03_g28180 transcript:Ma03_t28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFRAAHSGGSSRHNSSTCLGLYVKGGGCRRVGACDDFDSTIKRRFSAMDECIPHRMVNGVEDGGVGMECFSYGVSEKFWRSSRKEKPSMQCPSTSSSAVPASLPDDVLEMILARLPLSSVMTARCVCKKWRHLTSTPHFIQMRSDCSYCSPWLFLFGIMKGGCHAGDIHALDVSGDRWHRIHSDVLKGRFLFSVTSIKSDIYVVGGCSRSSLSMENRYIKTHKGVLVFNPLSGSWRKTAPMKSARSGPVLGVFEVGASCSLFEARPKSQDQLQLKSRIGGVSDVYKDPHRLSVRLRLRDAFSEEDVSSERKRRPSNFVGENMSKQLKFALIAVGGCGSWDEPLESGEIYDPVTDKWIEIASLPGEFGVICSGAICGKMFYVYSETDKLAGYDLERGFWMTIQVCHPPPRLREYYPKLIACSSRLFFSCVSWCEIDGQPNRREIAVRKFWELDFTLRAWNEVSWHPDAPMDRDAMFLADDDMIYSIEMFRIFGQVLDFLTACHVSETGLKWHRITKKHATHEVDASSCVIKSMLVLHL >Ma03_p28180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31320172:31322883:1 gene:Ma03_g28180 transcript:Ma03_t28180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFRAAHSGGSSRHNSSTCLGLYVKGGGCRRVGACDDFDSTIKRRFSAMDECIPHRMVNGVEDGGVGMECFSYGVSEKFWRSSRKEKPSMQCPSTSSSAVPASLPDDVLEMILARLPLSSVMTARCVCKKWRHLTSTPHFIQMRSDCSYCSPWLFLFGIMKGGCHAGDIHALDVSGDRWHRIHSDVLKGRFLFSVTSIKSDIYVVGGCSRSSLSMENRYIKTHKGVLVFNPLSGSWRKTAPMKSARSGPVLGVFEVGASCSLFEARPKSQDQLQLKSRIGGVSDVYKDPHRLSVRLRLRDAFSEEDVSSERKRRPSNFVGENMSKQLKFALIAVGGCGSWDEPLESGEIYDPVTDKWIEIASLPGEFGVICSGAICGKMFYVYSETDKLAGYDLERGFWMTIQVCHPPPRLREYYPKLIACSSRLFFSCVSWCEIDGQPNRREIAVRKFWELDFTLRAWNEVSWHPDAPMDRDAMFLADDDMIYSIEMFRIFGQVLDFLTACHVSETGLKWHRITKKHATHEVDASSCVIKSMLVLHL >Ma07_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4398187:4401241:-1 gene:Ma07_g06090 transcript:Ma07_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSNKIEREQLKPGDHIYSWRSAYIYAHHGIYAGDSMVIHFTRAAGQEVGTGTILDQFIFSSSPSNTGTVCERCGDQSRLHGVIISCLDCFLSGGNLYEFRYSVTPAFFISKARGGTCTLAASDPSDVVLHRARYLLDNNGFGMYSIFKNNCEDFAIYSKTGLLVETTFSVGRSGQISSLTAALTAVASSPLRFLTTSPGGLIAVASSMYCIGRVVSDIGIRRDVNKIPVESLVAQLGRGEPETVTATVPAG >Ma08_p25930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38602069:38603621:1 gene:Ma08_g25930 transcript:Ma08_t25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDQHVVMEEKLEGKRRKGKGRRKQEKEYKLLRYEELPEYMKENEFIRDYYRAEWPIKNALLSFFSWHNETVNIWTHLLGFLVFLGLTLLHLSRHVPQVAQLLGHLAWSIPTSAVDNVSCDLGNFFGEAAAFIKLYISSETAATSSPLPPAAAVRWPFFVFLGGSMFCLLCSSSCHLLCCHSHRLNIFLLRMDYVGIAVMIVTSFFPPIHYIFQCDPHWQLTYLVAISAMGFVTVFTLLSPHLSTGKFRAYRALLFSGMGLFGIVPAVHAAVVNWGEPRRNVTLAYEAAMAVSYLTGTVFYVTRVPERWKPGWFDLAGHSHQIFHVFVMAGALSHYGAAVVFLRWRDEVGCGTP >Ma04_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11353936:11354603:1 gene:Ma04_g14990 transcript:Ma04_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKHDHRAMFSPERGHDGLVERSRRIKMAAEMGLARSSRGRHWSRALHRRLLRRKGATSGGSCGCKDEALTSSKAVEELGVGENEDDAVEVDARVHALQRLVPGGEELSVERLFEETADYIEALQGQVSAMRALACLLDELERDKRVVMGG >Ma07_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7313258:7316156:1 gene:Ma07_g09750 transcript:Ma07_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWSRALTQISPYTFASIGIAISIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSKIYDPESLRAGYAIFASGIIVGFANLMCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKTA >Ma10_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26288997:26289580:1 gene:Ma10_g13510 transcript:Ma10_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISVDRCYCCCDCCCCDGTCVPFILLKVKLHFSQRRWSLAEGKSEASLFSTTSDTMIEIIEDKNNN >Ma09_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36296222:36299389:1 gene:Ma09_g24650 transcript:Ma09_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREIHHDDDKDDEDKVEADSASDIYFDALDSFIESDDDGEIFFDLPPSLQLESASTPLDVLPSSASTLRRRRQPPPEGTDPAPKANLDSPADTQPKIELDMKRRVLGSDTPASSAITSDQVSAGGRDGRPGVSRESGATSRARDNEVAAADAGSVPSWCILEFSADLVIKAVFFQMTLLVSFVKTTFWLFHCSFLCVTDPLGTLYRARDGAKARVSEACKSLYENILPLMFGRLIRQRETWKLVVMLTWGFFWSLYVCLLLFGILAASFLGASLIMRRVVEEPIQMTEELSFDYTKTSPEALVPIVPCVGCLVSSKEFSIVTHEFRRLAPPNQKLQLTISLTLPESDYNRNLGVFQVRVELLTINGNITSSSRQPCILRFKSSHIRFVETFLKSMFLLAGYSSETQVLSLRMRGLIEGTKPTICVRVTLEQRAEFRPGAGIPEIYSASMKLEVELPFFKRMIWNWRKTVFIWTSMMLFIMQLLILLVCCRPVIFPRGRSNGGTPRRP >Ma11_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24743898:24752266:-1 gene:Ma11_g20180 transcript:Ma11_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLRSLHALVTCASPTESLARESKNPRSLFSASPRLPPLIEEEKSKLFQNPRSPSMEPEDRDNRVVSFLSPTDCISLPPPPQDDNGGDATAVGACVIDEPHLLRSPFAYDAAAVEETLPKRKRGRPRKAHVAGGRKTASKRVEEVCFVCYGGGDLLVCDRRGCLKGYHPTCVNRDQAFFHSQSRWECGWHTCSICKKAATYKCYTCTYSLCKGCIREARYFCVRGSKGFCVTCYRTTIMIESNGESNEEKGRLDFDNRNSLEYLFNVYWLTLKGKLSLTLEELGNAKDTWKGSGTSVYNEETSDELYDANDDEEASSDSSPGCDDGSNSSRKKIGGHSRKPTDVEGPADNEKKSLSEDSEWATPELLGLVAHMKNGDKSVMSQFDVQALLLEYIKQNKLRDPHRKSQIVCDTRLYNLFGKARVGHFEMLKLLESHFFIKEASQASTHDNHGGTVDSQSGQTDAEEYNNITGIVSDKRQKTRKRVEREPQFNLEDYAAIDVHNINLIYLRRSLMEDLINDDSFSKKVVGSFVRIRISGAAGQKQDMYRLVQVMGTHVVAEKYKLGKKTIDIAVEILNLDKIEILSIDTLSNQEFTEEECKRLRQSIKCGLISRLTVGDVLQKAKVLQEVRVKDWLESEKSRLGHLRDRASETGRRKEYPFRLCIEKLQVLSTPEERQRRVNEVPEIHVDPNMDPNYESLEEEADTDRKDANYFIRSRKIKGKELLSPHKGGSISNYHAAKDSSTSWLSNRNTQVLGTEDKIEAVVSPGDRKNEASWSDGNVGWISLETPKEHAHSTKLEASVWNSKQLANEVPLASNIGEGDKVWHYQDPSGRIQGPFSMMQLHKWSSTGYFPHCLRIWLTSQKQEDSVLLTDVLPKILKDSQQEPQLTCYSQPANLAGATAHTRHEWNIGWRGNENPALVGSKQNDRHYIGNQSDIIISAAGCSVSDAVRYAPQSANYGGPNRELMTHHEGRIGLYPRVWNTSNDMNSWYGQPTSYNSPGPISSFSGNSYNLPDHQVVPNQAGNAERWNRNQDHGSSWSSIRSRPVGPRDQSYEERHSTGSFSSQKSNQNFAQY >Ma04_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26554987:26560633:-1 gene:Ma04_g24570 transcript:Ma04_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKTNEAEAVETAKEWTRLYASGA >Ma09_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35820134:35821645:1 gene:Ma09_g24160 transcript:Ma09_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSPAVSGPPQEPSEQQQQAAHEERARCEWNFRLSAAVSPAATGAISDAIGTVEFDPTDRLLAAGGIARKIRIYGLRSLLPEERGPSPTFSDHSTACRLCICTPAKLSSLRWRPDSSGRIIGSGDYDGVVTEYDVERGFPVFERDEHAGRRVWCVDYSVDGALGASGSDDGTVQLWDSRCADGGCTAVARADGAVCSVEFDPEGGPWVGVGSADRHAYVFDLRAVSAGPVAALSGHGRTVTYVRFAPGGRRVVTSGIDGSHRLWDWAEGRQVRAYRGHANSRNFIGMSVWRGAGLIGSGSESNEVFVYDLRWGEPIWVQGFGNRGEEGQSRDGFVGVASWRQSAAGDGEGALAAGGSDGVLQVFVGRKMTGSD >Ma01_p20730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19429136:19431748:1 gene:Ma01_g20730 transcript:Ma01_t20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEGRDGEEERGKSAESSQPPFVEVLCRSSGLHIEAVKEGEEPVVFGPNSILVNYGKGWNLQTVTDEGHDESIGMLQNSKISPNFQSFKKPMTMNQPNSTISFQYIGKILLAFAFMFLLGGIFTFFLQNLPAMNLSAVSSL >Ma01_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19429136:19431748:1 gene:Ma01_g20730 transcript:Ma01_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEGRDGEEERGKSAESSQPPFVEVLCRSSGKVRRFAAGTTAGYALYVINCKLDIGVAPGLHIEAVKEGEEPVVFGPNSILVNYGKGWNLQTVTDEGHDESIGMLQNSKISPNFQSFKKPMTMNQPNSTISFQYIGKILLAFAFMFLLGGIFTFFLQNLPAMNLSAVSSL >Ma11_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:414912:419756:-1 gene:Ma11_g00600 transcript:Ma11_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMEASAKNATNVEQAFMAMTAAIKNRMASQPAMNGAKPPTVQIRGQPLNQKSSCCSS >Ma10_p26880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34365974:34366635:-1 gene:Ma10_g26880 transcript:Ma10_t26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLITSHGVALATAVAVSGAVIILAICRQKPFSAAVNRSSTPPWCHPRSCVSSSEGRKRERANGKQQRKKRVQFAAEVEELGGSSRNETAAEVPRPPRGERREARGMPANRVALYNGILRDRVMQRTACSY >Ma06_p33080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33850140:33853702:1 gene:Ma06_g33080 transcript:Ma06_t33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSDDAAAEGGEVEREPSGEPLVREPRHCRTHFPGAVRQKAYLFDGLGNYFNKEWDLMEGSGREFCWYHAELPKGNQKLALSAQYLIDVLCPPLKLQDILTLVSNGPFCGHVDGALVFRVNSSGPSASSFTLRLAARVTENSVITVSLGRVPRLGFSPTGQSLLSEIPSIESTDLVRDEEKEGPSGIVIREHVLEFLLTMNHSEEADNPVPVRVSNLVVHIIDTHVDHVQDIVTKLEMELDAVELELDKGGSTLKKQMLDDRKFPKMHLNLQRFLQVVAHGEQVFPRVKEKCSAKSWFASEDIIALEELIGRLRRLKENLGFIVNRVAAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSIITGIFGMNVGGVPWTAQRDPALQDGFRNVMILCVVMLFLLLVSFSFPSLYARVNSWRRRHAMRRSWSINRKSFLSRTFQRGGFQGGGYMRI >Ma09_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7822921:7826013:-1 gene:Ma09_g11570 transcript:Ma09_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWNYFRILAQLLFLLSLYNARHNASLARCSTDSNFTTNSTYHSNLNLLLPSLTSATVSTGSANTSAGRSPDQVFGLARCQLDVSQDICQACLATAVDTLRSSCPSAKDAATWGDRCFLAYSNATFSNDSDKLSFYQILYNAGEVSETSRFVNLVEELMNALINWASYKTDSMFAIGEANFTSFTKVYGLVQCTRDQSDDDCFLCLRQSLGLMQGCCGKNQGGVVLKYRCYLRYETYSYYNMSVPTSPLPPLSSALSSAPPPGATANPPPPPAVVDSNSSSSSAIDADVGGAKSEKANSLLFDFETLKVATNNFSDANKLGEGGFGPVYKGVLSGGREIAVKRLARSSQQGFAELRNEVAFVAKLQHRNLVRLIGFCSEEEKLLVYEFLPNRSLDKILFDPTKCGQLNWERRYKIIEGIARGLLYLHEDSRLRIIHRDLKPGNILLDQHMNPKISDFGLSKLLVDQDRSKESASRIVGTNGYIAPEYAFHRHFSDKSDVYSYGVLVLEIITGRRISEFRGSDEASVKGFNIHPNFGVGYCIETLEL >Ma06_p36450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35853630:35860364:-1 gene:Ma06_g36450 transcript:Ma06_t36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEVLRIGSLRRNSSVWRRGDESIFSRSSRDEDDEEALKWAALEKLPTFDRVRRGILALAEDGGELQEVNIERLGFREKKALIERLVRVADEDNERFLLKLRDRVDRVGIDLPTIEVRYEHLSIEAETYVGNRGLPTIFNSTLNMLEAFGNYLRVLPSRKRPLSILHDVSGIIKPRRMALLLGPPGSGKTTLLLALAGKLSSDLKVTGKVTYNGHDMSEFVPQRTAAYISQYDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDPDIDVFMKASSMKGQEANVITEYILKILGLEVCADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTIHILSGTAMISLLQPAPETYDLFDDIILLSDGLIVYQGPRDNVLEFFESMGFRCPERKGVADFLQEVTSRKDQQQYWARHDEPYRYVPVREFAEAFQSFHVGRALGDELSVPFDKTKSHPAALTTTRYGVSKKEVLKANIDRELLLMKRNSFVYVFKATQLTIMAIVSMTVFLRTKMPRETETDGLIYLGALFFSVVMVMFNGFSELAMTIMKLPVFFKQRDLLFYPAWSYTIPTWILKIPIAFVEVAVWVFTTYYVIGFDPNVGRLFKQYLLLLGITQMASAVFRTIGALGRNMIVANTFASLSLLILLVLGGFILSREQVKKWWIWGYWISPLTYAQNAISVNEFMGNNWKHTAPGSNESLGVRVLKSRGVFPEARWYWIGFGALVGYVLLFNALFTLALSYLDPFGKSQPPISEETLKEKHINLTGEGLESSSRGRKSIDHSASKSKSRGHAKSMLSKSRRAGSENGMRRKDSSLGSMKAAFDQNRRGMVLPFTPLSITFDDIRYSVDMPQEMKAQGVAEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNINISGYPKKQETFARISGYCEQNDIHSPHVTVYESIVYSAWLRLPPEVDSETRKMFVDEVMELVELTPLRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYAGPLGRHSCHLIDYFEGINGVSKIKDGYNPATWMLEVTTQAQEGILGVDFSQVYKNSELYQRNKRLIQELSIPPPGSSDLYFPTQYSQPMAVQCMACLWKQHLSYWRNPPYTAVRFFFTTIIALLFGTIFWDLGSKTSKKIDLFNAMGSMYAAVIFIGVQNCSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVIELPYVLIQSILYGVIVYAMIAFEWTVVKFFWYIFFMYFTLLYFTFYGMMTVGITPNHNIAAIVSAAFYGLWNLFSGFIVPRPRIPIWWRWYYWACPVAWTLYGLVTSQFGDIEERLEDTGEVVSDFLRSYFGFKHSFLGVVAVMVVAFPLLFAFLFAFSIKMLNFKRDEDGRACQTPKYFCDHR >Ma03_p27460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30842384:30846565:-1 gene:Ma03_g27460 transcript:Ma03_t27460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMPPALSLPSGVGSSLCDAAVEIAHRKRTAEEAEHLLSGPMAASGSDSVGVVEQIEAAAPTAALEEDGGGDDPITESDMEDSTSVEPGLSVESSCSVVSDSSSISCAMHEFSVSDSSCEMGTPSSVDAGNSLVEIVPVSASVELLAVSMVDPDATITPVVEYGTPQSRSGGGAGRSQSMFLMESMPLWGCITICGRRPEMEDAVVVVPNFFEVPLRLLTGDQIVDDLDPDVIRLPLHFFGVYDGHGGAQVANYCREHLHLVLIDQLRSLVKDSGGTSCSNWKRKWEKVFVACFQKIDDEVGGKGSRGNMGSTAEAPNEGNLPCPNVPLEPVAPDTVGSTAVVAVISSSHIIIANCGDSRAVLCRGKQPMPLSVDHKPNREDEYTRIEAQGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPEPEITFVQRAREDECLIVASDGLWDVMSNEEVCDVARRRILLWHKKNGPVPPSTPRGKQADPAAQAAADCLSKLALKKGSKDNITIIVVDLKAQRKFKNK >Ma03_p27460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30842384:30846565:-1 gene:Ma03_g27460 transcript:Ma03_t27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMPPALSLPSGVGSSLCDAAVEIAHRKRTAEEAEHLLSGPMAASGSDSVGVVEQIEAAAPTAALEEDGGGDDPITESDMEDSTSVEPGLSVESSCSVVSDSSSISCAMHEFSVSDSSCEMGTPSSVDAGNSLVEIVPVSASVELLAVSMVDPDATITPVVEYGTPQSRSGGGAGRSQSMFLMESMPLWGCITICGRRPEMEDAVVVVPNFFEVPLRLLTGDQIVDDLDPDVIRLPLHFFGVYDGHGGAQVANYCREHLHLVLIDQLRSLVKDSGGTSCSNWKRKWEKVFVACFQKIDDEVGGKGSRGNMGSTAEAPNEGNLPCPNVPLEPVAPDTVGSTAVVAVISSSHIIIANCGDSRAVLCRGKQPMPLSVDHKPNREDEYTRIEAQGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPEPEITFVQRAREDECLIVASDGLWDVMSNEEVCDVARRRILLWHKKNGPVPPSTPRGKQADPAAQAAADCLSKLALKKGSKDNITIIVVDLKAQRKFKNK >Ma04_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6190074:6190719:-1 gene:Ma04_g08690 transcript:Ma04_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKMEKKDKPKDPRGRAFKRMQYNRRFVTAVVGFGKKKGPNSSDK >Ma03_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9928810:9935664:-1 gene:Ma03_g12900 transcript:Ma03_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTWLLFIYLILICFVDDVLSGRTSSFRRSEWPSTDIPLDSEAFVVPGGYNTPQQVHITQGDYDGKAVIISWVTESETGTSEVLYGTEEHKYEHIAQGTTTNYTFYNYKSGFIHHCLVDGLKYNTKYHYKIGTGASAREFWFQTPPEIDPDVPYVFGVIGDLGQTFNSLSTLEHYMETGGQTVLFVGDLSYADRYEYNDGVRWDSWGRLVEKSAAYQPWIWTAGNHEIEYRPDLGEISTFKPYLHRYVTPYVSSKSSSPLWYAVRRASAHIIVLSSYSPFVKYTPQWFWLREELKRVNREKTPWLIVLMHVPLYNSNEAHYMEGEAMRDVFESWFVHYKVDIVFAGHVHAYERSYRVSNMNYNITSGSRHPVPDKSAPVYIIVGDGGNQEGLAVRFSDLQPDYSAFREASYGHSTLELKNRTHAFYHWNRNNDGKHVLTDHIVFRNQYWASNTRRRRLKKHRKFPN >Ma04_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16814321:16818021:-1 gene:Ma04_g16900 transcript:Ma04_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSFTPFSLLMTRRGGFPAPLSLPACCLLLFALLIRAQLAFSQNQTCDSKDLNALLGLSNEIDLAKLGWSRNGSSSGCCDWFGVSCGPPTINGRRVVGLDLSNKSLKGSISDSFAGLDQLRRLDLSVNSLQGVVPPQLLRLPLLEFIDLSMNQLEGEIPSNLSLPAIRVFNISYNYFTGHHPIFAGLSNLTSLDLTANDFHGPIDAGICNSSAKIQVLRFAGNMFDGNLPRGLKNCISLTELSLGMNDLDGDFPGDLFSMASLTHLFLQGNRFSGNLITNMSNLSNLVEIDLSLNRFSGFIPDVFGSLAKLEAFSAQSNKLVGNLPSSLSNLSSLRVLNLNNNSLSGEIDLNCSAMARLSTLDLGSNSFSGPIPGILPQCVQLKTLNLARNNLTGEIPTSFKSFTQLSDLSLTGNHFSNISAALQILQHCPKLTSLVLTRNFHSGEVMPADGIRGFEKMELLVIANCALTGTIPLWLANLTQLTVLDISWNHLSGTIPIWFGNLENLFYLDLSNNSLSGEVPNSLAQMKRLMSGSKSLQISSIENFPFFIKRNSSGKGLQYNQVSRFPPSLILSNNMLVGHILPGFGKLVDLHVLDLSWNHLSGNIPAELSGMTSLECLELSHNNLTGTIPASLTNLSFLSKFDVAYNDLVGQVPTGGQFSTFSSSDFEGNPGLCGFHLSPCGSKDLIPSGVRRSNKNAIVSITVGIGLGAIFLLAVVSWIVLKHHSGWHEDHARVVAHADEGSDATGCSLVLLFNKDDKELSIDDILKSTNNFDQAYIIGCGGFGLVYKATLPDGRKVAIKRLSGDFFQMEREFQAEVETLSRAQHRNLVLLQGYCKFGSDRLLIYSYMENGSLDYWLHEKHEGSSMLEQGRRLRIALGASRGLAYLHESCEPHILHRDIKSSNILLDEDFDAHLADFGLARLILPTETHVTTDLVGTLGYIPPEYGQSPVATFKGDVYSFGVVLLELLTGRRPVDMCQPKGCREVVSWVLQKKKDRREAEVFDPCMFDSDGDNSQTLRMLEIACLCVSESPKLRPSANQLVAWLEEICLAGQLAK >Ma04_p32440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32394444:32397912:1 gene:Ma04_g32440 transcript:Ma04_t32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGTESSPPLIMDSNYTSKEIGSLNNGGAEGPMPSESMTGAKAKKPPRHLSIIRHSVSSARLGFNLGTLALISPQQGQTGFWPVFRSGCCSEIGPKPHMEDEHIRIDNLVEYLRASTNFPSPGAFYGVFDGHGGIDAACFVRNNILKYIIEDVYFPASVEKAIKNAFIKVDHALADSHSLDRSSGTTALTALILDRSLLIANAGDCRAVLGKRGRAIELSKDHKPNCNAERRRIERLGGSVYDGYLNGQLSVARALGDWHMKGSKGSACPLSAEPELQETILTEEDEFLIMGCDGIWDVMSSQCAVTMTRKELMLHNDPEKCSRELVREALKRNTCDNLTVMVICFSPDPPPRIEIPRTRVRRSISLEGLHVLKGALDDNI >Ma04_p32440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32394637:32397912:1 gene:Ma04_g32440 transcript:Ma04_t32440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGTESSPPLIMDSNYTSKEIGSLNNGGAEGPMPSESMTGAKAKKPPRHLSIIRHSVSSARLGFNLGTLALISPQQGQTGFWPVFRSGCCSEIGPKPHMEDEHIRIDNLVEYLRASTNFPSPGAFYGVFDGHGGIDAACFVRNNILKYIIEDVYFPASVEKAIKNAFIKVDHALADSHSLDRSSGTTALTALILDRSLLIANAGDCRAVLGKRGRAIELSKDHKPNCNAERRRIERLGGSVYDGYLNGQLSVARALGDWHMKGSKGSACPLSAEPELQETILTEEDEFLIMGCDGIWDVMSSQCAVTMTRKELMLHNDPEKCSRELVREALKRNTCDNLTVMVICFSPDPPPRIEIPRTRVRRSISLEGLHVLKGALDDNI >Ma02_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27625703:27637789:1 gene:Ma02_g22220 transcript:Ma02_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGSMRAESASSSLDGPNFAASYHNGQRGTYSGSGLERSGSFRESLESRILVTGPSTSRNTASSAEIPHLLQYLSLEHFSMSEQKYSRSGELRRVLGVTVEEHSFGSVQSKLLPPIASEDLKRFRASIFESSTRARDRMKSLQESIMKLDKYRNLLSRKRQRTDQLYEKSGNSNPLKMGSQSHQSPSEIASPRLEDRAKNVIPNKRVRSSMAEVRPEGRGTVLLRQGAVIEKDKNVLSDKDKVMLRGCNGGPIPSEEKMCGLPPGGDGWEKNKRKRSVGLNRVIDGDRDIKQPFQQRPNSESRLRPSDGNGFRPGLSSVTTISNKMDSCPENSGANSRGILKNDLDGSSTPNERREHFVGQDNDRMVPKGSNKLNMREDAQVGNQSPLIKGKASRALRTGSGIVMNASSNFLRSSGSTDGWEQAPCINKIQPSNGVNNRKGSIGSINNESSSPSVTQWVGQRPQKISRTRRVNVVSPVSNLDDAQFSHEGFSTPDGGARMTTTDTSGLLISRGRPSSSHQSKLRLDNVLSPAVLSESEESVAVENKLKEKGTDNFELEDGAQAPLKATTSALPSKKTKTTPKEEIGDGVRRQGRSGRGSVQSKSCLPLPREKLENVDSTKPLKNGKHGSERSESRIGRPPSKKLSDRKTCVRTQIMNGGSFELAGESEDDREELLSAANAARSASYHACLSTFWKKMESIFSFVTLEDISFVKHQIHFAKEVDGSLSNRVEVGHDVMDEVLYDVASSPHISFAREQSSVVGSTNKSFETRYSAAGTQHVNASLGRLETKRWYDKMVPLSQRLLSAFITEDDIKKVENDSQGESVLQLSSDYVHYGTNSRVNDHAKDLLNMDCELELNYKNQKNCMGDNMPCDGFMVSNNFRHSNIQHFMSGDEPLVENSAVMNAYNGSLSDYQKNNLNQLQIMDNTFPYERQFEDMPLDDRILMELHSIGIFPDAVPDLAESEDGEIDKVITELKMRLYEQVRKKKTQLGKLEKTVEGIKEVEERKLEQLAMNKLVEMAHKRLTGGRGSSSHKNGITKVSKQLALAFAKRTIARCHRFEETDRSCFSEPALRDVILSAPLHNIDAKHSDVSGAINHVESRSGHLGSRVSVMERHGLGNKIDRGPLDAYQGFPQMGDQLVSKPDRKKKEVLLDDVVTGAATRAISTPSYSLPSSTKWKKTERDRDQHKDALGRSSTVKAGRPSSSGRGERKTRTKPKQKIAQLSTSGNGIGRVTEATNFLSPASQESFDTVNNSVMKIDQEVELQSSSNIIHDSSKEVDDTIFTNLPLHAIDSIDELDVAEGLGGQGQDIGSWLNVDEDALQDHDLVGLEIPMDDLSELKLNF >Ma02_p22220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27625703:27637789:1 gene:Ma02_g22220 transcript:Ma02_t22220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGSMRAESASSSLDGPNFAASYHNGQRGTYSGSGLERSGSFRESLESRILVTGPSTSRNTASSAEIPHLLQYLSLEHFSMSEQKYSRSGELRRVLGVTVEEHSFGSVQSKLLPPIASEDLKRFRASIFESSTRARDRMKSLQESIMKLDKYRNLLSRKRQRTDQLYEKSGNSNPLKMGSQSHQSPSEIASPRLEDRAKNVIPNKRVRSSMAEVRPEGRGTVLLRQGAVIEKDKNVLSDKDKVMLRGCNGGPIPSEEKMCGLPPGGDGWEKNKRKRSVGLNRVIDGDRDIKQPFQQRPNSESRLRPSDGNGFRPGLSSVTTISNKMDSCPENSGANSRGILKNDLDGSSTPNERREHFVGQDNDRMVPKGSNKLNMREDAQVGNQSPLIKGKASRALRTGSGIVMNASSNFLRSSGSTDGWEQAPCINKIQPSNGVNNRKGSIGSINNESSSPSVTQWVGQRPQKISRTRRVNVVSPVSNLDDAQFSHEGFSTPDGGARMTTTDTSGLLISRGRPSSSHQSKLRLDNVLSPAVLSESEESVAVENKLKEKGTDNFELEDGAQAPLKATTSALPSKKTKTTPKEEIGDGVRRQGRSGRGSVQSKSCLPLPREKLENVDSTKPLKNGKHGSERSESRIGRPPSKKLSDRKTCVRTQIMNGGSFELAGESEDDREELLSAANAARSASYHACLSTFWKKMESIFSFVTLEDISFVKHQIHFAKEVDGSLSNRVEVGHDVMDEVLYDVASSPHISFAREQSSVVGSTNKSFETRYSAAGTQHVNASLGRLETKRWYDKMVPLSQRLLSAFITEDDIKKVENDSQGESVLQLSSDYVHYGTNSRVNDHAKDLLNMDCELELNYKNQKNCMGDNMPCDGFMVSNNFRHSNIQHFMSGDEPLVENSAVMNAYNGSLSDYQKNNLNQLQIMDNTFPYERQFEDMPLDDRILMELHSIGIFPDAVPDLAESEDGEIDKVITELKMRLYEQVRKKKTQLGKLEKTVEGIKEVEERKLEQLAMNKLVEMAHKRLTGGRGSSSHKNGITKVSKQLALAFAKRTIARCHRFEETDRSCFSEPALRDVILSAPLHNIDAKHSDVSGAINHVESRSGHLGSRVSGVPSVMERHGLGNKIDRGPLDAYQGFPQMGDQLVSKPDRKKKEVLLDDVVTGAATRAISTPSYSLPSSTKWKKTERDRDQHKDALGRSSTVKAGRPSSSGRGERKTRTKPKQKIAQLSTSGNGIGRVTEATNFLSPASQESFDTVNNSVMKIDQEVELQSSSNIIHDSSKEVDDTIFTNLPLHAIDSIDELDVAEGLGGQGQDIGSWLNVDEDALQDHDLVGLEIPMDDLSELKLNF >Ma02_p22220.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27625703:27637789:1 gene:Ma02_g22220 transcript:Ma02_t22220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGSMRAESASSSLDGPNFAASYHNGQRGTYSGSGLERSGSFRESLESRILVTGPSTSRNTASSAEIPHLLQYLSLEHFSMSEQKYSRSGELRRVLGVTVEEHSFGSVQSKLLPPIASEDLKRFRASIFESSTRARMKSLQESIMKLDKYRNLLSRKRQRTDQLYEKSGNSNPLKMGSQSHQSPSEIASPRLEDRAKNVIPNKRVRSSMAEVRPEGRGTVLLRQGAVIEKDKNVLSDKDKVMLRGCNGGPIPSEEKMCGLPPGGDGWEKNKRKRSVGLNRVIDGDRDIKQPFQQRPNSESRLRPSDGNGFRPGLSSVTTISNKMDSCPENSGANSRGILKNDLDGSSTPNERREHFVGQDNDRMVPKGSNKLNMREDAQVGNQSPLIKGKASRALRTGSGIVMNASSNFLRSSGSTDGWEQAPCINKIQPSNGVNNRKGSIGSINNESSSPSVTQWVGQRPQKISRTRRVNVVSPVSNLDDAQFSHEGFSTPDGGARMTTTDTSGLLISRGRPSSSHQSKLRLDNVLSPAVLSESEESVAVENKLKEKGTDNFELEDGAQAPLKATTSALPSKKTKTTPKEEIGDGVRRQGRSGRGSVQSKSCLPLPREKLENVDSTKPLKNGKHGSERSESRIGRPPSKKLSDRKTCVRTQIMNGGSFELAGESEDDREELLSAANAARSASYHACLSTFWKKMESIFSFVTLEDISFVKHQIHFAKEVDGSLSNRVEVGHDVMDEVLYDVASSPHISFAREQSSVVGSTNKSFETRYSAAGTQHVNASLGRLETKRWYDKMVPLSQRLLSAFITEDDIKKVENDSQGESVLQLSSDYVHYGTNSRVNDHAKDLLNMDCELELNYKNQKNCMGDNMPCDGFMVSNNFRHSNIQHFMSGDEPLVENSAVMNAYNGSLSDYQKNNLNQLQIMDNTFPYERQFEDMPLDDRILMELHSIGIFPDAVPDLAESEDGEIDKVITELKMRLYEQVRKKKTQLGKLEKTVEGIKEVEERKLEQLAMNKLVEMAHKRLTGGRGSSSHKNGITKVSKQLALAFAKRTIARCHRFEETDRSCFSEPALRDVILSAPLHNIDAKHSDVSGAINHVESRSGHLGSRVSGVPSVMERHGLGNKIDRGPLDAYQGFPQMGDQLVSKPDRKKKEVLLDDVVTGAATRAISTPSYSLPSSTKWKKTERDRDQHKDALGRSSTVKAGRPSSSGRGERKTRTKPKQKIAQLSTSGNGIGRVTEATNFLSPASQESFDTVNNSVMKIDQEVELQSSSNIIHDSSKEVDDTIFTNLPLHAIDSIDELDVAEGLGGQGQDIGSWLNVDEDALQDHDLVGLEIPMDDLSELKLNF >Ma02_p22220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27625703:27637789:1 gene:Ma02_g22220 transcript:Ma02_t22220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGSMRAESASSSLDGPNFAASYHNGQRGTYSGSGLERSGSFRESLESRILVTGPSTSRNTASSAEIPHLLQYLSLEHFSMSEQKYSRSGELRRVLGVTVEEHSFGSVQSKLLPPIASEDLKRFRASIFESSTRARDRMKSLQESIMKLDKYRNLLSRKRQRTDQLYEKSGNSNPLKMGSQSHQSPSEIASPRLEDRAKNVIPNKRVRSSMAEPEGRGTVLLRQGAVIEKDKNVLSDKDKVMLRGCNGGPIPSEEKMCGLPPGGDGWEKNKRKRSVGLNRVIDGDRDIKQPFQQRPNSESRLRPSDGNGFRPGLSSVTTISNKMDSCPENSGANSRGILKNDLDGSSTPNERREHFVGQDNDRMVPKGSNKLNMREDAQVGNQSPLIKGKASRALRTGSGIVMNASSNFLRSSGSTDGWEQAPCINKIQPSNGVNNRKGSIGSINNESSSPSVTQWVGQRPQKISRTRRVNVVSPVSNLDDAQFSHEGFSTPDGGARMTTTDTSGLLISRGRPSSSHQSKLRLDNVLSPAVLSESEESVAVENKLKEKGTDNFELEDGAQAPLKATTSALPSKKTKTTPKEEIGDGVRRQGRSGRGSVQSKSCLPLPREKLENVDSTKPLKNGKHGSERSESRIGRPPSKKLSDRKTCVRTQIMNGGSFELAGESEDDREELLSAANAARSASYHACLSTFWKKMESIFSFVTLEDISFVKHQIHFAKEVDGSLSNRVEVGHDVMDEVLYDVASSPHISFAREQSSVVGSTNKSFETRYSAAGTQHVNASLGRLETKRWYDKMVPLSQRLLSAFITEDDIKKVENDSQGESVLQLSSDYVHYGTNSRVNDHAKDLLNMDCELELNYKNQKNCMGDNMPCDGFMVSNNFRHSNIQHFMSGDEPLVENSAVMNAYNGSLSDYQKNNLNQLQIMDNTFPYERQFEDMPLDDRILMELHSIGIFPDAVPDLAESEDGEIDKVITELKMRLYEQVRKKKTQLGKLEKTVEGIKEVEERKLEQLAMNKLVEMAHKRLTGGRGSSSHKNGITKVSKQLALAFAKRTIARCHRFEETDRSCFSEPALRDVILSAPLHNIDAKHSDVSGAINHVESRSGHLGSRVSGVPSVMERHGLGNKIDRGPLDAYQGFPQMGDQLVSKPDRKKKEVLLDDVVTGAATRAISTPSYSLPSSTKWKKTERDRDQHKDALGRSSTVKAGRPSSSGRGERKTRTKPKQKIAQLSTSGNGIGRVTEATNFLSPASQESFDTVNNSVMKIDQEVELQSSSNIIHDSSKEVDDTIFTNLPLHAIDSIDELDVAEGLGGQGQDIGSWLNVDEDALQDHDLVGLEIPMDDLSELKLNF >Ma02_p22220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27625703:27637789:1 gene:Ma02_g22220 transcript:Ma02_t22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGSMRAESASSSLDGPNFAASYHNGQRGTYSGSGLERSGSFRESLESRILVTGPSTSRNTASSAEIPHLLQYLSLEHFSMSEQKYSRSGELRRVLGVTVEEHSFGSVQSKLLPPIASEDLKRFRASIFESSTRARDRMKSLQESIMKLDKYRNLLSRKRQRTDQLYEKSGNSNPLKMGSQSHQSPSEIASPRLEDRAKNVIPNKRVRSSMAEVRPEGRGTVLLRQGAVIEKDKNVLSDKDKVMLRGCNGGPIPSEEKMCGLPPGGDGWEKNKRKRSVGLNRVIDGDRDIKQPFQQRPNSESRLRPSDGNGFRPGLSSVTTISNKMDSCPENSGANSRGILKNDLDGSSTPNERREHFVGQDNDRMVPKGSNKLNMREDAQVGNQSPLIKGKASRALRTGSGIVMNASSNFLRSSGSTDGWEQAPCINKIQPSNGVNNRKGSIGSINNESSSPSVTQWVGQRPQKISRTRRVNVVSPVSNLDDAQFSHEGFSTPDGGARMTTTDTSGLLISRGRPSSSHQSKLRLDNVLSPAVLSESEESVAVENKLKEKGTDNFELEDGAQAPLKATTSALPSKKTKTTPKEEIGDGVRRQGRSGRGSVQSKSCLPLPREKLENVDSTKPLKNGKHGSERSESRIGRPPSKKLSDRKTCVRTQIMNGGSFELAGESEDDREELLSAANAARSASYHACLSTFWKKMESIFSFVTLEDISFVKHQIHFAKEVDGSLSNRVEVGHDDEVLYDVASSPHISFAREQSSVVGSTNKSFETRYSAAGTQHVNASLGRLETKRWYDKMVPLSQRLLSAFITEDDIKKVENDSQGESVLQLSSDYVHYGTNSRVNDHAKDLLNMDCELELNYKNQKNCMGDNMPCDGFMVSNNFRHSNIQHFMSGDEPLVENSAVMNAYNGSLSDYQKNNLNQLQIMDNTFPYERQFEDMPLDDRILMELHSIGIFPDAVPDLAESEDGEIDKVITELKMRLYEQVRKKKTQLGKLEKTVEGIKEVEERKLEQLAMNKLVEMAHKRLTGGRGSSSHKNGITKVSKQLALAFAKRTIARCHRFEETDRSCFSEPALRDVILSAPLHNIDAKHSDVSGAINHVESRSGHLGSRVSGVPSVMERHGLGNKIDRGPLDAYQGFPQMGDQLVSKPDRKKKEVLLDDVVTGAATRAISTPSYSLPSSTKWKKTERDRDQHKDALGRSSTVKAGRPSSSGRGERKTRTKPKQKIAQLSTSGNGIGRVTEATNFLSPASQESFDTVNNSVMKIDQEVELQSSSNIIHDSSKEVDDTIFTNLPLHAIDSIDELDVAEGLGGQGQDIGSWLNVDEDALQDHDLVGLEIPMDDLSELKLNF >Ma01_p18850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14208263:14225398:1 gene:Ma01_g18850 transcript:Ma01_t18850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAFRGLLFHQRRRGLHSSPCIAPLGDFLIPPRQVEECLLMRPAAGIRIRSPQWVVPFHFALIRQLSTGYTSVHGERPSAEYAKLRKESLETEFGHILGAHGSKTWFAYHRFGPFLALYRAAIISFYLAKLTVWHFFVRDIHKRAAKFRKTLIRLGPFYIKLGQALSTRPDILPSAYCQELSKLQDQIPPFSTRVAIKSIESQLGLPICQIFADISSEPIAAASLGQVYKAHLHSGELVAVKVQRPGMGLFLTLDALLFHMIGGQLKRFAKARKDLLVAVNELVRHMFDEIDYILEAQHAEKFASLYASIPRSGSCVKVPKIYWNFTRKAVLTMEWIDGIKLTDARRISEASLNKKQLINQGLYCSLRQLLEEGFFHADPHPGNLVATDDGSLAYFDFGMMGDIPWHYRVGLIRMLVHYVNRDSSGLANDFLSLGFIPDGTDIQLVADALHASFGDGSRQSNDFQGIMDQLYAVMYEFNFYLPPDYALVIRALGSLEGTAKALDPDFKVVESAYPFVIGRLLADPNPDMRKILRELIIRSNGSIRWNRLERLRLSLNSHLSQQMKLL >Ma01_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14208263:14226542:1 gene:Ma01_g18850 transcript:Ma01_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAFRGLLFHQRRRGLHSSPCIAPLGDFLIPPRQVEECLLMRPAAGIRIRSPQWVVPFHFALIRQLSTGYTSVHGERPSAEYAKLRKESLETEFGHILGAHGSKTWFAYHRFGPFLALYRAAIISFYLAKLTVWHFFVRDIHKRAAKFRKTLIRLGPFYIKLGQALSTRPDILPSAYCQELSKLQDQIPPFSTRVAIKSIESQLGLPICQIFADISSEPIAAASLGQVYKAHLHSGELVAVKVQRPGMGLFLTLDALLFHMIGGQLKRFAKARKDLLVAVNELVRHMFDEIDYILEAQHAEKFASLYASIPRSGSCVKVPKIYWNFTRKAVLTMEWIDGIKLTDARRISEASLNKKQLINQGLYCSLRQLLEEGFFHADPHPGNLVATDDGSLAYFDFGMMGDIPWHYRVGLIRMLVHYVNRDSSGLANDFLSLGFIPDGTDIQLVADALHASFGDGSRQSNDFQGIMDQLYAVMYEFNFYLPPDYALVIRALGSLEGTAKALDPDFKVVESAYPFVIGRLLADPNPDMRKILRELIIRSNGSIRWNRLERLIAAISEQSSESANETPLTWKTFDMRSVVAATEDLFCFILSEKGWRVRVFLIQDIVKAADAFLEEEALPCICNKPEAKERFDTESDALVMRLVNGFRSLQQAISFAPEVWTAMFLRTLLKSDTHRFLLDIFLSLAVYSRNKMPETFFLCISRLLHLLEKGYNFQEDT >Ma01_p18850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14208263:14226404:1 gene:Ma01_g18850 transcript:Ma01_t18850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAFRGLLFHQRRRGLHSSPCIAPLGDFLIPPRQVEECLLMRPAAGIRIRSPQWVVPFHFALIRQLSTGYTSVHGERPSAEYAKLRKESLETEFGHILGAHGSKTWFAYHRFGPFLALYRAAIISFYLAKLTVWHFFVRDIHKRAAKFRKTLIRLGPFYIKLGQALSTRPDILPSAYCQELSKLQDQIPPFSTRVAIKSIESQLGLPICQIFADISSEPIAAASLGQVYKAHLHSGELVAVKVQRPGMGLFLTLDALLFHMIGGQLKRFAKARKDLLVAVNELVRHMFDEIDYILEAQHAEKFASLYASIPRSGSCVKVPKIYWNFTRKAVLTMEWIDGIKLTDARRISEASLNKKQLINQGLYCSLRQLLEEGFFHADPHPGNLVATDDGSLAYFDFGMMGDIPWHYRVGLIRMLVHYVNRDSSGLANDFLSLGFIPDGTDIQLVADALHASFGDGSRQSNDFQGIMDQLYAVMYEFNFYLPPDYALVIRALGSLEGTAKALDPDFKVVESAYPFVIGRLLADPNPDMRKILRELIIRSNGSIRWNRLERLIAAISEQSSESANETPLTWKTFDMRSVVAATEDLFCFILSEKGWRVRVFLIQDIVKAADAFLEEEALPCICNKPEAKERFDTEQSDALVMRLVNGFRSLQQAISFAPEVWTAMFLRTLLKSDTHRFLLDIFLSLAVYSRNKMPETFFLCISRLLHLLEKGYNFQEDT >Ma10_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25531093:25531614:1 gene:Ma10_g12460 transcript:Ma10_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVSWAKIYRINIDLLNPLRREAQTQASRSISQLLLQGCEMSRMLQPTRRLCCGLRKLPDRSAPADWRACKAHRGPLFPTKRRSSLSVRFSSFLLVNL >Ma07_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6880827:6891242:-1 gene:Ma07_g09160 transcript:Ma07_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPAGGGKVYTRKSLNKAPKRSSQPRPPPPPPDDLDSPRRHRSPPAASDDASSGPNRPSVGPSLANGHGPRGLGVAVGLTCRSRQEARELRRKLTADLDLVRALSKRLEAHELQLASAAGYTRSQLSVTDPNTPGSARRAPDVTAPSATAGWSRRQLSVSVPASENNPSEGAEKEKRTPKANQYYRNSDFILGKEKCGPSDQQGHKKSKAIGGKKQSSELPDGGGAHLVDKKLYAQAFKSCSVLLSKLMKHKHGWVFNVQVDAKGLGLHDYYTIIKCPMDLGTVKSRFAKDWYSSPYEFAEDVRLTFRNAMTYNPKGQDVYVMAEQLLQIFEERWPAIEAEFAHHARQPVIQTPPPLDLRMLERSDSTVHPVAAEPMMKPGNHPTHIGRTPAPKKPKAKDPNKRDMTFEEKQKLSNNLQNLPPEKLEAVVQIIKKRNSSLSQHDDEIEVDIDSVDVETLWELDRFITNYKKTLSKNKRKAELAISVTQAAERNAPEVVHKMIEEPIASEEPEKLVDEKYVASSSPVGGENKGDNAIRSSSSSSSSSDSGSSSSDSGSESSSAYGSDAAHSHSPRT >Ma01_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7342497:7350639:1 gene:Ma01_g10210 transcript:Ma01_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSCPANPEHCESCPEKYGEKALTKNGSGPYVTPLSPISSYASSSSFGDIQAGLNLQCREDGYSVLDADVTQRNMVNDSSDSRANVAGFGPHISTEKKLSSSSIDNNEEKIKLSNEILDDAGAKTVVFNSHIAEEQDFNDILPLLPDFEADPDIWIPPEPEDMEDDTPSIADNDEDDDYNCLEWNQSNLLSSLDEHQRISQGFKEKRQKAMLEAMNGQFKFLVSRFLASEGIDFSSLEAGQSWLDIVASLSWKAALLVKLDAAEGRAMDPGSYVKVKCIASGSRHESELIKGLVFKKNAAHKHMPTKFKNPRILLLQGVLVHSAVGLSSFESMEQEKNHLKVITDMIEACHPNVVLVERNISRDIQDSLLEKGITVVSDMKIHRLEKVSLCTGSPIISCSNFPTNLILPQCDLFRIERFMEEYNSTGGGGKRSSKTLMFLVGFQKPLGCTILLKGAQSDELKKIKRVVQYAVFAAYHLILETSFLVDLRVSFSNKNDSREGIAPLRTMPLLYMDNLECCLSTLASEHTQDVPVCNGSLGRFDHRLMSLNSDYECMFSDKSSSGDISLLSPLSATCETFEGNNSPPLISEFVSLGLDINNKTYDGKSIDLASLEASDHENAANSIISEEKIDDGIFNYQKTEPVRDLTEAVDADGCEIVNIKHKDVFESVLDPQSIIVLLSKQCPKKGTLCDQSHLSRIKYYGYFDISLGRFLRDILLNQNSCSSCSEPPEAHVYCYTHQNGNLSVHVRQLPQGSQLPGEVEGKIWMWTRCLRCKNGNKMSTRRVVLSKSACNLSFGKLLELSFSGHSSGNRLSECGHSLHRDFLRFFGLGSKVAMFVYSSVKIYAACKPPAVLQFHNPKEQEWLKREMETVLFQGCAFFSEVSDSLQNLKPIYYGPLGKQCIELSGSLKPFSEIEEMLIQEKFEFETSLLKALDHNKHMEVSLHEILGLKWLGQELLLELYIWDRRLDCLLQHTEFKLGNDECVADEIPKVHSHVNEQLSPSGSHCIQDMQIDLDTESTEASACTSNNFYKLLDVGFVDAEHSTRQYFDQSGIEEHAVSLLEQDPCIPHDPILSPEDDQNRQEICVSPSGDILIDHSIQIAEVPHVGKATDLELKNAETIAESETPPPTSLSNEFPNISDDVYAKSEGPEELIWTSFSDLREICKKDLYGGSLRKFEFINTYVPSHISPIHQTSISEVDLLHFAVGPGGNVLSASENEISSIIACALAISENFQGLLDRAESEAGETDRSFSFTCDSYGASACMSSTGASESERINLLYSASSLSLDESSTSSIDGSSSVDLQLQSVNLHPEVIVGRGKVSGKSIFSVICIYAKHFYDIRKKCCPSELAYISSLSRCKKWDAQGGKSKVFFAKSLDERFIIKQIKKTELDSFLKFGPDYFKHVFHSLDTGSQTCLAKILGVYQVRKSKSGKETKIDVMVMENLLYGHKISRTYDLKGAVFDRYISDAKYGEKVLLDQNFVEDMHKSPIYVGGDTKLLLQRAVWNDSSFLTSINVMDYSLLVGVDDDQKELVFGIIDYLRQYTWDKQLETWAKASIVPRNELPTVISPREYKKRFRKFMTRYFLSVPHCWKHEHRSPSCIICSDGKRNSAKVHNADLSEKS >Ma05_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33708321:33712388:-1 gene:Ma05_g21980 transcript:Ma05_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSVAAAAANTTKKKQMKKKKKNGSKLPPDGGASTATVGILSFEVASAMSRAIGLYRSLSESEMARFRSQTLTAYGVNHLVSSDEPFLLSLALAEKLDDLNCVAAVAARLGRRCSHPALVGFEHVYSDLLAGRVDPSGLGFLSRDMAGTIRKMERFVSSTSALYAELEVLTELEHAAKKFHQNPAHHASRQAFDQKIQWQRRDVKHLRDSSLWNRTFDKVVLLLSRAVCTIHSRICLVFGDTIGTLDSLVCDQSCQLSDQIVSPVQYPIHSGSLRSGSLDSKSVKIPAVASDAVTGVNFRGEGLRFHCGASPGRLFMQCLSLGSSALLKDSNEQSEKESCLSRPAIGALVPFGDEIVQNTSGRRSKFGPRSDVMMLAAPSTVGGSALALHYANIIIIIEKLLKYPHLVGEDARDDLYQMLPSSLRAALRKSLKSYVKNLAIYDAPLAHNWKEALAKILSWLLPMAHDMIRWQTERNFEQQQIVSRENVLLLQTLHFADREKTEATICELLVGLNYICRYEQQQNALLDCTSSLDFDDCMAWHMQYVSQLHL >Ma08_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21814483:21814629:1 gene:Ma08_g16950 transcript:Ma08_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFRRCSGGTERDPGAVGEDGFGDVVAGVEHQRRALQRRRHRLHQFR >Ma07_p00790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:651934:654444:-1 gene:Ma07_g00790 transcript:Ma07_t00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPWNDWFAQSGIDESNLLHRWEITSTHEDTPPVAPAPGRGFRQSLSSESQTSHPVPAGLVAGSRLTTRGIISWNFLANEDMDPDASFPAPLLHVPGVSTKPKEEMRVPAAGGKHEAVLHRGATTVNAQAQEHIIAERNRREKLNQKFIALSAIIPGLKKADKASVLGDAVRYVKELEARVKALEDQNVKRTVESVVLVKKSQPSAADADADDDGSSSDENFDAQPSQKPFPEIEAKVSGKTVLMRIHCENRKGVIVKILSEIESINLTIINTNVMPFLGSSINVTVTAQIEEEFSMTVKDLVRKLGSALS >Ma07_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:651934:654444:-1 gene:Ma07_g00790 transcript:Ma07_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPWNDWFAQSGIDESNLLHRWEITSTHEDTPPVAPAPGRGFRQSLSSESQTSHPVPAGLVAGSRLTTRGIISWNFLANEDMDPDASFPAPLLHVPGVSTKPKEEMRVPAAGGKHEAVLHRGATTVNAQAQEHIIAERNRREKLNQKFIALSAIIPGLKKADKASVLGDAVRYVKELEARVKALEDQNVKRTVESVVLVKKSQPSAADADADDDGSSSDENFDAQPSQKPFPEIEAKVSGKTVLMRIHCENRKGVIVKILSEIESINLTIINTNVMPFLGSSINVTVTAQIEEEFSMTVKDLVRKLGSALS >Ma10_p29840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36282938:36283213:-1 gene:Ma10_g29840 transcript:Ma10_t29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTSSADLSTLFHPHPSSPPTLPLVTSSSPLLHPLYQSPLTACIQMQSIN >Ma06_p38830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37466026:37466644:-1 gene:Ma06_g38830 transcript:Ma06_t38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLGDDAFFHPPPPTRVGRSFNATSNKWGPYSGAGDFGAGMAIVLASLFSTVLLALALGAAVRLLLRRLRRGPPDTPEKPAAPPPAASGTPTTWFSAAGTKLAGAEAECAICLTEFVEGDAVRVLPACSHGFHVRCIDRWLAGRSSCPTCRACCGVNSDKAEQTQSRFVVERV >Ma02_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28606547:28608921:1 gene:Ma02_g23690 transcript:Ma02_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGKPRVAIIGGGISGLAAAKELRWLEPVLFEATDSIGGVWRHCSFRTTRLQTPRPDYEFSDYQWSDRNDATFPTHIEILEYLHGYATHFDLWKFIKLQTKVVEIRSIGDDRETWFTELWGDKGRTIPDQPAWEVGVVTGHSATVQWYKFEFIVMCIGKYGDIPNMPKFPPGKGAEIFRGKVMHSLDYCKLDEDATKELMKGKKVVIIGYKKSSIDLAVECAEANRGEDGQPCTMVIRTLHWTVPSYSIWGLPFFLFFSTRFSQFLHERPNQGLMKSLASHLLTPLRRGVSKFIESYLTWKLPLDKYGLKPDHPFVEDYASCQMAILPENFFAEADEGRIMFKRSSQWRFWEGGVVLDDDTKLEADVVLLATGFDGKQKLRSVLPEPYRGLIVDSSGVMPLYRGTIHPLIPHMAFVGYIESVSNLHTSELRCKWLGRLLKGHFQLPSVEAMFRQTREEIEVMKRTTRFYRRHCISTYSINHSDDMCEEMGWSPWRKGSWLSEAFSAYNNQDYKEDKSE >Ma03_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25009377:25012650:1 gene:Ma03_g19750 transcript:Ma03_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLDLDGNPIKPMTICLIGAGGFIGSHLCEKLMAETPHTVLAVDVYNDKIKHLLDPPPPPPPADGGQQARNPWDGRIEFHRLNIKHDSRLEGLIKMSDVTINLAAICTPADYNTRPLDTIYSNFIDALPVVRYCSENNKRLIHFSTCEVYGKTLGSYLPKDHPLRKESEFYVLKEDASPCIFGSVEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTIKQLAEIMVQVYSKVSGEPPLEAATIDVSSKQFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLDSTLAYQHRTYAEAVRMAMVKPVASS >Ma08_p30740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41894971:41895871:-1 gene:Ma08_g30740 transcript:Ma08_t30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQEGQGAPPHGVLLAVVVGVVVAAPFLVGGGGEAITGAISDVLSPTGLLLLPVVLVLVIRFLSSDRGAVLSDIFAAGSPDSIHRVGGSPVGVALLLLLILFLLYYRFSIFGGEDDSDE >Ma03_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15413730:15415213:-1 gene:Ma03_g15490 transcript:Ma03_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRCICCCFSLEGREAARRSHKGHGGGGYPWEIYTLKQLVHATRNFHEDNKLGEGGFGTVYWGRTDKGVEIAVKRLKAMTAKAEMEFAVEVEVLGRVRHKNLLSLRGFYAGGEERLIVYDYMPNHSLLSHLHGRRSADLLLDWPRRMQIAIGAAEGLAYLHHEASPHIIHRDVKTSNVLLDADFCAKVADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKVAESCDVYSFGVLLLEIVSARKPIEKLPGGVKRDIVQWAAPLVEKGAWERIADPRLGERFEPAELRNAVAVALRCTDLNPDNRPTMKEAVELLKGRGLWKRTKDVAVEKAIGEEGDEEEDAGISEPSQPERQSWKTTIVR >Ma04_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:45504:47432:1 gene:Ma04_g00010 transcript:Ma04_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRGLVEAILDLLITNGKVFLQSDIETVTTRMKHLFITYGKGKLVVDGDDRDWMEENPFGVQTDWEQHVIERGAPMYRIILKKFDRLQRWSILEIMAATCYQLKLWVVFKYETIFTCNFCILPTKMASTL >Ma10_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28430210:28431399:-1 gene:Ma10_g16880 transcript:Ma10_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPHSSSHLYFLLVFFLLLAAATAFPVTSEFELGRQSRIHFRVYFHETFLGPDNTTVTVVNMSLPNTFGDVDIFDAVLRTGPSKRSTEVGRAQGVSFHASQRDESSLIPLVLVFTAGDFCDSTLTLIGRMDTSGKADRAIVGGTGVFQFAWGNMFILMDEDIGE >Ma08_p07360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5064824:5070016:1 gene:Ma08_g07360 transcript:Ma08_t07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPLKEMQASSGTVKGMFKSNAPLIRRLLILLQFLPGVLSWGKEGHYATCKIAEGLLTQEAITTVKMLLPDYANGDLASLCSWADEIRHNPRWRWTGPLHYIDTPDFKCNYDYCRDCHDFAGRKDRCAAGAIYNYSTQLSYYGLPASEQKYNLTEALLFLSHFIGDIHQPLHVGFTGDAGGNTITVRWYRRKTNLHHVWDNMIIESALKKFYNLNLAVLVETLRTNILEDWSADIPSWEVCESNQTVCPNLHASESIRLACKFAYRNATPGSTLGDEYFLTRLPIVEKRLAQGGVRLAAVLNRIFVPVQPSRLRSDS >Ma08_p07360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5064824:5069630:1 gene:Ma08_g07360 transcript:Ma08_t07360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPLKEMQASSGTVKGMFKSNAPLIRRLLILLQFLPGVLSWGKEGHYATCKIAEGLLTQEAITTVKMLLPDYANGDLASLCSWADEIRHNPRWRWTGPLHYIDTPDFKCNYDYCRDCHDFAGRKDRCAAGAIYNYSTQLSYYGLPASEQKYNLTEALLFLSHFIGDIHQPLHVGFTGDAGGNTITVRWYRRKTNLHHVWDNMIIESALKKFYNLNLAVLVETLRTNILEDWSADIPSWEVCESNQTVCPNLHASESIRLACKFAYRNATPGSTLGDPCR >Ma08_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5064824:5070016:1 gene:Ma08_g07360 transcript:Ma08_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPLKEMQASSGMFKSNAPLIRRLLILLQFLPGVLSWGKEGHYATCKIAEGLLTQEAITTVKMLLPDYANGDLASLCSWADEIRHNPRWRWTGPLHYIDTPDFKCNYDYCRDCHDFAGRKDRCAAGAIYNYSTQLSYYGLPASEQKYNLTEALLFLSHFIGDIHQPLHVGFTGDAGGNTITVRWYRRKTNLHHVWDNMIIESALKKFYNLNLAVLVETLRTNILEDWSADIPSWEVCESNQTVCPNLHASESIRLACKFAYRNATPGSTLGDEYFLTRLPIVEKRLAQGGVRLAAVLNRIFVPVQPSRLRSDS >Ma07_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7650804:7653958:1 gene:Ma07_g10200 transcript:Ma07_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAFVAVDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWITKGEYEESGPAIVHRKCF >Ma06_p20280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14314926:14329976:-1 gene:Ma06_g20280 transcript:Ma06_t20280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFSRDQFKLQGHTAQLSNLDINGDALHASVGFPPALDVTTARVGKLEITLPSVSNVQYEPILVQIDRLDLVLEENVDADNSKNPKSAPASTSSSKGSGYGFADKIADGMTLEVGTVNLMIETRGGTRQQGGAIWSSPLASITIRNLLLYTTNESWQVVNLKEARDFSNNKKFIYVFKKLEWESLSVDLLPHPDMFMDARMASSSNQGNKRDADGAKRLFFGGERFLEGISGEAHITVQRTEHNSPLGLEVQLHIPEAVCPALSEPGLRALLRFMTGFYVCLNRGDVDPKAQQRCTEAAGCSLVSIIIDHIFLCIKDADFQLELLMQSLFFSRASLSDGETTKTLSRIMLGGLFLRDTFSHPPCTLIQPSLRATPEELLHVPAFGQNFCPPIYPLEDQHMNFSIGIPLISLHSLQINPSPTPPKFASQTVIDCQPLMITLQEESCLRISSFLADGIMVNPGAVLPDFSVNSFEFSLKEFDLAVPLEAQKTSNLSGNGNYGSHASFSGARLHVEDLYFAISPSIKCTLLNLEADPACFSLWEYQPIDASQKKWTTRASHLSVSLETCNSSTIQLSSTDWHAGLWRCVELHEVCFEAAMATADGGPLIEVPPPEGVVRIGVFCQHYISNASVEQLFFVLDLYAYFGGVSEKIRKASKGNKQRSGDYFGDKMMKKMPSDTAVSLTINNLRLKFLESSSIDIHGMPLVQFDGQDLFLKVSHRTLGGAFAVSTSLLWETVSIYCLDGMDALSQENGIQTPSEHDSLANGNGYTQMRAVFWVDNQNKRQKKPVPFLDMTMVHVMPYDLQDTESHSLNASFKVNGVRLGGGMHYTESLLHRFGILGPDGGPGEGLLKGLKNLSSGPLAKLFKTSPPPIEATKEENETSEEEDHGRLLEMRMPDDVDVCIAFNNWLFALEGTQEMEEGWLQCAGDNLSREERCWHTTFQSFHVKAKSNSEPNFRNKVNLGTKRKFPVELIMVGIEGLQALKPHPKDVNQVERDLTFGNINNNGVDIEVCLIVPEDDSDLEAKWSVENVKFSVKQPIEAVATKEELEHLAFLCRSEVDSVGRIAAGMLRLLRLDKSLGQGAIDQLSNLGSGSIDKVLTPEKLSRRSSFASVSFTPRAPTSNAILESPNESVESTITMLEVEILDLQSKCSSLISELGSSDGSEHVSDVKYFTEKLENMQTLLTRLRTLV >Ma06_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14314926:14329154:-1 gene:Ma06_g20280 transcript:Ma06_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEVGTVNLMIETRGGTRQQGGAIWSSPLASITIRNLLLYTTNESWQVVNLKEARDFSNNKKFIYVFKKLEWESLSVDLLPHPDMFMDARMASSSNQGNKRDADGAKRLFFGGERFLEGISGEAHITVQRTEHNSPLGLEVQLHIPEAVCPALSEPGLRALLRFMTGFYVCLNRGDVDPKAQQRCTEAAGCSLVSIIIDHIFLCIKDADFQLELLMQSLFFSRASLSDGETTKTLSRIMLGGLFLRDTFSHPPCTLIQPSLRATPEELLHVPAFGQNFCPPIYPLEDQHMNFSIGIPLISLHSLQINPSPTPPKFASQTVIDCQPLMITLQEESCLRISSFLADGIMVNPGAVLPDFSVNSFEFSLKEFDLAVPLEAQKTSNLSGNGNYGSHASFSGARLHVEDLYFAISPSIKCTLLNLEADPACFSLWEYQPIDASQKKWTTRASHLSVSLETCNSSTIQLSSTDWHAGLWRCVELHEVCFEAAMATADGGPLIEVPPPEGVVRIGVFCQHYISNASVEQLFFVLDLYAYFGGVSEKIRKASKGNKQRSGDYFGDKMMKKMPSDTAVSLTINNLRLKFLESSSIDIHGMPLVQFDGQDLFLKVSHRTLGGAFAVSTSLLWETVSIYCLDGMDALSQENGIQTPSEHDSLANGNGYTQMRAVFWVDNQNKRQKKPVPFLDMTMVHVMPYDLQDTESHSLNASFKVNGVRLGGGMHYTESLLHRFGILGPDGGPGEGLLKGLKNLSSGPLAKLFKTSPPPIEATKEENETSEEEDHGRLLEMRMPDDVDVCIAFNNWLFALEGTQEMEEGWLQCAGDNLSREERCWHTTFQSFHVKAKSNSEPNFRNKVNLGTKRKFPVELIMVGIEGLQALKPHPKDVNQVERDLTFGNINNNGVDIEVCLIVPEDDSDLEAKWSVENVKFSVKQPIEAVATKEELEHLAFLCRSEVDSVGRIAAGMLRLLRLDKSLGQGAIDQLSNLGSGSIDKVLTPEKLSRRSSFASVSFTPRAPTSNAILESPNESVESTITMLEVEILDLQSKCSSLISELGSSDGSEHVSDVKYFTEKLENMQTLLTRLRTLV >Ma06_p20280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14316233:14329947:-1 gene:Ma06_g20280 transcript:Ma06_t20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFSRDQFKLQGHTAQLSNLDINGDALHASVGFPPALDVTTARVGKLEITLPSVSNVQYEPILVQIDRLDLVLEENVDADNSKNPKSAPASTSSSKGSGYGFADKIADGMTLEVGTVNLMIETRGGTRQQGGAIWSSPLASITIRNLLLYTTNESWQVVNLKEARDFSNNKKFIYVFKKLEWESLSVDLLPHPDMFMDARMASSSNQGNKRDADGAKRLFFGGERFLEGISGEAHITVQRTEHNSPLGLEVQLHIPEAVCPALSEPGLRALLRFMTGFYVCLNRGDVDPKAQQRCTEAAGCSLVSIIIDHIFLCIKDADFQLELLMQSLFFSRASLSDGETTKTLSRIMLGGLFLRDTFSHPPCTLIQPSLRATPEELLHVPAFGQNFCPPIYPLEDQHMNFSIGIPLISLHSLQINPSPTPPKFASQTVIDCQPLMITLQEESCLRISSFLADGIMVNPGAVLPDFSVNSFEFSLKEFDLAVPLEAQKTSNLSGNGNYGSHASFSGARLHVEDLYFAISPSIKCTLLNLEADPACFSLWEYQPIDASQKKWTTRASHLSVSLETCNSSTIQLSSTDWHAGLWRCVELHEVCFEAAMATADGGPLIEVPPPEGVVRIGVFCQHYISNASVEQLFFVLDLYAYFGGVSEKIRKASKGNKQRSGDYFGDKMMKKMPSDTAVSLTINNLRLKFLESSSIDIHGMPLVQFDGQDLFLKVSHRTLGGAFAVSTSLLWETVSIYCLDGMDALSQENGIQTPSEHDSLANGNGYTQMRAVFWVDNQNKRQKKPVPFLDMTMVHVMPYDLQDTESHSLNASFKVNGVRLGGGMHYTESLLHRFGILGPDGGPGEGLLKGLKNLSSGPLAKLFKTSPPPIEATKEENETSEEEDHGRLLEMRMPDDVDVCIAFNNWLFALEGTQEMEEGWLQCAGDNLSREERCWHTTFQSFHVKAKSNSEPNFRNKVNLGTKRKFPVELIMMSGKLCDISI >Ma03_p15170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14962296:14974064:1 gene:Ma03_g15170 transcript:Ma03_t15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRRAESLLKRPALRSVKILTRLSNCGSLPLAAAFSSADALNEDIRCVVEPKARPVPHLLSQSSCGSASRVARKLRCLRRKPEVALAFFKDSVALGFRHDHSNYSAIVRILAESHCHKQLVTFFCDLISSGREHGFEVPALFDALARQLNDSSLLTCAVDAMIEACTFCLTPEKAVYMFSQLNSSGFIPSAWSCVVLLKFITKDGDLETVMAVYDQMKKLGTSVAANLSSVVIKALFQAGKLDDALQILEEVKESGLEPSPIIYSDVIEGLCACGRYEAGHAILEETVRNGIDVNVFTYNKVIDGLSQGRRLQEAEKLLKEMIKRGVRPDTFSYGILIRGYCDTGNLIRALDMYEEMVTHSIKPDATIISFLLHCFCKLGMDFEAIEFFQKFKDSGLHVDEVLYDIIIIAHCKLGRMRDAAELLKEMKSKGLNPDKIHYTNLINGYCRKGEMYNAQKVFADMVKKDVEPDLFTYNVLASGFCRNGFVKEAFDLLDYMLDRGIEPNALTYSVAIDGLCRGGKLKEAEILFKILEERKIAQCAVLFSSMVCGYLESGCTKEAYMLFVKFSKQGTLVDEIARCRLINELCRDGDIERASAAFRLMQEMQITPDEPCYYNLVAAYCQVRDMHNAQILFDDFVHQGLSPDVILYTTLIRGYCKANCLQEACELFFQMIQRGTKPDVVAYTVMLDGYLKDTLQKGRSDYDKERWKTEVREKYSKLLNSMRDMEVKPDSVCYTALIDWHCKIDDLQDAHKLFDEMIEKGLTPDAYTYTTLISGYCNKGNIEKAEGLVEEMLNKGIQPSSLTFSILDRGSLCSKSLQIQ >Ma03_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14962296:14974064:1 gene:Ma03_g15170 transcript:Ma03_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRRAESLLKRPALRSVKILTRLSNCGSLPLAAAFSSADALNEDIRCVVEPKARPVPHLLSQSSCGSASRVARKLRCLRRKPEVALAFFKDSVALGFRHDHSNYSAIVRILAESHCHKQLVTFFCDLISSGREHGFEVPALFDALARQLNDSSLLTCAVDAMIEACTFCLTPEKAVYMFSQLNSSGFIPSAWSCVVLLKFITKDGDLETVMAVYDQMKKLGTSVAANLSSVVIKALFQAGKLDDALQILEEVKESGLEPSPIIYSDVIEGLCACGRYEAGHAILEETVRNGIDVNVFTYNKVIDGLSQGRRLQEAEKLLKEMIKRGVRPDTFSYGILIRGYCDTGNLIRALDMYEEMVTHSIKPDATIISFLLHCFCKLGMDFEAIEFFQKFKDSGLHVDEVLYDIIIIAHCKLGRMRDAAELLKEMKSKGLNPDKIHYTNLINGYCRKGEMYNAQKVFADMVKKDVEPDLFTYNVLASGFCRNGFVKEAFDLLDYMLDRGIEPNALTYSVAIDGLCRGGKLKEAEILFKILEERKIAQCAVLFSSMVCGYLESGCTKEAYMLFVKFSKQGTLVDEIARCRLINELCRDGDIERASAAFRLMQEMQITPDEPCYYNLVAAYCQVRDMHNAQILFDDFVHQGLSPDVILYTTLIRGYCKANCLQEACELFFQMIQRGTKPDVVAYTVMLDGYLKDTLQKGRSDYDKERWKTEVREKYSKLLNSMRDMEVKPDSVCYTALIDWHCKIDDLQDAHKLFDEMIEKGLTPDAYTYTTLISGYCNKGNIEKAEGLVEEMLNKGIQPSSLTFSILDRGSLCSKSLQIQ >Ma03_p15170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14962296:14974064:1 gene:Ma03_g15170 transcript:Ma03_t15170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRRAESLLKRPALRSVKILTRLSNCGSLPLAAAFSSADALNEDIRCVVEPKARPVPHLLSQSSCGSASRVARKLRCLRRKPEVALAFFKDSVALGFRHDHSNYSAIVRILAESHCHKQLVTFFCDLISSGREHGFEVPALFDALARQLNDSSLLTCAVDAMIEACTFCLTPEKAVYMFSQLNSSGFIPSAWSCVVLLKFITKDGDLETVMAVYDQMKKLGTSVAANLSSVVIKALFQAGKLDDALQILEEVKESGLEPSPIIYSDVIEGLCACGRYEAGHAILEETVRNGIDVNVFTYNKVIDGLSQGRRLQEAEKLLKEMIKRGVRPDTFSYGILIRGYCDTGNLIRALDMYEEMVTHSIKPDATIISFLLHCFCKLGMDFEAIEFFQKFKDSGLHVDEVLYDIIIIAHCKLGRMRDAAELLKEMKSKGLNPDKIHYTNLINGYCRKGEMYNAQKVFADMVKKDVEPDLFTYNVLASGFCRNGFVKEAFDLLDYMLDRGIEPNALTYSVAIDGLCRGGKLKEAEILFKILEERKIAQCAVLFSSMVCGYLESGCTKEAYMLFVKFSKQGTLVDEIARCRLINELCRDGDIERASAAFRLMQEMQITPDEPCYYNLVAAYCQVRDMHNAQILFDDFVHQGLSPDVILYTTLIRGYCKANCLQEACELFFQMIQRGTKPDVVAYTVMLDGYLKDTLQKGRSDYDKERWKTEVREKYSKLLNSMRDMEVKPDSVCYTALIDWHCKIDDLQDAHKLFDEMIEKGLTPDAYTYTTLISGYCNKGNIEKAEGLVEEMLNKGIQPSSLTFSILDRGSLCSKSLQIQ >Ma09_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9589199:9610472:1 gene:Ma09_g14090 transcript:Ma09_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease SPPA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73990) UniProtKB/Swiss-Prot;Acc:Q9C9C0] MSNVLFSPPPFHGLCFPHRSRLKLSALFPSHKPPLSSLLHLSPSLASPPTSRLLSSSSSLLPSNPRRGGFHFRALESSAAKTNEDEDAEESKNVGDGGTPPSNSAPGVGYDGAVREKVGADGEVGQEYPTGEFEMEEFDWWRLFVVKVRMLFALPWERVKKGSVLSMRLRGQISDQLKTRFSSGLSLPQICENFIKAAYDPRVSGIYLEIEPLSCGWAKIDEIRRHIQYFKKSGKFIVSHVTICGEKEYYLACACGEVYVPPSAYVALYGLTVQSSFLGGVLEKVGILPEIQRIGRYKSAGDQLSRKSMSKEVCEMLTTLLDNIYENWLETISSTRGKKREEIEDFLNTGVYQVERLKEEGYITNILYDDEVRSMLKARLGQKDKKDLLMVDYSKYSNVRKWTLGLEGGKDQIAIIRASGSISRTRSPLSVSGSGIISEQLIEKIRSIRESERYKAVILRIDSPGGDALASDLMWREIRLLAASKPVIASMSDVAASGGYYMAMAAEAIVAEKLTLTGSIGVVTGRFSLNKLYERIGFNKEIISRGKYAELNAADQRPFRPDEAALFEKSAQNAYQLFRDKAAFSRSMTVDQMEEVAQGRVWSGKDAASRGLVDAIGGFSTAVAIAKHKANIPQDRQVKLVEVSKRSPSLPELLSGFGNSLLGLDKTVKEALQELKCLNGVQARTEGILFESVGNAFDDNPIIAIIMDCLNSY >Ma07_p28550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34550921:34555221:-1 gene:Ma07_g28550 transcript:Ma07_t28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPSGGASVFDKGHGCIPNPLLLGSGGNQNSHLLAKHEGASSSHFPPCDVDAIKAKIISHPQYSSLLAAYIDCQKVGAPPEVVDRLSAVAQELEMRQRASLICRDAPTDPELDQFMEAYHEMLVKYREELTRPLQEAMEFLRRVEAQLNSLSLTDGSLRILSADDKFGVCSSEDDQDGSGGETELPELDASAEDRELKHQLLRKYSGYLSSLRQELSKKKKKGKLPKDARQQLLNWWELHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEDMQFVVMDGYHAPNAALYMDGQYFMGDGLYRLGP >Ma03_p16430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19003230:19009771:-1 gene:Ma03_g16430 transcript:Ma03_t16430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVKELYVKGKEFAPVTDGKEDAEDCDHFTIRGYVAGVRKRDAKTCWPLFMPHNESSDIDANMLPPLHVSKFKRWSCLNCISTISTSADVTGPADLTSVLHEEIKTKSSSFLSNANSNRLCCDSKQSENRIHGERLLSDSSISISHGEHSPAPYCVKKANESTTECVAKEGIRVFTYGKHRSEENQDRSCKPASAVVEDENFEAGKTQARNIAVTEDNPETVLSADGFPMVCAKPNGGATSGVSDAVLAFRASKAYAIIDDEGITDEGEIDVVPDGMVKTSWKLAGVDLGIPKDDSLIATVANVTKYALMDLDQSNNEASYSNMDLFDHVNCISNQNSVLSSPHGKMNHKKVRKLRFLEDIMKSEELHTSKRACTFKRYSETCEIKSNHERGSGGLECEAQSGNCKSNLVGQNSEMTSTDPNKGIESNRNNDDDLCLLHWLKKVSKKLVTDDSQNKKAIGAKGYAEIKHRENKGGVSTSTHNAKDVDPLSKISRESKHNKSYTTEKENKVPLVKPSGCCLMQQENLVSNNATVKHVHPENDYPKMRDIISAPGKLDRSYDKKVDSRIRKKKASQVESKSSSQIKWSKKVVVKKQRTTKTHEKEILDDIPMDIVELLAKNQHERSLMNAEVASKNHHELSMMNDKMKHGNILNVSGYRGSKVMNAIYMSHASDTEFGIPTTPCGNQNADHGTEVCKSAKYQKHILIDLNQQATDALTNPEYDEYQLCTTHLHAVDSKKNRSLPNSYWGRMRMQDFGFYQKDRGVLAQSSSGGDHDILSAALNGRIHGVSASNIHAYCNYGKMVPGDSFLDRKQRTVVQKADFRESINTNGLHYQAEGGKSEQSTNRTTLPTRSYLVGGGNRCHLGRTAPIDLHTNETISALHLLRLVDQAALTGLSCDINHVGIPQGSNLNFSNKSTEVLGVEVGTKIRETPENPSTAGYSAHDQKEGNFSKPHRPIPRVGVLGSLLQKEIMIHSNKCIAPLGFNARCSGEAPSFLVEGMDKTGAPSSSINTEYGDGSNCLFGTTSAKQIVRAGDSSVRKFGMGQVGITKRNEAIQSVRHDCHTVNCVVNQNPADFSIPDEDNVYMRGSENLPSEYISPPDLHGIKPIMMGISGRW >Ma03_p16430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19003230:19009934:-1 gene:Ma03_g16430 transcript:Ma03_t16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVKELYVKGKEFAPVTDGKEDAEDCDHFTIRGYVAGVRKRDAKTCWPLFMPHNESSDIDANMLPPLHVSKFKRWSCLNCISTISTSADVTGPADLTSVLHEEIKTKSSSFLSNANSNRLCCDSKQSENRIHGERLLSDSSISISHGEHSPAPYCVKKANESTTECVAKEGIRVFTYGKHRSEENQDRSCKPASAVVEDENFEAGKTQARNIAVTEDNPETVLSADGFPMVCAKPNGGATSGVSDAVLAFRASKAYAIIDDEGITDEGEIDVVPDGMVKTSWKLAGVDLGIPKDDSLIATVANVTKYALMDLDQSNNEASYSNMDLFDHVNCISNQNSVLSSPHGKMNHKKVRKLRFLEDIMKSEELHTSKRACTFKRYSETCEIKSNHERGSGGLECEAQSGNCKSNLVGQNSEMTSTDPNKGIESNRNNDDDLCLLHWLKKVSKKLVTDDSQNKKAIGAKGYAEIKHRENKGGVSTSTHNAKDVDPLSKISRESKHNKSYTTEKENKVPLVKPSGCCLMQQENLVSNNATVKHVHPENDYPKMRDIISAPGKLDRSYDKKVDSRIRKKKASQVESKSSSQIKWSKKVVVKKQRTTKTHEKEILDDIPMDIVELLAKNQHERSLMNAEVASKNHHELSMMNDKMKHGNILNVSGYRGSKVMNAIYMSHASDTEFGIPTTPCGNQNADHGTEVCKSAKYQKHILIDLNQQATDALTNPEYDEYQLCTTHLHAVDSKKNRSLPNSYWGRMRMQDFGFYQKDRGVLAQSSSGGDHDILSAALNGRIHGVSASNIHAYCNYGKMVPGDSFLDRKQRTVVQKADFRESINTNGLHYQAEGGKSEQSTNRTTLPTRSYLVGGGNRCHLGRTAPIDLHTNETISALHLLRLVDQAALTGLSCDINHVGIPQGSNLNFSNKSTEVLGVEVGTKIRETPENPSTAGYSAHDQKEGNFSKPHRPIPRVGVLGSLLQKEIMIHSNKCIAPLGFNARCSGEAPSFLVEGMDKTGAPSSSINTEYGDGSNCLFGTTSAKQIVRAGDSSVRKFGMGQVGITKRNEAIQSVRHDCHTVNCVVNQNPADFSIPDEDNVYMRGSENLPSEYISPPDLHGIKPIMMGISGRW >Ma03_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19003230:19009771:-1 gene:Ma03_g16430 transcript:Ma03_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVKELYVKGKEFAPVTDGKEDAEDCDHFTIRGYVAGVRKRDAKTCWPLFMPHNESSDIDANMLPPLHVSKFKRWSCLNCISTISTSADVTGPADLTSVLHEEIKTKSSSFLSNANSNRLCCDSKQSENRIHGERLLSDSSISISHGEHSPAPYCVKKANESTTECVAKEGIRVFTYGKHRSEENQDRSCKPASAVVEDENFEAGKTQARNIAVTEDNPETVLSADGFPMVCAKPNGGATSGVSDAVLAFRASKAYAIIDDEGITDEGEIDVVPDGMVKTSWKLAGVDLGIPKDDSLIATVANVTKYALMDLDQSNNEASYSNMDLFDHVNCISNQNSVLSSPHGKMNHKKVRKLRFLEDIMKSEELHTSKRACTFKRYSETCEIKSNHERGSGGLECEAQSGNCKSNLVGQNSEMTSTDPNKGIESNRNNDDDLCLLHWLKKVSKKLVTDDSQNKKAIGAKGYAEIKHRENKGGVSTSTHNAKDVDPLSKISRESKHNKSYTTEKENKVPLVKPSGCCLMQQENLVSNNATVKHVHPENDYPKMRDIISAPGKLDRSYDKKVDSRIRKKKASQVESKSSSQIKWSKKVVVKKQRTTKTHEKEILDDIPMDIVELLAKNQHERSLMNAEVASKNHHELSMMNDKMKHGNILNVSGYRGSKVMNAIYMSHASDTEFGIPTTPCGNQNADHGTEVCKSAKYQKHILIDLNQQATDALTNPEYDEYQLCTTHLHAVDSKKNRSLPNSYWGRMRMQDFGFYQKDRGVLAQSSSGGDHDILSAALNGRIHGVSASNIHAYCNYGKMVPGDSFLDRKQRTVVQKADFRESINTNGLHYQAEGGKSEQSTNRTTLPTRSYLVGGGNRCHLGRTAPIDLHTNETISALHLLRLVDQAALTGLSCDINHVGIPQGSNLNFSNKSTEVLGVEVGTKIRETPENPSTAGYSAHDQKEGNFSKPHRPIPRVGVLGSLLQKEIMIHSNKCIAPLGFNARCSGEAPSFLVEGMDKTGAPSSSINTEYGDGSNCLFGTTSAKQIVRAGDSSVRKFGMGQVGITKRNEAIQSVRHDCHTVNCVVNQNPADFSIPDEDNVYMRGSENLPSEYISPPDLHGIKPIMMGISGRW >Ma05_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19153106:19154676:1 gene:Ma05_g16960 transcript:Ma05_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVNDECKLKFLELKAKRNFRFIVFKIDEKIQQVMVEKLGRPDESYDDFTACFPPNECRYAVFDFDFVTDENCQKSKIFFIAWSPDSSKVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSIDIVKARAM >Ma01_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:712087:714592:1 gene:Ma01_g01000 transcript:Ma01_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPMPQPSQHTRINLGDLKLQIAKKLGPERSKRYFGYVNQLLAQKLSKPDFNKYCLLILGRENIPLHNHLIRSILKNAFLAKHPPSLGLEKDAPKSVEAVGKNSSQDESVVNHLTAPTAKHTLGCNGNILPPSPRKARSCIRDRRIKDRPSSLGQNGGMDATSYQSLVSLDENATQENGVLGPCDLKRPMQHHQGVPLEHPVKRPRRENRTPHDQAYVEHKGSVEFLVMEGMDELEQADDLNFKRGPLQAPLGIPFCPASLGGAQRSLPLITSSSSNHSSNYHCGELCHTESLKRRMEKIAEAHGLEGVTLDSSNLLNNGLDVYLKLLIRSCVELLGIRSGHDQTKPSVFKQQAQEKLINGVWSGNHMHVQNSGRSFGNLQRLKTQSLISLQDLRVAMELNPQQLGEDWPLLLEKICLRSYKE >Ma01_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12671745:12672881:-1 gene:Ma01_g17300 transcript:Ma01_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGAILRRSPSVSGARALGDSGSSAPAGPSTAGFGRRTRVSASPCGVPSGGGRGAFSGAGHLKYYASPVRCGEGRRKEEKKRAKLVKGLSKDLAALCTLGIGADPGEGLAREVKGKMISEAAERLLAELNQLRAREKETKRKRREAKAARRKGYESCNAEEVVRISKPTSIAAPECKPQTPVSECCSRGGTAVVEKPMNKIEVCMGGKCIRSGAPALMEELNKKIGLEGAVVGCKCMGKCRDGPNLRVLNRSSTNASTNPLCIGVGFDDVATIVANFFEKKDEGLVAA >Ma07_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10358889:10364037:-1 gene:Ma07_g13790 transcript:Ma07_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEKAIGSDDPFIHRQSPAEAEAEREYRRDVKNLVDLLSKLNPSAEEFFPSSHAASGDAAGGHRKFDGRLSADAPIFVSWNDFYYNSEPINNNGGTKESSGDGSSNDQPNRRRRNGYNEGKRRTNNRPRGAEREDSIRRTVYVSDIDQLVTEENLAELFSSCGPVVDCRICGDPHSVLRFAFIEFSDEDGARAALNLGGTMLGYYPVRVLPSKTAILPVNPKFLPKSEDEKQMVVRTVYCTNIDKKVTQMDIKIFFEQFCGEVSRLRLLGDNVHSMCIAFVEFVQAESAIMALNCSGTILGDLPIRVSPSKTPVRPRVPRAASDGFGFSNN >Ma06_p03540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2578802:2583102:1 gene:Ma06_g03540 transcript:Ma06_t03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNSVGGIQADGAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKEHLFSNLIKHPKFISDTKSAIADAYNHTDSEFLKSEISQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGKAFAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQFVVADPEIQEEVIDNSLEFLILASDGLWDVVTNEEAVAMIQPIEDPEQAAKRLLQEAYQRGSADNITCVVVRFLAGQENTGTVQQ >Ma06_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2578801:2583102:1 gene:Ma06_g03540 transcript:Ma06_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNSVGGIQADGAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKEHLFSNLIKHPKFISDTKSAIADAYNHTDSEFLKSEISQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGKAFAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQFVVADPEIQEEVIDNSLEFLILASDGLWDVVTNEEAVAMIQPIEDPEQAAKRLLQEAYQRGSADNITCVVVRFLAGQENTGTVQQ >Ma01_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25674748:25678398:1 gene:Ma01_g22660 transcript:Ma01_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPPGSGYPHYGAPPANPYGVPPPYGAAPPSPYVSAPPYAPSAPPAGEKPPKEGKTHGYGGYGQPGYYGQPPPASSAPPPPPSGAYGGSPFAALMPSAFPPGTDPNVVACFQAADRDGSGFIDDKELQQALSSYNQSFSLRTVHLLMYLFTSSNVRKIGPKEFTSVFYSLQNWRAIFEAFDRDRSGKIDTMELREALRSLGFSVSPAVLDLLVTKFDKSGGKSKAIEYDNFIECCLTVKGLTEKFKEKDTKYSGSATFTYESFMLTVLPFLIA >Ma01_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1682768:1685731:1 gene:Ma01_g02490 transcript:Ma01_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MAATHGCLRSLRPAPLLSSSSAAAAAAPKQLFHYPCPSRTSLPISRRDALLISVLPLSFIALPLPSQARERRGRKAIAPEEYSTSPNGLKYYDLIEGKGPKAEKGSTVQVHFDCIYRGITAISSRESKLLAGNRVIAQPYEFTVGSLPGKERKREFVENANGLYSAQAAPKPPPALYSITEGMKVGGKRTVVVPPELGYGKKGMNEIPPGADFEMNVELLEVIPPGEK >Ma01_p02490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1675585:1685731:1 gene:Ma01_g02490 transcript:Ma01_t02490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MVCGEEPIYPANPPPRIRANGLKYYDLIEGKGPKAEKGSTVQVHFDCIYRGITAISSRESKLLAGNRVIAQPYEFTVGSLPGKERKREFVENANGLYSAQAAPKPPPALYSITEGMKVGGKRTVVVPPELGYGKKGMNEIPPGADFEMNVELLEVIPPGEK >Ma04_p30920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31408367:31413641:-1 gene:Ma04_g30920 transcript:Ma04_t30920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPSSSAIPPSSSTSTPPPPSPPPVSSAPSPFSPSSPPTSPPSVSPPPSIASSPPPVTTPSPPLPSSSPPPSASPQPPRVSPPPASSATSPPASVPSTPPTAPTDPPPSSGSPPPASLAPPSVTPPAGSSPISPSAKPPNSSPSSPPPSASVGPPSVTPSKHASSPAESPPPNFSGGTTPKLPDRPPPLTSSITPPGSIPSPSKRGPNTPSVPGSDAGQGDGGLKTGPAVAIGLVVALVVLSIAGLAVCFLRKRKNSVAGCNAGFVRPSSFTSSIMSDSPLPMSPSAPCVHLHKSGSIETINSPPETAISSTTPWFLYKELYDITNGFAPQNILGEGGFGSVFKGCLADGREVAVKQLKVGSGQGEHEYKAEVEIISRVHHRHLVSLVGYCISDNQRLLVYDYVPNGTLESHLHGKRKPVMDWATRVKVAAGAAHGIAYLHEDCHPRIIHRDIKSSNILLGNNFEAQVSDFGLARLAVDACTHVSTRVIGTFGYLDPEYASSGRLTEKSDVFSFGVVLLELITGRKPVDSTRPMGDESLVEWARPLLSRALETGEFGELPDPRLEKNYNEAEMFRMIEAAAACTRHSAAMRPQMGKVVRVLDYLADIDLSNGVIPGQSKVFHVAHSADVRIFQQLVFGRHDSSSEYSQYNWCCQTDM >Ma04_p30920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31407485:31413641:-1 gene:Ma04_g30920 transcript:Ma04_t30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPSSSAIPPSSSTSTPPPPSPPPVSSAPSPFSPSSPPTSPPSVSPPPSIASSPPPVTTPSPPLPSSSPPPSASPQPPRVSPPPASSATSPPASVPSTPPTAPTDPPPSSGSPPPASLAPPSVTPPAGSSPISPSAKPPNSSPSSPPPSASVGPPSVTPSKHASSPAESPPPNFSGGTTPKLPDRPPPLTSSITPPGSIPSPSKRGPNTPSVPGSDAGQGDGGLKTGPAVAIGLVVALVVLSIAGLAVCFLRKRKNSVAGCNAGFVRPSSFTSSIMSDSPLPMSPSAPCVHLHKSGSIETINSPPETAISSTTPWFLYKELYDITNGFAPQNILGEGGFGSVFKGCLADGREVAVKQLKVGSGQGEHEYKAEVEIISRVHHRHLVSLVGYCISDNQRLLVYDYVPNGTLESHLHGKRKPVMDWATRVKVAAGAAHGIAYLHEDCHPRIIHRDIKSSNILLGNNFEAQVSDFGLARLAVDACTHVSTRVIGTFGYLDPEYASSGRLTEKSDVFSFGVVLLELITGRKPVDSTRPMGDESLVEWARPLLSRALETGEFGELPDPRLEKNYNEAEMFRMIEAAAACTRHSAAMRPQMGKVVRVLDYLADIDLSNGVIPGQSKVFHVAHSADVRIFQQLVFGRHDSSSEYSQYNWCCQTDM >Ma04_p30920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31407461:31413641:-1 gene:Ma04_g30920 transcript:Ma04_t30920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPSSSAIPPSSSTSTPPPPSPPPVSSAPSPFSPSSPPTSPPSVSPPPSIASSPPPVTTPSPPLPSSSPPPSASPQPPRVSPPPASSATSPPASVPSTPPTAPTDPPPSSGSPPPASLAPPSVTPPAGSSPISPSAKPPNSSPSSPPPSASVGPPSVTPSKHASSPAESPPPNFSGGTTPKLPDRPPPLTSSITPPGSIPSPSKRGPNTPSVPGSDAGQGDGGLKTGPAVAIGLVVALVVLSIAGLAVCFLRKRKNSVAGCNAGFVRPSSFTSSIMSDSPLPMSPSAPCVHLHKSGSIETINSPPETAISSTTPWFLYKELYDITNGFAPQNILGEGGFGSVFKGCLADGREVAVKQLKVGSGQGEHEYKAEVEIISRVHHRHLVSLVGYCISDNQRLLVYDYVPNGTLESHLHGKRKPVMDWATRVKVAAGAAHGIAYLHEDCHPRIIHRDIKSSNILLGNNFEAQVSDFGLARLAVDACTHVSTRVIGTFGYLDPEYASSGRLTEKSDVFSFGVVLLELITGRKPVDSTRPMGDESLVEWARPLLSRALETGEFGELPDPRLEKNYNEAEMFRMIEAAAACTRHSAAMRPQMGKVVRVLDYLADIDLSNGVIPGQSKVFHVAHSADVRIFQQLVFGRHDSSSEYSQYNWCCQTDM >Ma06_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6202552:6204249:1 gene:Ma06_g08840 transcript:Ma06_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQHEVVIVGAGIAGLATAVALQIVGLQTLVLERSPELRATGAAIGLSSNAWRALDVLGVAHKLLPSCPTVPKAVVTDLPTGSIQAVPFTRSQRGDTATRIVHRKDLLETLAEELKPGTIRFSSKITSIDQDASSSVTAVHLDDGSVVKAKVLIGCDGVHSVVARWLGLSEPVHSGRSAVRGLAVFPEGHGLKNGAAQYVIDDKRAGFAPLNSNDLYWFITHPSTAREKEIQRDPELILAEVTEKLAIDFPPEFQMVVRHVDLATLSWAPLVFRLPWDVLLGRIHKGCVTVAGDAMHPMTPDLAQGGCTALEDAIVLARNLAGARSRGQLAAGLESYVRERRWRAAWLIAASYLSGWVQQGGNAGVWRSSVEWFRRNIYYKFLHSRIFFAVHQYDCGDLLPAE >Ma05_p30200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40704255:40707211:1 gene:Ma05_g30200 transcript:Ma05_t30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPATLTLGPCAGFAPSRGNVLSFPRRRSLLRPLNSHHKTRNLHEKLCFSSLPAVRAVAVDSDQLGSSEPAQEEKPRRYHFVVANAKFMLDEEEHFKELLYERRRNFEERNREQDFWLVVEPKFLDRFPGIVKRLNRPAVALVSTDGPWMTFMKLRLDRVLAESFEADALEEALAYNPIDLEFERPEKWTAPYPKYEFGWWEPFLPPSSCKSMS >Ma02_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17541923:17547815:1 gene:Ma02_g06570 transcript:Ma02_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREWRVNPDCVNASNPFHVCAEYCVQRAHVLKPRSPRPKLVVAAQNGERREGDRGMVVAERNMDPSCRNVSNPYHQCAEYCSPRSPQVKELNGEKRSVDSVVKMEGNQEKKEEMEHKEGGNSIVPAQNEERCKENRGIVVAERILDPSCPNASNPFHQCAEYCSPRNPEEKRQREKQSEIGVRMENNRMVDPRCVNASNPYHVCAEYCFQKMKEMKQTEGGKAVVAAHNERREGLKIDVREGVHPSCINASNPYHKCAEYCFEKINQLM >Ma02_p06570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17541923:17547815:1 gene:Ma02_g06570 transcript:Ma02_t06570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEREWRVNPDCVNASNPFHVCAEYCVQRAHVLKPRSPRPKLVVAAQNGERREGDRGMVVAERNMDPSCRNVSNPYHQCAEYCSPRSPQVKELNGEKRSVDSVVKMEGNQEKKEEMEHKEGGNSIVPAQNEERCKENRGIVVAERILDPSCPNASNPFHQCAEYCSPRNPEEKRQREKQSAEIGVRMENNRMVDPRCVNASNPYHVCAEYCFQKMKEMKQTEGGKAVVAAHNERREGLKIDVREGVHPSCINASNPYHKCAEYCFEKINQLM >Ma02_p06570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17541923:17547815:1 gene:Ma02_g06570 transcript:Ma02_t06570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREWRVNPDCVNASNPFHVCAEYCVQRAHVLKPRSPRPKLAQNGERREGDRGMVVAERNMDPSCRNVSNPYHQCAEYCSPRSPQVKELNGEKRSVDSVVKMEGNQEKKEEMEHKEGGNSIVPAQNEERCKENRGIVVAERILDPSCPNASNPFHQCAEYCSPRNPEEKRQREKQSAEIGVRMENNRMVDPRCVNASNPYHVCAEYCFQKMKEMKQTEGGKAVVAAHNERREGLKIDVREGVHPSCINASNPYHKCAEYCFEKINQLM >Ma10_p28230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35185028:35190544:1 gene:Ma10_g28230 transcript:Ma10_t28230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRERERDGDQNGGPPCGQVRVLVVGDAGVGKTSLVHLILKGSSIARPSQTVGCTVGVKHISYGSASSSSNSIKGDAQRDFFVELWDVSGHERYKDCRSLFYTQINGVIFVHDLTQRRTKSNLQRWASEIATTGTFSTPLGSGGPGGLPVPYLVIGNKTDIAAKEGTRVSSGNLVDVARQWVEKQGLLPSSEELPSTDSFPRSSGLLAAAKEARYDKESVIKFFRVLIRRRYFSDELPSSSPWSMSPLPNSTHRTGESSSDEDQFHRRVSLSGEGYKYNVVPPLPAQLNLTPPPTLYPQLPMSASENYSFHRFSPSGSPEIGSTKPNRADINV >Ma10_p28230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35185028:35190049:1 gene:Ma10_g28230 transcript:Ma10_t28230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRERERDGDQNGGPPCGQVRVLVVGDAGVGKTSLVHLILKGSSIARPSQTVGCTVGVKHISYGSASSSSNSIKGDAQRDFFVELWDVSGHERYKDCRSLFYTQINGVIFVHDLTQRRTKSNLQRWASEIATTGTFSTPLGSGGPGGLPVPYLVIGNKTDIAAKEGTRVSSGNLVDVARQWVEKQGLLPSSEELPSTDSFPRSSGLLAAAKEARYDKESVIKFFRVLIRRRYFSDELPSSSPWSMSPLPNSTHRTGESSSDEDQFHRRVSLSGEGYKYNVVPPLPAQLNLTPPPTLYPQLPMSASENYSFHRFSPSGSPEIGSTKPNRADINV >Ma10_p28230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35185028:35190043:1 gene:Ma10_g28230 transcript:Ma10_t28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRERERDGDQNGGPPCGQVRVLVVGDAGVGKTSLVHLILKGSSIARPSQTVGCTVGVKHISYGSASSSSNSIKGDAQRDFFVELWDVSGHERYKDCRSLFYTQINGVIFVHDLTQRRTKSNLQRWASEIATTEGTRVSSGNLVDVARQWVEKQGLLPSSEELPSTDSFPRSSGLLAAAKEARYDKESVIKFFRVLIRRRYFSDELPSSSPWSMSPLPNSTHRTGESSSDEDQFHRRVSLSGEGYKYNVVPPLPAQLNLTPPPTLYPQLPMSASENYSFHRFSPSGSPEIGSTKPNRADINV >Ma10_p25680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33704828:33707203:1 gene:Ma10_g25680 transcript:Ma10_t25680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHMDLLARKSRKRKAEEEAHVIPTFFLDELNQDLLERVLSWLPASTFFRLRSVCKRWRSVVTSETFRVACSRIPFREPWFLMVDQDLDHSIAFDTSERNWKSVGHQSCIPQSRSCKPVPVSASGGLVCYRTDSGKFIVSNLLTGSCREIPPAGHGGESHALQAVAMCSTPIYPSSFKIILVSGKSPNLAFRVFDSMKSTWEDEVMLAQKAGSSSDSHVSGDEIIYFLSKAGDVIATNMQRSPSKQYSSVLITEDGEQVVYFLSETGTIVACNLAQKAFFEYPRLLPIYLEYSIDVVECNGEMLVVVLSEFLESASLRVWKFCEESHSWQQVAAMPPSMSHEFYGQKMDINCTGCQDMIFICANSSECSRNIMLDMAAGEWVELPKFYVNGKAKEFTSAISFEPRVEATV >Ma10_p25680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33704083:33707203:1 gene:Ma10_g25680 transcript:Ma10_t25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHMDLLARKSRKRKAEEEAHVIPTFFLDELNQDLLERVLSWLPASTFFRLRSVCKRWRSVVTSETFRVACSRIPFREPWFLMVDQDLDHSIAFDTSERNWKSVGHQSCIPQSRSCKPVPVSASGGLVCYRTDSGKFIVSNLLTGSCREIPPAGHGGESHALQAVAMCSTPIYPSSFKIILVSGKSPNLAFRVFDSMKSTWEDEVMLAQKAGSSSDSHVSGDEIIYFLSKAGDVIATNMQRSPSKQYSSVLITEDGEQVVYFLSETGTIVACNLAQKAFFEYPRLLPIYLEYSIDVVECNGEMLVVVLSEFLESASLRVWKFCEESHSWQQVAAMPPSMSHEFYGQKMDINCTGCQDMIFICANSSECSRNIMLDMAAGEWVELPKFYVNGKAKEFTSAISFEPRVEATV >Ma10_p25680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33704083:33707203:1 gene:Ma10_g25680 transcript:Ma10_t25680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHMDLLARKSRKRKAEEEAHVIPTFFLDELNQDLLERVLSWLPASTFFRLRSVCKRWRSVVTSETFRVACSRIPFREPWFLMVDQDLDHSIAFDTSERNWKSVGHQSCIPQSRSCKPVPVSASGGLVCYRTDSGKFIVSNLLTGSCREIPPAGHGGESHALQAVAMCSTPIYPSSFKIILVSGKSPNLAFRVFDSMKSTWEDEVMLAQKAGSSSDSHVSGDEIIYFLSKAGDVIATNMQRSPSKQYSSVLITEDGEQVVYFLSETGTIVACNLAQKAFFEYPRLLPIYLEYSIDVVECNGEMLVVVLSEFLESASLRVWKFCEESHSWQQVAAMPPSMSHEFYGQKMDINCTGCQDMIFICANSSECSRNIMLDMAAGEWVELPKFYVNGKAKEFTSAISFEPRVEATV >Ma10_p25680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33703805:33707203:1 gene:Ma10_g25680 transcript:Ma10_t25680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHMDLLARKSRKRKAEEEAHVIPTFFLDELNQDLLERVLSWLPASTFFRLRSVCKRWRSVVTSETFRVACSRIPFREPWFLMVDQDLDHSIAFDTSERNWKSVGHQSCIPQSRSCKPVPVSASGGLVCYRTDSGKFIVSNLLTGSCREIPPAGHGGESHALQAVAMCSTPIYPSSFKIILVSGKSPNLAFRVFDSMKSTWEDEVMLAQKAGSSSDSHVSGDEIIYFLSKAGDVIATNMQRSPSKQYSSVLITEDGEQVVYFLSETGTIVACNLAQKAFFEYPRLLPIYLEYSIDVVECNGEMLVVVLSEFLESASLRVWKFCEESHSWQQVAAMPPSMSHEFYGQKMDINCTGCQDMIFICANSSECSRNIMLDMAAGEWVELPKFYVNGKAKEFTSAISFEPRVEATV >Ma04_p37630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35440340:35444385:-1 gene:Ma04_g37630 transcript:Ma04_t37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAYRLSWGNVYQEIEKMCTSNSLVQAGKVIAEKLDQAVGDWLDPDACVSNNDTQETYEAESNAFADTLNESSENALPVAEPLAGCQNDSLSDDEALKSVSDQINSIEELASYISPTGACDVKESTSMLQDFTTHMEENECEQQIEDIKSLVSEQDIEIGVSESSHREDMWNNMHVLDLKSEDFQDVDLHDSWEDDDEDYDLVLASYHEPRKMSCKKKVVALFISKLRMLSHYKKAGTSCRDLNAQKQRARGVRSSSDSEKGSNRDLESEWEFL >Ma06_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7720760:7723640:-1 gene:Ma06_g10990 transcript:Ma06_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCEIRASGEGSGDCVGEGRVSAAELQGIMDRIRSRVEVQQVQAAFEIRRLTKTSSRNRRHLSAAIEPLVLMLRSGSSQSAEAAILGLLNLAVKDERNKISIVEAGALKPMIICLASPDADLQGYATAALYTLSASSVNKSRISASGAIPLLIKVLENGSQQAKIDAIATLYNLSTITDNLRTVLSLCPIPPLISFLKTCKKSSKTAEKCCALLESLVGFDEGRTALTAEEGGVLAVVEILEEGSLQSREHAVGALLTLCESDRSRYKEVILKEGAIPGLLELTVQGTPKSQAKARRLLELLRDSPCRSSELQAEMLENVVSSIVSRIGGDEQAEKAKKILAEMVQISMEQSLRHLQRRALMCTPAELPLGNHRSEVPSK >Ma07_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16518351:16519906:-1 gene:Ma07_g17200 transcript:Ma07_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTALLPTNEATLRASFVRDEDERPKVAYNQFSSDIPVISLAGVDDEDGATGGRRAEIRRKIVEACEDWGLFQVLDHGVDAGMISEMTRLARDFFALPPEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPIGARDYSRWPDKPEGWRSVVESYSEKLMGLACKLLEVLSEAMGLDKEALTDACVDMDQKVVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATKDGGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSSCSRLSIATFQNPAPEAIVYPLAIREGDKPILDEPITFSEMYRRKMSRDLELANLKNLARAEHQRLELPENANDINVAKAKCLNEILA >Ma05_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4869607:4870882:1 gene:Ma05_g06580 transcript:Ma05_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPLGLTAAVVVLAFGIALMPSVRAQAPAPAPASDGVSIDQGIAYLLMLVALVLTYLIHPLDASSPYKLF >Ma01_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9698754:9709969:-1 gene:Ma01_g13260 transcript:Ma01_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGEVPSKPSARKVLNSELWHACAGPLVSLPQPGSLVYYFPQGHIEQVTSSTRKMANSRIPSYTDLPSQFMCQVRNVTLHADKETDEIYAQMTLQPVTSENDVFPIPDLGHTRCKHPTEFFCKILTVSDTSKHGGFSVPRRAAEKLCPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKKLKAVDSVEPIWDENSQLLLGVRCANRKLTALTSSVLSTDSMHIGVLAAAAHAAASRSPFTVLYNPRACPSEFIIPMTKYHKAAYTQVSIGMRFGMMFETEVSSKRRYMGTIVGISDYDPVRWPNSRWRNLQVEWYEHGYGERPDRVSLWEIETPESIFAFPNVTSSLKRQCLPGYVGPAINNQFVNLNPFTNPTEDGNVDTQHFFAGFGSENFSRLLNKPCNPNCDGLLGHHQSIYAGILQNVRSCELSRSFSVMPALHTMGSSTQREVVTTAAMQQKQHFSTAENAATSSADLSSMANPNNFEPTETFQLSCISESGTPQCITTDIQEYFGTQLNSLGDELLVQGILSSEVHDLDVQGDCTVLQGVSNSYGIMDLLEECNNQGETIGNLHLDPSNESMDMGHVPGAVMDGLSPIGSSRFQIPSVMPVCNFTSNQESVSHITSTRMTDSVFSLQDVPDSSAGTSSAIIAMNDCSLYQGSRKQACQQPLRTYTKVQKVGSVGRSIDVTRFSNYYELRSAVACMFGLEGQLDDPRGSEWKLVYIDYENDVLQVGDDPWEEFINCVKCIRILSPYEVQKMSQEGMQLMDGFACTIK >Ma07_p08980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6732033:6740238:1 gene:Ma07_g08980 transcript:Ma07_t08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKMGRRCGLSCRWIICVVMVASAIYRVEGLSMVLSEVSSVYPAQNKEINSESTTAPRSASLPKDGAVTPGVLPVMPSVSSPAPSVHVTTGHKRLRASVPSLKNQPNANIASGPPRYSRPGPSNKSLIRPRKAPTTAFAPPAIDLHGSVAPPKSRTSAFSSAKRDGTPVAAPPTEMHRHLVPTNNSPSKAPMVTPAPEVIPKYSRPHHASPFHQGPSFSPTLAPAPSQSSPIPSGSNEWLNHSPTISPSSHPVTSSAAPPPPIWLLPPPPPNMDCNWLICTEPSTNPPPGSPCICVLPIRVGLRLSVTLYTFFPLVPQFAEEIAFGIYMKQSQVRIMGANVASDEPENTIVLIDLVPREELFDNTTAFLTFEKFWHKDIVINTSLFGEYAVLYVLYPGLPPSPPRAPADINFGDGTSGNSNNGRTIKPLGVDVRKQKEKTSGNLIAVIVLSSFVALTLCVGAAWFLLLKKRCHSHMAAAHLHKVLPLFARTSGTKHMMLGNRPCSESASFSSSVATYTGSAKTFTLAEIEKATNRFDESKIIGEGGFGRVYQGTLEDGIRVAVKVLKRDDQQSGREFFAEVEMLNRLHHRNLVKLIGICMGEAARCLVYELVPNGSVESHLHGVDKETAPLDWNSRMKITLGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDYTPKVSDFGLARAALDEGNLHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPIDMLQPPGQENLVTWARPLLTNMDNLEMIVDPALAMNVPIDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECNQNIGTESCSQNELPNRDTAARVSNGSGLEAERVLLASDILSTSTRFDQDESGSFQTHSNSGPLIMSKSRQFWQRLRSLSTGSVSERGAAQRFGIGSECGEQWS >Ma07_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6732033:6740238:1 gene:Ma07_g08980 transcript:Ma07_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKMGRRCGLSCRWIICVVMVASAIYRVEGLSMVLSEVSSVYPAQNKEINSESTTAPRSASLPKDGAVTPGVLPVMPSVSSPAPSVHVTTGHKRLRASVPSLKNQPNANIASGPPRYSRPGPSNKSLIRPRKAPTTAFAPPAIDLHGSVAPPKSRTSAFSSAKRDGTPVAAPPTEMHRHLVPTNNSPSKAPMVTPAPEVIPKYSRPHHASPFHQGPSFSPTLAPAPSQSSPIPSGSNEWLNHSPTISPSSHPVTSSAAPPPPIWLLPPPPPNMDCNWLICTEPSTNPPPGSPCICVLPIRVGLRLSVTLYTFFPLVPQFAEEIAFGIYMKQSQVRIMGANVASDEPENTIVLIDLVPREELFDNTTAFLTFEKFWHKDIVINTSLFGEYAVLYVLYPGLPPSPPRAPADINFGDGTSGNSNNGRTIKPLGVDVRKQKEKTSGNLIAVIVLSSFVALTLCVGAAWFLLLKKRCHSHMAAAHLHKVLPLFARTSGTKHMMLGNRPCSESASFSSSVATYTGSAKTFTLAEIEKATNRFDESKIIGEGGFGRVYQGTLEDGIRVAVKVLKRDDQQSGREFFAEVEMLNRLHHRNLVKLIGICMGEAARCLVYELVPNGSVESHLHGKQRVDKETAPLDWNSRMKITLGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDYTPKVSDFGLARAALDEGNLHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPIDMLQPPGQENLVTWARPLLTNMDNLEMIVDPALAMNVPIDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECNQNIGTESCSQNELPNRDTAARVSNGSGLEAERVLLASDILSTSTRFDQDESGSFQTHSNSGPLIMSKSRQFWQRLRSLSTGSVSERGAAQRFGIGSECGEQWS >Ma06_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22380977:22381616:-1 gene:Ma06_g24050 transcript:Ma06_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSLPFRRLLVSVLSIAICSIALHCHVALAAQDEYAGVVTTHFMGLNPRAGSRFLAAAKKGDRCDPVKNNVCLGIKAKNGSQLLQCCKNHCRNVLSDRNHCGYCGHKCGFGQLCCRGKCTAVAYDVRNCGKCGTVCSPELRCEYGSCGYA >Ma10_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31269758:31272078:-1 gene:Ma10_g21470 transcript:Ma10_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSSLVNLLGVAVSFSRLLNSSSVSLPVLSKDFHSVKDHCRHLLELGILHDNPSLGSRAHARIVVFGLERDSFLSTKLITLYSLCGRLHNADAIFARVPRCDAFILNAILRGYCANGLHQEAIDLFHRKRKDGVRPDSYTFSCIFKACASLSDLRQGKELHHLAAAGGFQSDVFVGNSLMCVYAKCGSIEDVVRVFDGMPQQDTVSWTSIISAYALDGRNLEAAEKVRQMIECGFRPDQVTMLTVLTMSGDTPEVVGQVHGYMLRSGFELTSMIQNSLISAYGKCGRAEEARKVFDSCARVNRVTWNALISSYAQNGLFDESMQLLRDMKHSGCGLDVVTYSGVISSFSQNDLFGSAMAVFEELVSVGLKPDVVAVASILPAISGLQCSNYCKEIHAFSYRHWLESDRRIRNALVSVYSKFGLLQCAERVFGAIGDRDVISWSSMVMGYAQNHYFAEALDTFRQMIGTRTKPNPITITSVLSSCAGVSGLRQGKELHAWAVKNSVDDQPFVGSALVDMYAKCGRLGDSRKVFDPMEDKNLVAYNVMMGGYAVHGLTENALEIFRMVDEPDQVSFIAALSACSHGGKVEEGIEIFNSMKDLKVSPREGHYALMVDLLARSGRLQEALDLITTMPTKASSEIWGAMLGACRIHSDLEIGIYTGNRIIESGSGNSGYYVLLSNILADFGRWEDVEVIRELMKEKEVKKCAGCSWIEVNKRVRSFVAKERAQQPEWKSMFQVLSALNEQTRGMSC >Ma10_p30390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36713575:36717497:1 gene:Ma10_g30390 transcript:Ma10_t30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDMIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGFVKMVPTKPMVVETFSTYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAQKKK >Ma01_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9396382:9405998:1 gene:Ma01_g12850 transcript:Ma01_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDQVEPSIAAASSPPSSGTPTPTAAGGSLQLLLRPRREPFEHGLLPIPKLIFSDGTLTLAALADKLLRRSIPSPHRVDATSLADALQISLDYARLALDTLASVLPSEPDPDGTADVHVLVLFLCIQSYKRLVPRPHKDSAAIADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHMANIVTLLAESVEGEGDESLVLTMERFEHLGFLLHFSEGIPLTQAAPFFANSDPDMPAVPVSAGQVHDWIVQNIVASLEHNAEKVLAKENGMQNSSDLDVPMADACLSHTRVQSSSLPPGTSVSSNSAYTTSTTFVEGVSKSSVVKQPCDIKGHSIKVLNCHDSVIYILAPLRYATIYGCSDATIVLGAIGKAVRVEHCERVQVIVAAKRICIANCRECVFYLGVNQQPLIVGDNHKLQVAPFNTFYSQLEEHLAQVGVDSSVNRWDEPLVLGMVDPHDSLSHPAGISDVQAESATCVDPDQFTSFLIPNWFGADLPQSTKDNPFPLPEIYGASQQKKNSNLIDIQQAIRNVHLDENRERELTSALHVHFKDWLYASGNIRQLYCLQAD >Ma11_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4178378:4179820:-1 gene:Ma11_g05430 transcript:Ma11_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEEPSESLKYKTWVLKVSIHCEGCKKKVKRILRSIPGVYDSEIDARQNKVTVKAIVDAETLIKKLSKSGKQAKLWPEKKPSNQDPNTGDDSSKKESKASSKPKEPSENPEKKSIPSETSAAVTAAAAAPAKASDANKTEAGAKPQTEPAKADSKPEESNTEEPQISDAKKADTATQQPEKPAATVDSTEKSSAVSEASSDNGGGKKKGNKSQKRSSEDVGTNSNRGSINPPPPPPPQHTYSHPTYPPPPAYVMSYNMAQPTVSQAYYASPMPPSSQGYVYMPYPPPPEFYYGSMEPSLPAPMQPPHDNVFSDENPNACNLM >Ma03_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3084439:3084879:-1 gene:Ma03_g04690 transcript:Ma03_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSKLLLLATLLAALSALVVESRVARMDLGSLGTGVGLGTGLGVGVDGGTVSVSGSGSGSGSESGFGHSSSTSRSESRSFSVTLPGLGSGAGSSAGSGAGSSAGSGAGAGSSAGSDAGSSAGSGAGSGAGNGEGSGSGYGSGSGN >Ma06_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17007927:17028620:1 gene:Ma06_g22080 transcript:Ma06_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQLGLLVALASSVAAILIYIVGLSNPYDRFTVTDDDRSALRALQSGFKKCVDAKGLGLQALISQDYCHVTIQYPRDTVPKWRDPKTGELEGLSFDFNLCEAVATWEQVRNSSSILTKEFIDALPNGWEEYAWRRINKGIQLDRCKNRTLCMEKLSLVLPETPPFVPKQFGRCAVVGNSGDLLKTNIGKEIDGYDAVVRENGAPIQNYTKYVGRKSTFRLLNRGSAKALDKVVELDETKREVLIIKTTIHDIMNKMIREVPIGNQVYLMLGASFGSSAKGTGLKALEFALSICDTVDMYGFTVDPGYKEWTRYFSESRLGHTPLHGRAYYQMMECLGLVKIHSPMRADFSRVVNWLPSESILNAARTASEKVLRRIGAGMDDPLSACSIIKKRSTGKSPSISGLREAAINHQRYMNGATTYPLEKNTGNGMLCIVPDI >Ma02_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24049474:24050364:-1 gene:Ma02_g16920 transcript:Ma02_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKVALLLFFVLPHAACTSAPAPAPMSQEEDATQFIRARCGATRYPDLCFTSLSLYAADVHRSPVRLACLASNVTLGHLLSLCSHVSALRKAGDGREAAALRDCTDTLGDAADQVERTTSELGRLQDPLERSEVAWRVSNAQTWMSAALTNEDTCSDGFQDVGGGGTGAIEADVRRRVEKVKQYTSNALALVNSLVDRR >Ma07_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12084756:12090481:-1 gene:Ma07_g15890 transcript:Ma07_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSEGPSDGEEEGFLLSGGAGAFSFAVEGMLRPASSCGLVVSDALEPDYPIIYVNRGFEEASGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDATVVSEIRKCLEEGIEFQGDLLNFRKDGSPLMNRLQLTPIYGDDDIITHFIAIQFFTDTNVELGPLLSSTVKESARPDRLIASYVVQPISAGHGLICRELCSMLQLSDEVLCQKIFSRLSPRDIASVGSVCMRLYELTKNEDLWKMVCQNAWGSETTRALETVPGAKRLGWGRLARELTTLEAAAWRKLRVGGAVEPSRCNFSACAVGNRVFLFGGEGVNMQPMNDTFVLDLNASNPEWRHVKVTSAPPGRWGHTLSCLNGSWLVVFGGCGTQGLLNDVFILDLDAQFPAWREISGLAPPMPRSWHSSCTLDDTKLVVSGGCADSGVLLSDTHLLDVTMEKPVWREIPASWTPPSRLGHSLSVYDGRKILMFGGLAKSGPLRLRSSDVFTMDLSEEEPCWRCITGSGMPGAGNPAGIGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGSPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELYELSLASSII >Ma02_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24090343:24090932:-1 gene:Ma02_g17030 transcript:Ma02_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRVVCPDSAFDWLPQVGFLFLTFNSLNSAYQSRRDTGALAFVVVAYVDLLMLSFCLRRLEKMAPDDPPEHKKRTLAAVWVLFTALNLAFAWRVAEILPPLLAVAMGGFYVLIIYPQADGCSDRSMIPSAEQNV >Ma10_p30040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36447425:36449250:1 gene:Ma10_g30040 transcript:Ma10_t30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGFNWESWRKQGGWYNFLKGQVPDIARAGVTLVWLPPPSHSVSEQGYMPGRLYDLSASKYGTRDELKSLITAFHAKGIECVADIVINHRCADRKDERGIYCMFEGGSREGRLDWGPHMICSDDTQYSDGTGNPDTGADFAAAPDIDHLNPQVQRELTDWLNWLETDIGFDGWRLDFARGYSPNITKTYLSQTRPAFVVGELWSSLTYGQDGKPAYDQDGSRQELVNWVSDVGGPATTFDFTTKGVLQAAVQGELWRLRDRQGKASGMIGWWPEKAVTFVDNHDTGSTQKMWPFPPERVMEGYAYILTHPGVPTIFYDHLFDWGLKEEITGLAAIRTRNGIRPGSTLRILVADADLYMAVIDEKVAVKIGPRYEVGNLVTADFHVVASGKEFCVWEKW >Ma02_p07460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18127261:18136998:-1 gene:Ma02_g07460 transcript:Ma02_t07460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSVKEFDDDEAPATAASTRNSHLLRIATENEVHQTPSKASSQEIPTPQFSVVDTYERDYTPTFTPTASYIRGRGARAEIGEFVEYDLDNEDDGWLEEFNYEGKTLTPEKFETILFKLEVMDHKARERAGVITPTFGSPIPVLLQFDAAEEALQPLCVRYPVFQSVYNYWKLKREQWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENSVQSFEKLRQVRRNLDQAKRMLDSLIQREEKKRDIVECEVKIQRIQMKYKHEAHLVEDGIAIPSFRHVTCKFTSSEEDYVDSDDTINGDPIAVPATLHPRYADFKLIAVPTVRMKRELKRRPLSNGWTQKRDPDEPVMLFTKSLDPDKLAAAGIVPPVLSAENESVVSPYRFCGRIGRGGRIIFDRWNSLFQDPDAQERSHYPALNPRPLPPNG >Ma02_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18127261:18136998:-1 gene:Ma02_g07460 transcript:Ma02_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSVKEFDDDEAPATAASTRNSHLLRIATENEVHQTPSKASSQEIPTPQFSVVDTYERDYTPTFTPTASYIRGRGARAEIGEFVEYDLDNEDDGWLEEFNYEGKTLTPEKFETILFKLEVMDHKARERAGVITPTFGSPIPVLLQFDAAEEALQPLCVRYPVFQSVYNYWKLKREQWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENSVQSFEKLRQVRRNLDQAKRMLDSLIQREEKKRDIVECEVKIQRIQMKYKHEAHLVEDGIAIPSFRHVTCKFTSSEEDYVDSDDTINGDPIAVPATLHPRYADFKLIAVPTVRMKRELKRRPLSNGWTQKRDPDEPVMLFTKSLDPDKLAAAGIVPPVLSAENESVVSPYRFCGRIGRGGRIIFDRWNSLFQDPDAQERSHYPALNPRPLPPNG >Ma02_p07460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18127261:18136998:-1 gene:Ma02_g07460 transcript:Ma02_t07460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSVKEFDDDEAPATAASTRNSHLLRIATENEVHQTPSKASSQEIPTPQFSVVDTYERDYTPTFTPTASYIRGRGARAEIGEFVEYDLDNEDDGWLEEFNYEGKTLTPEKFETILFKLEVMDHKARERAGVITPTFGSPIPVLLQFDAAEEALQPLCVRYPVFQSVYNYWKLKREQWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENSVQSFEKLRQVRRNLDQAKRMLDSLIQREEKKRDIVECEVKIQRIQMKYKHEAHLVEDGIAIPSFRHVTCKFTSSEEDYVDSDDTINGDPIAVPATLHPRYADFKLIAVPTVRMKRELKRRPLSNGWTQKRDPDEPVMLFTKSLDPDKLAAAGIVPPVLSAENESVVSPYRFCGRIGRGGRIIFDRWNSLFQDPDAQERSHYPALNPRPLPPNG >Ma07_p03060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2386188:2391379:-1 gene:Ma07_g03060 transcript:Ma07_t03060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFGCMPKEDLSGGVGSRSKRGPKSRSQRKMAASEEELLHRQALAMAIQQHQLSQRFEGSMSRRIGSTSSRRRDLPDSITNGKQQQLVLEDLETRKIILVHGEGFGAWCWYKIISLLEEVGLNPVALDLRGSGIDNTDPNGITTLADYSKPLTDYLHNLLDDEKVILVGHSCGGASISYAMECYPKKISKAVFLTATMVLDGQKPFDVFSEELASADVFLKESQFLVYGNGSDNPPTGLMFDKQHIRSGLYFNQSPPKDISLATVSMRTIPLEPIMEKLSLTPENYGMVRRFFIQTLDDRMLSPDVQEKLVRENPPHGIYKIKGSDHCPFFSKPQSLYKILLEIAQLP >Ma07_p03060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2386188:2391379:-1 gene:Ma07_g03060 transcript:Ma07_t03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFGCMPKEDLSGGVGSRSKRGPKSRSQRKMAASEEELLHRQALAMAIQQHQLSQRFEGSMSRRIGSTSSRRRDLPDSITNGKQQQLVLEDLETRKIILVHGEGFGAWCWYKIISLLEEVGLNPVALDLRGSGIDNTDPNGITTLADYSKPLTDYLHNLLDDEKVILVGHSCGGASISYAMECYPKKISKAVFLTATMVLDGQKPFDVFSEELASADVFLKESQFLVYGNGSDNPPTGLMFDKQHIRSGLYFNQSPPKDISLATVSMRTIPLEPIMEKLSLTPENYGMVRRFFIQTLDDRMLSPDVQEKLVRENPPHGIYKIKGSDHCPFFSKPQSLYKILLEIAQLP >Ma07_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1532584:1539268:1 gene:Ma07_g01950 transcript:Ma07_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGSSGNGGGSGIGSGRRRNSSLHHRHHHHHHHPLPFGPAPALLPALQPPEVTANRYVFGAATAYPPQYPNPNPSQYYQYGYYPPPPPTMPVPLPAAFDHQHGGSGSAPHVEYPVHHPGWAGGGRYSPYGAPPPAPPYVDHHKAVTIRNDVNVKKETLRVEPDDHNTGRFLVAFTFDATVAGSITIFFFAKEDMDCNLTATKEDLLKPVTVSFKEGLGQKFRQPSGTGIDFSIFNKAELVKERELDIYPLAVKAEASPSNNQGPERENQKLGTPHLQITQAMFEKKENGKECVICLSEPHDTTVLPCRHMCMCSECAKVLRFQTNRCPICRQPVERLLEIKINNRAEQRQEAAPC >Ma07_p01950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1532584:1539268:1 gene:Ma07_g01950 transcript:Ma07_t01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGSSGNGGGSGIGSGRRRNSSLHHRHHHHHHHPLPFGPAPALLPALQPPEVTANRYVFGAATAYPPQYPNPNPSQYYQYGYYPPPPPTMPVPLPAAFDHQHGGSGSAPHVEYPVHHPGWAGGGRYSPYGAPPPAPPYVDHHKAVTIRNDVNVKKETLRVEPDDHNTGRFLVAFTFDATVAGSITIFFFAKEDMDCNLTATKEDLLKPVTVSFKEGLGQKFRQPSGTGIDFSIFNKAELVKERELDIYPLAVKAEASPSNNQGPERENQKLGTPHLQITQAMFEKKENGEYHVRVMKQILYVNQIRYELQEIYGIENSVDNDTDGNDAGKECVICLSEPHDTTVLPCRHMCMCSECAKVLRFQTNRCPICRQPVERLLEIKINNRAEQRQEAAPC >Ma07_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30132790:30135592:-1 gene:Ma07_g22060 transcript:Ma07_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMGLDAYRFSISWPRILPNGRLSGGVNREGIKYYNSLIDELIAKGLQPFVTLFHWDSPQALEDRYGGFLSYHVVGDFRDYAEICFREFGDRVKHWITFNEPWSYSAGGYAMGILAPGRCSRWEEPGCGAGNSGREPYVVAHHQLLAHAAAVAVYRRKYQSFQKGKIGITLVSNWMVPYSNSKSNTDAVERALDFMYGWFMDALTKGDYPFNMRALVKDRLPKFTRKQSRLVKGSFDFIGLNYYTARYVHDLPRSNRVHKSYSTDSRTNATVTRNGIPIGPRAASSWLYIYPRGIRDLLLYTKTKYDNPVIFITENGVSELDKTTSSLEEALEDKMRIDYHRKHLSFVQEAIREGVDVRGYFAWSLLDNFEWFAGYTVRFGINYVDYKDDLKRYPKSSSLWFRKFLRS >Ma07_p22060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30132790:30136222:-1 gene:Ma07_g22060 transcript:Ma07_t22060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKGGLLFFVFLLGSSSPCATSAELGRSGFPRGFVFGTASSAYQYEGASREGDKGPSIWDNFTHMHPEKIADRSNGDVAVDSYHRYKEDVAIMKDMGLDAYRFSISWPRILPNGRLSGGVNREGIKYYNSLIDELIAKGLQPFVTLFHWDSPQALEDRYGGFLSYHVVGDFRDYAEICFREFGDRVKHWITFNEPWSYSAGGYAMGILAPGRCSRWEEPGCGAGNSGREPYVVAHHQLLAHAAAVAVYRRKYQSFQKGKIGITLVSNWMVPYSNSKSNTDAVERALDFMYGWFMDALTKGDYPFNMRALVKDRLPKFTRKQSRLVKGSFDFIGLNYYTARYVHDLPRSNRVHKSYSTDSRTNATVTRNGIPIGPRAASSWLYIYPRGIRDLLLYTKTKYDNPVIFITENGETPFYFLKPLFKRYSEFFRIPGVSELDKTTSSLEEALEDKMRIDYHRKHLSFVQEAIREGVDVRGYFAWSLLDNFEWFAGYTVRFGINYVDYKDDLKRYPKSSSLWFRKFLRS >Ma07_p22060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30132790:30136221:-1 gene:Ma07_g22060 transcript:Ma07_t22060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKGGLLFFVFLLGSSSPCATSAELGRSGFPRGFVFGTASSAYQYEGASREGDKGPSIWDNFTHMHPEKIADRSNGDVAVDSYHRYKEDVAIMKDMGLDAYRFSISWPRILPNGRLSGGVNREGIKYYNSLIDELIAKGLQPFVTLFHWDSPQALEDRYGGFLSYHVVGDFRDYAEICFREFGDRVKHWITFNEPWSYSAGGYAMGILAPGRCSRWEEPGCGAGNSGREPYVVAHHQLLAHAAAVAVYRRKYQSFQKGKIGITLVSNWMVPYSNSKSNTDAVERALDFMYGWFMDALTKGDYPFNMRALVKDRLPKFTRKQSRLVKGSFDFIGLNYYTARYVHDLPRSNRVHKSYSTDSRTNATVTRNGIPIGPRAASSWLYIYPRGIRDLLLYTKTKYDNPVIFITENGVSELDKTTSSLEEALEDKMRIDYHRKHLSFVQEAIREGVDVRGYFAWSLLDNFEWFAGYTVRFGINYVDYKDDLKRYPKSSSLWFRKFLRS >Ma01_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9359966:9368649:1 gene:Ma01_g12760 transcript:Ma01_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRQSDPAAGAASLTGVVRQVEAKLGLDLSHKAAFIRDQIELLLGPSRPPAPSHAHPPHSQLSASHNPYVLLHQLPLQQQIPPHQPASSAGVAAPVPFPHQHHPGVAFQYPPPPPLPAAAVVAAYHLQQQLHQAPQGVTTAVRPAPVTGAVGAPKESAPPRAKRRGGPGGLNKVCGVSPELQPIVGEAAMSRTQIVKQLWAYIRQNNLQDPNNKRKIICNDELRRVFETDSTDMFKMNKLLAKHIIPLDDPKDTGPEPKKLKAADVAATEVTEPASDEYPLFISDALVKFFGSDEREMLQSEALSRIWDYIKANQLEDSSNTSILCDSKLQELFGCESLPISGISDMLANHLFKKS >Ma05_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28152156:28156313:1 gene:Ma05_g19640 transcript:Ma05_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMRFLRSCCSWLAGPSLTVGKGRNSQGRIRVSYGFSLLKGAAAHPIEDYHVAKFIHIRGQELGLFAVFDGHLGDSVPAYLEKHLFANIINEEEFWTHPDIAIRRAYEKTDNEILSQSRDLGRGGSTAVTAVLVNGTKLWIANIGDSRAVLAKSGEIIQMSTDHEPDSERERIETRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTLLSSDPDIQVEDTSSETELLILASDGLWKVMSNEEAVNLARKIKNPLAAAKQLTLEAFNRDSKDDISCIVVRFKG >Ma10_p19390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29965289:29973256:-1 gene:Ma10_g19390 transcript:Ma10_t19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQQPSTPGKRWPNLMPLFVGLVVVAEIAFLGRLDVVKNVATVHHWASTFHFPSTSVALEDSFASVSSSSHGAVEDDGGEYSRCEDWLEREDTIRYSRDFTTYPIIVSGAENQDWSTCSVGCKFGSVAKRVPDATFKLPQQPSTAVVHRSMESSKYYIENNINVARRRGYNILMTTSLSSDVPVGYFSWAEYDIMAPIQPKNETALAAAFISNCVAHNFRLQALEMLEKLGIKIDSYGVCHQNRDGKVDKVEALKRYKFSFAFENSNEEDYVTEKFFQSLVAGAIPVVVGAPNIQDFAPSPGSVLHIKELDDVESVAKTMKFLATNPDAFNKSVSWKYDGPSDAFKALVDMAAVHSSCRLCIFLATKIREKEEMALQFQKRPCKCTTRSGTVYHLYVRERGRFHMESIFLRSGRLTLRELESAVLSKFQSVNHTPLWKKERPESLRGDNLKIYRIYPVGLTQRQALYSFRFDTDADLGKHVESNPCAKFEVIFV >Ma10_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29965289:29973256:-1 gene:Ma10_g19390 transcript:Ma10_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQQPSTPGKRWPNLMPLFVGLVVVAEIAFLGRLDVVKNVATVHHWASTFHFPSTSVALEDSFASVSSSSHGAVEDDGGEYSRCEDWLEREDTIRYSRDFTTYPIIVSGAENQDWSTCSVGCKFGSVAKRVPDATFKLPQQPSTAVVHRSMESSKYYIENNINVARRRGYNILMTTSLSSDVPALEMLEKLGIKIDSYGVCHQNRDGKVDKVEALKRYKFSFAFENSNEEDYVTEKFFQSLVAGAIPVVVGAPNIQDFAPSPGSVLHIKELDDVESVAKTMKFLATNPDAFNKSVSWKYDGPSDAFKALVDMAAVHSSCRLCIFLATKIREKEEMALQFQKRPCKCTTRSGTVYHLYVRERGRFHMESIFLRSGRLTLRELESAVLSKFQSVNHTPLWKKERPESLRGDNLKIYRIYPVGLTQRQALYSFRFDTDADLGKHVESNPCAKFEVIFV >Ma00_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46270934:46271148:1 gene:Ma00_g05390 transcript:Ma00_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRSYLSKLNFRKKKKKKKIWRKHQAPWLITIGTQKNYAIRDTLCL >Ma06_p08880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6214375:6218088:1 gene:Ma06_g08880 transcript:Ma06_t08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSADVSIPVPSEFGTFSRLRTMQFVGTERPWLNLYGNRIRPVAPFGSVSSTPFTDPSLIHRCLPDELLLEVFSRMNPYTLGRAACVCRKWRYAIRNPNLWRNACLKIWQASGVETNYRIVHSLYDGSWRKMWVWRPRIRNDGLYVSRNTYIRTGVAEWKVTNPVHVVCYYRYLRFYPSGKFLYKISSQKVKDVVKCMNRASKADCVFKGDYILTEDQVETALLYPGLRYTLLRMRLRLRGTTLGANNRLDVLKLVTTGVNESEVRNHGDDMLGIVEGWEEDETHDPDVPAISHGRGLTPFVFVSFEEAETSVLNLPVDKMDYFVPG >Ma06_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6213094:6218088:1 gene:Ma06_g08880 transcript:Ma06_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVSIPVPSEFGTFSRLRTMQFVGTERPWLNLYGNRIRPVAPFGSVSSTPFTDPSLIHRCLPDELLLEVFSRMNPYTLGRAACVCRKWRYAIRNPNLWRNACLKIWQASGVETNYRIVHSLYDGSWRKMWVWRPRIRNDGLYVSRNTYIRTGVAEWKVTNPVHVVCYYRYLRFYPSGKFLYKISSQKVKDVVKCMNRASKADCVFKGDYILTEDQVETALLYPGLRYTLLRMRLRLRGTTLGANNRLDVLKLVTTGVNESEVRNHGDDMLGIVEGWEEDETHDPDVPAISHGRGLTPFVFVSFEEAETSVLNLPVDKMDYFVPG >Ma00_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4646323:4652875:1 gene:Ma00_g01170 transcript:Ma00_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MMIRGVAVLPRSPSSPSPLPPIVFFSSSLSFPNATVTRCSLAPPDTVDASASGGGAGDDLLSARERRQLRNERRESRAGGVGWREEVEERLLHRPKNQRKKAASWTEELNLDNLARLGPQWWVVRVSRVTGHEIADRLARSLARNYPTVEFKVYYPAVREQRKLKNGSDSVKLKPLFPGCVFLNCVLNKEIHDFIREIDGIGGFVGSKVGNTKRQINKPKPVPMEEMEAIFQQAKKEQDNAVKQSKDQQQQNVLNGSVDSIKSATKTKPSNSKKGSRYSESSLVHLEDHKSLAPGSNVRILSGPFSEFTGCIKEVNLGSGKVTVSLQLFGKDNYVDLAIDQIVLEAS >Ma05_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8026970:8029266:1 gene:Ma05_g11070 transcript:Ma05_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSPSVGVLGGGSGGAGGGGGGGSGGGGSAGGGTGPCGACKFLRRKCVTGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPAHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELSYLQAHLATLELPSPPPPPPPPSLVASMPFSISDLPSAANLPSTVDLSALFDPLVQPLWPLQQQQLQLSTSTRNLSESSGFGGGDLQALARELLDRQRTATSEPPPVSK >Ma10_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33320242:33323506:1 gene:Ma10_g24980 transcript:Ma10_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYGGDSWAREAHHRKRRVDDLMLSSSSSSFRDASFPSFKKLSNGKYACLVCPHNPILDTPLMLSVHNKGSRHIAAESRVRASELSKRNELHKRIALSDDSTGLSSSDILVQQGKSSGFHNKPLIQKTMKAILETKSNQMENPKFVVAGHKTELRTRSSVCDSKHSPAADGIREPSGNDACESSNSNEKKLLMTAATNKMLTDWHSEFSERREKELKFTSAGWKRDCHGKWYRDENVEFDSDEEDPNITLR >Ma01_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6768871:6769227:-1 gene:Ma01_g09370 transcript:Ma01_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT4G34870) UniProtKB/TrEMBL;Acc:A0A178UWH6] MATVNRRPRVFFDITINDAPVGRIVMELYSDVVPKTAGNCRALCTGERGVERSGKPLHYKGTRFLRVTPGFMCQGRDVAHNDGTGMESIYGGKFADENFTTKHRLVSVANGGRDMNGS >Ma08_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32680522:32686195:-1 gene:Ma08_g18920 transcript:Ma08_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQGSGGGVGQSMVLAPPASPPNPVALAQARIKELETGFRAWLAKQSMAVEAAVVTATNAAQGAAIGGLLGTLTSDVSSALPASTPNAAGLNPEAIASLKNAQALAGGPLVQARNFAVMAGANAGISSVMKRIRGVEDVQSSMVAAFGSGALFSLVSGMGGPNQAANAVTSGLFFALFQGGLFMVGQKFSSPPAEEVYYSQTRGMLTCLGLQKYEKNFKKGLLTDSTLPLLTDSALRDVNIPPGPRLLILDHIHSDPELTKGR >Ma01_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3713501:3714494:1 gene:Ma01_g05290 transcript:Ma01_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKLVVDVSYSTARLLPAKEELLSSPLCVHLLSYVGCDLPCPQTPQNHPRPSGGEESSSATRVSSPRRRRGSEGGRWRRPGSSSSPASGAARLPGSGCAPWEGAWTAVSPPGSRSSRMRKISSRRAGASGSSSKCRKESPWRSRAKSPKSCHLYLQRL >Ma02_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24500140:24501303:1 gene:Ma02_g17680 transcript:Ma02_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWNCRSCQHLNFSRRDSCQRCGDPRMSVDRSEYAAFGGRGGPSFGFSGSDVRPGDWYCSCGAHNFASRSSCFKCGALKDDSAVGGGGGGCGFDGDVPRSRAFGFGGGRAGWKSGDWICNRSGCNEHNFASRMECFRCSAPRDSGTEV >Ma11_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24222007:24223518:-1 gene:Ma11_g19320 transcript:Ma11_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEELALLEAVRHHLLVDVDDSNPDPKVSSSARAYRRSSSFGSLVADLWSDGLPFRLDDSDDMVVYGALRDAFHHGWLPSGVKPEPPAEEEGVLPPPLAVQVGQQQKQAPLRAAPAKGKHYRGVRQRPWGKYAAEIRDPARNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRIGSEEAAARATSPVPSPSKRASPEPSSSYSSSTSSSSYSSSASYSSSSSSPKRRKRGEATDAAAPTSPSTAPVLCPVPVAVQGQTGLGFSNRPEIFPAGPVAQLPHAGQLLVT >Ma03_p28090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31252924:31256601:-1 gene:Ma03_g28090 transcript:Ma03_t28090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFTFPARSQSTPPDTRPSSPGHWRSKASSSANTEKLSTFDSSHFGRSNSSFASADGELREGRILEVPNLRVFTFAELKTATGNFKHDKVLGEGGFGIVYKGWVDEKTLNPAKSGLGMVVAVKKLNHESVQGLEQWQSEVDFLGRLSHPNLVKLLGYCWEDVELLLVYEYMAKGSLENHLFRRGATFEPLSWSLRLKIAIDAAHGLAFLHASEKKVIYRDFKASNILLDSNYNAKLSDFGLAKHGPTDGDSHVTTRVMGTFGYAAPEYVATGHLYVKSDVYGFGVVLLEMLAGQRALDSNRPSNQHNLVAYAKPLLADRRKLGRLMDPRLEGRYPAKGALQAAQLTLKCLANDPRSRPSMKEVVETLEQIEATKGRSKAANNVSRQTVAGSRSHLSSCNHSPLHPKHEIQETDGGTSFS >Ma03_p28090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31252924:31256176:-1 gene:Ma03_g28090 transcript:Ma03_t28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCNLAWFGHWRSKASSSANTEKLSTFDSSHFGRSNSSFASADGELREGRILEVPNLRVFTFAELKTATGNFKHDKVLGEGGFGIVYKGWVDEKTLNPAKSGLGMVVAVKKLNHESVQGLEQWQSEVDFLGRLSHPNLVKLLGYCWEDVELLLVYEYMAKGSLENHLFRRGATFEPLSWSLRLKIAIDAAHGLAFLHASEKKVIYRDFKASNILLDSNYNAKLSDFGLAKHGPTDGDSHVTTRVMGTFGYAAPEYVATGHLYVKSDVYGFGVVLLEMLAGQRALDSNRPSNQHNLVAYAKPLLADRRKLGRLMDPRLEGRYPAKGALQAAQLTLKCLANDPRSRPSMKEVVETLEQIEATKGRSKAANNVSRQTVAGSRSHLSSCNHSPLHPKHEIQETDGGTSFS >Ma01_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6613402:6623341:-1 gene:Ma01_g09170 transcript:Ma01_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRSGEEDQPSGLPANPFSFCADGHIRERPLRVSFLGFSGDLARAREAAPISLMNPLQRAIEKERIREEILREMTARRILEEEVRRELEVELATARAHTERLRDQKKFASVASDPKRRGEHGRCLLTVPPEAGSDEVGTLGAPPMITEKKDSQMPALDLDQNSNNSTSYSEVQPSDDMISEKKRKVAANATPNKAPRLATKDWGCALCRVTATGEMALNEHLQGKRHKAKVAALQAVKTGAKMKVDGKMHQVLQKGTFLWCEHCNVKCNSHIMMTTHLSGKKHRALMKLLAKASSLAGATIQTCDREIKEEAAKKDNVEIEKESIVKKEKEDDQLPNITETAATGEEGDEQAKDRPVSITETAATGGGKAASC >Ma01_p09170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6613402:6623341:-1 gene:Ma01_g09170 transcript:Ma01_t09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRSGEEDQPSGLPANPFSFCADGHIRERPLRVSFLGFSGDLARAREAAPISLMNPLQRAIEKERIREEILREMTARRILEEEVRRELEVELATARAHTERLRDQKKFASVASDPKRRGEHGRCLLTVPPEAGSDEVGTLGAPPMITEKKDSQMPALDLDQNSNNSTSYSEVQPSDDMISEKKRKVAANATPNKAPRLATKDWGCALCRVTATGEMALNEHLQGKRHKAKVAALQAVKTGAKMKGGNTCSQPDMDVANEEGSPNNEPKIVNIAVDGKMHQVLQKGTFLWCEHCNVKCNSHIMMTTHLSGKKHRALMKLLAKASSLAGATIQTCDREIKEEAAKKDNVEIEKESIVKKEKEDDQLPNITETAATGEEGDEQAKDRPVSITETAATGGGKAASC >Ma09_p15800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11125980:11137636:-1 gene:Ma09_g15800 transcript:Ma09_t15800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAFGSHGSVQILWNSHSSIDKPNWIRHSNSAWKRIIFPKAWVSQAQKGSLLKRLKASERTADLTSNVAASENSSQGPLEKKAVWKSTFPNGFEELVLTVCDETSIAELSMKVGNFEMHLKRDIGISEALTSTISTIVSPTTAPPIPSEPMCVSTIAPAQQDVPKEPVLPETSPFSDIYSSKALKLAALGASSSNAYVLISSPSVGTFRIGTTLKGKKQPPCCEVGDMIKEGQAIGFLDQFGNELPIRSNVAGEVLKILCKDGEAVGYGDALIAVLPFFAGIE >Ma09_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11125980:11137636:-1 gene:Ma09_g15800 transcript:Ma09_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAFGSHGSVQILWNSHSSIDKPNWIRHSNSAWKRIIFPKAWVSQAQKGSLLKRLKASERTADLTSNVAASENSSQGPLEKKAVWKSTFPNGFEELVLTVCDETSIAELSMKVGTFRIGTTLKGKKQPPCCEVGDMIKEGQAIGFLDQFGNELPIRSNVAGEVLKILCKDGEAVGYGDALIAVLPFFAGIE >Ma09_p24360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36028754:36039153:1 gene:Ma09_g24360 transcript:Ma09_t24360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLALLLLFFCLISSTSGATRNASSRPTVVHVGAIFTFDSTIGSVAKVAIDAAEDDVNSDPSVLRGTRLQIIMRDTNCSGFLGMMEALQFMETDTVAIVGPQCTTIAHVISHVSNELHVPLLSFSATDPTLNALEYPYFVRTTQSDLFQMAAIAEIVDYYQWSQVIAVYVDDDHGRNGVAALGDKLAERRCKISYKAQVSPGATRSDVTDLLVKVALMESRVIVVHSNQEYGPMILSVAHYLEMMTNGYVWITTDWLSSLLDSRGPLASSTMETMQGVLTLRQHTADSKKKRDFISRWRNLIGKENIGDFRLNSYGLYAYDTVWMLAKALDAFFDDGGIISFSDDKSLHDAQGGTLHLEAMSIFDGGQLLLDKVRKSNFSGITGLLRFDSDGNLVHPAFDIINVIGTGSRTVGFWSNYSGLSIVSPDTLYSKPLNVSPGSDRIYSVIWPGETTTKPRGWVFPNNGKELKIGVPDRVSYKEFVSKSPTTGTVKGYCIDVFTAAVNLLPYAVPFKLIPFGNGHANPSYFELTNMVATGAFDAAVGDIAIVTNRTKIVDFTQPYIESGLVIVAPIRKHKSNAWAFLQPFTLEMWCVTGLSFLVIGAAVWILEHRINDEFRGPPRQQIVTVFWFSFSTLFFAHRENTVSTLGRVVLIIWLFVVLIIQSSYTASLTSILTVQQLSSPIKGIDSLIASDELIGFQVGSFAENYMVEELSIPRSRLKALGSPEEYAEALELGPENGGVAAIVDERAYVELFLSTNCKFAIIGSEFTKSGWGFVFPRDSPLAVDMSTAILTLSENGDLQRIHDKWFTRAACISETDEIDSERLHLSSFWGLFLICGMSCFLALLIYFIIMIRQFVQLPPPDDQGPSSDQQTPRSSRRLQKFLSFVDDKVEDAKNRSKRGQMEKPTNNVNADIESYD >Ma09_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36028758:36039153:1 gene:Ma09_g24360 transcript:Ma09_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLALLLLFFCLISSTSGATRNASSRPTVVHVGAIFTFDSTIGSVAKVAIDAAEDDVNSDPSVLRGTRLQIIMRDTNCSGFLGMMEALQFMETDTVAIVGPQCTTIAHVISHVSNELHVPLLSFSATDPTLNALEYPYFVRTTQSDLFQMAAIAEIVDYYQWSQVIAVYVDDDHGRNGVAALGDKLAERRCKISYKAQVSPGATRSDVTDLLVKVALMESRVIVVHSNQEYGPMILSVAHYLEMMTNGYVWITTDWLSSLLDSRGPLASSTMETMQGVLTLRQHTADSKKKRDFISRWRNLIGKENIGDFRLNSYGLYAYDTVWMLAKALDAFFDDGGIISFSDDKSLHDAQGGTLHLEAMSIFDGGQLLLDKVRKSNFSGITGLLRFDSDGNLVHPAFDIINVIGTGSRTVGFWSNYSGLSIVSPDTLYSKPLNVSPGSDRIYSVIWPGETTTKPRGWVFPNNGKELKIGVPDRVSYKEFVSKSPTTGTVKGYCIDVFTAAVNLLPYAVPFKLIPFGNGHANPSYFELTNMVATGAFDAAVGDIAIVTNRTKIVDFTQPYIESGLVIVAPIRKHKSNAWAFLQPFTLEMWCVTGLSFLVIGAAVWILEHRINDEFRGPPRQQIVTVFWFSFSTLFFAHRENTVSTLGRVVLIIWLFVVLIIQSSYTASLTSILTVQQLSSPIKGIDSLIASDELIGFQVGSFAENYMVEELSIPRSRLKALGSPEEYAEALELGPENGGVAAIVDERAYVELFLSTNCKFAIIGSEFTKSGWGFVFPRDSPLAVDMSTAILTLSENGDLQRIHDKWFTRAACISETDEIDSERLHLSSFWGLFLICGMSCFLALLIYFIIMIRQFVQLPPPDDQGPSSDQQTPRSSRRLQKFLSFVDDKVEDAKNRSKRGQMEKPTNNVNADIESYD >Ma09_p24360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36028758:36039153:1 gene:Ma09_g24360 transcript:Ma09_t24360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLALLLLFFCLISSTSGATRNASSRPTVVHVGAIFTFDSTIGSVAKVAIDAAEDDVNSDPSVLRGTRLQIIMRDTNCSGFLGMMEALQFMETDTVAIVGPQCTTIAHVISHVSNELHVPLLSFSATDPTLNALEYPYFVRTTQSDLFQMAAIAEIVDYYQWSQVIAVYVDDDHGRNGVAALGDKLAERRCKISYKAQVSPGATRSDVTDLLVKVALMESRVIVVHSNQEYGPMILSVAHYLEMMTNGYVWITTDWLSSLLDSRGPLASSTMETMQGVLTLRQHTADSKKKRDFISRWRNLIGKENIGDFRLNSYGLYAYDTVWMLAKALDAFFDDGGIISFSDDKSLHDAQGGTLHLEAMSIFDGGQLLLDKVRKSNFSGITGLLRFDSDGNLVHPAFDIINVIGTGSRTVGFWSNYSGLSIVSPDTLYSKPLNVSPGSDRIYSVIWPGETTTKPRGWVFPNNGKELKIGVPDRVSYKEFVSKSPTTGTVKGYCIDVFTAAVNLLPYAVPFKLIPFGNGHANPSYFELTNMVATGAFDAAVGDIAIVTNRTKIVDFTQPYIESGLVIVAPIRKHKSNAWAFLQPFTLEMWCVTGLSFLVIGAAVWILEHRINDEFRGPPRQQIVTVFWFSFSTLFFAHRENTVSTLGRVVLIIWLFVVLIIQSSYTASLTSILTVQQLSSPIKGIDSLIASDELIGFQVGSFAENYMVEELSIPRSRLKALGSPEEYAEALELGPENGGVAAIVDERAYVELFLSTNCKFAIIGSEFTKSGWGFVFPRDSPLAVDMSTAILTLSENGDLQRIHDKWFTRAACISETDEIDSERLHLSSFWGLFLICGMSCFLALLIYFIIMIRQFVQLPPPDDQGPSSDQQTPRSSRRLQKFLSFVDDKVEDAKNRSKRGQMEKPTNNVNADIESYD >Ma08_p34030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44140885:44147060:-1 gene:Ma08_g34030 transcript:Ma08_t34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSKGYIGEIFASNREIRNDWTNGSEGNEDFEWDSDDEATTGDVVPSSESLACPPGEGCETGPSRSAMVCHFMGMGFSEDMIMKAIKENGEENSEAILEALLTYMSIGTSPSEYDSIPRDLSVLSNKDEAHDNPYDRVASVDEELFEDFPAEDRKLLILVEMGFPTNDASAAIARCGPNASILELADSIHAAQLANKLDDNLGVSTVSSLVEDERQSNCPRGAESRFAEVTRSKRRCTSTEDEDSVISFSKPMVGFSLPNQRMYMVPRKISEAAIGPPYFYYENVALAPKGVWETMSRFLYDIKPEFVDSKYFCAAVRKRGYIHNLPIHNRFPVLPIPPRTIHEVFPATKKWWPSWDLRKQFNCLLTCISSSRLTERIRRELVQYDDPPPLQVRQFVLNECRRWNLIWVGQHKVAPLEPDELEILLGYPRNHTRGGGMSRTERYRSLGNSFQVDTVAYHLSTLKNLFPDGLKVLSLFSGIGGAEVALHRLGVHMKVVVSVEISKVNRDILRSWWQETGQSGMLIQFVDVQELNPHKMEHLVNRFGGFDLVIGGSPCNNLTGSNRYTRIGLEGIHSSLFFDYWRILDLVKCLMGRTS >Ma11_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2310770:2313173:1 gene:Ma11_g03140 transcript:Ma11_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTARWLRGLLPRKKADPREPPLEQKDKRRWGFVKSFREKELRRGEPPPPTPLEASPAVSEERKGSYRETPRSYVMVAEGRQNERAIAVAAATAAAAEATMAAAQAVAVVARLTSSRLTVVGLAGGKREEWAAVKIQSALRGYLARRALRALRGLVKLQALVRGNIVRKQAAQTLRCMEALVRVQARARACRALRSERSSSDKCPPPRAGPPTPEKYERTVRANASNSDRSCALKRIPSNNAGNIAAWNMFHRWMEERYWNSREAAAKKAGSSASMDDERSSKILEVEDPVKTQLTHERNNHHQCACSTLTPDRKSHSFTAVQDSPLKDFSTLQQSVRSPPSVAMQRCLSSMRFPFESVDFVASPQFLYTSSRRGDARKGCFTPSKNECARSLFDGSADHPNYMANTESSRAKARSQSAPKQRPENDKSGSGSLQRSSALHAKLANRAYTGAGRLDSLGMPPGI >Ma07_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2574021:2575713:-1 gene:Ma07_g03340 transcript:Ma07_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSLHIVMLPWLAFGHLLPFMELSKRMAQHGHRVSLLVTKRNIQRLPRVPPHLSSLLQFVELPMPSIEHLPENAEASIDLPSDELRPYLRQAFDTFQHQLSSFLRQTLPRVPDWILFDYAAYWAPRVAAEFGVPCAYLGLFNAAVLSFFGPSASLMGCEGARETPEQFMELPEWVPFESTVFFKPYEARELFKPGVLPDASGVSEAYRFGRTLEDCQLISVRSCPEFEPDWFHLLGKLHKRPVIPVGFFPPSPQESDTDEESDRRWRSIFQWLAKQKPESVVYVAFGSEVKLTSSQVHEIALGLEGSQLPFVWALRAPADSHGSPAALPEGFEERTQGRGLVCLGWVPQARLLAHPSMGGFLTHAGWNSIVEGLALGLPVVLMPLMFDQGLNARNLVERKISVEVPRNEEDGSFTGEGIATTLRLVMVEEQGQPLRAKAKEYREVFGDEKLNDRHVWEFLKYLIEHRRQQA >Ma09_p12270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8284293:8287795:-1 gene:Ma09_g12270 transcript:Ma09_t12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSESEPAKVLLPYLQRADELQKHEPIVTYYCRLYAMDRGLKIPKKERTKTTDAILVSLMNQLEKDKKSLKLGPDDNLYVEGFALNLFAKADKQDRAGRADLNTARTFYAASIFFEILNQFGKLHPEIEQKQKYAVWKAVDIRKALKEGRKPEPGPPGGDDVSTSPRSTCDLGPSESFPSSQPGGDTSSPHINESLGRSKGFQNSHGGADISSQHSEIINTDFSSQAPSTTYATTEHPTNGLHQFPPTNRPEYTVYPRHYDPRSYPNEQQPVPQNYHSPKNPSPNYCYPNFQSCPSYHDSTFPAAPTHQPAFHHGPDVASSHHSAPSFPNYPSTVPFSGNGNGNVNHAVPPAPSVDNYKYDSNYQPSVEKIGEAHKAARFAVGALGFDDVPVAVDFLRRSLELLTNPSAEIH >Ma08_p29450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41031386:41036481:1 gene:Ma08_g29450 transcript:Ma08_t29450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPESDCGFVNESEPLFHCNQCDKELVRKIAQLLLPGLATACVDNTTGLFKSPASVAVVTRKEMVDYLKQRSQMYVTEAVGQGDDIANMVEEFSDEPTEIISGFVDDFASSKRNLFSRVSGWLSNESREEKIDDFVQEMETNVFWSIDRREAIAEILIRNVDLKRAFHCSKKFDSAQQLAEHETQCSFRILGCTNEGCKAKFSAIHAEKHDSECPFKVIPCEQKCSERIVRHDMDRHCITICPMKLVNCPFYQVGCHSAFPLCNLEKHCSECLRSHLLYVLQVLHKQEASVEELRRRVQLLEKSHTLSELSEALDVRSLTLVIKEQEAKMKKLEHDLSNIRDNQKLAKNVK >Ma08_p29450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41031386:41036481:1 gene:Ma08_g29450 transcript:Ma08_t29450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPESDCGFVNESEPLFHCNQCDKELVRKIAQLLLPGLATACVDNTTGLFKSPASVAVVTRKEMVDYLKQRSQMYVTEAVGQGDDIANMVEEFSDEPTEIISGFVDDFASSKRNLFSRVSGWLSNESREEKIDDFVQEMETNVFWSIDRREAIAEILIRNVDLKRAFHCSKKFDSAQQLAEHETQCSFRILGCTNEGCKAKFSAIHAEKHDSECPFKVIPCEQKCSERIVRHDMDRHCITICPMKLVNCPFYQVGCHSAFPLCNLEKHCSECLRSHLLYVLQVLHKQEASVEELRRRVQLLEKSHTLSELSEALDVRSLTLVIKEQEAKMKKLEHDLSNIRDNQKLAKNVK >Ma08_p29450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41031385:41036481:1 gene:Ma08_g29450 transcript:Ma08_t29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPESDCGFVNESEPLFHCNQCDKELVRKIAQLLLPGLATACVDNTTGLFKSPASVAVVTRKEMVDYLKQRSQMYVTEAVGQGDDIANMVEEFSDEPTEIISGFVDDFASSKRNLFSRVSGWLSNESREEKIDDFVQEMETNVFWSIDRREAIAEILIRNVDLKRAFHCSKKFDSAQQLAEHETQCSFRILGCTNEGCKAKFSAIHAEKHDSECPFKVIPCEQKCSERIVRHDMDRHCITICPMKLVNCPFYQVGCHSAFPLCNLEKHCSECLRSHLLYVLQVLHKQEASVEELRRRVQLLEKSHTLSELSEALDVRSLTLVIKEQEAKMKKLEHDLSNIRDNQKLAKNVK >Ma08_p29450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41031373:41036481:1 gene:Ma08_g29450 transcript:Ma08_t29450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPESDCGFVNESEPLFHCNQCDKELVRKIAQLLLPGLATACVDNTTGLFKSPASVAVVTRKEMVDYLKQRSQMYVTEAVGQGDDIANMVEEFSDEPTEIISGFVDDFASSKRNLFSRVSGWLSNESREEKIDDFVQEMETNVFWSIDRREAIAEILIRNVDLKRAFHCSKKFDSAQQLAEHETQCSFRILGCTNEGCKAKFSAIHAEKHDSECPFKVIPCEQKCSERIVRHDMDRHCITICPMKLVNCPFYQVGCHSAFPLCNLEKHCSECLRSHLLYVLQVLHKQEASVEELRRRVQLLEKSHTLSELSEALDVRSLTLVIKEQEAKMKKLEHDLSNIRDNQKLAKNVK >Ma09_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8018197:8020991:-1 gene:Ma09_g11830 transcript:Ma09_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWQFSCLGRGCGASSPSTSPEANATADLTAEELRRRCPVLVELFSSQGCGTSPEAEAVLSRLGRGELREDLPPVAVLGFHVEYWDYRGWRDPFGSSIWTVRQKAYVESLRLDTLYTPQVVVHGRAQCIGTDIDAIASAVRSALRFPSPTMQATFQKPAPETLQVAFTGALRSTVDGSGADVMVALYQSSLITNCDKGENKGRVLPNDYVVRQLEKLVSVKDISAKKNLSGSVQFTLWEGFNSAKCGLILFVQNSSLQIFGVQHFQIPETI >Ma07_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6384992:6385864:1 gene:Ma07_g08560 transcript:Ma07_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSELTKDVLGMIHDELSICDYVRFKAVCKHWNLVNKFEDQCRLRPQAPWLMFSGDDNSTAKFFSIVEKKIYKIQCPQPMIGKRIYVGSCHGWLVTLDGRCNMHLLNPLTGAQIRLPSVLTLPFIRDIYNLEGQITNFNVEQDNNHYSLWLKFIRKVVISKAPDADNDFTIMMIYSHWCKLAFARAGDKAWTPIISPYYYSDIIYRNAKFYTINYRQMIEVWEADELVFSIINLDLPPDVLLRGICYLVESLDGNLMMVQKEQNKWSPTDNPKNIMCTVFFLDEQTYK >Ma03_p28420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31532112:31537275:1 gene:Ma03_g28420 transcript:Ma03_t28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVEGSPTPGTLPPQVSASVNGVAFVGTLTGQLFFGWLGDKMGRKQVYGMTLMLMVLCSVASGLSFGNNAKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILTGGMVAIIVATAFKDRFPAPAYAVDPVASTVPEADYVWRMILMFGSVPAGLTYYWRTKMPETARYTALVAKDAKRAAADMSKVLHVEVEEEQEKVEQITGAQANAFGLFSMEFLRRHGVHLLGTTTCWFLLDIAFYSQNLFQKDIFSAIGWIPKPATMNALEEVFRIAKAQTLIALCGTVPGYWFTVALIDVMGRFVIQFVGFLMMTLFMLGLAIPYYHWITKGNQIGFIVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKLGAIVGSFGFLYLAQNQDPAKTDHGYPAGIGVRNSLFLLAACNFLGLLCTLLVPESKGKSLEEMSGENEGDEPNSHNQAHNSLSMPSEYSSWFKVVALCIGLPH >Ma09_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1794652:1796943:1 gene:Ma09_g02540 transcript:Ma09_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MSVLLRSFSSTAAATAVAASIFSSSSSSSALSVAALLISCRSLRSLEQIHARIIRKGLEQHPVLATRFLSFCAAFSAPAYASSAFDRLSAPNLIVWNALLTAHARHSPLPTTVALFNLLRRRSPLPPDAFTFPSLLSSCSHSVALSLGSSVHATILRCGLEADVFVRTALIDFYGKCRELGAARKLFDSMRHRNEVSWTAMIVGYLSSGDLASARSLFDEMPKRNVVTWNAMIDGYVKSGDLVSARHFFDKMPERNAVSYTSLIDGYAKAGDLASARVLFEQLPERDVFSWSAMISGYAQNGQPGAALKIFLEMYNQNIKPDEFVVVGLMSACSQLGSLTLAKWVDLYVTRSSIDLSKAHVLAALIDMNSKCGNMERAASLFESMPNKDLISYCSLMQGYSLHGAGDKAVGLFRQMLEEGLMPDSITFTVVLAACSRAGLVEEGRQYFDLMTNEHLIVPSPDHYACMVDLLGRAGRLTDAYELIRSMPVEPHAGAWGALLGACRLHGDISLGETIARKLFEIEPTNAGNYVLLSNIYAVADRWADVSELRTMMRGKGIRKVPGYTWI >Ma03_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8891539:8892966:-1 gene:Ma03_g11440 transcript:Ma03_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMNLAILLLSVFLATSFALPSDFSIVGYSEEDLSSHDKLMELFESWMSKHSKSYASFEEKLRRFEVFEDNLKHIDETNKKGRSYWLGLNEFGDMSHEEFKAKYLGVSTDVPRRRSSSPSFRYENAADLPESVDWRKKGAVTPVKNQGRCGSCWAFSTVAAVEGINQIVTGNLTSLSEQQLIDCDTQNNGCNGGLMDYAFSFIASTVGLHTEDDYPYLMEEGTCEEQRADLEMVTISGYEDVPENSEESLLKALANQPVSVAIEASGRSFQFYSGGVFDGPCGSELDHGVAAVGYGSSEGQDYIIVKNSWGPRWGERGYIRMKRNTGKPEGLCGINMMASYPTKDD >Ma11_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:255253:257212:1 gene:Ma11_g00350 transcript:Ma11_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHSCCYKQKLRKGLWSPDEDEKLIKHISKHGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNRWSKIAVHLPGRTDNEIKNLWNSCIKKKLRQRGIDPITHEPLAEVDGSDDKFPINSEKNNSGSSGLQIPANAKLTMHVGKSVDESTASKSSSTPTKEFLLDQLLATHESPSTCRSSKPTSYFSLPLLSFAPDYTSGQTTSAAPPICSKPLLWSNQTARQLDANPGLSCNAMPYILSTLAVDPPICEGDDSVSNWYSGNCSNSRRSSAVNDSGGVMLQSSCSYDSGIFPWSELTPDKDVQVQLGGEAEDLRWSEYLHGAFPVSSAMPTQSQPLFGDKIKSGGQFTFHGFSTWHQTQQLQPQLPPSDTSGKDFQSVSAGFE >Ma10_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4490527:4494489:1 gene:Ma10_g01340 transcript:Ma10_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEIKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKEDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKITKAAAKKK >Ma02_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22683008:22688177:-1 gene:Ma02_g14730 transcript:Ma02_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHHRNGFHQRPHEYEMEDYEEYEEEASEPDEEELEAPKSTKDEQDFLKLREQLKARFRQKLKKQSAGALGRLSQTQDKRTATNDRFGSFFGPSQPSIAPRVIEESRSIRETKHIMTNYSSSSTNKRDPTSSVPRTSADHQNHQRPKIVNQIKNKAQTLKDMRDYSFLLSDDADLPTAKEQPKPRSASSATSGMHKPLDGRMAQSSLKSKVPTGKPAKLASAGHEQKKPALSNQHVQNRVGHVKEPTLNRPKSSSNDSQKVFGDVRNGPGRTTGNIALKGKVPNQSTITNRPPRSVTNAPSMKKNVPSAKTHSSAQNHYSEQKRLPPGMDRAKTTMKQPMSSSKAEPSKQNSSRGVHNDRLNQRPAKRKSSEEEDVDDYRRAIREMFGYNPNRYAGMDEDDSDMEVGFDVIQKEERISSKIARKEDEEELRLIEEEEERERRMRTKKKLKRS >Ma02_p14730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22683008:22688183:-1 gene:Ma02_g14730 transcript:Ma02_t14730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHHRNGFHQRPHEYEMEDYEEYEEEASEPDEEELEAPKSTKDEQDFLKLREQLKARFRQKLKKQSAGALGRLSQTQDKRTATNDRFGSFFGPSQPSIAPRVIEESRSIRETKHIMTNYSSSSTNKRDPTSSVPRTSADHQNHQRPKIVNQIKNKAQTLKDMRDYSFLLSDDADLPTAKEQPKPRSASSATSGMHKPLDGRMAQSSLKSKVPTGKPAKLASAGHEQKKPALSNQHVQNRVGHVKEPTLNRPKSSSNDSQKVFGDVRNGPGRTTGNIALKGKVPNQSTITNRPPRSVTNAPSMKKNVPSAKTHSSAQNHYSEQKRLPPGMDRAKTTMKQPMSSSKAEPSKQNSSRGVHNDRLNQRPAKRKSSEEEDVDDYRRAIREMFGYNPNRYAGMDEDDSDMEVGFDVIQKEERISSKIARKEDEEELRLIEEEEERERRMRTKKKLKRS >Ma02_p14730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22683008:22688177:-1 gene:Ma02_g14730 transcript:Ma02_t14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHHRNGFHQRPHEYEMEDYEEYEEEASEPDEEELEAPKSTKDEQDFLKLREQLKARFRQKLKKQSAGALGRLSQTQDKRTATNDRFGSFFGPSQPSIAPRVIEESRSIRETKHIMTNYSSSSTNKRDPTSSVPRTSADHQNHQRPKIVNQIKNKAQTLKDMRDYSFLLSDDADLPTAKEQPKPRSASSATSDGRMAQSSLKSKVPTGKPAKLASAGHEQKKPALSNQHVQNRVGHVKEPTLNRPKSSSNDSQKVFGDVRNGPGRTTGNIALKGKVPNQSTITNRPPRSVTNAPSMKKNVPSAKTHSSAQNHYSEQKRLPPGMDRAKTTMKQPMSSSKAEPSKQNSSRGVHNDRLNQRPAKRKSSEEEDVDDYRRAIREMFGYNPNRYAGMDEDDSDMEVGFDVIQKEERISSKIARKEDEEELRLIEEEEERERRMRTKKKLKRS >Ma02_p14730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22683008:22688157:-1 gene:Ma02_g14730 transcript:Ma02_t14730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHHRNGFHQRPHEYEMEDYEEYEEEASEPDEEELEAPKSTKDEQDFLKLREQLKARFRQKLKKQSAGALGRLSQTQDKRTATNDRFGSFFGPSQPSIAPRVIEESRSIRETKHIMTNYSSSSTNKRDPTSSVPRTSADHQNHQRPKIVNQIKNKAQTLKDMRDYSFLLSDDADLPTAKEQPKPRSASSATSGMHKPLDGRMAQSSLKSKVPTGKPAKLASAGHEQKKPALSNQHVQNRVGHVKEPTLNRPKSSSNDSQKVFGDVRNGPGRTTGNIALKGKVPNQSTITNRPPRSVTNAPSMKKNVPSAKTHSSAQNHYSEQKRLPPGMDRAKTTMKQPMSSSKAEPSKQNSSRGVHNDRLNQRPAKRKSSEEEDVDDYRRAIREMFGYNPNRYAGMDEDDSDMEVGFDVIQKEERISSKIARKEDEEELRLIEEEEERERRMRTKKKLKRS >Ma02_p14730.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22683008:22688175:-1 gene:Ma02_g14730 transcript:Ma02_t14730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHHRNGFHQRPHEYEMEDYEEYEEEASEPDEEELEAPKSTKDEQDFLKLREQLKARFRQKLKKQSAGALGRLSQTQDKRTATNDRFGSFFGPSQPSIAPRVIEESRSIRETKHIMTNYSSSSTNKRDPTSSVPRTSADHQNHQRPKIVNQIKNKAQTLKDMRDYSFLLSDDADLPTAKEQPKPRSASSATSGMHKPLDGRMAQSSLKSKVPTGKPAKLASAGHEQKKPALSNQHVQNRVGHVKEPTLNRPKSSSNDSQKVFGDVRNGPGRTTGNIALKGKVPNQSTITNRPPRSVTNAPSMKKNVPSAKTHSSAQNHYSEQKRLPPGMDRAKTTMKQPMSSSKAEPSKQNSSRGVHNDRLNQRPAKRKSSEEEDVDDYRRAIREMFGYNPNRYAGMDEDDSDMEVGFDVIQKEERISSKIARKEDEEELRLIEEEEERERRMRTKKKLKRS >Ma05_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7582949:7583686:-1 gene:Ma05_g10540 transcript:Ma05_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQRSVLSSDYDGETRAGAPKDAAESLVWLFQNVFCTSPDLVVRLLVLLSEFLAASSKREIPDAIVFDTAEAAASRCWFDVGILDEDEEARSYDGGRPDFKRRRAAYENMISSGLTTSLILSNYAQLLYQYEKDLDRAEYFFRWAVMQEPADAEAMSRYAFFLWRGRGDLEASEEMFLEALQADPESQHHGGSYALFLWSTGGLGTCYPLGDGGDADGEEP >mito1_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000012.1:95150:95347:-1 gene:mito1_g00020 transcript:mito1_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQPSLNGEIGRHAAQLLGSSARASRFESEWWHILLILENQSYLLSLRETKDPFMHVFSILDFH >Ma04_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23936652:23944585:1 gene:Ma04_g21380 transcript:Ma04_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MERLTSLIPDELKRVIGRSAPENLTMTSSLLLDFLRPLPQFQQVIRELTDPDLALCRKSKEAALGSKQKGNECFMKGDYVEALSFYSHALRHAPANSEMDVNLVATLYVNRASTMHKLGLLQECIRDCNRAISIFPAYVKAWYRRGKANASLKDYKHATHDLEVAVSMEDNPSRKSQIKGELSIVLSESSSSNEIGMVSNNGEDEKVDSLAQSKALVLQCVSSPHKGRGLTSAHDIPPASLVHHEEPLAAILLKSCRETHCHFCFDELPADILFCPSCTIPVYCSKNCQEQAFGKHDTYLSKTNLATDLEKHVMNAILANPTRSTGEDICSNHILEHRHECGGAHWSAVLPPDIVLAARLIVTSIEKCKASGTIFNPLDYLDFVHNYAQNPSVSKLELHVYAIVLLYCLHQYYNSDFPLSGASVAQVKVAQAIYSRGSLFNHSCQPNVHAYFLSRTLFVRSVEVVPAWCPLELSYGPQAGELDLQGRQKLLEEQYSFQCRCSSCSELNLSDLVMNSFRCVRPYCLGAVLEATHYKRLESNFLQVSNASGTFKLSLPLLSSKKDISDVARMLLHERGANSHIAAGHCMSCGSCCDLECSTAGSKSSLANIQRLKDSLDSDQIPDAFVSEMLSSLSHLRSVRHPYSKIVAEADDNVAEAFVRIGELKLAVQHCVASIEILEKLYGSNHIVMGHELMKLASIQLCTGDRTATLSSIDRVESIFLLYYGSHVDRIFPHLKVLRTEAERLAS >Ma04_p21380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23936652:23944585:1 gene:Ma04_g21380 transcript:Ma04_t21380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MERLTSLIPDELKRVIGRSAPENLTMTSSLLLDFLRPLPQFQQVIRELTDPDLALCRKSKEAALGSKQKGNECFMKGDYVEALSFYSHALRHAPANSEMDVNLVATLYVNRASTMHKLGLLQECIRDCNRAISIFPAYVKAWYRRGKANASLKDYKHATHDLEVAVSMEDNPSRKSQIKGELSIVLSESSSSNEIGMVSNNGEDEKVDSLAQSKALVLQCVSSPHKGRGLTSAHDIPPASLVHHEEPLAAILLKSCRETHCHFCFDELPADILFCPSCTIPVYCSKNCQEQAFGKHDTYLSKTNLATDLEKHVMNAILANPTRSTGEDICSNHILEHRHECGGAHWSAVLPPDIVLAARLIVTSIEKCKASGTIFNPLDYLDFVHNYAQNPSVSKLELHVYAIVLLYCLHQYYNSDFPLSGASVAQLILVISQIKVNSMAVIHMKSHNRDEAFGKCSKFFIFEEHITQDTKQVKVAQAIYSRGSLFNHSCQPNVHAYFLSRTLFVRSVEVVPAWCPLELSYGPQAGELDLQGRQKLLEEQYSFQCRCSSCSELNLSDLVMNSFRCVRPYCLGAVLEATHYKRLESNFLQVSNASGTFKLSLPLLSSKKDISDVARMLLHERGANSHIAAGHCMSCGSCCDLECSTAGSKSSLANIQRLKDSLDSDQIPDAFVSEMLSSLSHLRSVRHPYSKIVAEADDNVAEAFVRIGELKLAVQHCVASIEILEKLYGSNHIVMGHELMKLASIQLCTGDRTATLSSIDRVESIFLLYYGSHVDRIFPHLKVLRTEAERLAS >Ma08_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14623237:14624226:-1 gene:Ma08_g14700 transcript:Ma08_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELASPRSAVHRDSLHRQPPGPQFDDDNDSEFSFAVGDPDGTPSVTADDIFSDGHILPIYPHFGRGLLLSTSLSKENHEAAGPDPQGIPIRKLLIEEPSSRWGSISSSSSLEAEDLESSAARDHCPWIPRSAPQSPDRCRKSASTGTERRWRLRDLLSGRSHSDGKDKFLFLDAPPTPQQPLARGKSPRLRTAAAKGGKPRAAVDTVTANRTHYGKKVGNGQAVTAPRRSFLPYRQELLGLFTTRTRHPF >Ma04_p38420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35971780:35972626:1 gene:Ma04_g38420 transcript:Ma04_t38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALOG domain-containing nuclear protein, Transcriptional regulation, Specification of sterile lemma identit [Source: Projected from Oryza sativa (Os07g0139300)] MAAAGSGDGRPSRYESQKRRDWNTFLQYLKDHRPPLVLSRCSGAHVLEFLRHLDQFGKTKVHATGCPFFGLPSPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGHPEANPFGARAVRLYLREVRDSQAKARGIAYEKRKKKRKRSPLQPPVAVAEAPRCDLNSLDYDNMDVIQYLVTGTNMETMSGEADTGVVMAVMGTLDAAFLPLPVFH >Ma09_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3694904:3701154:-1 gene:Ma09_g05750 transcript:Ma09_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANPFDLLGDDDNDDPSQLIAAHQQKMAAKKPDAPASAAASPAAAKLPAKPVPPAQAVREAREARNNAAPARGGTGRGGFGRGGLGRGRGGRSGGLAPNRDFGNDNANGFSGGYGGGGEDVEADKVQEKERPPRQPFGGGRRGGFRGRGGYGNDESGGDSERSPRRLYERRSGTGRGYEMKRDGAGRGNWGTVADETLAQEKDEVLNFDDKMLVTEKQQEQEKTPATEESKENKEGTTNEAEEKEEDKEMTLEEYEKIREEKRKALLAMKAEERKVEIDKELQSMQQLSTKKENDPIFVKLGSDKDFGKKKENADRDERSKKSLSINEFLKPAEGGRYYSPGGRGRGRGRGDRGPLRGSYGGGGSSFAAAAAPSIEDPGQFPTLGGK >Ma06_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9411723:9416579:-1 gene:Ma06_g13750 transcript:Ma06_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT3 [Source:Projected from Arabidopsis thaliana (AT5G58290) UniProtKB/TrEMBL;Acc:A0A178UAH2] MAAMAVDAKPSPAAPPASIAASVSPSSSLPGDEDDDLYGRLKSLQRQLEFIEIQEEYVKDELKNLKREHLRAQEEVKRIQSVPLVIGQFMEMVDQNNAIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGYDTQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >Ma07_p07930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5890514:5895329:1 gene:Ma07_g07930 transcript:Ma07_t07930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSEGGATTAVKRRGCSCTKDDFFPEESFKSWANYGRALRETGKRLRDRVTARSLEQSELHEVRLRSGHDMKKNLTWWDLIWFGIGAVIGSGIFVLTGQEAREDVGPAVVLSYVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARSWTSYFSTLLNHEANDFRIHATALADGYNRLDPIAVAVICIICLVAALSTKGTSRFNYLASIVHVAVILFIIIAGLTKAKVSNYDQFFHFGARGIFKASAVLFFAYVGFDAVSTMAEETKNPAKDIPIGLVGSMTITTLAYCILAVTLCLMQPYGQIDVDAPFSVAFKAVGMNWATYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPWLAHVNSRTGTPINATVVMLVATAIIAFFTDLGILANLLSISTLFIFMLVAVALIVRRYYVSGETSEANRSKLVVFLLLILGSSIATASYWAASEKGWVGYLVTVPVWFLSTAGLWWFVPQARAPRLWGVPLVPWLPSASIAINIFLLGSIDGRSYMRFGIWTGLLLIYYFFFGLHASYDTAKAAEVAAPVKRLEEGSPANNGQ >Ma07_p07930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5890511:5895329:1 gene:Ma07_g07930 transcript:Ma07_t07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSEGGATTAVKRRGCSCTKDDFFPEESFKSWANYGRALRETGKRLRDRVTARSLEQSELHEVRLRSGHDMKKNLTWWDLIWFGIGAVIGSGIFVLTGQEAREDVGPAVVLSYVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARSWTSYFSTLLNHEANDFRIHATALADGYNRLDPIAVAVICIICLVAALSTKGTSRFNYLASIVHVAVILFIIIAGLTKAKVSNYDQFFHFGARGIFKASAVLFFAYVGFDAVSTMAEETKNPAKDIPIGLVGSMTITTLAYCILAVTLCLMQPYGQIDVDAPFSVAFKAVGMNWATYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPWLAHVNSRTGTPINATVVMLVATAIIAFFTDLGILANLLSISTLFIFMLVAVALIVRRYYVSGETSEANRSKLVVFLLLILGSSIATASYWAASEKGWVGYLVTVPVWFLSTAGLWWFVPQARAPRLWGVPLVPWLPSASIAINIFLLGSIDGRSYMRFGIWTGLLLIYYFFFGLHASYDTAKAAEVAAPVKRLEEGSPANNGQ >Ma07_p07930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5890550:5895329:1 gene:Ma07_g07930 transcript:Ma07_t07930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGSEGGATTAVKRRGCSCTKDDFFPEESFKSWANYGRALRETGKRLRDRVTARSLEQSELHEVRLRSGHDMKKNLTWWDLIWFGIGAVIGSGIFVLTGQEAREDVGPAVVLSYVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARSWTSYFSTLLNHEANDFRIHATALADGYNRLDPIAVAVICIICLVAALSTKGTSRFNYLASIVHVAVILFIIIAGLTKAKVSNYDQFFHFGARGIFKASAVLFFAYVGFDAVSTMAEETKNPAKDIPIGLVGSMTITTLAYCILAVTLCLMQPYGQIDVDAPFSVAFKAVGMNWATYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPWLAHVNSRTGTPINATVVMLVATAIIAFFTDLGILANLLSISTLFIFMLVAVALIVRRYYVSGETSEANRSKLVVFLLLILGSSIATASYWAASEKGWVGYLVTVPVWFLSTAGLWWFVPQARAPRLWGVPLVPWLPSASIAINIFLLGSIDGRSYMRFGIWTGLLLIYYFFFGLHASYDTAKAAEVAAPVKRLEEGSPANNGQ >Ma08_p31780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42573961:42578293:-1 gene:Ma08_g31780 transcript:Ma08_t31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPGESDKGRAGSADVSSMTSSGVERREKPRKTGFYIFFLDIRRVFRLDELGQEILRIAVPAALALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKICIYPLVSVTTSFVAEEDAIMSTCVEEVKGEDLEKACPANAETEELPPCDDSEKIGCTASFVPKFCGGFGGNRRYIPSVSSALIVGGVVGLLQAVFLIFAAKFVLRIMGVNSESPMHAPALRYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDVSNIILDPVLMFVFHMGVSGAAIAHVISQYLITLILLCRLVLKVDIVPPSIKALKFRRFLRCGFLLLARVIAVTFCVTLAASLAAHHGPTSMAAFQVCLQLWLATSLLADGLAVAGQAILATSFAKGDHDKVVVATARVLQYSILLGIGLTVTLGVGVQFGSGIFTKDMNVQRIIHKGLPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVVVASISIPCLIYLSSTHGFIGIWIALTIYMSIRTFASAWRMGAARGPWTFLWK >Ma08_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:283367:286391:-1 gene:Ma08_g00320 transcript:Ma08_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSEWGLSPLSVVDPEIHDLIEHEKRRQSHGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEHIDAIENLCRSRALSAYRLDPAKWGVNVQPYSGSPANFAAYTAILNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVSPVTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARFRSIAEKCGALLLCDMAHISGLVAAQEAANPFEFCDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPENAVYDFEDKINFAVFPALQGGPHNHQIAALAVALKQAMSPGFKAYAKQVRANAVALGNYLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEEDFKQIAEFLHQAVTLCLCIQKEHGKLLKDFNKGLVNNKDIEELKAAVEKFAASFDMPGFQLPST >Ma08_p05310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3645081:3651349:1 gene:Ma08_g05310 transcript:Ma08_t05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTLMATAGNNIGKVLQKKGTVILPPLSFKLKVISAYISNKLWVVGFLMDISGAILMLRALSQAPVSVIQPVSGCGLAILCVFSHFYLKEVMNALDWVGIALAGAGTIGVGFGGEEQNGSIVSLFHLPLMGFSVALLFALLDTWLRIYKRRRQDQELMHSEVIEEIIFGLESGILFGMASVISKMGFVFSEQGFSKIVVPICISISICCSATGFVYQTRGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEHMPTSPVARVTLLLGWLFIILGVILLVSSTRLMALLPRPIRRHLRSNLEKNQNLRRSGSVRAKDPSPSAVIQASTLHHLVTSPAKEKA >Ma07_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5014919:5020035:1 gene:Ma07_g06920 transcript:Ma07_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPPGINLLRSIGGKEWSRNTHRGLVLALTFVAYACYHASRKPTSIVKSVLDPEPPSSKPPRWPLGPVFIDQGWPPFNGTDGTAKLGEIDVAFLACYSLGMYAAGHLGDRLNLRQFLAAGMMGSGAFVALFGVGYFLNIHAFGFYLTMQMLAGLLQATGWPSVVAVVGNWFGERKRGLIMGIWNAHTSVGNICGSLLAASVLQRGWGWSFILPGALIGSGGILVYFFLAAYPEDVGLGSSRYGKVNDVQDEEAGQEVESTDDTRNAIGIAKAFLIPGVVPFALCLFFSKLVAYTFLYWLPFYLSHTAIGGEYLSVKSAGNLSTLFDVGGIIGGILAGFISDQLNARATTAATFMYLAIPSLYAYHTYGCISRSVNIMLMMITGLFVNGPYALITTAVSADLGTHSSLKGDSRALATVTAIIDGTGSVGAALGPFVTGFLSRHGWSLVFKMLMFGAFIAGALLFVLVRVEIAQIIQHHKNPSTDHPSQQEAEGSVSAPLLMEDS >Ma11_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23953681:23955642:1 gene:Ma11_g18960 transcript:Ma11_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHTGVLFLLLLSFLLLLLGNPASSDAPPSAPVDPSTACNSTLDPKFCKTVLPRRGSDNLYDYGRFSLAKSLSNAGRFLNLVNRYLAGRSTLSSTAILALQDCQLLSSLNIDFLTSAGATLNYTDNLLDPQVEKVQTLLSALLTNQQTCSDGLQATASAWSVKNGLSVPISNSTKLYGISLALFKKAWIPKKKYKRNGGRVPSRVLPHGRSLLFHEVNVGRNGELPLRMSAPKRGLFERWSGRRLLQATDTVQVDDIVLVSQDGSGNFTTISDAVNSAPSDLDGSTGYYLIYVAAGVYQEYVVISKHKKYLMMIGDGINQTVITGNHNVVDGWTTFNSSTFAVVGQGFVGINMTFQNTAGPAKHQAVAVRNGADLSTFYSCSFEGYQDTLYTHSMRQFYGECDIYGTVDYIFGNAAVVFQSCNVYSRLPLQGQTNTITAQGRTDPNQNTGTSMQDCNFLAAADLAADNGSTITYLGRPWKLYSRTVIMQSFMDSLIDPAGWLPWNGDFALDTLYYAEYNNSGPGAGVANRVTWPGYHVIGSSDAANFTVSSFILGDNWLPQAGVPYDGGLL >Ma04_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12095160:12095791:-1 gene:Ma04_g15730 transcript:Ma04_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLTHQSRRNASGSPCAVAPRDHVADEPEKGRPPQAAQAPKQREKATPRPKRSVRFAVDDGKKDVVRVKMMLTKKDAARLLAMLAGEDEGALEHMLCELGGEKGCSRSPARSDRDCWRPALESIPEN >Ma04_p14150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10714418:10720623:-1 gene:Ma04_g14150 transcript:Ma04_t14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVPAAATNTAAASRNAPRHHKNTSPPPHRDDLQNPRRSPLIPSEKDNAAAGTRRPRVKEITSRYLSSYSSSSSSTSTSYSTATTFSSSSASSGSRRFPSPLVTPRYSTPMALPQSTAQKRSLSVDRARPSTPQTDPRPTPAEPSAAARTLCKTTRSLSVSFQGESFFYQTSRARAVSPSPSRKPTPERRRANASPAAPAKAGDNLENSRPFDNHHRWPASRARPSNPSMRSSNCSSEKKEPILATVRLLQQSMMFDDSARRASFDGGDLSASSDTDSVSSGSNSGALEFSVPPRAKVTSRGISVPARLWQETNGQMRRYPETCSPLSSPDSRPRMGVVKKLLVDSPSSSPRSVSSPLRGPMRPSSPSKLVASPSRGMASPLRARSNVSMSTSPVCQPGNAPSIISFAAEVRRAKKGENRIEEAHTLRLLDNRHLQWRCVNARANAALLVQKLTVEKNLYDAWITTSKLRDSITIKRIKLQILTQNLKLISILKEQMVYLEEWSLMEQDHSSSLSGATEALKASTLRLPIVNGAKADIIEVKDAIGSAVDMMQVIGSSICSLLSKVEGTSNLVSEITNVAAQERVLLDRSRSLLSTVAALDVKHCSLQGQLIQLKGKASQIQTQSRYFYQPCDH >Ma09_p12220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8237853:8239892:-1 gene:Ma09_g12220 transcript:Ma09_t12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYTNFFIGIHQHYAFTSKPPPPSIAPQFYNLSTQSYTNAFIPGHPPSPPLREALPLLSLSPTRHQEEDEDTSCSASTEVAKNKASGVDDEADDAVTVALHIGPPSPGAVDLISRLPSTSEDRNGEKGAEGGDEVVPLGYPSNPIGKLNKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPKSLRGIQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVKGDWRTHEKNCGKLWYCVCGSDFKHKRSLKDHIKAFGGEHAAYDINCFEEEDDPSSEIEQDCHQRNEQR >Ma11_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17580943:17586257:-1 gene:Ma11_g13310 transcript:Ma11_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSLLNSLLRGRQLESCLEAGFPSPETEEPEEDGRGFVDEDGWATVVVSALRIVACFLTMTVTTFVWAVAMIPMLPWPYERIRQGNLYGHVTGRLMMWILGNPIKIEGSEFSDTRAIFICNHTSPIDIFLVMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPSAAIESLKERVLDPDQGGFFRLRRVSCILHCKHSCQLFR >Ma11_p13310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17580608:17586257:-1 gene:Ma11_g13310 transcript:Ma11_t13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSLLNSLLRGRQLESCLEAGFPSPETEEPEEDGRGFVDEDGWATVVVSALRIVACFLTMTVTTFVWAVAMIPMLPWPYERIRQGNLYGHVTGRLMMWILGNPIKIEGSEFSDTRAIFICNHTSPIDIFLVMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPSAAIESLKEAAHAIVNHNLSLIIFPEGTRSRSGRLLPFKKGFMHIALQTQLPIVPMIFTGTHLAWRKNSLRVRPAPITVKYLPPIETDGWKAENMNEYVEMIHSLYVEHLPESQKPLISGGADFC >Ma02_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21173936:21175488:-1 gene:Ma02_g12440 transcript:Ma02_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICEGVAPDAVTYGAIISGLCKEGFLEKAFKIFQEMVALGCMPSVLTYSVLISAHCKVGAVSKAFEMFQQMVVTGVEPDIVTYTSLIHGDSSLGHWILTGDVELRSYTKHRDIQHFNESNDCERYCKIGQLSEDRTLFNDMIDQGLKPGVYSYSSLIWGNCKSGEWKEVNNLLEQMKDQGVYPDDVSFNILIDALCKDEKANKAQKLVGMMTQGGV >Ma10_p24880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33278393:33280276:1 gene:Ma10_g24880 transcript:Ma10_t24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLFAALVRGSRPRSLRISDLRASPIAFLESPKSFSSVLPKSDGFSVEEEPADPEDDLRRRVFRLRLPKRSATAALDRWVGEGRAVSASELRQIAKDLRRSQRYKHALEISEWMKTHRESELSDRDYAMRIELITKVFGVNAAEDFFEGLPSSAKSCEAYTALLHSYAAAKLTEKAEKLFERIKELSLSSSALVYNEMMTLYISVGQLDKVPPIVEELKRRKVTPDLFTYNLWISSYAAILDINAVRNILDEMAQEPNSDKHWITYMRLADIYLTAGRLVDSDFSLAETDKKISQQQWITYDFLIILHAGLGNSQMLNEIWKSMRMTSQKMTSRNYICIISSYLVLERSKEAGEVIDEWRKSKAEEFDISDCNRLFEALKKAGLADAAEKFRELILQKDCVTY >Ma04_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11993994:11998330:1 gene:Ma04_g15660 transcript:Ma04_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQQTRLGDSSAARTHPVGTPGDHPPREEPQEGRLAATSEQYWRMFNDPGLSPPDATVVPPSVSPEAFHDLAHQVRALAGVVQAIVPLIPQPAPPQTSKGEPGTEWYQGSPFAPEIQDQAIPPHFRLPSLDTYNGATDPADHVAAFRAQMALYGTSDALMCRAFPTTLRGPARAWYDSLKAGTVSSFDQLARDFELNFLAYARPKPSAALLLGLNQGEDESLSHFLDRFTTQIRGLSDAHPSLLMQAFMIGLRPSRFFWSLVERPPTTVPEMLQRASQFVAAETWMAGRPRGHRGTKSEPPRQQQPPTSRRRSDRSDPTAPRPPLPALNSSQTDIFLHIRGKGLLKEPYPMSDPRALVDQSKYYRFHQQRGHDTEQCRELKRQIEELIRRGHLDQYLHPNKESSPRPEGPVERRIDVISGGPASGGDSMARKKAYARATSAEAPRHAPGPSVTFPARAYEQAEHDDALVISARIANAQVQRIMVDTGSSADILYLDAYRKLGLPRDSMKPVSSALTGFTGDSVSPLGAVTLPLTLGVPPKSKTTMTTFLVIDLPAAYNAILGRPTLNKVRAVVSTYYQTVKFPTSTGTGETTGSPRESRRCYLTAVSLPKKPKVEPPLTDPREMQRSAPHAEPKGTTVVVSLQEGRPERAIRVGLELPEHEREQLVGLLQENADVFAWSPSDMPGVDPGVSLHRLSISAEARPVKQKLRRHAPERQTAVREEVTRLLKAGFIKEAGYPQWLSNVVLVKKANGSWRMCVDYTSLNKACPKDCYPLPRVDQLVDATAGHTRLSFMDAFSGYNQIGMAPEDQEHMAFITNQGVYFYKVMPFGLKNAGATYQRAVNRIFARQIGRNMEVYVDDMIIKSQEAGTHLADLAEAFATLRQVGMRLNPAKCAFGVTSGKFLGFIVHERGIDADPEKLQAIINMQSPRTTKDLQRLNWKLVAMSRFLARSGDRCFPFFKALQNLKGFQWTTECEEALQQVKRHLANLPRLTSVTPGEKLSIYLAASPHAVSSVLVKESSNGQLSVYYTSHVLSGPEERYPPIEKLALALVLSARKLRPYFQAHPMESVADFIAELTQDESGSLGRPPEAWVLHVDGSANSKGAGARLVLRAPDGRSFERSLRFGFRATNNEAEYEALLAGLRFAFEMQVDSLRVHTDSQLVAEQLSGGYEARDPTMARYLAQVRNLTMKFHHFTLSIVLRAENERVDALAMLASKPAPEVGPEVEELPARAFEIAIVATGSASSWVQELLHYKRDGILPPDKATARRLLRTHAWYIEAGGRLYKRSFSYPLLRCLEPDEAQTVLTEIHEGACREHIGGRTLAH >Ma01_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:593673:594974:-1 gene:Ma01_g00840 transcript:Ma01_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATKSFERAFLRQLLRVFRLSAVSYKSMSLQERKSAIKLSADVAMASARGSRKWTRGLIAKLSEEDEHRSFLRLILGTEYERLTKPCHGSWKIPRSKKIVRRSLRVCSRRKNNLRAPHGVKSSVLARTLVEKRTHALKRLVPGGESLDGYSLLDEALDYVISLRAQADLMQKLLTTFEASKLSALSKCTLPERKASFVGK >Ma06_p35330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35223938:35225035:-1 gene:Ma06_g35330 transcript:Ma06_t35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBE [Source:Projected from Arabidopsis thaliana (AT5G06070) UniProtKB/TrEMBL;Acc:A0A384KSD2] MEQARYWMITSRNLNEMPFLSSQIPPLGVSSSYDAESWEEQAFARDSAGDLGSCVWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRAMLKHSPSLDSCTPTGAACPPPIASLDQRALVNPNPNLSSISTRVPAAASRLEWKERDSISPLYSSSVVGENRKRSLFSTATTSTESATLQLFSLPELNLHKRNSQRWKDEDDSDDYNSCCKRVRRTDSVHPFRVRPSSSGETQPLHPEVLKHDHGPVEELDLELRLGDSPQVKPLCLDEDR >Ma05_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29858494:29897642:1 gene:Ma05_g19880 transcript:Ma05_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRFSHVAAFRRSDRPCGHLLPLSGQLTAALFPSSPAAAETPNHSRIAVRWHVGGHSHSHHHHSGDKGKGSEGIFRLGLGADIALAAGKAFTGYFTGSTAIVADAAHSVSDIVLSGVAWWSYRAAKVPKDEEHPYGHGKFETMGTLGISGMLMVTAGGIGWHAIDVLQGLLMSNPDMTSLCLNHDHHIQGSGGHHHGVDLEHPFLALSMTIISISVKEGLYWITKRAGEKEGSELLKANAWHHRSDAVSSVVALVGVGGTVMGLPFLDPLAGLLVSGMILKAGYETGYQSVMELVDAAVDQSLLVPIKQTINQVEGVKGCHRLRGRKAGSFIYLDVHIEVDPFLSVSSAHDIGESVRHQIHRWHNQVAEVFIHIDPSYSHSSISEPQKIVKGLEGQNSITLSRQQEAKAIVSDVLSMQFSEGCYGDSSTCRKGDPGSYIHYQPSQHSTETGQTDIKTS >Ma05_p19880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29858494:29897642:1 gene:Ma05_g19880 transcript:Ma05_t19880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGFRFSHVAAFRRSDRPCGHLLPLSGQLTAALFPSSPAAAETPNHSRIAVRWHVGGHSHSHHHHSGDKGKGSEGIFRLGLGADIALAAGKAFTGYFTGSTAIVADAAHSVSDIVLSGVAWWSYRAAKVPKDEEHPYGHGKFETMGTLGISGMLMVTAGGIGWHAIDVLQGLLMSNPDMTSLCLNHDHHIQGSGGHHHGVDLEHPFLALSMTIISISVKEGLYWITKRAGEKEGSELLKANAWHHRSDAVSSVVALVGVGGTVMGLPFLDPLAGLLVSGMILKAGYETGYQSVMELVDAAVDQSLLVPIKQTINQVEGVKGCHRLRGRKAGSFIYLDVHIEVDPFLSVSSAHDIGESVRHQIHRWHNQVAEVFIHIDPSYSHSSISEPQKIVKGLEGQNSITLSRQQEAKAIVSDVLSMQFSEQMSLECITLHSLQGKLLVQAQVSMPAEFLIRDAMEIAAHAEKEILAATSTINQVSIQLRLGRPISKHHEPVDRKEEIEHSQT >Ma07_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32026038:32030077:-1 gene:Ma07_g24800 transcript:Ma07_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNLPPGFRFHPTDVELVWYYLKRKVMGKPFRSEAISEVELYKFAPWELPEKSRLRTRDLEWYFFCHRDKKYSNGSRSNRATDGGYWKATGKDKSVIHNSCTVGMRRTLVFHEGKPPKGTRTNWVMYEYRLQSRELVDAGFAQDAYLLCKVFQKSGLGPRIGEQYGAPFNEEDWEDDTAIESSFPLPCVSSCLSAEPLDNQAIQADPVSKQPIASSAVELLSDHDLLDVDGISLEELAEFLNSSPLVENADGKMPDLTIPSMNVNEAAAMDPEGVYNELDDLSTGELISGNIDLIENILNETALCPTLQELDSKQYVELNDLIGDGNPYVSVEPSTPFAPNPSGHWPDLENLNHILDPIPYFDNDVYGGMHGLPHTGPDTTSQHWLPGMQIGDFGEFVPPLERSSF >Ma02_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21969406:21970020:-1 gene:Ma02_g13700 transcript:Ma02_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKSSFKHLQGAITSFKPISPICIQEAKTQSFREGDLFSAFRCSTSSTSFAHEEELTAMPTPSSSPGGPLSSGRFFFSPCTTKSILEEAKTEKSTPNVPPSAGKAAAAAAVAAETTRDSFYHESITMAMSSEDPYQDFRSSMEEMVAAHGLREWHSLQELLQCYLRLNEKKNHKVIVMAFVDLLMHIMDQQLTATSVRYRDP >Ma07_p04880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3573680:3576553:1 gene:Ma07_g04880 transcript:Ma07_t04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKIIGAIVASFAVSYACDTLISDGKIFGGTTPKTVSDKEWWEATDKKFQAWPRTAGPPVVMNPISRQNFIVKSSES >Ma07_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3573661:3576552:1 gene:Ma07_g04880 transcript:Ma07_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKIIGAIVASFAVSYACDTLISDGKIFGGTTPKTVSDKEWWEATDKKFQAWPRTAGPPVVMNPISRQNFIVKSSES >Ma09_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23411137:23412639:1 gene:Ma09_g19400 transcript:Ma09_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFLLLLLFLLGFTTTPATTRCTTATFIKTYQKCVTLPTQGASLAWTYHPFNATLDLAFSGTFISPSGWVAWGLNPDSPAMTGAHALVAFPDPSSGGLLLLPFVLDPSVRIQNAPLVSRPFGLRLLSSSAVLRDSRSPSDGSAVLIFATLWLSPNHTRVHHVWNRGLYVQGRSPTIHPTAPSDLASRATIDAASTAAETAPQAPDALRSAHAALNAASWGLLLPAGVAVARYLGHRASRGPSWLYAHAATQIAGLLLGTAGFAIGIVMGNRPRGAEHRLHRGLGVAAFVTAALQSAALLFQPKATNRFRKYWKSYHHLVGYGCAVVGVANVFQGFDVMGLGRSYWKLAYCLALSTLAGVCVALEVNSWVVFCRNAEEEEETTTTTTREGDSMEGGRASSSQKQGLSL >Ma06_p32010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32987411:32988753:-1 gene:Ma06_g32010 transcript:Ma06_t32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWWSLLGAAVPAIIAAHTIRVKRRRAEEQRLKSARGREKHSDEIFVCMRVCTSKRMLKKVGAFSKDPILDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLRLSSSLA >Ma06_p32010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32987411:32988769:-1 gene:Ma06_g32010 transcript:Ma06_t32010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWWSLLGAAVPAIIAAHTIRVKRRRAEEQRLKSARGREKHSDEIFVCMRVCTSKRMLKKVGAFSKDPILDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLRLSSSLA >Ma06_p32010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32987411:32988788:-1 gene:Ma06_g32010 transcript:Ma06_t32010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWWSLLGAAVPAIIAAHTIRVKRRRAEEQRLKSARGREKHSDEIFVCMRVCTSKRMLKKVGAFSKDPILDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLRLSSSLA >Ma06_p32010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32987411:32988694:-1 gene:Ma06_g32010 transcript:Ma06_t32010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWWSLLGAAVPAIIAAHTIRVKRRRAEEQRLKSARGREKHSDEIFVCMRVCTSKRMLKKVGAFSKDPILDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLRLSSSLA >Ma04_p13920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10547428:10549082:-1 gene:Ma04_g13920 transcript:Ma04_t13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADIDWKNIESRYVRDEAYENINAPKWLDLTGPEASPVDDDAWFCRPDCKHPKTAKDFKLAAAPSPKAKLMRSSSERLPLGERNSVHGNENNLKRRAGIAAPLLQASPLKTKSAATKQIREDLENQNPNRSTPPRPSRPFGAPKARNTVKEMIKSSAQQKAEKESQVQEQKKAQPRLRSTLSARNLLSGKDILSQISEFCHELKKIAVGRGRTPPAEQDSKKQVKKKVANIVHNSEAEDRMPLTPKKDDSSSTKKSSKMAVRIEIEKPIAVKEVRASPPTPQRFPSPSSRGTRNPKATANGRSPLSKPSKSATLVRAMFQEAEQNREEKKALLPVTDEHCSSLSVAADTHKGSSTDLFWFLKPCTYLVN >Ma04_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10547428:10549082:-1 gene:Ma04_g13920 transcript:Ma04_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADIDWKNIESRYVRDEAYENINAPKWLDLTGPEASPVDDDAWFCRPDCKHPKTAKDFKLAAAPSPKAKLMRSSSERLPLGERNSVHGNENNLKRRAGIAAPLLQASPLKTKSAATKQIREDLENQNPNRSTPPRPSRPFGAPKARNTVKEMIKSSAQQKAEKESQVQEQKKAQPRLRSTLSARNLLSGKDILSQISEFCHELKKIAVGRGRTPPAEQDSKKQVKKKVANIVHNSEAEDRMPLTPKKDDSSSTKKSSKMAVRIEIEKPIAVKEVRASPPTPQRFPSPSSRGTRNPKATANGRSPLSKPSKSATLEAEQNREEKKALLPVTDEHCSSLSVAADTHKGSSTDLFWFLKPCTYLVN >Ma10_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28975311:28979731:-1 gene:Ma10_g17680 transcript:Ma10_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRLSKPPSSFPTHPVAPSLLCYSQLFSSLQRRTASPLRCRCSKKKETSFADQLLDYIEGGPKLRKWYGAPDLLPKDGGLENEDESSEIDEVRDAVLVTDGESEIGQMVILSLILKRARIKALVKDKRAAIDAFGTYVETMVGDLNDKTFLTNALRGVRAIICASNDGFFSDIGRTKGAQHIVLLSQLAFYRGSSGIQAVMNSKARKLAERDEETVIASGIPYTIIRAGLLQDSPGGKQGFSFSKGAAAKGRLSKEDAAAVCVEALDSLPEEGLILEVVNGEEKVRDWKEKFTELIGTAEE >Ma03_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4904444:4906188:1 gene:Ma03_g07150 transcript:Ma03_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYFGPSMFLLLFLVVPNLAQSQILFQGFNWESWRQQGGWYNFLKDKVSDIANAGVTHVWLPPPSHSVGVQGYMPGRLYDLGASKYGNQDELKALIGAFHDKGVKCVADIVINHRCANKQDGRRHMVHL >Ma06_p31820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32831622:32836245:1 gene:Ma06_g31820 transcript:Ma06_t31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSWWLMVVGALRLASVWFGFFDIWALRMAVFSQTQMTDVHGRTFGIWTLLTCTLCFLCAFNLENRALYAATFLSFIYAFGHFLTEYLIYHTMVASNLTTVGIFAGTSIVWMLLQWNAHQPQSASKQE >Ma02_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27000454:27005001:1 gene:Ma02_g21350 transcript:Ma02_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCGGRKRPFFFLVLPLILFFPLILSVSKLHESSSIQQEPKRTRPNKSDHLVLGPAAGQGLPDRLQCQGLKAVNKVHSSISHEDIQIGDSISFVTVYTIYNSPRGSDSARADSKLSDMVIVGNNSYSKAERSMAILIAFIDFIQVSMPSSSVIILTDPASEFAIRKNKATTLPIPGDYSRGNLMLQRIRSYIAFLETRLQEQSKGLGSTIHYIFTDSDIAVVNDLGHIFQKDPNFHLALTFRNNKDQPLNSGFIAVRGTPDGIFKAKIFLEEVVNVYSLKFMKASRMLGDQLALAWVVKSHLPFALKKFGRHESFSAELNGVSILFLPCAVYNWTPPEGAGQFHGMPLDVQVVHFKGSRKRLMLESWSFYNSTSNMSDMLCRVLKSGRTKYDF >Ma05_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10162837:10169913:-1 gene:Ma05_g13960 transcript:Ma05_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPISSSSVARIATKHFDGLVRSASRSCSIGKIHSDRRTRHPSIRADLITEPDAFEVGRFVGSYGFMNITSYSSFQSGGFSNANVFDEFSPGYSSEDMERLRVQDVGEGRVKIRLYEGRVIQGPLKGTRVIFKVYPGRRAGGVEADMMAANELGTHVFLQSDSEKLCPNLQFLLGGFETKMGEQWLACRNDGKYSAADYGKSVSEANSKDTAKGENFWNPFTKEQKMKRRRIFVIKLLNGAMNGLAYMHDHDRLHQSLGPSSVVLNTMVEKDASYLVPKLRDLSFSVDIGYSSLGAAQSTLSEGLWRRASAAGAFTPLEKRAYGIADDIYEAGLFFAYLAFIPFCEAGIIDSISLQRLFENTFQLDLQAVREYCLADDRLLEAVKFLDLGDGAGWELLQAMLNPDYRQRPIAEAVLNHRFMSLVNLL >Ma03_p30800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33277177:33282339:-1 gene:Ma03_g30800 transcript:Ma03_t30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCCRLLRRSFCTTSSSTSCAKPWLFIGLGNPGEKYRGTRHNVGFDMIDAFAQSLGISMASIHFKALFGEGMVGGTPVLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVMFDDMDLPCGVLRVQPKGGHARHKGLMSVIYHFRGNREFGRLRIGIGRPPGQMDPRAFLLQKFNASARERIDEALQEGVDVLKTLLTKGLTECASSTNPYQKYKHLRLQTLPV >Ma03_p30800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33277177:33281955:-1 gene:Ma03_g30800 transcript:Ma03_t30800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCCRLLRRSFCTTSSSTSCAKPWLFIGLGNPGEKYRGTRHNVGFDMIDAFAQSLGISMASIHFKALFGEGMVGGTPVLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVMFDDMDLPCGVLRVQPKGGHARHKGLMSVIYHFRGNREFGRLRIGIGRPPGQMDPRAFLLQKFNASARERIDEALQEGVDVLKTLLTKGLTECASSTNPYQKYKHLRLQTLPV >Ma11_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23157534:23157932:1 gene:Ma11_g17990 transcript:Ma11_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFVAVVLFVLLSPGLLFQIPSKGKVVEFGTLQTSSVVIFIHSVLFLALAAVFMLAVGVHVYLG >Ma04_p29660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30522677:30523839:-1 gene:Ma04_g29660 transcript:Ma04_t29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKPMLHGRRLFELLEEQQEPFLLDVYLLEHGYSDRAMNPSAAAFMCWHGGACRRLRRFSTHGCRRKRTGLLRCLLNKVVYGKVIRKAWRWDGGAIGIGRWNVLRSFFEMKGKNNAVEFRRLSCSCGTDEGDPDREEQWRAMGGSDQRSPVSVLELHSDEVEEEVPSTSGFDSPKDTKEAPWIGLEEHPNTRTQFLHPHRFLSDWLKEVEGRLSKSHECPSPERSGKITEEGMMILSRESRGCALANISQLIDVDFSESRKEWSHFRHEIGEVGAEIEQIIFEEISEEAVLDVLHRHSTLERC >Ma06_p38310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37114024:37114442:-1 gene:Ma06_g38310 transcript:Ma06_t38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGMTSLVKKMVVCGGGRSFPGGLPEGRIWVCVGTEGAAVQRFEVEANFLNHPLFEDLLRLSVPEFGYAYEGALRIACGIDFFLYLLQRLRSSDPSVHYMELQDLKASFYESAGGSGQHRPSCHHHRRRRF >Ma08_p25560.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38340148:38342686:-1 gene:Ma08_g25560 transcript:Ma08_t25560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNSSILSTLSDMSNSPSNSSASSNSNGLPVPVIITIVVLCGVVQIVVVILIIRCVQKIIRSSTNSRVMTPGGSTPSSMYKESRIEMEPMEEFLHNILKDKPMRFTPQNLVDFTQNYVEKLGSGGNGAVYKGQFPDGTHVAVKVLHGTSGKKEEEQFMAEIGTIGKTYHINLVKLYGFCFDKTVKALVYEFMENGSLDQHLFDHKCRIEWAKLYEIATGTAKGIRYLHEECQQKIVHYDIKPANILLTPDFLPKVADFGLAKLCDRKKNTKPTGGGGGGRGTPGYAAPEMWLPLPVTHKCDVYSFGMLLLEILGKRRNYDAKQVESREWFPIWAWRKYEQGEMESMLSACGIEEEDREKAERMFQVALRCIQYQPESRPAMNCVVKMLEWKQQIPAVDNPFEHMNTDGSSSALWSSITSTTYSSSRATGKDDTEVSGSS >Ma08_p25560.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38340148:38342686:-1 gene:Ma08_g25560 transcript:Ma08_t25560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNSSILSTLSDMSNSPSNSSASSNSNGLPVPVIITIVVLCGVVQIVVVILIIRCVQKIIRSSTNSRVMTPGGSTPSSMYKESRIEMEPMEEFLHNILKDKPMRFTPQNLVDFTQNYVEKLGSGGNGAVYKGQFPDGTHVAVKVLHGTSGKKEEEQFMAEIGTIGKTYHINLVKLYGFCFDKTVKALVYEFMENGSLDQHLFDHKCRIEWAKLYEIATGTAKGIRYLHEECQQKIVHYDIKPANILLTPDFLPKVADFGLAKLCDRKKNTKPTGGGGGGRGTPGYAAPEMWLPLPVTHKCDVYSFGMLLLEILGKRRNYDAKQVESREWFPIWAWRKYEQGEMESMLSACGIEEEDREKAERMFQVALRCIQYQPESRPAMNCVVKMLEWKQQIPAVDNPFEHMNTDGSSSALWSSITSTTYSSSRATGKDDTEVSGSS >Ma08_p25560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38340148:38342533:-1 gene:Ma08_g25560 transcript:Ma08_t25560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNSSILSTLSDMSNSPSNSSASSNSNGLPVPVIITIVVLCGVVQIVVVILIIRCVQKIIRSSTNSRVMTPGGSTPSSMYKESRIEMEPMEEFLHNILKDKPMRFTPQNLVDFTQNYVEKLGSGGNGAVYKGQFPDGTHVAVKVLHGTSGKKEEEQFMAEIGTIGKTYHINLVKLYGFCFDKTVKALVYEFMENGSLDQHLFDHKCRIEWAKLYEIATGTAKGIRYLHEECQQKIVHYDIKPANILLTPDFLPKVADFGLAKLCDRKKNTKPTGGGGGGRGTPGYAAPEMWLPLPVTHKCDVYSFGMLLLEILGKRRNYDAKQVESREWFPIWAWRKYEQGEMESMLSACGIEEEDREKAERMFQVALRCIQYQPESRPAMNCVVKMLEWKQQIPAVDNPFEHMNTDGSSSALWSSITSTTYSSSRATGKDDTEVSGSS >Ma08_p25560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38340148:38342686:-1 gene:Ma08_g25560 transcript:Ma08_t25560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPSNSSASSNSNGLPVPVIITIVVLCGVVQIVVVILIIRCVQKIIRSSTNSRVMTPGGSTPSSMYKESRIEMEPMEEFLHNILKDKPMRFTPQNLVDFTQNYVEKLGSGGNGAVYKGQFPDGTHVAVKVLHGTSGKKEEEQFMAEIGTIGKTYHINLVKLYGFCFDKTVKALVYEFMENGSLDQHLFDHKCRIEWAKLYEIATGTAKGIRYLHEECQQKIVHYDIKPANILLTPDFLPKVADFGLAKLCDRKKNTKPTGGGGGGRGTPGYAAPEMWLPLPVTHKCDVYSFGMLLLEILGKRRNYDAKQVESREWFPIWAWRKYEQGEMESMLSACGIEEEDREKAERMFQVALRCIQYQPESRPAMNCVVKMLEWKQQIPAVDNPFEHMNTDGSSSALWSSITSTTYSSSRATGKDDTEVSGSS >Ma08_p25560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38340148:38342687:-1 gene:Ma08_g25560 transcript:Ma08_t25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPSNSSASSNSNGLPVPVIITIVVLCGVVQIVVVILIIRCVQKIIRSSTNSRVMTPGGSTPSSMYKESRIEMEPMEEFLHNILKDKPMRFTPQNLVDFTQNYVEKLGSGGNGAVYKGQFPDGTHVAVKVLHGTSGKKEEEQFMAEIGTIGKTYHINLVKLYGFCFDKTVKALVYEFMENGSLDQHLFDHKCRIEWAKLYEIATGTAKGIRYLHEECQQKIVHYDIKPANILLTPDFLPKVADFGLAKLCDRKKNTKPTGGGGGGRGTPGYAAPEMWLPLPVTHKCDVYSFGMLLLEILGKRRNYDAKQVESREWFPIWAWRKYEQGEMESMLSACGIEEEDREKAERMFQVALRCIQYQPESRPAMNCVVKMLEWKQQIPAVDNPFEHMNTDGSSSALWSSITSTTYSSSRATGKDDTEVSGSS >Ma08_p25560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38340148:38342533:-1 gene:Ma08_g25560 transcript:Ma08_t25560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNSSILSTLSDMSNSPSNSSASSNSNGLPVPVIITIVVLCGVVQIVVVILIIRCVQKIIRSSTNSRVMTPGGSTPSSMYKESRIEMEPMEEFLHNILKDKPMRFTPQNLVDFTQNYVEKLGSGGNGAVYKGQFPDGTHVAVKVLHGTSGKKEEEQFMAEIGTIGKTYHINLVKLYGFCFDKTVKALVYEFMENGSLDQHLFDHKCRIEWAKLYEIATGTAKGIRYLHEECQQKIVHYDIKPANILLTPDFLPKVADFGLAKLCDRKKNTKPTGGGGGGRGTPGYAAPEMWLPLPVTHKCDVYSFGMLLLEILGKRRNYDAKQVESREWFPIWAWRKYEQGEMESMLSACGIEEEDREKAERMFQVALRCIQYQPESRPAMNCVVKMLEWKQQIPAVDNPFEHMNTDGSSSALWSSITSTTYSSSRATGKDDTEVSGSS >Ma10_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32744332:32749775:-1 gene:Ma10_g24020 transcript:Ma10_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSTRKTGSALPVNPSSGSSDAYRSASSKAVSKEVERIDNLFYAYADASSGLIDPEGIESFCSDLEVDYTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALHADTISKLKKSLSELEKEVARPTNFSDFYSYSFRYCLTEDKQKCIDIESACELLDLVLGFQFRPQVDKLVEYLKHQRDYKVINMDQWTSFLRFCNEINFLSLDNYDAELAWPLILDNFVEWIREKQS >Ma03_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28259687:28265004:-1 gene:Ma03_g23810 transcript:Ma03_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDVLREAISQVVGDSREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLYLKSTMGKPYRVF >Ma08_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3005487:3018263:-1 gene:Ma08_g04320 transcript:Ma08_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase GCN5 [Source:Projected from Arabidopsis thaliana (AT3G54610) UniProtKB/Swiss-Prot;Acc:Q9AR19] MDGHSAPVRSRSSQSPSPSHSASASASSSVHHKRKFPGAAATAAPAPVPAPFALSDTGALTSNDDLDSISAREEEEEDDTEEEEEEADEDEEEEEEEEEEDNDSMRTFTAARLENASAAGGSGNPRSTKPPKTENLTTKVEPSGVGLSALGSKDDRGNAGPPAQQNPSSNVNLMPGIVVKEETVKSIFTENIQTSGAYIAREEGLKREEDAGRLKFLCYANDGVDEHMIWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSMMVIRHNQVVGGITYRPYISQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLAYFLTYADNNAVGYFVKQGFTKEITLEKERWQGYIKDYDGGILMECKIDPKLPYIDLATMIRRQRQAIDEKIRELSNCHIVYQGIDFQKKEAGIPRKPIKVEDIPGLKDAGWTPDQWGHSKFKTTNATERQQLNNFMRNLLKLMFEHPDAWPFKEPVDAREVPDYYDIIKDPMDLRTMSKRLESEQYYVTFEMFVADVKRMCANARTYNSPETIYYKCANRLENFFTSKAQAYLLQISNKSS >Ma06_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4246125:4249400:1 gene:Ma06_g05710 transcript:Ma06_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDPSTTCPPPSSASISSPPTFCCACGAPTAALSSPPAWSDSSPPPVYRPIRAPAINAPSATASIVLSPVPQPLPVPPAEPPFRFEVPSKRISSPDDIRRFHASAAGRHFLGFIAALSHSVRGRKLSDPVPSPLPGTLSGLLSILQTLARWIDEIPPLPHTARYGNPAYRSWHARLSDEGRGLVLSLLPSDELHPAVDELLPYLLDSFGNAYRIDYGTGHETNFAAFLYCLARLGLIKEEDYPALVLRAFAAYLDLMRRLQTAYCLEPAGSHGVWGLDDYHFLPFIFGSAQLIDHKYMKPKSIHNQDILDNFSNEYMYLACVAFVKKVKKGVFAEHSPMLDDISGVPTWSKVNSGMLKMYKVEVLEKVPIMQHFLFGSLIKWE >Ma03_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28559183:28564249:1 gene:Ma03_g24270 transcript:Ma03_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTENPNWFLDCSLIDDIPDAGADFGWNPQGFDPASSVSVEIDSSFVNSDGLKEPGSAKRSRSESCSNPASKACREKMRRERLNDRFLELNSLLDPGNPPKMDKAAILSDAARLVTQLRNEAQKLKESNESLQEKIKELKAEKNELRDEKQKLKAERGSLEQQVKLLNARSSYVPQPPLVPTPYGAQGQAAGHKLMVPIIGFPGFPMWQYMPPSDVDTSQDADKCSPVA >Ma11_p11410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12888962:12896395:1 gene:Ma11_g11410 transcript:Ma11_t11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVYFSRREICSSPVPRTDHTPTVWFADNGERLGTYRGHNGAVWCCDVSRDSMRLITGSADQTVKLWNVQTGTQLFSFNFDCPAKSVDFSVADKLAVITIDPFMGLPSTIQKIFRRKQRKKDQDLESVLTIKGPQGPINRAVWGPLNKTIISAGEDAVIRVWDTETGQFLKESDKETGHQKTISSLSKSADGSHFLTGSLDKSAKNSDTCQNICDGACLLLVIVIKLCFHFLLEDTFYSCIASVFLTDLPKKKVVIGGGGVGAGQEAVHVTTTDHRAGKFEAKFFHKILQEEIGA >Ma04_p39310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36492311:36502228:1 gene:Ma04_g39310 transcript:Ma04_t39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHGLILLAIVLALYCCSTTGHNGEQPLSKIAIRKTTLATTVAARIRASPLVLGLQGQTSEWVTVKFSHPNPSNDDWVGVFSPANFSATTCEKENFREYEPLLCTAPIKYQYANYKNDDYNKTGRGSLKLQLINQRADFSFVLFSGGISNPKLVAVSNTISFANPKAPVYPRLAQGKSWNEMAVTWTSGYSTEEAVPFVEWGELGGPQIRSAAGTLTFSRAHMCDSPARTVGWRDPGFIHTSFLKDLWPNLLYTYKLGHRLYNGSYIWSQSYTFRASPYPGQDSLQRVVIFGDMGKAEADGSNEYNNYQPGSLNTTYQLIKDLKNIDIVFHIGDLSYANGYLSQWDQFTAQVEPIASTVPYMVGSGNHERDWPGTGSFYANMDSGGECGVLAETMFYVPAENRQKFWYKTDFGMFRFCIVDTEHDWRPGTEQYKFIEHCLSTADREKQPWLIFLAHRVLGYSSGEFYGIEGTFQEPMGRDSLQELWQKYKVDIALYGHVHNYERTCPIYQSTCVREAAHHYTGPFEATTHVVVGGGGSSLAKFTPLRTRWSYYQDYDFGFVKLTAFNQSTLLLEYKKSRDGLVYDYFTITRDYRDILDCAVDSCSKTSMSS >Ma04_p39310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36492451:36502228:1 gene:Ma04_g39310 transcript:Ma04_t39310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAMRSHGLILLAIVLALYCCSTTGHNGEQPLSKIAIRKTTLATTVAARIRASPLVLGLQGQTSEWVTVKFSHPNPSNDDWVGVFSPANFSATTCEKENFREYEPLLCTAPIKYQYANYKNDDYNKTGRGSLKLQLINQRADFSFVLFSGGISNPKLVAVSNTISFANPKAPVYPRLAQGKSWNEMAVTWTSGYSTEEAVPFVEWGELGGPQIRSAAGTLTFSRAHMCDSPARTVGWRDPGFIHTSFLKDLWPNLLYTYKLGHRLYNGSYIWSQSYTFRASPYPGQDSLQRVVIFGDMGKAEADGSNEYNNYQPGSLNTTYQLIKDLKNIDIVFHIGDLSYANGYLSQWDQFTAQVEPIASTVPYMVGSGNHERDWPGTGSFYANMDSGGECGVLAETMFYVPAENRQKFWYKTDFGMFRFCIVDTEHDWRPGTEQYKFIEHCLSTADREKQPWLIFLAHRVLGYSSGEFYGIEGTFQEPMGRDSLQELWQKYKVDIALYGHVHNYERTCPIYQSTCVREAAHHYTGPFEATTHVVVGGGGSSLAKFTPLRTRWSYYQDYDFGFVKLTAFNQSTLLLEYKKSRDGLVYDYFTITRDYRDILDCAVDSCSKTSMSS >Ma09_p31050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40889706:40898297:1 gene:Ma09_g31050 transcript:Ma09_t31050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDTPIDSWEAADIPVTNLGDTIELDSDTCGCKGSKVEQSLRHRSGIYYRTFDISSGEESDCKQSVKDQPLKRSRQKSDVSRSANKYKCEMKPFRWLSKDARRPVIDEAPVFYPTEEEFVDTLGYIASIREKAEKYGICRIIPPSSWSPPCPLKEENFWRCAKFSTRIQEVDKLQNREPMRKKSRNRCHKRRRRKRLRFGMTRRRNASAASETNDCLGSDTDEKFGFQSGSDYTLETFKKYADEYKRRYFGVKGATESIDFQDDNREKRLEPSVVDIEGEYWRIVEDPTDEIEVLYGADLDTATFGSGFPKASAENKISLDPCVLSGWNLNNLPRLPCSVLSFEKEDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHFGDPKVWYGVPGNDAVKLEDAMRKHLPDLFEEQPNLLHELVTQLSPSVLKSEGIPVYRAVQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPRGLCATELYSEQHRKTSLSHDKLLVGVAREAVKEQLELYFLQSSNPRLLRWEKFCGKDGVLTKALKARVIMENKRMESVSSISNVRKMDKDFDLSTERECFLCSYDLHLSAAGCECSPHRYGCLSHAKHVCSCEPSKKILLVRYNLDELNALVVALEGDLGAVKCHRLEDFGLVLPMQLKLLEEPKDSLDKGISEHERPLIEVDAMDADTRVHNQYSDDQVSKALCLEYIEDKTFSLFQKPRRIHNINELFTSGYAHTAEIVISNDEEGNCVNTKSDAVPSDVKSYTVLHNVIGCQGSSSGKANTFPFSRNEDEGHQFCPDLNIGQPTMDSVVKTEDCCVEYTEAVVCAVKEVQNWNSDLSRPECSSNHRVAGVNGYGKARKKMEHGTVRKKKKIRMGSDCGFSKSSSPADLGSSHVSSERFLNKTSCSSDTECFHKLSPELFGVDLQHDLYSSSMPSDSQRSQSMKDNSNHSSAFNQGISKFEKTHLMPKYCVEPLNLGKLKHGKQWCSREAIFPNGFKSHVRFYNVLDPTKLCNYVSEVLDAGCLGPLFKVIVENNPGISFTSASPLQCWEMVRERLNQEIVRQQNLGKNGLPELQTIESMDGLAMFGFLSPSIVHVVEALDPYHRCSEYWESKLGSSYVSERTDVKDKPAEVPTTLDIHVGSSDCHQDKRILLGVDLNETEEDATYDNTVEEVKNIARGLFKKASLEELRVMQKILCSESGSSTWRSAYGALLDEILENVHK >Ma09_p31050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40889946:40898297:1 gene:Ma09_g31050 transcript:Ma09_t31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDTPIDSWEAADIPVTNLGDTIELDSDTCGCKGSKVEQSLRHRSGIYYRTFDISSGEESDCKQSVKDQPLKRSRQKSDVSRSANKYKCEMKPFRWLSKDARRPVIDEAPVFYPTEEEFVDTLGYIASIREKAEKYGICRIIPPSSWSPPCPLKEENFWRCAKFSTRIQEVDKLQNREPMRKKSRNRCHKRRRRKRLRFGMTRRRNASAASETNDCLGSDTDEKFGFQSGSDYTLETFKKYADEYKRRYFGVKGATESIDFQDDNREKRLEPSVVDIEGEYWRIVEDPTDEIEVLYGADLDTATFGSGFPKASAENKISLDPCVLSGWNLNNLPRLPCSVLSFEKEDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHFGDPKVWYGVPGNDAVKLEDAMRKHLPDLFEEQPNLLHELVTQLSPSVLKSEGIPVYRAVQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPRGLCATELYSEQHRKTSLSHDKLLVGVAREAVKEQLELYFLQSSNPRLLRWEKFCGKDGVLTKALKARVIMENKRMESVSSISNVRKMDKDFDLSTERECFLCSYDLHLSAAGCECSPHRYGCLSHAKHVCSCEPSKKILLVRYNLDELNALVVALEGDLGAVKCHRLEDFGLVLPMQLKLLEEPKDSLDKGISEHERPLIEVDAMDADTRVHNQYSDDQVSKALCLEYIEDKTFSLFQKPRRIHNINELFTSGYAHTAEIVISNDEEGNCVNTKSDAVPSDVKSYTVLHNVIGCQGSSSGKANTFPFSRNEDEGHQFCPDLNIGQPTMDSVVKTEDCCVEYTEAVVCAVKEVQNWNSDLSRPECSSNHRVAGVNGYGKARKKMEHGTVRKKKKIRMGSDCGFSKSSSPADLGSSHVSSERFLNKTSCSSDTECFHKLSPELFGVDLQHDLYSSSMPSDSQRSQSMKDNSNHSSAFNQGISKFEKTHLMPKYCVEPLNLGKLKHGKQWCSREAIFPNGFKSHVRFYNVLDPTKLCNYVSEVLDAGCLGPLFKVIVENNPGISFTSASPLQCWEMVRERLNQEIVRQQNLGKNGLPELQTIESMDGLAMFGFLSPSIVHVVEALDPYHRCSEYWESKLGSSYVSERTDVKDKPAEVPTTLDIHVGSSDCHQDKRILLGVDLNETEEDATYDNTVEEVKNIARGLFKKASLEELRVMQKILCSESGSSTWRSAYGALLDEILENVHK >Ma09_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6950604:6954294:1 gene:Ma09_g10200 transcript:Ma09_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLLKWEVLKHLKGGLGKPMFLLGFYRGSQACLSTMPFDEYKEKNEIEDDNFIDDKKELEPQGVDPIKGWGFRGVHKAIICGKIGQAPVQKILRNGKTVTIFTVGTGGMYDQRITGAEHLPRPAQWHRIAVHNEWLGAYSVQQLEKNSAVFIEGDIETRVYNDSITGQVKNIPEICVRHDGKVRLIKSGDNAASMSLKGLG >Ma09_p10200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6950452:6954294:1 gene:Ma09_g10200 transcript:Ma09_t10200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLLKWEVLKHLKGGLGKPMFLLGFYRGSQACLSTMPFDEYKEKNEIEDDNFIDDKKELEPQGVDPIKGWGFRGVHKAIICGKIGQAPVQKILRNGKTVTIFTVGTGGMYDQRITGAEHLPRPAQWHRIAVHNEWLGAYSVQQLEKNSAVFIEGDIETRVYNDSITGQVKNIPEICVRHDGKVRLIKSGDNAASMSLKGLG >Ma09_p10200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6950452:6954294:1 gene:Ma09_g10200 transcript:Ma09_t10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLLKWEVLKHLKGGLGKPMFLLGFYRGSQACLSTMPFDEYKEKNEIEDDNFIDDKKELEPQGVDPIKGWGFRGVHKAIICGKIGQAPVQKILRNGKTVTIFTVGTGGMYDQRITGAEHLPRPAQWHRIAVHNEWLGAYSVQQLEKNSAVFIEGDIETRVYNDSITGQVKNIPEICVRHDGKVRLIKSGDNAASMSLKGLG >Ma03_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6570843:6573022:1 gene:Ma03_g08930 transcript:Ma03_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHELHWFQGSGDLLDVLSNGCSSDFVRCFPHNTFSDDLNSTLMLQKIDPPSYSTRIIGDRDLMVCNSTEFNISLDAFHDLSTMVAGSQAQKKVQIDTNTIVAAKNDSCRVQKKSNVVKGQWTAEEDSMLMELVERYGIRKWSHVARMLNGRIGKQCRERWHNHLRPNIKVDSWSEEEDKILIQAHSQLGNRWVEIAKRLPGRTENSIKNHWNTNKRRQSSRRTCRKSKDAKRSTLLQNYIRSLNLTSSPPPVESSSKNGMQREAVNVQGDGDGDRFLHGWGLGEAPEMLLDSRMLPDTDDMISCLFDQLGCSVRIGKVCDAATTWDGVPPLVIPSEDVKRDVDLLEMIAMNSSSSRE >Ma05_p05130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3925933:3929918:1 gene:Ma05_g05130 transcript:Ma05_t05130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTSSPQYRSRFGDTTLTKVFVGGLAWETPTEELRRYFEQFGEILEAVIISDKITGRSKGYGFVTFRDPESARRSVADPNPVIDGRRANCNIASMGRPKPSPPRGRSNQEGSMYQGPVQAPDPPYSRLPAQLPFPSPPMIYPTPYGYVAYPSGHGYQQAAVYDPQMASYYYQQLYGPTSPSAVVPPPYHYPPLGYSTQTGRASFPSPGQGPRPPLMVPTSSQQYPFQLRSPSQARQPLNTITRELLSRPPQLDQIPMTRILEGGNLHPSVYNLVRINQLD >Ma05_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3925935:3929918:1 gene:Ma05_g05130 transcript:Ma05_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGNLVTFRDPESARRSVADPNPVIDGRRANCNIASMGRPKPSPPRGRSNQEGSMYQGPVQAPDPPYSRLPAQLPFPSPPMIYPTPYGYVAYPSGHGYQQAAVYDPQMASYYYQQLYGPTSPSAVVPPPYHYPPLGYSTQTGRASFPSPGQGPRPPLMVPTSSQQYPFQLRSPSQARQPLNTITRELLSRPPQLDQIPMTRILEGGNLHPSVYNLVRINQLD >Ma05_p05130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3925933:3929918:1 gene:Ma05_g05130 transcript:Ma05_t05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTSSPQYRSRFGDTTLTKVFVGGLAWETPTEELRRYFEQFGEILEAVIISDKITGRSKGYGFVTFRDPESARRSVADPNPVIDGRRANCNIASMGRPKPSPPRGRSNQEGSMYQGPVQAPDPPYSRLPAQLPFPSPPMIYPTPYGYVAYPSGHGYQQAAVYDPQMASYYYQQLYGPTSPSAVVPPPYHYPPLGYSTQTGRASFPSPGQGPRPPLMVPTSSQQYPFQLRSPSQARQPLNTITRELLSRPPQLDQIPMTRILEGGNLHPSVYNLVRINQLD >Ma05_p05130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3925934:3929918:1 gene:Ma05_g05130 transcript:Ma05_t05130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADEPNQFLMPWISEVTFRDPESARRSVADPNPVIDGRRANCNIASMGRPKPSPPRGRSNQEGSMYQGPVQAPDPPYSRLPAQLPFPSPPMIYPTPYGYVAYPSGHGYQQAAVYDPQMASYYYQQLYGPTSPSAVVPPPYHYPPLGYSTQTGRASFPSPGQGPRPPLMVPTSSQQYPFQLRSPSQARQPLNTITRELLSRPPQLDQIPMTRILEGGNLHPSVYNLVRINQLD >Ma06_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2116826:2122989:1 gene:Ma06_g02820 transcript:Ma06_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSETDSKGPKTSAVQEQPPATSSNPSASLCPDWSGFQIPPHGFFHSPIVSSPQAHPYMWGPQHLMPPYGTPPPPYVMYPHGIYAHPSTPPGLHPFSPYAMTSPNGNAEAHGSIPASTEGDARSSEGKERNAIQKLKGSFGSLNMVTEKNKNELDKTSGAVNGIFSQSGDSGSENSSEGSDANSKNDSEPKTGGRHEPLDETSQNGTSGIATASTQTKSHQTVPVMPILAAGLPGVVAGPTTNLNIGLDYWVGPTPSVNPPVYGKVPATVASGATVTSTLVGGNEKVASEIWLQDERELKRQRRKQSNRESARRSRLRKQVEYEELAQRVEVLKEENTALRAEVDRIRKEYDQLVAQNASLKERTGQTTKEKEDLVIKESSQYADDNARRSLSSEPQEGQSDSKQSGK >Ma06_p02820.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2116826:2122988:1 gene:Ma06_g02820 transcript:Ma06_t02820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSETDSKGPKTSAVQEQPPATSSNPSASLCPDWSGFQAYSQIPPHGFFHSPIVSSPQAHPYMWGPQHLMPPYGTPPPPYVMYPHGIYAHPSTPPGLHPFSPYAMTSPNGNAEAHGSIPASTEGDARSSEGKERNAIQKLKGSFGSLNMVTEKNKNELDKTSGAVNGIFSQSGDSGSENSSEGSDANSKNDSEPKTGGRHEPLDETSQNGTSGIATASTQTKSHQTVPVMPILAAGLPGVVAGPTTNLNIGLDYWVGPTPSVNPPVYGKVPATVASGATVTSTLVGGNEKVASEIWLQDERELKRQRRKQSNRESARRSRLRKQVEYEELAQRVEVLKEENTALRAEVDRIRKEYDQLVAQNASLKERTGQTTKEKEDLVIKESSQYADDNARRSLSSEPQEGQSDSKQSGK >Ma06_p02820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2116826:2122988:1 gene:Ma06_g02820 transcript:Ma06_t02820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSETDSKGPKTSAVQEQPPATSSNPSASLCPDWSGFQAYSQIPPHGFFHSPIVSSPQAHPYMWGPQHLMPPYGTPPPPYVMYPHGIYAHPSTPPGLHPFSPYAMTSPNGNAEAHGSIPASTEGDARSSEGKERNAIQKLKGSFGSLNMVTEKNKNELDKTSGAVNGIFSQSGDSGSENSSEGSDANSKNDSEPKTGGRHEPLDETSQNGTSGIATASTQTKSHQTVPVMPILAAGLPGVVAGPTTNLNIGLDYWVGPTPSVNPPVYGKVPATVASGATVTSTLVGGNEKVASEIWLQDERELKRQRRKQSNRESARRSRLRKQVEYEELAQRVEVLKEENTALRAEVDRIRKEYDQLVAQNASLKERTGQTTKEKEDLVIKESSQYADDNARRSLSSEPQEGQSDSKQSGK >Ma06_p02820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2116826:2122988:1 gene:Ma06_g02820 transcript:Ma06_t02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSETDSKGPKTSAVQEQPPATSSNPSASLCPDWSGFQAYSQIPPHGFFHSPIVSSPQAHPYMWGPQHLMPPYGTPPPPYVMYPHGIYAHPSTPPGLHPFSPYAMTSPNGNAEAHGSIPASTEGDARSSEGKERNAIQKLKGSFGSLNMVTEKNKNELDKTSGAVNGIFSQSGDSGSENSSEGSDANSKNDSEPKTGGRHEPLDETSQNGTSGIATASTQTKSHQTVPVMPILAAGLPGVVAGPTTNLNIGLDYWVGPTPSVNPPVYGKVPATVASGATVTSTLVGGNEKVASEIWLQDERELKRQRRKQSNRESARRSRLRKQVEYEELAQRVEVLKEENTALRAEVDRIRKEYDQLVAQNASLKERTGQTTKEKEDLVIKESSQYADDNARRSLSSEPQEGQSDSKQSGK >Ma09_p28590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39290064:39290929:-1 gene:Ma09_g28590 transcript:Ma09_t28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRSSPYGLRPPKSATTTPMKPGSPALQAPPLSEFYDSRTRMQRLLQPRHKTSPLIWCGAVVCVVFSLLLILAGVITLIIFLVVKPRNPSLDLTAASLNTIYIDSSTYLNSDFTFLANFSNPNHKIDFTFEYLGVELYFHDRIIAVQAVQPFAQRTGESRLESVHMVSSEVPLPPGLALQLQQQVRSNSVVYSIRGTFKVKASLGAGHFSYWIYPRCDVELSAPPNGVLVAKRCRMKS >Ma04_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22173811:22174044:-1 gene:Ma04_g19560 transcript:Ma04_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEISRLYLQFSSCDEPRSVVKSSMMRSDAGRRVRESRERRRRLFEGWHVQGQPSVHVQTSFPPFESHVLPTVLIM >Ma04_p06320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4663654:4668521:1 gene:Ma04_g06320 transcript:Ma04_t06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMLFWRPREQPHTFSTIDKLNKTLAMLEKKEQVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRLHDQIIMLEGAKSTTETVDALRTGAAAMKAIQKATNIDDVDKTMDEINEQTESMKQIQEALSAPIGGAADFDEDELEAELEELEGLKLEEQVLQTATTAPAPPVQVPAVRIPTQPVPHKNTSDADEFAALQAEMAM >Ma04_p06320.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4663620:4668775:1 gene:Ma04_g06320 transcript:Ma04_t06320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMLFWRPREQPHTFSTIDKLNKTLAMLEKKEQVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRLHDQIIMLEGAKSTTETVDALRTGAAAMKAIQKATNIDDVDKTMDEINEQTESMKQIQEALSAPIGGAADFDEDELEAELEELEGLKLEEQVLQTATTAPAPPVQVPAVRIPTQPVPHKNTSDADEFAALQAEMAM >Ma04_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4663620:4668775:1 gene:Ma04_g06320 transcript:Ma04_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMLFWRPREQPHTFSTIDKLNKTLAMLEKKEQVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRLHDQIIMLEGAKSTTETVDALRTGAAAMKAIQKATNIDDVDKTMDEINEQTESMKQIQEALSAPIGGAADFDEDELEAELEELEGLKLEEQVLQTATTAPAPPVQVPAVRIPTQPVPHKNTSDADEFAALQAEMAM >Ma04_p06320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4663654:4668521:1 gene:Ma04_g06320 transcript:Ma04_t06320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMLFWRPREQPHTFSTIDKLNKTLAMLEKKEQVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRLHDQIIMLEGAKSTTETVDALRTGAAAMKAIQKATNIDDVDKTMDEINEQTESMKQIQEALSAPIGGAADFDEDELEAELEELEGLKLEEQVLQTATTAPAPPVQVPAVRIPTQPVPHKNTSDADEFAALQAEMAM >Ma09_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2523570:2532316:-1 gene:Ma09_g03820 transcript:Ma09_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSAEQDTRFSNKQAKLLKSQKFAAELDHPVDMTKVQMDVIRPWIATRVTELLGFEDEVLINFVYGLLDGKEVDGKQIQIQLTGFLEKNTGKFMKELWGLLLSAQNNASGVPQQFLNAKEEEMKKRKVESDRITEEIQKRKEKEGRDFEQGNKRRMDVEADNSRLANAISGWSSEHPLDKTSSVQPETERDVDMRRSPILKGRNGRSRSVSVSSQSQGHSVSPIRQYHSPGRHSIPSERRHKSTARSSISPRRRYSPRNNWSPSRHRSPYGRRGSPSKSRHRSPPLIQRRSSRSPSFLRRRSPSPSHHRSPVQSRRRSPSSIRRRRSPSPSRNRRSPSPLRNRRSPSPMHRRRSPSPTRRRSPSPMRRRRSPSPVRRRRSPFPMRRRRSPSPIHRRRSPSLTRPRRSPSPTRSRRSPSLMHDQSPIRHRPLSPSTHGSLSPLRRPAPHKSPQQRKTSPLHSPRIYRADSQVSLGARKRSRSPYMSGSPSNPARRDSSRETDRRTNGFDSKRYQDEYTSERVAENAAKKTEKQRLHKSQGRNGKEEQASSVREDAHHRTESSSKKVDHSPGTRGHPTNVKLKQNESEHNSNMDLPTRHVRQVSSESPNEMEYDTKHFKRPKRKQETSSQSNPSDLEGTDTPKNRSSEKRKHKKYEHKKGSDDSSESDSDPEAKKEAKRRRKEERRLRKEERRRKREEKHQKRLERRASKLKMRPMDTVMPPSDFEKEHSDAGKSDDEAAASKGPHIADAEETESEEKQLEIKLREKALESLRARKATSH >Ma09_p03820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2523570:2532316:-1 gene:Ma09_g03820 transcript:Ma09_t03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSAEQDTRFSNKQAKLLKSQKFAAELDHPVDMTKVQMDVIRPWIATRVTELLGFEDEVLINFVYGLLDGKEVDGKQIQIQLTGFLEKNTGKFMKELWGLLLSAQNNASGVPQQFLNAKEEEMKKRKVESDRITEEIQKRKEKEGRDFEQGNKRRMDVEADNSRLANAISGWSSEHPLDKTSSVQPETERDVDMRRSPILKGRNGRSRSVSVSSQSQGHSVSPIRQYHSPGRHSIPSERRHKSTARSSISPRRRYSPRNNWSPSRHRSPYGRRGSPSKSRHRSPPLIQRRSSRSPSFLRRRSPSPSHHRSPVQSRRRSPSSIRRRRSPSPSRNRRSPSPLRNRRSPSPMHRRRSPSPTRRRSPSPMRRRRSPSPVRRRRSPFPMRRRRSPSPIHRRRSPSLTRPRRSPSPTRSRRSPSLMHDQSPIRHRPLSPSTHGSLSPLRRPAPHKSPQQRKTSPLHSPRIYRADSQVSLGARKRSRSPYMSGSPSNPARRDSSRETDRRTNGFDSKRYQDEYTSERVAENAAKKTEKQRLHKSQGRNGKEEQASSVREDAHHRTESSSKKGTRGHPTNVKLKQNESEHNSNMDLPTRHVRQVSSESPNEMEYDTKHFKRPKRKQETSSQSNPSDLEGTDTPKNRSSEKRKHKKYEHKKGSDDSSESDSDPEAKKEAKRRRKEERRLRKEERRRKREEKHQKRLERRASKLKMRPMDTVMPPSDFEKEHSDAGKSDDEAAASKGPHIADAEETESEEKQLEIKLREKALESLRARKATSH >Ma05_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9206720:9209062:-1 gene:Ma05_g12750 transcript:Ma05_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRAHHRRHTSPPSYSFAFPLLRVALTLTVLCLARLSPAAAGSLYNLVYKGCANQTFSGGGAAYGQTLAALSSSLTAQASNSKFYKTTASAYGGQSIFGLFQCRSDLSPSDCSDCVGRLPPMWSSLCGDAAAARVQLAGCYAMYQVSGFPQVSGTQMLYKTCGSGGGGGDFEVKRDTAFSQLQSGVAGGQGFYATSYGSVYTMAQCEGDLSTGDCSDCVAQAIQKSEVECGGAASGQVYLDKCYISYSYYANGVTTAGGGGGGGSVRGQTGKTVAIVVGGAAGVGFFIICLLFARSVMKKKEDY >Ma06_p32320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33253103:33253549:-1 gene:Ma06_g32320 transcript:Ma06_t32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRISEEEIKELISKLRFLLPETRRQGGSRALAAKLLQETCNYIESLHRDVDDLSDRLTELMATMDISSAQAEIVRSLLRS >Ma10_p30240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36591081:36592577:-1 gene:Ma10_g30240 transcript:Ma10_t30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYRRMLSDKDHSNYDKEGRATPPPSPPPSPSAPPLHVDNHHGRHLVSFLLIPAAIATAALLLSLTYYAVLRRRRRPVRSASFVDPNPDGNDDDTPLDDGEPFHHVWYIRTVGLDEATIGSIAVAEYRAGDGLLDGSSNCSVCLGEFRDGELVRLLPKCGHAFHVPCIDTWLGAHVNCPICRAHIVDPNGELSPPANAPAAGPAPSVTASAGSVDFDSVFSAPAEDPHIGIQPPEEQQNGETSESMIAIGIPINTSEAFHPTPGSSASLAQDDKRDFGLHQVRRSVSMDTPLMNSIIVRVKPEESMIDEEGKDETSEEDTVQNNGVKQGSSSKGIVVRKEHSDIERSLSSSGRGFFFSRHGVARIHSMPM >Ma06_p35880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35589436:35591319:-1 gene:Ma06_g35880 transcript:Ma06_t35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHRSIRPLLPCLRRCRLTTFSTVFPPPSTVIAKHSSPGDLYRTLNRQSTDGQIGNAQNAAADVMSRGSPTRGQTTQGKDGKPVYPNESEESACFGSSVHYGGRDFSVSSPSDQISGAPKSYKTNEGNKPGDTNIATRGEWWQGSLYY >Ma11_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2871966:2874861:-1 gene:Ma11_g03790 transcript:Ma11_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSARIALSRLISPRNTSFSVSLRLAKPLSFFTLKALPAPAKFLAFDSRDLRRAFIPCFCLLPSPASPLLSRSASDMASSKSAASIHDFTVKDAMGKDVDLSMYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKGKDFEILAFPCNQFGGQEPGSNEEIVEFACTRFKAEYPIFDKVDVNGNNAAPVYKFLKSSKGSILGDGIKWNFAKFLIDKDGHVVDRYAPTTSPLSIEKDIKKLLGEA >Ma04_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5664315:5673791:-1 gene:Ma04_g07820 transcript:Ma04_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIRTAQPVVPEVSTVVEPEHQKAVRPIPKPAATLEGLIAEETFSNHSIGNDALTDSEQVGFVGSSAPGSTSKNQFPVGNHTDVSDDDGWITIPYKELPDNWADAADIQQLRSLDRSFIFPGEHIQILVCLSASKHVSEVITPFRVAAVMSKNGKSSPNKEQHIETLGKMPSPLGLNGLVSSTPEKASGQKVENNIETVSAGSVLSPQNDISATESLLHMEQHKQQIESILQSFRNSNFFVRIAEADEQLWSKRNVNSSMNSEVVGGKSHPNDGSKKVPRCNVVSAIVDKGSFDGITSGGVARNTVRCYSLSNGDVVVLLEVNVGVSNLKDAVLEVIQFEKYRSSNSAFENHNNLLVPNKDDPYWELLDWLLPLDRTLPPRSLSPPLSSSISQKPTYPASGSQFFSFSHFRSYSMSSLPQVTGPPSSATSFSNSKPAFDPEDFDRFSSEKLTKNQDTGNERLLSFRGVSLEPERFSTHCGLDGIYLPGRRWRRKLEIIQPLQIRSFAAECNTEDVLCVQIKNVSPAHIPDIVIYLDAITIVAEEEASKEGRPLYLPIASIDAGNDHNLPSLALRRGEEHSFILKLASAINGDSKGNGEIMYSRTNAAPSNTHMMSNSSDGMMVSCTAKQFAILVSCHCNYTESKLFFKQLTDWRPHIARDLMISIASETHKQSDIPNSRAPQLPVKVLTLKATNLTTEDLTFTVLAPEIPTSPSVLSLSSTPRTPMNSHATFHDYVGSSVPIATKSQKESCDDGKTSSSVERTAMMSDVILSNSAGFTHLWLQSAVPLGCIPARSSATVKLELLPLTDGIITLDTLQIAVKEKGLTFVPEHSLKIHATSSIATGIL >Ma09_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4840612:4851803:-1 gene:Ma09_g07390 transcript:Ma09_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEREKEREAELENAMYTNCLLLGLDPAVLGGGPRLGHFRHSNPKLGEQLLYFLLCALRGSSKDFDKVWPIFDSAQSRDFRKIVQGIISELESQGVLPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHKRTFAADVASNPLPPALTDASYQHAAALLPVTKARIALERRRFLKNANAAVHRQTTWSNLAHEMTAEFRGLCAEEAYLQQELEKLQDIRSKAKSEGESCEDHVSSSAQNSQLITKATRLWESLLARRSQHEVLASGPIEDLIAHRDHRYRISGSSLVAAMDPTSHVPYSGILSVSSGEMSSPVDVQEQMNLPHPQVKSETLSKMVDRGGIVHPTVDVAEILRRWTHALQRIHKQSLHLVKANDGEGPELLRSSSDNVMSGHAESLAVTLAEHRQHLVSIQGLISQLKEAIPAMQKSIADLTEEVENISSTTMDGFNARPTLNMQSQGAQDIVTDDVVTLNSRLSSLQLEKASTSPVLKLPHLISLAPNSSGKNTHTSKRTAIITQSIQESLPVGTSVESQFTNDRTGSAAKEDDNYNVQSIRRSVREAALSRPLRNSELLKERSKDDGSEHFFIPLSTGVPLKEVDAVAIRRKQQLVLSPPENNNHNISKFFFSNSEGQLDSVQTLSINSYGLDGHTNQTGLIQPATVNSKRVYPDIDDALDQVFSPPLLMESSFFQDTYEDLLAPLSDTDAALMDF >Ma04_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22853095:22854412:1 gene:Ma04_g20160 transcript:Ma04_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELLLQECEANTCSSSSSSSSTITSSASSSSLSSMATSCCPNASPELPGQSSNKEKKTSRSGSKRQAQAAPAGGGGGAEKRSKDGKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGQSAHLNFPELAAELPRPATAAPKDVQAAAALAAAATFCGPCTTSQCSAPDQAEQPDPTTPPSGDDDALFDLPDLFLGLREGFCYSSSSSSSAWLPSTEEDGVEFRIEEPFLWEDN >Ma01_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3499774:3509842:1 gene:Ma01_g05010 transcript:Ma01_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGLGLAAACAVATCAIATVMVAHRVRSRRRWGRAVALVREFEEACATSVGRLRQVVDAMAVEMHAGLASEGGSKLRMLLTFIDNLPDGSEEGTYYALDLGGTNFRVLQIQFSGKGSMILTHRVQRQQISQELMTGTREELFNFIASTLKQFVQREDDGIDQIPDVRKDLGFTFSFPVRQLSVSSGLLIKWTKGFSIKDAVGKDVAQCLTEAMLKTGLNMRVAALVNDTVGTLALGHYYDVDTVAAVIIGTGTNACYLERTDAIIKYQGLLTNSGDMVVNMEWGNFWSSHLPRTPYDISLDDESPNRNEQGFEKMISGMYLGEIVRRVLQRMAEESDIFPDAVQNLSVPFVIRTPLMAAMHEDDSPDLREVGKILEDHLKISGVSLKARRLLVRVCDIVTRRAARLAAAGIVGILKKIGRDGSAGVASGRTKGKPRRTVVAVEGGLYVGYSMFREYLNEAVAEILGEEVAPYVCLRVCEDGSGTGAAVLAAAYSSNR >Ma02_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15870180:15870902:1 gene:Ma02_g04420 transcript:Ma02_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAAAAATTTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGRYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIIPRHIQLAVRNDEELSRLLGAVTISNGGVVPNIHQVLLPKKQTGGKGKGDIGSASQEF >Ma01_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17573151:17576831:1 gene:Ma01_g20290 transcript:Ma01_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGKKHREPQGRRGGDASDEEDILPSSAYDVPPPTNDREHQESESGEEEDDDGGRAWAAAAPSKFHLYQLSVQSPKGDISYMQKFFLMYVGGRFPLHLQEDFCGTALLSCEWLRSDPRRTAIGVDLDLEALNWCLENNLNKVGGDGCSRISLLHGNVLCPQEACLVKHQVEDLVKDLALSDKNGASESVTTNERDDSEVQGCFTYASVKIDALPNRDIVCAFNYSCCCLQKRKDLVLYFKHAFNALSKKGGIFVMDLYGGVSSECKLHLRRRFSNFTYVWEQAEFDIINRRTKISLHFHLGKQQIIRHAFSYDWRLWSLPEIKDCLEEAGFQSIHVWIRKMPDTTDNQNSEEFTVSRDVKYEMVASFQQQDAWNAYIVSVANV >Ma09_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12753933:12756555:1 gene:Ma09_g17210 transcript:Ma09_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGLFTFLRPRLRPQSTDIAAAATWGVAAATGALWLIQPFDWLKKQFLEKPEPEK >Ma03_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19136930:19142987:1 gene:Ma03_g16560 transcript:Ma03_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRGGSLGDSKRESSGSSSLRLSSSSSSVAAAMSAADLLSPFGQMGVPLSDPELRETAYEIFVASCRTTGSKPLTYIPQSERTPPSAERSSSLSPSASSLQRSITSTAASKMKKALGLKSSSSSKKGSPGKDSSPSKPSKKPATVGELIRVQMRISEQTDSRIRKGLLRIAAGQLGKRVESMVLPLELLQQFKASDFSDQQEYEAWQSRNLKVLEAGLLVHPLVPLNKSDNASQRLRQIIRGASEKPIETGRNSESMQVLRSAVMSLACRSPDRSASDFCHWADGFPLNLHLYQMLLETCFDASEDGSIIDEIDEVLELLKKTWVILGINQMLHNLCFTWVLFHRFVTTAQVDIDLLHAADNHMDEVAKDAKATKDSVYSKILSSTLSSILGWAEKRLLAYHDTFNASNIEYMQSIVSLGVSAAKILVEDISNEYRRKRREETDVARSRVDTYIRSSLRTAFAQRMEQADSSRRSSKNQSTPTPVLSILAKDIGELASKEKELFSPMLKRWHPLAAGVAVATLHSCYGNELKQFIAGVMELTPDTVQVLKAADKLEKDLVHIAVEDSVDSDDGGKSLIREMPPYEAESAIANLVKVWIKTRVDRLKDWVDRNLQQENWNPGANRENCAPSATEVLRIINETLDAFFQLPIPMHAAMLPDLLIELDRSLQHYALKVKSGCATRSSFLPSLPTLTRCEVGSKLWKKKEKPQNLPKRRSQVGSRDSNSFGLPQLCVRMNSLHYIRTELENLEKKIKTCLRNVESAQADISNGLEVSFELTLASCQEGIQQLCETTAYKVIFRDLSHVLWDALYIGETTSSRIDPFIKELDPILEMISNTVHNRVRNRVITALMKASFDGFLLVLLAGGPLRAFSRQDSQIIDEDFRSLKDIYLAEGDGLPQELVEKASAQVKNVLPLFHADTESLIERFRQLITETYGASAKSRYPLPPTSGNWNPTEANTVLRVLCHRNDESATRFLKKTYNLPKKL >Ma01_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9991504:9992606:-1 gene:Ma01_g13660 transcript:Ma01_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWMVPRYSFQFRSPKPTDELNGCGMLYVKRNPKLKVRIVDGTSLAVAVVLHSIPEGTQSVLLLVGNVSKMALSLCLALCKVDIQVEMVQRDKFNLLKQRLPPQLQNYLVLSGKYRSKTWLLGNGVRLIHYMDVIVSRRAS >Ma03_p28520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31653848:31655647:-1 gene:Ma03_g28520 transcript:Ma03_t28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKNAVQLTPEEDEEACMYAMQLASGSILPMTLKAAIELDLLEILTKASPGARLSPADVAARLPTKNPHAAVMVDRMLRLLAAYNVVSCTVEADAEGRPSRTYGAAPVCKYLTKNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNRAYGMTAFEYHGTDPRFNAVFNDGMKNHSIIITKKLLDIYRGFDDVNRLVDVGGGIGATLFMITSKHPHIHAINFDLPHVISEAPPFPGVEHVGGDMFASVPSGDAILMKWILHDWSDEHCAKILKSCWEALPEKGKVIVVECVLPVVPEPTPRAQGVFHVDLIMLAHNPGGKERTEEEFHGLAKQAGFSGFKATYIFANAWVIEFTK >Ma04_p35210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34091348:34091842:-1 gene:Ma04_g35210 transcript:Ma04_t35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPPSLLALSVISIVVLIISMADLTSSQISPSPSPVSGGPLRSPPAPSPTSPPSKTPSPSPSPGTLGSPPAPPPETLSPAPTPSTAPSPAPRAGSADVRADVDEVGGGGEGGMSGGKKAGIAAGLILGVAVLAVGAVLYKKRRDNIQRSRYSYATRREML >Ma01_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28147039:28148967:1 gene:Ma01_g23520 transcript:Ma01_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLARSGDRCLPFFRALKNPKGFQWTTECEEALKQVKQHLANLPRLASVSPGEKLSIYLAASPHAVSSVLVKENSGEQLPVYYAHPVEVITDQPLRQVLSKFDVAGRLLKWAVELGEHDIQYVPRTAIKAQSVADFIAELTQIGDESLGQLPEAWVLHVDGSANSKGAGAGLVLQAPDGRSFERSLRFGFRATNNEAEYEALLAGLRLALEMQVVAIRVLTDSQLVAEQLNGGYEARDPTMAKYLAQVKNLTAKFLHFTLFNVPRGENEHADTLAKLASKPALEVRPGVEELPARAIEIAAAASGSAPTTWVQELLRFKRDGTLPPDKATARCLRRAHAWYTEVGGRLYKRSFIYPLLRCLEPDEARTVLVEVHEGVCGEHIGGRTLAHKILHQGYYWPTMCQDAKAHVQRCSSFQEHARTPRLPAVPLTPMDCAWPFAQWEAEPLATITERQIEKFVWKNLVTRFGLPNTIITDNGPQFAGRKFREFCANHGIQLRFSSVAHPQTNGLAEVTNRSILDGLKRRVSAARSAWTDELPSVLWALRTTPTTATRESPYSLAFGTEAVLPPEVAIATLRT >Ma03_p20710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25846445:25846715:1 gene:Ma03_g20710 transcript:Ma03_t20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFWRGNPIWGSCWVSCFWCSDCYLCI >Ma09_p17820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13415998:13429050:1 gene:Ma09_g17820 transcript:Ma09_t17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTAEVDPGSYLLSRTVDGFGFTKQEQSNSTEALSRNRPVDDHPNDREQKRIRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELEIIRDISRTFPSHVFYQQRHGLGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAIHAPMEGLYQAGLPLVQEYLCQFEQLVKEYLPMLGEHFTQEMVNPSMYASQWFITVFSYSFPFPLALRIWDVFLYEGVKVVFQVGLALLRFCHDDLIKLPFEKLLHALKNFPAEAMNPDKLLPLAFSIKVSKRLEEFKRDYEKRKERPLPHSLPSLSSSGIPIHNLAGLE >Ma09_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13415998:13429050:1 gene:Ma09_g17820 transcript:Ma09_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTAEVDPGSYLLSRTVDGFGFTKQEQSNSTEALSRNRPVDDHPNDREQKRIRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELEIIRDISRTFPSHVFYQQRHGLGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAIHAPMEGLYQAGLPLVQEYLCQFEQLVKEYLPMLGEHFTQEMVNPSMYASQWFITVFSYSFPFPLALRIWDVFLYEGVKVVFQVGLALLRFCHDDLIKLPFEKLLHALKNFPAEAMNPDKLLPLAFSIKVSKRLEEFKRDYEKRKERPLPHSLPSLSSSGIPIHNLAGLE >Ma09_p17820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13415998:13429050:1 gene:Ma09_g17820 transcript:Ma09_t17820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTAEVDPGSYLLSRTVDGFGFTKQEQSNSTEALSRNRPVDDHPNDREQKRIRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELEIIRDISRTFPSHVFYQQRHGLGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAIHAPMEGLYQGVKVVFQVGLALLRFCHDDLIKLPFEKLLHALKNFPAEAMNPDKLLPLAFSIKVSKRLEEFKRDYEKRKERPLPHSLPSLSSSGIPIHNLAGLE >Ma05_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30967752:30968595:-1 gene:Ma05_g20010 transcript:Ma05_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGNSSINAKLVLLGDMGAGKSSLVLRFVKGQFLEFQESTIGAAFFSQMLAVGDATVNFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDISSSESFERAKKWVQELQKQGNPNMVRALAGNKCDLEDKRKVTTEVTPVPDKF >Ma07_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:927044:927616:-1 gene:Ma07_g01220 transcript:Ma07_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISCDLDLRLRLSSSGTDSGTVGSNGLVEFLGKSKESQQQQITLFYNGRICVCNLTDTKARAIISMAKRQMDEQQAKGSSFLPRDPPPSPHPHPPEQLLINPGLAMKRSLQLFLQKRKSRVHSLSPYAPCPL >Ma11_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11141762:11145198:1 gene:Ma11_g10980 transcript:Ma11_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSLSLFLRPSNTIEAFTTTASSAPTRLSSLFSSSSCRHRPPPSKSRLYASFFCGLIRAHLACGQLSAALRALRHMRSLGLRPTLLSWNRLLSRFNSAGFVAEVPALYSELRRSSAKPDSFTHNVLIHAFCKMGSLDAALRVLRSDAEIDAVSYNTVIWGFCDLELAESALGLLSEMLKKGFPFDCFTCNILVKGLCRKGQLGVAESLSDMLVQGGITRDVIGFNTLIDSYCKMGKMDGAQGLVESMMAENVSPDIVTCNTLIHGFCRNRDFGMARRVMEDIGAHLEPNVITHTTFIGEYCKRGQLEEAFVLYEDMVKSGILPNVVTYTSLINGLCNKGRLSEAYALFREMEKMGVAPNHVTYCTLVDSLFKAGRERDSFALLGEIVARGVVMDLVLHTTFMDGLFKLSKVDDAEQMFRLICSPCLIPSHVAYSALIDGRCKLGDMEGAESALLEMQRKLLNVNVVTYSSIINGYIRKQLLAKALDAMKKMTERNTCPNVVTYGTVIDGMFKIGNQEVALQMYKEMREEGLDVNNYVIDSLVNGLRKTGRMEEAESLFRNMEQNGVLMDRVNFTSLMDGLFKIGNTSAAFNVGQEMIQKNHVPDVVVYNVFINSLCNLGKSSQAKSFLSEMKNMGLNPDHATYNTLINAHCKEGRIDKALELSKEMMSVGLMPNRITYNTLIGGLCEVGEAEKAVDLLIEMAAGGFFPSSSACRNVLHACSKCKRADLIVQTHKKVVNMGLGHDITLYNTMIHALCTLGMTRKANVLLKDMLARGIKADTITFNVLMLGHCKSGHLDKAFDMYSQMLLEGISPSIATYNTLLGGLSAAGRIGEADKLINEMKKRVVLPNNFTYDILITAYGKQSNRKESIRLYCEMVMKGFVPKLSTYNVLISDFAKVGMMKQAIELFNEMNKRGVLPNSSTYDILISGWSKLLNGSEVKRLLKEMTEKGFAPGEETLGFISKAFARPGRTLGAQKLLRKLYKI >Ma09_p30770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40719584:40720252:-1 gene:Ma09_g30770 transcript:Ma09_t30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGH >Ma08_p29430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41023041:41026467:-1 gene:Ma08_g29430 transcript:Ma08_t29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVRSGFLIQAAFLVMISGSSNMGVSGLGSMSSIAVSYGENGPVFCGLSSDGSHLVACFGADASIVYGAPLRLPLLGLTAGDGFVCGLLLDTGQPYCWGSNIFVKMGVPQPMAEGASYSEISAGDDHLCALRKPAIDSRKGASLIDCWGYNMTASHEFDGAVAAITAGSVFSCGMFIHNRTAFCWGDETSSGVISLTPRNLRFQSISAGGFHVCGILENSQVFCWGRSLETQQLSLGHGDVKMVPMDPMVSVAGGRFHACGIKSLDHKAVCWGFMLQNSMPPPKDSRLYEIAAGDYFTCGVLAATSLRAVCWGTGVPWSIPMAVSPGICASNPCGQGYYEFSHTSLGNKVCKPADSRVCLPCSVGCPEGTYESTPCNLTSDHGCEFNCSSCASVECSSFCSSQKESKSKRLLSLQMPIFIAEIVFAIIFVSSVFLVACLYARHRLQSCRCLESAVTTSRKRTYSFHKEMVKVGPDLEELKIRRAQMFTYGELEKATSGFSEESLVGKGSFSCVFKGVLKDGTVVAVKRAIRVSDVKKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLYRHLHGKDLCLRKRLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSSPLSEPPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDISAILDPVLKPPADLEALKKIAAMASKCVRMRGKDRLSMDKVTTTLERALALLMGSPCNEQPILPTEVVLGSSRLHNKASQRSSNRSCSENDTDDQIYEYRAPSWITFPSVTSSQRRKSSASEGDAEGKNSEGRSLGHGGAGDGLRCLEEEIGPASPQENLFLQHNF >Ma09_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19120153:19121017:-1 gene:Ma09_g18800 transcript:Ma09_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPATPNPNLQGDQLLHFSHPQHPLLQISLPYLFTCMGCKEYGAGRRFRCQTCGFDLHDFCALAPPALHNHPFHHKHQLVFFTKPGGFLRWKCDVCGKAAKGFGFRCTTCSFGMHPCCAAMRVVMNFPTHQHPLVLSPSAAVATGDASTVCNVCQRKRSGQVYRCAAACGYCLHAACAKDMVNGLYVHGLRSPDKPNNMLGTAAKLTTQALVGIIGGLIEGIGEGIGEFLMDNIGRGSCRSIKHN >Ma08_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23239027:23240114:-1 gene:Ma08_g17130 transcript:Ma08_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNLSALLLIFMLVISSSPLSLACGYCPPSTPKTPKGPITVPPIIVNPPITVPPIVVRPPITVPPIVVKPPITVPPIIVRPPITVPPIIVKPPITLPPIIVKPPITLPPIIVKPPITLPPIIVKPPITVPPITVPPVIGGGKPPGTGTAGCPPPPAPAKQCPVDAVKFGTCLYILGSPVHIGDPAVTCCPIVEGLEGLEAALCVCTTIKGMFMGMDFLFPLAIQLLVTGCGKSIPPGYICPS >Ma01_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4531646:4540222:1 gene:Ma01_g06360 transcript:Ma01_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPRPESVIKENEIRITSQGVVRNYVSYATSLLQETRVREIVLKAMGQAISKAVAIAEIIKKRNPGLYQDTTISSVSITDVWEPIEEGLVPLETTRHVSMISISLSTRELNKNSPGYQAPLEQPRRQQRYQQPQQFQQQQQFQPKQPQDQFNEDSYVRGRGRGRGRGRGRGWGRGYGGYGGYDNNQGGYGNYQGGYGGYGYNQGGYGGYNHDQENGGWNSNWGRGGGRGRGGWNYSGGGYGGGRGGGGRVGGRGYSRGRGRMGGRARGNQF >Ma09_p27270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38302708:38304502:-1 gene:Ma09_g27270 transcript:Ma09_t27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGTTFLFASFLVLLLLLLRKHSYSRRGNARLPPGPFKLPLIGNLHHVLGPLPYRSLAALSEKFGAVMLLKLGEVPTLVVSSPEAAAEIMKTQDVSFASRPMISSVRIIAYGDKSPVFAPYGSYWREVRKMSILELLSVKRVLSFRSIREEEVLNFVRSMDLSSNSGSTVNLSSKFALMTNDIAARAIIGRKCKYQKQFLQVINRALEASGGFSLADLFPSSSLVSLLSGIPLKLPRLHREMDAILSSIIQEHRERNSTEQVEEDLVDVLLKVQREGRVPFAFTDVAVKAIILDLFGAGGETTATTLEWIMSELMRNPGAMKRVQQEVRETVGGKGRVREEDINEMKYLRMIIKETLRLHPPLPLLLPRECQEPREILGYQIPEKTRVLVNVWALGRDPRHWDDAAMFKPERFDRGSSTVDFKGNNFEFIPFGAGRRMCPGIAFGMASVELPLASLLYHFDWELPARDGVKPNELDMTENFSLTCRRRSELCLRAIPRNPCPMY >Ma00_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3332513:3334974:-1 gene:Ma00_g00890 transcript:Ma00_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRPSPPLFAALLLVAASLRVASSSDSDVLLRFKATVSDPAGSLNSWAAGSTPCNKNVSNWAGVVCHDDGSVSGLRLEDMRLSGSLTRIDLLQSLPGLRTLSFMKNDLAGPLPVVEKFNSLRTLYLSMNKFSGAISDDAFAGMSWLKKLHLSSNGFSGPIPTSIAQLPKLLELRLDNNRFSGPIPDLQLKSLKLVNMSNNYLEGRIPDGFRTMDAGLFAGNKALCGDPIGVPCKPLPSESLSNQKLAVTVATVVFIVSGIVAVVLLLPQQRQMEHERLEQVQSPKKPSKDTKFASSPKEEKLESGAAGYDSDGSSRKPAKEHEQGRLVFVREGRERFELQDLLKSSAEVLGTGRFGCSYKAALLSGRSVVVKRFRDMNRVGKEDFEEHMRRMGRLSHPNLLPLVAYYYRKDEKLLVTDYVPRRSLAAALHGFRAAKVPALDWGTRLKVVKGIAKGLNYLYEELQMLSVPHGHLKSSNVLLSDSFEPLLTDYALVPVTNQAKAAQSMVAYKSPECKQHGKTSKKSDIWSLGTLILEILTGRISMIDPSQDKEAVNLAGWVNTVAEEEWIDKVLDREMRATRKSGEEMIKLLKVGLACCEANVEKRWELEEVLDRIEELKESEGDEDS >Ma08_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34567876:34569628:1 gene:Ma08_g20530 transcript:Ma08_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRVEAFLFPLLLLLLSSSCLLASSSRSDPEKKRCAMECRGIPEQQQRKLCTPWDKLGRVFRSQRKGGIIKITEDQIRALNESKTESWPFGLSNEPYNLLENSPSHSNEHGQLHEATGNECEMLQDLNVDVSIANISERSMMAPNYDTRSTKLAMVVEGRGYIEMACPHRSAERRRTQEETGSQGEQRVRYRTVRSRVSRGSVFVIPAGHPAAVVAAANENLQVLCFGIRSENNRRYYLAGRNNVLNRLDRAAKAMAFGVPAEEVEEVLNAQPESVFMPGPERRREEEEKWRQIIFKYAGF >Ma05_p26060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37827704:37831630:-1 gene:Ma05_g26060 transcript:Ma05_t26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATLSPPPTTAFFSSSFLLPHPIQIPFNPTRRRRFLLRDSSKRSRALASLPDDSEGARPEYTPWLIAGLGNPGNKYQGTRHNVGYEMIDHISRAERITLNTIQSKALIGIGSIGEVPILLVKPQSYMNYSGESLGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQRKGGHGHHNGVKSVIQHLDGSRDFPRLCIGIGNPPGAMDMRAFLLQKFSKEEQVQMESAFEQGVEAVRTLVLRGFSGSIDRFNLVQKYKYHKV >Ma06_p27990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29804804:29805958:-1 gene:Ma06_g27990 transcript:Ma06_t27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPCKCAQIVKVFEDANKSQLSIIILDDIERLLEYVVIGPRFRI >Ma02_p19330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25522053:25532972:-1 gene:Ma02_g19330 transcript:Ma02_t19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKGGLDSAEPCIESIVNKDSGAGANAASMAEIVLITNSPLSELIWTPQEGLSLKYTDPSKAEKKASFLWKAESFNMRISTSLCTNRGESSSSRDQIAGELSTVQSNHNADGIAFLGSHKGSVDPQPISLSSLHEQDSRSCGDKKPEKGMNDADINISQSVRNGVDPCSPRSNECLHVICPATEADNLQNEIFSPGPRPDLAETEAGFGITAGTSNVLLDIPKFQKSGLVGVSDSHDSVSTRSGKSISSNRSSESSECKHPDLVFRDTQEDDIRKTDNLVCFQLHGSQHSYGRQSKSVGCQSKDGLVVASLFQEASKDEKQNIKIKNETDKFRSKSDVNPGKLEKGKEKILFDDEDYDSSMKEKEDSNESVESSNGQRLISKGKRAFSFDSKTTEGCKRIKRDSNEHSCPGSLIRNDSSFINWISTITSSFSRYDQTRTSLALLPQSYHSMKESFGSLRISHEKIGGVMCKTPGFNTFFQALYCPNMIAPNTTSDDHQREGGTSKDVKAMGEHYKHYANHAFGNFLQFNLMDPARGKQTYNDLKEHLTNKPLSASGLCIVCGGGSGKPHSQVSSLNERHNQASYHVENAPSIMINVSSSNSQIHEEFEKNRGQTCAFNSMNTSSSSARKGLICAQEKGNGRLSSHPKSPVSMMCREKSNYLENLWITRFLRKESSPLLRSEKCNLSINDHGAFDKEEKFPEKCVSSYLKNQRESAREVSKHAVNPTFSCGLKRLDNQMLKPRVSSIIPSKNFKNTDMAASCSAKRFDMNPSKVSHNRNLSCTPITCLFCGKNGHSLRECSELADFDLQDVKDFLDLYDGKHMSSRLCIRCFQVNHWAISCPHASLETKNTSNSSFSVAHESCIVREGKHDSRANLWSGDKNKQHANKSKLPEREDSRMDAQTVNYRSICADRVLFPTAKVFKGYRAENIVNMDQQASCSSENKSRKNQITPFYKSIATDVSNEQNGIFKAIRRLRLSRTDVIRWMKSANLSYSLEGFFIRLRLGKWEKGLGGTGYQVARIISAGHKNCLSVSIGHLECSVECCFVSNHDYVEEELKAWLSATLEADGKMPSIEQLSEKLKEREELGF >Ma02_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25522053:25532972:-1 gene:Ma02_g19330 transcript:Ma02_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKGGLDSAEPCIESIVNKDSGAGANAASMAEIVLITNSPLSELIWTPQEGLSLKYTDPSKAEKKASFLWKAESFNMRISTSLCTNRGESSSSRDQIAGELSTVQSNHNADGIAFLGSHKGSVDPQPISLSSLHEQDSRSCGDKKPEKGMNDADINISQSVRNGVDPCSPRSNECLHVICPATEADNLQNEIFSPGPRPDLAETEAGFGITAGTSNVLLDIPKFQKSGLVGVSDSHDSVSTRSGKSISSNRSSESSECKHPDLVFRDTQEDDIRKTDNLVCFQLHGSQHSYGRQSKSVGCQSKDGLVVASLFQEASKDEKQNIKIKNETDKFRSKSDVNPGKLEKGKEKILFDDEDYDSSMKEKEDSNESVESSNGQRLISKGKRAFSFDSKTTEGCKRIKRDSNEHSCPGSLIRNDSSFINWISTITSSFSRYDQTRTSLALLPQSYHSMKESFGSLRISHEKIGGVMCKTPGFNTFFQALYCPNMIAPNTTSDDHQREGGTSKDVKAMGEHYKHYANHAFGNFLQFNLMDPARGKQTYNDLKEHLTNKPLSASGLCIVCGGGSGKPHSQVSSLNERHNQASYHVENAPSIMINVSSSNSQIHEEFEKNRGQTCAFNSMNTSSSSARKGLICAQEKGNGRLSSHPKSPVSMMCREKSNYLENLWITRFLRKESSPLLRSEKCNLSINDHGAFDKEEKFPEKCVSSYLKNQRESAREVSKHAVNPTFSCGLKRLDNQMLKPRVSSIIPSKNFKNTDMAASCSAKRFDMNPSKVSHNRNLSCTPITCLFCGKNGHSLRECSELADFDLQDVKDFLDLYDGKHMSSRLCIRCFQVNHWAISCPHASLETKNTSNSSFSVAHESCIVREGKHDSRANLWSGDKNKQHANKSKLPEREDSRMDAQTVNYRSICADRVLFPTAKVFKGYRAENIVNMDQQASCSSENKSRKNQITPFYKSIATDVSNEQNGIFKAIRRLRLSRTDVIRWMKSANLSYSLEGFFIRLRLGKWEKGLGGTGYQVARIISAGHKNCLSVSIGHLECSVECCFVSNHDYVEEELKAWLSATLEADGKMPSIEQLSEKLKEREELGF >Ma07_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9837750:9839124:-1 gene:Ma07_g13100 transcript:Ma07_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSDQPLIHHLQQFEFKTLTEVPDSHAWPVVNDHPHGDDAVPVIDLACPDAARLIGQACEEWGAFQITGHGVPVDLLDRLEAQTRRLFSLPTGQKLKAARSPGGVTGYGIANISAFFSKLFWSEGFTIVGSPHDEARKLWPDDSAEFCGAIEEYTSWMKPLGCRLMLLMLASLGLSEGEIDWAVPSNDTTHEAPAPVIQLNSYPACPDPDRAMGLAEHTDSSLFTVLYQGSVRGLQLLHGNHPTRRARWVTVPPLPGALVVNVGDLSHILSNGRFQSVTHRAIVNRTQTRISVAYFYGPPAHFKIAPIEKLMGPQQGPAYRAVTWPEYLTLKRRLYNQALASIRLPTEGEEESRTNTSEIITAAYTRRHGEAKV >Ma07_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31923046:31934944:-1 gene:Ma07_g24630 transcript:Ma07_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPGASADEMAPGTSSAAGSEEAKVNGEGDDEALVARAQKLIGKIVDTQENPNPRLLHALASILEAQESRYLQESVSSPFNNVRASHTIGRLANLVQENDEFYEAISSKFLSESRYSTGVRAAAARLILSCSSSWMYPHVFDDDVLDNIKTWLMEENIDSNDDCIWKHVFGEDKPTESEMLTTYATGLLALALASPGPVVEDILTSGLSAKLMRYLRTRILGDANVGQKNCTYPAEVKHASVASYLRGRDENKLRSREVSDAPRLEGLKAGDEGSSDDSCVHRDCDRVTRQVCSDEYWGDSLKPEITDSSTAVDGAYEMVEGNADLASNEWQDRNLLDGKLRYGERLLAARSTRDEDPDENMRDDSSRRRVIRGLQRSRTKGRISEGNSDSDRVLTSPSSGLRLGGSGRVSRDRNLLKNEDTRKVTDTTNNSVKLDQEGLVIGEDNDDRLLDCYIGSRDISEMVKKAIGAAEAEARAADAPAEAIKAAGDAAAELVKTAALEAWNNTKIEEEVILAASKAASSVVDAAIATEISRTANEVNENLTETKAMEVEGDEMPEDFSILDKEPLARLREKYCIQCLQILGEYVEAFGPILHEKGVDVCLALLQQSFKEEVLDNLSLLPEVLKLICALAAHRKFAAVFVDRGGIQKLLSVRRVPQTFFGLSSCLFTIGSLQGIMERVCALPSDVVSKVIELALQLLVCPQDQARKNAAIFFAAAFVFRAVLDSFDAHDGLQKMLNLLHGAASVRSGGNSGTLGMPDAALRNDRSEILTASEKQIAYHTCVALRQYFRAHLLLLVESLRPNKSSRTVARNTSSARAAYKPLDISNESMDAVFLQIQRDRKIGPAFVRVRWSPVDRFLASNGHITMLELCQAPPVERYLHDLAQYALGVLHIVTFIPHSRKLIINATLSNNRVGMAVILDAANGAGFVDPEVIHPALNVLVNLVCPPPSISNKSSLSAQGQQPASVQSSSGHSESRERFSERHISDRIPFPTQNESREINSEPNLERSNTTVPLTPSGVVGDRRISLGPGFGCAGLAAQLEQGYHQAREAVRANNGIKVLLHLLHPRMITPPAALDCIRALACRVLLGLARDETIAHILTKLQVGKKLSELIRDSGCQAGGTEQGRWQAELVQVAIELIAIVTNSGRASTLAATDAAAPTLRRIERAAIAAATRITYHSRELLLLIHEHLQRSGLTATAALLQKEADLTPLPSLGVPSPPLHQTSVQDTSSVQLQWPSGRASCGFSSDMKMSPRDEDTGLKPESTVMTSKKKTLTFSSSFSQGKSHLPSHSSSVVKSSVVNGHTAHEGLETTPPSACKSNADIEPPSKTPNLLPVKRKLNELKDLFSATPAKRLLMSDLASHSATNQMSTSGQRNHLSNPNCLSPHANTTPRDRFSRGACGSLSGNNIDDIRHPNSYGASTAPVAQSGLPADQQPGNTERMTLDSLVVQYLKNQHRQCPAPITTLPPLSLLHPHVCPEPSRSLNAPANVTARVSTREFMKQYGGIHAHRRDRQFVYSRFRPFRTCRDDAALLTCITYLGDSSHIATGSHSGELKIFDSNSGNVLESQTCHQTPVTLVQSASCGGNQFVLSSGLYDVKLWDASSISTGPLHSFEGCKAARFSHSGTNFAALSSDTSRREVLLYDVQTYNVELRLPDSSSNHPGIVRGHAQSLIHFSPLDTLLLWNGILWDRRSSSAVHRFDQFTDYGGGGFHPAGNEIIINSEVWDLRKFKLLRTVPSLDQTVITFNGGGDVIYAILRRNLEDVMSAVNTRRVRHPLFPAFRTIDAVNYADIATVQVDRCVLDLAVDPTDSFVGIIAMDDHDEMFSSARLYEVGRKRPTDDDSDPDDGGETDEDDEENEESEADVDAILEAELDGDGDSDSDDMSNDEDDEDVDSGDELDEDGDFNLGGADFEGGRGLLEIMAEGDEEGDSDEGELVESLSSGDEGDFTF >Ma06_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2831942:2835643:-1 gene:Ma06_g03910 transcript:Ma06_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNLGCVVVAVDGSEESMNGLRWAIDNLRLRPAGEGADGAAEEAGALVVLHVQSPPSIAAGLNPGSVPFGGPDYIEVPAFTAAIEAHQRRITEAIMTHALQICAGKDVNVKTQVVVGDPKEMICEVATNLHADLLVMGSRAFGPIKRMFLGSVSNYCINHANCPVVVIKGSS >Ma07_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31824833:31827463:-1 gene:Ma07_g24450 transcript:Ma07_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTVSFVLSFILLFSAIGLSTCEDSSIQQKCSQEFTKVTSCMDYATAKASAPSSSCCSAVTDIRNADAVCLCYIIQQTHGGSSTIKSLGLQFDRLLQLPDACKLANTSVSNCPKLLKLSPSSPDYAIFMNATKGLSSSTNYIV >Ma08_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5005001:5008873:1 gene:Ma08_g07290 transcript:Ma08_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQRQAHHRFLRHSSDLRRQQPRRGGRQRRPSLVVEFIRPWRARGDAAAVLLDSGNIVLRADSNRILWQSFDHQIDSISSFIAINHLAELSQETASEKEVGSPTSLVSSATDKAYATKICISFTWWKSKPYRRSQVWTGNFFHGDRVQNPKLRGIHNSDKRRRYDVRDTNCF >Ma10_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24437674:24439397:1 gene:Ma10_g10540 transcript:Ma10_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQRKGETLSNGDKRGPLGFRASGIGDLRHRSNSLLPLLQSPHLSFKFDRTRDSADAPGLRFGGFGGVTMPAADFQGLSAPFASTGRSFLSLRRDHAAHPMDAHHRHHIDAGEQREFDAFQRQVADLFNDLAGGGDEILSISWIRRLLDTFLMCQEEFRVILFGHRRPPTLIDRLVSDFFERAVKALDVCNAVRDGVDQVRQWRKHLEIVLVTLGPGHRELSEGQLRRAKKALGDLAILMLDEKDTGSVMSHRNRSFGRNSGSSSSSSGRRSHFRSLSWSVSRSWSAARQLQAIGSNIAAPRGHEVVETAGLAVPVYTMNSLLLFVMWALVAAIPCQDRGLQIHFSVPRSYLWSGPIMSLHERIVEESKKKDRKNSIGLLKEIHQIEKCVHHLTDLMDAAQFPMADDKAMEVRQGLQELAQVYEAMKEGLDPLERQVREVFLRIVRSRTEGLNCLNGAE >Ma03_p26390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30144659:30147768:-1 gene:Ma03_g26390 transcript:Ma03_t26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIPLSHDEIRLRENRKKDSKVLFFIQQVVHETIFSRIAPATTSKHACNESVQDFLSRVTEIITHEARLNRSLEKSKEKIFQVKGDILFFFLAPPSPTDEIKTFVPNVVGAISSAISELIQLGAKTLVVPRDLPFGCLPTFLKFFSGASTKDYEPETGCLKFLNRLVEYHDQLLWHELSQLRRSHPHATIIYADCYNTTISIYRSPRRYDEPIKNN >mito4_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000015.1:770730:773508:1 gene:mito4_g00080 transcript:mito4_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITEFAHYSLFPGLFVAFTYNKKQPPAFGAALSFFCILIPFLGLSFCHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCWIPSFYGFLLSYRAKSHNVSKEQRISGALGIALFFSPFLSASSDPFVRNVFVCTEPLAESNPVSQDPISAIHPPFIYAGDVANTTTSFTAGANTVVSDQDQEPIHIWILTCRLFLTVGISPGSWWAHHELGRGGWWFRDPVENASFMPRVLATACIHSVILPRLHSFTSLLNILTLPCCVLGTSSIRSGLLAPVHSSATDDTRGIFLWRFFLFITGISMILFYQMKQQASVHITHKKEMVVARSTLVHLLHSAPAPLWYGIISPANHSIKEKHLVLKRHQ >Ma02_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22955690:22970982:1 gene:Ma02_g15140 transcript:Ma02_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNADDMELRRACAAAIGSGGSAQDIVLAIRVAKGRGFLEKLGRVATPRVLVLTTKHSPKGERTKAFLRVLKYSSGGVLEPAKLYKLKHLTKVDVISNDSTGCTFILGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNMCKEIFQRLPKLVGIDIVELALWAKENTPSVINRVNAQDGPATSVMEQNDLKVTVEKDLVSQAEEEDMEALLGTYVMGIGEAEAFSERMKRELLALEAANVYALLESEPLIEEVLKGLETASLCVDDIDEWLQIFNVKLRHMREDIASIELRNNRLEMQSVCSEALIEELDKLLEPLRIPSEFAASLTGGSFDEARMLKNVEACEWLTGAIRALEVPNLDPCYADMRAIRDKRAELQKLKNTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGHLKRPDHADLRYKCRTYARLLQHLKNLDKNCLAPLRKAYCQSLNLLLRREAREFANELRASTKASRNPTVWLEGSTGSSQTASSADTSTVSEAYSKMLTIFIPLLVDESSFFAHFMCFEVSALVPAGASNGKKKGSDDNSASDDDINLMDSEGNDVKQSNNSTELGALNDALHDLLDGIQEDFYAVVDWAYRIDPLRCISMHGITERYLSAQKADAAGFVRELLKDLQTKISTQFSRFVDEACHQIERNERNTRQIGVLSYISRFATLASRMEQYIQGQSRDLVDKAYTRLVSTMFTTLEKIAQSDPKSADVILLENYAAFQNSLFELANVIPTLAKFYHQASEAYEQSCTRHISIIIENQFDKLYQFFRRIDDLTYTVPHEEIPFQLGLSKTDLRKVLKSSLSQVDRSINMMYRRLQKTLSSEELLPPLWDKCKKEFLEKYESFVQAVAKIYPNESMPPVSELRDLLASS >Ma08_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35296267:35299968:-1 gene:Ma08_g21420 transcript:Ma08_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVQWRGRGRRRLLAVAVFVLYLVASAHCLDAERAVGRRKKKAKHEIKGPIKTLVVLVMENRSFDHMLGWLRSKGGRTDIDGLTGRESNHVKASDPSSPEVFVSDGAAYVDYDPGHSFQAIREQIFGSDDSSAVPAPMSGFAQQAESMGEGVAGTVMRGFAPEAVPVYSALAEEFAVCDRWFASVPASTQPNRFYVHSATSHGATSNVRRDLIHGFPQKTIFDSLDEDGLSFGVYYQNIPAVLFFKSLRKLKHLVKFHSYKLAFKLHAKQGRLPNYVVIEQHYFDVKLSPANDDHPSHDVARGQRLVKEIYETLRASPQWNETALLITYDEHGGFYDHVPTPVTGVPNPDGIIGPDPFYFKFDRLGVRVPTILISPWIEKRTVIHEPKGPTPNSQFEHSSVPATVKRLFNLNSNYLTKRDAWAGTFESYFYLRKTPRTDCPEKLPEVKPLRPFGPREDKVLSEFQVELIQLASQLNGDHVLNTYPDIGKGMTVGQANKYAEDAVARLLEAGRAALRAGANESAIITMRPALTSRTIGWSSGASVQSI >Ma04_p32950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32824296:32829680:1 gene:Ma04_g32950 transcript:Ma04_t32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKKLDRETGKESFAPSSSSGFEPLRPPPLLPLFPSFAPPTLDIPDTNSNPNLDSPYGLSVLHKRSIMTPSAAASSATAAVTFPCVAPRRLVSSAARRILRHLDLRLRIFLLLSFSSLYLLLSSSGADGSDGAPGRSFLVDFFSAIAFSSLFLVLCVSLNALPFRSFRLLLSRSSALLLPRHHLEGRQAPASPILWSIGSSSSDKPKTDHRPVSGFNAQLYSNGDVYEGEFHQGKCSGSGVYCYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGHYRQGLRHGFGVYRFYTGDMYAGEWSNGQSHGCGLHTCEDGSRYAGEFKSGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHQYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGILETLSTQSINLGSSIAVNHSKVLNAVQEARRASEKAYDVPRVDDRVNKAVASANKAANAARVAAVKAVQMRIPNNGNHTV >Ma04_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3558579:3567276:1 gene:Ma04_g04640 transcript:Ma04_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETSQQSPDRIDSLEPLESSIADDNQKPVDVENSNEQPPSDKDEKVMTSNATHDTNIMDHSADSQGHLDSSDAVSGHSTIYPPNLFAPQAQSFFFRGYDNPIGDEYSPYLNAEGLEVGSVGVYNENPSFLYHTGYGYSPQMPYGPYSPVTTPLPSISGDGQLYSTQQFQFPGTYYQQPAPPNMPYLPSPTSIPQADLTLPIDRQGLFPVNTQNFNTQLFGPRPGYQLSYGSFGRDWLRSPEGTRTVTPLLSPAASPQPVGAPVSFGQNTMPPTFGMASQQQRSLYGFGTSVNSIDRAYPPRGLYHGSTFEASFSSSGIKDQSLIDADRSRSRGKGTLCNRNGTLDFLNEQNRGPRANRLKNQMTEHNSSLDNDNFSSTSLVDRKLYNSADFVTEYKDAKFFIIKSYSEDNVHKSIKYGVWASTSNGNKKLDSAYHEAKKKEDPCPVFLFFSVNASAHFCGVAEMIGPVDFERSVDYWQQDKWSGQFPLKWHMVKDVPNNLFRHIILENNENKPVTNSRDTQEVKLEQGLEMLSIFKKHEYEVSILDDFEFYEDREKAMQERKARQQLSNLAAPGPVAIEDDRRNPAANSGDFISQISGNFAHAVRLEERSKSGPSTEKSSSLSTVVTSKSDSIEKPATTVTTSS >Ma02_p15500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23181872:23183158:-1 gene:Ma02_g15500 transcript:Ma02_t15500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLCCLGVDDSEAEEHHRGPVCDLRCLILRSLNVYDLNPRRERRIQPSSDQESTPASPASPEDDSAAGAHCSPDDPTCCASNKASGHLHGRRASSELNCDGIFNHSAPAHIYAISEDEDVCPICLEEYRDENSRTTLQCTHHFHMSCMYEWMERSETCPVCGRVSSFLMLVSFFHQNISFQLLLPYR >Ma02_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23181872:23183160:-1 gene:Ma02_g15500 transcript:Ma02_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLCCLGVDDSEAEEHHRGPVCDLRCLILRSLNVYDLNPRRERRIQPSSDQESTPASPASPEDDSAAGAHCSPDDPTCCASNKASGHLHGRRASSELNCDGIFNHSAPAHIYAISEDEDVCPICLEEYRDENSRTTLQCTHHFHMSCMYEWMERSETCPVCGRVSSFLMLVSFFHQNISFQLLLPYR >Ma02_p15500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23181872:23183158:-1 gene:Ma02_g15500 transcript:Ma02_t15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLCCLGVDDSEAEEHHRGPVCDLRCLILRSLNVYDLNPRRERRIQPSSDQESTPASPASPEDDSAAGAHCSPDDPTCCASNKASGHLHGRRASSELNCDGIFNHSAPAHIYAISEDEDVCPICLEEYRDENSRTTLQCTHHFHMSCMYEWMERSETCPVCGRMMLFVEDT >Ma02_p15500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23181872:23183158:-1 gene:Ma02_g15500 transcript:Ma02_t15500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLCCLGVDDSEAEEHHRGPVCDLRCLILRSLNVYDLNPRRERRIQPSSDQESTPASPASPEDDSAAGAHCSPDDPTCCASNKASGHLHGRRASSELNCDGIFNHSAPAHIYAISEDEDVCPICLEEYRDENSRTTLQCTHHFHMSCMYEWMERSETCPVCGRVSSFLMLVSFFHQNISFQLLLPYR >Ma05_p27240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38622205:38623157:-1 gene:Ma05_g27240 transcript:Ma05_t27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFQSDEETYLRGLRLIWQPGLPSLLSSRQLCVNRRRDFRVTDPSLLPLHQSLPINLSPTLVSLRGIRPDPCTVGGSSMESAALKREQKEEATKRARGKYLKIHVVCKASAALATLVAAALMGFNKQISNVAGFEIKATYNSSPAFKFFVIGNAIACGYSVLSLPFAAHMVEGWMLNLFDLMNLGLVMAAASAAAAIGYVGKYGNDEIGWTKVCPYYEKFCGRTEISIACSYVGFLLFLFVCAMSSVCRSRLSNSD >Ma06_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6207830:6208445:-1 gene:Ma06_g08860 transcript:Ma06_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RALF-like 34 [Source:Projected from Arabidopsis thaliana (AT5G67070) UniProtKB/Swiss-Prot;Acc:Q9FHA6] MALCKLLLLTFLLANAAAAMVEAQAEDAGLSLMREAMDWPSSFPFAPQEEAEEFYGEGIGEDEVSKDARRSLFWHRMRYYISYAALSANRVPCPPRSGRSYYTHNCYRARGPVHPYSRNCEVITRCRR >Ma04_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4112875:4113154:1 gene:Ma04_g05520 transcript:Ma04_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTPEMERIFKRFDTNGDGKISLAELGEVLRTLGSTSGDDVKRTMAEIDTDGDGNIDFKEFAAFCHANPGLMKDVAKVFL >Ma10_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26825052:26827270:1 gene:Ma10_g14320 transcript:Ma10_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRGGFLAVAILSLLASAARAQLSPAFYATTCPNLETIVRSVMAQVVAQDPRMGASMIRLFFHDCFVNGCDASVLLDDTPTMAGEKNAMGNMNSLRGYEVVDAIKSRVEAACLATVSCADVVALAARDSVSLLGGPSWTVMLGRRDARVASKDAANANLPPASDNLSSLISKFAAKGLDLRDLTALSGAHTVGVAKCSSFRPHVYSDANVDPGFAMFRKRLCPTVGGDANLAPLDPTSPNRFDVSFYRDLMARRALLHSDQELFNGGPADELVRLYSSNGGAFDRDFAAAMVKLGNISPLTGSAGEIRLNCRKAN >Ma06_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:530447:537231:-1 gene:Ma06_g00680 transcript:Ma06_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKRGVKVPVPGKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDRAAKKERLLKRAQAEAEGKTVEVKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGAIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRRKWGGGIMGTKSQAKSKAREKLLAKEAAQRLT >Ma03_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4452963:4456847:-1 gene:Ma03_g06430 transcript:Ma03_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLRGVALVFLIALVAASAGSPVCPRPSAGDSIMGRPDSCWDLDPTAELRGYQIGVVEGDEAVLQKALSIVQKNREEYVALLFYASWCPFSKICRPNFQILSNLFPTIHHFAFEESVIRPSIISKYGVHGFPTLFLLNSTMRVRYHGSRNVNSLVAFYNHITGVGPSSVKSMSLDKFVDPPNDTEPKEEDVQESCPFSWARSPEKLLQQDGYLALASCFLLMRLLYFLLPSLNACLQRAWRRQMRYASLINFWNHLRAYTEEAKQGVGRLYPCKRSNLQEGAMNARAWASQSLASVSLGEPSSGRAYSTTDRN >Ma02_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21554470:21569520:-1 gene:Ma02_g12990 transcript:Ma02_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGIRFPKPLEVAMIPILSRLEKKKMYCMIIGGRWNSSDEFKEVSFKIEQQPETRSFVPVRARSGEEKVKRVAELDAMRRSVTSGRWCSSEGREGMGLAEVLFLLFMTLGPMGSTGDENTSTNITFPLPPNCPKSCGNISFEYPFGIGSGCFRSGFNLTCMSHSTDPPTRSLFLGDGTVEVIDFDMDNGIVYVKTPIVTMDVDEDYVNYTLIDLRNFPFSFNLLANLTSSYGDSLTSNEIYVAGCSANANLVDLATNTTIDSCSTTCYPNSSTRHEYCTISMYNWNAGNFTSLGIRLTRLDEHYSFDASPVKAFMYCQNNFTEDIEGILIGTGTQVIVATLAYYITDQTTCKEAKKDMMTYACRSYNSDCFDIFPSVAHINYTIGYICRCSLSYHGYPYIPNGCQDQSCKDAKLNTTTFACVDQHSSCNDEKINSNGQEISGYRCQCNDGYEGNPYLLNGCTDIDECSFPQKYVCYGTCTNTMGNYTCTCPPGSSGDPRQRACIPDKKPTLVLGVIIGASNGAGLLLLSTSLIILRTKWKKRKQKRIREKHFRQNHGLLLQQLISSREDVAERTKIFPLQEIEKATNNFDETRVLGRGGHGTVYKGILSDQRVVAIKKSKIVKKSEIDQFINEVAILSQINHRNVVKLFGCCLETEVPLLIYEFISNGALSDHLHTLDGSCVLSWETRLRIAAETAGALAYLHSAASISILHRDVKSSNILLDDHFTAKVSDFGASRFIPLDQTHIVTAVQGTFGYLDPEYYQTSQLTEKSDVYSFGVILLELLTGKKPIFSIEHENKQNLSTYFLQALKEKRYFDLVEDRVMKEETKQDLMKVIQLVATCLKFKGSERPTMKEVEYKLQSLRRMKKNADNHIAEGNEETKCLLSDSSYTLSISVDLATEGTSRNYSLEKEILWSDYNPR >Ma08_p28540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40407468:40409450:1 gene:Ma08_g28540 transcript:Ma08_t28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEILAPLQLEHFDLRAKLSHIFNYQPYFTPRPIFVPSFLVQTLGIRSFLWFAGSLCHEVRQGNLASLVNEGPAEWMDKSHKKRFILWPRIQDWADHNLNFVHKVLKVDTTVPLLLLPSL >Ma04_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3158400:3168866:1 gene:Ma04_g04110 transcript:Ma04_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDVLSGSIDVRDLLPSGELDESSPLSAPDLRLLVDRLQIRSLRIKDKVRSYVLAHRAEFADLFSRCSLAAASADDLTRSLSGALRLLSDRPLDLEIQDLVSEIRAKRRELEERREALEVVRAVSALHRRLASAREDLRAMRLVAAAEAVRDLKKGLSVADVEEGGSVENEPAVFGFLRKEWAECLDELQEVLAKNVLNCFHFEPENNRLIVRSVSKVEDVHYIKLHQMLEAMEIVGVLDYGLARVADLLIKRVIITSITNKSINVLVEVHDEGSLASCETILGMVPSSELQEDLDGTCLYSRLSQIVKFIYKFICFENAIWMQCFGRLTWPRMADLIITHFLSKAVPDDASKIAGFQNVIKRTADFETFLKEMKLISSTDRNEEKLSYFAHDVEVHFASRKRNEILASARNYLLQFNYDLPPANMSSVSLQASDGGENCVSVVGLLFQSDKCLVSKAVFHLMDLVHRALKDACLSPTRVAKEFYHAARDALLLYRAVIPIKLGKKLESISQVAIIIHNECQYLSQENLGLAFEYRADFPSGLQKHAVFVDIALSFHQMAENILQKQVQLVVSSLREAIDGADGFQNTHQPQHYESAKFGLEQGGEMRGLEGLEQL >Ma04_p04110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3158400:3174873:1 gene:Ma04_g04110 transcript:Ma04_t04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MDVLSGSIDVRDLLPSGELDESSPLSAPDLRLLVDRLQIRSLRIKDKVRSYVLAHRAEFADLFSRCSLAAASADDLTRSLSGALRLLSDRPLDLEIQDLVSEIRAKRRELEERREALEVVRAVSALHRRLASAREDLRAMRLVAAAEAVRDLKKGLSVADVEEGGSVENEPAVFGFLRKEWAECLDELQEVLAKNVLNCFHFEPENNRLIVRSVSKVEDVHYIKLHQMLEAMEIVGVLDYGLARVADLLIKRVIITSITNKSINVLVEVHDEGSLASCETILGMVPSSELQEDLDGTCLYSRLSQIVKFIYKFICFENAIWMQCFGRLTWPRMADLIITHFLSKAVPDDASKIAGFQNVIKRTADFETFLKEMKLISSTDRNEEKLSYFAHDVEVHFASRKRNEILASARNYLLQFNYDLPPANMSSVSLQASDGGENCVSVVGLLFQSDKCLVSKAVFHLMDLVHRALKDACLSPTRVAKEFYHAARDALLLYRAVIPIKLGKKLESISQVAIIIHNECQYLSQENLGLAFEYRADFPSGLQKHAVFVDIALSFHQMAENILQKQVQLVVSSLREAIDGADGFQNTHQPQHYESAKFGLEQVIFIIEKAHIMWEPLMPASTYKRAMCSVMDYVFSGITRDMLLLDDLAAEETLQLQRLIQMMLENLSSLFESLIADVDEKERFLNQNTWSQLDKMMSSLPKFRKLAELYDMPLKSITATWESGELLSCGFTSSEVETFIKAIFADSPLRKECLQRIKSASD >Ma05_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1440804:1441578:1 gene:Ma05_g02260 transcript:Ma05_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNISEENYKEALEASFKVLISRGISSELLQIVNDRSVEVDSRSSNVLICLHVFEGHQPFFF >Ma04_p35150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34055283:34061479:1 gene:Ma04_g35150 transcript:Ma04_t35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKAVPAPNSHGNLEEQIAQLMQCKPLSEQEVRVLCEKAKEVLMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Ma10_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27837284:27839739:1 gene:Ma10_g15910 transcript:Ma10_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLRSLAARRHLWSSSGLVRSYSSHQPTALVSKSFTRSLPRRIHPISDVPDCSSPFVNRLRRAFSCNVNQLPGIADPDIEAAFKDLMAMNWDEIPDSVTHNTKKTLSKATGDETGQEALANAFRAAEASVEFSGILVSLRMALDDLGGISGENVGQLPEYLEDGIKTAYKRYITYLDSFSPDETYLRKKVETELGTKMIHLKMRCSGIGSEWGKVTLLGTSGLSGSYVELRA >Ma10_p15910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27837284:27839739:1 gene:Ma10_g15910 transcript:Ma10_t15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLRSLAARRHLWSSSGLVRSYSSHQPTALVSKSFTRSLPRRIHPISDCSSPFVNRLRRAFSCNVNQLPGIADPDIEAAFKDLMAMNWDEIPDSVTHNTKKTLSKATGDETGQEALANAFRAAEASVEFSGILVSLRMALDDLGGISGENVGQLPEYLEDGIKTAYKRYITYLDSFSPDETYLRKKVETELGTKMIHLKMRCSGIGSEWGKVTLLGTSGLSGSYVELRA >Ma09_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34631674:34632781:-1 gene:Ma09_g22730 transcript:Ma09_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLKQKIRKGLWSPEEDEKLYNHIIRYGVGCWSSVPKLSGLQRCGKSCRLRWINYLRPDLKRGSFSQNEEDLIISLHAILGNRWSQIASQLPGRTDNEIKNFWNSCLKKKLRQRGIDPSSHKPLNEIKAEEDETRMYCSNTGATSEELPTKPVFDTFPLVEIQTCLDSVAEDNVNIYNQIHQTLEPLGQKEGLCDYSSALYVTEKFGYGESSSNSCNWNCSIGSEMNNVLGIEALNWASAEKVEAPTHVQINGVESHEQRYSSWQEKQDMESSEDYSTYPLISLSHDLSGTCFNVPRGALASEFNVDFF >Ma05_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8534572:8536116:1 gene:Ma05_g11680 transcript:Ma05_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAIRRSSARLSSILRFPFSTIAPHSEPPASAGPNPFSALRSAIHSESDPNRIAELFQSSAHLPRFHAHRDLFGFAVQKLARARRPDLVERVLSPFLSDPRSPKSEGFLVRFISLYSSAGMPDQAAATFDRIPDIIGRPRSDKSLSALLSAYLHNRRFDLVIKTFNRAPKELGISPGVISHNILLQALCRKGDVKTASNVLEEMGKKGVKPDIVSYNTLLSGYLKKGDDDRFEAILNEILNNKGLEPNVVTYNCRISKFCQISESRTAEELLEVMISKGIQPNLMSFGTIIRGFIKEGIVSAAVRVFKRMRTVKRKNGSESLSPNVEIYVLLIRGLIEQNEFCEALAICKECLTKKIAPPFEVVKELIDDLLRDSKVDEARDVVAKMRTITKGDAVDAWKKLEGALSL >Ma07_p14240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10680918:10686069:-1 gene:Ma07_g14240 transcript:Ma07_t14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWLQYVGFVLGLPFTLASVAITMAIAMLSFIFMLLAWTLSCCSSCCWSYHEMRGVVKILATIHLYVVGWFTCDPLWSPEPVIENRQDYQHHYPSPDDVVRMAPQLSPPLPITTIGSMNSLSPKSLTVSAGLTKRSFTYQELVMATNNFSAANLLGEGGFSHVYKGTLSNGTEAAIKRLKDASKQTDAEFMKEANILSLVHHRNLVSLIGCCISEGNRLLVCEYVPNKTLKFHLDDRDQPTLAWKDRFRIALDSAKGLAYLHEDCQPRVIHRDIKAANILLDHSFRAKIADFGIAKYFSDEKTHISTVVKGTYGYLSPEYVSTGQLTDKSDVFSYGVLLLELITGRRPIGLADWARPFLKQALEDGKYDVLVDPLLRSYYNPIELGRMVACAYACLHHSASLRPSMSQIVRALEGLVSLGDYTIEIEPGMLSANILDQ >Ma07_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10680918:10686069:-1 gene:Ma07_g14240 transcript:Ma07_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWLQYVGFVLGLPFTLASVAITMAIAMLSFIFMLLAWTLSCCSSCCWSYHEMRGVVKILATIHLYVVGWFTCDPLWSPEPVIENRQDYQHHYPSPDDVVRMAPQLSPPLPITTIGSMNSLSPKSLTVSAGLTKRSFTYQELVMATNNFSAANLLGEGGFSHVYKGTLSNGTEAAIKRLKDASKQTDAEFMKEANILSLVHHRNLVSLIGCCISEGNRLLVCEYVPNKTLKFHLDDRDQPTLAWKDRFRIALDSAKGLAYLHEDCQPRVIHRDIKAANILLDHSFRAKIADFGIAKYFSDEKTHISTVVKGTYGYLSPEYVSTGQLTDKSDVFSYGVLLLELITGRRPIGLADWARPFLKQALEDGKYDVLVDPLLRSYYNPIELGRMVACAYACLHHSASLRPSMSQIVRALEGLVSLGDYTIEIEPGMLSANILDQ >Ma01_p11880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8612265:8617850:1 gene:Ma01_g11880 transcript:Ma01_t11880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGYFKKKPNGAPERSSAPATTPATTTSSSFSTSRSDDSAAGRRWSRSTGSTTSQKSIPALYEERGHKLRVFELDELRNATNDFSRMNKIGEGGFGRVYKGYVRHPDGIGGRKLVAIKKLNQKGLQGHKQWLAEVQFLGVFEHPNLVKLLGYCAQDDERGIERLLVYEFMPNKSLEDHLFSRAYPPLPWNLRLQIALGVAEGLEYLHEGEVQVIYRDFKASNVLLDKDFKPKLSDFGLAREGPTAGHTHVTTAVVGTYGYAAPDYIETGHLTIKSDVWSFGVVLYEILTGRRSLERNRPPNEQKLLEWVRQFPVETRKFSMIMDPKLRNEFSLKAAHEIAKLANRCLVRDRKERPSMSEVVKCLRRAIQMEPPVKELTLPTDSNRRRIDAESSRR >Ma01_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8612265:8617850:1 gene:Ma01_g11880 transcript:Ma01_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGYFKKKPNGAPERSSAPATTPATTTSSSFSTSRSDDSAAGRRWSRSTGSTTSQKSIPALYEERGHKLRVFELDELRNATNDFSRMNKIGEGGFGRVYKGYVRHPDGIGGRKLVAIKKLNQKGLQGHKQWLAEVQFLGVFEHPNLVKLLGYCAQDDERGIERLLVYEFMPNKSLEDHLFSRAYPPLPWNLRLQIALGVAEGLEYLHEGEVQVIYRDFKASNVLLDKDFKPKLSDFGLAREGPTAGHTHVTTAVVGTYGYAAPDYIETGHLTIKSDVWSFGVVLYEILTGRRSLERNRPPNEQKLLEWVRQFPVETRKFSMIMDPKLRNEFSLKAAHEIAKLANRCLVRDRKERPSMSEVVKCLRRAIQMEPPVKELTLPTDSNRRRIDAESSRR >Ma01_p11880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8612265:8617850:1 gene:Ma01_g11880 transcript:Ma01_t11880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGYFKKKPNGAPERSSAPATTPATTTSSSFSTSRSDDSAAGRRWSRSTGSTTSQKSIPALYEERGHKLRVFELDELRNATNDFSRMNKIGEGGFGRVYKGYVRHPDGIGGRKLVAIKKLNQKGLQGHKQWLAEVQFLGVFEHPNLVKLLGYCAQDDERGIERLLVYEFMPNKSLEDHLFSRAYPPLPWNLRLQIALGVAEGLEYLHEGEVQVIYRDFKASNVLLDKDFKPKLSDFGLAREGPTAGHTHVTTAVVGTYGYAAPDYIETGHLTIKSDVWSFGVVLYEILTGRRSLERNRPPNEQKLLEWVRQFPVETRKFSMIMDPKLRNEFSLKAAHEIAKLANRCLVRDRKERPSMSEVVKCLRRAIQMEPPVKELTLPTDSNRRRIDAESSRR >Ma01_p11880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8612265:8617850:1 gene:Ma01_g11880 transcript:Ma01_t11880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGYFKKKPNGAPERSSAPATTPATTTSSSFSTSRSDDSAAGRRWSRSTGSTTSQKSIPALYEERGHKLRVFELDELRNATNDFSRMNKIGEGGFGRVYKGYVRHPDGIGGRKLVAIKKLNQKGLQGHKQWLAEVQFLGVFEHPNLVKLLGYCAQDDERGIERLLVYEFMPNKSLEDHLFSRAYPPLPWNLRLQIALGVAEGLEYLHEGEVQVIYRDFKASNVLLDKDFKPKLSDFGLAREGPTAGHTHVTTAVVGTYGYAAPDYIETGHLTIKSDVWSFGVVLYEILTGRRSLERNRPPNEQKLLEWVRQFPVETRKFSMIMDPKLRNEFSLKAAHEIAKLANRCLVRDRKERPSMSEVVKCLRRAIQMEPPVKELTLPTDSNRRRIDAESSRR >Ma04_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10546057:10547357:1 gene:Ma04_g13910 transcript:Ma04_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHVGEEQEALFHSSPCALYYVQSPSAASHTNSHPASESALLSPFTQESFPNNRNRDEVSRFTLSRYSSSRGSNNSFLQEKKAGYESRVKKGERQRLRIVGVNDGEEDGEGTRSGMWRFVSLDPSSSCCCVAFQVTWRLMFSVGFAFLVFFLATKPPQPNVSFKITSVEQFSLREGLDNTGVVTKILTCNCSMEMAVDNYSKVFGLHVRPSAMEMAFEHMKFASSLSDGSYIDTDASSALTLYLGTKNKPMYGAGRSMQDMLESGRGLTLVVRVRSRSCYRVIGNLVRSSYRHDAECQLVLRGAYDEGGHTVIYNSTCFISTAHA >Ma05_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:284162:287501:-1 gene:Ma05_g00480 transcript:Ma05_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGKKDSAKGLGNMAASGSIPCTPVEKMRTADRPSYATPSNYATPNSRSTDSPYLRAKHAQMVDKDLQKAVPLFWAAINSGDRVDSALKDMALAMKQVNRAEEAIEAIKSFRHLCSPKTQESIDNVLLELYKKCGRIDDQIELLNFKLKMIDEGLAFGGRRTKLTRSKGKKFHVSLDHEKSRLLGNLAWAYMQSENYETAETLYWKALTIEQDYNKQCNLAICLMKTRRLEEARSILQVVKRASSNICDQFFIKSFKQASQMLKEIEPQENLNNKAYELQQRTAFTSGDNKLSQNSHIADTTYSHIKREERIIGADHALKKAATTNDCQVLNSNSIHDNSVLNELNGKNSSSSGFLINKNTGQRSMGLCEGSQKFNDCGKEEYEMWSMRCHEYLDSDYNSILLSGNTGQAPDSSVVTSDRTPKPDHVATNHRFEDCILKPRSLNDIWATESVKTPKEKRNGNLSSNIDVNWNQGSDALTNNGRQIDNLVDKTCTGSSNNYPCQISGSNLASSAVDAKTDKFTSFLAGVRGTWADLIEEQQATQDFRVDVTATDTTVVASENYPRTQLVKKSERKSWEEMVEVEQFATQNSSIDIVANDKILVFSENYLQTQLIKKSERRTWADIVEEEEELSENAIHSSCKAIICTTESSRESSEEYLRTPFPFHSGRSTSTSVLREDGPLLDENPRNNSAADPSRKQKDLRSPTCSKSIKDAVFQDENTDLNIVTYVPRQGHHISQNCKEMVDLRSENSRRTAKGNSSVRRTLSFDAEPTSDPSEDCVEQFVEIVRLWDSGCMTAKRGLL >Ma01_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11366515:11373366:1 gene:Ma01_g15690 transcript:Ma01_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit H2 [Source:Projected from Arabidopsis thaliana (AT3G16730) UniProtKB/Swiss-Prot;Acc:Q9LUR0] MSGRDDLVGCDESGGTRFHFLQPNRDLQSNWEVDLAKKLEEYLLKICSGEISSDQDHELNSVNFAEAALLLQGSIQVYSRKVDFLHSLVLHALEFLSQKRHHQDENASSQPDANGDESNAFFDEGNEFFLGLDDVPVVAKNCLDDEPEKKESSKHFAKPPANLLVLEGDCLDSCGDGSELETYLLATCDFYGDFLLLDPCDARAVYDFSRTGSSVRSKKRRSPLKSPIIRSGGTAHKSSLGKNQGININEFSEDCCGFGINNDTQFAGSHVDHGYPDDDMHHVDEPNFACSNPQDESDDDIDDPWKPLNPHEPGNLKVKPFKRVKGFGRHVTHYSRRNTQTSQFPIAKLDAIIDPEFAESFKAQQSLQKRLHESEPLPLFEKLRRTLNFGEEVTYDCFCDFGDDIGENGVENDVPDFSQEETDLPNEMDDTDAEGPLCNEKQGDGANTSDGVKAFEQENPDLHANLEDLCRAHLDSLLASIAETEKQTEMAARVSTWKQRVEQTLEEQEICPPFDIHLYGERILDKLLIEAESGDGIPFTNVVKGQSKHEVARMFSALLQLVNNGNVDLQTAPAKGELVCHTDLNPFYVKLTGNGSRRGEINDRSARKRLKSPVRKVCKKTNFSTSEAISPSNSAAQNGRFSAKLGKGSIIRFTPDGKRRRRSARFIEPFDLRSTG >Ma05_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29746938:29747891:-1 gene:Ma05_g19870 transcript:Ma05_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSSKHGSLREDHAFDLKPVISRSSKLSRIVADLLKCVLPGIRKGLADLDTCLHHALLGLRILEAALVCFEEEDCKKETSDYRRNKKRKKPRNGTNAYGLTLRNLSEFKLQAGAPFIHLDVEELQSALEKLEGMMDALRGRKREIEERLRSTNWWRKAWSLVFTVVFIGVLVCSIVLAASVVAPVAITAAIASATAMKAVEPWINSVWDERKKALEDEEEVVKMMRDGGFSLLELESIRSIVHKLRNDYDALNWDVEFMLRLESEEATMVGMTDIRKKIENGDVTSGVERLKSHVDACATAIERAEEFLGIIRS >Ma03_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27909266:27912265:-1 gene:Ma03_g23310 transcript:Ma03_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILAGLGSLGQALIGRVKDQISDYLIGRVLEEPGVADLDKLRNTLSGTERIIGRVENMWIKDEDTKKRLKELLMKLKDTVYDADDLLDEIQFRVLKQQIEQQGAQGYEASNQSSSSSGLPPRIKRKNSERVSRFFGREDDVIRVRKIKMKLDKYITDIEHFIAKLDAAEKQMITSVVPRTTTSFPIETQVFGRDEQLNQLLGLLVQSADGSGSSYSSISTLTIVGIGGVGKTTLAQQAYNHERVKDYFHHTVWVCVSDNFNVERLTKEIIESLTRNKCDLNNFDTLQVVVKEDLTSKRFLLVLDDVWNEDSLKWERFCAPLRSGVPGSKILVTTRSRKIAEMVGNPIPLYGLDNASYWEFFKTCAFGSEYAGECPQLEDIAKKIAYRLNGLPLAARTVGGLLKSQMNEEHWRIIAGSEIWQLPQSEEGVLPVLQLSYQCLPTHLKRCFVFCSLFPKDHPFDGEHLVRLWMAEGYVAQDNMKDNMTVEAVGSGYFRELVNRSFFQVAPWGSQYVMHDLIHDLAQFISEGEFCRIDDVKSKEIPNTIRHLSTKLTEETKLIEFSCYEKLRTLMINYESHWYGFGVDGSLFLRFERLKNIRVLILRFFGLRELPETIGDLIHLSYLDISHNYQIWRLPESLCGLYNLRVLDLSECELQSLPHGMSKLINLMHLDAADKIISEINDVGKLTSLQGLCSFKVLKDQGHEVAQLGGLKQLRQLRITNLENVKSKQEAGMANLNNKQYLDELVLEWTSDDGSSLDGNELVVSEEVLEGLQPHQALKRLTIRGYIGVRSPSWLQTQLLANLITLDLYNCKAWEDISCIGQLPNLKKLYVKGMPAVKQISHGLSTESKFLPNLEELCFPCLEELRFSSMPEWEEWSLADGQQLFPCLRKLEIERCPRLKRMPPLPPSIESLSLCQVGLTEIQILWEGIDESSSSMTVSELKIYSLKEVKL >Ma06_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7530241:7534497:-1 gene:Ma06_g10810 transcript:Ma06_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLRVSSQQPWKLLGKQAVGASNHLASGVKFDKDRINSNSLSYLAISNSESYGTVPAGFGNLTNSNSLSYLAISNSESYGTVPAWFGNLTRLTQLDLSGNSLSGSIPVDLWSLASLTILDLSHNSFTSPLLPQIGNTTSLSQLNLVQCFLVGSIPAEIGRLTSPTELRLSDNSLSGRIPAEIGNLQLMFVLTGGAIFTRLWPHRPPPSLSLVNLTTLTTLDLNGNLFNSTFPNWLWKLHNLSYLDLGFSMFHGAIPAGIGNLTGLRELYLGDNSLSGPIPTEIGICNSLKLIDLRDNSIFGPIPAGIGNLTGLRMLYLDHNSLFGPIPAEIGNMAGLRELYLDHNSLFGVIPAGIGKLTSLGVLYLNDNSLFGPIPVGIGNLTGLGELYLNDNSLSGPVPIEIGKLSNLTILSLSSNSLEGTMSELHFANLTKLSELDLFENSLVISIDHNWVPPFQLRSIKLSSCKLGPAFPRWLRSQNSIKYLDMSNTSIEDVLPDWFWNNSASFINLSQNQINGTLPTSLVLLSYLRYLNLACNSFSGSLPSIFPTLELRILDLSRNHINGSIPSFICNTRRLDILDLSSNQISGEIPSCWQETNYFSSINLANNKLSGEIPSSIENLIWLESFHLNNNKLHGLLPSSLKNCSALVFLDLGNNKFSGSIPTWIAQNFLDLEVLRLRSNMFSGNIPAELGQLAYLHVIDLANNKLSGPIPHSFGNLNAAMTRWKQHLTRRDKQVFYSPFVKDRPALLPFDKYEDSIALTIKGRYYNFSIILYLVNIIDLSNNNLTGEIPIEIGSLSTLQTLNLSRNNFVGQIPAAIGAMKSLETLDLSFNKLSGVIPQSFSALNSLNHLNLSYNNLSGAIPSGNQLRTLEDASIYIGNVQLCGPPVTKSCSDDPNVDSTEEEYEQGSHVLSFYFGTGLGYLVGLWSVFVIMLFKKDWRLFYFATVDKMYDRAYVAIKIRMRNCHDAADRM >Ma09_p26680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37895765:37899016:-1 gene:Ma09_g26680 transcript:Ma09_t26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSMVEAKLPPGFRFHPRDEELICDYLAAKLAGDGGVGFRGWPIMVEDVDLNKCEPWDLPATACVGGKEWYFFSLRDRKYATGQRTNRATMSGYWKATGKDRSVARKGFLVGMRKTLVFYQGRAPKGKKTEWVMHEYRMEESAATPNLPFKEDWVLCRVFYKSRGMSTKPSMETSHDDSSPQSLPALMDNYITLDQTPLNLEGFEQVPCFSSTAPNLAPHLPPVERDMPLPRCLAQTGGLPDPSSGLNHLTGDRKVLRSVLNNLTKLEDDPKGEVVPNFGEGSLGAYLTQRSLASTWNPF >Ma11_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10325261:10326363:1 gene:Ma11_g10720 transcript:Ma11_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSLLILFSLFLVLPPCLVADPDQLQDICVADLNSDVKLNGFACKPAAKVTEADFFFKGLATAGATNNTMGSLVTAANVEKIPGLNTLGVSLSRVDYAPGGLNPPHTHPRATEVVFVLDGTLDVGFITTANKLIAKTITKGEVFVFPRGLVHFQKNNGAAPAAVIAAFNSQLPGTQSIAVALFAATPPVPDHVLARAFQIGSKEVEKIKSRLAPGK >Ma01_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5736728:5740545:-1 gene:Ma01_g07990 transcript:Ma01_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPAHTVSKLAVEVIDARDLVPKDGHGTSSPFVIVEFDGQRKRTHTVARDLNPQWNERLEFAVANPASMVAQELDVEVYNDKRMGSPSGARKNHFLGRVRICGSQFARRGEEALIYFPLERRSLLSWIRGEIGLKVYYYDEPLSDETKPVGSDPNQAPAPPPSTEEPKDVPPDVPAPTEAAIDTQSPPLVSVVVVEKTPVQTAHVNANVVSPPSPDPTTVEAYPPEVRKMQTPACTERDRVFSKRFIGGACGPRVISGRFVDCNELVDRPPLATYDLVEPMQYLFVRVVKARGLRPCESPHVKIQAGPHTRRSLPGRDGGAGSPEWNQVFALSQCKPDSRLEISVRGGGPDEAFLGGVCFDLTDVPVRDQPDGPLAPQWYRLEGGRDDAPMTGDIMVAVWIGTQADESFPEAWNSDATYVSYTYTRSKVYQSPKMWYLRATVIEAQDLRLAAATRPYDVRVKILLGIQALCTRRPTAVSSSASSISWMEDLMFVASEPFSNHEMIVQVEDRSTKEPVVLGHAVVPVALAEQRLDERQAVASRWFSLEEAAAFAGCRCGGGPGGGYYGRLNLRLCLEGGYHVMDEAAHVCSDFRPTAKQLWKPAVGVLELGILGARGLPIKGGEEAAKSSTNAYCVAKYGKKWVRTRTVADCFDPRWNEQYTWQVYDPCTVLTVGVFDNCRMFDAAGDRQDYRIGKVRIRVSTLESNRVYTTWYPMLQLQPSGVMKMGEVQLAVRFACSAPFPDTWAMYAQPMLPRMHYLRPIAVWKQEVLRAWAIRMVAEWLERSEPPLGQEVVHYMLDVDTQSWSIRRSRANWFRVLCVLAWAFGLARWVDDIRRWRNPTTTVLVHVLYLVLVWYPELVVPTASLYMSLIGIWYCRFRPRVPAGMDMGLSQANMVAVDDLDEEFDPVPSAKPAEVVRARYDRLRKMAAQAQRLLGDFAAQGERVQALVSWRDPRATRLFIVACLVVAVVLYVVPHKMVAVGLGFYFLRHPMFRDPMPPASLNFFRRLPSLTDRML >Ma00_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35464519:35467092:1 gene:Ma00_g04140 transcript:Ma00_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAYQIGRNMEVYVDDMIVKSQEAETHLADLAEAFATLRKFGMRLNPTKCAFGVTSGKFLGFIVHERGIDANPKKVQAIINMQSPRTIKDLQQLNGRLVALSRFLARSGYHCLPFFKALKNPKNFQWTSECEEAFKQMKRHLASLPRLASVSPGEKLGLYLAASPRAVSSVLIKESFGQQLPIYYISHVLNGPEERYPPIEKLALALVLSARKLRPYFQAHPVEVITDQPLRQVLTKFDVAGRILKWAVKLGEHDIRYVPRTAIKAQAMADFIAEFTQIEDGDPKQTPEAWTLHVDGSTNSRGAGAGLVLVAPDGRSFERSLRFGFKATNNEAEYEALLAGLGLALEMQAAAIHVFTDSQLVAEQLSGGYEACDPTMARYLARVRDLTAKFQNFTLSNIPRKENGRADALAKLASKPTSEARPEVEELPARAIEVATTALGGTPTTWVQELLRFKRDGTLPLDEAVARRLRRTHAWYTEESGRLYKRSFTYPLLRCLEPDEAQTVLAETHEGVCGEHIGGRTLAHKILRQGYYWPNMCRDAKAYWGLDLLGPFPPASGQWRYIIVGVDYFTKWVEAEPLATITEYQVEKFVWKNLVTRFGLPKAIVTDNGPQFADRRFREFCAGHGIQLRYSSVAHPQTNGLAEVTNRSILDGLKRRVSAARSVWTDELPSVLWSLRTTPKNATGESPYSLTFGTEAVLPPEMAVATLRTRSYDQEVLSKGLRAGLDMLEERHADAHLKALSYQRAVARVYNKKVRPRPIKLGDLVLRRVEVSDPPLARGKLAPKWEGPYRVTEVIRPGTYRLTTMNGSPLPRTWNVWNLKKFFV >Ma10_p25960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33856644:33857412:-1 gene:Ma10_g25960 transcript:Ma10_t25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRTVLMSSFFFLLAFVTCTLVPMTPTSPDENTTEFIRARCGGTRYPDLCYTSLSGYAAAVQHSPLKLARVATNVTLARLRALCSHVSALRRASGAGHVAAALRDCAEELGDAADHVGRTATELRELESVEEPEVAWRVSSAQTWMSAALTYEGTCSDGFRNVGSGGTSSVEADVCRRVGKVKKYTSNALALVNGLVDGR >Ma01_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19583881:19589239:1 gene:Ma01_g20780 transcript:Ma01_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAEMAEHYLFAAIVASILAFLLLAGIRGRKAARGRKRTDDGAPFAAGKEQCDSDADGCGVPDVIVVGSGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLQDCVEEIDAQRVLGYALFKDGRNTKLAYPLEKFHSDVAGRSFHHGRFIQRMRQKAATLPNVRLEQGTVTSLLEENGTIKGVLYKNKAGEELKAFAPLTIVCDGCFSNLRKSLCSPKVDIPSSFVGLVLENCELPFPNHGHVVLADPSPILFYPISSNEVRCLVDVPGQKVPSVTNGEMASYLKTVVTPQIPAQLHDPFISAIDKGNIRTIANRSMPAVPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVLLHNLLKPLRDLHDASTLCNYLESFYTLRKPIASTINTLAGALYKVFSASPDRARNEMRQACFDYLSLGGVFSNGPISLLSGLNPRPLTLVAHFFAVAIYGVGRLLLPFPSPKRMWIGARLISGASGIIFPIIKAEGVRQMFFPATVPAYYRKPPAN >Ma06_p27740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29624575:29635010:-1 gene:Ma06_g27740 transcript:Ma06_t27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGGTRPSFLPRHFPLVLVLLVLWSFRSSLDLGCDAQKLAPDEVAALRVIASKLEKKWDFSVDPCSQTNGWVVPGRSDMPVFTDNVTCDCNRTSNVCHVTSIKLKGQNLTGTLPAEFSKLPFLTDIDLTWNYLNGTIPAAWASLPLVHLSLLGNRVSGPIPEEFAKMITLEELVLEGNQLQGPIPAALGKLANLKRFLANGNNLSGELPESLGNLKNLIMFLIDGNPISGKIPSFIGNWTQLQRLDMQGTAMEGPFPPRFLALKNLKELRVSDLKGGIGSFPQLQNMRNMTKLVLRNLSISGELPDYIGEMKALNSLDVSFNNLSGPIPGTYAALTSSLNFMYLSNNNLNGKIPDWILNSAQKFDISYNSFTGSPAPAICQRGSVNLVSSYSSTNSDTTLSCLRRNLPCSGESRNYNLFINCGGPKMRIDDIEYEGDILDLGTSEFYDSESGKWAYSSTGDFVDNQNPKFITANTTALDITKPELYMTARLSPLSLKYYGLCLFKGNYTVNLHFAEIMFTDDETYSSNGRRLFDVSIQGRKVLKDFNIAKEANGTGKEIIKSFTVMVDGTLEIHFYWAGKGTNSVPLRGVYGPLMSAISVTPNFKIDTGENKLTVGTILAIVAAACIIVLLILCLIFLYIRRKNSKNNELRGLELQTGQFSLRHIKAATKNFHPANKIGEGGFGPVYKGVLPDGSEIAVKQLSSKSKQGNREFVNEIGVISALQHPNLVKLYGCCIEGNQLLLVYEYMENNSLARGLHGPEGYRLGLDWQTRWKICLGIARGLAYLHEESRLKIVHRDIKATNILLDKDLNAKISDFGLAKLNEEENTHISTRIAGTLGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGMSNTKYRPEEDCVYLLDWAYVCHEKGNLLELVDPALGSSFSTEEALQMLKLALLCTNISPTLRPNMSAVVSMLEGKTPIELLSVQSSITKGDDLRFKAFEKLSRDSQTEINSTDWPWPDSSVSAQSTKGDTTLLH >Ma03_p28650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31770156:31772145:1 gene:Ma03_g28650 transcript:Ma03_t28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQLPRLLKTLDPFRAPLGTRAIGSLVSDHTAKWMQDTRKKSPMELINEVPPIKVEGRIVACEGHSNPALGHPIEFICLDLEAPAVCKYCGLRYVQNHHH >Ma06_p30320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31632570:31634779:1 gene:Ma06_g30320 transcript:Ma06_t30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFQTYSPSEISLHTTKKDCWLSIHGKVYDVTTFLEDHPGGEDVILHASASGDATQAFDDVGHSSTATSMMESYVIGTVEGYVSGIKPTSRHWLSKQEQPPPSYSFTDYLLPLLVLGIAFAAWYYLTFYSKAKA >Ma04_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5698644:5700557:1 gene:Ma04_g07880 transcript:Ma04_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGPSEIRIPSSPPGYNTSLIRGGSAFSKPILPSASLVSPRGGGGVGADGGGAGGAANGNIFGNARAPPPTLEHTTTTMDHTPQSLTKNAGQDSIPNSSPGAVAAGVSSHTKPAATTKTSSTIAATATISTRYGECLRNHAAAIGGHVVDGCGEFMPSGEPDTPEAFNCAACGCHRSFHRRDGDGGTNAAGPYYHSTTRLPVLLPPPHPHHHQKQFHLSGFCSPSAAVPGSSGFIQFCNTNPSGSGGTTTESSSEERINAGAPTPATMPRKRFRTKFTAEQKDKMLAFAERAGWRIQRQDSAMVEQFCAEIGVRRQVLKVWMHNNKHTVTRKRQQQEELAVQQQQSQLPQPGSPLLH >Ma09_p27380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38371252:38379778:-1 gene:Ma09_g27380 transcript:Ma09_t27380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASEELLAAMSLAGAPAESTDEFPEGSSSRSALISSSWIVGCHGLPYNLALIVPSALFVVYLASKARKSFAKLTYGHSYVMMAYYALLWVVTVLNLSWCLVQAWECTTAKELSWNMLSLFTESGMLLLEVSLLAFLLQGNHAGGLEVLTRTFVVSGVIVAADTLLKAIYIFGFGVPLFADKNKTANGGRWGLWIIHKMLLTAVYGFIFFMHHSKWRERLPARPAFYKYVCAMLLLNTMSLFGCLLAGNGAGFGIWLFNLTMICYHSLYLPLLYMVFLADFFQEEDLRLENVYYSEMKDAGFFDDDWE >Ma09_p27380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38370863:38379778:-1 gene:Ma09_g27380 transcript:Ma09_t27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASEELLAAMSLAGAPAESTDEFPEGSSSRSALISSSWIVGCHGLPYNLALIVPSALFVVYLASKARKSFAKLTYGHSYVMMAYYALLWVVTVLNLSWCLVQAWECTTAKELSWNMLSLFTESGMLLLEVSLLAFLLQGNHAGGLEVLTRTFVVSGVIVAADTLLKAIYIFGFGVPLFADKNKTANGGRWGLWIIHKMLLTAVYGFIFFMHHSKWRERLPARPAFYKYVCAMLLLNTMSLFGCLLAGNGAGFGIWLFNLTMICYHSLYLPLLYMVFLADFFQEEDLRLENVYYSEMKDAGFFDDDWE >Ma08_p32530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43225800:43229642:1 gene:Ma08_g32530 transcript:Ma08_t32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSWFSVIKRAFTSSSRDKFVDARVQIQGSEKKLTKEKKRWPFGKSKHGETNSFIPLQREPSSIEKILEDAESEQQQQDGVHSRPSKKVQQSIPAARKQTMIPNYAHISAIKIQAAYRGHQARRSYHTLKGLMRLQRAMRGQNVKRQTMNTMRCMQMLVRVQLQIRANRLQMMESRSLQLHKTSPKCEKDTESTFGKWSAAHQTEEEGREEWDDSVLTRDALEARMRNKVEAVIKRERALAYAYTHQLLKVTPRSAEAMLTGLRSGSAPWWWTWLESQHPSSDNPEPAPPVRAPRPQTPRSPAAIRPRATPGPRRPHRGHYTRLRPADADDASLTSCPTFAVPNYMAPTASAKAKVRNDRAFVSVQEPKKKRFSFGLGQSIGSLFAGKETISGGGCCGSKSTGRQGRHRSTQSVGGMSVDSIVSLPVGAVVGRRSSFI >Ma07_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5624869:5631382:1 gene:Ma07_g07570 transcript:Ma07_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTARRRFLRTLLFGRFPPPPSPASSSIATLVRGSLDRSLPCATLAAPWSAFQSRGAKVLGTDVRIGNVIQRKGRMYQVLKAQHTQHGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEDKSFTYLYQEGEFVMLMEPNTFEQIEVQKEMFGKASAYLKEDMTVRLQYYDGKLMSASVPHRVTCKVVEAQPNIKGLTAAPQ >Ma07_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29258836:29259708:1 gene:Ma07_g21180 transcript:Ma07_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTANKKLGRIRQIVQLKQVMRRWRAISFGRWSWGRTGVRAGSVAVYVGPERRRFVVPARFLNLPVFAALLDRAEEEYGFQPAGGLAIPCDPVFFRWVLDALGRDQARFGSLGLDALLALFARHGDASAACREAASYNAFSPLLPKTRA >Ma06_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2740387:2740865:-1 gene:Ma06_g03780 transcript:Ma06_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIYIYIYIIGRKGRRSGASSSDSKKPWRIGPRNDGTRTTISTRRRHRRGRRGSPRERQLRGATNDSRSMGAAEMISTIGWIPCSSPRSVPSAATGHGRTSSSAPRRMSPRLKSDMRYC >Ma06_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7157015:7160192:1 gene:Ma06_g10370 transcript:Ma06_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSNQCRAMMGESEGERLRTVDCLRGRLLAERVASKAAKTEAESLAKRLEELERKLDEETKCRNRAEKRLKLALKKLEALKDARSQLTLQDSSSSSSSSQCFLSPQRLEQEKPGALSTVDSQQFGSMEVAKEMLRSSSSEHLLGDNVSGTGSSSICLHQPLISQDERSCSSVGTAQSQNEDGIQVIGAQPSPADDMSITSGSDAEPETAIRQAEREDRRLAIVPASVQLNLEACRPEVKEVQDVLDALRNIKVQLLYSMRILVSQGNSSGAAFCRQSNQV >Ma03_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:444541:447404:1 gene:Ma03_g00520 transcript:Ma03_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRPAAKAPPNRKSTTLRCLFDLDAVAHDAPLPPPSADAEVDELLSSISRCADPTLESPSQQQEVKRQQLSRVLSAVRGATRPLDDTVWPPLVFMLSANLFRPLPPPASPCLPPDLYEEDGLAMSLAPSWPHLHIVYDILAALVGGADAKVLRRHLDRAFLRGLLALFQSEDPRERNRLKNVFHELYSKLASDRSFLRKSMNNVLLRYVFDGERHYGIGELLEIWGSIINGFAVPLKEEHRLFLTRVLLPLHKPRGIGAYHRQLSYCVTQFVHKEPGLGEEVVKGILKRWPVTNCQKEVLLLGELEDLLESLDPPQFERLAPPLCARIARCSNSASSQVAERALYVWNSERFVKMASQSWEQVLPGIVECIERNLRWHWSKSVQHLTTSVKSMLEEMEPVLYSRYQLELDHKESVMIQEETKRKIRWERLEMAGCSRSHDERKSTQTFTSLSEVSSSNLL >Ma03_p26470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30178921:30184828:1 gene:Ma03_g26470 transcript:Ma03_t26470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSCLLAGDTEEYRRSNALNSRNCICLGCLAQQLMSVYTALFRREDMHAVPSSLQERVALASPALTMDDSVPDTYHSPPRPIPYDDPRFTQHDGLISRHEKFLSHFHQESEPLRSNTNSETESKMKGKCKSNYDGGSKLCQPESSLNHFSAEARKEVTYIFPSSEDEDVCPTCLEEYTSEDPKITMQCSHHFHLGCIYEWMERSDACPVCGKMMVFKEAT >Ma03_p26470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30178921:30184828:1 gene:Ma03_g26470 transcript:Ma03_t26470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIDACLAASTGRRIGRGECHQNNRSEQGSEAGSMGSVCSCLLAGDTEEYRRSNALNSRNCICLGCLAQQLMSVERVALASPALTMDDSVPDTYHSPPRPIPYDDPRFTQHDGLISRHEKFLSHFHQESEPLRSNTNSETESKMKGKCKSNYDGGSKLCQPESSLNHFSAEARKEVTYIFPSSEDEDVCPTCLEEYTSEDPKITMQCSHHFHLGCIYEWMERSDACPVCGKMMVFKEAT >Ma03_p26470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30178921:30184828:1 gene:Ma03_g26470 transcript:Ma03_t26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIDACLAASTGRRIGRGECHQNNRSEQGSEAGSMGSVCSCLLAGDTEEYRRSNALNSRNCICLGCLAQQLMSVYTALFRREDMHAVPSSLQERVALASPALTMDDSVPDTYHSPPRPIPYDDPRFTQHDGLISRHEKFLSHFHQESEPLRSNTNSETESKMKGKCKSNYDGGSKLCQPESSLNHFSAEARKEVTYIFPSSEDEDVCPTCLEEYTSEDPKITMQCSHHFHLGCIYEWMERSDACPVCGKMMVFKEAT >Ma06_p01650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1345568:1350210:1 gene:Ma06_g01650 transcript:Ma06_t01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSLRIGALEKLQSFRLGVMEKQKSFRMDKQRSFRLGDRQQSFKERKNKESPGKRGDLELHLAARAGNAVRVHKILSECSETQLKDLLFKQNQDGETALYIAAEKGYVEAVREILKVSDIQSAGIKANNNYDSFHIAAKQGHLEVLKELFHSFPALAMTTNSLNSTALDTAATQGHVDVVNLLLETDASLAKIARNNGKTVLHSAARMGHVNVVKSLLDKDPRIGLRTDKKGQTAFHMAVKGQNVEMVMELLKPDPSIINLEDNKGNKPLHIATRKGNPKIVQALISVEGIDINAVNRAGETALSIAEKCENEEIAAILREFGAVVAKEPANTMTAAKQLKQTVSDIKHDVQSQLRQTHQTEMKVQKIKKRLQKLHLGGLNNAINSNTVVAVLIATVAFAAIFQLPGQFVPDAEDGFTLGQAYIAKNAAFIIFLVFDSLALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFISLTYIVVGHHDLWLAWSTMAIGATIMLTTLGSMCYCIIVHRIEEKNMRNIRRNSGSRSRSWSISVGSDSEILNSEYKKMYAL >Ma10_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22801626:22805892:1 gene:Ma10_g08450 transcript:Ma10_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAQRSLSLRASRRTERSGWGTRRKGDETDVSANGSGLHDPLRMVWRKGFIRLVLVSAILWMLLILFALLFHLWSCHSSISFFSALCNQDSKVFIILDTMGLTSKPQHRCGIPVVDDPETIVIPKRTPDTIPKSLTYVLEDEQVTADEKSQPLFGGHQNWTQRENSFKLNTTMKVHCGFIKNGGGEMDAVDVKYAKKCRFVVASGIFDGYDTPHQPSNISQRSRELFCFLMVVDEVSFHFIKQNVTVREDSDGGKWVGIWRLVMLHHPPYDEPRRNGKVPKILTHRLFPQAQYSIWVDGKMELIVDPLLILERYLWRGKHIFAIACHKHHRSIYEEADAIKRRKRYARPLIDLHMKIYRYEGMELWSPKKRTISDVPEGAVIIREHTAMTNLFSCLWFNEVNLFTPRDQLSFGYVVHRLGEEFKFLMFPNCEYNSLFILHSHTREHSSVVEWVKSLDEFKGNKTGLRETRGGLGLWKPYPGDLSSVQLPSVKRTSPAG >Ma08_p31070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42097481:42099868:-1 gene:Ma08_g31070 transcript:Ma08_t31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g65570 [Source:Projected from Arabidopsis thaliana (AT5G65570) UniProtKB/Swiss-Prot;Acc:Q9LSL8] MRVPKTALSTLVRTQHTAARAPSDAYASLLRRCAAERSLEKTRRVHRHMMMSGFRHLSLGNKLVDVYLKCGAIEDAREVFDAMPKPHPVSWNAMISSCVRCSRSREAVDLYKRMLREGVRADEFTFSSVLRAFSELGLVAQGGAAHGCLVITGVDATNAFVGSALVDMYAKFGKLREARAVYDRVCSKDVVLTTALIVGYTQNGEYSEAIQLFRQVMKDGLSPNDFTFASVLIACGSIGDLRWGLSIHGVMEKFGFKLGCSSQTSLLTMYSRCGLIDDSIKVFESIADPNTVSWTAIIGCLVCNHREELALLMLQNMINDSVRPNAFTLSTALRACSALALFEQGKLIHAFTTKIGLDSNRFVCAALIDTYGKCGRIGMARIIFDDLPGLDLVSVNCMINAYAQNGHGVEAIRAFEMMQVSGLEPNDATFTSVLSACGNAGLLEEGHRVFSFIIDCYKHGPSSDHYACMVDLLGRSGKLEEAEGLITKLRSPDKVLWRSLLSACKIHGKLDMAKRVARNILELDPGDDATYILLSNIYASLGQWNEVINVKSAMRRMKLKKDPAMSWIEVDREFHTFMAGDRGHLKAEEIYKQLEVLITRTEELGYVPDTRYVLQEMEELEKERSLYYHSEKLAVAFGVMSSNDKGDIPITIFKNLRVCGDCHSWIKLVSQVVGKEIIARDAKRFHHFKDGLCSCNDYW >Ma10_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29007350:29011639:1 gene:Ma10_g17750 transcript:Ma10_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALSSFRYADSLVVVGISVCTAFLCEAISWLLIYRTSTYKSLRSSIDKASKKLDTMKSTSASSSSKKPSSSSSLSSSSSSSSSRAKKMDRVETSLKDATRELSLSKFKSGAVVAAVLFVVFGLLNSLFEGRVVAKLPFAPIPLVLKMSHRGLPGTDPTDCSMVFLYFLCSISIRTNLQKFLGFAPPRGAAGAGLFPMPDPKAS >Ma10_p30080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36470705:36472218:1 gene:Ma10_g30080 transcript:Ma10_t30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVPVPDFHLDSACTSPYVSAPSSPKRSGDPFNFFRHYTSAPTSPTRASAIYAHFNAISSTNSPAAASGVPFDWEEKPGTPKSRGGSPAKDEQEDVLDFAFDFSGHLDKQGLPVLTTADELFEEGKIRPLKPPPRLQYPVTDDGSSVASSPRSPKQRVLWSPCHRGRGGRGEQIDPFTAAMVEATRDRGRATTPTSPSFSSSRSRKGSRSLSPLRGGGSFFKTTPTSPPATASTASLKSGGGGGGGSKKWRLKDLLLFRSASEGRATGNRSKDPLRKYTLLPSSSSSIKKSVTEDLKNSSFRSTDSSGSIRRGSGHFASSHQMHYAANRAATEELKKKTALPYRQSLFGCLRFNPAVLSISRGFNTHSFGRR >Ma07_p24900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32063661:32067047:-1 gene:Ma07_g24900 transcript:Ma07_t24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIFRAISFLSVALLLLPVSGLNHEGTALLAWLSSFNSSLSSALFSSWDPTHSNPCRWAFVSCSSSGLVSEICIRSISLHTTFPSQILSFRSLANLVLYDTNITGEIPRMIANLTSLLTLDLRFNALSGKIPPGMGNLSSLRVLELVDNQLTGTIPAEIGRLSSLEIFRAGGNSGISGEIPPSISNCKGLVLLGLADTAVSGRIPSGIGELRNLRTLSIYTSNLFTGDVPESIGNCTGLTVMDLSLNYLTGEVPASFAKLMSLEVFLLSYNNISGRIPGFVGNLSRLSQLELDNNRFSGELPTGIGQLKELTQFFAWQNQLHGNVPSELGGCGKLESLDLSYNFLTGRMPAEIGHLRNLSFLELSENMISGTIPSSLEHLRQLNVIDLSRNRIAGPVPETLGLCGDLEFLDMSSNRIAGPIPEEIGYLQGLDIVLNLSWNYLSGPLPRGLSNLSKLTSLDVSHNMLTGSLDVLGGLDNLLPAASFAGNQQLLATDVDSQTSREEKEGRPWRLAMGLHSLPKGNIVRLLGCCMTVAWESRYKIALGAAQGLAYLHHDCNPPIIHRDIKARNILVGLEFEPYLADFGLAKPVDRSGRTMPCGVVAGSYGYIAPDVELDCDSMILTGLQPSDARIQGAHIVDWVRRELRAANSPAAAVLDGSLRNQTDVEVQEMVQVLGVALLCVNPAPEERPSMRDVAALLREIRIVSRHLQEAGDHPEKGFENCANAAACSSFSRASVPMISSSSSTSSSL >Ma04_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4939202:4939703:-1 gene:Ma04_g06780 transcript:Ma04_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDSEAAFFQRLEDLQPCQVSELKPFTHIFAVYGYQSFTAVLPAAYTIEFCAQ >Ma10_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30584236:30585723:-1 gene:Ma10_g20400 transcript:Ma10_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEAPPLHILLFPLMSPGHTLPMVDLAKLLAARGVRTTILTTPANAPSLEAAIELAQRSYQYPIELHVIPFPSTAVGLPEGCENASSVPYYQHPAFMTGVTMLQEPFRRVLTKLLPDAAITDWFLPWTFNISEELNIPRLVFHGISFFALCAHASLLFEQLPTDEDTITIPNFPRRVELQRSQMPDETQMHPVFTEIIRQIAEWEPKSYGVVVNSFYELEAEFADHLRNVLGQKAWHVGPVSLCNARAEQQSRRGNKPAIDGEECLKWLGEKEAGSVLYVCFGSMGTFTEAQFRELALGLEASGRPFIWAVKKCRDEWWPERFMARMEGRCLILRGWAPQILILNHAAVGGFVTHCGWNSSLEAVTAGVPMVTWPFFAEQFFNEKLLVEVLKIGVAIGAKQHTVVPEQRPLITAAEIERTVRRLMDDGEEANRMRSRARELSIMAKSAVDEGGSAYIDTQNLIQELTNRRTMPNSKSQ >Ma01_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:210758:211888:1 gene:Ma01_g00270 transcript:Ma01_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISCSLHTMLGDCFRKSSCKVDYPYRTSSGSTLPKIGKSSGKFLAKPVRTSLQVLTLKRETEKFFRSLSGDREQLFFPPNFVHSLDGSHMMMTAVACKRAGLNFAGVHDSYWTHACDVDQMN >Ma05_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2896237:2899207:1 gene:Ma05_g03880 transcript:Ma05_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVGDLPGSRDMGLDQKRGKAEQNGILTVREGERESKSEHGRPGGEGGEGLDRRMSEISLHATEEEEEEEDDEEEDGKGAKGLDLGPQVSIKDQLEKDKDDESLRKWKEQLLGSVDLNSVGENLEPEVTILCLSIISPGRADIVLPLPMVPNSKGVWFTLKEGSPYKLKFSFSVSNNIVSGLRYINTVWKTGVKMDRTKEMLGTFSPQLEPYTYETPEETTPSGLFARGSYSARTMFVDDDGKCYLEINYTFDIRKEWAPTD >Ma04_p00580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:545216:549736:-1 gene:Ma04_g00580 transcript:Ma04_t00580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHSKQSSADHTSDRFAYERARHLASDDQLAQYAEDSPSLAIPAKSPASGKGKKDFLSCHKDFENETADHSYDNSHNGLSGNPSLSWVTGNTSMDIWIDNGKRSLCDGETCEHGSKRLKQADQNLQLCSSKICISAFEESPSVASEDRKYRGTAAVAGDIVNQATSSNWFSRESALDSPIRIPSYPSYYGDTCQATESDQVEDRYSPVFDYHGRKHVPIGANHQADLPEWGSQDFKNHIRDNDVCASPMTPVTTSLSCDNVVIDGDDGDKWVGTCVIPMPDSAVLASDVLAYHKMECSCLDVGSIRCVRQHVMQARQKLKQKLGHKTFLELGFGNMGEVVTEKWTEEEEQLFYEVVLLNPASLGKNFWKKLPQVFPTRSSKELISYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQESDDGESSTEEEDSVVESPPADQDVAGEEDDLEEAEIAEEDDDVEDCVYYHLAGYNEKQPSGDINRHIVGESTLHSSMTASNLHHFMEEHDIQDDSCTSYEGQHNGVVSCDAVDISDLHHGLIEDHENLHNEHRNDGLSGLADYGFFDGHSDLKSWDMRYSCGTEKDDFFSTCNVIEEVFGKEPLDK >Ma04_p00580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:545216:553811:-1 gene:Ma04_g00580 transcript:Ma04_t00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHSKQSSADHTSDRFAYERARHLASDDQLAQYAEDSPSLAIPAKSPASGKGKKDFLSCHKDFENETADHSYDNSHNGLSGNPSLSWVTGNTSMDIWIDNGKRSLCDGETCEHGSKRLKQADQNLQLCSSKICISAFEESPSVASEDRKYRGTAAVAGDIVNQATSSNWFSRESALDSPIRIPSYPSYYGDTCQATESDQVEDRYSPVFDYHGRKHVPIGANHQADLPEWGSQDFKNHIRDNDVCASPMTPVTTSLSCDNVVIDGDDGDKWVGTCVIPMPDSAVLASDVLAYHKMECSCLDVGSIRCVRQHVMQARQKLKQKLGHKTFLELGFGNMGEVVTEKWTEEEEQLFYEVVLLNPASLGKNFWKKLPQVFPTRSSKELISYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQESDDGESSTEEEDSVVESPPADQDVAGEEDDLEEAEIAEEDDDVEDCVYYHLAGYNEKQPSGDINRHIVGESTLHSSMTASNLHHFMEEHDIQDDSCTSYEGQHNGVVSCDAVDISDLHHGLIEDHENLHNEHRNDGLSGLADYGFFDGHSDLKSWDMRYSCGTEKDDFFSTCNVIEEVFGKEPLDK >Ma04_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:545216:553811:-1 gene:Ma04_g00580 transcript:Ma04_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHSKQSSADHTSDRFAYERARHLASDDQLAQYAEDSPSLAIPAKSPASGKGKKDFLSCHKDFENETADHSYDNSHNGLSGNPSLSWVTGNTSMDIWIDNGKRSLCDGETCEHGSKRLKQADQNLQLCSSKICISAFEESPSVASEDRKYRGTAAVAGDIVNQATSSNWFSRESALDSPIRIPSYPSYYGDTCQATESDQVEDRYSPVFDYHGRKHVPIGANHQADLPEWGSQDFKNHIRDNDVCASPMTPVTTSLSCDNVVIDGDDGDKWVGTCVIPMPDSAVLASDVLAYHKMECSCLDVGSIRCVRQHVMQARQKLKQKLGHKTFLELGFGNMGEVVTEKWTEEEEQLFYEVVLLNPASLGKNFWKKLPQVFPTRSSKELISYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQESDDGESSTEEEDSVVESPPADQDVAGEEDDLEEAEIAEEDDDVEDCVYYHLAGYNEKQPSGDINRHIVGESTLHSSMTASNLHHFMEEHDIQDDSCTSYEGQHNGVVSCDAVDISDLHHGLIEDHENLHNEHRNDGLSGLADYGFFDGHSDLKSWDMRYSCGTEKDDFFSTCNVIEEVFGKEPLDK >Ma04_p00580.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:545216:552038:-1 gene:Ma04_g00580 transcript:Ma04_t00580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIYFLMIYCPRGRRAEVRGLNPWSSLLELELKMVFNHSKQSSADHTSDRFAYERARHLASDDQLAQYAEDSPSLAIPAKSPASGKGKKDFLSCHKDFENETADHSYDNSHNGLSGNPSLSWVTGNTSMDIWIDNGKRSLCDGETCEHGSKRLKQADQNLQLCSSKICISAFEESPSVASEDRKYRGTAAVAGDIVNQATSSNWFSRESALDSPIRIPSYPSYYGDTCQATESDQVEDRYSPVFDYHGRKHVPIGANHQADLPEWGSQDFKNHIRDNDVCASPMTPVTTSLSCDNVVIDGDDGDKWVGTCVIPMPDSAVLASDVLAYHKMECSCLDVGSIRCVRQHVMQARQKLKQKLGHKTFLELGFGNMGEVVTEKWTEEEEQLFYEVVLLNPASLGKNFWKKLPQVFPTRSSKELISYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQESDDGESSTEEEDSVVESPPADQDVAGEEDDLEEAEIAEEDDDVEDCVYYHLAGYNEKQPSGDINRHIVGESTLHSSMTASNLHHFMEEHDIQDDSCTSYEGQHNGVVSCDAVDISDLHHGLIEDHENLHNEHRNDGLSGLADYGFFDGHSDLKSWDMRYSCGTEKDDFFSTCNVIEEVFGKEPLDK >Ma04_p00580.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:545216:553811:-1 gene:Ma04_g00580 transcript:Ma04_t00580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHSKQSSADHTSDRFAYERARHLASDDQLAQYAEDSPSLAIPAKSPASGKGKKDFLSCHKDFENETADHSYDNSHNGLSGNPSLSWVTGNTSMDIWIDNGKRSLCDGETCEHGSKRLKQADQNLQLCSSKICISAFEESPSVASEDRKYRGTAAVAGDIVNQATSSNWFSRESALDSPIRIPSYPSYYGDTCQATESDQVEDRYSPVFDYHGRKHVPIGANHQADLPEWGSQDFKNHIRDNDVCASPMTPVTTSLSCDNVVIDGDDGDKWVGTCVIPMPDSAVLASDVLAYHKMECSCLDVGSIRCVRQHVMQARQKLKQKLGHKTFLELGFGNMGEVVTEKWTEEEEQLFYEVVLLNPASLGKNFWKKLPQVFPTRSSKELISYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQESDDGESSTEEEDSVVESPPADQDVAGEEDDLEEAEIAEEDDDVEDCVYYHLAGYNEKQPSGDINRHIVGESTLHSSMTASNLHHFMEEHDIQDDSCTSYEGQHNGVVSCDAVDISDLHHGLIEDHENLHNEHRNDGLSGLADYGFFDGHSDLKSWDMRYSCGTEKDDFFSTCNVIEEVFGKEPLDK >Ma04_p00580.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:545216:553811:-1 gene:Ma04_g00580 transcript:Ma04_t00580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHSKQSSADHTSDRFAYERARHLASDDQLAQYAEDSPSLAIPAKSPASGKGKKDFLSCHKDFENETADHSYDNSHNGLSGNPSLSWVTGNTSMDIWIDNGKRSLCDGETCEHGSKRLKQADQNLQLCSSKICISAFEESPSVASEDRKYRGTAAVAGDIVNQATSSNWFSRESALDSPIRIPSYPSYYGDTCQATESDQVEDRYSPVFDYHGRKHVPIGANHQADLPEWGSQDFKNHIRDNDVCASPMTPVTTSLSCDNVVIDGDDGDKWVGTCVIPMPDSAVLASDVLAYHKMECSCLDVGSIRCVRQHVMQARQKLKQKLGHKTFLELGFGNMGEVVTEKWTEEEEQLFYEVVLLNPASLGKNFWKKLPQVFPTRSSKELISYYFNVFMLRKRAEQNRLDPLHVDSDDDEWQESDDGESSTEEEDSVVESPPADQDVAGEEDDLEEAEIAEEDDDVEDCVYYHLAGYNEKQPSGDINRHIVGESTLHSSMTASNLHHFMEEHDIQDDSCTSYEGQHNGVVSCDAVDISDLHHGLIEDHENLHNEHRNDGLSGLADYGFFDGHSDLKSWDMRYSCGTEKDDFFSTCNVIEEVFGKEPLDK >Ma05_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32192131:32193624:1 gene:Ma05_g20540 transcript:Ma05_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMGFSGAISYRATAFIVAPLLVLVVIYGCLWPPGVPTAFFRLQNGANTMEITPKDELETALEGVAMENRTLIIAILNKAYVEQNAMLDLFLQSLGEGEDTEFLIDHLLFVAVDQRAFNRCRTLELHCYKLVTEGVDFSKEVFYMSDAFNNMMWRRTLFLRDVLRRGYSFIFTDMDVMWLRNPFSLLDRDGEDLQMSSDFYYGNPFDNFNFNTGFYFVTANNKTVALFDEWYGWRNNSKGMKDQDVLQKMKKEGAFTRLGLKVRYLETTYFSGFCQMSQDLRKVITVHANCCVSMKVKLIDLRCVLEAWKVSNTNGTSNATTTAWPPVKGICLHDTATKQHTATKH >Ma08_p19070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32858425:32861149:-1 gene:Ma08_g19070 transcript:Ma08_t19070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKDVLFVSFWEKGADVNQKLFRGHAITAAVREGQTEVVEALLKAGASQPACEEAVVEASLHGRASLAEFLIGTDLVRPRVAVHALVLAASRGFLDVVDTLIKCGADPNATSRVLLRSLKPSLHTNVDGTALIAAIVSRQTAVVRRLLQAGVRKDAKVRLGAWSWDTTTGEEFRVGAGLAEPYTAAWCAVEYFESAGTILRMLLQHHSLNAPHCGRTLLHHAILCANPRAVDTLLACGADCELPVKTSRKTEFRPIHMTVRLGLASILQILIDKGCDLNSRTDTGETALMLCARYNRDDCLRILVTAGADLGLVSVAGVSATKAAACSHWSISFQRVVVDLIRAGTVPRSSNPSVFSPIMFAALCGDVGSLEVLLTRPDIDIDGQDEEGYSPLMAAAKEGHVNVFRVLVFAGANAKLCNKAGETAIDLSRSKENRDLFEQVMLEFTLERGSAGGFYALHFAARRGDMAAARLLTKRGCDVNAVDGDGYTPLMLAAREGHAEVCQLLIHGGAKCDAKTHRGETALSLARSNAKLGKDAENVILDELAMALVLRGGHVKKHTKCGRGSPHGKVLRMAAEAGVLQWGKASRRNVVCREAEVGGSSAFQRNRKGKGDRREAGLFRVVTAGKREVHFVCGGGEEAAQLWVRGIRLVTRAASGNGSSNSTAAL >Ma08_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32858425:32862624:-1 gene:Ma08_g19070 transcript:Ma08_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFAHSMGRGSEGAAGGVAVTMKGAAGRLQVHPLPDYGAEASQRLVEVAQRGDAREVAESLADPAVDVNFAGAVCLRARRATVSLREEAADEVLVEYEEIRTDASALFLAAHAGDLLVVRKLLEKGADVNQKLFRGHAITAAVREGQTEVVEALLKAGASQPACEEAVVEASLHGRASLAEFLIGTDLVRPRVAVHALVLAASRGFLDVVDTLIKCGADPNATSRVLLRSLKPSLHTNVDGTALIAAIVSRQTAVVRRLLQAGVRKDAKVRLGAWSWDTTTGEEFRVGAGLAEPYTAAWCAVEYFESAGTILRMLLQHHSLNAPHCGRTLLHHAILCANPRAVDTLLACGADCELPVKTSRKTEFRPIHMTVRLGLASILQILIDKGCDLNSRTDTGETALMLCARYNRDDCLRILVTAGADLGLVSVAGVSATKAAACSHWSISFQRVVVDLIRAGTVPRSSNPSVFSPIMFAALCGDVGSLEVLLTRPDIDIDGQDEEGYSPLMAAAKEGHVNVFRVLVFAGANAKLCNKAGETAIDLSRSKENRDLFEQVMLEFTLERGSAGGFYALHFAARRGDMAAARLLTKRGCDVNAVDGDGYTPLMLAAREGHAEVCQLLIHGGAKCDAKTHRGETALSLARSNAKLGKDAENVILDELAMALVLRGGHVKKHTKCGRGSPHGKVLRMAAEAGVLQWGKASRRNVVCREAEVGGSSAFQRNRKGKGDRREAGLFRVVTAGKREVHFVCGGGEEAAQLWVRGIRLVTRAASGNGSSNSTAAL >Ma05_p06600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4881440:4887118:1 gene:Ma05_g06600 transcript:Ma05_t06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKEYQGSSSQGSPDPESCPSLFRYITYKSVAGFDVTPSPRGDPAFAEVPEATDDDIKAKSLCTPSLSLPIEMIGPHHARSISISMPTTPSAFHVEHSKAVLENDNGVSYATGGQTYHPIGATASLLQQKQAKFHSQPIPVGNSHTNMPPDMQSDHLVRLQQMPRNCRFKDKRYDSFKTWSGKLERQISTLRGKPQEPEEVNDSKNTKPEAVPADRFFDALEGPELDKLKASEELVLPEDKKWPFLLRFPVSSFGMCLGVSSQAILWKTLATSPSTSFLHISPTVNLVLWCISLALMGIVSAIYVLKIIFYFEAIRREYYHPIRVNFFFAPWIACLFLAIGMPPSVAVNLHAALWYVLMAPIFFLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGASMGLKEGPIFFYAVGLAHYTVLFVTLYQRLPTNETLPRDLHPVFFLFVAAPSVACMAWAKITGDFGYGSKIAYFIALFLYASLAVRINFFRGFRFSLAWWAYTFPMTAAAIATIRYSTEVKNVFTQTLSVALSVISTITVAALLFSTVVHAFVLRDLFANDIAIAISGKIAKSSTKDDARIIASSSNTADVEAPVDGLLLPVLSGEPMI >Ma05_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4881420:4887118:1 gene:Ma05_g06600 transcript:Ma05_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKEYQGSSSQGSPDPESCPSLFRYITYKSVAGFDVTPSPRGDPAFAEVPEATDDDIKAKSLCTPSLSLPIEMIGPHHARSISISMPTTPSAFHVEHSKAVLENDNGVSYATGGQTYHPIGATASLLQQKQAKFHSQPIPVGNSHTNMPPDMQSDHLVRLQQMPRNCRFKDKRYDSFKTWSGKLERQISTLRGKPQEPEEVNDSKNTKPEAVPADRFFDALEGPELDKLKASEELVLPEDKKWPFLLRFPVSSFGMCLGVSSQAILWKTLATSPSTSFLHISPTVNLVLWCISLALMGIVSAIYVLKIIFYFEAIRREYYHPIRVNFFFAPWIACLFLAIGMPPSVAVNLHAALWYVLMAPIFFLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGASMGLKEGPIFFYAVGLAHYTVLFVTLYQRLPTNETLPRDLHPVFFLFVAAPSVACMAWAKITGDFGYGSKIAYFIALFLYASLAVRINFFRGFRFSLAWWAYTFPMTAAAIATIRYSTEVKNVFTQTLSVALSVISTITVAALLFSTVVHAFVLRDLFANDIAIAISGKIAKSSTKDDARIIASSSNTADVEAPVDGLLLPVLSGEPMI >Ma10_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1578867:1589344:-1 gene:Ma10_g00350 transcript:Ma10_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSFDPVDSGRRNRKQKHPRPGLPPRPPRRPRGSSAPPSDVQRPSQMSAVDYSVKLLGRAIMSQIGMITRSGLPSYAYPGMITKSGLPSHDYPGSTKRIEIPNELVGIIIGKTGETIKSIRLNSGAKIQVTRNMEAGPNSLTTTVELNGTPEQISSAEKLINDALAEGGFGGSNIISTQESGDMEPGPEHCHTKTPKDMASPSHLPSGGMSTESRAYLVGLMGKFEAAKQIVNQIIKGDSGDPGISFAQELGSVEPIAQKFQMKVPNDKVYAIIGKSGETIKHIRLESGAKIQLTKDTDAGPNSPTTVVELNGTSQQISSAEKLINDVLAEGDLGCSDVISAQESGDMEPDVEHCHMKIPKNMVGVIIGESGETIKCIRLQSGAKIQFPKDTGADSDSLTTTVELYGTIEQIMSAMKLIDDALAEGGSVDPGTAFAKESSRMEPGAEWYEMKFPSDKVGAIIGESGETIKRIQLQSGAKIQFPKDTGADSDSLTTTVTMYGTIEQMRSAEKLINDAIAESRRTEPGSELLEMKLPSDKVGAIIGKSGETIKRIRLQSGAKIQFTEDTGADTDSPTTTVELFGTIEQITSAKKLINDALAKDDSGGCFIGSDSTSLDVPRPPSIDDAHRGT >Ma02_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26419786:26428702:-1 gene:Ma02_g20430 transcript:Ma02_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEKAIIKPEVMKSFIWLQCADGSIQQVEEEVAMFCPMIYREIHQTGMGSSKNYAISLPERVNPAILSLIFDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARMIEGKTPEEIRETFHLPDDLTEEEKLEPLKNVNDDPRIRLLNRLYAKKRKELKERQKLKNTEVKEEKKDERSVDEILSFINGDEDSKGVKAIKNKKKNRKKKDLPKDISRNDPAKVHKKKEATFSPSPSQSSEAQENDEDAFASNGEFEDGDIDDELDPAVKEELDREVEDFARRLNSDWPERMQEILSFGQDRRLVPNLMNSNGSLKKFTGLDRR >Ma09_p14640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9997771:9998825:-1 gene:Ma09_g14640 transcript:Ma09_t14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWCSSLLCFILRMAFFPQEDKSKLASNGSKSLPELLRKACAQCHGSGLRTLSNLSSVDESTLDSDLDHKQRIILEIRSRAMKTKSRPHELLFSGKLARDVSPTTGRADITGEEEHDDDDESEAFFSVKSCFSCCSTDGSTELEEFGSLLGEFRHCEGWPFGLRRRAVALPPLPSSPSDSWMWHKRNLVTRTSPKGLALKP >Ma01_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2785074:2795385:-1 gene:Ma01_g04260 transcript:Ma01_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSADAKIRGGTSSAGTRSSRKQQLQPEQKNKAPTTQPQQKDRGSKRRSSGLVPCGRRTDFGYAKDFDSRYSVGKLLGHGQFGYTFVATENATGERVAVKRIDKNKMVLPIAVEDVKREVKILQALKGHENVVNFHNAFEDDSYVYIVMELCEGGELLDRILAKKDSHYTEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSSKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDRTEDGIFKEVLRNKPDFRRKPWPTISNSAKEFVKKLLVKDPRVRLTAAQALSHPWVREGGNASEIPLDISVLANMRKFVKYSRLKQFALRAIASTLDEDELADLKDQFQAIDIDKSGSISLDEMRQALAKDIPWRLKGPRDLDILQAIDSNTDGLVDFTEFVAATLHVHQMEEHDSEKWRSRCKAAFEKFDVDRDGYITPEELRMHTGLKGSIEPLLEEADIDKDGKISLSEFRKLLRTASMSSNVPSPSGVRNPQKF >Ma11_p23870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27050998:27058281:-1 gene:Ma11_g23870 transcript:Ma11_t23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFAKQASARPSPASVDLSRRREKERPSGPAHVASGRKSQASIPTVETVADAPDAAVRVEDTERPPVERLPRRRRPRPDPRLSNLPGHVHGEQVAAGWPAWLSRAAGEAIKGWTPRRADTFEKIDKIGQGTYSNVYKAKDILTGKIVALKKVRFDTMEPESVKFMAREINILRHLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAADPTIEFTQPQVKCYMHQLLSGLEHCHNNCVLHRDIKGSNLLLDNKGLLKIADFGLATFFDPNCKHPMTSRVVTLWYRPPELLLGATDYSVGVDLWSAGCILAELLAKKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCLRETFKDFSPSSLALIETLLAIEPTDRQTATAALNSEFFNTAPFACDPSSLPKYPPSKEMDAKLRDEARRLRTAGGKANVNESKKMRSRDRASRAVPAPEANAELQVNIDRRRLITHANAKSKSEKFPPPHQDGAVGHQLDSSLRIDPSFGHSEASFSTVFPYQKGPLTAWSGPLIDPAAVGHLKRKKQVPVKAQNPAQSEQLAGAHATKETQKDKGSTRF >Ma06_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12641235:12642937:1 gene:Ma06_g18470 transcript:Ma06_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPLPLHFRVFFRLLTPRFLPLVHNHTAHKRPPLLSVAPHNLSCSSVVGVMAQGRGSAVAMGLALLCLLVHSEVAGAATFVVGDSGGWTFSTAGWPSGKRFRAGDVLEFRYNPWAHNVVAVSAAGYRSCSASPAARVFTSGNDRVTLARGTNHFICSLAGHCESGMKIAVTAV >Ma09_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3117693:3119538:-1 gene:Ma09_g04860 transcript:Ma09_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRDALLVFLCLLGPAAVHAEDPYLFFTWNVTYGTVSPLGVPQQVILINGQFPGPNINSTTNNNIVVNVFNNLDEPFLLTWNGIQQRKNSWMDGMPGTNCPIPPGKNFTYHFQVKDQIGSFFYFPSMGMHRAAGAFGGLRVNSRLLIPVPFADPTDDYTVLIGDWYAKSHKALAAILDAGHGIGNPAGVLINGRTGKDASGKDDTPLFTMEAGKTYRYRICNVGMKVSLNFRMQNHRMKLVEMDGSHTVQNDYESLDVHVGQCLSVLVTANQEPKDYYMVASTRFTKYMRTATGVIRYAGSSVPPSPELPAAPVGWAWSFNQWRSFRWNLTASAARPNPQGSYHYGGINITRTIKLASSVGLVNGKRRFALNGVSHVESPTPLKLAEYYGIADKVFKYDSINDEPPAASAPITATPNVLNATYRTYIEIILENPERSIQCYHLDGYSFFPVGMGHGKWTPESRKTYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWNLRSEMWERHYLGQQIYISVTSPERSLRDEYNIPDNTLLCGDVADLPKPPSYV >Ma04_p34680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33743764:33745555:1 gene:Ma04_g34680 transcript:Ma04_t34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFGESSSGRAPQSSSFGGSSSNNDAGSFECNICFELAQDPVVTLCGHLFCWPCLYKWLHGHAQSSECPVCKAIIEEEKLVPLYGRGKNATDPRSKSTPGMNIPNRPAGQRPATAPPPDPNNFHHANPWFMGGAPVAGTRFGNYTFSAAIGGLFPLLSFQVHGFPDATTYGHGAGFPYGYGNAFHGGHAHGFPRHVHQGQQVDMYLKALLLLIGALVIASLVWF >Ma10_p05910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17341131:17343016:-1 gene:Ma10_g05910 transcript:Ma10_t05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGENAAGRWSLLGATALVTGGTKGIGHGIVEELARLGAAVHTCSRNEADLNKCLQKWEAEGFKVTGSICDVSSPDEREKLMEKVRSTFDGRLDILVCNAGTGILKPATLQTPEDYRYVMSINLDSAFHLSQLAYPHLKASGRASIVFISSVVGFMAVDYLSVYGASKGALNQLTRSLACEWAGDNIRTNCVAPGTIRTPLTEQGLQNDDFVTKETHRIPLGRVGEPEEVAALVGFLCLPASRYINGQVICADGGRTVNGNI >Ma10_p30580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36869694:36873582:1 gene:Ma10_g30580 transcript:Ma10_t30580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLKRLMFPVQKRLLCLLSCNKSNIYLSSYQLCSLFSFSTAKERSSNHRSNFTLVDPLESCELSSKEVAKRAKDRICENKLSSSSPSIEFFKQRGWSDAQVMKLTQRKPKLIFANVETILKPRMRSLQDMGFSDTEIVQLVSSCPGLLLLRDIQPRINFWRSFLGSNERLIKASRGNMFLLTSSLARKIEPNISLLRECGISEQCIMQMVVALPRFFCLTNKCINESIKHVEELGVSRDCKMFPHAISTVLTLSRSRFDATFATLMSFGWSQPDSLAAFRRHPVIWNYSKENLSDKMTFLMKEAGCELAYIICHPVLLTYSLEKRLRPRYEVMNFLDQNKLLDKGYNLLSVMLLSEEKFRNKFLFLLRKEKFIAQYDSYVVAVQGKPHIVAEN >Ma10_p30580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36869694:36873582:1 gene:Ma10_g30580 transcript:Ma10_t30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLKRLMFPVQKRLLCLLSCNKSNIYLSSYQLCSLFSFSTAKERSSNHRSNFTLVDPLESCELSSKEVAKRAKDRICENKLSSSSPSIEFFKQRGWSDAQVMKLTQRKPKLIFANVETILKPRMRSLQDMGFSDTEIVQLVSSCPGLLLLRDIQPRINFWRSFLGSNERLIKASRGNMFLLTSSLARKIEPNISLLRECGISEQCIMQMVVALPRFFCLTNKCINESIKHVEELGVSRDCKMFPHAISTVLTLSRSRFDATFATLMSFGWSQPDSLAAFRRHPVIWNYSKENLSDKMTFLMKEAGCELAYIICHPVLLTYSLEKRLRPRYEVMNFLDQNKLLDKGYNLLSVMLLSEEKFRNKFLFLLRKEKFIAQYDSYVVAVQGKPHIVAEN >Ma01_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4105063:4109334:1 gene:Ma01_g05800 transcript:Ma01_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRRLLAPHFRYYCARTNKTRPFADLGLPRQPSQDPTFTPQNPPPTVSEVCNLISQTYEDGNQKLRSLHVDLTDEQAVAAVALLAETEGSMVAFSFFRWAVARPQFRHFLRFYVTAACSLVDLGNLEKAQEVMSCMAVSFSEVGRLKEAVDMVFEMRSQGLPISIHTMNLVLRVAVGSGLIEYAEQLFAEMPANGTYPNSCSFKTMIAAYCRQGRVSDVERLLRTVEERGHGIDNVTCTLVVDAFCKKGCVSWVFRFFRKMLEIGLAPNVINYTTLIDALCKRGSVKQGFQVLEEMVGRGLKPNVYTHTALIDGLCKIGWTDRAFRLFLKLVRSNSYKPNVCTYTAMIRGYCKESKLNRAEMLLTRMREQGLTPNTNTYTTLIDGHCKAGNLERAYELKDQMTSDSCLPNICTYNAVIHGLCKKGRIQEAYRLLQEASNKGLQMDKFTYTILISEHCKRDHSSRAMELFNEMVDAGCHPDIHTYTTLIAAFCKQRNMVESERLFERCLKLELVPTKQTYTSMIGGYCRVGKVTSALRVFEKMIQHGCSADAITYGALVSGLCKESRLDEARALYEAMLDKGLVPCEVSRITLAYEYCKRQKSNVALSVLERLDKKQWTRTANILVRKLGFEGHVDAAGMFINKLLDEDHSIDRITYAAFINACYENNRYSTASELSERISKGALSFVKDNSTIT >Ma09_p30250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40473264:40474028:1 gene:Ma09_g30250 transcript:Ma09_t30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSKPTGEPVVIGYPAAGITAPLPSATAYTYATPRPDHYYYADPRTGAAFSANHNLLLYRILIAAAVAFLLVGFISFFLWLFLRPSAPVFIVSSATVSAFNLSSPYGPSPQLSSSFNLALNVSNPNKKMGVNYFHVAAAVAYDGVILAETALSPFDQEGRTSTTLQATLATRQESVGLDVARGMSRDRATSGAVRFDVMVEAWVWFKTSAWSTSSSVMKVYCEGISISLINETVTSGSMAGPTKQCQVNIGW >Ma04_p31970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32136268:32136756:1 gene:Ma04_g31970 transcript:Ma04_t31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSAIASIRSDRARTPSSTEAGVSHGCGRRQRRQRRKRAGRPSCRRTTPAEPVHDEGLRRAALRFLLPPHEAVAGQGARLCRGCRRYPLRDRRLRFLRCVPPLPPPHSQRPLRSTAASSDPSCSLF >Ma05_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10576922:10582799:-1 gene:Ma05_g14490 transcript:Ma05_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSKEELLYQQVNYGNIEGIRALRSQGAGLEWMDKEGKTPLIVACLRHDLLPVAKALIEMGANVNVYRPGCHAGTPLHHAAKKGLEQTVHLLISNGANPFIMNDDCHTALDLAREKGHINVVRAIENRVSLFAGWLREVHGPGFLEALARQLLTRKIWVVVLPSDARNPTRPLKFELAIYSDLQTARPRTVIQLWNVHIEEPKFNQVDPAVIIVDKATRARYKFLSAYEGDKQQLRWFFSACQGISQVMDCISAMPTGTSVPSPPQVISHASTQSTAAPTSNPEDIELEMAINASTRTAIAEGMPPLIQSDPQTSNTNGWGSSSDNSSYNGWGTPDVDAPSKVNGQVLMNEPNTCNGWAVPEVQLNTNALQPYMRNPDTPVVQSSQGAPSTQVVPSAPPMMADTFYDGPIHYPSIDCSPIDLSMPLVKTASGTSEAKDSSTSSSSKTGTGEDKAGSSSTTGCCVICLDAPVEGACIPCGHMAGCMSCLREIEAKNWGCPVCRTKINQIIKLYAV >Ma03_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:651018:653086:1 gene:Ma03_g00800 transcript:Ma03_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTYTALPVSSSIELQAKSPTLPQDPPKKQEEFGVELPLILDAAGAGYGPAAAGSGVPGAVFNLATSIIGAGIMALPATLKVLGLLVGFVSIVLMGILSEISIELLVRFSVLCKSSSYGDVVQTALGRPFKIVSEICVIINNAGVLVVYLIIIGDVMSGSSKHVGVFDQLLGSGGEWDHRKLVILVVLVIFLAPLCSLEKIDSLSLTSAASVALAVVFVIVSSIIALVKLVEGRIGTPRLGPDFGSKAAFLDLLVVVPIMTNAYVCHFNVQPIYNELEGRTPKKMYLVSRITTVLCVAIYASTAISGYLLFGDDTESDVLTNFDKDLGIRFSLILNYVVRIGYILHLILVFPVIHFSLRQTVDSLVFAESAPGSRKRMLTLTAVLLAIIYLGSTMIPNIWVAFKFTGATTGLSLGFIFPALIALRLDKKEKVLNLRERYMSWLMLVLAVVASILGVVGNIFTLKNRSE >Ma07_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7322746:7327133:1 gene:Ma07_g09770 transcript:Ma07_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVCVASCRPALRKPHASAAALALAPVLSSSPSRNTPRLSFSSSASGDGSLKKRVVVMRIVMELEGKKRFDMDAAIGPFGTKEAPAVIEAYYDKRIVGCTGGDGEDKHDLVWFWLEKGKPHECRVCSQCYVLEVIGEGGPPDDEVDLEVDGEGGLHVVDHEVDDEGGLH >Ma09_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34560811:34565739:-1 gene:Ma09_g22690 transcript:Ma09_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGCKGKSICSKHVTMLTAVIMSFGVISCCLGHGEGDRDDRLRAEGKGCIESERRALLAIRSDMFDSDERFSSWIGEDCCSWRGVACDNATSHVIKLDLHYLDTYNFYTYGLDDEDNMCFMLEGMGASKVNPALRDLRHLKYLDLSMNNFSDAPVPHMIASLVHLEYLNLSNAMFGGLIPPQLGNLSNLHFLDLGGCGFTALRADDLDWLSRIPSLKYIDMSFVNLSKATNWLHQVNSIPSLEVLHLRWASLSYIPSPLPLFNMTSIVKLDISHYLNFYPAILRWFSHASSLAYLDLFNCGGIDIESLQMALGALSNLKALDLQHNFIKGDIFGIVMNVSRSLKHLDLSWNSLSGDIEQVLWSLGPLEYLALDDNELHGYIPDMVENFTNSLRYLSLSSNHIAGEIPQTVGNLINLEYLDLSYNNIIGGIPVNFGNLSNLKSLRLSGNNISEQIPETIGNLQNMQSLDLFDNFITGQIPETFNRLYNLQNLDVSSNHLTRLVPGTLSKLCNLTLIFLSYNNIGGELSDLIDDLSDCNGERDLYLFVNDNSLSGIVPSSMGQLSTLQVLDLSSNLLEGNITEEHFSKLTDLTQLDISYNSLNVILPNDWLPPFNAYSIIMSSCHLGTKFPAWIQTQTYLEDLSLSGVGLSGNLPTWFPDILKGRGIRIDLSNNSLDGPIPLSFANTSNLAILSLSHNNINGSFPSFFCNLNSLQVLDLSNNNLSGKIPKCYKSFPTSLQSLHLNHNNLSGRFPSFLKHCEQLVTLDLGENNLFDEIPTWVGENLLSLRVLSLKSNLFYGPIPVHIANLTSLQVLDLSSNNLSGSIPSSLGNCRAMVEIQHDATSLLHLVDGGYYGESIVITVKGFDNQYTRILSLVTSIDLSNNNLSGEIPRELTNLHGLRFLNLSKNHLRGTIPEKIGSMEQLESLDLSVNNLTGDIPSSLSSLTFLSHLNLSHNNLSGRIPTGNQLSTLNDPSIYVGNKDLCGKPLPVCPGDVAYRSLPPAAIEEEGEYSDGEFEGVLEITSIVMGFVVGFWSCFGIMIMKQSLRVALFRLTDKTGDWVFVQLAVSFARLKSKSRRTT >Ma02_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29203666:29205444:-1 gene:Ma02_g24720 transcript:Ma02_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERFSLSPSLTSSRNAAIKHQQEGTEIPKRQNAIGLRCPRAERESERERERTREREKSAMPNLLPLLVLVQVSLLVAVPDPDTASIQLIAATATAASSPVTIPASPEQSGVSFAACPLDPPSDLLPSVSAACTASDGGPPSRYSCCPALKAWLLTAYSATALAAHPLPSTGFDLPALPDDSETCIGGVERALRHRGVELPRVNATCDAAYCYCGVRLRRLACAGAFVADVKLGRWVPAGDAGRRLKKDCSRPGFAGCTRCLRTLNQLKAKEKQGGSSNLSIPGKEAAAAHERECQLMGLTWLLSKNRTLFLPTATSVLQALMAAEDAGGSHAASCSLSLDEVPLAVRSHQIHGHGGSFAVPPSSLFFLLLLLTLLPIFHV >Ma06_p25720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26192247:26206326:-1 gene:Ma06_g25720 transcript:Ma06_t25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRQSSFNRASPPQMTSIPYASLPRQQRPLPARVHLQRWHGGQQQVILGRSRKIEKLEIGGRSFLNGPFKGGRAPQAMAFEKPEMFPEFETDTYADKEKMLANYVPVFVMLPLDVVSVDNTLKKPEELRKRLKHLRNADVDGVMVDVWWGIVEGKGPKLYDWSAYKDLFRMVQEEGLKLQAIMSFHNCVEGTVNIPMPPWILEVGKSNPDIYYTNRRGSNKA >Ma03_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17475203:17481189:-1 gene:Ma03_g15870 transcript:Ma03_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSDQDDHVVRKLNRQISNSTTRNPYAGEPFRSGGGASPSLDPILSDEAKNSTSSNLSDPSNTQDVKCPLVQEANSGNHRRASTMTAGQDALNSPDDRSLASAFKAMSFKKRIEFDLTIPSFEHNPASFRYAVLHNSEHLNKSSSMPESSEMPIRMPTMPNGVDLPNLEAKGYSSFITRDDSSMCNRTLDGHCDASSCKLNFCAPRYQTPNSVLACHNEQWQSYTGYPSDMPVNPGKQTFSSPGVLAQGFQLPTTFLNQDYVDAPSHAYASYCQQNQPNVGWCDFEDERNYINNSQYLYFQQLENQHLEGHVQRGRNSATGHLSGCSTQPYFHMPIPHQAGLAYPNSYESDDVANSRCNQLYDILPSRNHGRYHRNGYPSFSNSSVVPQRLKLSSHSGSTVSSHGDQVPDFFCKLTSHGVNPLRTSIGSHQLPKHADNTSRMFPDDGANSYNGRRDGSLNLGIQRSLSSSSDGSDQRHDPESSHLQYDSLDNLSGRIHMLAKDLCGHCFLLKTLDKRERGNVDKIFVEIISHVAELMIHPIAHHLIKKLVEVCTEQQLTHITDKISERTDQLPRICCDQHGTSVVQKIIKTVKSPELYFNIAEALKPGILSLMKNINGSQVAQYCLRYLPPESKEFLFDVAVANCIELARDRQGCCVIQKCLSDLEGEQKNDLLFKVTSKAHALSQDPSGNYVVQLILDQQIPWATSRILDQLEGHYGTLSVQRYSSNVVEKCLKLVRDDQCDNIIRELINDPCFVQISQDPFGNYVVQTARRACKGELIIAFLEAIRPHIAELRASSFGRKVLSKTYHNG >Ma06_p35280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35208493:35209452:-1 gene:Ma06_g35280 transcript:Ma06_t35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPFSAPSPLSSSSPRPPPAAAVAPSLRPAVPAFPWCGRRPAASRVVAVSAVGELSSDSNTYLIAGAIGVALVGTAFPILFSRRDICPECDGAGFVRQAGAALRANAARKDQSQIVCPRCNGLGKLGQIDK >Ma10_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33040329:33044236:-1 gene:Ma10_g24450 transcript:Ma10_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGSREMAVKKGVLGDITNINGKRRLSLDLETNVARHNVGRKGGGLKDASFDEQLAGDARASMKRKDKIDGVADFLVDGKGKGLYSFDSKQACQLVTSDSKGIFVYGFTEVDKLGQGYSDFESVPAHCDKSSETNTEDDCLEDDETDSADSFRYSFRAKNDVSKSATSNCSGLHFAAVKEGNVDSGEAPSNVPLGKSGFSKCLKPSGSPDIKVADGPDKSGFSKCLKPSRSSDIKVDDGSDPINGISNCSEISMPEKSCKCSFCLKAAYMWADLHYQDARGRLAALKKSKRLARTLEVRSCSSNHNIKAIQQSTKRSSELEFELTQQWRSLFLRTENALVLETAQLHTNFLRLKELREICKRDLETISMVPSDK >Ma01_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11286990:11288559:-1 gene:Ma01_g15590 transcript:Ma01_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYTRIDSRIKRIQNSTGKSRFQMFLLVTLTDPVNRHCQGTISSRATAVFTFHSLAVPGHGIASRPLDFQFAPSSTVDDHMPPRLPSDANRAEPGDAGFPWQAPSRSLGCRDPPRPRSISPSLPPRSRFLDLDNKGCAANQTSEAEHEGRRRRGQNQRPAWLEQLLSTDFFGPCEAHKDIKKSEINIYCVDCRCSMCANCLSLPSSPHRRHRRLQIRRYVYQDVVRVLDIHKLLDCSKVQSYTVNSAKVLFLHPRNQSKSSKPNPGSPLCNMCSRSVAEPNRYCSVACKVFDDSKDASTAPVDECDRSEPCLPHLTSDFEDEPDAEASDASQDGSPDSPRPGWRTRVRKGVPQRSPFF >Ma00_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28314232:28315285:-1 gene:Ma00_g03330 transcript:Ma00_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADLRRRPPTTGLEKAIWVSKIGFFLLGILSTGVATRLAVPPAAGVLASALPRFWASLCSWLVPPYLFVVIHLIILVIWKLSDQKQQLREEKPPVRVGDVKAKTFVPPSAAPPPGEPLAESWHEVLPSPKTAPELVVSSGAGEPSDPPTEEKPAASSSFGINTSTEPSRETSDVSDELETAAAAASENAVDIDSMDATWKAIMKKSPSRGWEKPGGREPRPSEKVAIRWREPSATGRDELNRRFDDFIRKNYDQIRLQRHESNQRRLEMSTAGLH >Ma07_p27310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33757952:33759680:1 gene:Ma07_g27310 transcript:Ma07_t27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAWHHLHNRELHRHAQTLGGWKPIWDLDEPHIHDIIVVFAISDHKQEANEHLTWDKVVKGETQMVSGLQYKLLVMTKNEMDVSARYEAVVWEKEWMNFRKLVSFNLVI >Ma02_p24490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29085153:29086238:-1 gene:Ma02_g24490 transcript:Ma02_t24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAETTPRRPDTAVASSAAVTEATPPRGEAQGSLLHHFSFPILNTWGNHSAQRCMSVNGKEEIVAGGGRRSTASPVETPESRIRASETGPGDDPRIEEVREKLMAHLREAADRMNLVVQLLPKGGADEAEPAPEARLDPKADVSAVPAALPWNLRTRRGAARAPMEIQRHLSSRPQAVWRRSEDAERRERPKFSISLTREEIEEDIYAMTGCRAPRRPRKRAKVIQNQLDSLFPGSWLSEITADSYRVPD >Ma04_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21569614:21574342:1 gene:Ma04_g19030 transcript:Ma04_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLDSSLLLGNLGPKLCGNRAPKASRLPFLSSSHCPSEAIRCPLGLSHSPPLKSRLGPHPRIIPFAASPEESNPRDIEVEKDKREDEIEAGVSQEAWQMLIEIFKTEAHRVKAMSQAAYKVFSKTAIEILMETSERLKIQSDIAQHDLSIVAKEISEEGKGYISAAAKNSPDFIKDIVEAYESKDELKSMATTRDFYLGIPYGAFLSLGGFLHFMLTGSVPAIRFGIILGTSIFVLSISSLRAWKSGKATLLLLKSQTAIAAIIFFRHWRLWSQRGSFLNLLMLLISGTMVAFYVYRIRINSQEKASRLGHRSERL >Ma05_p26400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38027039:38030872:-1 gene:Ma05_g26400 transcript:Ma05_t26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFVPAARSWRLVSEDVLWPDLKNGSKKKKKKKKKDGRRRAVEEAEDDFDALFQEFNEEYGRSKNDEEVELVDHKHCAFPPKGGPISSRPIESDGPAARSPKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDVEARSIRGKKAKVNFPDEAPPSAQKHLMKSTAWEAPTWNPEDSLNFNHNFNYVNDDNHDFFMTLDLFEEKEPIKHSKNMNSFTEIELAPPSEEPRTRNVNTFSDHGSPTFGYSEYAWEQEVKYPYITTIVDPANPEAENFVLLEDGCLTKKLKNNAGEAVPAKEIDDVKLSKELSAFESFMKLLQTPYLEGSSDEAIDSFLDCDATQGEDGVDLWSFDNLPPIAETIY >Ma06_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5474791:5476202:-1 gene:Ma06_g07710 transcript:Ma06_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSRRRFAAATAAISVSVVTLLFLLTVGFYGQRVDAEREIGLGSEQHGSGSGSNRKLLQVDEEFLFLSTAKLLTDKNVIGTSSSSSSTDRVGDGCSKDDIVVHQGATPPLPSGIPTYTVVVLNLCPSRGGCAMAHIHLTCGAFSSARLVNPRIFRRLRVNDCLLNDGRPLPSGSSISFQYANSFSYPLAVSNASCIRSS >Ma06_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14063194:14063286:-1 gene:Ma06_g19990 transcript:Ma06_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELQLLLLISIVGHAQFSFLPSYEPQQS >Ma09_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3764122:3771187:-1 gene:Ma09_g05840 transcript:Ma09_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRMMDTSSLEKTPLLDRTATGAPSARLLIAGVLLFILLLLGLTASPPSKATYHSLFLSLGDNATAARHLFALTRSPHVAGTPANADAASYVRSALSAASCPAHVASYDVLLSYPVLRSLALSPSPPPSSAPATAFHLVQEIYPGVPYADAAAEALPAFHAYARSGSAAGPAVYANYGRVEDFANLRAMGVNVTGAVVLARYGKIYRGEIVRNAAAVGAAAAVVYTDSKDYSGDRWFPDGPGMPPSGVQAGSTYRGIGDPTTPGWPSSAGCERLSAAEVATSGMTPGIPSLPVSARDGEAIQRSIGGQAAPHDWQGGEGAPVYRLGPGPGFLNLTYIGNETLATIENVCAMIEGEDEPDRYVILGNHRDAWTFGAVDPNSGTAALLEIAQRLGKLRKRGWRPRRTIVFCNWDAEEYGLIGSTEWVEENKEMLASRAIAYLNVDCAAGGPGFHASTTPQLDEIIKQATKKVQDPDNFSQMVYDSWVNSNDSKLIGRLGGRGTDFAAFVQHVGVPSIDMFFGGGYPVYHSLYDDFVWMQKFGDPLFHRQVAVASIWGLVALRLADDEFLPFNYISYALELQGSTKTLEDRALGMPVTFTPLYRSIEELEKAATNIDKEKKALELEVGLVGRRKDLLKVRELNDRLMMAERAFTDREGLFHREWYKHLIYGPSLHDDYGSNSFPGIDDAIEKAKSLNTSDSWRFVQHEVWRAARVVTQASLVLHGKFT >Ma04_p27950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29123933:29126771:1 gene:Ma04_g27950 transcript:Ma04_t27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGEISAAELENLMTIVANPRQFKIPDWFLNRKKDYKDGRYSQVVSNALDMKLRDDLERLKKIRNHRGLRHFWGLRVRGQHTKTTGRRGKTVGVSKKR >Ma11_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21712282:21713968:-1 gene:Ma11_g16150 transcript:Ma11_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHSCCHKQKPRKGLWSPEEDEKLIKHINKYGHGCWSTVPNQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNRWSKIAAHLPGRTDNEIKNLWNSSIKKKLTQRGIDPSSHKPLVKVDGGDDDKVASNSDKNSDSSDLQSVIDKSMDESSTLKKEIFLDQFLTSSSSCCSSNSMGYLPFPQVSFAPDYSSSSQATSITINSNPLFWLNCNAISTPITTLSTSMALPPSYEEEDDTIPGWYTGNRKSVNDGGRIMLQSTCSFDSGVFSCSELTSEKDVQAHLGDEMEDLKWSEYLHGAFQSQPMYGDIKTGNQSPMNTVSSLYQNQQLRQELQASDIHGKRFHSVSLGFEHL >Ma04_p37080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35152041:35153257:1 gene:Ma04_g37080 transcript:Ma04_t37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVNFSCVSPASAAICTSIDRRSMVRGSTGRAADRHTTHPRDPRRANSALGSMSGATRERRSRNQRSRKILDKPSDLVTPPGSSRYLLNDDDFFDVFPSVVTAAPLLSVDQPAVLKPPSSTTSQEQVVHLRVSLHCKACEMKVRKHISKMEGVTSFNIDLATKKVTVIGDVTPLGVLDSVSKVKNAQLWPSL >Ma04_p37080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35152041:35152886:1 gene:Ma04_g37080 transcript:Ma04_t37080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVNFSCVSPASAAICTSIDRRSMVRGSTGRAADRHTTHPRDPRRANSALGSMSGATRERRSRNQRSRKILDKPSDLVTPPGSSRYLLNDDDFFDVFPSVVTAAPLLSVDQPAVLKPPSSTTSQEQVVHLRVSLHCKACEMKVRKHISKMEGVTSFNIDLATKKVTVIGDVTPLGVLDSVSKVKNAQLWPSL >Ma07_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28044745:28047845:-1 gene:Ma07_g20100 transcript:Ma07_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:Projected from Arabidopsis thaliana (AT3G05470) UniProtKB/TrEMBL;Acc:A0A178VCE3] MVSASRFLRMLVLVLVSTFLFLNSRFMGASWALHESRTILQFESEGREVRVEAMSGEDNAGGDLGTRLITRVRFLLGMKIPKHRRAGHARAAYSPAPAPAIGAETPAPVPLFKTHVLPASPLHRRPHIAPVQILEYGSGREAKERHRRRILIVVVVACAGATLVVLGVAVTLACKRAQRRTSKKRPERLMLLPSRIPKLQASAAAAPSNKVSFDPCSDQFYLNSLTKYLESDLSLHQTPQLKSVASVESMTNLEHMKSCESDNVSCLDEENIPAETACRSDNGDDDDDDDSDSFHSVSSHPLNQSVSSSEENFSRRSEICSPISSYRSTSSSHNNVSSSYGTTSAIPARNFVIPQKVTAPTDSFLCSSSRRFGGRDSRPRAAPRIEDRSSLSRSEPSTLGFVHSIESHDLESSELCSKLEMASVESSPSDELKSSPAPSKADLLSTAESAAKEPMTCPHQSKSVSPDGNGNGKAGFSPPMPLVSNGRIPKPPGPPPLPPPPPIRPPPFPKGCSSGHAPPPPPCPAQLSTPVGKDGTPLPKLKPLHWDKVRAAPDRSMVWDKIRSSSFEFDEKMIESLFGYNLRSSSRTEEGKSKTPSPSKHVMEHKRLQNITILMKALNANAEQVRNALTLGEGLTVQQLEALVKMAPTKEEEEKLSNVEGNDDELDPAEKFLKAVLQVSFTFQRSEVMFYRETFEDEVAHLRNSFAMIEEACKELRSSRLFFRLLEAVLKTGNRMNVGTIRGGARAFKLDALLKLADVKGTDGKTTLLHFVVQEIVRLEGVNAMETETEKHKSKTAEEKEEDHRATGLKLVSGLITELGNVKKTASMDLDVLISSVSNLSSGLSQSKQLVEQDMTVDDKSKRFVHRMRSFLNEAEKIVKELKDDENQVLLQVRNIAEYYHGDVSKDEANPLRIFVIVRDFLCMLDRVCKEVGNSKTHQGPISLLLLDRRG >Ma10_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32400964:32402726:1 gene:Ma10_g23490 transcript:Ma10_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVIWKTCMIIAAVMLMRMVSSWWYQDSRNFFVITAKRPCISPFIGFKETEDGLGNSAIQGEDCGDESSEGDEPAWSRSYALKDWDEE >Ma04_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19834970:19882420:-1 gene:Ma04_g18190 transcript:Ma04_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine dehydratase [Source:Projected from Arabidopsis thaliana (AT3G10050) UniProtKB/TrEMBL;Acc:A0A178VHF4] MGKNERDRVQPHHFLNLVVPLSLPVAGFIFSTITRKSRTRNRASSNASNKDESLIMDSSELSSRGQEEAQREPVKVQCSKNMEGETESLKRLVSAMEDRAGEAESEFRDYRGATEKESLLPKLQMICLAFRLDCLEARNQRLEAVIADQQAAIETLKEMGAELKWLLGNEKKSAEVDELHLQTRILGDREIELSKIEAELRQVKDADDQLQEEKTALDRKMNSLTAKHLSASKVTKLPILQIEGDDNTRMASIEELPDQQEQCMRRWYVEMEEGLACLRHELLMKQEEVEPVQKSMELPADDEAKGTEFHDPSPVAEPGHGVCLDAAEAARKKHSGSSQPADDIDHEACSDAAARKKPSGAKKTRSLFNFKSWAKGGTGKVFSFKLQGAYNMMVKLSREQLDRGVICSSARNHAQGVALSAQKLEIKWRSVERLGATVVLKRDSYDEAQSYTKQRSKQECRTFIHLFDHSDVITGQGTIGMEIVHQLKGPLSCNIFVPVGGEGLIAVIASYVKRVRPKVKIIGVEPSDTNAMALSLHHGQRIMLEEVGGFADGVAVKDVGEETFRLCRELVDGVVLVCHDAICASIKNMFEEKRSIIEPASAFSLAGAEAYCKFCGLKDANVVGANMNFDRLRLVTEVADVGRKRETVLAAILPEEHGIFKTFCKLKTFAGWNSTSPTPLLPAFATAPLLLAQLAAARPQQPEGPTFFMSSGKYGQWIRRQWWQASTKGPVVRVRELHKRYNAGGWIVSRTPTGVLKGCTTVVKDLQPSKSGPRLCLNKGEIGANVLVGIQGPEEDTHEFKNQAQELGYEFTCEMSNESYRLLIP >Ma06_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5976662:5977609:-1 gene:Ma06_g08440 transcript:Ma06_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKAGMRRGAWTAEEDQKLINFIINNGIHCWRLVPKLAGLMRCGKSCRLRWTNYLRPDLKREAISQEEESQIIQLHSRLGNRWSKIASYFPGRTDNEIKNHWNTRIKKRLNLLGLNPATHELINPPNASPQMMIDMKQKEIGVSSEDKSGYEHRIWMTFQSMNAEENRLQVNSSGSCSSSFSVQDSLCPSSSKDNSFPSSEATISLDDLFFYGNLL >Ma06_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4421539:4422296:-1 gene:Ma06_g06000 transcript:Ma06_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDWQRRCPDLAFLDAIRDHLLNEEAVATLDANGGDSAVFSAVVAPQRPPPLQARERGRNYRGVRQRPWGKYAAEIRDPGRKGARVWLGTFATAEEAALAYDRAAFRIRGSRALLNFPLLISSQDDAAPPKRASPETPPPSENKRRKRVAAPVSSAGGQREGISESRNFEL >Ma06_p37620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36616476:36621141:1 gene:Ma06_g37620 transcript:Ma06_t37620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFDGYERQYCEISASLSRKCTSAAHLDGEKKKQKVSEIKAGLDDAENLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRITTPNPTQASREELLESGKADMIAVSADQRGRLLMSTERLNQSTDRIKEGRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNISKSRKILTAMSRRMDRNKWIIGGIMVALVLAILVILYFKLTH >Ma06_p37620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36616504:36621141:1 gene:Ma06_g37620 transcript:Ma06_t37620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFDGYERQYCEISASLSRKCTSAAHLDGEKKKQKVSEIKAGLDDAENLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRITTPNPTQASREELLESGKADMIAVSADQRGRLLMSTERLNQSTDRIKEGRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNISKSRKILTAMSRRMDRNKWIIGGIMVALVLAILVILYFKLTH >Ma06_p37620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36616443:36621141:1 gene:Ma06_g37620 transcript:Ma06_t37620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFDGYERQYCEISASLSRKCTSAAHLDGEKKKQKVSEIKAGLDDAENLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRITTPNPTQASREELLESGKADMIAVSADQRGRLLMSTERLNQSTDRIKEGRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNISKSRKILTAMSRRMDRNKWIIGGIMVALVLAILVILYFKLTH >Ma06_p37620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36616437:36621141:1 gene:Ma06_g37620 transcript:Ma06_t37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFDGYERQYCEISASLSRKCTSAAHLDGEKKKQKVSEIKAGLDDAENLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRITTPNPTQASREELLESGKADMIAVSADQRGRLLMSTERLNQSTDRIKEGRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNISKSRKILTAMSRRMDRNKWIIGGIMVALVLAILVILYFKLTH >Ma06_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11028685:11033313:1 gene:Ma06_g16300 transcript:Ma06_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAGRFATPGQAAAVSALWRDNFASADPPPPPVFTLGDRVDFSPEPALAADLTPPSPVSRTPPHALYGPASFSSPFKVTAEPSGSGAPDEGFRVLRFPSGGDSGWVSPGKSSGTGDRTGKGKSSPVDGIVQPGALITLPPPREVARPEVRRNSLPLAGALDEEQWVTVYGFSIGDTNLVLQEFEKFGVILKHVLGPRDANWVHILYQSRYDARKALAKHGMQLNSVLIIGVKPIDPQQKQYLNEHLESNHNRGFMVPMPLGSVAGSSAGRSPVAPSTWTHHKQQHSSNIATSETGQGSTKAIASPTKSALSKVMDMMFSF >Ma10_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29690925:29694538:1 gene:Ma10_g18940 transcript:Ma10_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39660) UniProtKB/Swiss-Prot;Acc:Q940M2] MFLRRNLWRSGLRSEVQLRELLRRGLSSASPSLPPFDHQPRPYKGMLADEVLEKRKKFLGPSLFYYYQKPLNIVEGKMQYLYDETGKRYLDAFAGIVTVSCGHCHPDVVNAVVEQSQLLQHTTTIYLHHAIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARMYTGNLGMIALRNAYHGGSAGTIGLTALHTWKYPIPQGEIHHVMNPDPYRGAFGSDAAHYAKEVEDHINYGTSGNVAGFIAETFQGVGGAVELAPGYLKLVYDIVRKSGGVCIADEVQSGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVMSKRIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGFQLIDRLRTLQQKHDIIGDVRGRGLMLGVELVSDKDKTPAKAEAAVLFEKLKDLGVLVGKGGLHGNVFRIKPPMCFTKQDADFLVDALDYAISRL >Ma08_p07810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5347943:5357692:1 gene:Ma08_g07810 transcript:Ma08_t07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEISENDLLQLVQPFGVVTKLVMLRAKNQALLQMHDLNSAVNVLQYYTSVQPSVRGRNVYIQFSSHQELTTTDSPGWKSDQDSQPNRILLVTIHHMLYPITVEVLHQVFSPYGYVEKIVTFQKSAGFQALIQYQSRQSAVQAKSSLQGRNIYDGCCQLDIQFSNLNELQVNYNNERSRDFTNPSLPTEQRVAFAQMSNTAAIAAAFGGGLPPGVTGTNDRCTILVTNLNPEKVDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMEDGFQAELAVHFLKGALLFGNRLELNFSKYPSITPAADTHEYAGSNLNRFNNNAVKNYKYCCSPTKMIHVSALAQDITEEEIVTHLQEHGTIVNTKLFEVNGKKQALVLFESEEQATEALACKHASTIDRSTIRISFSQLQSI >Ma08_p07810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5347943:5357692:1 gene:Ma08_g07810 transcript:Ma08_t07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEISENDLLQLVQPFGVVTKLVMLRAKNQALLQMHDLNSAVNVLQYYTSVQPSVRGRNVYIQFSSHQELTTTDSPGWKSDQDSQPNRILLVTIHHMLYPITVEVLHQVFSPYGYVEKIVTFQKSAGFQALIQYQSRQSAVQAKSSLQGRNIYDGCCQLDIQFSNLNELQVNYNNERSRDFTNPSLPTEQRGRSSQPGYGDAGSLYALQASGARVAFAQMSNTAAIAAAFGGGLPPGVTGTNDRCTILVTNLNPEKVDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMEDGFQAELAVHFLKGALLFGNRLELNFSKYPSITPAADTHEYAGSNLNRFNNNAVKNYKYCCSPTKMIHVSALAQDITEEEIVTHLQEHGTIVNTKLFEVNGKKQALVLFESEEQATEALACKHASTIDRSTIRISFSQLQSI >Ma04_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25918961:25924872:-1 gene:Ma04_g23840 transcript:Ma04_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYVASPSSPPTPLSSSSLFLASPIPSLVALYPKTKNKIWRQSQKTRNKGCRRRSGVCRAEFVHDAPFAAAIGACVLNSLVFPISAGETEDEDGGGTIDATDARFAVMGIISFIPYFNWLSWVFAWMDSSRQRYLIYSIVYLAPYLRTNLSLSPEESWLPIASILVCILHIQLEVSIRNGDIKGIHFFDEAQRLHSLIRKRETESKSHQQSAKKERSKRHMGLPSSHGLRDEVKEWGIPRKQNEELDVDEKKNNSQ >Ma05_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:987901:992987:1 gene:Ma05_g01630 transcript:Ma05_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G27450) UniProtKB/TrEMBL;Acc:F4HSX1] MASEGGEDPRLPRIASTIRVIPDFPKPGIMFQDITTLLLDHEAFRHTIDMFVERYRDKRITVVAGIEARGFIFGPPIALAIGCKFVPMRKPKKLPGEVISEEYSLEYGTDIMEMHVGAVQPGDRALVIDDLIATGGTLAAAIRLLERVGAEVVECACVIELPELQGYQRLGGKPLFVLVKAA >Ma08_p03200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2384385:2394113:1 gene:Ma08_g03200 transcript:Ma08_t03200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMAIADPRPSLMVTNDDGIDAPGLRFLVDLLVAADRYRVLVCAPDSDQSGVGHGIAWRRPLSAKRVEIMGATAFAVSGTPADCASLGISGKLFVGTIPDLVISGINIGSNCGYHIVYSGTVAGAREAFLYGVPSLAISYNWKEGKSNVHALRLAADACLPLINAVLNELKTKTYPEGSFLNIIVPTDVANHRGFKITKQGKFMIRFGWEQTKTSTPAVETYQNANIDVDSASGFGNNASSSLVEDELLFKRVIIKKNSVEAEEDTDHRAMEEGYITITPLGALSRTEMEAVPYFKGWLMRLADHSPCSSL >Ma08_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2384385:2394134:1 gene:Ma08_g03200 transcript:Ma08_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMAIADPRPSLMVTNDDGIDAPGLRFLVDLLVAADRYRVLVCAPDSDQSGVGHGIAWRRPLSAKRVEIMGATAFAVSGTPADCASLGISGKLFVGTIPDLVISGINIGSNCGYHIVYSGTVAGAREAFLYGVPSLAISYNWKEGKSNVHALRLAADACLPLINAVLNELKTKTYPEGSFLNIIVPTDVANHRGFKITKQGKFMIRFGWEQTKTSTPAVETYQNANIDVDSASGFGNNASSSLVEDELLFKRVIIKKNSVEAEEDTDHRAMEEGYITITPLGALSRTEMEAVPYFKGWLMRLADHSPCSSL >Ma08_p03200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2384385:2394112:1 gene:Ma08_g03200 transcript:Ma08_t03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMAIADPRPSLMVTNDDGIDAPGLRFLVDLLVAADRYRVLVCAPDSDQSGVGHGIAWRRPLSAKRVEIMGATAFAVSGTPADCASLGISGKLFVGTIPDLVISGINIGSNCGYHIVYSGTVAGAREAFLYGVPSLAISYNWKEGKSNVHALRLAADACLPLINAVLNELKTKTYPEGSFLNIIVPTDVANHRGFKITKQGKFMIRFGWEQTKTSTPAVETYQNANIDVDSASGFGNNASSSLVEDELLFKRVIIKKNSVEAEEDTDHRAMEEGYITITPLGALSRTEMEAVPYFKGWLMRLADHSPCSSL >Ma10_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30887254:30888959:1 gene:Ma10_g20810 transcript:Ma10_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGHRERQPHFVLVPLMAQGHTIPMVDLALLLAERGVLVSFITTPFNASRIKDTVRRAQDSRLPIRFVELHFPCQEAGLPEGCENIDVLPAPELLLNFFEATRLLQQPLEQYLSEPQQPYPSVIISDFCHPWTRKIARRLGVPRLTFFSVCCFTLLCHFNISHDKVYDRIADDDEPFVVPGLTEKIEVTKAQAPGFFPRPFFGEMSNDVEDAEVTADGIVVNSFEGLEKSYIEGYQKAMGKKVWTVGPLFLNNRSMTDLALRGDKASIDASRCLSWLDTMKPRSVLYVCFGSLARLEPSQAMEIGLGLEASNHPFVWAIKASEESEERVEEWLSGGFQERVSSRALIVKGWAPQAMILSHPAIGGFMTHCGWNSTLEGVTAGVPMVTWPHFADQFLNERMVVDVLKVGVSVGVKRPSFLTFDQQSRLPVRRDDVERCVRSLMDEGRNGEERRKRAKELGEKAEAAMKQAGSSYSNITHLIECFSSSA >Ma02_p21610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27176348:27178503:-1 gene:Ma02_g21610 transcript:Ma02_t21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQQQAQESKPAKRKPIFTKVDQLRPGTGGHTLVVKVVNSTTVLQKGGAASAHLRHTRIAECLVGDETACIVFTARNEQVDLLTPGATVILRNAKIDMFKGCMRLAVDKWGRVEVTEPADFVVKEGNNLSLIEYELVNVSEE >Ma03_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8012597:8016134:-1 gene:Ma03_g10640 transcript:Ma03_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEEGSSPLLLKLPKASEEQEEHVNRSGTIWTAIAHIITAVIGAGVLSLAWSMAQLGWIAGPIVMVLFAAVTLIQSTLLADCYRTPDPEDGHLRNRHYMDAVRLFLGERSVWICGFLQQLSLYGAGLAYTITAATSMRAIQKSDCYHREGRNAACSYGESFYMLLFGVVQIVFSQIPDFHEMAWLSIFAAIMSFSYSFIGFALGLAKVIGDGTIKGGIGGIPMASTAQKVWRVSQALGDIAFAYPYSIILFEIEDTLKSPPPENQTMKKASMTSIFITTSFYLCCGCFGYAAFGNDTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQVYCQPVFCFVERLAAGRFPNSGFVNKLYTIRLPLLPPCRTNLFRLCFRTVYVATTTGLAMFFPYFNQVLGLLGAFGFWPLAVYFPVEMYLVQKKIRAWTKKWVLLQMFSVVCLLVSIFAFIGSVVGVISEKLS >Ma01_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3824201:3827862:1 gene:Ma01_g05440 transcript:Ma01_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPTAFLSPFQFEISYECLVPLEDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVFQADPPDPTKIREEDIIGVTVLLLTCSYMGQEFIRVGYYVNNDYDDEQLREEPPPTVLIDRVQRNILADKPRVTKFPINFHPDPSENGGQQQQPPVSPENRTGEEPQSVQQPKC >Ma06_p33650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34194827:34203949:-1 gene:Ma06_g33650 transcript:Ma06_t33650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRSARMAFCGGNICSDLAGANCGLGGILYSNTCVNHFFVMLINVLLVFSFFLNFAHKVSCGVGTMERSFWSLSPVRISSLIFSGLLGLAYIGLGLWKLEEKLRKGEGFFPLHWWLLVFSQGFTWVAMCLAVSIRAKHLGNTFVRLWSGLASAFAGFLCISSVLSILVENSTSTRIALNLLSLPGTTLLLFCTCNGSKAKEDQESSEESIYAPLNSKYSAKSSHPVELITPFAHAGFLSRVSFWWLNPLMKQGYKKPLEEKDVPQLGELDSAESLCSLFLERLNNQKQNNQASSSSYLWIIVSCHKKEIFSSGFFALLKVLTMSAGPVLLNAFIKVSAGLGNFQYEGYLLASGMFMVKFLESLSQRQWQFRTRMLGLKVRSLLSAAIFQKQLRLSSSAKLIHSSGEIMNYVTVDAYRVGEFPVWFHQMWTIVIQLGIALVILYHAVGFAMVSSMIVIMLTVLCNIPVSKLQHKFQTRLMEAQDERLKSMSEALVNMKVLKLYAWDTHFKEVIEGLRAKECKWLSAFQLQRAYNTFLFWSSPVAVSAATFLACYLFEIPLYPSNVFTFVATLRLIQDPVRSIPDVIGAVIQAEVAYGRIGKFLDATELQNGDLRRKCNVNLEKSIMIKSASFSWDRNPSKPTLENINLELKPGEKAAICGEVGSGKSTLLEAILGEIPNTEGMIQVCGKIAYVSQNAWIQTGSVQDNILFGSVMDRQRYHETIEKCSLVKDFEMLPLGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAQTATDLFNEYVMGALLAKTVLLVTHKVDFLQAFDPILLMSDGKILHAAPYHELLASSEVFQKLTNAHKGIVSPESLEKVVSQKEAETVRRELSCSIKQQSMAKLTGEDQLIKKEEREKGDTGLKPYLQYLNQNKGFLYFSLAALSHLIFMAGQISQNSWMAANVQNPQVSTLRLIMVYLAIGCSTALFLLSRSVFIVILGLQSSKSLFIQLLNSLLHAPMSFFDSTPLGRILTRVSADTSIVDIDVPFSLIFSISASINIYCNLGVLIAVTWQVLFVSIPMIYLTIRLQGYYLASAKELMRINGTTKSLVANHLAESISGAIIIRAYEEEDRFFTMFLKLVDRNASPFFHNFSASEWLIQRLETMGAVVLSTSALLMALLPAGTFSSGFVGMALSYGFSLNMSLVFASQNQCILANNIVSVERLNQYMHITREASEIVEGNRPPPNWPVLGRVVLQDLKIRYRPDTPLILKGINCTFEGGHKIGIVGRTGSGKSTLIGALFRIVEPAGGKIIIDDIDIVTIGLHDLRSRFAVIPQDPTLFHGSVRYNLDPLSQYTDQQIWEVLDKCQLREVVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRREFADCTVITVAHRILTVVDCTMVLAISEGKVAEYDCPLKLMKTKGSLLGELVKEYWSHTSASSIRATDS >Ma06_p33650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34194827:34203072:-1 gene:Ma06_g33650 transcript:Ma06_t33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRSARMAFCGGNICSDLAGANCGLGGILYSNTCVNHFFVMLINVLLVFSFFLNFAHKVSCGVGTMERSFWSLSPVRISSLIFSGLLGLAYIGLGLWKLEEKLRKGEGFFPLHWWLLVFSQGFTWVAMCLAVSIRAKHLGNTFVRLWSGLASAFAGFLCISSVLSILVENSTSTRIALNLLSLPGTTLLLFCTCNGSKAKEDQESSEESIYAPLNSKYSAKSSHPVELITPFAHAGFLSRVSFWWLNPLMKQGYKKPLEEKDVPQLGELDSAESLCSLFLERLNNQKQNNQASSSSYLWIIVSCHKKEIFSSGFFALLKVLTMSAGPVLLNAFIKVSAGLGNFQYEGYLLASGMFMVKFLESLSQRQWQFRTRMLGLKVRSLLSAAIFQKQLRLSSSAKLIHSSGEIMNYVTVDAYRVGEFPVWFHQMWTIVIQLGIALVILYHAVGFAMVSSMIVIMLTVLCNIPVSKLQHKFQTRLMEAQDERLKSMSEALVNMKVLKLYAWDTHFKEVIEGLRAKECKWLSAFQLQRAYNTFLFWSSPVAVSAATFLACYLFEIPLYPSNVFTFVATLRLIQDPVRSIPDVIGAVIQAEVAYGRIGKFLDATELQNGDLRRKCNVNLEKSIMIKSASFSWDRNPSKPTLENINLELKPGEKAAICGEVGSGKSTLLEAILGEIPNTEGMIQVCGKIAYVSQNAWIQTGSVQDNILFGSVMDRQRYHETIEKCSLVKDFEMLPLGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAQTATDLFNEYVMGALLAKTVLLVTHKVDFLQAFDPILLMSDGKILHAAPYHELLASSEVFQKLTNAHKGIVSPESLEKVVSQKEAETVRRELSCSIKQQSMAKLTGEDQLIKKEEREKGDTGLKPYLQYLNQNKGFLYFSLAALSHLIFMAGQISQNSWMAANVQNPQVSTLRLIMVYLAIGCSTALFLLSRSVFIVILGLQSSKSLFIQLLNSLLHAPMSFFDSTPLGRILTRVSADTSIVDIDVPFSLIFSISASINIYCNLGVLIAVTWQVLFVSIPMIYLTIRLQGYYLASAKELMRINGTTKSLVANHLAESISGAIIIRAYEEEDRFFTMFLKLVDRNASPFFHNFSASEWLIQRLETMGAVVLSTSALLMALLPAGTFSSGFVGMALSYGFSLNMSLVFASQNQCILANNIVSVERLNQYMHITREASEIVEGNRPPPNWPVLGRVVLQDLKIRYRPDTPLILKGINCTFEGGHKIGIVGRTGSGKSTLIGALFRIVEPAGGKIIIDDIDIVTIGLHDLRSRFAVIPQDPTLFHGSVRYNLDPLSQYTDQQIWEVLDKCQLREVVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRREFADCTVITVAHRILTVVDCTMVLAISEVAEYDCPLKLMKTKGSLLGELVKEYWSHTSASSIRATDS >Ma06_p33650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34194827:34203666:-1 gene:Ma06_g33650 transcript:Ma06_t33650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRSARMAFCGGNICSDLAGANCGLGGILYSNTCVNHFFVMLINVLLVFSFFLNFAHKVSCGVGTMERSFWSLSPVRISSLIFSGLLGLAYIGLGLWKLEEKLRKGEGFFPLHWWLLVFSQGFTWVAMCLAVSIRAKHLGNTFVRLWSGLASAFAGFLCISSVLSILVENSTSTRIALNLLSLPGTTLLLFCTCNGSKAKEDQESSEESIYAPLNSKYSAKSSHPVELITPFAHAGFLSRVSFWWLNPLMKQGYKKPLEEKDVPQLGELDSAESLCSLFLERLNNQKQNNQASSSSYLWIIVSCHKKEIFSSGFFALLKVLTMSAGPVLLNAFIKVSAGLGNFQYEGYLLASGMFMVKFLESLSQRQWQFRTRMLGLKVRSLLSAAIFQKQLRLSSSAKLIHSSGEIMNYVTVDAYRVGEFPVWFHQMWTIVIQLGIALVILYHAVGFAMVSSMIVIMLTVLCNIPVSKLQHKFQTRLMEAQDERLKSMSEALVNMKVLKLYAWDTHFKEVIEGLRAKECKWLSAFQLQRAYNTFLFWSSPVAVSAATFLACYLFEIPLYPSNVFTFVATLRLIQDPVRSIPDVIGAVIQAEVAYGRIGKFLDATELQNGDLRRKCNVNLEKSIMIKSASFSWDRNPSKPTLENINLELKPGEKAAICGEVGSGKSTLLEAILGEIPNTEGMIQVCGKIAYVSQNAWIQTGSVQDNILFGSVMDRQRYHETIEKCSLVKDFEMLPLGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAQTATDLFNEYVMGALLAKTVLLVTHKVDFLQAFDPILLMSDGKILHAAPYHELLASSEVFQKLTNAHKGIVSPESLEKVVSQKEAETVRRELSCSIKQQSMAKLTGEDQLIKKEEREKGDTGLKPYLQYLNQNKGFLYFSLAALSHLIFMAGQISQNSWMAANVQNPQVSTLRLIMVYLAIGCSTALFLLSRSVFIVILGLQSSKSLFIQLLNSLLHAPMSFFDSTPLGRILTRVSADTSIVDIDVPFSLIFSISASINIYCNLGVLIAVTWQVLFVSIPMIYLTIRLQGYYLASAKELMRINGTTKSLVANHLAESISGAIIIRAYEEEDRFFTMFLKLVDRNASPFFHNFSASEWLIQRLETMGAVVLSTSALLMALLPAGTFSSGFVGMALSYGFSLNMSLVFASQNQCILANNIVSVERLNQYMHITREASEIVEGNRPPPNWPVLGRVVLQDLKIRYRPDTPLILKGINCTFEGGHKIGIVGRTGSGKSTLIGALFRIVEPAGGKIIIDDIDIVTIGLHDLRSRFAVIPQDPTLFHGSVRYNLDPLSQYTDQQIWEVLDKCQLREVVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDAILQKTIRREFADCTVITVAHRILTVVDCTMVLAISEGKVAEYDCPLKLMKTKGSLLGELVKEYWSHTSASSIRATDS >Ma08_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1644352:1644722:1 gene:Ma08_g02010 transcript:Ma08_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEWKLSKERGRMVVVAERAGSFLKGSTSAREGRRRRRRSTPRSFTSRCVRLVKEQRGRFYIMRRCVTMLICWRDYP >Ma01_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13886473:13893987:1 gene:Ma01_g18590 transcript:Ma01_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMEWIEVQLDDESIFPQKIGAPFPPNFKEVVKTLFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTYEFGLIDKKELAPLQELIESIIVPY >Ma07_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6557127:6558543:1 gene:Ma07_g08760 transcript:Ma07_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGNSAVGALLALALFLLRPIGGNAQASSALVPAIITFGDSTVDVGNNDYLKTIFKADFPPYGRDFKNHKPTGRFCNGKLATDITADTLGFSSYPSAYLSPEASGKNLLIGANFASAASGYYDDTAYLYHAIPLSQQLEFYKEYQHKLSRVAGTSKASSIISGALYIVSTGASDFVQNYYINPHLYETRSPDQFSSFLVHIFCNFVKDLYGLGARKIGVTSLPPLGCLPASITLFGHGSNECVRRLNSDAQNFNRKLNTAADSLAKQLPNLKIAIFDIYKPLHDLATKPSDFGFFEARRGCCGTGTVETTSFLCNPHSVGTCANATGYVFWDSVHPSESANQVLADSLIAQGINLIL >Ma11_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16011623:16012584:-1 gene:Ma11_g12170 transcript:Ma11_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCRYPLDLILVPLSLLLTAGYHTYIWFGSKAKQPATTIGYTMSKRETWLQGVIQDNKKKDMLGVQSLRNALMSAILSASIAVTISTSLAALANNAYNSSHLRRHEFFGSQEGSTVVLKYASALLFLLFSFLSNSLAIGCLIDANFLINAGEEEFHKQAQKMLKRGCVLAVVGNRVLLVTLPMLLWFFGPVPMALSSVAMVLVFYELDLGDMGWKGAPFWSRRLKSVSKSLI >Ma10_p05850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17205444:17212328:1 gene:Ma10_g05850 transcript:Ma10_t05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRMHSEGATGRVCAYNSDLLGTFKHIIRTEGYGGSYRGILPEYFRVVPSAGIVFMTNETHQFFKAYQQKTNALSSISIDLTHSPSTHW >Ma05_p31750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41544241:41545619:1 gene:Ma05_g31750 transcript:Ma05_t31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECFASSSWSEEGRDGSSCSSLMAPLRLLPGQAKPASEARDSAALRIHSEAERRRRERINAHLSTLRRMIPNSTKMDKASLLGRVVDHLKDLKRRALDVDGTIAIPAEVNEVDVEFDAGHQHAFPEEEEEEGDDDDKLYIKASVCCDDRPDLLEELSDAFRRLGLRAVRADMMTLGGRSRNVFVLFLKDGDRSVCLSSLNRSIRETLGRVASSGAPQSNVFVSRRRKAMRSH >Ma07_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10442057:10446724:-1 gene:Ma07_g13960 transcript:Ma07_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLRPTAASLFSAGESSRRRGIWKGYESKRAMPKSPKVFRTGPLPSPLLSRFRRISSSSSSSVFSLFCLIFGLAGFLFGAISISRPARSCPGLEPRSVSVVWDRGGGTGSGTPERHKVMAFVGIQTGFGSVGRRRSIRQTWLPSDRPGLLRLEEATGLAFRFIIGKTKDKSKMAALQREVDEYDDFMLLDIEEEYSNLPHKTLVFFKAAFALYDSDFYVKADDDIYLRPDRLSLLLAKDRPNPQTYLGCMKKGPVFTDPNLKWYEPLAYLLGKEYFLHAYGPIYALSADVVSSLVALRNDSFRMFSNEDVTIGSWMLAMNVNHENIHALCEPDCTSSSIAVWDIPKCSGLCHPEVKMLELHKREICSGGSTSSDR >Ma01_p10630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7644052:7644660:-1 gene:Ma01_g10630 transcript:Ma01_t10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPELTSAATLRPVETVRSEEGGGDHGDEEECRTPTSEESKLPSLPLNCPPAPRKRRRVAVCRRRRPRWQPQVELIVVGAKEMEQLFQRREEPPPRRAKRQRRHTPDDDM >Ma11_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1680078:1681974:1 gene:Ma11_g02330 transcript:Ma11_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTSDLAPLLGGVANSSAAADYICNQFTDAGFAIDTTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGGPSNGFIGKHFFGLKEVPQPSFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSGDGWAAAARNAGESLLFESGVIDFAGSGVVHMVGGIAGLWGAIIEGPRIGRFDHAGRSVNLRGHSATLVVLGTFLLWFGWYGFNPGSFNIIFRTYGPSGSIHGQWSAVGRTAVTTTLAGCTAALTTLFGKRLQTGHWNVLDVCNGLLGGFAAITSGCSVVDPWAAIVCGFVSAWVLIGLNKLAAKFKFDDPLEAAQLHGGCGAWGIIFTALFAREKYVNEVYPGRPGRPYGLFMGGGGRLLGAHIVQILVITGWVSCTMGPLFFALHKLNLLRISAEDELAGMDLTRHGGFAYDYHDEDPSAHGGSPRGGFMLKSSPTPVKPKANQTATANQV >Ma01_p08830.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6327612:6338871:1 gene:Ma01_g08830 transcript:Ma01_t08830.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MSSDYEVRRHLSHESRENSRDRDYDYDWYSYDSDHERGKRDGGRRRRESRDREHEKRGLSRERDQSPYRRRERSRSHGRDDRSRSRSPRGRTRSRSHREDSYEDGRYERNERRRDYDRDEKRHNDSSVAPSATIVVKGLSQKTTEDDLYQFLAEWGPLRHIRVIKERNSGVSRGFAFIDFPDVDAARRMMDGIGDNGLVIDGRKLFFEYSSKPTGGAGAPSLGQESFAKSSHGHGRSITAPCDWICTMCGCLNFARRTSCFQCNEPRTEDAPPADVASTNPTPLGKRGSDLGPTHVLVVRGLDENADEEMLRYEFAKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQVLRVAYAKSIHGPGSGTPQSSSLAAAAIEAATFAQQYDAVGWTPKEYNPDQKQSTTGFEQNSKAEVQRGGSAPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDGNNGVWYSYDHQTQQYVPCNEQSNNKAAGDMANETSKGSDGTASKKVVISAAATTVKSNEKASLPDAVHAAATAALAAEKKEKEKLKEIKLASKSNLLANKKKMNNVLAMWKQRNHEGQAARVVLDDKESSGLLDDKPSNSYSASVALTAKSKLKSDSVKEAMGSSIYASSASRGTTQSISAETVDVDSQVKPRPVSNSLGGTIMGVIRGSGKGIIKSDTTFPVSASEGTTVSSTATASSIETTPSLARSHASAPFKTDASALGSYGSSTSGGRGKRRFSEAPALSNPRDQIQTTYRDRAAERRNLYGSSSATGDDLSDLGLGDPNCDYPYRKDSLSVTGTMPFPPGVGGRSCGDIVSNTENYEVITADRAIDESNVGNRMLRSMGWQEGLGLGKDGSGIKEPVQAKAVDDRSGLGSQQRKVDPSLEAQSGDSYRTIIQKKAIARFREMA >Ma01_p08830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6327591:6338871:1 gene:Ma01_g08830 transcript:Ma01_t08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYGRQQGWDTNSALEGYGALHEQDFRAGGSYGGRRLLPEGFSRDSGYARTSFHHDILERDIYPPTHVPGVWPQPRRSFDEEYALVRDSRRNVEPYHEIDNFGEHVKYHEDNFRDNYRNIERYHDTDSYHDYGYDRHARFGGRDHEGMSSDYEVRRHLSHESRENSRDRDYDYDWYSYDSDHERGKRDGGRRRRESRDREHEKRGLSRERDQSPYRRRERSRSHGRDDRSRSRSPRGRTRSRSHREDSYEDGRYERNERRRDYDRDEKRHNDSSVAPSATIVVKGLSQKTTEDDLYQFLAEWGPLRHIRVIKERNSGVSRGFAFIDFPDVDAARRMMDGIGDNGLVIDGRKLFFEYSSKPTGGAGAPSLGQESFAKSSHGHGRSITAPCDWICTMCGCLNFARRTSCFQCNEPRTEDAPPADVASTNPTPLGKRGSDLGPTHVLVVRGLDENADEEMLRYEFAKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQVLRVAYAKSIHGPGSGTPQSSSLAAAAIEAATFAQQYDAVGWTPKEYNPDQKQSTTGFEQNSKAEVQRGGSAPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDGNNGVWYSYDHQTQQYVPCNEQSNNKAAGDMANETSKGSDGTASKKVVISAAATTVKSNEKASLPDAVHAAATAALAAEKKEKEKLKEIKLASKSNLLANKKKMNNVLAMWKQRNHEGQAARVVLDDKESSGLLDDKPSNSYSASVALTAKSKLKSDSVKEAMGSSIYASSASRGTTQSISAETVDVDSQVKPRPVSNSLGGTIMGVIRGSGKGIIKSDTTFPVSASEGTTVSSTATASSIETTPSLARSHASAPFKTDASALGSYGSSTSGGRGKRRFSEAPALSNPRDQIQTTYRDRAAERRNLYGSSSATGDDLSDLGLGDPNCDYPYRKDSLSVTGTMPFPPGVGGRSCGDIVSNTENYEVITADRAIDESNVGNRMLRSMGWQEGLGLGKDGSGIKEPVQAKAVDDRSGLGSQQRKVDPSLEAQSGDSYRTIIQKKAIARFREMA >Ma01_p08830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6327591:6338009:1 gene:Ma01_g08830 transcript:Ma01_t08830.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYGRQQGWDTNSALEGYGALHEQDFRAGGSYGGRRLLPEGFSRDSGYARTSFHHDILERDIYPPTHVPGVWPQPRRSFDEEYALVRDSRRNVEPYHEIDNFGEHVKYHEDNFRDNYRNIERYHDTDSYHDYGYDRHARFGGRDHEGMSSDYEVRRHLSHESRENSRDRDYDYDWYSYDSDHERGKRDGGRRRRESRDREHEKRGLSRERDQSPYRRRERSRSHGRDDRSRSRSPRGRTRSRSHREDSYEDGRYERNERRRDYDRDEKRHNDSSVAPSATIVVKGLSQKTTEDDLYQFLAEWGPLRHIRVIKERNSGVSRGFAFIDFPDVDAARRMMDGIGDNGLVIDGRKLFFEYSSKPTGGAGAPSLGQESFAKSSHGHGRSITAPCDWICTMCGCLNFARRTSCFQCNEPRTEDAPPADVASTNPTPLGKRGSDLGPTHVLVVRGLDENADEEMLRYEFAKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQVLRVAYAKSIHGPGSGTPQSSSLAAAAIEAATFAQQYDAVGWTPKEYNPDQKQSTTGFEQNSKAEVQRGGSAPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDGNNGVWYSYDHQTQQYVPCNEQSNNKAAGDMANETSKGSDGTASKKVVISAAATTVKSNEKASLPDAVHAAATAALAAEKKEKEKLKEIKLASKSNLLANKKKMNNVLAMWKQRNHEGQAARVVLDDKESSGLLDDKPSNSYSASVALTAKSKLKSDSVKEAMGSSIYASSASRGTTQSISAETVDVDSQVKPRPVSNSLGGTIMGVIRGSGKGIIKSDTTFPVSASEGTTVSSTATASSIETTPSLARSHASAPFKTDASALGSYGSSTSGGRGKRRFSEAPALSNPRDQIQTTYRDRAAERRNLYGSSSATGDDLSDLGLGDPSHSTITIQAWIP >Ma01_p08830.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6327612:6338871:1 gene:Ma01_g08830 transcript:Ma01_t08830.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYGRQQGWDTNSALEGYGALHEQDFRAGGSYGGRRLLPEGFSRDSGYARTSFHHDILERDIYPPTHVPGVWPQPRRSFDEEYALVRDSRRNVEPYHEIDNFGEHVKYHEDNFRDNYRNIERYHDTDSYHDYGYDRHARFGGRDHEGMSSDYEVRRHLSHESRENSRDRDYDYDWYSYDSDHERGKRDGGRRRRESRDREHEKRGLSRERDQSPYRRRERSRSHGRDDRSRSRSPRGRTRSRSHREDSYEDGRYERNERRRDYDRDEKRHNDSSVAPSATIVVKGLSQKTTEDDLYQFLAEWGPLRHIRVIKERNSGVSRGFAFIDFPDVDAARRMMDGIGDNGLVIDGRKLFFEYSSKPTGGAGAPSLGQESFAKSSHGHGRSITAPCDWICTMCGCLNFARRTSCFQCNEPRTEDAPPADVASTNPTPLGKRGSDLGPTHVLVVRGLDENADEEMLRYEFAKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQVLRVAYAKSIHGPGSGTPQSSSLAAAAIEAATFAQQYDAVGWTPKEYNPDQKQSTTGFEQNSKAEVQRGGSAPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDGNNGVWYSYDHQTQQYVPCNEQSNNKAAGDMANETSKGSDGTASKKVVISAAATTVKSNEKASLPDAVHAAATAALAAEKKEKEKLKEIKLASKSNLLANKKKMNNVLAMWKQRNHEGQAARVVLDDKESSGLLDDKPSNSYSASVALTAKSKLKSDSVKEAMGSSIYASSASRGTTQSISAETVDVDSQVKPRPVSNSLGGTIMGVIRGSGKGIIKSDTTFPVSASEGTTVSSTATASSIETTPSLARSHASAPFKTDASALGSYGSSTSGGRGKRRFSEAPALSNPRDQIQTTYRDRAAERRNLYGSSSATGDDLSDLGLGDPNCDYPYRKDSLSVTGTMPFPPGVGGRSCGDIVSNTENYEVITADRAIDESNVGNRMLRSMGWQEGLGLGKDGSGIKEPVQAKAVDDRSGLGSQQRKVDPSLEAQSGDSYRTIIQKKAIARFREMA >Ma01_p08830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6327591:6338871:1 gene:Ma01_g08830 transcript:Ma01_t08830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYGRQQGWDTNSALEGYGALHEQDFRAGGSYGGRRLLPEGFSRDSGYARTSFHHDILERDIYPPTHVPGVWPQPRRSFDEEYALVRDSRRNVEPYHEIDNFGEHVKYHEDNFRDNYRNIERYHDTDSYHDYGYDRHARFGGRDHEGMSSDYEVRRHLSHESRENSRDRDYDYDWYSYDSDHERGKRDGGRRRRESRDREHEKRGLSRERDQSPYRRRERSRSHGRDDRSRSRSPRGRTRSRSHREDSYEDGRYERNERRRDYDRDEKRHNDSSVAPSATIVVKGLSQKTTEDDLYQFLAEWGPLRHIRVIKERNSGVSRGFAFIDFPDVDAARRMMDGIGDNGLVIDGRKLFFEYSKPTGGAGAPSLGQESFAKSSHGHGRSITAPCDWICTMCGCLNFARRTSCFQCNEPRTEDAPPADVASTNPTPLGKRGSDLGPTHVLVVRGLDENADEEMLRYEFAKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQVLRVAYAKSIHGPGSGTPQSSSLAAAAIEAATFAQQYDAVGWTPKEYNPDQKQSTTGFEQNSKAEVQRGGSAPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDGNNGVWYSYDHQTQQYVPCNEQSNNKAAGDMANETSKGSDGTASKKVVISAAATTVKSNEKASLPDAVHAAATAALAAEKKEKEKLKEIKLASKSNLLANKKKMNNVLAMWKQRNHEGQAARVVLDDKESSGLLDDKPSNSYSASVALTAKSKLKSDSVKEAMGSSIYASSASRGTTQSISAETVDVDSQVKPRPVSNSLGGTIMGVIRGSGKGIIKSDTTFPVSASEGTTVSSTATASSIETTPSLARSHASAPFKTDASALGSYGSSTSGGRGKRRFSEAPALSNPRDQIQTTYRDRAAERRNLYGSSSATGDDLSDLGLGDPNCDYPYRKDSLSVTGTMPFPPGVGGRSCGDIVSNTENYEVITADRAIDESNVGNRMLRSMGWQEGLGLGKDGSGIKEPVQAKAVDDRSGLGSQQRKVDPSLEAQSGDSYRTIIQKKAIARFREMA >Ma01_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6327591:6338871:1 gene:Ma01_g08830 transcript:Ma01_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYGRQQGWDTNSALEGYGALHEQDFRAGGSYGGRRLLPEGFSRDSGYARTSFHHDILERDIYPPTHVPGVWPQPRRSFDEEYALVRDSRRNVEPYHEIDNFGEHVKYHEDNFRDNYRNIERYHDTDSYHDYGYDRHARFGGRDHEGMSSDYEVRRHLSHESRENSRDRDYDYDWYSYDSDHERGKRDGGRRRRESRDREHEKRGLSRERDQSPYRRRERSRSHGRDDRSRSRSPRGRTRSRSHREDSYEDGRYERNERRRDYDRDEKRHNDSSVAPSATIVVKGLSQKTTEDDLYQFLAEWGPLRHIRVIKERNSGVSRGFAFIDFPDVDAARRMMDGIGDNGLVIDGRKLFFEYSKPTGGAGAPSLGQESFAKSSHGHGRSITAPCDWICTMCGCLNFARRTSCFQCNEPRTEDAPPADVASTNPTPLGKRGSDLGPTHVLVVRGLDENADEEMLRYEFAKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQVLRVAYAKSIHGPGSGTPQSSSLAAAAIEAATFAQQYDAVGWTPKEYNPDQKQSTTGFEQNSKAEVQRGGSAPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDGNNGVWYSYDHQTQQYVPCNEQSNNKAAGDMANETSKGSDGTASKKVVISAAATTVKSNEKASLPDAVHAAATAALAAEKKEKEKLKEIKLASKSNLLANKKKMNNVLAMWKQRNHEGQAARVVLDDKESSGLLDDKPSNSYSASVALTAKSKLKSDSVKEAMGSSIYASSASRGTTQSISAETVDVDSQVKPRPVSNSLGGTIMGVIRGSGKGIIKSDTTFPVSASEGTTVSSTATASSIETTPSLARSHASAPFKTDASALGSYGSSTSGGRGKRRFSEAPALSNPRDQIQTTYRDRAAERRNLYGSSSATGDDLSDLGLGDPITGTMPFPPGVGGRSCGDIVSNTENYEVITADRAIDESNVGNRMLRSMGWQEGLGLGKDGSGIKEPVQAKAVDDRSGLGSQQRKVDPSLEAQSGDSYRTIIQKKAIARFREMA >Ma01_p08830.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6327591:6338859:1 gene:Ma01_g08830 transcript:Ma01_t08830.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRYGRQQGWDTNSALEGYGALHEQDFRAGGSYGGRRLLPEGFSRDSGYARTSFHHDILERDIYPPTHVPGVWPQPRRSFDEEYALVRDSRRNVEPYHEIDNFGEHVKYHEDNFRDNYRNIERYHDTDSYHDYGYDRHARFGGRDHEGMSSDYEVRRHLSHESRENSRDRDYDYDWYSYDSDHERGKRDGGRRRRESRDREHEKRGLSRERDQSPYRRRERSRSHGRDDRSRSRSPRGRTRSRSHREDSYEDGRYERNERRRDYDRDEKRHNDSSVAPSATIVVKGLSQKTTEDDLYQFLAEWGPLRHIRVIKERNSGVSRGFAFIDFPDVDAARRMMDGIGDNGLVIDGRKLFFEYSSKPTGGAGAPSLGQESFAKSSHGHGRSITAPCDWICTMCGCLNFARRTSCFQCNEPRTEDAPPADVASTNPTPLGKRGSDLGPTHVLVVRGLDENADEEMLRYEFAKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQVLRVAYAKSIHGPGSGTPQSSSLAAAAIEAATFAQQYDAVGWTPKEYNPDQKQSTTGFEQNSKAEVQRGGSAPQSGFVWDEKSGYYYDAASGFYYDGNTGLYYDGNNGVWYSYDHQTQQYVPCNEQSNNKAAGDMANETSKGSDGTASKKVVISAAATTVKSNEKASLPDAVHAAATAALAAEKKEKEKLKEIKLASKSNLLANKKKMNNVLAMWKQRNHEGQAARVVLDDKESSGLLDDKPSNSYSASVALTAKSKLKSDSVKEAMGSSIYASSASRGTTQSISAETVDVDSQVKPRPVSNSLGGTIMGVIRGSGKGIIKSDTTFPVSASEGTTVSSTATASSIETTPSLARSHASAPFKTDASALGSYGSSTSGGRGKRRFSEAPALSNPRDQIQTTYRDRAAERRNLYGSSSATGDDLSDLGLGDPNCDYPYRKDSLSVTGTMPFPPGVGGRSCGDIVSNTENYEVITADRAIDESNVGNRMLRSMGWQEGLGRIVLPN >Ma01_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6890958:6891523:-1 gene:Ma01_g09610 transcript:Ma01_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDLQGREFNMKRFLLDTTNPTKLMKAYGSVRQCCVNIGSNFQPHVSRCFLFLHL >Ma05_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8647567:8651037:1 gene:Ma05_g11830 transcript:Ma05_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFFPNDLPEFVEEKEGVVAQSTLHNLLYLPYPKLADKLLKAALDLKDKVVKETWVRSGRRAKDYTLYTGAFGTAFLLFKSYQVTSNRSDLGLCNEIVRACDQASQGSPFVTFICGRAGVCALGAVVAKEAGDEDLLRHYLRSFREIKMPGDVPNELLYGRAGYLWACSFLNKHIGEGTIPSTDMSSIGEDIILDGKRLAIKGSCPLMYEWYGEKYWGAAHGLAGIMHVLMDVNLKPEDLEYVKGTLHYMIQNHFPSGNYPSSEGFDTDRLVHWCHGAPGVALTLTKAAQVFQDKLFLKAAAEAADVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVSYLYQAKAFTCFLLDRANKLIAEGKMHSGDHPYSLFEGQAGMAYLFLDMTTPSQSRFPAYKA >Ma03_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6793181:6794506:1 gene:Ma03_g09220 transcript:Ma03_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSYEDIEAATNSFSSEQLIGKGSHGSVYRGVLKGGEVVAVKKPSGGTQSLENDAKLSNEIDILASVKNPSIVNLIGVSRSPTSKLLVMEFMHHGSLHDLLHSSPAAPAWPRRAVMALQVARAVLSLHEAAPAVIHRDIKSANILLDGKWNAKLADFSLAVRDDRLQSLRSTVPAGTIGYLDPCYTESGELGPKNDVFSFGVVLLELVSSRKVMDMERDPSLIVSWALPMIRANRLDEVCDGRVTLPDYMRRPIGRMLGVAARCVSEEVERRPLMGEVVGELQGVVEGAVLSWPVWGSVRSKVCGRIHRCVRAWRRCVEKRVTTTRIVCKDHLVDGGNDDCDDERMGSRQEMS >Ma06_p13450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9229250:9230193:1 gene:Ma06_g13450 transcript:Ma06_t13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACASAPQSLLLCLFLLFFVASTSHLTFSADAAGGPTASMKPASAVAPGLKSVLIDEAKRRRLSSFQICALCTCCGGPRGICLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHL >Ma06_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9229250:9231174:1 gene:Ma06_g13450 transcript:Ma06_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASAPQSLLLCLFLLFFVASTSHLTFSADAAGGPTASMKPASAVAPGLKSVLIDEAKRRRLSSFQICALCTCCGGPRGICLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHL >Ma08_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15028131:15029827:-1 gene:Ma08_g15080 transcript:Ma08_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRTLSPPKTEVNATIATMAKPGPPGTPLIFDAAFHSRQASIPEQFVWPEGEAPTPDAHEELVVPLIDLSGIMSGDPAAAAAITGSVADACQRHGFFQVVNHGIDAGFLAEAHRCVEAFFVMPLAEKQRAQRRTGESCGYASSFAGRFANKLPWKETLSFRFSPSPLAGDIVEDYIVRTLGEDFRYSGEVYQRYCEAMSNLSLQIMEVLGLSLGVGRAHFREFFEGNDSIMRLNYYPPCQRPELTLGTGPHCDPTSLTILHQDDVQGLQVFADGKWRTICPKPDAFVVNIGDTFMALSNGRYKSCLHRAVVNSKVPRKSLAFFLCPATDKVVRPPAELVDADHPRAYPDFTWPALLEFTQKHYRADMKTLDAFTSWILRAAAPQ >Ma10_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7927320:7929469:1 gene:Ma10_g02440 transcript:Ma10_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEGSCFSSFLSLFVLLLLHLGCFFFSCSSSDDPPPPIKRRKISPFFPTLPVPSDPRTKKPLSIRSYLSRLLSFRKPNSENQEQHRRSPIELISLSPDGRADGQARLYVADHHSLSYKDEIYPCPACGEVLSKPQLLDLHHAAKHSFSELRDADSGYNIVRIIFRSGWIGESSLVVRRILKIHNTTRTLARYEEYRDAVRSRAARYEASNGGRGDGRCIADGNERLRFYCTTILCSREAERRGGAAPAGACGSPYCCACAIVRHGFTGKHADLDGIATYSTSWGAHASLPEDLEREFAFLGARRAMLVCRVVAGRVAHGHGEAAEEEEKGAGFDSVVPNGGGGGGAVGEDELLVYSPRAVLPCFVVIYTA >Ma07_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4429822:4433288:-1 gene:Ma07_g06150 transcript:Ma07_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLDSPVQTQMAVSVLKHTTSGDLCGNRIGEGKPVGRRRVFIQTETGCVLGLELDRQDNAHTVKRKLQIALNVPTEESSLTFGDLVLKNDLSAIRGDSPLLLSRNSMHRSSSTPCLSPSIKDHQQRDSSGPIEILGCSSRCDRMKQLVKDAVKAIKSGVDPIPVHNGLGGAYYFRNSNGDNVAIVKPTDEEPFAPNNPKGFIGKALGQPGLKRSVRVGETGFREVAAYLLDYGNFANVPPTALVKITHSVFHVNDGMNNNSGARHCSRKQQVISKIASFQQFIPHDFDASDHGTSSFPVAAIHRIGILDIRIFNTDRHAGNLLVRKLENGIGRFGGRMELIPIDHGLCLPESLEDPYFEWIHWPQASVPFSKDELEYIATLDPMKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFRGMEEEPSELEGICIEAMRLAAEREGFCPKPVLEEEEDFQFQMECNEDDQHVMYNSLHSFDFGLNGGCPRSLLSRLEESMEEEEENDEENKESSVTGFPHVCEKLLYVSELSASLKGVSITGKTRRNQVGTQNRNTYSTGKAYNRDGGGIQSVNSRSADETLPSSVSFVRLADMDEEEWAVFLENFQELLPNAFQHRKCLTTGQRQMQRLGTSCQF >Ma07_p06150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4429822:4433265:-1 gene:Ma07_g06150 transcript:Ma07_t06150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVLKHTTSGDLCGNRIGEGKPVGRRRVFIQTETGCVLGLELDRQDNAHTVKRKLQIALNVPTEESSLTFGDLVLKNDLSAIRGDSPLLLSRNSMHRSSSTPCLSPSIKDHQQRDSSGPIEILGCSSRCDRMKQLVKDAVKAIKSGVDPIPVHNGLGGAYYFRNSNGDNVAIVKPTDEEPFAPNNPKGFIGKALGQPGLKRSVRVGETGFREVAAYLLDYGNFANVPPTALVKITHSVFHVNDGMNNNSGARHCSRKQQVISKIASFQQFIPHDFDASDHGTSSFPVAAIHRIGILDIRIFNTDRHAGNLLVRKLENGIGRFGGRMELIPIDHGLCLPESLEDPYFEWIHWPQASVPFSKDELEYIATLDPMKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFRGMEEEPSELEGICIEAMRLAAEREGFCPKPVLEEEEDFQFQMECNEDDQHVMYNSLHSFDFGLNGGCPRSLLSRLEESMEEEEENDEENKESSVTGFPHVCEKLLYVSELSASLKGVSITGKTRRNQVGTQNRNTYSTGKAYNRDGGGIQSVNSRSADETLPSSVSFVRLADMDEEEWAVFLENFQELLPNAFQHRKCLTTGQRQMQRLGTSCQF >Ma10_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17329723:17330344:1 gene:Ma10_g05890 transcript:Ma10_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTQSSSSDSPSPDRSYSKWNSRFKCNLYYYRTNYFILIMFVRAMGFLSKPLAVVVAFLTGLSIAFVDER >Ma07_p08400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6243710:6246538:-1 gene:Ma07_g08400 transcript:Ma07_t08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEQRANAALLQSSSSRLPDFKQSVKLKYVKLGYHYVITHGMYLFFSPLIAVLVAQLSTFSIKDLHDLWDHLRFNLISAVLCSTLLVFLSTVYFLTRRRPVYLVNFACYKPEDPRKCTRQIFMDRSTLTGSFTEENLAFQRKILERSGLGESTYLPEAVLNVPPNPCMAEARKEARTVMFGAIDELLTKTNVKPKDIGILIVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLSIDLAKDLLQVYPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDRRRSKYQLVHTVRTHKGADDKCFSCVTQEEDENGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLIFLATLVAKKVFKMKIRPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEGKGRIKKKDRIWQIAFGSGFKCNSAVWKSLKSINPANEKNPWMDEINNFPVAVPKVSAL >Ma04_p32160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32273740:32276910:-1 gene:Ma04_g32160 transcript:Ma04_t32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSAWAKPISWALDAEQHEAAMAVAKDDSSSTSDASDQQQRDFPSLAAATKGSKKKKKAQSMSLAEFTTGKPVSHNSSARLAFSSSSSAGLTPDELLLLPTGPRERSAEELERSSFRGFGQSYGGARGRAAASGEDPNPSRWGSSRPSDEPRRGGFGGSGGGSDRDLGPSRADEVDDWGSAKKSMVPERRERGGAGGFFESQSKADESDSWISSKRTAPPADGRRFGSGGGFDGPREGRGGFDMFTKEGSNGGGADSETWGRKKDVAGSDAWRREEEKGSDGRRRLVLQPRSLLLSNGNNGEPAHGEQDKGATEKKTKGSNPFGEARPREEVLAEKGQDWKQIDEKLETRKIGDAPPERSSFGKKVYGAANGTQRSPEGRTDRAWRNPDAAAASTPREDKIENTAPEI >Ma11_p17230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22584091:22588378:1 gene:Ma11_g17230 transcript:Ma11_t17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPQDDTCSICLGSFTHPCQANCSHWFCGECILSVWHHGSIFQQCKCPICRCFINLLKPTDASVQEGKDRKANQVLKTIKKYNRSFGGEPSNLMQKLQNLPFFIRRLAMVLMDPRRPLPSLFRAHITFATSRVADLRHQCVGAGLLVRMHILLKLLCSIKLHLLLYAKLCPVSFFVCFL >Ma11_p17230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22584091:22588997:1 gene:Ma11_g17230 transcript:Ma11_t17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPQDDTCSICLGSFTHPCQANCSHWFCGECILSVWHHGSIFQQCKCPICRCFINLLKPTDASVQEGKDRKANQVLKTIKKYNRSFGGEPSNLMQKLQNLPFFIRRLAMVLMDPRRPLPSLFRAHITFALSLSAMYVLSPVDLLPEGYFHQPSEDAVHCSTGNLYSPVFAHVIISC >Ma03_p30150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32904252:32905280:1 gene:Ma03_g30150 transcript:Ma03_t30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPRSQVAKGAFLLLLLFFPFISSSLRTSYLYFLLNILIVALGVEAGFLDAISRHQEEKKAHNTAAAPTAGGAAPVDAANHVAEVVNPRERAPQQKPVGQKSAKVAPGEQTLKRCPSKPSLFFIGGFEGDSAGKEWEEEEEEEEAGELSKQELFAKAEAFIGNFYMQLKMQREESWNKIQGLYHRAF >Ma03_p19370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24710749:24716295:1 gene:Ma03_g19370 transcript:Ma03_t19370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYELIKGDDKAAAAAEEEHNEHVLAVDHALPYPKDLEIGGKRASAPRSGDRHRLVSLDVFRGLTVALMIFVDDAGTYLPAINHSPWDGVNLADFVMPFFLFIVGVALALTYKRVSNKAMATKKAVLRAAKLFVVGLVIQGGYFHGLHNLTYGVDILSIRWTGILQRIAIAYLLAAICEIWLKSDDDVDSGHSLARRYRSQLLVALILTTIYMILLYGLYVPDWEYQLPVAGSGPKSFSVECGVRGDTGPACNAVGMIDRRIFGVRHLHRRPVYERTKQCSIDSPASGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHVIVHFKDHKDRIVQWMIPSFCLLALAFSLDCFGVHMNKALYTLSYTCATAGTAGMLFTGVYVLVDVYGYRRPTLAMEWLGMHALMIYVLIGCNIFPVFIQGFYWREPQNNLLRVIGIGS >Ma03_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24711600:24716295:1 gene:Ma03_g19370 transcript:Ma03_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIFVDDAGTYLPAINHSPWDGVNLADFVMPFFLFIVGVALALTYKRVSNKAMATKKAVLRAAKLFVVGLVIQGGYFHGLHNLTYGVDILSIRWTGILQRIAIAYLLAAICEIWLKSDDDVDSGHSLARRYRSQLLVALILTTIYMILLYGLYVPDWEYQLPVAGSGPKSFSVECGVRGDTGPACNAVGMIDRRIFGVRHLHRRPVYERTKQCSIDSPASGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHVIVHFKDHKDRIVQWMIPSFCLLALAFSLDCFGVHMNKALYTLSYTCATAGTAGMLFTGVYVLVDVYGYRRPTLAMEWLGMHALMIYVLIGCNIFPVFIQGFYWREPQNNLLRVIGIGS >Ma03_p19370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24711600:24716295:1 gene:Ma03_g19370 transcript:Ma03_t19370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIFVDDAGTYLPAINHSPWDGVNLADFVMPFFLFIVGVALALTYKRVSNKAMATKKAVLRAAKLFVVGLVIQGGYFHGLHNLTYGVDILSIRWTGILQRIAIAYLLAAICEIWLKSDDDVDSGHSLARRYRSQLLVALILTTIYMILLYGLYVPDWEYQLPVAGSGPKSFSVECGVRGDTGPACNAVGMIDRRIFGVRHLHRRPVYERTKQCSIDSPASGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHVIVHFKDHKDRIVQWMIPSFCLLALAFSLDCFGVHMNKALYTLSYTCATAGTAGMLFTGVYVLVDVYGYRRPTLAMEWLGMHALMIYVLIGCNIFPVFIQGFYWREPQNNLLRVIGIGS >Ma11_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6568505:6569401:1 gene:Ma11_g08240 transcript:Ma11_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRATGPLDGEVKARLRGDGALRVAGYASTGSDQGAATCLSRLVHAFIETGGHDTPSSPKDDGDDEDGSEADAAAFDRDRAAAKIVRELICPAVDMNQFRRRLAGEVCETAEGFAWLRSSCGGSAFRRAVMARLRDAGYNAGICKASWETSGGLTGGSYEYIDVVATAGEGDGDEQGRRYIVDLEFAAEFEVARATEAYKEVVAALPRVAVAGEEAVRAAVRAVADAARRSLRARGLHVPPWRKSRYMLAKWLGPYRRTTNPVPTSLPAAPPGGDVKCRAVGFPTAARLLAAPTARTR >Ma04_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1908059:1908792:-1 gene:Ma04_g02170 transcript:Ma04_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFCRRGANPLPGWPIDRYLNYILSPYPLLAGSLDRRFCSDGVDQDRGLGHGERHLPNRVFLIRCLLRFLLFVLLVLDLGSFVSWGWASCVSGGLRSESAKEFVRKVQPRAGPRICKRRRPISLEGEQWTSHDVLLKITVFKQFDKHLKDKLNTWWPIRVSSSTSINLCTRKRRQRWFIIYECEGTLF >Ma05_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7520253:7522025:-1 gene:Ma05_g10420 transcript:Ma05_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEKKGDGEKKKRGGMEEAVEVKLDMHCEGCAQKVRKAVKKLEGVEAVSVDPANNRLKAIGKVDPWKLKEFLEAKTKKKVDFISPKDPPKKPKGDEMKKKDEDAKDKNQKKSSNDKKPKPPASSTVVLKIRVHCDGCIQRIKRHIHKIKGVEEVTVDAARDVVTVKGTMDLKTLPAVLEDRLKRRVEIVPAKKDDGGRGGEKKEKGSGSGGEKKKEGRVEEGNKGETRTTVAEANKMEYYGPHGGFEGYGYFMEMVHAPQMFSDENPNACSVM >Ma09_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4043591:4044329:-1 gene:Ma09_g06300 transcript:Ma09_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWLATGPLNTNGEHAQGGDDYQVWKLHTLEQMDEGNLLYKAEKNKDISTFNELPIGHTNPKENYADQQNGFHKAGWEVHNEITSMFLERDASIGKVDSTRWTQNGMIEKCEKKGTSEVLSSNGSKKKFTGVHEQGSLRMKACDGGNNFKNHGSRETRFWYGYSNISNMLITSCSENGSHDSENNIMKLTSKRVIIHMHSQKQNPARQMISKMINLPSTMEELLRIGGKYFLFT >Ma01_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9990678:9990932:-1 gene:Ma01_g13650 transcript:Ma01_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVIGAGISGLASAYTLAKAGVDVVLYEKEDYLGGHAKTVTFDGVDLDLGFMVFNRVSASKSTPRWSSFRILGCSEYSASHS >Ma05_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34733773:34758950:-1 gene:Ma05_g22970 transcript:Ma05_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MALLPLSSASPFSYRSAFPSAPSTRAFPVLNAFPATERKSRRKKKKSRHDDPDSPSSSSSGGLSVSTVEKGLRFAFMEELMWRARSRDPAGVADVIYDMVAAGLSPGPRSFHGLVVSHTLSGDEEGAMQSLRRELSAGLRPLHETFIALIRLFGSRGHATRGLEILGAMEKLKFDIQKAWLVLVEELVCNHHLEDANTVFLKGAKGGLRATDELYDLLIKEDCKAGDHSNALTIAYEMEAAGRMATTFHFNCLLSVQANCGIPEIAFATFENMEYGGEDYMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDYKRLQPNVKTYALLVECFTKYCVVKEAIRHFRALKNLPGGTRVLYNEGNFGDPLSLYVRALCCEGRAVELLEALEAMAKDNQLIAPRAMILSRKYRTLVSSWIEPLQEEADVGFEIDYIARYVVEGGLTGERKRWVPRRGKTPLDPDALGFAYSNPMETSFKQCCLEEWKIYHRKLLKTLRNEGPSALGDVSEADYIRVEERLKKIIKGPDQNALKPKAASKMIVSELKEELEAQDLPTDGTRQVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDELISRIKLEDGNTEYWKLRFLGESLTNVHEKDIVVEDSELHDILDDADALEDGTKEAEEDDVDEEEEAVEQTENQEGDVIKDKVIERAKPLQMIGVQLLKDSEETAPSSKKSRRRVARASIEDDADEDWLPEDIHEAFKVMRERKIFDVSDMFTIADAWGWTWERELKNKMPCKWSQEWEVELAIKIMQKVIELGGTPTIGDCAMILRAAIRAPMPSAFLTILQTTHSLGYAFGSPLYNEVILLCLDLGEVDAAIAIVADMETTGIKVSDQTLDKVLSARHGVESTSDESAAQE >Ma06_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7969503:7977171:1 gene:Ma06_g11420 transcript:Ma06_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G38510) UniProtKB/Swiss-Prot;Acc:Q9FFX0] MASVPICSPLSCKDQIQVAKKVLMQIGRDSVNSCLSCHKMCLSSCSACRIGKRHTVYHIAEIPLKGKSGERSNSFCVFNLFPLPYRSSKEVPTLKFYSMGIRGKSTSGGGHQRDKHGSNSSGTPAASIEKQLKTLDAYFSKLQYDMNKQLNSCTSASDSETLHSRRHDMINSSSESIGKVTENIDATDDYKAETGLNSLENYFGQLSDTKKSRLSDSPADASEGNPVKIPISAMRKNNEKEDAIVEQYTFKDEGSLDDRTDSLLFGKVNTPSISTDDEASGLYLISLLAAINIAVFLFEIASPVSSEVEHLSLPLIYGAKINKLILLGEWWRLLTPMFLHSGFLHVALGCWVLLTLGTEVCKGYGPFAFFLIYILGGICGNLTSFLHTPELTVCGTGPVFAILGAWLVYQIQNKDVTSKEVSQSMFWQAVAATVLSFVLSSFGRVDNWAHLSAAVSGVIFGFLTCPSVQFDDASAKSSQKEGIALVQRQADPCKSLATFTVSILVLASLFFLYAPELQLLEWESL >Ma08_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:922537:923768:1 gene:Ma08_g00990 transcript:Ma08_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLFSFFFFFFFGAAVTAASGGDGGSAYEVLRSHGLPIGLLPKGVREFYVDGEGRFEARLYAPCTAKFESEVRYNASIVGTISPGQIAGLSGVAAQDLFLWFPVRAIRLDDQASGIIHFDVGVVDKRFTLSLFEFPPDCAPAFVAESQSGELHYRLDQLDPQHVAV >Ma02_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12962682:13010597:1 gene:Ma02_g02010 transcript:Ma02_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAGAGGSSVGRTSVRVVVMGETGTGKSSLIVAVATESFPENVPHVIPPTRLPADYYPDRVPLTIIDTSSRPENKAKLIAECKSADAIVLTYACDRPSTLDRLSTYWLPELRRLEAKVPVIVVGCKLDLRDEQQVSLEQVMSPIMQQYREIETCIECSALRQIQVQEVFYYAQKAVLHPTAPLFDQETQSLKPHCVRALKRIFILCDHDRDGALSDSELNDFQVRCFNAPLQHTEIAGVKKVVQEKLPEGVNDFGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLRDDLLPTIFKRASDQTVELTNEAVDFLKGVFLTFDIDSDGALRPAELDDLFSTAPESPWSEAPYKDATEKNVLGGLSLEGFLSEWALMTLKDPAASLANLIYIGYTGDPASAFQITRKRRLDRKKQQTQRNVFQCFVFGSRNAGKTTLLNSFIGRTFSEKYNPTTSDRFAANVVGLHNGDKKILVMREIAEIKVKNLLSSKESLAACDIAVFVHDSSDEESWKRTKELLVQVASHGENTGFEVPCLIISAKDDLDPYPLAVQDSTRVSQDMGIETPIPISMKLRDHNDVFRRIVSAAQQPHLSIPETEAGKSRKQYQRLLNRSLTFVSVGAAVAVVGLGAYRIYAARKNSPG >Ma10_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27389202:27390850:1 gene:Ma10_g15170 transcript:Ma10_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTSKRVEASVAANVYRPPPTSIALFDISTIEEPWLITTTTTKAFDDDEREQKEKEKKLTAVPLPLLEKLESYEVAPKSWSEVSKVLEDLKPTLNSEPPPAAAKPHHAPPPPDPKKPPSAPSKNNSFHTLEELESHTKKPSPRPSSPPRASSPARPQPPEMAGFRSVKENSFIVRDREERQRQKAGGDVAAKLPWWRRDPLDGYPERCPPGNADGVVLYTTTLRGVRRTFEDCEQARRVLEGQALDFGVAVDERDVSLHGEFLREVRELVGEELAVPRLFIRGRYVGGVDEVVELSETGKLREMLRWVARRVGDGSGKGGKKDCECCGGARFIPCMECNGSCKVVGEDGKSVERCEECNENGLMLCPLCH >Ma02_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23188055:23191380:-1 gene:Ma02_g15520 transcript:Ma02_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:Projected from Arabidopsis thaliana (AT5G47630) UniProtKB/TrEMBL;Acc:A0A178UB54] MQHLWNSITKHVRLSCSTGLSEFGAAGRLLNSPCQRFCVSSSDTSMEDLTARVLELVKKFDKLDASKVTEKADFQKDLCLDSLDRVELVMAIEQEFSVEIPDQKADKLSCCADVVKYISEAQSEKKEST >Ma09_p25870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37244281:37247211:-1 gene:Ma09_g25870 transcript:Ma09_t25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVVLAEFTEFSGNFNSIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGYTYCVVAVESVGRQIPIAFLERVKEDFNKRYGGGKAATAAANSLTREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQHGTQMRRKMWLQNMKVKLIVLGIIIALVLIIVLSVCHGFKC >Ma09_p30230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40456738:40461340:1 gene:Ma09_g30230 transcript:Ma09_t30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRMALGNWIKILSLSVLLGFVSVAFATTNHGDFAVLDEFRKGLTNAELLKWPTNNEDPCGPPLWPYVVCSGSRVTQIQAKNLGLIGTLPPGFNNLSMLVNIGLQGNKLTGALPSFKGLSNLQYAFLDYNQFDSIPADFFVGLDSLRVLSLDKNRLNQSTGWKLPSDLANSAQLMNLSLVDCNLAGPLPDFLGNMRSLTHLKLSYNNLTGEIPASYEGLPLQTLWLNNQEGLGLSGSLKIITSMTMLNDVWLHGNQFTGPIPSSIGAVVFLRRIWLNDNQLVGLVPADLTDLPQLQSLHLDNNAFMGSIPAVSFSDFTYSHNSFCQSAPGIPCPVEVAALLDFLDAVDYPQNLARSWLGNDSCTGTWFGISCSSGKASIINLPRNHLNGTISPSLGKLDSLAEILLGSNNLRGMVPEELTGLKTLKLLNLSSNDISPPVPQFPRGVTVILDGNRLLDKSSSTASPSGGDTPSESPSSLGGSSLSSNSKILIIIIPVIVGTAVILLVMLLLFCWKKGRRNTFCAPSTIVVHPRDSSDPDNLVKIVVANNANNSTVASELRSISSSSTVDTHVIESGNLVISVQVLRAATRNFASENVLGQGGFGVVYKGELHDGTMIAVKRMESGVLNNKALEEFQAEIAVLSKVRHRNLVSILGYSVEGNERLIVYEYMPHGALNKHVFQWKQLELEPLSWKKRLNIALDVARGIEYLHNFANQCFIHRDLKSSNILLGDDYRAKISDFGLAKLAPDGKNSFATRLAGTFGYLAPEYAVTGKVTTKVDVFSFGVVLMELITGLKALDEDRPEESRYLASWFCQMKNDKDKLKSIIDPSLVVTDETFESIGVIAELAGHCAAREPHQRPDMGYAVNVLAPLVDKWKPVNDDQEEYLGIDLCQPLLQMVKGWQAADGASDISSLSLDYSKGSIPARPAGFADSFTSADGR >Ma09_p30230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40456825:40461340:1 gene:Ma09_g30230 transcript:Ma09_t30230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRMALGNWIKILSLSVLLGFVSVAFATTNHGDFAVLDEFRKGLTNAELLKWPTNNEDPCGPPLWPYVVCSGSRVTQIQAKNLGLIGTLPPGFNNLSMLVNIGLQGNKLTGALPSFKGLSNLQYAFLDYNQFDSIPADFFVGLDSLRVLSLDKNRLNQSTGWKLPSDLANSAQLMNLSLVDCNLAGPLPDFLGNMRSLTHLKLSYNNLTGEIPASYEGLPLQTLWLNNQEGLGLSGSLKIITSMTMLNDVWLHGNQFTGPIPSSIGAVVFLRRIWLNDNQLVGLVPADLTDLPQLQSLHLDNNAFMGSIPAVSFSDFTYSHNSFCQSAPGIPCPVEVAALLDFLDAVDYPQNLARSWLGNDSCTGTWFGISCSSGKASIINLPRNHLNGTISPSLGKLDSLAEILLGSNNLRGMVPEELTGLKTLKLLNLSSNDISPPVPQFPRGVTVILDGNRLLDKSSSTASPSGGDTPSESPSSLGGSSLSSNSKILIIIIPVIVGTAVILLVMLLLFCWKKGRRNTFCAPSTIVVHPRDSSDPDNLVKIVVANNANNSTVASELRSISSSSTVDTHVIESGNLVISVQVLRAATRNFASENVLGQGGFGVVYKGELHDGTMIAVKRMESGVLNNKALEEFQAEIAVLSKVRHRNLVSILGYSVEGNERLIVYEYMPHGALNKHVFQWKQLELEPLSWKKRLNIALDVARGIEYLHNFANQCFIHRDLKSSNILLGDDYRAKISDFGLAKLAPDGKNSFATRLAGTFGYLAPEYAVTGKVTTKVDVFSFGVVLMELITGLKALDEDRPEESRYLASWFCQMKNDKDKLKSIIDPSLVVTDETFESIGVIAELAGHCAAREPHQRPDMGYAVNVLAPLVDKWKPVNDDQEEYLGIDLCQPLLQMVKGWQAADGASDISSLSLDYSKGSIPARPAGFADSFTSADGR >Ma09_p30230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40456775:40461340:1 gene:Ma09_g30230 transcript:Ma09_t30230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRMALGNWIKILSLSVLLGFVSVAFATTNHGDFAVLDEFRKGLTNAELLKWPTNNEDPCGPPLWPYVVCSGSRVTQIQAKNLGLIGTLPPGFNNLSMLVNIGLQGNKLTGALPSFKGLSNLQYAFLDYNQFDSIPADFFVGLDSLRVLSLDKNRLNQSTGWKLPSDLANSAQLMNLSLVDCNLAGPLPDFLGNMRSLTHLKLSYNNLTGEIPASYEGLPLQTLWLNNQEGLGLSGSLKIITSMTMLNDVWLHGNQFTGPIPSSIGAVVFLRRIWLNDNQLVGLVPADLTDLPQLQSLHLDNNAFMGSIPAVSFSDFTYSHNSFCQSAPGIPCPVEVAALLDFLDAVDYPQNLARSWLGNDSCTGTWFGISCSSGKASIINLPRNHLNGTISPSLGKLDSLAEILLGSNNLRGMVPEELTGLKTLKLLNLSSNDISPPVPQFPRGVTVILDGNRLLDKSSSTASPSGGDTPSESPSSLGGSSLSSNSKILIIIIPVIVGTAVILLVMLLLFCWKKGRRNTFCAPSTIVVHPRDSSDPDNLVKIVVANNANNSTVASELRSISSSSTVDTHVIESGNLVISVQVLRAATRNFASENVLGQGGFGVVYKGELHDGTMIAVKRMESGVLNNKALEEFQAEIAVLSKVRHRNLVSILGYSVEGNERLIVYEYMPHGALNKHVFQWKQLELEPLSWKKRLNIALDVARGIEYLHNFANQCFIHRDLKSSNILLGDDYRAKISDFGLAKLAPDGKNSFATRLAGTFGYLAPEYAVTGKVTTKVDVFSFGVVLMELITGLKALDEDRPEESRYLASWFCQMKNDKDKLKSIIDPSLVVTDETFESIGVIAELAGHCAAREPHQRPDMGYAVNVLAPLVDKWKPVNDDQEEYLGIDLCQPLLQMVKGWQAADGASDISSLSLDYSKGSIPARPAGFADSFTSADGR >Ma03_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9429285:9432420:1 gene:Ma03_g12180 transcript:Ma03_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPQEEEEQRQITSPLLASDPSTSASSSSSPPPRKEEEEEEEEEEENSPIEQVALTVPVNDDPATPVLTFRMWVLGTASCVLLAFLNQFFWYRKEPLSITSISAQIAVVPLGHLMASTITDRVFFRGSRWEFTLNPGPFNMKEHVLITIFANSGAGSVYAIHIVSAVRIFYQKHITFFVSLLVVITTQVLGFGWAGMFRRYLVEPAAMWWPQNLVQVSLFRALHEKEGRPKGGMTRNQFFMVAFFCSFAYYVLPGHLFSVLTSLSWMCWIFPRSVLAQQLGSGLHGLGIGAIGLDWATISSYLSSPLASPWFATANVAAGFALIMYVITPAAYWLNLYNAKTFPIFSDGLFTSTGQSYNISGIIDPNFHLDIKTYEKNGPLYLSALFAMTYGVGFASLTATISHVLLFHGSQIWQMSKSAFKEKMDIHTRLMSRYDQVPHWWFIILLVANVALTIFACEYFIDQLQLPWWGVLLACFIAVFFTLPFGIIKATTNQTPGLNVITEYIIGYLYPGRPVANMCFKVYGFISMKQALLFLQDFKLGHYMKIPPRTMFMAQVVGTLIAALVYLGTAWWLMETIPDICNTKLLPSDSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGTYSNINWFFLAGALAPLLVWLAHKAFPEQEWIRLINMPVLIGATGSMPPATAVNYTTWVFVGFLSGYVVYRYRREWWQRHNYVLSGALDAGLAFMGVLLYMCLGLEKVRLNWWGNHLDGCPLASCPTSPGVVVEGCPVF >Ma03_p04760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3133679:3139138:1 gene:Ma03_g04760 transcript:Ma03_t04760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGGDSDTEEEEENSEYEDGSETEQPAGDGAGANARSRYLRDNASDSDDSDGQHRVVKSARDKRFDEMAATIDQMRNAMKINDWVSLQESFEKINKQLEKVIRVTESEKVPNLYIKALVMLEDFLAQALANKDAKDAKKKMSSTNAKALNSMKQKLKKNNKQYEELIAKYREKPESEDEAAEDKDDEEDDESGSEVEEDLSKITMSDEEDEEEEDDDEQAEGGGTWEKQMSRKDKLMDKQFMKDPSKITWDIVDKKLKEIVAARGKKGTGRVEQVEQLTFLTRVAKTPAQKLEILFSVISAQFDVNPSLLGHMPVGVWKKCVGNMLLVLDILEQYPNIVVDDTVEPEENETQKGVDHKGTIRVWGNLVAFLERLDSEFFKSLQCIDPHTREYVERLRDEPLFFVIAQNVQEYLERIGDFKAASRVALRRLEVIYYKPQEVYDAMRKLAEQTGTEAGGETGDPDESDESQMVEENRGPPDFVPIPELVPRRSTFPESSRTLVDALVSLIYKYGDERTKARAMLCDIYHHAISDEFPVARDLLLMSHLQDGIQLMDISSQILFNRVMAQLGLCAFRAGLIQEAHGCLFELYQGGRVKELLAQGMSQNRYHEKTPEQEKAERRRQMPYHMHINLELLEATHLICAMLLEVPNMAATTYDPKRKPISKTFRRLLEVSQRQTFVGPPENVRDHAMAATRALTKGDYQKAFNVINSLDVWKLLRNRESVLEMVKSKIKEEALRTYLFAFSSCYDSLSLGQLTSMFDLSEVHVHSIASKMMIMDELHASWDQPTKCIVFHNVEQTRLQGLLFQMADKLVILAENNERAFEARTGGGLEGLPPRRREGQDYTGSASGRWQDGSGSASQGRQGGGYGGRVGYGGRTGGSAQATGGGYQRDRSGQGSRGAGGYYGSAGRAAARGSQLEASAGMVNLSRGGRI >Ma03_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3133677:3139138:1 gene:Ma03_g04760 transcript:Ma03_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGGDSDTEEEEENSEYEDGSETEQPAGDGAGANARSRYLRDNASDSDDSDGQHRVVKSARDKRFDEMAATIDQMRNAMKINDWVSLQESFEKINKQLEKVIRVTESEKVPNLYIKALVMLEDFLAQALANKDAKDAKKKMSSTNAKALNSMKQKLKKNNKQYEELIAKYREKPESEDEAAEDKDDEEDDESGSEVEEDLSKITMSDEEDEEEEDDDEQAEGGGTWEKQMSRKDKLMDKQFMKDPSKITWDIVDKKLKEIVAARGKKGTGRVEQVEQLTFLTRVAKTPAQKLEILFSVISAQFDVNPSLLGHMPVGVWKKCVGNMLLVLDILEQYPNIVVDDTVEPEENETQKGVDHKGTIRVWGNLVAFLERLDSEFFKSLQCIDPHTREYVERLRDEPLFFVIAQNVQEYLERIGDFKAASRVALRRLEVIYYKPQEVYDAMRKLAEQTGTEAGGETGDPDESDESQMVEENRGPPDFVPIPELVPRRSTFPESSRTLVDALVSLIYKYGDERTKARAMLCDIYHHAISDEFPVARDLLLMSHLQDGIQLMDISSQILFNRVMAQLGLCAFRAGLIQEAHGCLFELYQGGRVKELLAQGMSQNRYHEKTPEQEKAERRRQMPYHMHINLELLEATHLICAMLLEVPNMAATTYDPKRKPISKTFRRLLEVSQRQTFVGPPENVRDHAMAATRALTKGDYQKAFNVINSLDVWKLLRNRESVLEMVKSKIKEEALRTYLFAFSSCYDSLSLGQLTSMFDLSEVHVHSIASKMMIMDELHASWDQPTKCIVFHNVEQTRLQGLLFQMADKLVILAENNERAFEARTGGGLEGLPPRRREGQDYTGSASGRWQDGSGSASQGRQGGGYGGRVGYGGRTGGSAQATGGGYQRDRSGQGSRGAGGYYGSAGRAAARGSQLEASAGMVNLSRGGRI >Ma00_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46436551:46437716:-1 gene:Ma00_g05420 transcript:Ma00_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLAVVRGGRLSSAASPRRQVDPSIGTREAPVDLEDVRPRKKAKVAAPKKPNPPRAPESAEAAESGSHDRRGGRGRGEAGPSSVVAGKAPREPSIRDLCRLPAGPPNDFYHARLMGELSEGQPSDRLVARWGGLTRGTRVWADGETAAAFVRGGLHPDLAREMYTLPSDVLLGKSVKSLLWGQHYAMALADRVRDAGRALGILCERNAELRKQLEEARAGAAPEAVAAAEQRSSELEAEATRLRAEAGAAGERVSSLEAEVLRLRSEAKASEEEKSDLRGRLEGAQSEARLARGEAVVLTQRLEGALADMKGASDTLAAERERRPEKEKEIIEAYKQSPGFQLGLARSGQVTYEYGYRIALGRF >Ma08_p30880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41994687:41995931:-1 gene:Ma08_g30880 transcript:Ma08_t30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEVPPYFLCPVSLEIMRDPVTLATGITYDRENIERWIFSHNHEICPVTKQPLPNLDVTPNHTLRRLIQAWCAANASNGVERFPTPRPPVNMTRIAALLDEARLPQTQVTALGKLKAIVAESDRNRRCVESSGAVDVLASIIEKSVSNSTDLEEEVCDGVGSSSATDEAFTILCSLQLSDKSLLGLLQRDDNVIESLTKVLERSSYPSRAYSLLLLESLLSVVAPARLLSLREELFRELTKVLRDQISYQATKAALHALGAVCPWGQNRLKAVQAGAVRVLIELLLDEPEKRMCEMILGILHQLCTCAEGRAELIGHAAGIAVVSKKMHRVSQPASRMAVKILYTVAKSSPSLAVLHEMLQVGAVSKLCLMLQMDCDMKAKEKIQGILRLHSKVWRNSPCLIPRLRASYPSL >Ma08_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23834251:23835114:-1 gene:Ma08_g17240 transcript:Ma08_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRGGGGGGRQYLVVRSVWAWNLEYEFSIIASLVDRFSYVAFDTEFPGFLYRTRRPHRLLPPSLRYALLKANVDKMELVQLGLTLFDAFGGLPDIGTGGRVGFVWEFNFREFDVRRDLHAPDSVDLLRSSGIDFDRLPLYGIDSGQFAAHLYRSGLVAHCRFCRPHSTRWIAFHSCYDFAYLIKVLGFGRPLPDTLEEFLGLVNLLFGETVDLKHIMRGCKGLSGGLERVASTLGVPRQAGKSHQAGSDSLVTCQVYLKMKRRFFDDQDAKVACHRGIIYGLQAC >Ma07_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11684589:11687255:-1 gene:Ma07_g15530 transcript:Ma07_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLLYPLRSNTGIMASSRPTFASLLLLLLLLLLLTSAQGSSLNTTAWHPECETPKAPRRVSSSASSFVPSADFVSTLQSTLEEIKKVMSLVSTFSGVLGGDLRLSSAVDDCLDLLDLSSDELTWTLSASQRTTASASASGAGNRRSDLRSWMSAALGNQDTCKEGLDGTGGLVETLVAGGLDMVTSLVSDGLREIAAGGGTGGKGGSRRLMGFPEWVSAADRRLLQAQPTTVADAVVAQDGSGNYTTVEAAVAAAPTEGARRYVIHVKKGVYKENVEVKKKKWNLMLVGDGMGQTVISGTRSYVDGWTTYRSATFAVAGKGFIARDLTIENTAGPQKHQAVALRSDSDLSVFYRCEFSGYQDTLYAHSLRQFFRECRVTGTVDFVFGNAAAVFQNCQLFPRRPLPEQKNSVTAQGRKDPNQNTGFSFQFCNVSADADLVGFTNSTPTYLGRPWKEYSRTVFMQSYLGSLIRPEGWLEWNAEFALDTLYYAEYMNYGPGSGLGNRVKWPGYHALSDPAMAANFTVAQFIDGNMWLPSTGVKYTAGLTV >Ma03_p27020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30515994:30520574:1 gene:Ma03_g27020 transcript:Ma03_t27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQYLLGAVVASILGFLLLHVGFHGWKGRARRTAGKCGSGPVVPGDGGTEVIIVGAGVAGSALAYALGKDGRRVHVIERDLSEPDRIVGELLQPGGYLKLLELGLEDCVDEIDAQRVLGYALFKDDKSTKLSYPLEKFHSDIAGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLIEENGTVKGVVYKTKSGEEFKALSPLTIVCDGCFSNLRRTLCSPKVDVPSCFVGLVLENCQLPFPDHGHVILANPSPILFYPISSTEIRCLVDVPGQKVPSIANGEMANYLKTMVAPQVPTELHDSFVAAIDKGSIRTMPNRSMPAAPHPTAGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLHDLHDAAALCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSSGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLLLPFPSLERLWIGARLISGAAGIIFPIIKAEGVRQMFFPATVPAYYRAPPVN >Ma04_p36700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34946795:34958237:1 gene:Ma04_g36700 transcript:Ma04_t36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGHLLHHKKSSWPADEYVSQATLLLLDFDGGAPPEHAWRRRLNSHANILKEFSVTFMEAFRMLRLGLRLWSYVREEASQGRKAPIDPFTKEQCKPTASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCETSPVMANQFSIFISRDGGEKKYSSVLAPGHHEGLKKNGDLGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYHESSLPTAVFVYTLVNTGKERAKVSLLMTWANSIGGVSHHTGGHINEPFIDDDGVSGVLLHHKTTKDNPPVTFAIAACESQNVTVTVLPSFGLCGENYVTARDMWGTMVQDGQFQRENFGAGPCVPSSVGDTLCAAVSASTWVEPHGRCTAVFALAWSSPKVKFQKGCTYHRRYTKFYGASERSAINLVHDALKKYKLWEEEIEKWQNPILQNAKLPDWYKFTLFNELYFLVAGGTVWTDGEAPIIEENLSAASDCHESTKSKDEKSVSKERHVNMVVERTFTDSNLNNEEFFSPTSVQELADNDDVCVPDSAQTGNVLHQQHNPENVGRFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELTIQHDFARAVLHEDRRKVKYLNDGSWGIRKVKGSVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMSFGRDVWPAVCAAMDYMEQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAAAMAEHLGDWAFAEKCKIKFLKAKPVFEAKLWNGSYFNYDSGNSSNSCSIQADQLAGQWYTASSQLPPLFDEIKIRNTLQTIFEFNVMKVSGGRMGAVNGMNPNGKVDECCMQSREIWTGVTYSLAATMLLHGMDHEAFTTAEGIFTGGWSEEGFGYWFQTPEGWTIDGRYRSLIYMRPLAIWAMQWALSPPKTILEAPKVNMMDKLLTSTLNMTTLNDTDIQKIAPKIGRFGNAVFHCEC >Ma09_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2861809:2866757:-1 gene:Ma09_g04350 transcript:Ma09_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAQSGMCSPWYAAAAANTTTKSVQAWRALVNWVAILFRLLLQIPRGTPSWAQILSFVGLRHTLLFSPSTASPAYKPLAIDPPIDGPTPDTAPAPEPLGKLTVVLDLDETLVCAYETSSLPSTVHSQAIEAGVKCFDLECVSSENDADGRQKANHVTVFERPGLREFLKQSSEFADLVLFTAGLEGYASPVIDRIDVDNKLIHRLYRPATVSTEYREHVKDLSCVSKDLSRTVIVDNNPYSFLLQPLNGIPCMPFFAAQPCDEQLMGVILPLLKHLSLQKDVRSVLYEKFHMPEWFEQQGIPTVKSTP >Ma01_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12863003:12864642:-1 gene:Ma01_g17550 transcript:Ma01_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOEP16-S [Source:Projected from Arabidopsis thaliana (AT4G16160) UniProtKB/TrEMBL;Acc:A0A178V0T8] MSSGKLETRTLLDEIRDMDNGWLFDFGHPLINRVAECFFKAAGIGAVQAVTREAYFTAVEGSGVDTGGVADVTGGKKRRFSDLRGENCKKSLEAMVKSTGKESFQWGMAAGMYSGLTYGLKEARGSHDWKSSAVAGAITGAALALTADGTSHEQIVQCAITGAALSTAANLLSGIF >Ma09_p12350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8324912:8329991:-1 gene:Ma09_g12350 transcript:Ma09_t12350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLERDPPVSCSAGPVGEDMFHWQATIMGPADSPYAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNVNSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTAKSWTQKYAMG >Ma09_p12350.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8324912:8329821:-1 gene:Ma09_g12350 transcript:Ma09_t12350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLERDPPVSCSAGPVGEDMFHWQATIMGPADSPYAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNVNSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTAKSWTQKYAMG >Ma09_p12350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8324912:8329785:-1 gene:Ma09_g12350 transcript:Ma09_t12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLERDPPVSCSAGPVGEDMFHWQATIMGPADSPYAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNVNSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTAKSWTQKYAMG >Ma09_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8324912:8330004:-1 gene:Ma09_g12350 transcript:Ma09_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLERDPPVSCSAGPVGEDMFHWQATIMGPADSPYAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNVNSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTAKSWTQKYAMG >Ma05_p30810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41039390:41045503:1 gene:Ma05_g30810 transcript:Ma05_t30810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQEEIKVDHKDRCSGVPIKKRLFHLSQCSFSPSQETCSISHNYQRQPNQFSVEAESSVSVKDAGLPLDTGTSPKDVVNSSSASSGQRYGSDVHANHDDMELKKATRAFKGSNMEEDRNADNRPSLGLDTDKGKQLSQPGTWEMIIVDRTMEEKIATCDVSAFRGVETTSIVHLSSSKDNKYHGYNSSKQEIVKTCSLDLRQTMHDEVDSEYGSADRCVSRENWDLNVPMEVWEANLSDSVVKHAMDHRLNQSAMHPQNIDRCLVQPTLGAIASASTSGTSMVERSQYKPRLRNWRTPADDKRGYEGGLDLQLRPPSRPELRINWGKIVPSDLSLSLTGNLSEVSRRVVKPEHCENSNQKVTEVSQHSSLKSVGIRHVNSEPCDENVHGEASSVAVLFDQESSLGRVLKSEPPEEPKEKPPSLEPDDNHQLTCCTDVSPSQVKPALNSNAVVDANILNDNNPVMPGNAKIESGESTSRALDPAELSSSDVPYKADDVLTNICANQGAEGNTSKSVDIIADPIISDAKESNANMTESREIADVASSLHHESASYLKEPMTHDDLSEGSAEMDCSDNEDYTSSKLDAGDDLHMATDINNVPSATNQEGLGLPAEIQTEQFNSNEQQFDATYNLRFTTDITIPVDGDIEVKGGELGDPVPQCASEVSCDHEKKRVRGNVLEWSNELPCEYEKKVHENVTTDFTGSDGHAVMMEIHSDTKGKQIVSEEAMSLHRDESFDVSMCRDKKEMSSDLTTVLHEPPDNGVVRVSSSKRLAKPCPEAIKNHLGKEKSSEMKSNSIRPPNAIAKTTEDNVDRQQMRTRYAIASIKHPELPERDSDVSGVGNKHVDDAGKHSHEKNVDSRCKHRQITKVSSPTIRSFGQRKPISSRSNSSGTEDGLIDKQRRDRMFSQRRRCSEFESKKNQDQSTDKCDSDYMNTARHSNRHLDRNSNTRFASEHNNSRKHQFSRLSSRSEVPLEVATNGSVGSANTLSRRPMGDQPYRSSCFPLWRRLPRHQEEPPLVDTRNARCLVRDVSPNKEEIMRTLHHEMVDSILYSHPTMQYGPTENDVLPRGSNISPTRWRPPPSHFYGMGSPRRLLSPGRSPEMVMNKQHPALVRHGAPPSVDMPNSPHGHLYFPEEEHKEV >Ma05_p30810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41039629:41045953:1 gene:Ma05_g30810 transcript:Ma05_t30810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQEEIKVDHKDRCSGVPIKKRLFHLSQCSFSPSQETCSISHNYQRQPNQFSVEAESSVSVKDAGLPLDTGTSPKDVVNSSSASSGQRYGSDVHANHDDMELKKATRAFKGSNMEEDRNADNRPSLGLDTDKGKQLSQPGTWEMIIVDRTMEEKIATCDVSAFRGVETTSIVHLSSSKDNKYHGYNSSKQEIVKTCSLDLRQTMHDEVDSEYGSADRCVSRENWDLNVPMEVWEANLSDSVVKHAMDHRLNQSAMHPQNIDRCLVQPTLGAIASASTSGTSMVERSQYKPRLRNWRTPADDKRGYEGGLDLQLRPPSRPELRINWGKIVPSDLSLSLTGNLSEVSRRVVKPEHCENSNQKVTEVSQHSSLKSVGIRHVNSEPCDENVHGEASSVAVLFDQESSLGRVLKSEPPEEPKEKPPSLEPDDNHQLTCCTDVSPSQVKPALNSNAVVDANILNDNNPVMPGNAKIESGESTSRALDPAELSSSDVPYKADDVLTNICANQGAEGNTSKSVDIIADPIISDAKESNANMTESREIADVASSLHHESASYLKEPMTHDDLSEGSAEMDCSDNEDYTSSKLDAGDDLHMATDINNVPSATNQEGLGLPAEIQTEQFNSNEQQFDATYNLRFTTDITIPVDGDIEVKGGELGDPVPQCASEVSCDHEKKRVRGNVLEWSNELPCEYEKKVHENVTTDFTGSDGHAVMMEIHSDTKGKQIVSEEAMSLHRDESFDVSMCRDKKEMSSDLTTVLHEPPDNGVVRVSSSKRLAKPCPEAIKNHLGKEKSSEMKSNSIRPPNAIAKTTEDNVDRQQMRTRYAIASIKHPELPERDSDVSGVGNKHVDDAGKHSHEKNVDSRCKHRQITKVSSPTIRSFGQRKPISSRSNSSGTEDGLIDKQRRDRMFSQRRRCSEFESKKNQDQSTDKCDSDYMNTARHSNRHLDRNSNTRFASEHNNSRKHQFSRLSSRSEVPLEVATNGSVGSANTLSRRPMGDQPYRSSCFPLWRRLPRHQEEPPLVDTRNARCLVRDVSPNKEEIMRTLHHEMVDSILYSHPTMQYGPTENDVLPRGSNISPTRWRPPPSHFYGMGSPRRLLSPGRSPEMVMNKQHPALVRHGAPPSVDMPNSPHGHLYFPEGMMVERNALPADMDEMLPVNDLDLPRPGGFLQRNTRRYDFSPHETAADYLGPVHFFADHFIDARNHHDGRDNHEHTTLPYHVDARHIRSSRDFDSQARDRHGNARDRLRSIVEQGEGHRCRGEQGWRDGGLHSERLKKRKP >Ma05_p30810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41039390:41045953:1 gene:Ma05_g30810 transcript:Ma05_t30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQEEIKVDHKDRCSGVPIKKRLFHLSQCSFSPSQETCSISHNYQRQPNQFSVEAESSVSVKDAGLPLDTGTSPKDVVNSSSASSGQRYGSDVHANHDDMELKKATRAFKGSNMEEDRNADNRPSLGLDTDKGKQLSQPGTWEMIIVDRTMEEKIATCDVSAFRGVETTSIVHLSSSKDNKYHGYNSSKQEIVKTCSLDLRQTMHDEVDSEYGSADRCVSRENWDLNVPMEVWEANLSDSVVKHAMDHRLNQSAMHPQNIDRCLVQPTLGAIASASTSGTSMVERSQYKPRLRNWRTPADDKRGYEGGLDLQLRPPSRPELRINWGKIVPSDLSLSLTGNLSEVSRRVVKPEHCENSNQKVTEVSQHSSLKSVGIRHVNSEPCDENVHGEASSVAVLFDQESSLGRVLKSEPPEEPKEKPPSLEPDDNHQLTCCTDVSPSQVKPALNSNAVVDANILNDNNPVMPGNAKIESGESTSRALDPAELSSSDVPYKADDVLTNICANQGAEGNTSKSVDIIADPIISDAKESNANMTESREIADVASSLHHESASYLKEPMTHDDLSEGSAEMDCSDNEDYTSSKLDAGDDLHMATDINNVPSATNQEGLGLPAEIQTEQFNSNEQQFDATYNLRFTTDITIPVDGDIEVKGGELGDPVPQCASEVSCDHEKKRVRGNVLEWSNELPCEYEKKVHENVTTDFTGSDGHAVMMEIHSDTKGKQIVSEEAMSLHRDESFDVSMCRDKKEMSSDLTTVLHEPPDNGVVRVSSSKRLAKPCPEAIKNHLGKEKSSEMKSNSIRPPNAIAKTTEDNVDRQQMRTRYAIASIKHPELPERDSDVSGVGNKHVDDAGKHSHEKNVDSRCKHRQITKVSSPTIRSFGQRKPISSRSNSSGTEDGLIDKQRRDRMFSQRRRCSEFESKKNQDQSTDKCDSDYMNTARHSNRHLDRNSNTRFASEHNNSRKHQFSRLSSRSEVPLEVATNGSVGSANTLSRRPMGDQPYRSSCFPLWRRLPRHQEEPPLVDTRNARCLVRDVSPNKEEIMRTLHHEMVDSILYSHPTMQYGPTENDVLPRGSNISPTRWRPPPSHFYGMGSPRRLLSPGRSPEMVMNKQHPALVRHGAPPSVDMPNSPHGHLYFPEGMMVERNALPADMDEMLPVNDLDLPRPGGFLQRNTRRYDFSPHETAADYLGPVHFFADHFIDARNHHDGRDNHEHTTLPYHVDARHIRSSRDFDSQARDRHGNARDRLRSIVEQGEGHRCRGEQGWRDGGLHSERLKKRKP >Ma05_p30810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41038068:41045953:1 gene:Ma05_g30810 transcript:Ma05_t30810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQEEIKVDHKDRCSGVPIKKRLFHLSQCSFSPSQETCSISHNYQRQPNQFSVEAESSVSVKDAGLPLDTGTSPKDVVNSSSASSGQRYGSDVHANHDDMELKKATRAFKGSNMEEDRNADNRPSLGLDTDKGKQLSQPGTWEMIIVDRTMEEKIATCDVSAFRGVETTSIVHLSSSKDNKYHGYNSSKQEIVKTCSLDLRQTMHDEVDSEYGSADRCVSRENWDLNVPMEVWEANLSDSVVKHAMDHRLNQSAMHPQNIDRCLVQPTLGAIASASTSGTSMVERSQYKPRLRNWRTPADDKRGYEGGLDLQLRPPSRPELRINWGKIVPSDLSLSLTGNLSEVSRRVVKPEHCENSNQKVTEVSQHSSLKSVGIRHVNSEPCDENVHGEASSVAVLFDQESSLGRVLKSEPPEEPKEKPPSLEPDDNHQLTCCTDVSPSQVKPALNSNAVVDANILNDNNPVMPGNAKIESGESTSRALDPAELSSSDVPYKADDVLTNICANQGAEGNTSKSVDIIADPIISDAKESNANMTESREIADVASSLHHESASYLKEPMTHDDLSEGSAEMDCSDNEDYTSSKLDAGDDLHMATDINNVPSATNQEGLGLPAEIQTEQFNSNEQQFDATYNLRFTTDITIPVDGDIEVKGGELGDPVPQCASEVSCDHEKKRVRGNVLEWSNELPCEYEKKVHENVTTDFTGSDGHAVMMEIHSDTKGKQIVSEEAMSLHRDESFDVSMCRDKKEMSSDLTTVLHEPPDNGVVRVSSSKRLAKPCPEAIKNHLGKEKSSEMKSNSIRPPNAIAKTTEDNVDRQQMRTRYAIASIKHPELPERDSDVSGVGNKHVDDAGKHSHEKNVDSRCKHRQITKVSSPTIRSFGQRKPISSRSNSSGTEDGLIDKQRRDRMFSQRRRCSEFESKKNQDQSTDKCDSDYMNTARHSNRHLDRNSNTRFASEHNNSRKHQFSRLSSRSEVPLEVATNGSVGSANTLSRRPMGDQPYRSSCFPLWRRLPRHQEEPPLVDTRNARCLVRDVSPNKEEIMRTLHHEMVDSILYSHPTMQYGPTENDVLPRGSNISPTRWRPPPSHFYGMGSPRRLLSPGRSPEMVMNKQHPALVRHGAPPSVDMPNSPHGHLYFPEGMMVERNALPADMDEMLPVNDLDLPRPGGFLQRNTRRYDFSPHETAADYLGPVHFFADHFIDARNHHDGRDNHEHTTLPYHVDARHIRSSRDFDSQARDRHGNARDRLRSIVEQGEGHRCRGEQGWRDGGLHSERLKKRKP >Ma05_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:609763:617703:-1 gene:Ma05_g01030 transcript:Ma05_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSIPLSQAVDPEDPAKSPPASPGSSTRKACCAVLHSWVSKKFLTGCVVLFPVAVTFYITWWFIQFVDGFFSPLYSKLGFDIFGLGFLTSLVFVFLIGTFVSSWLGATVFWVGEWFIKRMPFVRHIYSASKQISTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSSVVFQSDNGEEELCSVYVPTNHLYIGDIFLVKSEEIIRPNLSVREGIEIIVSGGMTMPQLIAPLERIPSKNQSVRLNRITTQ >Ma11_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6550293:6551938:-1 gene:Ma11_g08210 transcript:Ma11_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGVRRSVTLSEQLAVVNCCNLRDLLKVQDEDEARPSIAVAACGKREASSAAGGAGGGRTLLDIMREEQETSGVVVGGNAGNGINWKSFKDRIRLAWAASSGRPNQMPYPALVVPVRPNAVLAGNSEIPVPASTPGSGAIISEYNPAVAATSPPEEQPSGGTETSGGGESSDRGGTADAATAEEEQQPARVSLMALLEQTDRLWSSGGEGSSPVAAALAAALVAEEDDEWALEDVGSGTMYVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVNRGSCPLCNGYILEILDIF >Ma11_p08210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6550293:6551938:-1 gene:Ma11_g08210 transcript:Ma11_t08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGVRRSVTLSEQLAVVNCCNLRDLLKVQDEDEARPSIAVAACGKREASSAAGGAGGGRTLLDIMREEQETSGVVVGGNAGNGINWKSFKDRIRLAWAASSGRPNQMPYPALVVPVRPNAVLAGNSEIPVPASTPGSGAIISEYNPAVAATSPPEEQPSGGTETSGGGESSDRGGTADAATAEEEQQPARVSLMALLEQTDRLWSSGGEGSSPVAAALAAALVAEEDDEWALEDVGSGTMYVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVNRGSCPLCNGYILEILDIF >Ma09_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31567193:31664057:-1 gene:Ma09_g21020 transcript:Ma09_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGVSIQVRDDHVEIDNGILQLTLSNPEGLITGVRYNGVDNLLEVLNEEGNRGYWDVVWSEPQGSGIFDVIQGTHFEIIHEDENQVEVSFTRNWDPSLQGKLVPLNIDKRFHYMAIADNRQRFMPMPDDRMPNRSQKLAYPEAVLLINPVNPDLKGEVDDKYQYSCEDKDTKVHGWISFDPPIGFWQITPSDEFRSGGPVKQDLTSHVGPTTLAKFLSAHYSGQDLVPKFRNGEYWKKVFGPVFIYLNSTMDGTNRQLLWDDAKLQALTQVGSWPYEFPVSEDFQKSNQRGSVTGRLLVRDKFIDEKEIIGDAAFVGLALPGEAGSWQREFKLTNMIETNSDGVGSWWNKMTHCLG >Ma06_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6761424:6766393:1 gene:Ma06_g09700 transcript:Ma06_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGTESESSSGGAAGRARGLALKALLLLGGALLLRRMRKSTTRWDHARAVADSLSGEKFSREQARNDPDNYFNIRMLMCPAAEMVDGSRVLYFEQAFWRTPHKPFRQRFYMVKPCPKEMKCDVELSSYAIRDVEEYKNFCDRPMDQRPQPEEVIGDIAEHLTTVHLNRCERGKRCLYEGSTPPGGFPNTWNGALYSTSELTVHRNGEIHTWDRGYDDEGNQVWGPKAGPYEFKPATPSSYDDMFSPLNFPPTLSLEKKMDNPFVVSEQ >Ma06_p32240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33174535:33180320:-1 gene:Ma06_g32240 transcript:Ma06_t32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFFVYSLLILLSFHPPPGATSSLTAQEEEQIERFQRYLRIRTAHPDPDYAAAAAFLLEEARSIGLHALAIEFVPGKPLLLISWPGSDPSLPSLLLNSHIDSVPAEPSRWIHPPFAAIRDAGGRIFARGAQDDKSIAVQYLEALRNLKAAGFVPARSVHISLVPDEEIGGADGAARFVASEQFRALNVGFVLDEGQASPTDEFRVFYADRSPWSLIVRAVGAPGHGSRMFDGGAMENLMDCVEAIARFRESQFDQVKSGSKAASEVISVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDVRLPPTTDLSVLKRRIDEEWAPNIKNMTYQLIQKGPIRDNKGRPLATPTDESNPWWSAFKQAVLASGGTLAKPEILSSTTDARFMRQMGIPALGFSPMANTPILLHDHNEFLMDSVFLKGIKVYEHVISALSSLPETSV >Ma02_p05140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16467667:16481576:-1 gene:Ma02_g05140 transcript:Ma02_t05140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAVLGGAVPVPSFQAARKEWRAVTEHSFRSSGVEKQVCVKSGQSAERTIYEVQEGTSLLDVDPCSVTTEGVGELNDDILQQKLQEITRQRERLQQMEIELRARAIARSDILEVQNSFEGQLKEQIDFNANLKEQLHEREQHILELEMKLEEKDRELHAMKIDTEEAWAKEDLLREQNKELVAFRRERDKSEAERAQLLNQIRDLQEHIQEKENQFFALQEQHRVVQETILFKDEQLREAQAWIARVQEMDALQSSTNQSLQAELRERTEQFNQYWMGSQRQFVEMEHYHMQAIQQLQLELAEARGNNRLFIDGLQVTHENSVDSSSYDGNQINVKDDGKSDTHLGFTSNGSVDRTMPHVSASNSSTKTDPLPSVSVVPSSIISMNALIPPGQMSAMHSYVMDPQSVASTNSPIPQSHMGHFQSMPMVPPHQLWQNHQTASDISQIPNQSKFVTSQTEQDILRPDTHSSSNLLGEIQMVHPDQLNSHTDQQQMSGPPGNDSSEKPQNTFTQQPQGTVDAPSHLDSAREFYPPEKKNEPGVEASITADNQSQDQVLESEQRLTSGIMLSASQSSSSISLNGTEESAVSAAPDSSILMPGKPLVEPNLLDERSLLACIVRAVPAGSDGRIRISTTLPNRLGKMLAPLHWHHYKKYHGRLDDFISHYPELFVIEGDFIHLREGAQQIISATAAVAKVAAASASSAPYTSLLHSVAVTPVAQISRQKMAQSIESKVANTMPSAVGAAVTDIGDSSNNCSQILTTQNQQPNGIRVNIIQGLSDVTISSKLKNVQEANGFPSEFQTGHSSFNFSVGSTANLDKTGLSSSQCQGPSNGRHSFGGKQQGRSTGAGLISRR >Ma02_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16467667:16481549:-1 gene:Ma02_g05140 transcript:Ma02_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAVLGGAVPVPSFQAARKEWRAVTEHSFRSSGVEKQVCVKSGQSAERTIYEVQEGTSLLDVDPCSVTTEGVGELNDDILQQKLQEITRQRERLQQMEIELRARAIARSDILEEQLHEREQHILELEMKLEEKDRELHAMKIDTEEAWAKEDLLREQNKELVAFRRERDKSEAERAQLLNQIRDLQEHIQEKENQFFALQEQHRVVQETILFKDEQLREAQAWIARVQEMDALQSSTNQSLQAELRERTEQFNQYWMGSQRQFVEMEHYHMQAIQQLQLELAEARGNNRLFIDGLQVTHENSVDSSSYDGNQINVKDDGKSDTHLGFTSNGSVDRTMPHVSASNSSTKTDPLPSVSVVPSSIISMNALIPPGQMSAMHSYVMDPQSVASTNSPIPQSHMGHFQSMPMVPPHQLWQNHQTASDISQIPNQSKFVTSQTEQDILRPDTHSSSNLLGEIQMVHPDQLNSHTDQQQMSGPPGNDSSEKPQNTFTQQPQGTVDAPSHLDSAREFYPPEKKNEPGVEASITADNQSQDQVLESEQRLTSGIMLSASQSSSSISLNGTEESAVSAAPDSSILMPGKPLVEPNLLDERSLLACIVRAVPAGSDGRIRISTTLPNRLGKMLAPLHWHHYKKYHGRLDDFISHYPELFVIEGDFIHLREGAQQIISATAAVAKVAAASASSAPYTSLLHSVAVTPVAQISRQKMAQSIESKVANTMPSAVGAAVTDIGDSSNNCSQILTTQNQQPNGIRVNIIQGLSDVTISSKLKNVQEANGFPSEFQTGHSSFNFSVGSTANLDKTGLSSSQCQGPSNGRHSFGGKQQGRSTGAGLISRR >Ma02_p05140.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16471853:16481576:-1 gene:Ma02_g05140 transcript:Ma02_t05140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAVLGGAVPVPSFQAARKEWRAVTEHSFRSSGVEKQVCVKSGQSAERTIYEVQEGTSLLDVDPCSVTTEGVGELNDDILQQKLQEITRQRERLQQMEIELRARAIARSDILEVQNSFEGQLKEQIDFNANLKEQLHEREQHILELEMKLEEKDRELHAMKIDTEEAWAKEDLLREQNKELVAFRRERDKSEAERAQLLNQIRDLQEHIQEKENQFFALQEQHRVVQETILFKDEQLREAQAWIARVQEMDALQSSTNQSLQAELRERTEQFNQYWMGSQRQFVEMEHYHMQAIQQLQLELAEARGNNRLFIDGLQVTHENSVDSSSYDGNQINVKDDGKSDTHLGFTSNGSVDRTMPHVSASNSSTKTDPLPSVSVVPSSIISMNALIPPGQMSAMHSYVMDPQSVASTNSPIPQSHMGHFQSMPMVPPHQLWQNHQTASDISQIPNQSKFVTSQTEQDILRPDTHSSSNLLGEIQMVHPDQLNSHTDQQQMSGPPGNDSSEKPQNTFTQQPQGTVDAPSHLDSAREFYPPEKKNEPGVEASITADNQSQDQVLESEQRLTSGIMLSASQSSSSISLNGTEESAVSAAPDSSILMPGKPLVEPNLLDERSLLACIVRAVPAGSDGRIRISTTLPNRLGKMLAPLHWHHYKKYHGRLDDFISHYPELFVIEGDFIHLREGAQQIISATAAVAKVAAASASSAPYTSLLHSVAVTPVAQISRQKMAQSIESKVANTMPSAVGAAVTDIGDSSNNCSQILTTQNQQPNGIRVNIIQGLSDVTISSKLKNVQEANGFPSEFQTGHSSFNFSVGSTANLDKTGLSSSQCQGPSNGRHSFGGKQQGRSTGAGLISRR >Ma02_p05140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16467667:16479510:-1 gene:Ma02_g05140 transcript:Ma02_t05140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDTEEAWAKEDLLREQNKELVAFRRERDKSEAERAQLLNQIRDLQEHIQEKENQFFALQEQHRVVQETILFKDEQLREAQAWIARVQEMDALQSSTNQSLQAELRERTEQFNQYWMGSQRQFVEMEHYHMQAIQQLQLELAEARGNNRLFIDGLQVTHENSVDSSSYDGNQINVKDDGKSDTHLGFTSNGSVDRTMPHVSASNSSTKTDPLPSVSVVPSSIISMNALIPPGQMSAMHSYVMDPQSVASTNSPIPQSHMGHFQSMPMVPPHQLWQNHQTASDISQIPNQSKFVTSQTEQDILRPDTHSSSNLLGEIQMVHPDQLNSHTDQQQMSGPPGNDSSEKPQNTFTQQPQGTVDAPSHLDSAREFYPPEKKNEPGVEASITADNQSQDQVLESEQRLTSGIMLSASQSSSSISLNGTEESAVSAAPDSSILMPGKPLVEPNLLDERSLLACIVRAVPAGSDGRIRISTTLPNRLGKMLAPLHWHHYKKYHGRLDDFISHYPELFVIEGDFIHLREGAQQIISATAAVAKVAAASASSAPYTSLLHSVAVTPVAQISRQKMAQSIESKVANTMPSAVGAAVTDIGDSSNNCSQILTTQNQQPNGIRVNIIQGLSDVTISSKLKNVQEANGFPSEFQTGHSSFNFSVGSTANLDKTGLSSSQCQGPSNGRHSFGGKQQGRSTGAGLISRR >Ma02_p05140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16467667:16481548:-1 gene:Ma02_g05140 transcript:Ma02_t05140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAVLGGAVPVPSFQAARKEWRAVTEHSFRSSGVEKQVCVKSGQSAERTIYEEGTSLLDVDPCSVTTEGVGELNDDILQQKLQEITRQRERLQQMEIELRARAIARSDILEVQNSFEGQLKEQIDFNANLKEQLHEREQHILELEMKLEEKDRELHAMKIDTEEAWAKEDLLREQNKELVAFRRERDKSEAERAQLLNQIRDLQEHIQEKENQFFALQEQHRVVQETILFKDEQLREAQAWIARVQEMDALQSSTNQSLQAELRERTEQFNQYWMGSQRQFVEMEHYHMQAIQQLQLELAEARGNNRLFIDGLQVTHENSVDSSSYDGNQINVKDDGKSDTHLGFTSNGSVDRTMPHVSASNSSTKTDPLPSVSVVPSSIISMNALIPPGQMSAMHSYVMDPQSVASTNSPIPQSHMGHFQSMPMVPPHQLWQNHQTASDISQIPNQSKFVTSQTEQDILRPDTHSSSNLLGEIQMVHPDQLNSHTDQQQMSGPPGNDSSEKPQNTFTQQPQGTVDAPSHLDSAREFYPPEKKNEPGVEASITADNQSQDQVLESEQRLTSGIMLSASQSSSSISLNGTEESAVSAAPDSSILMPGKPLVEPNLLDERSLLACIVRAVPAGSDGRIRISTTLPNRLGKMLAPLHWHHYKKYHGRLDDFISHYPELFVIEGDFIHLREGAQQIISATAAVAKVAAASASSAPYTSLLHSVAVTPVAQISRQKMAQSIESKVANTMPSAVGAAVTDIGDSSNNCSQILTTQNQQPNGIRVNIIQGLSDVTISSKLKNVQEANGFPSEFQTGHSSFNFSVGSTANLDKTGLSSSQCQGPSNGRHSFGGKQQGRSTGAGLISRR >Ma11_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22016329:22016592:-1 gene:Ma11_g16490 transcript:Ma11_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVFSPKINEPCLWSETSHPAPVGL >Ma06_p32340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33266584:33280409:1 gene:Ma06_g32340 transcript:Ma06_t32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKESSTVPAATALAGSKDGPVSDESRLSDARGLAKEAAFLFQSRRLQECIDVLNQLLQKKHDDAKVVHNILVAEHYRDGCSDPRNLLDVLCKVKEQSEALAHSSGKQVECGNGSGNVMISGSKVNNASLQQISAINGGRILYAEECGTSVIMLNIAVVLYHVHEYAHALSVLEKLFQNIEPVDERIALNVCLLLLDIASACQDVSKAADVIQYLEKSFGIGHVGQGDCGSSIQQQTNPGLKVASTSNIPAPDVSFAESSINGNVPENTDETVEYETLYSELDTGAENLERLILTDNLKLSADQAASAIDMKLNLHLYKVRLLLHARNLKAAKREIKLAMNIARFGDSSTALLLKSQLEYARGNHRKAIKLLMTSSNRSEPGMLCIFNNNMGCIYHQLGKHHTSIFFFSKALECTVSLRSEKPLKLSNFSQDKSLFIMYNCGLQYLLRGRPLVAAQCFDKTQPIFCNRPILWLRFAECCLSALEKGVPRKSGSSLSDEEEVKVHVVGSGRLRRLVIDGFSSAHKYIDRSVEDGLITSDGQHRLSLPFARRCLLNALYLLNNNGKVESSASFSRNEDDDSNQETSASAKNWNHNNVLAGDLKASSAASASMPVSNGDFKETKGGVILNMTLQSALSSYEEICRKENNMIRQAVLGNLAYVELSLGNPLKALSAAKELRQLSDCSRMYIFLSHVYAAEALCYLNRPEEAAEYLSFYVLEENDVQLPYSDKEREKWRIDRNGDGDELNGQHNAKTSEEFQGMMFMKPEEARGVLYVNLAAISAVQGNVEQASLLVKKALSALPTNPRAVLAAIYVDLLSGRTPDAVVKLRQCRHVRFFPAHVTMGSK >Ma09_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3464598:3464767:1 gene:Ma09_g05380 transcript:Ma09_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDAQSPLSAPTNATSDITVFALLPSLTRYVP >Ma08_p11520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8528039:8531822:-1 gene:Ma08_g11520 transcript:Ma08_t11520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQEQGGSQFGAPPQSETPTIAAAGAAARAHVLQTQLSELAEAASPISSRPPPTAAPAAGFDELGPAMGGGFPDDEALGAGEEAERGGAPGNRWPRQETLALLKIRSDMDAAFRDATFKGPLWEEVSRKLAELGYKRTAKKCKEKFENVHKYYKRTKEGRAGRQDGKAYRFFSQLEALHGSGGGGANTTAATPLAAASPTTFSFATGTAGPPADRIQSSPITAPAPSPVAMPARVAPEFGPRGISSSAAAAAAAGGISFSSNSSSSSSSESDDEETQVAGGSREGRKRKRRGGSRSRRRMMAFFEGLMQQVMERQEAMQQRFLEAIEKREQDRMKREEAWRLQEMSRLSREQELLVQERAVAASRDTAVISYLQKISGQTIPLPAMPATSIAPLPQSSYTPPQQQQPPSKPQHHTQVTDVARHQSSSATQLVPISSEPQEGVGGGGFEPPSSSRWPKAEVHALIKLRSGLDSRYQEAGPKGPLWEEISAGMRRLGYNRNAKRCKEKWENINKYFKKVKESSKNRPEDSKTCPYFHQLDALYRKKLFGSSSGSGGGGSITVGIPQQQEQEANRSPTSEEQHRQQPPAEAPGKSGNDNGNGNGGNAEAGGGPAGICAQTSNGGPPPSFFDEGMKKPEDIVKELMDQRRHQPVMGDYDKMDEPDSDNLEDDDDDDDDDNDDDDDEDRKMQYKIQFQRQNVSGGGGGNSSTTATAPGSFLAIVQ >Ma08_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8527957:8531834:-1 gene:Ma08_g11520 transcript:Ma08_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQEQGGSQFGAPPQSETPTIAAAGAAARAHVLQTQLSELAEAASPISSRPPPTAAPAAGFDELGPAMGGGFPDDEALGAGEEAERGGAPGNRWPRQETLALLKIRSDMDAAFRDATFKGPLWEEVSRKLAELGYKRTAKKCKEKFENVHKYYKRTKEGRAGRQDGKAYRFFSQLEALHGSGGGGANTTAATPLAAASPTTFSFATGTAGPPADRIQSSPITAPAPSPVAMPARVAPEFGPRGISSSAAAAAAAGGISFSSNSSSSSSSESDDEETQVAGGSREGRKRKRRGGSRSRRRMMAFFEGLMQQVMERQEAMQQRFLEAIEKREQDRMKREEAWRLQEMSRLSREQELLVQERAVAASRDTAVISYLQKISGQTIPLPAMPATSIAPLPQSSYTPPQQQQPPSKPQHHTQVTDVARHQSSSATQLVPISSEPQEGVGGGGFEPPSSSRWPKAEVHALIKLRSGLDSRYQEAGPKGPLWEEISAGMRRLGYNRNAKRCKEKWENINKYFKKVKESSKNRPEDSKTCPYFHQLDALYRKKLFGSSSGSGGGGSITVGIPQQQEQEANRSPTSEEQHRQQPPAEAPGKSGNDNGNGNGGNAEAGGGPAGICAQTSNGGPPPSFFDEGMKKKTL >Ma03_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27741600:27745105:-1 gene:Ma03_g23090 transcript:Ma03_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWNWIPLFWKGDKVSSRGFHSSSTAEEVTEGIDASQLTAIVTGATSGIGKETARVLALRGATVVIPCRSLESGGKVKESILEQNADAKIHVMEMDLSSLDSVESFARSFNSSYERLNILINNAGIMACPFQLSKDGIEMQFATNHLGHFLLTNLLMNKMIVTAEETGIQGRIVSVSSLGHSSKFDESWFNLEKINDQSNYGPFAAYSHSKLANIWHANELSRRLQEKGCNITANSLHPGAIHTNLCRYLNISSFILDAIAVATKPFLKSIPQGASTTCYLALHPDMKDVTGKYSGDCNETLPSAVARDEELSIKCWEFSQQLLNNLRGPIVVH >Ma07_p10290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7680494:7682973:-1 gene:Ma07_g10290 transcript:Ma07_t10290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLLTTLSMENHHPSTLLSMDPSGGLLLSSSHEDSDRELLIQRHQVVLSGAPDINLPLSAERSPSQQSWNSDPFDMLDVGLGPQLYDAEATLHIPKVTAARKCTKRGDSIWGAWFFFSFYFKPILSEKSKGKVIWDENGVSGFDKSDVRHDVFLVQHDMENMYMWIFKERPENALGKMQLRSYMNGHSRLGEPQFPISVDRGFVRSHRMQRKQYRGLSNPQCVHGIEVIRCPNLMVVCELDRKKWVELTGRDLNFSIPLEASDFELWRNLTNTEFEIERPPLKNISHPHPKKSLNVSSLNLSSQSNHSNGDGMDLCPVCSKRRKDFFPHVMHEDFCLPANPHAERGQDVEMHEVEPSWLNEFTGVMKHAYGPVTAAKTIYEDDEGYLIMVSLPFADQQRVKVSWRNNLTHGILKIICVSTARMPYIKRHDRTFKLTDPSPEHCPPGEFIREIALPTRIPEDANLEAYYDETGALLEIMVPKHRVGPEEHEVHVCMRPPHLGANDLLLT >Ma07_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7680494:7682794:-1 gene:Ma07_g10290 transcript:Ma07_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLLTTLSMENHHPSTLLSMDPSGGLLLSSSHEDSDRELLIQRHQVVLSGAPDINLPLSAERSPSQQSWNSDPFDMLDVGLGPQLYDAEATLHIPKVTAARKCTKRGDSIWGAWFFFSFYFKPILSEKSKGKVIWDENGVSGFDKSDVRHDVFLVQHDMENMYMWIFKERPENALGKMQLRSYMNGHSRLGEPQFPISVDRGFVRSHRMQRKQYRGLSNPQCVHGIEVIRCPNLMVVCELDRKKWVELTGRDLNFSIPLEASDFELWRNLTNTEFEIERPPLKNISHPHPKKSLNVSSLNLSSQSNHSNGDGMDLCPVCSKRRKDFFPHVMHEDFCLPANPHAERGQDVEMHEVEPSWLNEFTGVMKHAYGPVTAAKTIYEDDEGYLIMVSLPFADQQRVKVSWRNNLTHGILKIICVSTARMPYIKRHDRTFKLTDPSPEHCPPGEFIREIALPTRIPEDANLEAYYDETGALLEIMVPKHRVGPEEHEVHVCMRPPHLGANDLLLT >Ma10_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31496188:31502351:-1 gene:Ma10_g21920 transcript:Ma10_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKGVAIDDEEGSAGVKEDALGLKLRRGVTVGKKGGLCTPVPTWKLGDAGSADADAGEPKRSSVSARKLGANLWEIQDLMPVSVMSRRGAKIRRHADGKALDDGPDRLAVRHEDLPQSAGSLRRQVAASLVKHYKLNERNSRALQPVSPASYSSSMEIAAISEAITPSSSVNLKGKLGEAGYSLQTSTELLKVLNRIWSLEEQHACNVSLVKALKVELEHAQARIQELMQEQHVYRHEMDDLMKQVAEDKLIRKNKEQQKIKAAVQSIRDELEDERHLRRRSESLHRKLGKELSEVNAAYMKAVKDLERERKTNSPLEDLCDEFAKAVTDYEQEVRELKQKSQVACDHKVDRLVLHISEAWLDERVQMNIAEDQGDLAEKTTITDRLSSEIESFLRARRSRAFGNDRLYRKDEKKDTNMRRQSLESVHLNGTTSAPHDADDDDDSVASEMHCFELNMATRGNGSRDQPKQNTQNGIEKLDSKRTSTLPGEKIGCSESKDDSSEYGEQMDKTKLRGSKVQLFDRVQRVHSPTDAESDKVAVDHIELSRAKKSDYRCSQEHGHDTKLTWARWRGSRHLTANSLRYLSEISECYPEDHGNHHRESSHNHLLWRTQLGSAGGGDTDSGDVHQITSPVRQWKYQISSPNQVVAPESSSKSAQGSKEGTLKAKLLEARLEGKHTRLKSLRRSSVARARQ >Ma02_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28712129:28716230:1 gene:Ma02_g23900 transcript:Ma02_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGALFRFLAMNFDVLAGPLVTLAYPLYASVRAIESKSPVDDQQWLTYWVLYSLLTIFELTFAKIIEWLPFWPYAKLTFSCWLVLPYFSGAAYVYERFVRPLVLNHQTVNIWYVPPDKGIFSKPDDVLVAAEKFIEENGPEAFEKLINKAGGGPKSKKSHKRVTFNEGEAERESKSGKGNKHVRFSKLNAEKESKSSKSNRFVTFAETEHEKDSRTWMDSSLTIFDDGSRYWN >Ma01_p08300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5949973:5954937:1 gene:Ma01_g08300 transcript:Ma01_t08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDVDFSNPEVFSGPSAGEDLPSSCSMDSFFDNIFNESQQHSCTHTHTCNPPGPDLSHTHTCLHVHTKILTAAADETAESVEKNSSTKKRSCGNREAVRKYREKKKAHAASLEEEIAQLRLINQQLVKRLQNQAALEAEVARLRCLLVDLRGRIEGEIGTFPYQKPVKGSGDIGSNAFQANLLGDAQVLNSCGFRCDDQVSCLHPGMQGKNIGENGAFNGQGVRHCEIGNIQCMGSSTSGSKNFFGCGRGPARQVDCSSNTTKIEGAHAAEDL >Ma07_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10701637:10705521:1 gene:Ma07_g14270 transcript:Ma07_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPTSSPSTPPGSSPSATPSAPSPSASAAPPPTSPPPLATPPSSPPPSPSPPSASPPPSSASGSPPPPPAIPSASPPPPHSGRSSPSPPYPPPPKTPSTRSPPRPPSSSSSSISTPLVVGVAVGGVAFLLLLSFMCVCCWKKKRQPPPPLPHYYGAPSPPPPGRKDERYGEYWQHNAPLPADHAVKLPPGPPPPFASRPPHSPGHLPPPPPPPMISSSGGSGSNYSGSEAPLPPPPPGVALGVSKGTFTYEELALATDGFSDANLLGQGGFGYVHRGVLPNGNEVAVKQLKTGSGQGEREFHAEVEIITRVHHKHLVSLVGYCISGGKRLLVYEYVPNNTLEFHLHGRGRPTMEWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKSANILLDYKFVPKVADFGLAKFASDNKTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGRRPVDSSQSFMDDSLVDWARPLLARALEDGNYDALVDPKLGENFNPNEMAHMIACAAACLRHLARRRPRMGQIVRALEGDVSLEDLNKGIRPGHSRLYSSYDSSGSDSGRYNEVMKKFRKMALMAQEYASSEYNAPTNPSASSGEDQHTQETEMGKKERPPWL >Ma03_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14788444:14789605:-1 gene:Ma03_g15000 transcript:Ma03_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGRVGASWPALNFCNPVPSAPPVSTLPMPSKSRDVMALVAAATGGGLGSSRGGAGLLERPTFDQSQFDPLPEAQEGGDIGRLASRKGLGSGDSYKVLLIDDPRHTEKLVENVLPQVVPSVTADGARQLFRESRQLGVAVVIVTVKEHAEFYAQMMVRRGLRSAIEPDSNLEA >Ma07_p17320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17159974:17171057:1 gene:Ma07_g17320 transcript:Ma07_t17320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MESSEDDDDFPTHEWITPQSSINSIYQSHTEKGIRKVCSELLELKDAVENLSGNMQSKYLAFLRLSEEVIEMEQELMELQKHVSAQGILVQDLMSGVCRELEVWNKCNSEEPDSEEELTEINRLLHNDLEDPKITFLDTIDVLLAEHKVEEALLAIITEESNSPELHDLEGNPSADGSSYRLAFLKKKEMLVDQIVRIAEQPYICTAELRKAVSGLAKLGKSSLALKLMLNAYDSRLQKNIEAFLPSCSIYSETYTAILSQLVFSTISVATKESTLIVGDMSTYMNRIVQWAEDEIESFVHLVKENSPSPETAAALRSASVCSQASLSHCSLLESQGLKFSKLIMVLLHPYIDEVLDMNFRRARRRIIDLTRNENVALMSSQLDSLLSVTTPSNIIFSSIGKKFMSIVEDILDKLTPMVVLHFGRTILSKLLQLFDKYVELLIKALPGPSEDDNLIEQRESEDYRAETDAEQLGLLGTAYTVALELLPMAVSKIITPQIENKEVGGGSSESISIVAVSSVEYKDWRRQLQHSLEKLRDHFCRQYVLTFIYSREGKARLDARMYLEGKGDDLFWDSDPLPSLPFQALFARLQQLASVAGDVLLGKEKIQKILLSRLTETVVMWLSEEQEFWDVFKDDSAQLQPLGLQQLILDMHFIVEIAVCGGYSSRNVHQLVSAVITRAIGAFSAKGIDPQSALPEDEWFVDAAKTAISKLMLGTSESEMSEPDEHMVVNSEISDSDESLSSPSIIESVDSFASANMGETDSPVYFTDPEA >Ma07_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17159983:17171015:1 gene:Ma07_g17320 transcript:Ma07_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MESSEDDDDFPTHEWITPQSSINSIYQSHTEKGIRKVCSELLELKDAVENLSGNMQSKYLAFLRLSEEVIEMEQELMELQKHVSAQGILVQDLMSGVCRELEVWNKCNSEEPDSEEELTEINRLLHNDLEDPKITFLDTIDVLLAEHKVEEALLAIITEESNSPELHDLEGNPSADGSSYRLAFLKKKEMLVDQIVRIAEQPYICTAELRKAVSGLAKLGKSSLALKLMLNAYDSRLQKNIEAFLPSCSIYSETYTAILSQLVFSTISVATKESTLIVGDMSTYMNRIVQWAEDEIESFVHLVKENSPSPETAAALRSASVCSQASLSHCSLLESQGLKFSKLIMVLLHPYIDEVLDMNFRRARRRIIDLTRNENVALMSSQLDSLLSVTTPSNIIFSSIGKKFMSIVEDILDKLTPMVVLHFGRTILSKLLQLFDKYVELLIKALPGPSEDDNLIEQRESEDYRAETDAEQLGLLGTAYTVALELLPMAVSKIITPQIENKEVGGGSSESISIVAVSSVEYKDWRRQLQHSLEKLRDHFCRQYVLTFIYSREGKARLDARMYLEGKGDDLFWDSDPLPSLPFQALFARLQQLASVAGDVLLGKEKIQKILLSRLTETVVMWLSEEQEFWDVFKDDSAQLQPLGLQQLKLRFVGDTHPETSISLSQLL >Ma07_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12995384:13009408:1 gene:Ma07_g16240 transcript:Ma07_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVESGMVVPGEQTPEGSIWLSNLDLLVIRAHTPVVYFYHPGGDSGFFSVQLLKAALAKALVPFYPLAGRLGFDSDGRLEIKCTGEGVLFVVARSDSTLEELGELAPSAEMNRLFVPNVESDEPPLCMFQVTFFKCGGVCLSTAVHHTAADGLSALCFVNAWSDIARGAELTVNPCLDRTLLRARSPPQVLFDHPEYVHRQVQQPTPSATVPSPVASAILTLSKDQLCRLKSRGKGVRPLSTFKAVVAHVWRCSCKARELADDEETRVYVIGDARTRMRPPLPEGYVGNAVFRTSVTARVAEVLSSPFEFGADKIHDAIARLDDDYVRSLIDYMEVSDVSGSITGRWRWSGADLWVVSWLGLPTHGADFGWGKPMYMSQASVVCGLVFVAHSPKDDGGVAVVLGLQQESMPRFKKAFYDNLETVEGAYRFDGMNFTRWQDKMKFMLTALNIFYVLDPNLQPIPDPTDDHTDEVKAEQKKRIEDEVMCRGYILNALSDRLYDLYTMEPSAKAIWNTLQFKYQAEEEERERVMEVEIVESSFVVPSEDTRKHSIWLSNLDLLLAPSSHTPTITLFRHNGDPNFFSVEILKAALAKALLHFYPLAGRVAVGEDGRLEIQCTGEGVLFVVARSTCTLDVLNDFTPSEEMRQLFVPSSDGNDHMCIMLQVTFFECGGVCLGIAAHHGVVDGVSALHFINTWSDIARGAEGTVVVSHDRTLLRARSPPTVPLEHFEYQCCNPRRQLSTTMAAKLKLSKDQITSLKTSVELGSGRRISTFEAVTAYVWRCACKARQLEADQETRLYLPVDVRSRLKPSLPPGYFGNAIVRTSVAVATGEIVSSPLQRTVEQMHDAVVRVDDEYIRSIIDLLELMKDIRDMDLGSWQESQNDLWVASWLRLPVYEADFGWGKPAFMARAFLNLKGMLYILRSPEGDGGITLIMTLEPENMPRFKKVFIQELDCSKQAGA >Ma11_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5428847:5436898:1 gene:Ma11_g06770 transcript:Ma11_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MAVAAPQVTVPFLSPPPPSSSSSSVAYGSTSILLSRSRASSALRLRRTGPAATRFRCAAGQTGFFTRLGRLIKEKAKSDVEKVFSGFSKTRENLAVVDELLLYWNLADTDRVLDELEEALLVSDFGPRISFKIVDCLREDILAGKLKSGSEIKEALKRSVLQLLTSKGNKSDLQLGFRKPAVIMVVGVNGGGKTTSLGKLACRLKNEGVKVLLAAGDTFRAAASEQLEVWAERTGSEIVVAEGDKVKAASVLSQAVRKGKEQGYDVVLCDTSGRLHTNYSLMEELVACKKAVAKVIPRAPNEILLVLDGTTGLNMLPQAREFNEVVGITGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVDDLQPFDAEAFVDAIFS >Ma11_p06770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5428847:5436898:1 gene:Ma11_g06770 transcript:Ma11_t06770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsY homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45770) UniProtKB/Swiss-Prot;Acc:O80842] MAVAAPQVTVPFLSPPPPSSSSSSVAYGSTSILLSRSRASSALRLRRTGPAATRFRCAAGQTGFFTRLGRLIKEKAKSDVEKVFSGFSKTRENLAVVDELLLYWNLADTDRVLDELEEALLVSDFGPRISFKIVDCLREDILAGKLKSGSEIKEALKRSVLQLLTSKGNKSDLQLGFRFCIYILLFMNSCLIVHCSYWVEFKLPKNLSFFATRKPAVIMVVGVNGGGKTTSLGKLACRLKNEGVKVLLAAGDTFRAAASEQLEVWAERTGSEIVVAEGDKVKAASVLSQAVRKGKEQGYDVVLCDTSGRLHTNYSLMEELVACKKAVAKVIPRAPNEILLVLDGTTGLNMLPQAREFNEVVGITGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVDDLQPFDAEAFVDAIFS >Ma01_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16159800:16171699:1 gene:Ma01_g19980 transcript:Ma01_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMLGGAGASRTSYQRYPRVRIREMKDDYLKFELRDTDASMANALRRVMLAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSDDAMSMRFSRDCDACDGDGQCEFCSVEFFLSVRCDSDQTLDVTSADLQSTNPRVCPVDVAANALSSAADGYESAEQKGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPQIHINEDLMETLTLEDKRSWVESSPTKVFGIDPNTQQLVVIDAEAYTYDDEVIKKAEAMGKPGLIEIYPKEDSFIFTVESTGAIKASHLVINAIDILKQKLDAVRLQDEESDMKELTSHLGNL >Ma10_p02370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7767969:7770253:-1 gene:Ma10_g02370 transcript:Ma10_t02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAWDEKSRVEKSQDDEEKWVCDSSVDHKGRVPRRASTGCWKASLFIIAIEFGERLCYFGLATNLIIYLTRVLHQELKTAAKNVNYWSGVTSMMPLVGGFVADAYLGRFSTVLLSTLIYIGGLGLLTMSQTVPRLKPCDASGACGRSLRLHKVIFFVAMYLISGGTGGHKPSLESFGADQFDDNHAEERKQKMSYFNWWSFALCSGLTLGVTVVVYVQDAVSWWLADVVLTAVMCFCFVVFLAGRPFYRYRAPEGSPFTPMLQVVVAAMAKRHLPLPSDAAELYEVPKTLPLQSDKRLLCHTSKLRFLDKAAIVEHKDDEEAFATEKLNPWRLATVTQVEELKLILAMVPIWLTALPLGICISQTATFFIKQASTMNRSLGGSFEIPAASVYAFSAIAMIISVTFYDTILEPSLRRATGRERGISILKRIGIGFAFSVAAMVSAALVERKRLRVAEAEQSSVISMSVFWLVPQFMIMGFGDGFALVGMQEYFYDQVPDGMRSLGIGFYLSVFGVSNFLSSLLITVVDHITSRGEKGSWFAKDLIRSRLDCYYWLISAMSAVNLCGYVYIATRYSYKRVQRKVGVVNSPEADV >Ma07_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5274853:5281714:1 gene:Ma07_g07220 transcript:Ma07_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMVYLIVKPFGLVQIFFLLGVRTVALPTLVFWQLLKLIINFHVDLCWHFLILGITFMTLPMRMLTALKNEVKLERLLNEMQIQLENLIWENKELEERLLIATDDRKVIEMILKEIEEEHEKAVTRIDLLENELQELKEENMRSNEVQGKTLWNSKSHYEKDVRSRESILIGTDYGSTSMHPSYNRRGLSLSDPEEPHEKVFSRNFTIGEALRQQRVVVLSRSLFSSFLSLLVGMIIWEAEDPCVPLVVALFTVVGISLGSVLEFFSTIRNRPASDAVALLSINWFILGTLSSRTLPVIAHMLAPSAIRIAVQLVGWLGFSV >Ma10_p28210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35176050:35180287:-1 gene:Ma10_g28210 transcript:Ma10_t28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVVFSPKLALFLLLHGILFLPCMGSSASTSNETDLLALLSFKASIAIDPSGVLSSWNGTSNFCKWPGISCGGRLHPDRVAALDLDSLNLTGTISPSITNLTFLRRLHLAYNKLYGSLPQDLGRLSRLQFLNLSYNSLEGNIPDSITRCSKLRCICLGANQLEGQIPAGLSNCTELQIISLRANKFHGTVPIELSTLPKLVRLILGTNNLTGTIPPSLGNLTTLSIFDLADNNLEGGIPETLGRLIGLGSFQVCINQLSGVIPPSIYNLSSIWHFHVAINQLSGTLPPDIGHAFPKLRYLFMYENQFEGPLPVSLSNASSLVRIQLAGNRFTGPVPSNLGALQDLVELLLAANHVEDGEANGWSFLRSLTNCTKLEILDLYGNRLQGRFPISVSNLSTTLQKLSMNRNQISGNIPDGIGNLVGLTSLYLEENQLTGPIPAIIGKLQRLHVLSLHQNKLSGQIPFSLGNLTLLNRLTLDGCQLDGTIPESFGNLKNLELLDLSFNRLTGTIPKEVVSLSSISRYFAVSSNTLFGSLPAEVGSFKNLQLLDVSENKLSGSIPSTLGECQLLMYLYMGGNDFEGTVPPSLSSLKGVQELDFSRNNLSGNIPKFLETLSYLLLLNLSFNNFEGEVPAGGIFSNMTAVSLEGNNKLCGGNPGLHLQACPIKAPSRRNRTLRRTIIISVASGTLCLLALICFLIARRYQQISSRKSSSDASFLKKYPQYSYSELFKATDGFSQANLMGVGSFGSVYKGTLDEEEKVVAVKVLNLQQKGASRSFMAECEVLRNIRHRNLVKIITACSSMDFNGNDFKALVFEFMPNGSLDEWLHSEGRGRRAEGSLNFIQRLNICIDIASALDYLHHGGTNPIVHCDLKSSNVLLDNDMCAHVGDFGLSCFLSRSQSASSRKPNSSSRIIGTVGYVAPEYGIGSQVSVEGDIYSFGILLLEMFTGRRPTDEIFNEGYGLHKYVEMAFPEGVMEIIDPHLHFRRENGEDTEPSNCSTNATQFQKYLVSIIGLAISCSRDLPRERMKTNDVIKQLCAVRDECIAEYTS >Ma06_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4677493:4691265:-1 gene:Ma06_g06470 transcript:Ma06_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGARENGGEAAAGRLAAVTEEAEAEAVGSSLTMERVAAAKKFIENHYRNQTKNIKERKERRWFLERKLASSKVSKEEQINMLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSEMVTRGQVEHVIAERNLLAEVASHCIVKLYYSFQDTEDLYLIMEYLPGGDMMTLLIREDTLTETVARFYIAQSVSAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCLKLSTLNEDELLSDENLRESMDVDGFSYTNNDSGWKSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLIFPEDARLSPEAKDLICRLLCDVEHRIGSRGADQLKAHPWFKDIIWDKLYEMEAAFKPEVNGELDTQNFLKFDELDPPTPARTGSGLTRKKLLNAQDLSFVGYTFKNFDAVKGLHQPTDNSVMKSEKRSTEGKSDVDMVASGDAVAP >Ma06_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17239447:17240210:1 gene:Ma06_g22160 transcript:Ma06_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDQRELRLLLSSSTRPPSHAPKSSAGTDTSDHPLLDLNLSMSISTLQPSATEESHGYARSLQLLKQQTAEQIRLAAVENAYAEQIRELTRRELELAEQEFARAQLVWERAREEVKKAERMKEIATRRINSACLEITCYSCHQRFRP >Ma04_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22324847:22327113:-1 gene:Ma04_g19710 transcript:Ma04_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMLLPPGFRFHPTDEELVGYYLKRKVEGLKIELEVIPVISLYKFDPWELPEKSFLPKRDLEWFFFCSWDRKYPNGSRTNRATASGYWKATGKDRKIACEPSAFGLRKTLVFYLGRAPGGQRTVWIMHEYRLCEDLFHGSSNFLGAFCLCRVIKRSGNWLKRSSNRQEEPKAEKYPSNMFDFNRKRNIDEIFNGTEESSSLDLFDRSSGSSVINSPEIARWVEPDPIITVPSVPDASKASSEMYYTEEAFAINDRGTKCLPSFSSPVHCMEMCRNWEDITFQSLELDASSYGAEAFNATIPPPICRQGSEGEEANLWLQEDNIVTVM >Ma10_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28366909:28368687:-1 gene:Ma10_g16790 transcript:Ma10_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPLFTFPSCFAPGERLPEDPTTAAATKSGQSIVTSIYRTKIAGHCRLITVTWCRDVLVRGLSVSVDDEGSGSGTESCGGGGGSQLVCFKVEMRPWHFWRKHGSRRFQVEGKAVDVLWDLRSAKFSGEPEPQSGFYVAVASDHEVVLLLGDNKKEAYRKTWCRPATIDATLVSRKEHVFGRTRFVTRARFQDKDRPHEIAIEYSSSSSGCSIGGNIDPEMVVKIDGSVAIHVKHLQWKFRGNECITVSRARVEVYWDVHDWLFSPGLRHAMFIFKPIALLSSSMPLSSSSSSTVDKHIALMTGSSGFCLFLYAWKLD >Ma06_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21264412:21267868:-1 gene:Ma06_g23330 transcript:Ma06_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVGGELGRRAELYEGRITGYFILACVVGSLGGSLFGYDLGVSGGVTSMDDFLKEFFPRVYRRKQAHLHETDYCKYDNQVLTLFTSALYFAALVSTFGASPVTRKYGRRISIMCGSVSFFLGGAINAGAVNIFMLIVGRILLGIGIGFGNQAVPLYLSEIAPPKIRGAVNQLFQLTTCLGILVADIINYFTEKLHPWGWRLSLGLATVPATLMFVGGVFLPETPNSLVEQGKLDEARLILEKVRGTKQVDAEFEDLKEASQAARAVKHPFRNLLEPRNRPQLVIGALGIPAFQQLSGMNSILFYSPVIFQSLGMGSGASLYSSIITSSMLVIGALVSMSVVDRMGRRFLFIEAGIQMITSMVIVAIILALKFGHGVMLPKGLAVILVIAICAFVVAYGWSWGPLGWLVPSEIFPLETRSAGQSVVVCVNMFFTAAIAQCFLAMLCHMRWGVFILFAGLIVIMSSFVILLLPETKQVPIEEMSRLWEKHWFWKSIVARNPA >Ma09_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27782755:27783028:-1 gene:Ma09_g20090 transcript:Ma09_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTRILILDRCCCCDCCCCDNTSVPFILLKSAEC >Ma08_p34360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44396501:44396758:-1 gene:Ma08_g34360 transcript:Ma08_t34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAELKMPRDGHALGITREVKSSTEANGWTDDEKKEEGFRTSTAIAKAAVGRWRRGGAIPSLSATTRRDKKYRWLEEAKETNKE >Ma05_p30780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41026838:41029627:1 gene:Ma05_g30780 transcript:Ma05_t30780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRLVSSSIPISRAALLIAHVFLCSLPGILSSKFVILSSIKVFTTHEWLPTKPTIYFHCQGANETMLPDVKEKNFLYIFSGEESWQPLTELPEKKCRRCGLYEHNTFKPDDVFDEWELCRSNFVDGKYVRLKHNEFNATFLCPQCTALGGMTSWKRRQTVLLVVVSIFAAAVMAAGVAAVFRYWRKRKREQDQARFLKLFEEGDDLEDELALGNAIYK >Ma05_p30780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41026838:41029584:1 gene:Ma05_g30780 transcript:Ma05_t30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRLVSSSIPISRAALLIAHVFLCSLPGILSSKFVILSSIKVFTTHEWLPTKPTIYFHCQGANETMLPDVKEKNFLYIFSGEESWQPLTELPEKKCRRCGLYEHNTFKPDDVFDEWELCRSNFVDGKYVRLKHNEFNATFLCPQCTALGVAGMTSWKRRQTVLLVVVSIFAAAVMAAGVAAVFRYWRKRKREQDQARFLKLFEEGDDLEDELALGNAIYK >Ma11_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19694425:19717234:1 gene:Ma11_g14410 transcript:Ma11_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSAAAGKRCPTSPSVLSAAPPSAKRPKVEAEVPPPTPALGKEDPVNVEELAPAPVDDAVVSKMTSPDDALPVVMEGVAPPGLPSRDHVDCSLQLDLLVEQTNEEPPSAVEWCKLISLFSQNPSHSICRPQFTVGHSKFCDLQLHDPSVGTALCVLRKAKHDGAYVALLETTGAKGLVQVNGKTVDKDSIILAEGDEVVFSRPEKHIYIYQRLSEVKSSTPILHTLHRSSEAMDGSAEGPKFADRTADHATTAVVSTLASLSTLKKDLYVVPESATSESLTELELSTDTHKFFEDQREEFDSPASLPLSRSQAFKDGRKQGIIDASDIEVSLDNFPYYLSENTRHLLLSCAFVHLERKEFLKYTTEISSLSQRVLLSGPPGSEIYQETLVKALAKEFGARMLIIDCFTLLDVLPLKDSESFKEVKKLDEPSLPGKQHTALSACRHRRRQASSVEADIMGSSLYDLESSVNQEASTASSKSYPFKKGDRVKYVGSMQSREIPLGPRGPNYGYHGKVILVFEENRFAKVGVRFDKPIPDGNNLGGLCEEDHGFFCTADSIRLDTSMDEETAKLALNELFEFVSEECQHGPLILFLKDTEKFVSASTDIFLFMKGKIDSLPAGVLAVCSSTQMDCHREKAHRGGLLFTKFGRNQAAMIDFAFMDSSTRLHERSKESSKTTKQLVKIFPNKIIIQPPQDKGHILEWKKKLDHDAETLKARSNILNIRLFLNRNGLECDDLEKICMKDQTLSSESVDKIIGFAFSHQLKNTSEASGKKTKLILSMESIQHGLHMLQSFQSGSKSTKRSLKDVVTENEFEKQLLADVIPPNDIGIAFDDIGALEKVKDTLKQLVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLRKRENSGEHEGMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILRVILAKEDLAPDVDLKVLASMTDGYSGSDLKNLCVAAAHCPIRELLEKETKDRDAALSEGRPLPALHGSDDIRALRMDDFEYAHELVYCSVSTDSSNMAQLHEWNELFGEGGSRKKPELSYFM >Ma09_p29820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40193246:40197535:1 gene:Ma09_g29820 transcript:Ma09_t29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGNSLIFFYIFILLHFELCYLQNYHILYKKKTRKHRPHCRVSLSPHIALAFDAALAPVSPIPLDFTCTGLSSMRIWWSPNRGSIRCWLSSTIATLEKRIVSEWPREKVDKLPKKTPYSCTIT >Ma01_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19012028:19024123:-1 gene:Ma01_g20530 transcript:Ma01_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFCSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVREETYTAFENIYPVLTEFRKSQQ >Ma01_p20530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19012028:19024123:-1 gene:Ma01_g20530 transcript:Ma01_t20530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKVCTGAKSEHQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFCSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVREETYTAFENIYPVLTEFRKSQQ >Ma01_p20530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19012028:19024123:-1 gene:Ma01_g20530 transcript:Ma01_t20530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFCSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVREETYTAFENIYPVLTEFRKSQQ >Ma07_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1157560:1159206:-1 gene:Ma07_g01470 transcript:Ma07_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKKETDQTECETPEGPILCANNCGFFGSAMTNNLCSKCYKDLVMKHKSMVTLSVTESGKMTVIPSSSVQIEPTVEVLDKISGPCEVNMVKDRVEDSCNKQPANRCFQCKKRVGLTGFKCRCSSTFCSAHRYPETHECSFDYKTAGREAISKENPVVKAEKIEKI >Ma07_p01470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1157560:1159208:-1 gene:Ma07_g01470 transcript:Ma07_t01470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKKETDQTECETPEGPILCANNCGFFGSAMTNNLCSKCYKDLVMKHKSMVTLSVTESGKMTVIPSSSVQIEPTVEVLDKISGPCEVNMVKDRVEDSCNKQPANRCFQCKKRVGLTGFKCRCSSTFCSAHRYPETHECSFDYKTAGREAISKENPVVKAEKIEKI >Ma06_p11170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7839066:7849933:1 gene:Ma06_g11170 transcript:Ma06_t11170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MRAMEDGTAPRERPPAQHRPLLDHRKRKHQAEGGRSDPAHNAKRRRTGGRPDDGARQWVFSPRDLSSFKERFVIVSYNILGVENALKHLDLYDSIPSECLSWDLRKRRMHKELRSYNPSILCFQEVDKFDDLADLFREDGYTGIYKQRTGEATDGCAIFWKEKEFTLLYQEDIEFQKFGLRNNVAQLCLLKVSLNQSNDQVDKDAGSEIEPVSCRTLLVGNIHVLFNPNRGDIKLGQMRVLLERANTLSKQYGNTPVVISGDLNSTPKLDILQYDRKNISGQIEYPVRQMTYAIQHDRFRCMASQQKFRWSEEEVCLASGRRGCTRLQNPLTLSSAYRGVPGNLHTRDENGEPLATSCHSKFMGTVDYIWHSSALVPVGVVDTLTIKVLRRLRGLPSKKWGSDHLALVCKFAFIDDEANAASTMDNH >Ma06_p11170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7839057:7849933:1 gene:Ma06_g11170 transcript:Ma06_t11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MPSGGELAAVPTMGLANGSSPLETYPLSRRECLSWDLRKRRMHKELRSYNPSILCFQEVDKFDDLADLFREDGYTGIYKQRTGEATDGCAIFWKEKEFTLLYQEDIEFQKFGLRNNVAQLCLLKVSLNQSNDQVDKDAGSEIEPVSCRTLLVGNIHVLFNPNRGDIKLGQMRVLLERANTLSKQYGNTPVVISGDLNSTPKSAICQFLTSNELDILQYDRKNISGQIEYPVRQMTYAIQHDRFRCMASQQKFRWSEEEVCLASGRRGCTRLQNPLTLSSAYRGVPGNLHTRDENGEPLATSCHSKFMGTVDYIWHSSALVPVGVVDTLTIKVLRRLRGLPSKKWGSDHLALVCKFAFIDDEANAASTMDNH >Ma06_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7839066:7849933:1 gene:Ma06_g11170 transcript:Ma06_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MRAMEDGTAPRERPPAQHRPLLDHRKRKHQAEGGRSDPAHNAKRRRTGGRPDDGARQWVFSPRDLSSFKERFVIVSYNILGVENALKHLDLYDSIPSECLSWDLRKRRMHKELRSYNPSILCFQEVDKFDDLADLFREDGYTGIYKQRTGEATDGCAIFWKEKEFTLLYQEDIEFQKFGLRNNVAQLCLLKVSLNQSNDQVDKDAGSEIEPVSCRTLLVGNIHVLFNPNRGDIKLGQMRVLLERANTLSKQYGNTPVVISGDLNSTPKSAICQFLTSNELDILQYDRKNISGQIEYPVRQMTYAIQHDRFRCMASQQKFRWSEEEVCLASGRRGCTRLQNPLTLSSAYRGVPGNLHTRDENGEPLATSCHSKFMGTVDYIWHSSALVPVGVVDTLTIKVLRRLRGLPSKKWGSDHLALVCKFAFIDDEANAASTMDNH >Ma04_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9306390:9309629:-1 gene:Ma04_g12350 transcript:Ma04_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAWVGDWTVGPCEGGRRGSLSLVVAASFPSTEANDSNGGEGLSGMGAIGKGSTQTWFRKRVTRSLFSHISVSPAMSLLVMSPVEAASGHQDQPSSSDSTKRGDAKNDLTNTLVDAHDELHLLKLLHAWIPESTDIGGGGGDEHDGDRKFRWLRSQIIGAEAEFETPFGRRRITYSDHTASGRFLRFIEEFLQRDVLPFYGNTHTVDSYVGLYTGKLVKQASHYVKQCMGASPHDVLLFCGSGCTAAIKRLQEVMGITVPSILRSAVLSHLPPSERWVVFVGPHEHHSNLLSWRESLAEVVEIGLDASGAADLAALEAALQSPEFSGRMKLGSFSACSNVTGMYAGTRAIARMLHLHGAYACFDFACSGPYVDIEMRRGDLDGYDAVYLSPHKFIGGPGSPGVLLMNEDLYRIRGIPPSTSGGGTVLYVSGFDKDTLYCNDVEEREDAGTPAIVQKIRAAAAFRVKEWAGHGAIQRAEARLLRRALGRVLGNPRVLVLGSATEARQPVVSFVLYPEGTRGRHLHCRFVTRLLNDLFGIQARGGCACAGPYGHVLLGIDRTRSKAIKSAVEKGYEGIRPGWTRVSFAYYTLWEEMEFVMDAIEFVAQYGDRFLQLYSFDWKSGDWEYMHDKNVIPIKDGDYIGNTYYKYMTYARGIVDFLPHHTVKRHVPESIDPELVNFML >Ma08_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14627271:14638018:-1 gene:Ma08_g14710 transcript:Ma08_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPPSSSSSAVEEIVRREVLDWDDEVAATARFKAFSGQRSDWEPRFVFWRDLILKVARHLGVCTVRSSEVKNIWFSRGGLTPLCLDRVLQEMYNNGDILLRGELIDPSSGHLYQMLRRAGQLIGGFRRSPKIGDFEEHLILKPLLQESASNVIKNLAENHWTSTCVITMNKFQSICKRSGEASTVLSYLCGCGKAQYLSIRKEDIIEGVKVSLVSSSLTRISSLDNDVLHLVWTTEKLQQQLNVIDNRWKLSRKMALTSFKLGNKQAAFRCIRQSKMLSESRSKYTLLLDLVEKVLSNIANAESTKKVYEAIQIGVRAMKEYEISMDEVKDHLDELDKHVQAQKEVDESLESMPLQALDVEEEDIEEEFKKLELELSGETHHPLLQEPLAPDVKAATSPQAAAQTLSQTLSKLNLEAA >Ma04_p32450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32400184:32401906:-1 gene:Ma04_g32450 transcript:Ma04_t32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDFATAGLELRSLARRGLVPIVVFVTAAVSCALLYDTLMTSSLRWPLSSPMGVAVDAAEPADQLEMILRAADMGNKTVILTTLNDAWAEPGSILDLFLESFRIGNGTSQLLNHMVFITMDQKAHERCLSMRGHCFDLNTKGANLSEQKDYNTPDYLNMMWQRLDLQRQVLEKGYNFVSTFQDTDILWFRNPLPHFYAEGDFQVSCDRFFGNATDLENWPSNGFNYVKSNNRTIPFFKYWYSARTKHPNDHDQTVFNYIKHDAFLRELGLTIRFLDTKYINGLCEIRSRDWNAICTMHANCRVGLSSKLSEMRGMLDQWRKYMSTKH >Ma03_p18190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23781588:23783304:-1 gene:Ma03_g18190 transcript:Ma03_t18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAAAAVLCFADEAALCRSCDEKVHAANKVAGKHRRVLLLPRGSSSPSPHPSSTSADNIPACDVCKEKAGFFFCLEDRALLCRQCDATTHAAKPCGSSHQRFLITGVRVDDVIDSSSSSGGRCKANSSGGSGIQSPSGSLASTPTAAVGERLPGDPMGHDGWSWSELLDGVELERWYGIPDPGSSSS >Ma03_p18190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23781588:23783265:-1 gene:Ma03_g18190 transcript:Ma03_t18190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAAAAVLCFADEAALCRSCDEKVHAANKVAGKHRRVLLLPRGSSSPSPHPSSTSADNIPACDVCKEKAGFFFCLEDRALLCRQCDATTHAAKPCGSSHQRFLITGVRVDDVIDSSSSSGGRCKANSSGGSGIQSPSGSLASTPTAAVGERLPGDPMGHDGWSWSELLDGVELERWYGIPDPGSSSS >Ma03_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23781588:23783267:-1 gene:Ma03_g18190 transcript:Ma03_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAAAAVLCFADEAALCRSCDEKVHAANKVAGKHRRVLLLPRGSSSPSPHPSSTSADNIPACDVCKEKAGFFFCLEDRALLCRQCDATTHAAKPCGSSHQRFLITGVRVDDVIDSSSSSGGRCKANSSGGSGIQSPSGSLASTPTAAVGERLPGDPMGHDGWSWSELLDGVELERWYGIPDPGSSSS >Ma10_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25143153:25144028:-1 gene:Ma10_g11740 transcript:Ma10_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNPRVFDLSHVALHTTRNDCWLVINGQVIDVTKFLEEHPGGEEVLLEACGKDATKEFEAIGHSKAAYGLLLKYQVGVMRGFKHADAAPAEDDAGEGTKARAHVMTGSAIKDDKKIRCLGAIEFVLPLVVASFAFWYRYSAGLTGMTK >Ma06_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8966239:8970740:1 gene:Ma06_g13060 transcript:Ma06_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSAISSDPEEDGVDFDLRSAPGFPRLEMSYSQRDLGPRIPQIEASSSRSNLRFDRLQPPDDEFDCEHRRAFGRLVAREAVRDEELWMAAWLRAESYWEERSDVRVTDQHPTESYHLQNHKRQFTVQEFNSLKKRCSTNQDEKCVCIVVVRKHEENIKHAVMNSIFGTLDLSIRHFLCGETIPGERMKPPASSNLSQTERPRYAYIANLCVAKHARRQGIATNMLSLAIDVAASYGTNQIFVDVDRDNIGAQKLYEQIGFQMVEQAGPHLPADKNYLLCLKI >Ma07_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22255535:22258339:-1 gene:Ma07_g17840 transcript:Ma07_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSPPAAPADPFDEFFPQQHGCGSDADVASPSASNYSSCDGEDSELERYCSANSALGSASLCSSVGNYADLLDFSDVCGAIENSQRGRLGGDIAASWDRFNRYSEEGAVTSPRENCSSPSQHLLALSDRMGSLPRISTGSRLRPASVDRPGERTQLRVVEDQQEAVPCKGKEVDFLDRDVISMGRDDGYSGQSSLLCAMAGAEEVGSLGNLGSSSRDVMMESDEDRPSRCEHSDGEDSMLEYGTDCENANGLCENLRCIDETKHDNLNPLLMNSSVAYGSDDLDELMRENGGLGLQSLSLYQDQPNFQQTVPAKVDGHLPLLSNSHVIDPLHDVEKDEDAVDVSAVNHKFQVTDEPNSELSHKDVHVDEAPERQVFGEPASADNDTVIKISSISVGTSRHEKCFGQDYDKPSLLLPVVHSGQSSSFQIELDRSLNFTDLSEENIVTDEAKTIGTTCLETHRQELPV >Ma03_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3537021:3537548:-1 gene:Ma03_g05390 transcript:Ma03_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAIVMAKLLGIVTLVAVASAYAAKSFFPDLSPFFLSLPLLLLLLLPFVLVSVPGSNTPPGPVSFPIFGNWLQVGNDLNHRNLVNMAKKYGNVFLLRLGVRNLVVVSDPKLAAEVLHTQGVEFGSRPRNVVWDIFTDNGKDMVFTEYGDHWRKMRRVMTLPFFTNKVVQQYRE >Ma08_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6112849:6119588:1 gene:Ma08_g08640 transcript:Ma08_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQKLSKGPGFVPGYQHVVETTAESEGFGSCGRADSEDSSAPKRKCISLNVDRCGKFDVPFELFSLSKMSKCEKEELETRFKRDLDQVRMFQKKMFSRITTSADGVALSSSSDGYVKKQYAMGQNGSNFKCMNNGNLEMSKRAPDPDRLGNSYSLLMKQCETLLKRLMNHQYGWVFNTPVDPVKLNIPDYFQVIKYPMDFGTIKTRIQLGRYSNPCDFVSDVRLTFTNAKTYNPPKNDVHIMADAMSKFFETRWKPIEKKLVAANAAVKREAETPKPILQPNKRKTPSFNHDIILPEVVRSKMTVEEKESLSRRLESLGDMPEHVIDFLRRNIAASQTSADEVEIDLHAMSDDLLFELRKLLDDYMVRERLKDQTKSEPCEIEIINESGLSNSSMHPCKGNEPIEEDVDIVGNDPPVSRYPPVVIEKDTMLRSGKRCRSSSSSSDSGSSSSDSDSGSSSESESDVKVASPMKDMKKNMLAGQALDQERSDLSNPHDENRTSDETNQEEHVAQPKSESVDIERNREDLLRDNAPSERRFSPEKLYRAALLRSRFADTILKAREKTLDQGEKRDPEKLRRERETLERRKREEKARLQAEAKAAEEAQRLAEAEAAAEAKRKRELEREAARQALLQMEKTVEINESTLFLKDLDMLRTVPGEHLPNSVGETSPDHSPEGISGFELGGSNPLEQLGLYMKVEVDDDEDEDVEPNGATANDAEEGEID >Ma04_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14634623:14652346:-1 gene:Ma04_g15970 transcript:Ma04_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREEDLLAHWWKEYAECSKGPSGTQTTNYVTSTSGSNELYVVEEERVGVPVKGGLYEVDLIKRHCFPVYWSGENRRVLRGHWFAHKDGNDWLPLREDVAEQLELAYRCQVWRRRTFQPSGQFAARIDLQGTTEGLHAIFTGDDDSWEAWLAFDRSSFSLNMGGGNRVKLRRGFSPSAKPSQDELHQQKEEAMDDYCSQVPVGHLVFMVHGIGQRLENANVVDDVADFGRITASLADRHLTAYQRSTQRVLFVPCQWRRGLELSSETIIEKITLDGVRGLRATLSATVHDVLYYMSPIYCQNIIDSVSNQLNRLYAKFLKRNPGYDGKISIFGHSLGSVLSYDILCYQDSSATLPVEAAFVDDFCIPKQESSRPATHVSVKGSSMSNPYTKVNEEKTPDVSCVEDCGVTRGQQEDFLCNDGIQESDDSAKLFSKKQHTNFERVNEVNKNVAETIYAEDRDSDANPGISRDFHGNEVRDAGHPSEDLIDKGKLVSMLMEEVKSLRAKVAELEKNHHSASCSSNFDNGQMVKSMTSSSSFGRLSSVLDDSKKQYTPYIKYTRLNFKVDTFFAVGSPLGVFLALRNVRIGVGRGQAYWEDEKISEEMPSCRQMFNIFHPFDPVAYRVEPLICKEYLNKRPVLIPYHRGGRRLHIGFQEFTEDIAARSESIMSQLNSLRVKVASTFQRQNKDKAKETVEDEKRERSYGSFMIERLTGCEYGRIDFVLQEGTFHHPYLSAIGSHTNYWRDNDTALFVLTRLYHGIPEEPPTDDQKRS >Ma05_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32644629:32652703:1 gene:Ma05_g20950 transcript:Ma05_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAGNPYESGDENPYVLAHMFSKFISPSCVTTAYTRKRKDAERRGDEVYVAKLEKAYDIIMVSQLHSRKGLTFGSFKIIQVSKDIKYADKQPIVSWGPRYSSSDVKDLHISMAISAVFEFGEDEGKGLLMGRRILGSLPLVFCCIAVSSLGHTGLLNLI >Ma01_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9903222:9941422:-1 gene:Ma01_g13590 transcript:Ma01_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MDQPMEVLRAASQEVSTSSTKRRIRIFREKLPPLLQISDLLSDISPSLVDIVFQTLFTYDDRPSRKAVDDFVVKALGESTFMRGFAMVLVQSMEKQSKTHCPVGCYKLLKWSCLLLKWSQFTSVSKGGFLRLATAQAFLCQILMHGSFRERRACRKLFFNLFSQSSGMYEVYVEELNDSRIPSRDSAELIKLLLEYSVHLPSLFDGFKQVFLEIYVRSVLNAKDKPPRALGEAFQPLFTRMEHENFKNLVHPSAIKALKRNPEVVLESIGDLLKMVNLDLSKYVSEFLSVVLPQARHADEGRRTGALTVIRFLSQKCSDPDSLPSIFNAVKAVLGGSEGKLTLPYQRIGMMSAIEELSKSHEGKLLSKLAPSISSFLLSCYKEDGSEEVKLAILSALASWSTRNAEAIDSQVVSFISSGLKEKDTLRKGHLRCLRVICKNSDSLTKVSCLLEPLSQLVKTGFTKATQRLDGIYALFSLAKIATVDTKADDFLLKEKIWVLISQNDSSIVATSQVSKLTNEDCITCIYLLEVLLVEHLQRVLEFLSIRSLSQLLLYLICHPSWDVRKIAYDATCKIISASLVVVENLLLEFRSWLSLIAEKMLHQKLNEVESWQDMQMPFLPSVEVLVKCLLLIAPAAVSSSARSYSQLIFCSHHPCIASTGTSNEVWKRLQRNLHRHGYNIVDIIADNVQAICKDLLGPTGLFSSNALEERASLFSLTTLMMITPNDTFIEFEKHFSNLPDRSLHDMLSENEIKIFFTLEGQLSSEQGIYVAETVAAKNTKHPKGRFKVYDDQDGLENAPSISLVQREPNKREPTSTKKDMGKASKRNAPVEKVKTAKEEARELMLKEEAAIRQRVNGIQRNLSVMLTALGEMAIANPVFTHGQLPSLVDYVEPLLHSSIVGNAAFGTMLNLARCLAPPLCSWAHEIAAALRIVSTKDVNVLWDLIPPVNEGEVHKRSSLSIFEQIVTGLSVSCNTGPLPADSFTFVFPIMEQILLSSKKTILHDDVLRILSIHLDPILPLPRPRMLSVLYHVLGVVPAYQPLVGPMLNELCLGLRSDELAKALCGVYAKDLHVRLACLNAIKCIPYSPGDPIHVDISVTTRFWIALHDPEKVVTELAEEVWDRYGFEFGTDYSGLLDALSHVHYNVRLAAAEALAAALDENLDTIPDTLSALFSLYIQDISTGQDMADPSWLGRQGIALALHSAADVFRTKDLPVVMTFLISRALADPNVDVRTRMINAGIRIIDKHGKENVLLLFPIFDSYLNKKTSDEEKYDLVREGVVIFTGALAKHLAKDDPKVHTVIEKLLDVLNTPSEAVQRAVSDCLSPLMASKQEDGQALVSKLLDRLMKSEKYGERRGAAFGLAGVAKGFKVSSLKKYGIVAALHEGLQDRNSAKSREGALLGFECLCEKLGRLFEPYVIQMLPLLLVSFSDQVLAVREASECAARAMMSRLTGYGVKLILPSLLKGLEDKAWRTKQNSVQLLGAMAYCAPEQLSQCLPRIVPKLTEVLTDTHPKVQAAGQTALQQVGSVIKNPEISALVPTLLMGLTDPNEYTKHSLDILLQTTFINSVDAPSLALLVPIVHRGLRERSADTKKKAAQIAGNMCSLVTEPKDMIPYIGLLLPEIKKVLVDPIPEVRSVAARALGSLIRGMGEENFPDLVSWLLETLKSDSSNVERSGAAQGLSEVLAALGKDYFERILPDIIRNCSHQRASVRDGHLTLFKYLPRSLGVVFQNYLQIVLPAILDGLADENESVRDAALSAGHVFVEHYATTSLPLLLPAVEDGIFSDNWRIRQSSIELLGDLLFKVAGTSGKATLEGGSDDEGASTEAHGRAIIDVLGNKKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPKTLKEIMPILMDTLISSLASSSSERRQVAGRSLGELVRKLGDRVLPSIIPILAQGLKDSDTSRRQGVCIGLSEVMASAGKHQLLNFMDELIPTIRTALCDSMPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDASSDTALDGLKQILSVRTAAVLPHILPKLVQLPLSAFNAHALGALAEVAGAGLNAHIGTILPPLIVAMGDDDLDVRNSAKKAAETVVLVIDEEGVDSLISELHKGVADNQALMRRGSSYLIGYFFKNSKLYLVDEASNMIYTLVTMLSDSDSATVAVAWEALARVVGSVPKELLSSYIKLVRDAVSTARDKERRKRKGGSILIPGFCLPKALQPLLPIFLQGLINGSAEMREQAALGLGELIALTSEQTLKEFVVPITGPLIRIIGDRFPWQVKAAILSTLSIMISKGGMALKPFLPQLQTTFIKCLQDSARTVRTSSALALGKLSALSTRVDPLVNDLLSTLLISDGGIREAVLAALKGVVKHAGKSVSGAVRLRACTLVRDMLQLDDDEVRSSAAKVMGIISQYMEETEFLDLLQVLSDLSTSQMWFIRHGSLLTFSSMSLYNPSMICQSTPLSSLIDTFRVALKDDKFPIREAANKTMGRLLCYQAQKEGSTSSQLVQLLVSALQDDSSEVRRRSLSGIKAFAKVNPAAVATYISTLGPAIADCLKDGSTPVRLAAERCALHVFQLTKGGDNIQAAQRYITGLDARRIAKLSENSDESENSDDDTSKT >Ma09_p31460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41180963:41181211:1 gene:Ma09_g31460 transcript:Ma09_t31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMSSSTPKIQPCIRIMVYMTSTSFSCLLTTIMASIKDEILLHDSVVAGHQTASAIIPRVTQPCSPIIIIPESGRIKNKQL >Ma03_p30620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33190536:33191508:-1 gene:Ma03_g30620 transcript:Ma03_t30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPTVVRSSYHYRPLPSFPNPNMNSKFPTPPPPISAFFFLLLLFSVPAISHPSNVACGEAISSCGNITNITYPFWLVHDDSTSLRSHCGYRGFELICRNNTPIIHLSAGNYTVTNIDYKTHTISLVDTDIVSVREGCPRVLHNLTFDPDSVLRYAPSDANLTFFFDCTDGPPDLHIPCLGSAGGNRSYVFTTETMRESYHRLPRTCCAVVVVPVLQDVLMAYIADDLPTRYGGVLQSGFNLSWPNVTSGDCGSCERSGGRCGLNRTINSTWDFACFCSNGVKTFHSHCAMFPCWVSAGMQ >Ma02_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21725644:21729628:-1 gene:Ma02_g13240 transcript:Ma02_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGDLTTVAQLIAKISDAVSTARDLPRVCRRFAVHLQDVGRHLEPLKRAELDEATQQTLANLQRTLEWSYDVIVNCSRRSYAYRLIKGKSIRDKIREAQDEIDRILRLFPLIQLSQDYGYRPSYGSSDDEEEDSEQLRPYDSEDEYSDEEHRSGGIIEEYVPKFRNLSLNADANVNRRLNEEWVDDDHEQSDDDDDDGEESDDDDDDGEESDDDDDDMAKEAFMYLETIWLWNYNMFD >Ma05_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6227119:6230074:1 gene:Ma05_g08470 transcript:Ma05_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMARSGLRSASLLLRGGAKGRAGAPTKRSFSASAHDDAYETAKWEKITYAGIVTCTTLAIYNLSKGHHHGEEPPAYPYLHIRNKEFPWGPNSLFEKKHHADH >Ma04_p27720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28890323:28898555:-1 gene:Ma04_g27720 transcript:Ma04_t27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTQGSEIHMGDLGGAASAKAEDGEIWTFSVRSFNSPLPERTISVNYDGFAEDVRVGDELLVDGGMVRFEVIEKIGPDVKCRCNDPGLLLPRANLTFWRNDRLIRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVISHLKSYIAARCRDKEIMVIAKIESVDSLKNLEGIIRASDGAMVARGDMGAQIPLEEVPSAQLKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSLRIERWWREEKRYEAMELPDIASSFSDKISEEICNSAAKMANNLGVDALFVFTRTGHMASLLSRCRPDCPIFAFTSSTSMRRRLNLQWGLIPFRLSFSGDMESNLNRTFSLLKARGMIKSGDLVIALSDMLQSIQVMKVP >Ma08_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1754295:1757406:1 gene:Ma08_g02160 transcript:Ma08_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIEDPRDREAISLVCRRWYHVDALSRKHVTITICYSTSPDRVRRRFPKLESLKLNGKPRASMFNLIPEDWGGYAGPWVHGIAEALSCLKTIYFRRMIVRDDDIGVLVRARGDKLKSLKLDKCSEFSMDSLNWSPVLAKTSQHCSWKKAQLLRMTMDGFMRLLSTILCWRC >Ma05_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:350097:371273:-1 gene:Ma05_g00630 transcript:Ma05_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPQRPVCCPGTVSENSHLRIRSVLGLFSQSSLRFQEVTRISATPRMLAGSGYSKRQPRRSLIPMTKASNPRGFAPKPQAGTSIRKKDQNKVDEKEVSGSSSSSKHTISSSGLTDRRVAGEAQTAEVASSSRIPSTVGKRSLDVLGETEQKALAGDEIDQQNFAHMPSDDTCDAETDGQIAEIGNEGDGIGLISQHVAVDHSDKEIGREAMTEQFNEAGKEMVYVKDQIGRNTIAESMAIAGEKETKVAVSESNLGIIDVDEPPEDQNGIAYTTTAIQESLVKLKADMDARAHKQLLENLADQNFSRGNKVFVVPQIVNPDQVIKVFLNRSSSALANEADVLIKGAYNGWRWKFFTEKLQKADIKGDWWSCQIYVPKEAYRVDYVFFNGANTYENNNSEDFFLLVEGGMDEVAFEDFLLEEEHKKLKKLAAEQAEKERQAKEQRRKEAEKVASEADRAQAKVEVEKKKRGFNHVMKLASNSAHHIWHIEPSLFKGGDRVRLYYNRSCRPLSHAAEIWIHGGFNNWDEGLSIIEKLSHSEKRDGDWWFADVAVPGRALVLDWVFADGPPGKAIVYDNNNLQDFHATVPKSIPVEVSWVEEENQIYRKFQEDRRSKEEAIRKKTEKTVRMKAETKERTMKLFLLSQKHIVYSEPIVVRAGRMVTVFYNPYHTVLNGKPEVWFKCSFNRWNHRKGPLPPQKMVPAENGSHLKATVKVPMDAYMMDFVFSERENGGIYDNRNRMDYHIPVTGGIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHTVVVVLPKYDCMNLSNVKDLHHRNSFAWGETEISVWFGKVEGLPVYFLEPKNGMFSVGCIYGRSDDGHRFGFFCHAALEFLLQSGFRPDILHCHDWSSAPVAWLFKEHYIYYGLSNARVIFTIHNLEFGVHNIGRAMTYADKATTVSQTYSREVAGNPAISPHLHKFHGIVNGIDPDIWDPYNDQFIPVPYTPENVVEGKKAAKEALQQKLGLKTSDHPLVGIITRLTVQKGIHLIKHAVWRTLEHNGQVVLLGSAPDSRIQNDFANLASELHSSHGDRVRFCLTYNEPLSHLIYAGADFILVPSLFEPCGLTQLIAMRYGSIPVVRRTGGLYDTVFDVDTERERAQAQGLEPNGFSFDGADSADVDDVLNRAISAWFDKREWFHSLCKRVMEQDWSWNRPALDYMELYHSARNKTS >Ma01_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21592337:21595836:1 gene:Ma01_g21660 transcript:Ma01_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter ANT1 [Source:Projected from Arabidopsis thaliana (AT3G11900) UniProtKB/Swiss-Prot;Acc:Q9SF09] MEAKAEAPLLEVAASAGGRSSGRATAAQTLGNIVVSIVGTGVLGLPYAFRVAGWLAGSLGVAAAGVSTYYCMLLIVQCRKRLSEEEEEEEEEEEEEEEEEKANELLHLQTYGDLGGKAFGSIGRYMTELLILAAQTGGSIAYLVFIGQNLSSIFTSIKTKEQHINPAVFIFLLLLPLEIALSFIRSLPSLAPFSAFADLCNILAMAIVIKEDLQIFDNSRNRSAFNGAWGLPFAGGMAVFCFEGFSMTLALEASMAERRKFPWVLLQAFIGITVAYVCFGLFGYLAYGNETKDIITLNLPNNWSAIAVKAGLCIALAFTFPIMMHPINEIIETRLKSSRWFQKLINTFRCAEWLGLHGSRILVLVVLSMLASFVPGFGNFVSFVGSTVCALLSFVLPAMFHLTILGSELRSWQRILDYCIILIGLAFAGYGTFNTISGHSSN >Ma04_p25500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27243806:27245621:1 gene:Ma04_g25500 transcript:Ma04_t25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGESGIESLPDLCVAHSISLTSPRDACRLSAVSAAFRGAATSDTVWDRFLPTDWQSLVSRAVYPVEFSSSSSKRDIFFRLCDPILIDDGKMSFSLDRSSGAKCYMLSARELFITWADTPQYWTWDCLPESRFAEVAELIDVCWLEIRGKIESRMLSQRTTYAAYLIFRISDVSHGLGYPPQEASVKVGGHSSTKTVCLQPSDMLSHMHARRASALFGYCVRCRRLMMTAEAEEAAEEAEEVDGAPQARNDDWMELELGEFYIDEGDDGEVNISLLEMKGGDWKKGLIIEGIEIRPKNNR >Ma06_p29200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30655625:30658690:1 gene:Ma06_g29200 transcript:Ma06_t29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVELVKGEAELERQSSVIESFPRDLLRRLSGNNCFNEQREVPSGESDEVELNLNLGLSLGGCLGVDPRGKKLIRSSSIASFSSLPWEHEFSPGTPTMVRTSSLPTETEEKRRKMKELQGLRRLEAKRKRLEKRKSIKSCNPKSDVGMDGGKSLAQPCTVNGRLSLPIGSQFSGLFSVATPPGLRPWTSGSKITAAQGSELENQTPARGLFNLPAFSSNADFNDTATVQSVSAHKTAIAPLVSGARITITPIGGEEDPLEKKKKKVRVTRDASLGRNMIGEMPCVSTRGDGPNGRRIEGFLYKYKKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVTHPLRHIVVNPMPSALMSLR >Ma03_p14420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11579377:11587604:-1 gene:Ma03_g14420 transcript:Ma03_t14420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGKEGQDSLNNIIRQAIDKETLLSVCRTGGKDSILELCKGETAGMSEAMEDSCSSKDSDSTIKGTKTSSEQMQALKIPEAVLAFAQAAARANGEPEKYLPGWPLFSPAKVQLQKCEKCSREFCSMINYRRHILVHRRTLKIDKDFPRNREYLGAFWDKLSSDKAEEILSFTNMSIEEVSGASIIRVLSLWIGKPFFHSLPQTYAKAGSALLDVIQARPASSPICSKKLFSILDDASENTFLCTGTAVSLQKFVFDGEVSKIALEMKNLIACISFLLEQKLVKSWVADKDAEALRCHKLLMEEEEAAQRRQAELLERKRLKKLRQKEQKTKDLIGVENRIGSIRSTDGKTEFPGRSSSRTSSHSDLNTPAISENQVHHFTPSLDPVMATDFRMHVDTEVAVQYIVQNQMNDKQHPVSTQHLLSKPKKTIKNGFGTFQVPAAKFSGPKKNGLYKDTKAASSTTGYKIWTQKNRREEVPYDRMDKIHPDHSVYVDGSKVIIGSICVALGSSDRSKSSQGKLVKPCTKHNNVKSSTGMLWKPVGCHESGYELTTISDMKDYNLDVSLAKNSDQVSPSETHLALVEKRDSVPGAQQDSLAAQTSAGPLLFSSKIAEAFLTERWKEAIAADHVKLVLPSETEAYDSCDNPQYDCSEERPHSYDCLGHGKFGSGEKGGVPGMDLIESSSKPKFRTKPDKNYKLKYVAKQRSTALGE >Ma03_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11579377:11587604:-1 gene:Ma03_g14420 transcript:Ma03_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGKEGQDSLNNIIRQAIDKETLLSVCRTGGKDSILELCKGETAGMSEAMEDSCSSKDSDSTIKGTKTSSEQMQALKIPEAVLAFAQAAARANDLPGWPLFSPAKVQLQKCEKCSREFCSMINYRRHILVHRRTLKIDKDFPRNREYLGAFWDKLSSDKAEEILSFTNMSIEEVSGASIIRVLSLWIGKPFFHSLPQTYAKAGSALLDVIQARPASSPICSKKLFSILDDASENTFLCTGTAVSLQKFVFDGEVSKIALEMKNLIACISFLLEQKLVKSWVADKDAEALRCHKLLMEEEEAAQRRQAELLERKRLKKLRQKEQKTKDLIGVENRIGSIRSTDGKTEFPGRSSSRTSSHSDLNTPAISENQVHHFTPSLDPVMATDFRMHVDTEVAVQYIVQNQMNDKQHPVSTQHLLSKPKKTIKNGFGTFQVPAAKFSGPKKNGLYKDTKAASSTTGYKIWTQKNRREEVPYDRMDKIHPDHSVYVDGSKVIIGSICVALGSSDRSKSSQGKLVKPCTKHNNVKSSTGMLWKPVGCHESGYELTTISDMKDYNLDVSLAKNSDQVSPSETHLALVEKRDSVPGAQQDSLAAQTSAGPLLFSSKIAEAFLTERWKEAIAADHVKLVLPSETEAYDSCDNPQYDCSEERPHSYDCLGHGKFGSGEKGGVPGMDLIESSSKPKFRTKPDKNYKLKYVAKQRSTALGE >Ma03_p14420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11579377:11584987:-1 gene:Ma03_g14420 transcript:Ma03_t14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINYRRHILVHRRTLKIDKDFPRNREYLGAFWDKLSSDKAEEILSFTNMSIEEVSGASIIRVLSLWIGKPFFHSLPQTYAKAGSALLDVIQARPASSPICSKKLFSILDDASENTFLCTGTAVSLQKFVFDGEVSKIALEMKNLIACISFLLEQKLVKSWVADKDAEALRCHKLLMEEEEAAQRRQAELLERKRLKKLRQKEQKTKDLIGVENRIGSIRSTDGKTEFPGRSSSRTSSHSDLNTPAISENQVHHFTPSLDPVMATDFRMHVDTEVAVQYIVQNQMNDKQHPVSTQHLLSKPKKTIKNGFGTFQVPAAKFSGPKKNGLYKDTKAASSTTGYKIWTQKNRREEVPYDRMDKIHPDHSVYVDGSKVIIGSICVALGSSDRSKSSQGKLVKPCTKHNNVKSSTGMLWKPVGCHESGYELTTISDMKDYNLDVSLAKNSDQVSPSETHLALVEKRDSVPGAQQDSLAAQTSAGPLLFSSKIAEAFLTERWKEAIAADHVKLVLPSETEAYDSCDNPQYDCSEERPHSYDCLGHGKFGSGEKGGVPGMDLIESSSKPKFRTKPDKNYKLKYVAKQRSTALGE >Ma04_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1335694:1342870:1 gene:Ma04_g01470 transcript:Ma04_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT2G04842) UniProtKB/Swiss-Prot;Acc:F4IFC5] MSFAYAMAAASPSSLLLPRPQTLSRPLLNGRLPSPPATRRIPSLPFWYPTRDPRRFAASSSPSPSAVEGKPKLDAKNGGRSAKDKGKSLREQRIVLPTNESSEKLLRIRHTCAHVMAMAVQRLFPGSKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIINRNLPLIREEVSREEAQTRIMAVNEPYKLKILESIEEEPITIYHIGNEWWDLCAGPHVDSTGHIDKKAVELESVAGAYWRGDVSKPMLQRIYGTAWETEEQLKAYLHLKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGATVRHIIEDSWKKIHLQHGYDLLYTPHVAKADLWKVSGHLDFYKENMYDQMNIEDELYQLRPMNCPYHILVYKRKLHSYRDLPMRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLDDQIKNEIRGVLDLTEEILLQFGFKKYEVNLSTRPEKFVGTDDIWEKATIALRDALDDKGWAYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDISYVDSNAEKRRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWISPIQARVLPVTDTEVKYCTEVVSKLNSIGIRAELCYGERLPKLIRNAEKQKVPLMAVVGPKEVETQMVTVRSRFGGELGMMTIDEFIARIQLAVSNRTSL >Ma07_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11774028:11774120:1 gene:Ma07_g15590 transcript:Ma07_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIFYVKNVNGIEYSSNLLIDLLNQLHTY >Ma04_p38360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35913924:35915981:-1 gene:Ma04_g38360 transcript:Ma04_t38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLHSISAHPSSKPPDATVTRCKPRFLSHLPNLPSLSLNNGFSRVLASPPVALPPKDAASLSLPSWRNGKNESRIKEVRLHDAFLHLEHMVGKGHRPDAAQATQLVYDLCKSNKIRKAIRVMELMASSGTTPEPSTYTFLVNQLCKRGNVGYAMQLVDKMEEHGCPPTTIVYNSLVRGLCIHGNLQQSLQLLERLMHKGLIPNVFTYSFLLEAAYKERGADEAVRLLDEIIAKGGRPNLVSYNVLLTGFCKEGRLEDAMQFFRGLPSKGFRPNVVSYNILLRSLCYQGRWEEAEELLAEMGERNCDPSIITYNILIGSLAYHGRTEQAVDILEEMARNGFKPVAASYNPIIARFCKEGKLDMVLECLDMMHRHCTPNEGTYNAIAVLCEEGKVDDAFSILQSLGNKQNASMNDFYRNVISFLCKKGNTFAAFQLLHETTKHGFTPDSYTNSSLIRGLCIEGMLDEAIELFEVMEENGNKPDIDNYNSLILGLCKAQRTDLSFDIYESMIEKGYVPNETTYTILVEGLAHEDEADLAAEVLKELYYRNIVSQNTMERITMQYDLD >Ma02_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9791718:9810618:1 gene:Ma02_g01390 transcript:Ma02_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAGSAGGAENLAAGAPPPPPPPSPPKKQRRGFVARLWHGIFAGRNEDYEKRLQYLSKEEATVHSRMKRRAQSSRRIVRDLIVLSVILEVAAVAYAIMTTRSADMSWKMRAIRVLPMFVLPGLSSMIYSALVSFMRMLDHKDQKTLERLRAERKAKIDELKERTNYYTTQQLIQKYDLDPAAKAAAATVLASKLGADSGLKVYVGDEPNTSTVAKGSDADPVITTGLRNRKQSHARSNSTGSTIVSQMVNETSDELGVDDQEISAQTQKVVEHYKASGASDGGWIARIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPRISYYCPHCHALNTSQQSGEPDSGSSSGRGISYASVDAKYDNNAEVPKLPTGEKDDKEDALEVS >Ma04_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22692977:22693719:-1 gene:Ma04_g20010 transcript:Ma04_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLEKKDCDPTPLPVVSLNHVSFLCSSLHESVRFYEEVLGFQLIRRPSSFDFQGAWFYNYGIGIHLVQSPEAVSKPSEINPKANHISFQCADMGRVKQRLDQMGISYVTAVVTEGGIRVDQLFFHDPDNNMIEICDCEKLPIVPLSFPFAPLKTPQFCTGSNRSLRRHRSVASIGWIRE >Ma07_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8688650:8697858:-1 gene:Ma07_g11680 transcript:Ma07_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYLPLSLSSLLLLPPRGDKYGLSFLLGGMGTVLDSHFLALTAIVTVGYQFLFFVVTALLKFDKVTDFAGSTNFVILAILTLVVKGSWHFRQIVLTILVALWGLRLGVFLLMRILQWGEDRRFDEMRNNLGKLAVFWIFQAVWVWTVSLPLTIVNASDRNPSIKPQDVIGWIMWSIGISIEATADQQKLLFKNSPANKGKWCNAGLWKYSRHPNYFGEIFLWWGIFVASTSVLEGAEWLVVFGPIFLTLLLLFVSGIPLLEESADKRFGSMAEYRIYKNITSPLVPLPPAVYGRLPAWFKVAFLFEFPLYSRSFPHEGRLN >Ma08_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8448668:8449544:1 gene:Ma08_g11430 transcript:Ma08_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATFLAPTGFSIHGWSAKTKVGRGRRPCSIKSQQQQQQLEKEQDQQPTQSQTSIPPQQQEKARVQQQPSPQPMAKSKNMSREYGGQWLSCCTRHVRIYAAYIDPVTHAFDQTQMDKLTLILDPTDEFVWTPDACQMVYACFQELVDHYEGAPLSEYTLRLIGSDVEHYIRKLLYDGEIKYNMNSRVLNFSMGKPRVKFNSSQIQNV >Ma09_p28650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39310167:39310985:-1 gene:Ma09_g28650 transcript:Ma09_t28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGLAFSLLLLYGLYRVLHGFVRPLQWAFLCSIPLRELQRALVAFCGGRVLTTGVLKHLNTIVAISLIDGMIIGAIVGGVFFLYKIGVEGKDAVMPLKSHVQKSNYAEKIGFKKWMDDNDLPGLVDQYSAKLYHTVWQQIDDLAVQYNLTDFANGFWHFLLG >Ma03_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28991639:28993228:1 gene:Ma03_g24760 transcript:Ma03_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASASLAVLSLLLTATSAISSLNATHEGFMKCFLDQTGTSNSSSQLLYSPNTTAYDAILRSSIQNIRFIYSSSTTKPVLIVTATNESHVQAAVVCSRTHGLRVRVRSGGHDYEGMSYVSEGDRFINVDLAALRSVTVDAEHGTAWVQAGATLGEVYYTIAEKNRTVGFSAGVCTTIGVGGHFSGGGIGTLSRKYGTAADNIVDLRLVDANGRILDRESMGEDYFWAIRGGGAASFGIVLSYKIELNYVPPIVTAFNVIKTLKQDATKLVTKWQQIGPNLDENLYIRVIALAKDDDEAEGNRTIQAVFNSLYLGTCKELLTEMGSRFPELGFEAADCNEMSWLESVLFFAGYSGRPTEVLLDRRPEFNSSFKAKSDFVREPVTETTLEEIWRILMEAKDEPLVLIMEPFGGVLDEIAESATPFPHRKGNLYIIQYFMRWFETDVETTERHLNWLRKLYAFMTPYVSKNPRAAYLNYKDIDLGRTATVWGPMYFKNNFNRLASVKCQVDPDNFFGNEQSIPPIKYDYV >Ma07_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3285748:3292980:1 gene:Ma07_g04420 transcript:Ma07_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKEKPAPPQHRVALDADDSVVSKKRARPPKHHQSGDELISSDLSSKILKEAINQQKEILQEAEEENRTPFSAVSVDPSVASDSDAEDAGAFDDFSETQSQYDVDEVEIDEEDEKLLAAFMSTKTGPQPTLADIIIQRIKEKEAEVTSERPLPKLDSNIIDLYKGVGKLLSRYTNGKIPKAFKHIPAIELWEDVLYLTEPENWSPNAMFQATKIFSSNLSVKKAQRFYTLVLLPRVREDIRKNKRLHFALYQALKKSLYKPAAFFKGVLLPLCQSGTCTLREAVIIGSIIQKVSIPPLHSSAALMKLAELEYCGTTSYFIKLFLDKKYALPYRVLDAVVAHFMRFLDDTRIMPVIWHQSLLAFVQRYKNELNKEQKDDLHRLIQYQKHHLVTPEIRRELKNSRNRGEEDDRMSICAPFSVINKPIEEDRWDFPEVPMEED >Ma07_p04420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3285748:3292979:1 gene:Ma07_g04420 transcript:Ma07_t04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKEKPAPPQHRVALDADDSVVSKKRARPPKHHQSGDELISSDLSSKILKEAINQQKEILQEAEEENRTPFSAVSVDPSVASDSDAEDAGAFDDFSETQSQYDVDEVEIDEEDEKLLAAFMSTKTGPQPTLADIIIQRIKEKEAEVTSERPLPKLDSNIIDLYKGVGKLLSRYTNGKIPKAFKHIPAIELWEDVLYLTEPENWSPNAMFQATKIFSSNLSVKKAQRFYTLVLLPRVREDIRKNKRLHFALYQALKKSLYKPAAFFKGVLLPLCQSGTCTLREAVIIGSIIQKVSIPPLHSSAALMKLAELEYCGTTSYFIKLFLDKKYALPYRVLDAVVAHFMRFLDDTRIMPVIWHQSLLAFVQRYKNELNKEQKDDLHRLIQYQKHHLVTPEIRRELKNSRNRGEEDDRMSICAPFSVINKPIEEDRWDFPEVPMEED >Ma04_p00480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:424006:425779:1 gene:Ma04_g00480 transcript:Ma04_t00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAGGSRRPNFPLQLLEKKEEDSCSSSAYPSLAISSTTAAAELAVDRLRKPPPKRTSNKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSVSVPSHLRAANYFNSVAAALPGTSLRIHNEWERGGGSAFPTEGPSSSSSSLLLNFNSCNIGLDASSEGDIGNIRKRRWESELHQHQLQPVQHQMAGYSQASHGQMPGTVWMVTNPNTQDMVGGNSQSIWTFPQVGSTTMFRGSMSSGLHFMNFPTPMALLPSQQLGLGSGGGGGGGGEGHMGIPSALNMYRSPSTSEAMPSASQQGHRDCERHDTMSTSDS >Ma04_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:424121:425779:1 gene:Ma04_g00480 transcript:Ma04_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAGGSRRPNFPLQLLEKKEEDSCSSSAYPSLAISSTTAAAELAVDRLRKPPPKRTSNKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSVSVPSHLRAANYFNSVAAALPGTSLRIHNEWERGGGSAFPTEGPSSSSSSLLLNFNSCNIGLDASSEGDIGNIRKRRWESELHQHQLQPVQHQMAGYSQASHGQMPGTVWMVTNPNTQDMVGGNSQSIWTFPQVGSTTMFRGSMSSGLHFMNFPTPMALLPSQQLGLGSGGGGGGGGEGHMGIPSALNMYRSPSTSEAMPSASQQGHRDCERHDTMSTSDS >Ma02_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20912217:20918278:-1 gene:Ma02_g12050 transcript:Ma02_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSVGMSKTLERYQHWCYASQDPNVVNRDNAQNWCQEMSKLKAKFESLQRSQRHLLGEDLGPLSVKELQQLERQLESALSQARQRKSQLILEQMEELRKKERHLGEINKQLRDQIEVEGATLKAFQGSWCSDAMIGSNAFAAQPSHSAGMDREPMLRIGYHQFVPADAAIPRNPIGENNFMLEWVP >Ma11_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5763765:5765214:1 gene:Ma11_g07200 transcript:Ma11_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVVVAYLLLAVPILILLSFSRAPHMASRHPRSRRLAHPHHVAFDPLLVQIERRAEERGLLDVETFKRKLDDIWARQDWSKMAEEIGILDEYFGEDGRLNLTERLAYLFPLLDRLPQDGGISRYELDVWNQRQALDRLIYHTERKMKLHDHDGDGAVTLPEFLSYLPDEETARNSKEHGEPGWWKEQFSNADRDGNGFLSAFEFNDFLHPEDSTNPKIQLWLLKEKLREMDENKDGKLSFVEFGGRAQEIYQTYADFEEDDLRHHELDVEDEFRKLDINRDRFLTAEELKPIIHRLYPGELSQSKYYTKYLLHKADDDGDGKLTLEEMVHHQYAFYSTVFEEQQVDDYDDYDYHDELRR >Ma10_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9562439:9563138:-1 gene:Ma10_g02780 transcript:Ma10_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKQEGSNITGYIHNIKVIIDNLALVGHSLSDEEVTVHILNGLEDEYKELTAAIRARDSPITFEELFDKLIDFEIYLKREDKPPGPSITAQVTQKRRGNSYNKIVNKGLTKTPSEPMSSKPTIPPPHPQHSNHNNQGGFFNHPQSWRPHYTNQQRIVCQLCDKVGHSAKVCRSRPKLPAQSHWPQANLMTTPTTSDHNWIVDSGASHHITSDLQNLSIHNNYGGIEDIIIGD >Ma05_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:222688:231667:1 gene:Ma05_g00320 transcript:Ma05_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22200) TAIR;Acc:AT3G22200] MASGLLRSKAGMAIRNVATLQGHAPQCTTYAASRLMSSTAPLQSESTEERGFKGHDMLAPFTAGWQTTDLHPLVIDKSEGSYVYDINGKKYLDALAGLWCTALGGNEPRLIAAATAQLNKLPFYHSFWNRTTKPSLDLAKEILEIFTARKMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFSTRLANNLENLILKEGPDTIAAFIAEPVMGAGGVIPPPKTYFEKIQAVVKKYDILFIADEVITAFGRLGTMFGCDKYKIQPDLVSIAKALSSAYMPIGATLVSPEIVEVIHSQSNKLGSFSHGFTYSGHPVSCAVALEALKIYKEREIPSHVSAISPKFQEGIRTFSGSPIIGEIRGTGLILGTEFSDNKSPNDPFPPEWGVGAIFGSECEKRGMLIRVAGDNTMMSPPLVMTPDEVDELVSIYGDALRSTEEKVAELKSKRTQ >Ma06_p07840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5560280:5566324:-1 gene:Ma06_g07840 transcript:Ma06_t07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVLSHPPPITTTTPRGAGHRPSPRSIFPFASLSSAPNPIPFLRLPSICAPSLPPPPPPLLPPPVCFEKPPPNPFRPPNSLLLLIVFAGLLSAWAFFRALPADFRERWCRLRESSKGAEAKILELPLHLIQAVIASEDRRFFYHIGVDPYGIGRAVVHYPKGGGGSTITQQLVKNVFLTSERKISRKFFEGILSLLLERKMSKWEILYSYLSKMYWGHGNYGIESASLFYFRKHPSLLNLGESALLAGILPSPETFNPLTSPTRGKNSQARVLRRMVTAGFLDLETALVAVSQPLYFHNINFEDENKEYEHCRKHRGLSSIKDIWDWEMASILHEARENMEKMGHRMYKYSH >Ma06_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5560280:5566324:-1 gene:Ma06_g07840 transcript:Ma06_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVLSHPPPITTTTPRGAGHRPSPRSIFPFASLSSAPNPIPFLRLPSICAPSLPPPPPPLLPPPVCFEKPPPNPFRPPNSLLLLIVFAGLLSAWAFFRALPADFRERWCRLRESSKGAEAKILELPLHLIQAVIASEDRRFFYHIGVDPYGIGRAVVHYPKGGGGSTITQQLVKNVFLTSERKISRKFFEGILSLLLERKMSKWEILYSYLSKMYWGHGNYGIESASLFYFRKHPSLLNLGESALLAGILPSPETFNPLTSPTRGKNSQARVLRRMVTAGFLDLETALVAEYEHCRKHRGLSSIKDIWDWEMASILHEARENMEKMGHRMYKYSH >Ma11_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24624342:24625280:-1 gene:Ma11_g19990 transcript:Ma11_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSSDSLDSPVARRSGSDEEISYTTVLSAPPKRRAGRTKFRETRHPVYKGVRRRNGDKWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAAMALRGRSACLNFADSAWLLPVPESSSPVDIRKAAARAAEAFQPQPESDNVPEQMEDHVMAVETVDDPFFMEDGLNFGMQGYLDMAQGLLIDPPPPPAMNEEDEMDGDVILWSHSV >Ma11_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22754287:22765306:1 gene:Ma11_g17480 transcript:Ma11_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G27380) UniProtKB/Swiss-Prot;Acc:P46416] MTLGGFLSLRQSCPSFAAPIDSRNGAAAAAASNPRALRFRHKSFPRATYLRAPRRAMDPPRCLGSSGLEEATDDIAAAATLHRGVPSDLVEKMMYDALVWSALHGLVVGDRRVQNSGTVPGVGLVHAPFALLPMSFPKAHWRQACELAPIFNELVDRVSLDGKFLQDSLSRTKKVDAFTSRLLDIHSKMLEINKTEDIRLGLHRSDYMLDAETNLLLQIELNTISSSFPGLSCLVSELHRNLLNQYGKDLGLDSRRVPGNTAVSRFADALAKAWNEYNNSSAVVMVVAQSEEHNMYDQHWLCAVLKEIYGVATIRKTLSEIDTQGHVLPDGSLVVDGQTVAVIYFRAGYSPNDYPSEAEWRARFLMEKSSAIKCPSISYHLVGTKKIQQELAKPNVLERFLDTEDIAKVRKCFAGLWSLDDPDAVKSAIEKPDLFVLKPQREGGGNNIYGDDVRETLIRLRQQGTEELAAYILMQRIFPTASLAFLVREGVWHQDVAISELGIYGAYLRNKDKVIMNDQCGYLMRTKVSSSNEGGVAAGFAVLDSIYLT >Ma09_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7027665:7029842:1 gene:Ma09_g10310 transcript:Ma09_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPIASLLQTPSSLLSFLPSPNPNPNAFWSSLPQGKNRPISVAVVRRRHPPAPFPARCSSGHSEHEYEGVSHQRPQEIPWSKDLANSVHLIGIVGSPVQIKHLNSGKALAWTRLGVKKSASETTWINLTFWDELAHVAFQHVEKGRQVHVSGRLVSDVVEGDDEKRQVFYKVVVQQLNFIERSYSTVSLYEPETRSVDSGTKFGSYVGNSSGSTEKLWQAFFANPMDWWDNRKNKRNPKYPDFKHKDTGEALWIDAMNNPHWVKSQLAILDSRMSSLHQANGMSPAVSFMYDGDFTPF >Ma02_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26042614:26046178:1 gene:Ma02_g19960 transcript:Ma02_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPAALANTDSIHDGTEDADPLHVLNRRHFLTVKSHFRRKRHEALLVLAVEDPGRPVNLMNDTLLHVVIACNKTDLARSIILQMPVETLAAKNLYGDTALHVAAAMGNSEVARELFGRNEDLIEEQNLKQETPLHKAAFYGHHDMFWCLVNEGNGSPYERREDGATMLHCAIMGNEPGLALEIAERFPLLITSRNTMAVTPLQLMVTVPGLFRSQMVIGGFESILHDLYPRTRHLEKIKRTHRKALELIEFLARDPRNMEFYVLGRKQGDGGAPAADLRERGEGQDQLTAPAESYRRWNEPPLILGAQMGIPEFVRTILQVCPEAATYLDTQGRSVLQVAIRHGNRDIVRTIREMTRGKNPILPFWLLSRVDKGTGRTILHLASATAPEHNQDALQMQDELRWFETVRDMVPKELVYSRNAEETTAEEMFTESHKDLLKSCKVQLMETGRLCSGLVAAVVFASSFSVPGDKDPTTGNPVYFGRAAFTVFSHTYVFGLSCAATSLVLFLSLAMSPYKEQQFRRIIPIKYFFARSSFGLAMLSFLVAFTCNIYLQIYGWQKTKSKDLIPFILELTAFPFICFLVLFFYGSKFGLSFLFHSWR >Ma02_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14748801:14748941:-1 gene:Ma02_g03370 transcript:Ma02_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLSVSCRDNVQLLEKAQTALAVHSQSDGRQHVEVRAVSVIRRS >Ma06_p38320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37115617:37118344:-1 gene:Ma06_g38320 transcript:Ma06_t38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMMARPATLLLLLVVAVTVADASKSKQKTCDKGWECSGSVYCCNETITDYFQVYQFENLFSKRNAPVAHAVGFWDFQSFITAAALFEPLGFGTTGDKQTKMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNHEMSPSQDYCDPNYLYPCIDGVQYYGRGALPVYWNYNYGLVGDALKVDLLSHPEYLEQNATLAFEAAIWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLSKRLPGFGLTMNILYGDNICGQGYIDPMNNIISHYQYYLDSMGVGRQFSGDNVDCAEQVPFNPSYKAATS >Ma06_p38320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37115617:37118353:-1 gene:Ma06_g38320 transcript:Ma06_t38320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARPATLLLLLVVAVTVADASKSKQKTCDKGWECSGSVYCCNETITDYFQVYQFENLFSKRNAPVAHAVGFWDFQSFITAAALFEPLGFGTTGDKQTKMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNHEMSPSQDYCDPNYLYPCIDGVQYYGRGALPVYWNYNYGLVGDALKVDLLSHPEYLEQNATLAFEAAIWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLSKRLPGFGLTMNILYGDNICGQGYIDPMNNIISHYQYYLDSMGVGRQFSGDNVDCAEQVPFNPSYKAATS >Ma05_p10670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7781110:7788925:1 gene:Ma05_g10670 transcript:Ma05_t10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRGEASSLLLVTLLVTLLAFFATDSSAARVTPRPQSLARAALSALGARADGPCCRCICPLIYPPTRCICGGVWQGSCPSACTNCQCVLNECTCLDHVDYKACEADSCAWLDAARKVEPSQQWAIEETGGKLATMV >Ma05_p10670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7781109:7781825:1 gene:Ma05_g10670 transcript:Ma05_t10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRGEASSLLLVTLLVTLLAFFATNSSAARVTPRPQSLARAALSAVGARQDEPCCRCACPLIYPPTWCICGGIWQGSCPSACNNCQCVLNECTCLDLMDPKVCEANSCPWPVAAPKVEPAQQWAIEETGGKLAMMV >Ma05_p10670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7781109:7788925:1 gene:Ma05_g10670 transcript:Ma05_t10670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRGEASSLLLVTLLVTLLAFFATNSSAARVTPRPQSLARAALSALGARADGPCCRCICPLIYPPTRCICGGVWQGSCPSACTNCQCVLNECTCLDHVDYKACEADSCAWLDAARKVEPSQQWAIEETGGKLATMV >Ma02_p00850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6987476:7012254:1 gene:Ma02_g00850 transcript:Ma02_t00850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDPGFLKSVELRLIRCTLYRELPFFPPASPTPPSSSLSSRQSFRPLVEAVVDSIERGRYMDALSSDASRLVFGFSESWEFQDSAACAARFYEEVELSVEAFLRDVGSVAWLQVLDADSDPDVDVEGRCALLMCLGVAALLAFTQQNVTGPIGNFSPFPLAFPLLKEGISDCGGEWDVWARNQVASVGSDVHGKFALLQYIVYSKILLSKIKELVLEVETSYINGCRSLYWWLCRLFCLQQRITEELSSSLYDLLQLFKTSTLHQFGDFEHVAGYWGTMLNEGEALAIVSLAHLEAGIIEHKYGQIDSFRSHLECAEKSCGLHLIVTGALGFRTIHQVEAKPQLILAANNHEQSHVHLSQVQSDSTVSESKDAVDHKLDDCSDVLMTPKLVKNGKNDDLNVDLTHINKDINLTFIQQAVILAQCLHLQRRSRDDELSGWEMAPYIEAIHSQHQTCYTISNFCDILRIRWESKRSRTKQRALLMMDKLVQVIFDASPVTAQRIQLCYGLYIPTVSALRKEYGELLVSCGLVGEALKIFEDLELWDNLIYCYQLLGKKAAAVDLIKSRLHDMPSDPRLWCSLGDATTTDAYYEKALEVSNNRSARAKRSLARNAYNRSDYETSKTLWESALALNSLYPDGWFALGAAALKARDTDKALDAFTRAVQIDPDNGEAWNNVACLHMIKKKSKAAFIAFKEALKFRRNSWQLWENFSHVALDVGNIRQSLEATKMVLDLSSNKRVDVELLDKILRKFEDHEKNANSDLSSSDIFDAEYSLVEPYDTQAIPAEPRETELLLDMLGNIMQQVIRNGVPEDIWGLYARWHIIKGNLIMSCEALLKQVRSFQGSELWRDRNRFKKFVHASLQLCKVYMDIASSTGSCRELFTAEMHLRSSVKQAVDFSDTDEFRDLKTCLDEVKKQIAATSGEGA >Ma02_p00850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6987476:7012254:1 gene:Ma02_g00850 transcript:Ma02_t00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDPGFLKSVELRLIRCTLYRELPFFPPASPTPPSSSLSSRQSFRPLVEAVVDSIERGRYMDALSSDASRLVFGFSESWEFQDSAACAARFYEEVELSVEAFLRDVGSVAWLQVLDADSDPDVDVEGRCALLMCLGVAALLAFTQQNVTGPIGNFSPFPLAFPLLKEGISDCGGEWDVWARNQVASVGSDVHGKFALLQYIVYSKILLSKIKELVLEVETSYINGCRSLYWWLCRLFCLQQRITEELSSSLYDLLQLFKTSTLHQFGDFEHVAGYWGTMLNEGEALAIVSLAHLEAGIIEHKYGQIDSFRSHLECAEKSCGLHLIVTGALGFRTIHQVEAKPQLILAANNHEQSHVHLSQVQSDSTVSESKDAVDHKLDDCSDVLMTPKLVKNGKNDDLNVDLTHINKDINLTFIQQAVILAQCLHLQRRSRDDELSGWEMAPYIEAIHSQHQTCYTVQVIFDASPVTAQRIQLCYGLYIPTVSALRKEYGELLVSCGLVGEALKIFEDLELWDNLIYCYQLLGKKAAAVDLIKSRLHDMPSDPRLWCSLGDATTTDAYYEKALEVSNNRSARAKRSLARNAYNRSDYETSKTLWESALALNSLYPDGWFALGAAALKARDTDKALDAFTRAVQIDPDNGEAWNNVACLHMIKKKSKAAFIAFKEALKFRRNSWQLWENFSHVALDVGNIRQSLEATKMVLDLSSNKRVDVELLDKILRKFEDHEKNANSDLSSSDIFDAEYSLVEPYDTQAIPAEPRETELLLDMLGNIMQQVIRNGVPEDIWGLYARWHIIKGNLIMSCEALLKQVRSFQGSELWRDRNRFKKFVHASLQLCKVYMDIASSTGSCRELFTAEMHLRSSVKQAVDFSDTDEFRDLKTCLDEVKKQIAATSGEVSCVT >Ma02_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6987476:7012254:1 gene:Ma02_g00850 transcript:Ma02_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDPGFLKSVELRLIRCTLYRELPFFPPASPTPPSSSLSSRQSFRPLVEAVVDSIERGRYMDALSSDASRLVFGFSESWEFQDSAACAARFYEEVELSVEAFLRDVGSVAWLQVLDADSDPDVDVEGRCALLMCLGVAALLAFTQQNVTGPIGNFSPFPLAFPLLKEGISDCGGEWDVWARNQVASVGSDVHGKFALLQYIVYSKILLSKIKELVLEVETSYINGCRSLYWWLCRLFCLQQRITEELSSSLYDLLQLFKTSTLHQFGDFEHVAGYWGTMLNEGEALAIVSLAHLEAGIIEHKYGQIDSFRSHLECAEKSCGLHLIVTGALGFRTIHQVEAKPQLILAANNHEQSHVHLSQVQSDSTVSESKDAVDHKLDDCSDVLMTPKLVKNGKNDDLNVDLTHINKDINLTFIQQAVILAQCLHLQRRSRDDELSGWEMAPYIEAIHSQHQTCYTISNFCDILRIRWESKRSRTKQRALLMMDKLVQVIFDASPVTAQRIQLCYGLYIPTVSALRKEYGELLVSCGLVGEALKIFEDLELWDNLIYCYQLLGKKAAAVDLIKSRLHDMPSDPRLWCSLGDATTTDAYYEKALEVSNNRSARAKRSLARNAYNRSDYETSKTLWESALALNSLYPDGWFALGAAALKARDTDKALDAFTRAVQIDPDNGEAWNNVACLHMIKKKSKAAFIAFKEALKFRRNSWQLWENFSHVALDVGNIRQSLEATKMVLDLSSNKRVDVELLDKILRKFEDHEKNANSDLSSSDIFDAEYSLVEPYDTQAIPAEPRETELLLDMLGNIMQQVIRNGVPEDIWGLYARWHIIKGNLIMSCEALLKQVRSFQGSELWRDRNRFKKFVHASLQLCKVYMDIASSTGSCRELFTAEMHLRSSVKQAVDFSDTDEFRDLKTCLDEVKKQIAATSGEVSCVT >Ma02_p00850.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6987476:7012254:1 gene:Ma02_g00850 transcript:Ma02_t00850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDPGFLKSVELRLIRCTLYRELPFFPPASPTPPSSSLSSRQSFRPLVEAVVDSIERGRYMDALSSDASRLVFGFSESWEFQDSAACAARFYEEVELSVEAFLRDVGSVAWLQVLDADSDPDVDVEGRCALLMCLGVAALLAFTQQNVTGPIGNFSPFPLAFPLLKEGISDCGGEWDVWARNQVASVGSDVHGKFALLQYIVYSKILLSKIKELVLEVETSYINGCRSLYWWLCRLFCLQQRITEELSSSLYDLLQLFKTSTLHQFGDFEHVAGYWGTMLNEGEALAIVSLAHLEAGIIEHKYGQIDSFRSHLECAEKSCGLHLIVTGALGFRTIHQVEAKPQLILAANNHEQSHVHLSQVQSDSTVSESKDAVDHKLDDCSDVLMTPKLVKNGKNDDLNVDLTHINKDINLTFIQQAVILAQCLHLQRRSRDDELSGWEMAPYIEAIHSQHQTCYTISNFCDILRIRWESKRSRTKQRALLMMDKLVQVIFDASPVTAQRIQLCYGLYIPTVSALRKEYGELLVSCGLVGEALKIFEDLELWDNLIYCYQLLGKKAAAVDLIKSRLHDMPSDPRLWCSLGDATTTDAYYEKALEVSNNRSARAKRSLARNAYNRSDYETSKTLWESALALNSLYPDGWFALGAAALKARDTDKALDAFTRAVQIDPDNGEAWNNVACLHMIKKKSKAAFIAFKEALKFRRNSWQLWENFSHVALDVGNIRQSLEATKMVLDLSSNKRVDVELLDKILRKFEDHEKNANSDLSSSDIFDAEYSLVEPYDTQAIPAEPRETELLLDMLGNIMQQVIRNGVPEDIWGLYARWHIIKGNLIMSCEALLKQVRSFQGSELWRDRNRFKKFVHASLQLCKVYMDIASSTGSCRELFTAEMHLRSSVKQAVDFSDTDEFRDLKTCLDEVKKQIAATSGEGA >Ma02_p00850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6987476:7012254:1 gene:Ma02_g00850 transcript:Ma02_t00850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDPGFLKSVELRLIRCTLYRELPFFPPASPTPPSSSLSSRQSFRPLVEAVVDSIERGRYMDALSSDASRLVFGFSESWEFQDSAACAARFYEEVELSVEAFLRDVGSVAWLQVLDADSDPDVDVEGRCALLMCLGVAALLAFTQQNVTGPIGNFSPFPLAFPLLKEGISDCGGEWDVWARNQVASVGSDVHGKFALLQYIVYSKILLSKIKELVLEVETSYINGCRSLYWWLCRLFCLQQRITEELSSSLYDLLQLFKTSTLHQFGDFEHVAGYWGTMLNEGEALAIVSLAHLEAGIIEHKYGQIDSFRSHLECAEKSCGLHLIVTGALGFRTIHQVEAKPQLILAANNHEQSHVHLSQVQSDSTVSESKDAVDHKLDDCSDVLMTPKLVKNGKNDDLNVDLTHINKDINLTFIQQAVILAQCLHLQRRSRDDELSGWEMAPYIEAIHSQHQTCYTISNFCDILRIRWESKRSRTKQRALLMMDKLVQVIFDASPVTAQRIQLCYGLYIPTVSALRKEYGELLVSCGLVGEALKIFEDLELWDNLIYCYQLLGKKAAAVDLIKSRLHDMPSDPRLWCSLGDATTTDAYYEKALEVSNNRSARAKRSLARNAYNRSDYETSKTLWESALALNSLYPDGWFALGAAALKARDTDKALDAFTRAVQIDPDNGEAWNNVACLHMIKKKSKAAFIAFKEALKFRRNSWQLWENFSHVALDVGNIRQVIRNGVPEDIWGLYARWHIIKGNLIMSCEALLKQVRSFQGSELWRDRNRFKKFVHASLQLCKVYMDIASSTGSCRELFTAEMHLRSSVKQAVDFSDTDEFRDLKTCLDEVKKQIAATSGEVSCVT >Ma04_p25910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27532706:27549584:-1 gene:Ma04_g25910 transcript:Ma04_t25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEPMATVGPEQEMPVLPENKVTDAKDDGGDLQTLPKDHTDNQSSQLGAEPDLTRKSESVKIAAEHDISKELDDTHLDKPTEETHLEKTTDNTPLENSMEEISFEKQTVETPLEKRTDGAPSDKKTDDAPSEKQTDDAPSVKLTGDALPEKQTDDALSVKLTGDALPEKQTDDMPSENQTDDIPLEKQTNDMSLETQRDEMSLEKQTDNTPLEKQADDTPVNNQTDDRPFEKQKDNTSLEKQTDDMSLEKQTNDAFLGKHTDDQVIMPTTHMETDSDQSGILNVKAEAENGAATITSENKTISAVTDVREPHPGDNYTKTPSKEEQTAGDNSTPIEGSNQSFIFEPYSDGDDSGTEEEQVAFMKELENFYRERSMEFKPPKFYGEALNCLKLWRTVTRLGGYDQVTACKLWRQVGESFKPPKTCTTISWSFRIFFEKALLEYEKHKIQTGELKFSMANLPGSMSVDNQVGGNQGSGSGRARRDAAARAMQGWHAQRLLNGEVGDPIIKDKNSLSFMKRGTLKKRKAPTLEDAVKVAKTDPVKRQGDVIITDAGAPADWVKINVLRTKEFFEVYALVPGLLREEVQVQSDPAGRLIISGDPEQRDNPWGVTPFKKVITLPSRINPHQTSAVVSLHGQLFVRAPIDESDV >Ma04_p25910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27532706:27549770:-1 gene:Ma04_g25910 transcript:Ma04_t25910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEPMATVGPEQEMPVLPENKVTDAKDDGGDLQTLPKDHTDNQSSQLGAEPDLTRKSESVKIAAEHDISKELDDTHLDKPTEETHLEKTTDNTPLENSMEEISFEKQTVETPLEKRTDGAPSDKKTDDAPSEKQTDDAPSVKLTGDALPEKQTDDALSVKLTGDALPEKQTDDMPSENQTDDIPLEKQTNDMSLETQRDEMSLEKQTDNTPLEKQADDTPVNNQTDDRPFEKQKDNTSLEKQTDDMSLEKQTNDAFLGKHTDDQVIMPTTHMETDSDQSGILNVKAEAENGAATITSENKTISAVTDVREPHPGDNYTKTPSKEEQTAGDNSTPIEGSNQSFIFEPYSDGDDSGTEEEQVAFMKELENFYRERSMEFKPPKFYGEALNCLKLWRTVTRLGGYDQVTACKLWRQVGESFKPPKTCTTISWSFRIFFEKALLEYEKHKIQTGELKFSMANLPGSMSVDNQVGGNQGSGSGRARRDAAARAMQGWHAQRLLNGEDKNSLSFMKRGTLKKRKAPTLEDAVKVAKTDPVKRQGDVIITDAGAPADWVKINVLRTKEFFEVYALVPGLLREEVQVQSDPAGRLIISGDPEQRDNPWGVTPFKKVITLPSRINPHQTSAVVSLHGQLFVRAPIDESDV >Ma04_p25910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27532706:27549770:-1 gene:Ma04_g25910 transcript:Ma04_t25910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEPMATVGPEQEMPVLPENKVTDAKDDGGDLQTLPKDHTDNQSSQLGAEPDLTRKSESVKIAAEHDISKELDDTHLDKPTEETHLEKTTDNTPLENSMEEISFEKQTVETPLEKRTDGAPSDKKTDDAPSEKQTDDAPSVKLTGDALPEKQTDDALSVKLTGDALPEKQTDDMPSENQTDDIPLEKQTNDMSLETQRDEMSLEKQTDNTPLEKQADDTPVNNQTDDRPFEKQKDNTSLEKQTDDMSLEKQTNDAFLGKHTDDQVIMPTTHMETDSDQSGILNVKAEAENGAATITSENKTISAVTDVREPHPGDNYTKTPSKEEQTAGDNSTPIEGSNQSFIFEPYSDGDDSGTEEEQVAFMKELENFYRERSMEFKPPKFYGEALNCLKLWRTVTRLGGYDQVTACKLWRQVGESFKPPKTCTTISWSFRIFFEKALLEYEKHKIQTGELKFSMANLPGSMSVDNQVGGNQGSGSGRARRDAAARAMQGWHAQRLLNGEVGDPIIKDKNSLSFMKRGTLKKRKAPTLEDAVKVAKTDPVKRQGDVIITDAGAPADWVKINVLRTKEFFEVYALVPGLLREEVQVQSDPAGRLIISGDPEQRDNPWGVTPFKKVITLPSRINPHQTSAVVSLHGQLFVRAPIDESDV >Ma04_p25910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27532706:27549770:-1 gene:Ma04_g25910 transcript:Ma04_t25910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEPMATVGPEQEMPVLPENKVTDAKDDGGDLQTLPKDHTDNQSSQLGAEPDLTRKSESVKIAAEHDISKELDDTHLDKPTEETHLEKTTDNTPLENSMEEISFEKQTVETPLEKRTDGAPSDKKTDDAPSEKQTDDAPSVKLTGDALPEKQTDDALSVKLTGDALPEKQTDDMPSENQTDDIPLEKQTNDMSLETQRDEMSLEKQTDNTPLEKQADDTPVNNQTDDRPFEKQKDNTSLEKQTDDMSLEKQTNDAFLGKHTDDQVIMPTTHMETDSDQSGILNVKAEAENGAATITSENKTISAVTDVREPHPGDNYTKTPSKEEQTAGDNSTPIEGSNQSFIFEPYSDGDDSGTEEEQVAFMKELENFYRERSMEFKPPKFYGEALNCLKLWRTVTRLGGYDQVTACKLWRQVGESFKPPKTCTTISWSFRIFFEKALLEYEKHKIQTGELKFSMANLPGSMSVDNQVGGNQGSGSGRARRDAAARAMQGWHAQRLLNGEVGDPIIKDKNSLSFMKRGTLKKRKAPTLEDAVKVAKTDPVKRQGDVIITDAGAPADWVKINVLRTKEFFEVYALVPGLLREEVQVQSDPAGRLIISGDPEQRDNPWGVTPFKKVITLPSRINPHQTSAVVSLHGQLFVRAPIDESDV >Ma10_p11380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24919091:24921941:1 gene:Ma10_g11380 transcript:Ma10_t11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNISPTTGDVKGILESVGADVVDEKIELLLSQVGGKDLTELIATGREKFASVPCGGGIAVAVAAPAGGSGGAAAASSAAEPKKEEKVEEKEESDEDMGFSLFD >Ma10_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24919094:24921941:1 gene:Ma10_g11380 transcript:Ma10_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNISPTTGDVKGILESVGADVVDEKIELLLSQVGGKDLTELIATGREKFASVPCGGGIAVAVAAPAGGSGGAAAASSAAEPKKEEKVEEKEESDEDMGFSLFD >Ma09_p26290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37589897:37596432:1 gene:Ma09_g26290 transcript:Ma09_t26290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKSLSKGHYEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGGQIETAARPYAFIKFDTFIQKTKKLYLDTHTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSELSSRLTSESRIYADKAKDLNRQALIRKWAPVAIVLGVVMLLFWVRKKIW >Ma09_p26290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37590072:37596432:1 gene:Ma09_g26290 transcript:Ma09_t26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKSLSKGHYEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGGQIETAARPYAFIKFDTFIQKTKKLYLDTHTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSELSSRLTSESRIYADKAKDLNRQALIRKWAPVAIVLGVVMLLFWVRKKIW >Ma06_p30950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32184112:32185967:-1 gene:Ma06_g30950 transcript:Ma06_t30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFQNTSPPRPRLLFVTMAALLSLPLLLLFLILPSSAPSSPPPLPPEIAQACGATRFPSSCHSALSQSPDLPSSPSALQLLSAAVAAPSDALPSSRSQAESILASADANPARAAAARDCLEHLSLSDRRLSAASAALPAGRLADARAWAGAALLYQYDCWSAFKYVNSTRRVADCMDSLLDLAALTSNALSMIAAFQRFGADISLWVPPQTERDGYWGDSPAVAAGGGSGSLRPANGATTFPSDRPPNATVCKTGSCDYQSVQDAVAAAPDFASDRFVIVVKAGVYEETVRVPFEKTNLVLLGEGMGTTVITGSQNVGHNQDVTTYHSATVGILGDGFAARDLTFENTAGPGAHQAVAFRSDSDQSILESVEFRGHQDTLYARSLRQLYRKCRIAGTVDFIFGNSASVFDRCVIEVVPRAEGPRKAGSNPVAAHGRTDPAQATGFVFSGCAINGSDDYLAAYQRKPGAHRAYLGRPWKEYSRTVYVNCYMGEVVMPEGWLPWREDFALSTLFYGEYGSSGPGANAAARVGWSSQIPSEHVGLYSVETFIQGDEWVPSEQ >Ma03_p32320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34165219:34167122:-1 gene:Ma03_g32320 transcript:Ma03_t32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66520 [Source:Projected from Arabidopsis thaliana (AT5G66520) UniProtKB/Swiss-Prot;Acc:Q9FJY7] MAALLLPLPNIVLLRPPAACTSLLDKCSDVEGLKQIHATMVKTGLVLDAVPASRLLAALCRSPDDGSLTYALLFFERLRCRNTFMWNTMIRALSDSNLPEQAVILYCQMRSDAMPHNPYTFPFLLKACVALPSALPETQQVHSHIIKHGFASEVYTANSLLRVYAKSGCTGSARKLFDRMPCRDAITWNSMIDGYAKSGRLEMARQLFDLMEEKNVVSWTSMITGCVENCLFKEALELFGEMLVTEVEADARALTSALTACTHLGALDQGRWIHTYIKKRQMQLDPALGCVLVDMYAKCGELDEALSIFETIRERNVAVWTAMIAGLAVHGLGREALDLFKEMEDAGVKPNHITVTSALTACSYAGMVEEGGSLFERITKEYNMSPSVEHYGCMVDVLGRAGMLKEAEDLINAMPFDPNAAVWGALANACRIHRNFELGKHVGKMLIELEPEQSGRYIQLASILAAEGSWKQSLTTRKLMRERGVLKLPGCSSISVKGRVHEFVVGDRSHPQAEKIHLEWERILRRLRKEGYVASTDQLLLDLEEEEKEAAVGWHSEKLAIAFGFISTEPGTTIRIVKNLRVCADCHAVSKLISKVYRRKIVMRDRARFHVFADGTCSCKDYW >Ma03_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7410530:7411408:-1 gene:Ma03_g09950 transcript:Ma03_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEVPLDAIAFRLYSLPAAAAAARSDWACLVLLAAAAAALGLWGIRIVGSKPDPATPTSPPPPPVPSLPVCPPESQTPVGKEAAAAAWRASGHVEEASTPKSRFMAYYAASTDSLYEDCARGDGLGEGEEEDVDSVSDVDRRVTAPWSGGGCGLEWAVVQRRGDLGWYRYQDMTALDGSVVKLWDGRDGGLTATAERRLRRRP >Ma09_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11384946:11390796:-1 gene:Ma09_g16060 transcript:Ma09_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGTATKHDYGEPSYWDQRYRQYPEQFDWYQKYQSLAPLFDLYLRRSHRLLLVGCGNSALGESMVDDGYQDVVNIDVSSIVIEAMQKKHMDKPGLKYIKMDVRDMDPFQSSSFDAVIDKGTLDSLMCGHNALLNATKMLQEVGRVLKDKGVYILITYGDPSYRLSLLRDIELWTINMHVIERIEKSSGQKTWELITPLPLNEDGSSVAAVLRSNPEVHYIYVCIKDESRRWQQSTETNDIRNEQ >Ma07_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1754731:1761648:1 gene:Ma07_g02240 transcript:Ma07_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDLGHARPEDDELASLDELYNINVVPSELFFKFRKQIEGFRVGANLEFFNLSANEFHAKLVLKPTAADRRWKFIYEPLHGDIRLLSKKIPLTKYLNLQVGIGHNFQLNATGWKWKLSTCLGGDGVSQIRNKTSVGLCPGVDLRIGWRAEYILPEIHGAVGTGEPMFNMNYGRLQASIDRVEAIITQG >Ma06_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9423071:9438479:-1 gene:Ma06_g13790 transcript:Ma06_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSRRTARSRGRSSPGEADAGESARGPRRPVEGIGKGRSRDHGPIRLRDVSLKTLLGLGFLAALFVFFLVNNYQWGSLREDAAVRSLRRAVTPLPAPRMMDLPQFQGEHQESLYWGTYRPHVYLGIRARTPQSLIAGLMWIGIKDGQYFLRHICQDSDDLSTYGWRDHNGRDYGRQEIVDHGLSFMTSFLKEKREGSGYGGDWAVRLDLQNTKSKVHDAEDSTAHLFFYVADEEGNSLGVQRQKFESRDSTLLAFGERNDVGGWELHLDSQEKLDAHFSGFRTLHMHNLSELVQGTLAFHARRTGQLRLPDAIEDSSNIIVVQISATVPAKIDITFVSGTNVEGSSIDERINSLTGAMLSTRLEEKQGEVEDKYVSIFNIKDEVDSESMIVGRAAIGNLLGGIGYFYGQSRIALPKGFTQENGEKFISYWPAALFTAVPSRSFFPRGFLWDEGFHQMIIGRWDAKLSMDIIGHWLDLINIDGWIPREQILGAEALSKVPEEFVLQYPTNGNPPTLFLVLRDLLNGIRMGKFSSQETNEITDFLSRAYIRLNAWFQWFNTTQSGKDVGTFYWHGRDNTTTKELNPKTLTSGLDDYPRASHPNDEEQHLDLRCWMLLAADCMHSIAELLKMENASAMDYHHMSKQLSDFEKLNQMHLDHASGAYFDFGYHTEKVRLRWHEVKVLDTVQRELVRETLEEPQLKLVPHLGYVSLFPFMMGIIPSESPILEKQLRLISNRSTLWTDYGVRSLSKMSSLYMKRNTEHDPPYWRGPIWINMNYMILSALHHYSQEDGPYRTMAETLYQELRSNLIRNIVRNYYATGFLWEQYDQKNKGKGKGARPFTGWTALVLLMMTEAYPSLQR >Ma09_p28090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38924102:38927094:-1 gene:Ma09_g28090 transcript:Ma09_t28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHVRPNNSNEIHDIDVVTAQTLTTPSFFDPPRVHRRRNLKELFPPFLPRKVVSEMIATFLLVFVTCGAGALNKNNPGVVSQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVARHFPWIQVPFYMLAQIAGSTTASYILRELLDPIHDLGTTTPSHTAAKALVAEIVVTFNMMFVTAAVATDTKAVGELAGLAVGSAVCITSILAGPISGGSMNPARTLGPALASNKFDSLWVYFVGPPVGTVAGALAYSFIRLDEHSLSSQKDSQKSPSLKMRRVQSQDMASPTNDAFESGV >Ma11_p06790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5444189:5448686:-1 gene:Ma11_g06790 transcript:Ma11_t06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQATKLREQVARQQQAVLKQFGGGGYGISDNVITDEAELQQYRRIEQLYISTRSSKHFQRDIVRGVEGFIVTGSKQVEIGTKLSDDSRKYGVENSCTSDHTLSKAALIFSQARSHMEKERENLLKSLSSLVVEPLRAMVVGAQLEDARHLAQRYEKMRQEAEVQTIEVSKCQIKLREAPGYVDNSLKLEAAETKLQELKSNMTVLGKEAIAAMAAVEAQQQRLTLQRLIAMLAGEMVSKRQRIEASPSSVLDEPVPPPQSYEEADGVYPSHTFDGLTDSMGYFLGEVVHSYQAESDAELDLSVGDFVVVRKVSNNGWAEGECKGKAGWFPYGYVERRDRVLASKIAEVF >Ma11_p06790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5444191:5448686:-1 gene:Ma11_g06790 transcript:Ma11_t06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQATKLREQVARQQQAVLKQFGGGGYGISDNVITDEAELQQYRRIEQLYISTRSSKHFQRDIVRGVEGFIVTGSKQVEIGTKLSDDSRKYGVENSCTSDHTLSKAALIFSQARSHMEKERENLLKSLSSLVVEPLRAMVVGAQLEDARHLAQRYEKMRQEAEVQTIEVSKCQIKLREAPGYVDNSLKLEAAETKLQELKSNMTVLGKEAIAAMAAVEAQQQRLTLQRLIAMIESERSYHLKSLQILDQLAGEMVSKRQRIEASPSSVLDEPVPPPQSYEEADGVYPSHTFDGLTDSMGYFLGEVVHSYQAESDAELDLSVGDFVVVRKVSNNGWAEGECKGKAGWFPYGYVERRDRVLASKIAEVF >Ma05_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4920694:4929876:1 gene:Ma05_g06680 transcript:Ma05_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVDRATSHMLIGPDWAMNVQICDILNRDPGQAKDVVRGLKKRIGHKNPKVQLLALTLLETVTKSCGDVVHMHVAEKDILHKMVKIVKKKPDLQVKEKILVLIDTWQEAFGGPRAAYPQYYAAYQDLLQAGAVFPQRTGRSASIFTPQNQPLTLYPPSARSTDDHQEVPESSVGSDFPALSLTEIQNARGIVDVLAEMLNAIDPGNREGLRQEVIVDLVDQCRTYKQRVMQLVNTTTDEELLCQGLALNDDLQRALAKHDSVAAGIAVQVEKPKTLKALVDVDDSAASKETDQRSSTGTSSNQPPLQQLSLPAPPVSNSSATSLAIVDPNIDLLSGEDYSKPATENMLALVPVSEPLTNSASDQNILALSDMFSNTSAQVNNNNPTNVSDSSLTLSAPQAYPSVSPLQLQPQLVQQPAPYPNGNNSGPPRYEHAINDGSQLNQANTVWNGQVIPSLTSQQATQGYGINDQNGILPLPPWQAQPVRTTELPNFQPQPMQNGHLGGVNSLPGPINQPGSMQLQAPQSMPGSFMGVMHPQFMLGTQFGGLQPQFVQGNQYVGQNGQMTAIYSQQMLGGHLPAINQQTLSGAQMTGFGYRKQPESQFYDPRVTAYNYSSPEEISQRMYGLSMQDNSMFVNKTSSYQSSMPSYLHQSNMPSKPDDKLFGDLVNMAKAKQNNPTSNKVGSL >Ma11_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6103740:6107967:1 gene:Ma11_g07670 transcript:Ma11_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKKKPETAVEEGDGVEERRGDAGGEEEKGGGEEEGERLGKEEERGEVPRLEEGFYEIEGIRKKRVRKGQIQYLIKWRGWPETANTWEPFENIQSCADVIEAFEERSRSPRSRKRKRRPGGPYGMAAHKKRSIETEESKTAPNQNLPTQNGASGTAVACADADKTHEQVGKRVVVDEEVGDLKKKTRTEKVKAITSRSRRGDGQNPELLNSADQIETNGHDSVKPSGGQEDGSMDGFSKVESTQASQGNVATGAKRRKSGCVKRFQQGSATGHWDEQQNASVRRETGSGGKGEKSGNKNVVSELDNKNKLDDTGKPPSITKLLVPVRFFASVTNNVQQVSITFKALRSDGKEVFVDDKELKATNPLLLISFYEQHLRYSPNQ >Ma03_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6755252:6755928:1 gene:Ma03_g09160 transcript:Ma03_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGVHRKRLKRSKGKTKPIKVVYIANPVRVTTSAATFRSLVQKLTGRDADTAELDTTSCSSPSEPADDASTASAPASVASPDSGGSVPSEPSTSGGVRPDNSLVAPVEVFEEGFDAQMMGSFPGFISSILCYEPRVAGLEGFTLV >Ma08_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5516792:5522425:1 gene:Ma08_g07950 transcript:Ma08_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVPINSGPPPAAGSAHFFADNLKGFLLAVASSAFIGASFIIKKKGLKRAGASGSRAGIGGYGYLLEPLWWIGMVTMIIGEIANFVAYIFAPAVMVTPLGALSIIVSAVLAHFILKEKLQRMGVLGCVLCIVGSTVIVLHAPEERTPSSVEQIWDLATQPAFLLYTASAVAVSLVLMLHCSPRYGQTNIMVYLGICSAIGSLTVMSIKAIGIAIKLTLEGVNQAGYFQTWVFAMVAISCIIIQLNYLNKALDTFNTAVVSPVYYAMFTILTILASAIMFKDWSGQSASNIASEICGLITVISGTTVLHSTRESDQTSSSDLYTPLSPKIFWHIQGNGELGKLKDDDLLSGEFVAVVRQDHFT >Ma01_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3132869:3139345:-1 gene:Ma01_g04610 transcript:Ma01_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESVVRKKPGMASVREMPVLQDGPPPGGFLPVRYARRIPSKGPSAVAIFLAAVGAFSWGMYQVGQGNRVRRALKEEKYAARRAILPMLQAEEDERFVKEWNKYLEEEARIMKDVPGWKVGESVYNSGKWVPPSTGELRPDVW >Ma08_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10144353:10147030:-1 gene:Ma08_g12950 transcript:Ma08_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKTWNSLSLSLSLSLSLSLSLACQPQYSLNHQLAVRTFIPLSEASSLVLSSQRKDEVGGDSLAWSSSDELMLSDAKEGVVRRRHPWRRPS >Ma07_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4781405:4786269:1 gene:Ma07_g06680 transcript:Ma07_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGVPFLEASAKNATNVEQAFMAMTAAIKNKMASQPGMNGARPPTVQIRGQPVNQKTTCCSS >Ma06_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11685328:11685860:-1 gene:Ma06_g17190 transcript:Ma06_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGITLLLLPPSSTGAAIDYGLALTKSLLFFQAQRSAKLPPGQRVTWHGDSALNDGKDNRVDLTGGYYDAGDNVKFGFPMAYVITILTWGAFEFDARLVAKKEFQNTLAAIRLGTD >Ma06_p34090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34500123:34508241:-1 gene:Ma06_g34090 transcript:Ma06_t34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLRPDPFHLGLLLGLLLCHHAGASIHEYYNGAFIPRSNSFFFHGGSEGLYASAEVNITAPASDGNSFIQFESVTFRRTKESASMHSDMQQKTGLVEAIVVEIQDRDKIGGRYLNTDAICCTRELHDRNLCKVGEVIIRPSQDNSDWPKRIQTFFDGSSEETTMVTQAIPIRKTGMYYLYFMFCDPQLKGTIIKGRTVWRNPHGYLPGKMAPLMTFYGFMSLAYLLLGLCWFLQFVRYWKHTLQLHYHITAVIALGMCEMAFWYFEYGNFNSTGSRPMGITIWAVTFTAVKKTVSRLLLLVVSMGYGVVRPTLGGITSRVAFLGFVYFVASEALELVEHLGNINDFSGKARLFLVLPVALLDATFIVWIFSSLSKTLEKLQIRKSTAKLELYRKFTNSLAVSVLLSVAWIGYELYFNATDPLSELWQRSWIVSAFWNVLSYVLLGIICILWAPSHNPTRYAYSEDTNDDFDDEGIPLTGCGVRGIGDNLSKLERKERKNMDHVFGMGDDLEEDKRE >Ma02_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19908796:19910212:1 gene:Ma02_g10290 transcript:Ma02_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISGTESPSWADLLGLNHWSGLLDPLDDTLRDLLLMWGDMCQVTADSFIRDSDSRYRGLCRYSKNSLLDKTFFPNAADYEVVEYLYALSTVLFFLKESNWMGYVAVSSNAHAEAVGRRDIYVVWRGTSRIMEAFEDITIPLWPFDDDNEDVKVMLGWRDIYNSSDPNFEFNKTSARDQLLETVKEQVGKYKDENLTIVCVGHSLGGALAILSAYDIVKHGLSKIGETEEYFPVCAMVFESPRVGNQAFRDSWEQQPNLRLLRVKNKGDGVPELPRLEWGYVDVGTVLEVASEQSRFLKSEGDKHNLQVILHTVAGWEGASGGFDPTRVKRSLALVNKQGDDLVDECKIPASWWVEKNKGMVLDKDGNWVEAPPEI >Ma04_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2277172:2277957:1 gene:Ma04_g02730 transcript:Ma04_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFLACYEEWLGIQEADLDELLQAISTQHGNRERSDAELRELVERCMRHYEEYHERRRGLVRDDSPTFLCPPWCNSFENSMIWAGGCRPSMFIRLIYSLSSSGLEAHLDAPAGRAVSSHGEGLMGLSSSQLARVNEIHRSTLQEEDKITSQMATLQENVADGPLLPIVKKRQMWQWSGTSRVENGGGDAEVEAAMEEYTESMERLVQEADRLRVETARTLVLEILTSKQAVELLVAAKQLQLSVHECGHQRDQRNGRGR >Ma04_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26461643:26465824:-1 gene:Ma04_g24470 transcript:Ma04_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAKRRERLQAMRTEASRAAASPYSSPASAPLPLPLPLLSEPPVPPPGGLESPPPPAPRFEFYSDPMSTFSAAKRMRGVGTGAAGFSPSSPYLPHPPTPPPPPPQSTFPSGIRNTYMDSSYASVQQFHMSQPPDSSSYGTPSHVPYNSPWRSPVRSPTPFSGYRGSPARGPGSWNRSSGSGFPTYSSNSVPRSPHFGPVESPRSIAFSNSPQSITGRGRGRQFCGGPSPRPSGGRGRSFSGKASAREDIERYYLKSMIENPWHDLEPVVGNILEPMAGQGYWLPESISGKKSEVSETERRNQFSSKSSLAEFLAASLEEAVNDD >Ma04_p11110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7819927:7823983:-1 gene:Ma04_g11110 transcript:Ma04_t11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADGRGLRRFLFRSSSSSRPHAAADRNPLIGPPPHPPPPPPRPRPSSPGRKKGLAAAAFRGLGCASAAASQAYAPAAAAVRSSADWQGKRPRRRRAKKKKKEGRGAVGGDVWCAPGMPFAAEASVDCVMAHQPMVGRGRFDAAERIHREVRPYIPRRVSHQEQISSATDSPSNLDTPFYGPGLLPLGHLRHLRGYHRTPGGLEEIMMFQTRVLLRGGMEVYDQFRDWRLDVDNMSYEELLELGDRIGHVNAGLREEEIARSLRKTKHSVFDASANHFSPEVEWKCSICQEEYEGNDETGRLQCGHSYHMHCIKQWLLLKNACPVCKTPVLKT >Ma04_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7819927:7823983:-1 gene:Ma04_g11110 transcript:Ma04_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADGRGLRRFLFRSSSSSRPHAAADRNPLIGPPPHPPPPPPRPRPSSPGRKKGLAAAAFRGLGCASAAASQAYAPAAAAVRSSADWQGKRPRRRRAKKKKKEGRGAVGGDVWCAPGMPFAAEASVDCVMAHQPMVGRGRFDAAERIHRERPYIPRRVSHQEQISSATDSPSNLDTPFYGPGLLPLGHLRHLRGYHRTPGGLEEIMMFQTRVLLRGGMEVYDQFRDWRLDVDNMSYEELLELGDRIGHVNAGLREEEIARSLRKTKHSVFDASANHFSPEVEWKCSICQEEYEGNDETGRLQCGHSYHMHCIKQWLLLKNACPVCKTPVLKT >Ma02_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28947149:28949860:1 gene:Ma02_g24270 transcript:Ma02_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNGHPEEDGQHYIYNKREEDFPSLKECNDYLEEVEDMRIDVAAIEAKIAKYQEENAEQIINARARKAEELAAALKASQNFGQGDPTDGGAGPNAQGIDVGNQGQYAPTSMLGGVLMQPRPTGMAPQPVPVGAPADPLQGYATDDEETTKL >Ma04_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3571046:3574509:1 gene:Ma04_g04660 transcript:Ma04_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQREKPDGAASPLVAVAIDKDKNSQSAFKWALDNVVTKGQTLTLVHVNTKPSSGYEDDAAAVANTIREIFVPFRCFCTRKNVQCKDVVLEDTDVAKAITEFVTNAAVEKLVIGSSSRSGFVRSFRSTDLSTTICKGVPDFCSVYIISKGKVSSMRNAVRPPPPVSLLRNQIQGQASVKPDTLSQNAKNGTRGPVPSDAALTPWNLQKDNESIKSPFTRGGRASTTRSYGESMADSDVSFVSSGRSSERSYPPRLSNLSDSYERSFESPRRSVGAVSSANGFSSVSHESSSSQNMEDVEAEIRRLKLELKQTMDMYSTACKEALTAKQKTMELQRWKMDEEKRIKDARLAEEEALALAESEKARCVAAIQTAEASKRIAENEAHKRISAEMKAFKDAEDKKKAFDALSHTNLRYRRYTIEEIEVATDYFAEERKIGEGGYGPVYRCTLDHTPVAIKVLRPDAAQGRSQFQQEVEILCCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDRLFRRGNTPPIPWQHRFRIAAEIGTGLLFLHQTRPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTATAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQIITGKPPMGLTHHVERSIEKGTFIEMLDHSVPDWPVEEALSLAKMSLKCAELRRKDRPDLATAILPELNRLRDLAEENMQFSPFGTGSYTSPIHSQVSTQDIMSGPGTVQSGYDSSRSRYSGSSIPGR >Ma03_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5911998:5914333:1 gene:Ma03_g08230 transcript:Ma03_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDGEEIRLLVDDRGMSSPCSPAAASMCLCRICHEEEEERSTTMESPCACSGTLKFAHRECIQRWCDEKGSNVCEICLQKFEPGYTIPEKKASVDAAVTIRGSLEVPRLNYDPHNPEFISDNNSDFECAEVSPASRRHASYIRSIALMFMIVLVIRNLVAVITVGADHYASTVLTVFLLRASGILLPFYLVMQFVSALQEAQQQDQLRRFHIVDAWTSHRSEEEEHRIQIPS >Ma04_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6871321:6871836:1 gene:Ma04_g09680 transcript:Ma04_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRATALVVLFVAAAGFAAAADAPAPGPNAKTEQTAPIHSPAKSPTTAPTPASSPATSAVSGPANAPAPATAAPANAPGPATAQGPAAAAPTTTTSPSSSPAAAPGPNTLDDEDDIPTDGPIPGSPPEPSVAASPEDDLSPTATTSSAGASLAAGTAFGALSAVLVAVLAF >Ma03_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4786495:4787930:1 gene:Ma03_g06960 transcript:Ma03_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGCWEGAGGGAGAAEAHKPVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKHLLCEIHRRKSASVSSSLPLPSSPPLFPLYHHHHFDEHQLAGPHWRDAPSPRLLVLGDSSIVSGNDIDTTMRGGGGKEPVMVAALVEENERLRRSNAALLSELAHMRQLYNDIIYFVQNHVRPVAPSSAAVASANFLLSSAYNQRRTGLKSGSTTSSSSLTIVEEPSPPSPHLEQSPKNHHHHNSGNCNGESSSTRPKLFGVSLDGCSSSSSSSKRGWQPDEPTSPSTRPRLALDKMDLGFHLMPPSPPSC >Ma09_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5397606:5398361:1 gene:Ma09_g08200 transcript:Ma09_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPLRRDSAVETMEGQASSVLGEVDTTRPFRSVKEAVAVFGERFLAGDAAPPHKAHANAEPDITPRPTCSLPAPKPAYSTSSSPLSYTSSASHFIRCKDVETTVFSCLRNLAAELEEMKRELRILKERESETARAIATINSQLHKSMSKLAEIEAAESAVSIEQHAKARSERWQDDRARDMEERLEYLPCLGQALSLAEIEDHLGGRRKIKLRKRKPIIPLLGDLLSRERESSDLNSSVYSRSSFYSFS >Ma10_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1550418:1551008:-1 gene:Ma10_g00310 transcript:Ma10_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLPSMLASMCGWFTPTVLFVLLNLVIGGIAVASKSSHHHQPGAAADDDGGAYPGRFLARSPSIVLDRLRSFNLHRCLSGEIPPPFEASDHRPEHSGLSQSEPRPTAGEMPPRLSVPIKKPASEESAFPHFHEAEVDEAAAAAAATETVDPAEGDGDEEVDARADDFINRFRHQLKLQRMASIMRYRAMPNRGR >Ma06_p36560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35903625:35906677:-1 gene:Ma06_g36560 transcript:Ma06_t36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMRSGYLRMKTDDYASVGGGGGDDLIRSDLQELGVAGRKLANHALMVVGGLGSGTTFFKLLATFAAIYLLILDRTNWRTNMLTSLLIPYIFLSLPSVLFSLLRGEFGKWVASIAVILRLFFPRHFPDWLEMPGALILLLVVSPGFFASTVRDSLIGVITCLAIGCYLLHEHIQASGGFRNSFTKSHGVSNSIGIILLLVYPIWHLFLHFL >Ma02_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23407524:23409157:-1 gene:Ma02_g15870 transcript:Ma02_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSWAAKVAEAPKTDLEFWKSLAPLRKTSVAVAHTIGHVAATVSMSKVAASFTHIIKSGEPAFSVLVSRFPVSVYLSLVPIIGGCALAAFLKDFSPLSSVIAGVLGAMISNLAFVFRNIFSKREINGKSVSGMNYYACLSMLSLLILTPFVIAVEGPQMWAADWQKAISRIIGLCDSYLIRWVTAQGVIYHLYTQRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQVNSSFFI >Ma08_p24070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37355649:37372919:-1 gene:Ma08_g24070 transcript:Ma08_t24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIPKRMAVVTSTAPWATRFTALLAAPARLRISLSIGLPGKHRTLPSSLRRTMASASAATPPPSGVGEKVTAPYGSWKSPITADVVAGADKRLGGISVGGDGRLVWIETRPEEGGRAVIVKESTKLEDKPTDVIPSEFASRTLAHEYGGGAFAVSEDIIIFSNYKDQRLYKFFVGGPSPVPITPDYGGPLVCYADGYFDPHYSRYITVMEDHRKSNLNPSTTIACIHLNDVNIQDPKELVSGNDFYAFPRVDPSGKRMAWIEWSHPNMPWDKAELWVGYFSENGELSKRICVAGGDPTIVESPTEPKWSPKGELFFITDRGSGFWNIYKWIEHKNNVVAVYPFNAEFTRPLWVFGISSYDFVGKDGENDNIVCTYRQNGRSYIGILDSVKGSFSSLDIHLTDIGNIVSGSCCLYIEGASATHPLSIVKVTLDATQSKAIESSVLWSSSPDLTKYEPYFSLPEIIEFPTECPGQNAYAYFYPPSNPIYQACSDEKPPLLVKSHGGPTSESHCILDLNIQYWTSRGWAFVDVNYGGSTGYGREYRERLLGQWGTVDVNDCCSCARFLVECGKVDGERLCITGRSAGGYTTLACLAFRETFKAGASLYGVVPPVQARKIYKALIDKGLPVALIEYEGEQHGFRKAENIKFTLEQQMMFFARLVGHFQVADPISPIKIDNFD >Ma08_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37355649:37372918:-1 gene:Ma08_g24070 transcript:Ma08_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIPKRMAVVTSTAPWATRFTALLAAPARLRISLSIGLPGKHRTLPSSLRRTMASASAATPPPSGVGEKVTAPYGSWKSPITADVVAGADKRLGGISVGGDGRLVWIETRPEEGGRAVIVKESTKLEDKPTDVIPSEFASRTLAHEYGGGAFAVSEDIIIFSNYKDQRLYKFFVGGPSPVPITPDYGGPLVCYADGYFDPHYSRYITVMEDHRKSNLNPSTTIACIHLNDVNIQDPKELVSGNDFYAFPRVDPSGKRMAWIEWSHPNMPWDKAELWVGYFSENGELSKRICVAGGDPTIVESPTEPKWSPKGELFFITDRGSGFWNIYKWIEHKNNVVAVYPFNAEFTRPLWVFGISSYDFVGKDGENDNIVCTYRQNGRSYIGILDSVKGSFSSLDIHLTDIGNIVSGSCCLYIEGASATHPLSIVKVTLDATQSKAIESSVLWSSSPDLTKYEPYFSLPEIIEFPTECPGQNAYAYFYPPSNPIYQACSDEKPPLLVKSHGGPTSESHCILDLNIQYWTSRGWAFVDVNYGGSTGYGREYRERLLGQWGTVDVNDCCSCARFLVECGKVDGERLCITGRSAGGYTTLACLAFRETFKAGASLYGVADLTLLRAETHKFESYYLDNLVGSENAYFERSPINFVDKFTCPVILFQGLEDKVVPPVQARKIYKALIDKGLPVALIEYEGEQHGFRKAENIKFTLEQQMMFFARLVGHFQVADPISPIKIDNFD >Ma07_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1205396:1211406:1 gene:Ma07_g01550 transcript:Ma07_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDKKSSADDLQKVATDLRHSIWKQMAGAGIKHIPSNTFSYYDQVLDTTAMLGAVPERYNFTGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPTTKFSYSSHKPVSEYKEAKSLGIETVPVLIGPVTYLLLSKPAKGVEKSFSPLTLLGDILPIYKEVITELKAAGASWIQFDEPTLVKDLESHQLEAFTKAYTELESSLSGLNVLVETYFADVPAEAYKTITALKSISGFGFDLVRGTKTLDLVKSVGFPAGKYLFAGIVDGRNIWANDLASSLSTLQALEAIVGKDKLVVSTSCSLMHTAVDLINETKLDSEIKSWLAFAAQKVVEVNALAKALADQKDEAFFSANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDEQQKKLNLPILPTTTIGSFPQTMDLRRVRREYKANKISEEEYVKAIKEEINKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSKMAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALTNMVSAAKILRTQLASAK >Ma10_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28207176:28210870:-1 gene:Ma10_g16540 transcript:Ma10_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYLLAKDLILQIIGEITVAGAKSMEFVGSTVKGLSASTMMTLCNMVIEAGGKNGVVSADEPTFKCLDDKTSKNFEPLHSRSNYLFIQEYKIDVSKLEPLVAKPHSPDSRALARECKGIKIDRAYIGSCTGGKMEDFLAAAKVFLASVRVPTFLVPATQKVQIGACMYQSGRKTCSQIFEEAGCEVPCLFVSTTNRNFHGRMGHKEGQMYLASPYTAAASALTGYDTDPRDFLP >Ma05_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1444467:1453561:-1 gene:Ma05_g02280 transcript:Ma05_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAEMKKTKYDRQLRIWGEQGQTALENANICLLNCGPTGSEALKNLVLGGIGSITVVDGSKVEIHDLGNNFLLDEDKLGQPKAKCVCSFLLELNDAVKAKFVEEAPEDLIENNPSFFAEFTLVIATQLMETSLIKLDKICREANIMLVIARSYGLTGMVRISMKEHTIIESKPDHFLDDLRLHNPWPELIEFSKTIDLSVTDPVIHKHTPYVVILINLAEKWAKEHDGCLPSTRQEKRDFKDFIKAHMLNMDEENYKEALEASFKVSISRGISSDLLQIVNDSATEVDSKSSDFWVLVAALKEFIENEGDGEPPLEGSIPDMTSSTECYVILQKIYQAKAESDCLAMEQRVKNILKRVGRDPDAISKAYVKNFCKNARKITICRYRHIEDEFTSPCLPELQKCLNDEDYSYAVGFYILLRAVDRFAANCNRLPGIFDSGLNEEISRLKLIAVGILSELGLNGPTLSEDLVTEMCRFGGAELHPVAAFIGGVASQEVIKLVTKQFVPLKGTFMFNGIDHKSQVLVL >Ma06_p05940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4383844:4394418:1 gene:Ma06_g05940 transcript:Ma06_t05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSVGTVIDTVGSDEKGDKENNDNKTCDSEPVVYQLVRVEGDGRLVPATDDEVMEVEQLLEGEKREVKAIRNDKGCLSGEVFSKSDLEGIIQSGNADDSKKLNARLENIKVMLQKVKQEEQLLLSGESFNHPPKCMTIEDPASERCKNSKACNNKLQPEITSREIMPRSLELNSIHDFEVGSAETCSGPTNEAVSSKSSISESCTSLFPDFSILRGEICLDNFSIRELQEAFRTTFGRQTSVKDKLWLKRRIAMGLTNSCHVPSTSFTIKDNKIILSEAKEGEPERLQQSKNEAESLSMNIHLINASSQDFKTTLNNNMTDQQLSGKRLGLSPSNHDVNDENCQMEHCAAKRMRKPTKRYIEELSELETRECTAKSYSCVKISERSHFPSKRQIKPVCDGKTQRRIFSTRHISVGGFSFEVPYVLRTRRRQPRKNFVDLMEYNPATISHMVKAAVMIPALEEGSGNGSRSREIVSVPVQILQLSVAGEGDVKMNTKTLNCEEDLDLHHKGLDANGDTTYGNANTQPAPKGSARRKHHRAWTLCEVRKLVDGVAKYGAGRWSEIRRLAFASYSYRTSVDLKDKWRNLLRASLAQYPTKKEAKNFGKQTSVPIPTPILSQVRELAKLQTQTGIKPSPKKFAGRGGRPLQGEGSGFL >Ma06_p05940.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4383531:4394418:1 gene:Ma06_g05940 transcript:Ma06_t05940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSVGTVIDTVGSDEKGDKENNDNKTCDSEPVVYQLVRVEGDGRLVPATDDEVMEVEQLLEGEKREVKAIRNDKGCLSGEVFSKSDLEGIIQSGNADDSKKLNARLENIKVMLQKVKQEEQLLLSGESFNHPPKCMTIEDPASERCKNSKACNNKLQPEITSREIMPRSLELNSIHDFEVGSAETCSGPTNEAVSSKSSISESCTSLFPDFSILRGEICLDNFSIRELQEAFRTTFGRQTSVKDKLWLKRRIAMGLTNSCHVPSTSFTIKDNKIILSEAKEGEPERLQQSKNEAESLSMNIHLINASSQDFKTTLNNNMTDQQLSGKRLGLSPSNHDVNDENCQMEHCAAKRMRKPTKRYIEELSELETRECTAKSYSCVKISERSHFPSKRQIKPVCDGKTQRRIFSTRHISVGGFSFEVPYVLRTRRRQPRKNFVDLMEYNPATISHMVKAAVMIPALEEGSGNGSRSREIVSVPVQILQLSVAGEGDVKMNTKTLNCEEDLDLHHKGLDANGDTTYGNANTQPAPKGSARRKHHRAWTLCEVRKLVDGVAKYGAGRWSEIRRLAFASYSYRTSVDLKDKWRNLLRASLAQYPTKKEAKNFGKQTSVPIPTPILSQVRELAKLQTQTGIKPSPKKFAGRGGRPLQGEGSGFL >Ma06_p05940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4384227:4394418:1 gene:Ma06_g05940 transcript:Ma06_t05940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKVKQEEQLLLSGESFNHPPKCMTIEDPASERCKNSKACNNKLQPEITSREIMPRSLELNSIHDFEVGSAETCSGPTNEAVSSKSSISESCTSLFPDFSILRGEICLDNFSIRELQEAFRTTFGRQTSVKDKLWLKRRIAMGLTNSCHVPSTSFTIKDNKIILSEAKEGEPERLQQSKNEAESLSMNIHLINASSQDFKTTLNNNMTDQQLSGKRLGLSPSNHDVNDENCQMEHCAAKRMRKPTKRYIEELSELETRECTAKSYSCVKISERSHFPSKRQIKPVCDGKTQRRIFSTRHISVGGFSFEVPYVLRTRRRQPRKNFVDLMEYNPATISHMVKAAVMIPALEEGSGNGSRSREIVSVPVQILQLSVAGEGDVKMNTKTLNCEEDLDLHHKGLDANGDTTYGNANTQPAPKGSARRKHHRAWTLCEVRKLVDGVAKYGAGRWSEIRRLAFASYSYRTSVDLKDKWRNLLRASLAQYPTKKEAKNFGKQTSVPIPTPILSQVRELAKLQTQTGIKPSPKKFAGRGGRPLQGEGSGFL >Ma06_p05940.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4383658:4394418:1 gene:Ma06_g05940 transcript:Ma06_t05940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSVGTVIDTVGSDEKGDKENNDNKTCDSEPVVYQLVRVEGDGRLVPATDDEVMEVEQLLEGEKREVKAIRNDKGCLSGEVFSKSDLEGIIQSGNADDSKKLNARLENIKVMLQKVKQEEQLLLSGESFNHPPKCMTIEDPASERCKNSKACNNKLQPEITSREIMPRSLELNSIHDFEVGSAETCSGPTNEAVSSKSSISESCTSLFPDFSILRGEICLDNFSIRELQEAFRTTFGRQTSVKDKLWLKRRIAMGLTNSCHVPSTSFTIKDNKIILSEAKEGEPERLQQSKNEAESLSMNIHLINASSQDFKTTLNNNMTDQQLSGKRLGLSPSNHDVNDENCQMEHCAAKRMRKPTKRYIEELSELETRECTAKSYSCVKISERSHFPSKRQIKPVCDGKTQRRIFSTRHISVGGFSFEVPYVLRTRRRQPRKNFVDLMEYNPATISHMVKAAVMIPALEEGSGNGSRSREIVSVPVQILQLSVAGEGDVKMNTKTLNCEEDLDLHHKGLDANGDTTYGNANTQPAPKGSARRKHHRAWTLCEVRKLVDGVAKYGAGRWSEIRRLAFASYSYRTSVDLKDKWRNLLRASLAQYPTKKEAKNFGKQTSVPIPTPILSQVRELAKLQTQTGIKPSPKKFAGRGGRPLQGEGSGFL >Ma06_p05940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4385818:4394418:1 gene:Ma06_g05940 transcript:Ma06_t05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKVKQEEQLLLSGESFNHPPKCMTIEDPASERCKNSKACNNKLQPEITSREIMPRSLELNSIHDFEVGSAETCSGPTNEAVSSKSSISESCTSLFPDFSILRGEICLDNFSIRELQEAFRTTFGRQTSVKDKLWLKRRIAMGLTNSCHVPSTSFTIKDNKIILSEAKEGEPERLQQSKNEAESLSMNIHLINASSQDFKTTLNNNMTDQQLSGKRLGLSPSNHDVNDENCQMEHCAAKRMRKPTKRYIEELSELETRECTAKSYSCVKISERSHFPSKRQIKPVCDGKTQRRIFSTRHISVGGFSFEVPYVLRTRRRQPRKNFVDLMEYNPATISHMVKAAVMIPALEEGSGNGSRSREIVSVPVQILQLSVAGEGDVKMNTKTLNCEEDLDLHHKGLDANGDTTYGNANTQPAPKGSARRKHHRAWTLCEVRKLVDGVAKYGAGRWSEIRRLAFASYSYRTSVDLKDKWRNLLRASLAQYPTKKEAKNFGKQTSVPIPTPILSQVRELAKLQTQTGIKPSPKKFAGRGGRPLQGEGSGFL >Ma06_p05940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4383658:4394418:1 gene:Ma06_g05940 transcript:Ma06_t05940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSVGTVIDTVGSDEKGDKENNDNKTCDSEPVVYQLVRVEGDGRLVPATDDEVMEVEQLLEGEKREVKAIRNDKGCLSGEVFSKSDLEGIIQSGNADDSKKLNARLEEEQLLLSGESFNHPPKCMTIEDPASERCKNSKACNNKLQPEITSREIMPRSLELNSIHDFEVGSAETCSGPTNEAVSSKSSISESCTSLFPDFSILRGEICLDNFSIRELQEAFRTTFGRQTSVKDKLWLKRRIAMGLTNSCHVPSTSFTIKDNKIILSEAKEGEPERLQQSKNEAESLSMNIHLINASSQDFKTTLNNNMTDQQLSGKRLGLSPSNHDVNDENCQMEHCAAKRMRKPTKRYIEELSELETRECTAKSYSCVKISERSHFPSKRQIKPVCDGKTQRRIFSTRHISVGGFSFEVPYVLRTRRRQPRKNFVDLMEYNPATISHMVKAAVMIPALEEGSGNGSRSREIVSVPVQILQLSVAGEGDVKMNTKTLNCEEDLDLHHKGLDANGDTTYGNANTQPAPKGSARRKHHRAWTLCEVRKLVDGVAKYGAGRWSEIRRLAFASYSYRTSVDLKDKWRNLLRASLAQYPTKKEAKNFGKQTSVPIPTPILSQVRELAKLQTQTGIKPSPKKFAGRGGRPLQGEGSGFL >Ma02_p11870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20839052:20839354:-1 gene:Ma02_g11870 transcript:Ma02_t11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSHLKLVSIICRKPGGRHKHGRGRQEEVTSRRGACTMLLLELGIWIIPFTLVLAPCRRLVLLVSRIQGFRECVLRSRSTSPAIWSRLARLNTMTFVI >Ma06_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14664295:14673336:-1 gene:Ma06_g20540 transcript:Ma06_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKVMTRSATESHLEGGGGGGGDGVCTAVVSPQGYECREYEVKTQDGYILTMHRIPQGRGGGSAGKRQPVLLQHGVLSDGTTWLLNPPQQSLAFVLADKGFDVWITHGRGTRWSRRHESLNTSDTAYWAWSWDELASYDLPATVGFVFQQTGQKLHYVGHSMGSLTALSAFSEGKLVDKIKSAALLSPVAYLTSMTTPIGRAAATAFAGEMLGALGVAEFDPKGAVGTMFLELVCAIPGVKCYDLMASLTGPNCCLNDSTVDMFLKYELQPTSVRTLVHFSQTFRRGVITKYDYGSSTANMAAYGQSSPPEYHMSNIPHHLPLMLCYGGGDMLSDVKDVQLLLNDLSNHDADKLVAQLVNEYAHLDFVMGVNAEQVVYDGLIAFFDKHS >Ma11_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5814103:5818455:-1 gene:Ma11_g07300 transcript:Ma11_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASPTAATANCSSQRAMNGLRSDAHVAQQSRRDKLRVQSDLLQVRDASEPAMFSSPAASAATDFTGGSQVPLVAPSASSLILSEGAAAFQPQPGCDWAPPPSFVPHGHPYHHQPRPGFVGYHDGSTDLHFVSPSSPLACQGREFASAIAQQPCPWAVEGGGNELLFLPTYAGESPGAMLMARQLPPQWSGDGNIMGAKCDDAAVGASDPRAARGLSLTLASSPVPELGAAQLAAGPSCPYPKFLIGDRVYTGGGSLQDVVSLPSDAAARRGSGPLGPFTGYATILKNSRFLRPAQQLLDEFCSAVTGLKLAKRCHIGEASPGASSSGRDVGEKDNSSKGVNSGASSSMEAGAEAGGAAKVHRPEFQQKKAKLLHMQEEVCRRYKQYHQQMQMVVSSFESVAGLNSATPYTSLALKAISKHFRSLKNAISDQIRHVSKVLGEELMSSPSSGGRGESTPTPPRLKYLDQSLLRKHKAGGESTLSFVDHNQPVWRPQRGLPERAVSVLRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRLWKPMIEEIHMLETKGMSGMDLNSATNGKETMVAVTEDGAHSSTYHDPPDCAPMDAVLLNEEGSQQQAWQGGDKRSRVEECEMLTGMDGGLMSFATYQHAMDMGGIEAVSLTLGLRHEGGQQTPPQMRHLGAQMFHDFVG >Ma06_p18700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12777652:12784403:1 gene:Ma06_g18700 transcript:Ma06_t18700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGTIDAARRLNHQLCDIAINWSGGLHHAKKSAASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKDIGEREGKYYAINVPLKDGIDDASFTRLFKTIIAKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIEGHSECVKFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDADLPNEIPENEYIKYFAPDYTLKIPNGNMENLNSKSYLSTIKVQVLESLRCIQHAPGVQMQEVPPDFYIPEFDEDEQNPDERVDQHTTDKQIQRDDEYFEGDNDNDHNMEDGP >Ma06_p18700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12776281:12784403:1 gene:Ma06_g18700 transcript:Ma06_t18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKERIAYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYGLYKKMEIYRPHKAYPVELAQFHSADYVEFLHRISPNTQHLFADELARYNLGEDCPVFENLFEFCQMYAGGTIDAARRLNHQLCDIAINWSGGLHHAKKSAASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKDIGEREGKYYAINVPLKDGIDDASFTRLFKTIIAKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIEGHSECVKFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDADLPNEIPENEYIKYFAPDYTLKIPNGNMENLNSKSYLSTIKVQVLESLRCIQHAPGVQMQEVPPDFYIPEFDEDEQNPDERVDQHTTDKQIQRDDEYFEGDNDNDHNMEDGP >Ma06_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12776582:12784403:1 gene:Ma06_g18700 transcript:Ma06_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHRLCMTHHLVLSYGLYKKMEIYRPHKAYPVELAQFHSADYVEFLHRISPNTQHLFADELARYNLGEDCPVFENLFEFCQMYAGGTIDAARRLNHQLCDIAINWSGGLHHAKKSAASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKDIGEREGKYYAINVPLKDGIDDASFTRLFKTIIAKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIEGHSECVKFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDADLPNEIPENEYIKYFAPDYTLKIPNGNMENLNSKSYLSTIKVQVLESLRCIQHAPGVQMQEVPPDFYIPEFDEDEQNPDERVDQHTTDKQIQRDDEYFEGDNDNDHNMEDGP >Ma06_p18700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12776281:12784403:1 gene:Ma06_g18700 transcript:Ma06_t18700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKERIAYFYDDNLGEDCPVFENLFEFCQMYAGGTIDAARRLNHQLCDIAINWSGGLHHAKKSAASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKDIGEREGKYYAINVPLKDGIDDASFTRLFKTIIAKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIEGHSECVKFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDADLPNEIPENEYIKYFAPDYTLKIPNGNMENLNSKSYLSTIKVQVLESLRCIQHAPGVQMQEVPPDFYIPEFDEDEQNPDERVDQHTTDKQIQRDDEYFEGDNDNDHNMEDGP >Ma10_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22216437:22218858:-1 gene:Ma10_g07890 transcript:Ma10_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAELLEKTGVTPTSIYGDLDALITGVQDDSREVCQGDLFFSRVGSKTDGHLYLTEACNRGAVAVVIDRETDKDQILGYGCKAAVVVNSTNSVLPVLAATFFGHPSRSLFVVGVTGTNGKTTTTNLVRSIFEEMGYRTGLFSTVCFIVNGDEKLEAYRTTPESVVAQRLMAKMVRSGTKALVMEAASQGLEEGRCDGLDFNVAVFANLTRDHLDFHETMEAYKKSKGKLFAKMVDPNRHRKVVNIDDPNAPYFIDQGNADVPLTKVWVSTPKGALEINSGMLGRYNIYNILAAVAVGIAVGLKLEDIVSGIEAVKGVAGRFELIDEGQPFAVIVDYAHTPDALCRLLDAVRELEPRRVITVFGCGGERDRGKRPLMTKIAADKSDVVILTSDNPRNEDPSTILDDMLAGVGYTMQESSPHGGNKIYPKLPNGCTLLVNEDRRVALQAAIAMGKEGDIIVVAGKGHETYQIEGDTKKLFDDRVECRELLRAEASRERDR >Ma01_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18033317:18034592:-1 gene:Ma01_g20430 transcript:Ma01_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPRSSDAIKDAGGHIKRFFPSEFGIDVARNNAVEPAKSTFAGATDLPTDKVVILGDGNPKAIFLNEDDIGTYTIKAVDDPRTLNKVLYLRPPANILSHNELVSLWEKKTGKTFERVYVPEEHVLKQIQGVLDTPLHPLPVNVMLAICHSAFVKGDHVFDIEPSFGVEASELYPDVKYTTVDEYLNRFL >Ma01_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4762869:4767018:1 gene:Ma01_g06660 transcript:Ma01_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAQQQKQHDAKAWESTVRKAQQQQQPGSRRRVCPLGPMSVAPSEDDSGATSPRGEGDDDDSAEAEEEGEVYHAEHVFPSGDFYTGHWIAGIPHGTGKYLWTDGCIPTPTATTTTASGAPACRTATAATSGAAEPSTSDSGAPGSSMAEEPSSGLTAIATTVIGTMEIPGATVASDPQEEFVADLEECKISLGETISVLPSQKTLNWSGIEPRRSSTASESAATDRARRRASVDEKGLDGIYTWESHGDIPSGMVERRSMVEKQDAAEAKVPMNPPRMRWRPPRAMKKKQGETIMKGHKNYDLMLNLQLGIRHAVGKQGPSQSELKSSAFDPKEKVWTKFPPEGSKHTPPHQSCEFRWKDYCPLVFRTLCRLFKVDAADYMMSLCGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKVLLRMLQAYYNHVRKFENSLVTKFFGLHCVKLTGASQKKVRFVIMENLFCSEYVIHRRFDLKGSSHGRMTSKPESEIDETTTLKDLDLNFIFRLQRSWFQEFQRQVDKDCEFLEQERIMDYSLLVGVHFRTSLTVHFLSCSCFGQSTKLLGDLLTTKSNNAMPHACFFYMCLIRPMCDRMTGKIRLGVNMPARAELTVRKSDGDSQLVGEPTGEFYDIVLIFGIIDILQDYDISKKLEHAYKSFQFDPSSISAVDPKQYSRRFRDFIYRAFTEAT >Ma02_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24232129:24235216:1 gene:Ma02_g17220 transcript:Ma02_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLLSFLFFCSSLYCAKAASVYIGALVNTESRVGREKKVAIEIAARHYNSSSSSLLLSVREFNSGADPLEIYTKAQDLMNWGAEAIIGAGTWPEVAVLARLGSTARIPVISLATTPTPSSPMPFLVRMSYPNSGEARCLSDVVRSYNWRRVIVLYEDDSYGSISGVVPLLSDAFRAGGSRIDHHIAFPPWHTVSDDDAADTVRQKLKHVPPQLSKVSIVLRSSPELAVHLFKEAKLLGMMAKGHVWIVNDDITALLDSTDLSSSFISSYMQGVIGISTYVNTTTSFFHDFSSEFQQRFDQDLPEDGRRNSAFRVLNVVGRSYRELGFWSEGYGFFEEETEMAHGRPVVDVLRPVFWPGGTERTPGGWGMLRIGVPNHTTFDQFVKVEYDDSTGKVKGITGFCIDVFREILKHLSYDLYYEFIPFAGSYDDLVNRVPLQVIDAAVGDFTILAKRAVDVSFTEPILSSGLAMMVPLRPNHTPWMLIKPFTRDVWFLILATLIYTAGVLWYLERDSNPEFHGTWCVQLGAALWLIFSTIFFAHGRIHNYYTKTVMVVWLLVVFILTSSFTANLSSILVTEKLGAVPPGSRVGYDGDAFVLKYLKDVLGYKERNIEWIRSPEAYSEAFKSNKISAAYLETPYIRVFLSRYNDFTVSGETHRLGGFGFVFPKDSPLVADFSQVILQLAENGALNNLEKKWFSVALSSSPTPDNDRKKESLSLDSFWALFLFTGCTSTIILLVFSAHSFLLTRARAAAAVSFEPLRQSFKKVWTATAKLIEKRESPKPSEQQGSGMNSP >Ma03_p13330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10453948:10457303:1 gene:Ma03_g13330 transcript:Ma03_t13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSFDQWQKDVFFSAAEEVQESADVMESLFRMWMRDLKDSEAPDELHGELHTALGTAKWQLEEFEKAVRLRHRSYPSEKNAITRHKQFVAAIAEQISRVEKALREEGKQPLRWVQLDAEEQDDLALFLSEVPQNMQETRDDVKGSDCDNCSSQAIIRPESVRGLKDKIIGSSDGRHVVEAATKEPLGRKGNEGCADVEQLHSLRRASSLLDVGAWKIVVADDVGADNKAVEIRSEMPNHASNLCGLLKTVESASKLRWFRNSFWKAKSEDLQLRHRLQFRAVSRLAQGISGLSERSRNCFDSFKEEYKLSSGQQFSGRLGGFQRHIPGSQYYMQFGRSLRITFLLVLSIILIVPFVLYST >Ma02_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27902586:27903328:-1 gene:Ma02_g22620 transcript:Ma02_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQLSSPPPSVIVSDRAEVDTSRPFRSVKEAVAVFGDRFLAGNGRSQKNTWSRPVISVPPPKQPSSASSSPPSYSSSASHFIQENEEELVILSSLRKLEAELKEAKRELVLLKGRESETEIVVASLSAQLSESMSKLAEMEAAMEDEAQPCRVRSERWQEERMEDFKASLEYLPTLAQAFGLAGLEEGFGGRRKREVPKKKPIIPLIPDIFSKKKG >Ma08_p29020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40783669:40789456:1 gene:Ma08_g29020 transcript:Ma08_t29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKGSEVSDKGWKGVEEGVGSDKGKHVVLTNSHPQHVGEEGATSPAEYHPYPKPLADYEDVIADPKLFRETLEKLHSTMGTKFMVPIIGGKDLDLHRLFVEVTSRGGIEKVIADRRWREVTAAFAFPSTATNASFVLRKYYMSLLRHYEQIYFFRSQGWNSPAAPAKIASATSGSSERLVEHVLPFSETQASSRKRMRNNGLASSQYPQVVGVIDGKFEHGYFVTVTVGSAKLRGVLYHIPQQTSEQLTRFSDFADNSNFRSVRHRRRRKKLSKRDPSHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKIIGESWNKLTETEKAVYQDRGLKDKERYKSEMAVYMEKLKAGHVISNAVPIQQRPAEPEMAEDTDSKFETVGGDSTINDENDYSSESSGSEGKVSDEDFEMEASPVFGVTTTCGTTSLAEPSTEKDDFELRRRVDAKSESEQDPYIFARDTESRKFTESSTDKQ >Ma08_p29020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40783888:40789456:1 gene:Ma08_g29020 transcript:Ma08_t29020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKGSEVSDKGWKGVEEGVGSDKGKHVVLTNSHPQHVGEEGATSPAEYHPYPKPLADYEDVIADPKLFRETLEKLHSTMGTKFMVPIIGGKDLDLHRLFVEVTSRGGIEKVIADRRWREVTAAFAFPSTATNASFVLRKYYMSLLRHYEQIYFFRSQGWNSPAAPAKIASATSGSSERLVEHVLPFSETQASSRKRMRNNGLASSQYPQVVGVIDGKFEHGYFVTVTVGSAKLRGVLYHIPQQTSEQLTRFSDFADNSNFRSVRHRRRRKKLSKRDPSHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKIIGESWNKLTETEKAVYQDRGLKDKERYKSEMAVYMEKLKAGHVISNAVPIQQRPAEPEMAEDTDSKFETVGGDSTINDENDYSSESSGSEGKVSDEDFEMEASPVFGVTTTCGTTSLAEPSTEKDDFELRRRVDAKSESEQDPYIFARDTESRKFTESSTDKQ >Ma08_p29020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40783650:40789456:1 gene:Ma08_g29020 transcript:Ma08_t29020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKGSEVSDKGWKGVEEGVGSDKGKHVVLTNSHPQHVGEEGATSPAEYHPYPKPLADYEDVIADPKLFRETLEKLHSTMGTKFMVPIIGGKDLDLHRLFVEVTSRGGIEKVIADRRWREVTAAFAFPSTATNASFVLRKYYMSLLRHYEQIYFFRSQGWNSPAAPAKIASATSGSSERLVEHVLPFSETQASSRKRMRNNGLASSQYPQVVGVIDGKFEHGYFVTVTVGSAKLRGVLYHIPQQTSEQLTRFSDFADNSNFRSVRHRRRRKKLSKRDPSHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKIIGESWNKLTETEKAVYQDRGLKDKERYKSEMAVYMEKLKAGHVISNAVPIQQRPAEPEMAEDTDSKFETVGGDSTINDENDYSSESSGSEGKVSDEDFEMEASPVFGVTTTCGTTSLAEPSTEKDDFELRRRVDAKSESEQDPYIFARDTESRKFTESSTDKQ >Ma04_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:61283:68632:-1 gene:Ma04_g00040 transcript:Ma04_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEESLRQALAEKQSAVDAQAHAVRALKARPGGAAQAEIDAAVEALKALKVDAGAAARRLQAALSNNGDGAAASSREAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDLTLSPEKAAELKHVIMVLDDLSAEELGAKLKEYGITAPDTKNPLSDLYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPDDKSHPKFVDVANLEFLMFPREEQLAGKSAKPMILGEAVLKGVVNNETLGYFIGRVFLFLTRLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAHEKFPEPREVEKLVIVPSKKELGLAFKGSQKMVVEALEAMSEKEALEMKATLESKGEMDFHVCTLGKTVSIMKKMVSISMDKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRQSKAEDEQLNVFSFPPLVAPIKCTVFPLIKTQKFDDVAKIIAKSLTAAGISHIIDITGTSIGKRYARTDEIGVPFAITVDSTTSVTIRERDSKQQIRVSIDEVALVVKEVTDGQSTWGDVMWRYPTHSAAHTEEE >Ma06_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8474908:8476891:-1 gene:Ma06_g12190 transcript:Ma06_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRLLGLAVIAFVSLLSAAHGYGGGWTRAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYELMCVNDHQYCLRGTIVVTATNFCPPNSALPNDAGGWCNPPLQHFDLSQPVFLRIAQYRAGIVPVAYRRVPCRKRGGIRFTINGHSYFNLVLITNVGGAGDVNAVSVKGSRTGWQSMSRNWGQNWQSNSYLNGQALSFKVTTGDGRSLVSYNVAPASWSFGQTFSGGQFR >Ma04_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7272430:7278033:-1 gene:Ma04_g10170 transcript:Ma04_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFGSQTFLLEAWNGGGNSPVVHQSKPSCGSRPPVVAAYCRENGIGPGGGGCRVRFRMRGRRSEVLVGVEKATGLRATGKGSSFEGEGGIGDDIVAGGYVDVDSAQATVNKRKKVLAMQQDLIQQIVERRKQISYLESRAAEADQDINYYLKDRRNISFNPSPSHLVTNGKYNVCTVSEGSVPSTESKMSESRNSPMKTGESDDSLQSERLSPNPLGLAKDTDLDTTNPVEPIFLVKEPERSVKDENAENQTEAKFDSVDPEADTDPEEENMDDPPLAGVNVMNVIVVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVVPRYGNYAEPKDTGTCFIQLLSYFGVQDMEVSYFHSYIDGVDFVFVDHPVFQHQENNIYGGNRPDILKRMILFCKAAVEVPWHVPCGGVCYGDGNLAFIANDWHTALLPVYLKAYYRDKGLMKYARSVLVIHNIAHQGRGPVEDFFHLDLPEKYMDLFTLYDPIGGDHFNIFAAGLKTADRVVTVSHGYAWELKTSQGGWGLHGIINDSDWKFRGIVNGIDIKDWNPELDVHLSSDGYTNYSSETVKTGKLHCKAALQKELGLPVRRNVPVIGFIGRLDHQKGVDLIAEAMPWMVAQDVQLVMLGTGRPDLEEMLRKFERENHDKVRGWVGFSVKMAHRITAGADILLMPSRFEPCGLNQLYAMKYGTVPVVHAVGGLRDTVVPFDPFKETGYGWTFERAESGMLVHALGNCLNTYWNHKKSWEGLRTRGMTQDLSWDNAAKHYEEVLVAAKYQW >Ma07_p09450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7066066:7067535:-1 gene:Ma07_g09450 transcript:Ma07_t09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLERWLPLFEIFLNSAAPEGDASLWFDNHHHPTTAFLSLLLSAVPSSRSPPPILLQTLPPALQSRILSFLAVHHHRFPRACLLSLAAHFLDSSAFIDSSAFWARKAAHHLLDAVSSSAPPVACGHTLDHLHRRTNENDVDLYALPQWLNDPVFAAAPLLPWLPLPAGSLPRSNDRYFAAEPQSMELVAFRDDEPATYSPLPPPVLDPQIIERASVLKAELLASEAAPKAIRLAKDIRQLCLDSGTGSQLMVLGLIQPWEADDEMASVLLSNLSGEHGLSFVGWHAHVLCSLVLPKLLTLQKPASRVLLSATTRFCQLHPVAAVEALLLPLSLRKEGLNVVLCDALARVIRECLHPVHVSAFCQRLLCGNAGDRRPVCLPCHEELLSDELVWTESLFMLFNHVLNHNVCLTPDTADHLVSVIDENAHKFSKSLKFGNFMLCFVSKCARASSLHKVSLERAAMRTGTFVTKSILSKLSV >Ma05_p30670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40965743:40967456:-1 gene:Ma05_g30670 transcript:Ma05_t30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNSVIGCLNLLTLLASVPIIGGGLWMSKSSATCESSLQTPLLVLGFVVLLVSLAGFVGACFNVAWALWLYLLVMLLLIGTLLGLTAFGFAVTAGGGGVQVPGRPYHEYRLDDYTGWLRRRITERQYWKAAMACVVGSKTCAKIALWTPLDYLQRDLSPIQSGCCKPPTSCTYGAGGVVMAQDEDCYRWNNAANMLCYACDSCKAGVLEQVRRDWHKLSVLNVVVLIFLIAIYTIGCCAFRNARRAESEYPYEENRMSKIRPRWDYFWYSDPLCYSPLCAKHGRDTKAELVSRCRWRWLRDRREQLY >Ma05_p30670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40965749:40967453:-1 gene:Ma05_g30670 transcript:Ma05_t30670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNSVIGCLNLLTLLASVPIIGGGLWMSKSSATCESSLQTPLLVLGFVVLLVSLAGFVGACFNVAWALWLYLLVMLLLIGTLLGLTAFGFAVTAGGGGVQVPGRPYHEYRLDDYTGWLRRRITERQYWKAAMACVVGSKTCAKIALWTPLDYLQRDLSPIQSGCCKPPTSCTYGAGGVVMAQDEDCYRWNNAANMLCYACDSCKAGVLEQVRRDWHKLSVLNVVVLIFLIAIYTIGCCAFRNARRAESEYPYEENRMSKIRPRWDYFWWRWLRDRREQLY >Ma10_p04000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14653986:14657693:1 gene:Ma10_g04000 transcript:Ma10_t04000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSQRTAFSHLPIATLFFTQIKPSPSRHSNFPSSSQRPSNPSPILPPSPSKVFPESPLFNHPKSSPRSDYSSPGPFCSSSQPKFSSAFSELVPQLIPRWRLFNPKAARPARGLERSNLFDPKSPRSTSQIRFVSSSAAGKPPQRSFPGPMTPDSPSPIQVVSTFASPFDESPPHIDASSSIRKPLSLWPGMYHSPVTNALWEARSSIFERLLDPSKEGPPQSELLTRTPSQSRTNIIYNFSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTTRPLLLVTASVDKMVLKKPLRVDTDLKIAGAVTWAGRSSIEIQIEVTQTHQQADNSESSDPSALTATFIFVARDSKTGKSAPVNRLLPETEQEKLLFKEAEARDKIRKGKREEQKRTFENGGHSLHGDIKRLKTLLAEGRVFCDLPALAARDSILIRDTKLENSLICQPQQRNLHGRIFGGFLMNLAFELAFSTAYAFVGQMPCFLEVDHVDFLKPVDVGDFLRLKSCVLYTQLENPAQPLINVEVVAHVTRPELRTSEISNMFYFTFTVNPDALKNGLKIRNVVPATEEEARRVLERMDAEEIFA >Ma10_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14653986:14657693:1 gene:Ma10_g04000 transcript:Ma10_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSQRTAFSHLPIATLFFTQIKPSPSRHSNFPSSSQRPSNPSPILPPSPSKVFPESPLFNHPKSSPRSDYSSPGPFCSSSQPKFSSAFSELVPQLIPRWRLFNPKAARPARGLERSNLFDPKSPRSTSQIRFVSSSAAGKPPQRSFPGPMTPDSPSPIQVVSTFASPFDESPPHIDASSSIRKPLSLWPGMYHSPVTNALWEARSSIFERLLDPSKEGPPQSELLTRTPSQSRTNIIYNFSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTTRPLLLVTASVDKMVLKKPLRVDTDLKIAGAVTWAGRSSIEIQIEVTQTHQQDNSESSDPSALTATFIFVARDSKTGKSAPVNRLLPETEQEKLLFKEAEARDKIRKGKREEQKRTFENGGHSLHGDIKRLKTLLAEGRVFCDLPALAARDSILIRDTKLENSLICQPQQRNLHGRIFGGFLMNLAFELAFSTAYAFVGQMPCFLEVDHVDFLKPVDVGDFLRLKSCVLYTQLENPAQPLINVEVVAHVTRPELRTSEISNMFYFTFTVNPDALKNGLKIRNVVPATEEEARRVLERMDAEEIFA >Ma04_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10257350:10258660:1 gene:Ma04_g13560 transcript:Ma04_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLVQINYRNDIRITLD >Ma01_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3196051:3197380:-1 gene:Ma01_g04670 transcript:Ma01_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLPSFQISGRCCRDQTIKNHESCLLQTGHRLALVSMMFNAQQLTRQIVGGGWQAADQHPVISRVFT >Ma05_p29600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40217702:40218625:1 gene:Ma05_g29600 transcript:Ma05_t29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTGDASTRKRVDLGGRSSKESDRQVLLEQARLDRKRRLVHRQQTSAAIKIQKCFRGMKDVKMARTEVRQQFHVTYGDRGEKAD >Ma09_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1757828:1759082:1 gene:Ma09_g02490 transcript:Ma09_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKDLEALVCGGGETKVACETQIGADDPDLPPESILVRIGSGRELFWAEVGGAAVYERDDSTKGNTNPKAQAQQQHANPASRPRSNSQRFSGGLQAKPPIIGIPGKIQHHSGYLGRSGRRPANAPIFPKKPPRPDRGGEGRKSALPDEEPGSPKVSCIGKVLSERERDRYRRQCRGPPEQEEEAAAPSGCWAMFFCGGTKQRRRSAASETVPVDSPAKAAVDRRTAAEPRRLEVPGLGSMMRFASGRRPASWGGDGDVSVDLGPLDGEATELGGRRSLGSREDAER >Ma03_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9151558:9154846:-1 gene:Ma03_g11790 transcript:Ma03_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRMLSAKSYPISCHFPSSFRSLVNRQNPKPQSLPASQIPVLGARSRLLLHRTLIQRRVLGINHVTLPLGKRGILQICRDSVEREDFEAGLGEKEKVLVERSGDGGGSDWTTSVLLFGLWAGLMYYVFQLAPDQTPYRDIYFLQKLLNLRGDDGFVMNQVLVALWYIMGLWPLVYSMLLVPTGRSSRSKIPVWPFLLVSFFGGAYALIPYFVLWKPPPPAVGEDEIRGWPLNFLESKITAAVTVAAGMGMIIYAGLADGDVWEEFYQYFRESKFIHITCIDFSLFTAFSPFWVYNDMTARRWLNKGSWLLPIALVPFIGPALYLLLRPSLSALPVFTSSTTAEND >Ma01_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15329598:15337813:1 gene:Ma01_g19670 transcript:Ma01_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDIDFSNPDVFSGPNAGDDLPGSRSIDSFFDDIFNDGQQHTCTHTHTCNPLSHTHTCFHVHTKIVSAPPDEAAESMEKSSSTKKRSCGNREAVRKYRQKKKAHAASLEEEVAHLRAINQQLMKRLQGQAALEAEVARLRCLLVDMRGRIEGEIGSFPYQKPAKGSGDFVSNATQANMLGGAQVLNSCGFCCDDQVYCLYPGMQGKNVGEISVLNSQGNRVCGIETVQCVGRSTSGPKEFVGCGNGSARLVDCSCDATKIDGAYVTKEDV >Ma08_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11335012:11349667:-1 gene:Ma08_g14240 transcript:Ma08_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSSAPSSQPPAARRPSKRSRTNPPPPSTILTRSRRSRNSSPPLLPPPPSGPMDSSAGDSSGRRRGSGKNHHPPAERDRDRDASDKGKEREPEASRSRDRDRARDRDAGRILGLNFDGGGADDDNDSEGGASALHQNLTSTSSALQGLLRKLGAGLDDLLPSSALLASSSSQQSSRLKKILTGLRADGEEGRQVEALTQLCEMLSIGTEDSLGSFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCSAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFAMEAVPLLINLLNYHDSKVLEHASVCLTRIAEAFASSPEKLDELCKHGLVAQAAGLISLSNSGGQASLSTSTYTGLIRLLSTCASGSPLAAKTLLLLGISGTLKDILSGSGLVAGASVSPALTRPPEQVYEIVNLVDELLPPLPQGTISTPIFYNITVKGSSIKKSTGITPGKPVEPGLATNDVSAREKLLQEQPELLQQFGTDLLPVLTQVYASSVNGSVRHKCLAIIGKLMYFSSADMIQSLLSATNISSFLSGILAWKDPQVLIPALQIAEVLMEKLPGTFSKIFVREGVVHAVDALICPDTSSSIPSQTSISEKDGDSAPVISSRSRRYRRRSGGLNTETGSVDESKRSRSVVGSPPNLFEIPPPSSSLRASVSACAKSFKDKFFPAYPGATEVGVTDDLLRLKNLCTKLNSSVETVRTKGKGKSKASLVSSFDISSSIEEELDGAISEMLAELSKGDVSTFEFIGSGVVLALLSYLSCGTFGKEKISEANLPKLRKQALRRYRSFIATALPDEPKGGHTTPMTVLVQKLQNALTSLERFPVVLSHLSRSTSGSARLSSGLSALSQHFKLRLCRAPGEKSLRDYSSNIVLIEPLASLAVVEEFLWPRVKRIDSGQKSSASAGNSDSGSVATGAGTQLSSASTASGHRPSTRSRSSVAIGGPARNDAAEGSSNSSKGKGKAVLKSTSEEARGPQTRKATRRRVASDKDAEMKPALSDSGSEDEMDMSPVEIDALMIEEDVSDDEDDDHEEELKDETLPVCVPEKVHDVKLDPADDAAVDPSASGSQAQPSSGSSDRAISTRDSESTELRSGNAFGSRGMSFAAAAMAGLASLSSRGIRGGRGTGASDNCNKLIFTAGGKQLSKHWTIYQAFQRQLVLDEEDDERFNGSDLPSDGSRFCNDVFTITYQKADGQADRTSQGGSTSSMSKTPKSASASNSSCENRWQKKSLLDSILQADLPCDLEKTNPTYNILALLRVLECLNQLTPRLRVQAVSDDFAEGKITGVDGLYRTGISVPPKEFVNTKLTPKLSRQIQDALALCSGSVPPWCYQMTKACPFLFPFEIRRQYFYSTAFGLSRALHRLQQQQNADNPNSANEREVRIGRLQRQKVRVSRNRILDSAVKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVELGLWRSNYGSDNNVMQIGGEMEDGKTDDGSVMKIHNDNFSVQRRDIIQAPLGLFPRPWSPNVGASDGSQFSKVLEYFRLVGQTMAKALQDGRLLDLPLSTAFYKLVLGQELDLYDILSFDAEFGKTLQEMQVLVHCKQFMDATAGDSRKTTADLQFHGAPIEDLCLDFTLPGYPDYILKGEESTVVNINNLEEYISLVVDAITNTGITRQMDAFRAGFNQVFDISSLQIFCPHELDYLICGRRELWKPETLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVKKHSSNLSNRTTNGTGATESADGDLPSVMTCANYLKLPPYSTKGIMYKKLLYAISEGQGSFDLS >Ma08_p14240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11334902:11349667:-1 gene:Ma08_g14240 transcript:Ma08_t14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSSAPSSQPPAARRPSKRSRTNPPPPSTILTRSRRSRNSSPPLLPPPPSGPMDSSAGDSSGRRRGSGKNHHPPAERDRDRDASDKGKEREPEASRSRDRDRARDRDAGRILGLNFDGGGADDDNDSEGGASALHQNLTSTSSALQGLLRKLGAGLDDLLPSSALLASSSSQQSSRLKKILTGLRADGEEGRQVEALTQLCEMLSIGTEDSLGSFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCSAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFAMEAVPLLINLLNYHDSKVLEHASVCLTRIAEAFASSPEKLDELCKHGLVAQAAGLISLSNSGGQASLSTSTYTGLIRLLSTCASGSPLAAKTLLLLGISGTLKDILSGSGLVAGASVSPALTRPPEQVYEIVNLVDELLPPLPQGTISTPIFYNITVKGSSIKKSTGITPGKPVEPGLATNDVSAREKLLQEQPELLQQFGTDLLPVLTQVYASSVNGSVRHKCLAIIGKLMYFSSADMIQSLLSATNISSFLSGILAWKDPQVLIPALQIAEVLMEKLPGTFSKIFVREGVVHAVDALICPDTSSSIPSQTSISEKDGDSAPVISSRSRRYRRRSGGLNTETGSVDESKRSRSVVGSPPNLFEIPPPSSSLRASVSACAKSFKDKFFPAYPGATEVGVTDDLLRLKNLCTKLNSSVETVRTKGKGKSKASLVSSFDISSSIEEELDGAISEMLAELSKGDVSTFEFIGSGVVLALLSYLSCGTFGKEKISEANLPKLRKQALRRYRSFIATALPDEPKGGHTTPMTVLVQKLQNALTSLERFPVVLSHLSRSTSGSARLSSGLSALSQHFKLRLCRAPGEKSLRDYSSNIVLIEPLASLAVVEEFLWPRVKRIDSGQKSSASAGNSDSGSVATGAGTQLSSASTASGHRPSTRSRSSVAIGGPARNDAAEGSSNSSKGKGKAVLKSTSEEARGPQTRKATRRRVASDKDAEMKPALSDSGSEDEMDMSPVEIDALMIEEDVSDDEDDDHEEVELKDETLPVCVPEKVHDVKLDPADDAAVDPSASGSQAQPSSGSSDRAISTRDSESTELRSGNAFGSRGMSFAAAAMAGLASLSSRGIRGGRGTGASDNCNKLIFTAGGKQLSKHWTIYQAFQRQLVLDEEDDERFNGSDLPSDGSRFCNDVFTITYQKADGQADRTSQGGSTSSMSKTPKSASASNSSCENRWQKKSLLDSILQADLPCDLEKTNPTYNILALLRVLECLNQLTPRLRVQAVSDDFAEGKITGVDGLYRTGISVPPKEFVNTKLTPKLSRQIQDALALCSGSVPPWCYQMTKACPFLFPFEIRRQYFYSTAFGLSRALHRLQQQQNADNPNSANEREVRIGRLQRQKVRVSRNRILDSAVKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVELGLWRSNYGSDNNVMQIGGEMEDGKTDDGSVMKIHNDNFSVQRRDIIQAPLGLFPRPWSPNVGASDGSQFSKVLEYFRLVGQTMAKALQDGRLLDLPLSTAFYKLVLGQELDLYDILSFDAEFGKTLQEMQVLVHCKQFMDATAGDSRKTTADLQFHGAPIEDLCLDFTLPGYPDYILKGEESTVVNINNLEEYISLVVDAITNTGITRQMDAFRAGFNQVFDISSLQIFCPHELDYLICGRRELWKPETLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVKKHSSNLSNRTTNGTGATESADGDLPSVMTCANYLKLPPYSTKGIMYKKLLYAISEGQGSFDLS >Ma06_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1721081:1723738:1 gene:Ma06_g02170 transcript:Ma06_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKACRKHTLHKVTQYKKGKDSLSVQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >Ma10_p26210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34009560:34013193:-1 gene:Ma10_g26210 transcript:Ma10_t26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPIKYARRTSSGRIVSLSRDDDMDLGVSGDFTSTSSGGHNDYINYTVMMPPTPDNQPKAAAPGSKPDDLPSPFGGAAKGSGRRRRGVGEEGDGGRGGGHGAGKLDRRMSVMKSMLMRSQTGDFDHNRWLFETKGTYGIGNAFSSKDEVEFDEDGEPINISDFLEKPWKPLTRKMKVPPGILSPYRVLVVLRLVFLSLFLSWRVRNPNADAPWLWGLSIVCEIWFAFSWILDQLPKLNPINRAADLVALREKFETASPSNPLGHSDLPGLDVFISTADPEKEPPLVTGNTILSILATEYPVEKLSLYISDDGAALLTFEAMSETAAFARVWVPFCRKHNIEPRNPDSYFAIKRDPTKNKKLPDFVKDRRWIKREYDEFKVRINGLPDTIRRRSDALNARERKLARERSGGSDANAASSGAETKPAVTATWMADATHWPGTWKVPSPDHSKGDHAGIVQVMIKTPHHDPLYGAPGDHPYLDFTGIDTRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAILSNGAFILNFDCDHYIYNCMAIREGMCYMMDRGGDRVCYVQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYALYGFPPPRANEYLGLYGQNKRPAPPPRVATSMDADDPSGPSLDMDPDLCAPVKFGNSTMFGNSIAVAEYQGRPLADHPGIKNGRPPGALLVPRTPLDAPTVAEAVSVISCWYEDNTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLATTRLKFLQRIAYLNIVNLVAMAIGLSRTVYSEIPQWSKLMGGFFFSFWVLVHMYPFAKGLMGRRGRTPTIIWVWSGIVAITLSLLWVSINPPDNSIPSSGGIEI >mito3_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1588172:1588285:1 gene:mito3_g00220 transcript:mito3_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPKPASVICKRNPLFLFTSTLRAKTSSPLSRKGKR >Ma06_p26910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28933716:28945932:1 gene:Ma06_g26910 transcript:Ma06_t26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDILETFEAAKKAADKAAEAGDGSSEVDRCVDALRRLRRIPVTMQQLVETQVGKRLRSLKKHVNSKIQAEASVLLEFWKDVVIQETSNSKKNGGSESKSSVEVNIKSERTETFKIDSTPKAGPVNMDRISTSETLNFVKKDKNQGSNTDRHKTEKNESSESGGCAIKANRIPSGSHQASTTKKPSTVSAAPPKLTTMIKCNDPIRDKLRELLAEAFSKVSCETSEDERDEVRNILDEVNVCDPIRVAVMVESVMFEKLGRSNGAQKLKYRSIMFNLKDGNNTDLRRRVLLGEVKPEKLIVMTPEEMASDKRKLANEQIKEKALFECQREGAAKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTFVTCVNCNNHWKFC >Ma04_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3259194:3262092:1 gene:Ma04_g04290 transcript:Ma04_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERCCLFLEILISIILPPLGVFLHYGCCSLEFCICLLLTILGYIPGIIYAIYVLVAVDHQPYRTSYYEPLA >Ma06_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2044598:2046413:-1 gene:Ma06_g02670 transcript:Ma06_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFEDLEPWYFLNRFRDHLHAFSVSASMSTASCAGEAADECQDDAAALTLKLVAIVAILVAGVVGVAIPLAGRKRRLLRTDGGVFICAKAFAAGVILATGFVHMLHDAQSALTSPCLPISPWRRFPFPGFVAMAAALGTLVLDFAATQFYERKHREEAAGVKAAAAAAVAPTSADEEEFGITVVVSGAPDADVGSEKDPMHIVGMHAHAAAHRHSHARDHGHGHAHAHAHEEGDHVRHVVVSQILELGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCISQAQFNHLAAALMACFFAITTPAGIAAGAGVASSFNANSPRALVVEGLLDSVSAGILIYMALVDLIAADFLGRRMSSSVKLQVASYAALFVGAGSMSILAIWA >Ma08_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7432741:7438595:1 gene:Ma08_g10210 transcript:Ma08_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAWSRFSAAASKRHQSAPQSRYSDASRDPNLRGWRDLYLGFEEFEGGEDDPRAEFPCPFCSEDFDIVGLCCHIDDEHPVEAKNGVCPVCAARVGFDLVRHLTTQHGSFFKMQRRRKYRKGSSVSRTMLSLLRKDLREGNLQALLGGSSYIAPPPPMAAPDPFISSLIYTLPLDESSKDAQSDSLDEGNIVSKNSEEIVVERIEPSLSDKDQMERARRSQFVQGLVLSTIFDDTL >Ma00_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:839678:841762:-1 gene:Ma00_g00270 transcript:Ma00_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEPSAEHLGDQACTADHLLLPPKVTSVLI >Ma10_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31412633:31413991:1 gene:Ma10_g21770 transcript:Ma10_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELLPRLPQEIACECLIRVPFHAFPTVRAVCKNWMHHLESPCFHRLRRSAGLARPFVALVQSESIPPQQQPSLAPPVFRLSLFEPATGAWTSLPPTPGRSHGLPLSCRLAAVGRELVVVGGLDRRSWAFTDDVHVFDVVSRVWRRGAPMPGPRRSSFACAGSEERRMVFVAGGHDERKNALRSTLAYNVAADAWVRLPDMARERDECRGLFARGAFRVLGGFPTAAQAQYSRTAEAFDVASWRWGDVEEGKLAEAGYQRTCVVSGDGRMCMCRQGEEKMEVLLEEGDGAWRPLAHLPGDVKASLQMVAWEGGLMLWGAGDNSRAQVAYIMDLKGGEGKGLMWRKVEMPKRFSGYAQTACCFEM >Ma00_p00990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3584692:3589275:-1 gene:Ma00_g00990 transcript:Ma00_t00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPRDSPLRVEHYFSTDGKWCYVLFWVVERGRKATRWALLKKRLIAACPPASSPLGIDSYYFNRRQETATEQNPQVFLLMFSCYDRMGLLHDVTEVLCELELTIRRVKVSTTPDGRVVDLFLVTDTRELLHTKNRKDEACTQLSAVLGDSMCSCDIELASAELAECLQASSFLPPSVTEDLITLEFQEEPSSSLPRSSVSVDNSLSHAHSLIQIQCHDHKGLLYDIMRTLKDYNIQISYGRFNAAENGNCNIDLFVVQNDGKKIVDSNKQKALCSRLRMELSCSLRVNLISRGPDTELIVANPVELSGKGRPLVFFDITLALKILKIRIFLAEIGRHVVGDREWEVYRVHLGDDHELCGSRDKIVEAVTKMLMGWH >Ma00_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3584692:3589490:-1 gene:Ma00_g00990 transcript:Ma00_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSDDVVIIRPPERPGEPSLITISCPDKTGLGCDLCRVILLFGLNIVRGDFSTDGKWCYVLFWVVERGRKATRWALLKKRLIAACPPASSPLGIDSYYFNRRQETATEQNPQVFLLMFSCYDRMGLLHDVTEVLCELELTIRRVKVSTTPDGRVVDLFLVTDTRELLHTKNRKDEACTQLSAVLGDSMCSCDIELASAELAECLQASSFLPPSVTEDLITLEFQEEPSSSLPRSSVSVDNSLSHAHSLIQIQCHDHKGLLYDIMRTLKDYNIQISYGRFNAAENGNCNIDLFVVQNDGKKIVDSNKQKALCSRLRMELSCSLRVNLISRGPDTELIVANPVELSGKGRPLVFFDITLALKILKIRIFLAEIGRHVVGDREWEVYRVHLGDDHELCGSRDKIVEAVTKMLMGWH >Ma09_p07540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4968566:4970965:1 gene:Ma09_g07540 transcript:Ma09_t07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARESCNLDQDEAEIFKPSSSSSRSATPPSSPSSSPQPPPSSALPKSCDESTIHRPESPAPAMTVAASSTTKLDEESSKPGEESPTPVRFSNRCSTCRKKVGLTGFRCRCGDLFCGRHRYSDAHECSFDYKALGREEIARANPVIKAAKIIKI >Ma09_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4968566:4970965:1 gene:Ma09_g07540 transcript:Ma09_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESCNLDQDEAEIFKPSSSSSRSATPPSSPSSSPQPPPSSALPKSCDESTIHRPESPAPAMTVAASSTTKLDEESSKPGEESPTPVRFSNRCSTCRKKVGLTGFRCRCGDLFCGRHRYSDAHECSFDYKALGREEIARANPVIKAAKIIKI >Ma02_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26664032:26664681:-1 gene:Ma02_g20820 transcript:Ma02_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIPW >Ma03_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:97626:108367:-1 gene:Ma03_g00040 transcript:Ma03_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVIADDNQSKSAEDRLFQHGIPAQVGLVIGQLNRSSDRGFVYDLIPTPPTDGGGPACSLKSEAAGKDDKKKGSKSGKPSAELPASLVVDNDWVAEHARQVSRMLLGGMNVVGIYLWASEATFKATSPAVLSQAIKGVAQAAPFADNEFEERLLIHVSCSPRRWSCRVCTLASGGLQPCDFKMGKLLASLQAFRCTYNFEIRLPIPQSGILGIITFKDLICRGIANLAKDLQSAKALINGHLVTENVHTSSESPHDVQFLVPFNKHISTEACSSEEVVGLVVFSGAICAFAYMGPREPILQAISDLKSDIVSSLRSRLDIITDEAEEEVALSLDGGGVSGGDSLAEKSIHKLALREVRT >Ma03_p00040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:97277:108367:-1 gene:Ma03_g00040 transcript:Ma03_t00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVIADDNQSKSAEDRLFQHGIPAQVGLVIGQLNRSSDRGFVYDLIPTPPTDGGGPACSLKSEAAGKDDKKKGSKSGKPSAELPASLVVDNDWVAEHARQVSRMLLGGMNVVGIYLWASEATFKATSPAVLSQAIKGVAQAAPFADNEFEERLLIHVSCSPRRWSCRVCTLASGGLQPCDFKMGKLLASLQAFRCTYNFEIRLPIPQSGILGIITFKDLICRGIANLAKDLQSAKALINGHLVTENVHTSSESPHDVQFLVPFNKHISTEACSSEEVVGLVVFSGAICAFAYMGPREPILQAISDLKSDIVSSLRSRLDIITDEAEEEVALSLDGGGVSGGDSLAEKSIHKLALREVRKQCTLFFPRRILVPWYSGIFICDYLQASETFEDVKDHCQEMMSMDGPIETTSVVDPETAPISAATRSFWDVVHKCTSNLVNDSKTNKNSIQREADAGKLERNNLNFLTAILVLLSALLFGWAVVAFGPTKTAY >Ma06_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10821779:10823286:-1 gene:Ma06_g15940 transcript:Ma06_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFCLRAAIVPPSTRTRCLVGFHPTSGLAPVRHLSFPRSWRRHHGVSCSQVPPRPPPLEEDEGKKGRSGGRKVTKVAAVGVAVVAACALAAVGLSRGAPAAPALPSTFRTMPTKDNGRSLPIQGPSGGGGGPRNVLVSQDPSTPCNMTVQATRDALRVILFGTKDLSAMGTTNVSSENCFASAGTYDVGICLVQRYIGKKDYHQAKDICEQICAVRPKHDARPCLFKAVIYMMLTVERMLADSPTTTMSSDQLKNSIENDLKDLDKLIDKAKTSWEEYKDLGPFASEPTTDDGPQDTQK >Ma07_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30526815:30527081:1 gene:Ma07_g22670 transcript:Ma07_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNSDYPPLLTGRRTSSRRASSLEPLWSSSTVQLLLLLASRASLSRDAYRSHRPPKEDDESLMATRVSFDSKKRSVEHRSPW >Ma04_p09570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6781629:6794597:1 gene:Ma04_g09570 transcript:Ma04_t09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVVFKPALSPPGLFPRHAGGMKSSQCSFSVGYSPVFHKVCSSRQMTAHTNRRLKYNGGALNATCQGGKILVANRGEIAVRVIRTAHEMGIPCVAVHSTIDRDALHVRLADEAVCIGEAPSSQSYLFIPNVLSAAVSHRCTMLHPGYGFLAENAGFVDICREHRINFIGPNPDSIRVMGDKSTARETMKKAGVPTVPGSDGLLQSTEEAVKLAHEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLLQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALSPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSTDLIEEQIRVALGERLTYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITSYLPSGGPFVRMDSHIYPGYVVPPSYDSLLGKLIVWAPTREKAIERMKRALNDTIITGVPTTIEYHKLILNVEDFRNGKVDTAFIPKHENELAAPQKMILSTAAAMSN >Ma01_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10987693:10988067:1 gene:Ma01_g15150 transcript:Ma01_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDTSEKNPRPLDEDDIALLKTYVSCPSSAASPSSSFPLFDLSSKLLFPLLSSLGVQDRVVRRFFIKNQGLFLFTLSFFISRRIFGSLFAASFLLSGPRSILLSVFLQEVLELFAVRCD >Ma03_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:21344291:21349437:1 gene:Ma03_g16870 transcript:Ma03_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAERLKRWDLSFCAFCSLVLVFIIFTIRSLGFTYRSSDSFFFFFRFRFYESSSDVLYVYELHRVYKFIDYRGEILI >Ma11_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24947437:24951542:1 gene:Ma11_g20480 transcript:Ma11_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDYAFEMWRLLDLESSLINSLKLLDRMVCVKSGHYR >Ma07_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6992287:6995487:1 gene:Ma07_g09330 transcript:Ma07_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRGSHVARVNHPSTDAIMRSVSGTLSVTDLVGSVHVAIAPRNPSVLKKLQMDAEPYKAAFFFFFSPSAHLLRSSILLWLPLLFISEAAVAHGDDQERLVLFDIRSHWSNAPALSSWDVSSPYCNWPGIRCSNGSVTSMSLPNINITEPIPPFFCNLTSLTHLDLSYNEIPGGFPTCLYSCSNLQYLDLSQNFLVGELPSDIHKLSSQLLHLDLSYNNFTGDIPPSIGRLLSLHTLNLHCNFFDGSFPAELGNLSMLESLTLAYNPFASPRIPVEFGNMTRLKYLWMTYANLVGEIPEDLGRLAELDHLDLARNGLSGSIPAAIWSLEKLTTLYLYDNKLTGEISGEIAASNLEEIDVSMNRLTGSIPEEFVNLHNLRILFMYNNSLSGEIPRGIGLLRDLRDIRLFDNHLVGVLPPELGQQSHLTNLEVSNNRISGSLPQGLCANRALKSVVVFNNNLTGELPASLADCYGLNDIQLYNNNFSGEFPGRIWSASVNLTTVLIDRNHFTGVLPDKLQPNLTRLVMNDNRFSGRIPTRAPRLLVFRGSNNMFSGEIPAELTGMSRLQVLLLHGNRISGSIPTSISNLKFLTQLDLSDNHLSGGIPAKLGLLEVLTKLDLSNNRLSGSIPPEIGNLKLNLLNLTYNKLTGEVPLQLQNRAYERSFLSNPGLCSSKRIENLNICAHAGPNKLSERLIPISLVLGGVMFLMLAVTGMLICRRRSDAADLSACKLTSFHQLDFTQRHIIRGLTEASLIGSGGSGQVYRVNLENRAGDAVVAVKKIRNSGQLDWKMEKAFEAEVKVLSSIRHCSIVKLLCCISSADSKLLVYEYMEKGSLDQWLYGRRRTRTGSGHFQPPLDWRKRLGIAIDAAKGLCYMHHHCTPPVIHRDVKSSNILLDSNFGAKIADFGLARMLMKASEPESASAIAGTFGYMAPECGHSKKINEKVDVYSFGVVLLELTTGRKAQDGGEHEGLAGWAARRLREKGGFMEMIDEELSDEVNYTDDIGTVVRLGIECTRRNAVVRPSMKEVLRKLTEIVGLGRS >Ma04_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21199411:21209499:-1 gene:Ma04_g18880 transcript:Ma04_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 2 [Source:Projected from Arabidopsis thaliana (AT1G19920) UniProtKB/Swiss-Prot;Acc:Q43870] MALSTSNSVSIAQNFHHDLPSRASFIRTTTLSILKTRRRRRRRDRSGTQAHHLLVLVNPLYDSNPLTCRLPRSSFSPMQDTSSSSTYSPRATVRSSLIEPDGGALVELVVPEAERAARRAEASRLPAVRIGPVDLEWVHVVADGWASPLRGFMREAEYLQSLHFRSLRLADGSVVNMSLPIVLAIGDEEKEAIGKAPDVALTSPGGDFVAILRRIEIYKHNKEERIARTWGTIAPGLPYVEEVITRAGNWLIGGDLEVLEPIKYNDGLDQYRLSPRELRKEFDKREADAVFAFQLRNPVHNGHALLMNDTRRRLLDMGYKNPILLLHPLGGFTKADDVPLSVRMEQHSKVLEDGVLDPKTTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGISHPTEKRDLYDPDHGKKVLGMAPGLEKLNILPFKVAAYDTVGKKMSFFDPSRAQDFLFISGTKMRTYARNGENPPDGFMCPGGWNVLVNYYQRLQKEETGKESAVVSLKS >Ma08_p21170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35146158:35148611:1 gene:Ma08_g21170 transcript:Ma08_t21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDAVLERVCDLHDMINDATSRAHFLRSINVRRGEREPAAGRRGDAGDGNGGFVFVKEFGAAEDGAAVAEARSLAAIRSALENLEDQLEFFRTVQSQQQAERDSAIARLEQSHIILAMRLADYRGKKYRVIDKALSFVGNVHDMGRSVTPETLSENKITRSQSGKNLEGHEGRSSSMLIQMFIAGFAAAKNSFRLVRIHGVLRNAAVFAISMLALMQLNQVACSGGTARSQHQTFYKKRNGGRFSWSASASSHSSQLERLEVVSARG >Ma06_p30370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31653083:31653355:1 gene:Ma06_g30370 transcript:Ma06_t30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGYCIINEFKIPAETHCEVSAAFDIWIVLIFKVCHEVVEPYLLTAVHPIAHHLFIKECTDVAQFTGKTSSSGTRKASEIKMGSCLSNH >Ma08_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:718939:726158:-1 gene:Ma08_g00770 transcript:Ma08_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPDGPNSDLFVYYCKHCSSHVLITDTQLQKMPKRKTDKAHVLDKTKHLARLNVKEAGKILLKRGEGKLEKQFRMSCTGCELFVCYRSEETLEHATFIYIVDGALSSVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIIEDLSARQVYEKLLEAVQP >Ma08_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33831142:33837523:1 gene:Ma08_g19950 transcript:Ma08_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDSPPASPSPSSSDDEEEDRRTLVPQNDSTAAAKPFHRRRLSYPAFEISGDLPARVGRRLWSSKHYLLAVSLPLLLVLLFFSFDLGRLFRGVSVIPVASSSSSSVAGDRMREAELHALYLLKNQQSELLRLWNLTVSAATATADAASFPSPPFLAPNSTSSPNSTTSRKVDRPNAAIPASTMDEFRSTLIRQIKLNKQIQGALLSSHRLGNLSSEALDENGSFDVSGPGTGVCRKVDRPAGRRTIEWKPKKDRFLFAICLSGQMSNHLICLEKHMFFAALLDRILVLPSSKVDYQYDRVLDINHINECFGRKVVISFEEFAEMKKNKMRINRFICYIASPPCYLDEEHAKRLKNLGLSLGKIEAAWPEDAKLKTQKKRVVGDIMPKFASNDEVIAIGDMFYADVEEEWVMQPGGPLAHKCKTVIQPSRLIYLTAQRFVQTFLGSNFIALHFRRHGFLKFCNVKKESCFFPVPQAAECILRTVEKADAPVIYLSTDAAESETNLLQSLVVLNDKQVPLVKRPAHNSAEKWDALLYRNRLGGDSQVEAMLDKTICALSTVFIGSSGSTFTEDIIRLRRGWESASHCDEYLCQGELPNYIAENE >Ma06_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13125892:13126840:-1 gene:Ma06_g19190 transcript:Ma06_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLSSLLSSPAVLSSGEWESTMEETLPSPKTPTDSAAGSPEEPRARTSSRSRSFSISASTSPRFSAVPFSWEQRPGIPKALSSAAAAEDAAAHRLLPLPPPVRSHSDLPIPRKKRSAARLAAADPFAAALALCAKDFPDAGGDLEELWGVPDASPRRVTTIADRFRLFDLYGSCKATCSVIDATVRLPRTGPLGLLSRRPGAGDI >Ma07_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8958317:9020568:1 gene:Ma07_g12060 transcript:Ma07_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDREQKIKIGVCVMEKKATSSPMRRILERLEAFGEFEIIIFGDKVIVEDPIESWPICDCLIAFYSSGYPLQKVKAYVALRKPFLVNELEPQHLLHDRTKVYERLEMYGIPIPNYALVNREYPYQELDYFVEHEDFVEIFGKRFQKPFVEKPIDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRRESSYIYEEFMPTGGTDVKVYTVGPDYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPDEKQMARDVCNAFQQAVCGFDLLRFKGRSYVCDVNGWSFVKNSHKYYDDAACLLRKIILDAKAPHLSSTIPPNLPWKVNEPVQPSDGLTRQGSGIIGTFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGNTKAETKLKSAVQLQDLLDATRLLVPRASSGRESDSDAEDMEHADKLHQVKAVLEEGGHFSGIYRKAQLKPLKWVKASKPDGGGEFEHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKESSMLDGLEDARPEMKVAKARLHDIIISEGKSVTRNGSPWMVDGAGLPANASQLLPLLVQLTKKITSQVKLLAENEDEKLATSKYTVLPPYDQAKALGKTTIDAARIAAGLPCGSEGFLLMHARWKKLERDLYNERKDRYDVTQIPDIYDSCKYDLVHNAHLNLEGLPELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVGEPNYNQEETDLFRPLNKNEHTRRSNSTCDNSPKKNEDDDDDRETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRFCNLDESIQGEDSLVCSSALERLFKTRELDYTSHIVLRMFENIEVSLEDPKRFRIEMTFSHGADLSPLQDHDNEAACLLQEHTLPIMGPERLQEAGSYLTLDKFEKMIRPFAMPAEDFPPPAVHQAFSGYFSRSGGVLERLASLWPFHRSSGNSLK >Ma07_p12060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8958208:9020568:1 gene:Ma07_g12060 transcript:Ma07_t12060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDREQKIKIGVCVMEKKATSSPMRRILERLEAFGEFEIIIFGDKVIVEDPIESWPICDCLIAFYSSGYPLQKVKAYVALRKPFLVNELEPQHLLHDRTKVYERLEMYGIPIPNYALVNREYPYQELDYFVEHEDFVEIFGKRFQKPFVEKPIDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRRESSYIYEEFMPTGGTDVKVYTVGPDYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPDEKQMARDVCNAFQQAVCGFDLLRFKGRSYVCDVNGWSFVKNSHKYYDDAACLLRKIILDAKAPHLSSTIPPNLPWKVNEPVQPSDGLTRQGSGIIGTFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGNTKAETKLKSAVQLQDLLDATRLLVPRASSGRESDSDAEDMEHADKLHQVKAVLEEGGHFSGIYRKAQLKPLKWVKASKPDGGGEFEHPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKESSMLDGLEDARPEMKVAKARLHDIIISEGKSVTRNGSPWMVDGAGLPANASQLLPLLVQLTKKITSQVKLLAENEDEKLATSKYTVLPPYDQAKALGKTTIDAARIAAGLPCGSEGFLLMHARWKKLERDLYNERKDRYDVTQIPDIYDSCKYDLVHNAHLNLEGLPELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVGEPNYNQEETDLFRPLNKNEHTRRSNSTCDNSPKKNEDDDDDRETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRFCNLDESIQGEDSLVCSSALERLFKTRELDYTSHIVLRMFENIEVSLEDPKRFRIEMTFSHGADLSPLQDHDNEAACLLQEHTLPIMGPERLQEAGSYLTLDKFEKMIRPFAMPAEDFPPPAVHQAFSGYFSRSGGVLERLASLWPFHRSSGNSLK >Ma07_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13153335:13154809:1 gene:Ma07_g16310 transcript:Ma07_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSWTNQSSSLSLDLSVGPLRSPDHAPPDQRSPPRKEEVGVIEAKLNQISKENKRLAEMVSDMHMNYSALRGRLFDLASIPPQEKGSVSPKRKRVDGVSCKYQIKHGEDPMPKTSKMYVRIDPTDSSLVVKDGYQWRKYGQKVTRDNPFPRAYFRCSFAPSCPVKKKVQKSAEDGSLLLATYEGEHNHERPSRDDVYGFSDHSAAAVLPCPSIVVEHTSQPYDLDEVEMPTLQRLLVEQMASALTKDPSFTAAIATAIYERMLGSPPAYH >Ma01_p00680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:514530:519292:1 gene:Ma01_g00680 transcript:Ma01_t00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MDSGFVDVPLPMDKISLSSVQESTGRIGIPERNQMFVVLLSAGSFNPPTYMHLRLFELAKDALEAQGYIVIGGYMSPANDAYRKQDLLPSIHRVHLCELACRTSSFVMVDPWEAKQNSYQRTLTVLSRIQSSLCHSGLIRKESLKVMLLCGSDLLESFATPGVWILDQVRTICQDFGIVCIRREGKDIEKIISTDEILQENKNNIFSVDEIVPNQISSTRVRDCIRRGLSVKYLTPDEVIDYIKDQKLYVSE >Ma01_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:514530:519292:1 gene:Ma01_g00680 transcript:Ma01_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MDSGFVDVPLPMDKISLSSVQESTGRIGIPERNQMFVVLLSAGSFNPPTYMHLRLFELAKDALEAQGYIVIGGYMSPANDAYRKQAKQNSYQRTLTVLSRIQSSLCHSGLIRKESLKVMLLCGSDLLESFATPGVWILDQVRTICQDFGIVCIRREGKDIEKIISTDEILQENKNNIFSVDEIVPNQISSTRVRDCIRRGLSVKYLTPDEVIDYIKDQKLYVSE >Ma06_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3537571:3538468:1 gene:Ma06_g04740 transcript:Ma06_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIILLRISRMHIVSFEVRQSKNIQKELY >Ma02_p15990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23460464:23485250:-1 gene:Ma02_g15990 transcript:Ma02_t15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAGFIIRSLEAMLKECAGKKYPALQSSVQTCLDNMKETKQELTSDEHNNAATLAGNESIRSDGDLSAKEGEAPASDVEKDVVTVRKSQETSEPIMAALASAGHTLDAAQAELVLKPLRLAFETKNIKLLEPALDCLHKLIAYDHLEGDPGLEGGKNASLFTDILNMVCGCVDNSSSDSTILQVLKVLLTAVSSTRFRVHGEPLLGVIRVCYNIALNSKSPINQATSKAMLTQMISIVFRRMEVDQVSVPSNSYVHGEIPSASSTNSDYEEVPRDDQDEKKITLGDALTMNRANETSPSFEQLQNLAGGADIKGLEAVLDQAVQLEDGKKISGGIDLESTVMQHDALLLFRTLCKMGMKEEGDEVTTKTRLLSLELLQGLLEGVSESFTKNFHFIDSVKAYLSYALLRASISPSPVVFQYATGIFAVLLLRFRESLKGEIGVFFPLIILKSLEGNESALSQRTSVLRMLEKVCKDSQMLADIFVNYDCDLQAPNLFERMVNALSRIAQGTQTTDPNSASSMQVASAKGSSLQCLVSVLKSLVDWEKLRKETDKHGNIVRSLEEEVLAREPGTVNELHDDGLNQFEKAKSHKSTMEAAILEFNRKPAKGIEFLLSNKLVEKKASAIAQFLKTTPSLDKAMIGEYLGQHEELPLAVMHAYVDSMKLSGLEFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNSMSDVEECAPKDLLEKIYDSIVREEIKMKSDKSDASISSRLRPETEERGRLVNILNLALPKKKSGIDTKTESEKIKKQIQALFKNKGEKRGVFYTAQQIDLVRPMLEAVGWPLLATFSVTLEEGDNKPRVILCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRALLVLCDMETDSLQDTWNAVLECVSRLEYITSTPSIAATVMQGSNQISRDAVLQSLRELAGKPAEQVFVNSVKLPSDAIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRNEKIRGLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKISPRISLKAIALLRICEDRLAEGFIPGGALKPVDGGLETNFDVTEHYWFPMLAGLSDLTLDSRLEVRNCALEVLFDLLNERGRKFSSAFWEGIFHRVLFPIFDHVRNAGRDGLVSSGDEWLRETSIHSLQLLCNLFNTFYKEVSFMLPPLLSFLLDCAKKTDQSVVSISLGALVHLIEVGGHQFSDSDWDTLLKGIRDVSYTTQPLELLNSLGFENSKKQTVLSKDSKDTDAKDGGSPFRNNHKMEGGRALDHESLSADGNAAGNTISTINSKDDYEENNLQTNFEETDGNLKKPAEAANYQRSQTFGQRIMGNMMDNLLLRGLTSKSKNRTSDLSPVSASPVKIPDAAEPVVDDNDEENSMMATIKGKCITQLLLLGAIDSIQKRYWSKLKVSHKIAIMDTLLSLVEFAASYNSSSNLILRMQYIPSERLPLNLLRQEITGTSIYLEILHKSTATQNGSSHEQGISDGPFVQTSSVNDSCYAGSLDSEEKLKGIAEEKLVSFCGQILEEASELKPISGETGSTDLHRVLDMRAPVIVKVLKGMCCMDNLIFRKHIREFYPLITKLVCCDQMEVRGALGDLFSTQLTPLLP >Ma02_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23460465:23485250:-1 gene:Ma02_g15990 transcript:Ma02_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAGFIIRSLEAMLKECAGKKYPALQSSVQTCLDNMKETKQELTSDEHNNAATLAGNERSDGDLSAKEGEAPASDVEKDVVTVRKSQETSEPIMAALASAGHTLDAAQAELVLKPLRLAFETKNIKLLEPALDCLHKLIAYDHLEGDPGLEGGKNASLFTDILNMVCGCVDNSSSDSTILQVLKVLLTAVSSTRFRVHGEPLLGVIRVCYNIALNSKSPINQATSKAMLTQMISIVFRRMEVDQVSVPSNSYVHGEIPSASSTNSDYEEVPRDDQDEKKITLGDALTMNRANETSPSFEQLQNLAGGADIKGLEAVLDQAVQLEDGKKISGGIDLESTVMQHDALLLFRTLCKMGMKEEGDEVTTKTRLLSLELLQGLLEGVSESFTKNFHFIDSVKAYLSYALLRASISPSPVVFQYATGIFAVLLLRFRESLKGEIGVFFPLIILKSLEGNESALSQRTSVLRMLEKVCKDSQMLADIFVNYDCDLQAPNLFERMVNALSRIAQGTQTTDPNSASSMQVASAKGSSLQCLVSVLKSLVDWEKLRKETDKHGNIVRSLEEEVLAREPGTVNELHDDGLNQFEKAKSHKSTMEAAILEFNRKPAKGIEFLLSNKLVEKKASAIAQFLKTTPSLDKAMIGEYLGQHEELPLAVMHAYVDSMKLSGLEFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNSMSDVEECAPKDLLEKIYDSIVREEIKMKSDKSDASISSRLRPETEERGRLVNILNLALPKKKSGIDTKTESEKIKKQIQALFKNKGEKRGVFYTAQQIDLVRPMLEAVGWPLLATFSVTLEEGDNKPRVILCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRALLVLCDMETDSLQDTWNAVLECVSRLEYITSTPSIAATVMQGSNQISRDAVLQSLRELAGKPAEQVFVNSVKLPSDAIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVILMRNSRNEKIRGLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKISPRISLKAIALLRICEDRLAEGFIPGGALKPVDGGLETNFDVTEHYWFPMLAGLSDLTLDSRLEVRNCALEVLFDLLNERGRKFSSAFWEGIFHRVLFPIFDHVRNAGRDGLVSSGDEWLRETSIHSLQLLCNLFNTFYKEVSFMLPPLLSFLLDCAKKTDQSVVSISLGALVHLIEVGGHQFSDSDWDTLLKGIRDVSYTTQPLELLNSLGFENSKKQTVLSKDSKDTDAKDGGSPFRNNHKMEGGRALDHESLSADGNAAGNTISTINSKDDYEENNLQTNFEETDGNLKKPAEAANYQRSQTFGQRIMGNMMDNLLLRGLTSKSKNRTSDLSPVSASPVKIPDAAEPVVDDNDEENSMMATIKGKCITQLLLLGAIDSIQKRYWSKLKVSHKIAIMDTLLSLVEFAASYNSSSNLILRMQYIPSERLPLNLLRQEITGTSIYLEILHKSTATQNGSSHEQGISDGPFVQTSSVNDSCYAGSLDSEEKLKGIAEEKLVSFCGQILEEASELKPISGETGSTDLHRVLDMRAPVIVKVLKGMCCMDNLIFRKHIREFYPLITKLVCCDQMEVRGALGDLFSTQLTPLLP >Ma00_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:914220:916059:-1 gene:Ma00_g00330 transcript:Ma00_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMKIQPIDAIDVLLSDQVKPVPKWRLKRLFERQFPGVLRISSAERLAGGVDLEPSSVCLDKMVRNFIEDSGEKPSSRCGRSRCNCFHGNCDDSSDDDLEFLPSSNAGDPPVVSAAEVIKGLVVCATLAERNLLADASSVVEKCKNCNQKDEHMKAIADGLRSLGYDAAICKSRWEKTPSFPAGEHEYIDVIVGLERLLVDVDFRSEFEIARSTKSYAALLQSLPSVFVGKVDRVDQIVAVVSEAARHSLKKKGLHFPPWRKPEYLRSKWLAPYERSILPADPMRAAQVAEIAESDGKAKSENGGSVAAAGSAEESREAEGKGAEVVVAAPAWELPEVKPKASHKGAKVVTGLASVLSEKP >Ma06_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11860622:11861823:1 gene:Ma06_g17460 transcript:Ma06_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGTAERVRSTRLRQARRRPAGIASRGYSPTPPCSIAVAASALLLNEPAKPPSFPACRLFGRNCPCYGRKSVDVDETCSEPKSSIRSGSDLNASSTAKNRCFRTGEMGLNSFPDRVMPEETKNDSLFGYSHVFSCPVLNPSSLNNLAEEPPRESLEVFRPTRHTAAALSTPPDSQLAAVVFPFSDQVNRRSFTRIPRQTTTWRPTQAQTFSRSKTSPPRRPTADPTPSTPATISVGALRRRYRHRPMRPARRASSGASPPLLPGGARALRRHGGEAEMPRSSELPKRQGGQRRPNPAAINISGRIECGAQTQTRFERPKPVGGGLEVQSDVCCHDFYLDSLINNNLMLKIIGFSH >Ma06_p26390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28233422:28244248:1 gene:Ma06_g26390 transcript:Ma06_t26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATLASVALFVFLFGFAQCKTVKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGQLKHLKILNLRWNKLQEAIPPEIGELKKLTHLYLSFNNFKGDIPVELANLQELRYLYLHENRLTGKIPPELGNLKYLRHLDVGNNHLTGTLRDLIRNGAGFPSLRNLYLNNNQMSGGLPDQLANLTNLEILYLSYNKMIGPVTPKLVEIPRLTNLYLDHNAFTGRIPDGFYKHPFLKELYIEGNQFKPGAKPKGNHKVLDLIDTEFLF >Ma09_p27990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38852455:38853644:-1 gene:Ma09_g27990 transcript:Ma09_t27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCVREGLRTGAWTAQEDHVLVSYIKVHGDGKWRSLPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISDDEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKKVHGNASQTKPILNVSLADSTTAGTGAAGDASVVQTKAQRCTKTFFHQDQGLLPAPIDHQRHESTTAEPTSPSKAPQSDVSLDGVPLVPGEEDEAVCFSMSPNSTLLLDMPSMEDWIGSDCFQPDIDFSFTSVASFFDTEEWLNWT >Ma05_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10120960:10121968:1 gene:Ma05_g13920 transcript:Ma05_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVVPRWGVEEEEEEEEKVVGREAEAGWKCWKHPVQTSYGVCPACLHDRLLRLCPDCANVRPCGCFPSPSSSASSSSLSSAELLDSGAAVGAVGLVSILVDSEPAFRRSRSVGFPLPRSRSAAVPDVDSVAPLPRARQGRKGWAPFWPFLREARRKESSEAEMYRSRSVAAERSEAPAGGREKEGKAKGGRWWHFPSPMRVFRHWKSATKVMQERLPLRRR >Ma10_p28530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35388308:35398201:-1 gene:Ma10_g28530 transcript:Ma10_t28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWNRKIAVPPSSSSSSPSPSPSPSSVASSPGGRSRYSNLRLPWSRRGDAQRRASRHHEFHRLSDIEDDGLSFDSGAAASGGGDRLSVSSTPVSRSPSNSNCNPGRTSSSPALLPHPLPLPEFVAPATATAPASPRRESTSAPALGFGFPSPNCARCPLPSPREASSRSEGYEGCHAAAESSSIGEPLNKRASSAGAEGSRLPHQTSHRRPEHSGISLNGFTFRRRRKIYQDPNSAGTVTYGLNMPAKSAPTSQFSSPVCSPRRLSNADFSTFGMATPGIETWSAPELPPVDVVSLFSSQTTSEQVIGSPDCSPLCSPTSRSPILISRNPSAPSSPLHTKILSDNSVLWHENGSNVNVHPLPLPPGAASSSQSGFSHQSAAKAEALPMRSQWKKGKLIGSGTFGNVYEATNRHTGALCAMKEVNIIPDDVKSAECIRQLEQEIKFLSQFKHPNIVQYYGSETIDDQLYIYLEYVHPGSINKYVRQHCGAMTESVVRNFTRHILKGLAYLHSKNIMHRDIKGANLLVNVHGIVKLADFGMAKHLSGTMGALSLKGSPYWMAPEVVQATMNKDVGYDFAVDIWSLGCTIIEMFTGKQPWNGLEGAAAMFKVLHKDPPIPESLSNDGKDFLRCCFHRNPTDRPAANMLLEHPFIKNSHHYNAHGSLQAFAGIKIFDNSIRPREKSKSKSEPCVKERHTVTGKNRNSRPGTSKTAASRVSPCSTPAIVPSSSPPHSSYIMMSLAGSSTNILNGRTSLRSPRN >Ma03_p03700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2464314:2464749:1 gene:Ma03_g03700 transcript:Ma03_t03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSLKPVLLTGKLQDSFFASFALLNGAGLASYPIHC >Ma11_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27444373:27448507:1 gene:Ma11_g24500 transcript:Ma11_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g27610 [Source:Projected from Arabidopsis thaliana (AT2G27610) UniProtKB/Swiss-Prot;Acc:Q9ZUW3] MIPRPLSRSRGSVNSDRTLGTAVKHLLDPPNEPSPRGLCSHRQLFDGSPLESPSPWNRLLFRHSRNNLNREPLSLFLEARRSGQPLDDSSLSCVLKACGCLWDRNLGRQLHGLCVKCGHGSGVGVGTSLLDMYLKCDGVDDGVRVFDSMPERNVVSWTSLLTGYSQKGLHDDAFEFFSRMRSEGIKPNPFTFATVLASAAAQGVLENGRQMHGQLIKFGYQGTVFVCNPLINMYSRCGLVEEARAVFNRMVNRDAVSCNAMVAGLVLNGYESEAVQEFRHMRAAGLKPTQSSFATMIKLCANLKQLAFARQLHCCVVKERFDLDANVITTLMVVYSKCSEMDDAFELFSMLGARSVVSWTAMINGYIQNGHVSRAALLFSQMRLDAVEPNDFTYSILLTASPQISPFQIHAQVIKTKFQQVPSVGTALLAAYTKLGNTCEAFCVFRGIKEKDIVAWSAMLACYAQAGDSEGAVKLFTEMARKSIGANEFTLSSAIDACASPTASADQGKQFHAISIKLKYENTLCVSTALVTMYARRGSIESAQGVFDRQSVRDQVSWNSMLMGYAQHGYSKKALELFRGIESRGIEMDGITFIGVIIACTHTGLVEEGKKHFESMVHNHHISPTVEHYACMVDLYSRAGKLEEAMSLIKEMPFPASATVWRTLLGACRLHRNVELGELAAEKLMSLEPSHSAAYVLLSNMYAAAGRWAERAKIRKLMDARKVKKEAGCSWIQIKNKVHSFLASDRTHPMSDKIYTKLKDITIRLKEKGYQPNTDYVLHDMEEAHKEVMLAQHSERLAISFGLIATLPGTPLQIVKNLRVCGDCHTVIKLISEIEQREIVIRDSSRFHHFNRGSCSCGDYW >Ma09_p28660.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39311196:39317793:1 gene:Ma09_g28660 transcript:Ma09_t28660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWAVAVTASKPTPGLLSTSSSSIRPFSSRYSSSSAVAAEDLLAETLPPEKPSTGSFLVARLSYLLSRPHWRKNRSLKKLGRSVTPPVVAELLQGGSLDAESALAFFQWIGQRPGYRHSVESYASLVHVLLRSKSRFQVDEVVTFMIKSCYSVEEVRTALETFKSINRAGGGVRFRPSIWCYNTLLMSLGRYGMIEEMNDMYRQINNDQVLPDLVTYNTMIKAYCMEGNLAETKLYLRCLLESGLAPDRFTYNFLILGHCRSKKLDRACWIFLMMPQMGRRRNEFSYTILIQGLCKHSRVNEALSLFLKMGSDACHPNVHTYTVMIDGLCTVGKLDDAEKLLNEIFDRGLVPSNVTYNALLDGYCKRGKFEAAYGVMKLMESNGCKLDEWTYSIVIQSLCKENRLEDAEALLNEAIARGCGPNVFTFSALLHVYCKHGKIADGLRVMELMESNGCKPDAWTYTMMIDGLCKENRLEDAVKMLREMFDKRLIPSVVTYTALVGGFCERRKMNDALQVVEMMESYGCQPNAWTYTELIYGFCLERNVHKAMSLLSKMLEGGSTPNIITYTNLINGQCQEGYMDDALRLLNSMEAKGLVADQQTYTILIDALCKDGRIGEAYALFSSLARKDVIPNKVMYTALIDGLCKSGKIDFAYSLLMRMSLDDCLPDTFTYSVLIDGFCKERKLQEALSLLGTMSKKGVEPTAVTFTILIDEMLYKGDYDHAKKMLNQIFSSGCKPTAHTYTAFVHAYCCEGRVEEAESTVTEMKNEGILPDLVTYNTLINGFGTMGYMDRAFSALKNMIDSACHPNYWTYSVLLEHFLKGKQENIVLSSSELWEKIDVAIVLEFLGEMEQFDCAPNLVTYGALIAGFCKVARLEEAYLMLSHMKKGGLLPNGDIYNSLIICCCKLNRYMEASTFMNSMSECGYLPQLESYQVLLSGLCDERNSEQAKLFFCDLMGKGYNPDEVVWKVLIDGVLKKGYVNECSEMFTIMKELNCYPTPQTLALMAKYTSELSQGETSILEK >Ma09_p28660.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39311196:39317793:1 gene:Ma09_g28660 transcript:Ma09_t28660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWAVAVTASKPTPGLLSTSSSSIRPFSSRYSSSSAVAAEDLLAETLPPEKPSTGSFLVARLSYLLSRPHWRKNRSLKKLGRSVTPPVVAELLQGGSLDAESALAFFQWIGQRPGYRHSVESYASLVHVLLRSKSRFQVDEVVTFMIKSCYSVEEVRTALETFKSINRAGGGVRFRPSIWCYNTLLMSLGRYGMIEEMNDMYRQINNDQVLPDLVTYNTMIKAYCMEGNLAETKLYLRCLLESGLAPDRFTYNFLILGHCRSKKLDRACWIFLMMPQMGRRRNEFSYTILIQGLCKHSRVNEALSLFLKMGSDACHPNVHTYTVMIDGLCTVGKLDDAEKLLNEIFDRGLVPSNVTYNALLDGYCKRGKFEAAYGVMKLMESNGCKLDEWTYSIVIQSLCKENRLEDAEALLNEAIARGCGPNVFTFSALLHVYCKHGKIADGLRVMELMESNGCKPDAWTYTMMIDGLCKENRLEDAVKMLREMFDKRLIPSVVTYTALVGGFCERRKMNDALQVVEMMESYGCQPNAWTYTELIYGFCLERNVHKAMSLLSKMLEGGSTPNIITYTNLINGQCQEGYMDDALRLLNSMEAKGLVADQQTYTILIDALCKDGRIGEAYALFSSLARKDVIPNKVMYTALIDGLCKSGKIDFAYSLLMRMSLDDCLPDTFTYSVLIDGFCKERKLQEALSLLGTMSKKGVEPTAVTFTILIDEMLYKGDYDHAKKMLNQIFSSGCKPTAHTYTAFVHAYCCEGRVEEAESTVTEMKNEGILPDLVTYNTLINGFGTMGYMDRAFSALKNMIDSACHPNYWTYSVLLEHFLKGKQENIVLSSSELWEKIDVAIVLEFLGEMEQFDCAPNLVTYGALIAGFCKVARLEEAYLMLSHMKKGGLLPNGDIYNSLIICCCKLNRYMEASTFMNSMSECGYLPQLESYQVLLSGLCDERNSEQAKLFFCDLMGKGYNPDEVVWKVLIDGVLKKGYVNECSEMFTIMKELNCYPTPQTLALMAKYTSELSQGETSILEK >Ma09_p28660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39311196:39317793:1 gene:Ma09_g28660 transcript:Ma09_t28660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWAVAVTASKPTPGLLSTSSSSIRPFSSRYSSSSAVAAEDLLAETLPPEKPSTGSFLVARLSYLLSRPHWRKNRSLKKLGRSVTPPVVAELLQGGSLDAESALAFFQWIGQRPGYRHSVESYASLVHVLLRSKSRFQVDEVVTFMIKSCYSVEEVRTALETFKSINRAGGGVRFRPSIWCYNTLLMSLGRYGMIEEMNDMYRQINNDQVLPDLVTYNTMIKAYCMEGNLAETKLYLRCLLESGLAPDRFTYNFLILGHCRSKKLDRACWIFLMMPQMGRRRNEFSYTILIQGLCKHSRVNEALSLFLKMGSDACHPNVHTYTVMIDGLCTVGKLDDAEKLLNEIFDRGLVPSNVTYNALLDGYCKRGKFEAAYGVMKLMESNGCKLDEWTYSIVIQSLCKENRLEDAEALLNEAIARGCGPNVFTFSALLHVYCKHGKIADGLRVMELMESNGCKPDAWTYTMMIDGLCKENRLEDAVKMLREMFDKRLIPSVVTYTALVGGFCERRKMNDALQVVEMMESYGCQPNAWTYTELIYGFCLERNVHKAMSLLSKMLEGGSTPNIITYTNLINGQCQEGYMDDALRLLNSMEAKGLVADQQTYTILIDALCKDGRIGEAYALFSSLARKDVIPNKVMYTALIDGLCKSGKIDFAYSLLMRMSLDDCLPDTFTYSVLIDGFCKERKLQEALSLLGTMSKKGVEPTAVTFTILIDEMLYKGDYDHAKKMLNQIFSSGCKPTAHTYTAFVHAYCCEGRVEEAESTVTEMKNEGILPDLVTYNTLINGFGTMGYMDRAFSALKNMIDSACHPNYWTYSVLLEHFLKGKQENIVLSSSELWEKIDVAIVLEFLGEMEQFDCAPNLVTYGALIAGFCKVARLEEAYLMLSHMKKGGLLPNGDIYNSLIICCCKLNRYMEASTFMNSMSECGYLPQLESYQVLLSGLCDERNSEQAKLFFCDLMGKGYNPDEVVWKVLIDGVLKKGYVNECSEMFTIMKELNCYPTPQTLALMAKYTSELSQGETSILEK >Ma09_p28660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39311196:39317793:1 gene:Ma09_g28660 transcript:Ma09_t28660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWAVAVTASKPTPGLLSTSSSSIRPFSSRYSSSSAVAAEDLLAETLPPEKPSTGSFLVARLSYLLSRPHWRKNRSLKKLGRSVTPPVVAELLQGGSLDAESALAFFQWIGQRPGYRHSVESYASLVHVLLRSKSRFQVDEVVTFMIKSCYSVEEVRTALETFKSINRAGGGVRFRPSIWCYNTLLMSLGRYGMIEEMNDMYRQINNDQVLPDLVTYNTMIKAYCMEGNLAETKLYLRCLLESGLAPDRFTYNFLILGHCRSKKLDRACWIFLMMPQMGRRRNEFSYTILIQGLCKHSRVNEALSLFLKMGSDACHPNVHTYTVMIDGLCTVGKLDDAEKLLNEIFDRGLVPSNVTYNALLDGYCKRGKFEAAYGVMKLMESNGCKLDEWTYSIVIQSLCKENRLEDAEALLNEAIARGCGPNVFTFSALLHVYCKHGKIADGLRVMELMESNGCKPDAWTYTMMIDGLCKENRLEDAVKMLREMFDKRLIPSVVTYTALVGGFCERRKMNDALQVVEMMESYGCQPNAWTYTELIYGFCLERNVHKAMSLLSKMLEGGSTPNIITYTNLINGQCQEGYMDDALRLLNSMEAKGLVADQQTYTILIDALCKDGRIGEAYALFSSLARKDVIPNKVMYTALIDGLCKSGKIDFAYSLLMRMSLDDCLPDTFTYSVLIDGFCKERKLQEALSLLGTMSKKGVEPTAVTFTILIDEMLYKGDYDHAKKMLNQIFSSGCKPTAHTYTAFVHAYCCEGRVEEAESTVTEMKNEGILPDLVTYNTLINGFGTMGYMDRAFSALKNMIDSACHPNYWTYSVLLEHFLKGKQENIVLSSSELWEKIDVAIVLEFLGEMEQFDCAPNLVTYGALIAGFCKVARLEEAYLMLSHMKKGGLLPNGDIYNSLIICCCKLNRYMEASTFMNSMSECGYLPQLESYQVLLSGLCDERNSEQAKLFFCDLMGKGYNPDEVVWKVLIDGVLKKGYVNECSEMFTIMKELNCYPTPQTLALMAKYTSELSQGETSILEK >Ma09_p28660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39311196:39317793:1 gene:Ma09_g28660 transcript:Ma09_t28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWAVAVTASKPTPGLLSTSSSSIRPFSSRYSSSSAVAAEDLLAETLPPEKPSTGSFLVARLSYLLSRPHWRKNRSLKKLGRSVTPPVVAELLQGGSLDAESALAFFQWIGQRPGYRHSVESYASLVHVLLRSKSRFQVDEVVTFMIKSCYSVEEVRTALETFKSINRAGGGVRFRPSIWCYNTLLMSLGRYGMIEEMNDMYRQINNDQVLPDLVTYNTMIKAYCMEGNLAETKLYLRCLLESGLAPDRFTYNFLILGHCRSKKLDRACWIFLMMPQMGRRRNEFSYTILIQGLCKHSRVNEALSLFLKMGSDACHPNVHTYTVMIDGLCTVGKLDDAEKLLNEIFDRGLVPSNVTYNALLDGYCKRGKFEAAYGVMKLMESNGCKLDEWTYSIVIQSLCKENRLEDAEALLNEAIARGCGPNVFTFSALLHVYCKHGKIADGLRVMELMESNGCKPDAWTYTMMIDGLCKENRLEDAVKMLREMFDKRLIPSVVTYTALVGGFCERRKMNDALQVVEMMESYGCQPNAWTYTELIYGFCLERNVHKAMSLLSKMLEGGSTPNIITYTNLINGQCQEGYMDDALRLLNSMEAKGLVADQQTYTILIDALCKDGRIGEAYALFSSLARKDVIPNKVMYTALIDGLCKSGKIDFAYSLLMRMSLDDCLPDTFTYSVLIDGFCKERKLQEALSLLGTMSKKGVEPTAVTFTILIDEMLYKGDYDHAKKMLNQIFSSGCKPTAHTYTAFVHAYCCEGRVEEAESTVTEMKNEGILPDLVTYNTLINGFGTMGYMDRAFSALKNMIDSACHPNYWTYSVLLEHFLKGKQENIVLSSSELWEKIDVAIVLEFLGEMEQFDCAPNLVTYGALIAGFCKVARLEEAYLMLSHMKKGGLLPNGDIYNSLIICCCKLNRYMEASTFMNSMSECGYLPQLESYQVLLSGLCDERNSEQAKLFFCDLMGKGYNPDEVVWKVLIDGVLKKGYVNECSEMFTIMKELNCYPTPQTLALMAKYTSELSQGETSILEK >Ma09_p28660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39311196:39317793:1 gene:Ma09_g28660 transcript:Ma09_t28660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWAVAVTASKPTPGLLSTSSSSIRPFSSRYSSSSAVAAEDLLAETLPPEKPSTGSFLVARLSYLLSRPHWRKNRSLKKLGRSVTPPVVAELLQGGSLDAESALAFFQWIGQRPGYRHSVESYASLVHVLLRSKSRFQVDEVVTFMIKSCYSVEEVRTALETFKSINRAGGGVRFRPSIWCYNTLLMSLGRYGMIEEMNDMYRQINNDQVLPDLVTYNTMIKAYCMEGNLAETKLYLRCLLESGLAPDRFTYNFLILGHCRSKKLDRACWIFLMMPQMGRRRNEFSYTILIQGLCKHSRVNEALSLFLKMGSDACHPNVHTYTVMIDGLCTVGKLDDAEKLLNEIFDRGLVPSNVTYNALLDGYCKRGKFEAAYGVMKLMESNGCKLDEWTYSIVIQSLCKENRLEDAEALLNEAIARGCGPNVFTFSALLHVYCKHGKIADGLRVMELMESNGCKPDAWTYTMMIDGLCKENRLEDAVKMLREMFDKRLIPSVVTYTALVGGFCERRKMNDALQVVEMMESYGCQPNAWTYTELIYGFCLERNVHKAMSLLSKMLEGGSTPNIITYTNLINGQCQEGYMDDALRLLNSMEAKGLVADQQTYTILIDALCKDGRIGEAYALFSSLARKDVIPNKVMYTALIDGLCKSGKIDFAYSLLMRMSLDDCLPDTFTYSVLIDGFCKERKLQEALSLLGTMSKKGVEPTAVTFTILIDEMLYKGDYDHAKKMLNQIFSSGCKPTAHTYTAFVHAYCCEGRVEEAESTVTEMKNEGILPDLVTYNTLINGFGTMGYMDRAFSALKNMIDSACHPNYWTYSVLLEHFLKGKQENIVLSSSELWEKIDVAIVLEFLGEMEQFDCAPNLVTYGALIAGFCKVARLEEAYLMLSHMKKGGLLPNGDIYNSLIICCCKLNRYMEASTFMNSMSECGYLPQLESYQVLLSGLCDERNSEQAKLFFCDLMGKGYNPDEVVWKVLIDGVLKKGYVNECSEMFTIMKELNCYPTPQTLALMAKYTSELSQGETSILEK >Ma11_p19090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24060750:24061960:1 gene:Ma11_g19090 transcript:Ma11_t19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFAMGKQEEMLVRRITEIYECVSKLPALSPSKEVNELLTELVNICIPVIAIDVSTLSSEVQAMRSELILLCGEAEGLMESHYSDLLASYDNPLDHLSLFPYYSNYLELSLLEYTLLMRHVPSPPGRVAFVGSGPLPLTSVVLAKQHMPAAEFHNYDLDPTANDRACRLLRGDPDMAARMAFHTADVLSVTHELRGFDVVFLAALVGIGHDEKVRVIEHLARHMAPGAILVVRSAHSARAFLYPVVEPADLRGFEVLSIHHPGDQVINSVIVSRKPQGGHAPGAAAVMRPCKCCEMMQGFHHFGHGSMMEEVALEELPS >Ma09_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9520075:9528604:1 gene:Ma09_g14000 transcript:Ma09_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQLCTCSFVVNLLISEEQMARLADSFLADLDELSDNEVQLDDENIDAAAVEEDDEGDMLDIETLNYEDLDSVSKLQKTQRYNDIMQKGTDISSHGIVLEDDPEYQVIIDCNSLSVYIKNEIIIIHNFIRDKYRLKFPELESLVHHQIDYARVVKKIGNEMYLTLVDLECLLPSAIIMVVSVTASTTILYFVESIMGYIAPNLSAIVGSAVAAKLMGTAGGLLALAKMPASRVDSTRGDPTGNTGIDLREEIGKRIEKWQEPPRAKQPKPLPVPYSEPKKKRGGRRLRKIKERYAITDMRKLANRMQFGVPEENSLGDGLGEGYGMLGQAGSGKLRVSIGQSKLATKAAKRFKEKHFGSSGAASGSTSSDIYTRTSEYICNINWFKLFTIFISSILCVHQGIELTNPQAYGTQLGSGTQSIYFSETGTFSKIKRM >Ma05_p26430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38038398:38041972:1 gene:Ma05_g26430 transcript:Ma05_t26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTAAAVALWPASQSALFLRRPSSLASKLPSHYPPRASCTLRSAALVTPLPCPTPRRPETRLFANESESPDIEVEIENDGGSGGGIEGSDGGSGGGGEGGDDGKNGGAGDSGESEEGGADKEKKVEHEGGMSMSQKVTLGYAALVGVGGIMGYVKSGSQKSLAVGGIAALLLYFVYTLLPVRPAFASSLGLGLSAALLVVMGSRFKKSGKIFPAGIVSLVSFIMVGGYFHGILRSSHV >Ma03_p29590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32443275:32451962:1 gene:Ma03_g29590 transcript:Ma03_t29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAKNNHTNKKSKPRRQQDTVKKQGMQADISELCAQLDALGLKIIQVTADGNCFFRALADQLEGNENDHQKYREMVVNYIFKNREDFEPFIEDDVPFDKYCQSMEKDGSWAGHMELQAASLVTKRNICIHRLKSPRWYICNFTGDHQANMIHLCYHDGEHYCSVRSKEDSCEGPAKPIMIKADAHISAKEHNNKVAIDKSSKGSSSRSTFNSGSVKLVMAGTGIEDIDKVEQVLREVDGDVDAAIEFLIAEKELDLDHDACSSADNSKGEDLLQGECQIQDPGQAVVASLDMKLEQDICDTKIQAVQADSIHNQNKKNPENKMCSCGSKKKCKACGTSTGKVSSFIVNSKHAANKGRREPKQNKRKEAINEVRNCGSGSLSDMGALCI >Ma11_p18030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23194966:23197532:1 gene:Ma11_g18030 transcript:Ma11_t18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTRSLMSAENLSLPQVQVIVMSASMGCSHCRQRVSKVVSKMNSLLDYMVDLRKKEITMRGVVESKKRKVDEERRSYKKKSPRSLGFLRMNCFSCG >Ma11_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23194966:23197532:1 gene:Ma11_g18030 transcript:Ma11_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTRSLMSAENLSLPQVQVIVMSASMGCSHCRQRVSKVVSKMNTGLLDYMVDLRKKEITMRGVVESKKRKVDEERRSYKKKSPRSLGFLRMNCFSCG >Ma11_p18030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23194966:23197532:1 gene:Ma11_g18030 transcript:Ma11_t18030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTRSLMSAENLSLPQVIVMSASMGCSHCRQRVSKVVSKMNTGLLDYMVDLRKKEITMRGVVESKKRKVDEERRSYKKKSPRSLGFLRMNCFSCG >Ma06_p33390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34044920:34046428:1 gene:Ma06_g33390 transcript:Ma06_t33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPCLLLPTDTSCLGWRWIYPSIWVELWAYYSMGRLWLHPKIHLVLGSTSDAVMRRFVSLRWLLPKKIATVLGTRIRLWPITFVHHPFVHLWIVALQFIFLFLFLFCFFHLFFGLFCCHIYLIPFFLGCSATGIEHPSHCSDRVPYHVLFLWMNNGHQTALLLCLGRNHG >Ma09_p27530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38500658:38508074:-1 gene:Ma09_g27530 transcript:Ma09_t27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAWSLPRFLMLRDNSDTPPSTSGSPTSPGFDVDRLPHNTSRITDSYSDDDEAAVDPRVFVDDDGGDGDRNKEEEEGEDLFEDNYMEDYRRMDDQDRYETVGMDDSTEDERDLDQIMADRRAAEVELDARDGRNGGILDRKLPQMLYDQDMDEYINFRPPKRFRADFRPPTGGQSEDDTEGSTQSSPGMFQQGNSRDDVPVTDQTDDDQYEDEYDGEDEMTLYHVQGTLREWVARDEVRWFIAKKFKDFLLTYVNPKNEHGDIEYVRLINEMVLANKCSLEINYMQFMYIHSNIVTWLSDAPQSVLEVMEEVAKNVVFHLHKNYKNIHQKIFVRITNLPVYDQIRNIRQIHLNKMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFLQNSYSEVKVGSCPECQSKGPFTTNVEKTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCACPGEEIEVTGIYTNNSDLSLNTKNGFPVFATVVEANYVTKKQDHFSAYKFTDQDKAEIEKLAKDPRIGERIVKSIAPSIYGHEDIKMAIALAMFGGQEKIVQGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTKVWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISIAKAGIVTSLQARCSVIAAANPIGGRYDSSKTLTQNVELTDAIISRFDILCVVKDIVDPVTDEMLARFVVDSHAKSQPASNSQDQLASSRPVDPEILSQDILKKYITYAKLNVFPKIRDADFDKSRHVYPEIRRESSHGQGIRIAVRHIESMIRMSEAHARMHLRNYVSQEDEDMAIRVLLDSFISTQKFGVQNALQKSFRKYMTFKKDFNELVLHLLCVLVKDALQFEEIVSGTTAHLTHIEVKVEELRNKAREYEIYDLKPFFSGSHFTSSNFILDETRGVIRYPLPR >Ma03_p33040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34569777:34570628:-1 gene:Ma03_g33040 transcript:Ma03_t33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELYESEVVWPDGHEERPSDAGSRPCSSSRGRTWTASAPMDVPRTRRVPATGEASIGDYGDEERETEMVPPHVLASRGRMVGKAAFSVCTGQGRTLKGRDLRHVRNSVLRMTGFLED >Ma05_p14260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10357487:10361104:-1 gene:Ma05_g14260 transcript:Ma05_t14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIPDGDGHPLFSKISISGPALASIIERFASSPGDIDGLLFGHVTRLPPPDPRDDDGGHGSPNSSAGSAAAAANSPLAATITGHLSLASRASFYDALGRLISPSLRNAASSSGHPAASLLGWFSGRRRSPLRPSMRERAVSLSLFRTPDLLDTNAPPDRSLESLDLPHRPSIFLLLSSSTTGNQAVHTHEYRAFALRLRAGGVGGVLEPRSLDIVNVGPAFRAQYSSFSPVSAFPWMPCQLRGLEEGEREMGNKRGGGESLNRLQELAMEQHLLDSSTGGFGAERLERLVGPAATGYTSELEDLYGKMLLKLESLATLVEESSARILEQEGRISELRSRVEGLE >Ma05_p14260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10357432:10361104:-1 gene:Ma05_g14260 transcript:Ma05_t14260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIPDGDGHPLFSKISISGPALASIIERFASSPGDIDGLLFGHVTRLPPPDPRDDDGGHGSPNSSAGSAAAAANSPLAATITGHLSLASRASFYDALGRLISPSLRNAASSSGHPAASLLGWFSGRRRSPLRPSMRERAVSLSLFRTPDLLDTNAPPDRSLESLDLPHRPSIFLLLSSSTTGNQAVHTHEYRAFALRLRAGGVGGVLEPRSLDIVNVGPAFRAQYSSFSPVSAFPWMPCQLRGLEEGEREMGNKRGGGESLNRLQELAMEQHLLDSSTGGFGAERLERLVGPAATGYTSELEDLYGKMLLKLESLATLVEESSARILEQEGRISELRSRVEGLE >Ma05_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10358794:10361104:-1 gene:Ma05_g14260 transcript:Ma05_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIPDGDGHPLFSKISISGPALASIIERFASSPGDIDGLLFGHVTRLPPPDPRDDDGGHGSPNSSAGSAAAAANSPLAATITGHLSLASRASFYDALGRLISPSLRNAASSSGHPAASLLGWFSGRRRSPLRPSMRERAVSLSLFRTPDLLDTNAPPDRSLESLDLPHRPSIFLLLSSSTTGNQAVHTHEYRAFALRLRAGGVGGVLEPRSLDIVNVGPAFRAQYSSFSPVSAFPWMPCQLRGLEEGEREMGNKRGGGESLNRLQELAMEQHLLDSSTGGFGAERLERLVGPAATGYTSELEDLYGKMLLKLESLATLVEESSARILEQALVTSTDR >Ma04_p21000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23666938:23670141:-1 gene:Ma04_g21000 transcript:Ma04_t21000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGFWEYLLKLLNFLLTLTGLAMVGYGVYLLMEYNKVSSGGDGDDQVAPTSNDPKFWMIGRPMLVGVPLSSSFLDHLPKAWFIYLFIGVGAILFVISCIGCIGAVTRNGCCLSCYSFLVILLILVELAAAAFIFFNHSWKDLIPDDKTGNFDTIYEFLENNWKITKWVALGAVILEALVFVLSLMVRAAANRAVEYDSDDEYIRQPLMNRQGVPPVLGTLDHRPSRNDAWSQRMREKYGLNTFEFAYKQSNPSRYQQATASQAEERGSRCIIL >Ma04_p21000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23666938:23670141:-1 gene:Ma04_g21000 transcript:Ma04_t21000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGFWEYLLKLLNFLLTLTGLAMVGYGVYLLMEYNKVSSGGDGDDQVAPTSNDPKFWMIGRPMLVGVPLSSSFLDHLPKAWFIYLFIGVGAILFVISCIGCIGAVTRNGCCLSCYSFLVILLILVELAAAAFIFFNHSWKDLIPDDKTGNFDTIYEFLENNWKITKWVALGAVILEALVFVLSLMVRAAANRAVEYDSDDEYIRQPLMNRQGVPPVLGTLDHRPSRNDAWSQRMREKYGLNTFEFAYKQSNPSRYQQATASQAEERGSRCIIL >Ma04_p21000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23666938:23670134:-1 gene:Ma04_g21000 transcript:Ma04_t21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGFWEYLLKLLNFLLTLTGLAMVGYGVYLLMEYNKVSSGGDGDDQVAPTSNDPKFWMIGRPMLVGVPLSSSFLDHLPKAWFIYLFIGVGAILFVISCIGCIGAVTRNGCCLSCYSFLVILLILVELAAAAFIFFNHSWKDLIPDDKTGNFDTIYEFLENNWKITKWVALGAVILEALVFVLSLMVRAAANRAVEYDSDDEYIRQPLMNRQGVPPVLGTLDHRPSRNDAWSQRMREKYGLNTFEFAYKQSNPSRYQQATASQAEERGSRCIIL >Ma04_p21000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23666938:23670134:-1 gene:Ma04_g21000 transcript:Ma04_t21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGFWEYLLKLLNFLLTLTGLAMVGYGVYLLMEYNKVSSGGDGDDQVAPTSNDPKFWMIGRPMLVGVPLSSSFLDHLPKAWFIYLFIGVGAILFVISCIGCIGAVTRNGCCLSCYSFLVILLILVELAAAAFIFFNHSWKDLIPDDKTGNFDTIYEFLENNWKITKWVALGAVILEALVFVLSLMVRAAANRAVEYDSDDEYIRQPLMNRQGVPPVLGTLDHRPSRNDAWSQRMREKYGLNTFEFAYKQSNPSRYQQATASQAEERGSRCIIL >Ma09_p31570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41260185:41302745:1 gene:Ma09_g31570 transcript:Ma09_t31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGKPSSSLNSLLVKVYRLIDEGKWDDQGTGHVRIDYPEGSEDLSLIVEEEENENLIVHRISASEIYRRQEDTIISWRDSKLATDLALSFQEATGCSFIWDQISEVQRNLHFSNLAGLEIGSRPALGALEASTIMPLNDDSFHTINSELRDLPSVELSSLPFILKIVVESGITDQLRIAELILQDQEFFPKLIDLFRMCEKVKNMDGLYMIFRLVKAIFCLNSPQVFDRIFGEEYVLDIIGSLEYDPELPQVQRHRAFLKEHVVFKEAIPIKDSSVLSKIHQTYRVAYVKDVILARVLDEATIASLSSIIHGNNSFVISLLKDDTSFIQELFARMRSTSTSAESKRELVLFLHEFCNLSKSLQPVQQLRLFRDLAAEGIFDIVTDALQSQDRKLVSAGTDILILFLNLDPSLLRTYVIQQEANSLLGLLVEGMISNFTEDMHCQFLEIIRILVDSHNASGLQRDAIIDIFYEKHLNRLIDVLASSCRTRNNSPTIPRLVTSCGGVESHAVTKPEILSNICDLLCYCVVQHPYKIKCNFLMNNAMEKVLFLTNRRERFLVVAAVRFIRTVISRNDEHLLHHIVKNNLLKPIIEAFIKNGDRYNMLHSGVLELLEYIRKENLKILVIYVVECFWEQLLKFQHLGIIQALKIKYEQCLEKSEANNTNTAVDSQKRIEERTLEKEEEDYFNEDSDEDSGAQHMHNQHAHATLPNGTKVNYSSRSGSVGLVDYEDDEDDDDYNPPPRKSEPSMDNDDDDGFPKIKRKSSTTVSLKDEKLLVTKKQKLEVRLNDGEDASVASTCGNHDSPCKSEPLHDSPSQLDSNGRPDESDIEGESANPQIHRLSDASDTGQSSRDDCPSVPHNNPSPKRVVNDKNISGSEPFSVR >Ma09_p31570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41260185:41302745:1 gene:Ma09_g31570 transcript:Ma09_t31570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGKPSSSLNSLLVKVYRLIDEGKWDDQGTGHVRIDYPEGSEDLSLIVEEEENENLIVHRISASEIYRRQEDTIISWRDSKLATDLALSFQEATGCSFIWDQISEVQRNLHFSNLADDSFHTINSELRDLPSVELSSLPFILKIVVESGITDQLRIAELILQDQEFFPKLIDLFRMCEKVKNMDGLYMIFRLVKAIFCLNSPQVFDRIFGEEYVLDIIGSLEYDPELPQVQRHRAFLKEHVVFKEAIPIKDSSVLSKIHQTYRVAYVKDVILARVLDEATIASLSSIIHGNNSFVISLLKDDTSFIQELFARMRSTSTSAESKRELVLFLHEFCNLSKSLQPVQQLRLFRDLAAEGIFDIVTDALQSQDRKLVSAGTDILILFLNLDPSLLRTYVIQQEANSLLGLLVEGMISNFTEDMHCQFLEIIRILVDSHNASGLQRDAIIDIFYEKHLNRLIDVLASSCRTRNNSPTIPRLVTSCGGVESHAVTKPEILSNICDLLCYCVVQHPYKIKCNFLMNNAMEKVLFLTNRRERFLVVAAVRFIRTVISRNDEHLLHHIVKNNLLKPIIEAFIKNGDRYNMLHSGVLELLEYIRKENLKILVIYVVECFWEQLLKFQHLGIIQALKIKYEQCLEKSEANNTNTAVDSQKRIEERTLEKEEEDYFNEDSDEDSGAQHMHNQHAHATLPNGTKVNYSSRSGSVGLVDYEDDEDDDDYNPPPRKSEPSMDNDDDDGFPKIKRKSSTTVSLKDEKLLVTKKQKLEVRLNDGEDASVASTCGNHDSPCKSEPLHDSPSQLDSNGRPDESDIEGESANPQIHRLSDASDTGQSSRDDCPSVPHNNPSPKRVVNDKNISGSEPFSVR >Ma01_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18924548:18940739:-1 gene:Ma01_g20500 transcript:Ma01_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPKGSGGKGPSQKGPSSSSGGGSRKSRWENDDKSPSEFLAPSSDSKPSKPKASPALVPKNHLTSPSPAGLRPFHHPEPAGPPPPPSYGFHNLERRTIVLADGSVRSYFALPPDPPIDPAADKFPYGSPGFGLGPETAPGLGFVNHFPPPPGRRFSPEDFRQPPLNGRGPGLQRDYWDSLGLDGPRGPLEGGPSSLKRKYGGEDEFLWHRQHVMQHGNPNGIHLGPSGSGVDRRDYLGGNGSPFGRDHHDEPRLSKQMKLGRESYEEMTPRKTRPDDAPAVVLDVDTQALKRAFLRFSKTINENLAQRKKYLDDGKNGPLHCVVCGRASKDFADVHGLIMHTYSSQNADLRVDHLGLHKALCVLMGWNYLKAPENSKAYQLLSADDAQASREDLIIWPPTVIIHNTSSGRRKDGRIEGMGNREMDNILKELGFGGGKSKSIYGKEGHTGITMVKFANTQAGMKEAERLADYLEKDNHGRKGWARVQASQSGGDDKNPTLVKTDEKTGEKKRVLYGYLATASDLDKIDFDMRKRAVIKSRRELDLSD >Ma07_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6072868:6073312:1 gene:Ma07_g08140 transcript:Ma07_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRNSSSGSRLRRWLKAPVRMLSRACDCYVLCMTSCADGVQHGGALGYQKAAPLPRTSGGDDDLRDLIRANSVSRMVEELKWALPQPTVTPRSQSMAIGRIDEDRPCEFEDDVKLGSDYLLPRSRSYPKRRVAALR >Ma09_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35046975:35054901:1 gene:Ma09_g23130 transcript:Ma09_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSGEIGIEGSSSSSQAMPESVLPTKAGTFDPPTRLPMARPAFGTEGRPVQLLTNHFNVKFTKQDTVFYHYSVNISHDKSDENHAHSKGFGRKVLDRLYQTYRSEFEGKQFAYDGEKSLFTVGPLPQNNFEFTVVLEDSSARATGGDPSGDSLGEGDQKRSRRSHFTRTFKVELSFAAKIPLKSIALALRGGESEDAQHALQILDIILRQQQAKRGCLLVKQSFFDGNHVNFIDLGGGVSGCRGFHSSFRTVMGGLSLNMDVSTTMIITPGPVLDFLLANQNIQDRRRIDWVKAKRMLKNLRIMTRHTKMEFRITGLSEMPCNQQLFPLRVRNSHGETQIVDITVYDYFMKTHKMQLTWSAHMPCLDVGKPKRPNYLPIELCNLVSLQQYTKALSSQQRVSLVEKSRQKPLERIRVVTDAVKNNHYDEDPILRSCGIYIDKQLSKLDGRVLSAPTLKVGNEEDCVPRNGRWNFNQKRLFYPIQIDRLWAIVNFSARCDLSYLSRELINCGRNKGIQIKRPFTFFEEDREWVRSDPVVRVEKMFEKIKANLPEHPQFLLCVLPERKNSDIYGPWKKKNLHEVGIVTQCISPTKINDQYLTNVLLKINSKLGGINSLLAVEHPCRIPLVNEIPTMILGMDVCHGSPGPSDFPSIAAVVGSRHWPLISRYRASVRTQSPKLETIDSLYKPGADGQDHGMIRELLLDFYRSSNAKKPTQMIIFRDGVGESQFNEVLNVELNQIIKAFEHLGECTIPKFTVIVAQKNHHTKLFLAGAPENVPPGTVVDTMVVHPRHYDFYMCAHAGMIGTSRPIHYNVLLDEIGFSTDDLQKLVLALSYVYQRSTTAVSMVAPVCYAHLAAQQMSQFLKFEDFSGAAGRQGVPELPRLHDNVKSSMFFC >Ma06_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8044371:8046442:1 gene:Ma06_g11540 transcript:Ma06_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMEKSDQYQKGLGSSKKWDGGHGGGPGGGSGGGPYGGGPGGRRGPYTLSDLRSNDHSSHPACGLCCGG >Ma07_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10283150:10287136:1 gene:Ma07_g13690 transcript:Ma07_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCNNLYPVIEVEEPMSSRTTLMSMEAIDPGLSDVAKPGPEVTPSSPEIPDRMEGSHNNSSSSKTEKPYISEMVQDPAAQKSGKYFYYDSPLYEESGYWIPVSVPPMLEGDHDEWSKGLHSDGGYFPEGEFSWDQFSGEDKEMTMWDVVSEMLIVARGKVSVLTSGELRSCRVSCISTDLLQETWKEMANTLAEANFGQSNEILETKPPKWLSDSAAAACMLCNIHFHPIMCSRHHCRFCGGIFCNDCSKGRSLLPPKFRISDPQRVCDVCCVRLEPIQPYLMDQVSHASQLPTHDLTDLSTLRSWLNFPWGRTMEYEIYKAANIIHGYNKVGSLKPEKSIPDAILKEARGLAILTVVNVGLMVTYKVGTGLVVARREDGSWSPPSAISSFGCGWGAQAGGELTDYVIVLRNKDAVRIFSGSAHLSVGAGLSAAAGIVGRTAEADLRAGDGGHAACYTYSCSKGAFVGCALNGSMVTTRTSENFRFYGAPVKASDILLGSMPMPPAAAVLYRALSDLFLKLEN >Ma07_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5407743:5450041:1 gene:Ma07_g07360 transcript:Ma07_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSEHKKKRKPEKRSADDGSKLRKPVKKARKEATDTLTTPAELPTSLLVEADDPDFPRGGGSLLSRQEQADARAEAEAQFEREESYSRKGKGKARKKKGLKGSSLDFDNDLGSLFGDGITGKLPRFANRITLKNISPRMKLWGVIVEVNRKDLVISLPGGLRGFVHAEEVTDIPISNGDKVSEGYFLSSIFHVGQLVSCVVLRVDDDKKDGKGNKRIWLSLRLSLLHKGLTLDAIQDGMVLNAQVKSVEDHGYILFFGVSSFTGFLPKNERDGDQIFTGQIMQCVVKDIDKARAVVHVDSDSDLVSKFIIKDLKGLSIDLLVPGMMVNARVRSTLENGIMVSFLTYFTGTVDIFHLENTFHSGTWKDNYNQNKKVNARILFIDPSTRAVGLTLNKYLIDNKAPPAYVQTGEIYDNSQILRVDRGLGFLLEICSSPAPSPAYVSIHDASDEVLKPEKKFKEGDYVRVRILGMRYLEGLATGTMKASAFEGSVFTHSDVKPGMLVKSKVIAVENFGAIVQFPSGVKALCPLPHMSELEIVKPPKKFMVGAELLFRVLGCKSKRITVTYKKSLVKSKLDVLASYGDAAEGLVTHGWITKIEKHGCFVRFYNGVHGFAHRSELGLEPGAEADSVYHVGQVVKCRIISCATSSRRISVSFVISTKRTSDDGAVKMGCVVSSVVERLTPTAVIVSLTKNGYLKGTIFNDHLADHHAQATLLRSLLRPGYEFDQLVVLDSEGTSLILSAKHSLISSAMKIPSDLAQIHPLTVVYGYICNIIESGCFVRFLGRLTGFAPKNMATDEMIDNILDAFYIGQTVRSHIINVNSEAGRVKLSLKQSLCLSSDVSFIKGYFLLEEKIAAIQMSDVKDFDLSWVKEFSIGSLVEGEIQEIKELGVVLGFKNHHDVVGFVAHHQLGCVNVELGSVVTALVLDIAKLDGLVDLSLKPELVGSVSAKDTKKKRRRNISVDLKLYQTVKAVVEIVKENYLVLSVPEYKNAIGYASTTDYNIQKLPCKHFVNGQSVIATVGEISSSGRLLFILNSLTDGLETPNSTRAKRKSTYTVGSLVEAEVIDIKPLELILKFGFGFYGRIHITEVFDDRDLMENPFTKFRVGQLLNARIVAKGGHSGNGAKRSRWELSIRPSLMAGSEEAVTTCVSEELNFSVGENVRGYVVKVDSEWLWLSVSPSVVAHLYILDSSCEPHELQKFQQCYSVGQAVKGRILSINKEKKLLRLASCPSVDETGDKASQKIGASTVSDGQQFSSGDIVGGRIKKILPSVGGLLVQIGPHLFGRVHYTELVDEWVPHPISKYQEGQFVKCKILEISRSSEGILHVDLSLRVSVITNELVSCSKRFEKIDDLHPDMNIQGYVKNITSKGCFISLSRMMDARILVSNLSYAYIDSPEKEFPVGKLVHAKVLSVEPLSNRVEATLKTGNKAETIKSIADTIVNLHVGDIVTGHIRRIESYGLFITLDKANVVGLCHISELSDEHIDNIEASYATGEKVVSKILKIDEERQRISLGMKKSYIENASGVDQSHAINGSHDHDESDSASMDNMDNELLNLLHNDDLIKHQKMLGHDNAGSEILTPSGRSASVLPLQVSLEDSDGSDLDNPVIAGQDGANENKQAAKRDRCIKKKAKDEKELEIIAAEERRLQNDMPRTEDEFEKLVRSSPNSSFVWIKYMAYMLSLAEVEKARNIAERALRTININEEGEKLNIWVAYFNLENEHGSPPEEAVKKIFQRALQYSDPKKLHLALLGVYERSEQQNLAEELLERMTKKFKHSCKVWMHCIQSFLKKDEDGIQSIVNRAVISLPRKKHIKFISQTALLEFKSGVPDRGRSMLESILREYPKRTDLWSIYLDQEIRLGDAEVIRALFERATCLSLPPKKMKFLFKKYLDYEKVHGDEDTVEHVKRKALEYVESSLA >Ma02_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27714472:27715575:1 gene:Ma02_g22350 transcript:Ma02_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSESRPSPRRELQGPRPAPLRVSKDSYKIKKPPVAPSHHSQPPADLPPRPPPQQHRDPVIIYAVSPKIIHTDPSEFMTLVQRLTGSNSGSQFPPPPSPPGGALSPAARIASFEKATSSPRAASVDQWEIDRPASFPGILSPVPASLPPISPNLFSPSFDPSVLSFLHDLSPAFATTINSGNRSFFDGGSNYSSSPATNLLSTPTLPSPGAFWDLMSQFPDM >Ma03_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9111182:9115940:-1 gene:Ma03_g11750 transcript:Ma03_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGIKPDTFYTGEATRSVLSDVPTDLIIHVNNTKYLLHKFPLLPKCGFIQRLCSDTDTDAGQAIPVALHDVPGGEEAFELCAKFCYGITINLSAHNFVAALSAAKFLRMTESVAKGNFIAKLEYFFESCVLQGWKDSIMVLQSMWRQSGWSDEHRIVQPCMDSVIEKILIHPSQVTWSYTYTRPGYKKQRHGVPKDWWTEDVSDLDLDLFRSIISTVRSTKKLPSALVGEALHVYACRHIPSPLDFQAQSSVARTDETPSKHRRVLEAIVSMIPTETASVSGSFLLRLLKLTIYVGASPSTKAELVRRSGRQLDEVTASDLLLPAGTNAQSHDTGMVKAILESFLLQLRRPMPREETERMTMSMTKVGRTYDSYLRIIASEGGLPASEFIKLAESLPQVSREQHDGLYEAIDTYLKEHPELSKAERKRLCRMIDCRKLSPEALADAIANDQLPLRTIVQLLFVEQERVGGGGGGQHAPVISSKTEVSVAKIAQDEVTSSRNGPEGGHRAEKTKVVPSPSESKIAEEERKVKAGGLATKLKTK >Ma03_p11750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9111182:9116300:-1 gene:Ma03_g11750 transcript:Ma03_t11750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGIKPDTFYTGEATRSVLSDVPTDLIIHVNNTKYLLHKFPLLPKCGFIQRLCSDTDTDAGQAIPVALHDVPGGEEAFELCAKFCYGITINLSAHNFVAALSAAKFLRMTESVAKGNFIAKLEYFFESCVLQGWKDSIMVLQSMWRQSGWSDEHRIVQPCMDSVIEKILIHPSQVTWSYTYTRPGYKKQRHGVPKDWWTEDVSDLDLDLFRSIISTVRSTKKLPSALVGEALHVYACRHIPSPLDFQAQSSVARTDETPSKHRRVLEAIVSMIPTETASVSGSFLLRLLKLTIYVGASPSTKAELVRRSGRQLDEVTASDLLLPAGTNAQSHDTGMVKAILESFLLQLRRPMPREETERMTMSMTKVGRTYDSYLRIIASEGGLPASEFIKLAESLPQVSREQHDGLYEAIDTYLKEHPELSKAERKRLCRMIDCRKLSPEALADAIANDQLPLRTIVQLLFVEQERVGGGGGGQHAPVISSKTEVSVAKIAQDEVTSSRNGPEGGHRAEKTKVVPSPSESKIAEEERKVKAGGLATKLKTK >Ma03_p11750.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9111182:9115939:-1 gene:Ma03_g11750 transcript:Ma03_t11750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGIKPDTFYTGEATRSVLSDVPTDLIIHVNNTKYLLHKFPLLPKCGFIQRLCSDTDTDAGQAIPVALHDVPGGEEAFELCAKFCYGITINLSAHNFVAALSAAKFLRMTESVAKGNFIAKLEYFFESCVLQGWKDSIMVLQSMWRQSGWSDEHRIVQPCMDSVIEKILIHPSQVTWSYTYTRPGYKKQRHGVPKDWWTEDVSDLDLDLFRSIISTVRSTKKLPSALVGEALHVYACRHIPSPLDFQAQSSVARTDETPSKHRRVLEAIVSMIPTETASVSGSFLLRLLKLTIYVGASPSTKAELVRRSGRQLDEVTASDLLLPAGTNAQSHDTGMVKAILESFLLQLRRPMPREETERMTMSMTKVGRTYDSYLRIIASEGGLPASEFIKLAESLPQVSREQHDGLYEAIDTYLKEHPELSKAERKRLCRMIDCRKLSPEALADAIANDQLPLRTIVQLLFVEQERVGGGGGGQHAPVISSKTEVSVAKIAQDEVTSSRNGPEGGHRAEKTKVVPSPSESKIAEEERKVKAGGLATKLKTK >Ma03_p11750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9111182:9116300:-1 gene:Ma03_g11750 transcript:Ma03_t11750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGIKPDTFYTGEATRSVLSDVPTDLIIHVNNTKYLLHKFPLLPKCGFIQRLCSDTDTDAGQAIPVALHDVPGGEEAFELCAKFCYGITINLSAHNFVAALSAAKFLRMTESVAKGNFIAKLEYFFESCVLQGWKDSIMVLQSMWRQSGWSDEHRIVQPCMDSVIEKILIHPSQVTWSYTYTRPGYKKQRHGVPKDWWTEDVSDLDLDLFRSIISTVRSTKKLPSALVGEALHVYACRHIPSPLDFQAQSSVARTDETPSKHRRVLEAIVSMIPTETASVSGSFLLRLLKLTIYVGASPSTKAELVRRSGRQLDEVTASDLLLPAGTNAQSHDTGMVKAILESFLLQLRRPMPREETERMTMSMTKVGRTYDSYLRIIASEGGLPASEFIKLAESLPQVSREQHDGLYEAIDTYLKEHPELSKAERKRLCRMIDCRKLSPEALADAIANDQLPLRTIVQLLFVEQERVGGGGGGQHAPVISSKTEVSVAKIAQDEVTSSRNGPEGGHRAEKTKVVPSPSESKIAEEERKVKAGGLATKLKTK >Ma03_p11750.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9111134:9116300:-1 gene:Ma03_g11750 transcript:Ma03_t11750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGIKPDTFYTGEATRSVLSDVPTDLIIHVNNTKYLLHKFPLLPKCGFIQRLCSDTDTDAGQAIPVALHDVPGGEEAFELCAKFCYGITINLSAHNFVAALSAAKFLRMTESVAKGNFIAKLEYFFESCVLQGWKDSIMVLQSMWRQSGWSDEHRIVQPCMDSVIEKILIHPSQVTWSYTYTRPGYKKQRHGVPKDWWTEDVSDLDLDLFRSIISTVRSTKKLPSALVGEALHVYACRHIPSPLDFQAQSSVARTDETPSKHRRVLEAIVSMIPTETASVSGSFLLRLLKLTIYVGASPSTKAELVRRSGRQLDEVTASDLLLPAGTNAQSHDTGMVKAILESFLLQLRRPMPREETERMTMSMTKVGRTYDSYLRIIASEGGLPASEFIKLAESLPQVSREQHDGLYEAIDTYLKEHPELSKAERKRLCRMIDCRKLSPEALADAIANDQLPLRTIVQLLFVEQERVGGGGGGQHAPVISSKTEVSVAKIAQDEVTSSRNGPEGGHRAEKTKVVPSPSESKIAEEERKVKAGGLATKLKTK >Ma03_p11750.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9111182:9116300:-1 gene:Ma03_g11750 transcript:Ma03_t11750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGIKPDTFYTGEATRSVLSDVPTDLIIHVNNTKYLLHKFPLLPKCGFIQRLCSDTDTDAGQAIPVALHDVPGGEEAFELCAKFCYGITINLSAHNFVAALSAAKFLRMTESVAKGNFIAKLEYFFESCVLQGWKDSIMVLQSMWRQSGWSDEHRIVQPCMDSVIEKILIHPSQVTWSYTYTRPGYKKQRHGVPKDWWTEDVSDLDLDLFRSIISTVRSTKKLPSALVGEALHVYACRHIPSPLDFQAQSSVARTDETPSKHRRVLEAIVSMIPTETASVSGSFLLRLLKLTIYVGASPSTKAELVRRSGRQLDEVTASDLLLPAGTNAQSHDTGMVKAILESFLLQLRRPMPREETERMTMSMTKVGRTYDSYLRIIASEGGLPASEFIKLAESLPQVSREQHDGLYEAIDTYLKEHPELSKAERKRLCRMIDCRKLSPEALADAIANDQLPLRTIVQLLFVEQERVGGGGGGQHAPVISSKTEVSVAKIAQDEVTSSRNGPEGGHRAEKTKVVPSPSESKIAEEERKVKAGGLATKLKTK >Ma03_p11750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9111182:9116300:-1 gene:Ma03_g11750 transcript:Ma03_t11750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGIKPDTFYTGEATRSVLSDVPTDLIIHVNNTKYLLHKFPLLPKCGFIQRLCSDTDTDAGQAIPVALHDVPGGEEAFELCAKFCYGITINLSAHNFVAALSAAKFLRMTESVAKGNFIAKLEYFFESCVLQGWKDSIMVLQSMWRQSGWSDEHRIVQPCMDSVIEKILIHPSQVTWSYTYTRPGYKKQRHGVPKDWWTEDVSDLDLDLFRSIISTVRSTKKLPSALVGEALHVYACRHIPSPLDFQAQSSVARTDETPSKHRRVLEAIVSMIPTETASVSGSFLLRLLKLTIYVGASPSTKAELVRRSGRQLDEVTASDLLLPAGTNAQSHDTGMVKAILESFLLQLRRPMPREETERMTMSMTKVGRTYDSYLRIIASEGGLPASEFIKLAESLPQVSREQHDGLYEAIDTYLKEHPELSKAERKRLCRMIDCRKLSPEALADAIANDQLPLRTIVQLLFVEQERVGGGGGGQHAPVISSKTEVSVAKIAQDEVTSSRNGPEGGHRAEKTKVVPSPSESKIAEEERKVKAGGLATKLKTK >Ma05_p26480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38083990:38084540:-1 gene:Ma05_g26480 transcript:Ma05_t26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGVRVVCSSIYHLPPEPFQKHLMDDMKANSKLAVPVMLQQVLKRWKKLAIAPGNSSNKFLHKTHGVPKGFLAVRVGEEMKRFVIPTTYLHHHAVRVLLGEAEEEFGFRQEGALRMPCEVAAFERTLEVVQQQKKQRFCWGSPSGC >Ma04_p00490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:428338:439640:1 gene:Ma04_g00490 transcript:Ma04_t00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLFDPGPWMADPLRNGPVERDVEQAITALKKGAYLLKYGRRGRPKFCPFRLSNDESLLIWYSGRDEKQLKLSQVYKIIPGQRTAIFQRYPRPDKEYQSFSLIYNERSLDLICKDKDEAEAWFVGLKALISRGNYRKLRSESKGDRTSSDSPTTYIRKISPFTSPFSGSDISHKDSSDDQINTSYEYHPVNGLGKVLSDVILYTSPARSLLHSESLCKSFCSHSSGAADITNGQGSAVDTVRVSLSSAVSSSSHGSNHEDFDALGDVFIWGEGIGDGFLGGGLQRAGISSTIAIDASLPKALESTVVLDVHNIACGKNHAVLVTKQGEVFSWGEESGGRLGHGNDVDVSQPRLVDALGGMNVELMACGEYHTCAVTLSGDLFTWGDGTHGSGLLGHGSDASHWIPKKVCGPMEGLHASSVSCGPWHTAVVTSAGQLFTFGDGIFGALGHGDHRSTNIPREVEALRGMRTVRAACGVWHTAAIVEISDASSDSSDSAMGKLFTWGDGDKGRLGHGDREPRLLPACVASLSDNICKVACGHDITVALTTSGHVYTMGSTVYGQLGNPQTDGKLPTRVEGKISNHFVEEISCGSYHVAVLTSRTEVYTWGKGVNGRLGHGDNDDRNTPTLVEALKDKQVKSVVCGASFTAIICLHKWVSSADQSICSGCHLHFGFRRKRHNCYNCGLVFCKACSSRKSTGASLAPNINKLYRVCDECYTKLRKVVGDGKIPQIPRHQNGSTNQVPGELADKDSPGPRMQGQFSRLSSFESFKGENRDSRESNNGYPSSSSKFLQVQASSKKIFSASVPGSRVASRSNSPTSCKRSPLHSLAISRDATITCLEICHDLNPTNEDLRQEILKLRAQVDELACKSQLLEVELQKTTKQLTDAKAMVSEETAKGKAAKEVIKSLTSQLKIMADRVPEASLISRNNGSGYTSDSPKLQSIDNTTSNLLPSQLSESNGNLSNPVVCNGNNTLSEKVEWVEQAEPGVYFSISSLPGGDKCLRRVCFSRKRFSEQQAEKWWVENRSRIQEKYTILSGENSASSSTSAHLAGRIACSTKQ >Ma04_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:428975:439640:1 gene:Ma04_g00490 transcript:Ma04_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLFDPGPWMADPLRNGPVERDVEQAITALKKGAYLLKYGRRGRPKFCPFRLSNDESLLIWYSGRDEKQLKLSQVYKIIPGQRTAIFQRYPRPDKEYQSFSLIYNERSLDLICKDKDEAEAWFVGLKALISRGNYRKLRSESKGDRTSSDSPTTYIRKISPFTSPFSGSDISHKDSSDDQINTSYEYHPVNGLGKVLSDVILYTSPARSLLHSESLCKSFCSHSSGAADITNGQGSAVDTVRVSLSSAVSSSSHGSNHEDFDALGDVFIWGEGIGDGFLGGGLQRAGISSTIAIDASLPKALESTVVLDVHNIACGKNHAVLVTKQGEVFSWGEESGGRLGHGNDVDVSQPRLVDALGGMNVELMACGEYHTCAVTLSGDLFTWGDGTHGSGLLGHGSDASHWIPKKVCGPMEGLHASSVSCGPWHTAVVTSAGQLFTFGDGIFGALGHGDHRSTNIPREVEALRGMRTVRAACGVWHTAAIVEISDASSDSSDSAMGKLFTWGDGDKGRLGHGDREPRLLPACVASLSDNICKVACGHDITVALTTSGHVYTMGSTVYGQLGNPQTDGKLPTRVEGKISNHFVEEISCGSYHVAVLTSRTEVYTWGKGVNGRLGHGDNDDRNTPTLVEALKDKQVKSVVCGASFTAIICLHKWVSSADQSICSGCHLHFGFRRKRHNCYNCGLVFCKACSSRKSTGASLAPNINKLYRVCDECYTKLRKVVGDGKIPQIPRHQNGSTNQVPGELADKDSPGPRMQGQFSRLSSFESFKGENRDSRESNNGYPSSSSKFLQVQASSKKIFSASVPGSRVASRSNSPTSCKRSPLHSLAISRDATITCLEICHDLNPTNEDLRQEILKLRAQVDELACKSQLLEVELQKTTKQLTDAKAMVSEETAKGKAAKEVIKSLTSQLKIMADRVPEASLISRNNGSGYTSDSPKLQSIDNTTSNLLPSQLSESNGNLSNPVVCNGNNTLSEKVEWVEQAEPGVYFSISSLPGGDKCLRRVCFSRKRFSEQQAEKWWVENRSRIQEKYTILSGENSASSSTSAHLAGRIACSTKQ >Ma05_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:177910:181116:1 gene:Ma05_g00240 transcript:Ma05_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MGWIDLRRMLGLSALLRLLLVCYGEWQDAHMEVRYTDVDYLVFSDAAAMVAAGRSPFERATYRYSPLLAYLLVPNSLLHPSWGKLLFSAADLLVGLFVDAILKLRGVPEDLRLWSVAAWLFNPFTFTIGTRGNCEPIVCAVILWIILCIMNGKVFQAAFWYGLIVHFRIYPIIYALPFVIVLSKQRIGPSRKPTLRQWNSRRDILYNNSSSASAKSSPGWNGLWAPLGSTVTWDTVMFGLFSGTLFFLLTIMFFYIYGWDFLNEALLYHLTRTDPRHNFSIYFYHVYLHHQQGFAVFEKFVSFLPQTMVQLALIFSFAGDLPFCLFVQTVAFVAFNKVMTAQYFVWFFCLLPLILPWSSMKVKWKGLICMFVWMASQIHWLMWAYILEFKGRNVFVQLWLASILFLVANTLVLLMLIRYHKYSPIFMPLARSGVANSRKLE >Ma09_p08520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5643430:5656495:-1 gene:Ma09_g08520 transcript:Ma09_t08520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRMRYLIGLNPKTPPTKRLLDARPRRVKFQPPDMLETVHEIAIYIHRFHNLDLFQQGWYQIKISARWEDSSLHSCGTPSRVIQYEAPNTVSDDLLGVWRIDDADHSFSTQPFKIKYARQDVPLSVMVSFNFAIGKDEKPLISAVILKFELMYAPILENGHEIQASFDMIPVAVHEFKIPPRALFGLHSYCPVHFDAFHAVLVDLSVHIVYLKAGASTQEKLSSASVTMEHISDEYHEEHNHLVGQGWSSKAVEIIKSLLVSRELLLEEIKNLSKALGQSIDDLQFADLNLGRFEFIDSSLRNDLSTANSVISGSKKSNGTVDFGNDVIFYSLSKDELLDLFFTLGNQLSFLWNTFLKFHRVNRISILEHLHGVWAMNREAEWSIWLVYSKIEVPHRYMRSGADDPSHHGKVVGLRKSSDEPAQSATTRAELHRKSIAQMKINSHSIQDMHIFGDPSRVPVVLVEQKVIDLPNNSAWQSLNQNAAAIPTAFGKNGVPRFTLEPKRSNRVLRAVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEEKTTGDFREMGSRLAEEVITFLRKKMDRLSRYGGCKDIKLSFVGHSIGNIIIRSALTESVMAPFLKHLYTYISISGPHLGYWYSSNSLFNSGLWLMKKLKGAQCIHQLTFTDDPDLQNTFFYKLCKQKTLENFKNIILLSSPQDGYVPYHSARIESCPASSWDQSKKAHVFMEMLNNCLDQIHAPSSERRVLMRCDVNFDTSSQGRNLNTFIGRAAHIEFLETDMFAKFIMWSFPDFFL >Ma09_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5643428:5656495:-1 gene:Ma09_g08520 transcript:Ma09_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRMRYLIGLNPKTPPTKRLLDARPRRVKFQPPDMLETVHEIAIYIHRFHNLDLFQQGWYQIKISARWEDSSLHSCGTPSRVIQYEAPNTVSDDLLGVWRIDDADHSFSTQPFKIKYARQDVPLSVMVSFNFAIGKDEKPLISAVILKFELMYAPILENGHEIQASFDMIPVAVHEFKIPPRALFGLHSYCPVHFDAFHAVLVDLSVHIVYLKAGASTQEKLSSASVTMEHISDEYHEEHNHLVGQGWSSKAVEIIKSLLVSRELLLEEIKNLSKALGQSIDDLQFADLNLGRFEFIDSSLRNDLSTANSVISGSKVGVGHLAGMLQNILEKSNGTVDFGNDVIFYSLSKDELLDLFFTLGNQLSFLWNTFLKFHRVNRISILEHLHGVWAMNREAEWSIWLVYSKIEVPHRYMRSGADDPSHHGKVVGLRKSSDEPAQSATTRAELHRKSIAQMKINSHSIQDMHIFGDPSRVPVVLVEQKVIDLPNNSAWQSLNQNAAAIPTAFGKNGVPRFTLEPKRSNRVLRAVVFVHGFQGHHLDLRLVRNQWLLIDPGAECLMSEANEEKTTGDFREMGSRLAEEVITFLRKKMDRLSRYGGCKDIKLSFVGHSIGNIIIRSALTESVMAPFLKHLYTYISISGPHLGYWYSSNSLFNSGLWLMKKLKGAQCIHQLTFTDDPDLQNTFFYKLCKQKTLENFKNIILLSSPQDGYVPYHSARIESCPASSWDQSKKAHVFMEMLNNCLDQIHAPSSERRVLMRCDVNFDTSSQGRNLNTFIGRAAHIEFLETDMFAKFIMWSFPDFFL >Ma11_p04660.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3632237:3638450:-1 gene:Ma11_g04660 transcript:Ma11_t04660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSTGFMQKKGLPEGLVLGSCNVLETAGEGALPHLHEVFQSAVAGKNNETSNGGQIIWLHLGVNSGATRFAIENQAVNEATFRCPDEMGWKPQKVAIVPSDGSISQVRETSLPVNEIVKNLSKMGYDVMPSDDAGRFVCNFVYYHSLRFAERNRIKSLFVHVPLFSTIDEETQMEFAASLLKVLASFH >Ma11_p04660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3632237:3638497:-1 gene:Ma11_g04660 transcript:Ma11_t04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSTVTIHVTGFKKFHGVSENPTEIIVTNLKGFMQKKGLPEGLVLGSCNVLETAGEGALPHLHEVFQSAVAGKNNETSNGGQIIWLHLGVNSGATRFAIENQAVNEATFRCPDEMGWKPQKVAIVPSDGSISQVRETSLPVNEIVKNLSKMGYDVMPSDDAGRFVCNFVYYHSLRFAERNRIKSLFVHVPLFSTIDEETQMEFAASLLKVLASFH >Ma11_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3632237:3638467:-1 gene:Ma11_g04660 transcript:Ma11_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSTVTIHVTGFKKFHGVSENPTEIIVTNLKGFMQKKGLPEGLVLGSCNVLETAGEGALPHLHEVFQSAVAGKNNETSNGGQIIWLHLGVNSGATRFAIENQAVNEATFRCPDEMGWKPQKVAIVPSDGSISQVRETSLPVNEIVKNLSKMGYDVMPSDDAGRFVCNFVYYHSLRFAERNRIKSLFVHVPLFSTIDEETQMEFAASLLKVLASFH >Ma11_p04660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3632237:3638486:-1 gene:Ma11_g04660 transcript:Ma11_t04660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSTVTIHVTGFKKFHGVSENPTEIIVTNLKGFMQKKGLPEGLVLGSCNVLETAGEGALPHLHEVFQSAVAGKNNETSNGGQIIWLHLGVNSGATRFAIENQAVNEATFRCPDEMGWKPQKVAIVPSDGSISQVRETSLPVNEIVKNLSKMGYDVMPSDDAGRFVCNFVYYHSLRFAERNRIKSLFVHVPLFSTIDEETQMEFAASLLKVLASFH >Ma08_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36479779:36484819:1 gene:Ma08_g23020 transcript:Ma08_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MVFAAATTTTTAPSSAAASPLQSLARGTLRLSFGSPLLHPQSSSASPKKGRRLVCSWSSPKAVYSANSWAPERSQRKGIWSIRDDLVMPSSPYFPIEAQGGQGPPPMVQERFQSVISQLFQHRIIRFGGPVDDDMANIIVAQLLYLDAVDPTKDIVMYVNSPGGSVTAGMAVFDTMRHIRPDVSTVCVGLAASMGAFILSSGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSFERINQDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPATQE >Ma09_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8914612:8915739:-1 gene:Ma09_g13190 transcript:Ma09_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSLQTCSSNISFVLLSAAMISLVFFVIYPNEFRLQSMVTSRPSSLTNFMETVSLKPDFRLLVGILTLPDSYERRHLVRHAYALQSNVSDARIDVRFVFCNLTKEEQRVLVAMEIMIYNDIIILNCTENMDNGKTYTYFSSLPKILEGINGIERPYDYVMKTDDDTYFRLQNLAESLRRLPREDMYYGFLTPCHDRGAPNSYMSGMGYVLSWDLVEWIATSEMPRDHQVGPEDLVTGSWLRAGGRGKNRFDMKLAMYDYMEEPRLCSRHEFVPDTIAVHKLKNNLRWATTLKYFNAAEGLKPSKLYHL >Ma07_p18970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26916462:26917442:1 gene:Ma07_g18970 transcript:Ma07_t18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMASLLLLLSMASLLLSPLSLADPNATTMPTPSGEIQREMDQQILATVLPDSSSNTQPFLTSPTGKYTSYLLRHKTTPESGGYGSDFCYIQIQDTVSGDSVWESECEPVSSANACTLVFSDTGLAVLDGSQSVWDTGASNGNNFPATLELADLGDMMVADKDGELVWKASDDPRVNQGCGSPDLGSGSPTFVGGDDSSPLGQQLPPPPPSLSEGALPLAPASPPVVGDDNLTSGQAPLLAPTSAPFAAPTSGDENIPYNQQPPSYSSNVAFGQQQEQQMSAFHGLHGVNQPLVDNNAYDSGCSRKEGLIGILLVVVSHLVLRGL >Ma04_p31440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31740844:31747551:-1 gene:Ma04_g31440 transcript:Ma04_t31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGRNGNKRMHQRLGPSQATAPDRANNKVCYHWRAGRCNRHPCPFLHSEIPTPQQQSASPDGGVAKRNLVWKNPSNAGAASGPPSKWGKGRGGGAVGRPPGKAPDRVCNYFLAGNCTYGEKCRFLHSWFVGDSFSLLTSLQGHQKAVSGIALPSGSDKLYSGSKDESVRVWDCQSGQCVGVINVGGEVGCMISEGPWIFIGVPNAVKAWNMQTATDLSLDGPIGQVYSLVVGNELLFAGTQDGRILAWKFIAVGNCFEPAASLVGHQLSVVSLVVGAVRLYSGSMDHMIRVWDLATLQCIQTLTDHTSVVMSVLCWDQFLLSCSLDKTIKVWVATESGNLEVTYTHNEEHGVLSLFGMLDAQAKPILLCSCNDNSVRLYDLPSFSERGKIFSKEEVRAMQVGPSGLFFTGDATGEVKVWNWLPNEMPHS >Ma08_p02800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2102223:2102427:-1 gene:Ma08_g02800 transcript:Ma08_t02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSNRESSGSPFFVHFSIRFKCFLFQIRKHHSFSLFFFGE >Ma03_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11549061:11556561:-1 gene:Ma03_g14370 transcript:Ma03_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDANLLEELVRGHLDGCMTAAALASSSSSFCVGDDDDQLARRRRRSVLDGDELAESSAAARRHSRILSRWAARQAEEMITTIERRNRETELMALAGLHTVSMLDSSFLREPGRSPSSSPVERPAAARASSILQMWRELEHVTASARGRRDAATDSVDGDRSRGDVRQLGGSSAAASESEYNGYDRWTHGGMDLSRRPGEEEDDQRSSREQSPELGDGERERVRQIVRGWMTESGMSDTESRISPRNDTQRAEWLGEIERERVRLVREWVQMASQQQRDARTSRRESERERNHDGSVTDHEDGQPEHVQRELLRLRGRQARLELIMRMMTERQRELQSLSEHHAVSEFAHRSRIQSLLRGRFLRNERPSEDDEERQPSVAAREIGQLRQRHRVSGLREGFRFRLENIVRVQANSHNDALASQNFATARTDHSQEGTTLELPNDNQDQNQSRTEDINSHQLIQTHATTELETSRTGNTINMEESGTQLAGLQEEAAQETRDSEASTEVGFSEWHADAGEEFDGNRQENVVQDWSHDTSENRDEEDSHLPEVHEEWHEDESHDTEETWHDEQSDDLRDPRSSPTRRVSRFIPPDDENVYSMELRELLSRRSVSNLLHSGFRESLDQLIQSYIERQGRAPVDWDLQRSLPSPNSPEDEVNQDDDLNQEIQDVVDRPQSVFPPPPLPPRQPIWRTGLHHNNWSRQNMHRSEIEWDAISDLRADMARLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRAVGRQGVCEESSDDGSKWSQVRKGTCCICCDSQIDSLLYRCGHMCTCWKCANELIRSGGKCPLCRAPIVEVVRAYSIL >Ma01_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19173089:19174027:-1 gene:Ma01_g20650 transcript:Ma01_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSTIAPAEVLYHSRRDDIHHRVYVHRSEEAMQVTNSQEERSFIMEESYERLQRSRMQYVHLGILQVRLQTLHRQEEGTLVLLVFRDNRWMDDRSIIATMEVDLTRGSQLVYVIPDIMMTIGDFYRNIQLSILTRGYDTWRNGEANLLVTRRMVGRLSNTPNVAFVYEVSGVVDYLTSHGVRALPGRRYSTTEIHGRDWVIRPTQVSIPMQPSEVRSCNLIDGRISISFDNYKAASTSSRISYNTADDETFSDEEEIRSHTIVVKIQLSDDSEGETEELRDNLNYWFQDNYVSEGGGERELPYPQKFKEE >Ma01_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15223553:15225359:1 gene:Ma01_g19560 transcript:Ma01_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVQAGDDGGEGGAALASYWWRPLVEHGGSEGEAARRRVRVLREMERLAAAANESLDDLRHKLLTYKAGDLWFPAGGVPKQEMDIPPVVSVLLIGLAGAGKSTLVDLMYYVLGRAGFVPFTSPADKDGRTLCLEEHNVLRSMRNGFCVFDSRGLDRDRMGDGLEEVAEWMEKGVRHRQPCRGTGLLDASAPAKRFVRRRVNCVIIVVNLRELHRSLLSGDAGPLEATYDLVHHPTIKINSTEIPILVLTHGDELSPEERMEARVKACEHLGVPETNAVYDIPCVNEHGAAVDEMDPTTAYAVAEVILRALVVADRSHRPKARIKDRLLLVLSWAMCALSAWFAFLSRCCAKLGRANREAKLRVQ >Ma06_p34740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34863045:34868906:-1 gene:Ma06_g34740 transcript:Ma06_t34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARGGGGGMTWQDELASLVEDTGIRYGAGVPEADEEERDARDLGGRVFEKGYYGVYEEGTGAAAEESLKEQLTGFVMATGEMLRELGHGCWDIAQQSLEGVEETYVGKKVKGHWTVASQRLEFLNEYLPEDRDPVHAWSVIVGVFLLALLVLSVNSGNETSVELPRKLYISPPGASRIQLLDGRYVAYQEKGVPAESARFCMIAPHSFLSSRFAGIPGVKESLLEEFGVRLVTYDLPGFGESDPHLSRNLNSSAMDMLHLANALGVTDKFWVVGYSGGAMHAWAAVRYIPDRLAGVALFAPMANPYDSSLNKEEIHKIWDKWTMKRKLMFVLARRIPSLLSYFYHKSFLSGEYGQPEKWLSLSLGKKDKSLLEEPTFREFWEKDVSESVRQGNPKPFIEEAVLQVSNWGFSLADLQVQRQHQGKGLLSWLKSLYSQAEHEWAGFPGPIHIWQGMDDNVVPPSMTDFARRMIPGATLHRLLGEGHLSYFCFCDDCHRQIFSALFGTPQGPLMIEPEVDQSLTEEYIDEEETTLHNSTEQE >Ma02_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29425621:29431615:1 gene:Ma02_g25050 transcript:Ma02_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKIKDQKREDAANSSGRAPVKKQSAGELRLHKDISELNLPKSTVISFPNGKDDLMNFEISIRPDEGYYQGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLILLFMQPNDEDPLNHDAAAVLRDNPRLFETNVRRAMAGGYVGQIYFPRCI >Ma08_p32880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43425178:43442397:-1 gene:Ma08_g32880 transcript:Ma08_t32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKGAAVRASSSSSSSAAAVAAPAPPPPLPALAPPPPAPPQSSSSSDVAAAAAAPKEEPLPPADGGQRVRFSVELKPGETTIVSWKRLLKESNKGGGSLPIASVAERPLAVQVGTGGPPAENELKDAVPPPNRFSAVIEKIERLYMGKQSSDEEELDDIPDDDQYDTEDSFIDDAELDEYFQVDKMSTKHNGYFVNKGKLEQIEPSSSPKEAPKRRRRRDSTKLHGDGSHVLVPGGPAKVGSMRIKDAARNAPVMGRKPSPAKVYAPYGEHYSEEGRSLKYKSKTTTTVYKRKSADFTIKSEEQSTMRVPNKDVLPLPLELKDFDKHKSGVLASEDTTHRASVSHSFDPLYQASRSKVQVEFQPKKLLKSETGEVSAKIRRKEKYGSSNFPAMNSSMSVYPMHAVQPSTRVKESSSMRPKGTTLERAIRDLEKIVAECRPPSLDVHEVDPAFQGIKRRLPQEVKQKLAKVARLSASQGKISEDELIDRLMGILGHLVQRKTLKKNMREMVELGLSAKQQKADRFQQIKREVNEMIRARVSQLKSKLAEKQDGSADDFQEINNDERRTLKGRYSMDAALEDKICDLYDLYVEGMDEDKGPQSRKLYVELAELWPSGYMDNVGIKDAIYRSKERKRSLYRQHKVHDEERIKRKKLASTKRIDETNPAAQLRAGQEKPVPVVEATARFLAPLDKLISNQPAGSTGRSMDSVQPADSSQHTLKNPDKVRVANMSTNPEDASKAFVDVKKKLKRKAESELSDTHIHSQKVPSQHITEKQKSPRIMDDTNMSYQPKPSLELPGPTGSDQPS >Ma06_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4261637:4262174:-1 gene:Ma06_g05740 transcript:Ma06_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPETRRSWCKRHPKHPQSTGVCPFCLRERLSRLSQCSSSSSSFVAYISSPWAHSSSSSSSSDNPSSSASSPPRHRDVKRARVSFLLKRDTAASSALMKSRSLVVVVGSREDEEKEKETDVGKGKQKEKVEKKDKFWSWLLSGSKRRQKKEGNGDSLRPQTFKEKPSAKWILFS >Ma07_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12023774:12027578:1 gene:Ma07_g15800 transcript:Ma07_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGVCTVQQALTAEVAAVLKHSLDLARRRGHGQVTPLHVAATLMSSSSASSDLLRRACLRSQPHHPASHPLRCRALELCFNVALNRLPTTAPPSSGPLFPSPSSLSNSLIAALKRAQANQRRGCIELQQQQPLQQQQPPLLAIRVEMEQLMLSILDDPSVSRVMKEAGFSSSCVKNNLEEETSVSGQSSPLLFESSKDIINHGRDFWQKYLLRLSAEQSPPHAPKSSLNEDLRVVLEVMLRKEGRRSNTVVVGDSASMAEGLVAELMGRVERGEVPDELKHASFINLQFSCVQLRLMSKGDVDLKVSDLRRTINSLAGDRVGGGVIIHAGDLSWAVHEEARDGCGFNAVQHMVAEMGRLLSECKSINSNGVGAGSNKVWLLASANYETYVKCQMRQPSLEMQWALQAVVVPSGWLGLSLQASSGLDSRVPKFGQFPFQLVESKVLSSKEDEKLTCCNECMFNFEKEALFFNSEIKDMSNISTQTSSYHKEALVELKRKWNKLCQSLHHSQAHLYPPSFSQSLISSRNYSTKPSSNSWWSSGLFSNQNKNLIEPHPVSFSATSLKLNAGFSSTVVDKKNETGNWQERTESNQWLSEASLNSLKMAANQQVMTALALYSPLYSDSATSKDQTRRSMAEPLELGRQLQENIPWQSEAIPSIVEALHDCRNGDKKVVRLLIQGNDHVAKRRLARVMAESFFGSPNKLIQINQRNSSTTGEDSCLETLIDALRKEPKCVVLIEDVNRMHCNFVNSFTGALKVGSFKDSFGGEVSLGDAIFVLTTSKLTKFDVANNVVNMRFCVEDSSPRDAKRRPETDLQNMSKKGRTGESSFDLNMQPSEDSEEDAVPSDLTHETECISLHLPQELLEATVQLTLDAGSHQFQEMKLNLLSKLHRAFEEIPSDDNDKKGHLFIDPTVGEELMEASGSFSESFFEHWVREVFQVSLQSIKKGRNVRLSLEGEERHVQESGFMGSVLPHSIHVD >Ma08_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34359621:34360257:-1 gene:Ma08_g20290 transcript:Ma08_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIKVGAWGGNGGSAWDMGAAYRITNIKIRAGDNIDAIVITFTRYGLTETKHFGGSGGTPYEIPLQEDEYLVGVDGSVDTLGTITLVRNLTLRTNKKSYGPFGTSGGKPFSVPVASGKIIGFFGRAGTMIDAIGVYLAPK >Ma10_p28240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35190963:35191895:-1 gene:Ma10_g28240 transcript:Ma10_t28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSTTSFKKYTNLKQQVQMDQMEAGMSEMGAVAGVNLEQFFEEVESVKKDLRGLEGLYRRLQDANEESKTVHNAKAMKEVRGRMDSDIGLVLRSAKAVKAKLEALDRSNAQHRNVPGCGPGSSADRTRTSVVSGLGNKLKDLMDSFQGLRTRIAEEYKETVGRRYYTVTGTHADEETIETLISSGASETFVQKAIQEQGRGDVMDTISEIQERHDAVKEIEKSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELETAREYQKSSRKWVCIGIVAAALLIFILLLPLLPTLITLLR >Ma02_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29077877:29079370:1 gene:Ma02_g24470 transcript:Ma02_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLVIGICVGVGCLVMASFCCFFYYHCSWRRKQQTSFLLSRNVSSHPTSESDLEFGSEQYHTQIFMYEELEGATDGFSTSNVLGDGGFGTVYKGKLRDGRTVAIKRFYKNNDRLVEQFINEVYILSSIRHQNLVTLYGCTSRSSRELLLVYEYVPNGTVADHLHGCRACEGALTWPLRMSIAIETADALSYLHAITPQIIHRDVKTTNILLDRSFHVKVGDFGLSRLFPVNATHVSTAPQGTPGYVDPDYHQCYQLTDKSDVYSFGVMLVELISSKPAVDVSRQSRDINLANMAIDKIQKQELDQLVDPKLWFRSDCNIRTMIERVAGVAFRCLQAEKEMRPPIKEVLEVLKGIEDEGRNGAKGVEADATVNDEDCLLRKKSPPHSPDSVATRWESKSTTPNYSA >Ma08_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28303221:28308519:-1 gene:Ma08_g18060 transcript:Ma08_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVLHVNRLLTPQTIGTTTGVDGSMSFAETAYCFAHTHPSTSIASSCNDQKGKEESTVGEEDEPLIQMVDCRICQEEDNIKNLEAPCACSGSLKYAHRACVQRWCSEKGDITCEICREQYKPGYIAPPRVHSDETMINVNSWVITGSQLNLHDPRVLATTTSRGHLVEAEYAELATDSSGAACFRSAALILMTILLLRHALIITNSDGDDDYDDDASTYFSLFLLRVVGILLPCYIMVWIISILQQWRQRQEAAALAATQVSFNLQSGQ >Ma08_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33837540:33837788:1 gene:Ma08_g19960 transcript:Ma08_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMAYPKLERTVCAFDSAIIASSLFSARIARGKASSSPCWGFLFCFDKYVVQLISKNREKKITIREFFENSYFYHLCRFRP >Ma09_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10885838:10888066:-1 gene:Ma09_g15550 transcript:Ma09_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSRSMGIFACCSLLLLFSTAAEAGIVGVNYGRVANNLPSATEVVELLKSNGISHVKLYDADPSFLRALAGTGVKVVVTVPNEILASTAARPGFALAWVQRNVVAYYPSTQIQAIAVGNEVFVYPRNLTTFLVPAMQNVHAALSSLRLDGVIKISSPIALTALQNSYPSSAGSFRPELVVSVLQPMLEFLRQTGSYLMVNAYPFFAYEANADVISLDYALFRPNPGVVDSGNGLRYYSLLDAQIDAVFAAMSAIKYEDIKIAISETGWPSKGDADETGAGQANAAAYNGNLIRRVLSGNAGTPLRPQADIDVYLFALFNENQKPGPTSERNYGLFYPDEGKVYDVEFTLGGRNGGGLKWEDVRGKGPSTTLPPSSSSGTVRTSSTGESWCVANAMVGKSQLQAAMDYACGEGGADCRPIQRGAACYEPDTVEAHASYAFNSYYQSKGRAMGTCDFEGAAYVVSQPPRIGNCALPSGA >Ma07_p29000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34848663:34854485:1 gene:Ma07_g29000 transcript:Ma07_t29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLQIRVGPASCRYSCRADPESSDDLGHRWFTPTFILVTCVAYALLGAASFLRGPMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKQYLRNRAAKEACKNQKVSATTKIDIISVLWSNKHNGFPVIDHEQNGEALVIGLILCSHLLVLVKFKMDFQNSPFPCDMWGVSNRYNFSDFVKTVSGKGMSTEDIHLTEDELELYLDLASYLNPAPYIVPEDMSLAKVYNLFRQKDLLIEESDHSATMELSSTSVRAQHLDRRTIGGHTHPEHPLLDSLLIQE >Ma06_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5607906:5608740:1 gene:Ma06_g07900 transcript:Ma06_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHCPALNVDRLWSLVPDAVKDAAAKDAAAAPLIDVTQFGYFKVLGKGMLPPGRSVVVKAKLISKIAEKKIKAAGGAVVLTA >Ma05_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33226389:33227576:1 gene:Ma05_g21530 transcript:Ma05_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQATRPAWTELPPELIKMVSDKMIDISDYVRLRAVCKSWRSAAPPSSFAQRIPWLLLPYNPDSGARSFFSLSTHQIYSLSSLQLRGRVTYGSSHGWLLTMDRSFSVSLLNPVTNAFVRLPAITRLPDFTTFHFERHEDGEYIYRRHVGVSGKVNYDMIQHILLCSAFAAPGEGAGDCIVTVTSYLSPRFLCCRWADEAWTLVDSTLTCGIDSLTMYRDKLYLVGIDGSVVVCAMDPRLRAELVPSLRVPGDFHYCSFGVVGGELLLVTNCMRRVEEGGGIGEKASMDFFKLDSDGRRMRWAKLEDDGECPLLLTENQVASAAIECEGRYACFRAVRGEVDELHHRTEVVQMEEGRCRLLPCHWVTTTDALGPAWFTPTLS >Ma03_p32550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34286920:34293841:-1 gene:Ma03_g32550 transcript:Ma03_t32550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTIEEEEEEPEQHPAHLAVSAAAAAEEAFQSASVCLELWHACAGPRIWLPKKGSLVVYLPQGHLEHSREGGDGSGGRGGVGSGYDVPPHVFCRVVDVKLHADAATDEVYAQLSLVVESEEFEGRPKKGELEGDAEEEDVECISRTSIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHSMEWRFRHIYRGQPRRHLLTTGWSAFVNRKKLSSGDAVLFLRGNDGVLRLGVRRAAQFKGSGPVLEHQSGNMNLLTLAAVADAVSNKKAFDIYYNPRASSSEFIVPYWKFVKSLNTSISAGMRFKILYEGDDATERRSTGLITGISDMDPVRWPGSKWKCLLVNWDDDMDANRQNRISPWEIEPIGSVLGSGSLSTTGSKRAKICLPSVNMDFPIPNGNGCLDLRESASFHKVLQGQEFTRLRTPSNIGVTASHVSEIGNRQYSDGFSEPVLFQKVLQGQEVFSKCPPFIGARSDAHARNGVYGLFDRFHTSHAESRLPPSSLGYVTIVQPSLPPIQASSPSSVLMFQEAGSRMSLVQSASSTNGQDRGDGGCYFSKLNGSEALHVKEAKFPFWPPTMGCCHFANQQPEMDKVHASVSDGKLGIGNEQNVNRNGCRLFGFSLTEKIPVANLADKSLPVSPASTQVKVDTAFSASMPQMPAKPVGCSCTGVNAVYALCAAPF >Ma03_p32550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34286920:34293841:-1 gene:Ma03_g32550 transcript:Ma03_t32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTIEEEEEEPEQHPAHLAVSAAAAAEEAFQSASVCLELWHACAGPRIWLPKKGSLVVYLPQGHLEHSREGGDGSGGRGGVGSGYDVPPHVFCRVVDVKLHADAATDEVYAQLSLVVESEEFEGRPKKGELEGDAEEEDVECISRTSIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHSMEWRFRHIYRGQPRRHLLTTGWSAFVNRKKLSSGDAVLFLRGNDGVLRLGVRRAAQFKGSGPVLEHQSGNMNLLTLAAVADAVSNKKAFDIYYNPRASSSEFIVPYWKFVKSLNTSISAGMRFKILYEGDDATERRSTGLITGISDMDPVRWPGSKWKCLLVNWDDDMDANRQNRISPWEIEPIGSVLGSGSLSTTGSKRAKICLPSVNMDFPIPNGNGCLDLRESASFHKVLQGQEFTRLRTPSNIGVTASHVSEIGNRQYSDGKGRCTGANSCIVGESVTRDRVRTSYGADFSFNCTGFSEPVLFQKVLQGQEVFSKCPPFIGARSDAHARNGVYGLFDRFHTSHAESRLPPSSLGYVTIVQPSLPPIQASSPSSVLMFQEAGSRMSLVQSASSTNGQDRGDGGCYFSKLNGSEALHVKEAKFPFWPPTMGCCHFANQQPEMDKVHASVSDGKLGIGNEQNVNRNGCRLFGFSLTEKIPVANLADKSLPVSPASTQVKVDTAFSASMPQMPAKPVGCSCTGVNAVYALCAAPF >Ma10_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5238996:5246318:1 gene:Ma10_g01770 transcript:Ma10_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFSLRSSTSNSGNSNTAAPAPNNQKKNVKVPACDGVPNASQSPRETVLKPCNRYLDDEDSLKSLNHRRSLSFSSATTNYGMEEKFLSDFSRSPSNCRNTLNHVDNCPIHCQSSTPERASRKKRGDLAAIQYTRDVEFDSSGSRENCSSGNSSCGSPVPLRCRASHMSTSNKTEFHDFYIDGEPRVKFNQDHTSHSSGTEVDGCLADKNVLPCPVRPPRVQYMKPMSPTYDKENFRSYSFRETNHLQRCSTQDWAKDDIKLASPSRKTRRNAEKLFHAFAGKFLKPQDYDSRTTTTIDDVNDDFSDAQPSLTSNGFSEMHESEITSSCEDVKDCCTEELTGFQRHKCSLRNAIMDANVDNIFNTRLQEEETNEELQRKVKELEEKLKLLSEENPEMMKYRSKSSNLTAMLKIIQNINEDRKILALELSSQIRSRLSERFSAKERFKQSKAELDTRTRRLEKEKNEIQSSLERELDRRSNDWSLKLAKFLSEEQRLRERVRELAEQNVALQREISSLKVNEVEAQGRMLNSDRQMNELTACLEDVRTKNHDLHQSLSELQDRYSGSEEDRDCLRRCYKEKEKENKELQEVVVKLQRVCSEQEKSISGLRRGYSDDLCKKVIEEGDNISRLQMEQLRLTGVEQMLRKEVESCRLEMESLRHENISLLDRLQGTHNGYGHSFIKLDRQLHARVDHLQTQGLSLLDKNSCYLGDLLEFIKRRWYQQDTSMDFDGFSVDEYILKYQSLKRGIENFRRNLQTILTTLDEKSNLDSLLCHVQTIEDGKPRQLKSQVSEDEMLLNLRAEAILSRVLKENLCSRELEYEQLQADFATSVRARGILQTANQRLQDELSCLTHKMKDLELQILKKDETISQLHQEMQFSMRDLTSVQSVLQNVSQEKEQMWEEVKQLRKTNMLLENEVSCLRKKIETLDEDILLKEGQISILKDSMEQFVLE >Ma05_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6199395:6199493:-1 gene:Ma05_g08410 transcript:Ma05_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGIGKICVEEMLLQLYNYAFRVGKWVDISH >Ma06_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21492605:21492817:-1 gene:Ma06_g23490 transcript:Ma06_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRGWFRKLNKTHHWPWKPSFSASWRWKGPKLRFSLLDDLAFHVLYFLEAVVLVAGFCCFFLCCGCHI >Ma03_p26090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29958284:29959462:1 gene:Ma03_g26090 transcript:Ma03_t26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGSKFLRQPLEELLREQTIAPSCIICGACYPWTPEVARELGIPCFIFQGFGSFALLCMHNLYCYRTHERASSPTEPFVLPGLPFHFEIARQQLPLQFQLLPRFTEMFNEVREGELAMDGVLVNSVDELEPGYAERLAAASGKKVCTIGPVSLCYRSGRLDMANRGKKLSVDASQCLDWLDSMKPRSVIYVSFGSVGSFAPAQLMELGYGLLASNRPFIWVINGLERFPGAVDQWLQEKLEKEGDSKCLLIRGWAPQVMILSHPAVGGFLTHCGWNSTLESASAGVPMATWPLFSEQFLNEKLIVDVLGIGVAVGVKTSMQQMRQSPEEGARISREEVAKAVERLMDGGQEGEERRRRAEEFGEKARKAMMEGGSSYENVTRLIELVATKAKK >Ma03_p05960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4113715:4114149:-1 gene:Ma03_g05960 transcript:Ma03_t05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTRRFTVGYALAPKKQKSFIQPSLVDLSRKRGVDLVPIDTTRPLAEQGPFDCVLHKLRGEDWKAQLDGFATKNPGVPIVDPPLAITRLHTHYLVIDINYFPGFAKMPSYEGVLTDFFWNIVHEKEVKEAGGSATGNDDKEA >Ma09_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3839489:3841125:1 gene:Ma09_g05930 transcript:Ma09_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g77170, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G77170) UniProtKB/Swiss-Prot;Acc:Q3ECB8] MRSLQPLFSRLATATATATETNLTSSSPSHLHFSRSLFSSEQCLGDPAAEAAARLESCADPEDLAQTHAHVLRAHLLRAPFHWNALMRCYLRLVRPRTSLLLYAQMSRSGTVPDCYTLPLALKAVALLFALPAGGQLHCTAVKLGLENHEFTESGLISLYAKAGVFDSAMKVFDQNAQRKLGSWNAVISGLAQGGRLAEAVDMFVELRRSGLVPDDVTMVSVASACGGLGDLGLAQQVHKCLLQAGRSGRLDVMLSNSLVDMYAKCGRTDLAYKVFSRMASRDVSSWTAMIMGLAMHGEEVAALDFFRVMQQEGVRANQVTFVGLLSACAHGGLVEEGMNYLEAMAEEYSLEPTVAHYGCVVDMLGRVGRLVEARAVVERMPMDANVVIWGTLLGACEKHVNVEVGEWAARNLLELEPWNDGVYVVLSNIYAAAKKWGEVERVRRLMWERRVAKAPGHSSATVSA >Ma09_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5157855:5164956:1 gene:Ma09_g07860 transcript:Ma09_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASANPSGNPDGANGGPLSGGGGGGGGGASVPGNPSNGTMAAGAAVAGPAQALKHDPGLSIEWSSEEQTILEEGLSKYASESIIVRYAKIATQLRDKTVRDVALRCRWLTKKENSKRRKEDHNLTRKSKDKKERVTETSAKSSAHLGTRPNVLLYTPPMLPVDDDDISYTAIGGQTGELLENNAETFTKISANFANLRMQDNINLFCQTRDNILAILKDLNDMPEIMKQMPPLPVKLNEELANSILPRTTMPM >Ma10_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30534313:30542997:1 gene:Ma10_g20330 transcript:Ma10_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNSWAAAAPPPPGPLLRPPKLEPSSGELRFLSLAAPSPRRPALRRNRGPPALSCQRGDFASNNTTSGGGICSDWDWNRWNQHFSETDQAESFSSLLKFQLEEAVEREDFLEAAKLKAAIAEATSKDAVAEVMSELKYAIEEERYHDASRLCMLSGSGLVGWWAGWAKDSGDPFGRIVRITPAVGRYIAKSYSPRQLLSGSSGTPLFEIFLVKDDDGKFLTQVVALQPVKETSTLSTSSPSRGIDDTPISPSLKSSIKVSPTTDEVGSESSKDSEEENKMDAAKIRNVDNVINKDSDEEGLKSIINFFKERIPGFNVNLANNSVQEEIKMDAESSEQLVQEDDEKDGSPEYSVNDDSKSENSQGEMLPDGEDADLANKSKDMAVKLFVGGVLHNKDDSMTKSYKRIPAEMKDVEKGSFTLYLLGRSSDPHIVERKPEKIRVAAVATQSASDLMPPDVANAFFSSDKAISKVSEEVREVIKLAISHAQRRNKLSKTTVFNRIITDNKSLDPFDGLYVGAFGPYGVEVVQIQRKYGHWNGTDELGSDIEFFEYVEAVKLTGDLNVPAGQVTFRAKIGKGNRRSNRGMYPEELGVVASYKGQGRIAEPGFKNPQWVDGELLQFNGKGLGPYVRGTELGFLYVVPEQKFLVLFERLKLPD >Ma06_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1114142:1116394:-1 gene:Ma06_g01390 transcript:Ma06_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLSLLRPPSRCGSYFDDSQPEHEQASALMVDKPNKEAEEEEDDKIRREAEAMATSKVDRGNKYEGWLQLGIGGGCRPNGSHSQHPSTMDPSSSNSKAKGDLVELDLFCRRPALEPPPSPLTAFPAMAPWFPAVMGGYCHQLTPSGCTWMRSSNPSLSSGEMRVVSLPRRKQTGVWFVLQAAHDQVKEPFLPQIPKSYLRIKDGRMTIRLLMKYLANKLVLEDESQVVITCRGQQLPPYMTLMYVRDNIWCSPEAVELNSDSSSTKYIMNLLYGRSRCG >Ma01_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1437924:1454442:1 gene:Ma01_g02170 transcript:Ma01_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLTCGFRPTTAFDYGNGSSYLRFTYRGQSRSVLRETKVCFKSYSLGGGVRGLGNGRVSLGSVLGRDYSEPFSFSGRWRRPFEGNFLNPRKLLKGSMLLNCQSNDSLAYVGASNQNFDATESNLVDEQMPSIEDSEVGAGSDVKLSGPEAEEKEAYQVDELRESLQKSSKELQVARLNSTMFEEKAQKISETAIALKDEAERAWEDVNSAVSSIQEIINEEDIAKEAVQKATMALSMAEARLQVAAEAIDSKKEQTTLTEPSMENDEEQALVSAREEISGCKESLESCAEGLRRIQMRKEELQKEVERLRQIAEKAQLDSLKAEEDVANIMLLAEQAVAFELEATQRVNDAELALQRAEKAVSSADAVEQQAQPSQDQVVKEEANVVEEVTRGTVSDATTERDEVLVGDKLVAGDVAVRSIEEVETFDELSDQENGKLTLDFTKEADIEFEKSKAKKQEQQKDFTRDSSSTVNAPKASLKKSSRFFSASFFSFDVEDEEFTLASVFHGLVNFAKKQAPKLVIGIMFLGMGAYFLSNRVERTSQLLHQPDVISIEEVASSAKPVVRAIRKIPKRLKKLIELLPQQEINEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLTAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAIVVGLIAHLVSGQLGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAIVAIAAIIAGGRLFLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLGNFPAIMGTLSLLIVGKTVLVALVGRLFGISPIAAIRVGLLLAPGGEFAFVAFGEAVNQGILSSRLSSLLFLVVGISMALTPWLAAGGQLLASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGADRACAAAIALDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGINLEKAGASAVVPETLEPSLQLASAVLAQAKLPMSEIAATINEFRNRHLSELTELCQTSGSSLGYGFSRVTSKPKPQSSDSDENEIIEGTLAI >Ma01_p02170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1437924:1454442:1 gene:Ma01_g02170 transcript:Ma01_t02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLTCGFRPTTAFDYGNGSSYLRFTYRGQSRSVLRETKVCFKSYSLGGGVRGLGNGRVSLGSVLGRDYSEPFSFSGRWRRPFEGNFLNPRKLLKGSMLLNCQSNDSLAYVGASNQNFDATESNLVDEQMPSIEDSEVGAGSDVKLSGPEAEEKEAYQVDELRESLQKSSKELQVARLNSTMFEEKAQKISETAIALKDEAERAWEDVNSAVSSIQEIINEEDIAKEAVQKATMALSMAEARLQVAAEAIDSKKEQTTLTEPSMENDEEQALVSAREEISGCKESLESCAEGLRRIQMRKEELQKEVERLRQIAEKAQLDSLKAEEDVANIMLLAEQAVAFELEATQRVNDAELALQRAEKAVSSADAVEQQAQPSQDQVVKEEANVVEEVTRGTVSDATTERDEVLVGDKLVAGDVAVRSIEEVETFDELSDQENGKLTLDFTKEADIEFEKSKAKKQEQQKDFTRDSSSTVNAPKASLKKSSRFFSASFFSFDVEDEEFTLASVFHGLVNFAKKQAPKLVIGIMFLGMGAYFLSNRVERTSQLLHQPDVISIEEVASSAKPVVRAIRKIPKRLKKLIELLPQQEINEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLTAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAIVVGLIAHLVSGQLGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAIVAIAAIIAGGRLFLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLGNFPAIMGTLSLLIVGKTVLVALVGRLFGISPIAAIRVGLLLAPGGEFAFVAFGEAVNQGILSSRLSSLLFLVVGISMALTPWLAAGGQLLASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGADRACAAAIALDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGINLEKAGASAVVPETLEPSLQLASAVLAQAKLPMSEIAATINEFRNRHLSELTELCQTSGSSLGYGFSRVTSKPKPQSSDSDENEIIEGTLAI >Ma08_p33390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43711389:43711700:1 gene:Ma08_g33390 transcript:Ma08_t33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSSMTWMVAEKVSWYCALLLILLLVSNCCDPDEAGAPIRRYLPVNDRPCDEIYVVGEGETLQTIIDKCGDPFVLEENPHVQDFDDVFPGLVIKITPFTGN >Ma05_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8980457:8981570:1 gene:Ma05_g12430 transcript:Ma05_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPPRAPPPPLAVEPLTSTESDRQHTEASSPLPSVILSHSLFIIAGIIVCVIVASFSIHLLLRLLSCRRRSSSAVAALPLPLARSLPASGSPSLAAAASSVIADQENAALIDSLPVFTLASALAFLPKSSLDCAVCLSRFRPQDELRLLPACRHAFHCLCVDPWLRTTPSCPLCRASISLPGPPLQLLPPVTGDREPSRSGSFRIEIGSVSRRRTPSAEEPGNHQQPPLPPNLRTYSIGSSFEYLVEEEVEAVVARIARRKEKVEKPGEDTAPAAAADTAPAAPGEGVAEAAGGGRGWLKEYVDRLASSASSSFSSLRLSGRWSHRYDGDVGRQSWDLEGSARRDAEEGGYYAFYRWLIGA >Ma02_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6554208:6555936:1 gene:Ma02_g00790 transcript:Ma02_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKREDEMEASADAIPPPFSSQIPSTFPLPGGFFDADGGDKGSVGFLELLGLRDLNQSPFLFELPRPTSAVEPPPATSDPPPRLPPPAESSDTANFPATPSSISSSSTEAAVNAIKPAASSITNGDEGEQAKTNRTTKEEEKKKKGQKRQREPRFAFKTRSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSAACGVKKRVERSSEDPAVVVTTYEGQHTHPSPIRARGAHQPLPPPSLVLLEPPPPPLGFGFPSSVRSKDVHLPLLGCYLPPPPLDFRPMTAPQPLMLTSDPTTAVAISGDPRHGCNRTAEVSIRDHGLLQDLIPSKIRKEEEL >Ma10_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24295535:24296725:1 gene:Ma10_g10290 transcript:Ma10_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKRHNGSFLCFAVVVPEDEVEPRCGDVEPNKGSYPRRRWRSRILARIFRSSASGASLNRGEKEEKEPKLDSSDRKLADDDDERAASIFSSSSYSASSLSSSSCSSSSSTSSRLSLASLVALRESAVPRARQKMTPPPRKAPLGPARNRGGATGIFLLVASLSVMVFWGRLCSIMCTSSWLFFVACQFSSGRPATTAKEVKDWTPSAELHRRRILVEQAMEKKRVVLEGLLQRNQKITNKY >Ma06_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6065571:6068344:-1 gene:Ma06_g08580 transcript:Ma06_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKCQTVNLSNAHSDCLVVKLLYQANAHSFVNVNLCVETALLTFNYNRINSVVQLVLEFCIFFLLLKSGVTLDWRKLEKSWLLTFSLFGFLSLGTKRRPLTFWDLDASSQPQRCWVGKKSFEQHFNTILGDLLEDPNANPNSGILKSTTANTQMLKVSFAAIASPPPLPPPKNNIYGMRRYKTRWIGISHESVRRAISARVC >Ma09_p25760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37135283:37136809:-1 gene:Ma09_g25760 transcript:Ma09_t25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQLLLLLSFCIGVLGSLELDGFLANGNFEISPRQDKLNKTVIQGKHSLPHWTIHGLVQYVSGGPQPGGMFFAVPHGVHAVRLGNDASISQNITVKPGSLYALTFSATRTCAQDEVLRVSVPPLSGDLPIQTLYSSTGGDTYAWGFRPGNKTVQVIFHNTGVQEDPACGPLLDAVAIKELFPPMPTHDNLVKNGGFEEGPHVFKNSTTGVLLPPKQEDSTSPLPGWIIESLKAVRFIDAAHYAIPSGQFAIELVAGRESAIAQVIRTASNTTYNLSFVVGDAKNGCHGSMLVEAFAGNGTAKVSFQSQGKGGFKAASLNFTATGSRTRITFYSSYYHTSVSNPGSLCGPLLDQVRVYPLKA >Ma04_p39140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36345903:36352546:1 gene:Ma04_g39140 transcript:Ma04_t39140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRFSPGMRSITISSTNGFLDLMKLKDAARHISYRTLFHTVLALAFLLPFVFILTAVVTLESVNKCSSFDCLGRRLGPGFLGRGGDDSMKLVKDLYKILDQVNSEEIPVGLKLPESFSEFLSDMKNNQYDADTFAVRLKAMMEHMDREVKRSRLAEQLHKHFAATAVPKGIHCLSLRLTDEYSSNAQARKQLPPPELLPLLSDNSYHHFVVATDNILAASVVVTSVVRSSLQPERVVFHVITDKKTYPGMHSWFALNSLSPAVIEVKGVHQFDWLTRENVPVLEAIESHHGVRNHYHGDYILGTNVGDNPRAFASKLQARSPKYISLLNHLRIYLPELFPSLNKVLFLDDDVVVQRDLSLLWEIDFSGKVNGAVETCKGEDTWVMAKRFRTYFNFSHPLIANKLDPDECAWAFGMNMFDLNAWRKTNIRETYHYWVKENLKSNLKLWKLGTLPPALIAFRGYVYPIDPSWHMLGLGYQELTDLDTVRKAAVIHYNGQCKPWLEIGYKHLQPFWTKHVNYSNNFVSNCHILEPQ >Ma04_p39140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36345903:36352546:1 gene:Ma04_g39140 transcript:Ma04_t39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRFSPGMRSITISSTNGFLDLMKLKDAARHISYRTLFHTVLALAFLLPFVFILTAVVTLESVNKCSSFDCLGRRLGPGFLGRGGDDSMKLVKDLYKILDQVNSEEIPVGLKLPESFSEFLSDMKNNQYDADTFAVRLKAMMEHMDREVKRSRLAEQLHKHFAATAVPKGIHCLSLRLTDEYSSNAQARKQLPPPELLPLLSDNSYHHFVVATDNILAASVVVTSVVRSSLQPERVVFHVITDKKTYPAIESHHGVRNHYHGDYILGTNVGDNPRAFASKLQARSPKYISLLNHLRIYLPELFPSLNKVLFLDDDVVVQRDLSLLWEIDFSGKVNGAVETCKGEDTWVMAKRFRTYFNFSHPLIANKLDPDECAWAFGMNMFDLNAWRKTNIRETYHYWVKENLKSNLKLWKLGTLPPALIAFRGYVYPIDPSWHMLGLGYQELTDLDTVRKAAVIHYNGQCKPWLEIGYKHLQPFWTKHVNYSNNFVSNCHILEPQ >Ma05_p29450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40128859:40132299:1 gene:Ma05_g29450 transcript:Ma05_t29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRSKKARRTASRSDDVYLKLLVKLYRFLVRRTGSKFNAVILRRLFMSKINRPPISLKRLITFMQGKDDKIAVIVGTVTDDKRVYEVPAMKVTALRFTETARARILKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGKAPGVPHSHTKPYVRSKGRKYERARGRRNSRGFRV >Ma01_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7569174:7576131:1 gene:Ma01_g10530 transcript:Ma01_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPRKEEGSDRSSDGDAGEGFRANNGSESTHGGGVAERLRENLRAESDDDLSHEASGGAGDDRVLPWLQALDLQVLGACRADERSKPLLKLNVSSGPSEDKLLAQLSQHFEASEVGMLARCLCVPLVSVRVGKVKKLGNILCPTATRGQLNLNLLPSSNMHISFIGDNGCAESLAVLSNDFDSFDAILEEISADTSGRSFLLKLPGPRVLYYWCSEKSKVHGLELLAKMKDLLQRKPSLSRLTGISESRLDSFATHLGTYLLGCANTAEANSAASSHGLHGGSTPDETECQFASAVSQSRSRTVEVHLGQVHSVCQGGLNPRLSIFKDETQRTVTSTRSGSREELKQHGDFHPSTLTKRSEPVASVSVSCTVSAPCTSKCEDDNSRNIEPSLIRSCGLPEIPSLPSLPSFNPMSIHLSPSQTTRSSSLFSPYYCWCPPCPSSLQYKATSSHLSSISEHIPLPPLSSLLPAAAPTVASVPAKLPIDVSELGAMTLPTLLPDALVPASFSVSSLTLPCSQQIPMFTPFISDPIVHIPVIDFCSSGPGYLVSAGPAISSVLSPLLPGLVSPLIPKVESAIEKNARETLKMLMASSPTASSPQLNVLPAVFNNLDESFSCAKMVNNHSAVVATSSQLLCGGTVDVDNVSSDLSCIGLCSLGEGVVHELYHTMNCNPEEDNCSDDYDDLKEG >Ma04_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2639899:2641281:1 gene:Ma04_g03420 transcript:Ma04_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDQQEVKSHMCKVCGRSFPCGRSLGGHMRSHMSLISPLQEVGEKPQSRKGLIAAGTGYGLRENPKRTWRISERGGETLDTGCNKCGKGHCDGSHSRAAQEEVEDMEQQDQGVDERDGFSETQSYGEVTVLRKRRRSRRVTSIPCWSSSPHEFDKEQEDVALSLVMLSKGVGSFCSVSSAEDSFDRNLVVDSACQGMDGQEEELITSRNVYERSELKKLESDASDAGFAEDDDLKMPKSYDSDDFDEASTTCFKKKSLNCNADRLDAYDAKSSTKPVDIDFHKPPKQKNRYKCLICNKSFHSYQAFGGHRSSHMRMKASIEAGTDGSSTCKNSIESNAYTATKQTDEDSGRRAGTGTGSEASKKVKEYNCPICCKVFSSGQALGGHKRSHFLPNSNYEGSSACQQAMVIQQHPSVMPDMLDLNVPASVDEDPKLITDNIEAWWVKSGAEHEPLVSLISN >Ma07_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1263590:1269873:1 gene:Ma07_g01620 transcript:Ma07_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDEEGDSVTPEPNKTTHAIPPKTSTVTVADEATDNNENIANSDVVHVLPHIRSLSLDRDMTEKKYGDQKSISHMSAASSSAKAVRSNHTVPQPFALATEKRASSANRAFVAEAANEGDKDPNADVQSSDVQKKAQSNLTVTSRRPLHPDNIMYSDEEDSCSITSATAPSIRNLKVNTTVAIAPTFKCSERAERRKEFYSKLEEKHQALEAEKLECEARTREEKEAALKQLRKSLNFKATPMPSFYHEGPPPKLELKKLPPTRAKSPKLGRRKSCGDASNLALGDYGRHQHHNFGTSEDPPNKLQSNTKNSNTTKAKEGVKSTREKSKHQGDEVAAQAPSDNTVQGQADTGVSVQP >Ma02_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11104823:11106125:1 gene:Ma02_g01700 transcript:Ma02_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPELEKARVLEFRVRMDCNGCVQRIKRAMHGIDGVYNVNIDLASQKLTVVGTADPDKIIKAIKKTRKIATICSHTENNGPEQPPPPTEAAPSSDPPASDAANQPPAEPAKDDAPSQDNAVVQVEKPSPEAKDALPVHLKDVGEIPMVVHHYPHDCIQKGQWNYYYPQRHGAIPCHPPYYVIQSYNNYRSSPYPSQDIRYAALGPYDEDGYWHHGRGGDRNQITSVFSEENPSACRIV >Ma02_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25940247:25943660:-1 gene:Ma02_g19750 transcript:Ma02_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPSSKPTPSWSRTSDENLDLGFCWRDIESSDKSDTAPSLAKADNAGFEFSLANQSASETHGTNRGHHHHHHLHFHQHHPVLHQGYRQDLGLLMPIRGIPVYHNPPAAFHFLENQQQQQQHHHQPHSCDSAAQGSSRSTSRFLPRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRQAASSGQNEPIEISDDNLPEIQGTEASGQRARSTEDTGTDDYGLWSNSSRGGCFLDRPSDSTAWSVNSFEDMQSKGSEMVPDVNSSSFSERNTEKPNLEFTLGRPHCI >Ma02_p19750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25940247:25943686:-1 gene:Ma02_g19750 transcript:Ma02_t19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPSSKPTPSWSRTSDENLDLGFCWRDIESSDKSDTAPSLAKADNAGFEFSLANQSASETHGTNRGHHHHHHLHFHQHHPVLHQGYRQDLGLLMPIRGIPVYHNPPAAFHFLENQQQQQQHHHQPHSCDSAAQGSSRSTSRFLPRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRQAASSGIAGQNEPIEISDDNLPEIQGTEASGQRARSTEDTGTDDYGLWSNSSRGGCFLDRPSDSTAWSVNSFEDMQSKGSEMVPDVNSSSFSERNTEKPNLEFTLGRPHCI >Ma08_p24060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37348520:37352671:1 gene:Ma08_g24060 transcript:Ma08_t24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFPGSKHCFVFVFFWIYVDVVSDSVVVWSGIGLLRFAYFSASSLFQSGEKESVVVEAMNAKARTRSQGTKASPKHEKGSGKEKKTGMERNGSMATEDVSKRCQSRRDRKFALQQDVDKLRKKLRNEENIHRALERAFTRPLGALPRLPPYLPSHTLELLAEVAVLEEEVVRLEEQVVNFWQGLYQEAIFISSCKKTKELGSDARFLSQCSKTLEQPKSYSDSAYSEDSAFIKQPTSVQRSSNMDQTITSSTRFTDGKLAPKKPHSSLAVTDKQQGKENLISGNVGRNCKKSPAKKVPKVSAAAKRNTQADSWPKCTSVYDEGAEIKLSSSLKEAAFEMANTASESTLHEPNGPNKLSEDILKCLMDVFSRMSSPGNTKEHMETSPSVSGSSGSLEESDSIDPYGICAEFGRRDIGPYKLFQAVETSLNVRDLLMGCSFLTSRLKRLLGELASVDLAGLTHQQKIAFWINIYNSCMMNAFLEQGIPANPEMIIALMLKAMINVGGHLLNAMTIEHFILRLPYRSTQVSPKGSKSDYVTMRGIFGLEWPEPLVTFALSCGSWSSPAVRVYTADQVENELERAKRDYLQAAIGISTPNRLAIPKLLDWYLRDFAKDVDSLMDWICLQLPDELRTEAIKCLEMAKRSPIQQLIQLLPYEFRFRYLLAP >Ma08_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37349042:37352669:1 gene:Ma08_g24060 transcript:Ma08_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIGKFEGSGKEKKTGMERNGSMATEDVSKRCQSRRDRKFALQQDVDKLRKKLRNEENIHRALERAFTRPLGALPRLPPYLPSHTLELLAEVAVLEEEVVRLEEQVVNFWQGLYQEAIFISSCKKTKELGSDARFLSQCSKTLEQPKSYSDSAYSEDSAFIKQPTSVQRSSNMDQTITSSTRFTDGKLAPKKPHSSLAVTDKQQGKENLISGNVGRNCKKSPAKKVPKVSAAAKRNTQADSWPKCTSVYDEGAEIKLSSSLKEAAFEMANTASESTLHEPNGPNKLSEDILKCLMDVFSRMSSPGNTKEHMETSPSVSGSSGSLEESDSIDPYGICAEFGRRDIGPYKLFQAVETSLNVRDLLMGCSFLTSRLKRLLGELASVDLAGLTHQQKIAFWINIYNSCMMNAFLEQGIPANPEMIIALMLKAMINVGGHLLNAMTIEHFILRLPYRSTQVSPKGSKSDYVTMRGIFGLEWPEPLVTFALSCGSWSSPAVRVYTADQVENELERAKRDYLQAAIGISTPNRLAIPKLLDWYLRDFAKDVDSLMDWICLQLPDELRTEAIKCLEMAKRSPIQQLIQLLPYEFRFRYLLAP >Ma08_p24060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37348659:37352671:1 gene:Ma08_g24060 transcript:Ma08_t24060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKARTRSQGTKASPKHEKGSGKEKKTGMERNGSMATEDVSKRCQSRRDRKFALQQDVDKLRKKLRNEENIHRALERAFTRPLGALPRLPPYLPSHTLELLAEVAVLEEEVVRLEEQVVNFWQGLYQEAIFISSCKKTKELGSDARFLSQCSKTLEQPKSYSDSAYSEDSAFIKQPTSVQRSSNMDQTITSSTRFTDGKLAPKKPHSSLAVTDKQQGKENLISGNVGRNCKKSPAKKVPKVSAAAKRNTQADSWPKCTSVYDEGAEIKLSSSLKEAAFEMANTASESTLHEPNGPNKLSEDILKCLMDVFSRMSSPGNTKEHMETSPSVSGSSGSLEESDSIDPYGICAEFGRRDIGPYKLFQAVETSLNVRDLLMGCSFLTSRLKRLLGELASVDLAGLTHQQKIAFWINIYNSCMMNAFLEQGIPANPEMIIALMLKAMINVGGHLLNAMTIEHFILRLPYRSTQVSPKGSKSDYVTMRGIFGLEWPEPLVTFALSCGSWSSPAVRVYTADQVENELERAKRDYLQAAIGISTPNRLAIPKLLDWYLRDFAKDVDSLMDWICLQLPDELRTEAIKCLEMAKRSPIQQLIQLLPYEFRFRYLLAP >Ma05_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15052911:15053552:-1 gene:Ma05_g16010 transcript:Ma05_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSSSFSSPSHGSLNDAGPEAGNSSAALGRLYYDAFDDEPRHFLDCCSLCRKPLASNRNIFIGDTPFCSEECRQEQIEIDEAGENSRKLSVKVSRSRKERRKSGESQKIHVWADTAVAR >Ma05_p16010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15052911:15053552:-1 gene:Ma05_g16010 transcript:Ma05_t16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSSSFSSPSHGSLNDAGPEAGNSSAALGRLYYDAFDDEPRHFLDCCSLCRKPLASNRNIFMYRGDTPFCSEECRQEQIEIDEAGENSRKLSVKVSRSRKERRKSGESQKIHVWADTAVAR >Ma11_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15678433:15678669:1 gene:Ma11_g12000 transcript:Ma11_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQEKVEGGNSRSSTVVEVAGLSLPLGKKFSMTWKMGINE >Ma09_p08090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5323397:5329941:1 gene:Ma09_g08090 transcript:Ma09_t08090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWFLCVFYHRLLDYRRPEVESLAELFGAFGGDGGGEESPSTRSLEWKLPENHHIDSPFHFVSLPSEEIARNIANRSILVKGFYELWGQGSSYEELEKAIKEFPDERKLPYLTSDSTFRITVDSFGKVISFQEQNERIQGLNYIPFMGRVDLRKPEHRFWLIETDDYGSNNGLPPVVQRTIFFGREVGAADRKLLPTYQLKSRRYLGPTAMDAEMAFLMANQGLAQPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPNCNVWSNFEQYNLPMPISLLRADNNMPPWRSGLKEVFDAIICDPPYGVRAGGRKSGGRKLLRGTVGPYTVPEEKRLDHIPSTAPYSLAECMHDLLDLSAKLLVMGGRLVFFFPVVREDGLTNAQFPEHPCFTLIASCEQILSLRYSRYLLTMVKTGAYTEEIRESAQKRHLEFKENHLKWLEDGNLHSAVFSPADSQEALGAKSNKFDRDSKPKYRGKYV >Ma09_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5323397:5329941:1 gene:Ma09_g08090 transcript:Ma09_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification 11 protein [Source:Projected from Arabidopsis thaliana (AT3G26410) UniProtKB/TrEMBL;Acc:Q9LIN4] MWFLCVFYHRLLDYRRPEVESLAELFGAFGGDGGGEESPSTRSLEWKLPENHHIDSPFHFVSLPSEEIARNIANRSILVKGFYELWGQGSSYEELEKAIKEFPDERKLPYLTSDSTFRITVDSFGKVISFQEQNERIQGLNYIPFMGRVDLRKPEHRFWLIETDDYGSNNGLPPVVQRTIFFGREVGAADRKLLPTYQLKSRRYLGPTAMDAEMAFLMANQGLAQPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPNCNVWSNFEQYNLPMPISLLRADNNMPPWRSGLKEVFDAIICDPPYGVRAGGRKSGGRKLLRGTVGPYTVPEEKRLDHIPSTAPYSLAECMHDLLDLSAKLLVMGGRLVFFFPVVREDGLTNAQFPEHPCFTLIASCEQILSLRYSRYLLTMVKTGAYTEEIRESAQKRHLEFKENHLKWLEDGNLHSAVFSPADSQEALGAKSNKFDRDSKPKYRGKYV >Ma01_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8592047:8592635:-1 gene:Ma01_g11850 transcript:Ma01_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLCLASPKSFLRPPRCVAASAAAAPPAVCSSVTLYDVLGIAAGASGREIKAAYRRLALACHPDVAAVERRGASAVEFMRVHAAYETLSDPEKRADYDRSVMTAVDAGRRWAPFRSQWTSSYSTGRRRPRTWETDQCW >Ma06_p22520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18500684:18503621:-1 gene:Ma06_g22520 transcript:Ma06_t22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTELTVGRGSGSGAGGDREKGKGGSGGREKKVGRPRFAFQTKSPNDILDDGYRWRKYGQKAVKNSAYPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMEALTPLLKQIQFLTRF >Ma10_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32973145:32974786:1 gene:Ma10_g24300 transcript:Ma10_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLTLFETCHLRDRLFFSSSVNAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEEAKFSKSLAHLRSTDKPDVVLNFMRSQGFDGAGIRKVISADPRYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNDDILRLDVHRSLVPKLEMWESLLGSRELVLKHLKKTTRFFHSSVEKRLHPNLKFLRDECGIPEERVSVVLRSRPHLISQKPESLRALVVRADELGMPRQSRMFMWTLHVFHNVSKEKFESKVELMRSFGWSESEFSSAVRKNPTFLCISLDMLRRKVEFFINVVGYTPSFIASHPNLLLSSLQKTVIPRFRVLEMLNTKGLWTRRGTFLSYVQLSNTKFMEKIVLPYKEKVPELLDILRVAGAEQ >Ma06_p28660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30292213:30296985:-1 gene:Ma06_g28660 transcript:Ma06_t28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MAICAPSSSDSSSGSRAWVVHAALLGAAIAAAAGAHFYLRRWSSGFRSRVVGIIPARFDSSRFQGKPLAQILGKPMIQRTWERAKLASTLENVVVATDDEKIAECCRGFGADVVMTSVGCRNGTERCNEALEKLGKNYDIVVNIQGDEPLIEPEIIDGIVKALQGAPDAVFSTAVTSLKPEDGLDPNRVKCVVDNHGYAIYFSRGLIPFNKSGKVNPQFPYLLHLGIQSFDAKFLKIYPQLPPTPLQLEEDLEQLKVLENGYKMKVIKVNHDAHGVDTPEDVAKIEALMRERNIA >Ma09_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35994638:36003913:1 gene:Ma09_g24320 transcript:Ma09_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSRLWRGCAAVWSPARMWLSLVMGARFSTSLAHLGPPMTRDPGGRGVHWVFLGCPGVGKGTYASRLSQLLGVPHISTGDLVREELASSGPLAQQLAGIVNHGQLVSDEIIMSLLIKRLEHGATKAESGSILDGFPRTIRQAEILEGVTEIDLVINLKLREDALLAKCLGRRICSHCGGNYNVASVNIKGENGRPDFIMAPLLPPADCASKLVTRVDDTEEVVKERLQIYNEMSKPVEEFYRARGKLLEFDLPGGIPESWPKLLQALNLVDHDDKQSASA >Ma04_p30000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30757775:30760455:1 gene:Ma04_g30000 transcript:Ma04_t30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESSSIPCTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDHELYNRNDINRILGPKAACISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKEINALEQHIKNLLSPATPHFFNTLYDPYREGADFVRGYPFSLREGARTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGIKTGLPYIWHSKASNPFVNLKKEYKGIFWQEELIPFFQSAVLSKECTTVQKCYIELSKQVREKLGKIDPYFTKLADAMVTWIEAWDDLNPPKVAAEVPNGPAKAK >Ma01_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1158738:1159915:-1 gene:Ma01_g01690 transcript:Ma01_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYTVILPRPVALVVHLLDRIKLAVSMALFCLGLASSHEDHFLFPLQIPDFLPPSSPALPIPPSAIKTRLPVVRFSRFRIDGRDAVCSVCLGALEARHEVRELGNCSHAFHKGCIDKWVDVGQVTCPLCRAQLLPKEREEDAVAAD >Ma03_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8403659:8406382:1 gene:Ma03_g11110 transcript:Ma03_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAGVWVGDNPLHFTLPTLIYQILVVFVIYQLTHAVLRLLGQPLHVSQIVAGIILGPNVLSRNKAFGMSVVAPEHYQEVVTISITSYMLFFFVIGVKADLGVIPKVGKKAVAVGFLSTLLPIASVSLATLFLKSKIPLRYEQSNLLILLTDTWCMTSYPVLSCLLSELNLLTSKLGRLAMSATLITSILHAFASVILVTYLQGVNVGSPLRGLTMLASFLALLVLILFVMRPIVLWLIRRTPEGALLDQPSFVAVISMALASGMLCQMIGFDITAGPFFFGLVLPGGPPLGATLAERMDCLVLGMLLPLGMAFAGMRADLTVLADASSWWLFETYLFVIVLSKFVGVILPCLYCRMSPRETISLGLMLATKGINEVYAVLVWAEHFLVGPDELSVIVVTIIILGSSSAPLIRYLYRPEDRYVAYKRRTLEHAKPGDELRVLACIHLQDNVKPIISLLEAAGPSRDSPICAYLIHLIQLVGRTDTVLLPHKWQKNFSSSATALSETDHIVNAFRLFERQYSSGVSVLPYFCISPYSTMHDDICSLALDKKATLIILPFHKNVLADGSISFVSPPIQAVNVNVLRYAPCSVGILVDNGLSDRWALLHRVAVYFLGGADDREALACGVRMTKHAAVELTVVRFLPPKELREVGPEERMDDKMVEKFQQEKLDGKRVVYREEVVKDGEGTVAVIRETSPEFSLLIVGRREGSDSRLTAGMLLWREYPELGVIGDLLASTNFSGRVSTLVIQKQVRVMGAAAQAAESPKGDSTVIQVEPEG >Ma05_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8526477:8530268:1 gene:Ma05_g11660 transcript:Ma05_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKCCLLLLVASSLYYIVCSTTVSHDGRALVIDGQRRVIFSGSIHYPRSTPEMWPDLIRKSKEGGLDAIETYVFWNGHEPRRREYNFEGNYDLIRFLKEVQNAGLYAILRIGPYVCAEWNYGGLPVWLYKIPGMQTRTDNQPWKDEMQNFTTLIVDKVKEERLLATQGGPIILLQIENEYGNGDIERQYGEAGPRYINWCANMAESLVSDVPWIMCQQSDAPQPMINTCNGFSGCDGFTPNNGNSPKIWTENWTGWFKNWGSPDPHRPVEEVAFQVARFFQSNGTVQNYYMYHGGTNFGRTSGGPYIVTSYDYDAPLDEYGNIRQPKWGHLKELHASVKLMEKALTYGEVVEDHLGNGLTITKFSGDGIVPGCFLSNQNSTVDATISFQGTKYFLPAWSVSILPDCEKEVYNTAKVKTQTSIMVKKKDNAGDQSKDLRWSWKPERLHNSAKGFGSSFTVNKLLEQKTTTVDASDYLWYTTNVEVSKKESFTLSVSTTGHILYAFVNGRLVGSEYATGGSYNFIFERKVTFKPGKNQISLLSATVGLRNYGAFYDNTPVGIVGGPVKLIGKNNTILDLSESNWSYKIGLDGEVRKLQLDEKRWHSGVIPTNRPFTWYKTTFQAPLGSEPVVVDLLGLGKGEAWVNGNSLGRFWPNFTANPYGCNQCDYRGNFQPNKCQTACGEASQRWYHVPRSFLKRGEPNTLTLFEEAGGNPNQVNFQTVTVGTACTSASEGDVLSLSCQGGRTISSVDFATFGEPDGTCGAYGSGGCVSDEAVAILKDACLGRDSCSIQISDKIGTSCAKLASPRKLVVQVTCS >Ma05_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20033742:20040833:-1 gene:Ma05_g17340 transcript:Ma05_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFSPSRLPTSLFSTLALFIYSPNPHRHHSQSLSSIKTPTKDRALIVSSALAESNSSKSLDDGGRGEDGSDDDDLLPLLRELSDCLLLPPDYLSSLPRDLRLDLNDAAFDLSNGPVLDDCGEVVGDLLLNLSRAWEQADTSTSNSLARQLPSMESFLTKNVKASLGKRLVSAGRRFQAMGQYADGEPQKIATTMIKIGKQLSRGPVVTGDEEPKMETRTLKFGELQVELTSKKAFIGAGIGLVFGILSWQISRGIENIPESSLEYANDNALLLAKSLRGALLALFYSSAALSVFTSIGLVLLGRQLSSESK >Ma10_p11400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24935650:24936675:-1 gene:Ma10_g11400 transcript:Ma10_t11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPVNRPAHPLPAALPPVGASKAQAALAKIIAIISTVPPRLPEADALRHGGDPEAAGRTTTPAGQLGFGVDLKLRLGLATEIRGGSAEGDGPTEQSGVVDAPASAEPCRNVRAVEEVAPVGVSGAAAERSLSPAVSVAGGGDALASEKSSEGECDPQEENGGESRNEAAEDDAEEKASAEQKETDGSGGAEAGTTTTTAIATSSSSSRGRRSDGCLDLLLEAVRQVSGGLFDDDGPEAEKVEATAASEEAPAPSEMTAKRSAVGDGGGKKRRETDEWWIPLDLYEEETAPIVRSKRGRSQALPSRYRDSILDPWRKPPALSRNSTGRRGHERAPAATR >Ma05_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21758218:21759434:1 gene:Ma05_g17840 transcript:Ma05_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVEVCMTADEEKQQHMDASAPLPHCRESSRRSNPGVPNLSTYGSSCSFVGLILLIPFPHFPCMACQWAPDSAPRSRRAPPRGGISTASVRLGSGPGCLPHHRRGHAVGPRRFLVRALMEWEEGHCHIRHLTHCSCCRVGGIAGVRPRIRFREPASTTSKKQDIMGVFLLWPRTPFPLHPPPLPSSCFCYMAMVAGGSQRRRVLLVEDNEVNRVVVRRLTREMGMGLEEAENGRVAVELIRQGRAYDLILMDKEMPVMDGHEATRQLRSLGVTTPIVALSGNTLQSDRDSFLEAGADHFEAKPLSRGQFMKILTKYGLHQL >Ma04_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2665913:2666455:1 gene:Ma04_g03460 transcript:Ma04_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGELGEEPAASSQNPPRDPAASDGSAMAGDEREEWLNLTLGGRSSSSSQPRPPPSHRMFSCNYCMRKFFSSQALGGHQNAHKRERCAARRSNTPQRMMMMMMAASPLHVPFLHSLNVVRPHSMVHERFREPEAASFDHTKATWSPFVVDEASRSSWTESFQMESEPPQQQKLDLSLRL >Ma04_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6714478:6716271:1 gene:Ma04_g09460 transcript:Ma04_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRHERLLLFLVLFRSLAAPSRVPAVIVFGDSTVDAGNNNFIPTIARANFPPYGRDFPGGRATGRFCNGRLATDFISEALGLPSIVPAYLDPAYGIRDFATGVCFASAATGLDAATSDVLSVIPLRQEMEFFKEYQQKLEAYMGKTKANYIINEAVYIVSIGTNDFIENYYSEVTERSEQFTVEEYEDFLIRHAADFLTELHRLGARKVSFTGLTPFGCLPSERTSNLMNPGECMEEYNTVARDFNAKLQALTTRLCAALPGLKLRLAPFYDLLLHVVQNPSSYGFEVASRGCCGTGKIEASYLCNQWNPFTCEDADKYAFWDSIHPSERLNRLCANQTLRTSLAEFV >Ma11_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24785783:24789454:1 gene:Ma11_g20250 transcript:Ma11_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHQEREENGGAAAEGQEISAPGSIHGFESLHRLLEANLKPPIFQEVSRLLLGLNSWSPLQRISLPMAATTLSEAYNFDLQAFCFHADAEYLREPQIVRVGLIQNSIALPTTAHIADQKNALMQKVKPMIDAAGASDVNILCLQEAWMMPFAFCTREKKWCEFAEPVNGESTQFLQKLARKYNMVIVNPILERDVNHGETIWNTAIVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAINICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEIFPNAFTSGDGKPEHSDFGHFYGSSHFSAPDASCTPSLSRYRDGLMVSDMDLNLCRQLKDKWGFRMTARYELYAEMLSKYLKPDFTPQVIVDPMLQKRK >Ma01_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1050084:1051768:1 gene:Ma01_g01510 transcript:Ma01_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPKYAYPYPAQGYYHGPPVMAPPQYAAAPPRRSSGFLEGCLAALCCCFLIDECCCDPSILFIC >Ma05_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36901934:36904490:-1 gene:Ma05_g24730 transcript:Ma05_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPSGRPSEDLNLPYPPAGPFSGQRTAEAESDLLRRQHQHQQQQMSSGLLRYRSAPSSLLGEVCEDFLSVRASSPETETMLARFLAPDLRDETQDGPSGGAATASGQSSPHFPPPQLPPSAQEVKEQQSRGFTSAPQMIFRPQQQQRQMPNHSSSESPFRAVMGSLTMEAAQLKHGDFGSSSNLIRHSSSPAGLFSHLNVDEGYGMRRGTSGFMMDATDRSKGQISFSSRQNSVMSQISEMESDDMDGSSSPKDGGGGGRSYIPGFPVGSWDDSSPFNNNSLSGLKGSRDGEEKMVTGLSPLELPQNGEVRNHGLGLFSLPRSTSEIATIEKFLQFHDAVPCKIRAKRGCATHPRSIAERVRRTRISERMKKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKALSESRASCSCSAGRQKPY >mito3_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1910959:1911168:-1 gene:mito3_g00270 transcript:mito3_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSISVSMMIYVITGASIENAYPIYALQGYICEKQGSGWTNEFYVPIAISLIVDIEVTQAVLPYTVFD >Ma09_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5866276:5872175:1 gene:Ma09_g08820 transcript:Ma09_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGSVVLVEFSGAATNASAVARQILERIPGDQDTHVSYSQDRYVFHVKRTDGITVLCVADDTAGRRIPFAFLEDIHGRFVKTYGRACHIAPAYGMNDEFSRVLGQQIDYYTNDPDADRMNRIKGEMSQVRNVMIENIDKVLERGERLELLVDKTANMQGNTVRFRKQARRFRNTVWWRNVKLTVALILLILVIIYIVLAFVCHGITLPSCIR >Ma09_p08820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5866193:5872175:1 gene:Ma09_g08820 transcript:Ma09_t08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGSVVLVEFSGAATNASAVARQILERIPGDQDTHVSYSQDRYVFHVKRTDGITVLCVADDTAGRRIPFAFLEDIHGRFVKTYGRACHIAPAYGMNDEFSRVLGQQIDYYTNDPDADRMNRIKGEMSQVRNVMIENIDKVLERGERLELLVDKTANMQGNTVRFRKQARRFRNTVWWRNVKLTVALILLILVIIYIVLAFVCHGITLPSCIR >Ma05_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10622393:10634065:-1 gene:Ma05_g14550 transcript:Ma05_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSEEAADRRMEGWLYLVRHNRLGLQYSRKRYFVLRGNALDCFKTAPTSNGEEPSRSAVVDSSIRITDNGRDILHRNVLYIFTLYNASNHKDQLKLGARSSEEAARWIRSLMEAALKVLMFLLVLLYSLTILYMFIHLQEGPNKEENVVSRSKRRFRMIRRSGRSQKRSVDWTVFTSVLADPMASDVIAPSPWTIVGCRNGLRLFKEARDADSRGMYRDDHPALMAVGVIDASSEAIFKRVMSLGSSRSEWDFCLLEGNVVEHVDGHTDIIHKKLCGDWLPWRMKRRDLLLRRYWRREDDGTYVILYHSVFHQKCPSKRGYVRACLKSGGYVISPVSQGKQSVVKHMLAVDWKFWKSYFFTSSAKYITIRMLGRVAALREFFRAKLGSRACSDLSSSESTREIELQQSEKEQMKLEVHSADENDNIEDSADETQRSPTKIAKASGSFLQMNDAADEFFDVINEPEYDETEALWPSEEGMMQPQLVQDQHHSKLSTAAVFVKKLHDIAVQKKGYIDLQEASLGESVPCSYGLTLPKDLNCSLPSSWAMADPSTFLIRGKSYLHDHQKIKANGTLMQMVAADWLNSDKREDDLGGRPGGIVQKYSAQGGSEFFFIIHIQPSFLLHDGLSIGKCSPPRKICQR >Ma05_p14550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10620554:10634065:-1 gene:Ma05_g14550 transcript:Ma05_t14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSEEAADRRMEGWLYLVRHNRLGLQYSRKRYFVLRGNALDCFKTAPTSNGEEPSRSAVVDSSIRITDNGRDILHRNVLYIFTLYNASNHKDQLKLGARSSEEAARWIRSLMEAALKEGPNKEENVVSRSKRRFRMIRRSGRSQKRSVDWTVFTSVLADPMASDVIAPSPWTIVGCRNGLRLFKEARDADSRGMYRDDHPALMAVGVIDASSEAIFKRVMSLGSSRSEWDFCLLEGNVVEHVDGHTDIIHKKLCGDWLPWRMKRRDLLLRRYWRREDDGTYVILYHSVFHQKCPSKRGYVRACLKSGGYVISPVSQGKQSVVKHMLAVDWKFWKSYFFTSSAKYITIRMLGRVAALREFFRAKLGSRACSDLSSSESTREIELQQSEKEQMKLEVHSADENDNIEDSADETQRSPTKIAKASGSFLQMNDAADEFFDVINEPEYDETEALWPSEEGMMQPQLVQDQHHSKLSTAAVFVKKLHDIAVQKKGYIDLQEASLGESVPCSYGLTLPKDLNCSLPSSWAMADPSTFLIRGKSYLHDHQKIKANGTLMQMVAADWLNSDKREDDLGGRPGGIVQKYSAQGGSEFFFIIHIQVPGSTTYSLAFYYMMDCPLESVPLLERFVKGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALHINYFCGCNYIELGIDIGSSTVARGVVSLVLGYLSNLVIEMAFLIQGNTEEELPEFLLGTCRLNHLDVSKSVPLTDDNHKIRL >Ma03_p06610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4545897:4547758:-1 gene:Ma03_g06610 transcript:Ma03_t06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAAVFQHGFAMKEIHNMGGAAWRYDFGAGPLQEEEKRVLIECEIGGGGGGEGREVVDGKRGCNWDTCCSTIAQNPDDWDVKPSSPAPKKTGEELGAAAVFQEAVAGRRKRRRVKRFKNKEEVENQRMTHIAVERNRRKLMNEYLAVLRSLMPASYAQRGDQASIVGGAINFVKELEHLVQSLEARKRIRGRSQAAPFADFFTFPQYSSSPSSTASDGSTGDAVADIEVTIFESHANIKIFSRWRPRQLLELVLGLQGLRLTTLHLNVTTVDEMVLYCFSLKVEDDCQCTSVDVIATAVHQIIVSVEAEAAQN >Ma11_p07550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6026188:6030215:1 gene:Ma11_g07550 transcript:Ma11_t07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNASDAQALIRSLRSAYAATPTNLKIIDLYVVFAIATAIIQVVYMGIVGSFPFNSFLSGVLSCVGTAVLAVCLRSQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Ma11_p07550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6026167:6030215:1 gene:Ma11_g07550 transcript:Ma11_t07550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPDINPCASLRRRRRREGAAGVHLRSLVSDSGKQELIMAKSNASDAQALIRSLRSAYAATPTNLKIIDLYVVFAIATAIIQVVYMGIVGSFPFNSFLSGVLSCVGTAVLAVCLRSQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Ma11_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6026169:6030215:1 gene:Ma11_g07550 transcript:Ma11_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNASDAQALIRSLRSAYAATPTNLKIIDLYVVFAIATAIIQVVYMGIVGSFPFNSFLSGVLSCVGTAVLAVCLRSQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Ma08_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6612478:6619148:1 gene:Ma08_g09140 transcript:Ma08_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQEGKTGSDENKTSAAEASSTQQQAGRRRTTSTPATGFPTNPFDFSSMQNLLNDPTIKEMAEQIAQDPVFSQIAEQLQKSVHSAGQEDVPSLDPQQYMSTIQQVMQNPQFTKMAEHLGNAIMQDPVMSSVLENLSNPAQKEQMEERMAHMKEDPSLKAILEEIESGGPSTMMKYWNDPEVLQKIGSAMGIAPSGDGATSTEQLGPEETEDDEEESVVHHTASIGDVEGLKKALDSGADKDEEDSEGRRPLHFACGYGEVKCAQILLEAGAAVDALDKNKNTPLHYAAGYGRKECVGLLLEHGAAVTLQNLDKKTPIDVAKLNDQHEVLKLLEKDVFL >Ma10_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14479968:14483023:-1 gene:Ma10_g03890 transcript:Ma10_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHSLTSHRRCDVAAASLRRCRCFPASQQILQVCLKAANRMPGSKQHSSTKAAVQGSKAAKQNILVSGEVIKKPYSFIAINQFLCQILFFCEMK >Ma03_p12240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9459430:9467302:-1 gene:Ma03_g12240 transcript:Ma03_t12240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPISIEDVRREVKILKALSGHKNLVKFYDACEDELNVYIVMELCEGGELLDRILSRGGRCTEEDAKAIVIQILSVMGFCHLQGVVHRDLKPENFLFTTRDENATMKLIDFGLSDFIKPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVIAYILLCGSRPFWARTESGIFHAVLKADPNFDDPPWPDVSPGAKDFVKRLLNKDYRKRMTAAQALTHPWLRDEQWQIPLDILIYKLAKSYLRVTPLKRAALKALSKALTDDELFYLRLQFKLLEPNKDGHVSLENFRMALFQNASEAMREARVPDILNALEPLSYRRLDFEEFCAATISPYQLEALDGWEQIASTAFEYFEREGNRVIFVKELAQELNLPSTSYSVLRGWIRPEDRKFSFLGYTKYLVGATTRSSNTRHC >Ma03_p12240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9459430:9467946:-1 gene:Ma03_g12240 transcript:Ma03_t12240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKNISVAEDGHRERLSPANGSADSGGAAPATPLRRGRSGAATPVHSSSTSTTATAWPSPYQQGSASPLPAEVPPSPARSTPRRLFRRPFPPPSPAKHIRIALAKRQGLPKPKESPIPEDGNEEVERPLDKSFGYGKNFEAKYELGKEVGRGHFGHTCLATAKKGEIMGQFVAVKIISKAKMTTPISIEDVRREVKILKALSGHKNLVKFYDACEDELNVYIVMELCEGGELLDRILSRGGRCTEEDAKAIVIQILSVMGFCHLQGVVHRDLKPENFLFTTRDENATMKLIDFGLSDFIKPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVIAYILLCGSRPFWARTESGIFHAVLKADPNFDDPPWPDVSPGAKDFVKRLLNKDYRKRMTAAQALTHPWLRDEQWQIPLDILIYKLAKSYLRVTPLKRAALKALSKALTDDELFYLRLQFKLLEPNKDGHVSLENFRMALFQNASEAMREARVPDILNALEPLSYRRLDFEEFCAATISPYQLEALDGWEQIASTAFEYFEREGNRVIFVKELAQELNLPSTSYSVLRGWIRPEDRKFSFLGYTKYLVGATTRSSNTRHC >Ma03_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9459430:9467369:-1 gene:Ma03_g12240 transcript:Ma03_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLRRSMSWGRRLEEDILGTRAWRRPRKGRSWVSLSPSRSFPKQRLCEGGELLDRILSRGGRCTEEDAKAIVIQILSVMGFCHLQGVVHRDLKPENFLFTTRDENATMKLIDFGLSDFIKPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVIAYILLCGSRPFWARTESGIFHAVLKADPNFDDPPWPDVSPGAKDFVKRLLNKDYRKRMTAAQALTHPWLRDEQWQIPLDILIYKLAKSYLRVTPLKRAALKALSKALTDDELFYLRLQFKLLEPNKDGHVSLENFRMALFQNASEAMREARVPDILNALEPLSYRRLDFEEFCAATISPYQLEALDGWEQIASTAFEYFEREGNRVIFVKELAQELNLPSTSYSVLRGWIRPEDRKFSFLGYTKYLVGATTRSSNTRHC >Ma09_p25680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37058488:37068586:-1 gene:Ma09_g25680 transcript:Ma09_t25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILDACGNEEIKIQVKDWKPNILSNIGKEKAYSASVNDQAVSPNSVISEGFEENRNLQISNRFLTHSGISGQGMFSADRFSPACHSSGSCAPLGMQFWSAGDYEVQQFVGPASHNNQNRLCVHPKFLHSNATSHKWVFGAIAELLDNAVDEICNGATFVKLDKIINQRSGSPALLIQDDGGGMDPESLRHCMSFGFSDKQSCSAIGQYGNGFKTSTMRLGADVIVFSRCMNKRVLTQSIGLLSYTFLRQEGYNDVVVPAVDYYFDPSKGVFTNILRNGQKQFSSNLSMLLKWSPFLTETELLKNFDDIGHNGTKIIIYNLWFNDMGNMELDFESDEKDIIVSGAPKQVETNNISVTLTQNHIATRLCFSLRAYSSILYLHTPEYFRIILRGQEVEHHSIARDLKFCECIKYKPQVGGRIEGEVITTIGFLKEAPLVNVHGFNIYHKNRLILPFFQVACTSGSWGRGVVGVLEANFIKPTHDKQGFEKSSLFTKLETRLKEMTYEYWEYHSHLVGYTKKISHSTPPLAVVPFPLPLSSGCNIRPIPVNPEFLTDTRRKSSHTLQGISAMGTSHSPKEAIPGQLSTKHNASFIEGLTRKRGYEDFNAAREPLKRQAMMCSTREKAGEQKKGGQTNYKPEWSNCERQCHVQQVKTMMQENNKLRKECLEQEKIQEGLLLKYQNLVLELEQVKQWYDTISVELLSMDNTKVEKP >Ma08_p28710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40519140:40521758:-1 gene:Ma08_g28710 transcript:Ma08_t28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVGAVLGILLGLVFVCGGLLRWNELRYRKKGLPPGTMGWPVIGETSEFLKQGPTFLKNQRARFGNLFRTHILGCPTVICMDAEVNRYIIVNEGKGLVPGYPQSMVDMMGKWNIAAVHGPQHKAMRSAMLGLISPTAIRDQLLPKIDEFMRSFIRSWSDQVIDIQEESKELWLHSALKLIASVETGPLARDLKSEINKLVLGTLALPLNFPGTNYRRGMQARRSVVSMLEKLMEERRASPSSQLDMLDSLLRPDDPAKPKLSDEQIIDLILTLIYSGFETVSTTTMMSVKYLHDDPKVLEELRNEHFAIRKKKSANDPLDWNDYKSMTLTRAVIFETLRLSTVVNGVLRKTTQDMEIGGFVVPKGWRIYVFVREHNYDPFLYPEPFKFNPWRWMDQSLESNQCFMQFGGGGRLCPGKELGIVEIAIFLHHFVTKYRWEEVGRQKLLKFPRVEAPNGLHIRVSNLLN >Ma04_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10259626:10265334:-1 gene:Ma04_g13570 transcript:Ma04_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G66670) UniProtKB/Swiss-Prot;Acc:Q9SXJ6] METIVAVSSSASPSDKLISSASSLFPHGFLFPSSSPRRLAVAPPRRQTRPPLRAAAALGRRRTLSEGWDLSGSVPGAFRMPRFEEMDTTNTLLRQRIVFLGSQVDTMTADLIISQLLLLDAEDQKKEIKLFINSPGGSVTAGMGIYDAMKLCKADVSTICLGLAASMGAFLLAAGTKGKRFCMPNARVMIHQPLGTAGGKATEMGLQIKEMVYHKIKMNKILSRITGKPEQQIELDTDRDNFMNPWEAKEYGLVDAVIDDGKPGLVAPIAEATPPPKTRVWDLWKVDGSRKARQNLPSEEKLSQNGYKVDSNGDEDRGKEQPKEEPTTV >Ma07_p28750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34675910:34683569:1 gene:Ma07_g28750 transcript:Ma07_t28750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKILEQRERLDETLALPDLANEEYIKSLVKNQLLKSSLSHFEAGNIEQIIEKRTMEVSNFVEMLRSASEDERKAKAHGTSHNDWKVKQDTDQLRVMYREGPHGTPFHTLLAEGYADGPIDVCLCVSWETTLYNKWWPQYNLPTFKIIMSTCLQKVQIGEEISLVRVKVPWPVSDREALLHYFEIEYLNEDLILVLINTISDSEKIEVSTDDFNRNEVPKAIRIDLVGGFVLQKVDHSRCYFRAITNMDIKLDFVPPSLINFISRQLIGNGHKLYQKAVGTVATTDQDYRKALEGPMYARIREGMNTKHKTKTDVVGLDEEKYEGPLPKEHIGETATEIQSIIDSRYVSEIVEEETEHSTLSSTKQQDLITKRVYISPEVEVALGILDNAISILRKKGSPNNQLDCLSVDEGLPTYEAALEASETKSSTRNSSDNTKTSAFGNKLTVLGPETTDSSSSHIKPTQSAISMTKPPLLESMNKVCDEETLEANGFHDKSLKSGKSKHSRKKWFCCSIR >Ma07_p28750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34675899:34683569:1 gene:Ma07_g28750 transcript:Ma07_t28750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKILEQRERLDETLALPDLANEEYIKSLVKNQLLKSSLSHFEGNIEQIIEKRTMEVSNFVEMLRSASEDERKAKAHGTSHNDWKVKQDTDQLRVMYREGPHGTPFHTLLAEGYADGPIDVCLCVSWETTLYNKWWPQYNLPTFKIIMSTCLQKVQIGEEISLVRVKVPWPVSDREALLHYFEIEYLNEDLILVLINTISDSEKIEVSTDDFNRNEVPKAIRIDLVGGFVLQKVDHSRCYFRAITNMDIKLDFVPPSLINFISRQLIGNGHKLYQKAVGTVATTDQDYRKALEGPMYARIREGMNTKHKTKTDVVGLDEEKYEGPLPKEHIGETATEIQSIIDSRYVSEIVEEETEHSTLSSTKQQDLITKRVYISPEVEVALGILDNAISILRKKGSPNNQLDCLSVDEGLPTYEAALEASETKSSTRNSSDNTKTSAFGNKLTVLGPETTDSSSSHIKPTQSAISMTKPPLLESMNKVCDEETLEANGFHDKSLKSGKSKHSRKKWFCCSIR >Ma07_p28750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34675899:34683569:1 gene:Ma07_g28750 transcript:Ma07_t28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRKILEQRERLDETLALPDLANEEYIKSLVKNQLLKSSLSHFEGNIEQIIEKRTMEVSNFVEMLRSASEDERKAKAHGTSHNDWKVKQDTDQLRVMYREGPHGTPFHTLLAEGYADGPIDVCLCVSWETTLYNKWWPQYNLPTFKIIMSTCLQKVQIGEEISLVRVKVPWPVSDREALLHYFEIEYLNEDLILVLINTISDSEKIEVSTDDFNRNEVPKAIRIDLVGGFVLQKVDHSRCYFRAITNMDIKLDFVPPSLINFISRQLIGNGHKLYQKAVGTVATTDQDYRKALEGPMYARIREGMNTKHKTKTDVVGLDEEKYEGPLPKEHIGETATEIQSIIDSRYVSEIVEEETEHSTLSSTKQQDLITKRVYISPEVEVALGILDNAISILRKKGSPNNQLDCLSVDEGLPTYEAALEASETKSSTRNSSDNTKTSAFGNKLTVLGPETTDSSSSHIKPTQSAISMTKPPLLESMNKVCDEETLEANGFHDKSLKSGKSKHSRKKWFCCSIR >Ma07_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27341979:27346043:-1 gene:Ma07_g19300 transcript:Ma07_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMRPARPVTGFGALFSYKVFASAVFTLLSLAALSAILSSSSSSPPSHNTASAAVRRRGFLASDPLRARLDLIYRQAADHSALATAYAAYARRLKLDSSRQLGVFQGLAATLSDLSTRLAAPVDDEDALRPLEKEAKDRIKLARQLVGESKEAFDTQLKIQKLRDTIFAVREQLHRAKKLGALAGSIAAGSTPKSLHCLAMRLMEERIAHPETYRRAASVAPADLADPDLYHYAIFSDNVIAVSVVINSAIKNAEEPSKHVFHVVTDPMYLAAMQVWFVRRLPARGAKVEVRSLAEFGFLNSSYSPVVRMVEGGRRDLSMLDHLRFYLPEMYPKLRRIVLLEDDVVVQKDLTGLWRVDLDGMVNGAVETCFGGFRRYSRYLNFSIPQVRDQFSPRACAWAYGVNVFDLDAWRRERCTDRFHQYQSLNEDGTLWNPASVLPAGLVTFYTTTKPLDKTWHVMGLGYNPSVSPDEIRNAAVIHFDGNMKPWLDVALNQYKHLWTKYVDTEMDFLQLCNFGI >Ma05_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5545979:5548867:1 gene:Ma05_g07630 transcript:Ma05_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSIGILSLIELFVSMATHLLFAFYILSSAMASDLFQVLTDCLRPNVVDLGTGGKEDESKETVLVLEGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSLQFGHLQFGKIYEQGHYPSWDEHHPVHFVGHSAGVQVARVLQQMLADKAFTGHDTSEDWVLSITSLSGALNGTTRSYYDGMQPENGRLLKPICLLQVCRLGVIVYDWLDAAWLKNYYNFGFDHFRMGWRWTGISGLVDLLSNNTGPFASEDWIVPDLTIQGSIQLNSGLRTFPNTFYFSYATKRTKKVFGLTMPSSIWGIHPLLFIPVLQMCQWRFPHGVPPPHKDYRDEDWQDNDGALNTISMTHPRLPVEHPSFFVVDDSKCHPLQPGIWYYKIIEADHIFFIVNRERAGVQFDLLYDGIFQRCRKHIFRSSTPILPNDTAISDPHYSQTTEVGDQMPSPQIPA >Ma03_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3322164:3324005:-1 gene:Ma03_g05080 transcript:Ma03_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSSSKSLFVPVSNPSFANRIPPKVTSAPVSNPFRPSPLGRRLLSQACWNFPVSLFRSRCRPGENDATVVLVSLETPH >Ma02_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19405300:19405761:1 gene:Ma02_g09380 transcript:Ma02_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEHINRTPTFRWTRGKDIRYVAAVASIGSFLSVLPSDD >Ma04_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5203972:5205190:1 gene:Ma04_g07150 transcript:Ma04_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKKVQIPSLWASERCSQMPQDSVGEKRTRRRRKKAKGDAKKRRLSEDQVKLLEMSFMDERKLEAGRKAHLAAKLELDAKQVAVWFQNRRARHKSKQVKEAYVELKLAHDAVVLEKCHLENEVSKLKEKLSEAEEEVRKLASGMNGASGGNGESGDGSPSSCFSAASLQWQREEAELMCINEYDFTNYMMMEWADLYGV >Ma09_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5570498:5571805:-1 gene:Ma09_g08430 transcript:Ma09_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH95 [Source:Projected from Arabidopsis thaliana (AT1G49770) UniProtKB/Swiss-Prot;Acc:Q9FXA3] MGEKRAHNGASKGSSEGKGGVGESDHEMHIWTERERRKKMRNMFSSLHALLPQLPPKADKSTIVDEAVNYIKTLQQNLQKLQKKKQERTGGVVYDEPSSAAALQSQASDTNREAFLADHGKFWPAAMNSPTAVSVTRFPQSFQTWSSPNVVLSVTGEDAHISVCTSRKPGLFSTILCVMEKHKLEVVSATISSDCFRSMFMIHARATGASDQFPETLMIEEIYKLAVGEMILWLSSC >Ma01_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10598527:10601015:1 gene:Ma01_g14460 transcript:Ma01_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLRDDPDKESEPSEEKQMRRLPSFSTVIKEAMMAKSLNNFFFALEPLLRRVVKEEVERGVIHSMRSFQRSTPMQIEAAAESSSFKLTFNKQLSLPIFTGSKIDDIESKPLQIVVVDVHTGEVPLSTLSSPLKVEVVVLDGDFPSGDQEDWTGAEFQNNIVKERTGKRPLLTGDVNVTLRDGAASISDLCFTDNSSWIRSRHFRIGARVVPGSHNAPRIREAITEPFMVKDHRGELYKKHYPPALGDEVWRLERIGKDGAFHKKLSAVNINTVQDFLKLLAVDPHRLRSVRTRPHSSSRQVLFSLTDASALMDQILGVGMSDRMWEGTVAHAKTCEVGDKRYVHRAPQCSLVFNAVCEVVEIISDDMTLTLQSLSKPQRAYVNLLVREAHQNWDSLEDADAFFDQSIVAANVQMQQSEIEPFPWHEVEENAIEYQLEDYEIPDPAQPSF >Ma01_p14460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10598527:10601015:1 gene:Ma01_g14460 transcript:Ma01_t14460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLRDDPDKESEPSEEKQMRRLPSFSTVIKEAMMAKSLNNFFFALEPLLRRVVKEEVERGVIHSMRSFQRSTPMQIEAAAESSSFKLTFNKQLSLPIFTGSKIDDIESKPLQIVVVDVHTGEVPLSTLSSPLKVEVVVLDGDFPSGDQEDWTGAEFQNNIVKERTGKRPLLTGDVNVTLRDGAASISDLCFTDNSSWIRSRHFRIGARVVPGSHNAPRIREAITEPFMVKDHRGELYKKHYPPALGDEVWRLERIGKDGAFHKKLSAVNINTVQDFLKLLAVDPHRLRSILGVGMSDRMWEGTVAHAKTCEVGDKRYVHRAPQCSLVFNAVCEVVEIISDDMTLTLQSLSKPQRAYVNLLVREAHQNWDSLEDADAFFDQSIVAANVQMQQSEIEPFPWHEVEENAIEYQLEDYEIPDPAQPSF >Ma05_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4691790:4693810:-1 gene:Ma05_g06300 transcript:Ma05_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATWWLRSLWGGSKENKETKGSPGCGGEERTEKKRWSFRRSRDSGDVASGQNASMAAWLRSYEQSGEEQSKHAIAVAAATAAAADAAVAAARAAVAMVRLTSRGSATTSFGSARLAAVKIQTVFRGYLARKALRALKGLVKLQALVRGYLVRKQAAASLHSVQALARSQATVRAQRSRDLLPDDRCFPPAEFRRRRSSERINDTRGEQLRLSTSLDTATLSRSPKIVEIDTCHPKLRSFCRAATSCATDPTDDLPLHASSSPIACQIPARISVPSRRNFQENNDWCVNGEQCRLSATAHSTPRYMTAPGFDEAATPAKSVRGGEGGLRQYANVSDSPKYMASTQSSKAKLRHLSALKHLPDPRTRKRQPLGEVNVEVKANLGGVGMQKSCPQAQEAFSFKRAVVERLDRSSEPRKETEKEIYLQRMW >Ma01_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11171245:11172027:1 gene:Ma01_g15430 transcript:Ma01_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRETRDFMSVDAFSQLPFLRPAHDKPSSNASGIRLFGVEVPREPHEDPREPHDAEESSKDLTANTNTGDGGGGGSESGRRFECHYCCRHFPTSQALGGHQNAHKRERHHAKQAQLHPAMVASLHHQPSALDGHGVYGFNYHHQHLHHCRLGFDPAAPPHYSPWRTGASVGARFFMGPGAAAAPITGSPLIRRVPAGVHGGGRTGLIPSSDGVMPLPLIREDVAGVGWSGNAAISSSSSSSQFAYESMQTETVSLDLHL >Ma10_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29851852:29854672:1 gene:Ma10_g19230 transcript:Ma10_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANINSPYSYYKYVLIRK >Ma01_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11387070:11388346:1 gene:Ma01_g15710 transcript:Ma01_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVSRQGRQLQRYTKSGGRLVVGCIPYKFSPRGEGLDKSMEVLVISSPKGNGLLFPKGGWETDETIKEAASREALEEAGVQGNVERKLGRWRYKSRTYDAYYEGIMFPLNVTEELGDWPEMHARQRKWVTVAEAKEGCQHPWMKEALERLVKRLSDSGRRRSSSSTIAAS >Ma07_p13570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10200234:10203237:-1 gene:Ma07_g13570 transcript:Ma07_t13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFRGGRPQLFDFITNGRDWSDKGGYGAAEEKNLELRLGLPGGEDWSAVQEKREHPAESAVSPGHIAKIPKSTNMSASSGAKRGCSDAVDSKPEGTLADKTTFQQQHLQQQQLGFLQLQAKGKESLHRTSGSAGLQSLEGKVCGPHAAHASSTKNTTATHSTSQSRAAAAPVVGWPPIRSFRKNLAGTAKASAESPNRRSEAEKKLENDRKGLFVKINMDGIPIGRKVDLKAYDSYEKLSLAVDELFRGLLMAAQMDLLAATTHSTIETHLISGLLDRSGEYTLVYEDDEGDKMLVGDVPWDMFVSTAKRLRVLKSSDLCASPPGEIRRRTNDC >Ma07_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10200234:10203237:-1 gene:Ma07_g13570 transcript:Ma07_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFRGGRPQLFDFITNGRDWSDKGGYGAAEEKNLELRLGLPGGEDWSAVQEKREHPAESAVSPGHIAKIPKSTNMSASSGAKRGCSDAVDSKPEGTLADKTTFQQQHLQQQQLGFLQLQAKGKESLHRTSGSAGLQSLEGKVCGPHAAHASSTKNTTATHSTSQSRAAAAPVVGWPPIRSFRKNLAGTAKASAESPNRRSEAEKKLENDRKGLFVKINMDGIPIGRKVDLKAYDSYEKLSLAVDELFRGLLMAAQMDLLAATTHSTIETHLISGLLDRSGEYTLVYEDDEGDKMLVGDVPWDMFVSTAKRLRVLKSSDLCASPLTGRNQEEDKRLLNWE >Ma01_p15250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11050007:11054355:1 gene:Ma01_g15250 transcript:Ma01_t15250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKKTPVRVRFRVTAKKRGCDTGASTDGKRRRRLDCVNSVRKLQRREIGGFPCMARGVASDATEKFRNIQLQEEFDAYDHNVHWFVKLQFLKKRSKIIEIVSAKDIIFALAYSGLCAAFSRSTNERICFLNISPDEVIRSLFYNKNNDSLITVSVYASDHFSSLKCRTTPIEFIKRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYKFLYAISDKNMQEIKISPGIMLLIFNRTPSYVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDQVRNSELTEVSRTEFMTPSAFIFLYENHLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAEEGCGDEGDAVGSINMSNILTGKCIAKISASDPALQTSPQRRGKTGRSSIRSTVREALEDVTALFYDEDRNEIYTGNKQGLIHVWSN >Ma01_p15250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11049983:11054355:1 gene:Ma01_g15250 transcript:Ma01_t15250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKKTPVRVRFRVTAKKRGCDTGASTDGKRRRRLDCVNSVRKLQRREIGGFPCMARGVASDATEKFRNIQLQEEFDAYDHNVHWFVKLQFLKKRSKIIEIVSAKDIIFALAYSGLCAAFSRSTNERICFLNISPDEVIRSLFYNKNNDSLITVSVYASDHFSSLKCRTTPIEFIKRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYKFLYAISDKNMQEIKISPGIMLLIFNRTPSYVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENHLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAEEGCGDEGDVSAVGSINMSNILTGKCIAKISASDPALQTSPQRRGKTGRSSIRSTVREALEDVTALFYDEDRNEIYTGNKQGLIHVWSN >Ma01_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11050007:11054355:1 gene:Ma01_g15250 transcript:Ma01_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKKTPVRVRFRVTAKKRGCDTGASTDGKRRRRLDCVNSVRKLQRREIGGFPCMARGVASDATEKFRNIQLQEEFDAYDHNVHWFVKLQFLKKRSKIIEIVSAKDIIFALAYSGLCAAFSRSTNERICFLNISPDEVIRSLFYNKNNDSLITVSVYASDHFSSLKCRTTPIEFIKRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYKFLYAISDKNMQEIKISPGIMLLIFNRTPSYVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDQVRNSELTEVSRTEFMTPSAFIFLYENHLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAEEGCGDEGDVSAVGSINMSNILTGKCIAKISASDPALQTSPQRRGKTGRSSIRSTVREALEDVTALFYDEDRNEIYTGNKQGLIHVWSN >Ma01_p15250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11050007:11054355:1 gene:Ma01_g15250 transcript:Ma01_t15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKKTPVRVRFRVTAKKRGCDTGASTDGKRRRRLDCVNSVRKLQRREIGGFPCMARGVASDATEKFRNIQLQEEFDAYDHNVHWFVKLQFLKKRSKIIEIVSAKDIIFALAYSGLCAAFSRSTNERICFLNISPDEVIRSLFYNKNNDSLITVSVYASDHFSSLKCRTTPIEFIKRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYKFLYAISDKNMQEIKISPGIMLLIFNRTPSYVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENHLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAEEGCGDEGDAVGSINMSNILTGKCIAKISASDPALQTSPQRRGKTGRSSIRSTVREALEDVTALFYDEDRNEIYTGNKQGLIHVWSN >Ma09_p29910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40255729:40257770:-1 gene:Ma09_g29910 transcript:Ma09_t29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPNKLLSWGFSGLEQQKAELTMGSLVERSRGLGSQTSEMDCSVDLKLGGSAGFRPPEKWRSQATTATTKAAASGPPRRARAPGNAGQTAACLVDGCKSDLSNSREYHRRHKVCEVHSKTPVVMVGGQEQRFCQQCSRFHQLEEFDEVKRSCRKRLDGHNKRRRKPQPGSTSPGSLFPNHHQGLNYDRNFLFCSLDSTHGASWIILSGGCREQQVLDVSSSTPDTKRRAQLACDIYHSPSPPCRRQPTAVVCPFLRQPRREAAPSPATWQHCLRQDNNGTTHTPAIPQDRKQQQQQQQDPLSRRLCSLSSVINSKSRRRRRHGPNAACRKDPRAPSASGFFSSLQPPRSICQLSSFKLRFANRVLLLGV >Ma11_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20917152:20919262:1 gene:Ma11_g15200 transcript:Ma11_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTKQILAKPIQLADQVSKSAGSAQTNKQECLELKARADKLATLLRQAARAELYERPARRIMDDTEQVLDKALGLVYRCRNRVLVHRLFSITPGAAFSKMFIQIDNSVADVSWLLRVSAPAGDDDGLLHGLCPIAQNEPILTLIWSNIATLHTGHSDARSEAAAALVSLACDNQHFAKLIIEEDGVAPLLRLLKEGKAEGQENAARALGLLGRDRESVDCLVAAGVCSAFGKVLKDGPMKVQAVVAWAVAELAANNSKCQDVFAKNNVVRLLVGHLAFETIQEHSKYSVPSKAMSIHSVVLANKAAASDSSFDDAKDRCLLPHPEGQSKSYQFHSVVQSTVASAKVSRLALNSNSVTSTTAPWKPQQHSLSGSGNRAREMEDRSTKAKMKAMAAKALWQLARGNADICRNLTESRALLCFAVLLEKGTGDVRHNSAMALMEITRVAEHNADLRRSAFKPNSPACKAVIDQLLHIVEKGEHDDLLVPSVTALGCLSRTFRATESRVIAPLVRLLDETEATVMSEAVAALTKFACTENYLHVNHSQAIIEAGGARHLIQLVYLGEQVQVEALVLLCYIAMHVPDSQELADAEVLNTLSWASKQAHLVQDWRADELLPEAKARLELYQMRKL >Ma11_p00680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:473124:475439:-1 gene:Ma11_g00680 transcript:Ma11_t00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPPPVEELGLRRIQHHRQPIPIPAIAGKAAVLAAVLAVAVALIFYRRLARGGGGTHPAASTLASPLRRFTYRQLRRATASFAPSHLLGQGGFGPVYRGALPSGEEVAVKLMNSCGSLQGEREFQNELALAAKILPVTSSAAVAVVPALGYCYDDGSRRRLWWRWWRRREVEDSLEVSEAAATHAPAPGRKLLLVYELMHNGSLQDALLDRRSPELLDWARRFALVIDVACGLNFLHAVCDPPVIHGDIKPSNILLDAHLSAKISDFGLARLVSNVPVDHLPAEAEVVVDIDLDKCVVNGSKKSRNERMKDSVVGDGEDDASAVAMEEMPESMAPTTILFEEAMNGGATGIAATDRSAEEDEGGTVASPVTVLEVASASEAGAGVDGASVDSGKDASLSGRRRGGGKDKAEPSSGKSWWWRQDISGEGPNSETGGSVKDYVMEWIRSEVKKDRPRSDWMTASPGTAAEECLPKPSNGGRPQPKKTHPKTEWRASLDEESLQKKEKSRPAREWWREEFCEELTQKHKWRAMAKSQSSDGGRGEQKWWQDDEDYSDFASPSEKKKRWKKKKNSSRGSRSSMDWWIDRITGEIRTGGRRSSLEWASGDIPRSGTGGDRCK >Ma11_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:472928:475439:-1 gene:Ma11_g00680 transcript:Ma11_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPPPVEELGLRRIQHHRQPIPIPAIAGKAAVLAAVLAVAVALIFYRRLARGGGGTHPAASTLASPLRRFTYRQLRRATASFAPSHLLGQGGFGPVYRGALPSGEEVAVKLMNSCGSLQGEREFQNELALAAKILPVTSSAAVAVVPALGYCYDDGSRRRLWWRWWRRREVEDSLEVSEAAATHAPAPGRKLLLVYELMHNGSLQDALLDRRSPELLDWARRFALVIDVACGLNFLHAVCDPPVIHGDIKPSNILLDAHLSAKISDFGLARLVSNVPVDHLPAEAEVVVDIDLDKCVVNGSKKSRNERMKDSVVGDGEDDASAVAMEEMPESMAPTTILFEEAMNGGATGIAATDRSAEEDEGGTVASPVTVLEVASASEAGAGVDGASVDSGKDASLSGRRRGGGKDKAEPSSGKSWWWRQDISGEGPNSETGGSVKDYVMEWIRSEVKKDRPRSDWMTASPGTAAEECLPKPSNGGRPQPKKTHPKTEWRASLDEESLQKKEKSRPAREWWREEFCEELTQKHKWRAMAKSQSSDGGRGEQKWWQDDEDYSDFASPSEKKKRWKKKKNSSRGSRSSMDWWIDRITGEIRTGGRRSSLEWASGDIPRSGTVSSTPSMRGTVCYVAPEYGSGGLLSEKCDIYSFGVLLLVIISGRRPLQVTASPMSEFERANLISWARHAAHSGKLLDLVDPCLQCVDKDQALLCIRVALLCLQWSPARRPSSKEILSMLTGESKPPHLPPEFSPSPPGGFSFRSRKKAR >Ma11_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1996123:1997254:1 gene:Ma11_g02740 transcript:Ma11_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESFSTRWMSSSADVFDFNLPLFESSFLVHADQIFSDGLLLPLHSATAPKLSVAYDCSSKSRPSRRSTFCSSPGRAASSISSHLPVFLQAKATPSSASSCSSIDLTPKSWKPKLHFFRNCTRPSKRTLRRCLCFLMPLYKKVKCLRLVSSKTVRSRKDSAGGSPKPKTSNPFSSVEWCRSNADISIHDAILHCKRSIATPSDNA >Ma07_p08370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6226513:6228849:1 gene:Ma07_g08370 transcript:Ma07_t08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGNKVEVWSPREVPSGSWRSAEIISGNGHYYTVRYDGYPMDGSVAVDRVPRKAIRPCPPPAGTLKDSISGDVVEVFDNNSWKLAEILVVVDKKYCSVRLLGSSREIRAHKSLLRRRLSWQDNKWVMIHKDSGVQNGAMLSSLSRGGKSSYHLPQSCVEIDNSAGKSLFPIENYDFPEKARRFLSRETKKRPFDIYIPAEKCNDVRRKTRATEKNGMDQRISTEHPIHCLEKVDGVASKGRVMGEKCMHTCLNNRTTVSSKIESGRGRPSSDKQKCLVRSAESNDAESTSSSVGSCSVGSSPCRLPWHHETYPSKELYNHYDHAETSCGVERELSLSKKDELQAEIHQLELNAYRSSLIALHALGPISWEREALMTNLRLMLNISNDEHLMELRNLMHSEMTTTTS >Ma07_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6226513:6229908:1 gene:Ma07_g08370 transcript:Ma07_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGNKVEVWSPREVPSGSWRSAEIISGNGHYYTVRYDGYPMDGSVAVDRVPRKAIRPCPPPAGTLKDSISGDVVEVFDNNSWKLAEILVVVDKKYCSVRLLGSSREIRAHKSLLRRRLSWQDNKWVMIHKDSGVQNGAMLSSLSRGGKSSYHLPQSCVEIDNSAGKSLFPIENYDFPEKARRFLSRETKKRPFDIYIPAEKCNDVRRKTRATEKNGMDQRISTEHPIHCLEKGQSWRLLSFASLCL >Ma10_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5284035:5286732:-1 gene:Ma10_g01810 transcript:Ma10_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQEKKMKVLCLHGFRTSGSFLRKQISKWDPSIFHHFHLDFPDGIYPAGGKSDIEGIFPPPYFEWFQFNKEFTEYKNFEECISYLCDYITKNGPFHGLLGFSQGATLSALLIGYQAQGKVLKDHPPIKFLVSISGSKFRDPSICDIAYKDPIKVKSVHFIGEKDWLKLPSEELAAAFDNPLILRHPQGHTVPRLDEAAAKQLSEWTASISRSEFPCGTSVPKNVECEMNNIKHEAIADPKCIEAPSAA >Ma07_p28300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34399503:34400964:-1 gene:Ma07_g28300 transcript:Ma07_t28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase QRT1 [Source:Projected from Arabidopsis thaliana (AT5G55590) UniProtKB/Swiss-Prot;Acc:Q9FM79] MALLGHVTVSQRSTIQHQDFISWDDLSVEKYTRTMDAVDGDATRVILVSKDGTGDSRTVQGAVDMIPDGNKERVKILINPGVYREKVIVPKTKPFVSFIGNQSSETVISFHLRASDRYSNGQNVGTFDSATVAVESDYFCANGITFENTAPAAQPGEEGMQAVALRLTGDKAMIYRCRILGSQDTLFDHYGRHYFYECYIQGSIDFIFGSARSLYQACTLHAVAESYGAVAASQRNSPMDNSGFSFLECRLNGTGLLYLGRAWGRYARVVYSYCQLEGIILPEGWNDWGDPSRKSTVYFGQFNCKGDGANLQGRVSWARSLTYLEAKPFMDRGYIDGELWLRL >Ma07_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3937609:3943912:-1 gene:Ma07_g05430 transcript:Ma07_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICIYVTSYLSNSIPAGDLYILGHSLPVSLLATFGVISYVYYVSRRYFCAKRNLEKVAIDSGRVLVLASTLPERPSKGPTLI >Ma10_p26820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34328871:34329640:1 gene:Ma10_g26820 transcript:Ma10_t26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLVLLGGAIILAWCATLARGLGDGVVVDGDVTYLLPCMESLAPCQTEMDLATLTPACCDPMKDLIKRDHRCVCSMFFDDRVLAFINVTREKAVDMRVKCRINVDHHYCDGYVNATERATALSPRGMTTIAAAAPAEGTTNRAAAEGGSASRLAIILLSKMVLLIFQFKI >Ma08_p29690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41183881:41186684:1 gene:Ma08_g29690 transcript:Ma08_t29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G61930) UniProtKB/Swiss-Prot;Acc:Q9FH50] MLLGRIFTQRISCIRRTQLSYLQIRLSCHFGSTSAISNEAKVDSEEDFAYADVPRPGPTWQRKPYPTPMKVLIRRAKEEKKARQENPCRVLEHAPENGLLVPDLIEVAHQVYNARESLLHGLSKLVDGEEAITVKKCRFCTEVHIGQLGHEIRSCEGPKCGSRSSNHVWGRGGIRDVVGFPYCYHLYDRVGKPRVGHKERFGVKRLPAIVELCIQAGLHLEDYPTKRRTKPVYSIEGRIVDFEPEEDKNAFTGNNFDSAREVLPIILDGDRLDVAGTISEFSARTLQSWLDMRSGATKLMKKYSVITCGYCPEVQVGPKGHKVRMCKATKHQFRAGLHAWQEATIDDLIRPNYVWHVRDLDGPPLANELKRYYGKAPAIVELCVQAGAPVPKEYRSMMRLDVVPPDHDEYDLVA >Ma09_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35328941:35330270:1 gene:Ma09_g23490 transcript:Ma09_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPVHGIPRTAMWSGRATAAHRRRLPLAVRSASTRPQAEPEETTGAAAAQFDLKSYMADKTLRVDAALDRAVPLCHPARLYDSMRYSLLAPGKRVRPVIALASCELVGGPEGAAMPVACASEMMHVMSLIHDDLPCIDNDDLRRGRPSNHRAFGEGTALLAGDALVSLAFEHVATRTTGVPAELVLSAVSELASAMGPKGLVAGQIMDIESEGQAVGLDVLEFIHLHKTGRLLEAAAACGAIVGGGSDAEVDRVRRYARCVGLLFQVVDDILDVTKTSEELGKTAGKDVASGKTTYPKLIGLEKAQQLARTLVRNAEAELHGFDCVRALPLRRLAHYVADRHN >Ma08_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1601193:1617163:-1 gene:Ma08_g01950 transcript:Ma08_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVNKAVEVGGKNNLTRTVKNYADTVVHHAGQAVAGGAKIFQDRMGIQNYRNFKQTVKRLEEAAVSYRGTERVQLLRRWLFALQETERVYGSSIDHKSLKQTPLFDESNSSHGNVSSILYVDTEVGGEPMNFRDVFLYSQALEGITLSMILEVPNDEEVSLLLEIFGHCLTGEKEIHNAIMSSIQDLAKAFSNYQEEVLVKREELLQFAQGAISGLKLNADITRLEYEVSKLREKVDGMQALQVPSGEDHVGTSEKTAFASVEALKKALTEVRICSRFEGLLLKKKKIKSGESLEIHSQKVDKLKVLADSLANSSSKAEKRILDHRHQKEEALNFRVAKANEVSEVEKELLAEIAGLEKQRDELEAQLKKVNISLAAVVGRLNKTREERDQFDEASNQIVLHLKTKENELAKSVASCKAEADIVHVWINFLEDAWQLQSSYTDLKDRQISDELEKCGNCFLKLIKHHLSACKDELKPSIARISTFVENLKRLNDGSEAMQNPDDKISKESNPRKYVEEEYLATETKIVTAFSVVDHMKELFYADMENGSRRDDPDVKELFESIEKMRLDFESIERPFLEIEVPEKMMMEDDSEKGSPVVQISSSPKFKRVDSPKSPQPVVQISSSPKSKGVYSPKSSPRTADEILDPESEIAKLEMEFGKAGRDYSTEEIGGWEFDELEQELGPNK >Ma09_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5984147:5993911:-1 gene:Ma09_g09000 transcript:Ma09_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDETRNQMMQNLFGEQSEDEEEEEEEVDSEQHDAANHSDYQSDEGEGEAENDEGEGEGEGEGEGEGEGEGEIEGQGEVEVESEAEAYDVDLEQGESDGERVQSSAEREVSDQKMESEPKDAESEEEGYGQRVVTSRRREVVASESEESEGNRYADHEDEEMDSDQPRKPRLTSEQKDNEVVRDVFGDSDEDEPAEYGGQDEIEDDLHRSPAEEETNYERDLRPEDIVPDEDMQYASEDENFEEKPKDKPVGPPLDLEVPLRAPPGRPDRMNVIKVSNIMNIDPKPFDPKTFVEEDVFVTDESGVKKRIRLEDNIVRWRAVKNRDGTVSYESNARFVRWKDGSLQLLIGNEVLDISVHDARQDQSHLFLRHGKGILQSQGRLLRKMKFMPSSLLSKSHRLLTALVDSRHKKVYKVKNCITEIDPEKEKEAKERVEGQTIRANELLHRKREKVNRKYAQPVHRGRRQLSPGFLEDALDEEDEADDYYSSHRASARNRFEEDLEAEARAERRIINAKKVAPIKSNSHRNVQHKPSVSAARPLRRPLEEYSESDREESEYESDGEEVDRSPPHAREDEPDHEDEYEEDADEAADAISPSEEDEDEEPRGKTGSSTLKRKEFDSDGESPPRKMTSVNRRKAIVFDSDED >Ma09_p09000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5984147:5993911:-1 gene:Ma09_g09000 transcript:Ma09_t09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDETRNQMMQNLFGEQSEDEEEEEEEVDSEQHDAANHSDYQSDEGEGEAENDEGEGEGEGEGEGEGEGEGEIEGQGEVEVESEAEAYDVDLEQGESDGERVQSSAEREVSDQKMESEPKDAESEEEGYGQRVVTSRRREVVASESEESEGNRYADHEDEEMDSDQPRKPRLTSEQKDNEVVRDVFGDSDEDEPAEYGGQDEIEDDLHRSPAEEETNYERDLRPEDIVPDEDMQYASEDENFEEKPKDKPVGPPLDLEVPLRAPPGRPDRMNVIKVSNIMNIDPKPFDPKTFVEEDVFVTDESGVKKRIRLEDNIVRWRAVKNRDGTVSYESNARFVRWKDGSLQLLIGNEVLDISVHDARQDQSHLFLRHGKGILQSQGRLLRKMKFMPSSLLSKSHRLLTALVDSRHKKVYKVKNCITEIDPEKEKEAKERVEGQTIRANELLHRKREKVNRKYAQPVHRGRRQLSPGFLEDALDEEDEADDYYSSHRASARNRFEEDLEAEARAERRIINAKKSNSHRNVQHKPSVSAARPLRRPLEEYSESDREESEYESDGEEVDRSPPHAREDEPDHEDEYEEDADEAADAISPSEEDEDEEPRGKTGSSTLKRKEFDSDGESPPRKMTSVNRRKAIVFDSDED >Ma04_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2655667:2664077:1 gene:Ma04_g03450 transcript:Ma04_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASNEAVSVTPAVDSAGILRDQRASSRKHRSGRSSRRGYCGSKEEEDRIELEEHGKASEDCARLQKFRLGNLHSYIEGEQVAAGWPSWLSAVAGEAIQGWVPLKADSFEKLEKIGQGTYSTVFRARDLDTGKIVALKKVRFDNSDPESIRFMAREIKILRMLDHPNIMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSCPDINFSESQVKCYMRQLLSGLEHCHLHNIIHRDIKGANLLVNNEGVLKMADFGLANFYSPGHKQPLTSRVVTLWYRPPELLLGSTDYEATVDLWSVGCVFAEMFVGRPILQGRTEVEQLHKIFRLCGSPPDEYWKKSKLPHATIFKPHNRYKSSFQESFKNLPPSTFSLLEKFLSIEPYKRGTTSSALASEYFRTKPYACESSDLPKYPPTKEIDTKNYDELHRRKVAGRGHGSEAIVRPLRSNKASQEPGGLSKIADSKEESWINVTGIDRNNVKKDHLRVDGETRLFVDLQPIPLITHPDDGHNIKCNSQEDHADGRSCGTSNSKTRLSFARDPSSIENVKNKLYFEGQVNGSTHNVHAGSKGHGSIELAKHAIVKNWTRLEHPQSFDSSDIYYSQELSKDLYKGFHDQDRVEFSGPLLSQTHKVDELLQQHERHIRQAVRRSWIQRVTGRNQGK >Ma09_p30730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40709238:40710601:1 gene:Ma09_g30730 transcript:Ma09_t30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPQEQEQQQQHPKSRVVKVDSEESWYLFITQANNQGCPVFVHFGASWCVPSLAMNSFFEELALTYQDILFLVVDVDEVKGVASKMEVKAMPTFVLMRDGAVLDKIVGANPEEIRKRIDGFVQSFRRPNMVD >Ma05_p07840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5759098:5760576:1 gene:Ma05_g07840 transcript:Ma05_t07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESRVAATAAASSGIGVNWGMNGDNLPPEGVVVSLCRSRRIDKVRLFHPVEAALRALRGSGIAVILGTFNQDLPRLASDPPFAAQWVNTNVKPHADKDVRFRCICAGNEIIPGGLAEYVLPAMRNLDRALRAAALAIPVTTAVHMATLRVSYPPSQGAFSDAARPVMASVVGFLAANNYPLLVNVYPYFAYADNPRDIRLDYALGTSDVAVVQDGSLGYTNLLDAMVDAMYSALEKVGGRGLQEVIISETGWPSDGGFAATRENARTYNNKVVGRVRANRGTPKRPSSPLETYIFAMFNENKKQAGVEQNFGLFYPDATEVYHVDF >Ma05_p07840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5759100:5760576:1 gene:Ma05_g07840 transcript:Ma05_t07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASESRVAATAAASSGIGVNWGMNGDNLPPEGVVVSLCRSRRIDKVRLFHPVEAALRALRGSGIAVILGTFNQDLPRLASDPPFAAQWVNTNVKPHADKDVRFRCICAGNEIIPGGLAEYVLPAMRNLDRALRAAALAIPVTTAVHMATLRVSYPPSQGAFSDAARPVMASVVGFLAANNYPLLVNVYPYFAYADNPRDIRLDYALGTSDVAVVQDGSLGYTNLLDAMVDAMYSALEKVGGRGLQEVIISETGWPSDGGFAATRENARTYNNKVVGRVRANRGTPKRPSSPLETYIFAMFNENKKQAGVEQNFGLFYPDATEVYHVDF >Ma06_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9396572:9398043:-1 gene:Ma06_g13710 transcript:Ma06_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNGSLSVVMHVFVAAFLLVYLPISLVWRLLRWALVRPFLKEDMTGKVVLITGASSGIGEQLAYEYAKRGASMALAARRERALETVSAKARELGAPDVLVIAADISNPSEANRVVQATIGRFGQLNHLVCNAGLWCSCFFEEITSITAFTQLMDVNFWGSVYPTYYALPFLKNTRGKIVVNASMAGRVPTPRMSLYNASKAAMIRFYETLRSELGSDVRITIVNLGYVASELTKGKAVQKNGEVAINQEARDILVGPLPIGSTKKCAQLIVGGACRGDEYISWPSWYKPFHVVMSLAPEIVIWFSRCFYLGNPGNASSEPLSKRMLNVKGLKRFLYPASVLSPGSVVDEIHA >Ma02_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22750574:22751710:1 gene:Ma02_g14820 transcript:Ma02_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVGCNPIDLRLRLGSSSSSRLGDDVLWCSVEGSFGNSGETQQQQQQITIFYDGRICTCQVTEMEARNIISMAEREMDDQMRKKNEQSSLLSPQQKPDSAELSMIRSLQQFLQNRKSRQSALPPYNHRLHPMFPVK >Ma10_p26050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33928897:33935834:-1 gene:Ma10_g26050 transcript:Ma10_t26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKRRANSNGGGGREKSNNNSKNNMGAWEENTMAILDSSGFKDSQDVHDDRLCFLEAVRSASLASEPSTAPSWRMFDAVFQILVDCNSLELTMASYQLLTELDKRYSRVYVMKSDKTESSSSGIVNLVVVKEAWSPFNLASGGERTAKDLCSLFDSVRFSTLIEDMVQAVNKLSFDLVFKAVGNLLLFQYLVNVLEDDLLPRLTVYKETLNWLLLKESVLNILLGSRKLNFKSLVRDCMSILLKRCHHNIPNNHQDLRSSEDTCSQSSQDCVVGLSIAVSELEKETCVAIQKFFKLVMELDVARKEADMHGLTSRLDGFRLPILEIIVDELTYNRDSLPPFLVVFSEPKWKLEIILQYFSKYITKSSVRTRRSNEKSDGATLRGMLNNFSTAANTKNIVKRVTSGAAQLLLAHAFQACLSLVRDSKQIASSTERIGATLSEICNSLISAFRNLRKTDEGLEITSFAKEALFAAAIVLKRKP >Ma10_p26050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33928897:33935831:-1 gene:Ma10_g26050 transcript:Ma10_t26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNSKRRANSNGGGGREKSNNNSKNNMGAWEENTMAILDSSGFKDSQDVHDDRLCFLEAVRSASLASEPSTAPSWRMFDAVFQILVDCNSLELTMASYQLLTELDKRYSRVYVMKSDKTESSSSGIVNLVVVKEAWSPFNLASGGERTAKDLCSLFDSVRFSTLIEDMVQAVNKLSFDLVFKAVGNLLLFQYLVNVLEDDLLPRLTVYKETLNWLLLKESVLNILLVMELDVARKEADMHGLTSRLDGFRLPILEIIVDELTYNRDSLPPFLVVFSEPKWKLEIILQYFSKYITKSSVRTRRSNEKSDGATLRGMLNNFSTAANTKNIVKRVTSGAAQLLLAHAFQACLSLVRDSKQIASSTERIGATLSEICNSLISAFRNLRKTDEGLEITSFAKEALFAAAIVLKRKP >Ma06_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13250988:13255054:-1 gene:Ma06_g19330 transcript:Ma06_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWITQRKTKVLKYISIPVPSELGPFSRLGTVQFIGTERPWLNLYGNRVRPVAPFGSISSKPFSDPALIHQCLPDELLLAKLGKLHIPYAMGRAACVCRKWRYTICNPNLWRDACLRIWQSSGAEANYRIVQSLYDGSWRKMWIQRPRIRNDGLYVS >Ma07_p27830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34048991:34053634:-1 gene:Ma07_g27830 transcript:Ma07_t27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKEYNIEGGSVLHLVLALRGGCQNF >Ma04_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10624455:10625102:-1 gene:Ma04_g14060 transcript:Ma04_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPFLAKANVIFSVGDTRTRRRRLRRRKVKGDAKKRRLSDEQVRFLEMSFRDERKLASGRKAHIAAELGLDGKQVAVWFQNRRARHKNKQLEEAYLKLKLEHEAVVVEKCHLENEVLRLKEKLLEAEEEIRKLSWSVNGGNLGSSFSAVSQQPLHGEFGTEAEAELTYMDEYDLYNYTMEWTNPYGI >Ma05_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6830361:6831635:-1 gene:Ma05_g09430 transcript:Ma05_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRGPPRQMVEYDLQPPSQRIETAHNHILRMHLPGFRADEIKVQVDDNSRKLTVRGRRPLGEAMVARVEKDFHVPEDADTEKVHAKFEQGWLSLVMPKKPIQERESTQLAVSSEDTKKEEEPILQEKPESGKTNKEAAPIPKEMPRSGHEKPTTEGDRVKERHSPKKKPVGGDRKEEDNYRRWESSPVSFPTQKPEGCGRKEEEDDRSEKAKSPKAKAEEAFLRGEDPKIGRKKDRGVTWKNRIEEMEEWFDNATVDRLVESFNKHRNVIVAVAVGFSVGFYVAQKLRSSSR >Ma06_p25300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25585893:25588087:1 gene:Ma06_g25300 transcript:Ma06_t25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFLPALREEEVATGPSPTRSREKGSVRSQAPLPQRRRKGRRRHPSLSQAPEAVAPVAWSKGSRETLAPLVEGPDGSGGGARDAHGDPRKEGWGRWIRGQLSRAPSAATSSVAAGASSSALRSDLRLLLGVLGAPLAPVHVSSFDPLPHLSVKDTPIETSSAQYIMQQYTAASGGLRLHRSIRNAYATGKVRMVASEFEATAKVVRTGCVSSSTTESGGFVLWQMAPDMWYVELAVGGSKVHAGSNGKVVWRHTPWLGSHAAKGPARPLRRALQGLDPLTTASMFADARCIGEKTVNGEDCFVLKLSADPPTLRARSEGPAEIIRHVLFGYFSQRTGLLVHMEDSHLTRIQANAGGDAVYWETTMNSSLDDYRLVDGIMIAHSGRSTATLFRFGEKAMNHTKRRMEEAWTIDEVAFNVPGLSMDCFIPPADMRRGSTSEACELPRGVRGKFSSRYPQDMPEKIVCRFEVLG >Ma10_p25430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33573621:33574880:-1 gene:Ma10_g25430 transcript:Ma10_t25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSLELMCYPQQNCLSHQPEFSMPSVDNLLSSMVTPVSHAMVSAGQQSHGDQKMKSCGIKKRGRSLQRASEPKEVVHVRARRGEATDSHSLAERVRREKINRKMRFLRDLVPGCCKAMGMAGMLDEIINYVRSLQNQVELLSMEIAAAASSYDNSWVETPQVHDASLEEEGGVTERGDRTGVLSAVVFYQVSMHGTPEAHV >Ma11_p07580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6058399:6061992:-1 gene:Ma11_g07580 transcript:Ma11_t07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPESQWTEDEFCAVGTETDRIPSCKSSASSGVKAGISSGSSVHELLECPVCTNSMFPPIHQCPNGHTLCSSCKQRVHNHCPTCRQELGNIRCLALEKVAESLELPCKYQHLGCSEIHPYYSKLKHEQLCRFRPYNCPYAGSECLVTGDIPMLVTHLKNDHKVDMHDGCTFNHRYVKPNPHEVENATWMLTVFSCYGHYFCLHFEAFLLGMAPVYMAFLRFLGEDSEARNFSYSLEVGGNGRKLIWQGVPRSIRDNHRKVRDSYDGLIIHRNMALFFSGGDRQELKLRVTGRIWKEQ >Ma09_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:393396:395690:-1 gene:Ma09_g00530 transcript:Ma09_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFPSNNFHPSRALLSFVVSSDVRQRRFSSSPVNKSSAAPLLTRKPLQQQEFDRFQQSFILLRRPPSMLQELLYVGPGGEVCCGSGCCMNTGFDFIRLHQVRPVSDMKAIT >Ma01_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1760300:1761674:1 gene:Ma01_g02630 transcript:Ma01_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQKQKMKILFLTLLSVAALFGGLAEQCGTQAGGALCPGGLCCSQYGFCGSTEAYCGSGCQSQCGGDVASIISSSLFDQMLKHRNPFYKYDAFIAAANSFSGFGTTGDATTRAREIAAFLAQTSHETTGGWATAPDGPYAWGYYYVTEQSDTSDHCQWRPEWPCAPGKKYYGRGPMQISFNYNYGPAGQAIGVDLLNNPDLVATDPVVSFKTALWFWMTPQSPKPSCHDVITGRWTPSTADQSAGRLPGYGVVTNIINGGLECGIGPDARVADRIGFYKTYCDLLGVSYGDNLDCYGQRPFGFASLSESREG >Ma05_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36703385:36713890:1 gene:Ma05_g24450 transcript:Ma05_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MENPFLGCHPTDPIPNPFLNQSKLFQFLRPPLTTTITPFLAQKPKQKQPLPTAAGSLKLPEHGGHLQQAITALEQGSPIRPGAYISLLQSCIDADSIEDGRRLHASLCSVQDRNPFVETKLVSMYAKCGSLEDARRVFAGMRERNLFTWSAMIGGYAREQRWGEVVDLFFGMMHEGVLPDTFLLPRILQACSNTGNLETGRLLHSLAVRIGLLDSSKEVRVSNSVLAMYAKCGELDSALRFFERMDRRDRVSWNSIISGHCQCGGHEAALRLFARMRAEGIEPGVVTWNILILSYNQSSNPDLAMELMEQMESSGIAPDVFTWTSMISGLTQNDRMNEALDLFQEMLLSGVEPNGMTVASAISACASLQSLDNGKELHSYAIRIGCIHSILVGNSLIDMYAKCGRLEDAQRIFEEMAEKDVFTWNSMIGGYTRAGYCGKAYDLFSRMESSGVRRNVVTWNAMISGYIQNGDEDQAVELFHTMEMEGIRRNTATWNTLIAGSLQNGDPDQALRIFRQMQAFLVRPNSVTILSILPACTSLLSVLKVKEIHSCILHNDLQRDISIANALVDTYSKSGDIEYARVVFDGLSGRDLISWNSMIAGLVLHGRCHDARDLFNQMKQEGIRPNKAIFASVINACGLDGLVNEGKKLFSNMTEEYQLSPGLEHYTGMVNLLGRSGRLREASDLIDNMPIEPDAALWNALLTAARIYGNIRIANFAATHLFKLEPRNPETLRLLSHAQALYGKSNDVSKVPRAKKEGSVNESHGYCWMEVKQKVITFSTGRQLTLNSESKLAEINSRIMDTNEVIPDFDGTILEIEEDSEDIVGTHSEKLAVAFGLVNLPTFRAIRIVKSVRICTNCHTICKLISKLYKREILIKDPKSLHRFKDGTCSCRDYCFRPSVAALFTASRFHGSLPHSTNRFEVEKRYAGLLRAAAQSGALPDGRAIHGRILRTIPHPSVFLSNSLANMYCKCRALPDALRVFDEMPNPDVVSWNTVVDGCFLSGLRFDALACFREMLRCGVSPDEFSFVGVLKSCDLGMGFSAHCVVVKYGLGDSAFVASGLAEFYAVYGLLSDVMKVFESLERKDIVLVNAVIGLLAKAGNLEEAFKVFCNHVLASHLLPVRATFVNVLSGIDGFEFWREAIQVHGLVVKFGFEGDGAVQSSLIRVYANCCCMDDAHDLLRYSNSQNVISWTSLICAYASQEQFRDALDVFCHFYHDGMMLDDVLLACVLSIAAASESQKLGIQFHAVVLKYGFGLNNCINHALMDMYTKCLCMPDALKIFQHIGEDHNLLSWTILISGYAKCGSSMEALDTFYQMNKEDIIADSVACIGALMGCTDLQAVDQGEQIHAFLIKSGSEMDVTVQTALLSLYSECGSLNEAIQLFEMMMVHDVVSWTALISAYANLGCNEKALLCMNQMLQDEINPNHFTFVSALKAAAKLTYPVIGKLIHAAIIKSGLEEDTFIGSALIDMYCKCGSIGSAVSYFNGASKHDLVLWNALLAGHAQHGNVLELLKAYEEMVDRGLKPDDITFLSVLSGCSHGGLIDKVVHFFRSMSDDYGIRPQMEHHACVVGAFGRAGLLKDAVSFIEGMGINPGSTVLRTLVSFCIMYGHVRLGLASIAKMVLLGQMDSSAFVLVSNLYAVEEKWHDRRKIRDVMEADVVNLKKVGVSWIT >Ma05_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7266159:7267263:1 gene:Ma05_g10090 transcript:Ma05_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATTGEVKLLGLWRSPYVTRVGIALNLKKVGYEFVQEEVQGQKSEILLKSNPVYKKIPVLIHQGKPVCESAIIVEYIDEIWTSEPPILSSHPFDRAVARFWVTYIDDKLLALVRTLAFGATEGTSKAEVADGGAEAFQLLEEAFAKCSHGKEYFGGDTIGYVDIALGCWLGWIKAVEEVSNIKFLDEKKVPLLVGWAERFLQNEAAKGTMLEADEYVQRVKNLSASAR >Ma04_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17408174:17409215:-1 gene:Ma04_g17200 transcript:Ma04_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGAPLVLVLALLLLVTAPHVTLAITCGQVTSALSSCISYATGKGPLSTACCSGVKSLNSAAKTTADRKTACTCIKSTVSRLSGAQAGAIAGIPGKCGVSIGYPISASTDCSKVK >Ma11_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27509887:27510150:-1 gene:Ma11_g24620 transcript:Ma11_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRASRKAQSSDGPATVLAIGTANPRNVVDQLAYPDFYFRVTNADDRQELKDKFKRICKTSSSSPPLTPFCLTSCLSLQLQQIRH >Ma06_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8394690:8395510:1 gene:Ma06_g12080 transcript:Ma06_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAKKTFVTACSSSLVLLLLLLLISPRLTFPSPVQGAGHGGGEGGQQQQQGPQPAERAIGLTGFANPWDAVRTWANLAWMNLRPPDSMKNNGSSESSAGEVVKEAASRSFETSKEAVGQAAESAAKTAEDAVRKSNEKVKRTASVAGGEPDAEL >Ma05_p03650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2692809:2694016:-1 gene:Ma05_g03650 transcript:Ma05_t03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPNPDSPHSNNSGGSSPNSSPQNFSSSSSAATAGGAAASSSPPSLSRYESQKRRDWNTFGQYLRNHRPPLSLAQCSSAHVLEFLRYLDQFGKTKIHTHMCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPETNPFGARAVRLYLREVREVQSKARGISYEKKKRKKPQQHEQHHPPPPPAAA >Ma05_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2692809:2694291:-1 gene:Ma05_g03650 transcript:Ma05_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPNPDSPHSNNSGGSSPNSSPQNFSSSSSAATAGGAAASSSPPSLSRYESQKRRDWNTFGQYLRNHRPPLSLAQCSSAHVLEFLRYLDQFGKTKIHTHMCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPETNPFGARAVRLYLREVREVQSKARGISYEKKKRKKPQQHEQHHPPPPPAAA >Ma00_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13470506:13471253:-1 gene:Ma00_g01920 transcript:Ma00_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRWYRNVVFHLKRAWYAVSCCLRSPRQGRGILELHDEVQTCEYEDVQVMWDMLTKPVMETETETETVANQAEEPIMSEAVVVKKAEELLETTKEKLEKALD >Ma07_p14380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10807767:10814386:1 gene:Ma07_g14380 transcript:Ma07_t14380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIFLATHVDTLEIVAVKIENNKTKHPQLLYEAKLYNILQGGSGIPNIKWCGVDGEDNVLVLDLLGPSLEDLFVYSGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFEQRPDYGFLKRLFRDLFTREGYEIDYIFDWTILKYQHAQRTRSQPRASPISGGMTSRAIPMDIDKHQVTSANDVPHGNEVKERVGPSNAARTTVQMQFKLAADKNMGSDHQRLDKLRVGTSSEKPHVPSSSFAFPGAPKKNVLGSKQQSGPTDSSYLGSSSSWIPSLQHPSAK >Ma07_p14380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10807767:10813844:1 gene:Ma07_g14380 transcript:Ma07_t14380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIFLATHVDTLEIVAVKIENNKTKHPQLLYEAKLYNILQGGSGIPNIKWCGVDGEDNVLVLDLLGPSLEDLFVYSGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFEQRPDYGFLKRLFRDLFTREGYEIDYIFDWTILKYQHAQRTRSQPRASPISGGMTSRAIPMDIDKHQVTSANDVPHGNEVKERVGPSNAARTTVQMQFKLAADKNMGSDHQRLDKLRVGTSSEKPHVPSSSFAFPGAPKKNVLGSKQQSGPTDSSYLGSSSSWIPSLQHPSAK >Ma07_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10807767:10813847:1 gene:Ma07_g14380 transcript:Ma07_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKYKLGRKIGSGSFGEIFLATHVDTLEIVAVKIENNKTKHPQLLYEAKLYNILQGGSGIPNIKWCGVDGEDNVLVLDLLGPSLEDLFVYSGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFEQRPDYGFLKRLFRDLFTREGYEIDYIFDWTILKYQHAQRTRSQPRASPISGGMTSRAIPMDIDKHQVTSANDVPHGNEVKERVGPSNAARTTVQMQFKLAADKNMGSDHQRLDKLRVGTSSEKPHVPSSSFAFPGAPKKNVLGSKQQSGPTDSSYLGSSSSWIPSLQHPSAK >Ma03_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2970343:2982640:1 gene:Ma03_g04550 transcript:Ma03_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKRFFYRKPPDGLLEISERVYVFDQCFSTDALREDDYKECMGGIVMQMRSHFPDASFMIFNFWDGESESQLASILSEFDMTVIDYPRHYEGCPLLKMEMVHHMLRSCESWLCLGQQNLVLMHCERGGWPVMAFMLAALLIYLRQYTGEQRTLDMIYKQAPRELLEFFSPLNPVPSQLRYLQYVSRSVASEWPPLDRALTLDCVILRMIPIFDSEGGCRPIFRIYGQDPFFVSENTTKVLFSTPKKSKLVRHYKQADCELVKIDIGCHIQGDVVIECISLDEDQEREEMMFRVVFNTAFIRSNILMLSRDQIDILWDAKDRFPKDFRAEVLLSEMDTASSLMTLELAHMEDKDGLPIEAFAKVHEIFSHLDWLEGKGDSTLYILQQITSSNSLEDKLSEVSHQKMQSCNMSQSSSSHIVMKNLQDDQGTDHGASETAEVKVMPLSLSQLSSTPAPRLLESSECIKLSVDPPSSLPSSLPFSRIVAPGLPEPDEHIIQSAVLQTPPPPPPPPPPPPPPPLLSSPLPSESMPSSKLPSSSSPPPSIAVAATTSSISPPPPPLPPPPPKLASQGSIRILSPPPPPPPPQPFTVPTSSVMSKSPLLPSMQPPASVLASSVPSDKSNKIPTAASQSSRTQSVPPPPTPTISPFNSVSSGIKGRNLTRSRSPRSLYTSQSSSSRRMSLKPLHWSKVTRAMSGSLWADTQISDELPKTPEIDMSELESLFSAQLPNSDSSSVAEKSKRRSSLSGRSDKVHLIALRRANNCEIMLTKVTVPIPDLMSSVLALDESILDADQVDNLIKFCPTKEEIELLQGYKGDKESLGKCEQFFLELMKVPRVDCKLRVFSFKLQFSSQVADLRSNLNTVNSVSEEIRSSVKLKKIMQTILSLGNALNQGTARGSAIGFRLDSLLKLSDIRARNNKMTLMHYLCKVLADKLPGVLDFHNDLVRLEAASKIQLKILAEEMQAISKGLEKVEKELTSSDSDGPVSETFCKTLKEFLVVAEADVRSLTSLYSGVGRSADMLARYFGEDPAHCPFEQVVSTLLDFTRMFERAHEENCKQLELERKKAQKEAEHEKLRHAIHKKGPDHLMQSRNVSGHTR >Ma06_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12248627:12253404:1 gene:Ma06_g18070 transcript:Ma06_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPFLASVRPAIVAGSRSLGRTPLLSPYRAFLDSRKFSSAAALSCPAGLFPSPSSRSTARFSTSPRAELKVSDLQSTVTTKVFFDISIGGHVGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDQGNGTGGKSIYGRTFKDENFKLTHLGPGIVSMANAGPDTNGSQFFICTVKTPWLDKRHVVFGQVLEGMEVVRQIESQETDRGDRPKKNVVISDCGELPSV >Ma06_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16895646:16896041:1 gene:Ma06_g22000 transcript:Ma06_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAILEGLKRRILGVHGAWVDELPSVLWAMQTTPKTTPRESPFSLAFGTKAVLPPEMVFPILRTSNYERGHSKEGLHANLDLLEERRARAHLRALAYKKATARIYNRRVRPRPIQVRDLVLRRAEVSNPT >Ma01_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9736171:9739615:-1 gene:Ma01_g13300 transcript:Ma01_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSTPQRLIKAGLTAPSVRSFNLLLSFLLFEARKPRLVLCLFSQITSNSLPVDPRTHSLVARALVRSRRFHDAGRFISRAPLDFGFRRSLVESLIRRLCVAERNPDGALSLLQECVRNRGVFPSLGSFRSVVAAFCSLGRLDRAVEVLEAAADKKDRILTDNFVCSSIISGFSRIGEPALGLEFYERARKVDGFLPNLVTVTTVVDALCREGRIDEACDLVRNMEDQGMALDAVLYSCLIDGYLKRGDLMEGLRKHKLMVDKGITPDVVSHTSIIDGLCKEGNVEKVIGFLEEMESKGVHANVVTFTAVIRGFCRRNKLEEAFHALRKVEELGFVADEFAYSVLIDGLCRKGDLDRVFSLLEELEKKEIKVGTVTYNTLINSLCKAGQVSKANEISKGFAGDNFTYATLLHGYLKEMDVAGILEVKRRLDESGIVPDIVTCNVLIKALFMAGMIQDGCKLFDELPEMGLSANSITYCTVIDGYCKVGLIEKALMVFDECRRDSLFASASTHNCIIRGLCRQNMSEIAMEVFEDLVERNLSPDLITCRMLIRAIFGKGDGEAVLRFIHRTEKLEPELLVLICNEAIVFLCTKGCFSAALDVYILLRIRFLAVMSKSYNVLLKSLLRIGDKQIAELVISEFIKIYGTFEPQMTNAMFLYLSKKNVEKAIRFLNIKCISVGALTTVIDTLNKEGRVEDAYQFLLQSEENGVPVDVFVYSLVVDGLCKSGYLERALDLCGSMKKKGIYPNVVIYNSVINCLCQQGCLTEAFRVFDSLENLSVPPTVVTYSTLIGALSREGFLDDASQLFKRMISKGIIPNTPVFNKLITGYCNCGLVEEALDLLSDLEKNCLSPDDYTIAAILNGFCQRGDIEGALGFFTENKTRGCFPDFLGFMNLVEGLFAKGRMEEARSILRVMLQRAEIVDLINNAGDELHVESLDSLLSLACEQGRIKEVILVLNEISYLSISSPRSDSGRVFLKLKELHGSGVVDTENKIDGRDDAHHLLSADVHGTNMKDGFREKVDGDGNKEINEYLMGKPPGYDFATYYSIISLLCQQGDLQKANEAARTILQNPEKVFNPSIPTL >Ma06_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:403719:403796:1 gene:Ma06_g00520 transcript:Ma06_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREKEWEFLKKEVTLPESIAGVSH >Ma09_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7328857:7330825:-1 gene:Ma09_g10760 transcript:Ma09_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVVLASSDGGPKQFEGKITSYVVICGIIAATGGLMFGYDIGISGGVTSMDDFLEKFFPDVYVKKHRAKENNYCKYDNQGLQLFTSSLYLAALVSSFFASKSCTKFGRRLTMQAASVFFLIGVVLDAAARDLAMLIIGRILLGMGVGFANQAVPLFLSEIAPVRIRGALNILFQLDVTIGILVANIVNYFASNLHPWGWRLSLGLAGVPATILCLGSFLITETPTSLIEREKTDAGLAMLKKIRGTENVELEYQEIARACETARQVKHPFRTLMKRHSRPQLVIAVLMQVFQQFTGINAIMFYSPVLFQTIGFKNDASLLSAVITGTVNTLSTVVSIVLVDRVGRRMLLLEACVQMLLSQALIGILLQVNLKSNNTLHQGVAVLVVVLVCLYVSSFAWSWGPLGWLIPSEIFPLETRTAGFAFAVSSNMLFTFLIAQAFLSMLCHMRAGIFFFFAAWIVVMGLFTIFLLPETKNVPIDEMVEMVWKQHWYWKGYMDKEEAKEDNVDII >Ma02_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16953741:16954218:1 gene:Ma02_g05700 transcript:Ma02_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANELVAPPPSTATAPPSSTTWSLTHRRCLRPLLPRHLPRRRGDGARPPTLRPCTTCTSSCR >Ma01_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9770725:9774891:-1 gene:Ma01_g13350 transcript:Ma01_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGMALDAVLYSCLIDGYLKRGDLMEGLRKHKLMVDKGITPDVIGYTIIIDGLCKEGNVEKVIGFLEEMESKGAHANVVIYTAVIHGFCRRNKLEEAFCALRKVEELVFVAVEFAYSVLIDGLCRKGDLDRFFTLLEESEKKEIKVGTVTYNTLINSLCKAGQASKANEILKGFTGDNFTYATLLHGYLKEMDVTLFVTLLLAKLQCPYQGFVYGRIISVSALTTVIDTFKKEPRIEDAYQFLLQSEENGVPVDAFVHSLVVDGLCKSGYLERALYLCGSMKRKGIYPNVVIYNSVINGALSREGFLDDASQLFKRMIIKAADWLKKPWISSQIWKRIVNPDDYTIAAILNGFCQRRDIEGALGFFTETKTRGYFSDFLGFMNLVDGLLAEGMMEEARSILRDILKRAEIVDLINNAGDELHVESLDSLLSLACEQRRIKEVILVLNEISYLSISSTRSNSGRVFLKLKELHGSGVLDTENKIDGRGVHRLLVADVHGTNIKGGFIVKVDEDSDKEINEYLMEKPLGYDFATYYSIISLLCQLGDLQKANEAARTILQNPEKVFNPSIPTL >Ma10_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7309169:7309288:1 gene:Ma10_g02110 transcript:Ma10_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTDWEGSFPVRVRTGPRNHHHGPCNLLIILSLFFFV >Ma10_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24818846:24821865:1 gene:Ma10_g11230 transcript:Ma10_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATALHFGAIPTLIPVNNLARVSKEGRRGPSTTSPSSAGPLFRSGSRISRAPATAPIIRAAAEVQTTEDALVKVSTAADVVREFYDGINRRDLSAVEPLISEDCVYEDLVFPRPFVGRKAILDFFKKFTESVSADLQFVIDDISNEDSSAVGVTWHLEWRGRPFPFSKGCSFYSLQFREGKRQIVYGRDCVEPAAKPGDSALVIIQGVSWILQQFPQLADRL >Ma06_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13098987:13106728:-1 gene:Ma06_g19150 transcript:Ma06_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MGEGRSLAETPTWSVATVTTAMVAVCFLVERSIYRFGKWLKKTKRKAMLAALEKIREELMLLGLISLMLSQTARWISEICVPSSLFSSRFYVCSESDLEDLFAGGDSGPMSASNRSAAVETAKELLGLSANHCPEGYEPFVPFEGLEQLHRFLFILGITHVAYSFVTVVLSMTKIYSWRKWESQAYPMSSEDLQVRRNRMMKRQSTFVFHHASHPWSKNKILIWMLCFFRQFKGSIAKSDYMALRLGFITNHKLPLSYNFHKYMVRSMEDEFHGSVGISWPLWVYAIMCIFVNIHGLNIYFWLSFVPAILVVLVGTKLEHVIAQLALEVVGATDPCVGTQLKPRDDLFWFGKPEILLWLIQFISFQNAFEMATFIWSLWDLSSQSCFMKNHFMVATRLVSGLLVQFWCSYSTLPLNVIISQMGSKFKKSLIAESVRESLHSWCRRVKEKSKRDPVLSRLGTTTTSTCSLESTVDETDETNTIGSGTLSRCSSRASLEELAADTSDVQENKTPHL >Ma03_p31060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33432057:33435167:1 gene:Ma03_g31060 transcript:Ma03_t31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMRSIIIMAMRSRLFPFPPSFHSPPPHLLPLIQSSPLLRSRAQPPPLCLARSPFRSSSSCPAVAVLGAHDPLLMESRSPFGEIHVILGPMFAGKTTALLRRMQDEVDCGRSVAMVKSDRDTRYGLDSVVTHDGMKMPCFALSELSSFRDKLGTEAYDKLNVIGIDEAQFFEDLYDFCCNAADHDGKIVVVAGLDGDYLRKSFGSVLDIVPLADSVTKLTAWCEICGRRAFFTLRKTNETLTELIGGADVYMPVCREHYVDGQVAIEATRTILAVRSSTLAQSEVINGGESGAV >Ma05_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1068651:1069481:-1 gene:Ma05_g01740 transcript:Ma05_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASEGESRAIAFGEQQEALVVRSWNAMRKVAADVALKFFLRVFEIQPSAARLFSFLRDSKVPLDKNPKLKSHAMSVFTMVCESATQLRKKGKVSVRETTSKKLAGTHLKAGVVDKHFEAVRSALLDTIKHAVPEMWCPEMSAAWGEAYDHLAAALKEEMRLLTSSS >Ma05_p22110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33863640:33872490:1 gene:Ma05_g22110 transcript:Ma05_t22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTVSSFKFPSSSGRSYNTQENSTSVIASAYASTSLVSLPKVSTSAASLRRRRNLPGASSEGIPTELAEDPKFVPLSSDDPIYGPPALLLMGFEEDEMEKIQMLLKELDGEFLKVIYCTEDMIKQTVWDAMHTEQINLVDVKIAKSLPRLCILSGLSGEEMIMFIDAFPETGLQPAVFAALVPNSADKLLGDVIDEIMGDHEMMSGKQSS >Ma04_p26000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27602575:27606924:1 gene:Ma04_g26000 transcript:Ma04_t26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARCSGVGSGNSGAYTTEIAESLRFEEEIHSIVHPSLDSSPAAGGSFTALLGLPANQAVELLHETGPSEAPGSAAAPSPTGELWRLRLGEHAAGPLHLPLGCSPTFPSNAALVERAARFSVFAAEDSPALSSGGGPSPRLKAEPLDSDSPPLLPIPTEKTQRPAKRKECEKSKAKGPAKKSKSAEETTAAAAKETAAENTDGEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCSKITGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRIDFGGLDSFLSAECGPLATANGRGGVALDPALWSSHPVTANGARWLPQPQIRHVDVWPTQQSSMAWTRDGPAHPHALLNPGASLVGYDPASSVLLNSNQLKTEL >Ma01_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2296372:2299196:-1 gene:Ma01_g03460 transcript:Ma01_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNACKVKLAKKYRLLHQKDMTGTQLSSLKGSITGLKGMIGTSHRWQRRL >Ma05_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5668050:5674887:1 gene:Ma05_g07750 transcript:Ma05_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEFAYVGSAVSWQAGVVLHDNHIKLITDSLTALPGAFPAVVAGAVRSLFNRIGDLDMECRTRLILWFSHHLSNFQYFWPWEEWAHVKDLPIWAPQRVFVQEVLEREVRLSYWDKIKQSIENAPELEELLPPKSVSSFKYNSEEDHGYTLSKEFREMVRGRKTAGEITSWVEENIIQIHGSKFAIEVVIQTLLDIGSKSFTHLITVLERYGQVIAKLCTDQNMQVLLIDEVSSHWKNNTQMTAIAIDRMMGYRIISNLAIVSWVFSLSNIEQFHVSDRPWEILRNAINKTYNRIADLRKEIQTLKKSVLLAEDVAVKALKEFEAAETRLEVVDGQPVQAEKPGRLKRLKGYAEKAKDDEIAAREALEAKDALLARALEENKSLFVSLYKSFANVLTERLPPVSADGAFPKLRDEEDIDSMAIDLEEPSTMEMDHDNRRKDDRNGEKVTHRYSIKEQDQWCLCTLGYVKAFSRQYATEVWPHLETLEAEVFGGDIHPLFRKAVFAGLCRSTTEM >Ma04_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6523143:6525902:-1 gene:Ma04_g09160 transcript:Ma04_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEASSISLPDQQSMFPWDSSTEEREKITNLADREPRRRRSPLRRPSLHSHGATAEARRRFHGRDVSSSPAIPEDSPSTYYEWLPEESHLVVRMAAMHVGGHDGGAPARTRTLQNGHGDAGVQRRFGRSYTPLFLAPGAVQRTTALEAEPDWSGSMRNDEDDALSRLRLALAPAPAPRYLEPTRSIPTGGVYPSQYVGQNRREFWMLPSRLQRTAVDANHLCLRELHRLSILSSSYGSLMTSGKCIYCMAKDKRECQKLLQLADADAPQLVDLLYHGVIDHVDELTVHPYGNNLMLKLLEVCSKEQTQRIIVELAADPNRGILDLFLDPNGNRVINTFLTSFPPEYNQIFFAAAAGYCYQLATHQHGCCILQSCIKYSTGELRAELLKQTAAYGHHLSMDAYGNYVVQCLIDLKDPSINTTLASQFRGNYVELSRHKSSSHVVEKCLKKFEEEHQAQIIYELASSPEFKQLLQDPYANYVIRSALELTKVSIRRRINL >Ma02_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20588728:20591967:1 gene:Ma02_g11460 transcript:Ma02_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIVMDGVGTNVTPNGLNSSDIKENQTASRSPISPSLKSAPSEALELVLDGCKDLSIEQLYHNVCEMESSSNESLSQQSFGSDGEESRIDSELCHLAGGEGDSVKSINQREENGMAAQKQENTSKTQSPNISSKKSRRPPGLRLKSDASMNSSTRAKSSPEKDDKSVAKLGSAVGRLKKQNKYPRGEPNWENGTQDPSEAGLENPDLGPFLLKHARDLIASNNAKRALKYALRAANSFEKCAGGMPSLDLVMSLHVVAAIYCNLGQYAEAVPVLHRSIEIPALEEGLEHALAKFSGYMLLGDTYAMLGHLGSSLQCYTEGLAIQSRALGDMDPRVGETCRYVAEAHVQALQFDEAERLCRKALDIHREKGETASLEEAADRRLMALICDAKGDHEAALEHLVSVSMALVANGQETEVASVDCSIGDIYLTLGRYEEAVFAYRKSLTVFKATKGENHPTVASVFVRLADLYNRIGKFRESKSHCENALRIYGKPIPGVSSEEVAAGLTNVSSIFESMNEHEQALKLLQKASKMYSNSPGHQNTIAGIEAQMGVLHYIRGDYDESYISFKNAITKLRACGEKKSAFFGVALNQMGLVCVQRFAIIEAAELFEEARSILEQEYGPFHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGIREENLGTANPEVDDEKRRLAELLKEAGRVRNRKPRSLETLLDKNPHAMNKNTVTAVV >Ma02_p11460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20588729:20591967:1 gene:Ma02_g11460 transcript:Ma02_t11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIVMDGVGTNVTPNGLNSSDIKENQTASRSPISPSLKSAPSEALELVLDGCKDLSIEQLYHNVCEMESSSNESLSQQSFGSDGEESRIDSELCHLAGGEGDSVKSINQREENGMAAQKQENTSKTQSPNISSKKSRRPPGLRLKSDASMNSSTRAKSSPEKDDKSVAKLGSAVGRLKKQNKYPRGEPNWENGTQDPSEAGLENPDLGPFLLKHARDLIASNNAKRALKYALRAANSFEKCAGGMPSLDLVMSLHVVAAIYCNLGQYAEAVPVLHRSIEIPALEEGLEHALAKFSGYMLLGDTYAMLGHLGSSLQCYTEGLAIQSRALGDMDPRVGETCRYVAEAHVQALQFDEAERLCRKALDIHREKGETASLEEAADRRLMALICDAKGDHEAALEHLVSVSMALVANGQETEVASVDCSIGDIYLTLGRYEEAVFAYRKSLTVFKATKGENHPTVASVFVRLADLYNRIGKFRESKSHCENALRIYGKPIPGVSSEEVAAGLTNVSSIFESMNEHEQALKLLQKASKMYSNSPGHQNTIAGIEAQMGVLHYIRGDYDESYISFKNAITKLRACGEKKSAFFGVALNQMGLVCVQRFAIIEAAELFEEARSILEQEYGPFHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGIREENLGTANPEVDDEKRRLAELLKEAGRVRNRKPRSLETLLDKNPHAMNKNTVTAVV >Ma08_p18170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28765050:28765346:1 gene:Ma08_g18170 transcript:Ma08_t18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPTSGSSIHPRLGEVKGGRQSIAGSKHIPFTISGKGPDNPTHQSTRGQCLTISSIYRKHTRNNQTYMCHFKRI >Ma08_p21880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35635137:35638769:-1 gene:Ma08_g21880 transcript:Ma08_t21880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGALRNILRPVSARCLLSLSLPLSRKVSSSSSPIASTSRIHQSIFFDTVGGSSFKRELFSTSTAGATAFNSLTDTRFPKRRPGTKPRKKRASLRPRGPFAWVQYVPGEPIPVSRPNEGSVQGRNRKKRIRQRKAFILAEKKKRKAQQAEARKKRDMKRIERKMAAVAREKAWAERLIELQQLEEKKKAAAMA >Ma08_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35635137:35638679:-1 gene:Ma08_g21880 transcript:Ma08_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGALRNILRPVSARCLLSLSLPLSRKVSSSSSPIASTSRIHQSIFFDTVGGSSFKRELFSTSTAGATAFNSLTDTRFPKRRPGTKPRKKRASLRPRGPFAWVQYVPGEPIPVSRPNEGSVQGRNRKKRIRQRKAFILAEKKKRKAQQAEARKKRDMKRIERKMAAVAREKAWAERLIELQQLEEKKKAAAMA >Ma08_p21880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35635137:35638686:-1 gene:Ma08_g21880 transcript:Ma08_t21880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGALRNILRPVSARCLLSLSLPLSRKVSSSSSPIASTSRIHQSIFFDTVGGSSFKRELFSTSTAGATAFNSLTDTRFPKRRPGTKPRKKRASLRPRGPFAWVQYVPGEPIPVSRPNEGSVQGRNRKKRIRQRKAFILAEKKKRKAQQAEARKKRDMKRIERKMAAVAREKAWAERLIELQQLEEKKKAAAMA >Ma03_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25260745:25265672:-1 gene:Ma03_g20060 transcript:Ma03_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDICEVFSHLPLVVNKAPDFEAEAVFDEEFIKVAECLTQLQSHCC >Ma06_p28540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30189950:30194899:1 gene:Ma06_g28540 transcript:Ma06_t28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRETPPTRPPNPALPYREDCWSEGETSTLVDAWGDRYLELKRGNLRQKHWQEVADAVNCRRGAFGRRPPRTDVQCKNRIDTLKKKYKIEKARVTSAFASQWQFFSRLDALIGSAAAPPAKKPSPSPPLAVPLPYHRKGSTLPFAAAAAVRPVDPRERRGAAISISVDDSIFQRAAAAAAAAGDDNDEEDDLESPSGSSSRSGGGLRMARGKEGDGIRELAKAIERFAEIYERVEGAKQRQMMEMEKKRMEFAKELEFQRMQIFVDSQVQLAKIKRAKRSDADGYM >Ma10_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30933541:30939480:-1 gene:Ma10_g20890 transcript:Ma10_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRPSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKETVTKVPNAKPDRESTEIEIYGMQGIPPDILAAHYGEDEEVPVKTAKLEVASTGLVGGVVPGPVGVRFPPSSAYGAVPPAYNPAIPVMPPTWPIPAARPQPWFRSPMAVPVPPAPVLAPQQPLFPVQNVTSPMTSTSAPGLQSPLQTGPPGLPSSAPPVISQPLFPISSPAGAPPQSSPFLATSSPAIVSSSSPTMFKGVADANSVLNTTLASGYVAPNVPGGTSYANSHMYASGPNTENPSIGPPPVISNKPPPSHPTTNEVYLLWDDEAMSMEERRISLATYQVHDETSQMNSIDAAIDRRISESRLAGRMPF >Ma07_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9564142:9569647:-1 gene:Ma07_g12710 transcript:Ma07_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFLEGGIASIVAGCSTHPLDLIKVRLQLQGEAISPSVSALRPADALHGATGMTTLRHHHPALTPPRRPGPIAVGAQILRAEGPAGLFSGVSATLLRQTLYSTTRMGLYDMLKKRWSAPGDGGSIPLHRKVAAGLIAGGIGAAVGNPADVAMVRMQADGRLPPDKWQRWDINLGHYNKLSKSLPAKLANTFK >Ma05_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35436615:35447509:-1 gene:Ma05_g23400 transcript:Ma05_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPINPELEEIDGKIQDIFRALQNGFQKLDKIKDPNRQSKQLEELTGKMRECKRLIKEFDRELKDQESRNPPEVNKQLNEKKQTMIKELNSYVALRKTFQSNLGNKRVELFDMGAETSDAVAEENVKMASDMSNQELIDAGRKQMDETDQAIERSKMVVGQTVEVGIQTTANLKGQTEQMGRIVNELDTIHFSIKKASQLVKEIGRQVATDKCIMFFLFLLVCGVIAIIIVKIVHPNNKNIRDIPGLAPPAGRKLLSLEVLGN >Ma04_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7000131:7001608:1 gene:Ma04_g09830 transcript:Ma04_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLYLLDLLAYFIKFVRVCKLFFQDFRIQLSLYLVARMRDQRLRRKLGSPPGRLRHDLQGRLAVLGEPPEDSVRDGGALAYLHSAASSPIIHRDVKFANILLDDSCTAKGTLGYLDPGYLHTSQFTDRSDVYCFGVDRTMDEGSPELLTMLTELAKRYLEMRGDERPTMEEVAMELEGLRRLEKQHPWFKDNDEEEERLPRETASSDNDFRSTFIDDQKCKTCVCVCSQHLCRDVEIMHSDEFDCIQLQKIIINSIFL >Ma06_p30510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31776479:31777255:1 gene:Ma06_g30510 transcript:Ma06_t30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRVKRGRGIEGEECHKDLIPRLPDDIALDCLARVPLRFHPSLRLVCPRWRDLVTAPSFHRHRERIGVAEDLIFLVQAVVPVDKGSGSDEGEEERKGGAAACRPPVYGLSAYNATLGSWHRVVTPEQVPLFAQVAAVGREVVLLGGWDRASLEPTAEVRVLDLATGGWRRGAAMKAARSFFACAAVEGRVYVAGGHDGQKNALRSAESYDPAADAWS >Ma10_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26353689:26359127:1 gene:Ma10_g13610 transcript:Ma10_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEQDSAGVPLPSGRRTFWRSASWSASRTSVLDHSRDCASEEKNTSNGQLQHRPGPLLTPRSQSQKARSCLPPLQPLAIARRSLDEWPKAGSDDIGEWPQASTPGAKADVCKLGDGLRLDLSSLRSQGKRDQIAFFDKECSKVANHIYLGGDYVARNREILRQHGITHVLNCVGFVCPEYFKSDLVYKTLWLQDSPTEDITSILYDVFDYFEDVRQQEGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPPSPRSLHGMYRMAPHSPYDPLHLVPKMLNDPSPSALDSRGAFIIHVLSSIYVWIGNNCESVMEKDAKAAAFQVVRYEQVQGPIVMIEEGKEPLDFWENFLNALSLYDGTKIEKEQVEAARFVVGKRRVEAYDVDFELFYKALSGGIVPPFASSGHECETHLPARESSWSVLRQRFVSVTTGRFFSDTALVRDIDTFSGRMQVLNAETSNSLSYPSPSSLSSPDLSICSNSSLESPSVSLSTCFSPLMLPPASHNMRNSLPQSTGPSQKISDTVDSLKSSVRTIRSPSKGLAKSIAERRGGFSSFKLPTLSKDASLMCQKALNASSACQITHEVLDKLNIDENQNHNVLGCANSVGLESGVKCLAENAISSSENYENVKRIFLWKDDQLFHGSSGLVDGRLEKPNPELPLVYRWPSMEKLATISKEDLDSRDVFLFLIDSANRSGEAGGYVLYLWIGSEFEQADGKTQPKGSRDKQIVSINWHKIGCDFLDSVGLPKDLPVKVVEEDETAKLLELLNSS >Ma10_p13610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26354141:26359127:1 gene:Ma10_g13610 transcript:Ma10_t13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEQDSAGVPLPSGRRTFWRSASWSASRTSVLDHSRDCASEEKNTSNGQLQHRPGPLLTPRSQSQKARSCLPPLQPLAIARRSLDEWPKAGSDDIGEWPQASTPGAKADVCKLGDGLRLDLSSLRSQGKRDQIAFFDKECSKVANHIYLGGDYVARNREILRQHGITHVLNCVGFVCPEYFKSDLVYKTLWLQDSPTEDITSILYDVFDYFEDVRQQEGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPPSPRSLHGMYRMAPHSPYDPLHLVPKMLNDPSPSALDSRGAFIIHVLSSIYVWIGNNCESVMEKDAKAAAFQVVRYEQVQGPIVMIEEGKEPLDFWENFLNALSLYDGTKIEKEQVEAARFVVGKRRVEAYDVDFELFYKALSGGIVPPFASSGHECETHLPARESSWSVLRQRFVSVTTGRFFSDTALVRDIDTFSGRMQVLNAETSNSLSYPSPSSLSSPDLSICSNSSLESPSVSLSTCFSPLMLPPASHNMRNSLPQSTGPSQKISDTVDSLKSSVRTIRSPSKGLAKSIAERRGGFSSFKLPTLSKDASLMCQKALNASSACQITHEVLDKLNIDENQNHNVLGCANSVGLESGVKCLAENAISSSENYENVKRIFLWKDDQLFHGSSGLVDGRLEKPNPELPLVYRWPSMEKLATISKEDLDSRDVFLFLIDSANRSGEAGGYVLYLWIGSEFEQADGKTQPKGSRDKQIVSINWHKIGCDFLDSVGLPKDLPVKVVEEDETAKLLELLNSS >Ma06_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4533301:4534127:-1 gene:Ma06_g06180 transcript:Ma06_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTRAALDQKVAMAKRCAHEGVMAGAKAAVVASVAAAIPTLASVRMLPWARANLNPTAQALIISTVAGAAYFIVADKTVLATARRNSFQSTHQVNTHMPKN >Ma11_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25863260:25867685:1 gene:Ma11_g21880 transcript:Ma11_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MQHHTLSCCGGVRPSLPPPPLSFRWSKIKREGGRRLPRCARIAAQLELKPPPYSLSSLEPHMSRETLEYHWGRHHRAHVASLNNLIAGTDLDGMGLDEIVVVSYNKGNPLPLFVHAAQVWNHDFFWQSIKPGGGGRPSGRLMELIERDFGSFDRMLEEFKRAALTQFGSGWAWLVYKANRLDVGNAVNPCPSEKGNKLVVLKSPNAVNPIVWDYSPLLAIDVWEHAYYLDYENRRADYVSIFLEKLVSWEVVSDRLDVAIERAAERAREDETRRQEDDAAVTHSEAVEMYLDSDTDDSEAE >Ma06_p28070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29843278:29850870:1 gene:Ma06_g28070 transcript:Ma06_t28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRRGRAQANPVVQADRPNTRRRRAARNQQPVDENPPVTRSAERREEIRLAEGGGEVGGLVGEENLEGVGERRMDECDSGARSADKLPGGEEEGSTAPLPEKVQVGNSPVYRIERKLGKGGFGQVYVGRRISATNANDRITGSGAVEVALKFEHRSSKGCNYGPPYEWQVYNTLGGIHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTISVEMVACIAIEAVSILEKMHSKGYVHGDVKPENFLLGPPGTSDEKKLFLVDLGLATKWKDSATGLHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPDTLCCFSPQPFKQFIEYVVNLKFDEEPNYAKCISFFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLMMEEDGDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADIRLAQHIEKGNEDGLFISCVASCSNLWALIMDAGTNFTSQVYELSPNFLHKEWIMDQWDKNYYITALAGANNVSSLVVMSKGTQYVQQSYKVSESFPFKWINKKWREGFYVTAMATAGTRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDGGYRITATAATMDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKEKWAKNLYIASVCYGRTVS >Ma05_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15336094:15340363:-1 gene:Ma05_g16140 transcript:Ma05_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGSVNLMTWHCTIPGKQGTDWEGGYFPLTVHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPVEYKRRVRQQAKQYPPLL >Ma07_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31187878:31191262:1 gene:Ma07_g23600 transcript:Ma07_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTKDFRYKAFDELNGTEVAWNQAKLCSMLRSPEALQRMYSEVHLLSSLHHESIIRFHASWIDVENRTFNFITEMFASGTLREYRRRYPHVNIGAIKSWARQILHGLAYLHRHDPPVIHRDIKCDNILINGHLGHVKISDFGLAAILRGSQHTVIGTPEFMAPEIYEEEYNELVDIYSFGMCVLEMLTSEYPYSECYNPAQIYKKVTSGRLPDAFYRIQDPEAKRFVGRCLEDVSKRPSAEELLLDPFLALGDHTVPADAVTGIRSQDQDGLHSMEFHDANSTVWRTDMTITGKMNPEDDTIFLRVQIADAEGHVRNIHFPFDIVCDTPIDVANEMVKELEITDREPSEIAEMIAQETTALVPDWKATSAPNSVFRVYNYEDDAEDGCNHPFYNLSSSASSQGSVFGTGHRLGMLDQQQHPHQEEWFQGDPHSDEDAVSYTQSGRYSAMNYYSSRDEQESKVSFHGSHKSTKLGEDAALADEFCKQCNILPERSRKPVGGQRLTRNRSMVDMRSQLLHRNLVEQLKKRLFKTVGAVENIGFQTPCDGPHKPSSSSPADRRKQRATSPCMN >Ma07_p23600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31187878:31191262:1 gene:Ma07_g23600 transcript:Ma07_t23600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTKDFRYKAFDELNGTEVAWNQAKLCSMLRSPEALQRMYSEVHLLSSLHHESIIRFHASWIDVENRTFNFITEMFASGTLREYRRRYPHVNIGAIKSWARQILHGLAYLHRHDPPVIHRDIKCDNILINGHLGHVKISDFGLAAILRGSQHTVIGTPEFMAPEIYEEEYNELVDIYSFGMCVLEMLTSEYPYSECYNPAQIYKKVTSGRLPDAFYRIQDPEAKRFVVTGIRSQDQDGLHSMEFHDANSTVWRTDMTITGKMNPEDDTIFLRVQIADAEGHVRNIHFPFDIVCDTPIDVANEMVKELEITDREPSEIAEMIAQETTALVPDWKATSAPNSVFRVYNYEDDAEDGCNHPFYNLSSSASSQGSVFGTGHRLGMLDQQQHPHQEEWFQGDPHSDEDAVSYTQSGRYSAMNYYSSRDEQESKVSFHGSHKSTKLGEDAALADEFCKQCNILPERSRKPVGGQRLTRNRSMVDMRSQLLHRNLVEQLKKRLFKTVGAVENIGFQTPCDGPHKPSSSSPADRRKQRATSPCMN >Ma08_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10305718:10305877:1 gene:Ma08_g12990 transcript:Ma08_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEASGVGEWPSKTML >Ma11_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19689347:19690405:1 gene:Ma11_g14400 transcript:Ma11_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFTPPRNHIRRRRPDHLLSASDLWPESFQDKAAPRKRERKNLYRGIRRRPWGKWAAEIRDPAKGVRVWLGTFTTAEEAARAYDRAARRIRGRKARVNFPNEVEPKQVSVALVEPKPEGDGDGEVRRLSEELMAYESSMNFFGIPYMEGGAAATAPVATDEVVTGNIGIEACDPPVSSGMEMLWSFDDILPN >Ma04_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9205137:9206316:1 gene:Ma04_g12210 transcript:Ma04_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFTVQMPFAAPSSSSTSSVASDSAAPVEPEHMFDKVVTPSDVGKLNRLVIPKQYAERYFPLDPLAADKGILLCFEDRTGKQWQFRYSYWGSSQSYVMTKGWSRFVKDKQLESGDTVSFGRASAGASAGHGRLFIGWRRRKQTQCRPPLPLPQIFSAARSSAPQWGGLFPFAVPPVYNMASNHGQYGGGRPYSPPQVRVQPGGGVAGASLLLDSAAVIRGTAKTKRVRLFGVDLECSEREERGDTRRDLQVLLLPKHSAMEEWSC >Ma04_p02390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2062543:2068233:1 gene:Ma04_g02390 transcript:Ma04_t02390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNIRPYWCLCRKPFLFCLSFATVLVLPYLFFWGYAGKPVVNHVLLKEKIFSVIENQGSPSREHEDEASSLVESPFLDENGPADEKLERCHPNNVLLKVFMYDLPPEFHFGLLGWDGGGKSVWPDIRTKVPNHPGGLNLQHSIEYWLTLDLLSSRFPDRTGPCSVVRVEDSREADVVFVPFFSSLSYNRHSKIKAHQRVSTNKLLQQKLVRFLTAQKEWKRSGGRDHIIMAHHPNSMLDARTKLWPCMFILADFGRYAPHVANVEKDIIAPYRHLIKTFVNDSSGFDDRPTLLYFQGAIYRKDGGSIRQELFYLLRNEKDVHFSFGSVGANGINDASRGMHSAKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSKFSIFVRTSDAIKKGFLIKLIRGVSREAWTQMWQRLKEVEGYFEFQYPSKEDDAVQMIWQAVARKVPAIRLKVHKSRRFSQFNIRK >Ma04_p02390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2062543:2068233:1 gene:Ma04_g02390 transcript:Ma04_t02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNIRPYWCLCRKPFLFCLSFATVLVLPYLFFWGYAGKPVVNHVLLKEKIFSVIENQGSPSREHEDEASSLVESPFLDENGPADEKLERCHPNNVLLKVFMYDLPPEFHFGLLGWDGGGKSVWPDIRTKVPNHPGGLNLQHSIEYWLTLDLLSSRFPDRTGPCSVVRVEDSREADVVFVPFFSSLSYNRHSKIKAHQRVSTNKLLQQKLVRFLTAQKEWKRSGGRDHIIMAHHPNSMLDARTKLWPCMFILADFGRYAPHVANVEKDIIAPYRHLIKTFVNDSSGFDDRPTLLYFQGAIYRKDGGSIRQELFYLLRNEKDVHFSFGSVGANGINDASRGMHSAKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSKFSIFVRTSDAIKKGFLIKLIRGVSREAWTQMWQRLKEVEGYFEFQYPSKEDDAVQMIWQAVARKVPAIRLKVHKSRRFSQFNIRK >Ma04_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2062543:2068233:1 gene:Ma04_g02390 transcript:Ma04_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNIRPYWCLCRKPFLFCLSFATVLVLPYLFFWGYAGKPVVNHVLLKEKIFSVIENQGSPSREHEDEASSLVESPFLDENGPADEKLERCHPNNVLLKVFMYDLPPEFHFGLLGWDGGGKSVWPDIRTKVPNHPGGLNLQHSIEYWLTLDLLSSRFPDRTGPCSVVRVEDSREADVVFVPFFSSLSYNRHSKIKAHQRVSTNKLLQQKLVRFLTAQKEWKRSGGRDHIIMAHHPNSMLDARTKLWPCMFILADFGRYAPHVANVEKDIIAPYRHLIKTFVNDSSGFDDRPTLLYFQGAIYRKDGGSIRQELFYLLRNEKDVHFSFGSVGANGINDASRGMHSAKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSKFSIFVRTSDAIKKGFLIKLIRGVSREAWTQMWQRLKEVEGYFEFQYPSKEDDAVQMIWQAVARKVPAIRLKVHKSRRFSQFNIRK >Ma04_p02390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2062543:2068233:1 gene:Ma04_g02390 transcript:Ma04_t02390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNIRPYWCLCRKPFLFCLSFATVLVLPYLFFWGYAGKPVVNHVLLKEKIFSVIENQGSPSREHEDEASSLVESPFLDENGPADEKLERCHPNNVLLKVFMYDLPPEFHFGLLGWDGGGKSVWPDIRTKVPNHPGGLNLQHSIEYWLTLDLLSSRFPDRTGPCSVVRVEDSREADVVFVPFFSSLSYNRHSKIKAHQRVSTNKLLQQKLVRFLTAQKEWKRSGGRDHIIMAHHPNSMLDARTKLWPCMFILADFGRYAPHVANVEKDIIAPYRHLIKTFVNDSSGFDDRPTLLYFQGAIYRKDGGSIRQELFYLLRNEKDVHFSFGSVGANGINDASRGMHSAKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSKFSIFVRTSDAIKKGFLIKLIRGVSREAWTQMWQRLKEVEGYFEFQYPSKEDDAVQMIWQAVARKVPAIRLKVHKSRRFSQFNIRK >Ma06_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2604323:2605964:1 gene:Ma06_g03570 transcript:Ma06_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTNVKKGPWSPEEDAKLKDYIEEHGTGGNWIALPNKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDQTICRLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQREPNRSRSLHLNHVPGDAADRLKHEAPSQTLSASALERMQLHMQLQGLHNPFSFYNHPELWPKYYHPLGDKIFQSQTTDATATTPVQQAPDVSKLMSTNIQETDCLPLGFRSPSSGGTLSMETSSSNLDSATAGVRADLHDLLYCKNSSTVGHEEHQLVDLDCYKEIYGETESTNWWPTEGFMDQTATVSWDSASALHSEFMWQENGLRFGL >Ma05_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5973452:5975189:-1 gene:Ma05_g08040 transcript:Ma05_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLFLVVPNLAQSQILFQGFNWESWRQQGGWYNFLKDKVSDIANAGVTHVWLPPPSHSVGVQGYMPGRLYDLGASKYGNQDELKALIGAFHDKGVKCVADIVINHRCADKQDGRGIWCIFEGGTDDARLDWGPHMICRDDIQYSDGTGNLDTGEGFAAAPDIDHLNTQVQRELTDWLNWLKIDIGFDGWRLDFAKGYSSSIAKIYVEQTQPNFVVAEIWSSLAYGNDGKPAYDQNGNRQGLVNWVQQVGGPATAFDFTTKGILQVAVEGELWRMRDPQGKAPGMMGWWPEKAVTFVDNHDTGSTQRSWPFPSDKVMQGYAYILTHPGVPSIFYDHMFDWGLKEKITRLAETRTRNGIHSGSALNILASDADLYMAMIDGKILTKLGSRYDVGNLVPSNFHVVASGNDYCVWEKR >Ma06_p29830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31201948:31205380:-1 gene:Ma06_g29830 transcript:Ma06_t29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHGRMPSSYGRRPIQAPGMMRHGPLPGPGPADHHPREALLPPEHLEKKVAIQEAEMERIARENQRFAESNVAMRQELVATQKEMQRVQAYLGSIHTESDIQIRGLLEKIGKLEDDIHSGDVVKKELQQAHLEAQNLITVRQELSAEIQVVTEELQKSTAGFKKLPEMHSELDGLRQEHQTLRATFEYEKGLNIEQVERMRAMEKNLMSMAKEVERLRAEVTAPNQYGGMHQTAGQGTGYPNHSFSMGGGGYMAGSHVPIVNYADAGFGYANPAHYTEAHGRPQAHMTSGASAEGIDSYGGMSTASGTNIYGGSQAR >Ma11_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26950520:26955655:1 gene:Ma11_g23680 transcript:Ma11_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRRSPLAAAIAVTLAVMSIASAEVYFEERFGDGWENRWVKSDWKKDENMVGDWNHTAGKWTGDPEDKGIQTTEDYRFYAISAEFPEFSNKDKTLVLQFSVKHEQKLDCGGGYIKLLSGEIDQKKFGGETPYSIMFGPDICGFSTKKVHAIFTRDGKNHLIKKEVTCETDQLTHVYTFVVRPDATYTILIDNNEKQTGSLYNDWDILPPKQIKDPDAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPHATKPEDWDEEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYKGKWKAPMIDNPDFKDDPYIYAYPNLRYVGIELWQVKAGSLFDNILVCDDPEYAKKIAEETWGKLKDAEKAAFDEAEKKKEEEEAKDDESDLDNEDEDDAEDADSKSDSDAEEDNETPHDEL >Ma03_p13930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10971375:10975858:-1 gene:Ma03_g13930 transcript:Ma03_t13930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDQKKIGLGLTGFGIFFSFLGIIFFFDKALLAMGNILFLSGLMLAIGLKSTLQFFAKPKNYKGTISFGAGFFLVLVGWPVIGMILESYGFIVLFSGFWSILAVFLQKIPILGWIFQQPFVTSFFDRHGGKRVPV >Ma03_p13930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10971375:10975699:-1 gene:Ma03_g13930 transcript:Ma03_t13930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDQKKIGLGLTGFGIFFSFLGIIFFFDKALLAMGNILFLSGLMLAIGLKSTLQFFAKPKNYKGTISFGAGFFLVLVGWPVIGMILESYGFIVLFSGFWSILAVFLQKIPILGWIFQQPFVTSFFDRHGGKRVPV >Ma03_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10971375:10975462:-1 gene:Ma03_g13930 transcript:Ma03_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDQKKIGLGLTGFGIFFSFLGIIFFFDKALLAMGNILFLSGLMLAIGLKSTLQFFAKPKNYKGTISFGAGFFLVLVGWPVIGMILESYGFIVLFSGFWSILAVFLQKIPILGWIFQQPFVTSFFDRHGGKRVPV >Ma06_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8980946:8990511:1 gene:Ma06_g13090 transcript:Ma06_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILLVYEPGAADLALRAQAMAFCDRAKADPSALLRLCLDRLNRSPLVPVHFWCLQALHDAILLCYPSIPPADLPLLRSALLSLASDRPLPPAYPHFLRNKLAQALAALIRLEYPSVWPDPFLRTLPCVTSADPASVDMFARLLVALDDDLLSHDYPRSPEESADATRVKDAMRLQCVPQIARHWFDAASFYRSSNPSLATAALDTMRRYVTWIDIALIANEAFVPLLFDLILAPSSTEQLRAAAGGCVLAIAQKRMDPRQKVALLRTLPINRVFSDPELVLKVPDLVTGYAAEALECYKKLGSAEIDGSSPLELLEEVLPSVFYVMQESEEVELGNVVDFLSDYVSTMKSPSQKQAMYLGRILQVIREQICYDPAYRSNLDIPDKIGREEEDQMAEHRKGLLMLFCSVCRVAPDVTQLFIQTLLISALSSSEASVEEVEATLTLFYRLGETVNEEAARTGSGSLHELVDMLLSSRFPCHSHRMVALIYLETVTRFMKFVQDNPQYVPHVLSVFLDERGIHHPNLNVSRRASYLFMRAVKLLKAKFVPYLDMILQGLQDIVAQFTSSDWSSKDLKCPGSEDGSQTFEAIGLLIGMEDVPLEKQSEYLAAFLNPLCEKLSGLLLDAKAQGLEESSAKVLTIQQVIMALHALSKGFNERLVKNSRPAIGIMFKQTLDVVLEILISFPNIKMLRNKITSFIHRMVDILGASIFPCLPVALKQLLVESEPKDMVDFLVLVNQLIYKFGTSIEAILVEIFPAIASRLIVILPKDAFPSGPGCNTEEVRELQELQKTLYTFVHVMANHDLSSVFIAPNCMGYLDAMMQLLLLTACSHKDILLRKLCVQIFVKLIRDWCTNCNGDDKVPGFRSFVIEKFATNCCLYSVLDKTFEFRDANTLVLFGEIVLAQKVMYEKLGNDFIIHFVSQGLQAAHCPHEIAEQYYQKLQQANDAKALRSFYQLLIENLRQQQNGSLVFR >Ma06_p13090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8980947:8990506:1 gene:Ma06_g13090 transcript:Ma06_t13090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILLVYEPGAADLALRAQAMAFCDRAKADPSALLRLCLDRLNRSPLVPVHFWCLQALHDAILLCYPSIPPADLPLLRSALLSLASDRPLPPAYPHFLRNKLAQALAALIRLEYPSVWPDPFLRTLPCVTSADPASVDMFARLLVALDDDLLSHDYPRSPEESADATRVKDAMRLQCVPQIARHWFDAASFYRSSNPSLATAALDTMRRYVTWIDIALIANEAFVPLLFDLILAPSSTEQLRAAAGGCVLAIAQKRMDPRQKVALLRTLPINRVFSDPELVLKVPDLVTGYAAEALECYKKLGSAEIDGSSPLELLEEVLPSVFYVMQESEEVELGNVVDFLSDYVSTMKSPSQKQAMYLGRILQVIREQICYDPAYRSNLDIPDKIGREEEDQMAEHRKGLLMLFCSVCRVAPDVTQLFIQTLLISALSSSEASVEEVEATLTLFYRLGETVNEEAARTGSGSLHELVDMLLSSRFPCHSHRMVALIYLETVTRFMKFVQDNPQYVPHVLSVFLDERGIHHPNLNVSRRASYLFMRAVKLLKAKFVPYLDMILQGLQDIVAQFTSSDWSSKDLKCPGSEDGSQTFEAIGLLIGMEDVPLEKQSEYLAAFLNPLCEKLSGLLLDAKAQGLEESSAKVLTIQQVIMALHALSKGFNERLVKNSRPAIGIMFKQTLDVVLEILISFPNIKMLRNKITSFIHRMVDILGASIFPCLPVALKQLLVESEPKDMVDFLVLVNQLIYKFGTSIEAILVEIFPAIASRLIVILPKDAFPSGPGCNTEEVRELQELQKTLYTFVHVMANHDLSSVFIAPNCMGYLDAMMQLLLLTACSHKDILLRKLCVQIFVKLIRDWCTNCNGDDKVPGFRSFVIEKFATNCCLYSVLDKTFEFRDANTLVLFGEIVLAQKVMYEKLGNDFIIHFVSQGLQAAHCPHEIAEQYYQKLQANDAKALRSFYQLLIENLRQQQNGSLVFR >Ma04_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2978173:2979026:1 gene:Ma04_g03860 transcript:Ma04_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGDLFHTMSALMETCKKQVSHVARRLRSHPSLSKLTAVGTRKDGGRGGAPFLHRGASLIPFLSNKRIADDKKRHVGGEGEEEAGGVWQKTILMGEKCQPLDFSGAIHYDSSGRQLSSPRTPLRTPMTSFAFHEEEDEEEVSLP >Ma03_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26922668:26922934:-1 gene:Ma03_g22060 transcript:Ma03_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTAATGFCDGRGDAAAVDGQVVLDALLLKAVRCSDSLRRAGWSSEEVSDLLGFDLRPEQRRRPPLKLPPEIAVKIGKLAEAVSRS >Ma03_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15037752:15041768:-1 gene:Ma03_g15230 transcript:Ma03_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAGILMEETPRMQWEKPSGGGGDDERNDDVREGRGRGVGEAGAEGEGVGEGILCVKVMTDEQMEVLRRQIAVYSTICEKLVEMHKAITAHHDSLAALGMRVGGIYNDPLMASGNNKITGRQRWTPTTVQLQILEAMFNQDNGMPSKQKIKEITIELSQHGQISESNVTNWFQNRKARSKRKQMAALPSNTESETLNEKKPKPNQNHHDDLPISSDNNPIRDMQINTEIHSLEWEPNQAHGIHLSNDSSKASSGSGHTTFYDNILSTPRYDHLMEKFDVRYFSPYHAEESYDIMG >Ma11_p17110.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22499391:22502118:1 gene:Ma11_g17110 transcript:Ma11_t17110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDPKQYLEDTCKPKCVRPLRAYQACVKRIKGDETGHKHCTGQYFDYWKCIDECVALKLFDKLK >Ma11_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22499388:22502118:1 gene:Ma11_g17110 transcript:Ma11_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDPKQYLEDTCKPKCVRPLRAYQACVKRIKGDETGHKHCTGQYFDYWKCIDECVALKLFDKLK >Ma11_p17110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22499395:22502118:1 gene:Ma11_g17110 transcript:Ma11_t17110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHRIHQFLQTKMADEEPVDPKQYLEDTCKPKCVRPLRAYQACVKRIKGDETGHKHCTGQYFDYWKCIDECVALKLFDKLK >Ma11_p17110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22499395:22502118:1 gene:Ma11_g17110 transcript:Ma11_t17110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDPKQYLEDTCKPKCVRPLRAYQACVKRIKGDETGHKHCTGQYFDYWKCIDECVALKLFDKLK >Ma05_p29160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39932937:39935922:-1 gene:Ma05_g29160 transcript:Ma05_t29160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPEIGVVSGAAQIAYAAPTYQPTAVISGAPAVAGVIPSPMQLTSAYSINPASIVSQHQLAYQQVQQLHHQQLQQLQAFWANQMLEIEQITDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACELFILELTLRSWIHTEENKRRTLQKNDIGGAITRTDIFDFLVDIVPRDELKDEGLGITRAAVGAPGGDAIPYYYVPAAQVPGPGMVMGKPGDQAAAGTVYAVEQPHSVAYLWQPPHAQQHEQVPDGE >Ma05_p29160.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39932937:39935922:-1 gene:Ma05_g29160 transcript:Ma05_t29160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPEIGVVSGAAQIAYAAPTYQPTAVISGAPAVAGVIPSPMQLTSAYSINPASIVSQHQLAYQQVQQLHHQQLQQLQAFWANQMLEIEQITDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACELFILELTLRSWIHTEENKRRTLQKNDIGGAITRTDIFDFLVDIVPRDELKDEGLGITRAAVGAPGGDAIPYYYVPAAQVPGPGMVMGKPGDQAAAGTVYAVEQPHSVAYLWQPPHAQQHEQVPDGE >Ma05_p29160.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39932937:39935922:-1 gene:Ma05_g29160 transcript:Ma05_t29160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPEIGVVSGAAQIAYAAPTYQPTAVISGAPAVAGVIPSPMQLTSAYSINPASIVSQHQLAYQQVQQLHHQQLQQLQAFWANQMLEIEQITDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACELFILELTLRSWIHTEENKRRTLQKNDIGGAITRTDIFDFLVDIVPRDELKDEGLGITRAAVGAPGGDAIPYYYVPAAQVPGPGMVMGKPGDQAAAGTVYAVEQPHSVAYLWQPPHAQQHEQVPDGE >Ma05_p29160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39932937:39935922:-1 gene:Ma05_g29160 transcript:Ma05_t29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPEIGVVSGAAQIAYAAPTYQPTAVISGAPAVAGVIPSPMQLTSAYSINPASIVSQHQLAYQQVQQLHHQQLQQLQAFWANQMLEIEQITDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACELFILELTLRSWIHTEENKRRTLQKNDIGGAITRTDIFDFLVDIVPRDELKDEGLGITRAAVGAPGGDAIPYYYVPAAQVPGPGMVMGKPGDQAAAGTVYAVEQPHSVAYLWQPPHAQQHEQVPDGE >Ma05_p29160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39932937:39935922:-1 gene:Ma05_g29160 transcript:Ma05_t29160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPEIGVVSGAAQIAYAAPTYQPTAVISGAPAVAGVIPSPMQLTSAYSINPASIVSQHQLAYQQVQQLHHQQLQQLQAFWANQMLEIEQITDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACELFILELTLRSWIHTEENKRRTLQKNDIGGAITRTDIFDFLVDIVPRDELKDEGLGITRAAVGAPGGDAIPYYYVPAAQVPGPGMVMGKPGDQAAAGTVYAVEQPHSVAYLWQPPHAQQHEQVPDGE >Ma02_p20910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26713219:26715733:-1 gene:Ma02_g20910 transcript:Ma02_t20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCWRVKDSWAAIIPARRLWLRHACSKLFVVGLSYDTNEIALRDAFAEHGEVVEVLLASQKDMALSNFLQKKRQPLHCKRWMVSFWMEDIFVYTTQTRDETCDSLTILLD >Ma02_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26713144:26715741:-1 gene:Ma02_g20910 transcript:Ma02_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCWRVKDSWAAIIPARRLWLRHACSKLFVVGLSYDTNEIALRDAFAEHGEVVEVKVICDRSTTSKSKGYGFVQFSSEKEATIALQKMDGEFLDGRYIRVHYANKG >Ma04_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24058382:24060407:-1 gene:Ma04_g21520 transcript:Ma04_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFFSPFSFYYSFLLLSVFALFCFDTSEPHPVVDPHWHPATATWYGSPNGDGSDGGACGYGSLVDVRPLRARVGAVSPVLFKGGEGCGACYKVRCLDPVVCARRPVTVIVTDECPGGYCAFGRTHFDLSGAAFGRMAVPGKASRLRDRGEMPVVFRRTPCKYPGKSIAFHVNEGSTNFWLSLLVEFEDDDGDIGSMHIKQANSVEWLEMKHIWGANWCIIGGPLHGPFSVKLATLTTRKTFSARDVIPRNWSPKATYTSRLNLR >Ma03_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10665935:10671014:1 gene:Ma03_g13550 transcript:Ma03_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKQQQQQQQQQTARADRHHRHCGEEEDEKYEEGEEEEEQEEAESEGDDCFFESFDRVASRVSFCLDLPSDSDDDEEDDVRISFASAVGPPCDLRCVTFSREEFLAQHRDEDEGHHQGRGPGGYDYDVWMAEPISIKERRRRLLQGMGFASKRDLAASLRIRSISRKAVDAPAVNGDQASESLPPLPPLPPLPAPQSPVSPEAIEVAASPDTSTPPPAITRCRSDTELATRGSPPLAEPTLTRAASAPPTLWDNLARETPEAAGDDGVAGADDAEALVLASGEVCRIENPDTGNEFVVSECDKDGKGRLNDIPTGLQITMEDFERFLGYSPIVKELMRRVKLGGGQQRSSDKAVQAIEGPKNSKPGYRKKGGWFKNIKFVASSVTGLITEKEKGDAGSKVTGKSPGDNSSELMKVRQHGKSYRELTGLYMSQEIDAHQGSIWSIKFSWDGRFLASAGEDRVVHVWQVQECDIHSTSLRRQESRTLPPSKPDGSPDGSLPSTQPLKKTKKTKSKKRSLPDYINMPEVIFSLSEKPVCSFEGHSDDVLDLSWSKSQHLLSSSMDKTVRLWDMETKSCLRLFAHNDYVTCIQFNPIDDRYFISGSLDAKVRIWSVPDRQVVDWTDLHEMVTAACYTPDGQVQPNDICVHIGSHKGSFRLYKTTDCKLSQESQIDIQNKKKKTNAKKITGFQFAPGNPSEVLITSADSQVRVFDDLKMVHKFRGFRNTSSQMSASYTSDGRYVICASEDSHVYVWKREANAGKSKGLSTTRSHEHFYCKDVSVAIPWPNARSNCSPLSPPPMSGRFSQQEPLGSTDSQRSTATLDDIFPSGSNSSPPLPKKSDSELTQSQAEELSNISLSGAGIGSESFASASSSMRASDPGSSSLSSWGWYSGGSTRSGGGGDQPNAWGLVVVTAGLGGDIRIYQNFGLPLRLSRQTNLF >Ma03_p13550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10665935:10671014:1 gene:Ma03_g13550 transcript:Ma03_t13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKQQQQQQQQQTARADRHHRHCGEEEDEKYEEGEEEEEQEEAESEGDDCFFESFDRVASRVSFCLDLPSDSDDDEEDDVRISFASAVGPPCDLRCVTFSREEFLAQHRDEDEGHHQGRGPGGYDYDVWMAEPISIKERRRRLLQGMGFASKRDLAASLRIRSISRKAVDAPAVNGDQASESLPPLPPLPPLPAPQSPVSPEAIEVAASPDTSTPPPAITRCRSDTELATRGSPPLAEPTLTRAASAPPTLWDNLARETPEAAGDDGVAGADDAEALVLASGEVCRIENPDTGNEFVVSECDKDGKGRLNDIPTGLQITMEDFERFLGYSPIVKELMRRVKLGGGQQRSSDKAVQAIEGPKNSKPGYRKKGGWFKNIKFVASSVTGLITEKEKGDAGSKVTGKSPGDNSSELMKVRQHGKSYRELTGLYMSQEIDAHQGSIWSIKFSWDGRFLASAGEDRVVHVWQVQECDIHSTSLRRQESRTLPPSKPDGSPDGSLPSTQPLKKTKKTKSKKRSLPDYINMPEVIFSLSEKPVCSFEGHSDDVLDLSWSKSQHLLSSSMDKTVRLWDMETKSCLRLFAHNDYVTCIQFNPIDDRYFISGSLDAKVRIWSVPDRQVVDWTDLHEMVTAACYTPDGQGALVGSHKGSFRLYKTTDCKLSQESQIDIQNKKKKTNAKKITGFQFAPGNPSEVLITSADSQVRVFDDLKMVHKFRGFRNTSSQMSASYTSDGRYVICASEDSHVYVWKREANAGKSKGLSTTRSHEHFYCKDVSVAIPWPNARSNCSPLSPPPMSGRFSQQEPLGSTDSQRSTATLDDIFPSGSNSSPPLPKKSDSELTQSQAEELSNISLSGAGIGSESFASASSSMRASDPGSSSLSSWGWYSGGSTRSGGGGDQPNAWGLVVVTAGLGGDIRIYQNFGLPLRLSRQTNLF >Ma10_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1781038:1783746:-1 gene:Ma10_g00550 transcript:Ma10_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLRFLSSLSLCLLALLLHRATVTSGCFSMEREALLDFKAGIHDTYNRLSSWVGQDCCAWEGVICGATTGHVVMLDLRNTNIYDWKLRGERMNSSLLALSHLERLDLSFNDFSGIRIPEFIGSFKKLRYLNLSSTNFMGGIPARLGNLSSLHVLDLSDALHFTSHVDNLHWLSHLTSLKHLDLSGLNLTDVPDWFSSVNMLPSLQVLSMSSVGLDTIPASVVHINFTSSLTVLDLSYNHFDSTLPKWLGNITSLTHLDLFYSGFYGVIPDAIGDLGSLTFLDLGDNQLEGTVPRSMVDLRRLKELHMQGNRLTGNLSDLLEQMTNLIILDLQSNLFNGSMPSSVGKFSNLTELNLAGNSVGGVLSEVHFENLTRLRLLDLSGNPITISIGQSWVPPFQLRYVDLTKCQLGPQFPEWLQFQTQIEKLYLADCKIAGTMPAWLWNISSSTITALDLSNNQIGGKLPSSLKFTKLVILYLDSNRFEGPLPTMLPSTLDTLFLSNNSFTGQLPIWPDVQSVALSDNMLDGGLSSSICQWTGGLEYLDLSNNKLLGEIPYCLGKSLQNLYILNLGKNHFSGEIPHTIGFLSGLWHLQLKNNSFSGELPLSLKNCIGLRFLDLAQNNFVGSITPWIGDNLQQLVVLRLRSNMFSGVIPWQLARFEKLQILDLANNNFSGSIPHNIGNLSTMRSTSQYNDFCYDELDVFTKGQDLYYLKCSIKLMKSMDLSNNSLTGEIPKGIGDLAGLKNLNLSRNYLQGKIPWEIGGMKSLESLDLSINDLSGSIPESLSVLYSLSYLNLSYNNLSGRIPTGRQLQTLNDPSIYMGNADLCGPPTSKSCFDNKTTQIDIQEHEKEISDWLWFYISLVLGFVMGFWIFFGILFLKDAWRHAYFHFIDDVYDWVWVQ >Ma09_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29725982:29730058:1 gene:Ma09_g20650 transcript:Ma09_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQSMAKQPPSPSLSLQEWETLLDDFGSGSPARCARWLPLPLLDLALNAFLRRDFASHLKPLLLLFIDDLLSSPTSAPSPSDSLPPLIDALRSLLLTSSPSASDPSPAPAAALLRDQFMATAVSAAVSSLDAPLDASSAALLEPLVEALLTVTNRPNHGPDRQSRAAACECLRELESAFPCLLADAAGHLWALAQAERTHVAQSYLLLLATVVRDIVLRPGLLSSPTSILSTSVPLVPFSAPSCFLSHPSADRDREPSEVNLREIKRVLGFLWERPQALTPAATMELVSILTSIAGALEQHVPTGGALLKVQFSGLIYSYHPILCHIVLMLYSGFPDAFAGEDERNIARRLALMAREAHQPLVFRLLALHWLLGSPRLGKGKDSLAPLAPRFYPGVFDPLALKAKKLDALACIAASLDTLEMRRKGEEDGRRALIVKLFQDGLVCISAYKWLPPWSTETSVAFRTLHKFLVGVIPHRDDCSEEPQLVFLMDSIIFSTLQSMLVNLALEHHGLVLVIGIFIDQLLKCKGHQSVGERLLQKLDEHLLPKLEMGYRLTSYFPIFERIAENDTIPPHGLLELLMRHIVSITVKHGPNSGLSLWSQGTKVLGICRMMLKHHHSSRIFLPLSRLLAFICQCYPDLEVRDNARIYLRMLVCIPGKKLRQVLNLGEEPSGVSPSPHPGSLFQVPSPRHSENIKNSGGVSSYIHLERVVPLIVKQSWSLVIPKSNMEDNMEASNVVGISDISISPSAESDKDGEMNFEQISYIKEPLRVMDANVAEILGVLRKHFSCIPDYRHMSAIKIRIPCTLRFESEPFSHVWGDGSSTFDSEEGEALPAMYATTITFSSTAKYGKIPPCRVPFLLGEPLRNRYDIIPVGNSFDEGSSHRASVNIELEPREPMPGLIDVTIKANAETGEVISGRLQSIAIGIEDMFLKASIPPDIEEDEVPGYYYDLFHALWEACGNSASTGRETFPLSGGKGAAAIHGTRSVKLLEVFLDSLIKNVEKHLASYVVSVIGDPLVNIVRNNGIIRDVVWENDTEAFVAHDVNALVPYSENVPLQLPYFEGDAENLSPVSKRTIGTFIILIFLPPRFHLLFQMEVGHSSTLVRVRTDHWPCLAYIDEYLESLFYT >Ma10_p28440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35318418:35321758:-1 gene:Ma10_g28440 transcript:Ma10_t28440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGNKVEVWSRREFASGSWWSAEIISGNGHNYSVRYDGYPTDSSVAVDRVPRKAIRPCPPPVEGLKDVKSGDVVEVFDNNSWKLGEVLMVVDKKYCSVRLIGSSREFRTHNSHIRRHLSWQDEQWVAIHKDSGKQHGGILNSSSRGEKFGYRKPQSCVEMVNSSGKSRFPIGSHDFPENTRWVLPRGMKKRPLDLSIPSEMCNDGRRKTRAVEKDGKCHRMITKRPIQCFEKGQNWRLPNFDSIGL >Ma10_p28440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35319290:35321758:-1 gene:Ma10_g28440 transcript:Ma10_t28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGNKVEVWSRREFASGSWWSAEIISGNGHNYSVRYDGYPTDSSVAVDRVPRKAIRPCPPPVEGLKDVKSGDVVEVFDNNSWKLGEVLMVVDKKYCSVRLIGSSREFRTHNSHIRRHLSWQDEQWVAIHKDSGKQHGGILNSSSRGEKFGYRKPQSCVEMVNSSGKSRFPIGSHDFPENTRWVLPRGMKKRPLDLSIPSEMCNDGRRKTRAVEKDGKCHRMITKRPIQCFEKVDAVASTGRLLGEKYIHSYSNNRTIGSYRGSGLPSSDKHNCEPSDAESTSSSVGSCSPSSSPCRSLQHHITYPSQELYSQYDHAETSCDLDREPSISWKDELQAEIHELELNAYRSTLIALYASGPISWEQEALMTNLRLMLNISNDEHLVELRNLIHFEMATRNS >Ma09_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8218342:8220391:-1 gene:Ma09_g12180 transcript:Ma09_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGTKWRKEVITREYTINLHKRLHGCTFKKKAPKAIKEIKKFAQKAMGTTDVRVDVKLNKHIWSRGIRSVPRRVRVRIARKRNDEEDAKEELFSLVTVAEVSQEGFKGLGTKVVDEAD >Ma06_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:119895:123778:-1 gene:Ma06_g00170 transcript:Ma06_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAEQVEHYKEMVEYMEKVVGAVGEGEELTVEDRNLLSITYKNVIVALHVSWRIVSFIKQKEGRRNHNHVVAIRDYRARIESKIDSIYGGILRLLDAHLILVAAAIDSKVFYLKMKGDYYRYLAEFKIGSERNLRPT >Ma09_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6157572:6161308:1 gene:Ma09_g09310 transcript:Ma09_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLEEGRNLSADCPSILLPGLSIGNVGQLAVDLLISSTGAKRVGFLDEPSLLPCVGNDAYGPEPEGVLALPLEAYESPPHALTLIQQRSPVIKGMMVEFAKNLADFVSSIGKKHVIILSSLDSGRMKKIVASSDMQVYYVSSTNNDGNDSDCERLGFKRLEEYDPTQRRWKYLNELAEGKTDHEDEPSFEDELVHDDYYPGLPFAALFSCCKAKGLKVTCLLCYCSEGDNIADSFQLADAACKLLRLTPDKLSGHVEGGWNIPLSWQSVYGPPPDMSLF >Ma08_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16234569:16236211:-1 gene:Ma08_g15920 transcript:Ma08_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) UniProtKB/Swiss-Prot;Acc:Q56WM6] MSGADGCAATDSPDEDASSCSSSKDALGSSFSRCLSSSTQDEHLLDEWDTLNGLHHFCINGKGPITYSIDVSDVEAMKEKFAQLLLGEDVSGGIKGISTALALSHAITGLAASIFGEFWKLEPLSERIKSRWLREMDWLLSPINYMVELVPAKQNGANGRMLEIMTPKARSDVHTTLPALRKLDLMLIEVLDSMVGTEFWYTELGGRADGCNLYNGTKQGKKWRLPTPRVPESGVSPSQRRKLAFQGKFVHQILKAAKS >Ma03_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:756994:771060:-1 gene:Ma03_g00960 transcript:Ma03_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATVLLPMTREEEMDQLGRNESETLSVELAEIERSIRSSFRIAASSFRRNSSVGSTRADDDDEHELTWAAIERLPTLERVRTSVFDHRDDGNVAEEKSEKKIIDVTKLGALERRLLIENLIRHIENDNLRLLQKQRERIDRVNVKLPTIEVRYKNLSVEAECLVVEGKPLPTLWNTAKSMISGFTKMPGLKTEAKIHILKGISGVIKPSRMTLVLGPPGCGKTTYLLALSGKLDKSLKVRGEVTYNGLGLEEFVPEKTSAYISQYDLHIPEMTVREILDFSARFQGVGSRAEIMKEVSRREKQAGIIPDPNIDTYMKAISVKGLERSLQTDYILKIMGLDICADTMIGDAMRRGISGGEKKRLTTGEMIVGPTKALFMDEISTGLDSSTTFQIVTCLQQFAHITESTVVVSLLQPAPETYELFDDIILMAEGKIVYHGPRTQILDFFAQCGFKCPERKGVADFLQEVLSRKDQEQYWFHSNENHSYVTVDQFSIAFKAYHIGQSLSEELSKPYDKSQCHKNALSFNSYSLSKWELLKACMAREFLLMKRNSFVYTFKTTQLGIIAIITATVFLRTHLGVDIIHANYYMGSLFYALLLLMVNGFPELAMTVSRLPVFYKQRDYYFYPAWAYAIPSAILKIPISLVESLVWTSITYYVIGYSPEAARFFRHFLLLFCVHQMSLSLFRFTASYFQTAVASTVGGTMCLLVILLFGGFILPKSSLPDWLKWGFWISPLSYIEIGLTVNEFHAPRWQKILSTNMTIGQQVLTSRGLNYNSNFYWIAVGALLVSTLLLNLAFTVSLTLKRPVGVSRAIISREKLSQIQGMENEDIPGQNEKGSITGSPVPLSEPRRTGKMVLPFIPLTISFQDVNYYVETPPEMREQGPAEKRLQLLHNITGAFQPGILSALMGVSGAGKTTLLDVLSGRKTGGFVEGDIRIGGYPKVQETFARISGYCEQFDIHSPQITVKESVMYSAWLRLSPQIDANTRSKFVDEVIETIELDGIKDSLVGIQGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAVVMRAVKNVTETGRTVVCTIHQPSIDIFEAFDELILMKRGGELIYTGPIGKHSSKIIEYFEGIAGVPRIRDNYNPATWMLEVTNTSMEMQLEVNFAKIYKESSLYKDSKELVKRLSTPAPDLKDLSFPTRYPRNSWVQFKACLWKQYLSYWRSPSYNLVRISFTLVSSIIFGLIFWQHGKTLNNQQDLFNMLGSMFVATVFTGINNCSSVLPFVSIERTVLYREKFAGMYSHWAYSLAQVVIELPYVLIEVVLFMVIAYPAIGYYWTVYKFFWFFYTMFCTLLYFIYLGMLLVSLTPNVQVATILASVCYTLFNLFSGFIVPSPQIPKWWIWLYYLCPMSWSLHGFFSSQYGDVQKEIMVFGETKSIASFLEDYFGFHHNLLGLVAVALLALPLIYASLFAYFIGKLNFQTR >Ma01_p09620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6893648:6904787:1 gene:Ma01_g09620 transcript:Ma01_t09620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MELGWTTLSRANSTIAPSLLTTPHRLFPLERNSKKYRVFCLSGGQSESKANEMVVSVTGATGFIGRRLVQKLLSDNHKVHVLTRSRAKAQLVFSADNFPKLVIAEEREWEQSINGSNAVVNLAGMPISTRWSPEIKKEIKRSRINATSKVVDIINNAKLDLRPSVLVSATAIGYYGTSETLVFDENSRSGNDYLSEVCREWEAKALEVDNDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHLDDVVNLIYEAVRNPSYKGVINGTAPNPVRLSEMCEQLGQVVGRPSWLPVPEFALKAVLGDGASVVLEGQKVLPLKAKELGFSYKYPYVKDALEAIMREP >Ma01_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6893671:6904787:1 gene:Ma01_g09620 transcript:Ma01_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MELGWTTLSRANSTIAPSLLTTPHRLFPLERNSKKYRVFCLSGGQSESKANEMVVSVTGATGFIGRRLVQKLLSDNHKVHVLTRSRAKAQLVFSDNFPKLVIAEEREWEQSINGSNAVVNLAGMPISTRWSPEIKKEIKRSRINATSKVVDIINNAKLDLRPSVLVSATAIGYYGTSETLVFDENSRSGNDYLSEVCREWEAKALEVDNDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHLDDVVNLIYEAVRNPSYKGVINGTAPNPVRLSEMCEQLGQVVGRPSWLPVPEFALKAVLGDGASVVLEGQKVLPLKAKELGFSYKYPYVKDALEAIMREP >Ma05_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8598278:8601033:-1 gene:Ma05_g11730 transcript:Ma05_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAASASIRASDMEKLSLEQLRSLKEQSDLEVNLLQDSLTKIRTAAGRLEVAAAALHDLSFRPQGKKLLVPLTASLYVPGTLDDSEKVLVDVGTGYFIEKTMAEGKDYCERKLSLLKSNHDELTDMATKKKHIADEAGIVLQGKLRQTSTST >Ma04_p30290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31007966:31010057:1 gene:Ma04_g30290 transcript:Ma04_t30290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGFVSGRSSTQKAYPGKTTPFVILTCLVAATSGLIFGYDLGISGGVTSMEPFLSKFFPSVHRKMMMAAERSSNQYCKFDSQLLTTFTSSLYLATLVTSLLASTVTRALGRKNSMLIGGVIFLAGSVMNGAAENVAMLIVGRILLGVGVGFACQVNSFLSAIIHSSDDDHLNVSTIRLQSTPLYLSEMAPPHLRGMLNMGFQLMITFGIFSANLINYGTSKIIGDWSWRISLSLAAVPATIITVGSVFLPDSPNSLVARGHTEKARTTLQRIRGIQDVDEEFNDMVAASDESKRVRHGWSNMGKRKHRPQLVMAVLLPSFQQLTGINVIMFYAPVLFKTIGFGSNGSLMSAVIVGLVNMFATLVSISTVDKIGRRLLYLEGGPQMFVCQIIVGTLIAVNFGSTGEASMSKLYAWIVVAFICIYVAGFAWSWGPLTILLPSEIFPLEIRTAAQSLAISVNMFFTFSIAQAFLPLLCHLKFGLFYFFGGWVVIMTTFIYFFLPETKNIPIEKMVLVWRDHWFWGRFIDDDGHAHGGQEPVDAVENNRKVVSAI >Ma04_p30290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31007966:31010057:1 gene:Ma04_g30290 transcript:Ma04_t30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGFVSGRSSTQKAYPGKTTPFVILTCLVAATSGLIFGYDLGISGGVTSMEPFLSKFFPSVHRKMMMAAERSSNQYCKFDSQLLTTFTSSLYLATLVTSLLASTVTRALGRKNSMLIGGVIFLAGSVMNGAAENVAMLIVGRILLGVGVGFACQSTPLYLSEMAPPHLRGMLNMGFQLMITFGIFSANLINYGTSKIIGDWSWRISLSLAAVPATIITVGSVFLPDSPNSLVARGHTEKARTTLQRIRGIQDVDEEFNDMVAASDESKRVRHGWSNMGKRKHRPQLVMAVLLPSFQQLTGINVIMFYAPVLFKTIGFGSNGSLMSAVIVGLVNMFATLVSISTVDKIGRRLLYLEGGPQMFVCQIIVGTLIAVNFGSTGEASMSKLYAWIVVAFICIYVAGFAWSWGPLTILLPSEIFPLEIRTAAQSLAISVNMFFTFSIAQAFLPLLCHLKFGLFYFFGGWVVIMTTFIYFFLPETKNIPIEKMVLVWRDHWFWGRFIDDDGHAHGGQEPVDAVENNRKVVSAI >Ma05_p29790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40360534:40368133:-1 gene:Ma05_g29790 transcript:Ma05_t29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFKPSSQSKPDPAELAGAIKESFLSLDTTTIAKALEEVEKNVLSMRQMLSGDAETEPNQDHISQLVVEICKGDVLALFIHKLPTLSWEARKDLMHCWSILLRHNVDSRYCCVEYIENHLELLDFLIICYNNKEIALSCGNMLRECIKYPTLAKCILESRSFELFFKYVELPTFDIASDSLATFKDLLTKQEMIVSQFLSSHYVQFFELYEKLLTSPNYVTRRQSLKILSDFLLEPQNLQIMKRYILEVRFLHIMMALLKDTSKNIQISAFHIFKVFVANPNKPREIIEVLIDNHDELLMSLQNLPMSKGEDDQFEEEKDLIIKEIEELCNLSKATTS >Ma09_p27090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38169202:38169384:-1 gene:Ma09_g27090 transcript:Ma09_t27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRFSIRGPAEAHLRQAVRGWPHPGRLQHPEGGGGLPPSGCCSQPRCGHPVFVLEYEV >Ma06_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10344069:10345001:-1 gene:Ma06_g15200 transcript:Ma06_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRCDVVSALVGAFDKEENSRIQTRQYTLQAIRQLSSHPAMVSSSLRSLPEMDHSILPTSAPTPPSVSNAATAVSPPSSPSFLLRVSVFLVLAAFSLWANFEASKGFEIAVINAASDTHAARRFHLLFVSNGRAARLVLSSSDFVERVLYPDDSFPRKPVGRVTLYMAALDLNETVLVSRGRRPGEFVVRMSQAVMGESDVQVSVASAVQRGMARVWLWDGRGRAPRSLLDAMVDYLTVSTGLVSPRMRRNDDSAALNAAPCWAEQDRLCVARMNRAMQEEWNESKLEDACGLPSESCARSSYSSFETV >Ma06_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13122829:13124494:-1 gene:Ma06_g19180 transcript:Ma06_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFELLRRPPPTASPSRTDLPWLPTLSRRNPRSPGEAGKPSHFLSNAAVLRAVKAAGAIPRQILSVYSAAAGQPGFRHDLPTYQALTYALALRRRFRAVELLLARMPRDGLRPGPALVLPLLRAYSAAGRPPDALLRSCPLPLSDRLFSALIQDLLRSDDLDIAGSLLLSGANLGFPLKSRHYSGLVRAHCDRGAVWSAIEFLDLLATRGSVPDVFFYGSLIRDICRAGGSDAVDAAFEVVDQMKRNGREPDVVIWNTLVHGCAKAGQWGRAEGVVAEMERKGSGAAATDAGTYNALISAIPHRQMVQDGILAFRRMMEKGVSPDVVTFSMLIGGLGRAGRVWECNTLLGKMVRLGIPPDIACYKILLGVYRSNAMSDDASAGLLWIKVSEEAMAALRTGKRWKIENKVMGL >Ma02_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19633278:19634628:1 gene:Ma02_g09840 transcript:Ma02_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHLSDMCSVTETKRTLQIRKRRPLQTVDLKVKMDCDGCERRVKHAVSSIRGVTNVNVNRKQSRVTVTGHIEAKQVLERIKSTGKRAELWPYVPYNLVAYPYVAGAYDKKAPSGFVRNAAQAVPNPNAPEEQYMSLFSDDNPNACSIM >Ma07_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5087059:5097709:-1 gene:Ma07_g07010 transcript:Ma07_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase [Source:Projected from Arabidopsis thaliana (AT5G04620) UniProtKB/Swiss-Prot;Acc:Q8GW43] MALWDQWVEAALVKLASKNLLRSTRPISLTPAYFPADEVETFDGPRQWDRSSVEVEMDERAFREWLSELPDPGGESIAKDENVSRKLLLFSGNDYLGLSSHPAVRKAAAKAAQEHGMGPRGSALICGYSNYHMLLEASLAELKNKEDCLLCPTGFAANVAFMSALGSICSLLAVGGRPSEDQKIAIFSDALNHASIIDGIRLVERQQEAEVFVYRHRDMVHLNALLSCCKMAKKVVITDSLFSMDGDFAPISDLVELRKKHGFLLAIDDAHSTLVCGENGGGLLEVYGYESDVDICIGTLSKAVGCLGGFVACSNKWKQLIQSRGRSFIFSTSLPVPIVAASYASLVVARKEKWRRTAVWSRVDDFNALTRLNITSPIISLVIGSEKAALCASRHMLESGFHITAIRPPTVPPNSCRLRITLSAAHTFEDIKKLVAALSLCFVFPAADQNAQIASKL >Ma04_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1541103:1542197:-1 gene:Ma04_g01740 transcript:Ma04_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNRGSLQSNSGGGDDDFDSHVDSLSAFFSSSSVAGATTLPPAPLSDGQHFFDYPSISYLNSSTSLLPLDSAAAVPWLCSHLDSSNCTAAAVCQSSSSMSSVQQPVPTAAAAAGSRSSKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAAASSSPFARSRFDLFHSASVGFRCPTDAPPPPFLLRPFPQKVQSPSLPTTTNSISSFSSSPPALIPTTTAYSVNTCTPTDNHNSDYQLRYQDLGLGGGHSQSPPALNFQSPLQPSLLQANYTHAMPTSFDAKPYGVPSAEYGNNVLSGLLPGHIASKAMRSGWPDASTDLAQSRPLSGRLELDGERQPESIAATRSEGMAESRREM >Ma07_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3279426:3281130:1 gene:Ma07_g04400 transcript:Ma07_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASFLPSALSARKEGKVACTIKESAFLGSSILEHHKSQFNAPVLRTKRESNHSVGVVKAQTTAVAAPGLNQSAVHGKKVLRKGVVVITGASSGLGLAAAKALAETEKWHVVMACRDFLKAEKAAQSAGMAKENYSIMHLDLASLDSVRQFVHAFRQSGRPLDVLVCNAAIYRPTARTPTYTADGYEMSVGVNHLGHFLLANMLLEDLKKSDYPSRRLIILGSITGNTNTLAGNVPPKAGLGDLRGLAGGLDGRNSSAMIDGGAFDGAKAYKDSKICNMLTMQELHRRFHEDTGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEDESGQRLAQVVGDPSLLKSGVYWSWNNNSASFENQLSEEASDAVKAQKLWEISEKLVGLA >Ma05_p28940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39749477:39752229:-1 gene:Ma05_g28940 transcript:Ma05_t28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g53600, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53600) UniProtKB/Swiss-Prot;Acc:Q9C8L6] MPVRDVVSWTALLTAYAENGRISEARRIFDEMPTRNMVTWNAMISGYVRSAHVFEARELFTRMPARDVVSYSAMITGFVKCGMIKEAEEVYQEMPRRWRDPVASNALICGYLRVGKLDMAACVFESMEAKDVVSWSSMVDGCCKCGRLSDARRIFDAMPERNVVSWTAMIRGYFKGGIYEDGFGLFLQMRREGVGINSTMLSVMIDATAELERIEEGIQIHALVLVTGLQNDVFLGDSIIVMYTRVGWMEAAKNTFSCMNTRDVVSWNSLLAGYIRYDMLEEANVLFETMPEKDAVSWTSMMVGFSNRGWISESVRLFHEMPVKDEVAWTAIISGFVANGEHDNALWWFHRMVHEGFKPNGFTLSTVLSASANLAILDQGMQIHARIIKTDLESDVAVQSSLVSMYAKCGKVTDAYHVFLHIFDPNLITVNAMMTAFAQHGLAEEALQLFKDMQGYGCKPNQVTFLAILSACARAGLVNEGYKHFKSMSSSYGIEPGPDHYTCTVDLLGRAGFLREALDLIESMPFSPHSAIWGALLNASRMHSDLELAELAAQRLLDLEPNNATTYAVLSNLYGLAGRKKDEERMRITKQTNGVRKSPGYSWVISDSYSSQQNTA >Ma04_p07780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5648131:5650934:1 gene:Ma04_g07780 transcript:Ma04_t07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPSTPAPPPLLSPPPPPLPLPKFHHHLLLLPVRRRRLLASLTAPLLQLLLVSPSPARARGLFRMPPARLANRYFLVRAGESVYETAGVLRTNPVAKTSVDSGLSPEGARQAARAALELKQMGACEDSCWIWPSITQQSYQAAEIIASVNSIDRSRIVPEYSFLDARGLGAFEGRNLGSISEVYESDSLSPDNKPPPVDDGTPNESVADVFVRVTQLMSILETQYSGDTVIIVSPDSDNLSVLQAGLVGLDLRRHSDLLFSPGEVRPVDPSSIPDYKQPASAVYKCANPPSCK >Ma02_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14493603:14494046:1 gene:Ma02_g03160 transcript:Ma02_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGFVPDDVAFIGALCACTHAGLVDQGREILDSMTRQYGIKTKLEHYGCMVDLLAHNGLLDEARDLVESMPMEPDAFVWGALMAGFKVHRNVELAEHAVNHLIQLEPDSSGVYVLLANVYASSGRRDDARKVRLLMNKKQVEKTP >Ma09_p06030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3887991:3897782:-1 gene:Ma09_g06030 transcript:Ma09_t06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDALFVDSDSDSYSEFSDSENQDCNESLFGGHAQSILSGLDESIGKIDDFLAFERHFALGDFVCSITDPSGQLGRVVDVDLIVDLETTSGGLVKDVNSKKLLRLRSFASGDFVVYGPWLGRIEKTFDAVTILFNNGAKFEILIRDSKDLTLLYPSFEDASFPLHPGQRVKINLPTISQSKTWFCGSLKASQDEGIICHVEVGLVYVSWVASVVGQSIHSSTPPHFQDPKNLTLLSCFSHANWQLGDRCTLPVDYHNVTAENSGTLSSPKCFTNMQKELDMETLQMYVIAKTRSKVDVLWQNGERSVGVCTQSLSPVSNIGDHDFWPGQFVLEKVTTEEVHVPQPQGLGIVKNVDSHEQIVKVKWMLPELNKNVDFSGDFTEFTEETVSAYELIEHPDFTYCIGDAVLRQIPCVQKVGENILDVQNISWKERHNLPVAVDGLFCGIGSLKKPIDECNHEDLQGYLSCIGNVIGYKDEGIEVKWANGVISKVMPFEIVGPDRLLHPALTPSATMESFPPNVDKDLTDQEKQSWNMRQKKSTDDSGGFCMKDVWKAASALFPGAAFGFLTHVATSLFCSRGSTSLPDPEFSQYRNLKMEEYISEPTDLQPENLKQQIEETKQSGGMTFSPGSDEPRKFKQFDIVNDHTDHHFVNGIGNELMLSHVKKGWFKRVQQEWSFLKNDLPDTIYVRVYEERMDLLRASMIGAPGTPYHDGLFFFDIFLPFDYPHEPPVVHYISGGLRLNPNLYESGKVCLSLLKTWMGSGSEVWDPENSTILQVLLSLQALVLNEKPYFNEAGYDEQIGRVEAEKNSITYNENAFLQSCKSMLYILRRPPKHFEALVEEHFTHRSHHILSACKAYLDGAQVGHADACREAADKCHNSCSTGFKIMLAKLLPKLVSAFTERGIDCTQFLDVLN >Ma09_p06030.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3887991:3897783:-1 gene:Ma09_g06030 transcript:Ma09_t06030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMDALFVDSDSDSYSEFSDSENQDCNESLFGGHAQSILSGLDESIGKIDDFLAFERHFALGDFVCSITDPSGQLGRVVDVDLIVDLETTSGGLVKDVNSKKLLRLRSFASGDFVVYGPWLGRIEKTFDAVTILFNNGAKFEILIRDSKDLTLLYPSFEDASFPLHPGQRVKINLPTISQSKTWFCGSLKASQDEGIICHVEVGLVYVSWVASVVGQSIHSSTPPHFQDPKNLTLLSCFSHANWQLGDRCTLPVDYHNVTAENSGTLSSPKCFTNMQKELDMETLQMYVIAKTRSKVDVLWQNGERSVGVCTQSLSPVSNIGDHDFWPGQFVLEKVTTEEVHVPQPQGLGIVKNVDSHEQIVKVKWMLPELNKNVDFSGDFTEFTEETVSAYELIEHPDFTYCIGDAVLRQIPCVQKVGENILDVQNISWKERHNLPVAVDGLFCGIGSLKKPIDECNHEDLQGYLSCIGNVIGYKDEGIEVKWANGVISKVMPFEIVGPDRLLHPALTPSATMESFPPNVDKDLTDQEKQSWNMRQKKSTDDSGGFCMKDVWKAASALFPGAAFGFLTHVATSLFCSRGSTSLPDPEFSQYRNLKMEEYISEPTDLQPENLKQQIEETKQSGGMTFSPGSDEPRKFKQFDIVNDHTDHHFVNGIGNELMLSHYPQVKKGWFKRVQQEWSFLKNDLPDTIYVRVYEERMDLLRASMIGAPGTPYHDGLFFFDIFLPFDYPHEPPVVHYISGGLRLNPNLYESGKVCLSLLKTWMGSGSEVWDPENSTILQVLLSLQALVLNEKPYFNEAGYDEQIGRVEAEKNSITYNENAFLQSCKSMLYILRRPPKHFEALVEEHFTHRSHHILSACKAYLDGAQVGHADACREAADKCHNSCSTGFKIMLAKLLPKLVSAFTERGIDCTQFLDVLN >Ma09_p06030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3887991:3897783:-1 gene:Ma09_g06030 transcript:Ma09_t06030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDALFVDSDSDSYSEFSDSENQDCNESLFGGHAQSILSGLDESIGKIDDFLAFERHFALGDFVCSITDPSGQLGRVVDVDLIVDLETTSGGLVKDVNSKKLLRLRSFASGDFVVYGPWLGRIEKTFDAVTILFNNGAKFEILIRDSKDLTLLYPSFEDASFPLHPGQRVKINLPTISQSKTWFCGSLKASQDEGIICHVEVGLVYVSWVASVVGQSIHSSTPPHFQDPKNLTLLSCFSHANWQLGDRCTLPVDYHNVTAENSGTLSSPKCFTNMQKELDMETLQMYVIAKTRSKVDVLWQNGERSVGVCTQSLSPVSNIGDHDFWPGQFVLEKVTTEEVHVPQPQGLGIVKNVDSHEQIVKVKWMLPELNKNVDFSGDFTEFTEETVSAYELIEHPDFTYCIGDAVLRQIPCVQKVGENILDVQNISWKERHNLPVAVDGLFCGIGSLKKPIDECNHEDLQGYLSCIGNVIGYKDEGIEVKWANGVISKVMPFEIVGPDRLLHPALTPSATMESFPPNVDKDLTDQEKQSWNMRQKKSTDDSGGFCMKDVWKAASALFPGAAFGFLTHVATSLFCSRGSTSLPDPEFSQYRNLKMEEYISEPTDLQPENLKQQIEETKQSGGMTFSPGSDEPRKFKQFDIVNDHTDHHFVNGIGNELMLSHYPQVKKGWFKRVQQEWSFLKNDLPDTIYVRVYEERMDLLRASMIGAPGTPYHDGLFFFDIFLPFDYPHEPPVVHYISGGLRLNPNLYESGKVCLSLLKTWMGSGSEVWDPENSTILQVLLSLQALVLNEKPYFNEAGYDEQIGRVEAEKNSITYNENAFLQSCKSMLYILRRPPKHFEALVEEHFTHRSHHILSACKAYLDGAQVGHADACREAADKCHNSCSTGFKIMLAKLLPKLVSAFTERGIDCTQFLDVLN >Ma09_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3887991:3897783:-1 gene:Ma09_g06030 transcript:Ma09_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDALFVDSDSDSYSEFSDSENQDCNESLFGGHAQSILSGLDESIGKIDDFLAFERHFALGDFVCSITDPSGQLGRVVDVDLIVDLETTSGGLVKDVNSKKLLRLRSFASGDFVVYGPWLGRIEKTFDAVTILFNNGAKFEILIRDSKDLTLLYPSFEDASFPLHPGQRVKINLPTISQSKTWFCGSLKASQDEGIICHVEVGLVYVSWVASVVGQSIHSSTPPHFQDPKNLTLLSCFSHANWQLGDRCTLPVDYHNVTAENSGTLSSPKCFTNMQKELDMETLQMYVIAKTRSKVDVLWQNGERSVGVCTQSLSPVSNIGDHDFWPGQFVLEKVTTEEVHVPQPQGLGIVKNVDSHEQIVKVKWMLPELNKNVDFSGDFTEFTEETVSAYELIEHPDFTYCIGDAVLRQIPCVQKVGENILDVQNISWKERHNLPVAVDGLFCGIGSLKKPIDECNHEDLQGYLSCIGNVIGYKDEGIEVKWANGVISKVMPFEIVGPDRLLHPALTPSATMESFPPNVDKDLTDQEKQSWNMRQKKSTDDSGGFCMKDVWKAASALFPGAAFGFLTHVATSLFCSRGSTSLPDPEFSQYRNLKMEEYISEPTDLQPENLKQQIEETKQSGGMTFSPGSDEPRKFKQFDIVNDHTDHHFVNGIGNELMLSHYPQVKKGWFKRVQQEWSFLKNDLPDTIYVRVYEERMDLLRASMIGAPGTPYHDGLFFFDIFLPFDYPHEPPVVHYISGGLRLNPNLYESGKVCLSLLKTWMGSGSEVWDPENSTILQVLLSLQALVLNEKPYFNEAGYDEQIGRVEAEKNSITYNENAFLQSCKSMLYILRRPPKHFEALVEEHFTHRSHHILSACKAYLDGAQVGHADACREAADKCHNSCSTGFKIMLAKLLPKLVSAFTERGIDCTQFLDVLN >Ma02_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21190447:21192761:1 gene:Ma02_g12470 transcript:Ma02_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSKKKAVSPPRSAPTSCSAPSDKKVEKPSQRVVEATAEKKQVFVVAHNAKKSAAEDDKEKKRGETPTKKTGKEVETNGAGGVVVVPAVEEFRPVAAVRTSSCTKEEVDAILIQCGRLSRSSSGKASDETGIGHRKYSGSKRSYDFDNEKKGDEEEGEWGEKPASRPSPRRRTPSRERSGSRERGSGGGGRRVSRSPGRRSEVPASSGTPNERSKPPAKMVAVPAREKGRGVSPAASNKRGGEAGALRSASPRSRSPANTTPISNENATYHVPPPNQPQSLSRSSSRKAEQSPFRRNPMAEIDENALRANQHASIDNKIQKTNEGEERIRKLSQSHTQKTSENSIRASKSSSQRNGSAAEFTSATRSNDVQVMSCRAKELETEAAVAEEAIAKASSKVTESPNLGVESHILKTISGTRSSRDLDHPSELNQEAFLNPNSYASSLLEDIHNYQQQLPKASFSLPACVSKACSILEAVADLNSASSENNGSLNGRHQRRGSASKVPFVESEIVVKDDLLEPSLHKYVTVRDMRRSDVEPQESAGSNSFMGQPWSSGWEPNSVDSTDRYQNSRSIDGEEVEEEEANQSPVSHGSRYHQQPVPEVVREPETRGRRSRGVSGNSSNHRSPAKSSKNSKRELHHRVQLHRTGSGDSGGGKPGSNRAYSADASSS >Ma11_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27383471:27384617:-1 gene:Ma11_g24410 transcript:Ma11_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELHFSGHDSLGLRRLRCDALAQVLDAVQCTVVSAVGNRYFDAYILSESSLFVYPYKMVLKTCGTTQLLRSVPCLLRHTAELGLRLRACRYSRGSFIFPRAQPFPHTSFAEEALYLEERLPPSLAFRKACVLPSSSSHSWHVYSASAADDDDRLAAGSWSTFTVEVCMTELDRSLARRFFRKKGDERSGDAVGADMTDLTEIGSINPRTLVCGFAFDPCGYSMNGLDRDSYSTIHVTPEEGHSYASFECTGRWAGGRAEVLDSLRKAIGVFRPGAVSVSFCMAPNVDENQVRSAVGDALEPLGLSCRSQAVEEFPGAGIVTYQTFTPRRE >Ma04_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21326410:21330862:1 gene:Ma04_g18910 transcript:Ma04_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKSGTWIYPVICFFFLLASLEVDALGVPMTLLHSAVAKGAVCLDGSPPAYHFSPGSGSGANNWLVHMEGGGWCRNVEECLERKNNFRGSSNHMKPLSFSGILGKSKKYNPDFYNWNRVKIRYCDGSSFTGDVERVDPATNLHYRGARVWLAIMEDLLAKGMNKAENALLSGCSAGGLASILHCDKFRSLLPASAKVKCFSDAGYFIDGKDITGTTSIRSLYNDVVNLHGSGKNLPSSCTSSLSPSLCFFPQNVVPKMSTPLFILNAAYDAWQIKNTLAPSSADPHKSWNACKLNITSCSSDQLEKMQDFRSEFLRALPASGNSSTGMFIISCYAHCQSGSRDIWSGTDSPMIDKMSIAKAVGDWYSGRSVVRKIDCPYPCNSSCRNRIDE >Ma04_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24452643:24453974:-1 gene:Ma04_g22030 transcript:Ma04_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDRTERMLEEAFKIRGRDKKGRKILRIVGKFFPARELMSAAQGGGEEVLRSFLERRVFPELAGAPFVVVYMHALVQRSENFPGVTALRSVYEALPAAVRDGLRAVYFVHPGLQARLFFATFGRFLFSAGLYGKLRYVSRLEFLWEHMRRGEVEVPEFVEDHDEELEHRPLMDYGLVESDHHHRALDDPAMDTTASMYSYRCIS >Ma03_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28502767:28503505:1 gene:Ma03_g24200 transcript:Ma03_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLLLGFLGLAALLLPFGAVAAASSFTSFSAASSIHDLLRAYGLPGGLLPREVDSYTLDRPSGQLEVRLGRPCYARFDGMVLFDSVVRANLTYGGLRALVGVSQEELFLWLPVREILVSDPSSGVILFDIGLAHKQLSLSLFESPPDCSTGEEGEGLSGPRGGDQPLKMGF >Ma04_p37670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35461083:35461379:1 gene:Ma04_g37670 transcript:Ma04_t37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSVASPAPKVLLSDHLLKDSDLQTRNVHGEDKSFRDMYVICQKE >Ma09_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36578443:36578844:1 gene:Ma09_g24970 transcript:Ma09_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIKKKKKREKIKKKRIINYHLCIILNEFFNRVSIL >Ma08_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18567223:18567849:1 gene:Ma08_g16480 transcript:Ma08_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSREINGSRPAPLKIHKDSHLIYKSSSSSTITTSSYQQQRHHPVIIYTHSPKVIHTQARDFMALVQKLTGLSHSADDDESSSLPPAPPPSNVSRTYKDNKPPAAPVCDDSSSSSENSSFGGDVHVSCSSLTNAGGISPIAFEQVAPPNPFLSEGPLFTPNSSDFFCSSRSFYRYPDSSLFSPSVPNMDNAISSSIMDTMKTYQEY >Ma04_p25870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27487151:27488346:-1 gene:Ma04_g25870 transcript:Ma04_t25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARSQGRVRRNGARQVVSSIPLLVLFHQCSLLKESQKMMDAGNVSFGAVNRAIEEWEESIWSFSCGAFWSAWAMELGEHHSHQELLYWFASQFGLFFSTLISTWGLHL >Ma11_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7366143:7367361:1 gene:Ma11_g09230 transcript:Ma11_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRHGKRPLPPDEAAPEEKAGELSCSPLARADQDASAIVSALAHVIGSCSPVAGVGGGEMRQDVSGSGTGSVENRTQPSEEQGNAGRRHYRGVRQRPWGKWAAEIRDPQKAARVWLGTFDTAVDAAIAYDEAALRFKGCKAKLNFPERVQGRSDLGFLTHRWQAQPPVQLPATSYPDLLRYARLLQSRDDDLHNRAVGLHPAGSSFMSTSSHTTPTSSLSGSSQELVGFSHHWQLRSSSSSSSWPQVDLQDEDED >Ma08_p28300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40280841:40282096:-1 gene:Ma08_g28300 transcript:Ma08_t28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCHHGHQEKEVQSPNPTSPNSTSSSSSSSSSSNGVAAAAAPSSAAIAPASMPRSIDTNPYPTTFIQADAGSFKQVVQMLTGSAETVAKHATGAAAPTTKNAIPPSARATGPKKPAFKLYERRGSLKNLKMISPLIPAFVGSNPNSPMGTAAFSPRRQPEILSPSMLDLPSLTLSPVTPLIPDPFNRSPQPNSAAVAATAPMSAEDRAIAERGFYLHPSPRSTPRDAEPPRLLPLFPVTSPRVPSASAAGSSS >Ma10_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26387796:26388586:1 gene:Ma10_g13660 transcript:Ma10_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRVAGEGEVGSVHTMAHALMQLSHGSADDADVSSVSPPARVFECKTCNRQFPSFQALGGHRASHKKLKLAGDGRGDGEGAKPRVHECSICGLEFAIGQALGGHMRRHRATTAACGQGLPETKSTEGKRVLKIFGFELVYTNPVAVDCLY >Ma08_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:84247:86700:-1 gene:Ma08_g00100 transcript:Ma08_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MTALKHPVYPRSCNKTSRIINAAATFCCSMQPSTNNIKVVINGATKEIGKAAIVAVTKARGMEIAGAVDTNLVGHDAGKLCGMEEPLEIPILNDLTMISGSIAQTKANGVVVDFTHPGSVYDNVKQAAAFGLRSVVYVPNIELETVTALSVFCDKASMGCLVAPTLSIGSLLLQQAALQASFHYNNVEIVESRPDPSDLPSTEAVQIANNLTDLGQRYNREDISTDNPARGQVLGEDGVRVHSMVLPGLTSTTTVHFSGPGEVYSVRHDITNVQCLMPGLILAIRKVVRLKNLIYGLEKFL >Ma02_p24800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29267581:29271079:-1 gene:Ma02_g24800 transcript:Ma02_t24800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQSTPISSSDSKGSFGPVFIVLGVIAVLAIIACVAGRLCARLMSEPKSGSRRRHHPRAVKDNDIEDGLEFDIPTLKPASKVANRDAQPACDGASKSSVATKNAAGGVSKGAATHPASSIQANKPSA >Ma02_p24800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29267581:29269661:-1 gene:Ma02_g24800 transcript:Ma02_t24800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQSTPISSSDSKGSFGPVFIVLGVIAVLAIIACVAGRLCARLMSEPKSGSRRRHHPRAVKDNDIEDGLEFDIPTLKPASKVANRDAQPACDGASKSSVATKNAAGGVSKGAATHPASSIQANKPSA >Ma02_p24800.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29267581:29269661:-1 gene:Ma02_g24800 transcript:Ma02_t24800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQSTPISSSDSKGSFGPVFIVLGVIAVLAIIACVAGRLCARLMSEPKSGSRRRHHPRAVKDNDIEDGLEFDIPTLKPASKVANRDAQPACDGASKSSVATKNAAGGVSKGAATHPASSIQANKPSA >Ma02_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29267581:29269661:-1 gene:Ma02_g24800 transcript:Ma02_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQSTPISSSDSKGSFGPVFIVLGVIAVLAIIACVAGRLCARLMSEPKSGSRRRHHPRAVKDNDIEDGLEFDIPTLKPASKVANRDAQPACDGASKSSVATKNAAGGVSKGAATHPASSIQANKPSA >Ma02_p24800.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29267581:29269661:-1 gene:Ma02_g24800 transcript:Ma02_t24800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQSTPISSSDSKGSFGPVFIVLGVIAVLAIIACVAGRLCARLMSEPKSGSRRRHHPRAVKDNDIEDGLEFDIPTLKPASKVANRDAQPACDGASKSSVATKNAAGGVSKGAATHPASSIQANKPSA >Ma02_p24800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29267581:29269661:-1 gene:Ma02_g24800 transcript:Ma02_t24800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPQSTPISSSDSKGSFGPVFIVLGVIAVLAIIACVAGRLCARLMSEPKSGSRRRHHPRAVKDNDIEDGLEFDIPTLKPASKVANRDAQPACDGASKSSVATKNAAGGVSKGAATHPASSIQANKPSA >Ma04_p34390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33601898:33602323:1 gene:Ma04_g34390 transcript:Ma04_t34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRCRKGSRRGRAGGEWCGRRGPHQVRDAKRVELGAGELVVRRAYALMATPYAEQHLNALEMVRELVEIRVERKPGDLVTAEGVERGVRRLMEEGEGGKMVRAKAAEVSLASRRAMESGGSAHATLKGWQRTDTAIR >Ma04_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4518776:4531401:1 gene:Ma04_g06120 transcript:Ma04_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNTSPMPETFEFDRASSSSNSIMDQQMFWNNFLHNSVENQDLPNNSLSPGDANISCGNVPGQESASLNVWDPVGPSSSMPPLNQGNHDEVKLESSWMPSPLVNRVGGPRITDGRSESVNTVSFENQNTGLNSSHVNNGEELSQFLNFHGLPDNSGYSSEHVGLSSQVLESGLHPGLYNPGLMHREHVPFIGSSSGSSRAIDFLSNNERGRQEIAQCSSHKRKNIEGTHGECSASGSSSNFSEGINRADEEINARIDTITRVSVSDHPFGSATGSDESFQRSTRMRINHTDPANTFAPNLWPQETIAHYNLWPAHQPSSPAVPSNQSSDSRLVSSNMGGLRRQRYVRVVPGLSSNLYPLPQSGTFTTEVGSSSGSPALAVDGPAGEPNSPSVSNNISEQVFVPPSNTRHLVQDQTNWGLNSGNTVLSGSALPTLQVGTNLGIHQSPAANWLSHQHRRRLSDAVRRSFSSYSESRGRSMSVPPRHSHSSASQEVGRHPSGPVSSGHHQPFIRSNMLSRQNDGALGIPLSMRTLAAAREGRSRISELRNVFDRIRRGDNLMLEDVLLFEQSVFAGGANFHDRFRDMRLDVDNMSYEELLALGERIGNVNTGLSEEKILNCLRQRKYVSIASEPSEEAEPCCICREEYIEGEELGRLDCGHDFHTACIKQWLVIKNLCPICKTTALSI >Ma09_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10447486:10455193:1 gene:Ma09_g15120 transcript:Ma09_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional D-cysteine desulfhydrase/1-aminocyclopropane-1-carboxylate deaminase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48420) UniProtKB/Swiss-Prot;Acc:F4HYF3] MSSAILLRPATCASVTTTTAATIVSAKTTFSGLLAAPGFHRNRTCTTICCSMDGGGAMPAAHIGGFLSKKRYDPPLWASHLRPVPSHTFSLGHLPTPIHRWNLPNLPEDTELWIKRDDLSGMQLSGNKVRKLEFLMADAVASGADCVITVGGIQSNHCRATAVAARYLNLDCYLILRTSKVLADRDPGLIGNLLVERLVGAHIDLVSKEEYAKIGSVTLADLLKKKLMDQGRKPYVIPVGGSNSLGTWGYIEAIREVEQQIHNSCGEFQFDDIVVACGSGGTIAGLSLGSRLSSLKAKVHAFSVCDDPTYFYNFVQGLIDGLEAGFDSHAIIDIQDAKGLGYAMNTAEELQFVKDVAAATGVVLDPVYSGKAAYGMLRDMTDNPTKWKKRKVLFVHTGGLLGLYDKVEQMTSLVGNWQKLEIEESIPRKDGTGKMF >Ma07_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11670406:11670732:-1 gene:Ma07_g15500 transcript:Ma07_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSRCPTLPLILLFLGLISVAQVALAGRKVPANSDTKETEFLGHEGTVLIPGIGRYMIGSHEMPGFRGLDHSIPAAATGKYLPGNDDTFVPNPGYEVPNPFRGVIP >Ma06_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3820750:3824477:1 gene:Ma06_g05110 transcript:Ma06_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWQRAKGLAEEAAKRSQDLTRDAARISQEFVSETTKKSKEIAAEASKKADLIRSEALRAADQIKTLAVDIPIPIPSTLGQGSNAAVAPDPGSDLERFGVTEELREFVKGIQLSTFRDFPMQDEPEMSDVPTVSNVRQDLNEWQARHATLVLSTVKEISTFRYELCPRYMKERKFWRIYFTLINSYVAPYEKQYMEELKTKAEQSQVDRIKENPTAVSATAAKSKETKLQKTTTSSTVEDLDVFLLGDLGSDDEGLDDGNDGLDDDLNKIGSTSGLDSDIDKI >Ma05_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8703431:8704317:-1 gene:Ma05_g11950 transcript:Ma05_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIACCISIFSEFSCGGERCLYAFSIAKDEATKLGTVIGIDLGTAYSFVGVYKNGHVEIIVNDQGNRITPLWVAFTDTEMLVGEAAKNQAALNAERTILMSKDLLEENLMTKRYKRTSNLFHTR >Ma05_p32120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41802193:41803054:-1 gene:Ma05_g32120 transcript:Ma05_t32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSICFFLEQNTLPYFARFPEADMLTSSDQIRPTTTDDSLEKTWCLITTAYNIGIFHWRMLQKG >Ma10_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25739033:25739837:1 gene:Ma10_g12710 transcript:Ma10_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMLMEIQEVARVKLNLSRIYQIGFISSHLKKKRVDSVHKAPTNARELLPFTWLQSFYR >Ma07_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2682763:2682924:1 gene:Ma07_g03490 transcript:Ma07_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGRRFDPFDQLVSGQSRCEASFGAADVSPSLVAIIILITNILKILHAKLST >Ma08_p12030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9020788:9027715:1 gene:Ma08_g12030 transcript:Ma08_t12030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRSGLLKKAYELSVLCDVEVALIIFSSRGKLYEFSSSSMQSTLERYREYSREDTSSTIMEEDTEQWKHEAACMSKKIEHLEASKQKLLGENLESCSFDELNELEGKIEQSLRSIRGRKYHLLKEQISQLKEKESSLVKENALLSEKCKRLPQLPSAASKGVPPFGTLGQHTEVETELRIGFPGRGTH >Ma08_p12030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9020788:9027854:1 gene:Ma08_g12030 transcript:Ma08_t12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRSGLLKKAYELSVLCDVEVALIIFSSRGKLYEFSSSSMQSTLERYREYSREDTSSTIMEEDTEWKHEAACMSKKIEHLEASKQKLLGENLESCSFDELNELEGKIEQSLRSIRGRKYHLLKEQISQLKEKESSLVKENALLSEKCKRLPQLPSAASKGVPPFGTLGQHTEVETELRIGFPGRGTH >Ma08_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9020788:9027854:1 gene:Ma08_g12030 transcript:Ma08_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRSGLLKKAYELSVLCDVEVALIIFSSRGKLYEFSSSSMQSTLERYREYSREDTSSTIMEEDTEQWKHEAACMSKKIEHLEASKQKLLGENLESCSFDELNELEGKIEQSLRSIRGRKYHLLKEQISQLKEKESSLVKENALLSEKCKRLPQLPSAASKGVPPFGTLGQHTEVETELRIGFPGRGTH >Ma04_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23742842:23743298:-1 gene:Ma04_g21150 transcript:Ma04_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYIRDRPPQQMQMQQQQQPMSHTVVKAVTAAMAGGSMLVLSGLTLAVTVIGLTVATPLLVIFSPVLVPAAIAVFLVATGFLTSGGFGLAALSVLSWMYKYLTGKHPPGADQLDQARQRLASKARDIKESAQQRIDQATQ >Ma02_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23850058:23850177:1 gene:Ma02_g16530 transcript:Ma02_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMISDHNILVYTSFEFLECIRMHEFAEGTLLPFEYAQS >Ma10_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29502706:29505257:-1 gene:Ma10_g18650 transcript:Ma10_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQTHQRMPKEQEEAEDVQHGPFPIEHLQASGIAAIDVKMLKDAELCTVESVAYSPRKDLLQIKRISEAEVDKIIEAASKLVPLGFTSASQLHAQRLEIIQITSGSRELDKEEFKLDLLLNYIVNSALVKLSCVTLFVSLVSFPWIKVVVKERHYILMLRVPLGPKDFFRLLTGLD >Ma02_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15840727:15843540:-1 gene:Ma02_g04390 transcript:Ma02_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAAAALGLLALASFCCSIPLVRGEAVDGGTGRGGGDGGDAAEGARGARLLLSFHEAKGNASFRCSPVGPCLPCQYSEKSDHKYRCSETGYRVPLKCVQIKDSVGEASRIKARRKLFFLQEHASAVQKQIFTSISNYKWRKLLADSSKSENEEESYITYRSCVPVDSEEKLSVLGFEVIMVGLLLISGSVIYLRQKRTAVMPGVAPVRIPTSSPRF >Ma10_p30690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36984217:36985467:-1 gene:Ma10_g30690 transcript:Ma10_t30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPHDCLRNPLSVDAFGSRPPPPAKPHRKKPPRSPPTSSSPPSTSFHLPPPPSKAGRRGLGQPARSPPPQAVAKPSRANPPFDSRKSPSPRKDGALSEGETRSRRALVMEGVRILKRGEELKPGAPRAADLVREGDGSVFCSTNRLGPEPEILPRKGGLAVLESPYAGPAFFASPSPSSLPLPSFCLKKGVVKGEEAARALPSRVLAW >Ma10_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29091777:29094528:-1 gene:Ma10_g17880 transcript:Ma10_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVEVMASSSSSSSSSFFLLLLGVALFLSNEATALPELPNLTTLAFEEGYTQLFGDSNLMLHRDGRSVHLSLDRRTGAGFASQDLYRHGFFSASIKLPADYAAGVVVAFYMSNGDVFEKTHDELDFEFLGNIRGREWRVQTNVYGNGSTAVGREERYGLWFDPTEDYHQYSILWSGERIIFYIDDIPIREVVRTQAMGGDFPSKPMSLYATIWDGSTWATSGGRYKVNYKYAPYEAEFADLVLHGCAVDPIEHRTTCLGYDAAVYDSITMSADQRTAMDKFRKKHITYSYCHDRVRYPTPPPECNLGPEAEDFLASGEAKLSYRRRRGKRYGRSPVDSVL >Ma03_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6611758:6617648:-1 gene:Ma03_g09000 transcript:Ma03_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSVAFDSRTGQLILVALLLMIASFYAGTLFRPDSYSSSAAIVRSTPPDPGRSSSSPPSQGETRFPNKVALSYRTKSISIPPSGVNVCPIEYNEYIPCHDANYTRSLIKSLDISREEELESHCPPPERRLFCLVPPPVDYKIPIRWPTSRDYVWRSNVNHSHLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTDETGDLRTAGVFQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPFPRNSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPMIWEKLSNLTSAMCWKLIAQQVQTAIWLKPENDLCRLQNAERNLLTVCEPEDDTVPSWRSPLRNCLKLNVEQSNFQKLPPRPERLSIYSKSLEKIGVTPEKFDMSDRFWQEQVREYWRLISVDKTEIRNVMDMNAYCGGFSVALSTLPMWVMNIVPATMSNTLSAIYDRGLVGAFHDWCMPFSTYPRSYDLLHASHLFSHYKDGGEGCGIEDIMLEMDRIIRPQGFIIIRDEESIVSTIRNLAPKFLWDVTSHVLENEEKKKEPVLVCRKKFWAIV >Ma03_p09000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6611752:6617648:-1 gene:Ma03_g09000 transcript:Ma03_t09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSVAFDSRTGQLILVALLLMIASFYAGTLFRPDSYSSSAAIVRSTPPDPGRSSSSPPSQGETRFPNKVALSYRTKSISIPPSGVNVCPIEYNEYIPCHDANYTRSLIKSLDISREEELESHCPPPERRLFCLVPPPVDYKIPIRWPTSRDYVWRSNVNHSHLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTDETGDLRTAGVFQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPFPRNSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPMIWEKLSNLTSAMCWKLIAQQVQTAIWLKPENDLCRLQNAERNLLTVCEPEDDTVPSWRSPLRNCLKLNVEQSNFQKLPPRPERLSIYSKSLEKIGVTPEKFDMSDRFWQEQVREYWRLISVDKTEIRNVMDMNAYCGGFSVALSTLPMWVMNIVPATMSNTLSAIYDRGLVGAFHDWCMPFSTYPRSYDLLHASHLFSHYKDGGEGCGIEDIMLEMDRIIRPQGFIIIRDEESIVSTIRNLAPKFLWDVTSHVLENEEKKKEPVLVCRKKFWAIV >Ma11_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20588621:20598961:1 gene:Ma11_g14890 transcript:Ma11_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAQTPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVILAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVDEKLAVKVEKLGKDSLVNCAKTSMSSKLIAIDSDFFANLVVDAVQGVKTTNARGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTKVAPARIACLDFNLQKTKMQMGVQVLVTDPRELEKIREREADITKERIQKLLKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATVVTTFADMEGEETFDSSFLGHADEVIEERIADDDVILIKGTKNTSAVSLILRGANDYMLDEMDRALHDALCIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLVIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKQHLSSMGLDLSKGTTRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIRLIKDERENEED >Ma04_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25427361:25437328:1 gene:Ma04_g23260 transcript:Ma04_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLMCLLSLLRLASNDTGMHTVISQAVTPANTPRAWNLKHLGPVPAPSVSPEHHGSVSSHHVLKHHRHLPPAHPPTSITPPETQGCEISCSDPLTSTPIGSPCGCVYPMQVGIDLGVAPYELFSRIAELEVDVAAGTFLKQSQVRIMGASASIKDAEKTTVIIDLVPLGEKFDKMTALLTYERFWQKKVPINMSLFGDYNVLYVHYPGLPSPPPSVDESSGPSGIGGHQYPLTANISNHKSQKLNGRIIAIIVLSSFILLVVCSGLVCMVLRSKLGKSSNSVGPTITSSAIRKTGIRSILSSSLASSMSMSFVSNMATCPPSVRTFSVTELEKATDKFSSNKILGKGGFGRVYYGVMEDGIEVAVKLLTREDQSGDREFIAEVEMLSRLHHRNLVKLIGICIEGGIRCLVYEFVRNRSVESHLHGADKMKGPLDWDARMKIALGAARGLAYLHEDSNPRVIHRDFKASNILLEDDFNPKVSDFGLAREASEGVHHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVYMSQSQGPENLVTWARPLLTSREGLEQLIDPSLGGKYDFDNMAKVAAIASMCVHSEASQRPFMGEVVQALKLICNDMDEACDNSISQREESLGTDGDFKGDFDSESSWWNGATPRITYDGATSFVTMEYSSGPMEEMQRPHSTSTLVGGIESLVRPNRSGPLRTKRKKQPFHRLRGSMSDHGHPYAV >Ma02_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13552571:13561457:-1 gene:Ma02_g02330 transcript:Ma02_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKEEEDADPARRKGDAGRRNVPRKHNLDDDARVCPSPPHPATSNDSSSSIDALHSAAGAPRAFSYPHYHSSPPPSYQKQRHQHSSSVEDIRFSLPHHNLPPPFPSSQHHHAGGSFGNPFAVDRHEGGSSSLSDGDEALTLELAMSQYGGAPGTIPEFIGSGGGSGIFRVPIRAAMHPVRPPALEVRPHPLRKTQAGSFLRTIICARSQLWTGLESGLRVWNLKDVFEGWGPGAMVKRGDEESSPFRDSCRTSPTLCLAVDAANGLIWSGHKDGKIRSWKMDQSTTVNAASDDGACAIGAGASAPPFREGLSWQAHQRSPVLSMVITSYGEIWSGSEGGIIKVWPSDAIEKALSFTVEERHMATLLIERSYIDLRSQITVNGVCTLPAVDVKYMASDNCRSKVWSAGSLSFAIWDSQTRDLLKVFGIDGQVETRVDLPSLQDQSSEEEMKTKVVSSSKKEKSQSSVSFFQRSRNALMGAADAVRRVAVKGTLSEDNRRTEALAVSIDGMIWTGCTNGSLIQWDGNGNRLQELQHHSSSIQCICTYGPRLWVGYVSGIVQVLDLDGNMLGEWIAHSSPVIKMAIGGSYMFTLAHHGGIRGWNIISPGPFDDLLRSELVNKELSYTKIENLKILAGTWNVGQERASHDSLISWLGSAASEVGLVVVGLQEVEMGAGFLAMAAAKETVGLEGSANGQWWLDTVGKTLDEGTSFQRLGSRQLAGLLIAAWARKNLRSHIGDVDAAAVPCGFGRAIGNKGAVGLRMRVYDRIICFVNCHFAAHLEAVSRRNADFDHVYRTMAFSRPTTGLHGAAAGPTSVQLHRGVNVTGSQPDDGKPELSEADMRKGSASSRNESWQSLPRNAGRAN >Ma02_p02330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13550315:13561457:-1 gene:Ma02_g02330 transcript:Ma02_t02330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKEEEDADPARRKGDAGRRNVPRKHNLDDDARVCPSPPHPATSNDSSSSIDALHSAAGAPRAFSYPHYHSSPPPSYQKQRHQHSSSVEDIRFSLPHHNLPPPFPSSQHHHAGGSFGNPFAVDRHEGGSSSLSDGDEALTLELAMSQYGGAPGTIPEFIGSGGGSGIFRVPIRAAMHPVRPPALEVRPHPLRKTQAGSFLRTIICARSQLWTGLESGLRVWNLKDVFEGWGPGAMVKRGDEESSPFRDSCRTSPTLCLAVDAANGLIWSGHKDGKIRSWKMDQSTTVNAASDDGACAIGAGASAPPFREGLSWQAHQRSPVLSMVITSYGEIWSGSEGGIIKVWPSDAIEKALSFTVEERHMATLLIERSYIDLRSQITVNGVCTLPAVDVKYMASDNCRSKVWSAGSLSFAIWDSQTRDLLKVFGIDGQVETRVDLPSLQDQSSEEEMKTKVVSSSKKEKSQSSVSFFQRSRNALMGAADAVRRVAVKGTLSEDNRRTEALAVSIDGMIWTGCTNGSLIQWDGNGNRLQELQHHSSSIQCICTYGPRLWVGYVSGIVQVLDLDGNMLGEWIAHSSPVIKMAIGGSYMFTLAHHGGIRGWNIISPGPFDDLLRSELVNKELSYTKIENLKILAGTWNVGQERASHDSLISWLGSAASEVGLVVVGLQEVEMGAGFLAMAAAKETVGLEGSANGQWWLDTVGKTLDEGTSFQRLGSRQLAGLLIAAWARKNLRSHIGDVDAAAVPCGFGRAIGNKGAVGLRMRVYDRIICFVNCHFAAHLEAVSRRNADFDHVYRTMAFSRPTTGLHGAAAGPTSVQLHRGVNVTGSQPDDGKPELSEADMVVFLGDFNYRLHGISYDEARDMVSQRCFDWLREKDQLRAEMKAGKVFQGMREGQIKFPPTYKFERHQPGLSGYDSSEKKRIPAWCDRILYRDSRSISVAECSLQCPVVSSIMLYEACMNVTDSDHKPVRCIFCVEIARVDESIRRQKYGEIIASNEKIRSLLEDSCAVPETIVSTNNIILQDQDTSILRITNKCENNKAIFQIICEGQSTNEEDGNATEFRARCSFGFPVWLEVQPAAGIIEPGQTIEVSVQHDDYLTQEEFVDGIPQNSWCEDTRDKEVVLLVDVSSTGSTESKGHRVHVRHCFSSKPDCGDRKKLRRRNQSTHLHRSDIGNFSSSVDMVPSFHHLHCDETADER >Ma02_p01000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7688485:7689588:-1 gene:Ma02_g01000 transcript:Ma02_t01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIKIAQSQGSISFFSTISTIQNIDHAQHI >Ma03_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26663624:26664214:1 gene:Ma03_g21740 transcript:Ma03_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNTPMSIGLLHAVMSLVSTCSSRMARAARKLSRRRCNVIPSARGKKMAVEEDANGELEDGGDGVWRRTILMGEKCQPLDFSGVIYYDADGRRLSEVPTQRSPLRSPLPSLPLKAPMTAN >Ma09_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1897173:1903744:1 gene:Ma09_g02740 transcript:Ma09_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSKPSSRLSKPSSSSSKSQSCSSSSSGLSSHVAMVELKSRILAALAKLSDRDTHQIAVDDLEKIIRTLPAEGVPVLLNALVHDPSLPSPTPQETPGSKHPSFLIARRESLRLLALLCAVHTDAASAHLSKIMVHIARRIKDSASDSSVRDACRDAAGSLAALYLRPWVAAAAAPEDSAGGIGGSSSMVALFVKPLFDAMGEQNKAVQGGAAMCLARVVECAGANDDGGEGEEGRVTASGTMLQRLCPRICKLLGGQSFLAKGALLSVVSSLAQVGAIHLQSMQQLLQIVRECLESSEWATRKAAADTLCVLASHSSHLLGDGAAATITALDACRFDKVKPVRDSMMEALQLWKKIKGQGEGGTSGDKKDSRNSDLTDSEEKATHKRSNSNKRSETLKNSSAGSSPSENDSVSRGKGTNMPEKAVILLKKKAPSLTDKELNPDFFQKLEKKSSDDLPVEVVLPRNCLQSSHSQCEEGPEAIYSDSTETPKHSGATLQQSDDIHGHNNANYHNAEKRLGVHNNVQDSDYFPRGRWIEQRGIRAKESKAEDFDGDDRLEVCQKDPSPGCLNVPRSDAHAEGSFMSNKANWSAIQRQLAQLERQQISLMNMLQDFMGGSHDSMVTLENRVRGLERVVDEMARDLAIKPGRRGGNMMQGFDKSPGRSSGKYDGLHDCSNSKFGRDSEGRFPFPERFLSSESMVSGVRRRGSPWRSESETWDYHGASRNGVVNSRRGFNAVPVDGRVPRSEHDADQVGGRWAWDKGPGPFRLGEGPSARSVWQASKDEATLEAIRVAGEDNITSITAARVAVPELDAEGIADDNLGLDKGPLWASWTRAMDSLYVGDVDSAYAEILSTGDDLLLVKLMDKSGPVFDQLSNEIASEVFRAIGQFVLEESLFDIALSWLHQLSDLVVENGSEFLSIPLEWKREMLLNLREASVSEPPEYWEGTPPDQLMMHLAAAWGLN >Ma08_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5225187:5234829:1 gene:Ma08_g07620 transcript:Ma08_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPVDADALESSGLPWGATVTPFAPADERGAVPIYGSGGHLLPRCDNCWAYLSTLCELERWGWTCALCGSFNGLDSATLDRYARPHDCPELSSSFIDLEIPVEGSGEAEIQARPVYVAAVDLSSSEEFLELVKSSLLAALEALVPGSLFGLVTFSHKVGIYDVQGPIAVVKNVFIPPDSEGRLPVDLEDVMPLLSFLAPVETCKDRIAAALETLKPTTSWERTTAAGQGLDGVLLGGRGFGVAMTALIDYLSSEYGSTFALARVFVFLSGPPDYGAGQLDTGRYGEQYASKREDADRALLPEQTPFYKDLAAIAVQAGVCVDIFAVTDEYTDLASLKYLSIESGGSLFLYANMDDSTLPQDMYRMLSRSYAFGCILRLRTSSEFKIGYSYGHFFPDPQYESVQHIICCDPFATYAYDFEFANSSGFSRHTEPPVLQVAFQYSIIVPREDTGISESNSSTSSKFCLKRRLRIRTLQYGSASNINELYDSVDPEALLSILVHKVILATFKEGVREGRMLLHDWLVILTAQYNDAYRHVYNNIGNATSHVDVAFLQCPQLQPIPRLIFALLRNPLLRLHEEGIHPDYRIYLQCLFSALEPTPLQRAIYPLLSSYTTPDQQAYPRHSLSHAALVTSGSPIFLLDAFTNIIVYYSPTADSSIPFPPPHDCLLRATINKLKQERNITPKLTFIRGGQDDATAFEKYLIEEQNIDGSDLSSIMGFVSFLEEISRGVLEYLK >Ma10_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29231330:29233348:-1 gene:Ma10_g18190 transcript:Ma10_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSSLPLLSSLLIPLFVLFASLAWRWTKSVRKNLPPSPPSFPIIGHLHLIAKLPPHRALAAIATARGPVVLLRLGSRPVLLVSSAPAAEECFTAHDVAFANRPNLLAPQILGFVCTTMGWTPHGPHWRDLRRIYAVHLLSSAALRSSSDSRTRVVRSLAKSLFLEPGDSEPNGPRRVEMKSRLFNLVYDVMMGLVATALEESAEDRHRFREIVEETSAVSGAANVADFFPVLQRLGWRGPERKLARLVQKRDALIGQLIERHRARRRRSGSNGDKERPTMIEVMLSLQESDPETYTDVTIKALSAELLGAATDTTASTMEWAMCLLLIHPEVLHAARAELDAKIGQGRMAEEKDIPNLPYLNCIINETLRLYPAVPLLVPHESSQHCTVGGYDVPRGTMLLANAWAIHRDPNTWDEPEEFKPERFQCEGGKEAGLRMLPFGSGRRKCPGEGLAMRLIGLALATLIHCFEWEKLPGEEVDMTEGPGLTMPKAKPLEVVCTPRHTMLHALSQL >Ma08_p34510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44471726:44473973:-1 gene:Ma08_g34510 transcript:Ma08_t34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKMETGVEMEEHKVAVEEEEEEEEVQAQVYPAPVHSHEEVVRDKLAFMESLRRFHSSMSTKFMIPVIGGRELDLHLLYVEVTQRGGLAKVIEEKKWREVIAAFKFPPTTTSASFVLRRYYLSLLHHYEQVYYFRAQGGLIPPAASSQTRTPPGKLERGVVVPDSTMQTSKTRKRPLPEPQNRGPYNFTVTGSIDGKFEYGYMVTVKIGSDTLRGVLYHVHQPSASSSTLAKAPPETANAPSSSAVTRTRLRRRRRCRHRDPAHPKPNRSAYNFFFAEKHSKLKALYPHREREFSKMIGESWNKLSLEERTVYQDYGQKDKERYKREMQEYQGKAEACSARGSGEA >Ma04_p08390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6012425:6014369:-1 gene:Ma04_g08390 transcript:Ma04_t08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSCSNEPGASEADEVGDASEANDGRPVSAGSTDDSQPVRSSAPCPKRCRRAVQKRVVTMPISEAKGGGEGAPPPDSWTWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPAVIVVTYSFEHNHTWPVPRNHHHKHAAARPEEQLGQSGTPDSAERDEKFSDPIAEEESALTVDARGGFPWFADVCFTPSASPSVDNSHELLYGSVIFAGAAAAAALPEELEEQAAGGGGGAEEDSLFAGLGELPEYSVVLRRGLVPASWLGTTG >Ma10_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31032012:31036041:1 gene:Ma10_g21050 transcript:Ma10_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSCPLSLRPSPLLPSSSAPLRISFSCPTTLTLGSKKKAQLSVLCRRSDYFDQQRLFSRPPSPSQDEPSFLASQPPGAQPSRVFVGYSIYKGKAALTVEPRAPEFAPLESGAYKVSKEGFILLQFAPAGATRQYDWSRKQVFSLSVVEVGHLMSLGAKDSCEFFHDPFKGRSDEGKVRKVLKAEPLPDGTGHFFNLSVQNRLLNVDESIYIPITKAEFSILNSAFNFVIPYLLGWHTFANAIKPEDSFRSNNARSGPEMEWGR >Ma11_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4590787:4598251:1 gene:Ma11_g05860 transcript:Ma11_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAIANPTTLSPPTALASQKPVASHFPSSLRPLSSTSSSSSSAAAYALHNLHRIAKPWLPIVPRKLDAPLVRLDAAAVADAEAELAEGEAASDSDSDSAVATATPPSKPKTGKAALPLKRDRTRSKRFLEIQKLRENKKEYDVPAAVSLLKQTSNTKFVGSAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGERIDEAKNAGADIVGGEDLIQQIKGGFMDFDKLIASPDMMPKVASLGKLLGPRGLMPNPKAGTVTTDIPQAIQEFKKGKVEYRVDKTGIVHLPFGKINFSDEDLIVNLVAAVRSIEANKPSGAKGVYWKSAHICSSMGPSIRLNIREMLDYKPPMV >Ma09_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35414301:35416666:-1 gene:Ma09_g23580 transcript:Ma09_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASELLVVMSWLCVVGCARGIGANWGTQASHPLPPSTVVQLLKDNGIQKAKLFDADDRVLSALANSGIQVMVGIPNDMLASLASDHKAAERWVAKNVSDYVHDGVDIRFVAVGNEPFLETYNGSFLQTTYPALQNIQAALDAAGLSNQVKTTVPLNADVYRSVTGKPSDGDFRSNIHDLMLSIVKFLSDNGAPFTANIYPFISLYEDPNFPVDYAFFDGYSSPIVDGSFTYTNVFDANHDTLVAALQRNGFGNVSIIVGEIGWPTDGNMNANQQLAQRFNQGFMNHVSSGNGTPLRPGPIDAYLFSLVDEDEKSIQPGNFERHWGVFAYDGSPKYELDLRSTTEAAAAAAVANSSSLVGAKNIKYLERKWCVLKPSVSLDDAEIAPSVSYACENADCSSLGYKTACSDLDAQGNISYAFNSYYQKNDQDNRACGFSGLATVTEKDPSTSTCKFDIMIDAEAAESWKARTLTQLNLLWCIVLPVIVTFV >Ma08_p33730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43954368:43955133:1 gene:Ma08_g33730 transcript:Ma08_t33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYVLLLLAALLALASSPAMARDPGALQDLCVADNTSNVFVNGFVCKDPKLVKAEDFFFSGLDQPRNTSNKVGSNVTLLNANRIPGLNTLGISMARVDYAPFGLNPPHIHPRATEIQTVLEGSLYVGFVTSNPDNRLVTKVLRKGDVFVFPQGLIHFQFNYGTNKAVALSGLSSQNPGVITIANSVFGSKPAISDDILAKALGVDKKIVDRIQAHF >Ma01_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3329060:3331468:1 gene:Ma01_g04850 transcript:Ma01_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRSAILRHLRLRVVSSAPQVSSPFASLLRCGFSDEVKGSFLDKSEVADRIITVVKNFQKVDPSKVTSNAHFQKDLGLDSLDTVEVVMAFEEEFGFEIPDSEADKIDCIKVAVDFIASHPQAK >Ma04_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21869539:21872734:1 gene:Ma04_g19260 transcript:Ma04_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQRLKEFRERLKSTSRFFLGYIFILYNSEIVLFKEVFLCRVFQEYDFARTVNVATEKVELKEGPLEQFTHEMEPYLRKQGLPVRLKKGVIGLVSDLAVCEQGMPLSSESARNLLTCLILDSDSHCLSSHLAKYHQQLFPEQLCCLP >Ma03_p28450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31567390:31569806:1 gene:Ma03_g28450 transcript:Ma03_t28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRSKLAPFPIFSLLPCSFSSAFCCFFFFYLAVFFFSFSCSEPASADVVLLLNKIKPTLQGSAVNAELSSWNASLPLCLWRGLGWSVGASSAVLRCEDDSALRSNLSLSLDPSLRLLSIRLPAAALAGSVPPELGQFSYLEVVYLGVNSLTGTIPLELGNAPALADLDLSSNTLDGTLPPSIWNLCDRLKSLRLHGNNLSGAVPDPAEPSSSCDKLKTLDLGNNRLQGSFPNFITRFGGLEELDLSSNLFSGSIPDSLAGLRSLQKLNLSHNNFTGPLPASFQESSFTAEAFQGNNPSLCGPPLGKCGSSSGLSAGAIAGIVIGLLAGAVVLASVSIGWVQGRKRRNRERKADEVEDMVFEEDGNNGAEGKLVVFQGGEHLTLEEVLNATGQVMEKMSYGTVYKAKLADGGNISLRLLREGSCKDQVECLPVIRQLGRVRHENLSALRAFYQGKRGEKLLIYDFHVNKSLHELLHDNRAGKPQLNWARRHKIALGVARGLAHLHTALETPITHGNVRSKNVLVDDHFVPRLAEFGLDKLMVPAVADEMVSAAKSDGYKAPELQKMKKCNPRTDVYAFGILLLEILMGKKPAKGSGRDGDGADLPSLVKVAVLEEATMEVFDVEVVKGIRSPMEEGLVQALKLAMGCCAPVASVRPDMNEVVKQLEENRPRNRSALYTPTDRSEIGTPF >Ma05_p24610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36854238:36855350:-1 gene:Ma05_g24610 transcript:Ma05_t24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYCFTSTNDKPRKPSPPAAGKRAAAAVGSAGGGGKDRPYRGVRMRKWGKWVAEVREPNKRSRIWLGSYSTAVAAARAYDTAVYHLRGRSARLNFPDEILSDDPVVGGAPMSAALIRKKATEVGARVDALWMGLMSPTPPQQQQQQPHREHHQHRLQFQQQQQQPTRAAKNPDLNQQPSPESSDVD >Ma08_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9225734:9226090:1 gene:Ma08_g12240 transcript:Ma08_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPAKLDVPKKRKEPEPSSDSPPTESAANDKPCPPPTAPRPMPRDATMLLAGCLAHEYLTRGTLFGESLKPESGSDPGPAKPESPAHAEASIPKADADTPQASSTPPSSPAGSGCE >Ma02_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23021693:23022254:1 gene:Ma02_g15240 transcript:Ma02_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCVIDVRSNKLSDEVRKRGGGFTKLCSLSPLLQDFVGKSELARTEVVKWLWPYICEKKLQDP >Ma08_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:338997:349774:-1 gene:Ma08_g00370 transcript:Ma08_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSAVPSANYSTPLAASPAKRRSGGHGGWEERSDALRRLGRRVKPFEHFGALGLFVLTAALVFLLLLRLVHSDYYGVAGLAWESGPAVGGGGGCDWFDGEWVWDEGYPLYESVDCPFLDEGFRCSENGRPDRMYTKWRWQPAGCDLPRFDPKKMLEKLRNRRLVFVGDSIGRNQWESLLCMLSVAVSNKSSIYEVNGSPITKHMGFLVFNFSDYNCTVEYYRAPFLVLQSRAPAGVPKKVKTTLKLDILDWTSNRWKDADILVFNTGHWWNYEKTIRGGCYFQEGGQVKMEMSVNTAYQRSIQTLFEWIRKEVNRSKTQVIFRTYAPVHFRSGDWKTGGNCHLETLPDLGPRLSLKPWSHFLRPLRNVPLENSTATKVLELDLLNVTQMTARRKDGHLSLFYLGKLGPSPLHRQDCSHWCLPGVPDTWNELLYALFMRSEFMRLQNVTVIGNTRVKLSE >Ma06_p04720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3526229:3526786:-1 gene:Ma06_g04720 transcript:Ma06_t04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLIAISAQHYLWSITLEHETLENLELMNMDGQGVLTTDQYQHQRVAIKPSFQHSHLELPGGLVLKRATLVAVKPSEVWGREVANDGGCQQAIWISNAFEDPYIQVCREDACQEEDFLSGDEFHIGLEEINLRDI >Ma09_p25440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36890028:36892147:-1 gene:Ma09_g25440 transcript:Ma09_t25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISYTTKKSKGVIDCLCCTKSANEENAAKAEESRRKQKPPSDENKKKTWRKRKWKRWWRKKQQQQKKANHLASLVSTMSFRSDSGKHRKAVEEILCIGSDNIAAHVYTFRELAAATQNFNSENLLGEGGFGRVYKGELKDTNEIVAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVKLLGYCADGDQRILVYEYMPLGSLEDHLLDVSADAKPLEWHTRMKIAAGAAKGLQYLHETANPPVIYRDFKASNILIDEDYNAKLSDFGLAKIGPVGDKNHVSTRVMGTYGYCAPEYALTGQLTKMSDVYSFGVVFLEMVTGRRAIDTTKPTPEQHLVHWAEPLFKDKSKFVAMADPFLEGKYPMKGLYQALAVAAMCLQEEASIRPLISDVVVALEHLADPKNDDIDRAEQSAPISSSEVAQETAGEGNKEVLDSTSDQESYSFSSREKELNELDVV >Ma06_p33930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34378720:34380049:-1 gene:Ma06_g33930 transcript:Ma06_t33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSLFSFVTLLIMFGVAHGGDGGWIDAHATFYGGGDASGTMGGACGYGNLYDQGYGTESAALSTALFNNGQSCGACFEIKCAGGQWCLKGSIVITATNFCPPNNALPNDDGGWCNPPRHHFDLSQPVFEKIAQYKAGIVPIQYKRVPCVKKGGIRFTINGHSYFNLVLITNVGGAGDVVAVSVKGSRTGWQPMSRNWGQNWQSSSNLDGQSLSFSVTTSDGLSVTSMDVAPPNWSFGQTYLGGQF >Ma01_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8635624:8638225:1 gene:Ma01_g11920 transcript:Ma01_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFLGGGGGSQQQRGEQQGGGIPPSESFFLYGVRGGRGEDVAYTRGFELWQHHQIQREQQLYSTAGFPDELPSVAPRPARGSLGGGSGVMSCQDCGNQAKKDCAHMRCRTCCKSRGFQCPTHVKSTWVPAAKRRERQQELTASAAAVQHDERHRGGGRSTGEGSSGGGEPSKRPREITACTRLPAAMASTTTYGGSLDPESFPPEVSAQAVFRCVRLSHVDEADDIYAYQAAVSIGGHVFKGLLYDHGPEAEYPSSSSSRYQLHHGEGSSSPAAAAATAIVSASASASAGDAAIATTATAAATELLEPYPTPLSAFMAGTQFFPHQHRP >Ma02_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28650923:28654639:1 gene:Ma02_g23770 transcript:Ma02_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPVHPMTPMAPILTANITTEEIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQRNLLYLAAIADAQPQAHAVRPQMMPQGGHFMQQAPVFPPRVPLQFPQQRPEQHLHHQVQAMPFPVQMDMRTGAMNDMHPLPPSHAATLRQPHDGQGSKQDASAADLGAREPEQSHAKRPEDLKTP >Ma08_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11242823:11249420:1 gene:Ma08_g14130 transcript:Ma08_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEILGINFGCVIGALRAWEFPEKDCLLPLVAKILGYCIVAASTTVKVPQILKILKNSSIRGLSVVAFELEAVGYTIALAYCIHKGLSFSAYGELLFLLIQAIILVAIIYYYSQPVGGKAWIKPLLYCAVAPTVLAGQIDPLLFEALYASQHAIFFFARVPQIWENYKNKSTGELSFLTCFMNFCGSIVRVFTSIQENAPLSVIMGSVIGIATNGTILSQILVYQKPDAKKEKKGQ >Ma05_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19341501:19346876:-1 gene:Ma05_g17110 transcript:Ma05_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVLYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETIYRGARKGRGLVIAPKDYSTKYRY >Ma08_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:13761077:13761501:1 gene:Ma08_g14600 transcript:Ma08_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIRHLGFCCDVNVIQLLGFYYDGTLQALIYERCWQHVTTQDFSNISLICKLDFGCRLMASPSELQLKRLVVGSAAPKLDGGFDNSFGVEVIGGCAAIGEEGRIILAPRQ >Ma09_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1184145:1188280:-1 gene:Ma09_g01590 transcript:Ma09_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQTLTSSPINPTPFPHRHLRHSPLPLGRLLPHRPSTPFPLPVQHLRFPIPAPAAVPMESVSEWGLSPLSAVDPEIHDLIEHEKRRQSHGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEHIDAIENLCRSRALAAYQLDPAKWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVSPVTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRSIADKCGALLLCDMAHISGLVAAQEAANPFEFCDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEDAVYDFEDKINFSVFPALQGGPHNHQIAALAVALKQAMTPGFKAYAKQVRANAVALGNYLMRKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIAEFLHQAVTLCLSIQKEHGKLLKDFNKGLVNNKDIEELKAAVEKFSALFDMPGFQMSAMKYKD >Ma11_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9772822:9773673:1 gene:Ma11_g10370 transcript:Ma11_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVGTRSGDRRRRSSEGDKERNQAAVIEEERLLRGVEENEGVPYRCHVLRFVLAFFVLLSFFALVLWGASRTQKPRITMKSITFESFFVHAGVDASNVPTGMATLNSTVEFTYRNTGSFFAVDVTSTPLSLNYYGITVASGNMSHFHQSKQSQRVLNVVVMGKQVALYGGGPGVSGTGPVNMTLSFTVRSKAYVLGKVVKSKFHDDVRCSVVMDRTELGRAVSLKNSCR >Ma01_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5878735:5892024:-1 gene:Ma01_g08220 transcript:Ma01_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSSDGEGSGGHEAAAAPKKIPSASSMPWVRNLRRFVGSGAGLGSEALMELETKRILLEIFKERQQKNAQAGLIPSFYKKKPEEGSISHRVQRLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASLCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAVRKFFFFCDPHRRGKADIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDKNGTLSKQELKEYADGTLTEIFIERVFDEHVRRSKTGGGNSREMDFESFLDFVLALENKDAPEGLTYLFRCLDLQGRGYLTTADVHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPVDPLRVTLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >Ma07_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6312314:6315103:-1 gene:Ma07_g08480 transcript:Ma07_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTEIFDSAVRDCCSPSREHLMSLEKDLGFLAGEVQELKCKREAVSREVEVAKVRGLEPKRRVIEWMKSIQQLETETRSLNEEFSRLKRRGACSSLSANLSSSSEQLRRKAEIAVATAGKLKRNGKFRKLALPDETLVPDEAFEQLRRHASDGSVSFIGVHGMGLAGKTALLRSLYDDFLKRQTDYDVVIYLEVRGEVMDVQSSLCKQLSLPCPETQIERRDLLFGVLSKSNFALLLDDLWEPLNYELVGIPIPNPSTSKIIVASRLEDVCSRMGAQKTIEVEGLAEEQAWDLFQSTLWGKEPNNVDMIIIYRAKAMVYKCGGLAAALVTTAREMKDQKTSEEWKEKGHIMKNAPHELPGMEKEVLGPLKRSYDRLSGELQKCASCFALQAEGRPIRKDLLMELWIAEGIIADFENIGDAMSRASRLLQELTAASLIKRLDDETFEMHPMVRAMILWVANGCEERKNKWYVRVKEWAKETPDAGIWNVAERIALSGNVIETLPDNLDCPKLVYLDLGNNRRLEPIPDGFFGCIPCLRVLNLQHVDIETIPANIGHLVHLEYLSLCGTRIESLPSSIRDLVNLKHLILLSTNSLRDIAGGIMSGLEKLMWLHMMDSYSGWSTGEAREMGSSLGELEGLDDLRVLGITIATEAALQGLCGSRRLAMRTHWLQIEDCDGLTSFTIPCSFSLGKAMLNLIELRLHKLHELKEVVFSESDAALSNLKRLRLSDLRRAKLTWAGSCLQNLEELEIEECNGIGPALIELEDEGAMDGLQTITILPDLKRIKLENLRRLQSLSHGAGVFAFRRLETMEVADCPKLNKLSLVAPELKEIRCEVRWWRQLDWKDERTKCFDKLFKPL >Ma06_p36840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36091780:36096147:1 gene:Ma06_g36840 transcript:Ma06_t36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLEDLLTAVSLAAAPAGTEAETVVLPGPAGGSASVSPSWLVGCHGLLYNLALIVPSVIFVAYLASLARKSFAKLTNGYSYVMIAYYALLWLISVLNLLWCLVQAWQCSPEKELSWNMLSLFTESGMLFLEVSLLAFLLQGNHAGGLDVLTRTFVISGVIVAADTLLKAIYIFGFGVPLFADNNETAHQARWGLWIVHKLLLTAVYGSIFFMHHSKWRERLPARPAFYNYVCVMLLLNTMSLFGCLLAGNGAGFGIWLSNLITVCYHSLYLPLLYVIFLADFFQEEDLRLENVYYSEMKDAGFFDDDWD >Ma04_p37250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35252794:35260302:-1 gene:Ma04_g37250 transcript:Ma04_t37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADVGREGACVRSSSSPRAAAMPSRQMTKELKGVKPIVSKKQSLNNSMNQQKLENGCLPHDIKNVRRRRGRGRRKAVEREVKQEVEVGPETVAPPVSSKGVVFCRRPGFGQAGTRCIVKANHFLAELPDKDLNQYDVTITPEVSSRSINRAIIAEFVRLYRETDLGMRLPAYDGRKSLYTAGSLPFTSKEFTIKLLEEDGGITREKEYRVGIKFVAHADLHHLRQFIAGRQTDAPRQALQVLDIVLRELSSQRYISVGRCFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSCTAFIDPLPVVEFVAQILGKDVSSRPLSDADRIKIKKALRGVKVEITHRGNVRRKYRVSGLTAQPTRELIFPIDDQMNMKSVVEYFKEMYGFTIQYSHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREQEIDVLQTVRQNAYGHDPYAKEFGINISDKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMMNKKVINGCTVNYWACINFSRSVQENTAHSFCQELAQMCQVSGMEFNREPVIPIYSARPDQVEKALRHVYNVATNKLKGKELELLVAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKVSKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPARYHVLWDENNFSADEMQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMDPAVSENSTSRSVCQGNDSPVKPLPALKEKVKRVMFYC >Ma10_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27783525:27787774:-1 gene:Ma10_g15840 transcript:Ma10_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAAAADTPSEPPASSDFVIHHRWSRSPAAADSSLPRFQPRALHVGRAYPNGGGEFERRPRAGEATGYTSLRDLIDSPPLPSGASSPAAPGAGGGREIRIRNRLVKQAAYAYLQPTPSAAESDRLRRRRQSLRRFLAVLTCGLGVDPLLSCIDFVCRLVHRPRR >Ma04_p33190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32958110:32961256:-1 gene:Ma04_g33190 transcript:Ma04_t33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWKWFCWSREGASDAVLRDPEPFSLPFPIPKWPQGGGFAKGSICTGELEVLKITKFERIWSCRLSSLEEGKGALFYKPSAIPNGYFSLGHYAQPCNQPLHGFVLVVRENADFQPGSSLPALREPLDYKLVWSSNGSVEESHGSCGYFWLPLPPEGYRAMGYLVTSGPNKPSLEEVRCVRCDLTDASEAHELMIDMETIFPYLPCQVWKMRPFSRGMLGTGISVGTFCCNTDSSCTDMSNIYCLKNLDSSLNAMPNLEQIHALIEHYGPTLVFHPKEVYLPSSVSWFFENGATLYKKDVKVGEHIHVDGSNLPSGGKNDGAYWIDLPDDDRNNFVKKGNIESAELYVHVKPALGGLFADIAMWIFCPFNGPATIKVGMANFPLSKIGQHVCDWEHYTLRISNFTGELWSIYFSQHSGGKWIDVPGLEFYEGNRAAVYSSKSGHASFPHPGNYLQGSEKLGIGVRNDTAPSMLLVDSSTNYQIVAAEYLGDAVKEPFWLQYMREWGPTVTYNSRSELNKILNLLPYNLRYTVENIFNSLPMELYKEEGPTGPKEKNNWVGDERW >Ma06_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6848723:6859182:-1 gene:Ma06_g09860 transcript:Ma06_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGATGWLRGKVKAVTSGDCLVIMGSTKADIPPEKTITLSSLIAPRLARRGGIDEPFAWESREFLRKLCIGKDVTFKVDYTVPTIGREFGSVFLGDKNVAFLVVSEGWAKVREQGQQKGEASPYLAELQRLEEQAKQQGLGRWSKVPGASEAAVRNLPPSAIGDPGNFDAMGLLAANKGKPMQGIVEQVRDGGTVRVYLLPEFQFVQVFVAGVQAPSMGRRPVSDPIPESDVTTDDTNGEISGENRQPVTSAQRLAASSASFTEVAPDPFGREAKHFTETRVLNRDVRIVLEGVDKYSNLIGSVYYPDGDIAKDLALELVQNGFAKFVEWSANMMEDDAKRKLKAADLQAKKDRLRIWTNYVPPASNSKAIHDQNFTGKVVEIVSGDCIIVADDAVPYGSPLAERRVNLSSIRAPKMGNPRRDEKPAPYAREAKEFLRTRLIGHQVNVSMEYSRKVGMADGPNAIVSSSADTRVMDFGSVFLVKSEGDETSSPSPNQPVGTNVAEMVVSRGFATIVRHRDFEERSNHYDALLAAESRAINSRKGIHSARDPPVMHITDLTTASAKKARDFLPFLQRSRRHTAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGREEPFSDEAIALMRRKILQRDVEIEVETVDRTGTFLGSLWESKTNTAITLLEAGLAKLQTSFGSDKIADAHLLSQAEQSAKRLKLKIWENYVEGQEVSNGPSAEAKQKEVLKVVVTEVLGGGKFYVQTVGDQKVDAIQQQLAALNIKEAPVIGSFNPAKGDVVLAQFSIDDSWNRAMIVSGPRGAVESPNDKFEVFYIDYGNQEIVPYSRLRPLDPSVSSAPGLAQLCCLAYIKVPNLEDDFGQEAAEYLSECTMNNSKEFRAMIEERDTSGGKARGQGSGTVLMVTLVDVEAEVSINATMLQEGLARLERKKRWDTRERKAALDNLEEFQGKAKGDRLNIWQYGDVQSDDEESAPPPRKAGGR >Ma03_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14536146:14544041:1 gene:Ma03_g14760 transcript:Ma03_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFGAIFSWKKQLTYSEQNLEGFSSEKNIKLFSYIELKSATDNFHPSNKIGRGGFGTVYKGTLRNGALVAIKVLSAESRQGIKEFLTEIDVITNVKHPNLVELIGSCVEGGNRILVYEYLENSSIDRALLGRNSDATKLNWYIRSSICLGIARGLKYLHEELEPPIVHRDIKTSNILLDRNFVPKIGDFGVAKLFPDNITHISTRVAGTTGYLAPEYAMKGKLTKKADIYSFGILVLEIISGRSNSKSWSEIGKPLLEWTWNLFEEGRLAEVVDPALKEYPQDQVIRYIKVALFCTQAVAGRRPSMLQVVDMLSKPVQLNDEEISSPGYMEDRGKISRGLRVTNTTYPRSKDSTSSDTTTPFTSGHVTFTEMHPR >Ma03_p14760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14536146:14544041:1 gene:Ma03_g14760 transcript:Ma03_t14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFGAIFSWKKQLTYSEQNLEGFSSEKNIKLFSYIELKSATDNFHPSNKIGRGGFGTVYKGTLRNGALVAIKVLSAESRQGIKEFLTEIDVITNVKHPNLVELIGSCVEGGNRILVYEYLENSSIDRALLGRNSDATKLNWYIRSSICLGIARGLKYLHEELEPPIVHRDIKTSNILLDRNFVPKIGDFGVAKLFPDNITHISTRVAGTTGYLAPEYAMKGKLTKKADIYSFGILVLEIISGRSNSKSWSEIGKPLLEWTWNLFEEGRLAEVVDPALKEYPQDQVIRYIKVALFCTQAVAGRRPSMLQVVDMLSKPVQLNDEEISSPGYMEDRGKISRGLRVTNTTYPRSKDSTSSDTTTPFTSGHVTFTEMHPR >Ma05_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4147432:4148463:1 gene:Ma05_g05460 transcript:Ma05_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGLGFQGEVVEEEARRKEGRQLRSGGRRRRRRGDDGSPDTLIYYSSDHHSCSGDGAFGDAGGSLFSSGARSAGNPCSFGSDHEAVFSILFLRFVLETKKWELSGKKSKASVNNFIFLQQI >Ma02_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24980758:24983265:1 gene:Ma02_g18520 transcript:Ma02_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLIIWLAASVIVVTVILCKSRKLKRRTRAGRLPKGALGWPLIGETLEFVSCAYSPRPESFMDKRRLVYGKVFKSHIFGSPTIVSTDAEVSRFILQSDEKSFVPWYPKSLTELMGKSSILMINGSLQKRVHGLIGAFLKSGHLKSQVTKDMQRYVQETMSNWKDGHLIHLQDEAKLIVFQILVKGLIGLEPGEEMHILKQQFQEFIAGLMSLPVKLPGSRLYRSLQAKKKMVMLIQRTIQEKRMSEPRCPPRDVVDVLLNDSNDQLPDDLISDNMIDLMIPAEDSVPVLLTLAAKYLSDCPLALQQLEEENMQLKKSKSLLGETLQWTDYMSLSFTQDVITETLRMGNIISGIMRKAMKDVEIKGHLIPKGWCVFAYFRSVHLEDDLYEEAYCFNPWRWKGKDTSTCGFTPFGGGRRLCPGLDLARLEASIFLHHLVTGFTALTFTAAHTCCSATLRHHG >Ma02_p18520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24980758:24983311:1 gene:Ma02_g18520 transcript:Ma02_t18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLIIWLAASVIVVTVILCKSRKLKRRTRAGRLPKGALGWPLIGETLEFVSCAYSPRPESFMDKRRLVYGKVFKSHIFGSPTIVSTDAEVSRFILQSDEKSFVPWYPKSLTELMGKSSILMINGSLQKRVHGLIGAFLKSGHLKSQVTKDMQRYVQETMSNWKDGHLIHLQDEAKLIVFQILVKGLIGLEPGEEMHILKQQFQEFIAGLMSLPVKLPGSRLYRSLQAKKKMVMLIQRTIQEKRMSEPRCPPRDVVDVLLNDSNDQLPDDLISDNMIDLMIPAEDSVPVLLTLAAKYLSDCPLALQQLEEENMQLKKSKSLLGETLQWTDYMSLSFTQDVITETLRMGNIISGIMRKAMKDVEIKGHLIPKGWCVFAYFRSVHLEDDLYEEAYCFNPWRWKGKDTSTCGFTPFGGGRRLCPGLDLARLEASIFLHHLVTGFTWVAEEDQIVNFPTVRMKRRMPIIVRRKN >Ma10_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25044877:25046257:1 gene:Ma10_g11570 transcript:Ma10_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESPSSYRYMGRSYSDSGDSSGAFSDCNSDHSGEFPSSGSPTSSISSSGGGLHRLLISCAADYSDEVVRGLISEIESPSVTVESQRRAAMELRLLAKHSSENRLRIAAAGAVGPLVALLSHPDPQLQEHGVTAILNLSLCDENKAPIAGAGAIRPLIRILRTGTPAARENAACALLRLAQLDDLRAAIGRSGAIPPLVALLEFGGPRGKKDAATALFTLLASRENKARAVEAGIVRPLLDLMADPESGMVDKAAYVLHAVVEVAEGRAAAVDEDGIPVLVEMVERGTPRQKETAVRSLLEICKDSAVYRKMVAHEGAIPPLVDLSQSGTKKAKEKAESLLELLRQPRTTGNSHR >Ma07_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4034702:4043195:1 gene:Ma07_g05570 transcript:Ma07_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAEKVLQIPQTCARVPAVIVFGDSTVDAGNNNQIKTVLKSNFLPYGRDFTGGLPTGRFCNGRLATDFISEAFGLPPLVPAYLDPAYTIRDFAKGVCFASAGTGLDNATSDVLSVIPLWKEVEYFKEYQKKLRRHVGKARARNIVREAVYVVSIGTNDFLENYFLLVTGRFKQFTVEEFEDFLIDRAADFLTAIYRMGARKISFTGLSAIGCLPLERTTNALQGGGCIEEYNKVARDFNVKLQALIARLCASLPGLKLRYSPVYDSLLRIIRSPSSYGIENVEEGCCATGKFEMGFLCDQWSPCTCEDANKYIFWDAFHPTEKINRMMAKQALRTSLAEFL >Ma11_p23130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26616646:26620588:1 gene:Ma11_g23130 transcript:Ma11_t23130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMESDRKKRVRRGRNGSHTVAETIARWKEHGCQLDCSMDGEKPIRKPPARGSKKGCMRGKGGPENPSCRYRGVRQRTWGKWVAEIREPNRGGRLWLGTFPTATEAALAYDDAARAMYGSLARVNLPGAVMSKSCESTTTSHHSDAINASVSSTGHIKVPSIEPKDKVHFPKAELDDEELKGEAGCDKEPSSTADASNMGVCQYGDQTDAPEDEFSVEEMLRLMGDDTEVNVHDQFGTVHADTNWQCFSPSGMSSGFQNPDATTLGSLWRTEENPFDCKDSLLWPWVDDQDKGPEEAIETSEFGVSYGDFLSSPNIW >Ma11_p23130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26616646:26620588:1 gene:Ma11_g23130 transcript:Ma11_t23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKPIRKPPARGSKKGCMRGKGGPENPSCRYRGVRQRTWGKWVAEIREPNRGGRLWLGTFPTATEAALAYDDAARAMYGSLARVNLPGAVMSKSCESTTTSHHSDAINASVSSTGHIKVPSIEPKDKVHFPKAELDDEELKGEAGCDKEPSSTADASNMGVCQYGDQTDAPEDEFSVEEMLRLMGDDTEVNVHDQFGTVHADTNWQCFSPSGMSSGFQNPDATTLGSLWRTEENPFDCKDSLLWPWVDDQDKGPEEAIETSEFGVSYGDFLSSPNIW >Ma11_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26616646:26620588:1 gene:Ma11_g23130 transcript:Ma11_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKPIRKPPARGSKKGCMRGKGGPENPSCRYRGVRQRTWGKWVAEIREPNRGGRLWLGTFPTATEAALAYDDAARAMYGSLARVNLPGAVMSKSCESTTTSHHSDAINASVSSTGHIKVPSIEPKDKVHFPKAELDDEELKGEAGCDKEPSSTADASNMGVCQYGDQTDAPEDEFSVEEMLRLMGDDTEVNVHDQFGTVHADTNWQCFSPSGMSSGFQNPDATTLGSLWRTEENPFDCKDSLLWPWVDDQDKGPEEAIETSEFGVSYGDFLSSPNIW >Ma04_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8525226:8527065:1 gene:Ma04_g11950 transcript:Ma04_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYGGDNDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDVEYEDEEEGDYEEA >Ma04_p34970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33933221:33938707:-1 gene:Ma04_g34970 transcript:Ma04_t34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKGSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPVKGAAAAAGKKPGEEREDRRTLGLVLLRGEEVVSMTVEGPPPPDESRAKAHAAAALAGPGVGRAAGRGIPTAPLVQAQPGLAGPVRGVGGPAPGMMQPQISRPPVPQLSAPPVSYPQVIRPPPPGQMPGYPGQPPSVGQRPPTGMPVQFAARPGAPPPPFPVPPQMMRVPPPVMRPGMPAPLPPRPGMPPPPGGPVPVFAPPRPGMPPPPPPNQQ >Ma06_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8617608:8621012:1 gene:Ma06_g12420 transcript:Ma06_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGDRKGEPPVSEEGVERCPVEEVKLVVPETDDPSLPVMTFRAWFLGLTSCSLLIFLNTFFTYRTQPLTISAILMQIAVLPIGRLMASVLPDREVNLLPGWGFNLNPGPFNIKEHVIITIFASCGVSYGGGDAYSIGAITVMKAYYKQNLSFLCALLIVLSTQILGYGWAGMMRRYLVEPPEMWWPSNLAQVSLFRALHEKDSRSQGLSRMRFFLIFFVASFAYYTLPGYLLPILTFFSWMCWAWPRSITAQQIGSAYHGLGVGAFTLDWAGISAYHGSPLVTPWFSILNVAIGFIMFIYIIVPVCYWKFNTFDARKFPVFSNQLFTATGHKYDTTKILTPDFDLNVAAYDSYGKLYLSPLFALSIGSGFARFTATISHVLLFHGSDIWRQSKSAMNSLKLDIHGKLMRRYKQVPQWWFVVLLAGSIVLSLMLSFVWKEEVQLPWWGMIFAFGLAWLVTLPIGVIQATTNQQPGYDIIAEFMIGYVLPGKPIANLLFKIYGRISTIHALSFLADLKLGHYMKIPPRCMYTAQLAGTVVAGVLNLSVAWWMLENIENICDVDSLHPDSPWTCPKYRVTFDASVIWGLIAPGRLFGHGGLYRNLVWLFLVGAVLPVPVWVLSKVFPEKKWISLINVPVITYGFAGMPPATPTNIASWLITGTIFNYFVFRYRKVWWQRYNYVLSAALDAGTAFMGVLLFFALQNQHHNLHWWGSELDHCPLASCPTAPGISVKGCPVF >Ma05_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27631987:27634141:-1 gene:Ma05_g19310 transcript:Ma05_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVWTSLASIMGVFAFFQSILHAVFPPELWFAAAKLFHRLFRCFSTYCYFDITETDGVNTNELYHAVQLYLSRSASMASSRLSLSRGLNSSAFTFGLANNDRLVDSFRGASATWEHAVTQRQSQTFSWRPLPEEKRSFTLRIKKKDKPLLLPAYLDHIMETATELRRRNQDRLLYTNSRGGSMESRGFPWESVPFKHPSTFDTLAMDPARKELIMADLNDFAQGKAFYEKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDVYDLELTEVHTNSELRKLLMKTTSKSIIVIEDIDCSVNLSNRSSKKPVPPCEPPSDLRPTGGTEDGGGAARTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALVRSGRMDMHVFMSYCSFLALKILMKNYLGWEDGEQNDELMWELAEVVDEAEITPADVSEILIKNRRRERREAAAELLEALKARVERRKKERKRGLSEQVVEEEEEQEKRALESPKESTGQLMHSRNAKEDKGAEED >Ma03_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24333202:24338313:-1 gene:Ma03_g18830 transcript:Ma03_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKQTSHQIPTHAAKPAKPPSRSAASASAPTSFLRAAAWYLLREQRLVFVIAGAVIASTFFLLHPYHSSFPLLHTSDRFLHEGFLPGVRHPSFSSPSSSGGSVAVGAGFVGSHLVDKLLARGDNVIVIDNFFTGRKENVVHHFGNPSFELIRHDVVEPILLEVDEIYHLACPASPNCFKFLTFEYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVDGLIALMQSEHIGPFNLGNPGEFTMLELAEIVKEVVRSFCNHRIQAQYCR >Ma06_p08680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6115900:6116923:1 gene:Ma06_g08680 transcript:Ma06_t08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAO [Source:Projected from Arabidopsis thaliana (AT1G08380) UniProtKB/TrEMBL;Acc:A0A178W5Y5] MAATSCVAGLGSPLSLARPSSKPSLASGFIGTRGAARNPLKLKLASGGRFTCFERNWLRTDLNVIGFGLIGWLAPSSIPAIGGKSLTGLFFESIGTELAHWPTGPALTSQFWLWMVTWHLGLFLCLTFGQIGFKGRTEDYFP >Ma06_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6115923:6116923:1 gene:Ma06_g08680 transcript:Ma06_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAO [Source:Projected from Arabidopsis thaliana (AT1G08380) UniProtKB/TrEMBL;Acc:A0A178W5Y5] MAATSCVAGLGSPLSLARPSSKPSLASGTTTAHGLSLSWIDLTMDSTGFIGTRGAARNPLKLKLASGGRFTCFERNWLRTDLNVIGFGLIGWLAPSSIPAIGGKSLTGLFFESIGTELAHWPTGPALTSQFWLWMVTWHLGLFLCLTFGQIGFKGRTEDYFP >Ma03_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22391805:22392939:1 gene:Ma03_g17130 transcript:Ma03_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAPSLGFSLSNSSSRKLSGLQQNTSPASLRLGSSPSNKLQLKSMRGRPQSSAINVSLSSTNHPSLESGGGPATDVQEMCVYEINELDRNSPAYLRLSQKDVNSLGDLVPFSNKVYSGDLQKRLGITAGLCVLIQHVPERVGDRYEAIYSFYFGEYGHISVQGAYLTYEDTYLAVTGGSGVFAGVRGQVKLRQLVFPFKIFYTFYLEGIPDLPEELLCSPVPPSPEVEPTPAAKAAEPHAALNNYTN >Ma01_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13975669:13976081:-1 gene:Ma01_g18660 transcript:Ma01_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLEFFAVTCIQFNPIDDRHFISGSLDPKGALVGSHKGRFRLYNTSGMNHHLRFLICIIGLLL >Ma04_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1039462:1042886:1 gene:Ma04_g01190 transcript:Ma04_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGRGLVDRKHFERQMGCMAGFLNLFDRHQILARKRLPPAPAAGSALPKERSRASAAAFAKERRPSPSLERHSPAEIPSIPYPRPVFEVTDEARSSWKLREAPPLSLNSRAMADAKGKLRRREIRTASPTASPSNHSDSSAAADESEKQRRGPSVVARLMGLEALPDPASAFEDESDRLVLRRSASESRVPRDPSYYQFLDVGSFPKAPPVETSHLGDIRLSDAKKSKSPARNLLLPPLQRKRFFDAEDFFPVTKRWVLLPSELEKRSLMRGMDEAARDLETLKQILEALQLKGLLHSKPPDYRINGGRDHIDDHLTDISPIVVIEPAPTPPQRPLSEPRPPLLRRPGNGRRNAAAETAAQPVPRNRTVDRIPTGSKSSSARQRISNSIESGKSRSPSQRISTVDPRKTPPNRLGPSPLAGRPPPNLRPKQEVVSKPRIRSPVPEDVSATTSPLERSWAEDHREGRQLLERCDRLLHCIAAFTAAEQDAEAVEQNPSLAVSVEQNPSLAVSVAQNPSLAVAVEQKPSLAVAAEQQPSPVSILDSSLLGEGNSPRSPVSKRSIDFEGDQLAELEEETTGCNLAAEDDDDDDYAYVAKVLRASDIHGDSPDVYALLEKQRRSPSGPSEAARLHRRLVFDAVAEILEQKRCATRPPWEAIARPGSLSSTTAAVDGGTSLLLLPEVWAELEWIREQAPADDLNDATCWAVRRDLVRESLDGWARPAAEVADAVIHIERQIFKNLVADTIRHLADAHCAAAAAKPRRLKLVC >Ma04_p35560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34279797:34279997:1 gene:Ma04_g35560 transcript:Ma04_t35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDYIDPKFTWKNFNLEEQAKVIVAPRSNNELDAAKLKKEFPELLPVKESPIKYVFKPNQKTSMT >Ma06_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:675792:679390:1 gene:Ma06_g00830 transcript:Ma06_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKKAKKSTESINNRLALVMKSGKYTLGYKTVLRSLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHFSGSNVDLGTACGKYFRVCCLSIIDPGDSDIIKSIPGEQ >Ma03_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4981434:4982882:-1 gene:Ma03_g07220 transcript:Ma03_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLWILGVLLSVLANAGPSSAATLALYNKCRETVWPGIQPSAGKAVLARGGFQLLPNQAYSLRLPAGWSGRVWGRRGCAFDPATGRGRCATGDCGGALYCNGLGGAPPATLAEFTLGQGRQQDFYDVSLVDGYNLGMSMTPFRGSGQCGRAGCVSDLNEVCPAGLAVRGGTDNRVVACKSACSAFGSPRYCCTGSFGGPQQCKPTAYSRLFKAACPRAYSYAYDDPTSILTCTGASYLVTFCPQH >Ma03_p30960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33373456:33378191:1 gene:Ma03_g30960 transcript:Ma03_t30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCYAAPIDREKKATTKKKKDKKSNPFSLDYRRGGTPRLVVLKDPTGRDIGSRYDLGQELGRGEFGVTYLCTDKATGELFACKSISKKKLRTVVDIEDVRREVEIMRHLPTHPNIVSLKDTYEDEGAVHLVMELCEGGELFDRIVARGHYTERAAAMVIRTIVEVVQNCHTHGVMHRDLKPENFLFANKKENAPLKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGIPPFWAETEQGIAQAIIRSVVDFKREPWPKVSDTAKDLVKQMLDPDPKRRLTAQEVLDHPWLQNAKKAPNVNLGETVRARLQQFSVMNKLKKRALRVVAEHLSMEEVADIKDMFDKLDINKKGQLTLEDLKYGLHKLGHQMADEDVKILMEAADVDGNGTLDYGEFVAISIHLKKIGNDEHLHKAFQYFDQNKSGYIEIEELRDCLADDIGPNHEEVINAIICDVDTDKDGKISYEEFATMMKAGTDWRKASRQYSRELLYSLSSKLKKDGSLN >Ma10_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28156473:28159008:1 gene:Ma10_g16470 transcript:Ma10_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYRTPAAIQNENFRIPIGKDVDLTKAAPPKPAKAGRQDRKALKDLSNTGKPPVSGPSKASALKDKSALRARETIKTAPKRTILTDEEMKRCHEWAREGIEQTHFTGNELQKLQKDIDEERVNKKVRMVMSDLHERSNDLGLTEKVLSKGTKDEKKMELETEVLPIITTSPTPGHEEIDGLLESEADHPSFLERPIEFQLNED >Ma10_p16470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28156473:28159008:1 gene:Ma10_g16470 transcript:Ma10_t16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYRTPAAIQNENFRIPIGKDVDLTKAAPPKPAKAGRQDRKALKDLSNTGKPPVSGPSKASALKDKSALRARETIKTAPKRTILTDEEMKRCHEWAREGIEQTHFTGNELQKLQKDIDEERVNKKVRMVMSDLHERSNDLGLTEKVLSKGTKDEKKMELETEVLPIITTSPTPVLVLAGHEEIDGLLESEADHPSFLERPIEFQLNED >Ma01_p05560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3928203:3933711:-1 gene:Ma01_g05560 transcript:Ma01_t05560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTKRRERLRAMSLQASRAMVTTLEPSYSLLTVPPPLLLLPQISNSPIQPPPALECQPSISNSDFFITDPLSAFTSSKRMRGVGAIGFNSSSHGVGTIGFTPSTPYHPAPSSFPTGRGNNQFHMSQPPDCISYKMPSCGLHSSPWRSPVRLLTPFSGHQGTPASGRGSWNRSGGIRGFPTNSPISVLSGPHFGPWGSPNSIAQSSGTPQSISVRGSSLQISSGSSLKPATCGRGQSSNDKASVQRKPKRYYCKSMLEDPWRNLEPIVGNILEPMAGPGYWLPESISGTKRKVSETQSINKLNSKWSLAEVLADSFEEAINHD >Ma01_p05560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3928190:3933711:-1 gene:Ma01_g05560 transcript:Ma01_t05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTKRRERLRAMSLQASRAMVTTLEPSYSLLTVPPPLLLLPQISNSPIQPPPALECQPSISNSDFFITDPLSAFTSSKRMRGVGAIGFNSSSHGVGTIGFTPSTPYHPAPSSFPTVIAGRGNNQFHMSQPPDCISYKMPSCGLHSSPWRSPVRLLTPFSGHQGTPASGRGSWNRSGGIRGFPTNSPISVLSGPHFGPWGSPNSIAQSSGTPQSISVRGSSLQISSGSSLKPATCGRGQSSNDKASVQRKPKRYYCKSMLEDPWRNLEPIVGNILEPMAGPGYWLPESISGTKRKVSETQSINKLNSKWSLAEVLADSFEEAINHD >Ma01_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3928203:3933711:-1 gene:Ma01_g05560 transcript:Ma01_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTKRRERLRAMSLQASRAMVTTLEPSYSLLTVPPPLLLLPQISNSPIQPPPALECQPSISNSDFFITDPLSAFTSSKRMRGVGAIGFNSSSHGVGTIGFTPSTPYHPAPSSFPTAGRGNNQFHMSQPPDCISYKMPSCGLHSSPWRSPVRLLTPFSGHQGTPASGRGSWNRSGGIRGFPTNSPISVLSGPHFGPWGSPNSIAQSSGTPQSISVRGSSLQISSGSSLKPATCGRGQSSNDKASVQRKPKRYYCKSMLEDPWRNLEPIVGNILEPMAGPGYWLPESISGTKRKVSETQSINKLNSKWSLAEVLADSFEEAINHD >Ma01_p05560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3928203:3933695:-1 gene:Ma01_g05560 transcript:Ma01_t05560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTKRRERLRAMSLQASRAMVTTLEPSYSLLTVPPPLLLLPQISNSPIQPPPALECQPSISNSDFFITDPLSAFTSSKRMRGVGAIGFNSSSHGVGTIGFTPSTPYHPAPSSFPTVIAGRGNNQFHMSQPPDCISYKMPSCGLHSSPWRSPVRLLTPFSGHQGTPASGRGSWNRSGGIRGFPTNSPISVLSGPHFGPWGSPNSIAQSSGTPQSISVRGSSLQISSGSSLKPATCGRGQSSNDKASVQRKPKRYYCKSMLEDPWRNLEPIVGNILEPMAGPGYWLPESISGTKRKVSETQSINKLNSKWSLAEVLADSFEEAINHD >Ma10_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14213457:14216945:-1 gene:Ma10_g03590 transcript:Ma10_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVIALREAIRSRSTTLEKLYGELEEEREAAASGADEALSMIVRLQEEKAAEKMKASQYKRLAEEKLRHAKESSTILKDVIFQKEMEIASLVYQVQAYKHRLLSLGLRNMDDDVMMRNGNRCRTSLVRNISLPALLLEELSSGLHVTENLYCGIADNMEFGEEHDAAKLHEFMNLARSETAEAHRRATEWQQKLEYHDKFHMNSRMVPVKMRQNPGCSWYLQLAASDDNKFDNSTSNIKSDEGSIYQTKSVNLQDFHDVQENHNRPSGSRTCSQLSQEHFETKCMNRVPIARQEEAMCNLPKDQHNNFFSHAHHKNKLYQPWEEAPMDCPPTPGNSHDAVSLSHNDLEQLRMLMQKFKDDMRDTKQENLERGRKQLQSLRRIIKHLDSIESQVNNTEFEHDQEDDSQFTYLVEVMLCYFLCTGALLVSQTRH >Ma10_p03590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14213457:14216945:-1 gene:Ma10_g03590 transcript:Ma10_t03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEVIALREAIRSRSTTLEKLYGELEEEREAAASGADEALSMIVRLQEEKAAEKMKASQYKRLAEEKLRHAKESSTILKDVIFQKEMEIASLVYQVQAYKHRLLSLGLRNMDDDVMMRNGNRCRTSLVRNISLPALLLEELSSGLHVTENLYCGIADNMEFGEEHDAAKLHEFMNLARSETAEAHRRATEWQQKLEYHDKFHMNSRMVPVKMRQNPGCSWYLQLAASDDNKFDNSTSNIKSDEGSIYQTKSVNLQDFHDVQENHNRPSGSRTCSQLSQEHFETKCMNRVPIARQEEAMCNLPKDQHNNFFSHAHHKNKLYQPWEEAPMDCPPTPGNSHDAVSLSHNDLEQLRMLMQKFKDDMRDTKQENLERGRKQLQSLRRIIKHLDSIESQVNNTEFEHDQEDDSQFTYLVEAMLHFWL >Ma11_p00370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:267402:269815:1 gene:Ma11_g00370 transcript:Ma11_t00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAAAMVLSIPSSSSSCCHSNCLLSWQLANTKPLLHLCRKPSTAVRAELQTSEPTAATASSSSSSIPTHRVTVHDRQRGVVHEFLVPEDQYILHTAESQNISLPFACRHGCCTSCAVRVKSGQIRQPEALGISAELKAKGYALLCVGFPSSDIEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >Ma01_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13534721:13546677:1 gene:Ma01_g18260 transcript:Ma01_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLETLKDLISDNNPMVVANAVAALAEINESSSQPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKASDAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVIRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNVDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATMETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLANIATLSSVYHKIPDAFVSRAKSATPRPDEDDYADGGETRYSESPSNAVDSAAAPASQATVSHAQTTQQAPATTAVSAPSPPVPDLLGDLMGLDNAIVPVDQPTTPSEAPLPVLLPSSTGQGLQISAQLIRRDGQIFYALLFENNTQLVLDGFMIQFNKNTFGLAAAGPLQVPALQPGASARTLLPMVLFQNVSPGPPSTLLQVAVKNNQQPVWYFNDKISLHVFFDEDGRMERANFLETWKSLADSNEVGKDLSNSIIGGVDATIEHLTASNVFFVAKRRNANKELLYLSAKLPRGIPILIELTAVVGVPGVKCAVKTPSPEMAPLLFEAMEALLK >Ma03_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6480401:6480508:-1 gene:Ma03_g08820 transcript:Ma03_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRRLASQKAVVIFSLSSYCMCPRECLNFPTSN >Ma10_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24618901:24624067:1 gene:Ma10_g10950 transcript:Ma10_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTNFESSLSLALHTDPSPSSQPSYRAPIADDSVHLLRDAYLRDSLHNRPIPLQLLDQLAETGGFGYVDVDTNLGEESDEVEQEGKEVRILGYSMCIKRQRRWESPSSCSSSSVLPSKRTKVEPDLELRREYILSWGNQPLSVADPDIFEIMEKERRRQVLGIELVASENFVCQGVLDALGSHLTNKYSEGFPGARYYGGNKYIDQIEWLCHDRALKAFDLDPGCWGVNVQPYSCTSANFAVYTGLLLPKDRIMGLDSPSGGHVSHGYNIPGGKKISGASIFFESLSYKVNPLTGYIDYDKLEEKAIDYRPKILICGGSSYPREWDYARFRLIADKCGAILMCDMAHISGLVAAKECLSPFDYCDIVTSTTHKSLRGPRGGIIFFRKGKIQKKQGVCLGRTDDHGRYDFEERINFAVFPSLQGGPHNNHIAALAVALKQVATPEYTAYIRQVKRNAQTLASALLRRNCKLVTGGTDNHLLVWDLRTFGLTGKNFEKVCEACHISLNKTPIFGDNGTISPGGVRIGTPAMTTRGCLEGDFEMIADILLRAAHVASTIQKEHGKIQKEFQKGLESSRDVIELRNQVESFAIQFAMPGCDV >Ma10_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27154643:27156918:1 gene:Ma10_g14840 transcript:Ma10_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWPEFLASSWGKEFVAGGIGGMAGVISGYPLDTLRIRLQQPPAPSTAGPRRPPSALGLLRSILASEGPAALYRGMAAPLASVTFQNAMVFQVYAVLSRAFDSKNMNEPPSYGSVALSGFGTGALQSLILSPVELVKIRLQLQMIGNKGRQHGRLGPVSMAKEIKKKEGIRGLYRGLSITVLRDAPAHGVYFWTYEYAREQLHPGCRKTGQESLGTMLIAGGLAGVASWICCYPLDVVKSRLQAQSKPRAGQLPPKYLGIVDCIRKSVEEEGVAVLFRGLGTAVTRAFVVNGAIFSAYELALRSLFNNN >Ma04_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2513132:2516915:1 gene:Ma04_g03230 transcript:Ma04_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSQLGANLWGGLMCTTSPAEKTAVDAATVAMFGLPSGDAKGRCIPSPVPLLSNNYNQNSHLQAKHDGASSSSSHLPPSDVDAIKAKIVSHPQYSSLLAAYIDCQKVGAPPEVVARLSAVAQELEMRQRANFICRDSPTDPELDQFMEAYNEMLIKYREELTRPLQEAMEFLRMMESQLNSLALTTGSVRISLISSEKFGVCSSGDDQDGNTDNAEPPELVASAEDRELKHHLLKKYSGYLSSLRQELSKKKKKGKLPKDARQQLLNWWELHYKWPYPSETQKVALAEATGLDLKQINNWFINQRKRHWKPSEDMQFVVMDGYHAPNTALYMDGQYFMGDDLYRLGP >Ma09_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6010176:6012350:1 gene:Ma09_g09040 transcript:Ma09_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04780, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G04780) UniProtKB/Swiss-Prot;Acc:Q9LZ19] MRLQSMRRLISTHGKLPCCLRSQSRFGFEASNKGVVVREDGAIRVLELQEILQLCARKQLTREGKCCHGLAVQAGLLADTLTCNILINFYSKCGRVDFARRLFDGMPERSLVTWNTMIATHTQHGEDMEALHLFLQMRREGSLLSEFTLSSVLCACAARCAVTESQQLHALALKTAADSNVFVGTAVLDVYAKCKMIRDARLVFDAMPEKSSVSWSSMVAGYVQNDLYEEAVWFFHHAHKMGVELTQFTLSAALSACASIAASTEGKQLHSVAIRAGFAANLFVATSLIDVYSKCGCIREAYLVFCNVEDENIVLWNAMITGFSKHARLHEAMMLFEKMRQRGLQPNEVSYVSVLSACSHVGLVEEGRGYFDLLSRDAAVRPNVLHYSCMVDVLGRAGRIDEAWELIRSMPFRASASMWGSMLNSCRVHGNVELAKIAAQHLFELEPENAGNHVLLSNIYAASKQWGEVAVARKFLKDSGARKEIGKSWIDVRGKVHSFVVGENKHPRISEIYAKLEDLRREMNRLAYKVEVHYDLHDVGEGQKEELLRHHSERLALAFGLIELPSGTPIRIFKNLRVCGDCHSFMKTASMITDREIIARDTNRFHHFSRGHCSCGDFW >Ma01_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5151297:5152992:-1 gene:Ma01_g07150 transcript:Ma01_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAEERKRGGVVLYPSPGMGHLVSMMELGKLFVLHGMAVAVVTVDPPYNTGSTAAFVARASAANPSITFHRLPPVALPPNPSPHQEALAFDLLRLSNANLLNLLRDAAPRAIVVDMFCSLALDVAAELCIPCYTFFTSGASVLATFFYIQTLHSTTVKSFRELGSAPLLVPGIPPLPADHMPLPMLDREDEAYKGSLDVCSRFPDAHGIIVNTFDALEPRALEAIAAGRCVTDGRATPPIYSIGPLITSDGREKANRAECFEWLDAQPRDSVVFLCFGSLGLFTAAQLKEIAAGLERSRQRFLWVVRSPPSNDPAKRYERPPEPDLDALLPEGFLERTRERGLVVKSWAPQVEVLSHDSVGGFVTHCGWNSVLEAIVAEVPMVGWPLYAEQKMNKVFLTEEMRLAVAMDGYEGELVSAEEVEAKVRWLMESVACRNLRERMATMKERAVEALREGGSSHSALAKLVGQLKGEVRGR >Ma04_p39010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36279157:36279836:1 gene:Ma04_g39010 transcript:Ma04_t39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPLATSAAASGGSDDSTRLSMGPIRYLSVPLLAVACLSEMSYCAHERKMGVIPIPFIVGFTLAGVLKNTAEEVLSDLKEEGFPWYLFLIVSFFTLLKLPGPYYPYWGRLLIPHFANGGLWRTVWLIFMWYKGPNVTPEASL >Ma10_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10594850:10596003:1 gene:Ma10_g03010 transcript:Ma10_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEEKSGDFYAVLGLKKEGSMAELKNAYKKLAMKWHPDKCPASGNKIRMDKAKEKFQEIQKAYSVLSDSNKRFLYDVGVYDKDDEEDEEGMGDFIGEIAQMMSQSKPSVSH >Ma05_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5064542:5065720:-1 gene:Ma05_g06930 transcript:Ma05_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSFPVYLDPPYWNQQQAHQLGGGYGGGGSELPQLAPPPGLVGAPRGTVSSIRPGSMAARARLAKMAQPEQALKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRKKRTKCGDSSSSSKSSVTSAGRQSGATTSSTTLQPQPLPTTSLHPLPDCRAPNVGSSFAGIQSSDAVDYQMSGNGSVGLENSRLHNQIQQFPPFMGGMEPPPPRPPPPPSVPSLAPVPSLYPSFIQEDSELDGRSFASPLQSKVLGSGLLMQLASVKMDDNAQELTLPRPLSGGFRDELIWGGSSGGWATCFSSFNSPSNDNFM >Ma02_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19473611:19476820:1 gene:Ma02_g09560 transcript:Ma02_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRRESPREECEAGRETKMWSRRNKTARCSGCDVLLVVPPQARAIRCAACLAITDVGSSQDPVRQAMGFLKSVVMNISNGIGSLNSSSSSSGSSSQGYPITSPPPSFPRVRGKKRAVLVGISYVGRRYELKGTVNDVNCMRYLLIERLGFPAEGILVLTEEERGPSRVPTKENLRMAMRWLVSGCESGDSLVFHFSGHGVQRLDPSGDEVDGFDEALCPLDFEANGTILDDEINETLVRPLPRGVKLHALVDACHSGTVLDLPYLCRLSRAGYYQWEDHNPPSGTWKGTNGGLAILLSGCDDHQTSADTSALAGSTTTGAMTYSFIQAIENEPGTTYGRLLTAMRSAIREASTGIRMSGPIVSLMRKVFNFGLTQEPQLSSSEMFDIYRKPFLL >Ma04_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4847214:4856282:-1 gene:Ma04_g06640 transcript:Ma04_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLISRPFAVTAGFVVARSFAPCPLCSLRNRRFAPRFVRVSSSASDTNAHLQQQQQHGNGDSSKSSSVSSGLYLVATPIGNLEDITLRAIRILKFADIILSEDTRHSRKLLQYYGIKTPLISYHKFNESERESSVLQRLRQGEIIALISDAGTPCISDPGMELAKVCVNENIPVIPIPGPSALVCALSASGLSTDEFKFVGFLPKHAGSRRERLQVSAVETATQIFFVPPHKLHQFLSEASLIFGESRSCVIAREMTKVHEEFWRGTLGEANKRYSTQEPKGEITLLIEGKANSVNNVPSNDQLELELKELISKGHSLSMAVKLVAEGTSAKRKHVYALALRLYGNQVEPMDDSTQES >Ma01_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:276153:277352:-1 gene:Ma01_g00380 transcript:Ma01_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSNGKGRRIHPTPPPGTAPRDHLAAIPAALLALVAALTAEEKEVLAYLLSGGGGHERGSERRRRLRPHPPELGCECFCCYKSFWARWDASPNRHVIHRIIDAVEERLESRERERGGGGRRRKRNGRRGRKIANSAAVAEEEDDSSRVDPKGFLASEGEHSGDDDDGSVDDDDKEGDVDDEEEEEEGTNGVSNPNGNGGKSSVRRFMSFIGARVWGVWN >Ma05_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7681287:7684367:-1 gene:Ma05_g10570 transcript:Ma05_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARHPRRPPTLILVALLTISVVLLVLLALGALSLPAVSKDGRVAEVRRSVGEARDELQETGEQWTEVLSWEPRAFLYHNFLSKEECKYLIELAKPYMKKSTVVDSTTGRSTDSRVRTSSGMFLRRGQDKIIRAIEKRIADFTFIPV >Ma03_p25360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29431294:29432856:-1 gene:Ma03_g25360 transcript:Ma03_t25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGVAHRMVEANGISMHVAEKGEGPAVLLLHGFPELWYSWRHQIAGLAARGYRAIAPDLRGYGDTSAPSAAASYTVFHIVGDLIALLDALAIPQVYLVGHDWGAQIAWNLCLFRPDRVKALVNLSVPFMPRNPAGKPTQHFRSFYGDDFYVCRFQEPGAIEAEFARIGTGKLLRIVLCNRNAGPLLLSEVDFEAEVALPSWLSEEDIDYFASKLDKSGFTGPVNYYRCLDLNWELGAPWSGVQIQVPVKFIIGDQDMTYHFPGIKEYIQGGGFRRDVPMLEEAVVMEGVAHFINQEKAPEITDHICDFIRRF >Ma01_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1124575:1128834:1 gene:Ma01_g01620 transcript:Ma01_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSERSKMEKEERAIEVGAVKSRSDSLNKDVNGEKDERYEYTLDGSVDARGRPAAKGKTGGWVAGALLLVNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLGLLSLSTYLFLLKPSGCGQEHLLCGPHSSMELGVFYLSVYLIALGNGGYQPNIATFGADQFDEEDRSEAHSKVSFFSYFYLALNLGSLFSNTFLGYFEDKGMWALGFWASAGSALVALVLFLCGTPRYRHFRPVGNPLSRVCQVVIAASRKWRLHIPLGGYDLYEVEAKEVSAVAGSRKILHTHGFKFLDRAAIVDPGNDFVIREDMSSARDPWRLCPITQVEELKCVLRLLPIWLTTIIYSVVFTQMASLFVEQGAAMNTTVAGFRIPPASMSAFDIVSVALFIFFYRRLLSPLVSRLRRNPRGLTELQRMGVGLVIAVLAMISAGTVEVYRLKHVCSGCDDDSSLSILWQIPQYMLIGASEVFMYVGQLEFFNSQAPDGLKSFGSALCMTSISFGNYVSSLLVTIVMEITARGERPGWIPVNLNKGHMDRFYFLLASLTAADLVAFVACARWYKCIKLEGRHDDDDKENSNV >Ma10_p10080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24187337:24189716:-1 gene:Ma10_g10080 transcript:Ma10_t10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMQRSSVLAVLCCMWTVMIPGAFSLSFNFSSFDQGVSSKLEFQGDASLQNKEVNLTSYPMLYSVGRAVYREPLRLWDAATGELADFTTQFSFVIDSFEPASTHGDGLAFFLSSYPTSIPAYSRGAFLGLFGNSSLDGSTASTVAVEFDTFPNAWDPPADHVGIDVDSINSSAVVEWSSSLRNGRRANVWVSYNASTYNLSVFLTYETNPAFRAGDSSLHFVVDLRDVLPEMVAIGFSASTGNATETHSLLSWSFDSTLQPRRKKNKVCLKIGLAIGVGVLMLVSGLVWFVFSKKASSRDLKEIDDIECDEAIDDEFERERGPQRFPYEELASATRNFSKEGKLGEGGFGSVYKGYLKDLKLDVAIKRVSRCSKQGRKEYVSEVKIISRLRHRNLVQLVGWCHDRREFLLVYEFMPNGSLDSYLYSTKKNLQWPERHKIALGLAAALLYLHEEWEQCVVHRDVKPSNVMLDSTFNAKLGDFGLARLVDHDSHSQTTVLAGTMGYLAPECITTGKASKESDVYSFGIVALEIACGRRPVEPKEQKSKVRLVEWVWELYGRRSILEAADEKLHGDFDGKRMECLMVVGLWCAHPDCGLRPSIRQAINALNLETPLPMLPPTMPVPMYCAPPVDVSSFTQASSITYSSSYLTNSSSSTQMIADTMKSQRIDM >Ma03_p31860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33913031:33915589:1 gene:Ma03_g31860 transcript:Ma03_t31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSAQLSQLQSATLRFRDLLKACIGRRDLTAGRALHALFLKSFVPPSTYLANHFVLLYSSCGQLALAHQSFDEISQPNVFSHNALLAAYARLSHPDAAHRLFLRIPFPDLVSYNTLLSAFAAADGACSLDSVRLFSHMRRLGSDADGFTLSSVISSVVDDVEQLHSLAIASGLDSYVSVNNALISSYSKGGLLNEAERVFGGMVTSRDAVSWNCMIVAYGQHRRGPKALNLFQEMMRREFEVDMYTLASLLTAFTSVKDLDGGAQFHAQLIKTGFERNSHVGSGLIDLYSKVGQIRDARKVFEEVDDPDLVVWNTMISGYSVNDEFSEEGIQCFREMQRAGFRPDDCSFVCAISACSNLSSPSQGKQMHGLTIKTEFPSNQISVDNALISMYSKCGNLRDAHMLFKRMPKQNVVSFNTMIGAYAQHGLGLEALVLFKEMLNLDHVPTSITFISVLSACAHTGRVDEGWKYFNSMKQKYDIQPGEEHYSCMLDLLARAGKFDEAEGLVKRMPFDPGLIGWSTLLSACRTHGNLELGAMAAEKLLQLEPANAAAYVMLSNMYASTGRWDEFATVRKLMKDRGVRKKPGCSWIELEKKIHVFVADDVTHPRIKDICQFLEEMSKKMKLAGYVPDVRWAPIRDNITGETRAGYHSEKLAVAFGLISTAEGVPILVVKNLRICGDCHSSIKFISAITGREITVRDAHRFHCFSDGTCSCGDFW >Ma04_p28830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29817717:29829355:1 gene:Ma04_g28830 transcript:Ma04_t28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRSHARRSPGRAAPGRGRILLPRGEDLQPPPPQADPSPRKTRFPRACTSRPPAAARPPPPERRPVTRREKEQQQKAGRVIMPLVQPPPPSQLPRWELRSMWELASVLNFLHVFRPLLNIGMEFSAEELETALITPNSTLDDVHMPLLKAIPPVTRMALGRATWVTVLCRKLRDWWHWVADGEIPIVASHGAEIETYKTLDPGTRVLILKALCDIRVEQEDIRNFIDNSLKRGIQLSAFRKERIGGDSHGISYWYEDDPIIGHRLYREIRRVEAKKIRTKGSSSAPVISFQWETVATNLDEFQDVSEKLFSSKNRTEASVGKKLKIDSLPEIEKIHKKKERLLKKQHREALLLDSLFTADGISSGRALRDRKPVTYTFDDYDRSINEAIKITKKRQSSPENVVRRAVVGRTEVSTNGKWNGSSEIDLVDYDAQSPKSNDNEETDVEHQDEPLDRSNRRRKRPQRYLEKDFVDIVSDIDADFDSDDDIVGEAVYDEEYLRSRKQRKASSGSEGDEEYRWEEENAEDDEEEEFSLSTSEDVEEPNHHRTLPNRTRRETKLRSVDELQTGLRRSKRAMRTRVNYRQYELSDTDTDSTKPSKSNATDASDDLELSTESQESQNEDEEGSQEIAENKMTMDHVEAEQKEQQQSVEKTDGNRHEGDGVQKIRFLDLNELAPGTGFDDGPSTKDEDTGDF >Ma01_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23283675:23301546:-1 gene:Ma01_g22070 transcript:Ma01_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSSLHLSHELGVVQSTGQTNQLKVRGIGHANRLKCYKINKLYCLKTFPLSSCASRQGAWSSHISDRVHKPMFCIPHRYNVFKCQSSTIPFSEHVIPLLRNTTLSLTRSCNVLLGNHHSYHLIPAVGIIAFAVWGLGPLMRYLRSLFYNDSNWKKSKTYYMSTSYIQPLLIWTGTILICRFLDPVVLTSESSQAVKIRILNFVRSLSTVLAVAYCLSSFIQQSQKFFMEHSGADDTRKMGFHFAGKAIYTAVWVAAISLFMELLGFSTQKWITAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVANEWIQTKIEGCDVSGSVEHVGWWSPTIIRGEDREAVYIPNHKFTVSVVRNLSKKTHWRIKTHLAISHLDVNKISNIVADMRKVLAKNPQIEQQKLHRRVFLDNIDPENQALLILVSCFVKTSYHEEYLCVKEAVMLDLLKVISHHRARLATPIRTVQKMYGDPDIENSLFAESVFRHSAASSRPFLLVDSQSRINDDDKVKSRSTSRANENQTSKTATTQEPKLTHGGTAPNNLNKHQQKKEDSGDASPKNMKADAAVAPSSSSPSTTHLESLDSSGPTPNSGSQKPPLPEAVTEQIDAKNEAESVQTVKPQVARSAFEDNIVLGMALEGSKRTLPIEEEKGPSSMQSQENEFAAGRGIVPSTSSKDAKGPVALVDQRDQDS >Ma01_p22070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23283675:23301525:-1 gene:Ma01_g22070 transcript:Ma01_t22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSSLHLSHELGVVQSTGQTNQLKVRGIGHANRLKCYKINKLYCLKTFPLSSCASRQGAWSSHISDRVHKPMFCIPHRYNVFKCQSSTIPFSEHVIPLLRNTTLSLTRSCNVLLGNHHSYHLIPAVGIIAFAVWGLGPLMRYLRSLFYNDSNWKKSKTYYMSTSYIQPLLIWTGTILICRFLDPVVLTSESSQAVKIRILNFVRSLSTVLAVAYCLSSFIQQSQKFFMEHSGADDTRKMGFHFAGKAIYTAVWVAAISLFMELLGFSTQKWITAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVANEWIQTKIEGCDVSGSVEHVGWWSPTIIRGEDREAVYIPNHKFTVSVVRNLSKKTHWRIKTHLAISHLDVNKISNIVADMRKVLAKNPQIEQQKLHRRVFLDNIDPENQALLILVSCFVKTSYHEEYLCVKEAVMLDLLKVISHHRARLATPIRTVQKMYGDPDIENSLFAESVFRHSAASSRPFLLVDSQSRINDDDKVKSRSTSRANENQTSKTATTQEPKLTHGGTAPNNLNKHQQKKEDSGDASPKNMKADAAVAPSSSSPSTTHLESLDSSGPTPNSGSQKPPLPEAVTEQIDAKNEAESVQTVKPQVARSAFEDNIVLGMALEGSKRTLPIEEEKGPSSMQSQENEFAAGRGIVPSTSSKDAKGPVALVDQRDQDS >Ma10_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31635830:31637192:1 gene:Ma10_g22160 transcript:Ma10_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGAVASPSSSPEGEMGSCNLGQLGNGRVGSSRRGKKSNSDKQKQPQRGLGVAQLEKIRLQNEMMAGYLHSPFPRDLNKEDLRAPSTVTTSSSLFGAHPNIMMGFGGSTGTEIRYGELYPGATARSLNDANDLRPHHLRRPTATLPLIEQSSENDGRRDRRHSVGSTSSQNSDTSNSSELDLELRLSL >Ma04_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14702900:14704554:-1 gene:Ma04_g16060 transcript:Ma04_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGEGLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGRAAFVGKLGDDEFGRMLAAILRENGVDDTGVTFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTEAELNLDLIRKAAIFHYGSISLITEPCRSAHLKAMEVAKEAGALLSYDPNLRLPLWTSPEEARKQILSIWNEADIIKVSDVELEFLTGHDSVEDEVIAQLWRPSLKLLLVTLGEKGCKYYTKDFHGAVASFTVEQVDTTGAGDAFVGALLRRIVEDPSALQDEKKLREVLRFANACGAITTTKKGAIPSLPNVAEAMRLFESA >Ma02_p07430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18104916:18109425:-1 gene:Ma02_g07430 transcript:Ma02_t07430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACRWRRAVKRIGFPCASFSEERLTFRRTKTISCSATSTGNEGKGEESEEPKEATAAKSLCAICLEPLSLDDGSSDAIFTAQCMHAFHFACIASNVRHGSITCPICRAHWSHLPRDSTVLAAGCSTDPVLRILDDSIASSRVNRLSFLRSTRYNDDDPVDPDALHVPGHPRLGLAVVPAPTPAGDHLALGPPPCARLMPLHHRFQLASSPRLAFPQQNASPYAQRRAYLTVTLSPQQPTDLVLVASPNGPHLRLLKQSMALVVFWLRPVDRLAIVTYSITATRTFPLRRMTSQGKRAALQVIDRLIYLGEAEPTEGLRKGVKILEDRRHHNPLSCILHLSDHPSRSYVCRDLQFPIPVHRFHIGFGFGMTSGFVMHEFEEFLARLLGGVIRETQLRIGDGGGLVRLGELRGGEERRIPLDLFGDCGFLTVGYSYIEGGAEQRLRTGEVVVETGDESRSDGQCEAGGSDFSLRGRSRCVESWDYLDPLMARRWAKHLHGHKA >Ma02_p07430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18104916:18109344:-1 gene:Ma02_g07430 transcript:Ma02_t07430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACRWRRAVKRIGFPCASFSEERLTFRRTKTISCSATSTGNEGKGEESEEPKEATAAKSLCAICLEPLSLDDGSSDAIFTAQCMHAFHFACIASNVRHGSITCPICRAHWSHLPRDSTVLAAGCSTDPVLRILDDSIASSRVNRLSFLRSTRYNDDDPVDPDALHVPGHPRLGLAVVPAPTPAGDHLALGPPPCARLMPLHHRFQLASSPRLAFPQQNASPYAQRRAYLTVTLSPQQPTDLVLVASPNGPHLRLLKQSMALVVFWLRPVDRLAIVTYSITATRTFPLRRMTSQGKRAALQVIDRLIYLGEAEPTEGLRKGVKILEDRRHHNPLSCILHLSDHPSRSYVCRDLQFPIPVHRFHIGFGFGMTSGFVMHEFEEFLARLLGGVIRETQLRIGDGGGLVRLGELRGGEERRIPLDLFGDCGFLTVGYSYIEGGAEQRLRTGEVVVETGDESRSDGQCEAGGSDFSLRGRSRCVESWDYLDPLMARRWAKHLHGHKA >Ma02_p07430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18104916:18109425:-1 gene:Ma02_g07430 transcript:Ma02_t07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACRWRRAVKRIGFPCASFSEERLTFRRTKTISCSATSTGNEGKGEESEEPKEATAAKSLCAICLEPLSLDDGSSDAIFTAQCMHAFHFACIASNVRHGSITCPICRAHWSHLPRDSTVLAAGCSTDPVLRILDDSIASSRVNRLSFLRSTRYNDDDPVDPDALHVPGHPRLGLAVVPAPTPAGDHLALGPPPCARLMPLHHRFQLASSPRLAFPQQNASPYAQRRAYLTVTLSPQQPTDLVLVASPNGPHLRLLKQSMALVVFWLRPVDRLAIVTYSITATRTFPLRRMTSQGKRAALQVIDRLIYLGEAEPTEGLRKGVKILEDRRHHNPLSCILHLSDHPSRSYVCRDLQFPIPVHRFHIGFGFGMTSGFVMHEFEEFLARLLGGVIRETQLRIGDGGGLVRLGELRGGEERRIPLDLFGDCGFLTVGYSYIEGGAEQRLRTGEVVVETGDESRSDGQCEAGGSDFSLRGRSRCVESWDYLDPLMARRWAKHLHGHKA >Ma07_p28360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34433656:34439164:-1 gene:Ma07_g28360 transcript:Ma07_t28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLLTRLEAAVARLEALASAGSAPSASSRDLTNAAPLDPAISAFDEMVANSLGRVSAAAGKIGGQVVDATKTIEEAFTVLKELLITAKQRQKPDVAGLPEFLKPLNDVILKANSMAEGKRSEYFNHLKAASDSLTALAWIAYMGKDCGMSMPIAHVEESWQMAEFYNNKILVEYRNKNPDHVEWAKALKELYLPGLRDYVKSFYPLGPAWGTVAVVSSSSSSSAITKVPAARAPAPPPPPATPLFSPETTSSRPKEGMSAVFEEISSGKSTTAGLRKVTDDMKTKNRADRTGVIAATEKSPRANSFSFSRAPPKLELQMGRKWAVENQIGKKNLVIDDCDSKQSVYIFGCKDSVLQVKGKVNNITVDKCNKMGIVFTDVVAACEVVNCNGVEVQCQGSAPTISVDNTSGCQLYLSSSSLGASITTAKSSEINVLVPGAGPDSDWVEHSLPQQFVHTFKDGEMRTSPVSHSGA >Ma04_p27750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28911967:28921073:-1 gene:Ma04_g27750 transcript:Ma04_t27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLFLSDSFKETQLHALNPQSWLQVERGKLSKSSSYSPSSIYLVVCLMHSESLVKVAEPPILALFKPVDYVDVLAQIHEELESCAPKKRSNLYLLQFLVFRGLGEVKLLQRSLHAAWKNAITVHEKLVYGSWLRYEKQGEEVISDLLASCGKCSQEFGLLDVASQIPIENVETNGECYDISQVSSTVFFRIRDEMISCERQKIAALSTPFNTMLNGSFTESHLEIIDLSENGISPAGMRAVSKFSSSGHLEDLSVEVSLEILVFANTFCCEKLKDACDRKLASVVSSRQDAVELMECAMEENTPVLAASCLQVLLHELPECLNDEQVIKIFLNANRQQRATMVGHASFSLYCLLSEVAMNIDPRSDVTAGFLEKLVESAFSTRQKQVAFHQLGCVRLLRKEYSEAEQHFNAAFAAGHVYSAAGLARLACIKGDKLSSYEKLSSVISSYQPLGWMYQERSLYSEGDRKWEDLDKATEFDPTLTYPYMYRAASLMRKQDAKLALAEINRVLGFKLSLECLELRFIFYLALEDYKAALCDVQAILTLSPEYRMFEGRVFASQLRTLVREHVDQWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQYTATEHERLVYEGWILYDTGHCEEGLRKAEESISIQRSFEAFFLKAYALADSSLDPSCSATVVSLLEDALKCPSDRLRKGQALNNLGSVYVDCGKLDLAADCYISALKIQHTRAHQGLARVHFLKNDRKAAYEEMTKLIEKARNNASAYEKRSEYCEREHTKEDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDISSALRDCRAALSLDPNHQEMLELHKRVNSQEP >Ma07_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27889819:27890019:1 gene:Ma07_g19980 transcript:Ma07_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVQLIGIVIQQQGGNFTECSVSKFTCKPESWRERERERQQHPCPERFAKPWSGLKLRAAVFRS >Ma09_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12980951:12982185:-1 gene:Ma09_g17380 transcript:Ma09_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVILCLLLSLPLLLFLIKQGRRKRSRLPPGPWRLPVVGNLHQVGANPHLTLRALAERHGPLMYLQLGSIPTVVISSAHVAREAFRAHDLAFAGRPALYAAERLSYGLRDVAFAPHGEYWRQARKVFMVELLSAKRVRSFRGVREREVASLVASIRRLAPSSSSSPIDLSRMVLSVTNAVTCRAAFGDRYETAGSGRLHRVLEEMQTVLGGFCAGDIFPWLRWIHALEGLRARVERVFGELDGIYSQVIEEHLRGDCTSEHGDLVQVLLRLREDPTQRNTFGSMDHIKGLLTDIFTAGTETSAATIIWTMTELLRNPSDGSSTSISFF >Ma08_p25170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38116233:38119757:-1 gene:Ma08_g25170 transcript:Ma08_t25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGRNGTRFVNIRDGSPVYVNGWNSYWLMSSDSGDRVVEMLRRGRSLGMSVCQTWAFSDGGANALQISPGRFDERIFQALDFVIYEARRHHIRLILSPVNNLNPFGGKAQYVMWAQAAGDYVKAIITRKNSYSGVRYSDEPAIFAWELINESRCESNSSGPLLQAWTAEMASYINSLDGKHLITVGLEGFYGLRRTERLRNIDFSLEHAYPDSWIPKASLEDKVKYLSIWVDSHVNDSEHVLKKPVLFAEVGSQLHVKRNGTYDRNILLKIVYDKVYESTKMGRAGVGALIWQLMVEGVLRFQDQFSLIASEHPSTYDLILQLSCRLRNFLMKKENASEVEETCLELPP >Ma00_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16797763:16800978:-1 gene:Ma00_g02200 transcript:Ma00_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGWEVDAEEEPRISHGERLFNLLLILLGSALLIAFSCHLLFPYVTPDSFSSEKEECDLFSGKWIQDASGPAYSNTSCSFISYPQDCLTNGRPDTGYLYWRWKPYDCNLSLMDARKFLNIMRNKSLAFIGDSICRNQMESLLCLLSKVEEALLVYHDENFQSKTWYLPSHNVTLGLIWAPFLIKSTEDSSKNDIQLYLDVLDDTWTSQYQKYNYIVLSGGQWFLKETIFWENNTVVGCHYCTGKSLRELGMDYSYRRALRLVFHFMNMSEHKPLIVLRTWTPSHFEYGQGNSEGVCNRRKPYREREYTADPADAIMRTVEVEEFQEGLKNGLRLVLLDTYHLALLRPDGHPGPYREFHPDISKKLQNDCLHWCLPGPIDTWNELLMTILMSEEELKSTLCTNWMIVPL >Ma10_p18130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29208242:29210560:1 gene:Ma10_g18130 transcript:Ma10_t18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENGAPRLTRAAAKRVASAQAADPVAPPPAKKKRVALSELPTLSNAVPRAAVDPTTPPPSKPKSKPKKKVEEADDEKVDLVEVAAPSDDDPQMCAHCASDIYQYLNSMEADAKRRPSPNYMETVQSDVTANMRAILVDWLVEVAEEYKLVSDTLFLTVSYIDRFLSFNAINRHRLQLLGVSSMLIASKYEEISPPNAEDFCYITDNTYTKQEVVKMESDILKFLKFEMGNPTIKTFVRRFTQVGQEDGKYPNLQLEYLGSYLAELSLLDYGCVKFLPSVVAAAAVFVARFTIDPKSHPWNKKLEECTGYKVSDLKYCICAILDLQLSKKAASLVAIRDKYKQHRFECVSSLVPPSEIPATYFDEPKEYLP >Ma03_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15940147:15940230:1 gene:Ma03_g15550 transcript:Ma03_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGAPDKDTNLRSMQNMVYRREVS >Ma03_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9358992:9367098:-1 gene:Ma03_g12120 transcript:Ma03_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEMASMAAAIGVSVPVLRFLFCFVATIPVSLAWRLVPGTLPRHLYAAVSGAVLSYLSFGASSNLHFLIPMSVSYASMLFIRRYAGAITFFAGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYSDGLLKEESLREAQKKNRLVRCPSLVEYIGYCLCCGSHFAGPVYEMKDYLEWTEHKGIWASSTNSPLPSPLGATLRALLQAAICMGLYLQLVPHFPLYRFNEPIYYEWGFWHRLFYQYMSGFTARWKYYFIWSISEASIIISGFGFSGRSDSSPPKPQWDRAKNVDILGVEFATSAVQLPLVWNIQVSTWLRHYVYERLIQKGKKPGFFQLLATQTISAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAVNVKNFLFRKMLTFTNFAYTLLVLNYSCIGFMVLSMKETLAAYRSVYFVGTVVPVIVILLGYIIKPARPVRSKTQKTH >Ma11_p08090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6448755:6459341:1 gene:Ma11_g08090 transcript:Ma11_t08090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSFNGQILVDKLAKLNNTQQSIETLSHWCIFHMNKATQVVETWERQFYCSPREQRVSFLYLANDILQNSRRKGLEFINEFWKVLPDALNDVCGNGDEFGRKTVLRLVDIWDERKVFGSRGQILKEEISGRRLDNGNKNVKAIIYKSKQSSEFLEKIISSYDHVCDEEALFRKCQSAISIVDKLEKELGSDIEYGSINGSEVMGELQMQHHMLLECIEQLKAAELSRATLISYLKEALHEQEIKIEQVRHQLQEAESQYERATVASAQLNGEQPPAEQRTKESSLAFSETSPGFAPEATAGSSDEAQITSTRCTQEEPLTENNSSHSESEHRRTAAAEVAAKLTASASSAQMLCHVLSSLASEGVIGQANKEDFSSDNKRLKTENSVPSHVLLLQPPLQPPLPSLPHPQLQHQLPLLPPGTHPSPIVEPLPSTSTSLPSAQPPPPPLITTQFMPSAAGPMTGIPYVYGSALPPLPNYPPMFGIHLGPSAPNPFHNVQASEGPNTFGQPPLPAPPPPLTRQ >Ma11_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6448755:6459341:1 gene:Ma11_g08090 transcript:Ma11_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSFNGQILVDKLAKLNNTQQSIETLSHWCIFHMNKATQVVETWERQFYCSPREQRVSFLYLANDILQNSRRKGLEFINEFWKVLPDALNDVCGNGDEFGRKTVLRLVDIWDERKVFGSRGQILKEEISGRRLDNGNKNVKAIIYKSKQSSEFLEKIISSYDHVCDEEALFRKCQSAISIVDKLEKELGSDIEYGSINGSEVMGELQMQHHMLLECIEQLKAAELSRATLISYLKEALHEQEIKIEQVRHQLQEAESQYERATVASAQLNGEQPPAEQRTKESSLAFSETSPGFAPEATAGSSDEAQITSTRCTQEEPLTENNSSHSESEHRRTAAAEVAAKLTASASSAQMLCHVLSSLASEGVIGQANKEDFSSDNKRLKTENSVPSHVLLLQPPLQPPLPSLPHPQLQHQLPLLPPGTHPSPIVEPLPSTSTSLPSAQPPPPPLITTQFMPSAAGPMTGIPYVYGSALPPLPNYPPMFGIHLGPSAPNPFHNVQASEGPNTFGQPPLPAPPPPLTRQ >Ma11_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9163536:9171836:1 gene:Ma11_g09970 transcript:Ma11_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGSKSRSLEVISACLKAGMSVARFDFSWGDVDYHQETLENLKAAIKSTKKFCAVMLDTVGPELQVVNKSEKAISLEADTLVVLTPDQEQEASSQLLPINFSNLSKAVKPGDTIFIGQYLFTGSETTSVWLEVAELKGDDVVCKIKNTATLTGSLFTLHISQIRVDLPTLTEADKSVISTWGVRNKIDFLSLSYTRHAEDVRQARKFLSKLGDLYQTQIFAKIENVEGLTHFDEILQEADGVILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAILDGSDAILLGAETLRGLYPVETISIVGRICYEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGQAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGLIKSHDRVVVCQKVGDASVVKIIELED >Ma09_p21150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31920224:31921820:-1 gene:Ma09_g21150 transcript:Ma09_t21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDIYFISVVASRSTSYPLLTCDQEESAMEISSASSLLLLISFLCSAFFLFFKQRSPRGAPANLAALKSYPVVGNLPHLVKNSHRILEWTTDLIPTSPTGTVTVAPFVFTANPANVEHVSKVRFANYPKSEPIILAIRDCLGRGVATTNGEEWRVQRKAASHEFGTRSLRTFIHEKVCHELLARLVPLLTRASRSGEVIDLQDVLERFAFDNTCSLAFDEDTMCLGGEGGEEGKRFFHAFEEASRLCVERAKQPFPLVWRIKKWLHVGSERRLQEAMEIVHGFVDRCMQSRGNRPTGGQDLLSRFTADGANSDEFVHDNLISFVLAGRDTTPAALTWFFWILSSRPDVVAKIREETKRIRTRQPEENGGKLAFTMEELREMNYLHAAISESLRLYPPVPMVPRDCLEEDELPDGHRMRRGWILMYNAYAMGRREAIWGPDCREFMPERWLDEEGVFQPKSSSVFPVFHTGPRTCLGKDMAYIQMKVVAASILERFDMTVVEASGRHQLLMAMRMQGGLSVRLRERISGGM >Ma02_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25413616:25414779:1 gene:Ma02_g19120 transcript:Ma02_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLYDAIEKAKKEIILAFDNHECDYSPYLDIVNHVQDELHSPLHAAAYYLNPAIYYNPEFSMSNVVQKGLLDCIETLEPDVADQDNITRHKAFYEDAVGDFGRPMAVRGRETLCPATWWSMFGSDHPNLQRFAVRILSQTCIMTTSDRACNANAYLHSSKNRLEQERLNDLKFVRYNLHYQQRQFWYSNFLWHSYFLF >Ma03_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14841998:14844797:-1 gene:Ma03_g15080 transcript:Ma03_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPGTEEYIKESIVISLGLPVSVESLSPKLLVSEDTRRRLQDQIFVLEDRLKESSKRLEQSRAESNMNAQGVRRCVEEKEVIASQYADLVNHCRKLEEECSLYERDLERIMESCDELAKENEELRAQLQHISSLESLAAEAESLKKDKEHLRTNLHRAEEEVKVFFEENKILDEENTKLLELLRKERRRRGSDSHRGSATPSAKVTKT >Ma03_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:689312:695801:1 gene:Ma03_g00870 transcript:Ma03_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTSSFRVWNGSVSDHGRRHFYYFLYPLRSAQFRLASIIGLAWILAYSTHAILICFRLHLIWTQSYRQTIRLQPAILFKQSQNACQLHVALNGCVRFCFNGLALLTSGDGEEEGRLVEILNIINIYWKFSC >Ma02_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20558378:20559844:1 gene:Ma02_g11390 transcript:Ma02_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHELGMIKFLFDGAWRSDGRKVLKFHLGRTDAECKTCYFNVSEKVKAVLLEVMRFLIKPFKEACLNGIPINPRISWSITSENFRILVKFKQSRFANKIDILIANVDIVLPEAFLFSGHSCMFTLLVGGKKKKKKKQLHGEGKSQSLEGGGHGRGAVQDGNDRPLSGGLAKGDVYSLGWFR >Ma10_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30375585:30380605:1 gene:Ma10_g20080 transcript:Ma10_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIFAESDQQLNINFGYQCSVKQECSSKEFPASRSSFAFLSGAAISANATLANTNIGNGLLGMGILPNLDSPKTFHKVASLTSLSSLDVLSSSSKTNITSSIGSMSSHGDFLDSDVGMFKSMSAPISGGFLNVMEVQMAGGAAGEDRVQAVCSEENGWLFCAIFDGFNGRDAADFLAGTLYENIVLYLNLSDSRINDNDVKNLGSVYSNDFIQHFIEDDNFHKQTHLLANGFNDLGVVHDQEDESLSDSFRCGIVNCLQLALAQAESDFLHMVEREMDDRPDLVSIGSCVLVVFLHGSDLYTLSLGDSRAILATSEQKNIDTKDLEAIQLMECHSVDNEMERMRLLSEHPDDPKTIVHGKVKGKLRLTRAFGVGYLKERKMNDALMGILQVPNLCSPPYISTEPSVSVHRLSEGDRFVILASDGLFDFLSNDEVVKLVSSYILSSPAGDPAKFLVEQLLSKAAKMAGLSLDDLLSIPAGMRRKYHDDVTVMVILLGTDSRTSTASTYL >Ma05_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8824459:8832030:1 gene:Ma05_g12150 transcript:Ma05_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASHGRALSSNVSEIEEEAPAMEEEDMVYVAVPAEYKAGKSAFFWAIQNTSRDQPIVLAHVHTPAQLIPMLGGKFPASSLKQQQVNAYRMLEKEKMNKSLNDYITISSQLKRKVEKVVIETDDIAKGLVELIERHRITKLVMGAAADKHYSKKMKEIKSKTAISVKQRANPSCRIWFACKEKLICTSEPSSDASRILQSPMTSRSSTYSQCEQLRSPDSFLRQNESFNWHGSHIQDLPVHASTNSNGGRNVAALSSPLPVELPIPQSRVSMGGSPSDPWAGISRSSQSSCRSSSTNYEEISNFSMLSLANYEEAEAGSVILTSVHDSEKDLPSAPSHHYLEDLGSDGDVYNKLQEAMKEAENLKNEAYEESCKRRKAELNAVLAYQKAKASENLYMKEVRLRKEIEEAFAREKLEVEKLKSQHYEISKRLQNAIEQKLELELKISESEHVAKEYDRKLTEAHHLLCSLQSDYDLLQQERDKVVKEAEELLQKRQQTSSISGAFSLEFSSLELMQATENFSNSLKIGEGGFGCVYKGFIRNTAVAIKLLHQQSMQGQSEFHQEVTVLSRVRHPNLVTLIGACPEIFALVYEFLPNGSLEDCLAYTNSSAPLTWQVRTRIIVEICSALIFLHSNNPHPVVHGDLKPDNILLDANFVSKIGDFGICRFLKQSNTSTTLCCQTNPKGTFLYMDPEYFLTGELTPQSDVYAFGIIILRLLTGKSPLRIARDVQEAVDNGDLHAIIDKSAGNWPFVQANQLAHLGLRCSEMSRKNRPDLTQEVWRIVEPMVKAASLSVSSLSLRSFSCDNSRIPSYFICPIFQETMNDPHIAADGFTYEAEAIKGWIDSGHDTSPMTNLKLPNCELIPNHALRSAIQEWLQQQQQQI >Ma01_p08320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5962567:5967427:-1 gene:Ma01_g08320 transcript:Ma01_t08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKITLRSSDGEVFEVDVAVAMESQTIKHMIEDDCAENGIPLPNVNAKILAKVIEYCRKHVDAAASKSSDDASKVDEELKPWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEDEVRRENQWAFE >Ma01_p08320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5962483:5967427:-1 gene:Ma01_g08320 transcript:Ma01_t08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKITLRSSDGEVFEVDVAVAMESQTIKHMIEDDCAENGIPLPNVNAKILAKVIEYCRKHVDAAASKSSDDASKVDEELKPWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEDEVRRENQWAFE >Ma10_p08080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22343457:22344623:-1 gene:Ma10_g08080 transcript:Ma10_t08080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRGPKACGGGAADRPIHVLAVDDSSVDRAVIARLLRSSKYRVTTVDSGKKALELLGLQEPNVNMIITDYWMPEMTGYELLKIVKESSELREIPVVIMSSENVPNRINRCLEEGAEDFLLKPVRPSDVARLCNRMR >Ma10_p08080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22343457:22344623:-1 gene:Ma10_g08080 transcript:Ma10_t08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRGPKACGGGAADRPIHVLAVDDSSVDRAVIARLLRSSKYRVTTVDSGKKALELLGLEPNVNMIITDYWMPEMTGYELLKIVKESSELREIPVVIMSSENVPNRINRCLEEGAEDFLLKPVRPSDVARLCNRMR >Ma08_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37698501:37708605:-1 gene:Ma08_g24600 transcript:Ma08_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAANGAAVPNPCEGDRNTINPELWHACAGPLVTLPPVGSLVVYFPQGHSEQVAASMQKDIDAHVPNYPNLPSKLICLLHNVTLHADLETDEVYAQMTLQPANSYDKEALQASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKNFPPLPPSQELQARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGGKRLFAGDSVLFIRDKKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANHSPFTVFYNPRASPSEFVVPFAKYQKAVYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWCNLQVGWDESAAGERRNRVSIWDIEPVVAPFFICPPPFFRKRPIPPGVPDDESSEMEIFFKRAMPWLGEEICIKDSQTQSTIMPGLSLVQWMNLQQNPSLANQTLLAETLQSLNGAVMQNLGTTDLCRQYGLHPQTLQHNNIQFNTNRFPPQAQEVDEIVKVPIPLNQLSVASAPPQQMPDLTVQTKHQFVNQALPNQRHNNVVQPQVLVQTQVQPQQQPVLQNNQLSQSSLPQDQQHPQQLLLQQLRQQLQQQQHQQQHHQQLQQQYEQQQSRTPVMVPSQMNEQLSDQHIQLQLLKKLHQPQQQQQQSFSQSRMQQPQHSQIQEYQKAAIDVPQQLSNSHAQVQQPAILQQCAKAISQVARPQTFQNQPQQNPPQQQLLHGELHRAFLPASPATNLIRASGSLLGAGRAQSGVTDDIPSCSTSPSANNVVILPDSILNRTQHCNISTEKMSQSVTMLGPNFFEAAAVNPDISKEITKDVHDAKLLIPISKVQNQAVVAPQTYISNAVQMDCLDTTSSATSVCLSQTDGPLRQSLPLSSSNQPSMLRDPLPDIDVQGTDPRNSLLFGVNIDDSLGIPLATDSLLINNVDSGKYQNHIAGNVVANYNTSKDIQQELSSTMVSQSFGVPDMAFNSINSTTNENGFLNKNSWVPTPPLPLQRMRTYTKVYKRGAVGRSIDVTHYSGYDELKHDLARMFSIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDVDLGNNNLPNQACNSSDGGNPLRGHGDQNSGNPSAGSYDRFE >Ma08_p24600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37698459:37708605:-1 gene:Ma08_g24600 transcript:Ma08_t24600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAANGAAVPNPCEGDRNTINPELWHACAGPLVTLPPVGSLVVYFPQGHSEQVAASMQKDIDAHVPNYPNLPSKLICLLHNVTLHADLETDEVYAQMTLQPANSYDKEALQASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKNFPPLDFSMQPPSQELQARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGGKRLFAGDSVLFIRDKKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANHSPFTVFYNPRASPSEFVVPFAKYQKAVYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWCNLQVGWDESAAGERRNRVSIWDIEPVVAPFFICPPPFFRKRPIPPGVPDDESSEMEIFFKRAMPWLGEEICIKDSQTQSTIMPGLSLVQWMNLQQNPSLANQTLLAETLQSLNGAVMQNLGTTDLCRQYGLHPQTLQHNNIQFNTNRFPPQAQEVDEIVKVPIPLNQLSVASAPPQQMPDLTVQTKHQFVNQALPNQRHNNVVQPQVLVQTQVQPQQQPVLQNNQLSQSSLPQDQQHPQQLLLQQLRQQLQQQQHQQQHHQQLQQQYEQQQSRTPVMVPSQMNEQLSDQHIQLQLLKKLHQPQQQQQQSFSQSRMQQPQHSQIQEYQKAAIDVPQQLSNSHAQVQQPAILQQCAKAISQVARPQTFQNQPQQNPPQQQLLHGELHRAFLPASPATNLIRASGSLLGAGRAQSGVTDDIPSCSTSPSANNVVILPDSILNRTQHCNISTEKMSQSVTMLGPNFFEAAAVNPDISKEITKDVHDAKLLIPISKVQNQAVVAPQTYISNAVQMDCLDTTSSATSVCLSQTDGPLRQSLPLSSSNQPSMLRDPLPDIDVQGTDPRNSLLFGVNIDDSLGIPLATDSLLINNVDSGKYQNHIAGNVVANYNTSKDIQQELSSTMVSQSFGVPDMAFNSINSTTNENGFLNKNSWVPTPPLPLQRMRTYTKVYKRGAVGRSIDVTHYSGYDELKHDLARMFSIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDVDLGNNNLPNQACNSSDGGNPLRGHGDQNSGNPSAGSYDRFE >Ma09_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:973868:974411:1 gene:Ma09_g01330 transcript:Ma09_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVAVMLFVLLSPGLLIQLPARGRVVEFGNMQTSGLSILVHAILYFALVTIFLIAIGVHIYAG >Ma06_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7937477:7939940:-1 gene:Ma06_g11340 transcript:Ma06_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFTRKVERPLELRTFYAGVSVGVGGLLVIVTFLGLFLYRRKKRNRLSPVLLSRRASSEPISSKKDPELGNEHYGTQVFEYEELHEATDGFNASNEVGDGGFGTVYKGKLRDGRTVAIKRLYENNFRRVEQFMTEVHILSSLRHHNLVSLYGCTSRHSRELLLVFEFVPNGTVADHLHGPRACEEGLPWPVRMSIAIETAQALSYLHAVTPPIVHRDVKTNNILLDRSFRVKVADFGLSRLFPLNVTHVSTVPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELIASKPAVDITRHRHEINLAKMAVNKIQNEELDQLVDPRLWRQSNSEVIWMIRQVAEVAFGCLQEERDMRPTMKEVLEALRGIEGKGSNRKKGAEADDAVAKDDDRSLLGERPPHSPDTVNAEWESRLTTPNASV >Ma06_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7228129:7230307:1 gene:Ma06_g10460 transcript:Ma06_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAQQEISVSSSSGSRPVSKDAIINLDHGDPTMFESFWKEVGEAADITIPSWRTLSYFSDVSNFCWFLQPEFAHEARRLHNLVGNAVADDRFLVIGTGSTQLFQAALFALSPSDAPEPMSVVSAVPYYSSFPRITDYLRSALYRWSGDASKFEGDAYIEVVCSPNNPDGSIREAVLKSSNGKTIHDLAYYWPQYTPITAAADHDIMLFTVSKLSGHAGTRVGWALVKDVEVAKKMVKFIELNTIGVSKDSQLRAATILKAISDGYERPDVQTKPGLKMFEFGRRLLSMRWQKLREAVKASGIFTLPDFESVHCKFTGETTEACPAFAWLKCEREGMKDCADFFKKHKILTRGGGHFGVESNYARVSMVDRDETFDLFIERLMSLR >Ma04_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8937248:8941229:1 gene:Ma04_g12120 transcript:Ma04_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVITSPGGPEVLQVQEVEDPVVGDDEVLIKVEATALNRADTVQRKGLYPLPKGASPYPGLECSGTVLTVGKSVACWKVGDRVCALLSGGGYAEKVAVPAGQVLPIPEGVTLRDAASFPEVACTVWSTIFMTSQLSPGETLLVHGGSSGIGTFAIQIAKHVGIRVFVTAGSEEKLAACKNLGADVCINYKTEDFVARVEEETGGKGVDVILDNVGGPYLQKNLDSLGMDGRLFIIGFMGGAATEVNLSCLLARRLTIQAAGLRNRSLENKAKIVAEVKKHVWSAIAAGKVKPIVYKTFPLSEAAEAHRLMEGSSHVGKILLIP >Ma09_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12256943:12258369:1 gene:Ma09_g16810 transcript:Ma09_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLEDLKKGNVASPPPLAAPQFGSTCFCFPHLLLFSSFIVGLSGDHQKVETEKRERERMREFSYEDIEAATKSFASEQLIGKGSHGSVYRGRLTDGRIVAVKKPSRLLNDDKLSNEIDMLASVKNSGVVDLVGVSRGPTGGPLLVMEFMHRGSLHDLLHSSSNPPAWPRRFAMALQLARAVLFLHEAAPAIIHRDIKSANVLLDNRWNAKLADFSIAVRRDDRMQPLDSPIPAGTIGYLDPCYDESGELGPRNDVFSFGVVLLELVSCRKAMDMERDPSSIVSWALPILRANRLAELCDGKVALPGRMKRPIRRMLSIAEKCVSEKVEGRPLMGEVVRELQGVVEDVMPWPILGSVRSKVSEGVHKSVRAWRRWVEKRVNTGKSVACKDYLLDDGGADECGDDAHGKRLLMFSAEK >Ma08_p26730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39216358:39217961:-1 gene:Ma08_g26730 transcript:Ma08_t26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIGRGVTLHNPTVHVPPWSSFDDPMAGDGLPDEATLAALQLYLRREEEAGEDNARLVDAYASDEFRMYEFKVRRCARGRAHDWTECPFAHPAEKARRRDPRKHRYSGSPCPDFRKASGCKRGDVCDLAHGVFECWLHPDRYRTQPCKDGTACRRRVCFFAHTPDQLRVVPPHHQQSSPTAAAAGVDSYDGLPLHQQSFMQPYLPKNLVSSPTSVRISPPNSPPTESPPISPNGAQLGSWHAGSSVNEIVASLRQLQLSRAKSAPSSWGLQVGSGGFASPSAFAGFNAGFCSLPSTPTASTMICGDARWLEEVEPAERVESGRALRAKMFERLSKENIFEKAEAAPDVGWVSELLQ >Ma02_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18544645:18547757:1 gene:Ma02_g08090 transcript:Ma02_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDYKMPPWDLAELEQSAEPSMGAVVGPSCNLRSQAEGADCSVDLKLGGSPEKWKSQSKTTTAVSSSSPSKRARAPGAGSQNAACSVDGCNSDLSNCREYHRRHKVCEIHSKTPIVMVGGVEQRFCQQCSRFHLLIEFDEVKRSCRKRLDGHNRRRRKPQPESATSANLFPIQQGTRFSTCAYISQAPLTEPNWSAIVKAEKDALYTHHTPLHLIDSQHHFPGSFSCSYKERKQFPFLQDGKAAFSRTAVEASICQPLLNTVPSVESSSSSSSSKLFSDGLTQAINSECALSLLSSPTQTSSISVDHMLPTNRIPVGQPLVPSLQYSSLNPYSGSPASGSVLPTEFSCSGAEDEQAGGVLVSDTDLHCQGMFQIGGEGANDGASPSLHFSWQ >Ma11_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17694543:17709354:1 gene:Ma11_g13360 transcript:Ma11_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MTESEEEPVLLERSARLTRGKRMSKLLDNAIEQDEIFWNQDALKEEENDENYEEEVEAADEFDSDFNDDEPDPDEEAEVVAEDKLPVKKRLIFPGKPATKKKNKKKVLLKLENTPEDEKLSKKTPPSENQDLPDDIEGERTVRKSTRTSVIVRQAEREIRAALQASMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKKAVVHKAVYDGPQIRFTSRNGESYLEFIKGASFQSEICTSSVPYPRKSFCVITGLPAKYRDPKTGLPYATLEAFKLIRERFTKQETDQKQLGKIDMGDLFDAISNEGFLSTRSRRDAGIMRRPTDLRLGAQFRRIPALEMLDQD >Ma08_p34350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44390984:44394894:1 gene:Ma08_g34350 transcript:Ma08_t34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLGANLAILPSFSGDLFTPAELSAATQLVQLRGSTRGESSAPQKPTFSPSVSSSLSSVGTRRKAPLETETGEELCPRRRKKWRYRLVVDLYAAAERVVQAPPIAPPRKALRVRSYGGRQGRCRRLRHPVQEETEEEESVGEDETSTYKWTPAAPHLRATLHWFVLLPPVTDGSSPPTSRRRPQDRDPATFAIVVEVGSEEIYIVDRDIGVLDAAATGETEHCWSTGFKLTPAENFARAASCTECHQFEYFLDSENPRWTWVPHPLLLLLLPRQLRRRSWGVMGEERPAFSKMDSTSVLFLRAT >Ma11_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2428358:2435606:1 gene:Ma11_g03270 transcript:Ma11_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTDGDGDGEVDDVAAVTEMRHQMEGAIAARRQSQQDLLASLHSLVPDLASSLDVPLRVISAFNRRPFSPTLIPSPSTEPSSDHHSVNPRRRHLPDTCTLPRTRRKPSPPSSGADGGASSGGDLLSVVRTMVAVCLLEFVPFTEIDSAALLRRLVNDQSSATPSEKAALSDLGGDLGPISAIEMALRRIAEESGGVQLEEFTVNGKSVLMIWSINRNKLLKELPESSSQGQQPPLRHISTERNSSKGNYQAQGPNMAGVDNTSMMMASPSLDMWMGPPDAHLAGMPHLFPGSGGPPSLAGGGPRAMGLIGLAPLQRPLIGPGNTPGGPNPAMLKQGTEEDDLNDLDALLNKKTFKEMQLSKAGEELLELIHRPTAKEAAAAAKFKTKGGSQLKEYCTHLTKEDCRRHSGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTLDAPILGLANPPQRPIKYQRAEYCSEVELGQSQWINCDIRTFRMDILGQFGVIMADPPWDIHMELPYGTMADEEMKNLNVPTLQTDGLIFLWVTGRAMELGRECLELWGYQRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARIHNTHAGWLSLGNQLNGVQLVDEGLRARFKAAYPDVEVQPASPTRTSAMDTDLNTSQHQP >Ma11_p06870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5496286:5499355:1 gene:Ma11_g06870 transcript:Ma11_t06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIVSLDTHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGVPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGQETVKLAIRTLLEVVESGGKNIEIAVLTKEHGLRQLDEAEIDAIVAEIEAEKAAAEAAKKAPSKDT >Ma05_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36763188:36765364:1 gene:Ma05_g24550 transcript:Ma05_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSSPSGEASASSNQQPSSASTNPTPVKRKRNLPGNPDPDAEVIALSPKTLMTTNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSKEVRKKVYVCPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCNKCSKKYAVMSDWKAHSKICGTREYRCDCGTLFSRRDSFVTHRAFCDALGDESSRVVTAKPTAATHHPHQFSHPAASLEPFPLHQTILQTQFPPEQQKHFNIRSDIPPWLNCQATTSLGHLDFPCSIYSTRLEQEYPPGNTGALPPPPAPLPAYQVSASSPHMSATALLQKAAQMGATISRPPQIASFGQVSAPPPLLHDMMMNRLSAPPGSQGKDEGGRGGGGGNDGMTRDFLGLRAFFPQGYP >Ma04_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22196404:22197648:1 gene:Ma04_g19590 transcript:Ma04_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDNHPTWVAYEPTKDCSLGFCSFYCPQWCSVIFSPPPPVQFADEGSGRTFSPLVIAVIGILTSAFLIVSYYAIVSKYCGTFSSRRWWWPRPGHGTGTDDDELDSNLGQVGTWHFSPTNGLDEALISKITVCKYKRDDGLIEVTDCAVCLGEFRKDDTLRLLPKCSHAFHVPCIDTWLRSHSNCPLCRANIVPVTSAAPLQLPAPPPQQTENNIPIREGEHEDEVVLVIPDGDEETELPKNPSRIHCSSGEMEDGSTVVGTRDGDLQPIRRSLSMDCSYRDGVSIVELLQMTYASSSRRWGGEHRKNSSSTSGPHGVMSPAPMKRSFSSGRVWLTRQAKGSNSMLPV >Ma02_p15860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23401544:23404684:1 gene:Ma02_g15860 transcript:Ma02_t15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAAVSRLKPASFPSSYTELLRIILVANSSQQQITRLPLLYSPRARIGEGTTAALELRRKYHDGRPRGPLWRGKKMIGKEALFVILGLKRFKDDEEKLGKFIKTHVLRLLKMDKIAVLVELERQEEIHLALKMFRIIQEEDWYKPDVYLYKDLIVALAKCKKMEEAMQIWESMRKEELFPDSQTYAEVIRGFLRYGSPADAMNIYEDMMKSPEPPEELPFRILLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGMR >Ma08_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26492361:26494163:1 gene:Ma08_g17680 transcript:Ma08_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVAQSSSATAFYTDNCRWPAPVERRKSDADPPPPVEESSDDERPGQFDIWSAIQSQKVADAAPDQPAAPYVHPLVRRSPSLLSQRSLEICTESLGSETGSDDFSSSLEDLDYYYPPKFEDEEKEEEKRSQGVAGRGAKELSSVNFRCCRRSPPRSFPPPLPSISRRNVSCLHMRPHRLDGRLVVEAVPVPAPSKCYLHARRVDGRLVLSFTDSTQAPNKVTQPQQHEDVEQDADIKENEATEEKDEEFEEKNCCEEEEEEEEVEVVDRGTIVEVKVSTQPQQRTGAMKVHRSSLVINKFVSGTPLSGMTKCEQPTENDENVSTVPSSSAPRRASPTTTTTAAVAVAAASTLSVTTEGDIDYGHGGLWVPLGGHHPPADNKLLFTSKRRNRGELLHDMKRCSQLRRPLFIWEPCCIATSS >Ma04_p29830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30645445:30653828:-1 gene:Ma04_g29830 transcript:Ma04_t29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRFSPSMRSITISSSNGFLDLMKVKVAARHFSYRTLFHTILILAFLLPFVFILTAVVTLEGVNKCSSFDCLGRRLGPRFLGRGGDDSVKLVKDLYKILDQVNSEEILVDQKLPESFGEFLSDMKNNKYDAKTFAVRLKATMENMAREVKRSRLAEQLHKHFAATSIPKGIHCLSLRLTDEYSSNAQARKQLPPPELLPLLSDNFYHHFVIATDNILAASVVVNSVVGSSLEPERVVFHVITDKKTYPGMHSWFALNPLSPAIIEVKGVHQFDWLTRENVPVLEAIENHHGVRNHYLGNHIMGANVSDNPRIFASKLQARSPKYISLLNHLRIYLPELFPKLNKVVFLDDDVVIQRDLSPLWEIDLSGKVNGAVETCKGEDTWVMGKLFRTYFNFSHPLIANKLDPNECAWAYGMNIFDLNAWRKTSIKETYHYWVKENLKSNLTLWKLGTLPPALIAFRGYVHPIDPSWHMLGLGYQEKTDLDSVRKAAVIHYNGQCKPWLEIGYKHLQPFWTRHVKYSNEFIRSCHILEPQ >Ma10_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3902104:3903961:-1 gene:Ma10_g01010 transcript:Ma10_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVLINIHFFFLQSYVLIMFCICIDDCFNRAWFPCSAHIDTCLLTTSTLNACQGVLDIKVKIMLD >Ma11_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23719375:23734979:-1 gene:Ma11_g18700 transcript:Ma11_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRPVRCSENEEIGLYLWRKRQEMVESNGLSENLDQTLSKAYRSICDAKTPIKTLKDLSQIKGVGKWILRLMQGFFQESVADVPSTTNEAHAKGKKSKEPKRYVPKKNSVAYALLITLYRAMTNGSSYMKKQELIDAAEASGLSRTSIASDKSKQPGQFGISSRDWYTGWNCMKSLISKGLVVKSSCPAKYMLTQEGQEAARECLLRSGSIDLEPAKATCRSHSALDGQSASPVDLTLQSASVSCQTEMIDIPTEYVDRYKQADFLDSDHDSANLEKCSYSTAETCMPIVLDSIANIPVGDARCRNSVYADAAQSSFNLRACTSFDSPMHKPSANDATKGNDNAIAMPPYRSGEKFEDIYDVILILDDRENFGSRSRKIVDNIHTQFNILVEFRRLPVGDGIWIARHRGCNTEYVLDFIVERKRVDDLCRSIRDNRYKDQKLRLQRCGLQKLIYLVEGDQNCLEAAESIKTACFTTEILEGFDVQRTSGFADTVRRYGYLTKSIIQYYTMHFIDKAMCSRVCPSYDEFVKKCQDLEKMTISDVFALQLMQVPQVTEEIALAVVDLYPTLLSLAQAYSHIEGDLRAQEELLKNRSKIISASASRNIFKLIWGR >Ma04_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4933710:4934819:1 gene:Ma04_g06770 transcript:Ma04_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGVGCQTKATSSPGLKLFGFQVSDSDNATNGSGDVAAADSSSSASGSVAGGGDVRKYECQYCCREFANSQALGGHQNAHKKERQQMKRAQVHHHHPHMASPHRSPAGNFYHQPIASAFSLPPPHLLSPVHRPPSPATTATMTTVVGLQATAAGSWVYYARAAPPLPLSRACVVPRAVPTYYSYAEDSGSELFYDDGRPTSGPTLQGAAPADGGAEDSYGLDLHLSLAPARS >Ma03_p11590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8979579:8981226:-1 gene:Ma03_g11590 transcript:Ma03_t11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPYTSCFKGYYDRCTFPSTFPSSLPATTHSFYANFTNYATPHFQPSPPSPPLREALPLLSLSPTRHHRQQQRQEEGEDSSCSAFEEAEGDATVGYEKKNMEEENVSVALHIGLPSPSEADLISRISSTSVDDDKESEEDGGGGGGGGGSDAIPLGHPTVGKLTRGQYWIPTLSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSRPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRAFGSGHAAYGIDCFDEDDEPSSEIEQDCDHHQQQVQVQQHTQSGYMG >Ma01_p08370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5994979:5995569:1 gene:Ma01_g08370 transcript:Ma01_t08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPDRSIITFLPKLATGRENPMKPRAHGQSRSFSGPITGSIIPIEARRKQKRRGGFEAPQEPSSPKVTCMGQIKLEKMTAGCCRKPPPPGRKWKLASFIRQIFRRPKVGPAGGGGKSRPPVSAPPSLGGMSRSAGGRNSLGDFDWRKAADTEEVIIAHSAPIMVGGGVVAVEPRKVSSSLWKRRAAARLTTLQLN >Ma10_p24050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32772207:32836945:-1 gene:Ma10_g24050 transcript:Ma10_t24050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36230) UniProtKB/Swiss-Prot;Acc:O82782] MPLTTRTLPSLRSSPSTPIPLRNPLSSSHPASHWRMGRKHSKPRSISCTVRFRPCIDIHKDKVKQIVGSTLRDSTDGGASDMVTNFESEKLPAEFANLYKKDGLKGGHVIMLGADAASQSAAFEALRAYPGGMQVGGGINADNAMNYLEEGASHVIVTSYVFSDGKMDLERLKHLVNMIGKDRLVLDLSCRKKEELFHFSSLLFLTFALPQDGKYSIVTDRWQKFSDVILDKETLEFLAKYSDEFLIHGVDVEGKRLGIDEELVMLLGCYSPIPVTYAGGVTTMADLEKIKTAGKEQVDVTVGSALDIFGGNMAYKEVVAWHNKQVMISQQPS >Ma10_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32772207:32836785:-1 gene:Ma10_g24050 transcript:Ma10_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36230) UniProtKB/Swiss-Prot;Acc:O82782] MVTNFESEKLPAEFANLYKKDGLKGGHVIMLGADAASQSAAFEALRAYPGGMQVGGGINADNAMNYLEEGASHVIVTSYVFSDGKMDLERLKHLVNMIGKDRLVLDLSCRKKEELFHFSSLLFLTFALPQDGKYSIVTDRWQKFSDVILDKETLEFLAKYSDEFLIHGVDVEGKRLGIDEELVMLLGCYSPIPVTYAGGVTTMADLEKIKTAGKEQVDVTVGSALDIFGGNMAYKEVVAWHNKQVMISQQPS >Ma10_p24050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32772188:32836945:-1 gene:Ma10_g24050 transcript:Ma10_t24050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-(5-phosphoribosyl)-5-[(5-phosphoribosylamino)methylideneamino] imidazole-4-carboxamide isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36230) UniProtKB/Swiss-Prot;Acc:O82782] MPLTTRTLPSLRSSPSTPIPLRNPLSSSHPASHWRMGRKHSKPRSISCTVRFRPCIDIHKDKVKQIVGSTLRDSTDGGASDMVTNFESEKLPAEFANLYKKDGLKGGHVIMLGADAASQSAAFEALRAYPGGMQVGGGINADNAMNYLEEGASHVIVTSYVFSDGKMDLERLKHLVNMIGKDRLVLDLSCRKKDGKYSIVTDRWQKFSDVILDKETLEFLAKYSDEFLIHGVDVEGKRLGIDEELVMLLGCYSPIPVTYAGGVTTMADLEKIKTAGKEQVDVTVGSALDIFGGNMAYKEVVAWHNKQVMISQQPS >Ma06_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10496805:10502775:1 gene:Ma06_g15470 transcript:Ma06_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTAPLRPPSGAGASSSSGSSFTSRVILLLTVLPLALASFAFVLQWRGGLNDPASAWPTDTQRFPGMENSPIGSSSSFFSVSSSSSSSDCAEILGRSSSPSFPFYHGWKFDFDSDPRPKICITSSTSAGLEQILPWLFYHKVIGVTTFFLFVEGEAAKPAVSTVLESIPGVKVIYRTSDLEEKQAKSRVWNETWLSGFFYKPCNYELFVKQSLNMEMAIVMARDAGVDWIIHLDTDELIYPAGAREYSLRQLLSDIPSNIDMVIFPNYESSIEHDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARIQDFLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFILDFDRDAFIIASTATEEEMHHWYRDRVVWTDKQINLKLLRKGILTRIYTPMAIIHGLKESGVFSNAVASAKSLSKEKFLSSMEKLQNKSSLVPNSVTAGTQSLRSSNRKIGSHTESQATGRKVLEISVIQEKAVLPSSPPRLEDLHREFHEYLAN >Ma07_p29030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34864751:34866485:-1 gene:Ma07_g29030 transcript:Ma07_t29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLNSPFDCLLLDLDDTLYSSTIGIAQACRKNIEEFLAFKCGISAERAFSLRVELFRSYGSSLAGLIALGYDVHPDEYHSYVHGRLPYELIKPDAELRELLLGIPQTKILFTNSDRQHARRALQRLGIEEGCFRRIICFETMNPHLFGDEIGANSSPPLPAVATPEVILKPSAPAMEMAVRLAGFGPHRTLFVDDSERNIAAGKAAGLRTALVGKRVKTKEADYLLDSISRLKQVVPEIWRGLEMERGGDHGATAMRSDLDSIRPTYPVGA >Ma00_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30907781:30911877:-1 gene:Ma00_g03750 transcript:Ma00_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGMPSHIMWAARDSFGLLRKDTSTITPGGRMQPAAQAASQRTATTATRPVANFEAVRPVRGVATRGVAGEDDAVAGGLENKISQTVECESRRKQGDVVFASDEVEEAMADHKVEGEEKVLGVGCGGDTAAGVDDIVLNIDGEDLIFSEDTFPCLPDFPCLSSPSATPSPSSASTLHAKNAVLSSCSSASSSSSSSSSSSSWSFLQVPDSGRVADMQQQATEVQSVDDIMMLPPLPPPLDHHQSSEFADGLDILGDIDLFDLSIDPWDPPSLFHADEASAAVISGGDEAVGSHEKKPCQAVEEGRLHGHGEGVPQQAQEEESSEELARIFLEWLRSNKDSISPEDLRSIKLKRATIECAARRLGRTKQGRTQLLKLILTWVQNNHLQRKRHRLPYSYDHQPRPFPISPNPNQLDYNCNPWSPYSMDPSTAATHPALLSAYAGGGNGEMGYPSATANPYPYHHSCGTSSVVVNNQPFSPSPDFVDPAGGPWPARLASTLPQFAPYPGTSASHPLSMAPPQYSGGMTNQFLGHPMYHQGQRLPGTTSATKEARKKRMARQRRFSSLHQHRNHNQPLHLQHAHHPVGSTEGANGSSHSNTRNWGFWTSMSSSSHQMNHMAEAQNASNMQQTPPPPAAQLPQRPQLTTASTSEKRQGWKGEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELDARDGISIPMEDIGTSQVWNMRYRFWPNNKSRMYLLENTGDFVRSNGLQEGDFIVIYSDVKCGKYMIRGVKVRQPVEARAPSSRNTGKAHQRRNGLEKMAGASKMKGRSLIHDDADKYDDDDDDDDDDVPPSMHG >Ma07_p26070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33023067:33023732:1 gene:Ma07_g26070 transcript:Ma07_t26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHRTLLLLVVAMSCLVTVSQAHQKIHIVGGSYGWKIPPNKTFYEEWANKQNFFVGDKLVFLYTTGLQNVIEASEEEEFVYCKQTNVEDVQFVGPTILEVTKAGVHYFYCSVGLHCEGGQKLRINVTDEATT >Ma03_p08950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6583094:6588439:-1 gene:Ma03_g08950 transcript:Ma03_t08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSNSRRNDYHRQNPSFSYSSPPPGPNQSATSSSHLPISPPLPPPSQPPPPRSNYSTPYPMPSPPNPSTSYYHQSSPWTCRPQHPQHYGPGRSGGWWMPPPPPPPIHSPRMAGQPQALPPPPFVEQTKTVKNDVNVHKDSIRLVPDEQNADHHLVSFTFDAMVDGSVTIYYFAKEGANATISSIYVDIYTPKGITFLKGLGQTFIQPSGSGIDLGFFDLDELSKPLEGDVFPLVIYAKSCRPSPSKDVHGIQSSSPAHAQITQAVIEKSNDGNFKVKVVKQILWVEDERYELQEIFGLSPVEAKISGGDDDDMGKECVICLSEPRDTTVLPCRHMCMCGVCAKALRLQSNKCPICRQPVEQLMEIKVNTADP >Ma03_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6583899:6588439:-1 gene:Ma03_g08950 transcript:Ma03_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSNSRRNDYHRQNPSFSYSSPPPGPNQSATSSSHLPISPPLPPPSQPPPPRSNYSTPYPMPSPPNPSTSYYHQSSPWTCRPQHPQHYGPGRSGGWWMPPPPPPPIHSPRMAGQPQALPPPPFVEQTKTVKNDVNVHKDSIRLVPDEQNADHHLVSFTFDAMVDGSVTIYYFAKEGANATISSIYVDIYTPKGITFLKGLGQTFIQPSGSGIDLGFFDLDELSKPLEGDVFPLVIYAKSCRPSPSKDVHGIQSSSPAHAQITQAVIEKSNDGNFKVKVVKQILWVEDERYELQEIFGLSPVEAKISGGDDDDMGKECVICLSEPRDTTVLPCRHMCMCGVCAKALRLQSNKCPICRQPVEQLMEIKVNTADP >Ma04_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1344801:1346061:1 gene:Ma04_g01480 transcript:Ma04_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAISFATTLVALLISSPAIAQTPPAPILPPSPAPAPAPAPHHVNLTELLSVAGPYHTFLNYLLQTRVIDTFQNQANNSEQGITIFVPKDSAFALLKTSALANLTDDQLRTLLLSHSLSKYYSLSDFRNLSNMNPVTTFAGGQYTLNVTEAAGIIHVVSSWSSPKISSSVYSTAPVAVYQIGRVLLPEAIFSVDPSLAPAPAPAPETTKASDIAPSHNGIASSPKSLESPTNTGRSAYNTRGVGLLIYFVLAVSSGLMLL >Ma06_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22934043:22935646:1 gene:Ma06_g24410 transcript:Ma06_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLIQEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEAFPGDNVGFNVKNVAVKDLKRGFVASNSKEDPTKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKITKAAAKKK >Ma06_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13582711:13588140:1 gene:Ma06_g19650 transcript:Ma06_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQSPSPDPPLDAASLAFSKQELEDLRSLFVSLAAQSGSNCKFISQPVFQAHFRVPGPLGARMFQLAARKRNDGMLTFEDLVVTKATYEKGARDEIEEFIYQLCDVTGDSILGRSDIEAVLKSIHEALFSPESDDNDLSSQHQDILEVFINAAIFSEKVEGLARESMSLADFRSWCSLLPSARKFLGSLLTPPDSGRPGYQVPCLQHPENVSSDMLLLWKEYAWHIGGALSQNEVEEWKLLYHSAVNGLSFSTFLGNISNGDGPTVLIIKDTEGYVYGGYASQPWERQSDFYGDMKSFLFQLYPKASIFRPTGANNNLQWCAVNFSSDSIPNGIGFGGRANHFGLFLSANFDQGHTFSCTTFNSPCLSKTSKIHPETIECWGVLAKGPQNGKPEVLKGTVLERFKEDRNMLKMVGLANSSD >Ma03_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3240674:3241732:-1 gene:Ma03_g04890 transcript:Ma03_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLHKPPPKTVGIVFLALALLAFIYLVIYPKEFELQSFVTACRSSSAAAVTHFTEPVTVKPDFRLLIGVLSRADLYERRHLLRLVYSLQTNLTAHVDVRFVFCNLTKEDQRVLIALEIMRYNDIIILDCNENMNDGKTYTYFSSIPKLFNGADGDDQPYDFVLKADDDIYFRLPKLIESLNKMPREDLYYGFVIPCDSMDPFNEYMSGMGYLLSWDLVEWISTSEIAKNNTVGAEDMMTGKWLREGNKGKNRYNTKYAMYDFPIPVPIDTCSHEFMPDTIAVHRLKDHLKWARTLKYLNVTDGLKPSKFYHID >Ma10_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30590361:30591885:1 gene:Ma10_g20420 transcript:Ma10_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPMQICMDASSDWLKGMVQEDSGTNSSSPSSELISCSRPPVMDKRLRPQHDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNVPVGGGCRKNKRPNVKKTADLFHPTASPFQDATLQALHQSFPLVQLSHLESLTYTPRNIDFTECKYNLMLDNTMDGLDLMDTKFGAMFPRSHLPLGGGIAGLGEASHGFTSAGFHGSNACGFSIDGNHAAFMETCQKLALPLEGREEANAVDVKPSDRILSMEWQDQCCADAGREAMGCSDGLGSWSGMMNGHGSSATM >Ma05_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9495587:9502576:-1 gene:Ma05_g13110 transcript:Ma05_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSNSMGESDKDGSASSPAVERNLPRASTMPKGTKYAEKSETSSEGPNLERSKTEKHGQNSPHDDPTAQLFDDKIPDKQKTLNQIATVKDDGTVVVDVPSNFETKSINLRSENIYGEAVDEEPVDLPDLQYRPPMQIVILIVGTRGDVQPFVAIGKLLQAYGHRVRLATHANFREFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSAPSEIPIQRNQIKEIIFSLLSACKDPDIGTDVPFKVDAIIANPPAYGSLGKSCCLGSLLQTMYIPTDS >Ma03_p25950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29850455:29850700:-1 gene:Ma03_g25950 transcript:Ma03_t25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRISFPVCVRDEGWNGRFVLKVDDTCNIIAAVPMLIYFDAPCGSHVKESVSKTSSYWYQNMIVCFPFFGRMRETILMTPR >Ma03_p27590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30919083:30919835:1 gene:Ma03_g27590 transcript:Ma03_t27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKHGQSSVFSLHSPIKVEIVVLDGDFPRENSQDWNTDEFNSSILEKRENKRSLLLGDSKAQLRQGIASFGTLKVTDNSSWVRTGKFRLGVRVSPGSYKGPRIKESITESFRVLDHRSKFNQKPHPPSLDHEVWRLLNISRNGAIHRRLDAAGIKTVHDFLKLSIVDRQHLCNASEMYVSQNSSN >Ma06_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11145324:11149037:1 gene:Ma06_g16450 transcript:Ma06_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEENTRKEETVKLISAEGFEFVIDKKAAMVSQTIRNMLTSPGGFAETQHGQVTFPEISTPILEKICQYFYWSLEYSSGKETEFHIEPEITLELMMAANYLHT >Ma05_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27799428:27802521:-1 gene:Ma05_g19380 transcript:Ma05_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGKDVQGMAAPHVALQELQNGGGGAGRDDFFDQMISGLAPAWPPGLGDPKLLFGAGVGGKAPEVASAAEGVRYVPYDESSLLASRLRQQEASRGGGSLTETSMLLQLGQHSHHQAQQQLLLQSIAQPPAAGGGDSRGFLPLPLSLGSGGSGDSGLLVDRSRDEVDAPFKSPHLTEAEGLYSNGFGGSLQRAIQAPTQQQLLHHPQNYGMGQGTRTGTAAASAPACGVTAPPRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNGNKTDKALMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSENGAAVDGVVGGDDGSMTVAEHQVAKLMEEDMGSAMQYLQGKGLCLIPISLVSAISSAAACHPRPPGSGCLGQLNRPTHHAAGDAPASPTISALTVQSTNGSGTEADAPWPAGSKDGAAIP >Ma05_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25375036:25382122:-1 gene:Ma05_g18800 transcript:Ma05_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGRGRDGGVVVGRMKLGSQGLEVSRQGLGCMGMSGVYGPPKPATDMIALIHHAIRSGVTFFDTSDVYGPFTNEILLGKALQGGVREKVELATKFGISFQDGKGEIRGDPAYVRAACEGSLQRLGIDCIDLYYQHRVDTRVPIEVTMGELKKLVEEGKINYIGLSEASASTIRRAHAVHPITAVQLEWSLWTRDVEEVIIPTCRELGIGIVAYCPLGGGFFSSGPKMVETLSEHDFRKLMPRFQPENLAQNALIFKRVSEMAKRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNIGALSVKLTPEEMAELESYASADAVKGDRYDAAMNTWRTSDTPPLSSWKGDRTSSICS >Ma08_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33231695:33232888:1 gene:Ma08_g19460 transcript:Ma08_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSNKLKQRLARMFRSPSLLRSPCKPSASAATNLSSTASTRALFDDAVHEPVFVPRRRGGSLDDHLGRSFSSTVPTVAVARRRSVDYSGCRPTCAIECSQPAPLVAKNEKWRDLKARKKERRVRETGGYYETGEWEGRTCPPASPSSPSKSSYYYYCFNGDVKEEKEGIKRKKKKKKKKKKKRVPSNSYRFSSSSSMESDVQEDGFFSSEEREGKEEETETFFSSRSFSSDSSEFYQRPTSKEKNKNKNKRNPERPQRRRARKYEAWGVCKGFQPLVSITRREKKGFAVVKRSSDPYNDFRSSMLEMITERQIFGAEDLECLLQSYLSLNSPHLHPLILQAFSDIWVVLFGH >Ma05_p09310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6746637:6753097:-1 gene:Ma05_g09310 transcript:Ma05_t09310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVANKFRLGRKVGSGSFGEIFLGTNIQTNEEVAIKLENVKTKHPQLLYESKVYKILQGGTGIPNMRWFGVEGDYNVLVMDLLGPSLEDLFNFCNRKFSLKTVLMLADHMINRVEFVHSKCFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTYQHIPYRENKNLTGTARYASVNTHLGIGFQFDYVFDWTILKCQQSQNPSAPPRAIAPGVGPISGLAPAAANNRQSGGEGRTSGRSGMDPFHHTWVPPPAVPPGSSMKQKIPLGNDPSPTRGAMFSGSNYLGQSSGSSRRAFFSSSRDMVSEADLSRTSTAEVSPGTFRKVSSSQRGSPFSSLEPKCTSAGRNPNIKNYESTLKGIQRLSFDDEERIQY >Ma05_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6746637:6753097:-1 gene:Ma05_g09310 transcript:Ma05_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVANKFRLGRKVGSGSFGEIFLGTNIQTNEEVAIKLENVKTKHPQLLYESKVYKILQGGTGIPNMRWFGVEGDYNVLVMDLLGPSLEDLFNFCNRKFSLKTVLMLADHMINRVEFVHSKCFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTYQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLQGSLPWQGLKAGTKKQKYEKISYRKVATSIEALCRGYASEFASYFHYCRSLRFDDKPDYAYLKRLFRELFIREGFQFDYVFDWTILKCQQSQNPSAPPRAIAPGVGPISGLAPAAANNRQSGGEGRTSGRSGMDPFHHTWVPPPAVPPGSSMKQKIPLGNDPSPTRGAMFSGSNYLGQSSGSSRRAFFSSSRDMVSEADLSRTSTAEVSPGTFRKVSSSQRGSPFSSLEPKCTSAGRNPNIKNYESTLKGIQRLSFDDEERIQY >Ma05_p09310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6746637:6753097:-1 gene:Ma05_g09310 transcript:Ma05_t09310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFGVEGDYNVLVMDLLGPSLEDLFNFCNRKFSLKTVLMLADHMINRVEFVHSKCFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTYQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLQGSLPWQGLKAGTKKQKYEKISYRKVATSIEALCRGYASEFASYFHYCRSLRFDDKPDYAYLKRLFRELFIREGFQFDYVFDWTILKCQQSQNPSAPPRAIAPGVGPISGLAPAAANNRQSGGEGRTSGRSGMDPFHHTWVPPPAVPPGSSMKQKIPLGNDPSPTRGAMFSGSNYLGQSSGSSRRAFFSSSRDMVSEADLSRTSTAEVSPGTFRKVSSSQRGSPFSSLEPKCTSAGRNPNIKNYESTLKGIQRLSFDDEERIQY >Ma11_p24630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27513010:27514179:1 gene:Ma11_g24630 transcript:Ma11_t24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAQVNYEKIVRDTCRGIGFVTADVGLDADRCKVLVNIEEQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNQTCPWVRPDGKTQVTVEYRKEGGAMVPVRVHTVLISTQHDESVTNEQIAMDLKEHVIKPVIPAKYIDDRTIFHLNPSGRFVIGGPQGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSVVASGLARRCIVQISYAIGVPEPLSVFVDSYRTGKIPDKEILALIKESFDFRPGMIAINLVLKRGGNLRYQKTAAYGHFGRDDPDFTWEKVKVLKAN >Ma02_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25865893:25875528:-1 gene:Ma02_g19660 transcript:Ma02_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKLIKEVGDGTFGTVWRAINKQSGEVVAIKKMKRKYYSWEECVNLREVKSLRRMNHPNIVKLKEVIRENDMLYLVFEYMECNLYQIMKDKSKGFSESEIRNWYFQIFQALAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREVSSQPPYTEYVSTRWYRAPEVLLQSSIYDAAVDMWAMGAIMAELFTLCPLFPGSSEADEIYKICSVIGSPNENSWAEGLQLADVLKYQFPQCSAVQLEALIPSASKEAVNLIKALCSWDPCRRPKATEALQHPFFKACLRIHPSFHSRLAGLSHTPPVGNKGALGQNGTRRYSTGPLSVTRSANNFISTKANGSLRAGVQRKLEMNDKELEKNGRSLGNNLRQSRYKPPARNNTAGYLAVKSQKIVDVPGKMPCTKIAEKLSHMSLDSGIQKASKPPPHETPGRRYSKKL >Ma02_p19660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25865893:25875528:-1 gene:Ma02_g19660 transcript:Ma02_t19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKLIKEVGDGTFGTVWRAINKQSGEVVAIKKMKRKYYSWEECVNLREVKSLRRMNHPNIVKLKEVIRENDMLYLVFEYMECNLYQIMKDKSKGFSESEIRNWYFQIFQALAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREVSSQPPYTEYVSTRWYRAPEVLLQSSIYDAAVDMWAMGAIMAELFTLCPLFPGSSEADEIYKICSVIGSPNENSWAEGLQLADVLKYQFPQCSAVQLEALIPSASKEAVNLIKALCSWDPCRRPKATEALQHPFFKACLRIHPSFHSRLAGLSHTPPVGNKGALGQNGTRRYSTGPLSVTRSANNFISTKANGSLRAGVQRKLEMNDKELEKNGRSLGNNLRQSRYKPPARNNTAGYLAVKSQKIVDVPGKMPCTKIAEKLSHMSLDSGIQKASKPPPHETPGRRYSKKL >Ma05_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2429358:2435940:1 gene:Ma05_g03380 transcript:Ma05_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTDLWDDSALIDAFDHAMATYKAVHRESYPANPLGEEKHIGESAQDEPVHCENLKRQIEHGIGENNVLKDVTETNMPYYKYVSSTEGHQLEEDSLGVNIRATEINSSDFPVSTTEIDYHNDEQSIEYNHLLKQYYELEEERQKVLQQLQQANYWNYQTPVQSGEYQAEKFPGNNASESCSQPPCSLCSCHSLSASLIPASACAICGPSFRGYYCCPQSCSTSVLHHFSGCQDHVQSGICSLGGSLTVDPSKQTTNTDDPAVRIGMMAAEKALSSLKKEISVDSNICQEKENMKDKGEISGEDEFKVSGVISQETDLAVVLSAWYSAGFHSGRYLPEQSRRKASQ >Ma05_p03380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2429358:2435940:1 gene:Ma05_g03380 transcript:Ma05_t03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTDLWDDSALIDAFDHAMATYKAVHRESYPANPLGEEKHIGESAQDEPVHCENLKRQIEHGIGENNVLKDVTETNMPYYKYVSSTEGHQLEEDSLGVNIRATEINSSDFPVSTTEIDYHNDEQSIEYNHLLKQYYELEEERQKVLQQLQQANYWNYQTPVQSGEYQAEKFPGNNASESCSQPPCSLCSCHSLSASLIPASACAICGPSFRGYYCCPQSCSTSVLHHFSDHVQSGICSLGGSLTVDPSKQTTNTDDPAVRIGMMAAEKALSSLKKEISVDSNICQEKENMKDKGEISGEDEFKVSGVISQETDLAVVLSAWYSAGFHSGRYLPEQSRRKASQ >Ma03_p00470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:410350:411854:-1 gene:Ma03_g00470 transcript:Ma03_t00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSLLLLLCVIVAAAAQLLAPAGAAKFACNARGGAARCQSLLGYTPRNATTLASVMSLFQVRSFRSLLAANGLPLSTPPSRPVPARATVRVRFACACSAGHGASMHRPFYKVAPGETLDGIARGVFAGFVTYQEIAAANNISDPALVQAGQELHIPLPCSCDEVEGAAVVHYAHLVAAGSSTSGIAAEFGTAEETLMSLNGIRDPKSLQAGQVLDVPLRACSSSISNASIDRGLHVPNGSYILTANNCVLCSCSSSTWQLECHPTKGLSSSACPAATCGDLALGNSSSSTDCESKTCVYAGYTTTTASFNILTNLTTQSLCDGAPMPQPSAGFDLQSGVQWAYLAVLSICFNVALVGFVL >Ma03_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:410342:411854:-1 gene:Ma03_g00470 transcript:Ma03_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTSLLLLLCVIVAAAAQLLAPAGAAKFACNARGGAARCQSLLGYTPRNATTLASVMSLFQVRSFRSLLAANGLPLSTPPSRPVPARATVRVRFACACSAGHGASMHRPFYKVAPGETLDGIARGVFAGFVTYQEIAAANNISDPALVQAGQELHIPLPCSCDEVEGAAVVHYAHLVAAGSSTSGIAAEFGTAEETLMSLNGIRDPKSLQAGQVLDVPLRACSSSISNASIDRGLHVPNGSYILTANNCVLCSCSSSTWQLECHPTKGLSSSACPAATCGDLALGNSSSSTDCESKTCVYAGYTTTTASFNILTNLTTQSLCDAAGAPMPQPSAGFDLQSGVQWAYLAVLSICFNVALVGFVL >Ma08_p05390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3692608:3700018:1 gene:Ma08_g05390 transcript:Ma08_t05390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQNSIDMEEGSLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPVLPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTMLRIFPRELLHRIPAKTLDQYYSRDAAH >Ma08_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3692456:3700018:1 gene:Ma08_g05390 transcript:Ma08_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQNSIDMEEGSLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPVLPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEDGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTMLRIFPRELLHRIPAKTLDQYYSRDAAH >Ma10_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4790884:4800189:-1 gene:Ma10_g01550 transcript:Ma10_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGFQLGTVGALSLSVVSSVSIVICNKALMSSLGFIFATTLTSWHLLVTFCSLHVALLMKLFEHKPFDRKAVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVFLETVFLAKKFSRSIQLSLTILLLGVGIATVTDLQLNLLGSVLSLLAVVTTCIAQIMTNTIQKKFKVSSTQLLYQSAPYQALTLFISGPFLDGLLTNQNVFAFNYTPQVLIFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNIFGILVAIVGMVFYSYFCTIEGQQKAREASSQVLQVKDDESDPLIGTETGAGINDDGAAQTAQAWSSNKDLHA >Ma03_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9555062:9555950:1 gene:Ma03_g12380 transcript:Ma03_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT2G16600) UniProtKB/TrEMBL;Acc:A0A178VVJ7] MANPRVYFEMSVGGSPVGRIVMELYADVTPKTAENFRALCTGEKGMGRCGKPLHYKGSSFHRVIPGFMCQGGDFTRGNGTGGESIYGEKFADENFVKKHTGPGVLSMANAGKHTNGSQFFICTAQTSWLDGKHVVFGRVVEGLEVVKAIEAVGSQSGTTKKTVVVADCGQLS >Ma06_p37920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36860898:36861799:-1 gene:Ma06_g37920 transcript:Ma06_t37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYFHPNAILPRDQTRKTMARASLIYNSQISPKTSVSLSNFFRINNKVYEDQVRGIICSRDERGEIICEGYDEGSRHSHRLSSENGKRICEGYNERPRYHLRSPAQVEETVCEAYDDQVKGIICCRDKTGEMICEGIDEGPRYSYRSSSQNTYEARYRRKHISSRINSNHMSH >Ma02_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24504175:24507619:1 gene:Ma02_g17690 transcript:Ma02_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVNRGGSDDICHGGSLFSNLVDSSLLLSLSRNVDVYCSSRKRPRVTAPLAFRAEKKVAYKKQQPRSIDSLPDECLFEILRRLPGDKERSNSARVSKRWLMLLSSIRSSELGARKKSHVESGKKFLPDLNKNVLLDEQESENNGYLTRRLDAEEATDIRLASIALGTCSRGGLGKLFIQGSNSTRVTDVGLSAIAHACPALRVLSMWKVPLITDAGLSEIADGCPLLEKLDLCQCPLITDKGLVSVAKKCPNLTSLTIESCANICNEGLQVIGRSCPKLKSLTIKDCLHVGDQGIASLVSSASSCLERIKLQALNISDIVLAVIGHYGKNLIDLSLNGLQNVGEKGFWVMGNALGLQKLRSITINCCNGLTDKGLQAIAKGSPFLKQLFVRKSCYLSDAGLRSFAETARALENLHLEDCNRITLMGVLGALLTCNPELKSLVLVRCLGIRDIAFAPTQLPSCMSLRSLTIRDCPGVTGASLQVVGKICPQLQKLDLSGQVGVTDASLIPLIQSSEVGFVEVNLSGCVNLTDALVTMLVKAHGSTLKMLNLDGCKRITDQSLVAIADSCSVFDDLDLSCSSISDYGVAVLASARQLNLCTLSLASCSKVTDKSLPFLGNMGKSMVGLNLQHCSLISIHGIGLLEEKLWWCDIIS >Ma04_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11540077:11546112:1 gene:Ma04_g15220 transcript:Ma04_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLGPHHPPPLPPQEHDTLNPAAAPRRPGMDEDKKTSVLEGNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDPRYKNRELQLMRSMDHSNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLRHYSSVNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTASIDIWSVGCVLAELLLGQPLFPGDSAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLTSRLLQYSPSFRCTALEACAHPFFDELREPNARLPNGRPLPPLFDFKQELNGASPELIDKLIPEHLRRQSSLSFLRVPGT >Ma11_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26585518:26588237:-1 gene:Ma11_g23090 transcript:Ma11_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRIGSVDAAVETMANGSVGSPPTAHCHPIAPAAQAEAAEATLGRHLARRLVQVGVHDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGSYSENLPVICIVGGPNSNDYGTNRVLHHTIGLPDFSQELRCFQTITCYQAVVNHLEDAHELIDTAISTALKESKPVYISISCNLSAIAHPTFSLEPVPFFLSPRLSNQMGLEAAVEATVEFLNKAVKPVLVGGPKIRVAKSGKAFVELADACGYPIAVMPSAKGLVPEHHPRFIGTYWGAVSTSFCAEIVESADAYVFVGPIFNDYSSVGYSLLLKKEKAIIVQPERVVVANGPAFGCILMKDFLRALAKRLKKNTTAYENYHRIFVPDGQPLECKPKEPLRVNVLFKHIQQMLSGNTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAVKNRKRVIACIGDGSFQVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYAGLVDAIHNGEGKCWTTRVRYEEELKEAIATAAGAKQDCLCFIEVIVHKDDTSKELLEWGSRVCSANSRAPNPQ >Ma09_p10060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6859536:6874158:-1 gene:Ma09_g10060 transcript:Ma09_t10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFSFSRLAASCKARLHQTLRSHPLRSDASGDRLRSFARAALIPLAVAASAGAALAFDPFRLTASSSLCDPGLDLRLGGKDSTDLVAKGIHRKVSNEFIQELKDILRDNMTLDYEERCFHGKPLNSFHRAVNVPDVIVFPRSQDDVQKIVIACNKYKVPIVPYGGATSLEGQTLAPHGGVCIDMSLMKKFKSLNVDDLDIVVEPGIGWIELNEYLKPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRENVINLQAVLANGEVVKTGSRARKSAAGYDLARLLIGSEGTLGIITEVTLRLQRLPQHSVVAMCNFQTIKEAADVAIATMHSGIQVSRVELLDEVQVKAINIANGKNLPEVPTLMFEFIGTEAYAQEQMLIVQKIVSEHNGSDFVYVEDAEAKEELWKIRKGALWACYAMAPNNYEAITTDVCVPLSRLAECISKSKQELDASPLLCMVIAHAGDGNFHTVIIFDPDQDEQCQEAERLNHFMIHTALSMEGTCTGEHGVGTGKMKYLEKELGTGALRTMKRIKSVLDPNYIMNPGKLIPPHICI >Ma09_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6859536:6874158:-1 gene:Ma09_g10060 transcript:Ma09_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFSFSRLAASCKARLHQTLRSHPLRSDASGDRLRSFARAALIPLAVAASAGAALAFDPFRLTASSSLCDPGLDLRLGGKDSTDLVAKGIHRKVSNEFIQELKDILRDNMTLDYEERCFHGKPLNSFHRAVNVPDVIVFPRSQDDVQKIVIACNKYKVPIVPYGGATSLEGQTLAPHGGVCIDMSLMKKFKSLNVDDLDIVVEPGIGWIELNEYLKPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRENVINLQAVLANGEVVKTGSRARKSAAGYDLARLLIGSEGTLGIITEVTLRLQRLPQHSVVAMCNFQTIKEAADVAIATMHSGIQVSRVELLDEVQVKAINIANGKNLPEVPTLMFEFIGTEDAEAKEELWKIRKGALWACYAMAPNNYEAITTDVCVPLSRLAECISKSKQELDASPLLCMVIAHAGDGNFHTVIIFDPDQDEQCQEAERLNHFMIHTALSMEGTCTGEHGVGTGKMKYLEKELGTGALRTMKRIKSVLDPNYIMNPGKLIPPHICI >Ma05_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1265065:1268121:-1 gene:Ma05_g02010 transcript:Ma05_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGILPRQALQALRARQSVQAGQASLVLRNQWQAFSSHPASSKFKVYSDREKEKLAKEIAKESGVPYLNRV >Ma09_p01180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:869660:870118:-1 gene:Ma09_g01180 transcript:Ma09_t01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPMFKDRRKLASLADPRLQGRYPMRGLYQALAVASMCIQEEAASRPAIADVVTALSYLASQAYDPGASPTNNNRPGGERRNKNADEGSGRKPSNNNGESDHNSQMNCENTLKEKAANLRRDFDRERALAEAKMWGRNWLEKTQAKDNAEGN >Ma10_p31420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37573271:37573541:1 gene:Ma10_g31420 transcript:Ma10_t31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLVNLSKGAHRQLWFASQQSLSYLDGSLPGDYGFDPLGPSDPDGTGRLIEPKWLA >Ma08_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3730063:3730338:1 gene:Ma08_g05460 transcript:Ma08_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRICNFSADFIHIHSSDGFKGVQPFFYNCDSQCKQHGKASRVFAPFWCYL >Ma07_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31001633:31010350:1 gene:Ma07_g23300 transcript:Ma07_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGSAMEVLGSPSFAAACLLGGLLLALSLVSIRLPPPLFGVRLPGIRRKRKTPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDDEITANKGPPVTPLHERMIMVGAVKWVDEVIPDAPYAITEKFMKKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERPLGGSHNPSSLQRQFSHGHNQKVDDVGSGTGTRISHFLPTSRRIVQFSNGKGPGPDARIIYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTISATRGAHRPIMNLHERSLSVLACRYVDEVIIGATWEVSKDMITTFNISLVVHGTVAENMDFLKEESNPYAVPMDMGIYKQLKSPLDITTSTIIKRIVSNHESYQKRNEKKEASERRYYENKSYVAGD >Ma05_p28870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39706725:39719000:1 gene:Ma05_g28870 transcript:Ma05_t28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVKPENALKRAEELVNVGQKQAALQALHDLITSKRYKAWQKTLERIMFKYVELCVDMRKGRFAKDGLIQYRITCQQVNVSSLEEVIKYFMQLSTEKAEQARTQAQALEDALDVEDLEVDKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLESLYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYKDQRDRPDLTAPESLQLYLDTRIEQLKIATDLELWQEAFRSVEDIHGLMNLVKKSPKTPLMVVYYAKLTDVFWVSHSHLYHAYAWFKLFTLQKSYNKNLSQKDLQLIASSVLLAALSVTPYDQKHGASHLELENEKERNLRMASLINFTLDPKGESREMLSRSSLLSELSNKGVMTCVSQEVKDIYNILEHEFFPLDLASRVQPLLAKIAKLGGKPSSASSVPEVQLSKYVPALEKLTTLRVLQQVSRVYQSITIGTLSKMIPFFDFSLVEKLSVDAVKYNFVSMKVDHLKGAVLFGNVNIESDVLTDHLSVLADSLNKARNLIYPAVKKQSKLGEKHGLAETVDKEHKRLLARKSIIEKRKEEHERQMLEMEREEESKRLKLQKVTEEAEQKRLAEEYTRRQEQRIRREIEERELQEAQALLFETQKGAKKKGKKPLLDGEKVTKQTLIELALSEQLKERQELEKKLQKLAKTMDYMERAKREEEAQLIEQAFQQRLVEERIFHEREQLKAIELSRQHHAGDLQEKKRLARMLDSKVIFQQRIVNCRETEYNRLKKEKEDKINQLMALRKHERETKRKMLFYLKSEEERLTRLREEEEARKREEEERRKKEEAERKAKLDAIAERQRQREREAEEKERLRREALLRRPTETLSRSIDPASGPHAADPVPVAAAAAALTSGKYVPRFRRERNDGQMAASPEPDRWGRQDDLAPQSGDRWRNDERRPSYGGSRTSSTSSSSWSSSRPRG >Ma10_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23441032:23467206:1 gene:Ma10_g09260 transcript:Ma10_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETEQHDLSDDSDYAAASRHHAPGGIMRTDSGKSTASEAGGSEVLYLKDNVAIHPTQYASERISGRLRLYKQGSSLFLSWIPYKPSTDGAVDSLGHGSSSIPVEKDRNLYTIKSLPVSDVHSIRRHTPALSWPYIIVVLSSGLAYPPFYFYNGGVREFLATLKQHVFIVRSADDSNVFLVNDFQDPLQRTLSSLELPRVVSVANRASRHRSDLSSSSFDDSERLNGAPYDETASTSEYSARQKKFHDPARDISIQVLEKFSLVTKFARETTSHLFRESHNDGLNAYEKKQQTDYGSLKPISTSDDKKKDSNVIPVAADPLEWAAFLDSEGRILDSKALRERIFYGGVDQNIRKEVWKFLLGYHEYDSTYAEREYLTSVKKSEYEVIKSQWQSISAVQAKRFTKFRERKGLIDKDVVRTDRSVAYYEGDDNPNVTILRDILLTYSFYNFDLGYCQGMSDFLSPILYVMKDEAESFWCFVALMERLGPNFNRDQSGMHSQLFALSKLVEILDSPLHNYFKQTDCLNYFFCFRWILIQFKREFEYDQVMHLWEVLWTHYLSEHLHLYMCVAILKSHRKKIMGEQMDFDTLLKFINELSGNIDLDWTIREAEALCICAGENGAACIPPGTPPSLPIEPDMGLYPQEDEVL >Ma10_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32065273:32068608:1 gene:Ma10_g22900 transcript:Ma10_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVSFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPSKPMVVETFAAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKITKAAAKKK >Ma06_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3617134:3617235:1 gene:Ma06_g04860 transcript:Ma06_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLIVSYSDWGGSSKGIRYCSCNS >Ma11_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1386340:1397047:-1 gene:Ma11_g01920 transcript:Ma11_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSYLRYEPGLGFGVIASLDSKITYDPSGLHLLAPALDKLALWNLKQGLASKTFSPSSRSSHTLAVTSVAASPLSSSTSIASGHADGSIRLWDYEKATCEATLNGHKSAVTALRYNHLSSLLASGGKDCDVILWDVVGEAGLFRLRGHRDQVTDLVFLDSGKKLVTCSKDKFIRVWDLEMQHCIQIVSGHHSEVWSLDVDQKERFLVSGSADTELRFYQIRTNAEMVENESKWEILKQFGEIQRQNKDRVATLRFNKSGSLLACQVAGKSVEIYRVLDDVESMRKAKRRLHRKKEKVMAKAMVVDNENGGFVDSLSSQELQHPTVAVSDMFKLLQTFRASKKICSIAFCPNVPKVGLATLSLSLNNNMLETHLIDTDKISKLYSIELHGHRSDIRSVTLSSDNDLLMSTSHNAVKIWNPSTGVCLRTIESGYGLCSSFVPGNRYALVGTKSGTLEILDVGSGSSIEVIEAHAGSIRSIVPIPDENGSVSSCGFVTGSADHDVKFWEYQLLQKPVNDSKQLTVTNVRTLKMNDDVLAVCISPNSKHLAVSLLDCTIKVFFVDSLKFFLSLYGHKLPVLCMDISSDGDLIVSGSADKNLKIWGLDFGDCHKSIFAHADSVMDVKFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHAEVWCLAVSNRGDFIVTGSHDRSIRRWDRTEEPFFIEEEREKRLEELFESDLDNLNEDRYAPKELVPDEGSVGVPGKKTKETLSATDLVIDALDMADAEIKRIDQHKEDQKNGRGGEFQTNILMRGLSPSDYVLHTLANVNTNDLEQTLLSLPFSDALKLMSYLKEWVLVPDKVELVCRVTTVLLQTHHNQLTTAVAARPVLIVLKDILHERVKECKDTIGFNLAAMDHLKELMSMRSDAPFRDAKAKLMEIRQWQSKRTERGGDTNEKRRKKKQKPASQ >Ma08_p27540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39741585:39744255:-1 gene:Ma08_g27540 transcript:Ma08_t27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLSVELRNLDGVSYSKRQPRGRDSMEQKAQQRTRVPKVKLGTQGLEVSKLGFGCSGLSGIFNDPLSYEEGAAIVIDAFHNGVTFFDTSDAYGNGHNENLIGQALKHLPREKVQIASKFGIAGFEDGRLLINGLPEYARKCCEGSLQRLGVDYIDLYFPHRVDTTVPIEHTMHELKKLVEEGKIKYIGLCEASPDTIRRAHAVHPISAVQMEWSLWTRDIEDEIIPLCRELGIGVIAYSPLGHGFFAGRAGTEGLPEGSIVALNPRFNGENADKNKKLFVRVTKLAEKHGCTPPQLALAWVLHQGEDVVPIPGTTKVKHLDANIASLDVKLSEEELKEVSDAMPVDEIGGERDIELFTSCSWKFANTPLPATPLE >Ma10_p21310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31178249:31180601:-1 gene:Ma10_g21310 transcript:Ma10_t21310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLWKLWGGNKEKQERKDSSGYGGEERLEKKRRSFWKSRNSSDAVLGQNASTAAAMEAAWFRSFYAVSEREQSKHAIAVATATAAAADAAVAAAQAAVAAVRLTGQRRGSCAEEWLAAVKIQSAYRGHLARKALRALRALVKTQALVKGFLVRKRAAATFRSMQALVRAQATVRAHQRSGCLSRGDGNPQPEVRHRRSFERFGDTRSEHISGFSRRRSSTSHAGATIDGSPKIVEIDTCQPIKSRSFRRTSASALDPAHDLPLHAFSSPIVYQVPARISIPGRGNLLEDDRCNNGEKCWLSATAHGTARHTNSSGNMAVTPAKSAWDAEGVLGQHVNHWSSSNYIANAQSSKARLRPRCASKHQ >Ma10_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31178249:31180466:-1 gene:Ma10_g21310 transcript:Ma10_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLWKLWGGNKEKQERKDSSGYGGEERLEKKRRSFWKSRNSSDAVLGQNASTAAAMEAAWFRSFYAVSEREQSKHAIAVATATAAAADAAVAAAQAAVAAVRLTGQRRGSCAEEWLAAVKIQSAYRGHLARKALRALRALVKTQALVKGFLVRKRAAATFRSMQALVRAQATVRAHQRSGCLSRGDGNPQPEVRHRRSFERFGDTRSEHISGFSRRRSSTSHAGATIDGSPKIVEIDTCQPIKSRSFRRTSASALDPAHDLPLHAFSSPIVYQVPARISIPGRGNLLEDDRCNNGEKCWLSATAHGTARHTNSSGNMAVTPAKSAWDAEGVLGQHVNHWSSSNYIANAQSSKARLRPRCASKHQ >Ma07_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10193692:10196841:1 gene:Ma07_g13550 transcript:Ma07_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCDIDDQRLTAAAILGHDGSVWAQSDSFPQFKPEEITAIMTDFDEPGSLAPTGLFLGGTKYMVIQGEPGAVIRGKKGTGGVTIKKSNLALIIGIYDEPMTGGQCNMVVERLGDYLYDQGF >Ma08_p31570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42424672:42441441:-1 gene:Ma08_g31570 transcript:Ma08_t31570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminopeptidase P1 [Source:Projected from Arabidopsis thaliana (AT4G36760) TAIR;Acc:AT4G36760] MADPLDALRALMASHSPPLDALVVPSEDNHQSEYVSTRDKRRAFVSGFTGSAGLALITMNEALLWTDGRYFLQATQQLSERWKLMRIGEDPPVENWMADNLPRNAAIGSDPWCVSVETYQRWEQAFLKKKQKLIQPSTNMVDIVWKDRPPAEILPVNIHPPEFAGCPVAEKLKDLRAKLIREKAYGIIISSLDEVAWLYNIRGNDVAYCPVIHAYAVVTLDSAFFYVDKRKISSEVLHYMLENRIDVRDYDIVASDVSLLASGQLMGSSRIMLSGTESHEASKNSNGVLGDDRNAEEETKHKIIWIDPFSCNLALFSKLIPDQVFMQQSPLALAKAIKNPAELDGLRKAHIRDGAAVVQFLVWLDKQMQEIYGASGYFSEREGTHKRKHSDTLKLTEVSVSDKLEGFRSKQENFRGLSFPTISSVGPNAAIIHYSPEADTCSELDADSIYLFDSGGQYIDGTTDITRTVHFGKPSAHEKSCYTAVLKGHIALGRARFPNGTTGHALDILARVPLWKNGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARNVAIQASMTVTDEPGYYEDGNFGIRLENVLIVNKAKTSFNFGEKGYLEFEHITWAPYQKKLMDLTLLTPEEIEWINLYHSDCREVLAPYMNEQETEWLKKSTEPIIIAS >Ma08_p31570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42424672:42441398:-1 gene:Ma08_g31570 transcript:Ma08_t31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminopeptidase P1 [Source:Projected from Arabidopsis thaliana (AT4G36760) TAIR;Acc:AT4G36760] MADPLDALRALMASHSPPLDALVVPSEDNHQSEYVSTRDKRRAFVSGFTGSAGLALITMNEALLWTDGRYFLQATQQLSERWKLMRIGEDPPVENWMADNLPRNAAIGSDPWCVSVETYQRWEQAFLKKKQKLIQPSTNMVDIVWKDRPPAEILPVNIHPPEFAGCPVAEKLKDLRAKLIREKAYGIIISSLDEVAWLYNIRGNDVAYCPVIHAYAVVTLDSAFFYVDKRKISSEVLHYMLENRIDVRDYDIVASDVSLLASGQLMGSSRIMLSGTESHEASKNSNGVLGDDRNAEEETKHKIIWIDPFSCNLALFSKLIPDQVFMQQSPLALAKAIKNPAELDGLRKAHIRDGAAVVQFLVWLDKQMQEIYGASGYFSEREGTHKRKHSLCSDTLKLTEVSVSDKLEGFRSKQENFRGLSFPTISSVGPNAAIIHYSPEADTCSELDADSIYLFDSGGQYIDGTTDITRTVHFGKPSAHEKSCYTAVLKGHIALGRARFPNGTTGHALDILARVPLWKNGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARNVAIQASMTVTDEPGYYEDGNFGIRLENVLIVNKAKTSFNFGEKGYLEFEHITWAPYQKKLMDLTLLTPEEIEWINLYHSDCREVLAPYMNEQETEWLKKSTEPIIIAS >Ma04_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10282844:10290037:1 gene:Ma04_g13600 transcript:Ma04_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSSPHFLSSLLLLLLFLLPVAQCGVTYDRKAIIINGQRKILISGSIHYPRSTPDMWEGLIQKAKDGGLDVIQTYVFWNGHEPSPGTYNFEGRYDLVRFIKTVQKVGLYVHLRVGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKMAMQGFTQKIVEMMKSESLFASQGGPIILSQIENEYGPESKALGSAGRSYVNWAADMAVGLGTGVPWVMCKEEDAPDPVINTCNGFYCDSFTPNKPYKPMMWTEAWSGWFTEFGGTIRHRPAEDLAFAVARFIQNGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIREPKYGHLKELHKAIKLCEQALVSADPTVTSLGSLQQAHVFSSESGGCAAFLSNHEPNSYAKIMFNNMHYNLPPWSISILPDCRNVVFNTAKIGVQTSQMQMYPTNTQSLMWERYDEEVASLEENSLITATGLLEQINVTRDTSDYLWYITSVDISSAEEFLKGGKLPVLTVRSAGHALHIFVNGQLSGSAYGTRENKRIKFSGNINLRAGTNRIALLSVAVGLPNSGVHYELWSTGVLGPVVLHELDEGSRDLTWQTWSYQVGLKGEDMNLNSLEGASSVEWMQGSLVQNQQPLTWYRAYFDAPDGDEPLALDMASMGKGHVWINGQSIGRYWTAYAPKENCKSCSYTGTYRSPKCQSGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDATKIALMMRSVSSVCADVSEWHPTIKNWHIESYGEPEEYRKPKVHLRCAPGQSISAIKFASYGTPLGTCGNFQQGACHSPNSHTILEKKCIGKEKCVVAISQANFGGDPCPNVMKKVAVEAVCSSAVQPIT >Ma05_p25970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37776325:37778152:-1 gene:Ma05_g25970 transcript:Ma05_t25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G23420) TAIR;Acc:AT1G23420] MSSSNPLLELPEQLGYVQCSFCATILLVSVPCSSLLKVVTVRCGHCTGLLSVSLVRTSVVPVELLASLGDEEGKQEDLGPKACSSGGGGVGEAENETRSPTRAPIINKPPEKRQRAPSAYNHFIREEIVRIKAREPNITHKEAFSAAAKNWAHFPRIQHNEDGKSCSSREGKKICDGHVDEEMHGRRHNLHQRKTPKQAACSGEET >Ma02_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12018748:12019604:-1 gene:Ma02_g01800 transcript:Ma02_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSVSTSHAQFVGGWQPIRDLNDPHVREIAVFAVSQYNVQENKSLELSQVLAGQKQLVSGMNYNLTLKVKDGLSTAKYVAVVYESLKGEKKLESFVLIQQKLGGWTPEDVNNPHVHDIAVFAVSEHNKEAKEPLTLVNVVQAQSQVVAGVNYKLLLVAKNEKGASAGYEAVVWEKEWENFRKLTSFKRLLTN >Ma10_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27159760:27161471:1 gene:Ma10_g14850 transcript:Ma10_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGDVQLHVRVEGDQGSAGPTKDSIPSNETPPPPSKLRHWRWWLMVALNICFLLAGQTVGVLLGRLYYNEGGNSKWMATVVQSAGFPVLFIPLLLYPSPPASTATVARPSIVKVAVICICLGLIIAGDNLMYSYGLLYLPVSTYSLVCATQLAFNVVFAYFINSQKFTSPILNSVVLLSFSAALLGSQSDSEDSANVPKGKYPLGFVLTLGASATYSLALCLLQLAFQKVLKTETFSVVLWIQICTSFVATIASVVGLFASGESSGLHGEMEVYGKGKVSYLMTLVWTAVAWQVSSVGVVGLVFVVSSLFSNAVSTLALPLVPIFAVVFFHDKMEGVKIIAMLIAIWGFVSYIYHHYLDDKKTKKAIAIDAE >Ma05_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:577757:578283:1 gene:Ma05_g00950 transcript:Ma05_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGALGERKAFMACRFFSLAIVLISLSLPLQAQAFHHDHPPKEHHHHHPYPHNSPPPPPSPPYHYKSPPPRTHHHKPHLLPPPYHHISPPPPPPTHHHGPPLLPPPPPTYHHKSPPSSPPVYTSPPPSSPPVYKPPPPPPTHHYKPPLLPPPPPPPPYHHKSPPSSPPV >Ma06_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9900683:9903068:-1 gene:Ma06_g14460 transcript:Ma06_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASHVVYEDLQSISPTLVNDELKPVYHFRPPRHWINDPNGPLYYKGIYHLFYQYNPYGSVWGNIVWAHSVSADMINWKALDPAIYPSQPFDIYGCWSGSATILPGDKPVIVYTGIDPQKRQIQNIAFPSNLSDPYLREWTKPDHNPVIDPSDSAVNASAFRDPTTAWYTPHDQHWSLVIGTRRGSRGVAVLYKSKDFVKWTKARHPLHSAKGTGMWECPDVYPVALEGNQGLDTGEVGAGVKHVFKVSLDETRFDYYTLGTYYPKADKYIPDANSTDNRNGLRYDYGNFYASKSFYDPAKKRRILWGWANESDSANADKDKGWAGVQAIPRAVWLDSNGRQLVQWPIEELETLRHKHGSVKNRNIPSGTSFEVKNILTAQADVEVTFEVGSLENAEAFDPLYATDAQALCAKMTAKTKGGVGPFGLLVLASADQEEATAVFFRIFKAQDKHVVLMCHDPTRSSKREMIYKPTFAGFVDVDIEKTNKISLRSLIDHSVVESFGEGGKTCITSRVYPSIAIGQQAHMFVFNNGAEDVKVSELNAWEMKAPEMNDDIIF >Ma05_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2422664:2428500:-1 gene:Ma05_g03370 transcript:Ma05_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFFPRQILMGSLWSSPGHSWLLSLFLAQYPQVSEWRDKNAGSVGASQVVQWAHLPSDVDVWCTSSVTRRGLPWPIRNIIIDILSFFLIITVIVIVIGNRIGFFWGERRIHLLI >Ma02_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24021688:24023618:1 gene:Ma02_g16840 transcript:Ma02_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIHASLFVLAVIKESHDRARCRQGRVDAVPERFLPFDVAWDGAFRLASCLVRLLGSALQVQNDGFMDMKFAQAVPASLILLCNIEMIILVDAFERNNVMLW >Ma09_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1417961:1425437:1 gene:Ma09_g01910 transcript:Ma09_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRKVYTLAEVSAHNSAHDCWLVIDGKVYDVTKFLDDHPGGDEVLVSSTGKDATDDFEDVGHSTAARAMLDEYYVGEIDDTTILKDVKYTAPKQPHYNQDKTSEFIIRLLQFLVPLAILGLAVAIKIYTKSA >mito10_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000021.1:274137:274274:-1 gene:mito10_g00030 transcript:mito10_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRSFKSSVLYICEEGNKKRHNRRSDFFYYLGVVALQSLMHGFD >Ma11_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20752906:20755734:1 gene:Ma11_g15050 transcript:Ma11_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWQWSTTASPKGDSKESNSGCMGGMLHYLHFHQLLYSGSGRNPPGSPPSLSLLPRIELTSQQATGLEAPRNSLELDEGKASSSTSAIEDELYDVPVGIEIASASLSKSSKKMMMWLEEEKRSSQADTPRTPGVVARLMGLETSAGQASSPSPRTPPAQSRVRNKKKNGGGDQSTKRESRSPRLPLGSLNCNVAASTQIRSVDAGSRSLPETPRVSSAWSCDVDPRFSLRLNKENTNKGVQEIGHLRDFGGHQDENKSRRSHHGAREFTKQVKEGISIRKGGGGGSGRGHVHSGEDESKSKSKRTRPAGKKLSMEDPPSPRSSPQTRKLEIASGTKKPIMPPPKPLQSQATDRLPPSKTSRDHGEAKALKKVLDKCKKADNERFTERIRKPAQSPTTTRPSCSRLLPIIASLFQSADSLPETNIPDKSRCSALPSQPISRSFASSSSFAQQSDCQKNSHGRALRYNDPKFRYVKTILERAGLDGAHTWRWYSPSLPIDPIVFHQLEPEFPFFLGERSTVTSDSLLHGPIRYRWNRKLLFHLVEEKLGDLLLGCREISSFFSTTTTRLVRDNGQPLLRQLWAKIENLPADADCRVVADIDALVAADLPEAIVRRLLRHPAVANEASDVVVGVEQGILDGLLAETAASLALSSAAFQS >Ma07_p06370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4590082:4598910:-1 gene:Ma07_g06370 transcript:Ma07_t06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGCVNQVIDDNGEDDEGPIIFKRPNSSSKQSRLICSSKKTAPQKYDGFNITSNQNVGNGDNSHFQNAKVFSTVKPSSEKPNVAISSSRSWASVQDTSYHKSTTNDVGLEHQDKSSPEVQELDDSNDDKPLSHRLNSVSVAVQKKNSTDLEKIDMHSLDHKFVKKSTDKMDLDKPIIKNEDSDYSDDDKPLSYKFSSSAAVNKSGFSRVMKAPQSSKPTSPPSMKMNSNIEGFSDDSEDEKPLLSRFQSKATGGSSMKGSNSDEKPFSSKLKVHGSSKKEGNSDNTFPKGGQKRPLGGIKPTESSNIKKVKVSETPASVKVKHEIAVKKEIKADDSDQIPIAQRMKKTVSSNSTSVSKSVLHKTHSSFKTDGKKMKTNMKDFKYSKSLKVPPGSGGGQKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYATKKQFIENFMNDWRQILGKNHVIKKFELCDFTPINEWHLREKEKKKQMSAEEKKAVKEEKLKQEEKYMWAIVDDVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPRDITINIGKDAPIPECPISGERWKEIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDREKYEKARLLKDYIHNIRANYTRDFASKDPTKQQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVELVPPNMLKFDFLGKDSIRYLNTVEVELPVYKAIGEFQTAKKSDGGRKGKGDDLFDLLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDILNKETKDGSVPEKIAVYQHANKEVAIICNHQRSVSKSHENQMSRLNEKINDLKAQRDELKMDLSRARKGKPPLKDKEGKTKKNLSPEVIEKKLSQVDAKIEKLELDKQIKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVEPNFRF >Ma07_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4590082:4598910:-1 gene:Ma07_g06370 transcript:Ma07_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGCVNQVIDDNGEDDEGPIIFKRPNSSSKQSRLICSSKKTAPQKYDGFNITSNQNVGNGDNSHFQNAKVFSTVKPSSEKPNVAISSSRSWASVQDTSYHKSTTNDVGLEHQDKSSPEVQELDDSNDDKPLSHRLNSVSVAVQKKNSTDLEKIDMHSLDHKFVKKSTDKMDLDKPIIKNEDSDYSDDDKPLSYKFSSSAAVNKSGFSRVMKAPQSSKPTSPPSMKMNSNIEGFSDDSEDEKPLLSRFQSKATGGSSMKGSNSDEKPFSSKLKVHGSSKKEGNSDNTFPKGGQKRPLGGIKPTESSNIKKVKVSETPASVKVKHEIAVKKEIKADDSDQIPIAQRMKKTVSSNSTSVSKSVLHKTHSSFKTDGKKMKTNMKDFKYSKSLKVPPGSGGGQKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYATKKQFIENFMNDWRQILGKNHVIKKFELCDFTPINEWHLREKEKKKQMSAEEKKAVKEEKLKQEEKYMWAIVDDVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPRDITINIGKDAPIPECPISGERWKEIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDREKYEKARLLKDYIHNIRANYTRDFASKDPTKQQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVELVPPNMLKFDFLGKDSIRYLNTVEVELPVYKAIGEFQTAKKSDGGRKGKGDDLFDLLDTSKLNAHLKELMPGLTAKVFRTYNASITLDDILNKETKDGSVPEKIAVYQHANKEVAIICNHQRSVSKSHENQMSRLNEKINDLKAQRDELKMDLSRARKGKPPLKDKEGKTKKNLSPEVIEKKLSQVDAKIEKLELDKQIKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVEPNFRF >Ma09_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1290776:1306372:-1 gene:Ma09_g01750 transcript:Ma09_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLATKLLRHHRRLLLRPLSSPYPLFAPPEYHSHTPSLLCSDHSSITFNPGRRPDNSFRSFSWFAWNPASDNGRDGGGETREDGNFGSSESGSEFSPLGGSPTGVEPSIMGLDGPGMVEEVTGESVWSYPMRAVISLLDGFHDFSGLPWWVIISTSTLALRLSLLPMLIVQLRKAQEIALFFPKLPPPFPPPLSGRSFREQYKLFQKKRRELGCPTYLWNFAFFTVQVPCFLLWMTSIRRMCLDNHPGFDTGGMLWFQNLTDFPSGTLGFVFPILISGLHYVNVQISFRTIRSRNLRGILGLLTKYYKVYLDIMAIPLLFIGFHIPQGSLVYWVTNSSLTLFQLLALKNSFIRMKLGLRDEKVPLREQVSSEKVLPENNIHLTEISVDTLSPQKLLDIALEDLAAGRHNEAIPLLEMAIEKDPELVRALIAKGQILCSQGSLVEAAKYFENAISKIQDEEDPFLLIATFGAGVSHLWQGNKLEGIKHLKRIAELKEPESPANKSCYHQGLVILGSTLFEEGKKSEAAKYLRIAATYDPGVSVYLKECEEAG >Ma02_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18992568:18994642:1 gene:Ma02_g08730 transcript:Ma02_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCLDVNSTLQYSSTSDSPPESSSPSSSVYQTSPPPPVKASNKLVVTNLCYTVLPEASLIRSCFRRKHEPRAVEVLRSVSFVANSSEILAVVGPSGAGKSTLLRVISGRSGSSLFDPRSISLGDHPLTSSAQLRRICGFVTQEDNLLPLLTVKETLMFSAKFRLKGMSERKMEERVEGLIRELRLEHVTDSYVGDAETRGISGGERKRLSIGVDVIHDPPILLLDEPTSGLDSTSALQVVQLLASMARTRQQILILTIHQPSYRILQYVSSFLLLSHGKLAHYGSIQSLSQTISQLGFKIPVRVNPLEFAMEITQQLEDYGAKHATINCPNEPSQERLEGDHPERDDGYCSRIAEMRTLSWRFWKIIYRTKQLFLARTMQAIVGGLGLGTVYLRVKSDPDGIAKRLGLFAFSLSFLLSSTVEALPIFLQERRVLMRETSRRMYRVSSYMVANTVVFIPFLLVVALLFAVPVYWLVGLNPSISAFMFFVLAVWMIVLMASSLVLFLSAVSPDFILGNSLICTFLGIFFLFSGYFIPKDSIPKYWIFMYYISLYRYPLDFLLINEYWSVRGKCFSWLGDVCSLTGGDVLRARGLEKDTRWMDVGIMCCFFLAYRVLCWLLLVRKASKTML >Ma06_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13260544:13260811:-1 gene:Ma06_g19360 transcript:Ma06_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPFTWELLRLMIRKELGALNSRAIVFSGGSECKEDDQIFHGAGRYIGSRNAHRA >Ma01_p02270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1541871:1543683:-1 gene:Ma01_g02270 transcript:Ma01_t02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) UniProtKB/TrEMBL;Acc:F4K2Q7] MIRRIVTRTGFGLPSWGTRPASSLQMDLPLCSPLRLTPTARFPPSNTLRATCSVSGSTKKNRVIVISGPTGAGKSRLALELAKALDGEIVSADSVQVYRGLDVGSAKPSTWDRNEVPHHLIDILQPSDDYSAGQFFEDARRATEGVVGRGRVPIVAGGTGLYLRWYIYGKPHVPKASFAISNEVCAELMELQNSKQWDAAVDLVVKAGDPNARFLPTNNWYRLRRSLEIIRSSGMPPSAFSVPYDSFRQRLDSRASHTSVVEHLPDANSSLNTAKDLDYNFICFFLTGPRIDLYRSIDLRCEDMLMETEGLLSEASWLLDIGLHPNMNSATRAIGYRQAMEYLLSCREQGGRSTPEEFRAFLSEFQKASRNFAKRQITWFRNELIYYWLDASRPFEEVIDFICDAYHDQTGRLVVPESLKMKKHTNGRQETYELKSYRAQVKLFSRDQDCDHVMNWIRSTQRR >Ma01_p02270.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1541871:1543683:-1 gene:Ma01_g02270 transcript:Ma01_t02270.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) UniProtKB/TrEMBL;Acc:F4K2Q7] MDLPLCSPLRLTPTARFPPSNTLRATCSVSGSTKKNRVIVISGPTGAGKSRLALELAKALDGEIVSADSVQVYRGLDVGSAKPSTWDRNEVPHHLIDILQPSDDYSAGQFFEDARRATEGVVGRGRVPIVAGGTGLYLRWYIYGKPHVPKASFAISNEVCAELMELQNSKQWDAAVDLVVKAGDPNARFLPTNNWYRLRRSLEIIRSSGMPPSAFSVPYDSFRQRLDSRASHTSVVEHLPDANSSLNTAKDLDYNFICFFLTGPRIDLYRSIDLRCEDMLMETEGLLSEASWLLDIGLHPNMNSATRAIGYRQAMEYLLSCREQGGRSTPEEFRAFLSEFQKASRNFAKRQITWFRNELIYYWLDASRPFEEVIDFICDAYHDQTGRLVVPESLKMKKHTNGRQETYELKSYRAQVKLFSRDQDCDHVMNWIRSTQRR >Ma01_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1541871:1543683:-1 gene:Ma01_g02270 transcript:Ma01_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) UniProtKB/TrEMBL;Acc:F4K2Q7] MDLPLCSPLRLTPTARFPPSNTLRATCSVSGSTKKNRVIVISGPTGAGKSRLALELAKALDGEIVSADSVQVYRGLDVGSAKPSTWDRNEVPHHLIDILQPSDDYSAGQFFEDARRATEGVVGRGRVPIVAGGTGLYLRWYIYGKPHVPKASFAISNEVCAELMELQNSKQWDAAVDLVVKAGDPNARFLPTNNWYRLRRSLEIIRSSGMPPSAFSVPYDSFRQRLDSRASHTSVVEHLPDANSSLNTAKDLDYNFICFFLTGPRIDLYRSIDLRCEDMLMETEGLLSEASWLLDIGLHPNMNSATRAIGYRQAMEYLLSCREQGGRSTPEEFRAFLSEFQKASRNFAKRQITWFRNELIYYWLDASRPFEEVIDFICDAYHDQTGRLVVPESLKMKKHTNGRQETYELKSYRAQVKLFSRDQDCDHVMNWIRSTQRR >Ma01_p02270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1541871:1543683:-1 gene:Ma01_g02270 transcript:Ma01_t02270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) UniProtKB/TrEMBL;Acc:F4K2Q7] MDLPLCSPLRLTPTARFPPSNTLRATCSVSGSTKKNRVIVISGPTGAGKSRLALELAKALDGEIVSADSVQVYRGLDVGSAKPSTWDRNEVPHHLIDILQPSDDYSAGQFFEDARRATEGVVGRGRVPIVAGGTGLYLRWYIYGKPHVPKASFAISNEVCAELMELQNSKQWDAAVDLVVKAGDPNARFLPTNNWYRLRRSLEIIRSSGMPPSAFSVPYDSFRQRLDSRASHTSVVEHLPDANSSLNTAKDLDYNFICFFLTGPRIDLYRSIDLRCEDMLMETEGLLSEASWLLDIGLHPNMNSATRAIGYRQAMEYLLSCREQGGRSTPEEFRAFLSEFQKASRNFAKRQITWFRNELIYYWLDASRPFEEVIDFICDAYHDQTGRLVVPESLKMKKHTNGRQETYELKSYRAQVKLFSRDQDCDHVMNWIRSTQRR >Ma01_p02270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1541672:1543683:-1 gene:Ma01_g02270 transcript:Ma01_t02270.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) UniProtKB/TrEMBL;Acc:F4K2Q7] MIRRIVTRTGFGLPSWGTRPASSLQMDLPLCSPLRLTPTARFPPSNTLRATCSVSGSTKKNRVIVISGPTGAGKSRLALELAKALDGEIVSADSVQVYRGLDVGSAKPSTWDRNEVPHHLIDILQPSDDYSAGQFFEDARRATEGVVGRGRVPIVAGGTGLYLRWYIYGKPHVPKASFAISNEVCAELMELQNSKQWDAAVDLVVKAGDPNARFLPTNNWYRLRRSLEIIRSSGMPPSAFSVPYDSFRQRLDSRASHTSVVEHLPDANSSLNTAKDLDYNFICFFLTGPRIDLYRSIDLRCEDMLMETEGLLSEASWLLDIGLHPNMNSATRAIGYRQAMEYLLSCREQGGRSTPEEFRAFLSEFQKASRNFAKRQITWFRNELIYYWLDASRPFEEVIDFICDAYHDQTGRLVVPESLKMKKHTNGRQETYELKSYRAQVKLFSRDQDCDHVMNWIRSTQRR >Ma02_p04980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16334930:16336272:1 gene:Ma02_g04980 transcript:Ma02_t04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRTPIDPASRNSQREKAMKEAALSPTQVATLGEDEEVMEEDKKRRVSFSSSAAARTGPDAGGGGGGASQPCCQVDDCAADLREAKRYHRRHKVCEAHSKAAVVVVAGLRQRFCQQCSRFHELAEFDDSKRSCRRRLAGHNERRRKSSTDTQPEGSNRCREADLPGNPAYKHFQIR >Ma04_p29680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30540015:30543675:-1 gene:Ma04_g29680 transcript:Ma04_t29680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLQSVCCVHRRLLQLSPPSSTPPSCPRRRSLSGPSAVLPPVNLRWYGRSFRFRNASTGRSILPGKVLAYLPDSENSNGAVKEANPSSVDSNVGSLVSARDFDAVLRSGSHARGSFDPFRGKSGSVSFCGLTHQMVEERKLVSSPFKDSTGSLVWILGPLALISSLVVPQFFLGNVIESVLRDEILAEIVASLSSEAIFYVGLAAFLSVTDHVQRPYLDFSPKRWSLITGLRGYLSSAFFTMGFKVFAPLLAAYVVWPVIGLPAVVAVAPFLMGCAAQYAFETHLDRRNSSCWPVLPIIFEVYRLYQLNKGAHFIERLLFLMRGSSTNTAVMERSSAFISMLAVLQILGVVCLWSLMTFLLRLFPSRPVAENY >Ma04_p29680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30540015:30543675:-1 gene:Ma04_g29680 transcript:Ma04_t29680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLQSVCCVHRRLLQLSPPSSTPPSCPRRRSLSGPSAVLPPVNLRWYGRSFRFRNASTGRSILPGKVLAYLPDSENSNGAVKEANPSSVDSNVGSLVSARDFDAVLRSGSHARGSFDPFRGKSGSVSFCGLTHQMVEERKLVSSPFKDSTGSLVWILGPLALISSLVVPQFFLGNVIESVLRDEILAEIVASLSSEAIFYVGLAAFLSVTDHVQRPYLDFSPKRWSLITGLRGYLSSAFFTMGFKVFAPLLAAYVVWPVIGLPAVVAVAPFLMGCAAQYAFETHLDRRNSSCWPVLPIIFEVYRLYQLNKGAHFIERLLFLMRGSSTNTAVMERSSAFISMLAVLQILGVVCLWSLMTFLLRLFPSRPVAENY >Ma04_p29680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30541389:30543675:-1 gene:Ma04_g29680 transcript:Ma04_t29680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLQSVCCVHRRLLQLSPPSSTPPSCPRRRSLSGPSAVLPPVNLRWYGRSFRFRNASTGRSILPGKVLAYLPDSENSNGAVKEANPSSVDSNVGSLVSARDFDAVLRSGSHARGSFDPFRGKSGSVSFCGLTHQMVEERKLVSSPFKDSTGSLVWILGPLALISSLVVPQFFLGNVIESVLRDEILAEIVASLSSEAIFYVGLAAFLSVTDHVQRPYLDFSPKRWSLITGLRGYLSSAFFTMGFKVFAPLLAAYVVWPVIGLPAVVAVAPFLMGCAAQYAFETHLDRRNSSCWPVLPIIFEVYRLYQLNKGAHFIERLLFLMRGSSTNTAVMERSSAFISMLAVLQILGVVCLWSLMTFLLRLFPSRPVAENY >Ma04_p29680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30542056:30543675:-1 gene:Ma04_g29680 transcript:Ma04_t29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLQSVCCVHRRLLQLSPPSSTPPSCPRRRSLSGPSAVLPPVNLRWYGRSFRFRNASTGRSILPGKVLAYLPDSENSNGAVKEANPSSVDSNVGSLVSARDFDAVLRSGSHARGSFDPFRGKSGSVSFCGLTHQMVEERKLVSSPFKDSTGSLVWILGPLALISSLVVPQFFLGNVIESVLRDEILAEIVASLSSEAIFYVGLAAFLSVTDHVQRPYLDFSPKRWSLITGLRGYLSSAFFTMGFKVFAPLLAAYVVWPVIGLPAVVAVAPFLMGCAAQYAFETHLDRRNSSCWPVLPIIFE >Ma04_p23750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25862400:25869613:1 gene:Ma04_g23750 transcript:Ma04_t23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTGHFQCIDTHEEVEFHDQHGAFGFTSSSGDAYSCYQNTNTIHEAYREQLNNTNTLVETPVTCSTGDSRDFCNSFQNTFFMSRNSSFDLTEQQNAAAVQAQVQVTPNTKFLSISHHPENSGVTYLKTVNCSSNIFNMPMMEHGLSSDSPSSSKFALHDSIPKARSSNVVKACIYGAEKLPLPLGALYGGKPSGLFSSFASEHLNDFVTDPSDLTPENPLLCHPKDALVASHCHQQSEHVIDDVTTQMNENLLGARSIPAGPTGYSLINGSSGKQVESSISAAVNSLVLENDKEKSSLSPVQPLSDNDLFDGMQLDMRPKDFVHELWDDNTGHNSCSNMSADSDCISVTEMGLRPRGDKGLFSESSLQQLLDAIVGDHLNKASSHGSAAKYVHAISGPNLENQFSTSLVGPSVYSNLVPSIGVPTINGTSGVLLPYCNSEIGHGSPKQELSNTTICSWIDDSCSMNTENSVLNQPKKPEEAAKVVKKRARPGESTRPRPKDRQQIQDRLKELREIVPNGAKCSIDALLDKTIKHMLFLQSVTKYADKLKQADEPKIIGEESGVVLKDNSGGVGGGGATWAYEVAGQTMVCPIIVEDLTPPGQMLVEMVCEDRGLFLEIADIIRGFGLIILKGIMEIRERKIWARFLVEANREVTRMDIFLSLIQLLQQNSCLRSGDLANKVMDKGAPVFPGYHQSPIVSSS >Ma04_p23750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25862400:25869613:1 gene:Ma04_g23750 transcript:Ma04_t23750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTGHFQCIDTHEEVEFHDQHGAFGFTSSSGDAYSCYQNTNTIHEAYREQLNNTNTLGGRAMAPHLFSLESYHGSSSHKSKMSPFAVETPVTCSTGDSRDFCNSFQNTFFMSRNSSFDLTEQQNAAAVQAQVQVTPNTKFLSISHHPENSGVTYLKTVNCSSNIFNMPMMEHGLSSDSPSSSKFALHDSIPKARSSNVVKACIYGAEKLPLPLGALYGGKPSGLFSSFASEHLNDFVTDPSDLTPENPLLCHPKDALVASHCHQQSEHVIDDVTTQMNENLLGARSIPAGPTGYSLINGSSGKQVESSISAAVNSLVLENDKEKSSLSPVQPLSDNDLFDGMQLDMRPKDFVHELWDDNTGHNSCSNMSADSDCISVTEMGLRPRGDKGLFSESSLQQLLDAIVGDHLNKASSHGSAAKYVHAISGPNLENQFSTSLVGPSVYSNLVPSIGVPTINGTSGVLLPYCNSEIGHGSPKQELSNTTICSWIDDSCSMNTENSVLNQPKKPEEAAKVVKKRARPGESTRPRPKDRQQIQDRLKELREIVPNGAKCSIDALLDKTIKHMLFLQSVTKYADKLKQADEPKIIGEESGVVLKDNSGGVGGGGATWAYEVAGQTMVCPIIVEDLTPPGQMLVEMVCEDRGLFLEIADIIRGFGLIILKGIMEIRERKIWARFLVEANREVTRMDIFLSLIQLLQQNSCLRSGDLANKVMDKGAPVFPGYHQSPIVSSS >Ma06_p16780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11385553:11405084:1 gene:Ma06_g16780 transcript:Ma06_t16780.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MAGMELDSPRDTVLSPRDRIVQRLITNGVPSEVLEQSQMGLISYLKENKPMYPVLVSSILPTEDDLSELRISSDASSSGNTEDLFCESMSWLGWLMFEAEPQSSLENLASEAVGQRAVCGAVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDVTAWKREGFCSKHKGTEQMQPLPEELANSIRPVLDVLLVLWKDKLILAENQRNPREHNKTDVSAGMGNRLSSAVIEMLLDFCKCSESLLSFISKKMFECTDLLDVLVRAERFLHKNVVKKLHELLLKLLGEPVFKYEFAKAFTRYYPVSVSEIIKEWTVKAFEKYSLLSTFSVQLFTVPTLTPRLVREVNLLGVLLGCLKDLFLFCIQEDGQLQVRKWEHLYETIIRLVEDTRFVLSHKEVLAYITHERPDISRAWLKLLSLVQGMDPQKRVTTTPIEEEHEYLSAPFVLGHFLSKVHNLLVQGAFSAFETKERKITSFSCPDSEWLDDNEGYRHSKVGRISQDSSACSINRTSSGLDGSSQHSDVKYGGVDHLSVPSPAIWLIFECLKAIDDWFCHARNISLFVDDMNYSSLSCFRKKLFKSKKGANSSKIFGLSVSRQGVDKHQSLPSGEHHEVSDLMDTDGCLEHTTSSRISDDSIVEVDSGAESEAFGMLNIADWPDIVYDVSSQEISFHIPLHRLLSLILRKAMEYCHNEIEKPEKASSGLSLPSSAWGHEFFGQVLRGLQPCGFSAFLMEHPLRLRVFCAQVRAGMWRRNGDAAIFNSEFYRAVQWFNQGLESDLFLLQCCAALAPPELFVERIQERFGLVNYTSLSLSECNEYEAVLVQEMLTLIIQVVKERRFSGLSSVDNLKRELVYKLTVGDATRSHLVKALPRDLSNSDQLQNVLDMLATYSNPSGMKQGKYSLRKAYWKELDLYHPRWNYRDLQVAEERYFQFCKVSARNVQLPQWTNIYIPLKTISRIATSKAVLKIVRAVFFYAAFVDVSLASRAPDGVLITALHLLSLALDICGSQSQTRSTNYGSGIDLSHPDHSFMEVSHYVEDLPPILAHATEQLDIAAHGESALCKNQSMLSLLVLLMRKYKKESDSHYSETRHCNISLLVETLVKKIAELNTDCLVMLQRIAPEVVYHMRKQPAEDAPERSASASDAEERRAKARERQAAIMEKMRAEQSRFMASLKSTPNSEADGSISKEEKLDHEDNVSEESAIVCSFCRDPHSQSPLCFLILLQKSCLTTFVERAPLSWEDVGQQNEIPSTGIEGSNGPGGSDSKNIVQSIQNVGVEFPFDMEPAEVDRSLVFLNEQLPAFRNIQPLDVFPGTDTELSASLESMEDDIYRSILRDMHNSKSILDTLDAEKKYLTKDAVLGSRKGSIAEFFALGEYVLSLSRESKQNHSFIFGPQRIVNLASRSTASSTTISGFGPSDCDGIHISSCGHAVHRECHDRYQVSLKQRYIGNLGFEGSHIVDPDLGELLCPVCRRFANAILPARTGFSNKLSTTKESAFSSSMPNDVPSTSSDMNCSNLHIVLASSLLQNTAKIVGQCRNLKVLSGKINETMNSVLEPSLRKLYMLYYSHSYSSLSAPGWLSRSLILWDTLRYSVTATEIAARAKLNTNSLGSQSCLESLTEELRSSSGYIMSVLVHVAQSAGSSNCLEVLLRFSSLQLLAGSICSGVSGDNYLSNGDKQKGTTSSSFECYDNGEAFPDIQFWKRAADPILAHDPFSSFMWVLFCLPAPFKSSRECFIALVHLFYAVCIVQAMITCYGNQSFDVSSFGSNVLNDVCRTMAESDRVRQYFVSSYIDHLYHPKDMIRRLTFPYLRRCALLWNLLNSSTLSLSYDSHTWERSYLCSKDVQLDSDSQLRVELNNIRELEDTFMICSLELVLKNEVVHALALRWCDHFCDEFGVRKYRGVLASSPAVPFKLMELPLIYQDLLKKYIKLPCSNCKSVPEEPALCLLCGKLCSLYRKSCCRQSKCLNHAMICGAGIGVFLLVRKTTILLQRSARRTLWPSLYLDAFGEEDHDMSRGKPLYLSKERYAALTYLVASHGLDRSSEVLRQTTTNLNGLY >Ma06_p16780.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11385553:11407004:1 gene:Ma06_g16780 transcript:Ma06_t16780.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MAGMELDSPRDTVLSPRDRIVQRLITNGVPSEVLEQSQMGLISYLKENKPMYPVLVSSILPTEDDLSELRISSDASSSGNTEDLFCESMSWLGWLMFEAEPQSSLENLASEAVGQRAVCGAVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDVTAWKREGFCSKHKGTEQMQPLPEELANSIRPVLDVLLVLWKDKLILAENQRNPREHNKTDVSAGMGNRLSSAVIEMLLDFCKCSESLLSFISKKMFECTDLLDVLVRAERFLHKNVVKKLHELLLKLLGEPVFKYEFAKAFTRYYPVSVSEIIKEWTVKAFEKYSLLSTFSVQLFTVPTLTPRLVREVNLLGVLLGCLKDLFLFCIQEDGQLQVRKWEHLYETIIRLVEDTRFVLSHKEVLAYITHERPDISRAWLKLLSLVQGMDPQKRVTTTPIEEEHEYLSAPFVLGHFLSKVHNLLVQGAFSAFETKERKITSFSCPDSEWLDDNEGYRHSKVGRISQDSSACSINRTSSGLDGSSQHSDVKYGGVDHLSVPSPAIWLIFECLKAIDDWFCHARNISLFVDDMNYSSLSCFRKKLFKSKKGANSSKIFGLSVSRQGVDKHQSLPSGEHHEVSDLMDTDGCLEHTTSSRISDDSIVEVDSGAESEAFGMLNIADWPDIVYDVSSQEISFHIPLHRLLSLILRKAMEYCHNEIEKPEKASSGLSLPSSAWGHEFFGQVLRGLQPCGFSAFLMEHPLRLRVFCAQVRAGMWRRNGDAAIFNSEFYRAVQWFNQGLESDLFLLQCCAALAPPELFVERIQERFGLVNYTSLSLSECNEYEAVLVQEMLTLIIQVVKERRFSGLSSVDNLKRELVYKLTVGDATRSHLVKALPRDLSNSDQLQNVLDMLATYSNPSGMKQGKYSLRKAYWKELDLYHPRWNYRDLQVAEERYFQFCKVSARNVQLPQWTNIYIPLKTISRIATSKAVLKIVRAVFFYAAFVDVSLASRAPDGVLITALHLLSLALDICGSQSQTRSTNYGSGIDLSHPDHSFMEVSHYVEDLPPILAHATEQLDIAAHGESALCKNQSMLSLLVLLMRKYKKESDSHYSETRHCNISLLVETLVKKIAELNTDCLVMLQRIAPEVVYHMRKQPAEDAPERSASASDAEERRAKARERQAAIMEKMRAEQSRFMASLKSTPNSEADGSISKEEKLDHEDNVSEESAIVCSFCRDPHSQSPLCFLILLQKSCLTTFVERAPLSWEDVGQQNEIPSTGIEGSNGPGGSDSKNIVQSIQNVGVEFPFDMEPAEVDRSLVFLNEQLPAFRNIQPLDVFPGTDTELSASLESMEDDIYRSILRDMHNSKSILDTLDAEKKYLTKDAVLGSRKGSIAEFFALGEYVLSLSRESKQNHSFIFGPQRIVNLASRSTASSTTISGFGPSDCDGIHISSCGHAVHRECHDRYQVSLKQRYIGNLGFEGSHIVDPDLGELLCPVCRRFANAILPARTGFSNKLSTTKESAFSSSMPNDVPSTSSDMNCSNLHIVLASSLLQNTAKIVGQCRNLKVLSGKINETMNSVLEPSLRKLYMLYYSHSYSSLSAPGWLSRSLILWDTLRYSVTATEIAARAKLNTNSLGSQSCLESLTEELRSSSGYIMSVLVHVAQSAGSSNCLEVLLRFSSLQLLAGSICSGVSGDNYLSNGDKQKGTTSSSFECYDNGEAFPDIQFWKRAADPILAHDPFSSFMWVLFCLPAPFKSSRECFIALVHLFYAVCIVQAMITCYGNQSFDVSSFGSNVLNDVCRTMAESDRVRQYFVSSYIDHLYHPKDMIRRLTFPYLRRCALLWNLLNSSTLSLSYDSHTWERSYLCSKDVQLDSDSQLRVELNNIRELEDTFMICSLELVLKNEVVHALALRWCDHFCDEFGVRKYRGVLASSPAVPFKLMELPLIYQDLLKKYIKLPCSNCKSVPEEPALCLLCGKLCSLYRKSCCRQSKCLNHAMICGAGIGVFLLVRKTTILLQRSARRTLWPSLYLDAFGEEDHDMSRGKPLYLSKERYAALTYLVASHGLDRSSEVLRQTTTNLNGLY >Ma06_p16780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11385553:11407004:1 gene:Ma06_g16780 transcript:Ma06_t16780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MAGMELDSPRDTVLSPRDRIVQRLITNGVPSEVLEQSQMGLISYLKENKPMYPVLVSSILPTEDDLSELRISSDASSSGNTEDLFCESMSWLGWLMFEAEPQSSLENLASEAVGQRAVCGAVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDVTAWKREGFCSKHKGTEQMQPLPEELANSIRPVLDVLLVLWKDKLILAENQRNPREHNKTDVSAGMGNRLSSAVIEMLLDFCKCSESLLSFISKKMFECTDLLDVLVRAERFLHKNVVKKLHELLLKLLGEPVFKYEFAKAFTRYYPVSVSEIIKEWTVKAFEKYSLLSTFSVQLFTVPTLTPRLVREVNLLGVLLGCLKDLFLFCIQEDGQLQVRKWEHLYETIIRLVEDTRFVLSHKEVLAYITHERPDISRAWLKLLSLVQGMDPQKRVTTTPIEEEHEYLSAPFVLGHFLSKVHNLLVQGAFSAFETKERKITSFSCPDSEWLDDNEGYRHSKVGRISQDSSACSINRTSSGLDGSSQHSDVKYGGVDHLSVPSPAIWLIFECLKAIDDWFCHARNISLFVDDMNYSSLSCFRKKLFKSKKGANSSKIFGLSVSRQGVDKHQSLPSGEHHEVSDLMDTDGCLEHTTSSRISDDSIVEVDSGAESEAFGMLNIADWPDIVYDVSSQEISFHIPLHRLLSLILRKAMEYCHNEIEKPEKASSGLSLPSSAWGHEFFGQVLRGLQPCGFSAFLMEHPLRLRVFCAQVRAGMWRRNGDAAIFNSEFYRAVQWFNQGLESDLFLLQCCAALAPPELFVERIQERFGLVNYTSLSLSECNEYEAVLVQEMLTLIIQVVKERRFSGLSSVDNLKRELVYKLTVGDATRSHLVKALPRDLSNSDQLQNVLDMLATYSNPSGMKQGKYSLRKAYWKELDLYHPRWNYRDLQVAEERYFQFCKVSARNVQLPQWTNIYIPLKTISRIATSKAVLKIVRAVFFYAAFVDVSLASRAPDGVLITALHLLSLALDICGSQSQTRSTNYGSGIDLSHPDHSFMEVSHYVEDLPPILAHATEQLDIAAHGESALCKNQSMLSLLVLLMRKYKKESDSHYSETRHCNISLLVETLVKKIAELNTDCLVMLQRIAPEVVYHMRKQPAEDAPERSASASDAEERRAKARERQAAIMEKMRAEQSRFMASLKSTPNSEADGSISKEEKLDHEDNVSEESAIVCSFCRDPHSQSPLCFLILLQKSCLTTFVERAPLSWEDVGQQNEIPSTGIEGSNGPGGSDSKNIVQSIQNVGVEFPFDMEPAEVDRSLVFLNEQLPAFRNIQPLDVFPGTDTELSASLESMEDDIYRSILRDMHNSKSILDTLDAEKKYLTKDAVLGSRKGSIAEFFALGEYVLSLSRESKQNHSFIFGPQRIVNLASRSTASSTTISGFGPSDCDGIHISSCGHAVHRECHDRYQVSLKQRYIGNLGFEGSHIVDPDLGELLCPVCRRFANAILPARTGFSNKLSTTKESAFSSSMPNDVPSTSSDMNCSNLHIVLASSLLQNTAKIVGQCRNLKVLSGKINETMNSVLEPSLRKLYMLYYSHSYSSLSAPGWLSRSLILWDTLRYSVTATEIAARAKLNTNSLGSQSCLESLTEELRSSSGYIMSVLVHVAQSAGSSNCLEVLLRFSSLQLLAGSICSGVSGDNYLSNGDKQKGTTSSSFECYDNGEAFPDIQFWKRAADPILAHDPFSSFMWVLFCLPAPFKSSRECFIALVHLFYAVCIVQAMITCYGNQSFDVSSFGSNVLNDVCRTMAESDRVRQYFVSSYIDHLYHPKDMIRRLTFPYLRRCALLWNLLNSSTLSLSYDSHTWERSYLCSKDVQLDSDSQLRVELNNIRELEDTFMICSLELVLKNEVVHALALRWCDHFCDEFGVRKYRGVLASSPAVPFKLMELPLIYQDLLKKYIKLPCSNCKSVPEEPALCLLCGKLCSLYRKSCCRQSKCLNHAMICGAGIGVFLLVRKTTILLQRSARRTLWPSLYLDAFGEEDHDMSRGKPLYLSKERYAALTYLVASHGLDRSSEVLRQTTTNLNGLY >Ma06_p16780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11385553:11407004:1 gene:Ma06_g16780 transcript:Ma06_t16780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MAGMELDSPRDTVLSPRDRIVQRLITNGVPSEVLEQSQMGLISYLKENKPMYPVLVSSILPTEDDLSELRISSDASSSGNTEDLFCESMSWLGWLMFEAEPQSSLENLASEAVGQRAVCGAVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDVTAWKREGFCSKHKGTEQMQPLPEELANSIRPVLDVLLVLWKDKLILAENQRNPREHNKTDVSAGMGNRLSSAVIEMLLDFCKCSESLLSFISKKMFECTDLLDVLVRAERFLHKNVVKKLHELLLKLLGEPVFKYEFAKAFTRYYPVSVSEIIKEWTVKAFEKYSLLSTFSVQLFTVPTLTPRLVREVNLLGVLLGCLKDLFLFCIQEDGQLQVRKWEHLYETIIRLVEDTRFVLSHKEVLAYITHERPDISRAWLKLLSLVQGMDPQKRVTTTPIEEEHEYLSAPFVLGHFLSKVHNLLVQGAFSAFETKERKITSFSCPDSEWLDDNEGYRHSKVGRISQDSSACSINRTSSGLDGSSQHSDVKYGGVDHLSVPSPAIWLIFECLKAIDDWFCHARNISLFVDDMNYSSLSCFRKKLFKSKKGANSSKIFGLSVSRQGVDKHQSLPSGEHHEVSDLMDTDGCLEHTTSSRISDDSIVEVDSGAESEAFGMLNIADWPDIVYDVSSQEISFHIPLHRLLSLILRKAMEYCHNEIEKPEKASSGLSLPSSAWGHEFFGQVLRGLQPCGFSAFLMEHPLRLRVFCAQVRAGMWRRNGDAAIFNSEFYRAVQWFNQGLESDLFLLQCCAALAPPELFVERIQERFGLVNYTSLSLSECNEYEAVLVQEMLTLIIQVVKERRFSGLSSVDNLKRELVYKLTVGDATRSHLVKALPRDLSNSDQLQNVLDMLATYSNPSGMKQGKYSLRKAYWKELDLYHPRWNYRDLQVAEERYFQFCKVSARNVQLPQWTNIYIPLKTISRIATSKAVLKIVRAVFFYAAFVDVSLASRAPDGVLITALHLLSLALDICGSQSQTRSTNYGSGIDLSHPDHSFMEVSHYVEDLPPILAHATEQLDIAAHGESALCKNQSMLSLLVLLMRKYKKESDSHYSETRHCNISLLVETLVKKIAELNTDCLVMLQRIAPEVVYHMRKQPAEDAPERSASASDAEERRAKARERQAAIMEKMRAEQSRFMASLKSTPNSEADGSISKEEKLDHEDNVSEESAIVCSFCRDPHSQSPLCFLILLQKSCLTTFVERAPLSWEDVGQQNEIPSTGIEGSNGPGGSDSKNIVQSIQNVGVEFPFDMEPAEVDRSLVFLNEQLPAFRNIQPLDVFPGTDTELSASLESMEDDIYRSILRDMHNSKSILDTLDAEKKYLTKDAVLGSRKGSIAEFFALGEYVLSLSRESKQNHSFIFGPQRIVNLASRSTASSTTISGFGPSDCDGIHISSCGHAVHRECHDRYQVSLKQRYIGNLGFEGSHIVDPDLGELLCPVCRRFANAILPARTGFSNKLSTTKESAFSSSMPNDVPSTSSDMNCSNLHIVLASSLLQNTAKIVGQCRNLKVLSGKINETMNSVLEPSLRKLYMLYYSHSYSSLSAPGWLSRSLILWDTLRYSVTATEIAARAKLNTNSLGSQSCLESLTEELRSSSGYIMSVLVHVAQSAGSSNCLEVLLRFSSLQLLAGSICSGVSGDNYLSNGDKQKGTTSSSFECYDNGEAFPDIQFWKRAADPILAHDPFSSFMWVLFCLPAPFKSSRECFIALVHLFYAVCIVQAMITCYGNQSFDVSSFGSNVLNDVCRTMAESDRVRQYFVSSYIDHLYHPKDMIRRLTFPYLRRCALLWNLLNSSTLSLSYDSHTWERSYLCSKDVQLDSDSQLRVELNNIRELEDTFMICSLELVLKNEVVHALALRWCDHFCDEFGVRKYRGVLASSPAVPFKLMELPLIYQDLLKKYIKLPCSNCKSVPEEPALCLLCGKLCSLYRKSCCRQSKCLNHAMICGAGIGVFLLVRKTTILLQRSARRTLWPSLYLDAFGEEDHDMSRGKPLYLSKERYAALTYLVASHGLDRSSEVLRQTTTNLNGLY >Ma06_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11385553:11407004:1 gene:Ma06_g16780 transcript:Ma06_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT6 [Source:Projected from Arabidopsis thaliana (AT5G02310) UniProtKB/Swiss-Prot;Acc:F4KCC2] MAGMELDSPRDTVLSPRDRIVQRLITNGVPSEVLEQSQMGLISYLKENKPMYPVLVSSILPTEDDLSELRISSDASSSGNTEDLFCESMSWLGWLMFEAEPQSSLENLASEAVGQRAVCGAVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDVTAWKREGFCSKHKGTEQMQPLPEELANSIRPVLDVLLVLWKDKLILAENQRNPREHNKTDVSAGMGNRLSSAVIEMLLDFCKCSESLLSFISKKMFECTDLLDVLVRAERFLHKNVVKKLHELLLKLLGEPVFKYEFAKAFTRYYPVSVSEIIKEWTVKAFEKYSLLSTFSVQLFTVPTLTPRLVREVNLLGVLLGCLKDLFLFCIQEDGQLQVRKWEHLYETIIRLVEDTRFVLSHKEVLAYITHERPDISRAWLKLLSLVQGMDPQKRVTTTPIEEEHEYLSAPFVLGHFLSKVHNLLVQGAFSAFETKERKITSFSCPDSEWLDDNEGYRHSKVGRISQDSSACSINRTSSGLDGSSQHSDVKYGGVDHLSVPSPAIWLIFECLKAIDDWFCHARNISLFVDDMNYSSLSCFRKKLFKSKKGANSSKIFGLSVSRQGVDKHQSLPSGEHHEVSDLMDTDGCLEHTTSSRISDDSIVEVDSGAESEAFGMLNIADWPDIVYDVSSQEISFHIPLHRLLSLILRKAMEYCHNEIEKPEKASSGLSLPSSAWGHEFFGQVLRGLQPCGFSAFLMEHPLRLRVFCAQVRAGMWRRNGDAAIFNSEFYRAVQWFNQGLESDLFLLQCCAALAPPELFVERIQERFGLVNYTSLSLSECNEYEAVLVQEMLTLIIQVVKERRFSGLSSVDNLKRELVYKLTVGDATRSHLVKALPRDLSNSDQLQNVLDMLATYSNPSGMKQGKYSLRKAYWKELDLYHPRWNYRDLQVAEERYFQFCKVSARNVQLPQWTNIYIPLKTISRIATSKAVLKIVRAVFFYAAFVDVSLASRAPDGVLITALHLLSLALDICGSQSQTRSTNYGSGIDLSHPDHSFMEVSHYVEDLPPILAHATEQLDIAAHGESALCKNQSMLSLLVLLMRKYKKESDSHYSETRHCNISLLVETLVKKIAELNTDCLVMLQRIAPEVVYHMRKQPAEDAPERSASASDAEERRAKARERQAAIMEKMRAEQSRFMASLKSTPNSEADGSISKEEKLDHEDNVSEESAIVCSFCRDPHSQSPLCFLILLQKSCLTTFVERAPLSWEDVGQQNEIPSTGIEGSNGPGGSDSKNIVQSIQNVGVEFPFDMEPAEVDRSLVFLNEQLPAFRNIQPLDVFPGTDTELSASLESMEDDIYRSILRDMHNSKSILDTLDAEKKYLTKDAVLGSRKGSIAEFFALGEYVLSLSRESKQNHSFIFGPQRIVNLASRSTASSTTISGFGPSDCDGIHISSCGHAVHRECHDRYQVSLKQRYIGNLGFEGSHIVDPDLGELLCPVCRRFANAILPARTGFSNKLSTTKESAFSSSMPNDVPSTSSDMNCSNLHIVLASSLLQNTAKIVGQCRNLKVLSGKINETMNSVLEPSLRKLYMLYYSHSYSSLSAPGWLSRSLILWDTLRYSVTATEIAARAKLNTNSLGSQSCLESLTEELRSSSGYIMSVLVHVAQSAGSSNCLEVLLRFSSLQLLAGSICSGVSGDNYLSNGDKQKGTTSSSFECYDNGEAFPDIQFWKRAADPILAHDPFSSFMWVLFCLPAPFKSSRECFIALVHLFYAVCIVQAMITCYGNQSFDVSSFGSNVLNDVCRTMAESDRVRQYFVSSYIDHLYHPKDMIRRLTFPYLRRCALLWNLLNSSTLSLSYDSHTWERSYLCSKDVQLDSDSQLRVELNNIRELEDTFMICSLELVLKNEVVHALALRWCDHFCDEFGVRKYRGVLASSPAVPFKLMELPLIYQDLLKKYIKLPCSNCKSVPEEPALCLLCGKLCSLYRKSCCRQSKCLNHAMICGAGIGVFLLVRKTTILLQRSARRTLWPSLYLDAFGEEDHDMSRGKPLYLSKERYAALTYLVASHGLDRSSEVLRQTTTNLNGLY >Ma05_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34008354:34009035:-1 gene:Ma05_g22280 transcript:Ma05_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEVAELRRQNEELERAAREGREREEALRGDLERTQERLRAVEEAEERLCVELGELEAEAVAQAREDLLRIETLSHQLSAARALLASAGLRLDLPAAD >Ma04_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24295437:24297877:-1 gene:Ma04_g21850 transcript:Ma04_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G73220) UniProtKB/Swiss-Prot;Acc:Q9CAT6] MDEFQELVVQSGEREPEARSAPRLEITVEEAIEEHVGSLGFAQLVHVFLVSLAWMFDAQNTLITIFSDAQPAWRCTPSSSSSSSSCSSSSSSDGMCGLDRCAWEWVGGNKTSIIAEWGLVCDRRFRAGIPASFFFLGSLFGSAVHGRLADSYLGRKKTVFLSCLLTSITTFLTSLAPNIWVYALLRFSNGFARSGIGICCLVLATETVGRKWRGQVGQYGFFFFTIGFLSLPLVAYPARTSWRTIYKVISLLPLVYSILIIPFVSESPRWLAVKGRTGEALDVLTKFAKLNGSKLPGNIAISNPIDTNAGSATKPESLWSSRWATRRIATIMVTGFAVGFVYYGFQLNVENLNFNLYFTVGVNALMEIPAVFIGSVFLSFANRRTLFSSSAFVAGVSCILCILFTKKPTTRGGNFAQLSAESVGFMAASTVFDVLYVYCVELFPANVRNLAVSKLRQSLMLGAAIAPHLVTLGRLSPWISFLIFGGLSIFGGLMTIWLPETRNAPVCETLEQQEKQEKLSSASITEMELLDSSN >Ma05_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36507677:36511089:-1 gene:Ma05_g24240 transcript:Ma05_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLEHYNRDYVRNTMMKHQEIFRHQINELHRLYRVQKMIMAELGSSKFELQSHSNATSRKPNSDTSTADWISLSTSETCHASCVSDAHQFTDHINSDCRYMLPSGNWSGRSAKELMTRYEDPRRVSKGLDLEQPAEEFTFTEAWTIQDQALMFKKQLKDNSSKLKCPHNSWLDDESEIQLTLGIACGTDKRPSDFSASKF >Ma05_p24240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36507677:36511033:-1 gene:Ma05_g24240 transcript:Ma05_t24240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLEHYNRDYVRNTMMKHQEIFRHQINELHRLYRVQKMIMAELGSSKFELQSHSNATSRKPNSDTSTADWISLSTSETCHASCVSDAHQFTDHINSDCRYMLPSGNWSGRSAKELMTRYEDPRRVSKGLDLEQPAEEFTFTEAWTIQDQALMFKKQLKDNSSKLKCPHNSWLDDESEIQLTLGIACGTDKRPSDFSASKF >Ma05_p24240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36507677:36510627:-1 gene:Ma05_g24240 transcript:Ma05_t24240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLEHYNRDYVRNTMMKHQEIFRHQINELHRLYRVQKMIMAELGSSKFELQSHSNATSRKPNSDTSTADWISLSTSETCHASCVSDAHQFTDHINSDCRYMLPSGNWSGRSAKELMTRYEDPRRVSKGLDLEQPAEEFTFTEAWTIQDQALMFKKQLKDNSSKLKCPHNSWLDDESEIQLTLGIACGTDKRPSDFSASKF >Ma05_p24240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36507677:36511117:-1 gene:Ma05_g24240 transcript:Ma05_t24240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLEHYNRDYVRNTMMKHQEIFRHQINELHRLYRVQKMIMAELGSSKFELQSHSNATSRKPNSDTSTADWISLSTSETCHASCVSDAHQFTDHINSDCRYMLPSGNWSGRSAKELMTRYEDPRRVSKGLDLEQPAEEFTFTEAWTIQDQALMFKKQLKDNSSKLKCPHNSWLDDESEIQLTLGIACGTDKRPSDFSASKF >Ma04_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11885047:11886903:1 gene:Ma04_g15590 transcript:Ma04_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEESVAESLLEKITEKFHGGDSSSSDSDGEKSSVVDSSAPSAAEVVKAKIYRLFGREKPVHKVLGGGKPADVFLWRNKKVSAATLGGATAIWVLFELMEYHLLTFVCHSLILSLAIMFLWSNASTFINKSPPRIPEVSIPEDMAVNIALSLRYEINWAFAALRDIALGRDLKKFLSVIAGLWVFSIIGNFCNFLTLFYIAFVTLHTVPPLYEKYEDKVDAFAEKAQTEFKKHYSVIHAKYLSKIPKGPLKDKKFQ >Ma03_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4674903:4689895:1 gene:Ma03_g06820 transcript:Ma03_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAILGFLQTNDEIADSHQFSASIGVDHTELENVIKRLHGFEIVEAKDFKKDNYLVSEEGKQYALEGSPEVNFFLAVPVEGISLANLKAKLDSKVFSIGSSWAKKNGWIEIAKGSVTRKVENVEDKVKDLLKRIEEGKVVDGNDVADLSKRKLIVKQTWRGYTLRKGSKYVSKRKKAATDLTREHLQRGDWKDLEFKEYNLNAQGQPIQIGHLQPLLEVREEIQNIFLQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKAPSTTRYLPEDYVERVKCVHESGGYGSKGYGYDWKREEAEKNLLRTHTTAVSTRMLYMLAQQRPFMPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDHGLTLGDLIGVLEDFFARLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEDVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >Ma06_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4606837:4607367:1 gene:Ma06_g06340 transcript:Ma06_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWPGDWNCWSCHHHNFSWRDSCQQCGNLRSSSGDPSYAALGGVRGGSSFVFSVSNHVRPGDWYCSCGAHNFASRSSCHSCGTSMDIDHKETPGSRGVAYGGGGWKSGDWLCTRSGCNQHNFASRRECYRCKTPKGCGK >Ma10_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24412127:24413974:1 gene:Ma10_g10490 transcript:Ma10_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSMGNSFMDSREEEEEEKTELLWPEELELANGKASESNGAGEDRAIVLGRNMHTVSFAIKEPESDDELTGEREGYMASVLAKYRKSLVERTKHHLGYPYNLDLDYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKHEYWGYITNCGTEGNLHGILVGRESFPDGILYASRESHYSVFKAARMYRMHCVKVDTLTSGEIDCMDFRAKLLDHKDKPAILNVNIGTTVKGAVDDLDVLIQTLEESGFKDRFYIHCDGALFGLMLPFVTRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLKHINALASNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYRGFRKEVQKCLRNAHYLRDRLMAAGVGAMLNPLSSTVVFERPKDEGFVRRWQLACEGNIAHVVVMPSVTIEKLDDFLDELVAGRSAWYRDRSRPSPCIAAEIGQENCHCSMHKK >Ma11_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21924083:21928585:-1 gene:Ma11_g16390 transcript:Ma11_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGFADKNAVFRKVKSKPDNKMCFDCNAKNPTWASVTYGIFLCLDCSAVHRSLGVHISFVRSTNLDSWTPEQLKMMVFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYRQLLTKEVAKSSAEDTGLPASPVAASHSPQALNGLHELKPADTPDDNPNVAYETETTRSPKAPVRSAFISSVKKPIYTKKSASKTGGLGVRKLTTKPNESLYDQKPEEPAPVAVPANSNGTIGQSFPSRFEYMEDTPSIEGTGGFEVINHVAPPKSSSFFQDFGMDGGFQKKSSSISSKVQVQEGNEARQKFSNAKSISSAQFFGDENRASEAQISLEKYTGSTSISSADLFGRENDSGLDLTAADLINRISFQASQDISSLKNIAGETGKKLSSLASGLINDFQARML >Ma04_p32270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32321939:32322502:1 gene:Ma04_g32270 transcript:Ma04_t32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDGKKMIALRSSDGQEFRLEMEACIMSEILTFVFDDCGTEREVTLPNVNGKTMKKVIEYLKKHTESTSKGPDDDELKAWDDEFMQVDTNTLHDLLKASNYLIIQDLLDLCVKTTAELMRGKRVEDIREIFNIKSDFTKEEEAEIGKANRWAFR >Ma11_p24440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27402036:27407193:1 gene:Ma11_g24440 transcript:Ma11_t24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRLDNAITPGLDSQGQPMDPRQIQEHFENFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQFREEEHAANALRNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKQISRELRRKLFGRYRRRQRSRSRSRSPYRQRNFEDRHVNRSHGKRHGDRDQYIEERGRKPRSRSPGRKRNRSRSPAGRRNRSPVREGSAERRAKIEQWNREREQADAARKNNEYTQNGQQQHDQEE >Ma11_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27402036:27407170:1 gene:Ma11_g24440 transcript:Ma11_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRLDNAITPGLDSQGQPMDPRQIQEHFENFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQFREEEHAANALRNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKQISRELRRKLFGRYRRRQRSRSRSRSPYRQRNFEDRHVNRSHGKRHGDRDQYIEERGRKPRSRSPGRKRNRSRSPAGRRNRSPVREGSAERRAKIEQWNREREQADAARKNNEYTQNGQQQHDQEE >Ma11_p24440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27402036:27407175:1 gene:Ma11_g24440 transcript:Ma11_t24440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRLDNAITPGLDSQGQPMDPRQIQEHFENFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQFREEEHAANALRNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKQISRELRRKLFGRYRRRQRSRSRSRSPYRQRNFEDRHVNRSHGKRHGDRDQYIEERGRKPRSRSPGRKRNRSRSPAGRRNRSPVREGSAERRAKIEQWNREREQADAARKNNEYTQNGQQQHDQEE >Ma09_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23218016:23219196:-1 gene:Ma09_g19350 transcript:Ma09_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGSSFFSSALSAVHKEGKANGGVKDSAFFGISITDHMKSDMSLSQRPNVSVGVPRAQAAVTTPAIKQTTKGKKTIRKGNVVVTGASSGLGLATAKALAETIKWNVIMACRDFLKAEKGRQVRRHVRRELRGYAPRPRVVGQRPPVREELSAAIRNAARWACLQCCGNTNTLAGNVSPNANLGDLRELAGGFNGLSSSAMIDGGEFDGAKAFKDSKVCNTHHQRFREHIALFRLLPSLPKAKVHHQIRLAQV >Ma01_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17699759:17700638:-1 gene:Ma01_g20400 transcript:Ma01_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQRGWSWSSALVGAASAAAATVVVLGRPRDPTFHLISITLSSFRLNLPLLDVDLTLTVHVTNPNLVPIHYASAIISIFYAGSHLGSALLHAGSQPAMSCQLLHLPARLYGLELAHHVPRLLADAARRQMTLDASVDISGTAQVLWWAHRFSVHVDSHVVVDPIFLDVIDQENHSETHLHLS >Ma05_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8202522:8212332:1 gene:Ma05_g11370 transcript:Ma05_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCNSSLLPENHPVEKRSHQSLQQQQRRPSLSLQAAVEGSGREVPAFAQFSLAELKAATNGFNPQNAVSESGDKAPNVVYKGRLQSRRWIAVKKFARTAWPDPKQFAEEASGVGKLRHRRLANLIGYCCDGEERLLVAEYMPNDTLAKHLFHWEKQTIEWAMRLRVAFYIAEALEYCSSEGRPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMIRGKNILGLMDSHLEGNFSMEEATTLVDLASQCLQYEPRDRPNTKMLVATLGPLQTKSEEPSYVMLGIEKHEEAPPAPQQPLSPMGEACSRMDLTAIHQILVMAHYRDDEVTNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKTAIECYSQFIDVGTMFSPTVYARRSLCHLMCDQADAALRDAMQAQCLYPDWPTAFYMQAVALAKLNMQGDSLDMLQEATALEEKRQKSGRGP >Ma01_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7855066:7863571:-1 gene:Ma01_g10940 transcript:Ma01_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSATAVEWEAVAQPPQQQEGDEEEFYESLDRILSSSCSSTSASDDDADHRHRHHQRRAAHFPPPVASSYDVWISEPSSVEERRRRLLQQMGLIGDPALARAKSTAPSPDVDPGSGGPRAWEAEAGSSVPSLDSSPPAVSVIVRSRSDGSVDPNRVIAERPQRRAISFGSATATNKPPLVGRSWALAGGKYKETDGSCGGDGGGGYQQCTIRNLDDGSEFVVKEVREDGMWNKLKEVGTGRQLTMEEFEICVGRSPIVQELMRRQSVEESGSGGTKRNGYAFEGPGGGLRANSGNPAGARFKKKGSWFKSIKNMAGTVVSGGHHHRERRSSDEKDTSSEKGGRRSSSATDDSLDGSHSLHHGPEKIRVRQYGKSYKELTGLYITQEIQAHNGAIWSIKFSSDGRYLASGGEDCVIHVWEVVESERKGELSDGAIGENGNCNPFLAAIGNSSPEPAVAMSCVEGSHWEKKRKAKVPNSRRSVSSDHLMMPDHFFALSEKPICSFRGHTEDVLDLSWSKSQYLLSSSMDKTVRLWHMSSSSCLKTFSHSDYVTCIQFNPVDDKYFISGSLDEKVRIWSIPDRQIVDWNDLHEMVTAACFTPNGQAALVGTHKGSCHLFDTSDNKLHIKNQVYLQTKKKKSSHKKITGFQFVPGSSSEVLITSADSRIRVVNGIELVHKFKGFRNTSSQISASLAPNGKYVICASEDSHVYMWRYDADSQPSRTKGVINSTQSYEHFHCQDVTVAVAWPSTTASGLVVGHSNRQNGLDLASKCELEVHAQSNGHESSSSPIYSESPLHSRIFSNANYSTDLDSVAWPEEKPQRNNLSPQTSGELYRGALQVQSRSAWGMVIVTAGRGGAIRIYQNFGFPFRV >Ma08_p29160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40856474:40857965:-1 gene:Ma08_g29160 transcript:Ma08_t29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIECLKEFPHPLMDRRPRKRPRLGWDVDPLTAAKAQIGILCGQEVNLKSLVPSGGASDHTCASQSAKDQERDASPPWRGDDKDGHYKFELGENLTSR >Ma03_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4930573:4959116:1 gene:Ma03_g07190 transcript:Ma03_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALCRPASFFPRSAGPGQRRRSGGSLASGSFPSAGRFPGPRPCRFLRSASSSASQWGMGVRCRAAGTESKAASDAVVRSGEPGQDRLLKVPVSNIRNFCIIAHIDHGKSTLADKLLQLTGTVQMREMKEQFLDNMDLERERGITIKLQAARMRYVLDNVPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVAREMEEIIGLDCSTAIQCSAKEGIGIAEILNSIVTRIPPPNDTSQSPLRALIFDSYYDPYRGVIVYFRVVDGSIRKGDKIYFMASGKDYFADEIGVLSPNQMQVDELYVGEVGYLSASIRSVADARVGDTITHFGRRAASSLPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLTLITTAPSVVYRVNCANGDIIECSNPSLLPEPGKRRSIEEPFVKIEMLTPKEYIGSLMELGQERRGEFKEMNYITENRASLIYELPLAEMVGDFFDQLKSRTKGYASMEYSFVGYRESDLVKLDVQINGEPVEPLSTIVHKDKAYAVGRALTQKLKELIPRQMFKIPIQACVGSKVIASESLSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAVGKVEVPQEAFMAVLRLEKEVM >Ma06_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10155566:10159253:-1 gene:Ma06_g14890 transcript:Ma06_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVPPPLACGAPHRRSPRPGRGACCSSLREIRQAPELLRSLPEIREAKRVVLVRHGQSTWNEEGRIQGSSDLSVLTPKGESQAETSRQMLLTDSFDVCFTSPLIRSKRTAEIIWGTRQEEMIPEPDLREIDLYSFQGLLKYEGKQKFGDAYRQWQKDAANFSIDGHYPVRELWDRAESCWSKILAHEGKSVLVVAHNAVNQALVATAIGLGTQYFRILLQSNCGVSVIDFTPKPRGGPPHICINRLNQTPNSPVAPGTSGGRKTRNRIILVCHGTTQSNAEVFANMGYESMNMLGIIQSQKTAELLLDLKIDCIACSPRVASVDTAMSISEVQEAADCLGADCMPRYVEVKKMIDLEVEPAFQQAQKNSTGSLVVHPGWLDGFDHRSLTDIWKQTKKAWHSLLHELLNESEPERNLVVVGHPALHIALIAQCLNLTMEWMGSFHLDAGSVSVIDFPDGPTGRGIVRCINYTAHLGRWSIPVTRSTAYDEEF >Ma04_p29130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30082772:30087484:-1 gene:Ma04_g29130 transcript:Ma04_t29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQRWIGLVEVFLIVGLLLSCPRRLSALSVTVNDLECVYEFVPYEGDTVSGNFVVVDHDIFWGSDHPGIDLIVTSPAGNTVHTMKGTSGDKFDFKAPRAGMYKFCFHNANRAPETVSFYIHVGHIPNEHDLAKDEHLDPINVKIAQLREALESVTAEQRYLKAREARHRHTNESTRKRLTFYTVMEYLVLACASGLQVVYIRRLFNRSVAYNRV >Ma04_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22619942:22633428:-1 gene:Ma04_g19940 transcript:Ma04_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVLEHPNVPSPAGFLHQYVHRMSGKHSQTETVQHTSPVVFSETRGKVKSFEQIDANGTSEDPVKLKVHEHRLDIGDEKSSLLGYEVFSGKLVLDKKTKTTGDDEQTGSGPGNSDSIDAKLTTKALIWGSHVLSLEDVISISYGACFRHFTIHAYSVRRRSYGLSCFMKPQRSQKDFRFVASSSEEAIKWVQSFADQQCYINRLAHPMMSSKKQTSNLVVTEALYDLPYIKCKSPPRLLVILNPRSGHGRSSKVFHNQVEPIFKLAGFKMEVVKTKYAGHARELVSTIDFSTCPEGIICVGGDGIVNEVLNGLLIRDDQKEAISVPIGIIPAGSDNSLVWTILGVRDPISAAMTIVKGGLTATDVFAVEWIQTGAIHFGTTVSYFGFLSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPTSKELGNSKEKVLENQDKIVMSDLYTDIIHESRKEGIPRASSLSSIDSIMSPNRMSGGDMGTTGSTIASNEPSDYVRGLDPKLKRLSSGKNTLVSEPDEVLHPQPHLSANFNWPRTRSKSRTDKTWTGLTVTNDSRCSRGATSLYDKEDISSTVSDPGPVWDSEAKWDTGPKWDAEPNWEGENPLELPGPPDDLELGMKLEPVPSLEDKWFVKKGKFLGILVCNHSCKTVQSLSSQVVAPKAVHDDNSLDLLLVGGSGRLRLLRFLICLQFGRHLSLPNVDYVKVKSVKIRPGLTTHNGCGIDGELLHVKGQIMCSLLPDQCRLIGRPAQHCK >Ma04_p19940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22619942:22633428:-1 gene:Ma04_g19940 transcript:Ma04_t19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSVLEHPNVPSPAGFLHQYVHRMSGKHSQTETVQHTSPVVFSETRGKVKSFEQIDANGTSEDPVKLKVHEHRLDIGDEKSSLLGYEVFSGKLVLDKKTKTTGDDEQTGSGPGNSDSIDAKLTTKALIWGSHVLSLEDVISISYGACFRHFTIHAYSVRRRSYGLSCFMKPQRSQKDFRFVASSSEEAIKWVQSFADQQCYINRLAHPMMSSKKQTSNLVVTEALYDLPYIKCKSPPRLLVILNPRSGHGRSSKVFHNQVEPIFKLAGFKMEVVKTKYAGHARELVSTIDFSTCPEGIICVGGDGIVNEVLNGLLIRDDQKEAISVPIGIIPAGSDNSLVWTILGVRDPISAAMTIVKGGLTATDVFAVEWIQTGAIHFGTTVSYFGFLSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPTSKELGNSKEKVLENQDKIVMSDLYTDIIHESRKEGIPRASSLSSIDSIMSPNRMSGGDMGTTGSTIASNEPSDYVRGLDPKLKRLSSGKNTLVSEPDEVLHPQPHLSANFNWPRTRSKSRTDKTWTGLTVTNDSRCSRGATSLYDKEDISSTVSDPGPVWDSEAKWDTGPKWDAEPNWEGENPLELPGPPDDLELGMKLEPVPSLEDKWFVKKGKFLGILVCNHSCKTVQSLSSQVVAPKAVHDDNSLDLLLVGGSGRLRLLRFLICLQFGRHLSLPNVDYVKVKSVKIRPGLTTHNGCGIDGELLHVKGQIMCSLLPDQCRLIGRPAQHCK >Ma08_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:268640:268996:-1 gene:Ma08_g00290 transcript:Ma08_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLGFPPLPRHNISAALQRLIKSMAQLFALQREEDEEVEMEIGFPTDVQHVTHIGCDGSRTIITLHNRDGAPEFLSLPALTLEEFVAGNSDIVAANESPEHVLL >Ma11_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7340927:7343956:-1 gene:Ma11_g09170 transcript:Ma11_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRPSYHLFASVDSRSSSDLSDRRNGGKNLSYPRRLIANSNAHEAPSEPIAATVAPVTENSSRALVKVAFLGLSRKLYQKGGTAERKAEKALTEVNTNTRTIAMALRRERDLLAQNKEYEAKISELRLLIDEKNEEVEKLKDLCVKQREELKALKDAILFPDVMNSQLQVLLEKQGFELKQAKQVRTDKYTSRTCFDEHLSSPRTPISDQQAANPLEYRSPDCMASDYGSPDEMFLKDLNPCLTPCFSKLKPQGTTVP >Ma10_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26177916:26180337:-1 gene:Ma10_g13320 transcript:Ma10_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCARRVVVDARHHMLGRLASIVAKELLNGQRVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKIKRMVIPDALKVLRLQPGHRYCLLGRLSSEVGWNYYDTVKELEAKRKERAKVAYERRKQLTKLRLKAEKTAEEKLGSQLDIIAPLKY >Ma05_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32950978:32957902:-1 gene:Ma05_g21310 transcript:Ma05_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLVDRSQLSPRKVGLADTGGGFVMRSRHSSVNPYSSLPFFSQLSPNHDGFRSQLCSFLPSSFCTDRALIPPPPPPPRPLPLRQPPLLPLPPPTPGLKLSKTNSDTGMFRSRDHRMKTKPSVKKTRKEPPPKAAHKFREPKGERKMTVEKVTAGEKQGESRCIMVGLQMNAKGKELLDWAIHKVAEQGDRVMAVHVCRDSDLKKTTTLSLASTLDDHLASYQGICSLKQVVLAGRIARGSSIRRALVKEAKQCAAIKVVVGMKKKSSFFRSAPLAKYCAKNLPPTTALIAVRKGNVVFERGAAEPSPGEFLQILCPNEISRWIRNSWSVVSQELQRRQSLRNLLYPSEALAIRKHEEKTVVEASRSSDSNHVKGEMVEAVLSSVTVLMRQLPEPVFECPLLKKKAALRSIEVKRANRAWRLSLVRRVMNLPARTLSSAQPLLPLIEKLNTVLSGSNSSCRWFQYEELQSSTNRFSSENLIGNGGSSRVYRGRLGNGQQVAIKLSKLSAEASKDFLSEVDIITKLRHLRVVPLLGICVGEHALISVYRYFPNGSLEQNLHGDKVKHLLPWDMRFRVATEIAEALSYLHHGCRRPVIHRDVKSSNILLNDEFEPQLSDFGLAMWAPTAATNLTQSDVVGTFGYLAPEYLMYGKVSNKNDVYAFGVVLLELLTGRKPIDDGNPKGEESLVMWATRILERGELIDLLDPNLGTNHDKGEMSRMILAASLCITRVPRIRPQIDKICSLLKGEEDMETWISSQADGMSEVVDCPDDEAYPTPSLALLDVDDDASVASVEQSHCGSWEAYLRSRWSRSSSFN >Ma04_p26480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27907595:27911176:1 gene:Ma04_g26480 transcript:Ma04_t26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPQNSSSTAGNGGLPSTGAAAASSPAPNPTSAGTIGSAADPAEEAKQNLTQVINSVDKTLGLLHQLYLTVSSFSVASQLPLLQRLNALVAELDAMQKMADKCNIQVPMEVVNLIDDGKNPDEFTRDVINSCIAKNQITKGKTDAFKSLRKHLLEELDQAFPDEVETYREIRASSAAESKRLAQSQSALPNGDVKVKQEH >Ma08_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3691375:3691967:1 gene:Ma08_g05380 transcript:Ma08_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFGFLYLISIWIERLILHLMFIAHMREDAERSHKTKAWRILTWLVAWDSKASIINLPLWPRTCLDEPNKARKSRIRIKRNRTTKREEKGAEKGTI >Ma11_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4813686:4832214:1 gene:Ma11_g06040 transcript:Ma11_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPPPYNRSGGRGGGGVGGFRHPSLPPQNLNFAFPGNLQGHVNPPNPFQNPSFLQPPFLPYLQNPFFPQPNPSPNPQALLDRVNAAATKAHRDLVAAGESVSSWKVSQAALLALKIDSWSALGFQIQDVPSLHSLIVTEGKISAFIHCFLGARRITSLYDLEVAVCKNEGVERFEELGLGPLLRHPLVEHYFSLASDVTDIFKITTEEIIDSLKTFMEKHKKTIMVEEFLDFLAENKLVSSKEKLCVRIQSLGLHISYIREAKKAEKATISKCFDENKHSAPQNRKRNSSQPPNMRLQKQVLEKRFGLLSKRIQTFSSRWDDFSGKHIRFESSDSDDVDDDVNYDDDDGNDDDSFENDKGFMCQNQSSHDRDNGKRVSSCPYPSTTEEMVRLGLRPETTKKSTPDSDKSTKSRGKKSCDKKRKFEEKKGHSSCKLLKKDSIKSNGLERLHESTLASVDIEKFITTWKEACREHSITEVLDMMVDFYAPTVTQKRRLKRILLSHPGVVLLNIAITSIKHGMVDSLYDSLQAFGEAELSATKSGFSAEMIYIGGTVNKETAMINANESTNGLSKSVTVDDIIKKISDYLELENSVDRESALHSGRILWLLKQLCNCESWLVTHFSVDKFSSFGYGNFLEFLERYASLLPRELYQFLNEVPFDPSSVYFSMREQQLRAMLCQADYNWIKDCSMSKVDAFVLLKRQFPTTSFHIVGDKSDKCFSNLIKCQEDNHGPGCVLFSAALLGKQWSVSEHDEKMIHQQAYTSGTSAFDNAIECLLAAPMLSDLLSWSHWDLVYAPSFGPLIDWLLNDFHTKELSCIATRDGKLIRIASSATVDEFLEALIQLSSFQVALKLLSLLSLYRGTSHAPLSLLKCYAQRAMDVIIRNFIDSSEAARENSIDTSYLQDLPTFRGDFNILPCSGDFQVISQFTQESMLGKSISKMNKAFAVIARIILECLGLLPSEFWNFAADILVSGLRFFTKQAPLVILNECNQPDQRLMLHDIGFSLGVTEWVQDYHDFSSTTVMNSRTSKPTYSLCSESGVDGDHTPELFVNPSFSNDNFHISIRNDVPFPRKNNESFSGGKQKESVDLHPGCQKECDDDFNMKILTGVTIDNSSMPDYKKMQDATTIIEAIRREEFGLDRNLNDKESCLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNVYLHSVEPTLVFILQETGIVVLNNEVGFSADNIRALCDIGNSTKKGSSAGYIGHKGIGFKSVFRVTDAPEIHSNGFHVKFDISEGQIGFVLPTVISPCNMDMLKELLSGEDIQADFTSWSTGIILPFRSKLVRGTTMSSIISMFSDLHPSLLLFLHRLRCIRFKNMLNNTSIVLRRETMDDGIVKVSHGNESMSWLVVSKKLQASVIRQGARTTEIAMAFTLQESEGGEYRPLLSQQPAFAFLPLRNYGLKFILQGDFILPSSREEVDGDSAWNQWLLSEFPALFVSAEQSFCSLPCYRENPGKAVTAYMSFVPLAGEVHGFFSHLPHMIISKLRMSNCLLLDGPSLVWVLPCRTLRGWDEKFHLLLSDRLLQKHLGLGYLNKDVILSDTLAKALGVQNYGPKVLIDLISSLSRSRDGINSLGLNWLSSWFIILYSALSSQSSVQFSANIRMESDLLKTLRKIPLIPLSDGSYASMNDGPIWLPCDICGAGTEGKQYQNDFPRLYDKLRIVNPLLFSAPDITTNYMEQKKVDNLIQMLYKIGVQQLSSHEVIKSHILCALDKETKQDEDNSWKIEYLSFIMGHLQLPCASCESEKEDIIAELRKRSIVLTNAGYRCPDNEPIHFSKEYGNPVDICKLSTLDFQWLEVDLAYLKHPSTRSLSSVPTIWRDFFRELGVTDFVQISCVKKHAADVLLSVGPICDKDLMIETSFINDWESSELNYLLSILSAEKCRDKCIYLLEVLDKMWDNYYSGKTKSFVISKSSGYKKPIESSFMKSIRNIGWIASSMDLELHQSKDLFFDCEEIRSVLGNMVPYAVPQITSKLLLKEIGFKTQLSHDDALTMLNYWRVSKAPFLASVNQMSKFYTFIWDGVATSRLNINKEFISSCFIFVPFLNTSTSKNATYGTFLSPKDVFWHDPTGCVEKVKEVLQCIQKRKSDFLPCEMLSSVYPGLREFFVQVCHVHEVPPFGSYLQILLQLSSVTLPSQAAHAVFRVFLRWSDDVKSGLVKSKDILDLRNDLHNLESRVLPTMQDKWVSLHSSFGLVCWADDEDLKLQFKHSNGIDFLQFGELNNEEKEMLSGKIAELFKKLGLPALSEVVFREAIFYGTRDNNEKMSLINWVLPYAQRYIYKLYPDKYSNLKQFGLEKLIQLQVVVVEKLFYKHSLRGGGNTSKKRFECCCLLQGSVLYATHTADSHSIFLELSRFFFDGSAELHFANFLHMVTTMAESGSSIDQTEFFIVNSQKVPRLPDEEPVWSLSSAVEELDSITQPILAPCSNAEQNASIPQRKPGICPSWPPTDWKTAPDFSHARRYPLWSRPGMESYSGSEVQLRNPPGLTTQVEVLPDPIEIDEDWVVEKGLASKSSSVLQDDSGILKEETQLVDSFDALDSQVNSVSETKNEKIDPSVRPGPDLSLKILSSSLERANICLQTLDDQQTRRTGRLGEIIAYNYLNRKMGPNMVKWVNEQTESGLPYDLIIGQESREYVEVKTTRYASKNWFEVSVREWQFASEMGNSFTIAHVALSGEKKASITLLKNPLKLCHQNALRLAIFMSTQIRDSVVCS >Ma05_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22144780:22151263:-1 gene:Ma05_g17990 transcript:Ma05_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPGSSRFFLNPSEKETLARMARDRPRGGRATEGEASDGDSSESLEEISADDFKKDTRTGRSGVWMGYRMSNNYGPSLYSFAWAQAVQNKPLGLDLMPMTGGDLASKNDTSDRSAKEESCDVIVEDISQDGDDGMELEEGELEEGEIELGMEPVADEMTEVSSDKLENEPEKKETDGEESEDMGDFDRRVSLILEELETITIDEALASFEDVCLRLRKSFEDLKPMFTGIESSDTVLNALVQQAFMGIQTAYSVLNSDTFQRKELNQQLLLRLLIHIKNQYSVLLTSEQVKEIDTLVNLLVFEDHNKKKEQHGGIGNNNLNLSKEPGVSSDGLVSLGKPYLAPKAVSLPMLGLPMPPRNRVEFSPLLDLHADYDEDSLPSPTRETMPRFPVPKPVGHAMVPVLSSQSLTAKSEEAEGATSQLYVTDALKAVSFYQQKYGKNSILSNNRLPSPTPSEEGDKDDDSHEEVSSSSVAGNAKTFYTATQQVSKSSSNATHTNSSPVDRCPVKLAEQVQSGTKPAVKPALKRRDPRLRFMNNEVRGPSEERSGIRCNAPDDGFLGGTINARKHKIADESAAVVDQTMKRQRNGSMSSRNMHVISGSSEWLEGDSIIPQPSERSQVNENLHADIRKAGTGEVGFDKEPNSNANFSMLNGLKPNSSSNPAGPISLPSLLKAVNPTILVQLLKMEQQRLAAENQQNVTTSTSDITNVSSVSGLPGAVSSVISTPVRSNEPGQNQLGISQVSPQSASMSSQNDLGRIRMKPRDPRRILHNNIVQKNEVVASEQNNINGATAGPQGTMGHLTAREAGEQAQSNILPTQFSPPPDRSEELTKNLPTIVSSLQLTTTSPTIPHGNSQPISSKGNQMDVKLALAEVNDPKTVSDVLSERSAGVSESTNLWGDVDHLLDGYNDEQKAAIQRERARRIVEQNKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHEEVLRRKEEQDREKPQRHIYCFQHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPTGSLFSGRVISRGDDGDPLNGDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYTFFPSSRRQFGLLGPSLLEIDHDERPEDGTLASSLAVIERIHQNFFSHHSIKDADVRNILASEQRKILTGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTSQIDEQVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRVNEHDFAVKAVT >Ma09_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9349525:9350354:-1 gene:Ma09_g13780 transcript:Ma09_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDVPPLEAVAFRLYSLPGAAAGSVWAWAAVLAAALGLWGIRIVGTKSDASPRSHSAPRSSSPPQPAIPGPAVPAEPAKPQADPTNREDYRPIARPTGCRVEEASATKARFTAYYHGASCNGCGAVEEDECHEGEDGGARGVECGVAAPWNQGWRWEGLTVRRRWDLGWYRYQDMTALNGSVVRLWDGADGGLTARRRPPQNPI >Ma06_p35060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35082129:35089360:-1 gene:Ma06_g35060 transcript:Ma06_t35060.3 gene_biotype:protein_coding transcript_biotype:protein_coding METMQQHSADRRSTYLAALTLEIERKLQKALISPRQRPELLQQLFADVALEVEDRARDMIFKKDEDKITSADDGIENHLCFYDVLADYFVGEPENGKHILDLIVQLWSQSFVSHIFALIFHKWLFEVSVENSEMLLRYGSALVQGATNVFWIDIQTNRKRFFPLFSYLLQEVALVPYRSNKISLQARRDLCLLLSRFLFFYNLDDLLEKFLGQFPGFPNAFLVGGPADIFVIELADQLQKLKVEPVLLHYLSRMSALKGLELRMTTSTRLKACLYSFTSPGGPMYPTRVVRHAAWDTLDLLFPVGQYPRHIISLFFRLLYPWYWPSSCWNFIMTCVRTVVFYILRIIGSSWENMRKSKDS >Ma06_p35060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35082129:35089360:-1 gene:Ma06_g35060 transcript:Ma06_t35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMQQHSADRRSTYLAALTLEIERKLQKALISPRQRPELLQQLFADVALEVEDRARDMIFKKDEDKITSADDGIENHLCFYDVLADYFVGEPENGKHILDLIVQLWSQSFVSHIFALIFHKWLFEVSVENSEMLLRYGSALVQGATNVFWIDIQTNRKRFFPLFSYLLQEVALVPYRSNKISLQARRDLCLLLSRFLFFYNLDDLLEKFLGQFPGFPNAFLVGGPADIFVIELADQLQKLKVEPVLLHYLSRMSALKGLELRMTTSTRLKACLYSFTSPGGPMYPTRVVRHAAWDTLDLLFPVGQYPRHIISLFFRLLYPWYWPSSCWNFIMTCVRTVVFYILRIIGSSWENMRKSKDS >Ma06_p35060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35082129:35089360:-1 gene:Ma06_g35060 transcript:Ma06_t35060.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMQQHSADRRSTYLAALTLEIERKLQKALISPRQRPELLQQLFADVALEVEDRARDMIFKKDEDKITSADDGIENHLCFYDVLADYFVGEPENGKHILDLIVQLWSQSFVSHIFALIFHKWLFEVSVENSEMLLRYGSALVQGATNVFWIDIQTNRKRFFPLFSYLLQEVALVPYRSNKISLQARRDLCLLLSRFLFFYNLDDLLEKFLGQFPGFPNAFLVGGPADIFVIELADQLQKLKVEPVLLHYLSRMSALKGLELRMTTSTRLKACLYSFTSPGGPMYPTRVVRHAAWDTLDLLFPVGQYPRHIISLFFRLLYPWYWPSSCWNFIMTCVRTVVFYILRIIGSSWENMRKSKDS >Ma04_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7882926:7891845:1 gene:Ma04_g11200 transcript:Ma04_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQRKAVLENEFFTEYGEASRYHVQEVIGKGSYGVVGAAIDTHTGEKVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVAFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPVFPGKNVVHQLDLMTDLLGTPSAESIARIRNEKARRYLSNMRKKPTIPFSQKFPGVDPLALHLLERLLAFDPKDRPTAEESLSDPYFRGLSNVDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGADQTNFMYPSGVDRFKRQFAHLEEHYGKGDRSTPLQRQHASLPRERIGANKDSIADRNNEFEGRSVESVARSTLESPTKSQQGVRSDQSSVADGLSKSKHSARSLLKSESISASKCVVVKQRKDKQEESGSEATDQVVDGLSHKLAQLYS >Ma07_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3169515:3171524:-1 gene:Ma07_g04230 transcript:Ma07_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLKCPANYVPLTPTTFLSRAAVAYSDRTSIVYGQTRFTWKQTHERCLRLASSLRSLNVSKNDVVSVLAPNVPALYEMHFGVPMAGAVLNTINTRLDAGNVANILKHSEAKVFFVDYQYVRLAVQAFDRLLGDGLQLPLVVVIDDVDAPTGVRLGELEYEQLIARGDPARHPCPVLDEWDAIALNYTSGTTSAPKGVVYSHRGAYLSTLSLLLQWGVDNEPVYLWSLPMFHCNGWTFTWGLAARGGTNVCIRAPTARDMYRAIADHRVTHMCCAPTVFSILLEAGPADRRPIASPVQVLTGGAPPPATLLEKIQRLGFHVTHAYGLTEATGPALVCEWRQQWNRLPMEEQARLKARQGISVLTLADADVKDTDTMSSVPRDGESLGQIVLRGSSIMKGYYKNPDDTAAAFKDGWFLTGDLGVVHPDGYVEIKDRAKDIIISGGENISSLEVEAVLYRHPMVLEAAVVAMPHPHWGETPCAFLKVKKETQEGSINEEDIISYCRNSMPRFMVPKKVVFMEELPKTSTGKIQKFLLRKAARGPQGGAAAPLCKLPQAASGNGNTTRHSEVQEQVLAMARL >Ma06_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9041498:9042193:1 gene:Ma06_g13190 transcript:Ma06_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLMPHRPVVSDPPAWQQGRLIHTTVDLFPLPPNSTPLLSYKYSRTPSYCYLANARLREGRHRVFRLRMEKQESSKEVAPRYKGVRLRKWGKWVAEARYPNSRERLWLGSFPSPEMAAMAYDAAVYCLRGPGEALNFPDHPPNIPSADRLSRYEIRQAAVGHAEEGAKRRAQQEEGAKLVDPGEGTSGPGTFEEPATETLPALYSSTMVSGAWSWDDDDDGDAWFPLWNF >Ma04_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26904515:26905908:-1 gene:Ma04_g25040 transcript:Ma04_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHTHGKQCIVGQANRRPDMSASRAAQERRKAQKKGIHLAKEERRILYVSFHVREDKASQGEVWNDRDAMQSHRNEPRKKRCTINTAAGLQACCTRHQQFIFFLSISMDGSRGQPKQLVYQDLQPPTEWNETAHNHILRVLLPGFKAEDIKIRVDDDSRKLKVKGRRRVGQATVERFERDFDVPQDADLERVGGRFQDGWLSVIMPKKKTQETESTQVGISQEEDKKKKEEEPILQEKPESGQHKAVEKPIDGVGIEERHFPMGIPAGGDRKQEEEKAKSRTESGKKPKSWCTNKDGRKEGVSWMKRMKGMEEWVDSQVVDKLVESFNKNRNVIAAAAVGFSIGFYVSLKLRSSSR >Ma04_p25040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26900834:26905908:-1 gene:Ma04_g25040 transcript:Ma04_t25040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIHTHGKQCIVGQANRRPDMSASRAAQERRKAQKKGIHLAKEERRILYVSFHVREDKASQGEVWNDRDAMQSHRNEPRKKRCTINTAAGLQACCTRHQQFIFFLSISMDGSRGQPKQLVYQDLQPPTEWNETAHNHILRVLLPGFKAEDIKIRVDDDSRKLKVKGRRRVGQATVERFERDFDVPQDADLERVGGRFQDGWLSVIMPKKKTQETESTQVGISQEEDKKKKEEEPILQEKPESGQHKAVEKPIDGVGIEERHFPMGIPAGGDRKQEEEKAKSRTESGKKPKSWCTNKDGRKEGVSWMKRMKGMEEWVDSQVVDKLVESFNKNRNVIAAAAVGFSIGFYVSLKLRSSSR >Ma08_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33285222:33285827:-1 gene:Ma08_g19520 transcript:Ma08_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILILPSLAGARILLDDNSALAENKRFSLKTGGSGSGFGISVSHNSSGTDITIGGGAGGGAGTSRGGGASVGGGIGVGVGIHIGKGGANVAVGVGGGGAASANNGSVSVGGGGGGGVGVSVGPGGVSVSVGGGAGGGAGSGGGASGGGGGVGSAGGVVASGDGHGSASGSGGSGGGNGDASSAGGAEAGGNGGGGGGRG >Ma01_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21737860:21739325:1 gene:Ma01_g21760 transcript:Ma01_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSTLLRHLGPRLFFSAAAGEPACSLLLSGSASLAPARSPAAVLVRLFPVRMTSTTAAKAFGGEQEGEAKPSAASREPTAVPPSERKAVASYWGIQPSKIIKEDGTPWRWSCFMPWETYKADTSIDLKKHHVPATLLDKLAYWMVKALRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRSLLEEAENERMHLMTFMEVAQPRWYERALVIAVQGVFFNAYFLGYLVSPRFAHRVTGYLEEEAIHSYTEFLRDLEAGEIDNVPAPAIAIDYWRLPADATLKDVVMVVRADEAHHRDVNHFASDIHYRGMELKDIPAPLGYH >Ma02_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20659973:20660835:-1 gene:Ma02_g11550 transcript:Ma02_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAGAAALTGDTTTVICLDDYHSLDRKAHLQPSYWFAGTPRAKPTTQAPGLRGTAPIVCMIPVRGASWTSASTWILTVRSSLHGKSREIWRKVGTASRASKLALQLESPTLMLALIRRSNMLVLPSKFCRRN >Ma01_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1079290:1080639:1 gene:Ma01_g01560 transcript:Ma01_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTSYPPLSSASTERVPQQLRVGSVRGLGFSI >Ma04_p30710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31283542:31289519:1 gene:Ma04_g30710 transcript:Ma04_t30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFCCFRGSSSQFAGSSSDAGKGRSSQGRTKVTYGFNLVKGKTNHPMEDYHVAKFTNMRGQELGLFAIFDGHLGNIVPAYLQKHLFTNILKEEQFWTNPDVAIANAYEKTDKAILSHTPDLGRGGSTAVTAILINGRKLWVANIGDSRAVLAKGQQVLQLTIDHDPSTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIRCENVTGETELLILASDGIWKVMSNEEAVNIARRFKDPQAAARQLTTEALNRDSKDDISCIVVRLRA >Ma04_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6874130:6883417:1 gene:Ma04_g09690 transcript:Ma04_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMEHLFMQIFDRKAWIEGQMRQQAGSYGQSLAYNLLADGGRPPPWLWNAGLDAPGCAAPGELSKEQLIEEILFPVPWATTPSTNHNTLYTLPAFKSTNMSQYSSYISDICIPSEQIYPEHTCGTITNGHRKDDKGDYDMISSKVVEDSSVFDLLANAQCYRSRQRNLENHPNEKSNAENFRDGSIAEQSLACNKVGDGAVPSRMTTKRVDCLGNISEPSSHSELINNKATANRAILRLTMSQKADNLVVSTAHDASVLGCYTGAVGKECAPDGSNFIDPGLRTTPSASSRLSHDQSLVVMKKISFDGIEVCSPDGNPYDSFSQIENLCCSSEDAFKKFQSVRLSIEDSLCKDPVPSSGTVQPEEDALHAKVIKSAEVGVSDSTAKERIEFECYLPSASYNMLHKKLRNGETNSSSLSSAPSHTSCTSMLRSCLYSSGIQGSLSGNLIEQSEKQQSGETKTSRQASIASTKRPRTISYVKPMPDLSENIKPCDITKDSITSERETTGHHAEVSFSESLQYTKDEESHRNSKSMLISSGKKQLQLDNIVPAAEVMREEYTKDSGKSHVEGRYELLNMVETVKSKLCGEISSHVSAMEPLEMEFTTDSCKEQPDGSLAVHKMKGTFKGKLIERSVCNLVQLTHDVQIGSSVSRTGNVCLETEEAEFVTVSGSTLNVDTTESDYAGLGSQLLRSSAGIFDDSGSDGMEPLCSEDHHMALSAKESVFYTTNRDVSEEILIEKSPDVAEMENKLTKSIRSSIRTIQDVNLYEENAYISPSLGKTLVSETKKDENCESVMDTKEKTINQLPYIDSNQNHSSGPQYLTRSLKRHGKTFCYSELNRTSNETSSKQLRRLMTNACEISWPKRRKLNCFSDRILTTPRIRFHQLQYTQEDTCCSSKRSSQITPFEEMQISPSPSGTLLNSDTADADRRSHSEVYHQSKKQCVREDILCLKNKDQIMDVSLPIQHTKGVHSSNLADRNSTTCVLNEIGDFEFTLSQLKEPGTFGDDSDKRLNHVVSKGYCYDIQSVEEKEVFDAEKSLSTYGASLLPNYQDDVVDCDDSMPEFEGFSVGVSPIKRNDICYDSNYLYFREEHVSLDSKQGCSTDLVTPKTRPFENYKINKIADVFQSLPNGLLEQMNLNYSLSGDYSGQYRTSEHDKMSGLCCSLGSVFDCSFDERSYSHSTPSGARFGWAAHKAPLTPPIEKSSLRKISGKSGASSQTVGTNPELVCFRIDENSSTMEDILDKSGTSKEGTRSRGFKVLDNREPLKDVTSKYENAPTLVPPTKMLLDRRDLELGCAYTVDTDQIGDKENQCPSINGNKEGKAVKFLCSRSSKPEINVKTVDGNRSQASTRKGCKPNNIISNISSFIPMVRKKQQATATKGKKDIKVKALEAAEAAKRLEEKKQNAREMRKAASKLERERLEQEKQLKQKQLEEEKRRKAADIAARKRQREEEERKEKERKRRCIEEARKLHREQEERLQAKKEDKGLLSKDVDDDGRKKGLVQEAKQQLKLEKGGVVAGSRKAEEVEPGATKVVISSDDMKGIVQDRMPTTKDNQDFQSYEISPYKDSDDEDGEEENMRRRKYIPSWTRRECLDQLLLSQQHQDPSDIFCRKSSFNLSDVLYLSVVRRQPL >Ma04_p09690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6874130:6883417:1 gene:Ma04_g09690 transcript:Ma04_t09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMEHLFMQIFDRKAWIEGQMRQQAGSYGQSLAYNLLADGGRPPPWLWNAGLDAPGCAAPGELSKEQLIEEILFPVPWATTPSTNHNTLYTLPAFKSTNMSQYSSYISDICIPSEQIYPEHTCGTITNGHRKDDKGDYDMISSKVVEDSSVFDLLANAQCYRSRQRNLENHPNEKSNAENFRDGSIAEQSLACNKVGDGAVPSRMTTKRVDCLGNISEPSSHSELINNKATANRAILRLTMSQKADNLVVSTAHDASVLGCYTGAVGKECAPDGSNFIDPGLRTTPSASSRLSHDQSLVVMKKISFDGIEVCSPDGNPYDSFSQIENLCCSSEDAFKKFQSVRLSIEDSLCKDPVPSSGTVQPEEDALHAKVIKSAEVGVSDSTAKERIEFECYLPSASYNMLHKKLRNGETNSSSLSSAPSHTSCTSMLRSCLYSSGIQGSLSGNLIEQSEKQQSGETKTSRQASIASTKRPRTISYVKPMPDLSENIKPCDITKDSITSERETTGHHAEVSFSESLQYTKDEESHRNSKSMLISSGKKQLQLDNIVPAAEVMREEYTKDSGKSHVEGRYELLNMVETVKSKLCGEISSHVSAMEPLEMEFTTDSCKEQPDGSLAVHKMKGTFKGKLIERSVCNLVQLTHDVQIGSSVSRTGNVCLETEEAEFVTVSGSTLNVDTTESDYAGLGSQLLRSSAGIFDDSGSDGMEPLCSEDHHMALSAKESVFYTTNRDVSEEILIEKSPDVAEMENKLTKSIRSSIRTIQDVNLYEENAYISPSLGKTLVSETKKDENCESVMDTKEKTINQLPYIDSNQNHSSGPQYLTRSLKRHGKTFCYSELNRTSNETSSKQLRRLMTNACEISWPKRRKLNCFSDRILTTPRIRFHQLQYTQEDTCCSSKRSSQITPFEEMQISPSPSGTLLNSDTADADRRSHSEVYHQSKKQCVREDILCLKNKDQIMDVSLPIQHTKGVHSSNLADRNSTTCVLNEIGDFEFTLSQLKEPGTFGDDSDKRLNHVVSKGYCYDIQSVEEKEVFDAEKSLSTYGASLLPNYQDDVVDCDDSMPEFEGFSVGVSPIKRNDICYDSNYLYFREEHVSLDSKQGCSTDLVTPKTRPFENYKINKIADVFQSLPNGLLEQMNLNYSLSGDYSGQYRTSEHDKMSGLCCSLGSVFDCSFDERSYSHSTPSGARFGWAAHKAPLTPPIEKSSLRKISGKSGASSQTVGTNPELVCFRIDENSSTMEDILDKSGTSKEGTRSRGFKVLDNREPLKDVTSKYENAPTLVPPTKMLLDRRDLELGCAYTVDTDQIGDKENQCPSINGNKEGKAVKFLCSRSSKPEINVKTVDGNRSQASTRKGCKPNNIISNISSFIPMVRKKQQATATKGKKDIKVKALEAAEAAKRLEEKKQNAREMRKAASKLERERLEQEKQLKQKQLEEEKRRKAADIAARKRQREEEERKEKERKRRCIEEARKLHREQEERLQAKKEDKGLLSKDVDDDGRKKGLVQEAKQQLKLEKGGVVAGSRKAEEVEPGATKVVISSDDMKGIVQDRMPTTKDNQDFQSYEISPYKDSDDEDGEEENMRRRKYIPSWTRECLDQLLLSQQHQDPSDIFCRKSSFNLSDVLYLSVVRRQPL >Ma03_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26785682:26787921:1 gene:Ma03_g21910 transcript:Ma03_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKSVLAVLFLVACLAAGTGEPSPVTDKTLRRVAHSLKKYVEPLPMMPRIYGYSIKDGRPMSIGLTIGMFEKKWKFHRDLPATTVFIYGTSREAATFPGPTIEAIQGVPLNVTWENHLPKKHILPWDPTIPVAIPKHGGVPAVVHLHGGIHEPQADGSAFAWYTAKFREKGPKWTQTNYSYPNVQHPGNLWYHDHALGLTRANLLAGLIGIYIIRNPFVEAPLGLPAGDEYDRQLVLDDRSFYKDGSLYMNYRGNNPTVHPQWQPEYFGEVIVVNGKAWPYLVVQSRKYRFRILNSSNARYFHLSLSDGLPFTVIGSDVTYLRRPVTTSSILIAPAEIYDVVIDFSESATSTVRLTNSAPYPFPGGDPVSTQSSKVMKFVISPEKTKDVSKIPATLMANYPVANENEGTARRYIVLYEYQSATGEPTHLYINGKRLEDPATETPKSGSTEVWEVINLTEDNHPLHLHLATFQAVRVRELVDLEAFTACMRRMNDAIKCNVKAHAVGQLEAAPEHEKTWKNIAKIKPGHMTTIVVKFKLIDEDAPYPFDATKQPGYVYHCHILDHEDNAMIRPLTLRS >Ma04_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23515557:23517690:1 gene:Ma04_g20790 transcript:Ma04_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKGKEQSEITGRGESEGPQLQSYTGGGDNSRKRKNPFDSSGDGERVTARKSMIVLGDDPKPWRSRRGKTTVETTDKPFYDHYANGSGQWDCSKEGVDSEEVGCNDFWEGMGSTTLGGLEWH >Ma10_p03360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13456384:13485760:1 gene:Ma10_g03360 transcript:Ma10_t03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRVEDVIAFLTARGFSAAAAALRDDLLSSRSPGDAAGDLNLDLDLDVGSALPPLRLPPPSRRGGGEDALPSAASSSSDAFLSLGSSPSELLNPYGVWSPGRARSDDESTDMHSEFGTAREYNSYWYDDQYGGSRNDHFFVRTDSGRLRSEDKFIMSTEGEEQLRKRDNLDFSANDHRYEHDDDGCEGCAEIYSSPFPICDCCRGSKMHDNDEVAEMIRSSSSAVYGRYQILDDQTERLDEFGEDEFQLRRVSEQTVTIVSASDLFHDRIQPEEKESPELGLPEKELRMLDNNVVNASIDSTNCNLICNKDPKGDSYNKEVIFVNETDEEVLQKRNYSTPPFEEKAFKQGANDACGFNDCGTIDREFQDSGTADLKGEDDINDDVHLYSTCEDELEVFDLRIIHRKNRTGYEENKDFPIVLKSVVAGRYYVTEYLGSAAFSKVVQAHDLHTGMDVCLKIIKNDKDFFDQSLDEIKLLKHVNKHDPSDEHHLLRLSDYFYHQEHLFIVTELLRSNLYEFQKYNRESGDEEYYTLPRIQAIARQCLEALEFLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFETDNLFLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELHTGDEGDQVEYLIPEKSSLSHQLQSSDAKFIDFLSYLLQINPRRRPTASEALEHEWLSFSYQ >Ma10_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13456384:13485760:1 gene:Ma10_g03360 transcript:Ma10_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRVEDVIAFLTARGFSAAAAALRDDLLSSRSPGDAAGDLNLDLDLDVGSALPPLRLPPPSRRGGGEDALPSAASSSSDAFLSLGSSPSELLNPYGVWSPGRARSDDESTDMHSEFGTAREYNSYWYDDQYGGSRNDHFFVRTDSGRLRSEDKFIMSTEGEEQLRKRDNLDFSANDHRYEHDDDGCEGCAEIYSSPFPICDCCRGSKMHDNDEVAEMIRSSSSAVYGRYQILDDQTERLDEFGEDEFQLRRVSEQTVTIVSASDLFHDRIQPEEKESPELGLPEKELRMLDNNVVNASIDSTNCNLICNKDPKGDSYNKEVIFVNETDEEVLQKRNYSTPPFEEKAFKQGANDACGFNDCGTIDREFQDSGTADLKGEDDINDDVHLYSTCEDELEVFDLRIIHRKNRTGYEENKDFPIVLKSVVAGRYYVTEYLGSAAFSKVVQAHDLHTGMDVCLKIIKNDKDFFDQSLDEIKLLKHVNKHDPSDEHHLLRLSDYFYHQEHLFIVTELLRSNLYEFQKYNRESGDEEYYTLPRIQAIARQCLEALEFLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFETDNLFLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELHTGDVLFPNDSVAMILARMIGILGPIDEEMLALGQETSKFFTVNYDLYHRNEEGDQVEYLIPEKSSLSHQLQSSDAKFIDFLSYLLQINPRRRPTASEALEHEWLSFSYQ >Ma10_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32786345:32787990:1 gene:Ma10_g24060 transcript:Ma10_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLRSVLQRRNGLLPLFETCRLRDLLFFSSSVDPAAAVGGTISPDPHFMVEYLVNSCGFSPSEAAKFSKPLAHLRSTEKPDAVLNFMRSQGLGGAAIRKVISSEPNYLCYNVETNIAPKFQFLRDLGLSESDIVDVILKNDVILRLDVHRSLVPKLEMWESLLGSRELVLKHLKKTRWFFFSSVKKKLHPNLKFLRDECGIPEERLSLVLRSHPQLISLKPESLRALVARADELGMPRQSRMFMWILDALLMVSKERFEAKVELMRSFGWSESEFSSAVRKNPTFLSISLDMLRRKVDFFINVVGYTTSFIASHPNLLLLSLQKRVIPRFRVWEMLNTKGLWTRRGKFSYFVKLSNTKFQEKIVLPYKEKVPELLDILRAGAEQ >Ma10_p24060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32777781:32787990:1 gene:Ma10_g24060 transcript:Ma10_t24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLHSVLRRNSLLPLFETRRLRDLLFFSSSVDRATAVCGTTSPDPHSMVEYLVNSCGFSPSEAAMFSKPLAHLRSTEKPDSVLNFMRSQGFDGAAIRKVISMKPNYLCYNVETNLAPKFQFLRDLGLSESDIVDAILKNPAILRLDVHRSLVPKLEMWESLLGSRELVLKHLKKTRWFFFSSVKKKLHPNLKFLRDECGIPEERLSLVLRSHPQLISLKPESLRALVARADELGMPRQSRMFMWILDALLMVSKERFEAKVELMRSFGWSESEFSSAVRKNPTFLSISLDMLRRKVDFFINVVGYTTSFIASHPNLLLLSLQKRVIPRFRVWEMLNTKGLWTRRGKFSYFVKLSNTKFQEKIVLPYKEKVPELLDILRAGECEGK >Ma03_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27967426:27969514:-1 gene:Ma03_g23370 transcript:Ma03_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWEEWSWADDRQLFPCLRNLEIGRCPRLKRLPSLPLSLKSLSLCQVGTTEVPRLWTKIDGSSNSMTVSELKLYSLEKVELKDIPECEGLPCLGQLPSLKNLCIERMPAVKKVDDGFFGSRDQGKCFPSLEELTFTDMPEWEEWSWVDDRQLFPCLRNLEIGRCPRLKRLPPLPPPLETLEIDEVGLTKLPGLWEGIHGGGSCITASLSTLTIRKCPNLRNLEEGLLSHSLPNIHDIEIAECAELMWQPVKGFKELTSLAKLAIRSCPKLLSMTRDGDNGILLPPSIEELVLSDCGNLGKLLLGRLHNLTSLTRLEIGDCRCIESLPATPLLHLKRLQYLKFWKCGELRSKDELLLNEGNEQVEGSSVTELCIDDTALFKLSLLRRRLPSVRALRISNFHRATMSDEEEQLLRSLTALRVLEFDDCKNLQSLPRELHALSSLRLLSIIRCPEIQALPEKGLPMSLKNLHFRGCQARLTEQLQKHLAEIKSSGRYEDTWSSLGYGFKKLARWKL >Ma09_p27810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38709284:38712272:-1 gene:Ma09_g27810 transcript:Ma09_t27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLRRTDTNRSLESLLDQEHPAANRELAPPQMVVYPGHGLEFQNLSYSIMKQQKKGGVWIKNEAYLLNDLSGQALRGQVTAILGPSGAGKSTFLDALAGRIARGSLEGSVSIDGRPVTTSYMKRVSSYVMQDDQLFPMLTVVETLTFAAELRLPPSVSKSEKRKRVWELLDQLGLQTAAHTYIGDEGRRGVSGGERRRVSIGVDIIHKPSLLFLDEPTSGLDSSSAYSVVEKVKKIAKEGSIVLMTIHQPSFRIQMLLDRIIVLARGRLIYLGNPTALPEHLSGFGRQVPEGENSIEHLLDVIKEYDETTLGLEPLVLYQRDGRKPNQAARTRIPKTPKTPRTPFHKSTTRIPPRITLHSGQLSDGASTPGVNPNMFEPYDDDDEEDFDKSRERKKIAQTPFGSGGSNPRLASHFYKDFSVWLYRGVTNTPRRQPNWTPALTPGRTPAKTPVSSYYTTARPRTPHDASPIPPPRTPVFISAPPSSIDPDDPEPLDGYEEGAEYANPWIREVLVLSHRNALNVVRTPELFLSREIVLTVMAVILSTLFHRLNGDDFTTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRETSYNAYRASSYVVSTLLVYLPFFAAQGFTFAIITKLMLRLKSSLLYFWIILYASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLMCGFFLKQSRIPVYWRWLHYISAIKYPFEALLTNEFKGDRCYSGSFSDLSPGPLGNISFSDLHRHLKVDCPLIGEDVLSTMDIHFENVWADVAILLAWGVLYRFFFYVVLRFYSKNERK >Ma06_p35920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35613317:35619225:1 gene:Ma06_g35920 transcript:Ma06_t35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYTSLPASHLLGSVPAVIAEDKTTSVASEAASTANLHLFPPSNGGYQAPGTPSGADEQTTSSWQGVFSISSYSSYFNVDTDVVVERIISSVYPMNDFYRKIDGNPDLYGPIWISATLMFMLAALGNFGTYLMKKRNDSSTTWTFDVTYVEWSACAIFGYMLAVPAAFYFLLQYFGFRTSLVRLWCMWGYSLFIFMPICFALALFIKMFFFP >Ma06_p35920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35613317:35619225:1 gene:Ma06_g35920 transcript:Ma06_t35920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYTSLPASHLLGSVPAVIAEDKTTSVASEAASTANLHLFPPSNGGYQAPGTPSGADEQTTSSWQGVFSISSYSSYFNVDTDVVVERIISSVYPMNDFYRKIDGNPDLYGPIWISATLMFMLAALGNFGTYLMKKRNDSSTTWTFDVTYVEWSACAIFGYMLAVPAAFYFLLQYFGFRTSLVRLWCMWGYSLFIFMPICLLLVVPVELFRWIIILLAGIASSWFIAVNLKAYTQSSDLMMLIVGAMVLQFALALFIKMFFFP >Ma06_p35920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35613305:35619346:1 gene:Ma06_g35920 transcript:Ma06_t35920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYTSLPASHLLGSVPAVIAEDKTTSVASEAASTANLHLFPPSNGGYQAPGTPSGADEQTTSSWQGVFSISSYSSYFNVDTDVVVERIISSVYPMNDFYRKIDGNPDLYGPIWISATLMFMLAALGNFGTYLMKKRNDSSTTWTFDVTYVEWSACAIFGYMLAVPAAFYFLLQYFGFRTSLVRLWCMWGYSLFIFMPICLLLVVPVELFRWIIILLAGIASSWFIAVNLKAYTQSSDLMMLIVGAMVLQFALALFIKMFFFP >Ma10_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26856831:26857793:-1 gene:Ma10_g14380 transcript:Ma10_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFRTRFKGKAVRFSRFDICRLLVGTCGRFGGRRGHLHVLDLYATRRGDVINEVAAFDTVYGVSDCCWSESHQSLAVSALTDGTVKLWDVSLPPALNPVSYFCEHYDEACSVDWNPVSDCFLSASLDSQIKLWTLDRSNSSYTFQRHSDSVYSVAWNATDPHVFASASGDRTAGLWDVRDNRCFTVIHDEKTRQLVSCGWNTYNQFHLATASGSSINIWDVRTTRSPLVNFSGHDDTVCRIRFSPHQPSTLLSCSVDNTVRMWDWREGASIARYDLHTDRVHGIDMSVHIEGLIASAGWDNLVHIWRDRPVTATLSRSP >Ma01_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26493169:26499831:1 gene:Ma01_g23050 transcript:Ma01_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSFPSIKIRISPHPSHRLPLRPSTIIRSSKAEGPLRRPAAPSLSPPTPPSSSASSSPLAPPSPAPAQAPLQPVVVTLEYQRRVAKELQDYFKQRKLEEADQGPFFGFLTKNEISNGRWAMFGFAVGLLTEYATGASLVQQLKILLSNFGIVDLD >Ma05_p25600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37479382:37482485:1 gene:Ma05_g25600 transcript:Ma05_t25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLEKELFWGERAQFSNFMVLKPLVMVLMLKISPSSTSFPACVASHGGRGSKSSSSNRVLNAAMATCDIPCVADHMLLSLSAVDDVPQILACLVGGFILTDVAGNHRSLYS >Ma09_p01880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1411041:1411833:-1 gene:Ma09_g01880 transcript:Ma09_t01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLDFRRLDEGLGGQKNKRKRPEPGGGPDSMDVDPLSDAATATATVSLPPPAKRPALPSLEDPEKPAFGRPTYDGIIAGRVSGRKWKQPRAQRTSACAVSLRRPSLDVRTKEKELKRAYKERMAELKEEIRRNKEEKRKRREENEKRKQENTLRSGTKLQKITNPKTLKKIAKSKQRKQLKVVPDELFNKNGGIKNKN >Ma06_p35400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35249607:35250229:-1 gene:Ma06_g35400 transcript:Ma06_t35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRKIGVALDFSGSSKRALQWTIDNLLDIGETLIVIHVLRPKSSSGSKHSDSPPLIPLTEFRELEVMKRYDVEVDIDVLDMLDTASRQKEATIVVKLYNGDAREKLCEAVEELKLDSLVMGSRGLGQIQR >Ma10_p27130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34517915:34519224:1 gene:Ma10_g27130 transcript:Ma10_t27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIEVQNILCELDSSRNTNCGVGRYQKYDPSLQMGKSGSRTKTELLPLECRRRESRTGETRRAPTRSEQCDQLPKQLRGTLNKIPVHSDLNQAVSALRPRLKVVNPNIRYPRGDSLGHFLVWVSLIPVFISFGGFISHFIFRRELQGLCFALGLIISQVINELIKSSIQQSRPCAVLEVCDSHGWPSSHSQFIFFFSTYFSLPCLINGVGVSSPSSRRIIGLLPWPSAFLTLYSRVYLGYHTVPQVLAGSTLGLLLGAGWYSIVDTMLVDFFPAVEESAIGRFLYTKDSTHIPNVLKFEYDNARAARIERTPGLYHWVVTMTLRSSFYNIMD >Ma11_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26438420:26440864:-1 gene:Ma11_g22820 transcript:Ma11_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAVEVASCLVLGKGKVERRRWCTRYLFPSHPLPAYNTSSFPSPSPSFLLTATEALFSELPTGAAMAVASSRGRMETMRQWRGRETSPERTKVWKEPKPKKVPVVYYLSRNGQLEHPHFMEVTLSSGDGLYLRDVIDRLNFLRGKGIADLYSWSSKRSYKNGFVWHDLSEGDLIHPAHGHEYVLKGSELPQIISSPSSQDTIASEKTLSIRKSVDEDPEILQIGRKKAPWGSFDINEYKVYKTAVPAQTGGLMAADASTQTDDRRIRRRAASTRDAGGRAEITELDSDEISPPPSSSSPETLETLIKADGRAVVGTEDHDRTVGRYASGRMRASAVLMHLLSCGSINVKDQQGLSLSPPTQPASQCKERAAPRGGSDLEADGLMPGNGFAGIRLEDKEYFSGSLIETKKKTSDGRAELLALKRSSSYNACRSSKLEPTEKEIEGVRAKCIPRKRTNPTERREATVPISRGCARIEDEPHEE >Ma03_p29180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32181764:32183249:1 gene:Ma03_g29180 transcript:Ma03_t29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWDKAVAFPVKRACVAVAAAAARVKAPNPSGGIQKLRDDVQMCGYQDVQVMWEMVRRSEMELSNKRKRCKRLLWRLPTWSNRTSSTDLMDPH >Ma10_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27736438:27738714:-1 gene:Ma10_g15760 transcript:Ma10_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSATARVPRLWRPAMEKLARRSPRFFSDDRGRILSEEERAAENVYIQKMERERMEKLRRKAEKERLEAEKAKSEKRSEEPHKG >Ma10_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28903758:28908078:-1 gene:Ma10_g17560 transcript:Ma10_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNEIIGVSPLGRSSFLPGNRSVLLGSKQNKLCFASPALLEQRRKGRLPSMKKAVATPVVATISEEVVKLVVGKQQQKLKVRAALTVRRKLKEDLKEVIVNQLDALSDKIGRNVVLELISTEINPRTKKPNKSGETVIKDWYEKKNVKGERVVYTAEFDVDSAFGEPGAITVVNRHQKEFFLEGVVIEGFACGPVHFPCNSWVQSTKDLPTKRIFFSNKPYLPSETPAGLKELRKKELNEQRGDGNGVRKRSDRIYDYATYNDLGNPDRGIEFARPTLGGKKIPYPRRCRTGRPPTDTNMVAESRIEKPHPIYVPRDEAFEELKQGAFSAGRLRAVLHNFIPSLIASISADNHDFQGFHHIDNLYKEGLVLKLGLQEHLIKKLPFVRKIQESSEGLLRYDTPRILSKDKFAWLRDDEFARQAVAGINPVSIERLQAFPPVSKLDSEIYGPPESAITEAHISGQLEGLTVQQAVEEGKLFVVDFHDIYLPFIERINAMDRKAYATRTLFFLNPIGTLKPVAIELGLPPAQPGASRPSMVLTPPRDATTNWLWMLGKAHVCSNDAGVHQLVNHWLRTHAVMEPFILAAYRQLSAMHPVFKLLHPHMRYTLEINALARQSLINADGVIESCFTPGAVCMEMSAAYYKHHWRFDLEGLPADLIRRGMAVEDPTQPHGVRLVLPDYPYADDGLLLWSAITSYVRSYIQLYYPDSDPGRIRSDSELQAWYHESINVGHGDHRDAPWWPPLDTSDDLVAFLTTLIWLASAQHAALNFGQYPLGGYVPSRPPLVRRLIPDPERDGDEYRAFLADPHRFFLSAMPSVLQATKFMAVVDTLSMHSPDEEYLGGTREGAAAWTADKEAEAAYRNFADEVAAAEEEIKKRNVDPTRRNRCGAGVLPYELLAPSSPPGVTGRGVPNSVSI >Ma07_p25660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32660988:32663355:-1 gene:Ma07_g25660 transcript:Ma07_t25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGEAPSRGSAAAAASMRRRRTGGAGAAAGANTMLQFYTDETAGRKMSPNTVLIMSIGFIAIVAVLHVFGKLYRVPN >Ma07_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31387114:31390552:-1 gene:Ma07_g23900 transcript:Ma07_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEPDREEEAAAAGEDEDTGAQVAPIVTLSEVAVTTGEEEEDALLDLKAKLYRFDKEGNQWKERGTGSVKLLKHRESGKVRLLMRQVKTLKICANHLVLPSVKIQEHAGNDKSCVWHASDFADGELKEEMFCIRFGSVENCKKFMETVEGIAESSENNEEKESKDASDAAGLLDKLSVHESKTEKAPEEVPAAAVKADNETEK >Ma11_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9305376:9308158:-1 gene:Ma11_g10050 transcript:Ma11_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHISSAPPISAFHHLLCFFFHDTYPEMEYASSLQRQLASMKKNLFDQGYLDEQFYQLEELQDESSPNFVEEVVTLFFRDSSRLVANIDQALQKYPQDFRRLDNFMHQLKGSASSIGAAKMKNECTSFREFCNKENREGCLRSFQKVKKEHAVLKQKLENYFQLLRQDGPVDKATRSGN >Ma07_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1244356:1246607:-1 gene:Ma07_g01600 transcript:Ma07_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g42920, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42920) UniProtKB/Swiss-Prot;Acc:Q9SJG6] MLPCTSALPSSTSICKFISDHTLLSMLETKCNSMRDLHRLHSQLIKTGLARDHIAISRVLAFCATSPNGDLNYAMRLFFHHHQPNAFMYNTLIRGLSHSSTPHLAISLFLDMLHSPIPPQRLTFPSLFIACSHLGLAAHCGAQLHGMAFKLGHASDPYVRNSMLSMYANCGCISMSSRLFDECSSFDVVRWNSMITGLAKNGQIDKSRYLFDEMPMRNLVSWSAMISGYVRSGRNDEALHLFYRMQEEGLKPNANILVSLLGACGSLGALDQGEWIHQYIRRNDEFVLDPIVTTAIIDMYCKCGSINKALEVFENSSTKGLSSWNSMIHGLAMHGHSEAATLLFSRLESSNLRPDDVTFLNILTSCSHAGSVDEAWHYLSLMIDEYGIEPGTEHYGCMVDVLGRAGLIEEAEELMRRMPMEPDSVMWGSLLSAGRVYGDDDASRRAGRQVIQLDHLDSGGYVVLSNVFAGAGDVGNANEARTRMKERGVRKETGCSMIEVNGVAHEFVAGGVLHPEAEQVYETLERLALELRGQYNVELGILDTLE >Ma06_p37710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36694438:36703158:-1 gene:Ma06_g37710 transcript:Ma06_t37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSSCGGGERSGGGRRKGRMWEFQRDVVAGAVMGGMVHTVVAPIERAKLLLQTQESNAALLGRGRRFRGMIDCIARTVREEGVLSLWRGNGTSVLRYYPSVALNFSLKDLYRTMLKRGEADQFMSIAASNFMAGAAAGCTTLVIIYPLDIAHTRLAADIGRTDSRQFRGICHFLRTIYKKDGIRGIYRGLPASVHGMIVHRGLYFGGFDTAKDLLLPQDSALWKRWVTAQAVTTMAGLASYPLDTVRRRMMMQSGLEEQMYHGTLDCWRKIYRLEGLTSFYRGAVSNMFRSTGAAAILVLYDEIKKFMEWGF >Ma06_p37710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36694655:36703158:-1 gene:Ma06_g37710 transcript:Ma06_t37710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSSSCGGGERSGGGRRKGRMWEFQRDVVAGAVMGGMVHTVVAPIERAKLLLQTQESNAALLGRGRRFRGMIDCIARTVREEGVLSLWRGNGTSVLRYYPSVALNFSLKDLYRTMLKRGEADQFMSIAASNFMAGAAAGCTTLVIIYPLDIAHTRLAADIGRTDSRQFRGICHFLRTIYKKDGIRGIYRGLPASVHGMIVHRGLYFGGFDTAKDLLLPQDSALWKRWVTAQAVTTMAGLASYPLDTVRRRMMMQSGLEEQMYHGTLDCWRKIYRLEGLTSFYRGAVSNMFRSTGAAAILVLYDEIKKFMEWGGF >Ma06_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3122558:3128015:1 gene:Ma06_g04330 transcript:Ma06_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAVTAASIGSVCAQPSLGARSKPSGLSFSCSKTINVFSGLKASSSVVIEADTSFLGKEGIASLQASFVPKIRPKQVFSNQLQPRASSFKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNTPAKVLDFTGQSELANCLKGMDVVVIPAGVPRKPGMTRDDLFNINAGIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANAFVAQKKNLKLIDVDVPVIGGHAGITILPLLSKTRPSATFTDEEVEKLTLRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECCFVESELTELPFFASRVKLGKNGIEAFISADLQGLTDYEAKALEALKPELKTSIEKGVAFVHKQAATASAAASAN >Ma05_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8698192:8701051:-1 gene:Ma05_g11920 transcript:Ma05_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHLHRQSILQFLLYLFTCFSPPIACDELPKAACEPPGYASFPFCNTSLPVHARARALVSLLTLPEKIQQLSNGAAAVPRLGLPAYQWWSESLHGVASNGPGVAFGGPVRAATGFPQVILSAAAFNRTLWRALARAIAVEARAMHNVGQAGLTFWAPNINIFRDPRWGRGQETPGEDPLVASEYAVQYVKGFQGEYDGGGSSSSMMLSACCKHYTAYDLEKWGNFTRYTFNAQVTEQDMEDTYQPPFRSCIQEGFASCLMCSYNQVNGVPACARGDLLERARKEWGFQGYVTSDCDAVAIIYEDQKYSASPEDSIADVLKAGMDINCGTYMLRFTESAVKMGKVQEEDIDRALLNLFSVQLRLGLFDGVRAKKRFGWLGPDSICTAEHRQLALEAARQGIVLLKNDRGFLPLRKHEVSSLAIIGPAADDASIYGGDYTGVPCDPITFLDGIRSYVPRTSSAPGCIDVPCKSKDGFEEAVTAAKFADVVIVVAGLNLTEETEDHDRVSLLLPGKQMDLVRAVAGASKAPVVLVLMGGGPIDVSFAADDPLVASILWIGYPGEVGGQALAEALFGDANPGGRLPVTWYPESFTDVPMNDMNMRADPSRGYPGRTYRFYTGKAVYEFGDGLSYSSYSYKFLRAPQRIRLSGSSAESYIDREPPYATKDGRDLLGVEEVSSCDALRFRVQVSVVNKGDVDGSHAVLLFWRPRAIGEGSPRKQLIGFERVHATAGGEAEAEIVVDPCKHLSVVNEKGRRLLPLGAQVLTLGDLEHQLLIEA >Ma07_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24801475:24801962:1 gene:Ma07_g18220 transcript:Ma07_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMKMSSHGRVSQRGGLSRMLREQKAKLYIIRRCIVMLLCWHD >Ma03_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4618002:4619030:1 gene:Ma03_g06710 transcript:Ma03_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKDSRKDVILRFQQHNLQQHQGRQQQQLWKECLPDEVVRARSSGEINEPKADVEHDSQKAVVVVNGSSGASCDGGGDGAIFEVVKRRRGRPLGSKNKPKPPVVITQKAETPAAMRPHLLEIPAGKDVADAIARFARRRDLGLCVLAGTGSVANVTLRQSNIGGVPTAAAATIGFHGRFEILSISATFLSPAVAALSPGISGQMSISLAGPQGQVMGGLVVGPLLAAETVVIVAAAFLNPTFHRLPAEDDASLSISVFGGDVGAAGEVEEQEQHLHHQNQQHQQQEHQQQRNHGSVAASSSEPCGVSISSRHLSSSVILAPISRPPRPSSPPPLPPYQYYS >Ma10_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7541388:7541741:-1 gene:Ma10_g02260 transcript:Ma10_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHWPWFHHAYNNKPKRHEVSPRRVRRPFLLCRRSFFLTAACIHWFRWRDVKLSDFENAKHRTYVDLRVINNTTTNSGMFDYVLFMFPLCT >Ma05_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25534488:25538961:-1 gene:Ma05_g18900 transcript:Ma05_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSSTAILPEAFQGARDDITEQLGVVWQQIKAPVIVPPLRLSVLLCLAMSVMLFVEKVYMAVVIVLVKLFRRRPETRYKWEPMGDDAELGNAAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKELVQIECRRWASKGVNIKYEIRDNRNGYKAGALKEGMKHNYVKQCDYVAVFDADFQPEPDFLWRTIPFLVHNPQIGLVQGRWKFVNSNECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFIFLGDLKVKSELPSTLKAYRYQQHRWSCGPANLFRKMAMDIARNKNVTLWTKVHVIYSFFFVRKIVAHIVTFVFYCVVIPATVLVPEVEVPNWGLVYIPSTITLLNAVGTPRSLHLLVFWILFENVMSLHRAKATLIGLLEAGRVNEWVVTEKLGDVMKTKVAAKAARKPRIRIGDRLHLLELFTGAYLFFCGCYDLSFGKNHYYLYLFLQAITFFVVGFGYVGTFVPQT >Ma05_p18900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25534544:25538961:-1 gene:Ma05_g18900 transcript:Ma05_t18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSSTAILPEAFQGARDDITEQLGVVWQQIKAPVIVPPLRLSVLLCLAMSVMLFVEKVYMAVVIVLVKLFRRRPETRYKWEPMGDDAELGNAAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKELVQIECRRWASKGVNIKYEIRDNRNGYKAGALKEGMKHNYVKQCDYVAVFDADFQPEPDFLWRTIPFLVHNPQIGLVQGRWKFVNSNECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFIFLGDLKVKSELPSTLKAYRYQQHRWSCGPANLFRKMAMDIARNKNVTLWTKVHVIYSFFFVRKIVAHIVTFVFYCVVIPATVLVPEVEVPNWGLVYIPSTITLLNAVGTPRSLHLLVFWILFENVMSLHRAKATLIGLLEAGRVNEWVVTEKLGDVMKTKVAAKAARKPRIRIGDRLHLLELFTGAYLFFCGCYDLSFGKNHYYLYLFLQAITFFVVGFGYVGTFVPQT >Ma09_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29314908:29326286:-1 gene:Ma09_g20420 transcript:Ma09_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRYVGVDEGGQLSSSLTIIYTIDATKMLLKLLHNLSLHTHHVIKKGWTKKADEDFSLCLLRWRKKPSPDISTLRVWNSVTPPVSPKLGEHEPLSSTNHGTLSAISSGLLVDTNLDTSAPNTYQAPPAPLPYDLGLASSQTLARAVDSCGSKNDHIQLANSQTTGETNDTLKTSDCKNKTDCEQNSRRITEDEVSKPIISPTEEDVCPICLEDYDVQNPRVMTNVNTISICLVFLNGWREVIPVRSVIKIYYFNLYLQVQFHLFLCSIGILHLYLCL >Ma04_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8472947:8480218:1 gene:Ma04_g11880 transcript:Ma04_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSSRPKDAAGNRRRPGNVGEVAVFVPGLRVPKDVDFFQSLGDILPRSLVERLSALRTRIVVMAAQEAPTVTKPRRKTATQHGGSSLADLLQALEDYLPVLLGLVKDGSQLTDKVQFVWANQEDNEEETKMANAWYEVLSVLHLMAMPCLSEANSLLLPKIFSDGRQLNVSEDSRRNSIDILLKAAGYLDCAIQHVFPRLPPDLRKDLPVDLAEGVLQSLRMQAMGQSIDLQLRMAMGSPRATLAVKRRLACEMLKCWHEAENNITQYPLTEGWGGKHQLFIKWKHVEAKAAAYYFHGLILDEGNTEKSHRMAVNALQVAEEFLKESKRASEAFNMMPPTSRNPPPSGSMKYLHEKIPKDVSSKVRMNQDLYSQDRILERAPTLPDFAVALKPDEYQLTALDPSWN >Ma06_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7746371:7747908:1 gene:Ma06_g11010 transcript:Ma06_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPPPPPPPYGHPFVPYPHPPTPPPQPPSKSNRHQQGEEAAAEDEKRTIWVGDLQYWMDENYLLGCFVHAGEVVSIKVIRNKQTGQSEGYGFVEFHSHATAEKILQSFSGHLMPNTDLPFRLNWAPFSMGNKRSGLTFDHSIFVGA >Ma06_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9351915:9352600:-1 gene:Ma06_g13640 transcript:Ma06_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPRHYSALSFLFPLFFTLLAAAVAFPVTSEFELGPQNRTYFRVYFHETFIGPDNTTLNVVKENRPNGFGDVFVFDTVLRVGPEANSTYVGKAQGVTFGVSRRDVSLLIPLLLVFTEGEFANSTLTIIGKMDGAGKADRAIIGGTGRFRFAWGNAVSEVISSSAEGLIAVFDVYVVHHAGVRPYP >Ma05_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6431853:6432992:-1 gene:Ma05_g08710 transcript:Ma05_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPAEQISEFQEAFCLFDKDGDGCITLQELATVIRSLGQDPSEDELKCMIKEVDINGNGTIEFAEFLNLMARKIKETDTEEELREAFKVFDKDQNGFISAGELRNVMMNLGEKMTDEEVLQMIKEADTDGDGQVNFEEFSRMMTAA >Ma03_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1732146:1736532:1 gene:Ma03_g02560 transcript:Ma03_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g38010 [Source:Projected from Arabidopsis thaliana (AT4G38010) UniProtKB/Swiss-Prot;Acc:Q9SZK1] MNVASAHPLQSALLCLLRQRKRIRSFDQIHALLLTSGVTKDSFVAAEVAALLPAFVDPPAAYSAVKHIHGVRRYQFPLLFNSLISGYARSKHPHLGIVAYKLMLADSVFPDRYTFPIVLKSCIKFFGIGEARQLQGAAVKLRFSCDLFVQNAFVHVYAVCEEYGDAGLLFDEMPIKDVVSWTSLISGYVKGGLFGKALQLFSLMDVEPNIATLVSIIVACGRLGALNVGRAIHALILKHDYGLSLVAGNALLDMYLKCECLEEARQIFDELPERDIVSWTSFISGLVQCKLPKEAMDVFNSMQASGVEPDNVTLCSVLSACASLGALSSGRWVHEYIERKGIQWDVHLGTAMVDMYSKCGCVDMAVHSFHEMPHKNVTSWNALLGALATHGHGNEALKYFEQMAGVGVIPNEVTFVAILCACCHSGLVKEGCHMFDLMTKSYKLVPWIEHYGCMVDLLGRAGFISEAYGLVRSMPIRADTYVWGALLSACKAHGNVELSQEILCHLLELEPSDSGVYVLLSNIFAADCRWADVTRVRRLMRQSSVKKETGTSIVEVNGEAHEFIVGEFLHPLKEDILLALCTLDKQLQHGDL >Ma01_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16540004:16544523:1 gene:Ma01_g20070 transcript:Ma01_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFLSDLLTEVLIPVAAVVGIVFALVQWLLVSKVKLSPEGESSGTGNGKNGYSDYLIEEEEGLNDHNVVVKCAEIQSAISEGATSFLFTEYQYVGIFMAVFAVLIFLFLGSVEGFSTKNQPCTYNKDKTCKPALANAIFSTLSFLLGAFTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYISINLFKLYYGEDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTAMLYPLLISSMGIIVCLVTTLFATDFFEIKAVKEIEPALKWQLIISTVLMTVGIAIVTWVALPSSFTIFNFGVQKTVKNWELFFCVAIGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRATISTVDVLTPKVFIGLLIGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNNIPGIMEGSAKPDYATCVKISTDASIKEMIPPGALVMLTPLVVGTLFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKYL >Ma04_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11284907:11286041:-1 gene:Ma04_g14880 transcript:Ma04_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLGTSLETTEVADYGGPIGTGPSFPPGRGSSLGRLVGDPRLRTCTKRDSGLDSTPSSSEVDDLERVVPGTYCSWSPRPSEAAAQGRCKKSSSTGSSLQWRIRDLMARRSQSDGKKKGMFLAAKERRGHKKKAEKGNPPRSHRSESEVAVKEGKEEKNGRGGNGGVKAGQWDIVTAHRVYNGKGGEQAARRGPRQLFLPYKRNVFGFFADVNGIARRCHPC >Ma03_p12740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9789694:9809275:1 gene:Ma03_g12740 transcript:Ma03_t12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSPHLSGRSRYDNHAPPSHQFVDPNYYPRQTHHAASFLPPLPPPQPYQNQNPPRRHERDFRAPVEDGGHLFLPYPHPHDPFLQPSPRITDRLPLPEDRYPSCRPHLLGPGEGFVQDPRECTVLDANKFRVVDESQDLIRLRWEEDDRRRLASSCRGNFYPEDLDGGSVGRKRMRWAQDPDHEENSGGRSQRHIQLWNGEVGHQHRAFPESLPGASLGAAYTSSPRGTRHFVATDGNREAASVIEWRQQQLPLMRSYSDRGREDRREVASIMERHLQPPLPSMQPSAHSYSDGDREFLENNNADVAYEAPIAGAVYGSQENYFRGNRGKRKMCGGANDYSISHSPIKQPTKRPSALSRIQSGISVWNRIQEKPSFLSSPSPAPFSPSSNAVQHQAEMGVPDFSFKSNNLAGQVLASPSLAVSFDGTDPEESMPKKTVKKKKLVRKWEEFAVPTDPGSSVSAVKDGIPQEPILDTPRKVLNDLKLSGKQGASSIKPANDANSQTCSTKVLGTGKKTPNCIAIGEVNDSNCHSAEISEFRLANSTNSEHCQADTNATEKRISENLSISVSVFKAGGDDSDKEKKFINLGENDGQNCTSPPVDIGAVNDASSRPFDQGAPEAAPESGTEQLPSAIFLDENIISDNHDNQDIDGPDDDEKCGCPDNGFVPSSSEHSSSSPLGSDEQFVMPVDHISSTFSKDDSIEKNLKSKKKTDEDQLTEVNKGAKEEFKDFGYGEITNADAYLHSEMQNVSADLPPLLKDVVAGEYHPETAIFEKDDGCSIHAHVEIPQSDCLRPGGKSLAICSFIMSETQDASHFTVPEQLHPGLPDSYGCANFNNCCDKQDQKLSKEDNCSLPVKGSLVSEAEGVKSDEDINCKTILGKQKNLLSHDSEKQKITESKPVNGQVHLGQKTFLSSGVPKVVPSRANLVTSLKESAHSRHNLRNKTWRRNDASSSSSQVVLQSQRVGSLCKQSPKKPGKLQSSYVRKGNSLIRKPSIEFPPQPSHTLGISSKLSKDNMEKSMNFESKDTTNDGFTCSNTSFERPKTPPLPLGTKLSNSTADPLLEAPHPLSENSIREVRTEVHSRPLDLASCSVDDQNVKIDETSDPLCTKSMVYVKHKSNQLVAASGPKPTDSANPSLDKAQTFPSSASSDLYYRKKKNQLVRTIYSSDGQNKQDMVHAENTSSDEKKVPIFTSNRSSSSLLKKKLNKVREKQLKHFSFSRVWTLNGLEPHKKGASPLTRLKVLPHLFPWKRTTYWTNNSSVLKRRSLLLRSQKMLLTRDIAYTLSTDGYSLQKSGVSQVGRCSLKWSRSMQRRSKVVNKEAALAVAEVERKKKEREKLASVSDHKNEHLCAIDQGVRNSRKISLSQRKLLGGNNEYIRIGNGNQLVRDPKKLIRILASEKVRWSLHTARLRLAKKRQYCQFFTRFGECNKKGGKCPYIHDPTKVAICTKYLSGSCSNANCKLTHKIIPERMPDCSYFLQGLCTNTSCPYRHVNVNPNASVCEGFLKGYCVEGDECHKKHSYVCPLYKATGKCLQGSKCKLHHPKIKNKCKAKKGSTVQSSSWGRYFGSSISDVGKSLAVSLDNEDNKKVEDLFCFGGRFADYISLSLDNHDGESSYVASKDLHQSEFSSGNSLMQKDNLDALIKPIRIMRKDYSMPLSADSSYNYNNQ >Ma03_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9789694:9809290:1 gene:Ma03_g12740 transcript:Ma03_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSPHLSGRSRYDNHAPPSHQFVDPNYYPRQTHHAASFLPPLPPPQPYQNQNPPRRHERDFRAPVEDGGHLFLPYPHPHDPFLQPSPRITDRLPLPEDRYPSCRPHLLGPGEGFVQDPRECTVLDANKFRVVDESQDLIRLRWEEDDRRRLASSCRGNFYPEDLDGGSVGRKRMRWAQDPDHEENSGGRSQRHIQLWNGEVGHQHRAFPESLPGASLGAAYTSSPRGTRHFVATDGNREAASVIEWRQQQLPLMRSYSDRGREDRREVASIMERHLQPPLPSMQPSAHSYSDGDREFLENNNADVAYEAPIAGAVYGSQENYFRGNRGKRKMCGGANDYSISHSPIKQPTKRPSALSRIQSGISVWNRIQEKPSFLSSPSPAPFSPSSNAVQHQAEMGVPDFSFKSNNLAGQVLASPSLAVSFDGTDPEESMPKKTVKKKKLVRKWEEFAVPTDPGSSVSAVKDGIPQEPILDTPRKVLNDLKLSGKQGASSIKPANDANSQTCSTKVLGTGKKTPNCIAIGEVNDSNCHSAEISEFRLANSTNSEHCQADTNATEKRISENLSISVSVFKAGGDDSDKEKKFINLGENDGQNCTSPPVDIGAVNDASSRPFDQGAPEAAPESGTEQLPSAIFLDENIISDNHDNQDIDGPDDDEKCGCPDNGFVPSSSEHSSSSPLGSDEQFVMPVDHISSTFSKDDSIEKNLKSKKKTDEDQLTEVNKGAKEEFKDFGYGEITNADAYLHSEMQNVSADLPPLLKDVVAGEYHPETAIFEKDDGCSIHAHVEIPQSDCLRPGGKSLAICSFIMSETQDASHFTVPEQLHPGLPDSYGCANFNNCCDKQDQKLSKEDNCSLPVKGSLVSEAEGVKSDEDINCKTILGKQKNLLSHDSEKQKITESKPVNGQVHLGQKTFLSSGVPKVVPSRANLVTSLKESAHSRHNLRNKTWRRNDASSSSSQVVLQSQRVGSLCKQSPKKPGKLQSSYVRKGNSLIRKPSIEFPPQPSHTLGISSKLSKDNMEKSMNFESKDTTNDGFTCSNTSFERPKTPPLPLGTKLSNSTADPLLEAPHPLSENSIREVRTEVHSRPLDLASCSVDDQNVKIDETSDPLCTKSMVYVKHKSNQLVAASGPKPTDSANPSLDKAQTFPSSASSDLYYRKKKNQLVRTIYSSDGQNKQDMVHAENTSSDEKKVPIFTSNRSSSSLLKKKLNKVREKQLKHFSFSRVWTLNGLEPHKKGASPLTRLKVLPHLFPWKRTTYWTNNSSVLKRRSLLLRSQKMLLTRDIAYTLSTDGYSLQKSGVSQVGRCSLKWSRSMQRRSKVVNKEAALAVAEVERKKKEREKLASVSDHKNEHLCAIDQGVRNSRKISLSQRKLLGGNNEYIRIGNGNQLVRDPKKLIRILASEKVRWSLHTARLRLAKKRQYCQFFTRFGECNKKGGKCPYIHDPTKVAICTKYLSGSCSNANCKLTHKIIPERMPDCSYFLQGLCTNTSCPYRHVNVNPNASVCEGFLKGYCVEGDECHKKHSYVCPLYKATGKCLQGSKCKLHHPKIKNKCKAKKGSTVQSSSWGRYFGSSISDVGKSLAVSLDNEDNKKVEDLFCFGGRFADYISLSLDNHDGESSYVASKDLHQSEFSSGNSLMQKDNLDALIKPIRIMRKDYSMPLSADSSYNYNNHNGYGCSCG >Ma01_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19674044:19676284:-1 gene:Ma01_g20870 transcript:Ma01_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMARSGLRSASFLLRRGAKSNAVAPAKRSFSASAHDDAHETAKWEKITYAGIVTCTTLAIYNLSKGHPHHEEPPAYPYLHIRNKEFPWGPDSLFEKKHADH >Ma03_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:879597:880896:1 gene:Ma03_g01130 transcript:Ma03_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIDMGRSTPRDSPSSPFSSSSTRRHFQWRINKCPKEGAAERKERDDQPDEEKAAPSFLASSSSSSCPCREETAAAAVPKKKRTASVADAAVSRLRSLLAAAPFLRRNRMAGLGAHVTGTLYGHRRGHVHLAFQADSKSCPVVLVELATATSALVREMASGLVRISLECERKSGGGGEKAETTTTTATRLVEEPLWRAYVNGKKCGFAVQRDCGPADWKVLRAVEPVSMGAGVIPGDGGSTDRDVMYMRARFERVVGSKDSEAFYMMNPDNNGGPELSVYLLRV >Ma08_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35899771:35900013:1 gene:Ma08_g22260 transcript:Ma08_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFLGRAGSENCLISVLEVCLLSQMEQCGELFWLLLEEHMRTWMIEQSSGR >Ma11_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5954873:5956780:-1 gene:Ma11_g07490 transcript:Ma11_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESYRHVILLLLSCCCFFVSFASYPVAPSGFDGVRKLVLCLASAGVDNYTVPTADPLISDTSLYYLFLNFSIQNLRFAPRPGLARPAAIVLPGNRTHLRSTVLCCRAAGFGVRIRSGGHSYEGLSYSEDSGVAAPFVVVDLMRLNRVRVDPESRTAWVESGATLGETYHAIAASSDSLAFSAGSCPTVGSGGHIAGGGFGLLSRKYGLAADNVVDAVLIDADGRVMDRESMGEDIFWAIRGGGGGGWGAVYAWRIQLLPIPARVTGFIVNRPGSTRLVAELVHKWQLVAPSLPDEFYLSAFVGAGLPELDRVAMSATFKGFYLGPKSEAVSIMARSFPELGLVDTDCHETSWIESVLFFSGLPNGSTVSDLKDRILRGKKSFKAKSDFVRIPISKSNLTRAFDLLSQEPKAYLIMDPYGGAMARIRSDHIPFPHRSGNHYLIQYMIEWTSEEEASSEQHLEWIRGYYNHMGDYVSKGPRAAYVNYLDLDLGTNRWTVGMGDLNDRTADARSWGEKYFLANYDRLVRAKTAVDPYDVFNNAQSIPPNSSEQKDMISRHIATEVHIS >Ma07_p26190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33086828:33091115:-1 gene:Ma07_g26190 transcript:Ma07_t26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHRILHPRLLFPPFVSFSALPAGSLRSGHPLLLLASGRRNPVSLLARSHSLSTVAQLAVSTPLEVDAPILRQIADEEDGGPQVQIPFDKLFVPPGVDLAEAASMASGRVLRGSNIVLGPYARDAQVATAEFIKSSTKTEECPTDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDSWYLVDLPGYGYASAPQEARANWYEFTKNYFLNRESLVSVFLLIDASIPAKKIDLEYASWLGQNQIPMTLLFTKCDKRKKKKNGGKRPEENVEDFQKLIREYFKEAPPWIMTSSVTNQGREEILLHMAQLRNYWLKH >Ma03_p33670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34942745:34944869:-1 gene:Ma03_g33670 transcript:Ma03_t33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCPAADTIQPVLDDFSFYISFFQDSSATASPSPPPSSSDMPKGRRRRDTGAATAGNERRRKRRKIANLLTSIASIDVQHESQRRESDDDWELSLEENHHQKAEAVLDHYCRLEESLTALENDAGTLRSKRIRLTASASAASASAEGEGEADAARGIGGHQQRRLWVKDRSQAWWDRCNHPDFPEAEFRLAFRMGRATFDMICEKLGSAVAKEDTTLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCNAIKTLLMPRFLQWPDDDDATQAKSRFEAPSGIPNVIGSMYTTHIPIIAPKVSVASYFNRRHTERNQKTSYSITVQGVVDPDGVFTDVCIGWPGSMHDDQVLEESALYRRAATGILKNTWIVGSSGYPLMDWMLVPYTHQNLTWTQHAFNEKIGEVQRIAREAFTRLKGRWTCLQKRTEVKLLDLPVVIGACCVLHNICERRKEEMESGLEYELVDDEMVPENSIRSVSASQARDNIAHNLLHHGLAGTAFF >Ma11_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23376303:23378191:-1 gene:Ma11_g18280 transcript:Ma11_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASCLHRNGPGLGSSRAASCVGSQGQEPNQEAYSLQGCFVSFPDPRLFRSEYKAAVLRGKWAIIITTTITMKVLVHMMIPSWPAVAAHAFW >Ma09_p28430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39194963:39195378:-1 gene:Ma09_g28430 transcript:Ma09_t28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKIACAVLVAAATATTALAAEGPAPGPASAASFAAAPAVGVAIGASVLSFFAFYLQ >Ma05_p27230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38621181:38621946:1 gene:Ma05_g27230 transcript:Ma05_t27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASVEHDFFGIGGGGSSKKPTMGRMKSFREIHGEISRMSPDVIKSVIAASSTAVEKTKTAFAAATTRLPVPNPDSSFRHPKMGTAAPAVVAPLTIFYNGAVTVFDLPQEKAENILKLVEAEIIEGVDASPTEPVASQEQDGGGKLADQPTQY >Ma01_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1117918:1119443:1 gene:Ma01_g01610 transcript:Ma01_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLWASSAANALRISCSGARTPFPAFSISRCFSSVIDGLKYTSSHEWVKHEGSVATIGITDHAQGHLGEVVFVELPESGVAVAKGGSFGAVESVKATSDVNSPVSGEVIEVNAKLTETPGLINTSPYEDGWMIKVKPSDPSELNSLMGSKEYTKCCEEEDAH >Ma09_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32171793:32175108:-1 gene:Ma09_g21290 transcript:Ma09_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPWCLLLLLLGLSWTQASGHDYRDALAKSILFFEGQRSGKLPPEQRAQWRGDSALSDGSEAGVDLTGGYYDAGDNVKFGFPMAFTTTMLSWSVVEFGELMPAEELQNAAVAIRWATDYLLKTVSHPGLVFVQVGNPVRDHSCWERPEDMDTARTVYSVSAERPGSEVVAETAAALAAASMVFRDDDPDYSRELLENAVRAFEFADTYKGAYSDDPGLKAGVCPFYCDFDGYQDELLWGAAWLRRASQNDSFLSYIQNNGKTLGADDNINEFGWDNKHAGLNVLVSKEFIEGQVLSLESYKDFADSFMCTLIPESSSSHIQYTPGGLLYKPGGSNMQHVTSISFLLLTYAKYLSKSSQTVNCGSIQVGPASLQLQAKKQIDYILGDNPMKMSHMVGYGDRYPERIHHRASSLPSVSSHPQFIACKDGSDYYKSPDPNPNPQIGAVVGGPGDDDIYEDDRADFRKSEPTTYINAPLVGALAYFVANPDAGLVLT >Ma06_p37210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36329362:36330866:-1 gene:Ma06_g37210 transcript:Ma06_t37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAICWTSSATKFILLLSDEEMRSRCFKIWICVSRICKLREVRH >Ma09_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1515408:1519619:1 gene:Ma09_g02080 transcript:Ma09_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGLGKMSDFLLPTLSRKRDVDCIIRDTLDKVLVLRFGRAADPLCLHFDEILSKTSREVSKFATIALVDVDSEEIQVYVKYFDITLVPSTVFFFNAHHMKMDSGTADHTKWVGSFHSKQDLIDVVEAIFRGAMKGKLIVSCPLPPERIPRFQLLFKDV >Ma10_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30172325:30174762:-1 gene:Ma10_g19710 transcript:Ma10_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSTAPTAVSVGASAPGYPNSVDSSPRSGRGDSLNEPLASSAAAASSRLRVMCSYGGRIVPRPADKSLCYLGGETRMVTVDRGSSFADLSAKLSRDLLGGRPFSLKYQLPNEDLDALISVTTDEDLENMIEELDRISAATAAPTSPGGGGSRRSRRPRLFLFPSNPESAPSSFMGSLLDESKSETWFVDALNTAIGGMGIDGLPCGRSTDSASVVCLLGLEDDSSVHNRSGGGQQPESVQVVLPHRDSSGTLVRHGQNLNSVPGSPMLDKSPSLGSNSSTPSLSNLPSILVTTADLHADRHINGVDDHFAHMNPSTDKEPLHAVRHQPPPPLPLPIASVPTPTIYPTSRDFDDDDDRSNHGGSVLEPPQPTKPGDPVSRLTYLNAISDPKVSFDPTYRVPVPVTGCVSPPTQAEHILQHQQSQPQFIPPNARYIHHPAVGTVLPVPSYYPIAAPPVQQPTPTQPFHPQFRMCYVPLAAAPPNLGDPSSVPPSAKLAVAVPSVPTKPELPVNSYMSAPAPAPAPASLQPQLIRVASNPHAGTGYHVMQQQALLPRTPATSVTYGAEVAATPGHPALYHARAFSRPVVIPQQYQTVCSTAVTRHAAAPGDSNTSGAS >Ma09_p26250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37563318:37563764:-1 gene:Ma09_g26250 transcript:Ma09_t26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSASDEASLPLAGHVTIVTGAYRGIGSAIGLRLASLLLNYASNAAQINSASPNSPRPSAVAVRADASALRRRCTSLSPTPASATPGTRFSPTRTWRPGTPSSASMPGALSSAARGKREDPGGAVVACGGAAAWLRGVHGVKDRQ >Ma10_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29696573:29706860:-1 gene:Ma10_g18950 transcript:Ma10_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPSGSNSCCAVCEGSNLASVCAPCVNYRINYYSTVLISRKNVRESLYSRLSGELEAKRKADEQINWRVTETEKLKKLKDHGNYLKMQLAEEKAKLAEASNDLKLRHESLDSAFATIKRNRMELLDKFNSDLIYSQRLAHMATSERIHKQSVVIKQICKLFPMRQVNSDGAKDGSNGLYDQICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVPSLAAPALHNSGFAGSCSRIWQRDSYWDARPSSQSKEYPLFIPRQNFCSSSGENSWSDRSSSNFGVASVELERKPCLESSRSSSFNYSSASPHSFETHKDLQKGISLLKKSVACITAYCYNSLCLDVPPEASTFEAFAKLLVALSSSGELQSTRNSLKMACSRPEKPARQVKRSSVWNVNSGATSSLVDSMQTTIMVRPNARGSSHLANSDTSFLYSAEMTELGKSESLVEEWDIVECPTLPPPPSQVEDVEHWTRAMFTDATKK >Ma05_p28460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39462358:39468179:1 gene:Ma05_g28460 transcript:Ma05_t28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPKQGMEDDLHHRATPTAAAGRRKGSVNREWLVVSDSGRSYHEEVGKHSIMRRTGLPARDLRVLDPLLSYPSTILGRERAIVINLEHIKAIITATEVLVPNSKDPLVAPFVQDLQSRVAGSFGAPQHEAAETSDLDEEAIRRSPSCWPSFPSQGMTATHGADISKHNGSLTGDLPEGGHSPGLDVNGDGSTKVLPFEFRALEVCLESACRCLESETLTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDDMDMAEMYLTDKLANQRVGEISSRVNLENDASEQEDDGDDEFRVETDSSHESLGVFKPNIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDEKQNQLLQMGVMLSTATVVTTAGVVVVGLFGMNIGIDLYNAPYRKFWETTLGTIIGCVILYVLAIGFGKKSGLLQ >Ma10_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23537828:23540246:-1 gene:Ma10_g09330 transcript:Ma10_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKFPVVDLPPNVTLRFLRSYLSSGQLERARDLFDRIPHPDLRSLTILISAFTKNNLPKESIRFYRTLRENKGLEPDRLVLLSVAKACANSSDLVEAKWVHEEAVKFGFSSDLLLGNALIDMYGKCGFHDGARRVFDDLPQKDVVSWTSLISACMSCRMPVEALQVFSDMLHLGVKPNSVTLSTVLRVCSESKALDVGRQIHCQALRRALEDDVYLGSGLVDVYASCSSIRQARIVFDTIPYKDTVSWIVMLAAYFVDGECEEALKLFELMRSGGVALISASWNCMISGLAQNGRPEEAFRMLGQMQQLGFKPNHITVVSALPACSYIESLRRGREIHGYIFRHRILEGMVPLTALVLMYAKCGDLDKAREAFNQMPRKDTVAWNTMILANSMHGCGEDALAVFHQMLECGVKPNSVTFTVVLTGCSHSQLVDVGKSIFNSMSTQHGLQPDADHYACMADVLCRAGHLEEAYGFIQSMPMQPTVGAWGALLASCRVYKNVDLAKIAAAHLFEIEPENPGNYVLLSNILVAAKLWDDASSIRMLMRDKMRDRGVTKVPGCSWVQIRNKVYTFVKGDDRNALRDEIYGFLKEIREKMRLEGYLPDTDYVLQDVDKEEKEELLCSHSEKLAVAFGILNLKGESVIRVFKNLRICGDCHSTIKFMAKIIGVQIIVRDNVRFHHFRYGSCSCRDLW >Ma09_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29817920:29821784:-1 gene:Ma09_g20670 transcript:Ma09_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETNGGWGGGAESVLNLVPGSSISIRYHSLFGAHDDFLLLEADEALLPDILHNRVTIRGQTDEEAVLCTPLATYAMKFVGTSNSVFLIPPGEPIPPCMEDTCNDSKSNTSAAHEVVPSVIKVASSNMELVLVAPHLDKLKSLLNERPYKLDEDVETDFQHNRGLYRWQDLLEQIQASEQELRDGLKALSAAEIDGFWRIIDEKTMDEILNMVLNNSILHGWPLNALEEDEVVSILEADGYPRNITLHCLETFGNMVESSGCSFWSLDEKKVCLRFALQALGGGKMKLEIFLQKWRHCVPSGMHADLKMLEGEVLYEKLGFETWIRSFRVSALPSTPAERFAALFIERPRWNWKDLEPYIRDLHVPGLSLEGLLIKYTRRSQPSADVEPIFSAR >Ma05_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9394417:9395475:1 gene:Ma05_g12980 transcript:Ma05_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLILLDLSNNQISGCIPPSFANISLKELSVINNSLSGSVPNLTSLVSLKLAYNDLSGHLPPDVCRGGKLQHFTAAYNKFHGPIPKSLRNCSSLVRVRLDRNNLTGDLFDHFGVYLNMRYIDLSYNRLSGMLSPEWGSCSNLSSLRISNNRLNGKVPSEIGQSTRPGALDLSSNHLVGELPKNLCNLISLIELNISSNQLSGELPSEIGKLLKLKRLDVSGNNFSGVIPREIGGCKQLISVDMSNNSFSGSIPYEFGQLVDLQELLDPSQNSFSGHIPSQLGQLTLLQILNLSHNNLPGHIPPSLINMASLSALDVSHNVPDGQLFPRAPMEWFTGYRGLCDVIAGMPDSR >Ma02_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22699641:22712110:1 gene:Ma02_g14760 transcript:Ma02_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSTMSTESGHDPAAGNHTGNPNEPSSSPSAPGAVPPAEAQPVAAGPRPAPGYSVVNAVIERKEDGPGCRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAAPASPTGSAGIRLAGATADVHCYDVLSNKWTRVTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGARYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANGVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTSPRPGRYSVDAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTNSASHAAAVAAASNVQVGRSAGRYMFSDERSRQSSPEAVPDGAVALGTPVAPPVNGDMFADISTENALFQGSRRLSKGVEYLVEASAAEAEAISAALAAAKARQVNGEVEQLPDQAHGSEATLGGKQVCNLSKASDSSLPNNGTPTGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFTSEPSVLQIKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETIALLLALKVEHPHNVHLIRGNHEAADINALFGFRTECIERMGERDGIWTWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMETGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPIPPAVSSPEASPEHHIEDTWMQELNANRPPTPTRGRPQVASDRGSLAWI >Ma06_p34300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34602773:34604619:1 gene:Ma06_g34300 transcript:Ma06_t34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAIKPRCCMHINEMQTWKAHRIVVDADDDFDESSFTASDQSEPKRLLPELANSNREGRGEILEGPEAKNKAVVRELYEAINRRDVARVHRLLAPDLEWWFHGPPERQHLRRLLTGEEEEGDIVTFQPGPQEVAAFGSIVLAEGCGPGAVVWIHAWTVGPGGVITQVREYFNTSLTVTRLGGDSAPAPTDGSAGSTQCCLPVWQSRLHRRAPKSLPGLVLAI >Ma07_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9896173:9909852:-1 gene:Ma07_g13170 transcript:Ma07_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH7 [Source:Projected from Arabidopsis thaliana (AT3G24495) UniProtKB/Swiss-Prot;Acc:Q9SMV7] MRKFVKEDKSEGFRKGNQEGSGSFVRHSKSNSAEKSRVRNEMPSDEHSKTHTSIFKEKCDGMDLHFGPDSDVLGPETPATRPLVPRLKRVQDGLTDFNDKQSSLLVGSGKRLKSDFDSVVGKHIQEEVCESASSKFDWLNPSNIRDANGRRPSDPLYDKRTLYIPPDALKKMSASQRQYWSVKCQYMDVVLFFKVGKFYELYELDAEIGQRELDWKMTISGVGKCRQVGISEAGIDDAVLKLTARGYKVGRMEQLETSEQAKARGATSVIQRKLVSVSTPCTPIDGSIGLEAVHLLALKEQDCGSRNGSTIYGFAFLDYAALKFWVGSICDENSAALGALLMQISPREIIYERSGLSKETHMTLTKYASAGSMKTQLTPTTPNADFLAASEIMKLINSRGYFKGSSSSWSSVFDYSVNHDLILCALGGLIDHLSRLMLDDTLRNGELLPYHVYRNCLRMDGQTLLNLEIFSNNIDGSLSGTLYKHLDHCITASGKRLLRRWICHPLKDVTDVNHRLNIVDGFIKHSGIISIIVGYLHRLPDLERLLGRVRSTVGSSSTLLLPFVGERVLKQRVKGFGSLVKGLRIGIDLLNALEKEDHGIISLSKVVNLPTLSGLDELLHQFEVALDDDFPRYQDHKVKDSDAETLAVLVELFSGKATEWSHIINALNRIDVLQAFATVTVSSCRPMSRPTFSEANSYSTNLHQDNAGPILHMKGLWHPYAVADNGNGLVPNDIYLGEDSMACHPRALLLTGPNMGGKSTLLRATCLAVILAQLGCYVPCEVCLLSPVDTIFTRLGATDRIMSGESTFFVECSETASVLRNATKDSLVLLDELGRGTSTFDGYAIAYSVFRHLVEKVCCRLLFATHYHPLTKEFASHPRVSLQHMACAFRPKDGISYNGDQDLIFLYKLAAGACPESYGLQVALMAGLPRPVVQAAGCASQKMKLTISQNFKSSEGRSQFSTLHEEWLKTLLDISKLSISGWNEDASDTLLCLWHEVRSFYKPGNSR >Ma11_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3404813:3404989:-1 gene:Ma11_g04310 transcript:Ma11_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEGTKELVKEICPTCGDHDSKEYTWMMFPGSDTFARMPFHAVHATLEKGIPYFTS >Ma02_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20120301:20121390:-1 gene:Ma02_g10600 transcript:Ma02_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLLKLFLLGLGTFLFSGALRAPAAAGAGTAFILENNCPYTVWPGALSGNGAVLLGNGSFELPPNATSSFSGPPGWSGRFWARTSCLFDSSSANGSCATGDCGGALRCSIGGAPPVSLAEFTLGGGDGAKDFYDVSLVDGYNVGIGVRPSGAAVGGSCRYAGCVADVNARCPAELRVASESGETMACRSACEAFGSPEYCCTGAHGSPTTCGPTRYSQLFKAACPAAYSYAYDDATSTFTCASGAADYTITFCPSTS >Ma01_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23127256:23166485:-1 gene:Ma01_g22060 transcript:Ma01_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGETISWRSIKQTLTATSAMEVEFVSYFEAASYGRKEMGGNGSQTRGEEAADASKVSDQSAERKKSLVDWMNLIKPANEEKDHWVPDEVVSKCTSCGSDFGAFNHRHHCRNCGDIFCDKCTKGRIALTAEENAQQVRVCDRCMAEVSQRLSNAKEAVSKPTGLQSHEDLARRLQVDAGRVNHSPTSSQ >Ma08_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4583059:4590967:1 gene:Ma08_g06770 transcript:Ma08_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPRDIQLTSATGRRAISAREDDGNLEDVRLLDSYDEESVAEEGSGREGEEEEEEEKGMRRIQVRVTGMTCSACTASVEGAISTLPGVARASVSLLQNKAHVVFDPSLVKDEDIRDAIEDAGFEAEVLPESNNSQTRSQKTLSGQFRIGGMTCSACVNSIEGILSKLPGVKRAVVALATSLGEVEYDPSVIRKEEIVNAIEDAGFDAAFLQSSEQDKALLSVAGLSSEIDVHVIQGILRDLKGVRQFAMSSSLSEVEVIFDPEAIGLRSIVDSIEQGSNGKLKASVQSPYTLAASNHVEEASKMLRLFLSSLILSIPVFFIRMVCPHIVFLSSFLVMHCGPFLMSDVLKWILVSLVQFVVGKRFYVAAYKALKHWSTNMDVLVVLGTSASYFYSVSALFYGAFTGFHAPVYFETSAMIITFVLLGKYLEVVAKGKTSDAIKKLVELAPATALLLVKDEEGRYVGEREIDASLIQPGDILKVLPGSKIPSDGIVVWGTSHANESMVTGESLPVSKEVSSAVIGGTMNLHGALHIRATRVGSSTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVITLSLLTFLGWFFCGMLGAYPDSWVKESSNCFVFALMFSISVVVIACPCALGLATPTAVMVATGMGASHGVLIKGGDALEKAQTVQYVVFDKTGTLTQGKAAVSTAKVFAEMGLGDFLTLVASAEASSEHPLARAVVDYAHHYHFFDELPTVKGATKQIREDILSEWLLEAINFSALPGRGVQCLINGKRVLVGNRALLAENGVIVTKEAEDFLIDLEVNAKTGVLVAYDGTFIGLLGIADPMKREAAVVIEGLKKMGIQPVMVTGDNWRTAQAVAKEIGIEDVRAEVMPAGKAEVIRSLQKDGSMVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNSLEDVITAIDLSRKTFARIRWNYFFAMAYNVVAIPIAAGVLFPVTGLRMPPWLAGACMALSSVSVVCSSLLLRRYRKPRLTTILQLTVE >Ma06_p25430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25762570:25774144:1 gene:Ma06_g25430 transcript:Ma06_t25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQDGGSNDIENIEGARREMERNASGFYENENDAGSVRQPLLRKRTMNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKVQIFQYVLLKWTLALLIGLATGIIGFLNNLAVENIAGLKLLLTSNLMLENRYFTAFLAYGGINLILAAAAAALCAYVAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSIAGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWTWLRYFESDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAIVAVVLRGLIEYCRSGKCGLFGKGGLIMFDISSNVSTYSTPDLIAVIVLGVIGGVFGALFNYLLDRILRTYSFINEKGAPFKILLTITISILTSCCSYGLPWLAKCAPCPKDLEEECPTVGCSGNFKNFHCPPGYYNDLASLFLNTNGDAIRNLFSGGTSNEFHTSTLFLFFAAIYGLGLVTYGVAVPSGLFIPVILAGATYGRIIGTLLRPISDLDAGLFALLGSASFLGGTMRMTVSVCVILLELTNDLLMLSLVMLVLLISKTVADCFNKGVYDQIVMMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVEALRLTGHNGFPVIDEPPFSDAPELVGLVLRSHLLVLLKGKRFTRERVKTGVKEILRRFGAFDFAKAGSGKGLKFEDLNILEDEMDMFVDLHPITNTSPYTVVETMSLAKAAVLFRELGLRHLCVVPKTPGRPPIVGILTRHDFTSEHILGLFPHIHPHK >Ma11_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26123528:26125339:1 gene:Ma11_g22320 transcript:Ma11_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSGLGIGVSVVSGCLFLALVAELCYLFWWRKISDGDMEDRCRACLPAKGLVHLFCWKKPHSLSFAAPDRVDVTSCSDEGQPEGKDLLLNPLRREDTVEAELMRFHGLPGPPRLLFTIKEESKEDLESEDSMSRGGRSRKGSRRKSLNDLFLSSDTPFMTPLPSPPLFSPAPTPFDCYKRSGFNPLFESSKEEDLIRMWSSPPPKFKFLKDAEEKLHRKKLMGEETGHPSHPPPRAISRPTVPEEEDGSFITIVIGKNRDRGQQHHPCSSQVTPVPSSPSSIKLLHGNHSH >Ma08_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5082433:5083251:-1 gene:Ma08_g07400 transcript:Ma08_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTTGRSGKALRQEISEIVFTISNIREILQYGESHVMLQKLGIETLTSLAMEEEARERIGNTGGMIKELLWIFFREGLTQQQNTVKVESAGEALATLAFESTNNCYRILKEMNAVERQVEALTDPVLQINSARILRNRCKHVGNEYLLCLRGVTAGICMVVNAMMTAQMKLLEVSLGLATQVFRFMDAGVLSPSNSNNLAPGRRNLQRGWCRYLRSTTTHLPRSPE >Ma07_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10463367:10463903:-1 gene:Ma07_g13970 transcript:Ma07_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKRAPAASVKVTVIETQFVQTDSANFKSVVQRLTGKDSAVAEVALARPRATRRRSAQPEERLCSEGERFWLQEVMGEAMFKESEWAAAMEPCPEEWYKFWN >Ma08_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5575784:5584028:-1 gene:Ma08_g08060 transcript:Ma08_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDAASAGSWVESYTGMSSDNIKGLVLALSSSSFIGASFIVKKKGLKKAGASGVRAGAGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLARIILRERLHIFGILGCALCVVGSTTIVLHAPQEREIESVTEVWDLATEPAFLLYAAAVLAAAFILIFHFVPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQTWIFTIIVIVCIITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIITEMCGFVTILSGTFLLHKTKDMADGLPSSSVSGRLPKHADEDGYSSEDIPLRSPESFRSS >Ma05_p26920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38423830:38432904:1 gene:Ma05_g26920 transcript:Ma05_t26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSEATIIDTTSGKMKDDEQTNDKKICDSEPVVYQLVRVEGDGRLVPATDDEVIEVEQLLEVKKSDLAKVKAVGHDEQCISNEVFSKSELEGTVQSGNADNSRKLNARLENIKVMLKKVKKEERLLLSGESLNCSPKFMVMEDSTSDRSNTSKACIDKHEPENPSRERAASSLELNSIHIVEVGTIEACSGPMNKAATSRSSISESCSSLLPDFSILRGEICLDNFSIRELQEAFRATFGRHTSVKDKLWLKRRIAMGLTNSCHVPTARFTIKDNKIILSDLKEPARLQQSTIETESLSMDIHATNVSPRDIKTCLNNQMEFQQVSGKRLGVLPSNDDVKDENIQMEQCAAKRMRKPTKRYIEELSDLETRECTAKSYYSVNSEQSQFPSKAQIMPFHGGTHRRLSSTRHESLRGFSFQVPFVLRARRGQPRKNLMALMEYHPNAISRIVKTAVMIPVLEEDKENGSSSRETRSVPVQMLNTNLSYVKSVAGEESVEMDCGTLNCEELDPEHKKLDGIGDTACDNVPKQLAAKANVRRKHHRAWTLCEIRKLVDGVAKYGAGRWSEIRRLSFASYSYRTSVDLKDKWRNLLRASLVQCPTEKEANNYRKQTSIPIPASILSQVRELAKFHAQTGIKVSPRKSAGHGSSTVQREGYGFI >Ma10_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33001902:33003441:1 gene:Ma10_g24360 transcript:Ma10_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATFRSALRRNSLLPLFETCRLRDILFSSSSVNAAAAVGGTISPDPHFMVEYLVNSCGFSSSEAAKFSKPLAHLRATEKPDAVLNFMRSQGFDGAGIRKVISSRPNYLCCNVEKNLAPKFQFLRDLGLSESDIVDVIRNNDDILRRNVHRSLAPKLEIWESLLGSRELVLKHLKKTRWFFCSSVEKTLHPNLKFLRDECGIPEERVSLVLRSHPKLISQKPESLRALVARADELGMPRQSRMFMWTLDVFHKVSKEAFEAKAELMRSFGWSESEFSSAVRKAPTFLCMSLDMLRRKMEFFINIVGYTPSFIASQPTILLYGLQKRVIPRFRVLEMLNTKGLWTRRGNFSHYVQLSNTKFREKIVLPYKEKVPELLDILRAGECEGK >Ma09_p00940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:634443:643212:-1 gene:Ma09_g00940 transcript:Ma09_t00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDIDRGHTFHNSMLQGVSKLDHKSTFKNPFSKRSTLMKPTASQLAKQNRAREVTSVSRLQKQLQVKKEKRSEDSNNYTLQATKRQRLEKGHCCKGNGVKQQIDLFHKVPGKQNGLADANNQLHRLKLTIPREPELETARRALSSRVQQQRLDDAKCLVEGMAQTTSTFKARPLNRKILEAPSLPLPQKSTPRLPKFKEFNFRTHDRALLHSTTSSSLISSSHIPAARKSSVRIRYQSSGHQQLHIGDKRTAESKDMPAELRAQTLNQKIFERNGDEVFQTTKWEITVPKEFNFSTTEKLQQTPLTEHFNKLSLTSEAQKPTALPEFPRPSHLDTKDSKENLINGVQK >Ma08_p34180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44279459:44281964:1 gene:Ma08_g34180 transcript:Ma08_t34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSSDSREPVNEQIILNTYANMRSEINQIYSKITELEMEVSEHSLVIGAIQPLDPSRRCYRTVGGVLVERTIKEVVPAVQRNKEGLEEVIARLNEALESKKKEISEFELKYKIKIKKADSETKEDPNLKEGSAQGVLVGPAS >Ma10_p26460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34137534:34140308:1 gene:Ma10_g26460 transcript:Ma10_t26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPGEWLFDYGGIVGGDSYASDFIWDARVIDDPSASSTMLGFGVLHNEDNCPNGSLKKRTRVESCAPPGTKACREKMRRDRLNDRFTDLCSIMDPGKPPKTDKFAILSDATRLLNQLRLEAKKFRESNEALQDAIKNLKAEKLELRDEKLRLKAEKEQIEQMLKAIRIAPQFITEPSAATLHAASIAAYSKTIPYPNYLPADMWQWIPPAALDTSQDHVLRPPVA >Ma08_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3055981:3058897:1 gene:Ma08_g04390 transcript:Ma08_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVVGEGGVLEEQRLEPQMGCMAGFLQLFDRHHGVAGRRHYSVHRLPTSPVRTAGSTSPSERSEASSASILKESHPPPSSPEPCPSSPERGSAAETPARRSLPLSLPVFEVTDGVSTTWRIRDIPRLSLDSRAVVDARGKLRPREIRTAVPVRSENQTDASEATEEQRRSPSVVARLMGLGVLPSAGGEGRAEPHRAELRRSASESRVRRDPSIYGFVDAGSFHKPWPSPAAEEAARVSAEELFKTVNLARFRLNDATKAKPPLRSTPLQPLQRKIFFHAEDFFPEPKRSVTLYGEIEKRLRLRGIDEPAKDLETLKQILEALQLKGLLHSKRSDHRVTGRRNLISDYEGRIQGETPIVIMKPAPKPPRRRSSEPPRSVAARQSSSPLRREISPVHRTIRGGNERTNRAPRSPESPSSPVLRRTSNAATQKSVQAQRRISTVGSPKCSPKMTGPDPLAVRSPRSRRPTAPSSPEEKVYASAEDDTSTTLSESSISVSSQLDLERRSRAGEYRSGRSLLDRCDKLLHSIAAFTGAEQVAAADQQPSPVSVLDSSAFLAEEGSLSPSPLSKRSIDFKDRAAEDWEGEQWSPRAWTNHGDVESGADAVDRDYAYACDVVRATRRYGEASDAVYATLEKGRCRRPAEASKAARLHRRVVFDTVSEMLDRKRRVPPWDAFSSPPGPCPGGCGEEEALLREVWAEVRRIREQVADDDQDAVACGAVRKDMSGALADGWARHAAEMSDAVLHIERLIFKDVVAETIRDLAAAAAPSTPRRKLLF >Ma04_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10827536:10829973:-1 gene:Ma04_g14290 transcript:Ma04_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISVARIAAWNSDQLPIYEPGLDDVVKQCRGRNLFFSTDIEKHVREADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIRYQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGRKAVQALKQVYANWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPVHLQPMSPTAVKAVSVTWDAYEATKGAHGVCILTEWDEFRKLDFAKIYESMQKPAFIFDGRNVVDPEELREIGFIVYSIGKPLDPWLKDMPAVV >Ma06_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3222260:3226867:1 gene:Ma06_g04440 transcript:Ma06_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASKSHLSDLLLALCFVCFLCCDGVSGQGPIFACDVASNRSLAGFGFCNVSWGTKQRVKDLVKRLTLQEKVGFLVNKATAVPRLGIPSYEWWSEALHGVSYVGPGTRFSTLVPGATSFPQVILTAASFNATLFKAIGKVVSTEARAMHNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLASKYATGYVRGLQEADDPDKLKVAACCKHYTAYDVDNWKGIERYTFNAKVTKQDLDDTFQPPFKSCVIDGNVASVMCSYNQVNGVPTCADKDLLAGTVRGDWKLNGYIVSDCDSVNELYSRQHYTKTPEDAAAITILAGLDLNCGTFLSDHTLAAVQGGKLREKDVDKAIANNFAVLMRLGFFDGDPRKLPYGDLGSKDVCTAANQELAREAARQGIVLLKNSEGSLPLDTKSISSLAVIGPNANATFTMIGNYEGTPCKYTTPLQGLAANVKTVYAPGCANVGCAGNDLQLDSAKAAAAAADATVLVVGADQSIERESFDRVDLLLPGQQTSLITEVAEVAKGPVILVIMSGGPFDISFAKTSDDISSILWVGYPGEAGGAAIADIIFGHCNPSGRLPVTWYPQSFADSVPMTDMRMRPDPSTDYPGRTYRFYTGDAVYEFGDGLSYTDYTHHLVKAPKMVSIPLEEGHSCYSQRCNSVDLAGNACDGLAFDVHLRVQNSGGMAGSHTVFLFSSPPAVHNAPRKHLLGFEKVYLGPKAAGDVVFKVDVCKDLSVVDELGNRKLALGSHVLHAGSLKHSLSLKI >Ma10_p05800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17180244:17186087:-1 gene:Ma10_g05800 transcript:Ma10_t05800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSRLSLCWWPSHFKSSVLEPDELENGGDDSDGGGFTEYSLDELRAATDGFAPDYIVSEHGQKAPNVVYQGRLLPGDRDVAIKRFNKFAWPDARQFLEEARAVGQLRSDRLANLIGCCCEGDERLLVAEFMPHETLAKHLFHWDTQPLSWSMRIRVALYLAQALEYCSSRGRALYHDLNAYRVLFDEDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFRTLMDSCLEGHFSNSDGTELVRLASRCLQYEPRERPNVKSLVTSLESLEKDAELSFQVWTSQIQETLNTKKHGDNAFRAKDFGTAIDCYTQFMDGGSMISPTVLARRCVSYLMNNMLQEALGDAMQAQVVSPEWPTAYYLQAVALLSLGMDSDAEEMIKNGLLFYLSLVNDSLQGWKEFDSFVVCHVCKNQVIFDKRCWKGNTKETTSI >Ma10_p05800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17180181:17186087:-1 gene:Ma10_g05800 transcript:Ma10_t05800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSRLSLCWWPSHFKSSVLEPDELENGGDDSDGGGFTEYSLDELRAATDGFAPDYIVSEHGQKAPNVVYQGRLLPGDRDVAIKRFNKFAWPDARQFLEEARAVGQLRSDRLANLIGCCCEGDERLLVAEFMPHETLAKHLFHWDTQPLSWSMRIRVALYLAQALEYCSSRGRALYHDLNAYRVLFDEDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFRTLMDSCLEGHFSNSDGTELVRLASRCLQYEPRERPNVKSLVTSLESLEKDAEVPSYTLMGILSGPVTSKQTLKLSPFGEACARLDLIAIYEILEKVGYKDDEGIANDLSFQVWTSQIQETLNTKKHGDNAFRAKDFGTAIDCYTQFMDGGSMISPTVLARRCVSYLMNNMLQEALGDAMQAQVVSPEWPTAYYLQAVALLSLGMDSDAEEMIKNGLLFYLSLVNDSLQGWKEFDSFVVCHVCKNQRKQLPFDHKEKKKHRL >Ma10_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17180280:17186087:-1 gene:Ma10_g05800 transcript:Ma10_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSRLSLCWWPSHFKSSVLEPDELENGGDDSDGGGFTEYSLDELRAATDGFAPDYIVSEHGQKAPNVVYQGRLLPGDRDVAIKRFNKFAWPDARQFLEEARAVGQLRSDRLANLIGCCCEGDERLLVAEFMPHETLAKHLFHWDTQPLSWSMRIRVALYLAQALEYCSSRGRALYHDLNAYRVLFDEDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFRTLMDSCLEGHFSNSDGTELVRLASRCLQYEPRERPNVKSLVTSLESLEKDAEVPSYTLMGILSGPVTSKQTLKLSPFGEACARLDLIAIYEILEKVGYKDDEGIANDLSFQVWTSQIQETLNTKKHGDNAFRAKDFGTAIDCYTQFMDGGSMISPTVLARRCVSYLMNNMLQEALGDAMQAQVVSPEWPTAYYLQAVALLSLGMDSDAEEMIKNGTKLESKRKSRN >Ma10_p05800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17180244:17186087:-1 gene:Ma10_g05800 transcript:Ma10_t05800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSRLSLCWWPSHFKSSVLEPDELENGGDDSDGGGFTEYSLDELRAATDGFAPDYIVSEHGQKAPNVVYQGRLLPGDRDVAIKRFNKFAWPDARQFLEEARAVGQLRSDRLANLIGCCCEGDERLLVAEFMPHETLAKHLFHWDTQPLSWSMRIRVALYLAQALEYCSSRGRALYHDLNAYRVLFDEDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFRTLMDSCLEGHFSNSDGTELVRLASRCLQYEPRERPNVKSLVTSLESLEKDAEVPSYTLMGILSGPVTSKQTLKLSPFGEACARLDLIAIYEILEKVGYKDDEGIANDLSFQVWTSQIQETLNTKKHGDNAFRAKDFGTAIDCYTQFMDGGSMISPTVLARRCVSYLMNNMLQEALGDAMQAQVVSPEWPTAYYLQAVALLSLGMDSDAEEMIKNGLLFYLSLVNDSLQGWKEFDSFVVCHVCKNQVIFDKRCWKGNTKETTSI >Ma03_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9947290:9951948:1 gene:Ma03_g12920 transcript:Ma03_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATILPDLLTQILIPATAVVGIAFALVQWLLVSKVKLSPERESSGAGNNKNGYSDYLIEEEEGLNNHNVVVKCAEIQSAISEGATSFLVTEYQYVGVFMAVFAILIFLFLGSVEGFSTKSQPCTYSKDKICKPVLANAIFSTLSFLLGAFTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYISINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTAMFYPLLISSMGIIVCLFTTLFATDFFEIKAVKEIEPALKNQLIISTVLMTVGVAIVSWIALPSSFTIFNFGVQKTVKNWELFFCVAIGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRSAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGSAKPDYANCVKISTDASIREMIPPGALVMLTPLIVGTLFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKYL >Ma10_p13800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26459239:26463270:-1 gene:Ma10_g13800 transcript:Ma10_t13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 4 [Source:Projected from Arabidopsis thaliana (AT3G53780) UniProtKB/Swiss-Prot;Acc:F4JBM4] MGKESASAAAREDEAKLQPWRGDGGDAHQHEIDPPHPVAAPPTARAKPPQRQRDERWRPWLVPTIFVANVAMFVITMYVNDCRKNNSVRSCVLPSLGRFSFEPLKQNPLLGPSAKTLQKMGGLVVDKVVHGHQGWRLISCIWLHGGVIHLLANMLCLLLIGIRLEQEFGFVRIGPLYVIAGVGGSLLSALFVQASVSVGASGALFGLLGAMLSELITNWTIYANKFAALISLILIVSINLGLGILPHIDNFAHIGGFITGFLLGFVLLIRPQYGYRSQKYNPLGYNGPAKNKHMIYQYVLWVASAILLIVGLTVSLILLFRGFNGNDHCSWCHYLSCVPTSKWSCKQGTESCQVFESGNQWNITCQDSGRSRIFINATQSMDDLCYQLCS >Ma05_p30000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40517303:40544533:-1 gene:Ma05_g30000 transcript:Ma05_t30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAHLEALCERLYTSQDSVERAHAESTLKCFSVNPDYISQCQYILDNALTPYALMLASSSLLKQVMEHSLSLKLRLDIRNYVINYLASRGPELQNFVVGSLIQLLCRITKFGWFDDDRFREVVNEATNFLSQASSGHYSIGLKILNQLVSEMSQPNQGMSLTNHRKVACSFRDQSLFQIFQISLTSLHQLKSDEDMQAVSVLRELALSLSLKCLSFDFVGTSVDESSEEFGTVQIPSSWKPVIQDPSTVQIFFDYYSITEPPLSKEALECLVRLASVRRSLFTDDPARSQFLAHLMRGTKEILQTGQGLADHDNYHEFCRLLGRFKVNFQLSELLSVEIYTDWIHLVAEFTTKSLQSWQWASNSVYYLLGLWSRLVTSVPYLKGDTPSLLDETVPKITEGFITSRFSSVQGGFSDDLSDNPLDNIELLQDQLECFPYLCRFQYGSSSVYIIKIMEPILQAYTERARQPTHGDVDELSVIEGQIAWIVHIIAAILKVRQTTCCSTESQELIDAELAARVLQLINITDSGLHSQRYGEISKQRLDRAILTFFQNFRKSYVGDLAMHSSKLYTRLSELLGIHDHLVLLNIIVGKIATNLKCYTECEEVIEHTLSLFSELASGYMTGKLLLKLDSIKFIISHHTRDNFPFLEDNRCSHSRTTFYYTLGYLIFMEDSPVKFKSSMEPLLQVMIGLKTTPDAAFRSDAVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKAISHWADVPQVTTPLLKFMAEFVLNKAQRLTFDSSSANGILLFREVSKLVVAYGSRILSHPINTDVYANKYKGIWISLTILTRAMTGNYVNFGVFELYGDRALADVLDISLKMILSISLSDILAYQKLTKAYFAYMEVLFGNHISFILTLDTNTFMHIIASLESGLKGLDAGISSQCASAIDNLASFYFNNITVGEMPPSPATMNLARHVVECPNLFAEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQVFNDLKVQILASQPSDQVERLSLCFDKLMADVTRSLESKNRDKFTQNLTLFRHEFRVK >Ma05_p30000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40517303:40544533:-1 gene:Ma05_g30000 transcript:Ma05_t30000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAHLEALCERLYTSQDSVERAHAESTLKCFSVNPDYISQCQYILDNALTPYALMLASSSLLKQVMEHSLSLKLRLDIRNYVINYLASRGPELQNFVVGSLIQLLCRITKFGWFDDDRFREVVNEATNFLSQASSGHYSIGLKILNQLVSEMSQPNQGMSLTNHRKVACSFRDQSLFQIFQISLTSLHQLKSDEDMQAVSVLRELALSLSLKCLSFDFVGTSVDESSEEFGTVQIPSSWKPVIQDPSTVQIFFDYYSITEPPLSKEALECLVRLASVRRSLFTDDPARSQFLAHLMRGTKEILQTGQGLADHDNYHEFCRLLGRFKVNFQLSELLSVEIYTDWIHLVAEFTTKSLQSWQWASNSVYYLLGLWSRLVTSVPYLKGDTPSLLDETVPKITEGFITSRFSSVQGGFSDDLSDNPLDNIELLQDQLECFPYLCRFQYGSSSVYIIKIMEPILQAYTERARQPTHGDVDELSVIEGQIAWIVHIIAAILKVRQTTCCSTESQELIDAELAARVLQLINITDSGLHSQRYGEISKQRLDRAILTFFQNFRKSYVGDLAMHSSKQLYTRLSELLGIHDHLVLLNIIVGKIATNLKCYTECEEVIEHTLSLFSELASGYMTGKLLLKLDSIKFIISHHTRDNFPFLEDNRCSHSRTTFYYTLGYLIFMEDSPVKFKSSMEPLLQVMIGLKTTPDAAFRSDAVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKAISHWADVPQVTTPLLKFMAEFVLNKAQRLTFDSSSANGILLFREVSKLVVAYGSRILSHPINTDVYANKYKGIWISLTILTRAMTGNYVNFGVFELYGDRALADVLDISLKMILSISLSDILAYQKLTKAYFAYMEVLFGNHISFILTLDTNTFMHIIASLESGLKGLDAGISSQCASAIDNLASFYFNNITVGEMPPSPATMNLARHVVECPNLFAEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQVFNDLKVQILASQPSDQVERLSLCFDKLMADVTRSLESKNRDKFTQNLTLFRHEFRVK >Ma11_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21490651:21490854:1 gene:Ma11_g15850 transcript:Ma11_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSISCESKSGSLNLSWKYKEVRWSRASNLHMVECWQPSIQSPTMDGKIGMRFFIVDVDTLMKSVIS >Ma01_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12521420:12521551:-1 gene:Ma01_g17120 transcript:Ma01_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL5 [Source:Projected from Arabidopsis thaliana (AT1G68825) UniProtKB/TrEMBL;Acc:Q6X5T8] MSSQGRASQRGGGGGGLSRMVREQKARMYIIRRCVVMLLCWHD >Ma05_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4511132:4514600:1 gene:Ma05_g06010 transcript:Ma05_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLHLNPTLNYATLNYSATLLPLRGSRRRTRRNGANESLVSTAHPARQYPSLSASLAPAREVEERKEEALLPPHLRPESLPRHVAVIMDGNSRWAKARGLPSSAGHEAGYRTLKKMVELSCQWGIRALTVFAFSSENWTRPKMEVDFLMTLFENVLKEGLGEFVREGIRICIIGDSSELPQSLQKLAKEVVDKTKNNTQIDLIVAISYSGRKEITQACQNIAQKVKDGLLEPEDITESHIEEELETNCIPEFPNPDLLIRTSGELRLSNFLLWQSAYTELFFTNTYWPDFGEADYIEALTSFQNRQRRFGQRIN >Ma02_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29219593:29221023:-1 gene:Ma02_g24750 transcript:Ma02_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTINMGRSTSRDSSSFSSSSARKHFQWRIKKSPKEGAAERKEWDDQDEEKATLSSFTSSSYPYQEETAAAATGPKKKTSSAAETAVSRLRSVLAAATSLRRNRPTGLGARVTGTLYGHRRGHVHLAFQADSKSCPVVLVELATATSALVREMASGLVRIALECERKPSSGGGGGEKAAATTRLVEEPLWRAYINGKKCGYAVQRECGPGDWKVLRAVEPVSMGAGVIPGDGGDAGNTDKDVMYMRAKFERVVGSKDSEAFYMMNPDNNGGPELSVYLLRV >Ma06_p33730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34255136:34255983:-1 gene:Ma06_g33730 transcript:Ma06_t33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCSVLDTWMYRSYSCISEAAIERENDALTKALQISLLADSSSAAAAAAIPSPESFSTATSSLVCLPTAVSQCHRLDPRAGRVAKKRRSRASKRSLTTYIAADPTNFRELVQRATGTRAGDSAGEHQYPAAAVQGSRLLPTLDTSSFLRDRADLAGPDVAASFGPAMDVPVLPEFDPFFVVSNYPTLDSWGVI >Ma08_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10598661:10601392:1 gene:Ma08_g13440 transcript:Ma08_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDHSGVGRKRGGDHSGGEAIRKYYHDMHVIQDMLLPMHKVADALEFLHREMEVYPIWLCPHRLFKLPVKTMVYPEPGFELDPKQGDTSFAQMFADIGVYYAPGPVLRGEEFDGAEAVHRLEEWLIQNHGFQPQYSVSELSEDNFWRMFDRELYHHCRRKYKAIGTFMDVYYKSKKGKKTEKEVLEAEAAIAETEYAVAEKEN >Ma07_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27248561:27249119:1 gene:Ma07_g19190 transcript:Ma07_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLLRRNEIMADRPLVIEHRHRRRRSRASSEYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDTAEEAAVAYDLSSISFSGLAGARTNFYYPFLAPPNMPSPPPPPPPPPPPPPPPSPTSEQRDDLHLEFDSVQDDESMTIAAILQSFRHSSSLSSSSFLW >Ma04_p28110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29234947:29242079:-1 gene:Ma04_g28110 transcript:Ma04_t28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEERCCEAMFWVYLIISVGLVLFAGLMSGLTLGLMSLSLVDLEVLVKAGKPKDQLHAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVPAWGAILISVTLILTFGEIIPQAVCSRYGLSVGAKTAGVVRLLLLIFFPVAYPISKLLDWLLGKGHVALMRRAELKTLVDMHGNEAGKGGELTHDETTIIAGALELTQKTAQDSMTTISETFSLDINSKLDMYTLGLIMSKGHSRVPIYSGSPTNIIGLILVKNLITCRPEDEVPIRNVTIRRIPRVYDDLPLYDILNEFQKGHSHMAVVVKRIKDSDLTTEKSKTSPSDNRMDQSRNHYEAHGRDIG >Ma04_p28110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29233862:29242078:-1 gene:Ma04_g28110 transcript:Ma04_t28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEERCCEAMFWVYLIISVGLVLFAGLMSGLTLGLMSLSLVDLEVLVKAGKPKDQLHAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVPAWGAILISVTLILTFGEIIPQAVCSRYGLSVGAKTAGVVRLLLLIFFPVAYPISKLLDWLLGKGHVALMRRAELKTLVDMHGNEAGKGGELTHDETTIIAGALELTQKTAQDSMTTISETFSLDINSKLDMYTLGLIMSKGHSRVPIYSGSPTNIIGLILVKNLITCRPEDEVPIRNVTIRRIPRVYDDLPLYDILNEFQKGHSHMAVVVKRIKDSDLTTEKSKTSPSDNRMDQSRNHYEAHGDGSLSVMAAHLNNITNASPVSSSIQGFHSPVKRSNIDKQGDSRSQVKKFERGRHDNILDVNSDSLPSYSLDEEVVGIITMEDVMEELLQEEILDETDEYVDVHNKIKINMLPTRRSSPRSSGNVSISQFPRRTPTASPLSLLQDASVSRSPVSQYAQVPGPTPTITKSGQSLASSPAHHTGLGQNLSAS >Ma08_p31010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42064977:42065980:1 gene:Ma08_g31010 transcript:Ma08_t31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQSRPLIRRLPDPILTGDRARLADATPTQVNSPERNSQSPKVWRKLEPDGVGLAIVAALERTRGGSPANVIVSTATSPIAITPSRTSRRRGCPEVSELCSSGGSGEKGKGGGDLGERVMDFYCESLSPPTPGEFGGFQVADFLSHCHLCRKRLHGKDIYMYRGEKAFCSMECRYQQIVSDEYQENCGSEATRPTEISSSPYSGDRIFSPGIVVS >Ma04_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3893445:3893968:-1 gene:Ma04_g05200 transcript:Ma04_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASKPTTTEGQAPEGPPANNSAPTDVTVTATAEAAEVVAESNETAEESKEETSSEAKPQEAEPAVEGGEHKAEEKEEKSAEEVATATAQTS >Ma06_p38150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37036777:37041969:1 gene:Ma06_g38150 transcript:Ma06_t38150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIMKELKDLEKDPPASCSAGPVAEDIFHWQATIMGPADSPFAGGIFLVNIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKTDRVKYEATARSWTQKYAMG >Ma06_p38150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37036789:37041969:1 gene:Ma06_g38150 transcript:Ma06_t38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIMKELKDLEKDPPASCSAGPVAEDIFHWQATIMGPADSPFAGGIFLVNIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKTDRVKYEATARSWTQKYAMG >Ma06_p38150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37036953:37041969:1 gene:Ma06_g38150 transcript:Ma06_t38150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIMKELKDLEKDPPASCSAGPVAEDIFHWQATIMGPADSPFAGGIFLVNIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKTDRVKYEATARSWTQKYAMG >Ma06_p38150.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37036973:37041969:1 gene:Ma06_g38150 transcript:Ma06_t38150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIMKELKDLEKDPPASCSAGPVAEDIFHWQATIMGPADSPFAGGIFLVNIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKTDRVKYEATARSWTQKYAMG >Ma10_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28876875:28883856:1 gene:Ma10_g17520 transcript:Ma10_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEERWCVVTGGRGFAARHLVEMLLRSDIWCVRVADLAPSISLDPREEDGALGHALRSGRAAYVSADLRNRAHVVKAFEGIEVVFHMAAPDSSINNHQLHYSVNVEGTKNVIDACIECKVKRLIYTSSPSVVFDGVHGIFNGDETMPYPDKFNDSYSETKAEGEKLVVKANGRNGLLTCCIRPSSIFGPGDRLLVPSLVAAAKAGKAKYIIGDGNNVYDFTYVENVAHAHICAEQTLASEVGAKKAGGQAYFITNMDPIKFWEFMSLILEGLGYKRPSTKIPVTFMMPIAHMVESTYKLLSRYGMPVPQLTPSRIRLLSCNRTFNCSKAKDQIGYEPIVSLKDGLEKTIESYSHLKAEHKKTTSKAYLYLGGGKIANTLLWKDQKQSVTALLLLIAIYYYLFTSGYTLITAMAKLLSFVALFLFIHGALPKKIFGYKIEKMPPSYFNISVEQARSLACSATYSWNNGIGILRSLCKGKDWSLMFKVIIFLLALNVLGTTSLQTIYKIGVFITFFAFYVYEKWEDAIDSYFNDAFSYLLRLKSEATQRLLKHK >Ma05_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3936291:3937984:-1 gene:Ma05_g05160 transcript:Ma05_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEEKIRLGSTPPSCHNRCNQCDPCTAVEIPTLPARSDRVRATDHPSSYSNHYSDYKPLGWRCRCGSRLYNP >Ma06_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3769197:3771436:1 gene:Ma06_g05040 transcript:Ma06_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSKGRRVSYIAVPSEIIRSLSSTSLHSLLLLFSPTKKASRPGHRLLALGRSPNFLFSVLFFFALVGILRSWQHLDPLVPCPHLGRSPLYSPELSDSTAAMAADVGGGVEARGEFWQQPDGMGYVPCLNFSEQYLAEGVAATRAGRRKKYLLVVVSGGLNQQRNQIVDAVVIARVLGATLVVPILQVNVIWGDESEFSDVFDLEHFKSVLADDVKVVSSLPSTHIMTRPVQAKETPLHVSPAWIRSRYMKRLNREGVLLLRGLDSRLSKDLPPDLQKLRCKVAFHALRFSAPIRELGNKLAMRMRSKGPYLALHLRLEEDVWVRTGCLPGLSPEHDEIVRVERKLKPELLTGRSNMAYHDRKLAGLCPLNAAEVTRLLKALEAPRDARIYWAGGEPFGGRDALLPLMREFPNLYSKENLTLPGELGPFANRSSLLAAIDDVVCEQSDVFMASHGGNMGHLMRGQRAFAGHRKFITPNKRQMLRHFMNASLPASELNRIVKELHRGSLGQPELRTDKIGRDVTAYPLPECMCEVGARMRSML >Ma11_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3466285:3471192:-1 gene:Ma11_g04460 transcript:Ma11_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAMRLGWPARVLLSRFLSTSPPKLKNLRYYYRARALAEAQRFLTDYLHCTRSLPFSHAESIAYNSPFTLSDLVSQFRFPPDAVAADVKVALHRFLSYRPINEFEFFFESIGLPPSSSPAGASYRGIFLSDEPRLLASVSALVHFGFPWTKLGLLYREEPSIFSSGPDCLIARLRALEARGFRRVCVIGICLAFPSALSADAEPGGEIDLLFRDLRTVFVDFRLAGCVTENDVDVFLQVSRRIRLFYDLGSRKGTMGELMGRNGEIFITVDEAVIAEKFKYLTKLGMEEEKVGPFILGCPDLLGFDLENPSVAMPEYLNHVGLDKNEVTSLSQRYPHVMGKNKLGNLPGMMRAMDLHSWFLSRILDGNYHYLSSSFVSAAPHDTAIESGFLQGLDRVKCLKKEKSVNNKLEFLLGIGFGENKITVRTLSLINTSRVQLQVRFDHLLETGIEYSMLCRMISGTPKLLNQRKEMLHEKLEQMINDISSLH >Ma02_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24323277:24326014:1 gene:Ma02_g17430 transcript:Ma02_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLAKLYEVRDLDTIFVFKFRTHFGGGKSTGFGLIYDTVENAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKVRGVKKTKAGDAAKAGKKK >Ma08_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7265683:7267220:-1 gene:Ma08_g09960 transcript:Ma08_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSSSSSSSSAATTTTASGGAFCRFALLSDDIVLNILAKLETDPRDWARLACVSSRLSSLVRTVCCPSRCSRSLPSDLLPSTAASAAWPSLHKLCVCCPGLLRAGVLLEHSDFGLERDIGPHRSVPICSSSSTSSSCPPIPPSQIHPETADSCWSLFDDLCSDTIYDHPELQDAALSPIDSSPSETTVRVGSAATKKRKKHQVGPAGAHLASGPWTVSREQGNKLLASRFRGDCLYMCDWPGCVHAEEKRKYMLFRGVFKNFKRSRVWKTISDSNRGKIALGCAFCACRETWDLHSAFCLRRVFGFHDDGEPVVRAYVCENGHVSGAWTERPLYS >Ma03_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27411222:27414098:1 gene:Ma03_g22660 transcript:Ma03_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAQVPLSSSSASASPSATSSPCAACKFLRRKCQPDCVFAPYFPPDQPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISILQHQLRQLQMDLSFAKSELSKYQSAAAVAAAAAASTTGLGATTPAAAAARGHGYIVPGFGLGRDQCYPAAARDPSHSQQMMMRNYDADLAARLGANGAYDAGLAAAAMNATTAATIGLFGGQFPRPSAAGGDERSGIGPL >Ma10_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23997414:24000064:-1 gene:Ma10_g09860 transcript:Ma10_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRTAPRPVRNLPQPARSAPPPAPVQGGSALGGIGATIADGIAFGTGSAIAHRAVDSVLGPRTIQHEMVAPPAPAATAAPLSSSIGSDACNTHSKAFQDCINNFGSDISKCQFYLDMLNECRRGSGGVVGI >Ma04_p37500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35385591:35386262:1 gene:Ma04_g37500 transcript:Ma04_t37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVYFCGILCQRVMMMSQAVNLAKGVSSINYHGCTLR >Ma07_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29247258:29249475:1 gene:Ma07_g21160 transcript:Ma07_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAVKEEEQLPPGFRFHPTDEELITHYLTNKITEADFGARAIADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFNSDTSELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSALRGNKDEWVVCRVFMKSSGGKKCATHQPRLNPYHVPMIPSLVQNDPFHFGAARSYLSNAELMELSRFSRGAHGFNLPIQPQFNLPGGAFTLAGLNLNLGAPTPPPLQTALRTMTVPLTQPLGVAEPPSSELANGVIGAMGEGFSTDVNSTIAGTRYHNVDSCMELEGYWPAY >Ma03_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9622470:9625578:-1 gene:Ma03_g12480 transcript:Ma03_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCMLICMNTSVLAEPPTFAAAVPTHDSATSQAARGHQESTLLAAFFQDVLLDLSTYLRLVVFLPPSSVTSATTRSQAAQSEEEMEEIRRGPWTTEEDLVLIKYVAQHGEGRWNALARCAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILDLHSRWGNRWSKIAQCLPGRTDNEIKNYWRTRVQKHAKQLHCDVNSTTFKDAIRYVWMPRLLERIRAASGGAAFSASCTSPVATATAAAAAAADPPPSHPLKQPERATLGPETVESSLSEDSLPTPFSCFADCHSTTMQGWGGWEPDSLSLPVCCDEQGGWPSGDLLSSESLWSMEDDWFMQHQIQSYQPYC >Ma01_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15279666:15284682:1 gene:Ma01_g19630 transcript:Ma01_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWHSRVERQEAVSRCKARRRYMKQLVRGRRAFAAAHSLYLRSLRATGAALLQFANAETHLHHQLPPIPSTSSSLPPPPSPPPPPPPQPPPSTSSHPPVSPSSDNWTSSVTASPILPPPPPPPPSSWDFWDPFVPSSSRSATEEEWEEVTTTVSEVTTAPAVGPPPSVVSGYSKDSASELAMVVVPRGKKDLSEIVKELDDYFLKAAEAGSRVSFILEAPLCDLSSNQDLAGKMHAYGKNLRHLHWSWRSNSKATGGFTRFGRCREEMGRGNGSGDGGVGSGHMSHTSTMEKLYAWEKKLYLEVKNAEMIREEHEKRMSLLRKQEAKGMDYVKVVKNKMEIERLESKMMVATQAIETTTSAIIKLRESELSPQLLELVTGLMGMWRSMYECHQVQTHIVQQLEYLNCALSTRPTSDMRRQAALQLESEVENWHVAFCRLVDSHRVYVHALTGWLRLSLFYHHHHHHHGRTTPDIHPLCEEWQLALDRVPDRVASEGIKSFLTVVRAVVVQQTEEQKQKKRSEAAFKELEKRVEQLSSLERKRGSLESEKRAEVEALKAKAEEERSKYEKSAGVTRAMTLNNLQTGLPHVFQAMTGFSGVCMQAFESVYRVLDPKRLLLLPLGR >Ma08_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36101575:36106191:-1 gene:Ma08_g22560 transcript:Ma08_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVENGIHVTHESEVRKPLIQQRELAKAEESSSDGQGSLWMVLLSTGVAVCGSFEFGSCIGYSAPTQAGITKDIGLSLSQYSIFASILTTGGMVGAVTSGHLSDYFGRKGAMRISAVVCIIGWLAIYFAKETFLLCLGRLFTGYGIGVLSYVVPVFIAEIAPKNLRGGLTSLNQLLLVGGNSVAFIIGTLVSWRALVLVGVLPCLVLLLGLVFIPESPRWLAKVEHQKESISALQKLRGKDADITQETAEIQECIENLQTLPRAGFQDLFQSRYIRSVIVSVGLMVFQQTGGINGVGFYASQIFVSAGFSSGNFGTILMGCIQVPITIVGAILMDRCGRIPLLMVSASGSSVGCFITGISFYLKGQGIYMDCVPTLALSGILVYLGSYSIGMGAVPWVMMSEIFPLNIKGVGGSLVTLVSWFGSWAVSYAFNFLMSWSSAGTFFLFSAAGAATLLFVARVVPETKGRSLEEIQESLNSSK >Ma05_p26350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38001973:38003797:-1 gene:Ma05_g26350 transcript:Ma05_t26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWVMCSTIILVIVFLRALAGRRRKLNLPPGPRAWPIIGNLNLIGSLPHRSLHALSQKYGPIMYLRFGSFPVVVGSSVEMAKFFLKTHDVSFVSRPKTAAGKYTTYNYSDITWSPYGPYWRQARKMCLLELFSAKRLESYEYIRVEEVRALLRDLFRSSGGIVLLKDHLSTVSLNVISRMVLGKKYLDRTEVAAIVSPEEFKEMLDELFLLNGVMNIGDSIPWLDGLDLQGYIRRMKKLSKKFDRFLEHVLDEHNERRQREGEGFVARDMVDVLLQLADDPNLDVKLERHGVKAFTQDLIAGGTESSAVTVEWAISELLMRPEVLEKATEELDRVVGRGRWVEERDVSRLPYVEAIVKETMRLHPVAPMLVPRLSREHTTVDGYDIPAGARVLVSVWAIGRDPSVWDAPEEFRPERFVGSQIDVKGHDFELLPFGAGRRMCPGYSLGLKVIQLSLANLLHGFEWRLPAGMKAEELSMEEIFGLSTPRKVPLEVVVKPKLSAHLYGA >Ma11_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2686433:2691896:-1 gene:Ma11_g03610 transcript:Ma11_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal protein TL20.3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12250) UniProtKB/Swiss-Prot;Acc:Q8H1Q1] MDPRPISFLHLSLRSPLASSTGVDAMAFASASPLSANPFKPLYPSPPRPSPRFAAAQIVCQTSEPKKWRAVVSTALAVAVVGFSGVASADLNKFEAEIRGEFGIGSAAQFGSADLKKAVHVNENYRRANFTAADMRESNFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRAVLTRSDLGGAIIKGADFSDAVLDLPQKQALCKYASGTNPMTGVSTRKSLGCGNTRRNAYGSPSSPLLSAPPPKLLDRDGFCDESTGLCDAN >Ma00_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16965467:16967048:1 gene:Ma00_g02230 transcript:Ma00_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT5G42180) UniProtKB/TrEMBL;Acc:A0A178UJR4] MASLVASLFIFSLLRLGDALSVDYYAETCPQAEAAVMEAVKRATANDNTVPAALLRMHFHDCFVRGCDASVLLNSKGNNTAEKDGPPNISLHAFYVIDNAKKAVEKLCPGVVSCADILALAARDAVALSGGPKWEVPKGRKDGRVSKASESTQLPAPTLDFSQLKQIFSQRGLSIKDLVALTGGHTLGFAHCSSFQNRIHNFDATHDVDPSMNSDFAARLRKVCPAHNKVKNAGSAMDSTTTVFDNAYYKLLIQGKGLFSSDEALLTHPKTKQLASKFAASQEEFFEAFVKSMIRMGSIQGGQEVRKDCRVVNQ >Ma03_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6141843:6172441:1 gene:Ma03_g08470 transcript:Ma03_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MMRAPDRLRLLAPLLLLLLLPSSSLSSSPPDVRESIGELLRRLENKRPSSSVQVAAAHALLLRLLPTHHSSFRFEIIPKGICKQNGCFHISNVNSSNSDGAEILIRGTTAVEISSGLHWYLKYWCGAHISWDKTGGVQLASVPPPGSLARVDGEGVKVERPVPWSYYQNVVTSSYSYVWWDWRRWEKEIDWMALQGINLPLAFTGQEAIWKKVFKGFNVSSDDLNDFFGGPAFLAWARMGNLHGWGGPLSQNWLNQQLLLQKQILSHMVELGMTPVLPSFSGNVPAVFRKLFPSASITRLGDWNTVDGDLRWCCTYLLDPKDPLFVQVGEAFIKQQIEEFGDVTDIYNCDTFNENSPPTDDPKYISLLGAAVYKAMSKGDKDAVWLMQGWLFSSDAAFWRPPQMKALLHSVPIGKMIVLDLFADVKPIWIQSSQFYGVPYVWCMLHNFGGNLEMYGILDMISSGPNDARLSKNSTMVGVGMCMEGIEHNPVVYELMSEMGFRSQKVELKEWLKSYSLRRYGQAFPQIEAAWNILYHTIYNCTDGVADHNRDYIVQFPDSVPILQDSQSSNEGPSRRFSVVDKNHRFSFRETSSSMPRPHLWYSTEEVINALKLFLDAGDNLTRSATYRYDLVDLARQVLSKLGNQFYLDVMTAYQEKDAKALNFHSQKFLDLIEDIDELLASNNNFLLGTWLESAKSLAVSDSERRQYQWNARTQVTMWYDNTKTNQSKLHDYANKFWSGLLRSYYLPRASTYFSYLSRSLQQNTDFALEKWRMDWISYSNNWQAGTEVYATEAAGNSIAISKALLEKYFS >mito3_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:684018:685616:1 gene:mito3_g00110 transcript:mito3_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRNQRFSILKQPIPSTLNQHFINYPTPSNISYWWGFGPLAGICLVIQIVTGVFLAMHYTPHVDLAFNSVEHIMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYYASYCSPREFVRCLGVVIFLLMIVTAFIGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHYLLPLILVGASLLHLAALHQYGSNNPLGVHSEMDKITSYPYFYVKDLVGRVAFAIFSSIWIFYAPNVLGHPDNYIPANPMSTPPHIVPEWYFLPIHAILRSIPDKSGGVAAIAPVFISLLALPFMKNMFIRSSSFRPIHQGIFWLLLADRLILGWIGCKPVEAPFVTIGQIPSFLFFLFFAITPILGRVGRGIPDSYTDAT >Ma10_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26925521:26927705:-1 gene:Ma10_g14500 transcript:Ma10_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVFVLFVLLCSEFGFLRLGFALGINYGQVANDLPTPDQVLSILTSLKITNTRIYDTNPKVLAAFANTGIELIVTVPNESVGLLTDPRQALQWVATNVKPYFPATKITGIAVGNEVFTSDDPTLMSNLVPAMVSIHAALVQLGLDSYIHTSSANSLAVLENSYPPSLGSFRPDLANLMAPFLQFLAATKSPFWINAYPYFAYKDDPERVPLDYVLFNPNSGMKDPSTSLHYDNMLYAQVDAVIFAMDRLGYGGVEVRVSETGWPSKGDPDEIGASAENARVYNRNLLLRQMGNEGTPLVPHRRLEVYLFALFNEDMKPGPTSERNYGLFRPDGTVAYNMGLTALPASSSSSSSAAASVFLTSSATRESKETGRFWQWGTLVFSLTIQALMRKAF >Ma04_p31010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31468825:31469079:-1 gene:Ma04_g31010 transcript:Ma04_t31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEYHGSDPWFNKLFNGSMRRLSTVVIKKLLQVYRAFRSVKVLVDVGGGTGITLQISPPSTLTSRASITISLMLSPRPILLT >Ma10_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27073351:27075394:1 gene:Ma10_g14730 transcript:Ma10_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNTPSLVSPAGSRQVSPLPFLFFALLFFACSGPASEARCVFPAIFNFGDSNSDTGGFWAAFPAQTGPFGMTYFKRPSGRASDGRLFIDFLAQALGLPFLSPYLQSIGSDFRHGANFATLASTVRLPNTSLFVTGISPFSLGIQLNQMKDLRTRVLALKGTEHLPPGNVFGQSLYTFDIGQNDFTSELGSLGIGAVKQYLPQVASQIAWTIKEIHDIGGRTFMVFNLAPVGCYPAFLTELPHNTSDLDSYGCMISYNNAVVDYNNLLNDTLQHMRDMLPGATIIYVDTHSVKLELFRHPKNHGLIHGTKACCGHGGGAYNFDPQVFCGNSKVINGIKVTATACGDPQNYVSWDGIHGTEAANKRVTGAVLGGSIFHPPFPLSKLCDLQPIG >Ma07_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8847929:8849149:-1 gene:Ma07_g11940 transcript:Ma07_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGNSSKTKRRRVSHSKDSKYSLPDELLVQILSLLPVKSALRFRCVSRKWLALLSDRGPYSVRYLCPTMCGFFYRRQHLGQPWRYAPIHPYRDHHFDLNMLTAHLPDHRNLTLLDSCNGLLLLGCREERSYKSMIICNPFRNEETDWVTLHMNASFKLLPLREFVSSKLVSHRASPHFKCFFFFEDFNLNELGVRSVFWYTKLSSDIGQSHYIDNLPQHSPPQFDIYDVAFVDHCPQLCIISEDETDHVICVALNKSWEGRVRSLMGVSRGLAHFAFCDEHELHIWVLVKEDGKRVWKPKHICSSHPLIKQHKESHRRRKNEGNERVYSIFPLGFHPDLDVIFLQIEWRIYSLHLGSGSLDEVAGERGANPEGQMFLFHPFTMDPSASLGERREYHMELPDMNT >Ma06_p29330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30735766:30736512:1 gene:Ma06_g29330 transcript:Ma06_t29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFWIRRGRGQMAQNRSPLFCGCSLPEASPFSSLCHQTAAWDCLLALLHERDWLTTRESTPVRGPCRLPWSCTSVLLLVVNLVRITRHPSLFLCQMKCCI >Ma06_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11426668:11430392:1 gene:Ma06_g16840 transcript:Ma06_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASEDAVVIQAAKRAGEPTIITVNCPDQTGLGCDLCRAILDFGLCITRGDISTDGQWCYLVFWVVPRPTSSNIQWPSLKNRLLSLCPSCSIPFYFDMVNRPTSSQIYLLKLFSVDRKGLLHDVTKVLCELELTIHRVKVSTTPNGRVVDFFFITDAMELLHTKMRQDDLCERLNAVLGESVNSCEIELAEGFQQGFSSLPPVVAEELFKLELSGNEVCSAILSPEMKRLKKADVNIDNSLSPSHTLLQIHCVDQKGLLYDIMRTLKDCSIQVAYGRFLSDMKGSREVDLFILQSDGKKIVDPDKQGVLCSRLKLEMLHPLRVIIVNRGPDMELFVANPVELCGKGRPRVFYDVTLALKVLGICIFSAEIGRHTAFERQWEVYRFLLDDSRELPLGGSQARGEIVDGVRRTLMGW >Ma02_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25271692:25272432:1 gene:Ma02_g18910 transcript:Ma02_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISAAAVPAAAAVSCSSLNSSMRRKHSVKYMQGMNSFGGLKANNNVSSLGLPACTAAAFAKVLSSLRAPPKGKTKSRGGALSSTCNAAEEIFRIAAIMNGLVLVGVAVGFVLLRVEAWVEESES >Ma05_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11339380:11340768:1 gene:Ma05_g15250 transcript:Ma05_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALTIKGQSAHLNFPELTAQLPRPASASPKDIQAAAMLAAVTFCATGLTPCTSSECSGADHAEPPISRSPASTTSSSDNDDALFDLPDLCLDLREGFCYSSSSSWLPCADEDYVEFRVDEPFLWK >Ma07_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7929306:7930085:1 gene:Ma07_g10640 transcript:Ma07_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L37-1 [Source:Projected from Arabidopsis thaliana (AT1G15250) UniProtKB/Swiss-Prot;Acc:Q8LFH7] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPSSRIRKYNWSVKAIRRNTTGTGRMRYLRHVPRRFKNNFREGTQAAPRKKSVAAA >Ma03_p15640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16569452:16572530:-1 gene:Ma03_g15640 transcript:Ma03_t15640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCAKAYRIISFFLACLLLASNSAAAINSTTLDPRDEIRAKHRSIRAHLKRVNKPAVRTIKSPDGDLIDCVPSHLQPAFDHPKLRGQRPSDPPERPKGNNSSSTLLDAKFQAWTISGESCPQGTVPIRRASREDILRASSIQGFGRKPAAGRLRRDSRSTGHEHAVGYVMGAQYYGAKASLSVWAPRVTSVSEFSLSQMWVISGSFGNDLNTIEAGWQVSPQLYGDSRPRFFTYWTETGCYNLLCSGFVQTNNKIAIGAAISPISALDGGQFDIDLLVWKDPKHGHWWLEMGAGVLVGYWPTFLFSHLAVHANMVQFGGEIVNTRSSGYHTSTQMGSGHFAAEGFRRASYFRNLQLVDWDNSLIPLSSNLRLLADHPNCYTIQGGVNGVWGNYFYYGGPGRNVRCP >Ma03_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16569452:16572530:-1 gene:Ma03_g15640 transcript:Ma03_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCAKAYRIISFFLACLLLASNSAAAINSTTLDPRDEIRAKHRSIRAHLKRVNKPAVRTIKSPDGDLIDCVPSHLQPAFDHPKLRGQRPSDPPERPKGNNSSSTLLDAKFQAWTISGESCPQGTVPIRRASREDILRASSIQGFGRKPAAGRLRRDSRSTGHEHAVGYVMGAQYYGAKASLSVWAPRVTSVSEFSLSQMWVISGSFGNDLNTIEAGWQVSPQLYGDSRPRFFTYWTSDAYQETGCYNLLCSGFVQTNNKIAIGAAISPISALDGGQFDIDLLVWKDPKHGHWWLEMGAGVLVGYWPTFLFSHLAVHANMVQFGGEIVNTRSSGYHTSTQMGSGHFAAEGFRRASYFRNLQLVDWDNSLIPLSSNLRLLADHPNCYTIQGGVNGVWGNYFYYGGPGRNVRCP >Ma03_p15640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16569452:16572530:-1 gene:Ma03_g15640 transcript:Ma03_t15640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCAKAYRIISFFLACLLLASNSAAAINSTTLDPRDEIRAKHRSIRAHLKRVNKPASPDGDLIDCVPSHLQPAFDHPKLRGQRPSDPPERPKGNNSSSTLLDAKFQAWTISGESCPQGTVPIRRASREDILRASSIQGFGRKPAAGRLRRDSRSTGHEHAVGYVMGAQYYGAKASLSVWAPRVTSVSEFSLSQMWVISGSFGNDLNTIEAGWQVSPQLYGDSRPRFFTYWTSDAYQETGCYNLLCSGFVQTNNKIAIGAAISPISALDGGQFDIDLLVWKDPKHGHWWLEMGAGVLVGYWPTFLFSHLAVHANMVQFGGEIVNTRSSGYHTSTQMGSGHFAAEGFRRASYFRNLQLVDWDNSLIPLSSNLRLLADHPNCYTIQGGVNGVWGNYFYYGGPGRNVRCP >Ma07_p04800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3516680:3519046:1 gene:Ma07_g04800 transcript:Ma07_t04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPAPLAPPNGGQSQLVCSGCRNLLLYPQGAKSVCCAVCRAVTTVPPPEMAQLICGGCHTLLMYIRGASSVQCSCCHTVNLALEANQVAHVNCGNCQMLLMYQYGARSVKCAVCNFVTSIGASPSTEQKA >Ma07_p04800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3516500:3519046:1 gene:Ma07_g04800 transcript:Ma07_t04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPAPLAPPNGGQSQLVCSGCRNLLLYPQGAKSVCCAVCRAVTTVPPPGTEMAQLICGGCHTLLMYIRGASSVQCSCCHTVNLALEANQVAHVNCGNCQMLLMYQYGARSVKCAVCNFVTSIGASPSTEQKA >Ma07_p04800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3516680:3519046:1 gene:Ma07_g04800 transcript:Ma07_t04800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPAPLAPPNGGQSQLVCSGCRNLLLYPQGAKSVCCAVCRAVTTVPPPGTEMAQLICGGCHTLLMYIRGASSVQCSCCHTVNLALEANQVAHVNCGNCQMLLMYQYGARSVKCAVCNFVTSIGASPSTEQKA >Ma10_p25250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33497183:33499154:-1 gene:Ma10_g25250 transcript:Ma10_t25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAVRRTAVGARLAAAALCLVAFSVLAADRDKGWALDSYNRYKQFRYCVSVNVIGFVYSGFQVYAQAHYMMTEKHIIRRPMGDYLDFAMDQILAYLLISSSSSATARTGDWVSNWGRDPFPDMATGSVAVSFLAFLAFALSALISAYYLFRPSL >Ma04_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25273792:25276035:1 gene:Ma04_g23080 transcript:Ma04_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGRRLQLRPDHPIRNGGFALFVAAVLVFTIIAATYQPDDPLLHASSSSSSSKLTSFLVSTSNATFLADVSVLRTGEDFQNSSSPSSAADNRVAEIAPFIELSDIDNSTTSAAAAIAEVCDPGAPVDCADRELFHLLMRAAIESFPDIHFYRFGKPASVPGAAGACDMAWRFRPRDATRPTFYKDYRRFHLARSENCTLFVSKIDDYHSGVNARKRRRSKHGAGGGGGGEVVFEPKKNASAAEEHKADEKLEAVPVVGEAVNDTLPVIESESTFSAGKYLIYDGGGDRCKSMNHYLWSFLCALGEAQYLNRTLVMDLTICLSSIYTGTGQDAEGKDFRFYFDFEHLKDSASVIDQRQFWTDWGKWEKKDKLSLHFVNDFKLTPMKLAGVKDTLIMRKFGDVEPDNYWYRVCEGETESVIQRPWHLLWKSRRLMEIVSAVSSQLSWDFDSVHIVRGDKARNPELWPNLARDTSPDALLATLGDKIDNGRRLYIATNEPDTTFFDPLKDKYMTYFLNDFRDLWNETSEWYTETKELNGGIPVEFDGYMRVEVDTEVFLRGKKQLETFNDLTSDCKDGVNTCPASS >Ma05_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1898105:1899310:-1 gene:Ma05_g02810 transcript:Ma05_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELSEGESEERAQTKKQEIEERADWSNLKADTLKLIADRLIINITDYICFRCVCKPWRATNPPSRSHPPQLPWLVLGCEDDFDRLVFYSFSDSRVHKIKLPTIGDMVVINGSSDGWLVLEDRPSSSISLLNPLTGVQIHLPSAPRQLRSTHDNADASITKEFMQALIWKISMSSNPLATDQDCTMIVIASWNRALLSIRFGHDDDWSLLDDTTVYLDVIYFKGSFYAIDYTAQVFVFDSQLKKVAITEPRQESTNTLWQFAELSGELVVLGNMVSENPIVDEWEGTITFTTERIDILKLNMEGLISSSLEETKSLDDHILFLGVTSRSISRPAAQYPHGKHNTIYVHEVYSWHDDELACCRSGVYDLETASLRPLPYDHDNSEVILDCIINIWHEPCLF >Ma01_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7897540:7905893:-1 gene:Ma01_g11010 transcript:Ma01_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MAKRKKESEDEEARPVDPETEEKRRLRSLAFSRKLLRRAPSLPSAPLEPSKAVVRLQGRDLVKRGQRKSRYLFSFPGLLAPLSSGRIGELADLGTKNPVLYLEFPQGRMKLFGTHVYPKNKYLTLQLTKSFKGVACEDIFESMIVFADAWWIGQKEENPQELQLEFPKYLSEGKDAIDYDFKGGAGATVGEQSAGNKPVNKREPLSPETEFEDSLPDDSGNKVEPGTINMATPVRQSARTAGKKLSYAESSGDDSNSNCDAEQPATSAGVTSLELTHKGDEKIVDSCPVSSSVVASTSELVDRKTCSREKLKQTSSSEWSKGNLSNGKGTLVQATLSTLFEKVVDKKPKRGANTSPGTKGPASKRKRAASRKSSEQVEVNGSNKEEPKSSRKKGGKQHVTLQPSEVSDETDETSSEPQDDSDEDWAM >Ma09_p25290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36818577:36821265:-1 gene:Ma09_g25290 transcript:Ma09_t25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQSPKVRDVELGSLHSDNTSDYGMEDFFKQVTGIEKMMEKISNHLKKLQEANENSKSATKASSMKKIRQQMEKDVDEVGKIAHMIKTKLQEIDRDNLANRKKPGCEKGTGVDRSRMALTAALKKKSKDRMNDFQNLRKTIQDENREVVERAVFTVTGTLPTDEMIDRLIATGNNEQIFQRQFKKWHVFQIIDIIEEIQERNDAVFMDMAVLVEAQEEILDNIESQVATAMNHVQSGNNELLTAKSLSKRSRKCMFIAIVILLAIAAVIVLSILKPWK >Ma11_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23938477:23938554:1 gene:Ma11_g18910 transcript:Ma11_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGVEVKYFWEARCTTSMICRSS >Ma03_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10300037:10300379:1 gene:Ma03_g13290 transcript:Ma03_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVGSELIQKYLGDGYKLFKELFRAPILTLLVDFIDEIDTYGTKRYDVNSGGEIEIQRTASELLNRWTNLYGLDTRDDVV >Ma10_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24568694:24569501:1 gene:Ma10_g10820 transcript:Ma10_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFALSSQSVVHREPRCTGVGNMGRSPCCEKAHTNKGAWTKEEDEKLVSYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDEHIIKLHGVLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLGRGIDPQTHRPIPQQPKTAMVQDMAAAVQAPAEYSSSDEAICGGASQDDVRYIDLNLDL >Ma06_p35620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35399156:35400631:1 gene:Ma06_g35620 transcript:Ma06_t35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGGAAAGCCDGFAGKRLCGPLPPPPLLTPLERFLSSCCREEPDHGDPQGLTDVLLGAVGFSANGNLVGGSSNVVKGQWTAEEDSMLVRLVKQHGVRKWSQIAKNLVGRIGKQCRERWHNHLRPDIKKDMWTEEEEKQLVEAHMSFGNRWAEIAKQIPGRSENSIKNHWNATKRKLNAKRRSKRKATKGGRCPPSVLQNYILSKTRDLSKTCSTTNTTTVSKQLDTPYWQPHNPSSGQDPTSSVEESFPYIHEVLEQSSVPNGSIEEGGIHGGEAHNSCNHYSLDFLHFDDDDFLPIGDVCQCMQLPYSAPETIAIDPACHRPNTWSELYPPCLFDGALSSSTGLLEMDSKSQILKDQASSSSKRDLDLVEMMSLQFSSSQRSSSSNSMLLSSDPNYL >Ma02_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20307198:20307551:1 gene:Ma02_g10850 transcript:Ma02_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTKGLFCYRSRFNDSPVQPLDLKAASKNDVPREMSTLGHFISTHKECPFCVNSCTRFFCCMLQVRFICIYLHIYMLRGFHRPKLIIKMM >mito6_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000017.1:87577:90201:-1 gene:mito6_g00020 transcript:mito6_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVAIVIGQATARQATTTNA >Ma05_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5479681:5482985:-1 gene:Ma05_g07530 transcript:Ma05_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIPEGGDQEALQASHLQMLSSVIPSDEEGRVSLTQSYHHAFMGFSAMLTETEAASLSGYDGVVSVFRDRILRLHTTRSWDFLEAESGIGSERLHDRSSNDVIIGIIDTGIWPESPSFSDAGMGKIPSRWKGTCMEGSDFKKPDCNRKLIGARYYTSQAESIRPATNGSHTIKVNGFGSARDSVGHGTHTASTAAGTVVQNASYYGIAQGEAKGGSPSSKLAIYKACSLGGCASSTVLKAIDDAIDDGVDVISISIGMSSAFQTDFLSDPIAIGAFHANQRGVMVVCSGGNDGPDPYTVVNSAPWILTVAASSIDRTFQSQIVLGNGSILKGFAINFSNLSSSDSFPLVFGGDVAAESTPVSEASNCYPGSLDADKTAGKIIVCVGTDPTVTRRVKKLVAQGARAKGLILIDEDEKGVPFDSGSFPFSEVGNDVGAQILEYMNSTKKPSAVILPAEDAKEFKPAPIVAYFSARGPGGLTEAILKPDVMAPGVSIVAASIPSSDIGDVPVGKKPSSFAIKSGTSMACPHVAGAAAFIKSSHPRWSPSMIRSALMTTATITNNLGKPLTSNSGANASFHDMGAGEISPLRALSPGLVFETTTEDYLRFLCYYGYKDQVIRSVITGTNFSCPPDPTQDLISNINYPSISIAKLEGKQTLVKVSRTVTNVGPANSTYTAAVDAPSGFVVKVSPERLAFTKRWMKASYEVSFGAYDASRGYGYGSITWSDGAHTVRNGFAVNAM >Ma06_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9073547:9077264:1 gene:Ma06_g13240 transcript:Ma06_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLLARKGRHLQRYENQLRLVAGCIPYKIIGKPDNQSGDLINGVEVLMISSPGRHDFVFPKGGWETDETVCEAACREALEEAGVRGIIDEIELGNWVFRSKSSQGTCSQEGGCRGHIFALEVTEELDNWPEQKMHGRKWVALEEAYALCRYDWMREALDLFRIYLFSKPVPPVSGLYEPPKFRISTSAERAIASC >Ma08_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7538443:7541541:-1 gene:Ma08_g10290 transcript:Ma08_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDALLSDDSLANVPFLVLGNKIDIPYAASEDELRYHLGLSNFTTGKGKVNLADTNVRPLEVFMCSIVRKMGYGEGFRWMSQYIK >Ma10_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25029834:25030615:-1 gene:Ma10_g11530 transcript:Ma10_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAVALGLALLCLLIHSEIADAATYVVGDSGGWTFNVVGWPSGKRFRPGDVLVFRYNPSVHNVVAVSAAGYSGCSAPTGSRVFTSGNDRITLARGTNYFICSFPGHCQAGMKIAVTAV >Ma11_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21727207:21728822:1 gene:Ma11_g16170 transcript:Ma11_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGTANCIDILLAIILPPLGVFLKFGCEMEFWICLLLTLFGYIPGIIYAVYAITK >Ma02_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19361830:19363899:-1 gene:Ma02_g09270 transcript:Ma02_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39680 [Source:Projected from Arabidopsis thaliana (AT5G39680) UniProtKB/Swiss-Prot;Acc:Q9FK93] MHTVPSSQASAPRESDLHILKVSTESKNLALGNAVHARLIKTSQFDVVPSNHLLGLYCKCDRLSAARQLFDEMPERNGVSCNLLMAGYLHGGFPTQALSVFKLMSFGELLFHPNEYVFTTALASCADISALNEGRQCHANVLKSGLVSHSYVRNALLHMYTKCLDMESALGVFGGGSDFDLFVFNSMINGFLDNGQQSEAKNILSRMVKEIAQWDHVSYVSVLGLCTDSKDPKFGGQIHGQILRRGMEYSDFVCSAIVDMYGKCSDVQNAVLAFHELPGKNVVSWTAVMASCTQNGHFEESLKLFIQMADDGVQANGLTYAVALNSCAGLSALRSGDALNGHAEKTGCKSYLSVGNALINMYSKSGSIDDATKAFTSMLNRDIISWNSIINAYSNFGFAKEALETFHNMLAEEETPTCVTFIGVLSACAHLGLVDEGFYYLNHFMRELGIEPGKEHYTCMVGLLCRAGRLDEVDRFMRDTRTEWDIVAWRTLLSACQVHGNHGLGYKVAEHILQLDPDDVGTYISLSNMYSKARRWDGVVKVRKLMRQRDIKKEPGVSWIQIGNETHVFVSEDKKHPWMEQITEKVAELITEIKLIGYVPNIACASHDVEDEQKEEYLRYHSEKLAIAFGVIRMPPGAAIYVMKNLRMCDDCHVAMKLISIVTNRKLVVRDANRFHCFESGCCSCDDYW >Ma01_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15123736:15124312:-1 gene:Ma01_g19450 transcript:Ma01_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAAPSLMSLGLLLVLLSAAAAAAASGDELSLGWIPVRSGCSGSVAECVAGEEFELGSEVTRRILARSYYISYAALRRDSVPCSRRGASYYNCRPGARANPYSRSCSAITRCRR >Ma03_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3258461:3261263:1 gene:Ma03_g04940 transcript:Ma03_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAFHLPPSTFSLCFRCISILKQISRNLEDLFSRAIASLLSSLPHRHHLLLSSICVSVFPVSCPTLQANHLFGIPIITLQSQTMDMDASDSWLAFSHSQQPYFLQAFSSYHRGGVEGADEETNAATELVALAGMGPKLEDFLGGPLGRYSGGDDAPGAEGVYDSDLKTIAAGFLRGHPAEQQELQAAKEAAPPAESRKAAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVNSIVNSNLPIGGLSAGSSKASESSPSSSSDAMSIDVKHQLHRYDPSASLGPATVAMKQDRDYWSLLALHHHQQQQQSSQASGFSLFSSGSTMDFATAASNKVMSQETGGGGGGVAWNNGMLEQQHEQSQGSTCTSIPYATYVACGGGYGYEGATASGWVAPPSSYYQQTSNPNVAAFQTAIFGME >Ma07_p16210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12952284:12956866:-1 gene:Ma07_g16210 transcript:Ma07_t16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAASSALFYANPTLHAGFQDMRAKTPTAEFLHLSAKFSDGLPTSAFRVLKHPSRLRQNLGSVGRPGRTPGVAYATAATEKRVHDFTVKDIDGKDVSLNKFKGKILLIVNVASRCGLTSSNYSELSHIYEKYKTQGFEVLAFPCNQFGGQEPGSNSEIKQFACTRFKADFPIFDKVDVNGPNAAPVYQFLKSSAGGFLGDLIKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLLAA >Ma01_p18990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14371123:14388862:-1 gene:Ma01_g18990 transcript:Ma01_t18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MGGEMIEDADAEVLSSMWPVDIGNEARKQFNIENPGMGRDMLKDVTIKEDPSVVDFKRLLELTNYSEKGSSQLAYLVKHWQYKHVNTARLINEELDILNKQRQEVELKKQEILEEHQFEEERYTGSRRQLSVLDEVCDIWGRVPKRRNDVLAYNKKLDIDAEYGTVIYWKERAIQLDKMLEASIHREQTLMQKLQETIKNLETKSSPVEELSQILKRADNFLHFILQTAPVVIGHQDKELRYRFIYNHFPSLEGEEIIGKTDVEIFDGDGVKEIQDFKREVLERGLPAKREMTFDTPLFGAKTFLIYVEPVFSKEGEKIGVNYMGMDVTDQVAKREKMVKLREEMAVQKAKETELNRTIHITEETMRAKQMLATMSHEIRSPLTGVVSMAEILSTTKLDKEQRHLLDVMLSSGDLVLQLINDILDLSKVESGAMKLEATKFRPREVVRHVLQTAAASLKKNLTLEGHVGDEVPVEVIGDVLRIRQILTNLISNAIKFTHEGKVGINLDVVSEKYPGFREEQLKVRSGASVSPLTRQSADSSSERQSCNDRETSHCPSSQENIDENGIILQRASLIDDEQDNHSQHENIVWLRCDVYDTGIGIPEKALPSLFRKYMQASADHARKYGGTGLGLAICKQLVGLMGGNLTVTSQENHGSTFTFILPFKVLLKQDSGSADEMDISDGEAVAVSTKDEIVGSFLFKPRKLIDSVVRKTKLYRSCNYGALGTSNQLSEESDLFSSNYESKKSASPPGVSANSDARCSMAEAEGPAEQNCDIKVYGFGNANKRDEECTDAKQGFTVHKFDNSNMDHCRIKKIDQSECERMMSADGDNMAISDKCHPTCQSQGHDKENGNAQCLPNSRSPKILLVEDNKINVMVAQTMMKQLGHKIDVVNNGLEAIRALQHFHYDLILMDVYMPVMDGLQATRLIRSFEEHGCWDASVVIRDEHATPRSDLSPYYPAAGQCRKRIPIIAMTANAITESAADCLASGMDSFISKPVTFQNLRQCLQQYLPC >Ma01_p18990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14371123:14389228:-1 gene:Ma01_g18990 transcript:Ma01_t18990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MGGEMIEDADAEVLSSMWPVDIGNEARKQFNIENPGMGRDMLKDVTIKEDPSVVDFKRLLELTNYSEKGSSQLAYLVKHWQYKHVNTARLINEELDILNKQRQEVELKKQEILEEHQFEEERYTGSRRQLSVLDEVCDIWGRVPKRRNDVLAYNKKLDIDAEYGTVIYWKERAIQLDKMLEASIHREQTLMQKLQETIKNLETKSSPVEELSQILKRADNFLHFILQTAPVVIGHQDKELRYRFIYNHFPSLEGEEIIGKTDVEIFDGDGVKEIQDFKREVLERGLPAKREMTFDTPLFGAKTFLIYVEPVFSKEGEKIGVNYMGMDVTDQVAKREKMVKLREEMAVQKAKETELNRTIHITEETMRAKQMLATMSHEIRSPLTGVVSMAEILSTTKLDKEQRHLLDVMLSSGDLVLQLINDILDLSKVESGAMKLEATKFRPREVVRHVLQTAAASLKKNLTLEGHVGDEVPVEVIGDVLRIRQILTNLISNAIKFTHEGKVGINLDVVSEKYPGFREEQLKRASLIDDEQDNHSQHENIVWLRCDVYDTGIGIPEKALPSLFRKYMQASADHARKYGGTGLGLAICKQLVGLMGGNLTVTSQENHGSTFTFILPFKVLLKQDSGSADEMDISDGEAVAVSTKDEIVGSFLFKPRKLIDSVVRKTKLYRSCNYGALGTSNQLSEESDLFSSNYESKKSASPPGVSANSDARCSMAEAEGPAEQNCDIKVYGFGNANKRDEECTDAKQGFTVHKFDNSNMDHCRIKKIDQSECERMMSADGDNMAISDKCHPTCQSQGHDKENGNAQCLPNSRSPKILLVEDNKINVMVAQTMMKQLGHKIDVVNNGLEAIRALQHFHYDLILMDVYMPVMDGLQATRLIRSFEEHGCWDASVVIRDEHATPRSDLSPYYPAAGQCRKRIPIIAMTANAITESAADCLASGMDSFISKPVTFQNLRQCLQQYLPC >Ma01_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14371123:14389228:-1 gene:Ma01_g18990 transcript:Ma01_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) UniProtKB/Swiss-Prot;Acc:Q3S4A7] MGGEMIEDADAEVLSSMWPVDIGNEARKQFNIENPGMGRDMLKDVTIKEDPSVVDFKRLLELTNYSEKGSSQLAYLVKHWQYKHVNTARLINEELDILNKQRQEVELKKQEILEEHQFEEERYTGSRRQLSVLDEVCDIWGRVPKRRNDVLAYNKKLDIDAEYGTVIYWKERAIQLDKMLEASIHREQTLMQKLQETIKNLETKSSPVEELSQILKRADNFLHFILQTAPVVIGHQDKELRYRFIYNHFPSLEGEEIIGKTDVEIFDGDGVKEIQDFKREVLERGLPAKREMTFDTPLFGAKTFLIYVEPVFSKEGEKIGVNYMGMDVTDQVAKREKMVKLREEMAVQKAKETELNRTIHITEETMRAKQMLATMSHEIRSPLTGVVSMAEILSTTKLDKEQRHLLDVMLSSGDLVLQLINDILDLSKVESGAMKLEATKFRPREVVRHVLQTAAASLKKNLTLEGHVGDEVPVEVIGDVLRIRQILTNLISNAIKFTHEGKVGINLDVVSEKYPGFREEQLKVRSGASVSPLTRQSADSSSERQSCNDRETSHCPSSQENIDENGIILQRASLIDDEQDNHSQHENIVWLRCDVYDTGIGIPEKALPSLFRKYMQASADHARKYGGTGLGLAICKQLVGLMGGNLTVTSQENHGSTFTFILPFKVLLKQDSGSADEMDISDGEAVAVSTKDEIVGSFLFKPRKLIDSVVRKTKLYRSCNYGALGTSNQLSEESDLFSSNYESKKSASPPGVSANSDARCSMAEAEGPAEQNCDIKVYGFGNANKRDEECTDAKQGFTVHKFDNSNMDHCRIKKIDQSECERMMSADGDNMAISDKCHPTCQSQGHDKENGNAQCLPNSRSPKILLVEDNKINVMVAQTMMKQLGHKIDVVNNGLEAIRALQHFHYDLILMDVYMPVMDGLQATRLIRSFEEHGCWDASVVIRDEHATPRSDLSPYYPAAGQCRKRIPIIAMTANAITESAADCLASGMDSFISKPVTFQNLRQCLQQYLPC >Ma07_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6408763:6410670:-1 gene:Ma07_g08620 transcript:Ma07_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQSPPGMPLDSDPTTLSPSILPPSSSPRRLDHPSSRSSAVTPIPQVTSAILAFVAWSDSRGAPTIGTPHDRLTAEWDSAFTREHHSTTRPCSPPPSSSTPASYLLSRRTTNRYGRLFCARPAESSTSSRFVHESFPFSIALSWKGSAPDSLTIGSENQQRSVVFPKGNPIPSVKALAFYRSTTFTVDVVYADVGDMQVPAKISTYTIGPFQTSKDERDKLKVKVWLNLHGIVSIEPATMLEEEEVEVATSDVAELTK >Ma02_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24447133:24450069:-1 gene:Ma02_g17610 transcript:Ma02_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAKAIAASPSSSSSPREALANPEAESQISAILFDTWQQVQEAMQSMLKMTSEIEQSSAEIKEEIEKCKESVEVRSKALEEAKEHLQKTAIAVLQIFNGPEVV >Ma04_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23413456:23413602:-1 gene:Ma04_g20620 transcript:Ma04_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRIPSHLSKRGERGKRMISWKFCTYFVYVIYFSFQIKKIKFVGKKK >Ma11_p23660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26914017:26915995:-1 gene:Ma11_g23660 transcript:Ma11_t23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEKAQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVKLGERKDIKSSVSSAGVTSQCQKCYQAGHWTYECKNERVYISRPSRTQQLKNPKLKMTLSVSYELDNPDIGKEVRDEGRDKKESWGKNGTKSKRKHRSGTDSDEDSSEASVFETDSESSVTGSEDSSGESSYSSSSSDSEERRRRRKKHKKRRHRRDSSSSDSSETESASDSDSDEKISRRKSRRHSRRR >Ma11_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26914017:26915528:-1 gene:Ma11_g23660 transcript:Ma11_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEKAQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVKLGERKDIKSSVSSAGVTSQCQKCYQAGHWTYECKNERVYISRPSRTQQLKNPKLKMTLSVSYELDNPDIGKEVRDEGRDKKESWGKNGTKSKRKHRSGTDSDEDSSEASVFETDSESSVTGSEDSSGESSYSSSSSDSEERRRRRKKHKKRRHRRDSSSSDSSETESASDSDSDEKISRRKSRRHSRRR >Ma08_p26240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38870256:38875775:1 gene:Ma08_g26240 transcript:Ma08_t26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAKKQINLFYCAESEELARKVADHSDVIQLQTITWRNFDDGFPNLFINNAHDIRGQHVAFLASFSSPGVIFEQISAIFALPKLFISSFTLVLPFFPTGSFERMEEEGDVATAFTMARILSMIPKSRGGPTSIVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLHELPDADNITIAFPDDGAWKRFHKLLMHFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLIECQKVLAAHGAGKVSAYVTHGVFPKRSWERFLHNNADHQFAYFWITDSCPLTVKAIANKAPFEVLSLAGSIATALQI >Ma08_p26240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38869836:38875775:1 gene:Ma08_g26240 transcript:Ma08_t26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAKKQINLFYCAESEELARKVADHSDVIQLQTITWRNFDDGFPNLFINNAHDIRGQHVAFLASFSSPGVIFEQISAIFALPKLFISSFTLVLPFFPTGSFERMEEEGDVATAFTMARILSMIPKSRGGPTSIVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLHELPDADNITIAFPDDGAWKRFHKLLMHFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLIECQKVLAAHGAGKVSAYVTHGVFPKRSWERFLHNNAEGSDHQFAYFWITDSCPLTVKAIANKAPFEVLSLAGSIATALQI >Ma09_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35983109:35991605:1 gene:Ma09_g24300 transcript:Ma09_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVPAHVLRDGWDWWEEVNNSTLWQDRIFHALAALFGLVSAVALIQLFRIECRVPEFGWTTQKVFHFLNFLVNGVRSLIFVFRRHIQKIKPEIIQHVLLDLPGLAFFTTYALLVLFWAEIYYQARSISIDGLRPCFYTINAVVYAIQFVLWFLLWWEPIQAMIILSKIFFAGVSLFAALGFLLYGGRLFLMLKRFPVESKGRRKKLQEVGYVTTICFLCFLLRCIMMCFNAFDKAADLDVLNHPILNFLFYLLVEILPSSLVLFILRKLPPKRRITQYHPIH >Ma08_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2887810:2893482:1 gene:Ma08_g04110 transcript:Ma08_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPTIHGRRIQQQKQKERASSPLLSPLLLPHQRTRSKRSSASHENMTSLPLPVIRKLAVEVIDARDLLPKDGHGTSSPYVVVEFDGQRKQTHTVPRDLNPQWNERLEFVVADDVSLEDEELNVEVYNDKRMGSPSGARKNHFLGRVRIYGSQFARRGEEGLIYFPLEKRNLLSWIRGEIGLKMYYYDEPISEEGKPEGTDPDQLPAQQHKPEEPKDPPPDLPEPTETAAETQSPPLVSVVVVAESPPPTAHGQDDMAVPPSPETTPVEAYPPEMRKSQTSAFTERVRASSRRPMGGDYRPRVVSGRFVSHSEAGGGNHDRFPPPVYDLVEPMQYLFVRIVKARGLRPCHSPHVKIRTGPIAGQSLPARDSGAGCPEWNQVFALSQCKPESTLEISVWEDGPNEAFLGGVCFNLTDVPVRDQPDGPLAPQWYKLEGASDDAPVTGDIMVAVWIGTQADESFAESWNSDAPYVSYAYTRSKVYQSPKMWYLRAYVIEAQDLRLASAAPLPPGVPHNVRVKIHLGFQSAMTRRPIAVSSSSSSLSWMEDLMFVASEPLSNHEMIVEVEDRSTKEPEPLGYAVVPVVSVEQRLDERQAVASRWFNLESTATRECGAAPGGGYRGRIHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAVGVMELGILGARGLIPMKTRGAGGGGAKGSTDAYCVAKYGKKWVRTRTITDSFDPRWNEQYTWQVYDPCTVLTIGVFDNWRMFDAAGNRQDYRIGKVRIRVSTLESNRVYTASYPLLRLLPSGVKKMGEVQLAVRFACAGLLPDTCAMYAQPMLPRMHHLRPLGVLQQDVLRVSAIILVSEWLERSEPPLGQEVVRYMLDVNWHSWSNRRSKANWFRIMGVIDWAFGLARWIDDIRRWRNPTTTVLVHVLYLVLVWYPELVVPTASLYVFLIGAWYSRFRPRAPAGMDVRLSQADMVDAEDLDEEFDPVPSTKPAEVVRARYDRLRILAARVQRLLGDLAAQGERVQALVSWRDPRATKLFIGACLAVALVFYVVPPKMIAVALGFYFLRHPMFRDPMPPASLNFFRRLPSLSDRML >Ma05_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15872957:15874744:-1 gene:Ma05_g16270 transcript:Ma05_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPINMLFNCSTTYRGNHWLTNEIIPGMREEGIVSPVKDQGHCGSCWTFSMTRALEAAYAQVTGKNISLSEQQLVNCAYAFNNFGCNGGFPSQA >Ma00_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31386512:31387168:-1 gene:Ma00_g03840 transcript:Ma00_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESRKEGGSSSSSTEAMAMVFELHNGEADLVFCAVIMWLSVISIVIFSSVGGPSQPRQRSRDSRLVLPGEAGCRCGCCIGGAGVCGTYLS >Ma02_p05530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16806376:16811304:-1 gene:Ma02_g05530 transcript:Ma02_t05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSEEAEEEYASDQPNDGGGSHSHSPSAKVPGSDSSIDLSGRRFPVAGVPLHPGGDVLFWEANVEERATDFSEVEMMKERFAKLLLGEDMSGGAKGVCTALAISNAITNLSAAVFGELWRLEPLAPQKKTMWRREMDWLLSVSDYIVELVPSIQELPGGRSFEVMVSCHRTDLQMNLPALKKLDAMLLGMLDGFCNTEFWYVDRGFLVTDADEDASKTCPSSSFRRASSRQEEKWWLPCPGVPPTGLSEEARKRLQLSRDCANQILKAAMAINSAVLSEMETPDVYFETLPKYGKTCLGDIIYRYITADSFSPECLLDCLELSSEHHILEIANRIEAAIHVWRLKGQSKQSQSKAKNSWSGKFIGLVGDTERFLFLAERGEGLLQSLRLRYPALPQTILDMNKIQYNKDVGQSILESYSRVMESLAFNIVARIDDLIFVDDATKNCAAPESISIFNRGGLGGIPIHKRISPSPFSFQNTPSASPFCPSTPLIGSPVTVPSSLSKGSRQEQQEGKVERLISGNADEVWSYTRELCSRKETGDAPERN >Ma08_p29920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41357557:41358210:1 gene:Ma08_g29920 transcript:Ma08_t29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGAPDKDINLGSVRKRLREVKLHFSQRRWLLAEGKSEASLFSTTGSDTMIEIIEDKNNII >Ma01_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1133939:1134705:1 gene:Ma01_g01640 transcript:Ma01_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAAKIQGFSDAHPSLIMQAFLMGLRPSWFFWLLIEKPPTTIPEMLQCANQYIVAEALMVGRREDNKRPRMEEKGLLRQPNPQKATHKDRSKYYRFHQDYDHDTEDCHNLQNQIEELIRRGHLGRYLKEPGEATPRPRGPVEKQIDVITGGPAADGSSSIVRKAYTQSTVEKRPRPEFEPEITFGTKEVERSHHDDALVIPIWIANA >Ma04_p24770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26711576:26712469:1 gene:Ma04_g24770 transcript:Ma04_t24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFNNKGSSAKLKHRLTWMLLGSSCTTTTTIAEAAPDPCTDFRYRNSRPSRATDDHRPRRVDPVGRVKPLFFLMNKKENDKEKRALIESVSPSSSLDSENDHDLFSSDEEEKDEDESGTLFSSKSFSTESSEFYHSSRKKKKKKKNTRRKSMKSTRRPPRRRVKHSQEPQPLVSISSSEKETKPEAAAYIAGFPVVKRSTDPYGDFRSSMAEMIVERGMSRARDLERLLHAYLHLNSPRHYQAILEAFSDVCEAIFGK >Ma03_p32860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34474913:34475253:1 gene:Ma03_g32860 transcript:Ma03_t32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFQVEHKKMTPEAALEHVRSRRPRVRLAPSQWRAVQEYSRRKLELPAVTPSTAYFLTGD >Ma06_p27400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29412263:29414737:-1 gene:Ma06_g27400 transcript:Ma06_t27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQARKPMQSQLIAQGEDEMRALHSGRREAVVPRNPDLRFNSTTKTCCREAADDAG >Ma06_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11895996:11898235:-1 gene:Ma06_g17530 transcript:Ma06_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFELNRRKSIGGSLFASVQRECLIAQQGNMQSKSRIHADPSLYQDHFDQIPDSLVLLIFNKVADIRSLGRCLAVSKRFNILAPQVHDVYVRIGRVVPVDGDAEEALTLSSPKPRNLLAHLLKLMLFAILKPFQHLQNFSAGHKPLLPHLSHHSPAQVLKKFTHVRNLRIELPAGDVGTEDGVFLKWRAEFGSTLQNCVILGGTKMDRRPSSANLEGSVEDTGSIPEPFYTNGGLKLRVVWTISSLIAASTWHYLVRQIIRDHPTLRSVVLTDADGQGTLTMGADQLKEFREKPLAASASSNRTQVPASNMKLRYTPYLELPEGMALQGATLVAIKPAEEGTSSGNCSRKDAEAFVCGAFDGPFQAAAKALLKRRTYLLEMNGF >Ma06_p17530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11895996:11899789:-1 gene:Ma06_g17530 transcript:Ma06_t17530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFELNRRKSIGGSLFASVQRECLIAQQGNMQSKSRIHADPSLYQDHFDQIPDSLVLLIFNKVADIRSLGRCLAVSKRFNILAPQVHDVYVRIGRVVPVDGDAEEALTLSSPKPRNLLAHLLKLMLFAILKPFQHLQNFSAGHKPLLPHLSHHSPAQVLKKFTHVRNLRIELPAGDVGTEDGVFLKWRAEFGSTLQNCVILGGTKMDRRPSSANLEGSVEDTGSIPEPFYTNGGLKLRVVWTISSLIAASTWHYLVRQIIRDHPTLRSVVLTDADGQGTLTMGADQLKEFREKPLAASASSNRTQVPASNMKLRYTPYLELPEGMALQGATLVAIKPAEEGTSSGNCSRKDAEAFVCGAFDGPFQAAAKALLKRRTYLLEMNGF >Ma06_p33290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33970141:33971935:-1 gene:Ma06_g33290 transcript:Ma06_t33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQNCLDLGIAGVQWQLR >Ma10_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33420761:33422430:-1 gene:Ma10_g25120 transcript:Ma10_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERVQAIASVCAANGAMPPEFIRSEHEQPGITTYRGPAPEIPVIDLAGDNQDQLTIAVAEASREWGIFQLLNHGIPGEVIRELQRVGMEFFELPQEEKEKYAMVPGSGSLEGYGTKLQKELEGKKAWVDFFFHYVSPPSRVNHAIWPKKPADYRQVNEHYGKHLACLVDRMLMALSRGLGLGDHVLKEALGGDGLEQLLKINYYPPCPRPDLALGVVAHTDMSAITILVPNHVPGLQVFNDEHWIDVNYVPDAVIVHIGDQIEILSNGIYKSALHRTTVNKEKVRMSWPVFCSPPGEMVIGPLQQLVGDESPPKYKAKKYKDYAYCKLNKLPQ >Ma03_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25997310:26014410:-1 gene:Ma03_g20920 transcript:Ma03_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSYSTSKEQARIYNEWFSFADSDGDGRITGNDAIKFFSLSNLSRPDLKQIWAIADSKRQGFLGFGEFVTAMQLVALAQAGNEITQDTLANADLEKLNPPVMEGLDTLLSRNKASTKKIDPEIDVNSKPQNPPSTVQWFSSKSAKKIPLSQVTSIIDGLKRLYVEKLKPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMAFSGLTMFGTAFLSKFECSQMPNPLLEHITFVDSPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKSDQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPINEAAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHSYIISHLRNEMPAMLGKAKAQQRLIDNLEDEFAKVQREYHLPAGDFPNVEHFREILGGYSIDKFEKLKPKMIQAVDDMLAYDIPELLRNFRNPYER >Ma03_p05540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3613799:3614974:1 gene:Ma03_g05540 transcript:Ma03_t05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPLKNTCSINLVVLKKLASRSCLLPDDVLIEILSYLPAKTFFKFLSVCKTFRQLSSNSHFLLSQSYHSKAISGFFVQRYSTYRSLLLIDPYAGIPRSSLDCLRNRNTRILGSAGGLVFVWHRNDGSFNATTSSLFVYNPARRTRCHLPSPPSKCLRGGIAVRFMTDINRLTKDYKLVYLSPTGQSSLYHCQVYDSAARAWTMNKQLNCGWRALDLQHPVVNGETVFWVSTLRTQVVIDPYVFAFNMRTECTETIELPQEATVRDADTIGIAEWERSTICLIHYGSLSQVFALWLLRETRNGVPRWMKAHEISLGQMGLRKPCYVSSVMLSEVETIMLLVFTVYDEVYSYSIQDGDLKKLGSVGIDDPTLIPYVNLLRPCGEQEELLEAI >Ma07_p20730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28693498:28695664:1 gene:Ma07_g20730 transcript:Ma07_t20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLEYDLKEFNPIDCKLGQAVAIATGAMWWNDLAPSKNLNFARDRSVECYFWILGVFFELYYSRARVIMTKVIALISILDDIYDVYSILEKSQQLAKMQVGRKAYFEESKWSAQHCVPTLEKYLPISLVSSTYPILECASFVGMGEIATEAFEWITSFPKIVQVAAIIEV >Ma06_p32820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33666243:33673006:1 gene:Ma06_g32820 transcript:Ma06_t32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein BOP1 homolog [Source:Projected from Arabidopsis thaliana (AT2G40360) UniProtKB/Swiss-Prot;Acc:F4IH25] MVAAAAAATAMGKSQRSSKNSDRLEQEIAENPAANEDVEEASGGDEESQPSDAASLSEDEGSQGSPVDSEEENGDVSDDEDHRSGEESDSSEEEVPSRNTIGDVPLEWYKDEEHIGYDVAGKKIKKQARKDRIESFLAGVDDAKNWRKIYDEYNDEEVELTKEEVKIIGRMLKGKTPHAGVDPYAPYVDWFVWDDKGHPLSNAPEPKRRFVPSKWEQKKVVQYVRAIRKGLIKFDKPKEEPRVYLLWGDDSSAAENKRHGLSYIPAPKPKLAGHEESYNPSVEYIPTQEEINSYQLMYEEDRPKFIPRRFESLRSVPAYEKALKENFDRCLDLYLCPRTRKKRINIDPESLKPKLPSRKDLRPYPRTCYLEYRGHTGPVKTISIDVSGQWMASGSSDGTVRVWEIETSRCLRVWNVGEAIKHISWNPLPELPVLAISAGHDVLILDTGLGNAEEQARIKELLHVEESKPEEDGSTPVVSWVQDDKYDCIRLKHLKAVTTVEWHRKGDYFTTVVPSGDSRAILIHQLSKKHTQNPFKKLHGLPVSAVFHPTRSIFFISTKKNIRVYDLLKQKLVKKLETGVREISSIAIHPGGDNVIVGSKDGKMCWFDMDLSSKSYKTLKTHPKDITNVAFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHTSSDGRGVLDCKFHPRQPWLFTAGADSIIKLYCH >Ma06_p27330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29367987:29373635:1 gene:Ma06_g27330 transcript:Ma06_t27330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSINRPPHRPSRHSSVLPHKTPNLRDHYSIGKKLGQGEFGTTYLCVDKNDGKEYACKSIPKVKLFCRDDYEDVWREIQIMHHLSEHPNVVRIRGTFEDALFVHLVMELCAGGELFDRIIQKGHYSERKAAQLIKTIVGVVEACHSLGVMHRDLKPENFLFASADEDAALKATDFGLSVFYKPGETLSEVVGSPYYVAPEVLCKLYGPESDVWSAGVILYILLSGVPPFWAGIFRRILQGRLDFESQPWPGISDSAKDLIRNMLCRDPRKRYTAHQVLCHPWILDDNVAPDRPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLRKVGSELMESEIQTLMDAADVDKNGTIDYGEFLAATVHMNKLEREENLASAFSYFDKDGSGYITIDELSQACREFGLDDVRLDEMIKEVDQDNDGQIDYSEFVAMMRKGNGGVGRRTMRNNINFNLADALGTEEH >Ma06_p27330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29367987:29373635:1 gene:Ma06_g27330 transcript:Ma06_t27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSINRPPHRPSRHSSVLPHKTPNLRDHYSIGKKLGQGEFGTTYLCVDKNDGKEYACKSIPKVKLFCRDDYEDVWREIQIMHHLSEHPNVVRIRGTFEDALFVHLVMELCAGGELFDRIIQKGHYSERKAAQLIKTIVGVVEACHSLGVMHRDLKPENFLFASADEDAALKATDFGLSVFYKPGETLSEVVGSPYYVAPEVLCKLYGPESDVWSAGVILYILLSGVPPFWAETEAGIFRRILQGRLDFESQPWPGISDSAKDLIRNMLCRDPRKRYTAHQVLCHPWILDDNVAPDRPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLRKVGSELMESEIQTLMDAADVDKNGTIDYGEFLAATVHMNKLEREENLASAFSYFDKDGSGYITIDELSQACREFGLDDVRLDEMIKEVDQDNDGQIDYSEFVAMMRKGNGGVGRRTMRNNINFNLADALGTEEH >Ma09_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26653852:26668431:-1 gene:Ma09_g19870 transcript:Ma09_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MVRNEGIRFVSSSIKIPAASSWPPSFRPLLHSSLFARHTLSFHLDRAKEAIHRFLTSLPNKWPHPPLLCLSSLAMARPEAEPNPRRHGREDEERVLISEVLIRNKDGEMLEKADLEAAAAGALKSCRPNSALTVREVQEDVHRVIQTGLFSLCMPVAFDTRDGIRLVFQVEPNQEFRGLICEGANVLPSKILEDAFRDGYGQIVNIRHLDQVINSINGWYQERGLTGLVSYAEILSGGIIRLEISEAEVNNITIRFLDRKTGEPTEGKTKQETILQQLITKKGQVYSRLQGKRDVETILTMGIMEDVTIIPQPTANPRKVDLVMNLVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNLSLERGQIDSIFRINYTDPWINADNKRTSRTIMVQNSRTPGTLVHGNNQSDHGGLTIGRVTAGIEYSRPFRPKWSGTVGLIYQRAGARDDKGEPIIKDFYSNPLTASGNAYDEMLIAKLESVYTDSGDRSSSMFVLNMEQGLPFLPEWLYFNRVSARARQGYELGPARLLLSVSGGHVFGKFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEISFGMYGPLEGVLFGDYGSDLGSGPTVPGDPAGARGKPGSGFGYGIGIRVDSPLGPLRLEYAFNDKNARRFHFGVGYRN >Ma03_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4568590:4571353:1 gene:Ma03_g06650 transcript:Ma03_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESETSAFRCSPLSLGGMREERSDMSEDEDGGGEEKDSWAPGLGVAGKSRGAPDPLPPPLCDQVLENVLENVLQFLTFRRDRNAVSLVCRSWYRAEAQTRRELFIGNCYAVSPRRAIDRFRCVRSLVLKGKPRFADFGLVPIGWGAHFSPWASAMAAGYPWLEKICLKRMSVTDDDLSLLALSFPFFKGLTLICCDGFGTAGLAVFAEKCRHLRLLDLIEDYFEEEEYEVVDWISKFPETTTSLETLGFDCLPSAVNFEALEALIARSPALRQLRVNHHVTVDQLRRLMVRAPQLTHLGTGSFRSPEEGEGMEHEAHLVSAFAASRSLVSLSGFREVASQFLPAIYPVCSNITFLNFSFAEITAEELKPVIRHCHNLQNFWVLDTVRDEGLQAVAATCKDLRELRVFPLDATEDSEGFVSDVGLTAISEGCRKLQSILYFCQRMTNKAVLTMSKNCQELVVFRLCIMARHLPDHHTGEPMDEGFGAIVMNCKKLTRLAVSGLLTDKAFGYIGKFGKSIRTLSVAFAGNSDMGLRYVLEGCPKLQKLEIRDSPFGDPALLSGIHHYYNMRFLWMSSCKLSHRGCEDVAQRLPRLAVEVIRDRPEAEDEAVEKLYMYRSLVGRRNDAPPFVKIL >Ma04_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22407980:22409457:1 gene:Ma04_g19770 transcript:Ma04_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAASPPLCVLLFLLSLRPHPTASYTSFVFGDSLVDTGNNNYLFTISKADSPPYGIDFAPSGGQPTGRFTNGRTIIDVIVQGLGDSSFPPPYLAPNASSSSTIFVGVNYASGASGILDETGSLFIERIPLGKQVQYFEETRDYLVGTLGENATGKFLRNAVFSIIAGSNDILNYLEPSMPLFEKTKLPPVALQDMMVSNLTLHLKHLHELGARKFVVVGVGPLGCIPYIRVIKLVADGRCSSSTNQLIQGYNMKLSRRIQELNEEMGPETVFVYANSYDIVRELMQNYLRYGFENVDDPCCGLSFPPLLCFGRHDGNSSSVLCKDRAKYVFWDAYHPTEAANIIIANKLLDGGATAATPFNLRRLYTSRS >Ma06_p18460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12630903:12640564:1 gene:Ma06_g18460 transcript:Ma06_t18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MTRIAAPDDDDGSGSPPPSTPPPPSAASKRDAFLLVTPGKHSVSSSAYEGGCRYAPFPPTPPSVDSAKRSPLQWVPLDKHPFFSSTQSSGKSPRDSRRSSNLLAWDAASSLLYAWDPVARCVLRLSLRFRDADPHFSPSSSPSSAVLEAAIPPEVLMPDFQMESVVDQISLNVDGSLLLLVGSDSLRVMYLSKKTSPTDQRSCKTASVASQIFSGKNNGLQTLQASWHPYSRSHFGVLSSDSVFRLFDLSSDVERAEQEYYLQPAEPVRCKKAASFCPVAFSFGGQHLWDTFSIFIVFSDGSVYILCPVVPFGSICRRTHIEEIYEDINMFGLNSSDLKAVSNSRLAIDWLEATFPELADQSAEGGSTLVSTAHPYAPIDASLSLQGPLTKVYLREGNNKSEVESIAGGEGRVVDFLYRSIGKDSILVIAWSSGQLQIDALADEVQPQWNVGPSPRLHLDSYGHIKGVAMICDSSSEEIPISKFCPPGSNISMANRPNLGHPPPLLRLAIVDLALSKNVLESCPLSLFPDPLLDQTFYCLHGGGIDLIALQFLPFTNLIPDTDMIGKPPSVYPILNTCSSESSESCSSVLFGFVAIADLYGHSQILSLSTSYEFIVLEVKAWNELLHLHYDNDKRSAVDVEASLPEVISKDLLIGPKAIAIPSSTTLRSLTADSIEGRSTLHHYIKLFRENYVEYAHKVYVELKEHAGYLQTFLNDQNKRLREAKQSILNIEAKEADIRNRINRSFKVYELLDQRLQNFRNLPATNKKPLSRAEHEFKAELDRFADVELDALHSAIQALNARLKRFYQSSSPASATPRSRKNVSNTQLSQIKSSLEMLSLLNKENSKKVKLIEHGLKSHEK >Ma04_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21950132:21950647:-1 gene:Ma04_g19330 transcript:Ma04_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKAYARAAPDETSGREPEPEITFPTGASERPDHDDALVISARIANAQVRRIMVDTGSSADILYFDAFQKLGLARESLSPMCSTLTGFTGDSISPLGAVTLPLTLGAPSRSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAAVSTYYQTIKFPTRAGVGEVTGSPRESR >Ma02_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18237138:18241221:1 gene:Ma02_g07620 transcript:Ma02_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESVRFMAREIHVLRRLDHPNVIKLEGIVASQKSCNLYLVFEYMEHDLAGLAAKPGVKFTEPQVKCYTQQLLEGLVHCHSRGVLHRDIKGSNLLIDDNGILKIADFGLATLFNPEQKQPLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPVMPGRTEVEQLHKIFKLCGSPHDEYWKKLKLPNATIFKPQHQYKRCVAETFKDFPSSALTLLDSLLSIEPAHRGTAASALESEFFKTKPFACKPSNLPKYPPSKEYDAKIQGQEIRRKRAEATKDRSGSARPGTRETKAMLDANVEQQAQTNPKSISEKYNNAQDEIGPGFLIDTPVGTTHTGFYHSGTRNVNQEELSIPGRSYSSVRVSNGPQLQTHRSYMHQSGAANFSGSVAPRSTANSRYNRHDVTEPSDKHTLDGPASVHKKDGRIVTKDSTVDYGTRNKRIHYSGPLMPPGGNIEDMLKEHERQIQEAVRKARVDKVKTKKNL >Ma03_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:129003:130238:1 gene:Ma03_g00080 transcript:Ma03_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCKELTNSDVSNIGRIVLPKREAEAYLPPLSEREGILLDMDDMTLAVTWKFKFRFWPNNKSRMYILENTAGFVRAHCLQAGDFLFIYRNPTSGNHIVRGNKGMPQRSPLDSLQYSSRNQFIVNEDCCSSTLHVKKARSDRRHSPINPNKIIGHGSSSLTMTELNDLEGDITCHPHYFPE >Ma00_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:37102434:37102674:1 gene:Ma00_g04380 transcript:Ma00_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIDDSAELIETWSMAIVGYVGSGLFVAIQ >Ma04_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4985731:4986108:-1 gene:Ma04_g06830 transcript:Ma04_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLHHEPRLPKRHRLPLQPPGRSCPRQIISRCSDVCKHSVELPHLFLLIISLTRCDLRRPWPFLSHAPLHFVAEEEDERKKVTKDVKYDVERWRRLSLSLTLLELRNEDDYCGSRPRTERWRL >Ma03_p20670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25818188:25825144:1 gene:Ma03_g20670 transcript:Ma03_t20670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLGIVLGIAFGLGLVISFARSETSRSKRRRELAASVASFSKMSFQDSRKIFPAAFYPSWVIFSHRQKLKWLNHELIKIWPYVNEAASEIIRTSIEPVLEQYKSAILSSFKFSKLNLGTVAPQFTGVTIIEDDGCGITMELDLNWDGNPNIVLDIRTKLGVVLPVQVKDISFTGVFRVIFKPLTEHFPCFGAVSYSLRDKKKLDFTLKVIGGEISTIPGISDAIEETIHDAIEDSISWPVRKVVPILPGDYSDLELRPVGILEVKLVQARNLTNKDIIGKSDPFAVLYIRPIRARMKISKVISNDLNPIWNEHFEFVVEDVPTQNLVVKIYDDDGVRPPELIGCARVRLKDLQPGKVEDIWLKLVKDLEVQRDKKDRGQVHLELLYCPYDMEDEIVNPFAHQFSMTSLEKALKSGKSRTKASHIHRTPTNRKRDVILRGVLSVTVISANNLPAMDMSGKSDPYVVLSMKKMSTINNKTRVVNESLNPIWDQTFDFVVEDGLHDMLILEVWDHDTFGKDYIGRCILTLTKVIVEKEYSDSFPLEGAKSGRLNLHFKWTPLPIYRA >Ma03_p20670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25818541:25825144:1 gene:Ma03_g20670 transcript:Ma03_t20670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDSRKIFPAAFYPSWVIFSHRQKLKWLNHELIKIWPYVNEAASEIIRTSIEPVLEQYKSAILSSFKFSKLNLGTVAPQFTGVTIIEDDGCGITMELDLNWDGNPNIVLDIRTKLGVVLPVQVKDISFTGVFRVIFKPLTEHFPCFGAVSYSLRDKKKLDFTLKVIGGEISTIPGISDAIEETIHDAIEDSISWPVRKVVPILPGDYSDLELRPVGILEVKLVQARNLTNKDIIGKSDPFAVLYIRPIRARMKISKVISNDLNPIWNEHFEFVVEDVPTQNLVVKIYDDDGVRPPELIGCARVRLKDLQPGKVEDIWLKLVKDLEVQRDKKDRGQVHLELLYCPYDMEDEIVNPFAHQFSMTSLEKALKSGKSRTKASHIHRTPTNRKRDVILRGVLSVTVISANNLPAMDMSGKSDPYVVLSMKKMSTINNKTRVVNESLNPIWDQTFDFVVEDGLHDMLILEVWDHDTFGKDYIGRCILTLTKVIVEKEYSDSFPLEGAKSGRLNLHFKWTPLPIYRA >Ma03_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25818188:25825144:1 gene:Ma03_g20670 transcript:Ma03_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLGIVLGIAFGLGLVISFARSETSRSKRRRELAASVASFSKMSFQDSRKIFPAAFYPSWVIFSHRQKLKWLNHELIKIWPYVNEAASEIIRTSIEPVLEQYKSAILSSFKFSKLNLGTVAPQFTGVTIIEDDGCGITMELDLNWDGNPNIVLDIRTKLGVVLPVQVKDISFTGVFRVIFKPLTEHFPCFGAVSYSLRDKKKLDFTLKVIGGEISTIPGISDAIEETIHDAIEDSISWPVRKVVPILPGDYSDLELRPVGILEVKLVQARNLTNKDIIGKSDPFAVLYIRPIRARMKISKSNDLNPIWNEHFEFVVEDVPTQNLVVKIYDDDGVRPPELIGCARVRLKDLQPGKVEDIWLKLVKDLEVQRDKKDRGQVHLELLYCPYDMEDEIVNPFAHQFSMTSLEKALKSGKSRTKASHIHRTPTNRKRDVILRGVLSVTVISANNLPAMDMSGKSDPYVVLSMKKMSTINNKTRVVNESLNPIWDQTFDFVVEDGLHDMLILEVWDHDTFGKDYIGRCILTLTKVIVEKEYSDSFPLEGAKSGRLNLHFKWTPLPIYRA >Ma10_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14353640:14358735:-1 gene:Ma10_g03750 transcript:Ma10_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRFLAFQKFRKAGYSFLANPRTSFSTTNPGFSSANGLPFSCRLFPQNKVFHGWNNLFMLYKTEENISKNNPLRKFFVISARSSMTHRIQVAWKQLCVMYSYRGIASSPVSKIACAASLAVARSHLVPSFLAFITGEIALSKTAWADGEYFPTQNALYMQAQDSHIFLTSFILSILECFILFLRAFYLAVLFSPIIVLAPLADSCDTQFRKMWNHLVHSTLEKAGPAFIKWGQWAATRPDLFPSDLCSELAKLHCKAPAHSFAYTRKSIERAFGRKLSDVFENFEEEPVASGSVAQVHRASLRFRHPGQHAKQLVVAVKVRHPGVGESIKRDFMIINMVAKISKFMPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGQSVSYYVDELQGHDRLKSALAHIGTHALLKMLLVDNFVHADLHPGNMLVRAQTKHSNKRLFKSKPHVIFLDVGMTAELSSSDRVNLLNFFKAVALRDGRTAAECTLRLSRNQNCSNPKAFIEEVDKSFSFWGSPEGDSVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYDIMQTLRTLLFKSELAQSLSYTIEALMSP >Ma09_p26450.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37729873:37731101:1 gene:Ma09_g26450 transcript:Ma09_t26450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLGSPVAESVMESPPPPPPPPPQETQQPPPLDLSLALAPSSPSSDQETKYVKLFPCLFCNKKFLKSQALGGHQNAHKKERSVGCSSYLYLAPTAVAPTAVPPPPLPIASHACRYLPLTDYSFGSHSDGFSPARRGPRFAADHPLLATVSSGRAMCAAGDLSASGDETIDLLNWRRGSHPTQELPAVPAAGDGPAELDLSLRL >Ma09_p26450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37729873:37731101:1 gene:Ma09_g26450 transcript:Ma09_t26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPPPPPPPQETQQPPPLDLSLALAPSSPSSDQETKYVKLFPCLFCNKKFLKSQALGGHQNAHKKERSVGCSSYLYLAPTAVAPTAVPPPPLPIASHACRYLPLTDYSFGSHSDGFSPARRGPRFAADHPLLATVSSGRAMCAAGDLSASGDETIDLLNWRRGSHPTQELPAVPAAGDGPAELDLSLRL >Ma09_p26450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37729439:37731101:1 gene:Ma09_g26450 transcript:Ma09_t26450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDLLIPPPKSSASPVAESVMESPPPPPPPPPQETQQPPPLDLSLALAPSSPSSDQETKYVKLFPCLFCNKKFLKSQALGGHQNAHKKERSVGCSSYLYLAPTAVAPTAVPPPPLPIASHACRYLPLTDYSFGSHSDGFSPARRGPRFAADHPLLATVSSGRAMCAAGDLSASGDETIDLLNWRRGSHPTQELPAVPAAGDGPAELDLSLRL >Ma09_p26450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37729873:37731101:1 gene:Ma09_g26450 transcript:Ma09_t26450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPPPPPPPQETQQPPPLDLSLALAPSSPSSDQETKYVKLFPCLFCNKKFLKSQALGGHQNAHKKERSVGCSSYLYLAPTAVAPTAVPPPPLPIASHACRYLPLTDYSFGSHSDGFSPARRGPRFAADHPLLATVSSGRAMCAAGDLSASGDETIDLLNWRRGSHPTQELPAVPAAGDGPAELDLSLRL >Ma09_p26450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37729439:37731101:1 gene:Ma09_g26450 transcript:Ma09_t26450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLGSPVAESVMESPPPPPPPPPQETQQPPPLDLSLALAPSSPSSDQETKYVKLFPCLFCNKKFLKSQALGGHQNAHKKERSVGCSSYLYLAPTAVAPTAVPPPPLPIASHACRYLPLTDYSFGSHSDGFSPARRGPRFAADHPLLATVSSGRAMCAAGDLSASGDETIDLLNWRRGSHPTQELPAVPAAGDGPAELDLSLRL >Ma09_p26450.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37729439:37731101:1 gene:Ma09_g26450 transcript:Ma09_t26450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDLLIPPPKSSASPVAESVMESPPPPPPPPPQETQQPPPLDLSLALAPSSPSSDQETKYVKLFPCLFCNKKFLKSQALGGHQNAHKKERSVGCSSYLYLAPTAVAPTAVPPPPLPIASHACRYLPLTDYSFGSHSDGFSPARRGPRFAADHPLLATVSSGRAMCAAGDLSASGDETIDLLNWRRGSHPTQELPAVPAAGDGPAELDLSLRL >Ma01_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10312589:10312699:1 gene:Ma01_g14070 transcript:Ma01_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCHQNGFLFLVLCSLCCHFSASWNNMFFKYCLQEF >Ma05_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6787190:6788948:-1 gene:Ma05_g09390 transcript:Ma05_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYWLVDDGITIVAIEDGMKPLLERGRDDNDKAVLLGLGVTLAVPLAGYLVFCFTRRNSISTLAGYGSRTGSKTAVVSTIISGRGLDDELCLLQQEQEGKALANVIHSISRNLCTTTTSAVTSDVITEQSSGVKSLEDGDVTSSQEIDQPSVPELEEEFVHLTNLVRRLWKKERNNEIQLLEYRGLEERETTVGELKNWLQICSTAAKSLSVKLEFLQLESQRLKVVASPSSGTMRELRSAKLKIKHLKKRLRSCRAQAGKQMNSLQHRIAMLQNKVDQDEQIDADVQIKLQRLEDLEDEAESAPVSQAEGFEEVKILRESKHKLEKRIEQLQTDHHAEVEELVLLRWLNSRLIYELAYNQLPPGKPAEKDPRNCRRPKHDAEDRRSSTSDVILSSCKPKLFLGKLKKLVRRRSSQSNSDISESCITFETRAAASTCSGDPTSSCLANHLTLTRAKPDDTERWQNNSA >Ma03_p30220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32948742:32951462:-1 gene:Ma03_g30220 transcript:Ma03_t30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFSAFASLSRRLRAPPTSHPLLLPAAVRFSSSSSSSSSSDADSENFPSYTDETSHPSLSSPSAAASAAEDATAVGETPQRLRPFQRPLENGLDQGVYKAILVGKVGQRPVQKNLRSGRAVVLFSLGTGGIRNNRRPLDNEEPREYAERCAVQWHRVSVYPDRLGSLALKHVKPGSILYLEGNLETKVFSDPISGLVRRIREVAIRRDGRLVFLGDEGKAAESDQGDPKNVGYY >Ma08_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5085066:5088369:1 gene:Ma08_g07420 transcript:Ma08_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFARGKRASKEKAAETSGEGKDREEDGLLQELGVTDRLREFVKTFTVDTFRSFPLHDDQAADPADVCAQSNVRKDLTEWQERHATLVLSEVKEIAQLRYVLCPRHLKERQFWRIYFQLVKSYVAPYEMHAIQKARMKKLEMEVEESLIKGAIEVEMAETNRGSGSSMTLISKENSLLKDDIDRV >Ma10_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27970089:27971569:-1 gene:Ma10_g16150 transcript:Ma10_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHRQLRRREIPTGNRFSLAKPVPRGRDDHDSFLGDMVHASPAERTTGKLGGTPSQPLWKTMESPMARSADSSPMHKHHNGKQVVPTPGAREIARYRQDMLDMVREMPESAYELTLRDMVETPRIAQTVQETIEKRREEAKDKAEKVKDQSKEKRRLLRKESMETGVFLRMFVPISLGGGRRKSSGGSNTCAKVSPRPVLAEAEKGGIVRMKGELWKKNDSNGKGSRSRKMNGCYDFFLTNKSRSM >Ma05_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32869323:32874958:-1 gene:Ma05_g21200 transcript:Ma05_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIKSVKARQIFDSRGNPTVEVDLHCDDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVENVNTIIAPALIGKDPTEQAQIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLALCKAGASVKKIPLYQHIANLAGNKNLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHNLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYSEKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWTHYAKMTEEIGDKVQIVGDDLLVTNPTRVAKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >Ma01_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7257055:7262270:1 gene:Ma01_g10130 transcript:Ma01_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMFFCNWESSVPVTEPPLSKWLHILILPKACIDESLVHWALPLLLVAMVDNKGPH >Ma02_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23836378:23841422:1 gene:Ma02_g16500 transcript:Ma02_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYCPDCKRGTEVVFDHSAGDTVCSECGLVLEAHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVISKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFKTIATMADRLGLVATIKDRANEIYKKVEDLKSVRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEFIVKQLEIEMGQSMEMGTIHAGDFLRRFCSHLGMTNQAVKAAQEAVQKSEELDIRRSPISIAAAVIYMITQLSDDKKPVKDISLATGVAEGTIKNSYKDLYPYASRIIPTFFSKEEDLKNLCSP >Ma07_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12014470:12018630:1 gene:Ma07_g15790 transcript:Ma07_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MRRRPWRRTFFVDLFLLLFIVPLVLFVLRVAFTGGDAEVRVKRSAELPLRFSSDGGFKILQVADMHYGNGLVTRCRDVLPTEAARCSDLNSTLFLKRMIEAEKPDLIAFTGDNIFGASATDAAESLFKVFRPAMESRTPWAAILGNHDQESTMTREELMSFISLMDYSVSQVNPSGFVVDGYGNYDIRVHGAWGSGLANTSVLNLYFLDSGDRVMVSGVRTYGWIRDSQLTWLHTISEELQSRYPAPALSFFHIPIPEVRELWFRGFVGQFQEAVTCSSVKSGILQSLSSMGDVKAVFIGHDHLNDFCGKINGTWFCYGGGFGYHGYGRVGWPRRARVISAHLAKGKEAWMGVETIRTWKRLDDDKLTKIDEQLLWSHDHLQPGTLYV >Ma07_p15790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12014470:12018245:1 gene:Ma07_g15790 transcript:Ma07_t15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MRRRPWRRTFFVDLFLLLFIVPLVLFVLRVAFTGGDAEVRVKRSAELPLRFSSDGGFKILQVADMHYGNGLVTRCRDVLPTEAARCSDLNSTLFLKRMIEAEKPDLIAFTGDNIFGASATDAAESLFKVFRPAMESRTPWAAILGNHDQESTMTREELMSFISLMDYSVSQVNPSGFVVDGYGNYDIRVHGAWGSGLANTSVLNLYFLDSGDRVMVSGVRTYGWIRDSQLTWLHTISEELQVSSSGTFILPYPHSRGS >Ma07_p15790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12014470:12018630:1 gene:Ma07_g15790 transcript:Ma07_t15790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MRRRPWRRTFFVDLFLLLFIVPLVLFVLRVAFTGGDAEVRVKRSAELPLRFSSDGGFKILQVADMHYGNGLVTRCRDVLPTEAARCSDLNSTLFLKRMIEAEKPDLIAFTGDNIFGASATDAAESLFKVFRPAMESRTPWAAILGNHDQESTMTREELMSFISLMDYSVSQVNPSGFVVDGYGNYDIRVHGAWGSGLANTSVLNLYFLDSGDRVMVSGVRTYGWIRDSQLTWLHTISEELQVSRYPAPALSFFHIPIPEVRELWFRGFVGQFQEAVTCSSVKSGILQSLSSMGDVKAVFIGHDHLNDFCGKINGTWFCYGGGFGYHGYGRVGWPRRARVISAHLAKGKEAWMGVETIRTWKRLDDDKLTKIDEQLLWSHDHLQPGTLYV >Ma08_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17098441:17106390:1 gene:Ma08_g16140 transcript:Ma08_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEEEEGGKEAMRMGKQEEREMEMLLNEIPRATSPRLYHQHPCRRRHHRLFHSDACGGDGDMHATHGLYLAGLDELSSPFHGLRDVHGYGCLCGGSPACLPPLPPPPSEGSSSSSESGLLSGRLSRVVDEVVRQRTPTDSQDRMFLEFGLLDKLNNVHLGVDPKLPIGHRPMSASMEQNISTNPSLFQNDYGDDVPMESFVQRGYGACCHSLLPNSLMFNVDKKPYLSQLQQHCPVDADLVDPYFENFPYGATELVAGGTLGRNSHYKGNFGGGFASPINRPCPFSDVYFSSEKIRVDSNWDASKLHHSYQVLNRPMKSPPVNVGPQSVRLPRNMEAFGSDDSLVIQGKGLHYVRNQWTDNLKGTKRSQFDGQLHAQSMLLTLPLKYDNLMGVKGCTYYIAKDQHGCRSLQRKLDEGKHQIDMIFNGVIDHVVELMVDPFGNYLMQKLLEVCSEEQLLQILLLLKEDPADLVNISLNIHGTRAVQKLIGSLKTRQQIALVISAIKPGFLDLIKDLNGSHVLQHCLESFKVEDNKFIFNAAAKHCVDIATHRHGCCVLQKCIAYSTGEDQAKLVSEISANGYELARDPFGNYVVQYILDLKNPLATANLVSQFEGKYVQLSVQKFSSNVVEKCLKTFGEDDRATIIIELLSVSHFDQLLQDPYANYVIRSAIENSKGSLYTALQKASLPHEAALRTNPYCKRIFSRLRMKK >Ma08_p16140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17098441:17106390:1 gene:Ma08_g16140 transcript:Ma08_t16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEEEEGGKEAMRMGKQEEREMEMLLNEIPRATSPRLYHQHPCRRRHHRLFHSDACGGDGDMHATHGLYLAGLDELSSPFHGLRDVHGYGCLCGGSPACLPPLPPPPSEGSSSSSESGLLSGRLSRVVDEVVRQRTPTDSQDRMFLEFGLLDKLNNVHLGVDPKLPIGHRPMSASMEQNISTNPSLFQNDYGDDVPMESFVQRGYGACCHSLLPNSLMFNVDKKPYLSQLQQHCPVDADLVDPYFENFPYGATELVAGGTLGRNSHYKGNFGGGFASPINRPCPFSDVYFSSEKIRVDSNWDASKLHHSYQVLNRPMKSPPVNVGPQSVRLPRNMEAFGSDDSLVIQGKGLHYVRNQWTDNLKGTKRSQFDGQLHAQSMLLTLPLKYDNLMGVKGCTYYIAKDQHGCRSLQRKLDEGKHQIDMIFNGVIDHVVELMVDPFGNYLMQKLLEVCSEEQLLQILLLLKEDPADLVNISLNIHGTRAVQKLIGSLKTRQQIALVISAIKPGFLDLIKDLNGSHVLQHCLESFKVEDNKFIFNAAAKHCVDIATHRHGCCVLQKCIAYSTGEDQAKLVSEISANGYELARDPFGALSTQRYKRPAFLMKQPSEPTHTASESFLDYE >Ma04_p40050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36978124:36980301:-1 gene:Ma04_g40050 transcript:Ma04_t40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRRPPRAVLLLAVSFITLAISAAVRAQEDYDYDEKVNPPPAAQDRCIGVFLTYTFISRTKEYPHVKNASAQSYAFKSTAAVLNTMTTDLPAWNMFIGFQHHEILVSASGAVITDGTDFPVNVENGTSLSGFPQTDLMNAIDTAGDLSQIRVEMHFTGTQFGVKPPGTPMPRTIKLLNDGYKCPAPTKKGSQMYVCCVKDPKFKAKKTEETRFLPRRYGDLTIAYDVLRSYDSNYMAQITIDNNHPLGRLDNWNLTWEWKRGEFINTMKGAYTLRKDISDCIYGEAGNYYQSLDFSTVMNCEKKPIIVDLPPEKEKDDALGNIPYCCKNGTLLPPTMNITQSKAIFQLQVYKLPPDLNRTVFYPPQNWKINGFINPNYVCGPPIRVSPMEFPDPNGLMSETEAIASWQIVCNITRPKSKHSRCCVTYSAFYNESVVPCNTCACGCSEDAACNPVATALLLPPEALLVPSENRTAKAKAWASINHRHVPNPLPCWDNCGVSMNWHIVSNYRKGWTARITLFNWADYTFKNWFVALEMDKFYRGYEKVYSFNGTKLQGPGRINKTIFLQGLEGLNYLIAETDGKDPSVDPRVPGKQQSVISFTKKETPGINIVKGDGFPTRLYFNGDECELPDDIPTASGPRHSVSQLAVVLFTVVALLSTVDYF >Ma07_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2867819:2868487:-1 gene:Ma07_g03750 transcript:Ma07_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPSATRYEKGAESQSGNGAQPPVVVDKTLSSAANLVKLLPSGTVLAFQALSPPFSNRGMCHTSNKYLTAALIQLCAAACAALSFTDSLKGGDGKLYYGVATLRGMYVLNYDGEEGQRSGVLKDLRRYRLRVLDGVHALFAVVVFLTLAFSDSDVLNCFFPEASPNTRQLLVNLPLGAAFLSGVVFMVFPTTRKGIGYADMAHHQ >Ma10_p30430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36724208:36732017:-1 gene:Ma10_g30430 transcript:Ma10_t30430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFCLLSCNKPTIHLSSYQLCSLFSFSTPEDHSSSPSIEFFKQSGWSDAQVMKLTKRSPQLLHANVETALKPRMRSLQDMGFSDTEIVQLVSLCPHALLFRDIKPRINFWRSLLGSNERLLKACKRNMFILSYSLARTIEPNISLLREHGISDKRIMHMVATLPGCFGRLDKLKEVIKYIEELGVPRDSGVYMCALRVVMSVNKSSFDAISATLMSFGCSQPDIVAAFRKCPYIWALSKKTICDKMTFLMKEAGFELTSVIRRPVILTLSLDKRLRPRYEVMNFLKQNKLLDEGHSLLSFILLSEEKFRKKYLFRHKEKFTSLYDSYVAAVQGMPHVVT >Ma10_p30430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36724208:36732017:-1 gene:Ma10_g30430 transcript:Ma10_t30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFCLLSCNKPTIHLSSYQLCSLFSFSTPEDHSSNHRSKFMLVEPLQSCELSSKEAAKMAEGRICEKKLPSSSPSIEFFKQSGWSDAQVMKLTKRSPQLLHANVETALKPRMRSLQDMGFSDTEIVQLVSLCPHALLFRDIKPRINFWRSLLGSNERLLKACKRNMFILSYSLARTIEPNISLLREHGISDKRIMHMVATLPGCFGRLDKLKEVIKYIEELGVPRDSGVYMCALRVVMSVNKSSFDAISATLMSFGCSQPDIVAAFRKCPYIWALSKKTICDKMTFLMKEAGFELTSVIRRPVILTLSLDKRLRPRYEVMNFLKQNKLLDEGHSLLSFILLSEEKFRKKYLFRHKEKFTSLYDSYVAAVQGMPHVVT >Ma01_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6473466:6484883:-1 gene:Ma01_g09020 transcript:Ma01_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MEMADEREEEAKPEVVLVARKGGFGLPTACPVCLPVYCHLRFANVDFKLEFDRANPDSDHIPYVEYGDYVTFNNEKGGVIECLNEDNVVKLDSQLPKDCFPDWASTKVMISTWLAEAVQYELWVTCDNTIADDIYFSDLPWPIGKILHWKQTQAVKQLHGITKLNAAEKEEEIYRKANIAYEALSMKLGSQVFFFEGRPTSVDSLFLGHAVFVLHVLPETSVLRSSLSKHANLLRFVQDCKIRLLEDSYSSATGPAFSSDASSSSIPRRKGSKQNAKTKPKEKRERTEEEKAFRRRAKYFIAAQVVSIVIYLSILGGMGDSGLEDGDEDGLTYEE >Ma01_p00540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:388991:391959:1 gene:Ma01_g00540 transcript:Ma01_t00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytol kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04490) UniProtKB/Swiss-Prot;Acc:Q9LZ76] MGVAIWSCGGAAVFASALLPGRRSHGSRLAPTELPFASASSCPLPFLSGPAVPFSPAPMPSSRAQLRLLRLRVQASAGVVLQDAAATTFCFAGAYSLVLVFDTLAERNIIQKSLSRKAVHVLSGLLYMATWPFFSSSMVARYFAAVVPLLNCIRLLCYGLRLLTDEGVVKSVAREGRPEELLRGPLYYVIVLLLCTLVFWRESPVGVVSLTMMSGGDGFADIVGRRYGVTKLPYNQQKSWVGSISMFVFGLFFSVGMLYYFSVFGYVHFDPEQTITRVALISLAATVVESLPISDVVDDNVSVPLTSMLTSVLLFG >Ma01_p00540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:389181:391959:1 gene:Ma01_g00540 transcript:Ma01_t00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytol kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04490) UniProtKB/Swiss-Prot;Acc:Q9LZ76] MGVAIWSCGGAAVFASALLPGRRSHGSRLAPTELPFASASSCPLPFLSGPAVPFSPAPMPSSRAQLRLLRLRVQASAGVVLQDAAATTFCFAGAYSLVLVFDTLAERNIIQKQSLSRKAVHVLSGLLYMATWPFFRSVIVLTQSICSSSMVARYFAAVVPLLNCIRLLCYGLRLLTDEGVVKSVAREGRPEELLRGPLYYVIVLLLCTLVFWRESPVGVVSLTMMSGGDGFADIVGRRYGVTKLPYNQQKSWVGSISMFVFGLFFSVGMLYYFSVFGYVHFDPEQTITRVALISLAATVVESLPISDVVDDNVSVPLTSMLTSVLLFG >Ma02_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13040652:13044570:-1 gene:Ma02_g02050 transcript:Ma02_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRIGALSRWQTPTASVVSSRNPVHGFGDSSADDSWQISAPRARFAFQSPLLAAVRGYASEKLVPGYADLGLADLPATVAAIKNPTSKIVYDEHNHERHPPGDPSKRAFAYFVLTGGRFVYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTEDDINLANSVNVLSLRDPQADSDRVKNPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPQYSFLDENKLLIG >Ma11_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16469091:16473940:1 gene:Ma11_g12560 transcript:Ma11_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASLARRRAGDVFRPEAVRRAAVFCRGFAAAADENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKHAFPSHGVKFSQLEIDLPAMMAQKDKAVSGLTRGIEGLFKKNKVTYVKGFGKFVSPSEVSVDTLEGGNTVVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALPEVPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSILEADVVLVSAGRVPYTAGLGLETIGVQTDKAGRIEVDKHFMTNVSGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDMVPGVVYTHPEVASVGKTEEQVKALGVSYRVGKFPFMANSRAKAIDDADGLVKILAEKETDKILGVHIMAPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEAVKEAAMATYDKPIHI >Ma05_p27150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38560920:38562517:1 gene:Ma05_g27150 transcript:Ma05_t27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQLLPGLPDDIARECLVRIPYRGFPTARSVCRLWKRELDSPYFHRIRKAAGLTRSVVAFAQAESAPATGSSAVKSVGRSTPSYRLSLFEPATGAWSLLPPVPGLPHGLPFFCRMAAAERELVVVGGWDPKTWAASDGVHVYDFATGSWRRGAPVPGPRRSFFACATASVRGRVAVVVAGGHDEEKNALRSAMAYDVASDTWAPLPDMAMERDECRGVCLDGRFYVIGGYSTEAQGRFSRTAEALDVAAGQWWAMEEDKLDEGTSPKTCVVGGDGRLYMSRGDQGAQLSVLDAAGWRAVAEVPGDARVAPELVAWDGGLMVMGSETHGGAQTGYIMEAGTAMWKKVEVPEEYSGNIHAGCCLEI >Ma10_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15140058:15140915:-1 gene:Ma10_g04470 transcript:Ma10_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRTTLSTDGIESQVPHVEEHDYSQRAQWLRAAVLGANDGLVSTASLMMGVGAVKGDAKAMIVSGFAGLVAGACSMAIGEFVSVYSQLDIEVAQRKREQQTKVEGESSDGTGGEGLPSPLQAAAASALAFSLGAVVPLLAAGFISSYRVRLGVAAAATSAGLVVFGCVGAALGRAPVGRSCFRVVAGGWLAMAVTYGFMRLFGSTV >Ma06_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15867998:15876385:1 gene:Ma06_g21580 transcript:Ma06_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAANDSRLGFGKMEFGCKHYRRRCKIRAPCCNEIFYCRHCHNESTSDRHELCRQDVQKVICLICNTEQPVAQVCSNCGVSMGDYFCNVCKFYDDDLKKGQYHCNDCGICRVGGSENFFHCKKCGSCYSTELRDKHFCVENSMRHNCPICYEYLFDSLKDTSVLKCGHTIHFECFDEMLKHAQRYSCPVCSKSVCDMSKYWRKLDEEIAATIMPEDYRYKVWILCNDCNNVSEVFFHIVGHKCSHCLSYNTRTIANPPTVSAQ >Ma04_p37340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35306584:35308791:1 gene:Ma04_g37340 transcript:Ma04_t37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVRGRRDVSPLFIKLGVTVALSFAGFVLVQLRSRARARPPSPMASRPRFFSGAESQAIASSSVGLKDELRIPKSEDSLAKIVNATSRITTRTTTTTTTVLLSPTSKSSGDGEGFLLSEFNDIVMKEFRATGKDAEIAANTSAPNKLEIKEGNAMEQEIANLRKLVWSLQENERSLELQLLEHYGMQEQEAAVRELESQLKINLVEAKLYSLKIESLQADNRRLQDQLMEYSRAMNELEAGRATIKILKKKLKSDGEQAKEIIVSLHQRISVLQCQEQKEAKDKRLKELEDEAVELKTINSRLAEENSDLVRQLEASQASASVALESSKADASEEANCLRESNAKLMEDIEQLKTDRCTDVEQLVYLKWVNACLRYELRNYQPPPGKTVARDLSKNLSPRSEEKAKQLILEYANSGADAKSLSSMDFDSEYSYSSQASTGEPEDASPDVSSSTRQRNQKKMKFLSKLKKLVLGKDTGSHKPPAADRIPRTPTSGSSSGRRASVFTCSIDDMIGRESYGSFSSSITGEANPVNQSGRIDAGADERCHNDDAWSQASSRSSFIIQRLDLEEAGKEKEEVGTPYGYEKMVSREDTARDFEGGDTPEKAEIKKLAVALKRARGMSKLNRRSASFSN >Ma06_p29240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30691268:30697038:1 gene:Ma06_g29240 transcript:Ma06_t29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKRSLVFVVLLVVFGVGRCLAQGGGLSRSSFPEGFVFGTASSSYQYEGAVEEDGKGRTVWDVFAHTFGKVIDFSNADVAVDQYHRFDEDILLMKDMGMDAYRFSIAWSRIFPNGTGEVNQAGIDHYNKLINALLANGIEPYVTLYHWDLPQALEDRYDGWIDPRIIQDYADYAETCFKAFGDRVKHWMTFNEPHTFAIQGYDVGLQAPGRCSAFLRLLCRAGNSATEPYIVAHNVLLSHATVSDIYRRKYKQKQQGSLGIAFDVMWYEPMTNSSEDIDATQRAQDFQFGWFMDPLFFGDYPSSMRKRVGNRLPRFTTAEAALVKGSLDFVGVNHYTTYYAKHNSTNIIGILLNDTLADSGAMTLPFKNGKAIGDRASSIWLYIVPQGLRSLMNHIKQKYGNPVVIITENGMDDFNNPFISIKHALNDDKRIRYHNDYLLNLLASIREDGCNVQGYFAWSLLDNWEWAAGYTSRFGLYFVDYKDKLKRYPKKSVTWFKNLLKSA >Ma08_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4178829:4183623:-1 gene:Ma08_g06180 transcript:Ma08_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFQELRELFFSFSFTVFWMGSVAPFEEILSRICILLKMIGVCSAQILEKSGTLLLQICKNM >Ma09_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10606303:10610099:1 gene:Ma09_g15290 transcript:Ma09_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVETALRCLGRGFDVACDFRPEYCRGKERLLVINEDEKREIAVPGFGTFKDVSVDIKCDKGDRMRYQSDVLEFNQMSELFNCRSSMAGKIPSGLFNYMFDMDGSAWAQEASNTKCLAMDGYFITLLELRIEHQPLALVDHVVRDVPSTWDPCAIARFIETYGTHVIMGLSVGGQDVVYVKQDHSSSLSPRELRQHLDRLGDELFTGTCALPPFHWRSKEHKLKVPEAFNVFDLQKQNVKGIAPVFCKDGMTVMCHKRGGDTSASSHSEWLLTVPSSPDVINFTFVPITSLLKGVPGNGFLSHAINLYLRYKPPLSDLRYFLDFQAHKLWAPMHSDLPLGPISNRSIPTPALTFTVMGPELSVNSSQVIVGMRPVTGMRLHLEGKKNDRLAIHLEHLSHTPGFIGARPEAAPEWRGSDAIADQRYYEPVRRKKFAQVCTVPIEYDSPWRPTDGGGNAFVVTGAQLHVTAHESTSVLHLRLLYSEVSGCVVSRSQWRRGPSGLSQKSSFFSAVSTSFSGGLEKERQQGPEAPVDSGIFPVGPPVPVGAQKLLKFVDTSHLCQGPQHSPGHWLVTGAKLDVEKGRIGLQVKFSLLTSLL >Ma10_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22042276:22047109:-1 gene:Ma10_g07700 transcript:Ma10_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIREYLPIKDYMFGIKKHLSGYLLLLFLGLIVLPFVFNGVLKKTSLLLEVVVKLYAHVTTSKRITGQDLSRRSIILLLQVLNGILPIFFLQQHILMINVGFSPLSSKI >Ma06_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11670058:11671826:-1 gene:Ma06_g17180 transcript:Ma06_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTLLLLSLILVLLLGQSCATKDYGLALTKSLLFFEAQRSGKLPPGQRVTWRGDSAPNDGKDNGVDLSGGYFDAGDNVKFGFPLAYSLTAIAWGVVEFNSRLEAKKEIGNALAALRWGTDYLIHAHPQPDVLYVEVGDGSSDHDCWQRPEDMSTPRTSYKIDDSKPGSDVAAESAAALAAASIAFKGSDPKYSGTLLTHAKQLLEFARNHRGLYQNSVPQAGQFYSSSGDDDEIVWAAAWLHRATGEQTYLDILSSGNNGGVRSMFSWDDKYVGAQLLVTKLLLEGKVPNSGPWAAYKNNVDMFVCSVVQKGNNNVQKSPGGILWFLPWANLQYVTSSMLVVSTYADYLSAAKATLSCPQGSVSPQDLISFATSQVDYILGANPKAMSYMVGFGSNFPVQVHHRGASIVSIKADPKSIGCKEGFDWFNRNSPNPNVLDGALVGGPDANDAYTDSRSNYQQAEPAIATNAALIGVLARLA >Ma05_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6647703:6649231:1 gene:Ma05_g09070 transcript:Ma05_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLSLLSMFLLAAVSHAALSPQLVYWHSVLPNTPMPSAISDFIDPDVLAEEKSGVNVYTKGKSGGTTVNVGHGGVHVATGKPGPGTNVNVGHGSVNENTGHKGKPRVVVTVPNRIYIYNAATETQTHDDPNVALFFLEKELRPGAKMNLHFTKTTSGGASFLTQKEADAIPFSSAKLPEILDHFSVKPGSAEAEAMKTTLQDCEEPAVRGERKYCATSLESMVEFSMSSLGTRDVTAMSTTVAKVVTPRQQYTVTGVKALPGDRLVACHPEAYAYAVFYCHATATSKAYRVGLVGTADGVVVEAVAVCHTDTSAWNPNHVAFKVLKVKPGSVPVCHFLPDDSVVWSRSG >Ma04_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21060638:21063026:-1 gene:Ma04_g18830 transcript:Ma04_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAELATMPTPALNHPSRPTLGFPLGTALLLIVIFCLSGIFSCCYHWEKLRSLRDRRRRRHGNQPSLAAVEDGQLPQFSLSSPASKVALQHQENKVERIPSFPVIMPGDRMPKFMAWPCPPGGHQEAFTNNPSSIS >Ma09_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27960950:27970274:1 gene:Ma09_g20160 transcript:Ma09_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPHLGNGNTYIVPPQRNRPIARPPRWIIILLCLVSVCLIGAYIYPPGQYPACYFFSSSVCSPIKYWLPPIARELTDDEFASRVVIKNILSMPLVWPKHPKIAFMFLTPGSLPFEKLWETFFLGHEGRFSIYIHASREKPVHVSPLFVDRDIRSAKVVWGKISMVEAEKRLLANALQDPDNQHFVLLSDSCVPLHNFDYVYSYLMGTNVSYIDTFWDPGPHGNARYTEHMLPEIEEKDFRKGSQWFSMKRQHALIVMADSLYFTKFKLYCKPGFDGRNCYADEHYLPTLFTMIDPNGIANWSVTHVDWSEGKWHPKSYRAEEVTYELLKNITSIDESYHITSDEKKVEMLTPCVWNGSKRPCYLFARKFLPEGLDNLMQLFSNYAIV >Ma01_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15658462:15662931:-1 gene:Ma01_g19800 transcript:Ma01_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAASPAIASSPSSFSFFSRKRSARRRQSLLPIASKNWGDDADLNSDSESTSLVPFLGNRAPISPLPKDKAMGLVLSAAAGRGWTTGSGMEGPPIPADSDSADRTVLTFPWSLYTRSPRRRMRVAFTCNICGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVNPSFRYKGDMPFNHIDADDDQDIFPFL >Ma10_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31815786:31820575:-1 gene:Ma10_g22420 transcript:Ma10_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g41080 [Source:Projected from Arabidopsis thaliana (AT2G41080) UniProtKB/Swiss-Prot;Acc:Q8S9M4] MAQHPRLAASTITKLVSTAARFPKSEQEFVHLCSKGRLREALRGFLPELWSDPNLFSHLLNGCCVPQQSLRQGQQIHALIVAAGAASHRFTANHLLHMYSKLGQGNAARAVFDAMPRRNVMSFNILVGGLIQNGDILAARKLFDEMPERNVASWNAMITGLAHFELDEEGLEFFRMMREAGLRPDEFGLGSALRCCAGLKDIGSGHQIHAYVVRNGFEHDMCVGSSLAHMYMRCGCLDDGERVLKGLPTINVVSCNTIIAGRAQNGDTEGAVHHFSLMKAVGLSPDHVTFVSVISSCSDLASLGQGQQVHAEVIKAGVDSVIPVRSSLISMYSKCGCLDDSSKIFSESEDGSDLVLWSSMIAAYGFHGHGEEAIQLFDKMVREGTDPSEVTFLSLLYACSHSGLKDKGKEYFELMMHKYGFKPTLKHYTCMVDLLGRSGCLDEAETLIRSMPIPADAVIWKTLLSACKTHKNAEMAERVAECVLRLDPQDSASYVLLSNIRATTARWIDVSEVRRAMREKRVRKEPGISWVEYKGQVYQFSTGDTSNPRQKEIDQLLAQLLGRMRELGYLPDTSTVFHDMEEEEKETSLAHHNEKLAIAFAILSLPVGVPIRIMKNLRVCDDCHEAIKYISKIAEREIVVRDVTRFHHFRDGECSCKGYW >Ma06_p20610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14743974:14751300:1 gene:Ma06_g20610 transcript:Ma06_t20610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEVRDREAGKLPPITFASRVRSRRVSALGLSNHKEIVSPHHGAVNALQVDLTEGRYLLSGASDGSAAVYDIQRATDYEEGLIARHRSLFVVDKQHEYGHKFAISAAIWYPVDTGLFVTGSFDHFVKVWDTNTTQGVMDFKMPGKVYGTAMSSVAKAHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGVMTLEWSTCSEWILMTGGRDGAIRFWDIRRAGCFRVLDQSQCQLGRRPPFLENTSKGIVARTSLAGSSSLANKSVSKKKTALGNGMKQSQALRKPQNLLRTPVRQRSHPGMSSSHDRATAHYGAVTGLKASGDGMYLLSSGSDAQLRLWDIESGLNILAKYEEMRPQTTKSLHLALNQDSSLVFVPCMATVKACDVWSGLTLQTFRGHYELVNCCYFNLQDQELYTGSNDRQILVWSPPSAIINDLEEDNRKEGKFSADKDNWSD >Ma06_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14743974:14751300:1 gene:Ma06_g20610 transcript:Ma06_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEVRDREAGKLPPITFASRVRSRRVSALGLSNHKEIVSPHHGAVNALQVDLTEGRYLLSGASDGSAAVYDIQRATDYEEGLIARHRSLFVVDKQHEYGHKFAISAAIWYPVDTGLFVTGSFDHFVKVWDTNTTQGVMDFKMPGKVYGTAMSSVAKAHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGVMTLEWSTCSEWILMTGGRDGAIRFWDIRRAGCFRVLDQSQCQLGRRPPFLENTSKGIVARTSLAGSSSLANKSVSKKKTALGNGMKQSQALRKPQNLLRTPVRQRSHPGMSSSHDRATAHYGAVTGLKASGDGMYLLSSGSDAQLRLWDIESGLNILAKYEEMRPQTTKSLHLALNQDSSLVFVPCMATVKACDVWSGLTLQTFRGHYELVNCCYFNLQDQGHAYHNILAYRSCILGAMIGKFLCGLPPVQL >Ma06_p20610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14743974:14750731:1 gene:Ma06_g20610 transcript:Ma06_t20610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEVRDREAGKLPPITFASRVRSRRVSALGLSNHKEIVSPHHGAVNALQVDLTEGRYLLSGASDGSAAVYDIQRATDYEEGLIARHRSLFVVDKQHEYGHKFAISAAIWYPVDTGLFVTGSFDHFVKVWDTNTTQGVMDFKMPGKVYGTAMSSVAKAHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGVMTLEWSTCSEWILMTGGRDGAIRFWDIRRAGCFRVLDQSQCQLGRRPPFLENTSKGIVARTSLAGSSSLANKSVSKKKTALGNGMKQSQALRKPQNLLRTPVRQRSHPGMSSSHDRATAHYGAVTGLKASGDGMYLLSSGSDAQLRLWDIESGLNILAKYEEMRPQTTKSLHLALNQDSSLVFVPCMATVKACDVWSGLTLQTFRGHYELVNCCYFNLQDQELYTGSNDRQILVWSPPSAIINDLVSLHKHLYSSSHKLLFLFAD >Ma11_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20511747:20513037:-1 gene:Ma11_g14810 transcript:Ma11_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFLFAAFLVAVAFSSLPLVGDDIASEEKLLDLYERWQSHHGVSRSVDEKRIRFDVFKENANYVFASNKKGKPYKLSLNKFGDMAREEFKRTYAGTRIRRRSTLRGSASLKGYFLYKNVTDVTPTVDWRQKGAVTAIKDQGKCGSCWAFSTVVSVEGINQIRTNELISLSEQQLVDCDTNTNKGCDGGMMDDAFDFIERNGGITTEENYPYVARQEQCKVKRERSPAVVIDGYEDVPVNDEDALLRAVANQPVSVAIEAIGQDFQFYSEGVFTGSCGTELDHGVAIVGYGTSKDGMKYWIVKNSWGPEWGEEGYVRMQRGISASEGLCGIAMEASYPLKTSPNPVQKKLHL >Ma06_p36810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36069032:36072222:-1 gene:Ma06_g36810 transcript:Ma06_t36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDVAKTVKDVSPHEFVKAYSAHLKRSGKMELPEWTDIVKTGRFKELAPYDPDWYYIRAASMARKIYLRQGIGVGGFQKIYGGRKRNGSRPPHFCKSSGAIARHILQQLQRMNIIEIEPKGGRRITSQGQRDLDQVAGRV >Ma04_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11987134:11990489:-1 gene:Ma04_g15650 transcript:Ma04_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDHHRVQVSAKRVAPEELPPFSDQVASTAATGFGAVECGDGMASQPQAASVLVPRGVVTFGEESESGNVHGSAISLGKSAVLATPEDQLRHRSYSLLFSLPVSPSGLHIEQVRKVMLGDKGEQGDDVKLSQPDSASINSQQTKQSKFQSQSLQIVVSDVKTSTDSLESNQRAIRSDNQRDKTYDSFKTWSGRLERQLSNLRGRPQEPDLEANDSRTNEAAIPAIDRYFDALEGPELDTLRASEVSVLPEDKMWPFLLRFPISSFGMCLGISSQAILWKTLATSPSMSFLHVSPTVNLVLWSISLALMGTVSFIYSCKLIFYFEAVRREYYHPVRVNFFFAPWIACLFLALGVPPSVAVYLRAGLWYVLMAPILFLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGASMGLKEGPIFFFAVGLAHYIVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWARIRGDFEHGSKIGYFIALFLYVSLAVRINFFRGFRFSLAWWAYTFPMTGASIATIKYSMKVTNVLTQSLSVGLSAISTFTVTALLVTTIIHAFVLRDLFPNDISIAITQKKPKFNKILAHLRSLSSEIKDAEASLSKGAC >Ma08_p26020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38673317:38676343:1 gene:Ma08_g26020 transcript:Ma08_t26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEDRRKILESLIPLISFIFLLLPPFNQAAFDYGDALSKSLLYLEAQRSGHLPHGQRVTWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFSLPMAFTVTMLSWSVVEYGHAIASAGELEHALEAIKWGTDYFIKAHTHHDVLWAEVGDGDTDHYCWQRPEDMTTSRKAYKIDPDRPGSEVAGETAAAMAAASLVFRETNPHYSHLLLHHAQQLFAFGDKYRGKYDTSIPAVKNYYPSLSGYGDELLWAALWLHRATGREDYLRYAIDNAHELGGTGWDVSEFSWDIKYAGVQILASKLLMEGGRHQLQDEQISILEQYRSRGQHYVCSCLGLNTNGSNVRRTPGGLLFVRQWNNMQYVASASFLLTVFADHLAMSSGEPELHCPGGSLSPQDMLSFVKAQVDYILGSNPLRFSYLVGFGPKYPTRVHHRAASTVSYKVDRSFIGCAQGYDKWFGRRRKNPNVLVGALVGGPDAKDEFRDVRGNYMQTEACTYNTAPMVGVFARFYRPIHK >Ma03_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1900718:1905741:-1 gene:Ma03_g02790 transcript:Ma03_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQLEQNNLTVRHVSNRFDGSEFNFLERALATCSDPSIVSLKASNPVASLAPAPCPPSRAPALPKGFLGLRASKGFRPLSTRLASAKRSSSTSFCVKAAFESDLPLVGNKAPDFEAEAVFDQEFINVRTLPSLDRSNSDYIGKKYVILFFYPLDFTFICPTEITAFSDRYSDFGKLNTEILGVSVDSVFSHLAWVQMDRKSRGLGDLKYPLISDVTKSISKYFGVLIPNQDIALRNCSSLTRKE >Ma09_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7335517:7339175:-1 gene:Ma09_g10780 transcript:Ma09_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVILISRQGKVRLTKWYSPYQQKERSTVIRELSGLILSRGPKLCNFVEWKGYKVVYRRYASLYFCMCIDPDDNELEILEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKAVARLIAAQDSLVETAKEEAGSISNMISQAI >Ma07_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11039668:11043922:1 gene:Ma07_g14670 transcript:Ma07_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPPVTVVLAVVCFYGLQLACSLNQEGLYLLEARRGLVDRSDVLSSWDARDATPCAWKGVTCSSADPPVVTAVNLSGLDLEGPFPSSLCRLPSLTFLSLSSNYINSSLTDADIAGCAALSHLDLSENYLVGPLPDALAKLPRLTHFVITSNNISGAIPPSFGHFPSIETLSLVSNLLTSTIPSFLGNLTTLRELNLGYNPFDAGPIPASLGNLSSLTNLFLPGCNLVGEIPPSLGRLSLLTNLDVSTNHLHGSIPQFVTHLSSVIQIELYKNSLSGRIPAGLGKLPNLRRFDFSLNRLEGSLPDDIFDAPLLESVHLYSNQLSGGIPAGVARSKNLVDLRLFMNQLTGSVPPDLGKNSPLVNLDLSENLLSGVIPASICDRGALQQLLLIDNSFSGRLPDGLGRCRTLVRVRLSRNKLSGPVPSGLWGLPHVSLLDLNNNAFSGGISPVISSAANLSKLLIDDNQFSGSIPSEMGVLSKLYEFSAANNRLTGPLPASLGNLAELGQLSLHDNSLSGELLRGIQSWKKLSELNLANNGFTGGIPPELGSLPVLNYLDLSGNSLTGEIPIQLQNLKLNQFNLSNNELSGAIPPMFAREAYRSSFLGNPGLCGDIPGLCPVSGGSARGGHGFTWLLRAIFLLAGFVFIVGMAWFYWRYKQYKKASDKSKWTLRSFHKLGFSEYEILDSLEEDSVIGSGASGKVYKVGLSNGETVAVKKLWGTSEKDVEHLDQVVDDAFEAEVATLGKIRHKNIVKLWCCCSHKDRKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRLKIAMDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGEFGAKVSDFGVAKAVEVIGKGPKSMSAIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKLPVDPEYGEKDLVKWVSVAMEQKGVEQVIDPKLDISHKEEISIVLDIGLLCASSLPINRPSMRRVVKMLREVSMQNKPKTPKKDGKLSPYYYEDRSNQGSTV >Ma07_p28070.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34255609:-1 gene:Ma07_g28070 transcript:Ma07_t28070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFNNRSGLDEIGVSAACSQDRMHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34251229:-1 gene:Ma07_g28070 transcript:Ma07_t28070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFNNRSGLDEIGVSAACSQDRMHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.12 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34251229:-1 gene:Ma07_g28070 transcript:Ma07_t28070.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFNNRSGLDEIGVSAACSQDRMHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34255609:-1 gene:Ma07_g28070 transcript:Ma07_t28070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFNNRSGLDEIGVSAACSQDRMHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34255609:-1 gene:Ma07_g28070 transcript:Ma07_t28070.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFNNRSGLDEIGVSAACSQDRMHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34251230:-1 gene:Ma07_g28070 transcript:Ma07_t28070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFNNRSGLDEIGVSAACSQDRMHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALENILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34245360:-1 gene:Ma07_g28070 transcript:Ma07_t28070.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34255609:-1 gene:Ma07_g28070 transcript:Ma07_t28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.10 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34251229:-1 gene:Ma07_g28070 transcript:Ma07_t28070.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.11 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34251229:-1 gene:Ma07_g28070 transcript:Ma07_t28070.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFNNRSGLDEIGVSAACSQDRMHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34251229:-1 gene:Ma07_g28070 transcript:Ma07_t28070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFNNRSGLDEIGVSAACSQDRMHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma07_p28070.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34238644:34251229:-1 gene:Ma07_g28070 transcript:Ma07_t28070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMFNNRSGLDEIGVSAACSQDRMHIEKLEGELRNCHQEIEYLQDQLNLRNVEANFMAEHVESLELKLAGAERLNDKLRLISEELVQADSRCMILIEELKYKEDELKKSDLQIENLETSLLDSQCEIESLKLDITSLEQRCIEAERLGQQLAEEKTRVDKRLHILETQLQEMQQMIRCLENEKKTLFERNAKQSSLIVELDKRLRHDSKVGIHLDNQLDWSFLLKLREELPSSRDMCTSAENLGPSSAKLAAVTSQDEHVKTEIEKMAKQIHESDLLVNQLKEELREVKLKAKEEAEDLTQEMAELRYQFTDMLELESRRRALVEEASIRRVQDLEAQVQKEQQKSITALRHFQEVNKLAEKQSMEIRRLKTALERLDQALGLEPSFESCSSGCCNIKQNILDTEAPKKTEALGVVYANNEVDHTLEWHPSEATNDVEKDKDVIKEDL >Ma02_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23821145:23829234:1 gene:Ma02_g16480 transcript:Ma02_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLVVLGIPWDVDTEGLRQYMSKFGPLDDCVVMKERSTGRSRGFGYVTFSSEEDAKNALESEHVLGTRTLDVKVATPKEEMRGPLKKATRIFVARIPPSVTEDLFRSYFETYGVITDLFMPKDQGSKGHRGIGFITFDSADSVDSIMAESHELDGSTVVVDRATPRNDDMRYPSRMAQGGYGAYNAYISAATRYAALGAPTLYDHFGSAYGRGYFGPSRGMGRKIFVGRLPQDASAEDLREYFGKFGHILDVYVPKDPKRSGHRGFGFVTFADDGVVDRVSRRTHEILGQEVAIDSAAPLDDAGPSGGFMDAAEAYRGYGPMRNYGRLYGSLNFDDYGYGASGSSSSSRMNLRYRPY >Ma02_p02170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13190136:13196060:1 gene:Ma02_g02170 transcript:Ma02_t02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGVPDWLNSSLWSSSSASAAPCPVDRFPRSPPAPKPEAPTRAPIPEPPPPSRPPPAPVEAPRPSSGTPPEDPSPSRLAQEEVSRQSQLLAEISKKVVNIGELRRLASQGIPDGAGIRSTIWKLLLGYLPNDHEFWVQELDRKRAQYKDFKEEFLVNPSEMARKLEESTGHKNGGMESGGCGFLMRSEITHDEHPLSLGKTSVWNQFFQDTETIEQIDRDVKRTHPDMHFFSGDTSLAKSNQEALRHILIIFAKLNPGIRYVQGMNEVLAPLFYVFRNDPDQNNAAYAEADAFFCFVELLSGFRDNFCQKLDNSVVGIRSTIAKLSELLKKHDGELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPQETLLRVCCAMLILVRRRLLAGDFTSNLKLLQNYPSTNISHLLYVANKLRGSSAG >Ma02_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13191018:13196060:1 gene:Ma02_g02170 transcript:Ma02_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLEESTGHKNGGMESGGCGFLMRSEITHDEHPLSLGKTSVWNQFFQDTETIEQIDRDVKRTHPDMHFFSGDTSLAKSNQEALRHILIIFAKLNPGIRYVQGMNEVLAPLFYVFRNDPDQNNAAYAEADAFFCFVELLSGFRDNFCQKLDNSVVGIRSTIAKLSELLKKHDGELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPQETLLRVCCAMLILVRRRLLAGDFTSNLKLLQNYPSTNISHLLYVANKLRGSSAG >Ma10_p27560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34791566:34793396:1 gene:Ma10_g27560 transcript:Ma10_t27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVAVGEEPKQRGEHAEHEHLVRPPHRHVAQQQQQMAPTAAFCVGRPINPISTIMSTSLQQTSIVLDDPYQVSRMLLQADKFQQQEQPRLQQKLDHGSTSGLEELVMSCTSAGTKGEASKPHSQETEWHYTYWSPDNADHHG >Ma09_p23740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35491825:35496441:1 gene:Ma09_g23740 transcript:Ma09_t23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLFEDIFTLTRLDPDGKKFDKVSRIEARSEQFDMYMQLDVNTEIYPLNVGDKFTMVLTPTLSLDGTPDSGYFTQAGRKSLADKFEYVMHGKLYKISEEASGGPNVKVEIYASFGGLLMMLKGDPSNAAQFELDQRLFLLMRKV >Ma03_p00670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:556320:558014:-1 gene:Ma03_g00670 transcript:Ma03_t00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTESPQIAQEEAIEQERLRYLEFVHAAAIHAVLCAARLYVYAKESAGPLRPGVQTVEGTVKTVVGPVYDKIHGVPFELLKFVDRKVEVTVQELDRRVPSVVKEASSAARSAAGEVQRAGLVGSAAGLVRSVYAKYEPAAKELYAKYEPAAEQAAASAWRSLNRLPLVPQVAQVVVPTAAHLSEKYNQAVSSSAEKGYAVSAYLPLVPTERMARVFGDNVATAAH >Ma03_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:556320:558001:-1 gene:Ma03_g00670 transcript:Ma03_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVTESPQIEEAIEQERLRYLEFVHAAAIHAVLCAARLYVYAKESAGPLRPGVQTVEGTVKTVVGPVYDKIHGVPFELLKFVDRKVEVTVQELDRRVPSVVKEASSAARSAAGEVQRAGLVGSAAGLVRSVYAKYEPAAKELYAKYEPAAEQAAASAWRSLNRLPLVPQVAQVVVPTAAHLSEKYNQAVSSSAEKGYAVSAYLPLVPTERMARVFGDNVATAAH >Ma06_p36300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35774898:35776327:1 gene:Ma06_g36300 transcript:Ma06_t36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFKEKRGVNFSCVSPASAAICTSIDRRSMVQPSTGRTIDRHTPHLRDPRRAKASVNAMSQTPTKPKTCNQRNKKSLERASDTLSTPGSTRYLLDDDYGDAYFSNVLPDVEPLPPLMSLEPPTLRALMREEPARLRPSAAARTQGQVVVLRVSLHCKGCEGKVRKHISKMEGVTSFDIDFATKKVTVIGDVTPLGVLSSISKVKHAQFWPSPPRASDSL >Ma02_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20206591:20208492:1 gene:Ma02_g10740 transcript:Ma02_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPASLLSSNAASLFTSPRLLVGFSPKSTADPEAGACHTSILETKPFSAIRNPFFFCRNSIMAIFSATVSPISANECRQLPRENGEPRAIGLGLLEVLAAGDSVKKTSKTEKRMVVFGSQLKIQIPPLPTDPPQFSSTPTTDSGDSLQSPIEFGIKTRNSLLALYSPARRSSAETGRMGSDTLNSSPRVSTGCLPQSEMELSEDYTCVILHGPNRRTTHIYDNCIIESCCNGFATSANEIRSSNDQSGYASDDFLSFCCGCKKKIGPGEDVYMYRLVS >Ma04_p31930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32108173:32111790:-1 gene:Ma04_g31930 transcript:Ma04_t31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKVDRLKGVPKLNCGSGLDPGEGPRSRGVHWDRDPSHPRPQGRAEQTSVPSRGASGVDGLVFRNCFVKAVRKFTSPRAQRKSLVNKNVFLLKSIDELFLKGKDEVNNSALKDLMQKTGFSMEDILRKYIRYALNEKPFNTDLVVDLIHLRKSSLLEDAQVAEILNEVSRRTVKDKGPAVMDLSGFTENGFK >Ma10_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17015325:17015633:1 gene:Ma10_g05670 transcript:Ma10_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLFREDLQGILSPVTWEMNSSSVWLFQQVFSCTPTLMLLLANFTVFSMGHLDAAALAAPNPPTQSMVDTVFVVEDRHQNHHDLSSIKKLSSINTVHAAS >Ma01_p06610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4749342:4750425:1 gene:Ma01_g06610 transcript:Ma01_t06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHNDLSLRTHWRSDISGRVALFLVVLSVLCGLVSFVLCLAAEASRSEATWYLLSNQGDGSKSYQCVYTGSGRTPLACAVCAFLLLAAAMFAEHAYMLVAATSPELPALAAWSSLPDDPRTSSSAARTLTWRACCLFLTTWICFAIAAVLLIIGIGVESGHISQWRNPKTDCHVIRTGLFAAAGILGLITVLLGVGLYLTALQTQRLHQEEENMRRGITQATRPHQFPPPSAPQAAHTTQGGEPAAINKTSTSA >Ma06_p34380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34633770:34637295:-1 gene:Ma06_g34380 transcript:Ma06_t34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAATDSSEPGPGELAAAPPSVELEKISPGAEFPPPAARTDGGGLSTAPSSRSVLRKSRTDNFLEKGALLLRALACLFSLIALAVLASNKHGDWQDFDRYQEYRYLLAIAVLAFIYSMSQILRQVNRSRTGKDFVAAQYSWIVDFAGDQVMAYLLMSASSAAIPITNHMREAVINMFTDASAAAISMAFLAFVALALSALISGFKVSKQNYI >Ma07_p27990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34149765:34159699:-1 gene:Ma07_g27990 transcript:Ma07_t27990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKNAVSVTPAVDSSGFLRDHRERSGPILACSLRHQTGQGTERGYPERKVELDSLESKEYEKPNSDSTRLQSFRLGNLHKHIEGEQVAAGWPSWLSVVAGEAIHGWVPLKADSFEKLEKIGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIKILRRLDHPNIVKLEGLITSQLSCSLYLVFEYMEHDLSGLSSSPDIKFSESQIKCYMQQMLSGLEHCHLRGIIHRDIKGANILVNNEGVLKIADFGLANFFSHGHKQRLTGRVVTLWYRPPELLLGSTDYEETVDLWSVGCVFAEMFIGRPILQGRTEVEQLHKIFKLCGSPPDEYWNKSTLAHATIFRPHHPYENILQETFKSLPGSAISLLETFLSIEPYKRGTATSSLEAEYFRTKPYACEPSSLSKYPPTKEIDAKSRDETRRIRGASRGRGSEATRRPLRANRASRAAGGLSRITDSKEESQINAVTSSGNVKKDCLRVDGETGLFVDLQPLPSIKHPNEGHSVCNSQEDFALSGPLCVSASSGFAWAKMQGEGHASGRSGSRCSSKSHLSYERDPSCIENVKSKHEFKGQENGDVHVSKSHVPHELAKRAMLKRWTQLEHPDSLDPSDVYCSQEFSGALYKGDPLSMRHNNLGFHDQDRIEFSGPLLSESHKVDELLQKHERHMRQAIRRSWFQRGRKQGK >Ma07_p27990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34150143:34159699:-1 gene:Ma07_g27990 transcript:Ma07_t27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKNAVSVTPAVDSSGFLRDHRERSGPILACSLRHQTGQGTERGYPERKVELDSLESKEYEKPNSDSTRLQSFRLGNLHKHIEGEQVAAGWPSWLSVVAGEAIHGWVPLKADSFEKLEKIGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIKILRRLDHPNIVKLEGLITSQLSCSLYLVFEYMEHDLSGLSSSPDIKFSESQIKCYMQQMLSGLEHCHLRGIIHRDIKGANILVNNEGVLKIADFGLANFFSHGHKQRLTGRVVTLWYRPPELLLGSTDYEETVDLWSVGCVFAEMFIGRPILQGRTEVEQLHKIFKLCGSPPDEYWNKSTLAHATIFRPHHPYENILQETFKSLPGSAISLLETFLSIEPYKRGTATSSLEAEYFRTKPYACEPSSLSKYPPTKEIDAKSRDETRRIRGASRGRGSEATRRPLRANRASRAAGGLSRITDSKEESQINAVTSSGNVKKDCLRVDGETGLFVDLQPLPSIKHPNEGHSVCNSQEDFALSGPLCVSASSGFAWAKMQGEGHASGRSGSRCSSKSHLSYERDPSCIENVKSKHEFKGQENGDVHVSKSHVPHELAKRAMLKRWTQLEHPDSLDPSDVYCSQEFSGALYKGDPLSMRHNNLGFHDQDRIEFSGPLLSESHKVDELLQKHERHMRQAIRRSWFQRE >Ma10_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15512059:15518560:1 gene:Ma10_g04860 transcript:Ma10_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFVAVSTIPSVKRRWRAPASAVLVLVLFSLLVPLAFLLGLHNRFPSGYDRLPSETSFPKFGHLDGVGTSSSKGDGSRIENRVKRFGPMFSKDVTGNHISKTGDLLNKKPVISTTEKLIVAGKDFSSNSQAMDMTSPSELQNTITYYKQEVIISHPKVLPVPRAVPSESLLNLRTKHGTWDDKKSDAEGGCREETRKYCQLEFGSYCLWSIEHKAVLKDSAVKKLKDQLFVARAYYPSIAKLHGQQKLSRDLKQNIQDHERMLSVVTVDADLPKFVENKIQKMEQTIARAKGCTVNCNNIDKKLRQILDLTEDEATFHMKQSAFLYHLSVQTMPKSLHCLSMRLTVEFFKSLSMDTKKSHHNRLDSPKLMHFVIFSKNIIAAAVTINSTIMNSEVNQNMVFNVVTDAQNYYAMKIWFDRNSYKAATILVINFEELNLKYLHITGLEKLSMSEEFRVSVHKTDQLAAHMSTEYMAVFGHSHFLLPDMFKNLKKVVVLDDDVVVQRDLSPLWDLNLEGKVNGAVEFCGLRLGQLKKFLGRNSYDTKSCVWMSGLNIIDLKKWREHNVTGTYFQLLQSFQQTKNEVSLRAAALPASLLAFRNLIYPLGERWSLPGLGHNYGVNADSMKSAVSLHYNGNMKPWLDLGVPKYKIYWRKFLTQDEKFMDECNVNP >Ma05_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3919021:3921408:1 gene:Ma05_g05120 transcript:Ma05_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGDCKAQNGTFMETLNDAAAFFLPSKLLHSLSDVLPFLQYPGGGQLLRLLRRFLLSAFHVLLYLLSFLLFHLPSPPHPPPPHPLAASGFAVAPLADGSRAGRGLSRVLFAVAHVPVASRKYDFVRSLAERILDDNLRATGGADLQTLNRTALSAAFARTLHGLEEALAAEAASASGVRSGGRIMGVLKSRVRAWAAGRVVPPAGEEGAGGSAEKLAAEAMWLGQKMAENGAAAEAVAMWGTASRLAGRAVVAEPRLQVAIVRVCAFMFIHASSKQLEEECGAEKGETSLASHQISLLKSWLPLLCCACSGVDAPILSSKERAEMVSLLGDMIGKFNWDQQEEVLSLWLYHFTNCPDSDWPNLESCYIRWYLESQELFLK >Ma05_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27805380:27818869:-1 gene:Ma05_g19390 transcript:Ma05_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEKDLEDRLREVGSRLASPPSAVDELLPLLDQTESLLSRVDQSPTQSMSNALRPSMKALVVKELLGHSDIDVKVAVASCVSEITRITAPEAPYEDDLMKEVFQRIVQAFENLDDVSSRSFPKRVSVLETVAKVRSCVVMLDLECDSLITEMFRHFLKTIRPNHSEKIFSSMETIMTLVLEESEDISPELILCLLDSVKSYNKDMLPVARRLGEKVISKCAGKLKPYLVELSESTGMPLNTYGEVVASICQECLDSVEQNDVDDSKQSERTVSDELVQGSDKMEQEVNCPEEVTSTEKSPKSVMSNGTVRMGNGGSTTEPSSPKQEPEPSCPGDQSKRANASNRDMSVNLEPVAGKPDAISDVKPKKTRGKQTTLSDLRNSGDHCSDSIGKEVPGKHDLVSLSGADSGAVKDALPAEAEIPVATRRKRGRPSTKLAATRHDDSAVAAPSASPLQQKVSEMGEKAISSKDSNLQKESDGITGPEDIEESPIGTGDSEEKSRRQQNRKSSTSKTERGDSSQKSGTLKNKQQDNLKAKKDKAGEPILKETVSSLRSAAKSPKDQGILEESAKTKSGRKREHGGREISETPTVNKELDGGLVGSRIRVWWPMDKKFYNGVVDSYDHASKKHKIVYTDGDVEILLMKKERWEFLKDDNKNDMEQAKDSSNSDAASEESKSKRMKTSSSSNPKETNTETPTKSGKPSGSRRKGRPRKAGVLNLDDGPSSSSKANEKATSRSKDEGSKSDVKLKDDSKSTVDKADKSTSKTGSQKKDNVHKFIRNSIGGTPKSASKLMDDTVSAVSKVRKDTSKSKSSEDSPKTGQKLRGTTSPTTGSESEANIDFEKGKAKVRESETLAELPSCATPKAPESEASAGKKRKRRGQT >Ma05_p19390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27805380:27818869:-1 gene:Ma05_g19390 transcript:Ma05_t19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEKDLEDRLREVGSRLASPPSAVDELLPLLDQTESLLSRVDQSPTQSMSNALRPSMKALVVKELLGHSDIDVKVAVASCVSEITRITAPEAPYEDDLMKEVFQRIVQAFENLDDVSSRSFPKRVSVLETVAKVRSCVVMLDLECDSLITEMFRHFLKTIRPNHSEKIFSSMETIMTLVLEESEDISPELILCLLDSVKSYNKDMLPVARRLGEKVISKCAGKLKPYLVELSESTGMPLNTYGEVVASICQECLDSVEQNDVDDSKQSERTVSDELVQGSDKMEQEVNCPEEVTSTEKSPKSVMSNGTVRMGNGGSTTEPSSPKQEPEPSCPGDQSKRANASNRDMSVNLEPVAGKPDAISDVKPKKTRGKQTTLSDLRNSGDHCSDSIGKEVPGKHDLVSLSGADSGAVKDALPAEAEIPVATRRKRGRPSTKLAATRHDDSAVAAPSASPLQQKVSEMGEKAISSKDSNLQKESDGITGPEDIEESPIGTGDSEEKSRRQQNRKSSTSKTERGDSSQKSGTLKNKQQDNLKAKKDKAGEPILKETVSSLRSAAKSPKDQGILEESAKTKSGRKREHGGREISETPTVNKELDGGLVGSRIRVWWPMDKKFYNGVVDSYDHASKKHKIVYTDGDVEILLMKKERWEFLKDDNKNDMEQAKDSSNSDAASEESKSKRMKTSSSSNPKETNTETPTKSGKPSGSRRKGRPRKAGVLNLDDGPSSSSKANEKATSRSKDEGSKSDVKLKDDSKSTVDKADKSTSKTGSQKKDNVHKFIRNSIGGTPKSASKLMDDTVSAVSKVRKDTSKSKSSEDSPKTGQKLRGTTSPTTGSESEANIDFEKGKAKVRESETLAELPSCATPKAPESEASAGKKRKRRGQT >Ma09_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1252253:1253120:1 gene:Ma09_g01690 transcript:Ma09_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVYLAEPVVVLLFLHVLLSSIMVAIQNLSLRSMSFLHTYVACCACLSAVPDEQQPLSSAAGEARGGDCNLRIEDVQIILGRMGLGEQQLQEKMEDFDHLPDLFADEEPSLEELKQAFSVFDENGDGFIDAVELQTVLAKLGIAEASDLDACRRMIAAHDRNRDGRIDLIDFVKFMEISLC >Ma03_p04500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2935149:2938705:-1 gene:Ma03_g04500 transcript:Ma03_t04500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSREMQGMAGSMNGGISHSVAVAQIALRELQNGHGGRQIPNSGPQASFDDGASGHDDFLDQMLSSLPSSWAELGNLKNPWDPPEAGQRIFGAGLAGETSPEEPSAAAAEGMRYPPYDESSLLANRLRQHQISGGSSPNGKAMMVHLDHQSQQQMLLPSLGRSPVASGDSGLLSLPLTLGTGGYDVDAPFKSLNPTGGEGLFNGLGGPLHRAQSAFGHPQHQGGASMPSQNFTAAAATGGVGQAASASASASASASAGGGTGPPRQKVRARRGQATDPHSIAERLRRERIADRMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGQSGAGSGGANSSGDTLTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLASAISTATACPPRPLSSSSLSSAGACHLGHGILPGGPHLPSPSGDAPASPGVSALTAQSAMANGAAEAAKEAVTVSKA >Ma03_p04500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2935149:2938705:-1 gene:Ma03_g04500 transcript:Ma03_t04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSREMQGMAGSMNGGISHSVAVAQIALRELQNGHGGRQIPNSGPQASFDDGASGHDDFLDQMLSSLPSSWAELGNLKNPWDPPEAGQRIFGAGLAGETSPEEPSAAAAEGMRYPPYDESSLLANRLRQHQISGGSSPNGKAMMVHLDHQSQQQMLLPSLGRSPVASGDSGLLSLPLTLGTGGYDVDAPFKSLNPTGGEGLFNGLGGPLHRAQSAFGHPQGGASMPSQNFTAAAATGGVGQAASASASASASASAGGGTGPPRQKVRARRGQATDPHSIAERLRRERIADRMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGQSGAGSGGANSSGDTLTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLASAISTATACPPRPLSSSSLSSAGACHLGHGILPGGPHLPSPSGDAPASPGVSALTAQSAMANGAAEAAKEAVTVSKA >Ma10_p25390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33553501:33554196:1 gene:Ma10_g25390 transcript:Ma10_t25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMCVHMDCSGCENKIRKALSKLQGVDSVDIDMVRQKVTVTGWVDQKKVLKAVRKTGRRAVLWPYPLDAEHNVYTQGCYHLQHPTPAHRLLFDAEPHSYNYYKHGYDDSTLHGYYQQPAHTHIIEEEARVRFSDDNPNACSIM >Ma06_p31660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32725152:32726433:1 gene:Ma06_g31660 transcript:Ma06_t31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSSPPRVVDECRGVLRVLSDGAIVRSQNPSFPIPVVDDGSVEWKDALFSPAPHALHLRLYRPRRSQAPDEGRLPVFFYFHGGGFCIGSRTWPNCQNYCLRLASDLRALVVAPDYRLAPEHRLPAAIHDAVASVEWLRSQAAADPWLAESADLARVFISGDSSGGNIAHHLALRFGSPAGRAALAPLRLRGFVLLMPFFGGTQPTRSEAECPDDAFLNRELNDRYWRLSIPSEATLDHPLVNPLGPESADLEAAEVDPILVVVGGRDLLRDRAAEYAVRLRGWGKPVELAEFEGQQHGFFTIDPWSEPSGKLMGTIKRFMKDNGGGSS >Ma07_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30739361:30743852:-1 gene:Ma07_g22960 transcript:Ma07_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSAAKRLDNTVARRGARLYLNPDCSWPEAEIAATLLLASAVAAAAAAISKPGCLSKCGEVDIPYPFGIGTNCSMEGLDITCNKTFNPPKPFMGESNIDIFNISLVDHELSAYIYMAYWCYNDTGWSADATLNPAYLYSATRNKFTVVGCSTLAYIGGGNSDTFSYTSGCVSICHEEDSVSQGPNCDGMGCCQTSIPTGLNACDFKFDLHFDNSSVQSFSPCSYAFLADEDWFHFNKSTLSADFGNKANYGVPVVLDWAIRNQSSCRAAKAHPDTYACRSAHSDCFNSTNGPGYLCKCSQGYTGNPYLEGGCQDIDECELPQLYPCFGVCSNIQGGYLCTCPPGTHGNATKDNCTGNSSKFPLPARLAVGFSVIVVILVAILSCVIISTQKTKHKRERDIFFRKNGGFKLYEEILSKKVDTVQVFTVEELQRATDNFADKRVIGCGGYGMVYRGILDDHRIVAIKKSKKVDERQKDEFVNEIIVLSQINHRHIVRLLGCCLELDVPMLVYEYISNGSLFDVLHPEHYNSPLPLQARLTIAEQAAEALTYLHSATNRSIVHGDVKSHNILLDDDLSAKVSDFGASQLVPMDEDEFIMFVQGTLGYLDPECMQTRRLTDRSDVYSFGVVLLELITGKKAIYADDAWEKRSLATSFLVMMKEQRLRDILDNKMIGEGGERLLGEVAAIAKECLRVKGEERPSMKEVAERLHSLRRLRLQPREEYDQGEIKMVKAEETRRCTETDTSGYHILDSSMLLNNVDAGR >Ma11_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:925916:928725:1 gene:Ma11_g01310 transcript:Ma11_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGNTTEPILYCYKLDSISSGGVWNERPLHKFAIPVLLWQMVLAVIVSRGLAFLLKPLRQPRVVAEILTGIVLGPSVLGKIVIHEAGIPVSLGEVVYPAASHRLLETMGLIGLLYYLFMVGMEFDLQIFESWREKVIAIAAANMALPFIVTLLAANVMNLQPPGHVNYLSQVVFIGSATTVTSFTVLVRVLAELKILNSELGQLVMPSAILSDLMTWVLLAATVVLPASAGSNRDIAPTKFAFLWISVSGIAFTAACWLVIRPTMCWILRRTPEGEPVDDVYISVIATGVLAVGIITDMIGFHAVYGAFVYGLVVPRGPLTIGLRNRLEEFVIGLLMPIFLATCGFKADLSLLNTEDKKGAAVISTLSMIIVLSFLVKLGSSMAIAQYNSMTAPESLSLGLLMNTKGPIDMIILNIGKHKQIFDVRMYTVLILGSIFTMAAVTPSVAILNKTSRIRVAHKRRNLQRCRPDMELRMVACVYNARNVPSVISLLQMSNPTKRSPIFVYALHLLEPTGRASAMLIVHEIGKSKGQRQVVSNPSGGAMQSEQIIATFESYEQHAGGVSVQPVTAISPYSTMHEDVFNIAEERHSTLIILPFHKQLSVAGDFEDINPSIRSVNRSVLANAPCSVGILVDRGLSGGGRFSVSQFVEHHVAVLFFGGPDDREALAYSWRMAEHPSVNLTVVRFLPGEEAPVPPSPSLSCASGEHAAMTVVEDDSMQRQLDDECVNEFRLRYVSDESVMYTEKVVNNASEAVAAIRAMNSIHSMYVVGRGQGRESSPLLAGLTEWSEYPELGAIGDMLVSADFGAQVSVLVIQQHLGDDVGGFMEVMAASESPKLQVPRYFDNVNHKSRPGGFSDGWSAI >Ma00_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3531085:3575750:1 gene:Ma00_g00970 transcript:Ma00_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MGDVLRWRVLPMLKSISECSLLPYDHYWITSKRIVTPQGVINGAVEVRDGKIVSVVESDYQKGSLLGGKVVDYGNAVIMPGLIDVHAHLDEPGRVEWEGFSSGTKAAAAGGVTTLVDMPLNSFPSTVSEETLRMKVEAAQKKIYVDVGFWGGLVPHNAFNTSMLEGLLHAGALGLKSFMCPSGINDFPMTNSTHIKEGLYVLAKFKRPLLVHAEVVLDSKNSEGLLDDDPSYRSYATYLKSRPPSWEEAAIKDLLAVAQDTKTGGQAEGAHVHIVHLSDAKASLDLIEDEKRNGPNGASISVETCPHYLAFAAEEIADGDTRFKCAPPIRDVTNRQNLWEALIDGHIDMLSSDHSPSIPELKLFDEGDFLRAWGGISSLQYVLPITWTYGQKYGVSFSQIATWWSERPAKLAGQKYKGAIILGNDADFAVWEPEVEFELDENYKTYHKHPNISPYIGRRLSGKVLATFVRGNLVFSERSHAPAACGSPILAK >Ma00_p00970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3531053:3575750:1 gene:Ma00_g00970 transcript:Ma00_t00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MGDVLRWRVLPMLVLLASSLVLYVRILPKKSISECSLLPYDHYWITSKRIVTPQGVINGAVEVRDGKIVSVVESDYQKGSLLGGKVVDYGNAVIMPGLIDVHAHLDEPGRVEWEGFSSGTKAAAAGGVTTLVDMPLNSFPSTVSEETLRMKVEAAQKKIYVDVGFWGGLVPHNAFNTSMLEGLLHAGALGLKSFMCPSGINDFPMTNSTHIKEGLYVLAKFKRPLLVHAEVVLDSKNSEGLLDDDPSYRSYATYLKSRPPSWEEAAIKDLLAVAQDTKTGGQAEGAHVHIVHLSDAKASLDLIEDEKRNGPNGASISVETCPHYLAFAAEEIADGDTRFKCAPPIRDVTNRQNLWEALIDGHIDMLSSDHSPSIPELKLFDEGDFLRAWGGISSLQYVLPITWTYGQKYGVSFSQIATWWSERPAKLAGQKYKGAIILGNDADFAVWEPEVEFELDENYKTYHKHPNISPYIGRRLSGKVLATFVRGNLVFSERSHAPAACGSPILAK >Ma04_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16805768:16806630:1 gene:Ma04_g16870 transcript:Ma04_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGHVIDPSAAGTSRPLTPKLHQATQAPLQATQTTPWQASSSWQPEISAIEFAKKFEQHSVQGEASSSQIMQPSDVSIMPEVTSHSVNHAVMQKLVKLHRESYLGGCLLVYDGRKSHYTAGPLPFTSKEFQITLNDEDDGSSMEMFSTRPTEMPFCNFIIYFCCKMAIS >Ma00_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:42111791:42112521:1 gene:Ma00_g04870 transcript:Ma00_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEIRIENRLQEVLNDFKTDLLGSLSKFQQGGSSSSTLHRFENTGKGPQDYDTNYSHMKMEFLRWKDGDPTNWISKTEIFFPFSQNPRRIQGRSGLKPTRGRCTPVV >Ma01_p00670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:509624:514028:-1 gene:Ma01_g00670 transcript:Ma01_t00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYAFAAAGYPDEWVHDAFFDPRLAATVLLSFRRGKRPEPESEGDEKPSTSATAADAPLPKWGRRIKRPRMMRLPRILSATRDEEMEDEAAEKRKGKRRASPQSPLEGYSSASGSDGEEGASSAEKPPKAPMSPFPATPSGDGRTKVVADLRAPLISSIPVSAPIRRPASRKMTKPELQAVERTLLEERANLHKDMEVLRRAVEELRADNRKLEMHLELSKLPITTVHMALEDVQLHGSCQPTVQSSSLPGRKAFIAIPDLNDPLPDC >Ma01_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:509624:514028:-1 gene:Ma01_g00670 transcript:Ma01_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYAFAAAGYPDEWVHDAFFDPRLAATVLLSFRRGKRPEPESEGDEKPSTSATAADAPLPKWGRRIKRPRMMRLPRILSATRDEEMEDEAAEKRKGKRRASPQSPLEGYSSASGSDGEEGASSAEKPPKAPMSPFPATPSGDGRTKVVADLRAPLISSIPVSAPIRRPASRKMTKPELQAVERTLLEERANLHKDMEVLRRAVEELRADNRKLEKMHLELSKLPITTVHMALEDVQLHGSCQPTVQSSSLPGRKAFIAIPDLNDPLPDC >Ma01_p00670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:511569:514028:-1 gene:Ma01_g00670 transcript:Ma01_t00670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYAFAAAGYPDEWVHDAFFDPRLAATVLLSFRRGKRPEPESEGDEKPSTSATAADAPLPKWGRRIKRPRMMRLPRILSATRDEEMEDEAAEKRKGKRRASPQSPLEGYSSASGSDGEEGASSAEKPPKAPMSPFPATPSGDGRTKVVADLRAPLISSIPVSAPIRRPASRKMTKPELQAVERTLLEERANLHKDMEVLRRAVEELRADNRKLEVSTTTYACLRPFRHFPLLPCSVGTVYPVMSSFNGPIFRWSGRKHSSYRCRALGHGSCLPVNCGFGCC >Ma11_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27092060:27105478:-1 gene:Ma11_g23910 transcript:Ma11_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL1 [Source:Projected from Arabidopsis thaliana (AT4G03080) UniProtKB/Swiss-Prot;Acc:Q8L7U5] MGSKPWLIPAPNYRRLDSSWETDDDAPGPRCGHSLTAVDASKSRGPRLILFGGATAIEGGASSAVPGIRLAGVTNSVHSYDVNTRKWTRIHPVGEPPSPRAAHAAAVVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGPGPGPRYGHTMDLVAQRYLVTISGNDGKRVLSDAWALDTAQKPYRWQKLNPEGDRPSARMYATASARSDGMLLLCGGRDSSGMSLSDAYGLLMHTNGQWEWTLAPGVSPSPRYQHSAVFVGARLHVTGGALKGGRNIEGEGAIAVLDTAAGVWLDRNGIVTSARTQKSPTDRDGSLELLRRCRHASASVGTQIYVYGGLRGDMLLGDFLVAENSPLQSEISSSMYNSDRVPAVNQKPNQSVNSDSQSVEQELDNKSGMASSASLSVDQKSIDLLTEASAAEAEAVSAVWRAAKEASIESLSDDKESESQVNDEFSNAEDSSDVAYSLEPDVRLHSRAVVVAKETVGSLGGLIRQLSLDQFENESRRVHPTNGEQTYSAKKFLNRQKSPQGLHKKIISFLLRPRCWKAPATRRFFLDSYEVGELCHAAEQIFRQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSINSVEQIEKLERPITMDVGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVIGRGLVVVPKLIHPLPPPLQSPESSPEHAVEDTWMQELNIQRPPTPTRGRPQSANDRSSLAYI >Ma06_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11044042:11044527:-1 gene:Ma06_g16320 transcript:Ma06_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWEGKASAKLPTTKADEAWSLLSSFCSLHLWLPGLVETCRKIAGAEGQPGCVRYCASPPGDDGKPVIWAYEELLAFDPARRCFEYEVADNNIGLKRYVATFKVLLPPSNGEGGCQLEWSFHCDPVSGWTEDSLAAFLQTGIDAMAKKVEEAPKVPLYMI >Ma04_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25593128:25595314:1 gene:Ma04_g23420 transcript:Ma04_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFPGRELGLGAGGRGGTLLKRTLADMEIQHQQQQMQLQLQHQHQHQQSLFLRSVKQRTLAAPSIATLSPAVVGAFPCNSFSAATQSSVSTSSTVTSAGFAFPRRPELLAGSVPEVRSSGSLRDQLKELERRLLLDDEEEEASASGSAVTHAAWSEAIQSLMSTPQPPVATTTAGHLSPSPTSSSSSTISSSASCSPPSSMPSPPPPPTGTSRQMLLDTATAIGEGNLHAATANLAVIKAAANPRGDAEQRLTAMMYATLFSRLNNLQTGSSHAVAELRSPEHFAATQMLYDLSPCFKLAFIAANHAILEATKDEPNIHILDFEVGHGSQYAAFLHALTQRLRHRPTIRVTAVVDPSSPFTNINSGGLRVVGERIEKLAERVGVGLRFSVVSRRPEELDAVALGCEPGEVLIVNLAFVLSRVADESVSLANPRDEILRRVRALQPRLVTLAEQEINTSTAAFPARFAEACVHYGALLESLEATAAAAVQKGFAERARAEAGLARRAVNAVAREGQERVERCEVLGKWRARMGMAGLKPVPLGPSSVEPVKYRLESAGSNPGFTIKEEVGGVALGFGWRGRVLTVLSAWR >Ma04_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11780490:11802160:-1 gene:Ma04_g15530 transcript:Ma04_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTATACRLWVLAFCFISPDLLLAAFGSKPPRIHPNEKSSLKRIAAMLKLNWDFDVDPCSRKGKWNVQGEKGFESSVGCDCSFNNNSLCRVSNISLKSQNLSGALPKEFGSLLYLKQLDLSRNVLNGTVPDEWEKMRLQGLSLMGNRLSGPFPIVLTKITNLTNLSIEGNHFHGPLPQELGNLVHLEKLVVSANNFNGELPRALAKLTNLTDLRISSNNFSGKIPDFIKNLKKLEKLHIQGCFLEGPIPSGISNLMSLIDLRISDLRGNISTFPELVGMKSLRTLTLRNCSIHGKMPSYIGDMKLKNLDLSFNRLTGGIPGSFAKLQKVDFLYLTGNMLTGNIPGWIMNRNKNADISYNNFTFGGSGPVQCIQGSVNMVEGYSQSIDSMNTIQSCLKRNFPCSSSDKKYQYSLHINCGGKEININGTKYEADTEGRGASMLYLGSNWAFSSTGNFMDNDVDADNYIASNISELFMPNSELYTRARLSPLSLTYYGLCMMEGSYMVKLHFAETVFTHDNTFRSLGKRLFNVFIQEELVLEDFNIEDAAGESGEPIIKTFNASVTDHTLKIQFYWAGRGTTGIPSRGVYGPLISAVSVTPNFTPPPDVESSSDKRMHIIISLGVSILVVFLVLLALGIWRKRRRNSMHQELRGLDLQTGSFTLRQIKAATKNFDPANKIGEGGFGSVYKGLLSDGTVIAVKQLSSRSKQGNREFINEIGMISALQHPNLVKLYGCCTEGNQLLLIYEYMENNCLARALFGKDARHKLKLDWPTRHKICLGIARGLAYLHEESRLRIVHRDIKASNVLLDRDLDAKISDFGLAKLSEEDCSHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKDEFVYLLDWACVLHERGTLLELVDPDLGSVYSEEEAKLMLNVALLCTNSSPSLRPTMSKVVSLLEGRASLQPFLSNVSTSTNSLSSKRAGRNFWQNLSGSRSQSAHASGEDYSELDPEDDVSLQLLVDAVRIS >Ma04_p15530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11780490:11802160:-1 gene:Ma04_g15530 transcript:Ma04_t15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTATACRLWVLAFCFISPDLLLAAFGSKPPRIHPNEKSSLKRIAAMLKLNWDFDVDPCSRKGKWNVQGEKGFESSVGCDCSFNNNSLCRVSNISLKSQNLSGALPKEFGSLLYLKQLDLSRNVLNGTVPDEWEKMRLQGLSLMGNRLSGPFPIVLTKITNLTNLSIEGNHFHGPLPQELGNLVHLEKLVVSANNFNGELPRALAKLTNLTDLRISSNNFSGKIPDFIKNLKKLEKLHIQGCFLEGPIPSGISNLMSLIDLRISDLRGNISTFPELVGMKSLRTLTLRNCSIHGKMPSYIGDMKLKNLDLSFNRLTGGIPGSFAKLQKVDFLYLTGNMLTGNIPGWIMNRNKNADISYNNFTFGGSGPVQCIQGSVNMVEGYSQSIDSMNTIQSCLKRNFPCSSSDKKYQYSLHINCGGKEININGTKYEADTEGRGASMLYLGSNWAFSSTGNFMDNDVDADNYIASNISELFMPNSELYTRARLSPLSLTYYGLCMMEGSYMVKLHFAETVFTHDNTFRSLGKRLFNVFIQEELVLEDFNIEDAAGESGEPIIKTFNASVTDHTLKIQFYWAGRGTTGIPSRGVYGPLISAVSVTPNFTPPPDVESSSDKRMHIIISLGVSILVVFLVLLALGIWRKRRRNSMHQELRGLDLQTGSFTLRQIKAATKNFDPANKIGEGGFGSVYKGLLSDGTVIAVKQLSSRSKQGNREFINEIGMISALQHPNLVKLYGCCTEGNQLLLIYEYMENNCLARALFGKDARHKLKLDWPTRHKICLGIARGLAYLHEESRLRIVHRDIKASNVLLDRDLDAKISDFGLAKLSEEDCSHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKDEFVYLLDWACVLHERGTLLELVDPDLGSVYSEEEAKLMLNVALLCTNSSPSLRPTMSKVVSLLEGRASLQPFLSNVSTSTNSLSSKRAGRNFWQNLSGSRSQSAHASGEDYSELDPEDDVSLQLLVDAVRIS >Ma08_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5316615:5322234:-1 gene:Ma08_g07770 transcript:Ma08_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVPKSVLIVRIPFGSPFHRVSALHSTPITLAKWKSKWDSKADKSGPKPSKTYVRYAVRQKRAEIKKALKDYLLYGKPSKQNCQDRDIHGFDDTSISRNFGKAKFHSSSSHGKCNHGSKRNRQKKNSSNDEDSYDNPETIYETVFGGHRGFTWSFESWARCHFSKSGTQFEWKNESRWEKTRSRVWSESDDEDNLTDVGSHSHRVALGLPPTGPLKLDDVKSAFRISALKWHPDKHQGPSQVMAAEKFKLCVDAYNSICSVLKAT >Ma07_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5037301:5037384:1 gene:Ma07_g06950 transcript:Ma07_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVLCPREAIHKCLVLVTEMADCSML >Ma04_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16811418:16812677:1 gene:Ma04_g16890 transcript:Ma04_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKELLISFEIATGQKPQRIIFCRDGVSEGQFYQACASVEQNYQPPVTFVVVQKRHRIRLFANNHSDRCSVDRIGNILPGTVVDSMICHPDFYLCSHGTSRPAHYHALWDKNKVTADQLQTLTNNLCYMCWYLSNLWLHCSYARCAISIVPPAYYAYLAAFRAQFYMEPETSDSGSVAIKPLRALKDGVKRGMFSC >Ma01_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28596707:28597408:1 gene:Ma01_g23600 transcript:Ma01_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKVGATSRTAAGVQLRSSSHLTKAFGLEPAASARLTCSLQSDVREMAQKCADGVKLAGFALAASALVVTGASAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVESFAFKPGKYNTKKLCLEPTSFTVKAEAVSKNAPPEFQKTKLMTRLT >Ma01_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24185755:24186878:-1 gene:Ma01_g22280 transcript:Ma01_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIELLPEYARVIDDGIYRAIDIYLKAHPSMTESECKKLCKLIDCQKLSQEASNHAAQNDRLPLQMIVRVLYYEQIQLKSTISGNDCEASFSQRMVNSSSVVYAAISPRDNYASLRRENRELKLEISRMRVRLSELENEQAFMKQGMGDNSSAEHSRAFFTSISKGISKIAIFGPTHGKHWKSTRKSQGSDGKSRRWQRQSVSQKY >Ma05_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10661294:10662069:-1 gene:Ma05_g14570 transcript:Ma05_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSHRHATKHAAHRLFSESASTGFHDSDEFTESDVWGCPVEPAPRQAEPGGRAIPSFRPSARGRKADGQRGDRVPPASLPVNIPDWSKILGNCANSHGKNSSGFWGEEEEEEEVIDGDELDGRRMVPPHELLWRSRAASMSVHEGIGRTLKGRDLSRVRNAVWQITGFED >Ma06_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12915073:12919344:1 gene:Ma06_g18890 transcript:Ma06_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 4 [Source:Projected from Arabidopsis thaliana (AT4G10120) UniProtKB/Swiss-Prot;Acc:F4JLK2] MAGNEWINGYLEAILDAGPKQPLRLRDRNFSFSALKQLVVRSASSGGGGVERYSPTKYFVEEVVSRFDDADLHKTWTKVVATRNSQERNNRLENMCWRIWHLARKKKQIQWEEAQRLSKKRREREQGSKDAAADISELSEGEKVEPPKDSMPRINSEMKMWSEDDQDGKSKHLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALAATNGVYRVDLLTRQISSPDVDWTYGEPVEMLTRLSDVDRSTNNDGCGAYIIRLPCGPRERYIPKESLWPHIPEFVDRALAHIANVSRVLADQVAEVDGGVGGGKPIWPYVIHGHYADAGEVAARLAGLLNVPMVMTGHSLGRNKLEQLLKQGRLSREDINSTYRIMRRIEGEEVALDAAEMVVTSTRQEIEEQWGLYDGFDLKLERKLRVRRRRGVGCLGRYMPRMVVIPPGMDFSYVNTQELMEGDGDLSSLIGSDGAPSRRDLPPIWSEIMRFFTNPHKPMILALSRPDPKKNVMTLLKAFGECSRLRELANLTLILGNRDDIEEMSGSSAAVLTTVLKLIDRYDLYGLVAYPKHHKQSDVPHIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKVLNNGVLVDPHDQSAISDALLKLVADKSLWFDCRRNGLKNIHRFSWPEHCRSYLSHVDHCRALSGHPSSSPCLDLPPTAAALEPMSESLRDVGDDLSLRFSLDAPLDLANPPTANSDMGPAAILEALRRHRCSPHAAAVNDHAPGRRQRLVVVAVDCYSEDGRPALSDLRRVLDAAMAVGRGRVGYVFATGSTTAEAVEALKCCHVDPGEFDALVCGSGSDVYYPWRDPPEDVDYGEHVEYKWPAEHVKSAVPRLAQLDEAPEGDLTVDDAACRPHCLAYSVKAVDRVRKIDAIRQRLRMRGFRCNLVYTRASTRLNVVPLFASRASALRYLSIRWGVDLSKIMVLVGAKGDTDHEQLFPGMHRTLVVKDVVAHGSEKLLRDEDNYETEDVVPTQSSDVVSQPEDRIASEITSFMEKN >Ma01_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5155418:5156166:1 gene:Ma01_g07160 transcript:Ma01_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLIDKAKEFVAEKIAKMPKPEASLESVSIKSFTRDSILFHSEVAVLNPYSHSIPICQLSYALKSAGREVASGTMPDPGSLTASAETKLEVSLKVPYDFLISLMRDIGRDWDIDYEMQVGLTIDLPIIGDFTIPLSTKGEIKLPTLSDLFCGGGGGDD >Ma08_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17827409:17827813:1 gene:Ma08_g16320 transcript:Ma08_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEYSSGCQSGWTLYLAHSLDDQNSLYHHDGSSFRGEEEEDLSMVSDASSGPPLLHGDDDHGCCYHNSDTCLHTAAPPSAALGGDGAKKKRAVAPQRKEYSAALDDTASSQLFSSSEACCSFCSLSLPAFAYR >Ma03_p13120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10127487:10130003:1 gene:Ma03_g13120 transcript:Ma03_t13120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKRSVMGSSPASTYYPVTEEARARFRHQSLLQDYEDLLKETEAKRKKLQKANEKKLKLLAEVKFLRRKYRCLSSNPSGKTPCRLNKKPQSSPSSLLLTGRPSIPSFQLPAKDQSFTARDATTPSTAAVIDLNQVSLPIGEEMDEYQISLEPAKADRLRKSPMEGDAMANDLKLSICRDVGHGSNQVVKRKITWQDQVALRV >Ma03_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10127485:10130003:1 gene:Ma03_g13120 transcript:Ma03_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKRSVMGSSPASTYYPVTEEARARFRHQSLLQDYEDLLKETEAKRKKLQKANEKKLKLLAEVKFLRRKYRCLSSNPSGKTPCRLNKKPQSSPSSLLLTGRPSIPSFQLPAKDQSFTARDATTPSTAAVIDLNQIGEEMDEYQISLEPAKADRLRKSPMEGDAMANDLKLSICRDVGHGSNQVVKRKITWQDQVALRV >Ma09_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4383592:4385944:-1 gene:Ma09_g06880 transcript:Ma09_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVFLLMTVAMFGASDAAWCVCRSDLSTTALQKTLDYACGAGADCTPILQNGACYNPNTVLAHCSYAANSYYQKKGQTQDACDFAGSAMLSSTDPGGNGCTYPATTSAAGSSTTPTSTSTTPSSTTPSTFTPTTGTTGTGGVLGGLGPTGTTSIDGSDGGLIPNKGMPSLLLTFLLSPLVLLRL >Ma10_p13310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26164598:26177167:1 gene:Ma10_g13310 transcript:Ma10_t13310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGEEPGGHGGGGGGGGPPLRNLCCRELSLLEFPDRYVIRSVDPDAPDHAFSIGRSDGLVEPLFEDLSSLTPSRVSTIYGVLGIIRLIAGTYVLLITSRKEVGTHLNSSVFHVTSMRFLSCNGALKHSTSQEKRDEAYFMSLLRTIESTPGLYYSYERDLTLNLQRTCKLTEERMHKPLWKQADPRFIWNHSLMEELIENKLDAFTIPAIQGNILSFQSVQFTLKDSPARITLISRRCNRRLGTRMWRRGANLEGSTANFIETEQILEFEGYKSSFLQIRGSIPLLWEQIVDLSYKPRLNIINHDETPKVVERHFRDVMQRYGETIAIDLTDKEGDEGRLSDAFAAEMEKLPYCRYVPFDFHHTCAKGNFDNLQLLYDQIKENVESQGYLLINTDGEILIEQNGILRVNCVDCLDRTNVTQSYMARKSLNLQLQQMGAFSSSECISMYSDIYEKFKILWAEHGDEISLEYAGTHALKGDLVRYGRQTVGGMIKDGISALTRYYLNNFQDGIRQDALDLISGRYTISRSGPSPFQLNGFESLSYLPVASALIVGGLTMTTFTLNQVGRGTQHFISSVLWAGLTAGVVALVKANGKQFCSRPRLCSLL >Ma10_p13310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26164598:26177167:1 gene:Ma10_g13310 transcript:Ma10_t13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGEEPGGHGGGGGGGGPPLRNLCCRELSLLEFPDRYVIRSVDPDAPDHAFSIGRSDGLVEPLFEDLSSLTPSRVSTIYGVLGIIRLIAGTYVLLITSRKEVGTHLNSSVFHVTSMRFLSCNGALKHSTSQEKRDEAYFMSLLRTIESTPGLYYSYERDLTLNLQRTCKLTEERMHKPLWKQADPRFIWNHSLMEELIENKLDAFTIPAIQGSFQSVQFTLKDSPARITLISRRCNRRLGTRMWRRGANLEGSTANFIETEQILEFEGYKSSFLQIRGSIPLLWEQIVDLSYKPRLNIINHDETPKVVERHFRDVMQRYGETIAIDLTDKEGDEGRLSDAFAAEMEKLPYCRYVPFDFHHTCAKGNFDNLQLLYDQIKENVESQGYLLINTDGEILIEQNGILRVNCVDCLDRTNVTQSYMARKSLNLQLQQMGAFSSSECISMYSDIYEKFKILWAEHGDEISLEYAGTHALKGDLVRYGRQTVGGMIKDGISALTRYYLNNFQDGIRQDALDLISGRYTISRSGPSPFQLNGFESLSYLPVASALIVGGLTMTTFTLNQVGRGTQHFISSVLWAGLTAGVVALVKANGKQFCSRPRLCSLL >Ma10_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26164598:26177167:1 gene:Ma10_g13310 transcript:Ma10_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGEEPGGHGGGGGGGGPPLRNLCCRELSLLEFPDRYVIRSVDPDAPDHAFSIGRSDGLVEPLFEDLSSLTPSRVSTIYGVLGIIRLIAGTYVLLITSRKEVGTHLNSSVFHVTSMRFLSCNGALKHSTSQEKRDEAYFMSLLRTIESTPGLYYSYERDLTLNLQRTCKLTEERMHKPLWKQADPRFIWNHSLMEELIENKLDAFTIPAIQGSFQSVQFTLKDSPARITLISRRCNRRLGTRMWRRGANLEGSTANFIETEQILEFEGYKSSFLQIRGSIPLLWEQIVDLSYKPRLNIINHDETVVERHFRDVMQRYGETIAIDLTDKEGDEGRLSDAFAAEMEKLPYCRYVPFDFHHTCAKGNFDNLQLLYDQIKENVESQGYLLINTDGEILIEQNGILRVNCVDCLDRTNVTQSYMARKSLNLQLQQMGAFSSSECISMYSDIYEKFKILWAEHGDEISLEYAGTHALKGDLVRYGRQTVGGMIKDGISALTRYYLNNFQDGIRQDALDLISGRYTISRSGPSPFQLNGFESLSYLPVASALIVGGLTMTTFTLNQVGRGTQHFISSVLWAGLTAGVVALVKANGKQFCSRPRLCSLL >Ma06_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5733040:5735316:-1 gene:Ma06_g08090 transcript:Ma06_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAQVPQFGNWETAQDFPHTQCFNHARRRKSGRNATNLIGPNESSEAHAKATSSLDRAAPSTTESIADTTKPKDANPLKTNGGNFRRTATPPVRKSPANRAIFGDNQKRFQGRIASEVTPGRIRRNLCDRANATPDHHYVFVPPFAGWDENDPASAQKYTEVFNKVADQRTPGTPFKPSQPSSLKQKSTEPKGCGCLSWIF >Ma04_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19140050:19141525:1 gene:Ma04_g17890 transcript:Ma04_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGGPVRMSNDQQARSDEPPAVRPHPTDAAGEHPLQEGVRDERPAAISERYWRLFNDPGLSPPISNPGGPTPSTQPGSRGAGDPAAHPTPEAPLLNSTEGLWAQLRLVGHRLDEVQREIRRTKGDPGAEQHQGPPFTPEIQEQAIPPHFRLPSLDAYDGATDPADHVAAFRAQMALYGTSDALMCRAFPTTLRGPARAWYSNLKTASIASFDQLAKDFELNFLAYAKPKPSMAMLLGLNQREDEPLSHFVDRFTIQIRGLSDAHPSLMMQAFMMGLRPTRFFWSLVERPPASVPEMLQRANQFVAAEAWMVGRRDERKRVKPEQPRQPQPATSRRRAGGLDDAVPRSPPPGLNSSRTEIFLHIKEKGLLKDPHPMNSPRELADRSKYCRFHRQPGHDTEQCRELKRQIEELIRRGHLGPYLRRDKEL >Ma05_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1610593:1613290:1 gene:Ma05_g02500 transcript:Ma05_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPDVRSAASPISKPHQFNALQRAQSIMLHRKQTSSTTAPRRRCSASPKSLLALNSSTSPAYYPAPYPKEATTTIAKPASKPQSSDDSSARPEWNLFQRCAATALDAIEEVFISKVLERPHPLPKTADPAVQIAGNFAPVGEQAPCHNLPVEGRIPPFINGVYVRNGANPLFEPVAGHHFFDGDGMVHAVHLRNGSAAYACRYTETERLRQERAIGKPVFPKAIGELHGHSGVARLLLFYARSLFGLVDGGHGMGVANAGLIYFNDRLLAMSEDDIPYHVRVTPSGDLETVERYDFHGQLRSSMIAHPKLDPSSRELFALSYDVIKKPYLKYFYFSPDGNKSPDVDIPLDQPTMMHDFAITENYVVVPDQQVVFKLQEMIRGGSPVIYDRTKTARFGVLPKYAADASEMRWVDVPDCFCFHLWNAWEEPATGEVVVIGSCMTPPDSVFNECEESLKSVLSEIRLDLNTGKSTRRPILSPADQLNLEAGMVNRNMLGRKTRYAYLAIAEPWPKVSGFAKVDLCTGAVSKFIFGDGKYGGEPYFVPRDPKSSREDDGYVLSFMHNENTSESELLIVNAADMQLEAAVKLPSRVPYGFHGTFIASRDLESQA >Ma10_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29216705:29224086:-1 gene:Ma10_g18160 transcript:Ma10_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEKAVAVILMGGPTKGTRFRPLSLNVPKPLVPLAGQPMINHPISACKRIPNLARIYLIGFYEEREFALYVSSISNELRVPVRYLREDKPLGSAGGIYKFRDHIMEENPCHIFLLNCDVCCSFPLSEMLDAHRRHGGMGTILVIKVSAETANQFGELVADPVTNEVLHYTERPETFVNDLINCGVYVFTPQIFTIIQEVVLQGNNTVNLRRETSLEAFQSVAKVLPPEFVRLDQDILTPLAGKKKLYTYETVDFWEQIKTPGMSLRCSELYLSQFRRTAPHLLATGDGTRSPSITGDVFIHPSAKVHVTAKIGPNVSVSANARIGAGVRLISCIILDDVDIKENAVVINCIVGWKSSIGRWARVQGEGDYNAKLGITILVEDEVVVINSIILPNKTLSISVQEEIIL >Ma10_p18160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29216693:29224085:-1 gene:Ma10_g18160 transcript:Ma10_t18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEKAVAVILMGGPTKGTRFRPLSLNVPKPLVPLAGQPMINHPISACKRIPNLARIYLIGFYEEREFALYVSSISNELRVPVRYLREDKPLGSAGGIYKFRDHIMEENPCHIFLLNCDVCCSFPLSEMLDAHRRHGGMGTILVIKVSAETANQFGELVADPVTNEVLHYTERPETFVNDLINCGVYVFTPQIFTIIQEVVLQGNNTVNLRRETSLEAFQSVAKVLPPEFVRLDQDILTPLAGKKKLYTYETVDFWEQIKTPGMSLRCSELYLSQFRRTAPHLLATGDGTRSPSITGDVFIHPSAKVHVTAKIGPNVSVSANARIGAGVRLISCIILDDVDIKENAVVINCIVGWKSSIGRWARVQGEGDYNAKLGITILGEAVAVEDEVVVINSIILPNKTLSISVQEEIIL >Ma10_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7297868:7298190:-1 gene:Ma10_g02100 transcript:Ma10_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTYVVSCQNFGAQKSSGDPHAQDILDLMIRYPSLRVAYIEEKEVNSADNRRQVYSSILVKADNIHDIKSTFIIHHFSNNS >Ma08_p07720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5295037:5297812:-1 gene:Ma08_g07720 transcript:Ma08_t07720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRETHAAADSEASVEVAGLCALPPASPIQLLPQDVLHNVLGRLSLCEVLACRPVCRTFRDALSSTPFLASLPPLRLLALRHPRAAASPHPSLHALDPSFHRWIRLPLSFLPFPSFSPVTASPSLLYLWVDAAPSSASAVATFARNHTKSLAVCNPLTGFHRLLPPLGSAWSRHGTVLAGPDGAVLVVTELAALSYAPSPDRWLKFPLSLPSKPRSPILMADSVFALCDVGTPWRSQWKLFSCRLGDLGGVRGWAPLEQQEWGNVFDIFKRPRLLAGAGGCRLLLIGGLRSSFAVDAPCSTVLILRLDLATMEWDDAGKMPPEMYRCFGGGASGPSVAAAGGNNKVKVFGGDGKVWFSGKRVKGKLALWEEEEVVGKSGGGLWSWVEGIPGYPEAMYRGFIFDAGFTATP >Ma08_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5294321:5297812:-1 gene:Ma08_g07720 transcript:Ma08_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETHAAADSEASVEVAGLCALPPASPIQLLPQDVLHNVLGRLSLCEVLACRPVCRTFRDALSSTPFLASLPPLRLLALRHPRAAASPHPSLHALDPSFHRWIRLPLSFLPFPSFSPVTASPSLLYLWVDAAPSSASAVATFARNHTKSLAVCNPLTGFHRLLPPLGSAWSRHGTVLAGPDGAVLVVTELAALSYAPSPDRWLKFPLSLPSKPRSPILMADSVFALCDVGTPWRSQWKLFSCRLGDLGGVRGWAPLEQQEWGNVFDIFKRPRLLAGAGGCRLLLIGGLRSSFAVDAPCSTVLILRLDLATMEWDDAGKMPPEMYRCFGGGASGPSVAAAGGNNKVKVFGGDGKVWFSGKRVKGKLALWEEEEVVGKSGGGLWSWVEGIPGYPEAMYRGFIFDAGFTATP >Ma08_p07720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5293957:5297812:-1 gene:Ma08_g07720 transcript:Ma08_t07720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRETHAAADSEASVEVAGLCALPPASPIQLLPQDVLHNVLGRLSLCEVLACRPVCRTFRDALSSTPFLASLPPLRLLALRHPRAAASPHPSLHALDPSFHRWIRLPLSFLPFPSFSPVTASPSLLYLWVDAAPSSASAVATFARNHTKSLAVCNPLTGFHRLLPPLGSAWSRHGTVLAGPDGAVLVVTELAALSYAPSPDRWLKFPLSLPSKPRSPILMADSVFALCDVGTPWRSQWKLFSCRLGDLGGVRGWAPLEQQEWGNVFDIFKRPRLLAGAGGCRLLLIGGLRSSFAVDAPCSTVLILRLDLATMEWDDAGKMPPEMYRCFGGGASGPSVAAAGGNNKVKVFGGDGKVWFSGKRVKGKLALWEEEEVVGKSGGGLWSWVEGIPGYPEAMYRGFIFDAGFTATP >Ma08_p07720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5294321:5297812:-1 gene:Ma08_g07720 transcript:Ma08_t07720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRETHAAADSEASVEVAGLCALPPASPIQLLPQDVLHNVLGRLSLCEVLACRPVCRTFRDALSSTPFLASLPPLRLLALRHPRAAASPHPSLHALDPSFHRWIRLPLSFLPFPSFSPVTASPSLLYLWVDAAPSSASAVATFARNHTKSLAVCNPLTGFHRLLPPLGSAWSRHGTVLAGPDGAVLVVTELAALSYAPSPDRWLKFPLSLPSKPRSPILMADSVFALCDVGTPWRSQWKLFSCRLGDLGGVRGWAPLEQQEWGNVFDIFKRPRLLAGAGGCRLLLIGGLRSSFAVDAPCSTVLILRLDLATMEWDDAGKMPPEMYRCFGGGASGPSVAAAGGNNKVKVFGGDGKVWFSGKRVKGKLALWEEEEVVGKSGGGLWSWVEGIPGYPEAMYRGFIFDAGFTATP >Ma10_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21563554:21568241:1 gene:Ma10_g07210 transcript:Ma10_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGMCYLDGNADVVEFCPHYPFHHILAAGTYTLQEGTQPHRAGSISLFSTDPVVGLELLCRVSTVGVFDIKWSPSGESMHPLLAQADADGCIGLYNLSKTETENEGSMLKEVCSEKVSSSMCLCVDWKPSACSVCLGLSDGSICMVTVREAQLQISQSWLGHDYEVWATSFDAHRPNLLYTGSDDCCFNCWDLRASPSEIVFRNAKSHQMGVCCITQNPTNTYMLLTGSYDEFLRVWDVRSTSKPVHEKSLCLGGGVWRIKYHPSISTCILAACMHNGFAVVSTEEEDIRVVETYCKHESLAYGADWQKGEISTQGMLRKGGLVATCSFYDRHLRIWQPEFLS >Ma07_p24060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31535901:31542231:-1 gene:Ma07_g24060 transcript:Ma07_t24060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCCCLCPEDFEEHAHTSNPIYRQCICLRYFFHQLLNGYGAMFQRLDGRSVPSQIQTATSSTSTTAGNTYDNSLCESHHLVPRPPPYEADPRYAREGLISRREKSMSHIQEDLQTLRRNGSSSAVETLAAVRKRIAETEAGQKLTHAESEKNLAAKTRDTGFVFTTSEDEDVCPTCLEEYSSENPKIVAKCSHHFHLSCIYEWMERSDSCPVCGKEMEFCESP >Ma07_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31535901:31541548:-1 gene:Ma07_g24060 transcript:Ma07_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCCCLCPEDFEEHAHTSNPIYRQCICLRYFFHQLLNGYGAMFQRLDGRSVPSQIQTATSSTSTTAGNTYDNSLCESHHLVPRPPPYEADPRYAREGLISRREKSMSHIQEDLQTLRRNGSSSAVETLAAVRKRIAETEAGQKLTHAESEKNLAAKTRDTGFVFTTSEDEDVCPTCLEEYSSENPKIVAKCSHHFHLSCIYEWMERSDSCPVCGKEMEFCESP >Ma07_p24060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31535901:31542231:-1 gene:Ma07_g24060 transcript:Ma07_t24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCCCLCPEDFEEHAHTSNPIYRQCICLRYFFHQLLNGYGAMFQRLDGRSVPSQIQTATSSTSTTAGNTYDNSLCESHHLVPRPPPYEADPRYAREGLISRREKSMSHIQEDLQTLRRNGSSSAVETLAAVRKRIAETEAGQKLTHAESEKNLAAKTRDTGFVFTTSEDEDVCPTCLEEYSSENPKIVAKCSHHFHLSCIYEWMERSDSCPVCGKEMEFCESP >Ma04_p38100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35766554:35769506:-1 gene:Ma04_g38100 transcript:Ma04_t38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVAGSYEKFIWGFAFKPETLTLKPLFSYPSHIGPIKAVATAGTVAASGGSDDAIKLYDLAAAAEVGTLLDHSGAVTALAFYAPASSPGGLPRNLLSASDDGAVCIYDADPFVHLKTVPTHRKGIADLAVHPTGRAALTVGRDACLALINLVRGRRSFSCRLDREASIVRYGCGDGARFFMVADERITVHDSEDARLIQEMDGQKRVLCVAPSENGVLFTGGEDRSITAWDTTSGKVAYSIEDAHSTRVKGLVTFKNGNDAESSEASNFIVSASSDGVVRVWDARMTAKEKPNPLAETNTKSRLTCLAGSFRK >Ma09_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34507689:34532076:-1 gene:Ma09_g22630 transcript:Ma09_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVGCNGESICSKHVTMLMTIIMSFGVISCCLGHGGGDHDGHLGAEGGSCIESERRALLAIRSDMYDSGKRFSSWIGEDCCSWRGVACDDNTSHVIKLDLHYLHTHNFYTYDLDDEDDMCFMLEGMGASKEEFQQPVVKCRPSLTTRQSMMVINTFVVRHCQSVLVMQLIKVHLMQQMNRKRKMMTGLKQCGKLPASSWVLSLVFGVL >Ma10_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17426162:17426926:-1 gene:Ma10_g05990 transcript:Ma10_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEQQKRKKHKHKEKDQQKGPKNKPAADQPHFKSSADVKGLRFGGQFVVKSFTVRQAAPLELRQLLDLPPPCVGQCQALSLPSTLTYVPTNFTILAHHAWHTLTLGLGTAKSKAIIFVFDSESTKAAAGRLWPRVIPLGDVNRKLIRGLAGCEMSRFKFRKGCLTFYVYAARRHGAAGFSCADDLRTILEAVVALKDFLDHTAVLALPSHRSITLPVDQVAVTH >Ma06_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9791322:9792583:1 gene:Ma06_g14270 transcript:Ma06_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCQPADHTKGGMLDIVRKGIFFSVNRYVDDTEALVGTEAKQVAAQEAEQAKFIVEKAEQDKKCAIIRAQGAQLIGQAIAKNPAFLALRRIEAATEVAQKIAYSANLAMLSLMSCC >Ma08_p27740.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39883695:39886917:1 gene:Ma08_g27740 transcript:Ma08_t27740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMDAKEHLNQSEETAMPSSQQEEEAIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGGHAHKPKGPLEALRPKLQPTQQQARSRRSVYASTENEAVDGGNAASEDMSDDNSNNDQCI >Ma08_p27740.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39883688:39886917:1 gene:Ma08_g27740 transcript:Ma08_t27740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMDAKEHLNQSEETAMPSSQQEEEAIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGGHAHKPKGPLEALRPKLQPTQQQARSRRSVYASTENEDGGNAASEDMSDDNSNNDQCI >Ma08_p27740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39883688:39886917:1 gene:Ma08_g27740 transcript:Ma08_t27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMDAKEHLNQSEETAMPSSQQEEEAIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKQGGHAHKPKGPLEALRPKLQPTQQQARSRRSVYASTENEAVDGGNAASEDMSDDNSNNDQCI >Ma08_p27740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39883648:39886917:1 gene:Ma08_g27740 transcript:Ma08_t27740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMDAKEHLNQSEETAMPSSQQEEEAIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKGGHAHKPKGPLEALRPKLQPTQQQARSRRSVYASTENEDGGNAASEDMSDDNSNNDQCI >Ma08_p27740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39883688:39886917:1 gene:Ma08_g27740 transcript:Ma08_t27740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMDAKEHLNQSEETAMPSSQQEEEAIKKKYGGIVPKKPPLISKDHERAYFDSADWALGKGGHAHKPKGPLEALRPKLQPTQQQARSRRSVYASTENEAVDGGNAASEDMSDDNSNNDQCI >Ma02_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16670603:16686693:-1 gene:Ma02_g05340 transcript:Ma02_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAELPSRLAILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWHKEEKGLIGVLPVRDTEAAAVGSMIAPGMGSDSGEQSLKAKADVGEFQNQDANNEQELMHWHHRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRISVQELSTRGTYYVARISRLDLTKSELEQAEQDPDLVLLSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSIDLKVRLSKATELVERHLQSIRVAEKITQKVEGQLSKSQKEFLLRLQMRAIKEELGDNDDDEDDLATLERKMQSAGMPPNIWKHAQRELRQLKKMQPQQPGYGSSHGYLEILVDLPWQKVSEEPELDLKAAKESLDRDHYGLVKVKQRIIEYLAVRKLKPDVGGPVLCFVGPPGVGKTSLASSIAAALNRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVAVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRIQPIPPPLLDRMEVIELPGYTPDEKLNIAMKHLIPRVLDQHGLSSELLQIPEGMVTLIIQRYTREAGVRNLERNLATLARAAAVKVAEQDSTMQLSKDVHPMTTSFLDTRVADGADIETEVIPMSVSRQEISNSFTSALVMLVDDAMVEKVLGPPRFDDSETADRLATPGVSVGLVWTSFGGEVQFVEATAMMGKGDLHLTGQLGDVIKESAQIALTWVRTRVADLKLSATGEINLLENQDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSQRKVRADTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIKRVILPERNLKDLTEVPSAVLGGMEMLLVKRIEDVLEQAFEGGFPWKQHSKL >Ma08_p31550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42416551:42421448:1 gene:Ma08_g31550 transcript:Ma08_t31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKKPVVSDVGAWAMNVVSSVGIIMVNKQLMSPNGYGFCFATTLTGFHFTVTALVGCVSNATGLSASKYVPFWELFWFSVVANLSITGMNLSLLLNSVGFYQISKLSIIPVVCFMEFLLHSKHYSQRVIVAVAVVALGVGICTVTDVDINAKGLLCACVAVFCTSLQQITIGSFQKKYSIGSFELLSKTAPVQAVSLLLVGPFADYYLNHRSLLEYPFSSGATAFIILSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWVLFDSALTVKNILGMLLAVLGMVVYSWAVEHEKQAKLATHISAEIKSEGEDIKLLKEKVNGLPGSDLELGQTKS >Ma05_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4848491:4848727:-1 gene:Ma05_g06530 transcript:Ma05_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDISPLRIVIVQLNSSSLRLSSVLHSFIGHVAGITEASHRINLLVCTLRCKNYCSIQYSSLLSTCITTTTSLGFVQ >Ma05_p31410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41341933:41344891:1 gene:Ma05_g31410 transcript:Ma05_t31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYVTLPIVIVLAAIVYIYCTTVFVVIDGWLGLSTAAGIANAGALTALTLMAVVTYGMAVFKDPGRVPASFAPDIEDPESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRICKRCVLRMDHHCVWINNCVGHENYKIFFVFVLFGVSACIHSMVLLISSASHALQKDQQQNGESFNKSYILCGTLLCPLTVALSILLGWHIYLILHNKTTIEYHEGVRAMWLAEKVGNVYRHPYDIGFYENLVSVLGPNFFCWFCPVSTNIGSGLRFRTAYDIPTSRSPI >Ma08_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36066996:36069526:-1 gene:Ma08_g22510 transcript:Ma08_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRVPRQAFEDARRGYPVPPEGPFFGGPVPRPPHPAALEEELELQMMDIRRLLADNRGLAEDRAAFHRELIAAKEELHRMNLVIADIRAEKEAHARELIEKGLKLEADLRATEPLRDEVVQLRAEIKRLTAMRQELTEQVRSLTQDLTRARADNKQIPAMKAEIDELRQELIHLRMSIEYEKKGNFELMEQRQSMEKNLVSMAREIEKLRADLANAEGRPRGAGGGYPSSYGSPEGLFPSSYGYEYGFQPPVADKAPLYGAGSGSWGAVDKARAPRR >Ma03_p26610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30294365:30296453:-1 gene:Ma03_g26610 transcript:Ma03_t26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSVATMSNSTSISDEASVSSETRAHGHFSLSSMLTSVSPHHHRPQPPPKAKKKRSQPGNPDPEAEVVALSPTTLMATNRFICEICNKGFKREQNLQLHRRGHNLPWKLRQRGSEEVARKRVYVCPEPSCVHHHPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVNSDWKAHVKTCGAREYRCDCGTLFSRKDTFITHRAFCDALAEESARLMAATATTFPPLHQPLFHPSSSWNPLICPNPDLTLNPSSDGDLGALQVKPEDKLSSLHHIHLRAITSPHLSATALLQRASIMGPMSFTNTASSVGYDGAMSGGVVSSGVDVQDLPMW >Ma08_p25770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38500510:38503872:1 gene:Ma08_g25770 transcript:Ma08_t25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEELLASYPMYFGVSCAFVAIDLMSRRRCLDVESSRRSRLGEMMLKGSAQLLGLLVERAQSRGGAREMKLKKAELELDELKRRRTEDAKANEKVVAIFAAHEQRWIAERKSLRLQIQALVKELQIMKSKHEDAISNLEKRLEEDERVMLLKDETLEQEQKKRKEMEEKLQLAEEVAEAVTERAKQEAQDHSAELWKHKTAFVELVSSQRQMEAEMGRALRQAEAAKQELEEVLERKQEVAAMVDRLSREMVKMQKDSEQKDKILSAMLRKSKLDAAEKQRLLKEVKMSKAKKKQAELEMEKWRNMWESKHKKSSRDLHSVDVGSSQLRRLELPLETSGHNPKNLLLDCFAAEGKKEQDSSTTIEDDNGTAAGCYDHYSGDEADEPDWIRRETEKYATILEQKHYAEIDAFAEQMRQKDEKLEAFRWRVLSMELETKQLKSHIEGLDGNLFHLREENIRLEAMLLDKEREIKSLKEEVSFHVRNVERNSSSFLPCPEACLSQSLWPEVKITNKRPTEKAEKDDQNDTEDTTVMQSGSRSEREALLEESGSIDVDDTDSAESPSPTSNLQDRIDRTVSLSEDQANNISVTSSSANREIEEEEVNLARGNAQESNSRRKGCAETAGVMKDTSWKMDIQALAISYKIKRLKQQLVVLEKLVGSQANKDDASSTPDGSNDEKRDEHRQQLKGLLLLKSLLHKQLKRYQSLEEKTDDLCRRMHENYRSGSRRESQNGRTNEQTVTLRRFLEETFQLQRYMVATGQKLMEMQTRIASTFSGAVMLDESVKFNMGQFSDIVRTLFREIQRGLEVRIARVIGDLEGTLACDGILHRWSCGHVV >Ma08_p25770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38500510:38503872:1 gene:Ma08_g25770 transcript:Ma08_t25770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEELLASYPMYFGVSCAFVAIDLMSRRRCLDVESSRRSRLGEMMLKGSAQLLGLLVERAQSRGGAREMKLKKAELELDELKRRRTEDAKANEKVVAIFAAHEQRWIAERKSLRLQIQALVKELQIMKSKHEDAISNLEKRLEEDERVMLLKDETLEQEQKKRKEMEEKLQLAEEVAEAVTERAKQEAQDHSAELWKHKTAFVELVSSQRQMEAEMGRALRQAEAAKQELEEVLERKQEVAAMVDRLSREMVKMQKDSEQKDKILSAMLRKSKLDAAEKQRLLKEVKMSKAKKKQAELEMEKWRNMWESKHKKSSRDLHSVDVGSSQLRRLELPLETSGHNPKNLLLDCFAAEGKKEQDSSTTIEDDNGTAAGCYDHYSGDEADEPGMDDLQRLQDWIRRETEKYATILEQKHYAEIDAFAEQMRQKDEKLEAFRWRVLSMELETKQLKSHIEGLDGNLFHLREENIRLEAMLLDKEREIKSLKEEVSFHVRNVERNSSSFLPCPEACLSQSLWPEVKITNKRPTEKAEKDDQNDTEDTTVMQSGSRSEREALLEESGSIDVDDTDSAESPSPTSNLQDRIDRTVSLSEDQANNISVTSSSANREIEEEEVNLARGNAQESNSRRKGCAETAGVMKDTSWKMDIQALAISYKIKRLKQQLVVLEKLVGSQANKDDASSTPDGSNDEKRDEHRQQLKGLLLLKSLLHKQLKRYQSLEEKTDDLCRRMHENYRSGSRRESQNGRTNEQTVTLRRFLEETFQLQRYMVATGQKLMEMQTRIASTFSGAVMLDESVKFNMGQFSDIVRTLFREIQRGLEVRIARVIGDLEGTLACDGILHRWSCGHVV >Ma08_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10747757:10749703:1 gene:Ma08_g13590 transcript:Ma08_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSQEFDPHPFTIKLWPPSSSTRMMLVENMTQNLSSESIFSRKYGLLSKEEAHENAKRIEDECFVAASKHFEKEPDGVGSSAVQFYAKQTSKLMLEVLKKGPKPKDGEMAAVDRPVKSGEVVFDISGGTRAFIDAEEARKLLSPLTEQGNSFRKICLSNRSFGIDAAHVAGPILASLKEQLTEVNLSDFIAGRPEEEALEVMRIFSSALEGSVLRYLNLSDNALGEKGVRAFEALLRSQKSIEELYLMNDGISEEAAKAVCELIPSTDKLKILHFHNNMTGDEGAIAISEVLKRSPLLEDFRCSSTRVGSVGGIELAKALETCTRLKRIDLRDNIFGVDAGIALSQTLEKLVHVKEIYLSYLNLEDEGAIAIANALKQSAPSLEGLDITGNDITPKAAPALAGCVAIKKSLRMLILSENELKDQGAVLIGKALEEGHTQLRELDLSTNLIQRVGARCLAQAVSNKTDFKLLNINGNAISDEGIDEVKEILKNGKNSVDVLGPLDENDVEGEGEEDEDEGEKEGATEEGEGALESGLQHLKVEQD >Ma06_p07830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5556419:5559263:1 gene:Ma06_g07830 transcript:Ma06_t07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTETMSYEEQRRRRLEENKKKLEQLNLPHLSVALRDAAASPKTSPAKQIKRKMSRDASLTPVRRSVRVASLPEPNYREVASDFVDRPRRIARVLKRRGLDDRVYASDEAREYAQTKAEEIEAGLDPKFPSFVKSMLQSHTTGGFWLGLPIIFCNKHLPKRGATITLIDENGDESDTTYLAHKRGLSGGWKGFSINHELVHGDAVVFQLIKTTTFKVYIIRASEYDSS >Ma06_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5556419:5559263:1 gene:Ma06_g07830 transcript:Ma06_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTETMSYEEQRRRRLEENKKKLEQLNLPHLSVALRDAAASPKTSPAKQIKRKMSRDASLTPVRRSVRVASLPEPNYREVASDFVDRPRRVLKRRGLDDRVYASDEAREYAQTKAEEIEAGLDPKFPSFVKSMLQSHTTGGFWLGLPIIFCNKHLPKRGATITLIDENGDESDTTYLAHKRGLSGGWKGFSINHELVHGDAVVFQLIKTTTFKVYIIRASEYDSS >Ma07_p25770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32751766:32755367:1 gene:Ma07_g25770 transcript:Ma07_t25770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKGDTLYEELWRACAGPLVEIPRVDERVFYFPQGHLEQLEVSTDQELNQQIPLFNLPPKILCRVVNVTLKAEPDTDEVYAQITLIPESDQNEPTSPDPCVLETPRPVVHSFCKILTASDTSTHGGFSVLRRHATECLPPLDVSQQTPSQELVAEDLHSLEWRFKHIFRGQPRRHLLTTGWSTFVASKRLVAGDAFILMRGENDELRVGVRRLARCQNTLPTSVISSHSMHVGLLATASHAISTHTLFMVYYKPRCNQFIVSLNKYLEATKNEFAVGMRFKMKFEGEDVPEKSFTGTVIGIGDISSQWPGSKWRSLKVHWDEASSIQKPEKISPWDVEPFGQPISASGDPQAAFSKKRARSPLDLPGTEPSSTFRHPVEDQMFDLRPPNSISARSSETRFLWSPRQTESINFNYLNIPRPCNRGLLDGWSKDSSSLPHVTPKRLEDAVGDAEATLPSWGLALTPITEEPSLDLECKIENEKKPKSSGCYRLFGIDLVSPSAGISSTVLSGDHACISSATTEDPVAATALNEDLDEQSGVSKASKETKQVLQASPKEIQSKQNIAARSCTKLAEL >Ma07_p25770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32751766:32755864:1 gene:Ma07_g25770 transcript:Ma07_t25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKGDTLYEELWRACAGPLVEIPRVDERVFYFPQGHLEQLEVSTDQELNQQIPLFNLPPKILCRVVNVTLKAEPDTDEVYAQITLIPESDQNEPTSPDPCVLETPRPVVHSFCKILTASDTSTHGGFSVLRRHATECLPPLDVSQQTPSQELVAEDLHSLEWRFKHIFRGQPRRHLLTTGWSTFVASKRLVAGDAFILMRGENDELRVGVRRLARCQNTLPTSVISSHSMHVGLLATASHAISTHTLFMVYYKPRCNQFIVSLNKYLEATKNEFAVGMRFKMKFEGEDVPEKSFTGTVIGIGDISSQWPGSKWRSLKVHWDEASSIQKPEKISPWDVEPFGQPISASGDPQAAFSKKRARSPLDLPGTEPSSTFRHPVEDQMFDLRPPNSISARSSETRFLWSPRQTESINFNYLNIPRPCNRGLLDGWSKDSSSLPHVTPKRLEDAVGDAEATLPSWGLALTPITEEPSLDLECKIENEKKPKSSGCYRLFGIDLVSPSAGISSTVLSGDHACISSATTEDPVAATALNEDLDEQSGVSKASKETKQVLQASPKEIQSKQNIAARSCTKVHMQGIAVGRAVDLANLEGYDELFIELEQMFEIKGELRCRDKWEVVFTDDEGDMMLVGDYPWPEFCEVARKILIYPSEDVKRVEPENKLPATSST >Ma08_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6582525:6584568:1 gene:Ma08_g09110 transcript:Ma08_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVVVVFIAVLSLLGTSAVLAEDPYLFFTWNVTYGTVSPLGVPQQVILINGEFPGPNINSTSNNNLVINVFNNLDEPFLFSWNGIQQRKNSWQDGVSGTSCPIAPGQNFTYHFQVKDQIGSFYYYPSLAMHKAAGGFGGLRINSRLLIPVPFDPPADDYTVMIGDWYTKDHKTLARILDTGRSIGLPAGVLINGKAGKDDEPLFVMEAGKVYRYRVCNIGTKTSLNFRIQGHSMLLVEMDGSHTMQNTYESLDIHVGQCFSVLVTANQAPGDYYMVASTRFLRKELTATGVIRYSGSHTLPSPVLPKAPSGWAWSLNQWRSFRWNLTASAARPNPQGSYHYGSIDITRTIKLASSRAIIAGKNRYTLNGVSHVEADTPLKLAEYFGIGGKSFKYNLTSDEPPSGGAPAILATNVITIEFRTFVEIIFENPEKNVQSYHLNGYSFFLVGMGPGKWTPESRKTYNLLDAVSRHAVQVYPKSWAAIMLTFDNAGMWNLRSELWERRYLGQQLYISVQSPARSLRDEYNLPDTALLCGAVEGLPKPAPYT >Ma05_p07200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5244471:5245553:1 gene:Ma05_g07200 transcript:Ma05_t07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPPILPVSTAGGGSAPSSAPPISVPALRLFLSRLSDSARRSLAHRRPWYELFDRSAFARPDSLSDAASRLRKNLAYFRVNYLALLAAALALSLLSHPFSLLLLVALLAAWAFLYLFRPSDAPPLILFHRSFSDREILAGLVLVTLLVVFLTSVGSLIVSALMVGAAVVCVHGALRVPEDLFLDDQDPGVPASGFLSFLGGAAASSGPSVRI >Ma10_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25729947:25738108:1 gene:Ma10_g12700 transcript:Ma10_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRWVGEDEQGKGQNGLLPKSMRIFSSCLKTVSSNAGSVVSSVRSAGASIAASIAAPPEDDKDQVLWAGFDKIELGPTSFKHVLLLGYSNGFQVFDVENASSVCELVSKRDGPATFLQMQPMPIKSESTEGLRASHPLLLVVAGDETNGTGVVQGGHLSASMRENKSEPRAENSILIPTAVWFYSLKSHSYVHVLKFKSAVYMVRSSPRIVAVLFATQILCFDAVTLKQKFSVLTYPLQGAPGVNIGYGPMAVGSRWLAYASDNPLVPNSGHLSPQNLTPSPGVSPSTSPSSGNLVARYAMESSKTLAAGIRNLGDMTHKTWSKYCQEILPDGCSSPLSSNLSRRSGRHPPTSHSSESDNAGMVVVTDFTSTDVISQFRAHTSPISALCFDPSGTLLVTASVHGHKIKIFRIMPTRVLNGSGPARYNWTSSHVHLYTLCRGITAAVIQDISFSHYSQWISIVSSKGTCHIYVLSPFGGDASLQLQNVNGEGPVLTPNLTSPWWSAACCMMHQQSHAPPHPPPITYSVVSRIKNVNSSWLSTVSSVAASAAGKTFGPSGAVAAMFHNSLYHDLSPVPPKANSLEHLLVYSPSGLVIQYELLLSSFVEPCDSSLKALPAPLLQLQDEELHVNAEPVQWWDACRRSNWPQREEHVSIIIFNNQQDSETVINSGDCKDNGSSCILSSANGVPGTESMGSERSWYFSNAEVQISSGKVPVWQKSKICFCLLDPLPAFEGCAEDLTHGEIEIEKLSFNEVEIRQKDLLPVFEQFHGFQSDWNDRVGGRYQTSSGGLLDPSNGPRTTEDLLGLSETRPNERVSLPLMEKSIPNESGHGLSSVIRNDVDALSEFKASAVMPRKCSAKSCIQLNLKNTDNYPVKNDSVNNGVSTRSSGLSYNGRLAVDNYSLNNNGSRKIPKTCITNTHMEQAESADSQEFGQYFDEGYCKVSGLDDCCELTEAVNDADSNSSHCEIEKTEEDGDNFNMLGGVFAFSEEG >Ma04_p34820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33827383:33832843:1 gene:Ma04_g34820 transcript:Ma04_t34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSHLGGDFLSSTITTTATATSAPLRPNAAVYGRNCYPTSATLPYLEPGAGSSRQASQVRAVEGRVGQGEMCPSDAEDVRAKIVSHPQYSSLIGAYIDCQKVGAPPDVVERLSAIARELQSRCTRRQGSSSDPELDQFMEAYCDLLVKYREELTRPLHEATDFLKRVESQLNALTNTSSPRLFSFEPADERCEGVTSSEEDPDASGGGDIDLPLIDSHGEDKELKHHLLKYGGYLGSLRQELSKKKKKGKLPKEARQKLLNWWELHCKWPYPSETEKVALAESTGLDPKQINNWFINQRKRHWKPSEDMQFVVMDSFHPQNAAALYMEGQFMVDGLYRTGP >Ma10_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28147148:28147854:1 gene:Ma10_g16440 transcript:Ma10_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSIASSAPEAASPAHCRAHKAFLLSNYILLGAASSCIFLTLSLRLFPSLNGFLLILLHGLTIAAAVAGCTAASSSGSSGRWYGAHMVATVLAAILQGAVAVLVFTRTADFLAEGLRSYVREEDGVVILRMVGALCVVIFCMEWMVMALAFVLRYYAYVDGNAMGRSANVQQGERASNWQPFQV >Ma10_p26960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34411987:34412611:-1 gene:Ma10_g26960 transcript:Ma10_t26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERWVAVAMLFGLICDVYLVTVSADVGTATSYDPPYLPTKCPGYTEDQLPENGLFVAAGNGMWDNGAACGRKYQLRCLSGLRRPCKDGSIVVQVVDFCRVSPCPSTLVLSNRAFDAVSKIPNTKINVEYAQI >Ma05_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6273905:6275573:1 gene:Ma05_g08520 transcript:Ma05_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGMVEKKVDDDKAAPSIICSLPIKEIPGSRNHSLVSRIKTRLEFYYKQREEEFFRSRIAAHGSTVFRINMPPGPFMAANSRVVALLDAKSFRVLLDVSKVEKKNVLTGTFVPPVSLTGGHRMCAYLDPSEPDHARVKQLVFNLVAARKNFFIPVFRSNFAVLFEAMDAQVASASGKSDFNKLSDAAVFDYFGEACFGVRPSATALGATGPTKATKWLFPQIAPLMTLGLPTLLEELFLHTFPLPSCLFSSDYKALYRYFESAASSTLDDAEKLGLNRKEACHHILFVAIFNAFGGFKAALPGIFGLVAEAGPSLHSQLAEEIRSAVAAEGGEVTLAAVERMELTRSVVYEALRLDPPVKYQYGKAKADLIIESHDAAYQVKKGEMLLGYQPCATKDPKVFGPNAGEFVADRFVGNAGRKLLEYVVWSNGPETEEPTVGNKQCAGKYLVVLVGRLLLVEFFLRYDTFTADVGSVLLGKQVNVTSLSKPTVQS >Ma03_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:20641204:20656728:-1 gene:Ma03_g16810 transcript:Ma03_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLPSHINSSSASPLRSYSSSPAIVRGRGGQRSPREIIDRSKKSSSMTLGGSAGSGIVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPLNTVHEGRIYQLKLFCDKDYPDKPPSVRFHSRINMTCVNPDTGVVDTRKFLVLGNWQRDYTMEYILTQLKKEMAASHNRKLVQPPEGTYF >Ma02_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15974397:15975838:1 gene:Ma02_g04620 transcript:Ma02_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHESANDVDRREDKRDSDGGSVATNVVPRPIPGERRKVALITGITGQDGSYLTEFLLGNGYEVHGLIRRSSNFNTARLDHLYVDPHNAAKARMKLHYADLSDASSLRRWVDALLPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRCATKPARYYQAGSSEMFGSTPPPQSEASPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIRLGLQTKVFLGNLSAARDWGFAGDYVEAMWMMLQQEEPGDYVVATEESHTVEEFLQAAFSYVGLNWKDHVVIDPRYFRPAEVDSLKGDSTKARKALGWKPKVGFQDLVKMMVDHDIEIAKREKVLVDAGYIDAQQQP >Ma11_p22670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26366404:26370905:-1 gene:Ma11_g22670 transcript:Ma11_t22670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSSSSSSAAAAVPAFHVLRARPLSAHDRLRPRPRGGGRRSFSPVLAVAADAPSCLYVGPIETASKEMLEALYQQLKLRLYGSKSVVKYPRCSLRRQSTYADAEEDPSQAFALASVWLVLLAFGSSAILVPVICIITLAFADAINSRYYLYSEISTFGSLMMVNKTLILGLGHLVGYPLAFASIQALQGLWRNELVAMKGSCPNCGEEVFTFVRAENSTGHPHRAACHVCECSLEFQTKVEQSFTVPGKRWVYGRVYLVQQT >Ma11_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26366404:26370905:-1 gene:Ma11_g22670 transcript:Ma11_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSSSSSSAAAAVPAFHVLRARPLSAHDRLRPRPRGGGRRSFSPVLAVAADAPSCLYVGPIETASKEMLEALYQQARDSYYNGKPLIVDAMFDKIELKLRLYGSKSVVKYPRCSLRRQSTYADAEEDPSQAFALASVWLVLLAFGSSAILVPVICIITLAFADAINSRYYLYSEISTFGSLMMVNKTLILGLGHLVGYPLAFASIQALQGLWRNELVAMKGSCPNCGEEVFTFVRAENSTGHPHRAACHVCECSLEFQTKVEQSFTVPGKRWVYGRVYLVQQT >Ma11_p22670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26366390:26370905:-1 gene:Ma11_g22670 transcript:Ma11_t22670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSSSSSSAAAAVPAFHVLRARPLSAHDRLRPRPRGGGRRSFSPVLAVAADAPSCLYVGPIETASKEMLEALYQQARDSYYNGKPLIVDAMFDKIELKLRLYGSKSVVKYPRCSLRRQSTYADAEEDPSQAFALASVCEISTFGSLMMVNKTLILGLGHLVGYPLAFASIQALQGLWRNELVAMKGSCPNCGEEVFTFVRAENSTGHPHRAACHVCECSLEFQTKVEQSFTVPGKRWVYGRVYLVQQT >Ma04_p29450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30398817:30399221:1 gene:Ma04_g29450 transcript:Ma04_t29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPMSSALRCLALPSLTPLSLCSGLPREPLLVSLSASVSFGSRTYSSQEGMEAWSCVLVNARRRGGDKSSSEKGFEVTEIKQGGTIDRY >Ma07_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28839985:28842024:-1 gene:Ma07_g20830 transcript:Ma07_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSWINTSSLALDLSVGRRWLPDEASRQPQTRSTHAQQSVSKKDEVEVGLEAKLNQMSKENKMLTEMVSAMHYNYNALCNQFFNLVGSSPSKGEAESPRRKRKSESPEMVNYGEVGSEKIDGVVSYIESNSNEDNMFKRFREDPKPKISKIYVQTEPSDSSLVVKDGYQWRKYGQKVTRDNPSPRAYYRCSFAPTCPVKKKVQRSAEDRSILVATYEGEHNHGHLSQGAGSVQSGPQPCLVSIGPSCPTITLDLTQRGSQPDLGRFCREMESPMFQRLLVEQMATSLTKDPNFTTALADAISGKMPQHPPAQN >Ma07_p28900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34796517:34799104:-1 gene:Ma07_g28900 transcript:Ma07_t28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDRAKEIDALVDSPASEDHDEGKEQQHVGIVNDVLTNSSTEPNISAGDQLSPVKLEELENGGIGCNALDEGPVEGTTAGRKRKTMDEYESMDYTMSSTPFKFDESEHNQAGTSRITPQSVFMCSQDDIVWIGLKPRDPRRVLFKNVIVAPEQNKVDGVIAAPRGTTERSTAREPLLPLVTCSSSVPHSSRIQASGEGASELPKLCGGIDHLLVGCNDQQKAAIHREIARRIMEHIRLLAARKLSLVLDLDHTLLNSTTFVDVNPVHENTLRSNEERDRKLPQRHLFRLQHLGLWIKFRPGIWNFLCKASKLYELHVCTKGSMVYAAEIAKLLDPTGSLFSGRVISRGDDDGDASPSDVVERASKHKDLAGVSGLESAVVIIDDTPGMWPRHQPNLIVVERYHFFPSSKRKFGLPGPSLLDIHRDESSKEGTLASALAVIERIHDSFFSHHSIQEADVRSLLEAEQRKVLRGCRIVFSRVFPVGEANPQQHPLWKKAQQFGAVCTDQIDEHVTHVIATALGTDKVQRALSTGKFVVHPGWIEASTLLYRRANEHNFALGTKTPSAHPTKS >Ma01_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6923798:6925033:1 gene:Ma01_g09640 transcript:Ma01_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRAQRPSGVPLLNGGEERTGVDCSQHLPGFLDPSAVMFSGGGATANPRKRGREEAAVAIGSTPVQDNDSISNLLVLGPSSLPPTLISLAHLRSESAAPLTSTGLQLAFGEQQQQQRACNPLLPASPALFEKLAPHLKQHQDEIDGYLRAQALLSAAATAARQRIKTKEAEVEQAARQSAELERLIARLRAETLSWQAKAVAAQTAVAGLQAQLQRATEVAAAAWEGEGESGSASLIDQESAAQGSCRACRLRNAAVVVLPCRHLSLCADCAAAGAADSCPACGRISTGSLHVAFS >Ma01_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7407621:7408647:1 gene:Ma01_g10280 transcript:Ma01_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASILSSVARRLEGKVALITGGASGIGECTAKLFARHGARVIVADIQDDKGRALCAALGPASYVHCDVTDEADVERAVDTAVALHGKLDVMFNNAGVMDPPVNGFLASDRAAFERVMATNALGAFLGTKHAARVMVPARAGSIVSTASLVSAVGGVASAAYTCSKHAVVGLMRSAAAELGRFGVRANCVSPYGVATPLAMAGMQLTTEEMEAAMEAMGNLKGVRLKAADVAEAVLYLASDESRYVSGLNLTVDGGLSVTKSLQ >Ma08_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33920791:33927917:-1 gene:Ma08_g20070 transcript:Ma08_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQASKLVGSLASKIFANPTPVVPSSPLSRHFAAAPPAPAVFVDKNTRVICQGITGKNGTFHSEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVSEAKAETKANASVIYVPPPFAAAAIMEALEAELDLVVCVTEGIPQHDMVRVKAALDKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLRKFLEDPQTEGIVLIGEIGGTAEEDAAALIQESKTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVAMLDVFKQRRLVD >Ma11_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21487158:21490534:1 gene:Ma11_g15840 transcript:Ma11_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDVAKERRKKKKKKDKWGQPVVAPVEDAELSREENPSQRDAEEQAEEEEAAPGGGESYDPNKVVVSGMPYSATEQQIRDLFRDIGPVVQLQLSRFPDSGNFRGLAFVTFQTEEMATNSLDLDGIKMGNRFVKIERCRLCPQRKRKFEFLDEPKKVDGCFSAYVGNLSWDVTEDDIRDCFKDSKISSVRFALDKRTGQSRGFCHIDFEDDESREEAMKKNQFEFLGRPMKIAYAISTRN >Ma04_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20044916:20062178:1 gene:Ma04_g18270 transcript:Ma04_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVVQDGGGARSDEGGWKGELITCSDENNSELFHGVLGGLGQFGIITRARIALEPAPKRGLKTRSSSSLSIGAEPSQRFDYVEGFVVVDDGLINNRRSSFFSPKNPVKISSVGATGGVLYCLEMAKNYDDSAADSIDEVVEALWDKLSYIPASVFTTDLPYVDFLDRVHGPELQLRANGMWELPHPWLNLFLPASRIVDLDLGIRRNSTGGPILIYPNIACLVCLPCLACMHAALATLASSLMNAHG >Ma03_p28440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31549263:31564600:1 gene:Ma03_g28440 transcript:Ma03_t28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFESYRAIGYITSNVPFAVQRLGTETFVTVSVEKAWQIYNCAKLTLVLGGPQLPKKIRALASYKDYTFAAYGTNIGVFKRAHQIATWSRHEEKVNLLLVFGDHVLSIDVKGNIFIWSFRGMELNHEPVGHILLDDKFSPSCIMHPDTYLNKVIIGSHEGQLQLWNISTKKKLFEFKGWSSSVCSCVASPALDVVAIGCSDGTIHVHNVRYDEELVSFTHSTRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIRDAHDGSIISLHFFANEPVLMSSAADNSIKMWIFDTSDGDARLLRFRSGHSAPPLCLRFYGNGRHILSAGQDRAFRLFSVIQDQQSRELSQRHVTKRAKKLRTKEEEIKLKPVIAFDFAEIRERDWCNVVTCHMDTPQAYVWRLQNFVLGEHILSPSAGVRTPVKACAISACGNFAVLGTQGGWIERFNLQSGISRGTYVDIFEARHYAHDGEVVGVACDATNSTLISAGYNGDIKVWDFKGCELRSSWKIGQHVIKFAYHRANGLLATVADDMVLRLYDVLALRMVRKFEGHTDRVTDLCFSEDGKWLLSSSMDGSLRIWDVILARQIDAIHVDVPITALSLSPSMDVLATSHVDQNGVYLWVNQTMFSGSTKVESYASGEQVRNVQMPSVAPKEGSDEEKMLKAGDLNQIQNAYPVPHLDKQLPKLITLSLLPRSQWQNLTNLDIIKARNKPVEPPKKPEKAPFFLPSIPSLSGEILFKPNGEATEEKDTEKKMTEKKKLDLASQFILLLHSCMETKNFSAFTDYIKGLSPSSLDLELRMLQIIDDDDDDDDMESLDERSELESIGMLLDYFIHEVSSRNNFEFIQAVIKLFLKIHGETVRCHSTLQEKAKKLLEVQSSVWQRVDKMFQSARCMVTFLSNSQF >Ma00_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:242330:249216:1 gene:Ma00_g00230 transcript:Ma00_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLGPPPPGHDAAAADAALTLAPPEMADKKESEPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQLMRSMDHPNVICLKHCFFSTTSRDELFLNLVMEYVPESLYGVLRHFSNVNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKILVKGEVNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLIGQPLFPGESAVDQLVQIVKVLGTPTREEIRCMNPSYTEFRFPQIKAHPWHKIFHKRMPPEAIDLTSRLLQYSPCFRCSALEACAHPFFDELREPNARLPNGRPLPPLFNFNQELAGASPELINKLIPEHVRRQSGLGFLHPAGT >Ma05_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:198804:200603:-1 gene:Ma05_g00280 transcript:Ma05_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFGRSGARQYNRSETPRIRWTEDLHRRFIQAVASLGGENKATPKQILRLMSVKGLGMSHVKSHLQMYRITISQAKLHKFPSTDNKKRRRRTQHYTSFVDSTSPVLHCEDPRMNSRLGCNYIFQLPSFEGVMRELISRSINNDTNNFGYIERDLSNQDDELLQLDCELTLSSFNHQKPEETVVPRITSSEGSIGCRDPTCSHLDLELAISSPSSCHLIGQLESE >Ma03_p27400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30818035:30819387:1 gene:Ma03_g27400 transcript:Ma03_t27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTTILSLAFFILALVAFAGARPANFLQDFRITWAGTHIKQLQGGSAIQLMLDPSSGCGFASNKQYHYGRVSMKIKLIPGDSAGTVTAFYMNSDTDAVRDELDFEFLGNRSGQPYTVQTNIYAHGKGDREQRVNLWFDPAADYHTYTILWNHFHVVFSVDDVPIRVYKNNEGRGIPYPKVQPMGVYSTLWEGDDWATRGGLEKIDWSKAPFYAYYKDFDIEGCAVPGPANCASNPNNWWEGSAYRQLSPEQARKYRWVRANHMIYDYCTDKPRYPVPPPECFAGI >Ma04_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4797964:4799213:-1 gene:Ma04_g06540 transcript:Ma04_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACSRALDMALPLPHLLHPAVAVAPAPPSPITAPSQDELKRVAAHRAVEQVESGMVLGLGTGSTAAHALDRIGDLILRGELRDIVGIPTSEWAAARAASAGIPLTDLNAHPVIDLSIDGADEVDPALNLVKGRGGSLLREKMVEGASRRFVVIVDESKLVPRLGASGLAIPVEVIPFGWALTLRRLQSLFDGVPGFNLKLRTAATNAKASTFGENWSDLDPFVTDNKNYIVDLFFENGIHGDLNRISDEILRITGVVEHGMFLGLASSVIVARTEGVVVMNKEGISNGI >Ma07_p06980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5051949:5071594:-1 gene:Ma07_g06980 transcript:Ma07_t06980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQELIGRVGIPPSRVGLVAMSRRVRRRTSQSRDKEKVAVSPPYAEAPDHCEIPRPGGCCCGGGGGGGAAGVDWTALPDDTVVQIFSRLNYRDRASLASTCRSWRRLGSSPSLWTSLDLRAHRCDPDIAAGLAGRCAHLRRLRFHGSSSASALIYLQARGLREIAGDCCREITDATLSVLAARHEALESLQIGPDPCMRITSDAVRHIAMCCTRLRRLRLSGIREVHGEAINALAKHCPQLAELAFLDCGLIDEGALEKVVSLRFLSVAGSRNLKWSTASLSWSNLPSLVAMDVSRTDVSASAVLKLLSTSKTLKVLCALNCAVFEEEWSYNSTACRKTKGKLLLAQFSNVFSGVASFFKGYGYVVREQSIFAEWRSLLNEDKNLSDIMSWLEWILSHSLLRVAESNPNGMDGFWLRQGAALLLSLVKSFQEDVQERAATGLAIFVVTDDENATVEPARAEAVMQNGGIPLLLELARSSQEGVQSEAAKAIANLSVNSKVAKAVADEGGIRILANLARSSNRVVAEEAAGGLWNLSVGEEHKAAIADAGGVKALVNLIFKWTSGVDGVLERAAGALANLAADDKCSVEIAMAGGVRALVTLARTCKVEGVQEQAARALANLAAHGDSNSNNAAVGQEEGALEALVQLTFSQNEGVRQEAAGALWNLSFDDRNREAIALAGGVEALVALAHACANASQGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNSGNALRIIEEGGVPALVHLCSSSGSKMARFMAALALAYMFDGRMDEVALVGSSLEGRWKSVNFDGARRMALKHIEAFVLTFSDPQVFSMAASSSAPAALAQVADAARIQEAGHLRCSAAEIGRYIAMLRNPSSVLRACAAFALLQFTIPGGRHAAHHASLLQKAGAARVLRAAAAATTAPIEAKICARIVLRNLEHHQLEAST >Ma07_p06980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5051949:5071594:-1 gene:Ma07_g06980 transcript:Ma07_t06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELIGRVGIPPSRVGLVAMSRRVRRRTSQSRDKEKVAVSPPYAEAPDHCEIPRPGGCCCGGGGGGGAAGVDWTALPDDTVVQIFSRLNYRDRASLASTCRSWRRLGSSPSLWTSLDLRAHRCDPDIAAGLAGRCAHLRRLRFHGSSSASALIYLQARGLREIAGDCCREITDATLSVLAARHEALESLQIGPDPCMRITSDAVRHIAMCCTRLRRLRLSGIREVHGEAINALAKHCPQLAELAFLDCGLIDEGALEKVVSLRFLSVAGSRNLKWSTASLSWSNLPSLVAMDVSRTDVSASAVLKLLSTSKTLKVLCALNCAVFEEEWSYNSTACRKTKGKLLLAQFSNVFSGVASFFKGYGYVVREQSIFAEWRSLLNEDKNLSDIMSWLEWILSHSLLRVAESNPNGMDGFWLRQGAALLLSLVKSFQEDVQERAATGLAIFVVTDDENATVEPARAEAVMQNGGIPLLLELARSSQEGVQSEAAKAIANLSVNSKVAKAVADEGGIRILANLARSSNRVVAEEAAGGLWNLSVGEEHKAAIADAGGVKALVNLIFKWTSGVDGVLERAAGALANLAADDKCSVEIAMAGGVRALVTLARTCKVEGVQEQAARALANLAAHGDSNSNNAAVGQEEGALEALVQLTFSQNEGVRQEAAGALWNLSFDDRNREAIALAGGVEALVALAHACANASQGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNSGNALRIIEEGGVPALVHLCSSSGSKMARFMAALALAYMFDGRMDEVALVGSSLEGRWKSVNFDGARRMALKHIEAFVLTFSDPQVFSMAASSSAPAALAQVADAARIQEAGHLRCSAAEIGRYIAMLRNPSSVLRACAAFALLQFTIPGGRHAAHHASLLQKAGAARVLRAAAAATTAPIEAKICARIVLRNLEHHQLEAST >Ma07_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5051949:5071594:-1 gene:Ma07_g06980 transcript:Ma07_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELIGRVGIPPSRVGLVAMSRRVRRRTSQSRDKEKVAVSPPYAEAPDHCEIPRPGGCCCGGGGGGGAAGVDWTALPDDTVVQIFSRLNYRDRASLASTCRSWRRLGSSPSLWTSLDLRAHRCDPDIAAGLAGRCAHLRRLRFHGSSSASALIYLQARGLREIAGDCCREITDATLSVLAARHEALESLQIGPDPCMRITSDAVRHIAMCCTRLRRLRLSGIREVHGEAINALAKHCPQLAELAFLDCGLIDEGALEKVVSLRFLSVAGSRNLKWSTASLSWSNLPSLVAMDVSRTDVSASAVLKLLSTSKTLKVLCALNCAVFEEEWSYNSTACRKTKGKLLLAQFSNVFSGVASFFKGYGYVVREQSIFAEWRSLLNEDKNLSDIMSWLEWILSHSLLRVAESNPNGMDGFWLRQGAALLLSLVKSFQEDVQERAATGLAIFVVTDDENATVEPARAEAVMQNGGIPLLLELARSSQEGVQSEAAKAIANLSVNSKVAKAVADEGGIRILANLARSSNRVVAEEAAGGLWNLSVGEEHKAAIADAGGVKALVNLIFKWTSGVDGVLERAAGALANLAADDKCSVEIAMAGGVRALVTLARTCKVEGVQEQAARALANLAAHGDSNSNNAAVGQEEGALEALVQLTFSQNEGVRQEAAGALWNLSFDDRNREAIALAGGVEALVALAHACANASQGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNSGNALRIIEEGGVPALVHLCSSSGSKMARFMAALALAYMFDGRMDEVALVGSSLEGRWKSVNFDGARRMALKHIEAFVLTFSDPQVFSMAASSSAPAALAQVADAARIQEAGHLRCSAAEIGRYIAMLRNPSSVLRACAAFALLQFTIPGGRHAAHHASLLQKAGAARVLRAAAAATTAPIEAKICARIVLRNLEHHQLEAST >Ma11_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2489729:2494185:1 gene:Ma11_g03360 transcript:Ma11_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNATLPAACANRHYLTRPHPHRHGRAAASAAAIVALLIATSAWLSLVFNSPSLRLHYWSHIRFSASPLLSYSSFPPLSGPSPRLPSTDAPPSRHHHRDNEGDDRLAPLHLRHIVFGIGGSAHLWQRRREFVRLWWRPGAMRGYVWLDDRAPRNSSLARSLPPVRVSEDISRFRYTNPTGNPSGLRIARILAETVRIGHRGARWFVLVDDDTIICPENLVAVLAKYDWTEMVYVGGPSESHSANTYFSHSMAFGGGGIAISNPLAEALAGMMDECIERYPKLYGSDDRLHACVSELGVPLTREYGFHQWDIRGSAHGLLAAHPIAPFVSIHHVEAVDPLYPGLSSLRSLKLFTKAMESDPLSFLQRSICYDKRKKLTFSISLGYVIQVFPSIVLPRELERSERTYAAWNKLNSRNEFDIDTRDAYRSVCKKPILFFLRDIERVGNTTLSSYRRAKGRDDLKNKLLCFPRSLPLPDVNEIQVFGTPMRKNWHLVPRRLCCKLSQRHNGTLGIAVGQCERGASGSAADSL >Ma01_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1081407:1083736:1 gene:Ma01_g01570 transcript:Ma01_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNCCWLNQLFLLARWIASHGDIWWLATTRRPCISRMTAIISEFAVSRKATRFYANSILSIFLCFSALNTMFVVTYTSVGCNISSRCTE >Ma07_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10118912:10122320:1 gene:Ma07_g13440 transcript:Ma07_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEEQQLTHDNIIISCGGQYQWSNCWRISSSKEGTLQGSHPGENKTCFSGHSPAVDGVRVAGEGGVQVGAQRASEGLRGRLYEALVAVLGYLYNGRVAPPPRDFLVEVLFASYTFEIPELVNLFQEAEESGGGGEGAAANADVYGRLARAGSRPPSCVHKCGGCTPCTATQVPATTDHSRTQYANYEPEGWKCKCGSAFYNP >Ma08_p30630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41826990:41832027:1 gene:Ma08_g30630 transcript:Ma08_t30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G09250) TAIR;Acc:AT5G09250] MWKKGWKRKNEEGAGGDGAAPSRKFAKKDSDDDGGDDGIVVCQISKNRRVSVRLWQGKVVVDIREFYVKDGKELPGKKGISLPMDQWKVMLDHIDEIDEAVKENS >Ma05_p26800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38320701:38323925:1 gene:Ma05_g26800 transcript:Ma05_t26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKAIQVRFTFAGHICRPAILIASDRGFPSDSSSLMKKPKKLLESLIKPFFGSKNEGKKEDLEAIAAKEQKVFRYETLVAATRNFNPKQKLGEGGFGPVFKGLLEDGREVAVKRLGRSSRQGSREFQNEAMLLSTVQHRNVVTLHGYCAHADEQLLVYEYVPNESLDKLLFSKEGNSKKVELEWKLRYQVMVGVARGLLYLHEDAHTTIIHRDIKASNILLDDRWVPKIADFGMARLFPEDQTHVNTRIAGTNSYMAPEYAMHGFLSTKADVFSFGVLVLELVSGRKNSAFSPLPDPDADSLLEWAWNLHKKGQTLELMDPALVPTADVEQVAMCIQVGLLCTQRDPKLRPDMKRVVMILSRKPSILQEPTQPGIPRTRFLSSHGTRGSRTGSGSSGGASSSTVNSASTSAATTSTARYHSSYQEHRPV >Ma05_p26690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38232851:38234446:-1 gene:Ma05_g26690 transcript:Ma05_t26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFMGKQPGTPMRRAPSSTEFSPSVLFDVGGPRPSDHNAIVHYLQPREAAQVESIPSPRSGVHSGRSGDGTAPFLRACGLCNHRLGPGRDIYMYRGEIAFCSLECRQLQMNLDEEKEKCALSDLSKE >Ma05_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:715469:716014:-1 gene:Ma05_g01190 transcript:Ma05_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQFLSDHIPSELFQPTDPFLAHVHSPTFPGTHMSAQREVEGEEAEEEALGAMKEMMYRMAAMQPVDIDPSTIKKPRRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQVQELQPTPAQPQAAAPAAAAASSSSSSSSAMAAPPALGFGLEPMH >Ma10_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27447239:27450406:-1 gene:Ma10_g15280 transcript:Ma10_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESKDKPQCSTFHGASDHAQPASIGFPLPVPPPGLTIAHSPSPASPAGLTAAQPPFPAPPQQPLPPSYAHDYQAAPGYAHVVEGRPETLPSLPCCGLGIGWVLFIIGFFLAAIPWYVGVFFLLCARVDHREKPGLVACTIAAALSAIAAIIGGATS >Ma10_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15989100:15989831:1 gene:Ma10_g05260 transcript:Ma10_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESYRREQRVKGSWSPEEDEALTRLVERHGPRNWSLISAGIPGRSGKSCRLRWCNQLSPAVHHRPFTSAEDAAILEAHARFGNKWATIARLLPGRTDNAIKNHWNSALRRRATFAAPDPILPSSSSPTANHEPDDSYSGSARKRRRSSDNANEGGCRSAAAELGTSLSLSLPGEGGGVMAAVSGEERTWEAPPGDVCLVTIMRQMIAEEVRSYIDRTRSQGGVEMTVPAVVKPETAFDCHN >Ma05_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10080376:10081864:-1 gene:Ma05_g13860 transcript:Ma05_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLSTASRYVHHHLPYHHNANSNDEESNNNNNNYSNKRTSGTGGHDQFGGAAEHDAPSVPKQGGGPGDMAARRPRGRPAGSKNKPKPPVIITRESANTLQAHILEVGAGCDVFDCIAAYACRRQRGVSVFSGSGTVTNVTLRQPSASGGVITLQGRFEILSLSGSFLPPPAPPGATSLAIFLAGGQGQVVGGNVVGELYAAGPVIVIAASFTNVAYERLPLEEEEPLQMQAPAQQGSGGDGGSGGSGGGSGNPFPDPHSCLPFLNLPLLNMANCQLPMDGHGWAGGATGRSPF >Ma07_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2666011:2669490:1 gene:Ma07_g03460 transcript:Ma07_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVNIQLPSAFDPFAEAIVDDSGKEFSYNKILKDLKKELYRNGSVVQDPELGQVIQLQADQQKSVLLS >Ma05_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27739595:27792373:-1 gene:Ma05_g19360 transcript:Ma05_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MEFVCPNDPTVWDGKGFTRCFENMVLGFGANMVTVSAVLILGLTQRSSGKNRDVRVDHLKLVCLQIVSAFGVILGLYEIYLLVKNNLEGHISESHYWFYRCSQLSSWMVLLLASKLEHWFYVFCNPILCFWWIVKPLLEIPHLLWSLSSLEVITCFKESSSLLAEFVFGLLVIVIRLMHAPSYKRYSSWMDGKSVSCWQLLMFKFVNMMMDIGVTRQLDFQDLVPLPCELKPSLCHTALLDCWKAEMNKHYSDPSLFRAMYHAYGWPYLRLGLLKALNDGVGFIGPLLLNKLIRFLQQGSGSMDGYILAVSLGFTSIIKSFLDTQYSFRLMKLKLMMRSSIMTLIYHKCLHISLAECSTFSEGEVQTFMSVDADRTVNLSNSIHDAWSLPLQIGVALFLLYTQVSFAFISGLTITVLLIPVNKWISTLIASATEKMMKQKDERIRSAGELLTYIRTLKMYSWELLFTQRLMERRKMEVKHLSTRKYLDAWCVFFWATTPTLFSLFTFGVFVLMGHPLDAATVFTCVALFNTLISPLNSFPWVINGLIDAIISTRRLSRFLSCPEKSSEIKRASIWELQGHDPLPCFLRNLTCSKEHAAILFKDASSVWSSSSKVEKSTVLNNISVEIPNGLFVAVIGEVGSGKSSLLCSVLGEMRLIQGFILSHGSIAYVPQVPWILSGSVRDNILLGDNFDTIRYRKVLQACALDVDISLMTGGDLAYIGEKGVNLSGGQRSRLALARAVYSDSDVYLLDDILSAVDSQVASWILHRTILGPLMNRKTRILCTHNPQAISAADMILIMDKGHIKWVGNLSSFIESPHSKISLPKDSDFSSLQLLLKERKGSASDEIMFMPSVDNELIAASVDANKSADMEESRKEGRVELAVYKSYAKFASWPVVILICISASFMQASRNGNDLWLSHWVDATAGTEHTRFYLLVLSIFGFMNSLFTLARAFSFSYGGLRAAVEVHAELLSKLVNAPVYFFDQNPSGRILNRLSSDLYAIDDSLPFILNILLANFFSLLGIAVVLSYSQIIFLLLLVPLSYIYRKLQFYYRCTSRELRRLDSVSRSPIYSSFTETLDGSCTIRAFKKEEIFMARFLEHVRLYQQTSYSEQTASLWLSLRLQLLAASIILFIGVMAVIGSRHDFPLSLGTPGLVGLALSYAAPIVSLLSSFLTSFTETEKEMVSVERVVEYMDIPQERLQASQALLPDWPMQGQIEFEHVTLRYKPSLPAALHDLSFSIASGMQVGIVGRTGAGKSSILNALFRLTPICNGCILVDGLDIADIAARELRGRFAVVPQSPFLFEGSLRENLDPSCMTPDFKIWEVLEKCHIKEEVETAGGLDIIVKENGTSFSVGQRQLICLARAIIKSSKVLCLDECTANVDTQTALILQSTISNECKGTTVVTIAHRISTVLNMDLILVLDHGILVEQGNPRDLVKDECSRFSSFVKASTM >Ma02_p20940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26724586:26726652:-1 gene:Ma02_g20940 transcript:Ma02_t20940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRFRQVQRPKYDCLLFDLDDTLYPLSSGVASECLKNIGDYMVERLGIEERKISDLCNLLYKNYGTTMAGLRAIGYEFDYNDYHSFVHGRLPYGKLKPDPVLRHLLLSLPIRKVIFTNADEVHAAKVLRRLGLEDCFQGIICFETLNPLPASSSDQERKTEVFDIVGHFSHPTDGVELPKTPVLCKPSVDAMEHALRVAGIDPQRTMFFDDSVRNIQSGKHIGLHTVGTSRRIKGADHALESIHNMKEAFPELWEEGEKSELVLHSGKIGLETSAIA >Ma02_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26724586:26726654:-1 gene:Ma02_g20940 transcript:Ma02_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRFRQVQRPKYDCLLFDLDDTLYPLSSGVASECLKNIGDYMVERLGIEERKISDLCNLLYKNYGTTMAGLRAIGYEFDYNDYHSFVHGRLPYGKLKPDPVLRHLLLSLPIRKVIFTNADEVHAAKVLRRLGLEDCFQGIICFETLNPLPASSSDQERKTEVFDIVGHFSHPTDGVELPKTPVLCKPSVDAMEHALRVAGIDPQRTMFFDDSVRNIQSGKHIGLHTILVGTSRRIKGADHALESIHNMKEAFPELWEEGEKSELVLHSGKIGLETSAIA >Ma09_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8174762:8177603:1 gene:Ma09_g12080 transcript:Ma09_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKTKHLSDIAVPLQLIHSLSASAFHTLHLSPRKAPRQAGHRFPSLARSPKFLLVSLFFLLALFRTLRIGSELDRLLPLSPVPCSSSSSPEPVRSVLEVAVEAGGGGVGVGAGAVEGEFWRQPDGMGYRPCLDFSEQYRVETGAARGGRRRKYLLVVVSGGLNQQRNQIVDAVVIARILGAALVVPVLQVNLIWGDDSEFSDIFDLEHFKRVLADDVKVVSSLPSTHIRTRPVEEKQTPLHVSPQWIKNRYLKRLNREGVLLLRGLDSRLSKDLPPDLQKLRCKVAFHALRFAAPIQELGNKLAMRMRSKGPYLALHLRLEKDVWVRTGCLPGLSPEHDDAVQRERKLRPKLLTGRSNMTHHQRKLAGFCPLNALEVTRLIKALGAPKDARIYWAGGEPFGGPEALLPLITEFPHLYNKENISQPGELERFASKSSVLAAIDYIVCEQSDVFMPSHGGNMGHLMQGHRAYAGHRKFITPNKRQMLPYFVDASLPDSEFNRIIKDLHRGSLGQPEWRTDKVGKDVTAYPVPECMCNGTSTSAAL >Ma00_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12615702:12616822:-1 gene:Ma00_g01870 transcript:Ma00_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDFSLQISPSNTTPASGWRSHDENMELGFWRRSLDSTNNSNNKSSTIPCTAKADDITFELSLGSPSATVSSNTRDSLLLRSLYPHHHHHHFHFHHHHPLPQEGYHSELSLLKPIRGIPIYQNPPSSFPLVPPHQPQHMYDSSSSSSFTPFATTPGMSRSRFSPSRFPAKRVMRSPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQL >Ma03_p32990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34542472:34543840:1 gene:Ma03_g32990 transcript:Ma03_t32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVEAMDGRMSLSDTVFSFLEEGEGGGSGAGTEESPDGSCRGGGDGFDSGDEGTSDGAVENKAFWESQQQLLREALSRTSSTEVKLLQRTNDAVNKMRAEGVVCTCSSSTAKECRNCALVFVAEQLHRLGYNSALCKSKWRRSPDIPSGEHRYVDVVMETKSGKKGPVRLVIELRFRAEFEMARGSQEYNSLVSCLPEVFVGKPEKLRGVIKVMCAAAKKCMKDNKMHMAPWRKHKYMQSKWLGTPERTGPVMSFPAAVVVPDHPQPKLRASMLTFDLHRTAVEVV >Ma02_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22902662:22907060:-1 gene:Ma02_g15040 transcript:Ma02_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSHLGGGSTSRGANFLYLPSSTTTTTSAAAQLNAAAYGRSCHPTLPYLQPPVPLKPEVGSALQPSSSQEGAVDGRGQGEISPGDTEEIKAKIMSHPQYSTLIGAYIDCQTVGAPPDVVARLSAIARELQSRSRCRQEASSDPELDQFMEAYCGLLVKYREELTRPLHEATDFLRRVESQFNALTNTPSSRIFYSDEGCEGVASSEEEADASGGETDVSLIDPHADDKELKHHLLKKYSGYLSSLRQELSKKKKKGKLPKEARQKLLSWWALHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMHLVVMDGFHPQNAAASLYMEGQYMGNGVYRTGP >Ma02_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27580520:27582516:1 gene:Ma02_g22160 transcript:Ma02_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKKERSNGKHISSLPFCSASMHLGRATMVSKAKRNLLPSGHLLLPPSMKNFASCFSEHAVKVSEPSCSGSSSSGGGNSLVVDTSCSVLSAVTCLYRTRLIATQRELLIRVAWSKGHAGPMLSVGIDDNPSVDRWEPNAMNCQLLRKKKGSRAYAAGDCAVRLHWDISSAKLGSGPEPIDGFYVVMVVNSELALSLGDMSEECTRRFEEALPVAESSMISRKEQVIGQGLHSTRARFREDGGDHEITIRCKEDGWDAKESELSVTVDKKRVVHVRRLRWNFRGNQTLFIDGSPVDMMWDMHGWRFGNAPGWAVFMFRKRSALESRLWLEEETVDREQGGASGFSLLIQQAFKST >Ma08_p33860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44046873:44048072:-1 gene:Ma08_g33860 transcript:Ma08_t33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSLHHRPLIFFFFFFPETMGKCAVATLLLFVDLATLVLPSLAAGCSYCTSPPPPPPAYTPTPSPPPPSRLKPSPPPPTYTTPSPPPPTPAPPPPTYTTPSPPPPILPPLPKPSPPPPPTYTTPSPPPPILPPLPKPSPPPPPTYTTPSPPPPLPKPSPPPPPTYTTPSPSPPPPPTYTAPSPPPPAYVTPSPPTRTPYPPSPTTPTCPIDTAKLDSCVDLLGGLVHIIIGEGVSYQCCPVLEGLSDLDAALCLCATIKAQALNIQILLSPALEVLADCGKEVPSDFECPDE >Ma06_p28360.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30047333:30057267:-1 gene:Ma06_g28360 transcript:Ma06_t28360.5 gene_biotype:protein_coding transcript_biotype:protein_coding METKPLTAEAIALTEKKMDMTLDDIIKMSKKNTSKGRRPMRMPIKSQGFQNGNRSQNNAKVQQFMESRSSIRQGFLAQRRSNFHGNQFQMTTEIARKVSAMPIRNRAVTSAQRQAADGSFAGKKDKVVTEQKPETMDALFANMKKQRMMMITQQAKQSNGDRTAQRHSISHHHRGRGGARRGSSQWSGNFRK >Ma06_p28360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30047333:30057267:-1 gene:Ma06_g28360 transcript:Ma06_t28360.2 gene_biotype:protein_coding transcript_biotype:protein_coding METKPLTAEAIALTEKKMDMTLDDIIKMSKKNTSKGRRPMRMPIKSQGFQNGNRSQNNAKVQQFMESRSSIRQGFLAQRRSNFHGNQFQMTTEIARKVSAMPIRNRAVTSAQRQAADGSFAGKKDKVVTEQKPETMDALFANMKKQRMMMITQQAKQSNGDRTAQRHSISHHHRGRGGARRGSSQWSGNFRK >Ma06_p28360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30047558:30057267:-1 gene:Ma06_g28360 transcript:Ma06_t28360.4 gene_biotype:protein_coding transcript_biotype:protein_coding METKPLTAEAIALTEKKMDMTLDDIIKMSKKNTSKGRRPMRMPIKSQGFQNGNRSQNNAKVQQFMESRSSIRQGFLAQRRSNFHGNQFQMTTEIARKVSAMPIRNRLVNQSQPRAVTSAQRQAADGSFAGKKDKVVTEQKPETMDALFANMKKQRMMMITQQAKQSNGDRTAQRHSISHHHRGRGGARRGSSQWSGNFRK >Ma06_p28360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30047558:30057267:-1 gene:Ma06_g28360 transcript:Ma06_t28360.3 gene_biotype:protein_coding transcript_biotype:protein_coding METKPLTAEAIALTEKKMDMTLDDIIKMSKKNTSKGRRPMRMPIKSQGFQNGNRSQNNAKVQQFMESRSSIRQGFLAQRRSNFHGNQFQMTTEIARKVSAMPIRNRLVNQSQPRAVTSAQRQAADGSFAGKKDKVVTEQKPETMDALFANMKKQRMMMITQQAKQSNGDRTAQRHSISHHHRGRGGARRGSSQWSGNFRK >Ma06_p28360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30047558:30057267:-1 gene:Ma06_g28360 transcript:Ma06_t28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPLTAEAIALTEKKMDMTLDDIIKMSKKNTSKGRRPMRMPIKSQGFQNGNRSQNNAKVQQFMESRSSIRQGFLAQRRSNFHGNQFQMTTEIARKVSAMPIRNRLVNQSQPRAVTSAQRQAADGSFAGKKDKVVTEQKPETMDALFANMKKQRMMMITQQAKQSNGDRTAQRHSISHHHRGRGGARRGSSQWSGNFRK >Ma09_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17838900:17839052:1 gene:Ma09_g18380 transcript:Ma09_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKTSCLGEWIIIISFPSLNKPSLYSFSYICPGLAKCIPDFFKNLVIYP >Ma11_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24392210:24395974:1 gene:Ma11_g19580 transcript:Ma11_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAARWRSEKNKIKVVFRLQFQATQVPVLGSETVMVSLVPLDVGKPTVRSEKVAVMDGTCNWLNPIYETVSLACDPKSGKINEKLYQFLVSAQGSSKPGLLGEVTVNLADYFEVFKASSVALPLKAGAILNVTIQRMKGEVAGRGAEEDGDGTARQQCRTLQSQISKCDNKKGLKALIGRNDMNSVKVGSYVNREPRIDFPSSRSLPNCADSNGKLQKSQSSGALSGATSGSSSEIHKTRENRVNNGSFLSPLRDVLRERLQFSDDDVVLTRKVEESGLELQTLRKQIVKESRQRQDLSREISSMKEERDALRRECEELKSSQKRNAVDEKDLAESQLEEVKQELDHERNLNSSLRLQLQKTREANSELLLAVRDLDDLLEKKNRETPCCMKDEKDLEMQLEQLASDYEILKQENHDISSKLEQHEQLRIHHECSEHSAIIHDLEAHVESLEKELQTQTQAKVEQEKKAIRAEETLRKAKWKFASTIERLHEQLKRLSSQASSAFYGNEKAVKHALKEASELRSQNSYLEELLKKTMEDLASVQGQCRVNLQQLLSLVDFKSKEADKLQLELKDRNEELERYKRMRSQKTQIEKLETEKFLASEEREKLVYTAKEMMLHDRNLEGELLEKEILPMRQENSGLRNVRGGEEAVIRLLNSEEDKHCHESSRCANSNKCQSDVDCLQQSRMEVKAQINNTNPKDQEQATRFSRTNSEEKEHIVSCAFDDHKVSEIISELAVLRKQNESTEADLKEMQERQESGCIREQIQNCKLNWSLCVVVIDVIHRGVDTVWLGPKGTSSSKGVHEVGHVRRLGQEADLVGTACLLEVGSGAIVKCL >Ma01_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12324288:12326157:1 gene:Ma01_g16850 transcript:Ma01_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAILPPTTASCSPRRRHLLVRQVIAAVLQRRPLPPPSPRFPGWTSETVRDVLSSISTYFFLSPRSVGRQRPSGRHRSPLRQRSLRCESSSARGPAARRDPDTVALGVAGALEFYSWAESRCGFPHDELTCRDMSRLLARANCLPILWRFLRANETLVGTATVTEVIKVLGEEGLTKEALAAFYRMKQLHCKPDVRSYNTVISALCRVGHFKKARFLLDRMELPGARCPPDTFTYTILISSYCKHSLQTGCRKAIRRRIWEANHMFRRMLFNGFVPDVVTYNCLIDGLCKTYRIERAHELLDDMPVKGCSPNRVTYNSFIRYYSVVNQVDKAVEMMRAMVSRNHGKPTSSSYTPIIHALCETGRVREARDFLVEMAAGGSMPREFTYNLVCSALNDAGEETLPKDLCTRIEDGMRTRFRQVLQLKPMMRGKSMFIQEQV >Ma08_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5707717:5708022:1 gene:Ma08_g08230 transcript:Ma08_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHNTSAEKIFVKIKHSTNLSVRVNDRFATCISNCEKEDCVKGTIYNFLGELPDQKKKETLKLYVSGSGWCIIEHIGCVKAVKPYGLYSSASWFEFTQLL >Ma08_p28350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40308835:40310952:1 gene:Ma08_g28350 transcript:Ma08_t28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLASTNSPPAFLTKTYEMVDDPTTNSIVSWSLTNASFVVWNQLEFARDLLPRYFKHNNFSSFVRQLNTYGFRKIEPDQWEFANEGFKRGQRHLLNNIHRRKPVHSHSLHGQLNSSAPLSDAEKQELEEEIERLKQEKVVLVNELQKQHTQVQHQMRSLEGRLQALGNRLRGLIAFLKRIVKEPRGLSNLVQHFDLHSTRKRRLPHFDCFDEDANIDDNQIAIFQPDIPSVQALDTEPFEMLESSLNSLENFFRGFGQASGGGMFYDSIVSCPSSDLYLSEMNASSEDTDAKLQPSSPCPGDIYTSLDTAERTGHVAIHPTDSRSKVSEIDMNAEPTATRVDSSRDLTTGTASTMLEGVNDVFWEQFFTETPGSDDVQKVQFKRKYSDGKRKKKSKWGNTWLNRKNVDHLAVGKT >Ma07_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30745915:30760536:1 gene:Ma07_g22980 transcript:Ma07_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTEPLLKRKVYFDGCPGCKQDRRKEASSGIPYKEFFYVWIITLCTALPISSLFPFIYFMIRDLHVAKREEDIGFYAGFVGASFMFGRALTSLFWGVVADRYGRKPVILLGIFSVTIFNTLFGLSTSYWMAITTRLLLGSLNGLLGPIKAYSIEVCRQEHQALGLSLVTTAWGIGLIVGPALGGFFAQPAEKYPEIFSQDSLFGRFPYFLPCLCISLFAVCVLIGSLWLPETLHKHDSKKKGETTIEDVETPYSSDSKGHTDKDEESDTQPKANLFKNWPLMSSVIVYCIFSLHDMAYTEIFSLWAVSDKSYGGLSFSSQDVGEVLSISGFGLLVFQILLYPRIEKLLGPVSSLRVASVLAVPLLAAYPFMSKLSGLQLTLIVNCASLLKNVLSVMIVIGLFILQNNAVSQEQRGAANGIAMTAMSFFKAIAPAAGGAIFSWAQKRQHASFFPGDQMVFFILNVVELIGLLFSFKPFLIEPSK >Ma06_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9871946:9873172:-1 gene:Ma06_g14420 transcript:Ma06_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLEGKERAETLARIANGCQEWGFFQLVNHGIPVELLERVKKVCSECYRLRAEGFKASKPVQLLNKLVEEEGDAADAERLDNVDWEDVFLLQDDNEWPANPPEFRETMKEYREELRKLAEKVMEVMDENLGFEKGSIRNSFSGNGEHQPFFGTKVSHYPPCPRLEMVNGLRAHTDAGGVILLFQDDQVGGLQILKDGQWIDVQPVANAIVINTGDQIEVLSNGRYKSVWHRVLTTSDGNRRSIASFYNPSLKATIAPGTNKDGSATALYPKYVFGDYMDVYVKQKFLAKEPRFAAVRAV >Ma02_p04790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16201442:16209347:-1 gene:Ma02_g04790 transcript:Ma02_t04790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQGKDVAFLFADEELSGMTGLKRGADFVEVMCGCTSHRYGDAVGRLRVFASGDLEINCECTPGCDEDKLTPAAFEKHSGRETARKWKNNVWIMVKGDKVPLSKTVLLKYYNQVSKAANGSHKGPNGRPCHRDEFVCCKKCNKERRFRLRSKEECRNYHDSVRDPNWKCSDLTFDSVTCDDEEERASRKVLRGCSRSPSCRGCTTCVCFGCEICRFSDCSCQTCVDFTCNSKS >Ma02_p04790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16201442:16209347:-1 gene:Ma02_g04790 transcript:Ma02_t04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQGKDVAFLFADEELSGMTGLKRGADFVEVMCGCTSHRYGDAVGRLRVFASGDLEINCECTPGCDEDKLTPAAFEKHSGRETARKWKNNVWIMVKGDKVPLSKTVLLKYYNQVSKAANGSHKGPNGRPCHRDEFVCCKKCNKERRFRLRSKEECRNYHDSVRDPNWKCSDLTFDSVTCDDEEERASRKVLRGCSRSPSCRGCTTCVCFGCEICRFSDCSCQTCVDFTCNSKS >Ma02_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16201442:16209347:-1 gene:Ma02_g04790 transcript:Ma02_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQGKDVAFLFADEELSGMTGLKRGADFVEVMCGCTSHRYGDAVGRLRVFASGDLEINCECTPGCDEDKLTPAAFEKHSGRETARKWKNNVWIMVKGDKVPLSKTVLLKYYNQVSKAANGSHKGPNGRPCHRDEFVCCKKCNKERRFRLRSKEECRNYHDSVRDPNWKCSDLTFDSVTCDDEEERASRKVLRGCSRSPSCRGCTTCVCFGCEICRFSDCSCQTCVDFTCNSKS >Ma02_p06180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17213892:17218590:-1 gene:Ma02_g06180 transcript:Ma02_t06180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGCRELPIPEHMDIYHLTREIEASDMSALEAVINCDEERLRLEKEAEILAAEDGGGGEALDRIYERLEAIDASTAEKRAAEILNGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEESLKKLDRILVVVSHSQDFLNGVCTNIIHMQSKKLKFYTGNYDQYVQTRAELEENQMKQYKWEQEQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFVDVGKLPPPVLQFVGVTFGYTPETLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLIPLDGMVRRHNHLRIAQFHQHLAEKLDLEMSALQYMKREYPGNEEERMRAAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAEEIWVCENQAVTKWEGDIMDFKEYLRSKAGLSD >Ma02_p06180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17213892:17220299:-1 gene:Ma02_g06180 transcript:Ma02_t06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAAKRGGKSSATSSKAAADVPNGAAVGKVADGVGSMRISDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLAAIGCRELPIPEHMDIYHLTREIEASDMSALEAVINCDEERLRLEKEAEILAAEDGGGGEALDRIYERLEAIDASTAEKRAAEILNGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEESLKKLDRILVVVSHSQDFLNGVCTNIIHMQSKKLKFYTGNYDQYVQTRAELEENQMKQYKWEQEQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFVDVGKLPPPVLQFVGVTFGYTPETLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLIPLDGMVRRHNHLRIAQFHQHLAEKLDLEMSALQYMKREYPGNEEERMRAAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAEEIWVCENQAVTKWEGDIMDFKEYLRSKAGLSD >Ma02_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17213892:17220299:-1 gene:Ma02_g06180 transcript:Ma02_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAAKRGGKSSATSSKAAADVPNGAAVGKVADGVGSMRISDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLAAIGCRELPIPEHMDIYHLTREIEASDMSALEAVINCDEERLRLEKEAEILAAEDGGGGEALDRIYERLEAIDASTAEKRAAEILNGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEESLKKLDRILVVVSHSQDFLNGVCTNIIHMQSKKLKFYTGNYDQYVQTRAELEENQMKQYKWEQEQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFVDVGKLPPPVLQFVGVTFGYTPETLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLIPLDGMVRRHNHLRIAQFHQHLAEKLDLEMSALQYMKREYPGNEEERMRAAIGKFGLSGKAQVMPMKNLSDGQRSRVIFAWLAWRQPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVADEIWVCENQAVTRWAGDIMDFKEHPRSKAGLSD >Ma09_p19180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21074490:21088257:1 gene:Ma09_g19180 transcript:Ma09_t19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRGRNRSGLRSEDQDRSYRSQPQFEPKPPEFRITTKARRSAMQLEAASSLPGVRADWDELRREARRIEGDLDVRLSSYAKLGVGYSDPKSPASDSHWKSMEMEIETLLARLTDVNEAMSRCAAAAVPTTSVAQKLTRHRDILHEFAQEFKRTRGNIMSMREHAELLTSVRNDINEYKTSSSSQAVPNLLRERAAIHGSITQIDEVTSQAEAIKGVLSAQRSTFGEIQGKVKQLSDRFPVIRNLLGMIKRKKSKDTIILSAVIAGCTLFLIIYSISK >Ma09_p19180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21074524:21088236:1 gene:Ma09_g19180 transcript:Ma09_t19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEAASSLPGVRADWDELRREARRIEGDLDVRLSSYAKLGGYSDPKSPASDSHWKSMEMEIETLLARLTDVNEAMSRCAAAAVPTTSVAQKLTRHRDILHEFAQEFKRTRGNIMSMREHAELLTSVRNDINEYKTSSSSQAVPNLLRERAAIHGSITQIDEVTSQAEAIKGVLSAQRSTFGEIQGKVKQLSDRFPVIRNLLGMIKRKKSKDTIILSAVIAGCTLFLIIYSISK >Ma05_p26180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37894514:37900158:1 gene:Ma05_g26180 transcript:Ma05_t26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGFMASRDSSSFNVSLQTSPLQPQPLEMQSMRLAYTADGTAIYKPISSSSPAPQPPPTYLGGGGGSIATGDGGTASAAVPPHGLNINVGEPVKKKRGRPRKYGPDGLALTSLATAASVSSSSPTAGTGHLAPSGSADAKKKPKGRPPGSSKKLQMGVLGSAGTGFTPHIITVMAGEDVSSKIMSLSQHGSRAICILSANGAISNVTLRQPATSGGTVTYEGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGPDGRVLGGGVAGLLMAASPVQVVVGSFIPVVKKESKQINPIDLASAKAAPGGMTGASSPPSRGSLSESSGGPGSPFNQSSGACNNSNQHGLANILWK >Ma06_p32940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33732855:33737912:-1 gene:Ma06_g32940 transcript:Ma06_t32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKSVESLHGRRWRLSHLLLVAAALYLFFIALKFRHFLEVPYVVSDDGSVSGGLDRPLDGVADVGRSLFGSAYHGGAHQRKLEDGRTLDAPRVPHGKDPLVLRARQSRSFDEGYGRITGEIMKRYLRGGGRQRRGNFSVLEGMAQEAWALGLKAWEELENYRSGAEMIPATVVQIKPESCPSSITGPETVAESERAMFLPCGLAVGSSITVVGTPQDAHQEYVPQLARLRQGNDTVMVSQFVLELQGLKSADSEDPPKILHLNPRLKGDWSQVPIIEHNTCYRMQWSKALRCDGVPSKEDEETVDGFIKCEKWEHVDSVDSKETKTTSWLKRFVGRAKKPEMTWPFPFAEGKLFVLTIQAGVEGYHIYVGGRHVSSFPYRMGFTLEDATGLTIKGDVDIHSVYATSLPTSHPSFSAQHVLEMSERWKSPPLPQNPVQLFVGIISATGHFAERMAVRKTWMQYPALHSSSAIAHFFVALSPKKELNAALKREAEYFKDIVILPFMDHYDLVVLKTIAICEFGVHNLTAAYIMKCDDDTFVRVDVILRMIETDASNSSLYMGNLNVLHRPLRSGKWAVTYEEWPEEIYPPYADGPGYIISKDIARYVVSQHANHSLRLFKMEDVSMGMWVEEFNTTTTTTIQYSHSWKFCQSGCMENYFTAHYQSPRQMICLWEKLSHGRAQCCNFR >Ma04_p30880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31391624:31394827:1 gene:Ma04_g30880 transcript:Ma04_t30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKNPSRVEPQKLRSVLLGGCFLLSMILLVVYTSYVVSFSNLSFWRSNRAAAPVPKAEAKIASTHQADVSYQKEKLPSNPFCDFSNRRNDYCEMEGDIRIHGNSSSIFFVASSNVNATKLQESWKLKPHPRKGDARAMARVTEVSVKTLSTRDAPKCAVHSSVPAIVFSTGGYMGNFFHDFTDILITIFTTSQQFNGEVQFLISEILPWWNEKYQKILKSLTNYEIIDFNQDQVVRCYPHVIVGLKFHKEMSIDPSKSPYGLTMVDFGRFIRKSFALERDMTIKLGPDQGKKPRILIIARKWTRRFANVDEIVRNAEEMGFEAVVAEAKLNLIEFAHIVNSCDVMLGVHGAGLTNLVFLPTNAVVIQVVPLGGLEGISWIDFGLATISMKMHYMQYTISLDESTLTEQFARGDPVLSDQKEAYKKHGWDRMIDLYFAKQGVKLDMGRFSSTLLHALELLRQE >Ma09_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33739193:33742727:-1 gene:Ma09_g21780 transcript:Ma09_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKNLGLHVVKANVYMDSSGKHNKLAITNRSTGRKIEDPELLETIRLTIINNMLQYHPESSSQLAMGATFGVEPPEQKVDIDIATRINIYDDGPDRSLLVVETADRPGLLVDLVKIITDINITVQSREFDTEGLLAKAKFHVSYRNEPIMKALQQVLSNSLLYFLRRPTTEDASF >Ma09_p21780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33739193:33742796:-1 gene:Ma09_g21780 transcript:Ma09_t21780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAAASGSLWSSPGAKRGGSGLPSLRCYLLRRLGSGVSKPLKARLPSYVAVRTPRAAYPTAVEDGSSNETDAIPTPKVIIDQDSSPDATIVEITFGDRLGALLDTMNSLKNLGLHVVKANVYMDSSGKHNKLAITNRSTGRKIEDPELLETIRLTIINNMLQYHPESSSQLAMGATFGVEPPEQKVDIDIATRINIYDDGPDRSLLVVETADRPGLLVDLVKIITDINITVQSREFDTEGLLAKAKFHVSYRNEPIMKALQQVLSNSLLYFLRRPTTEDASF >Ma08_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34867297:34867836:1 gene:Ma08_g20770 transcript:Ma08_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSSADMLYLDAFRKLDLTDEDLKPMASVLTGFTGDSISPLGTTALPVTIEEEPRAKTIMTTFMVVNLPSAYNVIQGRPTLNKLKVVVSTYHRAIKFPTPMGIGESRSDLGESRRCYLTAVALARKSCPRQVMDAREGAAASEHLEPPEPITEVPLKRNWPDQTIKVEATLPEADQL >Ma05_p32040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41725906:41728160:-1 gene:Ma05_g32040 transcript:Ma05_t32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLDPCYTAAHGLLLSPACNHAMVIEQLNRAHELTSQLQALLLPLLPGRSWSELARAQIREMQQCYTSALSRLQSDAGSCWSTPLDVRSVDDRRGSGYSSEEKRKNLDGDWPDNRKRRRKENSYSVVTSVQYDGHQWRKYGQKLIHGSKHPRSYFRCTYSTDQGCQARKTVQKDDSDADPPNYLVVYTMTHTCKNVVETNFPSVMESLVENSAASYDHRRPSSFVIQDQHLCPPLAVTPNHSQSRSIPLFGDQELVHLLPSEVTGSVFSGTFDMKDGLLNLESTWESLELDAYMKTMVESMY >Ma10_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31092395:31097177:-1 gene:Ma10_g21160 transcript:Ma10_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREELSRFQLILHEFLSLEGTLEAAAFQMSFHWDHFMVSKEIFVEPKENEARMHSEILHVFCKTLLRYPLNGDEIFLVLKLSTVVETNTVCKHEQRIKNAKKKKKKQNQRKHVEPEKLDRKGERRPCCCNYAKKEE >Ma01_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10093822:10095341:-1 gene:Ma01_g13790 transcript:Ma01_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPVHLNNAPPPPPQATSPCRVRLMVSYGGRIQPRRYDNSRLSYVGGETKILSLDRSARFPALLSKLASITAFVSPLCLKYQLPGEDLDALVSVTDDDDLDHLMAEYDLLHRTSSTKPSPRLRLFLFPVGPPPPRPSAALLDAARSERQWFLDALNAVPAPPSPPPAPPTTLDASQSPDFLFGLDHDYLTTAVGKVTADPQSLSSVLENLPLEAPTKPDLVKDESGKPIAGGADSVAASPAVVSTGEIQRQSPDLDKPKMADNPPPMVPCNSSEENLPRVRAPEVIAAPPPSTAVYWQEQRGGASVGRYALSAPVGDQTVYLIQASPAGYPAIYTAAPRVVPAKAFCEAAAPGLVSTKLVGGCGKLMTRLEAYAAATAGQVAYDRTERVVYDPSVAPTYQTVTSPRSRRCGR >Ma06_p34210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34561201:34561461:1 gene:Ma06_g34210 transcript:Ma06_t34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVPTRSRSHRILQGPRRAREPSVAEGGRRNLMGRGSRPPRCTSKCGNCTPCLPVQVAVPPGAPATFEYYPVAWRCKCGAHLFMP >Ma06_p37510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36536385:36538858:1 gene:Ma06_g37510 transcript:Ma06_t37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGLQSSQKIGFSPWWSNAISLVDSLSTRVVEFFRKVKKTGEDDPRRIGHSFKVGLALTMVSVFYYVTPLFDGFGVSTMWAVLTVVVVMEYTVGGTLSKGLNRAFATLLAGALGVAAHQIAVHCGEKGEPILLGLFVFALAAAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVDELVQLAHQRLSTIAIGVATCLCTSIFVFPIWAGEDLHKLVAGNLEKLASFLEGLSAECFAEKGGIENLEGKTFLQAYKSVLNSKPTEDSLANFARWEPCHGRFWFRHPWKQYLKIGALSRQCASSMDALAAYIITTSTKSKTNTDPDLQLRIRAACKEMATESANTLKELSTAIRTITVPSAAGRHASAAVAAIGKWRDSLSDDIALPEFLHVATTASLLADLVLRVQKIAGSVEELARLAHFKRPEPLVHDAKIKPVVDEHSRSHLSITLEK >Ma05_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28240549:28241486:1 gene:Ma05_g19720 transcript:Ma05_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNTIKAPMWSQDSTFRLNSGMAGPSLMSMNKKEATREVSEEELGCHILEFFFLYISFHTFRSNR >Ma06_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12175868:12181551:1 gene:Ma06_g17950 transcript:Ma06_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGELGGGLMASSELSVKGSSGGQGQSLSSGCSEMHEGPSVKVGGDAEGSLGFSGGAVCGKEDALYTELWLACAGPLVTIPRVGEKVFYFPQGHIEQVEASTNQGADQQMPVYNLPWKILCRVMNVDLKAEPDTDEVFAQVTLLPVSKLDENTVEKEMLSTPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPSQELVAKDLHRVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFIVPFDQYVESIKSNHSVGMRFKMRFEGEEAPEQRFTGTIVGIGDADPSRWPGSKWKSLKVRWDEPSSIPRPERVSPWKIEPVLTPPLNPLPMPRTKKPRTTSFPCSPDSSVPKREVTAEPSQSYGAPRVLQGPEMMTLRSSYADSNESGATHKPVVWSMNDEEKNDVPTRRRLGSDSRMLIKRHEQTYNGIFSGYCPPDSSGFQTPFFEQTPGDKNILKPHFQVQEAKHNYSPGSWSLIPPNSNFGMSDHDFKTTAQIGEVSYQKAGNCRYGTRGFFSELQSLGSIQNSPNWFTHLLPDSQIENMAQPRVVKPLPMLPSQTDVAKPKANSGYKLFGFHLNSNPVISESVMPRSNSADILMPHSHPEAALPYQQGLEVDKHSESSVTERLVGAAPTNGETEKLIHVSPRTAQDFQNKLQNGSTRSCTKVHKQGIALGRSVDLTKFNGYDELIAELDHMFEFEGALVSPNKSWLVVYTDNEGDMMLVGDDPWKEFCNMVHKIYIYTREEVHRMNPGTLSSRVEESPATSDGRIAGKEPKGPVPAAISENSERCPSIGTAF >Ma06_p17950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12175867:12181551:1 gene:Ma06_g17950 transcript:Ma06_t17950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGELGGGLMASSELSVKGSSGGQGQSLSSGCSEMHEGPSVKVGGDAEGSLGFSGGAVCGKEDALYTELWLACAGPLVTIPRVGEKVFYFPQGHIEQVEASTNQGADQQMPVYNLPWKILCRVMNVDLKAEPDTDEVFAQVTLLPVSKLDENTVEKEMLSTPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPSQELVAKDLHRVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFIVPFDQYVESIKSNHSVGMRFKMRFEGEEAPEQRFTGTIVGIGDADPSRWPGSKWKSLKVRWDEPSSIPRPERVSPWKIEPVLTPPLNPLPMPRTKKPRTTSFPCSPDSSVPKREDAAPKVTAEPSQSYGAPRVLQGPEMMTLRSSYADSNESGATHKPVVWSMNDEEKNDVPTRRRLGSDSRMLIKRHEQTYNGIFSGYCPPDSSGFQTPFFEQTPGDKNILKPHFQVQEAKHNYSPGSWSLIPPNSNFGMSDHDFKTTAQIGEVSYQKAGNCRYGTRGFFSELQSLGSIQNSPNWFTHLLPDSQIENMAQPRVVKPLPMLPSQTDVAKPKANSGYKLFGFHLNSNPVISESVMPRSNSADILMPHSHPEAALPYQQGLEVDKHSESSVTERLVGAAPTNGETEKLIHVSPRTAQDFQNKLQNGSTRSCTKVHKQGIALGRSVDLTKFNGYDELIAELDHMFEFEGALVSPNKSWLVVYTDNEGDMMLVGDDPWKEFCNMVHKIYIYTREEVHRMNPGTLSSRVEESPATSDGRIAGKEPKGPVPAAISENSERCPSIGTAF >Ma06_p17950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12175868:12181551:1 gene:Ma06_g17950 transcript:Ma06_t17950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGELGGGLMASSELSVKGSSGGQGQSLSSGCSEMHEGPSVKVGGDAEGSLGFSGGAVCGKEDALYTELWLACAGPLVTIPRVGEKVFYFPQGHIEQVEASTNQGADQQMPVYNLPWKILCRVMNVDLKAEPDTDEVFAQVTLLPVSKLDENTVEKEMLSTPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPSQELVAKDLHRVEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFIVPFDQYVESIKSNHSVGMRFKMRFEGEEAPEQRFTGTIVGIGDADPSRWPGSKWKSLKVRWDEPSSIPRPERVSPWKIEPVLTPPLNPLPMPRTKKPRTTSFPCSPDSSVPKREEPSQSYGAPRVLQGPEMMTLRSSYADSNESGATHKPVVWSMNDEEKNDVPTRRRLGSDSRMLIKRHEQTYNGIFSGYCPPDSSGFQTPFFEQTPGDKNILKPHFQVQEAKHNYSPGSWSLIPPNSNFGMSDHDFKTTAQIGEVSYQKAGNCRYGTRGFFSELQSLGSIQNSPNWFTHLLPDSQIENMAQPRVVKPLPMLPSQTDVAKPKANSGYKLFGFHLNSNPVISESVMPRSNSADILMPHSHPEAALPYQQGLEVDKHSESSVTERLVGAAPTNGETEKLIHVSPRTAQDFQNKLQNGSTRSCTKVHKQGIALGRSVDLTKFNGYDELIAELDHMFEFEGALVSPNKSWLVVYTDNEGDMMLVGDDPWKEFCNMVHKIYIYTREEVHRMNPGTLSSRVEESPATSDGRIAGKEPKGPVPAAISENSERCPSIGTAF >Ma03_p17360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22601227:22603969:-1 gene:Ma03_g17360 transcript:Ma03_t17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNSLEEPLSEVGTSSTVASLDPIELKLLFGSDDDHNWGLPSGGSFISGMTSSGGPGNKEFLQSRIIPKLWKVAFKFKYLQLVEPGLDKLFSCVKLVLFIAKFEEDCRAHPTPTPFLKDRIMRSNHLLESQSQFSGHTVEPRIAVGTEELLSGNTIPLCATGNSFDGSTAQIFQNETVAPTNMPELDWPQAFNRLAEKADDGSETMDDGLLIPESRRGLILTTQLMHHLIPAVPAKVFKGETTRAYESVTFSIAKSALADACSLSCYSESDSHLLMQHENIILRVRSM >Ma08_p34640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44629703:44638818:1 gene:Ma08_g34640 transcript:Ma08_t34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSSPYYSPPRRGYGGRGRSPPRRGYGGYGGKERGSGSLLVRNIPMNCRPEDLRVPFERFGPVRDVYIPKDYYTGDPRGFAFVEFVDPCDASEAQYHMNRQLFGGREITVVVAAESRKRPEEMRKRTRTRGPSGYDRRRSSYHGRSRSRSRSRSRSPRYSSRARHHSRSYSPAAKSRNDYSSPQKRQSSHERSPRNHSKERNEDENRKSYSPSYSDANRNDVNDYEAKASHDAEGSRSYWRSPRRSSVSPPGSRSRSADLSPRRNSE >Ma08_p34640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44629697:44638818:1 gene:Ma08_g34640 transcript:Ma08_t34640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSSPYYSPPRRGYGGRGRSPPRRGYGGYGGKERGSGSLLVRNIPMNCRPEDLRVPFERFGPVRDVYIPKDYYTGDPRGFAFVEFVDPCDASEAQYHMNRQLFGGREITVVVAAESRKRPEEMRKRTRTRGPSGYDRRRSSYHGRSRSRSRSRSRSPRYSSRARHHSRSYSPAAKSRNDYSSPQKRQSSHERSPRNHSKERNEDENRKSYSPSYSDANRNDVNDYEAKASHDAEGSRSYWRSPRRSSVSPPGSRSRSADLSPRRNSE >Ma11_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:207198:209028:1 gene:Ma11_g00280 transcript:Ma11_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEALASAELSSFLIYDTITASPLLHHAEPSSSSSFLFRSAGGEDAVGSLGIGPSRSGLVGGIPAAKEEPRPEAAAVGQGKRKRRRTRTCKNMEEAENQRMTHIAVERNRRRQMNEHLAVLRSLMPESYIQRGDQASVVGGAIDFVKELEQLLQSLEAQKRTLQQQAGKQERSSYIGEAGSSSSSSGSSGSNSDVVDSPPFAQFFSFPQYSWCHTTQGQDHPPSENQLPALADIEVTLIETHANVRILSPKRPGQLFKMVAGLHNLSLTILHLNVTALDSMVLYSLSVKVRPQCSWINCDLIRKVSVKYSPAFTFFCSWANVIGILQQGILLLYLHHLFNPHGG >Ma11_p00280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:207198:209987:1 gene:Ma11_g00280 transcript:Ma11_t00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEALASAELSSFLIYDTITASPLLHHAEPSSSSSFLFRSAGGEDAVGSLGIGPSRSGLVGGIPAAKEEPRPEAAAVGQGKRKRRRTRTCKNMEEAENQRMTHIAVERNRRRQMNEHLAVLRSLMPESYIQRGDQASVVGGAIDFVKELEQLLQSLEAQKRTLQQQAGKQERSSYIGEAGSSSSSSGSSGSNSDVVDSPPFAQFFSFPQYSWCHTTQGQDHPPSENQLPALADIEVTLIETHANVRILSPKRPGQLFKMVAGLHNLSLTILHLNVTALDSMVLYSLSVKVEEGCSLTAVDDIAAAIHHLLSLIEAEVTALAH >Ma07_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:681628:682839:1 gene:Ma07_g00850 transcript:Ma07_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFHNTGVLLLLFLLCNAVAAANETESSAPSECDTKFPGDCHNKAAALQLKIIAIAAILVASMLGVCLPLFSRSVPALGPDRDLFVVVKAFASGVILATGYMHVLPDSFDDLGSPCLPEDPWSKFPFTTFVAMLSAIGTLMLDSMMLTSYNKRRPKVSSATVTGHGHCAVPQLDADGKDGRETVVLRNRIIAQVLEMGIIVHSVVIGLSMGASENVCTIRPLVAALCFHQLFEGMGLGGCILQAEYGMKMRGILAFFFAVTTPFGVVLGIGLSNTYRDNSPTALIVVGLLNAASAGLLNYTAMVDLLANDFMGPKLQGSFKLQLWAYVAVLLGAGGMSLMAKWA >Ma09_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6275467:6278701:-1 gene:Ma09_g09550 transcript:Ma09_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVFLRTLVCLLLLLFHLKLAASSGGNDSFTFNGFGRLNLTFDGVANVTSDGLLKLTNMSKQSKGHAFYPTPLRFRNLTNGTIFSFSTTFVFGFISAYTNVSGNGMTFFVSPTEDFSAALGSQFLGLFNQSSDGNSNNHVLAIEIDTNYNPDVLDIDDNHVGIDINGVISNASHAAGYYANDNGSFTYLSLRSEKAMQVWIDYDGHEMLLNVTMAPIRMAKPHKPLLSATIDLSSVLLSDPMYVGFSSSTGSFKTSHYVLGWSFRMNGIAEPLDYSLLPSLPRAKSNDKDRIAMVIVSPMLSEPAFQLTSESSKDIGIGKKSGRWTIHVRAISNVFCEFSWC >Ma09_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3383636:3390020:1 gene:Ma09_g05250 transcript:Ma09_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRRHKEPPSPLPDRPTPPRPRPKLPAAVAAPRSPGPIVPRPIPSDVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHRGTTKQFACKSIATRKLVRQDDLDDVRREIQIMHHLTGHRNIVELKGAYEDRHSVNLVMEICEGGELFDRIISKGHYSERAAAALCREIVNVVHACHSMGVMHRDLKPENFLFLNKRESSPLKATDFGLSVFFKPGEIFKDLVGSAYYVAPEVLRRRYGAEADIWSAGVILYILLSGVPPFWAENEEGIFDAVLHGQIDFSSDPWPSISFGAKELVRKMLRADPKERLTAAEILNHPWMREDGAPDKPLDLTVLNRMKQFRAMNKLKKVALKVIAESLSEDEIMGLKEMFKSIDTDNSGTITYEELKAGLPKLGNLGIKISESEVRQLMEAADVDGNGSIDYIEFITATMHMNRMEKEDHLYRAFEYFDEDKSGYITVEELEQALKKYNMGDEKTIKEIITEVDTDRDGRINYEEFATMMRKDSSEPIRTRRRK >Ma09_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9583894:9587862:-1 gene:Ma09_g14080 transcript:Ma09_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEAWRSIDWERESYPAYEDFWAMPFFALLFPAVRLFLDRLVFEKLARRVIQQNSIEKLKVEVDNRRKKINKFKESAWKFVYFLSGELLALSVTYNEPWFTNTRYFWMGPGDQVWPDQKTKLKLKAVYMYVAGFYTYSIFALAFWETRRSDFGVSMAHHVATVVLIVLSYIFRFARVGSVVLAIHDASDVFMETAKMSKYGGYEMLANVAFLLYVVSWIILRLTYFPFWILRSTSYEVLLNLDKEKHKFEGPIYYYVFNTLLFSLLVLHIYWWILMFRMLVKQIQSGQLGEDIRSDSEGEDEHED >Ma06_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12018571:12020267:1 gene:Ma06_g17720 transcript:Ma06_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALIMLSFPLCVSLLLLHSAQAQLGFGQQETTGQPGGSRCRIERLSALEPTMRVPSEAGFTEYVDQNHEQFRCAGVAVHRRTILPRGLLLPSYSNAPSLVYVVQGRGIAGTVIPGCPETYQSFQQQREGGDEHQRIHSFHEGDIIALPAGVAHWCYNNGEAPVVAITVSYTSSSANQLDRQHRVRFLLAGRERRAQQGAHTEERLEQQKGVSLLNGFELELLAEALSVDKEVVRKIQNPDDGRGEIVRVDRGLQLLQPLQRNEEQERQDGDDIRRRESNGLEEAFCTMDYKQNIGDTTLSDQYDPNAGRITVLNSRKFPVLRFMQMSAVRGSLRPNTVGAPYWNINTHGIAYALNGSCQMQVVGHGGRTVFDGELRQGQLLVIPQHFVVITKTRSEHYEWVSFKTNDNPMVSQIVGKASVFRGMPVEVLINSYRISRNEAKRLKFNRGNLMSMFPLESHGDVMET >Ma08_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37271316:37272465:1 gene:Ma08_g23910 transcript:Ma08_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTPPNSLAPPPPDPRPSTTEDSPSTNGGPGGCSAPLNPSSSKPIPGPDEPASAAAAMSRRSGRKRKPREFGGRSPSGLRQMKVWSEPDEVALLEGALAFRSRTGALPKQPTMSAFFASIKTSIATHLTPEQVGYKLKRLKSKFVHSSAAGSSAVVTAHDRRICELSAEIWGEEAKQADGDAEEDGNGDVAPAATEEDDDAGREDDKYPFIREAVAEYGRCLSGVLLEKKLKLIDDSKGKLLEEKLRKQCEAEMELWAKRLDLLKEISELLMDAHKS >Ma10_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:8366702:8371497:1 gene:Ma10_g02620 transcript:Ma10_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP5 [Source:Projected from Arabidopsis thaliana (AT3G54480) UniProtKB/Swiss-Prot;Acc:Q94FT2] MRFHREGDCSDILREKLTDTTKERAMVTRRWKVSVVAAAAASFSAAASPIHSLDDGCLMHIFSFLTPIPDRYSIALVCHRWRCLVCHPRLWLRVENSIRNMGEPGVFPNLEAAISAARPGDTILIAPGLDHVACNIQIKKPLCLIGGGEHPDDTVLTCSRGSESALEFLSTCKITNLMIRAELGCCLLHRSGRLTIEGCILQCEENPLDYLSFPIMSTANGCHAFPQPVKGHGDGVTVARTRIEGGAKAVWTSGNLVLQRVRAIYSRTSIFFWFEVAEQ >Ma05_p23670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35845528:35846067:-1 gene:Ma05_g23670 transcript:Ma05_t23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTGSSTDVLYLDAFQKLGLTKESLKPICSALTGFTGNSVSPLPLTLGTPPRTKTVMSTFLVADLPTAYNAILGRPTLNKIRAVVSTYHQTIKFPTHVGTGEVWGRPQESRRCYLTAVSLHKRAKTDQPLEDPKRPTPHPEPTTPTCNVPLMEDRLDRTVQVGLELPGQEREQLVGFL >Ma05_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6825670:6828009:-1 gene:Ma05_g09420 transcript:Ma05_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAAVVEKMKVEGVGGRRDQETEDLDVEAQICSRESSKPSSPSKEDPNSSSQEDHMESTMVKIGGVGAESEGLKEFLARSVTNSHPTQMHYFGIGKKEEVKEPLDSDAEESELVSLSLGTRESRLGREEKAKNTCSDCCRHDKLEEGLSLGLERKMEAPISRQSGKPLPDLDADHDSSEEPKNEGSGEPWPPSRRTQMPRNEDEEVSPQHTLKRARVSVRARCDAPTMSDGCHWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCVEDLSILISTYEGTHNHPLPISATAMASTTSAAAGMLMSGSTSSTSITSDIHGGSIGTSNNSMPWQFCSPSPSFYPSTSHPTITLDLTASSSTPQLRFPSNFPSISRYSSGLSFSTSESSKLPTSWSNIHQSYGVQPYGKSNTASLFLGRQPQDTFNLSYLPKMSSSAPSIPSQRSLTDMIAGAITSHPSFQSALAAAVTSYIGGARGAQGAREGSIYDLQRQEQLASPPTHAAAAISNGCTSSSLTILNSSNANQTQ >Ma05_p09420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6825670:6828009:-1 gene:Ma05_g09420 transcript:Ma05_t09420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAAVVEKMKVEGVGGRRDQETEDVGQLDVEAQICSRESSKPSSPSKEDPNSSSQEDHMESTMVKIGGVGAESEGLKEFLARSVTNSHPTQVKEPLDSDAEESELVSLSLGTRESRLGREEKAKNTCSDCCRHDKLEEGLSLGLERKMEAPISRQSGKPLPDLDADHDSSEEPKNEGSGEPWPPSRRTQMPRNEDEEVSPQHTLKRARVSVRARCDAPTMSDGCHWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCVEDLSILISTYEGTHNHPLPISATAMASTTSAAAGMLMSGSTSSTSITSDIHGGSIGTSNNSMPWQFCSPSPSFYPSTSHPTITLDLTASSSTPQLRFPSNFPSISRYSSGLSFSTSESSKLPTSWSNIHQSYGVQPYGKSNTASLFLGRQPQDTFNLSYLPKMSSSAPSIPSQRSLTDMIAGAITSHPSFQSALAAAVTSYIGGARGAQGAREGSIYDLQRQEQLASPPTHAAAAISNGCTSSSLTILNSSNANQTQ >Ma05_p09420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6825670:6828009:-1 gene:Ma05_g09420 transcript:Ma05_t09420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAAVVEKMKVEGVGGRRDQETEDVGQLDVEAQICSRESSKPSSPSKEDPNSSSQEDHMESTMVKIGGVGAESEGLKEFLARSVTNSHPTQMHYFGIGKKEEVKEPLDSDAEESELVSLSLGTRESRLGREEKAKNTCSDCCRHDKLEEGLSLGLERKMEAPISRQSGKPLPDLDADHDSSEEPKNEGSGEPWPPSRRTQMPRNEDEEVSPQHTLKRARVSVRARCDAPTMSDGCHWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCVEDLSILISTYEGTHNHPLPISATAMASTTSAAAGMLMSGSTSSTSITSDIHGGSIGTSNNSMPWQFCSPSPSFYPSTSHPTITLDLTASSSTPQLRFPSNFPSISRYSSGLSFSTSESSKLPTSWSNIHQSYGVQPYGKSNTASLFLGRQPQDTFNLSYLPKMSSSAPSIPSQRSLTDMIAGAITSHPSFQSALAAAVTSYIGGARGAQGAREGSIYDLQRQEQLASPPTHAAAAISNGCTSSSLTILNSSNANQTQ >Ma08_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27389479:27389645:1 gene:Ma08_g17910 transcript:Ma08_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWPIEGKKKFETLSYLPHWWTMPC >Ma08_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4347033:4350269:-1 gene:Ma08_g06440 transcript:Ma08_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCRLPLPTSLPFKPFHTAAVSILEDHLTPASAANLSSHLSPPPESPASSVSNLTTLISATQSVGGAAQIHAHLAKCGLSFGPPFRHHLLTLYSRCRSPESARKLFDEFPDPDHISWSALISAYSNNGLGREALLSFRKMRCLGVRSNEFTLPSVLKACSVSSDFIAGTLVHAFVIVTGFESDVFVANTLVVMYANFGLLLDSKRLFDGITDRNSVSWNGLLAGCVRNERFEEAVSLFQEMVMDGMRPNEFGFSCILNACTGSQDLSCGRAVHGYLTRLGYHSDPFTTNALVDMYAKLGNAKAAAMVFGKIARPDIVSWNCFIAGCVLHGHDSRALALLVEMKASGMVPNVFTLSSVLKACAGTGMLDLGMQIHGNLIKAGSDSDSFVGVGLVDMYAKCNCLDDAMKAFYLIPEQDLISWNALISGCSHSGSDDEALACFSEMRREGLNFNRTTLSAVLKSTASLQAITVTKQVHGLATRAGFLSDPHVVNGLVDAYGKCSCLEEAGRVFEECQSGDVVAFTSLITAHSQSGQGEEAIKVFCEMLNQDLKPDSFVCSSLLNACASLSAYEQGKQIHVHVLKMGFMYDVFAGNALVNMYAKCGSVEDATLAFSEIPERGIVSWSAMIGGLAQHGHGKKALDLFSKMLDEGLSPNHITLTSVLCACNHAGLIDEAKQYFDLMEEMYGVQRTQEHYACMIDLLGRAGRLNEAMELVHRMPFEANASVWGALLGASRVHGNIELGKQAAEMLFALEPEKSGTHVLLANMYASASMWDNVAKVRRLMKDSRVKKEPAMSWVEMKDKIYTFIVGDRSHDRTAEIYAKLEELGDLMRKAGYVPMVETDLHDVEPGEKEVLLSHHSEKLAVAFALISTPAGVPIRVKKNLRVCRDCHTAFKFICKIVSTEIVLRDINRFHHFKDGACSCGDYW >Ma05_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7143704:7145558:-1 gene:Ma05_g09960 transcript:Ma05_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCRGVESVEVDVTQNQVTVKGIVDPRTLCSMIQKRTMRKAGVLCPLPPAEGDYKPEAVPSQASGMTTVELLVKMHCGACAEQAEDETTQDASYLLAAGTDLSAGKVTVTGTMNGEKLVEYIRRRTGKAASIVPQPPKEEQKEEAEKKPEETPAEGKKEEKQKEEKKEEVKSPQPQDSAGSNKDGDAFLGDYTMMMSSYVRRGRQAIARHMCVLCTISYLRFRVCLPKYILSPIYVIQQPPPPPPQLCSDENPNNARCISRNPPCTYVCL >Ma07_p14900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11182402:11187090:1 gene:Ma07_g14900 transcript:Ma07_t14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAADRFMGGGEGGGGEEQLLLHHPQMYYHVPQHSRREKLRFPAEESTPATSLLLLYGPNNAPPLYPPNSLTAFHPSFSSSSSSSSSSPSYSHNPALTYGVAQFDGHGPLPIPAQNHHQISSQGFSLSLSSSSPQAPASRHHLASRPAPLGPFTGYAAVLNRSRFLEPARKLLEEVCHVGHQAAGEGGSSREMLLDADPPRDSLVDHGGDGLPDHGMKEDDRPITGTEQQWKKTMLISMLDEVYRRYKQYYQQVQAVITSFESVAGLSTAAPYASMALKAMSKHFRSLKNIISDQLRQTNKGLRNEGISREEMSNFGLLDGSGYLHRTTNSTNTFAQPHVWRPQRGLPERAVSVLRSWLFEHFLHPYPTDVDKQNLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHSLEMRQKNKMSASDCDERQPPRPSSSKTSAFDPQPLQILSTQSHQYSMSKGIQEELTPMPNHIPEPVNFDTSDHHIGGGVGVAAGGSGVSLTLGLHQNNGVCFSEPLPLDVARRFGLDECSDTYLVGAFGDQERQFGKDVGSRLLHDFVG >Ma04_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7735113:7736434:-1 gene:Ma04_g10960 transcript:Ma04_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMENPPEFGRHARRSYSDGAGSSGAFSDCNSQCSASGNGASSLRRLLASDYYSDEEVRGLISDLGSPSVESRRRAAMELRLLAKHSTENRLRIARAGAVVPLVALLSHPDPQLQEHGVTAILNLSLCDENKGPIAAAGAVRYLVRALRSGTPAARENAACALLRLAQLDDLRAVIGRSGAIPPLVALLETGGSRGKKDAATALFTLLAAKENKARAVEAGVVRPLLDLMADPESEMVDKAAYVLHRLLSEPEGRASAVEEGGVPVLVEMVEVGSQRQKEVAMLSLLEICEESAAYRKMVIREGAIPPLIALSQSSSKKTKDKAEALIELLRRPTTKLMDYSQQRTTRGCSALPVDGDGLVQA >Ma04_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23627704:23650772:-1 gene:Ma04_g20970 transcript:Ma04_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRTTFTRSFYNAIDSCFKARSLGSFISPLEISRLTLCSVSLVSRDLTPDKYSVQDWPRQHTKTSKGKLFTFFPFAETWSCRTDGRTYSTTLPVSNQSITNLLSFGHTLSPWCLNAKYNYRTYSSHSGGKQDGVQSALENIASTEASKDGNTGAVGNDWVAILDRAREAVVDAAISTEKKVKDSYDNVTPYLQELYDSHPYLEKVIVPITGTVSATLLAWFVMPKIFRKLHSYATQSPVALLSGNSTKERVPYDKSLWSALEDPARYLITFVAFSQLGIMIAPTATEYLSQAWRGAVVLSFIWFLHRWKTNFFARALTTQAIGGLDRDKLLTLEKLSSVGLIVLGVMGFAESVGVPLQSIVTVGGIGGVATAFAARDILGNLFSGLSLQLSKPFGVGDNIKAGSVEGQVMEMGLTTTSLINSEKFPVIVPNSLFSSQVIVNKSRAQWRASLTKIPIRIDDIEKIPNISEEISSMLRAHPKVFLEKDAPYCYLSRIESYAELTLGCNLKSMRKDELFATEQDILLQAARIIRQHGAELGSTLNDCMKC >Ma04_p20970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23627704:23650772:-1 gene:Ma04_g20970 transcript:Ma04_t20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRTTFTRSFYNAIDSCFKARSLGSFISPLEISRLTLCSVSLVSRDLTPDKYSVQDWPRQHTKTISNQSITNLLSFGHTLSPWCLNAKYNYRTYSSHSGGKQDGVQSALENIASTEASKDGNTGAVGNDWVAILDRAREAVVDAAISTEKKVKDSYDNVTPYLQELYDSHPYLEKVIVPITGTVSATLLAWFVMPKIFRKLHSYATQSPVALLSGNSTKERVPYDKSLWSALEDPARYLITFVAFSQLGIMIAPTATEYLSQAWRGAVVLSFIWFLHRWKTNFFARALTTQAIGGLDRDKLLTLEKLSSVGLIVLGVMGFAESVGVPLQSIVTVGGIGGVATAFAARDILGNLFSGLSLQLSKPFGVGDNIKAGSVEGQVMEMGLTTTSLINSEKFPVIVPNSLFSSQVIVNKSRAQWRASLTKIPIRIDDIEKIPNISEEISSMLRAHPKVFLEKDAPYCYLSRIESYAELTLGCNLKSMRKDELFATEQDILLQAARIIRQHGAELGSTLNDCMKC >Ma02_p09770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19609613:19614175:-1 gene:Ma02_g09770 transcript:Ma02_t09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCNDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHVAEGPGNHIMGYIMGKVEGQGESWHGHVTAVTVSSEYRRQKLAKKLMHLLEDISDKIDKAYFVDLFVRASNMPAMKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVDKKSIIPLKRPITPDELEYD >Ma07_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6233088:6234890:-1 gene:Ma07_g08380 transcript:Ma07_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLPSFLLLCVACQLAAAFTDGLLPNGNFEQGPKPWQLKGTKVAGRDAIPRWQITGFVEYIQWGQKQGDMLLVVPEGSYAVRLGNDASIKQSVKVTKGVRYSLTFSAARTCAQEERLNVSASPESGVLPMQTMYSSNGWDSYAWAWLAKSDEVEVVIHNTGVSEDPACGPLIDSVAIKQLFPPRRTNTNLLKNGDFEEGPYILPNTTWGVLIPPQIEDDHSPLPGWMVESLKAVKYLDAEHFSVPRGRRAVELLAGKESAIAQVVRTVPGRRYALSFAVGDASNACEGSLLVEAFAGEHTIKVPYESKGRGGSKRAVLQFTATTARTRVVFWSSYYNTRSDDLSSLCGPVLDDVALVSVRYPKHA >Ma03_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10094000:10095344:-1 gene:Ma03_g13070 transcript:Ma03_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGIGTKLVERVELWCAARGAEYAYMATDPANSASLNLFTRRLSYSRFRSPVLLAHPVHSHLLPLSPSVAVLRLPPSAAIALYSRLLPPSAVEFLPSDLPTLLSHDLTLSTFLAIPSSSSASSVITTNAFSLSDLPSSFAIMSLWDSTRVLRLRVAGAPPAARAALALLRTVDARAPWMRVPSIRDIFRPFGVYIMYGLHMAGPEGPRLMRSLCRLAHNAAVADENCAAVVAELGRGDPVRAAVPHWKRFSCEEDVWCMKRLRGGGDDAIHGDDWLASPPTTDVIFVDPREF >Ma03_p13070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10094000:10096493:-1 gene:Ma03_g13070 transcript:Ma03_t13070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVREFDVEKDLKAVEEMESRCEVGPTDAGAGSNKKKKKRSMSLYVDLLGDPLCRVRHAPDHVMLVAEYGEKKEMVGVIRACIKMVTRGRTSSGFLPAYVRIAYILGLRVSPFHRRLGIGTKLVERVELWCAARGAEYAYMATDPANSASLNLFTRRLSYSRFRSPVLLAHPVHSHLLPLSPSVAVLRLPPSAAIALYSRLLPPSAVEFLPSDLPTLLSHDLTLSTFLAIPSSSSASSVITTNAFSLSDLPSSFAIMSLWDSTRVLRLRVAGAPPAARAALALLRTVDARAPWMRVPSIRDIFRPFGVYIMYGLHMAGPEGPRLMRSLCRLAHNAAVADENCAAVVAELGRGDPVRAAVPHWKRFSCEEDVWCMKRLRGGGDDAIHGDDWLASPPTTDVIFVDPREF >Ma09_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7058892:7064100:1 gene:Ma09_g10370 transcript:Ma09_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRESKYNSPLTSRMPLQPDNSMPLDNEDCYSVASTALSIRTLKGGTTVATATAPAFRCSERAEKRKEFYFKLEQKHQALEAEKIQSEARLREEQEAALRKLRKSLIFKANPMPSFYREGPPPKIELKKVPPTRAKSPNLTRRKSYGDAKTTEEDNCNGGCGRFHRHSLGTNREATKKLQNNPENIKGKEGLKSKSLAVTTNPASAGVTVQM >Ma06_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6678558:6678927:1 gene:Ma06_g09560 transcript:Ma06_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDQSLIYIFFMHQDTPRRYQMCMSGCFLMPWR >Ma07_p28970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34831936:34832385:1 gene:Ma07_g28970 transcript:Ma07_t28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMPVMLARAVLDRLEEPVTVEARPPPAPCATRSTWHSVETLVVVLAAITMAAVIAGVFARACGGRHLVGGGDRDVEGWVEQKCSSCLDGGVAPPPPPRSGEGKN >Ma05_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34356623:34357457:1 gene:Ma05_g22580 transcript:Ma05_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEPESPPLEHEREGESQKPQLPRQQSLLSPPHKVARLSSDEAAMVTMAAPSPLMLGLGLGLGTGPGASKPVITFMQLQELEHQALIYKYMAAGLPVPVHLVLPIWKSVAASSFGAYHYPSLMGYGSLCLDYRNSMEPEPGRCRRTDGKKWRCSRDVVPDQKYCERHMHRGRNRSRKPVEAAATSAAAASTPPSCPSRSRLVGGFCRPPTPPAAAAEP >Ma11_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5657748:5658946:1 gene:Ma11_g07050 transcript:Ma11_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSLMMTRSFGIWPPFPPPAEDRMGFMNPTLPLPPPFAAPGGAMPGRMNWKVKKVADKRKKAAGGGRREPSGGGGVSGYIPSTLHELQYQNRAKARRFFPKKKFARSAPFAPRNTTSFIIRAKKSGGIAPLVSPCPVTPAVLSTPKFSPTRDDLADMVKEEWGVDGYGSMKGLIRLRSPNRAGSGSGDENEEGSSETDVEEHLEVERRLDQDVSRFEMVFPEEEEQGMKTAADLLESRVEDQDAHIAQLEEENLTLKERLFLIESEMGDFRRRLQLLETRCREREEDNGVDNNKDDSNGGNTTEAAPENEEDGAESSGVRMLEGIENSRDRNIDWATLVYID >Ma04_p25810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27442442:27456119:1 gene:Ma04_g25810 transcript:Ma04_t25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYRNGGPKPTSKLDRLPPSTAYSTPKPSAKPRPSAAPVPRRGGSVAPAAASAGKAGGDAGVPGRVRVAVRLRPRNAEETIADADFADCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDILADTSPETDSVSVSYLQLYMESIQDLLVPANDNIAIIEDPKTGDVSLPGATSVDIRDQKSFMELLRLGEVHRFAANTKLNTESSRSHAILIVHVKRSLKGRHDADHGFPSENGTNSTLVKSFRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLRDSFGGSARTSLVVTIGPSPRHRGETASTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLMAENERQRKDFEDEIERIRTEADSRVAEVEKGYNNTLEAPTTSSSADIVEVRQLLENEKILRQSTEEVVMNLKCEISHWKRLEAAGNSEIVKLRKMLDSEANQKHKLEEEIAILRSQLLQLSFQADETSRSLDRGESGKALTGFDSLVPQARHPQLRDSANGPKASIAKLFEQVGLQKILSLLESEDHDVRVHAVKVVANLAAEEANQDKIVEAGGLTSLLMLLRNSEDETIRRVAAGAIANLAMNETNQELIMAQGGIVLLSMTAADAEDPQTLRMVAGAIANLCGNDKLQMKLSVEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRASTQGNKVGVSLLIEDGALPWIVKNANHDASPIRRHIELALCHLAQHEVNAKDMISEGALWELVRISRDCSREDIRMLAHRTLISSATLRTELRRLHIEF >Ma04_p25810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27442442:27456119:1 gene:Ma04_g25810 transcript:Ma04_t25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYRNGGPKPTSKLDRLPPSTAYSTPKPSAKPRPSAAPVPRRGGSVAPAAASAGKAGGDAGVPGRVRVAVRLRPRNAEETIADADFADCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDILADTSPETDSVSVSYLQLYMESIQDLLVPANDNIAIIEDPKTGDVSLPGATSVDIRDQKSFMELLRLGEVHRFAANTKLNTESSRSHAILIVHVKRSLKGRHDADHGFPSENGTNSTLVKSFRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLRDSFGGSARTSLVVTIGPSPRHRGETASTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLMAENERQRKDFEDEIERIRTEADSRVAEVEKGYNNTLENERLKYQQDNMDSIKKVEEKWMANVNRARVEHQSACLEKAPTTSSSADIVEVRQLLENEKILRQSTEEVVMNLKCEISHWKRLEAAGNSEIVKLRKMLDSEANQKHKLEEEIAILRSQLLQLSFQADETSRSLDRGESGKALTGFDSLVPQARHPQLRDSANGPKASIAKLFEQVGLQKILSLLESEDHDVRVHAVKVVANLAAEEANQDKIVEAGGLTSLLMLLRNSEDETIRRVAAGAIANLAMNETNQELIMAQGGIVLLSMTAADAEDPQTLRMVAGAIANLCGNDKLQMKLSVEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRASTQGNKVGVSLLIEDGALPWIVKNANHDASPIRRHIELALCHLAQHEVNAKDMISEGALWELVRISRDCSREDIRMLAHRTLISSATLRTELRRLHIEF >Ma10_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25386790:25393035:-1 gene:Ma10_g12180 transcript:Ma10_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSIPKRYPMGRKKKKHISIHEVAVFVPAVRVPVAVDLIRPLRGLVPQDLLDKLSALRGRIVLLTEENYLSSVPTVSELQRALEEYLRVLLGMLKPEHRLEASVEFKWKSLGDDGHETCLASAWYEVLSVVHMMAILSLLEANLMLVPKDSLDSCERKVSEDSKRVAIDLLLKASGCLEYCVHQILVHLPMHIRKSLPNDLQDGILESISSQALAQGVEMQLGLAIESEKATLSVKRRLACEEVSYFSEAHNWLSGCDTSDAYGKKLLLYIKWKYLEAKAAAYYYHGLIFDKGSELSNHISAVCCLFAADELLIASKRASLSFCLAAPVTRVPPTWGVMKHLHKKIPEVVSKKSQMAFQALPDLPEFPLSLRPDDYELPEIDAAWNSQNCQPQIQTLKAHLKDDEEDVGSH >Ma10_p12180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25386790:25393035:-1 gene:Ma10_g12180 transcript:Ma10_t12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSIPKRYPMGRKKKKHISIHEVAVFVPAVRVPVAVDLIRPLRGLVPQDLLDKLSALRGRIVLLTEENYLSSVPTVSELQRALEEYLRVLLGMLKPEHRLEASVEFKWKSLGDDGHETCLASAWYEVLSVVHMMAILSLLEANLMLVPKDSLDSCERKVSEDSKRVAIDLLLKASGCLEYCVHQILVHLPMHIRKSLPNDLQDGILESISSQALAQGVEMQLGLAIESEKATLSVKRRLACEEVSYFSEAHNWLSGCDTSDAYGKKLLLYIKWKYLEAKAAAYYYHGLIFDKGSELSNHISAVCCLFAADELLIASKRASLSFCLAAPVTRVPPTWGVMKHLHKKIPEVVSKKSQMYGYLFEQDKNEAFQALPDLPEFPLSLRPDDYELPEIDAAWNSQNCQPQIQTLKAHLKDDEEDVGSH >Ma10_p13590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26331625:26346661:-1 gene:Ma10_g13590 transcript:Ma10_t13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) UniProtKB/Swiss-Prot;Acc:Q8VYR2] MSVNPLRHFSSAKLRTLLPVHPRRKIMSVSTGSGAAGATDPTDPGTTFKLLLSCPSGLPASRVFVKFDPSYDRIPYPDANLEESINEIWSQRIRQNPSLYNGIKFRYGGHAIEYVDGSGQISSVCLHMGLTDYRTFVGTNLSPLWERFLVPSTVDSLRCQHTSSPLGNGAIVETSDGKILVLQRSYNVGEFPGYFVFPGGHSEPEEIGISAHLTDKGQTESELLNHKVSMEMFDGIIREVVEEIGLPANSLTDPLFIGISCRVVNVRPTAFFFLKCNLEAKEVCKLYSSAQDGYESTQIFTVLRDDLKQMAVKMPGCHRGGYALYKLMMEATKDS >Ma10_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26331625:26346661:-1 gene:Ma10_g13590 transcript:Ma10_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) UniProtKB/Swiss-Prot;Acc:Q8VYR2] MSVNPLRHFSSAKLRTLLPVHPRRKIMSVSTGSGAAGATDPTDPGTTFKLLLSCPSGLPASRVFVKFDPSYDRIPYPDANLEESINEIWSQRIRQNPSLYNGIKFRYGGHAIEYVDGSGQISSVCLHMGLTDYRTFVGTNLSPLWERFLVPSTVDSLRCQHTSSPLGNGAIVETSDGKILVLQRSYNVGEFPGYFVFPGGHSEPEEIGISAHLTDKGQTESELLNHKVSMEMFDGIIREVVEEIGLPANSLTDPLFIGISCRVVNVRPTAFFFLKCNLEAKEVCKLYSSAQDGYESTQIFTVLRDDLKQMAVKMPGCHRGGYALYKLMMEATKDS >Ma05_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36890056:36890163:-1 gene:Ma05_g24680 transcript:Ma05_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQQQSSGLIGNPRTSSPAILPASFVAWRCALLK >Ma02_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23565996:23566739:-1 gene:Ma02_g16080 transcript:Ma02_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILSEIFLSGFMISSTLRRRTQMVQSFSVVFLYWFYVFS >Ma05_p24170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36455562:36463047:-1 gene:Ma05_g24170 transcript:Ma05_t24170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPQLVLFNSMSKQKEVFKTRVEGQVSMYVCGITPYDFSHIGHARAYVAFDILYRYLKHLGYEVKYVRNFTDIDDKIIKRANESGEDPLSLSRRFSEAFLQDVAELRCLPPTHEPRVSDHIEQIKDMITKIMKNGYGYTMEGDVYFSIDNFHDYCQLSGRKLDDNRAGGGGRVSVDLRKQNPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAQYLGDAFDIHGGGKDLIFPHHENELAQSRAACPEHKVSYWMHNGFVNKDNQKMSKSDDNFFTIRDIIARYHPLALRFFLMRTHYRSDVNYSDRQLETASDRVFYIYQTLYECQQALSPFRQENIQGQVPADIKELIDKFHSDFSASMSDDLHTAAVLDDLMEPLKAINSNLKKFKGKKQQRPLILTLLALEKEVADVLGILGLLSGSSCAEVLQQLKDKALSRAGLTEEQVLQLIEDRNLARKNKEYETSDKIRKELYDKGIALMDEPKGTVWRPSEPPE >Ma05_p24170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36455562:36463047:-1 gene:Ma05_g24170 transcript:Ma05_t24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPQLVLFNSMSKQKEVFKTRVEGQVSMYVCGITPYDFSHIGHARAYVAFDILYRYLKHLGYEVKYVRNFTDIDDKIIKRANESGEDPLSLSRRFSEAFLQDVAELRCLPPTHEPRVSDHIEQIKDMITKIMKNGYGYTMEGDVYFSIDNFHDYCQLSGRKLDDNRAGGGGRVSVDLRKQNPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAQYLGDAFDIHGGGKDLIFPHHENELAQSRAACPEHKVSYWMHNGFVNKDNQKMSKSDDNFFTIRDIIARYHPLALRFFLMRTHYRSDVNYSDRQLETASDRVFYIYQTLYECQQALSPFRQENIQGQVPADIKELIDKFHSDFSASMSDDLHTAAVLDDLMEPLKAINSNLKKFKGKKQQRPLILTLLALEKEVADVLGILGLLSGSSCAEVLQQLKDKALSRAGLTEEQVLQLIEDRNLARKNKEYETSDKIRKELYDKGIALMDEPKGTVWRPSEPPE >Ma05_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36455562:36463047:-1 gene:Ma05_g24170 transcript:Ma05_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPQLVLFNSMSKQKEVFKTRVEGQVSMYVCGITPYDFSHIGHARAYVAFDILYRYLKHLGYEVKYVRNFTDIDDKIIKRANESGEDPLSLSRRFSEAFLQDVAELRCLPPTHEPRVSDHIEQIKDMITKIMKNGYGYTMEGDVYFSIDNFHDYCQLSGRKLDDNRAGGGGRVSVDLRKQNPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAQYLGDAFDIHGGGKDLIFPHHENELAQSRAACPEHKVSYWMHNGFVNKDNQKMSKSDDNFFTIRDIIARYHPLALRFFLMRTHYRSDVNYSDRQLETASDRVFYIYQTLYECQQALSPFRQENIQGQVPADIKELIDKFHSDFSASMSDDLHTAAVLDDLMEPLKAINSNLKKFKGKKQQRPLILTLLALEKEVADVLGILGLLSGSSCAEVLQQLKDKALSRAGLTEEQVLQLIEDRNLARKNKEYETSDKIRKELYDKGIALMDEPKGTVWRPSEPPE >Ma05_p24170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36455554:36463047:-1 gene:Ma05_g24170 transcript:Ma05_t24170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPQLVLFNSMSKQKEVFKTRVEGQVSMYVCGITPYDFSHIGHARAYVAFDILYRYLKHLGYEVKYVRNFTDIDDKIIKRANESGEDPLSLSRRFSEAFLQDVAELRCLPPTHEPRVSDHIEQIKDMITKIMKNGYGYTMEGDVYFSIDNFHDYCQLSGRKLDDNRAGGGGRVSVDLRKQNPADFALWKAAKPGEPSWDSPWGPGRPGWHIECSAMSAQYLGDAFDIHGGGKDLIFPHHENELAQSRAACPEHKVSYWMHNGFVNKDNQKMSKSDDNFFTIRDIIARYHPLALRFFLMRTHYRSDVNYSDRQLETASDRVFYIYQTLYECQQALSPFRQENIQGQVPADIKELIDKFHSDFSASMSDDLHTAAVLDDLMEPLKAINSNLKKFKGKKQQRPLILTLLALEKEVADVLGILGLLSGSSCAEVLQQLKDKALSRAGLTEEQVLQLIEDRNLARKNKEYETSDKIRKELYDKGIALMDEPKGTVWRPSEPPE >Ma02_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15314416:15325640:-1 gene:Ma02_g03870 transcript:Ma02_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKIPSLLLFFPIQNPNLKPYRRLLPLDLSSRSTRSLEVQCAKRAGKQRYPSEKKKLQRITRTLRESDVKNREDGFWRLSMLGVPAHKDPGKDFTGVSLPLLEAIAKVLKFPVAAMLPQEAFSVVRKSFDARKVLKEAEFVYTVDMDIKKLLNLEPRTWDFVARLEPKLGVVEYMSTAKQPSDLVSILHDSRQVQDEVVQEDMFETQNKSLNKVLPKRPKIAVIGSGPSGLFASLVLGELGAEVTLIERGQPVEKRGRDIGALVVRRVLQSESNFCFGEGGAGTWSDGKLVTRIGKNSNGVQAVMKTLVQFGAPANILVDGKPHLGTDRLVPLLRNFRQHLKGLGVDIKFSTRLNDLIVENRQVVGVQVSDASHSPDFDNQELLCDGVVLAVGHSARDVYQMLLQHDVELVPKDFAVGLRIEHPQELINRIQYSALATEVCNGRGRVPVADYKVVKYVNGDHDGTTGIGDSFRSCYSFCMCPGGQVVLTSTNPLELCINGMSFSKRASRWANSALVVTVSSNDFDSFRAHGPLAGLEFQREFERRAAVMGGGNFVVPAQTVPDFLDNKLSETPLPPSSYRLGVHASKLHELFPSRITEALQQSIVMFDKELPGFISKDALLHGVETRTSSPVQVSRHADTYESTSLGRLYPIGEGAGYAGGIVSAAVDGMYCGFAVAKTLGLYRGDIESVLGRAHQNTGFVKY >Ma08_p26330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38909001:38916199:1 gene:Ma08_g26330 transcript:Ma08_t26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLKASGASSGSGDALHGLKFGQKIYFEDGGGGCGSSSKPSPASAKDAAAPPPPGRKGKGVAQGGQQQPPRCQVEGCNADLTGAKAYYCRHKVCGMHSKAPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGPFGTRYGRIASSFHDPNRFRSFLVDFSYPNFSCAARDVWPTARTGDRMANNEWRGVLDAPSHAAALHGTHPCFQGPPVGAFCSPMELPPGECLAGVSDSSCALSLLSTDPWSSNSAGNRSPVIPASSTFDGQPTTHSVIPSNYFNSSWGTRGHGARISSHEIQHEMGLAGGTTNVSDAHFTGQVEFAPQENGHCLDHGSDRAYDHSGPGMQWSL >Ma08_p26330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38909001:38916199:1 gene:Ma08_g26330 transcript:Ma08_t26330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLKASGASSGSGDALHGLKFGQKIYFEDGGGGCGSSSKPSPASAKDAAAPPPPGRKGKGVAQGGQQQPPRCQVEGCNADLTGAKAYYCRHKVCGMHSKAPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGPFGTRYGRIASSFHEDPNRFRSFLVDFSYPNFSCAARDVWPTARTGDRMANNEWRGVLDAPSHAAALHGTHPCFQGPPVGAFCSPMELPPGECLAGVSDSSCALSLLSTDPWSSNSAGNRSPVIPASSTFDGQPTTHSVIPSNYFNSSWGTRGHGARISSHEIQHEMGLAGGTTNVSDAHFTGQVEFAPQENGHCLDHGSDRAYDHSGPGMQWSL >Ma10_p23340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32334070:32335657:1 gene:Ma10_g23340 transcript:Ma10_t23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDRAFSISDEGITMDGAYVVHHRPPVKEIALAVGLLVLGALGVVLGIIMAANEVGGDRTHGVLFAILGSMLFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >Ma03_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17526658:17526813:-1 gene:Ma03_g15940 transcript:Ma03_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSLWSQTLQSDTTSHGLKRIRVNDTRSLLISISFLLRFLDLGAFSFSC >Ma03_p27940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31165433:31167318:-1 gene:Ma03_g27940 transcript:Ma03_t27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKIVKLRSSDGILFEVDEGVARQYSQSIGNLIEDDCATGVIPIFNVTCRILRMLLEYMENHANATNNGVFGGPGPVLAHRLKKRDAEFINVDNDTLFDLLEAADYFNVSSILELIVKTIAGKMNDNDEEIKRNKVPESRAKD >Ma06_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1542216:1547978:-1 gene:Ma06_g01870 transcript:Ma06_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGSPKEKRTTGASSASTSSKAPREAAPPATALVPPEWSASFQAFYDRGAAAAAVMPQAFYSPSPIATAQPVVWGAQQVMPPYGSPIAYASFYPQGGFYAQPPINAGVAFRTRETEGRPTEAKDNQPTSKRTSKILEGSPGKSGNDGKRASGAGENASQSDDSETDGSSDTKEDYDQPKEHSPNRKRSYGNTTEGEGSHSFDTAGNSGTAGRVRTAKKLPVSAPGRAALRGPPTNLNIGMDFWGASPAGSVPSKDERDLRRERRKQSNRESARRSRLRKQQECEELARRVTDLNNENSALRVELENLQKLCGELEAENKSITGELKQRYGPEFLSELSSSIDASKLQSDVASS >Ma04_p35910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34466672:34470037:1 gene:Ma04_g35910 transcript:Ma04_t35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLEKSLFLFLFISSFALDTARGFEPNSQREADRVTALPGQPEVEFRHYSGYVRISDDKALFYWFFEAKRKPEEKPLILWLNGGPGCSSVAYGAAQELGPFLVRSNAPNLTLNPYSWNKVANLLFLEAPVGVGFSYTNRSSDLEEVGDRITAQDSHSFLLDWFNKFPNLKSHEFFIAGESYAGHYVPQLAELIHEGNKKASKDSYINLRGFMIGNAVLNDATDQLGMVEYAWSHAIISDELHASLRRECNSFEGAGEGKACLPAVKAFLRAFQDIDMYSIYSPVCLSSLSKSPRSSKLVAAPHLFSQHETWHGMRRATAGYDPCTEDYVKRYFNREDVQLALHANVTHLSYPYSSCSEIIRRWNDSPPTVLPILKKLMKAGLRVWVYSGDTDARVPVTSTRYSVNEMRLRQKGGERKKRWGGWRAWYYREEVAGWVVEYEEGLTLATVRGAGHQVPLFAPDRALALLSHFLGDQSLASSRFG >Ma09_p29690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40078234:40083255:1 gene:Ma09_g29690 transcript:Ma09_t29690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAGEELLIIHRTFSFNLRSLPIVQEIGDFFSRIISQPPDLVEHQRASVQSPSLVDDQSPALTIEEPYKISVREWLSKDNKHDDYKDNNEPPAVFNVLDTVLVHTMERMKKLRESITIVGRCSLLEGIANVVCSEDSRRADNSDFVLIRVLCTEGKVLAAFQLHNELYRSSVALDLHTYNFLVNGLCKIGSLSEATWLLEVMNNMCAFPNHVTYNTLVDGYCRWGSVDRALSLVSSMAESGVRPNVVTCNILVHAFCSRGLLENAKKLLADILNEHESANLVTSTILMDGFFEKGDADQAINMWNEMLKRGIEVDRIAYNVLVNGFCLMHNTKLAQRYFSEMFKKGFLPDVVTFNSLINGLSKNGNMDEACDMYDKMSLSGLLADQISYNLIIRGLCQQGDISKAVEFLHKMLSHSIVPEPRIWNLIMNGYGRVGDTNSAYSIRNMMTATGVVPNTFTFNALIHAEVKGGKIVHALMLKQELLDSGLFPDVVTYNLLISGACRIGHTFFAREMLLEMLNRGYQPDIITYTELIRCLCLKGKWQQAQEILNKVQESGLGIDHVPFHILIKLYSKMGKVMEALELYEEMTTKGILGRYSMYNSLFIALRKKGYLLEASQVYKQMDKLLQCRRKEDG >Ma09_p29690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40078234:40084367:1 gene:Ma09_g29690 transcript:Ma09_t29690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAGEELLIIHRTFSFNLRSLPIVQEIGDFFSRIISQPPDLVEHQRASVQSPSLVDDQSPALTIEEPYKISVREWLSKDNKHDDYKDNNEPPAVFNVLDTVLVHTMERMKKLRESITIVGRCSLLEGIANVVCSEDSRRADNSDFVLIRVLCTEGKVLAAFQLHNELYRSSVALDLHTYNFLVNGLCKIGSLSEATWLLEVMNNMCAFPNHVTYNTLVDGYCRWGSVDRALSLVSSMAESGVRPNVVTCNILVHAFCSRGLLENAKKLLADILNEHESANLVTSTILMDGFFEKGDADQAINMWNEMLKRGIEVDRIAYNVLVNGFCLMHNTKLAQRYFSEMFKKGFLPDVVTFNSLINGLSKNGNMDEACDMYDKMSLSGLLADQISYNLIIRGLCQQGDISKAVEFLHKMLSHSIVPEPRIWNLIMNGYGRVGDTNSAYSIRNMMTATGVVPNTFTFNALIHAEVKGGKIVHALMLKQELLDSGLFPDVVTYNLLISGACRIGHTFFAREMLLEMLNRGYQPDIITYTELIRCLCLKGKWQQAQEILNKVQESGLGIDHVPFHILIKLYSKMGKVMEALELYEEMTTKGILGRYSMYNSLFIALRKKGYLLEASQVYKQMDKLLQCRRKEDG >Ma09_p29690.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40078234:40083255:1 gene:Ma09_g29690 transcript:Ma09_t29690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAGEELLIIHRTFSFNLRSLPIVQEIGDFFSRIISQPPDLVEHQRASVQSPSLVDDQSPALTIEEPYKISVREWLSKDNKHDDYKDNNEPPAVFNVLDTVLVHTMERMKKLRESITIVGRCSLLEGIANVVCSEDSRRADNSDFVLIRVLCTEGKVLAAFQLHNELYRSSVALDLHTYNFLVNGLCKIGSLSEATWLLEVMNNMCAFPNHVTYNTLVDGYCRWGSVDRALSLVSSMAESGVRPNVVTCNILVHAFCSRGLLENAKKLLADILNEHESANLVTSTILMDGFFEKGDADQAINMWNEMLKRGIEVDRIAYNVLVNGFCLMHNTKLAQRYFSEMFKKGFLPDVVTFNSLINGLSKNGNMDEACDMYDKMSLSGLLADQISYNLIIRGLCQQGDISKAVEFLHKMLSHSIVPEPRIWNLIMNGYGRVGDTNSAYSIRNMMTATGVVPNTFTFNALIHAEVKGGKIVHALMLKQELLDSGLFPDVVTYNLLISGACRIGHTFFAREMLLEMLNRGYQPDIITYTELIRCLCLKGKWQQAQEILNKVQESGLGIDHVPFHILIKLYSKMGKVMEALELYEEMTTKGILGRYSMYNSLFIALRKKGYLLEASQVYKQMDKLLQCRRKEDG >Ma09_p29690.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40079134:40083255:1 gene:Ma09_g29690 transcript:Ma09_t29690.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAGEELLIIHRTFSFNLRSLPIVQEIGDFFSRIISQPPDLVEHQRASVQSPSLVDDQSPALTIEEPYKISVREWLSKDNKHDDYKDNNEPPAVFNVLDTVLVHTMERMKKLRESITIVGRCSLLEGIANVVCSEDSRRADNSDFVLIRVLCTEGKVLAAFQLHNELYRSSVALDLHTYNFLVNGLCKIGSLSEATWLLEVMNNMCAFPNHVTYNTLVDGYCRWGSVDRALSLVSSMAESGVRPNVVTCNILVHAFCSRGLLENAKKLLADILNEHESANLVTSTILMDGFFEKGDADQAINMWNEMLKRGIEVDRIAYNVLVNGFCLMHNTKLAQRYFSEMFKKGFLPDVVTFNSLINGLSKNGNMDEACDMYDKMSLSGLLADQISYNLIIRGLCQQGDISKAVEFLHKMLSHSIVPEPRIWNLIMNGYGRVGDTNSAYSIRNMMTATGVVPNTFTFNALIHAEVKGGKIVHALMLKQELLDSGLFPDVVTYNLLISGACRIGHTFFAREMLLEMLNRGYQPDIITYTELIRCLCLKGKWQQAQEILNKVQESGLGIDHVPFHILIKLYSKMGKVMEALELYEEMTTKGILGRYSMYNSLFIALRKKGYLLEASQVYKQMDKLLQCRRKEDG >Ma09_p29690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40078234:40084367:1 gene:Ma09_g29690 transcript:Ma09_t29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAGEELLIIHRTFSFNLRSLPIVQEIGDFFSRIISQPPDLVEHQRASVQSPSLVDDQSPALTIEEPYKISVREWLSKDNKHDDYKDNNEPPAVFNVLDTVLVHTMERMKKLRESITIVGRCSLLEGIANVVCSEDSRRADNSDFVLIRVLCTEGKVLAAFQLHNELYRSSVALDLHTYNFLVNGLCKIGSLSEATWLLEVMNNMCAFPNHVTYNTLVDGYCRWGSVDRALSLVSSMAESGVRPNVVTCNILVHAFCSRGLLENAKKLLADILNEHESANLVTSTILMDGFFEKGDADQAINMWNEMLKRGIEVDRIAYNVLVNGFCLMHNTKLAQRYFSEMFKKGFLPDVVTFNSLINGLSKNGNMDEACDMYDKMSLSGLLADQISYNLIIRGLCQQGDISKAVEFLHKMLSHSIVPEPRIWNLIMNGYGRVGDTNSAYSIRNMMTATGVVPNTFTFNALIHAEVKGGKIVHALMLKQELLDSGLFPDVVTYNLLISGACRIGHTFFAREMLLEMLNRGYQPDIITYTELIRCLCLKGKWQQAQEILNKVQESGLGIDHVPFHILIKLYSKMGKVMEALELYEEMTTKGILGRYSMYNSLFIALRKKGYLLEASQVYKQMDKLLQCRRKEDG >Ma09_p29690.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40078234:40083255:1 gene:Ma09_g29690 transcript:Ma09_t29690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAGEELLIIHRTFSFNLRSLPIVQEIGDFFSRIISQPPDLVEHQRASVQSPSLVDDQSPALTIEEPYKISVREWLSKDNKHDDYKDNNEPPAVFNVLDTVLVHTMERMKKLRESITIVGRCSLLEGIANVVCSEDSRRADNSDFVLIRVLCTEGKVLAAFQLHNELYRSSVALDLHTYNFLVNGLCKIGSLSEATWLLEVMNNMCAFPNHVTYNTLVDGYCRWGSVDRALSLVSSMAESGVRPNVVTCNILVHAFCSRGLLENAKKLLADILNEHESANLVTSTILMDGFFEKGDADQAINMWNEMLKRGIEVDRIAYNVLVNGFCLMHNTKLAQRYFSEMFKKGFLPDVVTFNSLINGLSKNGNMDEACDMYDKMSLSGLLADQISYNLIIRGLCQQGDISKAVEFLHKMLSHSIVPEPRIWNLIMNGYGRVGDTNSAYSIRNMMTATGVVPNTFTFNALIHAEVKGGKIVHALMLKQELLDSGLFPDVVTYNLLISGACRIGHTFFAREMLLEMLNRGYQPDIITYTELIRCLCLKGKWQQAQEILNKVQESGLGIDHVPFHILIKLYSKMGKVMEALELYEEMTTKGILGRYSMYNSLFIALRKKGYLLEASQVYKQMDKLLQCRRKEDG >Ma09_p29690.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40078234:40082620:1 gene:Ma09_g29690 transcript:Ma09_t29690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAGEELLIIHRTFSFNLRSLPIVQEIGDFFSRIISQPPDLVEHQRASVQSPSLVDDQSPALTIEEPYKISVREWLSKDNKHDDYKDNNEPPAVFNVLDTVLVHTMERMKKLRESITIVGRCSLLEGIANVVCSEDSRRADNSDFVLIRVLCTEGKVLAAFQLHNELYRSSVALDLHTYNFLVNGLCKIGSLSEATWLLEVMNNMCAFPNHVTYNTLVDGYCRWGSVDRALSLVSSMAESGVRPNVVTCNILVHAFCSRGLLENAKKLLADILNEHESANLVTSTILMDGFFEKGDADQAINMWNEMLKRGIEVDRIAYNVLVNGFCLMHNTKLAQRYFSEMFKKGFLPDVVTFNSLINGLSKNGNMDEACDMYDKMSLSGLLADQISYNLIIRGLCQQGDISKAVEFLHKMLSHSIVPEPRIWNLIMNGYGRVGDTNSAYSIRNMMTATGVVPNTFTFNALIHAEVKGGKIVHALMLKQELLDSGLFPDVVTYNLLISGACRIGHTFFAREMLLEMLNRGYQPDIITYTELIRCLCLKGKWQQAQEILNKVQESGLGIDHVPFHILIKLYSKMGKVMEALELYEEMTTKGILGRYSMYNSLFIALRKKGYLLEASQVYKQMDKLLQCRRKEDG >Ma09_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7133503:7139509:1 gene:Ma09_g10490 transcript:Ma09_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) UniProtKB/Swiss-Prot;Acc:Q9ZQA8] MALYVGDLADDVAEGQLVGLFSAVGELASVRICKDSVTGRSLGYGYVNYISPHDAMVALEKLNHTPLNGKPIRVMWSVRHREARNTVIGNLFVKNLSNSVDNGKLYEMFSKFGTILSCKVATDEGGKSKGFGFVQFDSQESANSAIENLHDSFFDGKTIYVANFIRKSERVSISPEANFTNLYMKNLDQDITEELIKLKFSEFGKILSVYIAKDDYGNSKGFGFVNFESSDCAKRAMEAMNGVQLGTNTLYVARAQKKAERMQVLRRLYEEKRNEQIRKYMASNVYVKNIDDAVDNNALRERFSEFGNITSAKVMLDEKGRSKGFGFVCYSTPEEATKAVNSLRGSMFYGKPLYVAIAQRKEERQAQLQMMYAQRMAGSPAAVLPTGYGPLYYASSGVVSQVPPRQGVMYQPFGIRPGWRPNGFVAPSRPIFQSMPLPAMSNTSRQHRQNRGRMNGNVLPQSGQTNIYMPHLQQANHSVNTAKDLIGQQRSGQAKYVPNGHQHEINNASLTSSGSNSQGTEMLSSMLAAATPQQQKQILGERLFPLVEKLKFDLAAKITGMLLEMDNSELLLLLESPESLAAKVEEAVQVLKLSNATVGGQENIHSNYLTSNVTVN >Ma04_p36280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34721057:34721773:-1 gene:Ma04_g36280 transcript:Ma04_t36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYKSFTPAVAANGCLTKCGSIDVFFPFGLEKGCYRDDSFALTCNTTSNPPTLHLEDYFTVTNISLEEGQLEIEEKYGSIYMGNRTGTFVVLKQQTIVSWLTTMPGCRDTVVNAQKVTKEILTFVMDAKVLR >Ma10_p25710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33717637:33718474:-1 gene:Ma10_g25710 transcript:Ma10_t25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVSVAEPVGFLFLHNTLNWIIMMLEKFSLYVPFSACVSMLLDAQPLKCKTSHPGDEDIGLSSEDMEVVMDSVGLRRNHEGEHLKEETASDEISSLFEEREPSLEEVKAAFHVFDENNDGYIDAGELQSVLLKLGFTEGLELDACMSMITIYDENHDGKIGFTEFVKLMEISFC >Ma06_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8963880:8965912:-1 gene:Ma06_g13050 transcript:Ma06_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLVLVFLSAALAGFFAWKSIRSPPPSPISDDSQEVGASLGDSSIKGRILGAGKVIENGYWVFLDMASGRYLWRAMKESKFYRLQRFVTCTFVYPQ >Ma08_p20050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33895347:33910731:1 gene:Ma08_g20050 transcript:Ma08_t20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNPIPASVTHGNLDEQIAQLMQCKPLSEQEVRMLCEKAKEVLMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSIRSFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASIMEVDECKGQTFIQVIILVIFCLSQQTQFNGSSIVLSLNICLL >Ma11_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27084398:27084763:1 gene:Ma11_g23890 transcript:Ma11_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGDSEAASDPVAEDDDDDDDDDMESCCCIDDDDAARASSCFSEGESTDHDGGREEEAQDEEGDGDDGAPAEDCSTLTRSSSGAEEEERVVDPGEENRLFWETCLASGYP >Ma07_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13057520:13061245:1 gene:Ma07_g16270 transcript:Ma07_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g31850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G31850) UniProtKB/Swiss-Prot;Acc:Q9SZ52] MDASLASAVAPRCCSTSSFPPNGKPVAFRKCPFRNPNPCSHHWKKQKRLRFVRPRIGVRSAEGVAEKGELDVSVSSANVVDVLRSISDPIQALSFFKSVAQRSVVVHTTESFDYMLDFLRVHGRVEDMALVFDLMQRQIVKRSPDTFLIIFKALGVRGGLRSAPFGLWKMREAGFVMNAFSYNGLIYFLLRSDSGREALEVYKRMILEGMTPSLRTYSALMVALGKRRETETVMGLLAEMEGLGLRPNVYTFTICIRVLGQAGRIAEAFGLLGRMEQQGCRPDVVTYTVLIEVLCEAGRLDESKKLFWKMKASDQKPDRVTYITLLDKFGNIGDLHSVQEFWEEMDKDGYHADVVVFTMMINALYKVGRIEEASYMLDVMAEKGVLPNLHTYNTIIGGLLRGNRMDDAQELFNHMDVHGPMPTAYTYILFIDHYGKSGEFEKSFQTYEIMKSKGVVPDIVACNACLYGLAESGRLERAKEVFHELMAVGISPDTITYNMMIKCCNKAGRVDEALKMFSEMRQRGCYPDEITVNSLIDALYKAGRVDEAWNVFHGMKAMNLVPTVVTYNTLLAGLGKEGRVKKAMDLFQDMSRHNCPPNIVTYNTMLDCLSKNGETDCALNMLYGMTEKDCLPDQLSYNTVIYGLVKEDTVSEAIWLYHQMRKVLFPDFVTLCSILPILLRNQMLQDAVYITNTYIFQPDAQTDRFSWVALMEGILNEAGIDESVKFAERICSNGTFQNDYLLCPLIKFLCEYKNAWDAYNLFEAFKGYGISPTIEAYNPLINGLLETNLVEVAEGLFAEMKNVGCSPDVNTYNAFLDAYGKSSRIEGLFKLQEEMLSRGCTPNNITYNTIISGLVKSKMLDQAIDMYYDLMSEDFSPTPCTYGPLIDGLLKSGRVTQAESLFNEMVEYGCKPNCAIYNILINGFGKAGEVVKALQTFERMVKEGIRPDVKSYTILINTLYMAGRAEDALFYFEELRVTGLEPDLITYNLMINGLGKTQRLQEAVALFDEMQDRGIFPDLYTYNSLILNFGKAGMVAEAGKMYEELQIKGFRPNVFTYNALIRGYSTSGDADHAYAVYKKMLVGGCNPNSGTFAQLPNQS >Ma06_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10220034:10220760:-1 gene:Ma06_g15010 transcript:Ma06_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRSALVGLLWLTILLLLIHGWSHLRSRRRSSPSSVPRKLLVSYKFDPSAFTHTSHYRHHHRHRPHHHHRKQTTHSSADQPGGDEIDPRYGAEKRVVPTGPNPLHH >Ma06_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9233376:9236030:1 gene:Ma06_g13460 transcript:Ma06_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLLSTSTPLLLPSPRRSVATPSLRYPPSLAFPKPFRSPNLWRPSSSNCLRFFGRTHDRGTSIGGAEPESPIPQEAPFGEDSAAFDPGQQKLSSWAYFTAILGAVLVALDVLWISPSTGFGTVYIDAVSRLSERPEVVLLMLIFIFAIVHSGLASLRDDGEKLIGERVYRVLFAGVSLPLAVSAVVYFINHRYDGTQLWQLQNVFGLHELVWFSSFVSFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQVIWCLAHTLWIGNTVAVATSVGLIAHHIYGVWNGDRRLAVRYGEAFEVLKSRTSVIPFAAILDGRQKLPKDYYKEFIRLPYLTITILTLGTYLAHPLMQAFSFRLHW >Ma05_p30710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40990158:40991814:-1 gene:Ma05_g30710 transcript:Ma05_t30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGRMEPPPETSPERTKASKETRPRRVPVVYYLSRNGQLEHPHFMEVTLSSCRGLFLRDVIDRLNFLRGKGMANLYSWSSKRSYKNGFVWQDLVEDDLIHPAHGHEYVLKGSELLLIPVPSSSSLDAKAAFYASNKPLHVSTFVHDDLETLQIRNKRATWSSFDLNEYKVYKTDPVAETGVRAADASTQTDDWRCRQREDAAAGNDRDRAEPPTTELEREDISPPPSSSSPEILEMLIKADGRAVAAGPEDQNRPTESYSGGRLRASSVLMHLLSCGSINVKDRHGISVAAPLPRCTDRASPRGRSSFGGAKETVDLMAGNGSARIGPEDKDHTRRELDRDDEEGTLAVLSSMP >Ma08_p32340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43042172:43045346:-1 gene:Ma08_g32340 transcript:Ma08_t32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQAPSLPEAYVRNQDKSELEKSIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLLLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVGANIVYMVTGGKSLKKFHDVVCRDCKSIKLTYFIMISASAHFVLSQLPNFNSISGVSLAAAVMSFSYSTIAWGASVDKGKQENVEYGYKSASTSGTVFNFLNALGNVAFAYAGHNVVLEIQATIPSTPQKPSKKSMWRGVIIAYIIVALCYFPVALVGYWAFGNAVDDDILITLGKPRWLIAMANMMVVVHLMGSYQIYAMPVFDMIETVLVKKLHFPPGLSLRLIARSVYVAFTMFIGITFPFFSGLLGFFGGFANAPTTYFLPCIIWLAIYNPRRFSLSWITNWICIILGVLLMILSSIGGLRQIIIDAKTYYFYS >Ma01_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5519192:5528421:-1 gene:Ma01_g07610 transcript:Ma01_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSQSPESLLAVEEAVSRGGESDAGSDRGEDAVADVSGNTWEVSLFEQRPPDVSADGLYVYHNMFHLVPREIGRLGRLKTLKFFANEIEVLPPEAGDLVELERLQVKVSSPGIAGLPFRKLKSLRELELCKVPPRLAAFSILSDIAGLRCLTKLSICHFSIRYLPPEIGNLKKLEELDLSFNKLKNLPDDIAKLGSLKSLKVANNKLVDVPSRISSMSGLEKLDLSNNRLTSLTPLRLASMVALQDLDLQYNKLPNDCQIPSWIKCNLEGNVESNAKDEISKSSVAVDMHDGAVHRTRWIHSCNGYHGASSCLHSEAPPSFRCHATKMKRKGWKRYTYLQQRIRQERLNHSRKWKGDHDHNMTVKMVEEDENSSLLELENSQSGLQISVEGTSVLDDSSQLDVLHNDLSSVIDSDGCCLAKDSAPQILHDSAERNKVGSNKNISEDLSSSVTSNSSSLNKDYDFESEGEDNDCSLNPVTAIDVPDEHSSCEASKFILQSKRHSDKDLDNPKPSKFRKPVEDFSDLSCKYSIQSHCSVDDHIPDGFYDAGRHQPFRSLQDFEQNLCLDSREVILLDRHKDEELEAIIFSAQLLMSSFKRSCSNGREENLVDNFLRASVLALFVSDCFGGSERSASVMKMRRSILGLHKQQPFVCTCPSRDIFEKSNAFKRVHDNVANVNFTLHCENSLQLIKETQKSNVVPIGTLRFGICRHRAVLMKYLCDRVDPPIPCELVRGYLDFMPHAWNVVHVRRGNSLMRMVVDACYPTDIREETDIEYFCRYIPLSRLCAPIGTQNSPIPACSSRSPSLDHGINSRTSSSLLRCKFGTGDAAVKVRFLEADQTSDEDIRNFEYRLLGEVRILNALRNHSCIVEIYGHQLSRKWVPATEGKKEYRLLRSMIIMEYVNGGSLKNYLVQLTKEGQKHVPADIALCIARDVAYALVEVHSKQIIHRDIKSENILFDLETGSDGRPIVKLSDFDISVPLHCYAHTCCIAHFGIHPPDVCIGTPRWMAPEVVQAMHKKNPYGLEVDIWSYGCLLLELLTLQVPYQGRSESELYDLLQMQQRPRLTPELEALSSSPDEKTAISQANIFSDADAEILKLLVGLFYQCTRGNPADRPSAKHIYDSLSVVSPRVEAAHVTGHND >Ma07_p04380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3259932:3269659:-1 gene:Ma07_g04380 transcript:Ma07_t04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSFLLGFLVGFAALVAVEGLALLWLIDRLRRKRPTVNAARPQPVAQDLGDERSLTVPLEKKGSIWVLEPEKVPKIKTDESPTRRTKEQENKSIMEVIPVRKYAKIKDHSLILSDPDDSNITIQLVGCMVVAVSASNLSSRKWAKRYPIKLESKESKIYKESKTCYLYFDTSWEKESWCKALRLASCPDKGKWNWFSQLSEDFQDYLSSLNTEYPSFLKPSTVFTETTDRTSRIDGASRVRLFLKRLAKKASKSGLESRQASFSSSGYGERKIGEKLRSSNSVSSSDGFIKSSSEDKSSSNSMQDMAQPVPPTSTHFGNKSMLSAIPDAAADEKFVSDEGTLCWNLLFSRLFFDAKKSSEINNLIKARIQRTLSNMRTTSYIGEITCTGLDLGNLPPYVHRMRVFPMDLNEVWSMEVDIEYSGGIILDIETRLEVREPELQKDILKTSLESNSAGEVNSDFLEGIEHYGNQLKSSSNSTVGMDNRNEADKADGLRNSKSTSWTSGYVSRWKAILHSLADQVSQVPLSLAIRVASLRGTLRLHIKPPPSDQLWFGFTTMPELDWNLDSSVGDRKITSSHIALLFGNRVKAAIRDSLVLPNYESICIPWMLAEKDDWIPRKLAPFIWINNDSMDMAGTELTVPQDREDKSKLDGSNKTKDGLDDKVEKVKNVIHVEQPAQEPPTESSVSGGCLTGSVFSDHSYSSTNAELQVPLLSGETEKDSSQSNAETPTASSSRAVVMVDDQAALSLDEDEKPKRTSRRAKMMDFGKRMGDKLEEKRRHIEEKSRHIVEKMRENSRT >Ma07_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3259932:3269375:-1 gene:Ma07_g04380 transcript:Ma07_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQQEGPKSKKTRALWRAKRYPIKLESKESKIYKESKTCYLYFDTSWEKESWCKALRLASCPDKGKWNWFSQLSEDFQDYLSSLNTEYPSFLKPSTVFTETTDRTSRIDGASRVRLFLKRLAKKASKSGLESRQASFSSSGYGERKIGEKLRSSNSVSSSDGFIKSSSEDKSSSNSMQDMAQPVPPTSTHFGNKSMLSAIPDAAADEKFVSDEGTLCWNLLFSRLFFDAKKSSEINNLIKARIQRTLSNMRTTSYIGEITCTGLDLGNLPPYVHRMRVFPMDLNEVWSMEVDIEYSGGIILDIETRLEVREPELQKDILKTSLESNSAGEVNSDFLEGIEHYGNQLKSSSNSTVGMDNRNEADKADGLRNSKSTSWTSGYVSRWKAILHSLADQVSQVPLSLAIRVASLRGTLRLHIKPPPSDQLWFGFTTMPELDWNLDSSVGDRKITSSHIALLFGNRVKAAIRDSLVLPNYESICIPWMLAEKDDWIPRKLAPFIWINNDSMDMAGTELTVPQDREDKSKLDGSNKTKDGLDDKVEKVKNVIHVEQPAQEPPTESSVSGGCLTGSVFSDHSYSSTNAELQVPLLSGETEKDSSQSNAETPTASSSRAVVMVDDQAALSLDEDEKPKRTSRRAKMMDFGKRMGDKLEEKRRHIEEKSRHIVEKMRENSRT >Ma01_p20390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17693008:17699431:1 gene:Ma01_g20390 transcript:Ma01_t20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPSRGGVRGGRDQFKWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLFWYARDKKSDGAESDAIKEEIRRVKEEEEQAMREALGLAPKRASRSQGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKLPLNHPESSSQPDHLKEIAPGKDDDAPTVASDAELSEGDLRKKRRHEERRDEVKMCEERRDEKRRREKHEKKRFHDPSEKQKHQHRHREKGKRRHDSN >Ma10_p25520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33610273:33614978:1 gene:Ma10_g25520 transcript:Ma10_t25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWSHKRGAFRLGRGAMDSKQRLQHEQQEMRRPPRLDRRNAAKNFDYGVEAAAAGGVSWSSSSSSCSASSDESPPLRPTRSLDLPYSNQTSFRIGGIEGEVEILCRSLGLSGPEDFAISLPAWEARKALSSSDLLHRRSPLSHPDSATHEDPTFASQSATLASDSPPTFHSSASIEEPTGRHDVEENEPAKNSCDTSMEITVAGDEAVRNSVPSFEPRGGDGGIRGVRPSILAPPATLTPPQINLAPLTPPPALPPPPYMSLPAIDGMDSAWDIVRSFAPEDKDNTFGACDDDETDEEDNTIEAEGEEELVELRLGETSEGFTGTSSYSTMNVDDDKSSMSTETMLIVSPNGKFKRNIKSWMRGRLLGSGSYGMVYEGISDVGVFFAVKEVSLLDQGSNAQQCILQLEQEIMLLSQFEHQNIVQYYGTDKEEAKLFIFLELITQGSLASLYQKYRLQDTQVSAYTRQILYGLKYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEITKFNVLKSCKGSVYWMAPEVVNPRKTYGPACDIWSLGCTVLEMLTRQIPYPNLEWTQALFRIGRGEQPPIPSYLSRDAQDFISQCVKPNPSDRPSASQLLEHPFVKWSLSVSAVS >Ma05_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1199450:1202200:-1 gene:Ma05_g01930 transcript:Ma05_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGKRKTSKGRRKITLEKIEKPAARYVSFTKRKQGLFTKASDLCTLCGTEVAVVVFSPVGRPYSFGCPDVERVVDRFLSSDPIPAAGSHAHRPGSVNHRVTELRKQIDAEKARKTVLRAQLTAVSSGLESLDSLDGLDVRTLDALAEMLLQIKTQATNRVVQILTGSGASSSYAGNFGPGGVQPMLNPVQPDPWASSSNAVTVDDHVGTSSLDWIANVHSIGQGWQGFQSILNSIPPIKEFWTDLLASSPDAATFADHAGTSGSGGIMNVDSRWQGFQSILNPIPPIEEFWTDLLASSPDAATFADHAGTSGSGGIMNVDSRWQGFQSILNPISPIKEFWTDPWASSPDEVITNDHVGNSSTIGIATVDSRWQSFQPVLNPVLPKEFLTDWRAFSPNAATVVDHTGTSGSSRNAIVDSIGQGFRSVPNPVQAIKEFLTDPSVSSPIDVSIGDHVSPCRSAKIATVEGQEVQVLSDREMDQKVCSSNGSGKMVIADSEWQGFQPVLNPVSSGRELSRNGSKQRRLA >Ma10_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31320678:31321166:-1 gene:Ma10_g21570 transcript:Ma10_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAMIDSQREGAEVYHGAGLCKEQSMKLLDEIHLPRGLLPLPALEEVGYNRATGFVWLKQKKAATHVFKAIGKTVSYAPQVTAFVEDRRMKRLCGVKSRELLIWVSLSDMCIDDPESKRITFKTQAGIGLSFPVSAFQTEEDEKSKEDGK >Ma05_p05610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4258237:4270672:-1 gene:Ma05_g05610 transcript:Ma05_t05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGSNFEIDVNPEDTVADVKKNIETSQGKTVYPAEQQMLIHQGKILKDDTTLDENKVFENSFLVIMLSKTKGSSSGASTTEKVPVSQAPPTITAPPVSSVPVSAPSQVPAPTLSSVPTNAPTPTATAAPAPAATISTEVDSYGQAASNLVAGSTLEQTIQQILDMGGGTWERDTVVRALRAAYNNPERAVEYLYSGIPDHAEAAPVARAPASGQTVNSPVEAPQPVQPAVPSSGPNANPLDIFPQGLPNVGSHAGGGSLDFLRNSPQFRALQALVQANPQILQPMLQELGKQNPQIMRLIQEHQGEFLRLINEPAEGTEGNVLGQLAAGMPQALTVTPEEREAIERLEAMGFDRALVLQVFFACNKNEELAANYLLDHMHEFED >Ma05_p05610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4258237:4270672:-1 gene:Ma05_g05610 transcript:Ma05_t05610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGSNFEIDVNPEDTVADVKKNIETSQGKTVYPAEQQMLIHQGKILKDDTTLDENKVFENSFLVIMLSKTKGSSSGASTTEKVPVSQAPPTITAPPVSSVPVSAPSQVPAPTLSSVPTNAPTPTATAAPAPAATISTEVDSYGQAASNLVAGSTLEQTIQQILDMGGGTWERDTVVRALRAAYNNPERAVEYLYSGIPDHAEAAPVARAPASGQTVNSPVEAPQPVQPAVPSSGPNANPLDIFPQGLPNVGSHAGGGSLDFLRNSPQFRALQALVQANPQILQPMLQELGKQNPQIMRLIQEHQGEFLRLINEPAEGTEGSNVLGQLAAGMPQALTVTPEEREAIERLEAMGFDRALVLQVFFACNKNEELAANYLLDHMHEFED >Ma04_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3975165:3977255:1 gene:Ma04_g05330 transcript:Ma04_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIIRIYDRLGNNSQTGAYSKSTHLDILHAAQSTNLNFCTNFIVEDRRIVSEGDDPKSVFWFRSELESLQGLSIIQNHGRSTDSSEGMATSSSCSCFSSWHPDVIEFEQMFLPMYALSVVGGSARFTEKQRALFFRHYFPWAIRAGGYGEHKSQVCVLREAFHKDLEDVRKKWGKIPYPDPKGNSCICIRFFFLGAQDLLCFLSMKHP >Ma11_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9134682:9140093:-1 gene:Ma11_g09910 transcript:Ma11_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIRKVSAASARAHTRKKTHAFSSFLPRGMIKKLSVVLFIGLVAWSYQAIQPTPPKICGSPDGPPVTSSRIKLKDGRHLAYTENGVPKEKAKYKIVFIHGFDCCKFDVIPISPALLEELGIYLLSFDRAGYGESDPHPKMTEKSTALDIEELADKLELGSKFYIIGFSMGGEIGWTCLKFIPHRLAGAAIVAPVANYWWRGFPSNLSKKAYNQQFLQDKWAIGIAHYAPWLTYWWNTQKLFPGSSVVSQRVDGFSAEDLKLFPKFAPRAYQAQIRQQGEFESIHRDMMVNFGHWDFSPLELDNPFPNNEGSVHLWHGAEDLIVPVILSRYISQKLPWVHYHELPDAGHLFPLADGMSDAIVKALVLGDN >Ma06_p04050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2917923:2918015:1 gene:Ma06_g04050 transcript:Ma06_t04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMILVKIKIKIILVKIKIKIILIKIKFKKY >Ma06_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:732007:733428:1 gene:Ma06_g00900 transcript:Ma06_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPVGRYWCHACHRVVNPVMEPELKCPFCDDGFVEEMESRGFDDSDSTIDSDRSLSLWAPIWYQLINGSSRRSRSRREEDDDDSDLDREFEDFIRRRRRRSAIIQLLQSLQDDLRSETDNFETEVERERERERERESLILINPFNQAIILQGSLDTDQNDGQESNSAGASSGDSFMGSALDMLLQHLAENDLNRYGTPPAKKEAIDALPTVKIEETLGCSVCLEDFAIGMEAKEMPCKHKFHRDCILPWLELHSSCPVCRSQLPADDSKVSNGSSNGSRIDETDGDDGDGGDRVGRGDPNRFWVPVPWPFSGLFSLSGSQNGGTSSSNASSSNSGTNTHGEEN >Ma04_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11373500:11384349:-1 gene:Ma04_g15020 transcript:Ma04_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRAKSAEATATAMKPKVAPTKKKSRKKPSASSSAKDDHRDKPKKPPTAFFYYLEDFRKTYQQENPNVKSMRDIGKACGEKWKTMSFEEKVAYYDIATEKRAEFEKAMAAYIKRKESGEISEESDDYE >Ma05_p07100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5189323:5191406:1 gene:Ma05_g07100 transcript:Ma05_t07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAIASSPAFSPSRLSISCKGSPENLALNPCSPSASPRSCSSSPFRPQRFQRAPSGLREVGAGISTSSSPSGLAVDAPSSSSPPPSSSGGSCSVSKRKRPARINIPLAKALTFAPEVSDDWREVEAESARYTVYCKRGRKRLVMEDRHKVALDLNGDPKLAFFGIFDGHGGKRAAEFASENLGEFIAEEMVTTSGAGANDVAKAVRIGYLKTDAEFLKEEADGGACCVTALLRDGDLIVSNLGDCRAVLSRAGKAEALTSDHRPSREDERDRIESLDGYVDYFRGAWRLQGSLAISRAIGDSHLKQWVIPEPETRIINIEAECEFLILASDGLWDKVSIQEAVDVARPSCIDADSASSLSACKNLVDLSAKRGSLDDISVMIVKLQHYV >Ma01_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1373977:1375501:1 gene:Ma01_g02050 transcript:Ma01_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVEDQNIHSVSSDNSHSNGRFANAIVKGKRTKRQRAAAATSVSPASSTSSAELSSSVTEEEEDMANCLILLAQGRSLVAGAENETAVENASQAEKSTVRKLTETATTTNGKPGVYVYQCKTCDKCFPSFQALGGHRTSHKKPKLAPVDDGDGPQITMNSPIAKPSVASHIININKPRVHECSICGAEFSSGQALGGHMRRHRPITESSEAKKEKNVLPLDLNLPAPSDDDSQALPKLPPPAAAFPFETQQPLVFSASALVDCHY >Ma10_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31220626:31221530:1 gene:Ma10_g21400 transcript:Ma10_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGSNSPPADPHSANADRYNLAQHVADRIIRALRHPLRLIHRSNADFFVLGSTGNVYKVTLAAIPSCSCPDRTVPCKHVLFVILRVLGCSLDDACVWRRTLRPCQLARLLSTPMAPDVLAGARARERFYQLLPGANGTDHQTAIGREDDGAVCPICLEEMEGEAGLATCGACGNSLHEECLASWKRSRGRRGVRCVMCRARWRKRREQELYVNLAAYVGEDDMVEDSGASCNGG >Ma03_p28500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31649769:31650507:-1 gene:Ma03_g28500 transcript:Ma03_t28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKSGWDEYGISYGMPSQHIVIRRLTCVSPTSAVIALGSEMSGGIRDVRAEDITALNSESGIRIKTAVGRGAYVKDVFVRRMNLNTMKWVFWMTGSYKSHPDDKYDPNAIPMVDGISYSEVVAYNVTMAGRLEGIPSAPFTGICVSNVTVQLAKSMKTPWYCADVEGVSSGVTPAACASLADQGAAAAPCPFPTDASPMDGFQLQQCTYIKSYDA >Ma08_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32088410:32094282:-1 gene:Ma08_g18580 transcript:Ma08_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAAHSEAGGAPSARAAMPQRARLQVWFLTVCGCILIWTCLVQLLVPGRLWPHAWGWNTARLFLGIAEAGSRSAHPPLAPPPPLPPLRNYTSNGYLKVSCNGGLNQMRAAICDMVTVARLLNLTLVIPELDKQSFWADPSNFDDLFDVKHFIDSLKDEVHITKRLPKKISGKASSNLLRMRPVSWSDEKYYLQQILPLFNKSNVIHFNKTDARLANNLPIHLQKLRCHVNYHALKFTPQLEALGNRLVQILQKRGFFIALHLRYEMDMLAFSGCTHGCSKEEAEELKSLRYAYPWWREKEIDSVAKRLQGFCPLTPEETTLVLEALGFDKDTEIYIASGEIYGGEKRLSTLRAAFPKLVRKEMLLDPEDLRQFQNHSSQMAALDYMVSLASDVFIPTYDGNMAKVVEGHRRYLGFRKTISLDRRKLVELLDLQNNKTLSWDDFATSVRQVLEKSIGQPTCRRVVAGKPKEEDYFYSNPQECLTNANECPMPHKSSSVK >Ma11_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3756208:3758175:-1 gene:Ma11_g04810 transcript:Ma11_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSGGLYINPKKFGTAGKPCMKEMLSFLGCLSLNKNNDDKCVRQKDMLLTCVDAQKKKPKNATSTINYHLQRLSREKFG >Ma11_p04810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3756208:3758275:-1 gene:Ma11_g04810 transcript:Ma11_t04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSGGLYINPKKFGTAGKPCMKEMLSFLGCLSLNKNNDDKCVRQKDMLLTCVDAQKKKPKNATSTINYHLQRLSREKFG >Ma07_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11574221:11575950:-1 gene:Ma07_g15430 transcript:Ma07_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLQEWPEPIVPVQSLSEAPTLPDRYVKPPSQRPSVGDAARSLDIPVVDLAMLPGGGVVEAVSEACRHWGFFQVVNHGVSMELVRRFREAWRGFFHLPMEEKKRYANSPRTYEGYGSRLGVDEGANLDWGDYYFLHFLPCYLKDHDKWPAVPACLREATDEYGVEVRKLCRRVMRALSLGLGLDADRLQKALGGDDDGVCIRVNFYPRCPRPDLALGLSPHSDPGGMTVLLADDHVHGLQVCKDGVWITVHPLPNAFIINVGDQIQVLSNAEYKSVEHRAIVHAKEERLSVAFFYNPRSDIPIGPVPELLTVTGRAALYRPMTFDDYRLFIRRKGPRGKSQVESLEAMAIPGT >Ma03_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23590849:23591542:-1 gene:Ma03_g18000 transcript:Ma03_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTRGASDAFLPIPGSPSSSEHATPLIVSPLVFVLPLLFLPIRSATRAPTLEGHGSQVGYTNKGGGSRRAHRFCWDLHLRFRRSSPFPIPYFLQKSFAESFFLNSIITR >Ma01_p17950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13241809:13251452:-1 gene:Ma01_g17950 transcript:Ma01_t17950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISNKHAMRFPFVGSAMLLLLFLLFKIFSKDLVNAVLTCYFFILGIVAISATLSPAIKRFLPEHWNNDLIIWRAPYFDSASVEFTRSQIVASIPGTFFCLWYALKKHWLANNILGIAFCIQGIEMMSLGSFKTGAILLVGLFFYDIFWVFFTPVMVSVAKSFDAPVKLLFPTSDAARRFSMLGLGDIVIPGIFVALALRFDVSRGKKICYFNSAFLGYTIGLTLTIIVMNWFQAAQPALLYIVPGVIGIVGAHCLWNGDVKMLLEYDESKTSSELSSSSSSSPRDEDAKADKKVD >Ma01_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13241809:13251452:-1 gene:Ma01_g17950 transcript:Ma01_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVHAANLALLGLTLAPLLVKINPNVNVVLTACLTVYVGCHRSVKPTPPPEMISNKHAMRFPFVGSAMLLLLFLLFKIFSKDLVNAVLTCYFFILGIVAISATLSPAIKRFLPEHWNNDLIIWRAPYFDSASVEFTRSQIVASIPGTFFCLWYALKKHWLANNILGIAFCIQGIEMMSLGSFKTGAILLVGLFFYDIFWVFFTPVMVSVAKSFDAPVKLLFPTSDAARRFSMLGLGDIVIPGIFVALALRFDVSRGKKICYFNSAFLGYTIGLTLTIIVMNWFQAAQPALLYIVPGVIGIVGAHCLWNGDVKMLLEYDESKTSSELSSSSSSSPRDEDAKADKKVD >Ma01_p17950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13241809:13251477:-1 gene:Ma01_g17950 transcript:Ma01_t17950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVHAANLALLGLTLAPLLVKINPNVNVVLTACLTVYVGCHRSVKPTPPPEMISNKHAMRFPFVGSAMLLLLFLLFKIFSKDLVNAVLTCYFFILGIVAISATLSPAIKRFLPEHWNNDLIIWRAPYFDSASVEFTRSQIVASIPGTFFCLWYALKKHWLANNILGIAFCIQGIEMMSLGSFKTGAILLVGLFFYDIFWVFFTPVMVSVAKSFDAPVKLLFPTSDAARRFSMLGLGDIVIPGIFVALALRFDVSRGKKICYFNSAFLGYTIGLTLTIIVMNWFQAAQPALLYIVPGVIGIVGAHCLWNGDVKMLLEYDESKTSSELSSSSSSSPRDEDAKADKKVD >Ma09_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9427771:9432942:1 gene:Ma09_g13920 transcript:Ma09_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPGFPSSRSERREDANKKQQQRRDPYEVLGVSRNATEQEIKSAYRRMALKYHPDKNANDPIAADTFKEVTFSYNILSDPDKRHQYDTSGFEAIESVSQELEVDLSNLGTVNTMFAALCSKLGVQIKTTVSATVLEEALNGTVIVSPLPLGQLIARKVEKQSAHFYSVEITEREAQMGVVCRVCSAEKSKFKLLYFEQEENGLGLALQEDSIKTGKVTSAGMFFLRFPVYRFDQSQSVAIAKDPDAKFFKKLDGFQACEVNELRAGTHTFAVYGDNFFKSVSYTIEVMCAEQFSAEKEKLQDVEAKILTKRAELSKFETEYREVLARFTEMTSRYAQEMQSIDELLKERNAIHASYTIIPPLKQNSSSSKMSSAFNGSNGEEECPTKEKKSKDEKKCRDPTKRKKWFKIHLKMDKRKPC >Ma09_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32167667:32169060:-1 gene:Ma09_g21280 transcript:Ma09_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCDVCCKEEASAFCCADETALCEACDLRVHPANKLAGEHRRLSLSAPSAESHPVCDICQENRGFLFCQEDRAILCRDCDVSVHSANHLTMKHNRFILTGVRLSAAPVSSSPSPVPEATAAKAATKTIMTMNNQTTALVADVSSPMTFTSSSTTTVTSNISEYLIKMCPGWRVDDLLVDDEGFS >Ma08_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:404424:405119:-1 gene:Ma08_g00450 transcript:Ma08_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIQHDKAHGKPATNKFDPALLQRMLLGMPKHIVSPFLLKLLLPSSFASRSAASPPSIKMKNGAATLLKHIATVLAATVKSKSMALKNKAIATRTRLTVFRLLRSKKVLLSAVSDNIQSLLGHRIGGGTGGRAIALFDAANEEVFSSPHRTELVESAEDDNYDDLMHSFFDDHDEEEDEDDDSKGNGSEISLEDDVDHAADVFIRRFHRQMRLEKKESLEMYREMPEFSF >Ma10_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26475166:26475898:1 gene:Ma10_g13830 transcript:Ma10_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYMEEKWKLSKKGSRSCNGSRRVMGAEGVGPFLKGSASTREGRRTAAPGSFSSRFASLVKEQRARFYIMRRCVTMLICWRDYP >Ma09_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6041291:6057132:-1 gene:Ma09_g09110 transcript:Ma09_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAIRIMRRSINAFFHDYHCFTPIAVLLVFPASASLLLSQAPIPYSTTVLETISSRLRSLFQAARFPVTSTFFSLLNVKLAQTGFSFVFTLPFVLTFLLLAKASIIHNVCGGPRRKRAPPRLSSSLRLYRSILPTHLFNSCLVLSANASVFAFLSVVSNAVDLLGLSTSNSMLVLSAAGAVLYSIAVANTTVICSLAIVVSATDNCRGYIPVLKACMLIRGRVKTALSLALSANLGVAAVEALFQYRVVRQYDLSGKLDSSLLWEALYISYVHALLVALEVIMNCMFFKSCQTDCCSNWKNGLLLSHLNWVLMILERTAPFEGDMIAWPFLVSLSSPTEGRHPPAVFHAKCWDAGCNPKASSFSSSRDVVLDLFTDYTSSVFPLASPDCSRRSRSRKYSIRWRKCRGKISASVPDAPATRKCDIANHLPHSHFVHVKTAATTRRKSEVSNLAFHLTQLQWHHSQMEKNGLCPEEIWFDSVSVLESESDDDFSSVSGDCLPSVNGTIGTQMLQHENASLVVDEACKFEEFHDSTSISLGFEQHTEADGIRSSKLSSKDEFEDADRLKIINPQGSESQLEKVNEGKLRNQIESSSKVKKVLEDIRGSFKGLKEIIHDTEEKFRESTLKQLTSSCAPQLVPSISFNDKEKQFPSASPQCLKRRSAVIRLSFKRRSKDATEFCASKKCLYRPMAGLSVPCSTGEKPLQGCWSILEPSSFKLRGESYFRDKKKSPAPRHTPYTPIGVDLFLCPRKVNHIAQHIELPSTKAHEKVPSLLIVNIQLPTYPAAIFLGDSDGEGMSLVLYFKVSDNFDKEISTQFQDSIRRLVDDEVEKVKGFAMDSTVPYRERLKILAGLVNPEDLHLNSAEKKLVQAYNEKPVLSRPQHNFYRGSNYFEIDLDVHRFSYLSRKGFEAFRERLKHGILHLGLTIQAQKQEELPEHVLCCVRLNRIDFVDIGQMPALMTVDHDM >Ma04_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1590925:1592251:-1 gene:Ma04_g01820 transcript:Ma04_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLINRSPFVSLLFKAFTFIPRRRPADAAVFLSTHSYSAASGAPQSSFMAEYLVSSCGFDPDEAAKASKLLGRIESRHQPDSVLGFFKSHGFDNAQMKRVLSVNPRWLLLDVEKTLAQKFRALQDLGFSCSDITRLVSSNNAVINHKFQNVLSKIQFWQGTLGSNDLLMKFCKRNRWFLSYSIEKNIQPNIEILRDFGITDQKLSMILRCRPVLLAQKAETLKALIGRVEGLGVARTSGMFLQTLSVLQSVSEKNFKAHLEFFKGFGWSEDDFLAAFRKAPYLVRFSLKSLQRKMEFLVNETRCAPSYLAPRPGILLMSLEKRLIPRYRILTGLKSRGVLIGNLQTNTLMSYPEKKFLEKFIICYKEFPELIELYNVASENRTAL >Ma05_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:639737:641949:1 gene:Ma05_g01080 transcript:Ma05_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGSSRLSISAVLISSLLFFSRSILSSMAEATTKPDEATVQIVYVEKPEAEEPEAFHIRTLAAVLGSEEAATDAVIYHYKHATSGFSAKLTQSQVEQLSRQPGVLQVVPSRTYNLHGSRGGTSGVMGL >Ma05_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35794588:35797244:-1 gene:Ma05_g23640 transcript:Ma05_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCAKVGLKKGRWSAEEDEILVKYIAANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSGLKRGNFTKEEEEIIVKLHATLGNRWSLIAGHLPARTDNEIKNYWNSHLSKKLQSFQQNGLDVGGTAVVDLSKLPGGVRRRGGRTSRLRTTTERNTKNGTTRREKQGMVVVSPPASLAQSEEGHSVVLHADQSQASSACTFDGNTNEGVMDRNEEMVSELLCASAMDSVLVGPSEETMAGWGSHDGDSGVIGGTTVEELVPSQMDKFLDWDMEIMEAKLWDEAGDIWPWMWDSESREWGFHGVDDSGSQEESLDSWLT >Ma05_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5195440:5200123:1 gene:Ma05_g07120 transcript:Ma05_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS1 [Source:Projected from Arabidopsis thaliana (AT3G08970) UniProtKB/TrEMBL;Acc:A0A178VJB6] MNLSFFVLVILFLSRPAQSVDPYKVLGVDKSASQRDIQKAFHKLSLKYHPDKNKSKGAQEKFAEINNAYEILSDEEKRKNYDLYGDEKLTPGFGGNYGDEKRNPGFGGNFGNYQGHTTGGPGSSYFTSNQGGWQSMGSKGNARTFSFAFSRNPSVGGNPFGFGFGNIFSDFFGGGMEGGNQHDSFSTFGGPNSQFSSHGNIQDVDLQLFNKQIKDQGLTWLLLFYTSSATGYHVLESIMEDVASSLMGAVKAGKIDCKKQSLCKDMGVSLPKSARLFIYSYKSAEKASLVEYSGDLDPRSLKNFCLDQLPRFSRRIEFSQFDFSPDSSVNRPQVLLLSTKKETPVMWRVISGLYRNHFLFYDAEAHDVSHPMLKRLGVKKLPSVVGKLVTGEMHVLRSGIIVKDLKSGIEELRTLLESFEKKNKVASDHSKKPSQNEEGGNVPFLTTWNIDNLCGEKATVCFIGVFRSSKGRDKLEKIVSEVSRKTLVRQQSKAQTTRDSITYSLLDGNKQPSFLYAFDKLGYGSLDKFIIAYKPRKQKFAAFTGDVTMEAVEKFVSSVLNGDIQFSKVRQQPVIR >Ma06_p31560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32670235:32672485:-1 gene:Ma06_g31560 transcript:Ma06_t31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSEAFLLCLTVLVLSATVVLGYRGLGVDNPQDPEQRLQQCRQQCQQQQRGQEQRIQCQHRCEDRYQEEMRERGRPGQGGDDDPARRRFDDCRQRCGHQHPHDEQQRRQCESRCEAQYEEERRGRREVAVGVQTHEDPERRYRQCRQECTQRAQDKRQLQQCEHRCEEEYKEQRHREGNPRQKKEETTTGERNPYFFDRDSFYEQVRTEHGHVMVLENFLEKSEFLLGIANYRIAIVGLNPRAFLVPHHLDADAIYYVARGCGVLTLVCEEKKETHELRRGDILEAPAGAIIYMINKDSNEEFLLIKLLHPVSTPGRFEVFYGAGGQNPKSFFPSFSDEILEAAFNTRRDRLSRIFGQQRKGGIVEASEEQVRALSRHASEGGQWPFGESKGPFNLLEKRPIYSSRRGQMHEADGDDYRPLKQLDLRVSHFNLTEVYMSYADPVPRAYHGHSFSPWWGMMLGSLLQGSMVAPFYDSRSTKIAVILEGSGYVETICPHLSERGRSREEGGERHEGREGGQRYQKVRSQVSRGTVAIIPPGHPSVTVASRGRNLEVVCFEIRAERNVRNFLAGRNNVLKQLDREAKELAFDMPAREVEEVLNAQGDQIIVAGPEERERRERPLLPMLEIAEAFM >Ma06_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17901419:17905554:1 gene:Ma06_g22350 transcript:Ma06_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRSNAAGGRGGDEIAAPEEAVVAFAKLQGDDFEYYMQTYSIVLGRNSKTSEVDVDLASIGGGMSISRRHARIFYDFPRRRFALEVLGKNGCFVEGVPHAPGDPPVELDSQDLLQMGEKRFYFLLPSRSVFAAGPVPRCQPPAALAPTAGRARPRDYGNEVHGGDAEEEEEYEEEAGEDEARRAVVGSSGKRRRRDLGEENVEEFGRAEEAAPLRHSVKKPETRSRADREADNQQLLQLEEKDVISSVTTLLSNLCGPGEWLPMEKLHAKLFEIYGDVWHHSRVRRYLTSEDYPESETEGRPWFGLLMLLRKHPEHFAINIRSKGRAKSEFVSLVSLHC >Ma06_p22350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17901419:17903043:1 gene:Ma06_g22350 transcript:Ma06_t22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRSNAAGGRGGDEIAAPEEAVVAFAKLQGDDFEYYMQTYSIVLGRNSKTSEVDVDLASIGGGMSISRRHARIFYDFPRRRFALEVLGKNGCFVEGVPHAPGDPPVELDSQDLLQMGEKRFYFLLPSRSVFAAGPVPRCQPPAALAPTAGRARPRDYGNEVHGGDAEEEEEYEEEAGEDEARRAVVGSSGKRRRRDLGEENVEEFGRAEEAAPLRHSVPLRIWQYT >Ma08_p32890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43443741:43446137:-1 gene:Ma08_g32890 transcript:Ma08_t32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLFPKRYIIVLLTFICTNVCYIERIGFSIAYTSAANAAGVDQSIKGLILSVFYYGYALSQIPGGWVAQHIGGRRVLLMSFVLWSLICAVVPLDPNLVTVLVVARLLVGVAQGFIFPSIHTVLAQWVPPFERSRSVSLTTSGMYLGAASGMLLLPSLVKYKGPQSVFLAEAALGAIWTFLWFKFASDAPRSDHSKATSAGFGHVSLPITKSEDNKVGLQKTIMQNGSVHVAKIPWRSITFSLPIWAIVVNSFTFHYALYVLMNWLPTYFELGLKQSLQEMGSSKMLPYLNMFIFSNIGGTAADYLITRRILSVTKTRKFLNTLGFLVASVALMVLPSFRSSTGAVFCSSIALGSLALGRAGFAVNHMDVAPKYAGIVMGISNTAGTLAGVVGVGVTGRILDAAKNANVDLSSSESWKPVFFLPGCFCIVSSFIFLIFSTGERIF >Ma02_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:4327238:4327348:-1 gene:Ma02_g00300 transcript:Ma02_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSILFMNSFSLSKLKYNLMKLIYCFKFNFFQILS >Ma05_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35363382:35364872:-1 gene:Ma05_g23320 transcript:Ma05_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDQQQPEQQQQQPQTYKHYCRICKKGFGCGRALGGHMRAHGIIDNAMGGQAYADGDASGCGGSDWEDKLNGSTDAGTKRMYALRTNPNRLKSCRICENCGKEFLSWKSFLEHGKCSSDEEGDESLLPSPRSEGEDDLVGQKGCAGWSKGKRSRRKVVLSEEEDLANCLVMLSAARVEPVTVTETEESCASASKEDDHRRQQAFTIGAATDTAKAPAFAGPPLPSVPRGTFECKACKKVFSSHQALGGHRASHKKVKGCFAAKLDALDEASPDEEVMTHDKCSSEMVASMSMAIVPFENTTGPLAVAPLKKKSKVHECSICHRVFTSGQALGGHKRCHWITSNSPDPGLKLHPMPDHTNLHRQLTLRSMYEASSSEPLDLNMPAPVDDIARTRRDIGSSLRLEMPAAIYLRSWIDRGNTTSKHRATSSSDKNNENTNVHSKDNNTEMSSFNVDDEADRKVKLAKLSELKDVNMGGESSPWLQVGIGSSATEGSEA >Ma06_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6002153:6002918:1 gene:Ma06_g08480 transcript:Ma06_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCFPCLERDLFPEGGEVGIKMVSKVEETRFRRQESLKRNKQDNEVKGKDPKQEVVRLQKVCKFKRSSFSEEEDATSSAILLLACIACAPPPL >Ma06_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6659998:6663452:1 gene:Ma06_g09510 transcript:Ma06_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDISLRDHAGLMNLPAVSAADDTLRSRWVHKIVRCWRTDDDDASGSGGGGAGSAAVECYACTQAGVPAFHSTSCDRAHPLEWEANAGSSMIPIQHHVAARRPTEGAGRRRRRRPAWVFGPVLDPRSGGVQQWNRGLLLARAVALAADPLFLYAVGVRGNRGCVEVDGRYAAAVAAVRTCADAAQVVHAWVQLRLAYVSRESLVVGCGKLVWDPKAIAAHYLRSATAFWFDLFVILPIPQVFFWLVLPRLIREEEMESIANILLLILLFQFIPKVCHSICMMRSMQQVTGYIFGTIWWGFALNLIAYFIASHVTGGCWYILAIQRVSSCLQQQCQKKNNCGFASLACSKEACDRLPLPSGVDRASCYNNVTSIRNQNGVCFSADGPFAYGIYDAALPVISSNSLTVKVLYPICWGLMTLSTFGNNLEPTSQSLEVMFGIATVLGGLMLFTLLIGNIQVFLHAVMARKRKMQLRCRDMEWWMKRRQLPSRLRQRVRQYERQRWAAMRGEDEVDLVKDLPEGLRRDIRRHLCLDLIKQVPLFQNLDDLILDNICDRVKHMVFSKDEKVIREGDPVQCMVFVVRGRLQSSQRLSKGVVATCMLGPGNFLGDELLSWCLRRPFTDRLPASSATFACVDHTEAFGLDANDLRYITEHFRYKFANDRLKRTARYYSTNWRTWAAVNIQLAWRRYKTKTRATRDQPAELGDNEQRLRLYAAIFMSIRPHDHLE >Ma02_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24470734:24476454:-1 gene:Ma02_g17630 transcript:Ma02_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYNGDEDQSQDYLFKIVMIGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKMNIDGKEIKAQIWDTAGQERFKAVTSAYYRGAVGALVVYDISRRQTFDSVGRWLNELHTYSDMNVVTILVGNKTDLKDVREVTTAEGKALAEAQGLFFIETSALDSSNVAAAFQTVVKEIYHILSRKVFLSQEQKKHDMSSLSNGKTVILQGDSNGVSDGARGYWCCSS >Ma02_p17630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24470734:24476454:-1 gene:Ma02_g17630 transcript:Ma02_t17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYNGDEDQSQDYLFKIVMIGDSAVGKSNLLARFARNEFYPNSKSTIGVEFQTQKMNIDGKEIKAQIWDTAGQERFKAVTSAYYRGAVGALVVYDISRRQTFDSVGRWLNELHTYSDMNVVTILVGNKTDLKDVREVTTAEGKALAEAQGLFFIETSALDSSNVAAAFQTVVKEIYHILSRKVFLSQEQKKHDMSSLSNGKTVILQGDSNGVSDGARGYWCCSS >Ma10_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4126435:4129514:-1 gene:Ma10_g01170 transcript:Ma10_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPKTLDLVKELVLRLLSAVDSPSSDAIDELPRALRFAHRLLSSRMAPSLAPDELVLAETIKRQLAACGRSSDALAFSDLRTKLSARSSAPGSIRNRWALLYLLKSLSDARRREPLLPSSATTGLPALPLNPQPSHQSPLAAKKTLPPSCGILLVSKDPDNIREIALREYGDIVMDETEVSESALVRDILFVSQGIDGRYVRFDKVSDRYEPPDLLKLPRSMRTMVCKLSELGWLFRKVRGYITESMSCFPAEEVGTVGQAFCSALQDELSDYYKLLAVLESHSLNPIPTPGSVSGVTVNYLSLRRLAVWLAEPMVRMRLMAVLVDGCRSLRGGAMAGAIHERAQHGDPLVQEFMGCLLRRVCSPLFEMVRSWVLEGELEDTFAEFFIQGQAVKAESLWREGYQIQSAMLPSFISPALAQRILRTGKSINFLRACCEDNGWATAAAEAAAHVGTTTRRGGLVYGEPNALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDIIGPELSEPANTISSFHLSGLLEMAIRASNAQYDDWDILDHLKVKMMEHGDGNRGWDVFSLEYDATVPLDTVFTASVMKKYLKIFNFLWKLKRVEHALIGIWKMMKPNSIISCIYTEEGAAVKAQFVAVLRRCQVLWNEMNHFVTNFQYYIMFEVLEVSWAHFSEEMDAAKDLDDLLAAHDKYLNSIVEKSLLGEQSQGLIRILFVLFDLILRLRTHAERWFESIFQLQLRGKSKLKTKLKEPGSYPDVGRKAIMQLAGEFLQRMGEDLDKIAKEYSASLDAFISQLPMQQHVDLKFLLFRLDFTEFYSRLSPSK >Ma10_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30285623:30286396:-1 gene:Ma10_g19890 transcript:Ma10_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPAFNPKIRKLERNQECFYLNRDFAVAKHTYYMPDSAW >Ma03_p33270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34690861:34691150:1 gene:Ma03_g33270 transcript:Ma03_t33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQAAQPKESLTPTTYESVPAEENKTRLDPRSKEDEGMITRSRSSRTRWRTPPVVAAPCSVPARRSTSRTSASPAPV >Ma05_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34593776:34596698:1 gene:Ma05_g22810 transcript:Ma05_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKLCEFCRTVKRRGRVYVLCTANPKHKQRQGISTFAYEGPVPSASSEVAKKQEQEPSVTNFWPLGLASLLQKQEKQV >Ma02_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23276727:23277815:-1 gene:Ma02_g15640 transcript:Ma02_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYHIEQMSADSEADYDSCVEEHSDSSKLSSPMGTEKQISVDPLSLMQMVKAGAAAADLMTHLPSLPPLARPKFVSCSLPGSALSSPKFHKSSKKWSNLTRQHSAALSRFAMEQRMSLRRSKSCGEGRSSAPSDDLLDILSTRSSTEHSGNEDCVVDEPRDENSSFEDPRELRRRQGEESVKCGCLFLPGLSHKKKQVTLQAYQAEPKAEQVQKLEATQEDEEEEAIDKGRISTVPRAVSLEKFDCGSWTSSLSPGLGGDVDDGVHSCFDLPLELIRSGHNDTDSPVSAAFVFDRDRKGVLKKSSSRFGSSKSQASSSNRHVRFSTSGPISYPASPSSTCITPRLQKAREEFNALLEAQSA >Ma02_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20338201:20340178:-1 gene:Ma02_g10930 transcript:Ma02_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSRRRFDMVAFRFHQYQVVGRALPTAADEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERKPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRHHCIQIIKTATIPSKLCKRESTKQFHDSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFM >Ma03_p30630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33195013:33197545:-1 gene:Ma03_g30630 transcript:Ma03_t30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLASSKLCGKSQMHPGLRSPLPSILLLLALSSTPPSSSESYYRYAACAPYTYSCGGTRINISYPFRVDGRADNCGYSGYYVACSEDNTSVTIEIDGKGCVVKDIDYFNRLITVVDPPFVKQSCPQPYQNTSIDISLYSYSDRDRNVTVFVNCTALSPPIPDMHDIGCAPGGGAGGRHGYYQLPGETHMEMFGNCSSMVVVPMHQAASDEIRYGKLSFSDAVKGGFSLHWKAGEEWCCDCFNSGGRCGFDALSPNSHTCFCPYGSTVGTCSGSVVGGLSLLCVFLMIYTRRCRKSHDVEAFDRQNCCIPCRRQSCGNNAKSVEGILHQCGSLTPKRYRYSEIKRMTKSFNDKLGQGGYGSVFKGALQDGRLVAVKVLKATKGHGGEEFINEVASISRTSHVNIVGLLGFCLEGSKRALVYDFMPNGSLEKFICSDKSTEEPRFGCEKLYEIALGVARGLEYLHGGCSTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCPPKVSVISMADARGTVGYIAPEVFSRGFGVVSSKSDVFSYGMLLLEMAGGRRSIGATNEYTSEVYFPRCLYDDLDRYCNVGVAGVTTETREIARKMMIVGLWCIQMMPENRPSMSKVVEMLKGSAADLQMPRTP >Ma10_p31520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37641023:37642433:-1 gene:Ma10_g31520 transcript:Ma10_t31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKVEQVLHMVGGAGETSYATNSRLQEKTIYRTKPILDNAIEEIYRTLLPERLVVADLGCSSGPNTFLVVSEVLGVVGELRRRLEQKPPEIQFFLNDLPGNDFNNVFRSLERYGKKIEEEKGELLVPYYVVGVPGSFYGRLFPCTSVHFFHSSYCLMWLSQVPQALESDRGVPLNKGNIYITETSPPQVVEAYQEQYRRDFSSFLKSRYAELSVGGGMVLTFLGRKKQHPAMGDLSLLWGLLAEALNSMASEGVVVEEKVDSFNLPFYGPSMQEVKSVIHDEGLFDLDQAQMLESNWDPFDDSEDDLVFDNVANGKNVARYIRAVIEPLIAHQFGDAILDELFLRYADNVSKHCLKAKTKFTILVIGLKRKD >Ma09_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29101786:29104025:-1 gene:Ma09_g20360 transcript:Ma09_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRSLTRASRSFVTKPRVTTRSPSSSFVVSDAGATALPSSASARPPTARRFPSFSRSLAELRCCSGSLFPLHSAVAAARLTSCLSSTSRSCRSLSQGT >Ma09_p20360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29103438:29104032:-1 gene:Ma09_g20360 transcript:Ma09_t20360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRSLTRASRSFVTKPRVTTRSPSSSFVVSDAGATALPSSASARPPTARRFPSFSRSLAELRCCSGSLFPLHSAVAAARLTSCLSSTSRSCRSLSQGTVCCTYPGV >Ma05_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28136472:28139542:1 gene:Ma05_g19620 transcript:Ma05_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAVAERRTLVLVNLAAIMERADEALLPAVYHEVGAALHASPTGLGSLTLFRSIVQSACYPLAAYLATRHNRTHVIALGAFLWAAATFLVALSNTFLQVAISRALNGVGLALVTPAIQSLVADSTDDSTRGTAFGWLQLTGNFGSIIGGFFSLLLASTTFMGIAGWRVAFHVVGMISVVVGVLIRLFAVDPHFSNDARSTNELVPRKSAWEEAKFMLDEAKAVLRIPSFQIIVAQGVTGSFPWSALSFAPMWLELIGFSHKGTGILMSMFIVASSLGGLLGGKMGDFLAKRFPDTGRIILSQISSGSAIPLAALLMLVLPDDPSMGLAHGIVLFIMGLSISWNAPATNNPIFAEIVAEKSRTSIYALDRSFESVLSSFAPPVVGILAEHVYGYKPVSYGPNESSSVETDRENAASLAKALYTAIAFPMSLCCFIYSFLYCTYPRDRERARMDSLIASELQQIEMDNVGEVLPESSGIQVSEMELNGNPRSEIDIVYGNEDFEIDDNDERTLLSHKVETSN >Ma08_p19720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33564948:33565750:1 gene:Ma08_g19720 transcript:Ma08_t19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLPLLLLFLFAAIAIVSSDEPKENMTHLHFYLHVYYGGPNATTVTVVSPPGNSTFGSIGVGNNILKEGPEPSSMLIGRTNDLTAQASIESPSYLYMLNFVFTAGEYNGSSIAIVGREVLGMTSERTIVGGTGMFRMARGYTVSTLISSTGTTELFLVSEYDAYIYH >Ma10_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28862659:28863785:1 gene:Ma10_g17500 transcript:Ma10_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQPRPIIGKLPDAFGLGDRMWLTGAIPSPTTPSERNSLYPRRWKNSDSGGVGLGIAVALEKAGGESPRKLHATTLPIAIGSPRTSRPQVCPGGRHWERAQGGCCASPPPVATEESQVADFLSNCFLCGKRLHGMDIFMYREKAFCSMECRYQQMVSDEYQEKRGVEAPNPSGSPYSGGQLFFTAIVVS >Ma07_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3609221:3610832:1 gene:Ma07_g04930 transcript:Ma07_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGGGGGGDGGYVGRMAMVAGIPLQVNPAPAAEMLDTSGGAGVPQPPQPRLRVKEEKVPQWSQQETRDLIAIRAELERDLAVARRSRTLWEAVAARMRERGHRRTSDQCKCKWKNLVNRYKAADPADGRQCPFFEELDAVFLERAKNTQRLLLESESIASPSKKKLKRLSGDRSSSDEFPEDDEDENGSDEERLSRSRKKKADSSRGGQRAAGIRELLQEFLQQQRRMELRWQDTVERRAQERRALEHEWRLSMEKLERDRLLLEQAWREREEQRRMREENRAEKRDALLTTLLNKLIQDDDL >Ma06_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19834865:19846367:-1 gene:Ma06_g22880 transcript:Ma06_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEDVRSSVFLAKPSVAPSSSFASATPFPPSFPADKKSVFLFDWWLIKAESEVEGKRLAVGGFTTRQHATRLFSSAPIIKRNDAYTLETADGVTVVVQGMINKERTQDNGFPLEVCNHFLIGFPYNWDHYADEYSNKRSTSTSPGKLSSLDEAFKDSTNRTASTFPVLLDEFPICRVLNFFTSGGDNLTTNFSDHLKNLSMSAAESEMQKSSSYLMEGLGKHNMDNNDGNIVGSASSVEHHAEVLTCSKEVEYSRKNLNEGGGYRITVSKQELVDMRKKASSNNSRKMETCSNLFSFISVNNNSTNHLQVSVDQKLDAEGRNNPVEEGRSSISNSPLLQDVSHLDYSEKLGDDTAGRLCHSDKSIINDTDGLPIPTNLDNKDAYSPHGKIDVKLKISDVIGTLKAGTWQEGSVGTRYVEGELNGFDHSKISKHCSTSNEGESSSDEINGMCLNTLEKIKNCNIPSVENPTTQMCSTVDIGLTGDSEMDMCCQKEPLVKVIEEKEIKKDSIQKQNQDCTECIGEKDFVHFSASVEMMPLAEDKLTGKTTSTGTEEQSSAVKGHNYPYVSGTCKRGGVTLGIPASVSRSEGLKGKSVFSSKMKVKRVSKNYEVASTKLETGETKPNHLNSEEKGFKHTSEYHASVKGDNKDASTGSPAQDPTDVSQICTFDSFKQEVGADPLKCRLQSSLLSSHAATKELEKQNGLAVDHIAMENNSHSNGSPRYSTKYAVQSDIPVMNYSSEDKSLEMIYKTLMDKMNNQDVPKVSVAEESNKIKGSHTSRRRKMLRQVSYVHQSPLTRDKAKKSFVALSESLNFRRSRSGRLIVPPLDNGCQQIIYDADGSITGIMSARNVLNSPSQGSKSEPAKRRKKL >Ma06_p22880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19834768:19846349:-1 gene:Ma06_g22880 transcript:Ma06_t22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEDVRSSVFLAKPSVAPSSSFASATPFPPSFPADKKSVFLFDWWLIKAESEVEGKRLAVGGFTTRQHATRLFSSAPIIKRNDAYTLETADGVTVVVQGMINKERTQDNGFPLEVCNHFLIGFPYNWDHYADEYSNKRSTSTSPGKLSSLDEAFKDSTNRTASTFPVLLDEFPICRVLNFFTSGGDNLTTNFSDHLKNLSMSAAESEMQKSSSYLMEGLGKHNMDNNDGNIVGSASSVEHHAEVLTCSKEVEYSRKNLNEGGGYRITVSKQELVDMRKKASSNNSRKMETCSNLFSFISVNNNSTNHLQVSVDQKLDAEGRNNPVEEGRSSISNSPLLQDVSHLDYSEKLGDDTAGRLCHSDKSIINDTDGLPIPTNLDNKDAYSPHGKIDVKLKISDVIGTLKAGTWQEGSVGTRYVEGELNGFDHSKISKHCSTSNEGESSSDEINGMCLNTLEKIKNCNIPSVENPTTQMCSTVDIGLTGDSEMDMCCQKEPLVKVIEEKEIKKDSIQKQNQDCTECIGEKDFVHFSASVEMMPLAEDKLTGKTTSTGTEEQSSAVKGHNYPYVSGTCKRGGVTLGIPASVSRSEGLKGKSVFSSKMKVKRVSKNYEVASTKLETGETKPNHLNSEEKGFKHTSEYHASVKGDNKDASTGSPAQDPTDVSQICTFDSFKQEVGADPLKCRLQSSLLSSHAATKELEKQNGLAVDHIAMENNSHSNGSPRYSTKYAVQSDIPVMNYSSEDKSLEMIYKTLMDKMNNQDVPKVSVAEESNKIKGSHTSRRRKMLRQVSYDGSITGIMSARNVLNSPSQGSKSEPAKRRKKL >Ma06_p22880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19834865:19846349:-1 gene:Ma06_g22880 transcript:Ma06_t22880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEDVRSSVFLAKPSVAPSSSFASATPFPPSFPADKKSVFLFDWWLIKAESEVEGKRLAVGGFTTRQHATRLFSSAPIIKRNDAYTLETADGVTVVVQGMINKERTQDNGFPLEVCNHFLIGFPYNWDHYADEYSNKRSTSTSPGKLSSLDEAFKDSTNRTASTFPVLLDEFPICRVLNFFTSGGDNLTTNFSDHLKNLSMSAAESEMQKSSSYLMEGLGKHNMDNNDGNIVGSASSVEHHAEVLTCSKEVEYSRKNLNEGGGYRITVSKQELVDMRKKASSNNSRKMETCSNLFSFISVNNNSTNHLQVSVDQKLDAEGRNNPVEEGRSSISNSPLLQDVSHLDYSEKLGDDTAGRLCHSDKSIINDTDGLPIPTNLDNKDAYSPHGKIDVKLKISDVIGTLKAGTWQEGSVGTRYVEGELNGFDHSKISKHCSTSNEGESSSDEINGMCLNTLEKIKNCNIPSVENPTTQMCSTVDIGLTGDSEMDMCCQKEPLVKVIEEKEIKKDSIQKQNQDCTECIGEKDFVHFSASVEMMPLAEDKLTGKTTSTGTEEQSSAVKGHNYPYVSGTCKRGGVTLGIPASVSRSEGLKGKSVFSSKMKVKRVSKNYEVASTKLETGETKPNHLNSEEKGFKHTSEYHASVKGDNKDASTGSPAQDPTDVSQICTFDSFKQEVGADPLKCRLQSSLLSSHAATKELEKQNGLAVDHIAMENNSHSNGSPRYSTKYAVQSDIPVMNYSSEDKSLEMIYKTLMDKMNNQDVHQSPLTRDKAKKSFVALSESLNFRRSRSGRLIVPPLDNGCQQIIYDADGSITGIMSARNVLNSPSQGSKSEPAKRRKKL >Ma05_p24660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36879270:36879962:-1 gene:Ma05_g24660 transcript:Ma05_t24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMIDLGSQRDSLYIIDTATAVDCTKDVRFRRSFRSLIECVVPCCGFQLSSNTSDVPDSHSTGGGSTPPTTTVTGTFYGHRRGHVRFCLHDSSRTSPILLLEFTIPTACLAREMRHGLLRVALECDRNRARCSSLFGVPVWSVYCNGRKVGFAIRRRMSEGDAAILKLMRAISVGTGVLPGASKMGEGDILYLRASFERVIGSINSESFHMINPVGCTGQQLSIFLVRS >Ma03_p25920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29833910:29840243:1 gene:Ma03_g25920 transcript:Ma03_t25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIFVIIAFPCTVGAIALAMLHIYRHLLNYTEPIFQRYIVRIIFMIPVYALMSFLSLILNDRSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRSLKPSWYLMTCCLPSIPLDGRFIRKCKQGGLQFVILKPILVVITFILYAKGKYEDGNFSVDQAYLYITIIYTVSYSMALYALALFYVACKDLLRPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNSEAAAEFQNFVLCVEMLAAAVGHLYAFPYKEYAGANIGGSGGLRGSLVHALKFNDFYHDTVHQFAPTYHDYVLYNNNEGDEGARKYRSRTFVPMGQEMDAVRKNKHMYPGKLDDTRLSSVSTSNSGSPVITNRLQDQVDLEAIKSSLLKDSAAASARPYDFSVLAATDLSNYPAKVPPAVDDSSKR >Ma03_p25920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29833924:29840243:1 gene:Ma03_g25920 transcript:Ma03_t25920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIFVIIAFPCTVGAIALAMLHIYRHLLNYTEPIFQRYIVRIIFMIPVYALMSFLSLILNDRSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRSLKPSWYLMTCCLPSIPLDGRFIRKCKQGGLQFVILKPILVVITFILYAKGKYEDGNFSVDQAYLYITIIYTVSYSMALYALALFYVACKDLLRPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKNSEAAAEFQNFVLCVEMLAAAVGHLYAFPYKEYAGANIGGSGGLRGSLVHALKFNDFYHDTVHQFAPTYHDYVLYNNNEGDEGARKYRSRTFVPMGQEMDAVRKNKHMYPGKLDDTRLSSVSTSNSGSPVITNRLQDQVDLEAIKSSLLKDSAAASARPYDFSVLAATDLSNYPAKVPPAVDDSSKR >Ma05_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7534241:7536054:1 gene:Ma05_g10450 transcript:Ma05_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLIFFSFAAVIPTLDTRAQATFNYVKAVIEASGLSTIDFSERCHLGDELLARSLFDEVEISSSPQIDDPKLLFDCCINEASEEIFKCPPWVSLNTSNVQQAPVAQCLIREVSRGIERQIPMHLPNSLDQIVTKDLGSGSWLDLQFEIENIVDKIRDTLPP >Ma07_p28540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34546002:34547018:1 gene:Ma07_g28540 transcript:Ma07_t28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADDRTADGSTTPRRGRVCFSFAAYAKSVVAHLCSSGVPVVPGLSDAEFAAVESAYGFDFPPDLRSILCEGLPVGPGFPNWRSASSQQLRLLLDLPVAGLLHEIAAGSFWPRAWGPRPRDPQVVAAARDALHRAPPLVPVYRHYYVSTAPSLAGNPVFYVRGGHVRLAGLDLPDFFRRERPRGWAAGVPAPAWAATSARRVEVWTELAEGETTVERQRGVSPWETTVERRLGEARRRLTEGGWSDEEVREMVAAGPESDDGDRAWSSSAVNSVVPVLRDREGVSRQLQLLSLRLLRGGWSYDDVVDSMGWTRSEAAGGEVTIGPRTKEAQKHGNRG >Ma10_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31716519:31718953:-1 gene:Ma10_g22250 transcript:Ma10_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLFWSEEERGMAAAVLGPVAFDYLAASRTSSDGLTAAASSAEADLQSRLLDLVEGPPDRPCLGWNYAIFWQISRAKSGDLVLGWGDGSCRDLRDGEEAAAAAAVARSPGDDESQQKMRRAVLQKLHVYFGGSDEDNYALRLDQVTDAEMFFLVSMYFSFPRGKGAPGRALAAGKHLWILSPSDYCYRGFLAASAGFRTIVVVPFDTGVLELGSVRSLAESPNSLQTIKSVFSGTTPAGMPQSREKYERNGSEACPKIFGKDLNLGTSNVNDKPLVTKVEDSSWDTQLKRGSGDWVLFPNVRKGMQSFSWNHVRGPSSHQQQFGNGAVITSSEVGDHSDGVRGDTLLGQLQIQKNQHNPQQSLQPPPRQIDFSGGTSRAGTLIAHVGALEGDHVDIEVSCKDERVGPPDERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITELQKRLKEMESERERFLESNAMDHSTRVHQPEVDVQALEDEVIVQVSSPLNTHPVSKVFQALKEVQVNVAESKVTGGGETIQHTFIIKSPGSEQQIKDKIIAALSHGSDSTEQLY >Ma01_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2677527:2677928:1 gene:Ma01_g04120 transcript:Ma01_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWNLLRAVLLCREPDRSDVVASLYVIKVVAYPPLSPSSSSNVVATPEHHHREGCVICLEDFVGGEKLWELPRCKHRFHEKCIREWLLKPSNTCPICRTPLERQRPLSLKGRRRSRIDNFRLPEEGFFRLF >Ma01_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4571239:4571610:-1 gene:Ma01_g06380 transcript:Ma01_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYDALKAVQSGLDTAAVIVTDKSTDVVDAIARLSYLYKHESCGQCTPCREGTGWLWMIMKRLKVGNAKPEEIDMLQEVTKQIEGHTICALGGAAAWPVQGLIRKENQGEGRERELLEAAAA >Ma11_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24820101:24821053:-1 gene:Ma11_g20280 transcript:Ma11_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPEEARGSNSSDSISNGELVQALVKGKRTKRPRSQPPPPVVVAADASSASSAEAASGIVTEEEEDMANCLILLAQGRALEARPPPDQARKDDAAGRGGGAEKFTSRRFAEAATTTNGKAGFYVYECKTCNKCFPSFQALGGHRTSHKKPKLAPPPTTAAEEKVVVDDDMLQISMNSFSKPIGSSGQSNTKPKIHECSICGSEFSSGQALGGHMRRHRPLAAADAQEAKKDKSFLSLDLNLPAPADEELHRPPSPSFTLASKRPLIFPAPVSASASASALVVDCHY >Ma05_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33699479:33701577:-1 gene:Ma05_g21950 transcript:Ma05_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWWAGNLGLPRVEPASSGRKKLEDEMQPKGTEPFGSRADDEDDRDNNDDPKEGAVEVGSRRPRGRPPGSKNKPKPPIFITRDSPNALRSHVMEVAAGADVADCIAQFARRRQCGVSVLSGAGAVANVTLRQPAAPGSVVALHGRFEILSLTGTFLPGPAPPGTSGLAVYLAGGQGQVVGGTVVGSLIATGPVMVVAATFATATYERLPLEEEDEAAGANAGLPSSLTGGLPDPSLLNMYSLPPNLMPNGGQLGHDALAWAHARPPPY >Ma05_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10339954:10341215:1 gene:Ma05_g14230 transcript:Ma05_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLHLLLFFSSLFFDFTASADNGTRAPDFSAVLYFGDSTLDTGNNGYILTLVRGNHPPYGRDFPGSVATGRFSNGLLVPDLLCSELEIKQLSPPFMDPNLSDDDIRTGVNFASAGSGFDDATSALLNTIPISRQLEMFEEYLARLKAVAGEEDAKRIVSDALFLVSAGTNDFLLNYYDLPTTTRTAMTIDEYQDFLLQNLHKFLKDSYDLGGRRFIVVGLPPIGCLPLQMTLSVVHSVVRTCVDEQNSDARHYNSKLKHLLLKTQQSLPGSKFVYLDLYDFLMEVLNNAAKHGFHETKRGCCGTGLFEVGPLCNRLNPACPDASRFVFYDAIHPSQRFYELITDYVVEDIIPHLRRRV >Ma08_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:19969337:19980370:-1 gene:Ma08_g16640 transcript:Ma08_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQKEQILADDPSHDVGSLLLDPSPSDPQPAAVPLALEERFALVRSVGEECIQEEELMNLLAKKPVPVCYDGFEPSGRMHIAQGVMKTINVNKLTSAGCTVKIWIADWFAQLNNKMGGDLKKIHTVGRYLIEIWKAVGMNLDKVEFLWSSEEINSRAHEYWPLVMDIARRNTLHRIIRCSQIMGRSEKDELTAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKKKNKPIILSHHMLPGLQEGQEKMSKSDPSSSIYMEDEEGEVNVKIKKAYCPPKVVEGNPCLEYIKYIVFPWFGHFEVVRKEENGGNKTYNNMEELILDYESGVLHPADLKPALSKALNLILQPVRDHFKNNSVAKDLLKSVKAYRVTR >Ma07_p01430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1123452:1128171:1 gene:Ma07_g01430 transcript:Ma07_t01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKSKFSWSKSLVRKWFNIKNKSQDFHADDDVVVGRGDDREWRMGSAESEACTVKKSRTEQMNKKNMDRARRRRSDVDLAQATDVHDYRIFVSTWNVGGKSPPKNLNLEEWLHASPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWLTLIRRTLNTLPGTHGCGSYSTPSPVPDPLVELDADFEGSSVRQHNSSYFHRHSFHSMSRSLRINGDIMELHPRLDRRFSVCDRVSTGSRPSDFDSNFRCGDSSDDEKVGEQSPSAGIFSPSSYAYGAPQYMGERNRSSSHTSSRYCLVASKQMVGIFLTVWVRSEIRGDVQNLKVSCVGRGLMGCLGNKGSISISMTLHQTSFCFICSHLTSGQKEGDEARRNLDVMEILKKTRFPRVQKACDEKTRETILDHDRIIWLGDLNYRIALSYQSAKALVEMHNWRALLEKDQLRMEQRCGRVFEGWKEGRICFPPTYKYSNNSDRYTGDDMHRKEKRRTPAWCDRILWYGRGLTQLSYVRGESRFSDHRPVYGTFTAKVEIINHNRIKKNMGCSSSRIEVEELLPYSHGYTELSFY >Ma07_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1123452:1128171:1 gene:Ma07_g01430 transcript:Ma07_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKSKFSWSKSLVRKWFNIKNKSQDFHADDDVVVGRGDDREWRMGSAESEACTVKKSRTEQMNKKNMDRARRRRSDVDLAQATDVHDYRIFVSTWNVGGKSPPKNLNLEEWLHASPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWLTLIRRTLNTLPGTHGCGSYSTPSPVPDPLVELDADFEGSSVRQHNSSYFHRHSFHSMSRSLRINGDIMELHPRLDRRFSVCDRVSTGSRPSDFDSNFRCGDSSDDEKVGEQSPSAGIFSPSSYAYGAPQYMGERNRSSSHTRYCLVASKQMVGIFLTVWVRSEIRGDVQNLKVSCVGRGLMGCLGNKGSISISMTLHQTSFCFICSHLTSGQKEGDEARRNLDVMEILKKTRFPRVQKACDEKTRETILDHDRIIWLGDLNYRIALSYQSAKALVEMHNWRALLEKDQLRMEQRCGRVFEGWKEGRICFPPTYKYSNNSDRYTGDDMHRKEKRRTPAWCDRILWYGRGLTQLSYVRGESRFSDHRPVYGTFTAKVEIINHNRIKKNMGCSSSRIEVEELLPYSHGYTELSFY >Ma07_p01390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1084845:1088622:1 gene:Ma07_g01390 transcript:Ma07_t01390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAVRLDKKISVSRHWNATGVMKFKEGDWVEVFRRNEEPYGSWFPSKVLSVDVDKCAVRCELSATPDGRPIIEKVHERDVRPCPPHAPGTEHWMVGDIVEVFDAHSWRVGKIAKVLSDDYVVTKIFGSIQLKEFHVSNLRSRQAWQNNHWVMINKRTLQGHLKPQRVSIHDDEIRGTGRKRKASSKNEVYNLLDRRTLQQKLDDVTFSKSTVRENATLLKSARDRINMYTQMEADKVSSDVHFRNSSAVPTKVTEEIAECSVASCSGNDIIETANQNTRRHTRDIARNTFDHAMSLCPHEGGRESQRISGDKLAASIHELELHAYQSTMQALYASGPLSWEQESLLTNLRLSLNISNEEHLLHLRQLLSTEVL >Ma07_p01390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1084864:1088622:1 gene:Ma07_g01390 transcript:Ma07_t01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAVRLDKKISVSRHWNATGVMKFKEGDWVEVFRRNEEPYGSWFPSKVLSVDVDKCAVRCELSATPDGRPIIEKVHERDVRPCPPHAPGTEHWMVGDIVEVFDAHSWRVGKIAKVLSDDYVVTKIFGSIQLKEFHVSNLRSRQAWQNNHWVMINKRTLQGHLKPQRVSIHDDEIRGTGRKRKASSKNEVYNLLDRRTLQQKLDDVTFSKSTVRENATLLKSARDRINMYTQMEADKVSSDVHFRNSSAVPTKVTEEIAECSVASCSGNDIIETANQNTRRHTRDIARNTFDHAMSLCPHEGGRESQRISGDKLAASIHELELHAYQSTMQALYASGPLSWEQESLLTNLRLSLNISNEEHLLHLRQLLSTEVL >Ma07_p01390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1084509:1088622:1 gene:Ma07_g01390 transcript:Ma07_t01390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAVRLDKKISVSRHWNATGVMKFKEGDWVEVFRRNEEPYGSWFPSKVLSVDVDKCAVRCELSATPDGRPIIEKVHERDVRPCPPHAPGTEHWMVGDIVEVFDAHSWRVGKIAKVLSDDYVVTKIFGSIQLKEFHVSNLRSRQAWQNNHWVMINKRTLQGHLKPQRVSIHDDEIRGTGRKRKASSKNEVYNLLDRRTLQQKLDDVTFSKSTVRENATLLKSARDRINMYTQMEADKVSSDVHFRNSSAVPTKVTEEIAECSVASCSGNDIIETANQNTRRHTRDIARNTFDHAMSLCPHEGGRESQRISGDKLAASIHELELHAYQSTMQALYASGPLSWEQESLLTNLRLSLNISNEEHLLHLRQLLSTEVL >Ma07_p01390.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1084802:1088622:1 gene:Ma07_g01390 transcript:Ma07_t01390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAVRLDKKISVSRHWNATGVMKFKEGDWVEVFRRNEEPYGSWFPSKVLSVDVDKCAVRCELSATPDGRPIIEKVHERDVRPCPPHAPGTEHWMVGDIVEVFDAHSWRVGKIAKVLSDDYVVTKIFGSIQLKEFHVSNLRSRQAWQNNHWVMINKRTLQGHLKPQRVSIHDDEIRGTGRKRKASSKNEVYNLLDRRTLQQKLDDVTFSKSTVRENATLLKSARDRINMYTQMEADKVSSDVHFRNSSAVPTKVTEEIAECSVASCSGNDIIETANQNTRRHTRDIARNTFDHAMSLCPHEGGRESQRISGDKLAASIHELELHAYQSTMQALYASGPLSWEQESLLTNLRLSLNISNEEHLLHLRQLLSTEVL >Ma07_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1084654:1088622:1 gene:Ma07_g01390 transcript:Ma07_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAVRLDKKISVSRHWNATGVMKFKEGDWVEVFRRNEEPYGSWFPSKVLSVDVDKCAVRCELSATPDGRPIIEKVHERDVRPCPPHAPGTEHWMVGDIVEVFDAHSWRVGKIAKVLSDDYVVTKIFGSIQLKEFHVSNLRSRQAWQNNHWVMINKRTLQGHLKPQRVSIHDDEIRGTGRKRKASSKNEVYNLLDRRTLQQKLDDVTFSKSTVRENATLLKSARDRINMYTQMEADKVSSDVHFRNSSAVPTKVTEEIAECSVASCSGNDIIETANQNTRRHTRDIARNTFDHAMSLCPHEGGRESQRISGDKLAASIHELELHAYQSTMQALYASGPLSWEQESLLTNLRLSLNISNEEHLLHLRQLLSTEVL >Ma08_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4326799:4327531:1 gene:Ma08_g06400 transcript:Ma08_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETQETFPKDTHIISKISLKNYTSSLTSSCSTRRSTFLEDCYLCRRRLQHGKDIYMYRGDRAFCSEECRRRQIFMDEESGKRDNCSLATAASGKHPVGRRGGRATARRRALAGGFAL >Ma01_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10122939:10127265:-1 gene:Ma01_g13810 transcript:Ma01_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLKERGGGGVKILASKPQKFPIDSTPNDKGPAAGRRRTPIAAGKENSRILSGRKVSGARLNPLPKLVEKPAAAAGVRWSTSSLPRGKALNPSDISGIFNDLRSDRRLPRVSASDRTGRALGRDLEAEAAGRKSFGEIRASAVCQQGKEVLDSNLKKTDERLAAGTRVSGQQNPKPNGFIAMQAAKKAAKISDATSQKLGGRTGTSSISEKRSRKESVTSKPLLAEDADGYQGSTAEHENKALLLVDKSGVICSTESTAVESNKHNLFIVSSSISHVPDQSNLKDRSCATSDSTTQDGFDKDVTHVSSNKKKDCLVLQSEASRTLSSGVKNGPGDKGCIDSLPKVEAVQKPSAYITAFDKNDDLNGAPVVNKYPSKLHEKLALLEGKVQKIASEIKRTKEMLDSNNPDDSKLILSDIQSKISGIEKAVGHTIDGAVSQFDTSKIIAVDCQGTEIVISGQCEETSVPGNSVNGLNHEELEARFFPHHKLLRNRRSSSVAGGHRSNTHGNPDVEGGSLSPVDENPIALEFLASLDLVQGELNKHAPILESEHVAARGLRDERGSSAAQCVPRKMVHEHCKGEIELMATEKLEEFDDQEMKPALILHVDNEDPSVKQLCEIGQKPSTGGWFVSEEAVLLAHDDGSCSYYDIANHEFKSEYKPPAGVSSNLWGDCWLIRAPCTDGCTGKYIVAASAGNALESGFCSWDFYTRDVRAFSLGDAATSSSSQSSSMMVLGPSDTGLRSSSSTIQTVGQQQWWYKPCGPLLICTGNGQKLVSAYDIRDGDLVMKWEVNSPVMGMEYSSPLQWRSRGKVIVVGTEAISLWDVNSVNPQPLLSVACAGKKVYSLHVNNTDAELGGGVRQRVSSSEVEGNDGAFCTQESINVLDFRLPAGVGLRISRHGGIGHSIYSRGDSIYIGSTEGRLPIKGSPRSRVQHYSLRKGNLVTTYELPELNTRFHHSCLTQVWGSTDIVMGICEMGLFVFDASQDVRSQALCFDGGNTVGVKETIGPDDLYRPTFDYSGSRVLVISRDRPASWRYLL >Ma03_p29340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32275763:32276669:-1 gene:Ma03_g29340 transcript:Ma03_t29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKLRAEVEFLQMKYACLLKNPSGRTQYRLKKKRPQIRPFESLCNSCSSTVIECQARLSTVREDDLPRTSTIIDLNQEAEEMEEFQVTWEPLKADTLKSCSMNGGDVVANDLKLPIC >Ma03_p26190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30009191:30013443:1 gene:Ma03_g26190 transcript:Ma03_t26190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRRPLLPLLFFLSFAALLVLFSFHSTTSSSSRSSLLLSENHNPNPRFTFIIKLLTFDRIDSLRRCLRSLATADYAGDRVHLHVLVDHFRPANGSSAPSVDRKLEESRRILDLIDRFRWVHGEKIVHYRTANVGLQAQWLEAWWPSSDDEFAFVVEDDLELSPLYYKFLKRLILKYYYDPANYNASIYGASLQRPRFVAGKKGNKLQLSSETRIFLYQMVGTWGQLLFPKPWNEFRLWYDEHKAKEIKPILQGMVTTGWYKRFGERIWTPWFIKFIHARGYYNLYTNFKQERALSISHRDAGVNYGKTVGPDSSLLVDESLDFSLTELPPLRNLKWYDFCFAETHPGRIVSNFDQLRSILHSLKQKTIFVISLYQTTERIARNLICNLEKVGLVNFILLGGSPELLIDLARRGYPVVDTSQLVSSIRQVKSIDLEHEPDIIKEIWIKATTVQKCLEFGYDLWLIDGNMIPGSGLLPELPNPSYDFAIAKNAELLFVKSSPSSLKTWNDDYIHKVAAECKSLTGTNSPEMEHKNFAYLAMRGLDENAGARLWRLDDSDIGVKLGYDTMNHTESKLNMVFWDQEMALTSVQRELDRSGMWLIDVDSSCVSVVCHRR >Ma03_p26190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30009191:30013443:1 gene:Ma03_g26190 transcript:Ma03_t26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRRPLLPLLFFLSFAALLVLFSFHSTTSSSSRSSLLLSENHNPNPRFTFIIKLLTFDRIDSLRRCLRSLATADYAGDRVHLHVLVDHFRPANGSSAPSVDRKLEESRRILDLIDRFRWVHGEKIVHYRTANVGLQAQWLEAWWPSSDDEFAFVVEDDLELSPLYYKFLKRLILKYYYDPANYNASIYGASLQRPRFVAGKKGNKLQLSSETRIFLYQMVGTWGQLLFPKPWNEFRLWYDEHKAKEIKPILQGMVTTGWYKRFGERIWTPWFIKFIHARGYYNLYTNFKQERALSISHRDAGVNYGKTVGPDSSLLVDESLDFSLTELPPLRNLKWYDFCFAETHPGRIVSNFDQLRSILHSLKQKTIFVISLYQTTERIARNLICNLEKVGLVNFILLGGSPELLIDLARRGYPVVDTSQLVSSIRQVKSIDLEHEPDIIKEIWIKATTVQKCLEFGYDLWLIDGNMIPGSGLLPELPNPSYDFAIAKNAELLFVKSSPSSLKTWNDDYIHKVAAECKSLTGTNSPEMEHKNFAYLAMRGLDENAGARLWRLDDSDIGVKLGYDTMNHTESKLNMVFWDQEMALTSVQRELDRSGYLVHPT >Ma10_p31030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37257093:37262816:-1 gene:Ma10_g31030 transcript:Ma10_t31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTLLSDLARVPPDFGEFWREGAGGGWATTVVVLLLFAWQLLRLFFSRSRLRPASRAPESSPASATTTDSEEGSSAGLSELISDADLRDLMISLEGKLQENERWEDVIEKSTDLVSYKAKCFRPKDGPPKYLSVTTFKQCSTELLRDFYMDNEYRKKWDKILIQHEQLQVDENSGTEIGQSIKKFPLMTPREYILAWRVWEGKNKTFYCIIKDCEHPLAPRQKKYVRVGFFKSGWRIKQVPGIDACEITMVHQEDAGLNVEMAKLAFAKGIWSYVSKMNSALREYSSFPSHLTMVPTLLRLIKKVPPKLETCAETSMQEAPEKLGTVFGGQSRVGLSQKTPSRSSKKWIANGLLLLGGVVCLSRGRSTIGTQLAIACILKKLTKRRTESCQVESTQFRPNRRKTRRDG >Ma05_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35658480:35658869:1 gene:Ma05_g23530 transcript:Ma05_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTSLALPVSSAESDERSTAAATPPLLSRGIYSLFPQYHPRGSMTCDNVPRVCRASGSPGPDCCRKQCVNVMTDNQNCGQCGKKCWFGQTCCGGSCVNVMYDPKNCGGCNKRCTKGCFCQFGMCSYA >Ma05_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2912042:2916147:1 gene:Ma05_g03900 transcript:Ma05_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGSAETPATRKRPRDVGDLKRVAEIVMVLSAMGQMRGGREPVAAEKALVAEARERLVTMCEGLKPKELFSREAVRVVVEDLGLNRSKDPVLGFRPPKMSIAEKLLLTKKKMEESKETHMRSSVYSLQHLPVSFGAKSESHGPLAHDASRFMQVKSPMETSAGGFQISSPVAHAPVLTSAASSFKQSHISDVQAVVNPVKQPSGSLERGSSSAHVKLNAKPGGPSYLTQAQAENMHQKIPISSVQSTPASVSKFGQVNKFLDHNSAKSEVTTGVNAVQSSHQVMRSHEIKPSIIQPGPGGLHIAHQPPQGLGLVHTPALFTNHNDIAKSVLTILQAKVSDHPIWTPPSTEHMNATINCQLCKNIIIDIDCLLICDACEKGNHLKCLQSYGNKGTPKAEWHCPRCLASSNGKPLPPKYGRVTRASVSAPKTAANASVHASSKKTESLDTRVNEQKAIANDNSGVAQQAYSLNMEDNHCMSIPDSRTTGGEVQVIMTIIGSKREDDTLKAIDVDPLKEITGAVCAHPDMHSEDLNNIEDNRLSLVDAKPTSVSVLEPSPMPKDSCEHAHDMVVENNNLSEAPLTHDFDQVQLSGDVNASAIQLDENIKTVKSVPEAALGEKRSPEYLDGCSNRLAKEGSCQTSDGDGYTMNQRAHFSTS >Ma02_p04630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15987813:15991834:1 gene:Ma02_g04630 transcript:Ma02_t04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVRVEYNALDPRKAACVEILAQCNARKAKESNPSCQVELQRRTDDSPPRIAVTYVNGVEEIIDAAGVPAQAIRQRIFDRGQLLETEQMFREAGEPWPVLIPEEEIHQSFPGTKPKKAEEQKL >Ma02_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15987734:15991834:1 gene:Ma02_g04630 transcript:Ma02_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVRVEYNALDPRKAACVEILAQCNARKAKESNPSCQVELQRRTDDSPPRIAVTYVNGVEEIIDAAGVPAQAIRQRIFDRGQLLETEQMFREAGEPWPVLIPEEEIHQSFPGTKPKKAEEQKL >Ma08_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7693009:7693978:1 gene:Ma08_g10520 transcript:Ma08_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNAEGESAAKEGGGGRKRKRREEPSLAKWRTQGEQRTYSTKLIEALRRVRRSPPAGATATTHHARSRAVREAADRALAVAARGRTRWSRAILSGRTLKRRIRPGCFRPKPVGSSAAARAAAERGKPVTLEKKARVLGRLVPGCRKLSLPTLLEEVSDYIAALEMQVRTMTAIADVISAASSAPAAEPM >Ma09_p03940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2592470:2596236:-1 gene:Ma09_g03940 transcript:Ma09_t03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIRKALGTVKDQTSIGLAKVSSNIAPELDVAIVKATSHDDEPANEKYLREILNMTSYSRGYISACIATVSKRLGKTHDWIVALKALMLVHRLLVDGDPAFQHEILYATRRGTRLLNMSDFRDEAHSNSWDHSAFVRTYALYLDQRLECLVYERKQSGGSSRSNDSTTERWRSPPPNRSDYGDRDPYVYGSYGRSSYSSPPGNGSAGYDERQNGEDKKPPTPPRDMKPERILGRMHQLHQLLDRFLACRPTGNAKHSRMILVALYPIVRESFQLYADICEILAILLDRFFDMDYPDCVKSFEAYASAAKQIDELCSFYAWCKDTGVARSSEYPEVQRITDKLLETLEEFMRDRAKRPKSPPREAVPAPTGAGDEEPEQDMNSIKALPAPSDYKEEEPEPAKVTVEPVKPQPQQQADLVDLRDDGSSADDQSNKLALALFQGPVAAANGANGSWEAFPSANGDSGVTSAWQTPAAETGKADWEIVLVETASNLSNQKATLGGGFDPLLLNGMYDQGAVKQQVNAQMSGGSSSSVALPVPGRGAAPVLALPAPDGTMQTIGGDPFAASLSIPPPSYVQMAEIEKKQQLLVQEQVVWQQYAKDGMQGQTSLAKLNNTFMPNPTMPYGMPTAYNMAGGYYYPTR >Ma09_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2592470:2596230:-1 gene:Ma09_g03940 transcript:Ma09_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIRKALGTVKDQTSIGLAKVSSNIAPELDVAIVKATSHDDEPANEKYLREILNMTSYSRGYISACIATVSKRLGKTHDWIVALKALMLVHRLLVDGDPAFQHEILYATRRGTRLLNMSDFRDEAHSNSWDHSAFVRTYALYLDQRLECLVYERKQSGGSSRSNDSTTERWRSPPPNRSDYGDRDPYVYGSYGRSSYSSPPGNGSAGYDERQNGEDKKPPTPPRDMKPERILGRMHQLHQLLDRFLACRPTGNAKHSRMILVALYPIVRESFQLYADICEILAILLDRFFDMDYPDCVKSFEAYASAAKQIDELCSFYAWCKDTGVARSSEYPEVQRITDKLLETLEEFMRDRAKRPKSPPREAVPAPTGAGDEEPEQDMNSIKALPAPSDYKEEEPEPAKVTVEPVKPQPQQQADLVDLRDDGSSADDQSNKLALALFQGPVAAANGANGSWEAFPSANGDSGVTSAWQTPAAETGKADWEIVLVETASNLSNQKATLGGGFDPLLLNGMYDQGAVKQQVNAQMSGGSSSSVALPVPGRGAAPVLALPAPDGTMQTIGGDPFAASLSIPPPSYVQMAEIEKKQQLLVQEQVVWQQYAKDGMQGQTSLAKLNNTFMPNPTMPYGMPTAYNMAGGYYYPTR >Ma02_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28461356:28466976:1 gene:Ma02_g23490 transcript:Ma02_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLFDDCGEGFVGEADGGNATPTTQPAVLTASVSSRGEPKAGPTLRRRASIKPSLDVEEFINLLHGSDPVKVELNRLENEVRDKDRELSEAHAEIKALRLSERARERAVEELTEELTKLDEKLKLTESLLESRNLEIKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLDAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMSEEMKTLDRELSRAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFMQGEMQQLRDKLAISERTARSEAQLKEKFHLRLKVLEDGLRMSASGTNRINVEGKNVSNGPSRRQSLGGADNIPKSANGFLSRRPSFQMRSSISSSTVLKHAKGASKSFDGGTRSLDRSKALLNGAGLLLNKSSDATRDNVLQNSWKENPDDKTTEFPNVDADDCVSGLLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAIRVEKEQDNKSKRLGGSKGPTSTSQLLPGRTLPRSGSMRNQ >Ma09_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:208204:208642:-1 gene:Ma09_g00230 transcript:Ma09_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSINKKHCNQCVEVGVPMLILFIALSHQYMKHLQVKRMARIREGFLALLDPYRMYGLTPTCSLQAAQTGIAPSDLLCSLVVPLSSSLMSCLHLHALTCGSACRIKIPYPLQWGALALR >Ma08_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32914451:32919331:1 gene:Ma08_g19110 transcript:Ma08_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGRSLVLFTLSTLLLVSIAGGFQSDELLQDDEEFGLEGGRPAADPALSTPTRPPIRRRPDPDLLSGSPDSKSVQFSLEHQFGGSGFFPAGTFTARLKSWSHGGQTLTKLRFSRNALTEEEKGAFERLLKEDGYYTIRVPSNVLTAPGRDFVVSSVRARCIPRESLDEHFIIHTDGVNIVAVNYGSAGACQYPRMLKYPAKWSFTSFTVLKNSEQAPRTPTFAEELLAGEDGLGEGVKPPEKSFWAKYWMYLIPLVFIVLNAMTQAMNMPEEQTAGQSTQAQRAPSTTVRRR >Ma06_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11241359:11249069:-1 gene:Ma06_g16620 transcript:Ma06_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase 3 [Source:Projected from Arabidopsis thaliana (AT2G29560) UniProtKB/Swiss-Prot;Acc:Q9ZW34] MSVQEYLDKHLLSRKIEDAVNAAVRAKASDPVLFISNHMRKAVPPVITKIKARQILDSRGIPTVEVDLYTNKGMYRASVPSGASAGMYEAVELRDGDKGKYLGKGVLKAVNMINEKISEALIGMDPLLQVQIDQAMMDLDKTEYKAELGANAMLAVSIAACKAGAAEKEVPLYKHIADLSGKSNPVLPVPAITVISGGKHAENNLAVQEIMILPVGANSFQEAMQMGSETYHHLKAIIMEKYGSVGYNVGDDGGFAPNISSITECLDLVKEAIDRAGYNGRIKMAIDVGATDFCIGKKYDLDFKTPGKSGQDFKTGEDMLELYTKLCTEYPIVSIEQPFDKEDWEHTKLFSALGLCQVVGDDLLMSNPKRIERAVNEYTCNALLLKVNQVGTVTEAIEVVKQAKDAQWGVIISHRSGETEETFIADLAVGLATGQVKAGAPCRGERLAKYNQLLRIEEELGNHAIYAGENWKCP >Ma05_p24230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36501002:36503729:1 gene:Ma05_g24230 transcript:Ma05_t24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLYAKSRNLKRFLSVAIGKRRNSSGEDDNTEDKAFSVKQINEMEISHLRPSWRCFSYEEIVKATDGFHKDNLVGTGGYAEVYRGVTEDGGAIAVKRLTRASSDEQREKDFLTELGAVGHVRHPNVSALLGCCVDGGLHLVFEFYSRGSVSSNLHDANSPPIAWKLRFNIAVGTARGLHYLHKQCRRRIIHRDIKASNILLTESFEAQISDFGLARWLPSEWTHRAVAPIEGTFGCLAPEYFMHGIVDEKTDVFAFGVFLLEIVTGRKPVDGCHRNLLCWINARSYLNNGIIDKLVDPRLGEEYDMGQLKRLTFAASLCTRPTATLRPSMTEVVELLEGGEITQEQWKMLEEEEEEFWGFDDLDDDDNRCSTPSTSSTGNSQPQFV >Ma05_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36501002:36503729:1 gene:Ma05_g24230 transcript:Ma05_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLYAKSRNLKRFLSVAIGKRRNSSGEDDNTEDKAFSVKQINEMEISHLRPSWRCFSYEEIVKATDGFHKGLFFLGFERSFFGIWSSKSGLGGFWIGADNLVGTGGYAEVYRGVTEDGGAIAVKRLTRASSDEQREKDFLTELGAVGHVRHPNVSALLGCCVDGGLHLVFEFYSRGSVSSNLHDANSPPIAWKLRFNIAVGTARGLHYLHKQCRRRIIHRDIKASNILLTESFEAQISDFGLARWLPSEWTHRAVAPIEGTFGCLAPEYFMHGIVDEKTDVFAFGVFLLEIVTGRKPVDGCHRNLLCWINARSYLNNGIIDKLVDPRLGEEYDMGQLKRLTFAASLCTRPTATLRPSMTEVVELLEGGEITQEQWKMLEEEEEEFWGFDDLDDDDNRCSTPSTSSTGNSQPQFV >Ma06_p00720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:560622:562382:-1 gene:Ma06_g00720 transcript:Ma06_t00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVMLLFLGVSMWLLGCRWITHSAEALVIGVNYGLIGDDLPSPDKVIALYTSRSITRLRLFNPNATVLEALQGSAIEVILGTYNEELQRLSTDASYAADWVQTNVAPYASSVRFRYINAGNEVIPGKLASYVLPAMRNLDSALRAANLHVPVTTAVATQVLAVSYPPSQGAFSESAAGVMAPIAAFLQAKKSPLLVNVYPYFAYAGSPRDVRLDYALFTAQDVVVRDGSLGYTNLFDAMIDSMYAALEKSGGTDVDIVVSETGWPSGGGGVGATVENARVYNNNVVAHVAGSGGTPKRPAKATETYLFAMFNENLKPEGTERNFGLFRPDMSEVYHVVLSP >Ma06_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:560622:562366:-1 gene:Ma06_g00720 transcript:Ma06_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVMLLFLGVSMWLLGCRWITHSEALVIGVNYGLIGDDLPSPDKVIALYTSRSITRLRLFNPNATVLEALQGSAIEVILGTYNEELQRLSTDASYAADWVQTNVAPYASSVRFRYINAGNEVIPGKLASYVLPAMRNLDSALRAANLHVPVTTAVATQVLAVSYPPSQGAFSESAAGVMAPIAAFLQAKKSPLLVNVYPYFAYAGSPRDVRLDYALFTAQDVVVRDGSLGYTNLFDAMIDSMYAALEKSGGTDVDIVVSETGWPSGGGGVGATVENARVYNNNVVAHVAGSGGTPKRPAKATETYLFAMFNENLKPEGTERNFGLFRPDMSEVYHVVLSP >Ma06_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5136809:5138159:-1 gene:Ma06_g07210 transcript:Ma06_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACRSFLGMALLLLLVSASSGQLSPTFYSETCPTLETVVLLAMTPVVLLEPRMGASILRLFFHDCFVNGCDASVLLDDTATFTGEKNAGPNANSLRGFDVIDTIKTAVELLCPGTVSCADILALAARDGVGLLGGPSWTVPLGRRDATTASLSAANNDLPSPASSLAQLISSFAAKGLSASDMTALSGAHTIGQARCVNFRDHIYNDTNVDADFASDLQQSCPSSGDDDSLAPLDTQTADSFDNAYYENLVAYRGLLHSDQELFNNGMQDSLVQQYSTDPDAFAEDFAAAVVKMGNISPLTGTDGEIRLDCRKVN >Ma11_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:721056:722017:-1 gene:Ma11_g00950 transcript:Ma11_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLSFSLLPTLFLVLLLLSPAAQATTVAGTIERTTKQQVLASIPPHAADTSVPFLTSPSGKYTACLLRRETAPGAGGFGNDFCYIQVQDTSTGRSMWESECGPVSSANTCAAVFTAYGLQVFDGSTPVWDTGAQSADNNFLQTLELVDHGDMRIRDKDGELAWKASDDPRANQGCGLPGSPGLAPALPPFAVPIGGDDQNLPFGRPASGGQQLPQSNTMYDQQQQQHPLGGASQAFGFDGQPLVDNSPYDSGCYGEGAGRWVGVGVALLLLLLLPVLA >Ma00_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40937138:40938124:1 gene:Ma00_g04780 transcript:Ma00_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPARAWYGSLKAGTISSFDQLARDFELNFLAYARPKPSAALLLGLNQGEDESLSRFLDRFTTQIRGLSDAHPSLLMQAFMIGLRPYRFFWSLVERPPTTVPEMLQRASQFIAAETWMTGRPREHKRTKSEPPRQQQPATSRRKSDRSDPPTLRSPPPTLNSSRTDIFLHIREKGLLKEPYPMSNPRALADQSKYCRFHRQRGHDIEQCQELKRQIEELIRRGHLDQYLRPNKESSPRPEGPVERHIDVISGGPASGGDSMARKKAYARAASAEAPRHAPGPSITFPTKMPEQAEHDDALVISARVANA >Ma10_p23970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32697094:32708436:-1 gene:Ma10_g23970 transcript:Ma10_t23970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEKELEDRLREVGSRLVSPPSAVDELLPLLDQTESLLSRVEQSPTQSMSNALRPSMKALVAKELLGHSDIDVKVAVASCISEITRITAPEAPYDDDLMKEIFQRIVEAFENLDDMSSHSFPKRVSILETVAKVRSCVVMLDLECDSLILEMFRYFLNTIRPNHSEKIFSSMEMIMTLVLEESEDISSDLILCLLDSVKTDNKDILPVVRRLSEKVISNCAGKLKPYIVELTQSIGSPLNKYGKVVASICQENSDGVEQNDANVSGEIVGSAKMEQATGCAEEITITEKSSKSVTSNGIVQIGNGESTVEPSSPEQKPEPSYHGDQSERGIAPNRVVSDGSLENKEPSSKHDLSPPGADSGAVKDSSQSKSEIPDATRRKRGRPRSKLAATKPGGSGAAERCVPPLQQKGSDNGNKTSSTKDSDLKKESDGIGDSDNIEKPAIVTIDSEGKSQRQTSRKGSIGKSDHGDSSQRLRTSKIKQQDSLKAKKDTAGEPVLKISETPTLNKEMDESLVGSTIRVWWPMDKKFYDGVVDSYDHTSKKHKVIYNDGDVEILLLKKERWEIVKNNKIHAEQAKVLIDPDASSEEPRSKRTKTSSSSIQKERIIETPAKSHRRGRPPKAAVSNLDDNPSTSSKLKEKATSKPKDGSFRSGTKIKDDDKKSKGGIFKDKATSKTRGGSKDNAHKSIRKSMDGTPKSTGRSTDSGLSASSKVKKDAPKGKSSADSTKTGLKSRGITTPKTGSESKSNVGSEKGKAKVRESETLVKVRSDTTPKVQESEALAGKKRKRKGQT >Ma10_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32697094:32708436:-1 gene:Ma10_g23970 transcript:Ma10_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEKELEDRLREVGSRLVSPPSAVDELLPLLDSGSMFFTFKESSNLIMELQTESLLSRVEQSPTQSMSNALRPSMKALVAKELLGHSDIDVKVAVASCISEITRITAPEAPYDDDLMKEIFQRIVEAFENLDDMSSHSFPKRVSILETVAKVRSCVVMLDLECDSLILEMFRYFLNTIRPNHSEKIFSSMEMIMTLVLEESEDISSDLILCLLDSVKTDNKDILPVVRRLSEKVISNCAGKLKPYIVELTQSIGSPLNKYGKVVASICQENSDGVEQNDANVSGEIVGSAKMEQATGCAEEITITEKSSKSVTSNGIVQIGNGESTVEPSSPEQKPEPSYHGDQSERGIAPNRVVSDGSLENKEPSSKHDLSPPGADSGAVKDSSQSKSEIPDATRRKRGRPRSKLAATKPGGSGAAERCVPPLQQKGSDNGNKTSSTKDSDLKKESDGIGDSDNIEKPAIVTIDSEGKSQRQTSRKGSIGKSDHGDSSQRLRTSKIKQQDSLKAKKDTAGEPVLKISETPTLNKEMDESLVGSTIRVWWPMDKKFYDGVVDSYDHTSKKHKVIYNDGDVEILLLKKERWEIVKNNKIHAEQAKVLIDPDASSEEPRSKRTKTSSSSIQKERIIETPAKSHRRGRPPKAAVSNLDDNPSTSSKLKEKATSKPKDGSFRSGTKIKDDDKKSKGGIFKDKATSKTRGGSKDNAHKSIRKSMDGTPKSTGRSTDSGLSASSKVKKDAPKGKSSADSTKTGLKSRGITTPKTGSESKSNVGSEKGKAKVRESETLVKVRSDTTPKVQESEALAGKKRKRKGQT >Ma10_p23970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32697094:32708436:-1 gene:Ma10_g23970 transcript:Ma10_t23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEKELEDRLREVGSRLVSPPSAVDELLPLLDSGSMFFTFKESSNLIMELQTESLLSRVEQSPTQSMSNALRPSMKALVAKELLGHSDIDVKVAVASCISEITRITAPEAPYDDDLMKEIFQRIVEAFENLDDMSSHSFPKRVSILETVAKVRSCVVMLDLECDSLILEMFRYFLNTIRPNHSEKIFSSMEMIMTLVLEESEDISSDLILCLLDSVKTDNKDILPVVRRLSEKVISNCAGKLKPYIVELTQSIGSPLNKYGKVVASICQENSDGVEQNDANVSGEIVGSAKMEQATGCAEEITITEKSSKSVTSNGIVQIGNGESTVEPSSPEQKPEPSYHGDQSERGIAPNRVVSDGSLENKEPSSKHDLSPPGADSGAVKDSSQSKSEIPDATRRKRGRPRSKLAATKPGGSGAAERCVPPLQQKGSDNGNKTSSTKDSDLKKESDGIGDSDNIEKPAIVTIDSEGKSQRQTSRKGSIGKSDHGDSSQRLRTSKIKQQDSLKAKKDTAGEPVLKISETPTLNKEMDESLVGSTIRVWWPMDKKFYDGVVDSYDHTSKKHKVIYNDGDVEILLLKKERWEIVKNNKIHAEQAKVLIDPDASSEEPRSKRTKTSSSSIQKERIIETPAKSSHRRGRPPKAAVSNLDDNPSTSSKLKEKATSKPKDGSFRSGTKIKDDDKKSKGGIFKDKATSKTRGGSKDNAHKSIRKSMDGTPKSTGRSTDSGLSASSKVKKDAPKGKSSADSTKTGLKSRGITTPKTGSESKSNVGSEKGKAKVRESETLVKVRSDTTPKVQESEALAGKKRKRKGQT >Ma10_p23970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32697094:32708436:-1 gene:Ma10_g23970 transcript:Ma10_t23970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTFKESSNLIMELQTESLLSRVEQSPTQSMSNALRPSMKALVAKELLGHSDIDVKVAVASCISEITRITAPEAPYDDDLMKEIFQRIVEAFENLDDMSSHSFPKRVSILETVAKVRSCVVMLDLECDSLILEMFRYFLNTIRPNHSEKIFSSMEMIMTLVLEESEDISSDLILCLLDSVKTDNKDILPVVRRLSEKVISNCAGKLKPYIVELTQSIGSPLNKYGKVVASICQENSDGVEQNDANVSGEIVGSAKMEQATGCAEEITITEKSSKSVTSNGIVQIGNGESTVEPSSPEQKPEPSYHGDQSERGIAPNRVVSDGSLENKEPSSKHDLSPPGADSGAVKDSSQSKSEIPDATRRKRGRPRSKLAATKPGGSGAAERCVPPLQQKGSDNGNKTSSTKDSDLKKESDGIGDSDNIEKPAIVTIDSEGKSQRQTSRKGSIGKSDHGDSSQRLRTSKIKQQDSLKAKKDTAGEPVLKISETPTLNKEMDESLVGSTIRVWWPMDKKFYDGVVDSYDHTSKKHKVIYNDGDVEILLLKKERWEIVKNNKIHAEQAKVLIDPDASSEEPRSKRTKTSSSSIQKERIIETPAKSHRRGRPPKAAVSNLDDNPSTSSKLKEKATSKPKDGSFRSGTKIKDDDKKSKGGIFKDKATSKTRGGSKDNAHKSIRKSMDGTPKSTGRSTDSGLSASSKVKKDAPKGKSSADSTKTGLKSRGITTPKTGSESKSNVGSEKGKAKVRESETLVKVRSDTTPKVQESEALAGKKRKRKGQT >Ma08_p00440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:402231:403016:1 gene:Ma08_g00440 transcript:Ma08_t00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPLSLQMIPWCFHVAGATCHSSSSWFDEDDTAADSPKPSVRVVSPDGRIRLYHRWVSAAELMAAHPFHLLCLSDSFVIGEPIASLSPDDRLLPGHTYFLLPSHFFHSALSFASLAACFGDHKRSGVAKLLQPIEIHKTAAGKLQVRVSDEYLERLRRAEEEAAAAERRGQRVCTTEELAKDYKQLVRCRSWKPKLETIKESERRRRSSAGAAFGRIGRRKKCGHLKNNRNKQV >Ma03_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22494718:22498337:-1 gene:Ma03_g17270 transcript:Ma03_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAAEFRRPARRRLSYWICFLLGVFVAAGFVLFVFQHHHQDRLEPPVRDKVPADKEIPHETQNLGQELLNTTSFARQLADQMTLAKAYVIIAKEHNNLRLAWELSSQVRNGQRLLSRAAVRGKRITLEEAHPIVSRLAHLIYKAQDSNYDISTMITTLKSHSLALEERANAATVQSAEFGKLAAEAMPKSLHCLNIKLTEEWFRNPSYRQLSEEGRNLPLLVDNNLYHLCIFSDNVLATSVVVNSTASNADYPQQLVFHVVTDSINYQAMTTWFLRNEFRGCTVEVLSIEDLVWLNASFSPMVKRIMSAETQASYFAGNSKDQSRETKLKDPNYASLLNHLRFYIPQIQPQLEKVVFLDDDVVVQKDLTPLFSVDLHGNVIGAVETCLEAFHRFYRYLNFSNPIISSKFDPQACGWAFGMNIFDLVAWKKANVTDKYHYWQEQNADRMLWKTGTLAPGLLAFYGLMEPLDRRWHILGLGYDSSVDDRLIESAAVVHFNGNMKPWHKLAISKYKHLWEQYVNLSDPIIKDCIMH >Ma06_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10902221:10903547:-1 gene:Ma06_g16060 transcript:Ma06_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMQTLVTLSLLSLFVCYVHGQLSSTFYAGTCPNLQQIVRSTMAQAVNKEPRMAASILRLFFHDCFVNGCDASILLDDTAAFTGEKNASPNRNSARGYEVIDAIKSNVEAACRATVSCADILALAARDGVALLGGPTWAVQLGRRDARTASQSDANSNLPGPSSGLSTLISSFAAKGLNARDMTALSGAHTIGQAQCGNFRSHIYNDANVNSSFAALRKRNCPSSGGDGNLAPLDLETPNRFDNGYYRDLVAKKGLLHSDQELFNGGSQDSLVRQYSVNNAAFSRDFAAAMVKMGAISPLTGNRGEIRLNCRKAN >Ma10_p01670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5006408:5010631:-1 gene:Ma10_g01670 transcript:Ma10_t01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPYKGFINGFRRLYSHEFPSNPSLRSPISWGQTRFLNSAPFRSSRSTSPSPLPDPASPNRFFNRFFTLDCNSRRLGSETAIKLRSLGSGIKGLGRISESGYGSQIFSIRSLNFGKYGVFGGGVGKSVVDKPLSAVSSAFSRYREAVGLQMDAFWRRNYMILVGAGAVVACFALWRIMFGIASTFVGLSEGMAKYGFLALASAIVAFAGMYFRARFTINPDKVYRIAMRRLNTSAGILEVMGAPLTGTDVRAYVMSGGRPKLKNFKLKFGDKRCFLIFPIRGSERRGLVSVEVKNKKGQYDMKLLAVDIPMASGPDQRLFLAGNEQEYRVGGGLISELRDPIVKAMAAEKEFEDLDQKEDEEDEIRVREEAERKQREEEQRALEAEKQRHEEEERTVDVADTKHR >Ma05_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34382368:34388478:1 gene:Ma05_g22630 transcript:Ma05_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQRHFGGFRSSHPSQQHCSFASSSSSSEEEEEDDDDEEEEEVDEQEEECPISSPLIVPGPKAKEGERRENQRQHQIVTVLVAALRKSLVMCSVACGQRGASPASMEIGWPTDVRHLAHVTFDRFDGFLGLPAELEPEVPPRVPSASTSIFGVSVESMQCSYDKRGNSVPTILLSMQRHLYLQGGLQVEGIFRINAENSQEVFLREQLNRGIVLHGTDLHCLAGLIKAWFRELPRGVLDSLTPDQVMHCNTEEVCSEIARMLPPTEAALLDWAINLMADVVEHEHYNKMNARNIAMVFAPNMTQMADPLTELIHAVQVMNFLKTLIIKTLREREEASFAAIAFSSYSESPSDKDEATLSKPSEKYALCTNEKILGIYALDKVAIGKLLFNGEPSLGSSEGSFEPKIEMINELDATRDECQCGYVYGDVESMMDRVSFRKVVRKFCSRPVFQLSRCPKKQAKLVNLDEGREAWT >Ma01_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26159981:26165894:-1 gene:Ma01_g22880 transcript:Ma01_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADREASNDQTSSRIRVLSSHLHSPPPPPRMTSSEKDAALAATPSDAPTIFDKIIRKEIPSTVVYEDEKVLAFRDISPQAPTHIIIIPKVKDGLTGLSKAEERHIEILGYLLYVAKLVAKQEGLDDGFRIVINDGPKGCQSVYHLHIHLLGGRQMNWPPG >Ma08_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35984180:35984877:-1 gene:Ma08_g22390 transcript:Ma08_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTGPLLKVYYENCPGCKQDRKNEVRRGVPYREFFYIWIVTLCSALPVASLFPFLYFMNVIIIINIILLWSSRYHGCTYILKLFGEPKGLF >Ma11_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21008846:21009331:1 gene:Ma11_g15320 transcript:Ma11_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTLSELILRKWQRLASKGRRKRSKGHFVVYAKEGKRFMVPLKYLEHPIFQVLLEMAEEEFGTASDGPLRVPCEEKLMEHIVSLLKAARPGKDAVQRSFLFFPSLRNNVLNNGCQSGITEEKDEETVGFVQ >Ma08_p10390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7583653:7593113:1 gene:Ma08_g10390 transcript:Ma08_t10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLLVLGVAFVVCRFLLMLIPPSVPSIEVDASDVLEDGSQTKENSFIYIPRKGRVPQTDKVQCYEPATMKYLGFTQASTPDEVKEHVAQAREAQKIWASSSFRKRREFLRILLKYIIDHQKLICEVSSRDTGKTMVDASLGEIMTTCEKITWLLAEGERWLKPEYRSSGHSMLHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAVFSGNAAVIKVSEHASWSGLFYFRIIQAALAAVGAPENLVHIITGFTETGQALVSSVDKIIFVGSPGVGKMIMQKASETLIPVTFVLGGKDAFIVCEDIDLPTVVQVAMRGALQSSGQNCAGAERFYVHERIYPDFVDQIVTLVKSISVGPPLSGRYDMGAICVQEHSEKLQNLVNDALDKGAEIVGRGNFGHLGEDAVDQFFPPTVIVNVDHTMKLMQVETFGPIIPIMKFSSDEEVIKLANDSNYGLGCAVFSGNQKRAIAIASQLHCGVAAINDFASTYMCQSLPFGGVKHSGFGRFAGVEGLRACCLVKSVVEDRWWPYIKTVIPKPIQYPVAENAFEFQESLVEALYGLNVWDRLRALTSVVKIISEKSPKPINAKKRQ >Ma03_p25750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29725879:29726643:1 gene:Ma03_g25750 transcript:Ma03_t25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVRTITTPFRKACTLISPPRDKKPQTAGHDRHRTALHGEVMACSYHDVQVMWSILDKSSPGEDHARR >Ma03_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2529847:2532123:-1 gene:Ma03_g03840 transcript:Ma03_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEFFNVTWSSLSFAIIWECVSFTATYPALSELSIYHCRFQKYESMFLRTLVRADMEVYRVIPSRIAPDPLAWIVSPFSGSNFTAFPLVHRHGPCSPFDDKKLLSLEEILLKDQLRVNYLRRSALKSSAKPQVDDSKASIPAEYGGGEYVITVGYGTPSREQTVTMDTGSDLSWIQCKPCSACYSQQEPIFDPSQSSSYTAIPCNSQDCSQLRSSCSSSCAYGITYGDGSTTSGVYSYDRLTLSPNDVIEDFLFGCGTDNEGLFQDTAGLVGLGRGKRSLVSQTSQVYHSVFSYCLPSTPSSTGFLKLGEPGDASNTVYTRMQTSSNHPSFYFVDLIGISVGGQQLAISPSVFSSRGTIIDSGTTITRLPASAYGALRSAFRSYMSQYPAAPPTRVLDTCYDFSGYETISVPKVALHFDGVTLDLDTSGILRHGCLAFAGYQGGSFGIIGNVQQQTFEVVYDLGNERIGFVPQACS >Ma05_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2593204:2595562:1 gene:Ma05_g03590 transcript:Ma05_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVPRRIVDLMGRDFNFSDILSLFPGACHLDAPMTYNCLLKCHSGSGMTGQSLQVFLRARRMCVSASRTVISQLLDSLVDMGKMDAVLEMHEQCPDLYSAVMSKLLEKGYAGVALKFHRRLMERGSVLQILELNRLLNTLCKQNRISVAFDIFYLALEIGPEPSVVTYSTLIRSCCKEGRLDAAVKIFELMVEKGTNPDLIVYAILIDAFCKKGRMEEGNGLFHRAVGDGLKPDVVVFSSLMDGYVRLRDVKKAFVFYKRMVDDGVAPSSVTYSILIHGLCQDGRVAEAYGVLSLMVKKLLEPNILTYSSLIDGLCSDGNLIEAFDLYKHAIRMGNHADVVVHVLLVKGLCRWGRIRDALRLFFKLGLEASIVPYNVLLDGCCSMKRLGDAVRIYRLLGANNIKADIVTFTVLIKGMANEGRLHDATNLFFQILKRGIIPDALTYCSLIDCLCKHGNLIVGFKIYEMMLSNGVEPDIFIYNVLINSLFKEGQVEGALKLITQLTKLGLKPDIVTCNTIVTGLCYLGRLNEATEVFEKLICKAFQPNTVSYTILIHAFCKEGRMNEAKLLFDKMLDHGTWPNVVTYSCLVDGYFKAERMENAMELHELMLQHRIHPNIVGYSVLIDGFCKQERLEEASLAFHCAISRGLLPDVVAYSILICKFCVVGRLAEANMFYERMIEDGVKPDSYLYNKILQCLKTHDCTVVAGQSNNML >Ma05_p03590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2593404:2595562:1 gene:Ma05_g03590 transcript:Ma05_t03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSASRTVISQLLDSLVDMGKMDAVLEMHEQCPDLYSAVMSKLLEKGYAGVALKFHRRLMERGSVLQILELNRLLNTLCKQNRISVAFDIFYLALEIGPEPSVVTYSTLIRSCCKEGRLDAAVKIFELMVEKGTNPDLIVYAILIDAFCKKGRMEEGNGLFHRAVGDGLKPDVVVFSSLMDGYVRLRDVKKAFVFYKRMVDDGVAPSSVTYSILIHGLCQDGRVAEAYGVLSLMVKKLLEPNILTYSSLIDGLCSDGNLIEAFDLYKHAIRMGNHADVVVHVLLVKGLCRWGRIRDALRLFFKLGLEASIVPYNVLLDGCCSMKRLGDAVRIYRLLGANNIKADIVTFTVLIKGMANEGRLHDATNLFFQILKRGIIPDALTYCSLIDCLCKHGNLIVGFKIYEMMLSNGVEPDIFIYNVLINSLFKEGQVEGALKLITQLTKLGLKPDIVTCNTIVTGLCYLGRLNEATEVFEKLICKAFQPNTVSYTILIHAFCKEGRMNEAKLLFDKMLDHGTWPNVVTYSCLVDGYFKAERMENAMELHELMLQHRIHPNIVGYSVLIDGFCKQERLEEASLAFHCAISRGLLPDVVAYSILICKFCVVGRLAEANMFYERMIEDGVKPDSYLYNKILQCLKTHDCTVVAGQSNNML >Ma11_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5866280:5867716:-1 gene:Ma11_g07380 transcript:Ma11_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFADHGKRRCRWVNGPLIVGAGPSGLAVGACLKEHGVPSVILERSNCIASLWQSRAYDRLKLHLPKQFCQLPKLPFPEDFPEYPSKNQFVDYLESYAAHFQLNPQFDETVVSAKHDNTCGMWRVRTSVGRHGSRGRRTEVEYICQWLVVATGENAECVVPEMEGSEEFGRQVMHASDYRSGEAYRGRQVLVVGCGNSAMEVCLDLCHHNAFPTMVVRDAVHVLPRETFGRSTFELAVSLMKWLPVKVVDKVLLISSWMILGNIEKYGLRRPSLGPLELKNTQGKTPVLDIGALQKIKTGEIRVVPGIKRLLHGRAELVDGRILDVDSIILATGYHSNVHSWLQGTEFFNKDGFPSQAFPDGWKGCSGLYAVGFTRRGLSGASMDAVKIAEDIGRVWKEETRQAKHITACHRRCTSQN >Ma05_p24860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37001658:37007013:-1 gene:Ma05_g24860 transcript:Ma05_t24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTISHVNSEKQVKPLVSHRVEPTTCNPKHVTKLIKSEPTPSKELADADESMSKHPVTKFSEGMKPNQCFEGSINVETDTLPIEGPINSEADKLPSKAILPFENNNTHPCSDSQREPTQYVDTKGPNGAMILKGSVDQENNIEHDVSNGIISAKVSEGNSSITKSSGSAKVIDKVDVVENRISSMCRPSTSSDISDESLCSSLSSSINKPHKANDSRWEAIQMVRARDGILGLSHFRLLKRLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQCLDHPFLPTLYSHFETDKFSCLVMEFCSGGDLHTLRQRQPGKRFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPESETLRRNNTVYCVQPACVEPPSCIQPACVAPTTCFGPRFFSSKSKKDRRPKHEIGNQVSPLPELIAEPTDAHSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPDSPVVSFAARDLIRGLLVKEPHHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRHVEIERPIRPVASTSEKATPVINQKGPDNYLEFDFF >Ma05_p24860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37001658:37007997:-1 gene:Ma05_g24860 transcript:Ma05_t24860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTISHVNSEKQVKPLVSHRVEPTTCNPKHVTKLIKSEPTPSKELADADESMSKHPVTKFSEGMKPNQCFEGSINVETDTLPIEGPINSEADKLPSKAILPFENNNTHPCSDSQREPTQYVDTKGPNGAMILKGSVDQENNIEHDVSNGIISAKVSEGNSSITKSSGSAKVIDKVDVVENRISSMCRPSTSSDISDESLCSSLSSSINKPHKANDSRWEAIQMVRARDGILGLSHFRLLKRLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQCLDHPFLPTLYSHFETDKFSCLVMEFCSGGDLHTLRQRQPGKRFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPESETLRRNNTVYCVQPACVEPPSCIQPACVAPTTCFGPRFFSSKSKKDRRPKHEIGNQVSPLPELIAEPTDAHSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPDSPVVSFAARDLIRGLLVKEPHHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRHVEIERPIRPVASTSEKATPVINQKGPDNYLEFDFF >Ma05_p24860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37001658:37007997:-1 gene:Ma05_g24860 transcript:Ma05_t24860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTISHVNSEKQVKPLVSHRVEPTTCNPKHVTKLIKSEPTPSKELADADESMSKHPVTKFSEGMKPNQCFEGSINVETDTLPIEGPINSEADKLPSKAILPFENNNTHPCSDSQREPTQYVDTKGPNGAMILKGSVDQENNIEHDVSNGIISAKVSEGNSSITKSSGSAKVIDKVDVVENRISSMCRPSTSSDISDESLCSSLSSSINKPHKANDSRWEAIQMVRARDGILGLSHFRLLKRLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQCLDHPFLPTLYSHFETDKFSCLVMEFCSGGDLHTLRQRQPGKRFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPESETLRRNNTVYCVQPACVEPPSCIQPACVAPTTCFGPRFFSSKSKKDRRPKHEIGNQVSPLPELIAEPTDAHSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPDSPVVSFAARDLIRGLLVKEPHHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRHVEIERPIRPVASTSEKATPVINQKGPDNYLEFDFF >Ma09_p03650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2421690:2424989:-1 gene:Ma09_g03650 transcript:Ma09_t03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKQAEEAAIVASGITTLNNETEHGDGDGGGGGKEDDGEEESILSMKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGLILQLFYGFLGSWTAYLISVLYIEYRTRKEKEKVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQAEEVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKNIYLLATVYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALVRLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAMAHMLTYRTPSARQNAAEKPPFFLPSWTAMYAVNAFVVGWVLVVGFGLGGWASMTNFVRQVDTFGLFAKCYQCPKPQPPPAPAPQTLQQQHHH >Ma09_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2421690:2424989:-1 gene:Ma09_g03650 transcript:Ma09_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQKQAEEAAIVASGITTLNNETEHGDGDGGGGGKEDDGEEESILSMKNLLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGLILQLFYGFLGSWTAYLISVLYIEYRTRKEKEKVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQAEEVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKNIYLLATVYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALVRLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAMAHMLTYRTPSARQNAAEKPPFFLPSWTAMYAVNAFVVGWVLVVGFGLGGWASMTNFVRQVDTFGLFAKCYQCPKPQPPPAPAPQTLQQQHHH >Ma03_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9499241:9501144:-1 gene:Ma03_g12290 transcript:Ma03_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFLGGGGGSQQQRGDQQAGGIPPTEGFFLYGGRGSRGEDVAYTRGFELWQQHQIQRDHQLYSAAGFPNEMPSVASRPTRGSLEGGSGGMSCQDCGNQAKKDCAHMRCRTCCKSRGFQCTTHVKSTWIPATKRRERQQHLAAAAASVQQDQRHRGGGRSAAEGSSGGSEPFKRPREITACTRIPNALATTTTYGGSLEPESLPPEVSTQAMFRCVRVSHVDEPDDVYAYQTAVSIGGHVFKGILYDHGPEADYPSSSSSRYQLHHREGSSSPAPAAAAAAAITNISTGDATIATAAAATELLEPYPTPLSAYMACTQFFPHQHRQ >Ma08_p09410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6804278:6810440:1 gene:Ma08_g09410 transcript:Ma08_t09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVARATPSIVILPRPCTFHRKASLRPNLRTQSHQTATTDTSTADGLPRLLLHDSLNQAGIDTKLARAAREGFCQQIQGLSGISGETSIVVRIGADLAKAALQIAAEDDSLISHSSVPLPVDAFVESKLIHHHICCQGFRRTDAMSDARSLYLHSALTCRSGSAVILSLIYSEMLKMLKVYGFLDFDVEIFFPHDLGSLPRGYHKQKSQLSDQPHIVTSKSLLVKTLRNLKDAFWPFQYDHSTSLFLRAAQAANLSYGPATVKERYSKSHSNVSGLEIASAKAAHHRIERGVWTIVRFGDMRRALAACERLILLDASPEELRDYAVLLYHCGFYEECLLFLKSYETSKDSYTRVSQSNQSNKLEEDLTVNLMTRVKLILGEEGWSNSGVSTSYWGKNSEPW >Ma08_p09410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6804254:6810440:1 gene:Ma08_g09410 transcript:Ma08_t09410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARSLYLHSALTCRSGSAVILSLIYSEMLKMLKVYGFLDFDVEIFFPHDLGSLPRGYHKQKSQLSDQPHIVTSKSLLVKTLRNLKDAFWPFQYDHSTSLFLRAAQAANLSYGPATVKERYSKSHSNVSGLEIASAKAAHHRIERGVWTIVRFGDMRRALAACERLILLDASPEELRDYAVLLYHCGFYEECLLFLKSYETSKDSYTRVSQSNQSNKLEEDLTVNLMTRVKLILGEEGWSNSGVSTSYWGKNSEPW >Ma08_p09410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6805055:6810440:1 gene:Ma08_g09410 transcript:Ma08_t09410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFRRTDAMSDARSLYLHSALTCRSGSAVILSLIYSEMLKMLKVYGFLDFDVEIFFPHDLGSLPRGYHKQKSQLSDQPHIVTSKSLLVKTLRNLKDAFWPFQYDHSTSLFLRAAQAANLSYGPATVKERYSKSHSNVSGLEIASAKAAHHRIERGVWTIVRFGDMRRALAACERLILLDASPEELRDYAVLLYHCGFYEECLLFLKSYETSKDSYTRVSQSNQSNKLEEDLTVNLMTRVKLILGEEGWSNSGVSTSYWGKNSEPW >Ma08_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6804260:6810440:1 gene:Ma08_g09410 transcript:Ma08_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVARATPSIVILPRPCTFHRKASLRPNLRTQSHQTATTDTSTADGLPRLLLHDSLNQAGIDTKLARAAREGFCQQIQGLSGISGETSIVVRIGADLAKAALQIAAEDDSLISHSSVPLPVDAFVERLDDLSMGFCSLYMPPLNSPPEVFLGNLERYFYVHKGFRRTDAMSDARSLYLHSALTCRSGSAVILSLIYSEMLKMLKVYGFLDFDVEIFFPHDLGSLPRGYHKQKSQLSDQPHIVTSKSLLVKTLRNLKDAFWPFQYDHSTSLFLRAAQAANLSYGPATVKERYSKSHSNVSGLEIASAKAAHHRIERGVWTIVRFGDMRRALAACERLILLDASPEELRDYAVLLYHCGFYEECLLFLKSYETSKDSYTRVSQSNQSNKLEEDLTVNLMTRVKLILGEEGWSNSGVSTSYWGKNSEPW >Ma06_p25680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26051839:26053665:-1 gene:Ma06_g25680 transcript:Ma06_t25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVWFALKRSLHCKSEPSEVHDPKARGHLGSILTRKAGRSGCSRSIANLRDVIHGSKRHIEKPPSCSPRSIGSSEFINPITHDVILNNSRCELRISGLGAGHEGEAGLDSPCVGTLRPGTPGPGGHPSPLQYNPPPCGGASSTPARKCPSFSGDGEDHGPGGSSLATVLLGHGVVGPHHAASTPRFSHEMHLQKCSAAVTCHKCGEKFVKWETLEAHHLTKHAVSELVEGDSSRKIVEMICRTGWSKTDGSCGRIERVLKVHNMQKTLTLFEEYRETVKMKASKLPKKHPRCLADGNELLRFHGTTIACSLGTNGSSGLCASEKCSVCQIIRHGFTGNEETKGGIGVFTTSTSGRALESIETDEDDTSVKKALLVCRVIAGRVHKPLDNYQELVGQCAFDSLAGKVGLYANIEELYLLNPKALLPCFVVICKTLK >Ma05_p31540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41413869:41421657:-1 gene:Ma05_g31540 transcript:Ma05_t31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATLGRCPHPVPTDLLYILPLLFARLKGSSLRTCSSPSLPSSNSISLVSFDPFWTLSVGFAELPCRVLGGRAVIMGPVRGLKKRKRAEKNAAARVTAAAPGSGDWWDDFSRRITGHLSKEPRKFESIFKISRKTFNYICSLVRDDLMARTSNFAFTDGKILSLEDQVAIALRRLGSGESLLNIGVSFGMNHSTVSQVTWRFVEAMEERGIYHLKWPTSPEMEDIKSKFEEIQGLPNCCGAIDTTHIMMCLPSVDASNKVWVDYEKKHSMVLQAVVDPDLRFRDIITGWPGSMNEVPVLQSSGFFKMCEKGTRLNGEKVELPEKLEVREYVIGDSGFPLLPWLLTPYQGKDLADGKIEFNKRLSATRMVAQRALARLKGMWKIIQGEMWRPDKHRLPRIIYVCCLLHNIAIDLEDEVRDVMPSSSDEHDTSYKQQFCDITDDNGSILRDKLSRYLSGRLPP >Ma04_p26110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27684843:27688450:-1 gene:Ma04_g26110 transcript:Ma04_t26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWIADAVTGLSSFCSCLLAQLQLIPESSCTFQMLLKMEFFFPKESSWVTELHRMCHHLPKLMAATITLLLDMDIDGFYSSLAWRSSIRRRRRPARGPRKSNTKGYHANDGCYKGLKLFHLKSHLQKYRSGKQSGKEMTEQSKDGRSRSSSFVLSLLNTLSAHSIIFPKRFQRIW >Ma08_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35729601:35730248:1 gene:Ma08_g21980 transcript:Ma08_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNSPPEPSVQVQRVTGGGGGVMGARERCTAHELPEATAKHHEHAVGARQCCSAVVKRVAAPVAAVWAVVRRFDKPQAYKHFVRSCRLVCGDGGVGTLREVRVVSGLPAATSTERLEILDDEHHVLSFRVVGGEHRLANYRSVTTLHPDTAGAAEGTVVVESYVVDVPPGNTRDDTRLFVDTIVRCNLQSLARTAEALNRRGGATAVAVRMANP >Ma05_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22176650:22184894:-1 gene:Ma05_g18020 transcript:Ma05_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MYDIMEEEDEDKLLLSSLGITSANPEDIERKILSQAKNDTNYASEPGESSHDYEPDETGPLPTRVKLYNKLRALEVEIDAVASSIGSAKCIEVDGSGNSDSTSVRGDKPVENIGYDVHAPSSNLCLEQALATDRLRDLKKAKAQLQKEISLFGDYAFANDIEHEKHNVELLDELVKERPKQKPKHKQKLKSNGHSKRPLKAVAYDEDADFDTVLDAASAGFMETERDKLVRRGILTPFHKLKGFERRVQERGPPIRQDVPEEDSTENLASTSIARAAQSISQIALARPTTKLLDAEALPALEPPTRPFQRLQGPLKRARSSRKKELDKNERNIKRTRRPGPEKRWRKDDLTNESLDGSEEDTRRGSSASDCEEELQDEAENKDQSSVVFEGGLKMPGAIYSKLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTIQVISFLGALHFSKMYKTSIVVCPVTLLRQWQREVKKWYPDFKVEILHDSAHAITKQKLVKSSESDYDSESSLGSDSERPCPVKSTEKWDYMIDRIVKSESGLLLTTYEQLRLMGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLGELWSLFDFVFPGKLGVLPVFETEFAVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPDQRSVYRAFLASSEVEQIFDGIKNSLYGIDVMRKICNHPDLLEREHAAQNPDYGNIERSGKMKVVSRILNVWKEQGHRVLLFAQTQQMLDIIESFLTASGYSYRRMDGFTAVKQRMALIDEFNNSEEVFVFILTTKVGGLGTNLTGADRVIIYDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFTLQDDQGDLTETSNIFSQLSEEIHVGVTDGYQGKQGSSPSGIRESTNQIDGGKDEEINILKSLFDVHGIHSAMNHDAIMNANEDFKMKMEEQASQVAQRAAQALRESRMLRSRESFAVPTWTGRAGAAGAPSSTRNRFGSTLNTQLLGPGKPSEGSASRPPGFSAGASTGKALSSVELLARIRGTQERAVSDALEQDLDLASTSNHQHGKPESSHSTKPPSKLVIAQPEVLIRQLCTFIQQRGGSTDSASITQHFKDKIQSKDLPLFKNLLKEIATLEKDASGSRWVLKSEYQ >Ma08_p13750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10869199:10869783:1 gene:Ma08_g13750 transcript:Ma08_t13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGTSVISTVHNSLMMNNNRSRERDAPNSKTFSAFVSSAWKSTWRPAKPEALREEAIRLQESCEIRG >Ma08_p28720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40525722:40526180:1 gene:Ma08_g28720 transcript:Ma08_t28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNSESTASHQNTSKNRVQKSHHSPRDTNRRILRMEEREDAHQVVVVVEGDAGGFGAEGAVVELVVEAVGDPDRLAGVAVLDDDEVVGLEEGASHLQEVQVADRRHHDVELVLEQGSARRRRRRQGRPAHHVRRSHLSSSPPLSLTFKLCQ >Ma04_p17210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17411412:17429944:1 gene:Ma04_g17210 transcript:Ma04_t17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSEENPALRIIENSIQALGRGFDANCDTRLLYCKGATGSRVVELDEEHARELPIGEGLVVPNVSRDVRCSIESPGRESFGACGFYEMAEYFNRKALLSGSIPLGRFNCAFSFSGSKKIDAAATKSLAMNGKFIPLCKVQLMKHPLSLQDHVKAAVPRSWEPLSLARFIETYGTHVITSITIGGKDVIYVKQHISSTLSITEIKNYILDVGDQRFSETESVTSSGPIKLKGKAVDPFIFNSQGIHPQPLNAPYLTAKEDVTVIFRRRGGDDLVQSHVEWARTVPSAPDVIQMTFLPITSLLESIPGKDHLTRAINLYLEYKPPIEELRYFLEFQVPWIWAPVREEFPGHKRKEPVCPFLQFSIMGQKLYVSQEQVSVSRKPVTGLRLFLEGAKQNRLCIQVQHLASLPKMLQPYWDAHVAIGAPKWQGPEEQDSRWFEPVKWRNFSHVTTAPIENNETFISDLSGVFVVTGAQLGVWDFGTKNVLYMKLLYSKVPRCTIRRSLWDHSPDGSKNKLKRLPSGASMSSDESSSDSDLGRLSKFVDMSEMKKGPDDMPGHWVVTGGKLGVEKGKIVLRVKYSLLNY >Ma04_p17210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17411412:17429944:1 gene:Ma04_g17210 transcript:Ma04_t17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSEENPALRIIENSIQALGRGFDANCDTRLLYCKGATGSRVVELDEEHARELPIGEGLVVPNVSRDVRCSIESPGRESFGACGFYEMAEYFNRKALLSGSIPLGRFNCAFSFSGSKKIDAAATKSLAMNGKFIPLCKVQLMKHPLSLQDHVKAAVPRSWEPLSLARFIETYGTHVITSITIGGKDVIYVKQHISSTLSITEIKNYILDVGDQRFSETESVTSSGPIKLKGKASILRFFFPIKLLCLLLWILLYLTVKVYTHNHLMLHILLQKSFYVYLLHLLMQDVTVIFRRRGGDDLVQSHVEWARTVPSAPDVIQMTFLPITSLLESIPGKDHLTRAINLYLEYKPPIEELRYFLEFQVPWIWAPVREEFPGHKRKEPVCPFLQFSIMGQKLYVSQEQVSVSRKPVTGLRLFLEGAKQNRLCIQVQHLASLPKMLQPYWDAHVAIGAPKWQGPEEQDSRWFEPVKWRNFSHVTTAPIENNETFISDLSGVFVVTGAQLGVWDFGTKNVLYMKLLYSKVPRCTIRRSLWDHSPDGSKNKLKRLPSGASMSSDESSSDSDLGRLSKFVDMSEMKKGPDDMPGHWVVTGGKLGVEKGKIVLRVKYSLLNY >Ma09_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36079445:36082159:-1 gene:Ma09_g24410 transcript:Ma09_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMGLPPGFRFHPTDEELVNYYLKRKIHGLKIELEIIPEVDLYKCEPWDLAGKSFLPSRDPEWYFFGQRDRKYPNGFRTNRATRAGYWKSTGKDRRVCHQNRAIGMKKTLVYYKGRAPQGVRTSWVMHEYRLDDKECEDTVGLQDSYALCRVFKKTVACTRGEEQGQCSSTLAESLRDSMAEIETHSPDMPMGSSSLRVDDDHDGDDEAKDDSWMQFIIDDAWCSNVSNNDGGDEPSCVAAVAN >Ma06_p36570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35908381:35910030:-1 gene:Ma06_g36570 transcript:Ma06_t36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRKGGTGSPQRSSKLFDLFNADPMIQSEDELCTGAGAGGDTAPSAAVSPGYSSDRNPTSTGASPYNLSPWSPGAVSPFAKSPWAYLPLLSEEALADPAATGLVGSLVREEGHVYSLAAAGDLLYTGSESRNIRVWKGRREMSGFKSSSGLVKAIIVAGDRIFTGHQDGKIRIWKTSSTNPAVPKRVGTLPRLKDFLKSSINPSNYVEVRRHHKTVWLRHFDAVSCLSLDEEAGILYSGSWDKTVKVWRVSDSKCLESITAHDDAVNAVATGFGGFLFTGSADGTVKVWRREETGKGGPTRHVLVQTLLRHEAAVTSVAVAEAAGAVYCGSSDGVVNYWRWEGWRRQLTHGERLRGHRMPVLCLAAAGSLVVSGSADMTLCVWRREEAGGYGAHAKLAVFAGHEGPVKCLAVKAEDNHADGACGGPRYVVYSGSLDNSVKVWRVSEWDTARGKTPEQGPAEAEPSSLRSRGGGAGAPVAQRQSYGAVRNPTVGHDVKGGPPPVRAAA >Ma10_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2654316:2658156:-1 gene:Ma10_g00790 transcript:Ma10_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSMARSETPRYYGRSLPECFKLFDLYHLLTTDHQTVTRITKEVIEDFAAENVVYLELRTTPKKNEAKGMTKCSYMKAVIDGIRAVDTVDVDFDTSGTSNVNCSEATPLNCIGSPIKRKKIYVRLLLSIDRRETTAAALETVNLAMDLKNFGVVGIDLSGNPVIGEWQTFLPALKHAKELGLPITLHCGEVPNHKEIQAMLDICPQRIGHACFLVEEEWKRVKFLKIPVEICLTSNIRTERFSSIYDHFFADLYKAKHQVALCTDDSGLFSTTLSNEFYLAASTFGLNKDEMFLLARSAIQSTFADDEVKHELTKIFDDAANRLII >Ma10_p00790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2654316:2658225:-1 gene:Ma10_g00790 transcript:Ma10_t00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDMGLMEWCRELPKIELHAHLNGSVRDSTLLELAKDLGEKGVIAFEDVVHVIMKNGRSLPECFKLFDLYHLLTTDHQTVTRITKEVIEDFAAENVVYLELRTTPKKNEAKGMTKCSYMKAVIDGIRAVDTVDVDFDTSGTSNVNCSEATPLNCIGSPIKRKKIYVRLLLSIDRRETTAAALETVNLAMDLKNFGVVGIDLSGNPVIGEWQTFLPALKHAKELGLPITLHCGEVPNHKEIQAMLDICPQRIGHACFLVEEEWKRVKFLKIPVEICLTSNIRTERFSSIYDHFFADLYKAKHQVALCTDDSGLFSTTLSNEFYLAASTFGLNKDEMFLLARSAIQSTFADDEVKHELTKIFDDAANRLII >Ma11_p07210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5765671:5774410:1 gene:Ma11_g07210 transcript:Ma11_t07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPERTVDSKFPAGDSDDSADREPVATNSEQKAIENKSLKASFLTSFFTSTLSIFERRSDSFSVEKKPTKSRSYGWTTAVRKVVTSGSMRRLQERILGTSRFDNLSMTSQIWFLGKCYKLSLEESSQCSDPGNSYAAFLEDFSSRIWITYRKGFEPIGESKFTCDVNWGCMIRSSQMLVAQALILHHLGRSWRKPLQKPYDLQYVEVLHLFGDSSTCAFSIHNLLQAGRGYGLAAGSWLGPYAICRTWETLARANKEQAEHDKCKESLPMVLYIVSGDEDGEQGGAPVVCIEVAAKLGSHFSKGQLEWAPILLLVPLVLGLEKINPRYIPLLWETFTFPQSVGILGGKPGASTYIVGVQDDKALYLDPHEVQPAVDIRRDDSEADCTSYHCSTVRHLPFDMIDPSLAIGFYCRDKGDFEDFCSRASQLADSSNGAPLFTVVQNLQPAKPIPCHVGTDHNRGIDDSSVENFSDIGGQVHEDEWQIL >Ma11_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5765675:5773835:1 gene:Ma11_g07210 transcript:Ma11_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPERTVDSKFPAGDSDDSADREPVATNSEQKAIENKSLKASFLTSFFTSTLSIFERRSDSFSVEKKPTKSRSYGWTTAVRKVVTSGSMRRLQERILGTSRFDNLSMTSQIWFLGKCYKLSLEESSQCSDPGNSYAAFLEDFSSRIWITYRKGFEPIGESKFTCDVNWGCMIRSSQMLVAQALILHHLGRSWRKPLQKPYDLQYVEVLHLFGDSSTCAFSIHNLLQAGRGYGLAAGSWLGPYAICRTWETLARANKEQAEHDKCKESLPMVLYIVSGDEDGEQGGAPVVCIEVAAKLGSHFSKGQLEWAPILLLVPLVLGLEKINPRYIPLLWETFTFPQSVGILGGKPGASTYIVGVQDDKALYLDPHEVQPAVDIRRDDSEADCTSYHCSTVRHLPFDMIDPSLAIGFYCRDKG >Ma11_p07210.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5765671:5774410:1 gene:Ma11_g07210 transcript:Ma11_t07210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAAVILLGLLLAGDSDDSADREPVATNSEQKAIENKSLKASFLTSFFTSTLSIFERRSDSFSVEKKPTKSRSYGWTTAVRKVVTSGSMRRLQERILGTSRFDNLSMTSQIWFLGKCYKLSLEESSQCSDPGNSYAAFLEDFSSRIWITYRKGFEPIGESKFTCDVNWGCMIRSSQMLVAQALILHHLGRSWRKPLQKPYDLQYVEVLHLFGDSSTCAFSIHNLLQAGRGYGLAAGSWLGPYAICRTWETLARANKEQAEHDKCKESLPMVLYIVSGDEDGEQGGAPVVCIEVAAKLGSHFSKGQLEWAPILLLVPLVLGLEKINPRYIPLLWETFTFPQSVGILGGKPGASTYIVGVQDDKALYLDPHEVQPAVDIRRDDSEADCTSYHCSTVRHLPFDMIDPSLAIGFYCRDKGDFEDFCSRASQLADSSNGAPLFTVVQNLQPAKPIPCHVGTDHNRGIDDSSVENFSDIGGQVHEDEWQIL >Ma11_p07210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5765671:5774410:1 gene:Ma11_g07210 transcript:Ma11_t07210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPERTVDSKFPAGDSDDSADREPVATNSEQKAIENKSLKASFLTSFFTSTLSIFERRSDSFSVEKKPTKSRSYGWTTAVRKVVTSGSMRRLQERILGTSRFDNLSMTSQIWFLGKCYKLSLEESSQCSDPGNSYAAFLEDFSSRIWITYRKGFEPIGESKFTCDVNWGCMIRSSQMLVAQALILHHLGRSWRKPLQKPYDLQYVEVLHLFGDSSTCAFSIHNLLQAGRGYGLAAGSWLGPYAICRTWETLARANKEQAEHDKCKESLPMVLYIVSGDEDGEQGGAPVVCIEVAAKLGSHFSKGQLEWAPILLLVPLVLGLEKINPRYIPLLWETFTFPQSVGILGGKPGASTYIVGVQDDKALYLDPHEVQPAVDIRRDDSEADCTSYHCSTVRHLPFDMIDPSLAIGFYCRDKGDFEDFCSRASQLADSSNGAPLFTVVQNLQPAKPIPCHVGTDHNRGIDDSSVENFSDIGGQVHEDEWQIL >Ma00_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30293390:30295165:-1 gene:Ma00_g03680 transcript:Ma00_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSSPSPPPLSGAAGDEVALASSRSSSEERATKALESLMWPHDLDSTMSESSLGLLRDRYSVPAEFTLIAPEPGQRAWSFGLRWAARAVDNTAPALDEGELRALRRLKEILPSSRVIRKITEAWLVEAGLSPVPREMVNLAVVHGGRLSSAVSPRRQVGPSIGTREAPVDLEDPAGGGLTRGTRVWADGETAAAFVRGGLHPDLAREMYTLSSDVLLGKSVKSLLWGQHYAMALADRVRDAGRALGILCERNAELRKQLEEVRAGVASEAVAAAEQRSSELEAEATRLRAEAGAAGERVSSLEAEVLRLRSEAKASEEEKSDLRGRLEGA >Ma02_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22529638:22531871:1 gene:Ma02_g14460 transcript:Ma02_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAFAASPAALMTTSSSPRLLSKRSSLASSESLFVAAAHRTRALQRRLRPGRSGGLGCRCLFGLGVPELVVIAGVAALVFGPKNLPEIGRSIGKTVKSFQQAAKEFETELKKDPEDSSKSAPAESPKAVSSEDEKKELETSGTTDSS >Ma04_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23227814:23290348:-1 gene:Ma04_g20500 transcript:Ma04_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSYDGSFSCESAFKRLLSRCPALRSDPKLFALHQKGGAATADDVVAAMAEPFLHPAYTIPIIGCFRPLCRRIADRAVAKLRAVPCLESVSEEDSDDVGEDDLHVIDFYVTRGRGLRLHELASLALCRALDLAPFLLRCVLNYFKFSPQPFVRLQLVGFSSQLSEKGENQLLGAIRVSYRFLVMEPTVFSELWDWTCFLDLMQQTSYLLSVCASLQLHNALDIRWCGIQILSIALKISDRAIENFGLGSDEAFACLLRFEEFCEDTSLEKAGCYFQTVEAEKDSSSVGIDNFSQLLESDCDFLVPSSNSFEIESKMRGCQNRVPCPFVLTSTIKRSYEMILMSVSQKWPILLHGPPGAGKTALINRLAEMSENQVLFIHTDEQMDSKTLIGNYICADQPGEFRWHPGSLTQAILKGYWVTFEDIDKAPSEVQSIILPLLEGSTTFITGHGEAISVSENFRLFGTISTSKHEVSHEIKGRLSFSALWRKVLVKAANRVDMIDTVNAWYPSLEPLIPKLIDTYEIARSFASSQLGATQGGGVDSSCALTRFSLRDLLKWCKRISDLDIKITISNFGLLSTDCQDIYQEAVDIFAAYLPCSQKRLLMMREIAKIWGVPLPEVENVYPPNKPTIQSGRSGLRVGRVVLPFTQIANFHPSRPFVGIHSILHVLERIACSVKHNQPVLLVGETGTGKTTVVQNLAMRLGQPLTVLNLSQQSDVADLLGGYKPADARSICIPLYQDFKELFCRTFSEKDNEALLRHYEIYAVQRNWKKLLHACQKSVEFVQKCAGKEAAITSGSKRKRPLSENVLHEWESFSSRLDAARKQIGTSAGVSFKFVEGAFITALRNGYWILLDEVNLAPPETLQRISSVLDSEKGTLCLSERGDIDHVERHPSFRLFACMNPATDAGKRELPYFFRSRFTEYFVDDVLDNDDLTLFVTQYMDEDNTDRELSKRIVNFYTAAKRESEERLQDGANQKPQFSLRSLARALEYTKMAKKSFGFQKALYDGFCMFFLTLLDGPSAKIMHKMIVSCILGGTIPKNIPFDDYFTDRSKCQDVPDSDGFLEDYILTKSVKENLSNLARAVYIKRYPVLLQGPTSSGKTSLVHYLASVSGHEFVRINNHEHTDLQEYFGTYITDSQGKLQFQEGVLVKAVRHGQWIVLDELNLAPSDVLEALNRLLDDNREIFVPELQETIPAHPNFMLFATQNPPTLYGGRKMLSRAFRNRFLEIHVDEIPDDELTKILELRCKIPASYASKMVEVMKDLQLHRQHSKVFAGKHGFITPRDLFRWANRFRMFGRTYEDLAKDGYLLLAERLRDENEKNVVRETLERHLRVRMIMDKLYSEEDQDETSYALSENPGVKESFGSITWTNSMQRLFFLVERCYRLREPVLLIGETGGGKTTVCQLLSVFLKAKLHILNCHQYTETADFIGGFYPVRDRSQLANQFKQLVEQIKTSKFFCFFPRDVELSSDISQASLTINVLNDVKTTLHAAIAPQDLDVFEQLKLGLMQLHQKWQTIFLWQDGPLVQAMKDGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGAILERITAHPNFFLLATMNPGGDYGKKELSPALRNRFTEIWVPPVSDIKELTSIAVERFIKPDLSGFANYMLSFWEWLNKLQIGRTLTVRDLLSWVSFVNVAEQNLGPIYAFLHGIFLVLLDGLSLGTNISKHDTKKLREDSLLFLVGEMKMADSTLVLVDSQLTKLENYGWGDGVKQMSILTCNNIDSKEQFGINPFYISIGCNECKHKGFEFLAPTTSRNVLRVLRAMQLSKPVLLEGSPGVGKTSLVVALAEYSGHSVVRINLSEQTDMMDLLGSDLPIQRDTGMEFSWCDGILLEALKKGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGLTFKCPPSFRVFACQNPSHQGGGRKCLPKSFLNRFTKVYVDELDADDYLFICRSQYPSVPETLLTKLICFNNRLHEDTMISRKYGQEGSPWEFNLRDVMRSCQIIEDTSKEASMDRFLSIVYLQRMRTVADRHEVMKLYTEVFGVKPSVTQFPKVHVNPNYLILGSACVERNHFQPSKVLKSQLNILPGILHNLEAVLHCVQCQWLCILVGPYSSGKTSLIRLLAHLTGNVLHELNLSSGTDVTDLLGCFEQYNSFRSYRDVITQVERYVNEYFGLRLERDWKGLINERRNLFSKWFAFLASKICNSHPTSLFPRLWKHDLCSSLNLLVEIIQQLEHDLEMFDLPVSWSTNDLNKLVKTLLYIQKKGTMKPSANFEWVSGILIKAIENGEWVVLENANLCNPTVLDRINSLVEPNGSITINECGLADGKPLVLHAHPKFQMFLTVDPKHGEVSRAMRNRGVEIFVMQPTWLPDEEEDEEINVNDVKRFLICSGIPCSKLVLAMSKAHMHVQSTGSCLGVRITLLELTRWVQLFQQLLMKGNQPKWSLQLSWEHTYLSSLGEAEGMEAVMQAKLSYLSDTDWYSFNELSGYSLHLPGGWPAPLTLRHLLWYSRETCVRQNCIFLEFLASQCASFKANMSCYGMLPSCMSQEFQPYVLPINMLRHLLFPDLLCEHDVKATNDIEQFDLALANKMLFFAAHWSLEQATESDVALYVLLFKWYSSKVQPYCNFFNSFLNILEKERDHPIWKYIRNCWKEVISHYKIDISARPLPVLSSEVVRLASEAMLKNCHEYLHNAMNCVGLLSLTYQQWTMERDSSCGEETLQYLLLPVLNTLRCLEADVLEVIVESEELQLIYAELLEYHMLFWKSINASDYDLSSVTWNFLRKKVMKLQPHFSKAVESILVEIHNLNHIPIWTFHMEKPTLWRYGGHPFLPASADVFYKMQQLLTFCNVVWTRTKLLRLNFTDNLVIMKTVLSSDLDIRQLALQALCMSSYIGNKGGLDDVNMVNQIDELYQGLLQRFEHERRNVELVFSSAAKDNAAAVRCSTTCCSFCLQDLCRKPGFEGWLVTLPLFDVMSFSYDIELFNELSRSTLVDSSELHEVLLKSSDRLVHALNFSLNLSSRPPSDFTPHQMILWILDAWKSMGSVHGELANFLLDMWFKFHTSMWSFCSKQFLMSKSHFDESCLLVLPSRTVIIDKILQSNSSIKDYDVHCLKLRVASRSLWQARLLQGDMVYFLHSVADSLFRQVINVHYKYFDKDVFSKIKSILCSAFGSGTRHEDIEILKSLISSSNHAVLTSLVDSLVEPLLRELYIKSPSSDSLYNIGCAWLHIGMLRFHLLNQDGPDPVLQYSFKHSNMLHKISFLDLEMKVRLECERLSGRNLMIYDENQRLLALQKFKAEEKKLRAKVVFRPEPSRYRNLRSACADFSKLLSSCMALVENLKHKTDIPLMVDMACNWQATSSSFIERLSEEYAEYIDLVQPVQVAVYEMKLGLSLALSSALERDYLEKLDGEDIQKILDEVYSFMQFPRDLLAGTNPVNMKDSEPEFDIGLLKKLVNASSVINPEKVDSPLQLENTIQYVVLIRTADKISYSRIMGENCFLLLNGTFDHFSKLWMSMKSELKAKEGDEAQYFKFRPRLTKLEDIMDEYVSPLSQLDSDGSLISESNKVEQEFIEMKKPAKEDENMDEEWDLIPESILKSLVKVHDQLFGSIGLVVKPGICFLAKEDKICSFLESYRLGAKIMKDLDVFRPILDDKLMPEHLFRVSLEHEETLGIASQSSHAYNIYKDSNASLLHKMVKPLTVIQERVNFFLKEWPEHPGLQNLLHAIDKLLSEHPSTPLSKALLSLQLLVGRAEFLQENASMFSLTDLLHPIYSLISSWQKLELDCWPTLLDEVVEQYENNAGKLWFPLYAVLHRRGSGDAEADKLFTMQSIEDFVGSSNVGEFKKRLNLLLAFHGQLNHGVVLKAYSSPAMRENLNILYNAFGYYVQFMPLVLEHIENGRRTIEKDLKEHLKLLHWEHPHNYSSIESFSRARQKMRKLIQKFNDILQQPLMVVLNQEVTLKREKIPAWLENCTCNETSLDVLQFPLDAMKLRNSERFLWCNGWSDKVKLVLKTDFLQARGLLDIKQSLMPETPYEGLKKLWEEGWSSLERVCQNAAQLAHIWKHGTKNLRKRRALSNLLKILEESGLSRHRSVLNEIGTKSGHLSDLYLQPSYEMLHLLLQEFWHKYPGNMSITSQSHSERPEDDKDALKWKFANQFYFRNLASMQQLKEICLGFSKDLSLEQVNRALSFLDHLILMQQEQRFVIYSEYKQLKKLHQQFLLLHSKEVSGDFIIARNQHLLLKCMWEQKQLFDSLLAMSRDTSMLLGSIKDGHLNTCKITRDGADTVCPVIDMFIPRFLKSKEILDMYLLGNDGIVTTATEVPCLVSEKMEQLVMSNFETINAFEEATRALGFQKVVRSVEGTLLNRFEELINKGKMIMKEFICQRDESNQFVCRENAKNIEPFYSENYERTSELVMDVCANLYAYYGDHNSVEKLSAENITSWKDLFQLYMMNLHLDRIHDSLSITIVAASKLASSAANRKTEVCSNIEMQLMNLRDPLDLILTFGDGILSEFLDAHVAIAEMTNVLAHIFILVFSKGFGSAQEEIETTTGNGSEDASGTGMGEGEGINDVSDQIEDEDQLLGSSEKQEGQDKLDKGPSDKDKGIEMEEDFDAETFSMSGDSNDTDLEDEEDLNLDSRMGQTADGDQVVDEKPWDRDEDGNTENLTEKYESGPAVKKTDSSSRELRAKDDNALAKEDSEEMDRDESDRLTEENKESEKSDDDLNADDMMLDKNQAFEDSTGIQFQEQALDFEDVDMDEPQGSDVVDGANSEPSESDEEMKDDEKSKQNDVVDEKSKQTDVDDSCNQVDENSQNKEGQEEAEIANMNLESNKETLESDKIEPLEYPADGTESLKSASNSHGVDFTMDAEISWANSNDMNSSIAPSRSLPSDEVPKMEISIPNSVDGSGVSYDQFQSKPENSQDSNLSMQRTRTNPYRSLGDAMEDWKERAKVSVDTQEHQSELVEDLAGENAEEYGFVSEAEKSTSQALAAATSDQIKNDFKGSKFSGDESHNLKNEDNDRSNVMESSETSNLKSRQYLIPREKVDEIPDMAVEGDTSAEEMEQCNLHNLYEDMVSFKSSYMYEKVLPLDSSPDDKVLSRSLGIESISDEALQKAISEWKRYEVVTTRLSQELAEQLRLVMEPTLASKLQGDYKTGKRINMKKVVPYIASHFRKDKIWLRRTRPNKRNYQVVVAVDDSRSMSESHCGSVAIEALVTVCRAMSQLEVGQFAVASFGGKGNIRLLHDFDQPFTGEAGVKMISSLSFKQDNTIADEPVVDLLKYLNDMLDTAVSKARMPSGQNPLNQLVLIIADGRFHEKENLKRCVRDVLNRKRLIAFILLDSPQESIEDLMEASFEGEKLSFTKYLKTFPFPYYIILRNIETLPRTLADLLRQWFELMQNSME >Ma11_p21750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25761360:25765908:1 gene:Ma11_g21750 transcript:Ma11_t21750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGILRSNRSTRGPGEPPQGRTELPPIPRGPTVQFGRRTESGRFISFSRDDLDSELAGSELGSGRFDNYHVHIPMTPDNQPMDPSISTKAEEQYVNSSIFTGGFNSVTRAHVMDKVIDSESGQGGGGGNGLTCAIEGCDCMVMRDKHGNVMVPCECDFMICVDCFTDAVKTGGGVCPGCKEPYKTTDLSELLGTAGGRALTLPHLPSGAPKMERGLSVVKPQKLPHSQTMTQTGEFDHTRWLFETKGTYGYGNAIWSEENYDDVDGGDGGGGGHGQPKEFISKPWRPLTRKLKIPAAVLSPYRLLIVIRMAVLALFLTWRIKHKNEDAIWLWGMSVVCELWFAFSWLLDQLPKLCPVNRATDLAVLKEKFEMATPYNPTGKSDLPGIDVFVSTADPEKEPVLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFAYIWVPFCRKHGIEPRNPESYFSSKKDPYKNKVRSDFVKDRRRAKREYDEFKVRINGLPDSIRRRSDAFHAREEIKAMNLQREKAGDDPVEPVKIPKATWMADGTHWPGTWINPSAEHSRGDHAGIIQVMLKPPSDEPLYGNNDEKSPLDFTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANNNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRAKEHSAGCCSCCLPRKRKPRAAANSEETRALRMGDYDDDEMNMSTFPKKFGNSSFLIDSIPIAEFQGRPLADHPSVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEEKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRVAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVQTLSVTFLTYLLIITLTLCLLAILEIRWSGIELEEWWRNEQFWLIGGTSAHLAAVMQGLLKVIAGIEISFTLTSKSAGDDDDDEFADLYVVKWTSLMIPPITIIMLNLIAIAVGVSRTIYSVIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIIYVWSGLVAITISLLVVAINPPAGANSQIGGSFSFP >Ma11_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25761358:25765908:1 gene:Ma11_g21750 transcript:Ma11_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGILRSNRSTRGPGEPPQGRTELPPIPRGPTVQFGRRTESGRFISFSRDDLDSELAGSELGSGRFDNYHVHIPMTPDNQPMDPSISTKAEEQYVNSSIFTGGFNSVTRAHVMDKVIDSESGQGGGGGNGLTCAIEGCDCMVMRDKHGNVMVPCECDFMICVDCFTDAVKTGGGVCPGCKEPYKTTDLSELLGTAGGRALTLPHLPSGAPKMERGLSVVKPQKLPHSQTMTQTGEFDHTRWLFETKGTYGYGNAIWSEENYDDVDGGDGGGGGHGQPKEFISKPWRPLTRKLKIPAAVLSPYRLLIVIRMAVLALFLTWRIKHKNEDAIWLWGMSVVCELWFAFSWLLDQLPKLCPVNRATDLAVLKEKFEMATPYNPTGKSDLPGIDVFVSTADPEKEPVLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFAYIWVPFCRKHGIEPRNPESYFSSKKDPYKNKVRSDFVKDRRRAKREYDEFKVRINGLPDSIRRRSDAFHAREEIKAMNLQREKAGDDPVEPVKIPKATWMADGTHWPGTWINPSAEHSRGDHAGIIQVMLKPPSDEPLYGNNDEKSPLDFTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANNNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRAKEHSAGCCSCCLPRKRKPRAAANSEETRALRMGDYDDDEMNMSTFPKKFGNSSFLIDSIPIAEFQGRPLADHPSVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEEKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRVAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVQTLSVTFLTYLLIITLTLCLLAILEIRWSGIELEEWWRNEQFWLIGGTSAHLAAVMQGLLKVIAGIEISFTLTSKSAGDDDDDEFADLYVVKWTSLMIPPITIIMLNLIAIAVGVSRTIYSVIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIIYVWSGLVAITISLLVVAINPPAGANSQIGGSFSFP >Ma03_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6285813:6287938:1 gene:Ma03_g08530 transcript:Ma03_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMVLASAASYHQQCHHESLLSSSAAAEQHPVIPLLAAASCVVEDDNPTARARPGGIHLWQPVLPQLPHPHAPNPNPNPNPNANLANYLRKAVPTTDLSGILLGGGAAAAGVGESTCQDCGNQAKKDCSHRRCRTCCKSRGFECSTHVKSTWVPASRRRERHVAAASSFASTSASKKPRLVASQPATASHTSTPNTTPPRSFDTTSSHQDAGAIENLPGHVRAPAVFKCVRVTSIDDGEDEYAYHAVVKIGGRVFKGFLYDQGLDDGGHADDANDAIPNISELHLGNRHGGLSSSPMLPSNVFGDGSGFIGGTNYGNQTN >Ma03_p08530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6285786:6287938:1 gene:Ma03_g08530 transcript:Ma03_t08530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMVLASAASYHQQCHHESLLSSSAAAEQHPVIPLLAAASCVVEDDNPTARARPGGIHLWQPVLPQLPHPHAPNPNPNPNPNANLANYLRKAVPTTDLSGILLGGGAAAAGVGESTCQDCGNQAKKDCSHRRCRTCCKSRGFECSTHVKSTWVPASRRRERHVAAASSFASTSASKKPRLVASQPATASHTSTPNTTPPRSFDTTSSHQDAGAIENLPGHVRAPAVFKCVRVTSIDDGEDEYAYHAVVKIGGRVFKGFLYDQGLDDGGHADDANDAIPNISELHLGNRHGGLSSSPMLPSNVFGDGSGFIGGTNYGNQTN >Ma06_p38170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37050744:37054141:-1 gene:Ma06_g38170 transcript:Ma06_t38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSLFLSFSSLSNRPKIAQLRTLIPSLRNPSLHPPRLKAFSRRWRSLTISAALPPLDLTEENIRQVLIDARSEFAQLFDTSVGITGQVDLAELDGPFVKIRLKGRFWHMRNTVLERISNYLKNRIPEILEVDIEDEKQLDDSPENF >Ma10_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26161890:26163543:-1 gene:Ma10_g13300 transcript:Ma10_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMQSPHLPLLHRRLLRPIVTTMESTKEEADVEDDQAAAADLSYPPVFLESRGAGRLPASLCTSAPVIDVPVLDLHRLDPVGLRGACRDWGLFRLVHHGIPPPLSAALQAQARDLLSLPFDAKRARFSSPGLAYFWGTPALTLHVKDLNWLEGLHIPLGRLSSSSSAAEFDGLETFWSLVDEYKQHMARIARTLFEALAADLKLDAWVSASYLNEHDGTFRIYRYPKCARADDYFAMEAHTDSSVLSVVNQDDVGGLQVLRGGGWFCVEPIADTLIVNLGDIMQAISDDEYKSVEHRVTVNRSKERISLCYFGFPMEDGVITSSRYREFTYREFKEQVQEDIKLSGAKVGLGRFKINNES >Ma05_p15650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11981573:11982921:-1 gene:Ma05_g15650 transcript:Ma05_t15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCIILLSLSIPFAIGDLQVGFYNSSCPQAESEVLSVVSKHFATDHSVTAAFLRMYFHDCFVRGCDASILIAPTKKKKTERSAGPNLTVRGFEIIDEVKANLEAQCPSTVSCADIIALATRDAVALAGGPNYDIPTGRRDGLVSNPKDVRLPGPSLTVSGAFQFFSAKGFTLEEMVILLGSHTVGVAHCVFFRDRLGNFQGTGAPDPSMDPSLKAKLTRICGPRPKPLKKDPTAFLDQNTSFVVDNQYYNQLLVNKGVMQIDQELASDSSTASVVSGLASDEAGFLQKFADALVKLGNVEVLMGTGGNIRKKCTAFT >Ma10_p25660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33694702:33696065:1 gene:Ma10_g25660 transcript:Ma10_t25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDEHGLKKGPWSSEEDQKLIQHIQNHGHGCWIALPKLAVCLNAGLNRCGKSCRLRWTNYLRPDIKREKFSREEEDTIVHLHSILGNKWSSIAAHLPGRTDNDIKNFWNSCLKKKLIRMGVDPRTHRPRAEELIAGLQQHLSHTVHEEFLERVPREEHAAQLQAAARALATLQFLDSLLQPSATVGISSGNVNSLDSIISTMEPMKLLETAIPSLSSFPSPGPSPASNSQARHHCFPGMSYSIEQPLGSAINEESSFVVLSEEERNGMRAYEVSSPFLLPPLCDFSWGNGGAGCSTSNYGGSLSPSSLWPENLE >Ma10_p16830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28392147:28394803:1 gene:Ma10_g16830 transcript:Ma10_t16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGYYCSKKTDDICEDVCGETSRAALSMSRLRCALRGFDLKAFLLLFMGVPVLIFIIYLHGQKITYFLRPIWESPPKPFRTIPHYYHPNISMERLCRLHGWGMRDTPRRVFDAVLFSNELDMLEIRWHELSPYVSEFVLLESNSTFTGLWKPLVFAGNRSRFKFVESRLTYGTIGGRFVTGENPFVEESYQRVALDQLIRFAGVEDDDLLIMSDVDEIPSGHTIDLLRWCDEIPEKLHLQLRNYLYSFEFYLDDKSWRASVHRYQAGKTRYAHFRQTNDLFADSGWHCSFCFRHISQFIFKMKAYSHVDRVRFAYYLNPSRIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSSYSAVHLPSYILQNVDRHRYLLPGNCKRESG >Ma10_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28392147:28394803:1 gene:Ma10_g16830 transcript:Ma10_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGYYCSKKTDDICEDVCGEQTSRAALSMSRLRCALRGFDLKAFLLLFMGVPVLIFIIYLHGQKITYFLRPIWESPPKPFRTIPHYYHPNISMERLCRLHGWGMRDTPRRVFDAVLFSNELDMLEIRWHELSPYVSEFVLLESNSTFTGLWKPLVFAGNRSRFKFVESRLTYGTIGGRFVTGENPFVEESYQRVALDQLIRFAGVEDDDLLIMSDVDEIPSGHTIDLLRWCDEIPEKLHLQLRNYLYSFEFYLDDKSWRASVHRYQAGKTRYAHFRQTNDLFADSGWHCSFCFRHISQFIFKMKAYSHVDRVRFAYYLNPSRIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSSYSAVHLPSYILQNVDRHRYLLPGNCKRESG >Ma02_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26759441:26760337:-1 gene:Ma02_g20990 transcript:Ma02_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARCRMWTGTEQSNRPRLIVQSPDGLVVGSSIKEPWKEEAYSWPPRSYSCNFCRREFRSAQALGGHMNVHRRDRARLKQLYTGLGGEAMEEDEHHPNPSTVTSAGCHQPQVNPRSLLIVCDEKTNCNKRRRTDPTPAFFVRSCSCDQQQEVQPEVLNHSLDEELDLEPRLGDAPK >Ma02_p20990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26759441:26760325:-1 gene:Ma02_g20990 transcript:Ma02_t20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARCRMWTGTEQSNRPRLIVQSPDGLVVGSSIKEPWKEEAYSWPPRSYSCNFCRREFRSAQALGGHMNVHRRDRARLKQLYTGLGGEAMEEDEHHPNPSTVTSAGCHQPQIPAYCL >Ma06_p23950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22158907:22186545:1 gene:Ma06_g23950 transcript:Ma06_t23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASPTRLLDSMRAAASARTTLPLLLSASPRKAIPSLAPPPARGLSLAPGLGLPSPCRLPLPRISSMAVASVAAPERHDAGDWYSVPDLSLRDHRFTVPLDYSAAAGSSITVFAREVVAVGKEEEQLPYLLYLQGGPGFESPRPTEASGWLKRACEEYRVVLLDQRGTGLSTPLTVSSLSQIMSATKLVEYLQHFRADNIIKDAEFLRSCLVPDSGTWTVLGQSYGGFCAVTYMSFAPEGLKSVLLTGGIPPIAKGCTADVVYRACFEQVVHQNEKYYKRFPQDVKVICDLVNYLAKAEGGGVSLPSGGILTPRGLQTLGLAGLGSGGGFERLHYMFERVWDPELVPGTGKRISYYFLKAFESWIDFDTNPLYALLHESIYCQGAPSQWSAHKIRGEYDSIFDPIKAAKECRPVFFTGEMIFPWMFDEIHALGPFTEAAHLLAEKEDWPPLYDISRLNNNKVPVAAAVYYEDMYVNFKLAMETASEIAGIRLWVTNEYMHSGLRDNGYQVFEQLIGMLQGKKPWF >Ma06_p23950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22158905:22186545:1 gene:Ma06_g23950 transcript:Ma06_t23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASPTRLLDSMRAAASARTTLPLLLSASPRKAIPSLAPPPARGLSLAPGLGLPSPCRLPLPRISSMAVASVAAPERHDAGDWYSVPDLSLRDHRFTVPLDYSAAAGSSITVFAREVVAVGKEEEQLPYLLYLQGGPGFESPRPTEASGWLKRACEEYRVVLLDQRGTGLSTPLTVSSLSQIMSATKLVEYLQHFRADNIIKDAEFLRSCLVPDSGTWTVLGQSYGGFCAVTYMSFAPEGLKSVLLTGGIPPIAKGCTADVVYRACFEQVVHQNEKYYKRFPQDVKVICDLVNYLAKAEGGGVSLPSGGILTPRGLQTLGLAGLGSGGGFERLHYMFERVWDPELVPGTGKRISYYFLKAMIFPWMFDEIHALGPFTEAAHLLAEKEDWPPLYDISRLNNNKVPVAAAVYYEDMYVNFKLAMETASEIAGIRLWVTNEYMHSGLRDNGYQVFEQLIGMLQGKKPWF >Ma10_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16790389:16791992:-1 gene:Ma10_g05470 transcript:Ma10_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQTTSIALSVLFLGSVSFAFGIVAENKKPAFGTPIQGKDVIICNFPDDPTVLLGTSSVVTLILAAVAGHVAIYFPYKGKSVPSNALFQNTILLPFFIVAEGVTFIALAMLVWTTVSEGLHRSRNVHHDLTTRCPTAKTGLFGGGAFLALDAAIFWLICQMLTMNARSDYFNEDDPIVEYGEFNSVTDMESIQQLRSECEVAL >Ma01_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7712009:7720110:1 gene:Ma01_g10740 transcript:Ma01_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRVAQVSDDDEEEPRRREDADRDGDRKSKRKKKLRVDEEDEEEGQSSSQSKKGGVGGRSSRGGKGRVEEREDEEEEEEAAAVEVQEDAKPIGDVVRVSGKGRRKKTHYSSFEYDGNVFELEDPVLLTPEDNKTKPYVAIIKDITQDVDGNVWVTGQWFYRPEEAEKKGGGNWEARDTRQLFYSFHLDEVPAESVMHKCVVHFVPLSKKLPLRQQFPGFIVQNVYDTVEKKLWKLTDKDYEDTKQHEIDLLVQKTRERLGELQDIESEEVPPDNSDQSANRRCLRRKGVNPIDVSKSDDATKIEHQMKAETPGSCTSYDSEYKTILAKFKALTGDSYRDRWLDKLLQGIKVACVSKDSVSSDDKKMEASVNLDKNMNGNNETGTSTEPKENIPDAGESICWPDAAVQAITALERATHETLGSDFQKYNQKMRQLDFNLKNGAVLARRLLNKELDPVTVLSMSPNELKDGLTAQEKAPKEPEESKQLQMTDARCSRCTEKKVGVADIIQAGGHGDRYQLECIACGYTWYASRDAISSLTIDAPSVAGNVGAAPWATAKFDAVEKSLVSPRESEKPATDLFQKSTAAYMPVLETQRSFNRSKTEDASAPAGHRE >Ma04_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24703981:24706376:1 gene:Ma04_g22340 transcript:Ma04_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADEYQKLVNRMMNTPRVVIDNAVCATATVVRVNSARKHGMLLEAVQVLTDLGLTIQKGYISSDGRWFMDVFHVVDGRGHKLLDPTLLSRIERSLGGEAWSSSSAEDGSNNHDDGDDGGLAGLTALELTGTDRPGLLSEVFAVLRDLECDVVEAKVWTHNGRIASLIFVKDHDSGSLIADAHRVHRIEGRLRNVLSGDHDVRGAKTTVASPTMTHSDRRLHQLMFADRDYERVSSNEASSSSSKLLISVQNWTERGYSVVSVQCRDRPKLLFDVVCTLTDMEYVVFHGTFGTDADRAYQEFYIRHMDGSPISSEAERQRVIQCLQAAVERRASEGMRLELSMPDRKGLLADVTRTFRENGLSVTRAEITTKSGEAKNEFCVADTNGQPPDRRAIHAVIEWIGKDHLKLNEQRMVWSFQDPSPEEAAGVFSLGNLVMRNLYYLGLIKSCS >Ma07_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9059032:9062080:1 gene:Ma07_g12130 transcript:Ma07_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSMHFAATLVFLGLSLLGSVRSDFASDRAECAGQLIGLATCLTYIGGSAKAPTVDCCSGFKQVVTKSLKCLCVLIRNRDNPNLGFKINVTRALLLPSKCDTPAQVTECPRLLNLPQNSAEAKVFEEFGEELKAYAASSYGNSTTSMATGGKTSDQKGNGSLSKSGEANKRRLGMSMNGGFWTFSLVLLVLLLN >Ma01_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10896965:10897808:-1 gene:Ma01_g14990 transcript:Ma01_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAVLLSPPPSSAAKTLLPTVSHHSFPSKTLAGARPPTTKLFASSSSSSSSSSSSSSRTLSPKSPPSSAAAEEERIFFDGGAHYGDLLANLLLGFTLLWLPLTLAAVSRAFFLRYRFTTRRVTVISGFTGQDRSDFPYSSIQDVQVVPRFIGEWGDLVITLKDGTKVDLRSVPKFREIADYCLSMADGSQRGSEEKRGGGPRGF >Ma05_p14030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10205128:10212676:1 gene:Ma05_g14030 transcript:Ma05_t14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPNYSVSVGGKGSSLSPAAVYAISQGLASVTIDPAALEKLSLTKRTSPLNPKRDGPSNSRLLTLEESRAALAVLLNKFVLSDAAVRPSIPSLIADTLALPSGHESVDFGSALGFLNSIFQLNSKNVGEIELGREEAGVFETSCAASVGVCAMLDCCSSALVKVADAVAALSCEAARADVSAFDLFGSGDGFSIKDETDVASDMKVLLFGSKLVGQNESGSFNEIPTVSASFREAVRTLHGRTRVELNSTVKFKKSMVFGNHGKEKAFAGSVLPLAMSMQSMIESSLDRSKSTINMISDNSLRLRVAEAFLKACPSLDGVRDQFHSITEKAASKIDYLLTLHYVYEYLVKFREVLAWEAAVALFALEIDDSIEKPQVDQASKLNGDVAKGEKKTDKKKKKALGKGTSSIRQLLRDSILCKSDPSSENVVVLVEWACDLAKYFDPKDTRLDNLLIKVKEIVESNEVRRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGAVALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYLAMNNINALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGHYETMEPDFEVIKVLTELLDQLDIGSYEIKLNHRKLLDGMLEICGVPSEKFRTVCSSIDKLDKQPFEQIKKELVDEKGLAVETADKIGAFVKKRGPPLKILSELKKEGSQFLENAGSVLALNDLDILFKALEKAKCLDRVVFDLSLARGLDYYTGVIYEAVFKGSTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFTIMEQLEKDRNQVIRATETQVLVAILGKDLTLAAEIVGQLWDAKIKAEFGLTKRVMNHINRAKQSGIPWMVLVGESEVSSGIFKLKSIEANQEEEIPREKIVDELQRRLGIV >Ma07_p20360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28240723:28243230:-1 gene:Ma07_g20360 transcript:Ma07_t20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGKKWCDFCKTYIANNPLSIRTHELGQRHKDNVAKRLATMRKESSAREKEQKEAARALKEIVAKAKKSYQNDLASFQRVDTSDGLQSNKTITDEALCSAATRNEAGAPGLVISTPLNPMRAVKGAQSSVTVKRRKMDDEKAKVISKEEAEALKAMEAATKRMEESHTDISFVKQNHFIYCIEWYVTLCLFDWASNERTTTSQYNPCHVICSCLVLISHMKLAVQEFCISPQSAVPHSKSRSYVWTLFKASMALLLFQPLSLSPREVVKEIR >Ma04_p18890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21242323:21302261:-1 gene:Ma04_g18890 transcript:Ma04_t18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSDFHEDDDDDDDDVVFLGSPAGGGVNSEDPSVPKPVEAQQRYRTLECRNFWRAGTYEVPSGPLHRARRAALESYDFDRARVHPKFLHSNATSHKWAFGAVAELLDNAVDEIHNGATFVKVDKINNLKDNSPVLLFQDDGGGMDPEGIRRCMSLGFSSKRLKTTIGQYGNGFKTSTMRLGADAIVFSRASRGSCVTLSIGLLSYTFLRRTLKDDIFVPMLDFQIINGQIIPLSSNSQDEWDSSLKTIIEWSPFSSKEELLLQFEDIGLHGTKVLIYNLWMNDDGLLELDFEDDEEDILLRDQAYSGGFSRSNKEIVHCHISYTLKYSLRAYASILYLRKFSSFQIILRGKPVEQVNLADEMKFMKTITYKPQVCKDAEDVSVRVTIGFAKEAPVLGIFGFNVYHKNRLIMPFWKVVQEGSSRGRCVIGVFEANFMEPAHDKQDFERTPLFIRLEAKLRQIVLDYWKHNCHLIGYQSYSTKTEKRKLKDSDKHSYEHSSKTQHKMPSVHVDGVTRLDGIMLSEIVFSKYC >Ma04_p18890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21231196:21302261:-1 gene:Ma04_g18890 transcript:Ma04_t18890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSDFHEDDDDDDDDVVFLGSPAGGGVNSEDPSVPKPVEAQQRYRTLECRNFWRAGTYEVPSGPLHRARRAALESYDFDRARVHPKFLHSNATSHKWAFGAVAELLDNAVDEIHNGATFVKVDKINNLKDNSPVLLFQDDGGGMDPEGIRRCMSLGFSSKRLKTTIGQYGNGFKTSTMRLGADAIVFSRASRGSCVTLSIGLLSYTFLRRTLKDDIFVPMLDFQIINGQIIPLSSNSQDEWDSSLKTIIEWSPFSSKEELLLQFEDIGLHGTKVLIYNLWMNDDGLLELDFEDDEEDILLRDQAYSGGFSRSNKEIVHCHISYTLKYSLRAYASILYLRKFSSFQIILRGKPVEQVNLADEMKFMKTITYKPQVCKDAEDVSVRVTIGFAKEAPVLGIFGFNVYHKNRLIMPFWKVVQEGSSRGRCVIGVFEANFMEPAHDKQDFERTPLFIRLEAKLRQIVLDYWKHNCHLIGYQSYSTKTEKRKLKDSDKHSYEHSSKTQHKMPSVHVDGVTRLDEPSGQACAQYSIVEQSELGEDSEDIEIMDISDPTLIEKISEESIQLFMRRAELQLKTAQLKQTVEELEHELEEAKNRYSQLVADLETRRTQQVKK >Ma04_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21231196:21302261:-1 gene:Ma04_g18890 transcript:Ma04_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSDFHEDDDDDDDDVVFLGSPAGGGVNSEDPSVPKPVEAQQRYRTLECRNFWRAGTYEVPSGPLHRARRAALESYDFDRARVHPKFLHSNATSHKWAFGAVAELLDNAVDEIHNGATFVKVDKINNLKDNSPVLLFQDDGGGMDPEGIRRCMSLGFSSKRLKTTIGQYGNGFKTSTMRLGADAIVFSRASRGSCVTLSIGLLSYTFLRRTLKDDIFVPMLDFQIINGQIIPLSSNSQDEWDSSLKTIIEWSPFSSKEELLLQFEDIGLHGTKVLIYNLWMNDDGLLELDFEDDEEDILLRDQAYSGGFSRSNKEIVHCHISYTLKYSLRAYASILYLRKFSSFQIILRGKPVEQVNLADEMKFMKTITYKPQVCKDAEDVSVRVTIGFAKEAPVLGIFGFNVYHKNRLIMPFWKVVQEGSSRGRCVIGVFEANFMEPAHDKQDFERTPLFIRLEAKLRQIVLDYWKHNCHLIGYQSYSTKTEKRKLKDSDKHSYEHSSKTQHKMPSVHVDGVTRLDVSAAEPSGQACAQYSIVEQSELGEDSEDIEIMDISDPTLIEKISEESIQLFMRRAELQLKTAQLKQTVEELEHELEEAKNRYSQLVADLETRRTQQVKK >Ma04_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10179374:10179938:1 gene:Ma04_g13440 transcript:Ma04_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISTQVFHSIHSYWRRRTYRRLDATKKQAKAIRLGGGGGGGIRTSSWRCRKAKVVWRTLRLRPKVWSAPLRLLAWVRNAYVDAMLALAGGKGRPSALSRVRSGSEALWGRRMPRARQASGRSADFERRMMMHLIHSIVEPELPCRE >Ma04_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2337363:2339950:1 gene:Ma04_g02870 transcript:Ma04_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVSVEGLWSLGWVLLLACAVWTLNWAWWRPRRQERLLRAQGLRGTPYRFIRGDVKEDRRLLAEALSKPIPLSHHIIPRVAPFLRAAMNEFGKTFFTWFGPVPRVMIMDPELVREILSNKFGHFERASLSPLGRAVASGLISYHGGKWAKHRRILNPAFHVEKLKRMLPAFSASCGDLVGRWENLVGQEGSCELDVWPEFQSFTGDVISRAAFGSSYEKGRRIFQLQVELTQVVFHAIQRAYIPGYRFLPTPQNNRINAINREVRSLLRGIIKERQEAMRTGEATNNDLLGLLMESNLQHFQEHGNKNAGMTSDEVVEECKLFYFAGQETVSTLLTWTMVVLSMHPEWQVRAREEVLQAFGKNKPDFDGLSHLKIVTMILYEVLRLYPPAILIQRIAYKTMKLGNIVYPPGVLLMVPIVFIHHDPDLWGKDASEFKPERFAQGIAKASKDQVAFFPFSGGPRVCIGQNFALLEAKTALCMILQQFSFELSPAYTHAPRTFVTLQPQHGAQLRLHKL >Ma02_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21819613:21820317:-1 gene:Ma02_g13420 transcript:Ma02_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAKANSASGMAVSDECKLKFLELKAKRNFRFIVFKIEEKIQQVKVERLGQPGESYDDLTASLPDDDCRYAVFDFDFITDENCQKSKIFFISWSPDTSRVRNKMLYASSKDRFKRELDGIQVELQATDPSEMSIDIIKGRAL >Ma06_p09470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6625639:6632451:-1 gene:Ma06_g09470 transcript:Ma06_t09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGKKLFQYVVMGLYTPLIACVFFLYVWCAATDPGDPGIFKSKKYLKVEDYKERFVSKECKQEGLTKELNVETTAEKQLDGSIDSDAARDELHSRVESERLPGSTITWLVVLFSWCGLSFMCNWCQSHEQSSEEQMSEEGMFYCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWINNCIGRKNYKRFFTLMASALLLLILQWSIGLLVLILCFLERKRFSAEIISKLGSSFSLVPFVIVVASCTFLAMVATLPVAQLFFFHILLIKKGISTYDYIIALREQEQEQEQHAVGEQQSPQMSQVSSFTGLSSTSSFNVIHRGAWCTPPRLFLEDQFDVVPPEIGTSASHTSKKLMAEEPVKRKNAGPVKISPWTLARLNAEEVSKAAAQARKKSKILQPIVRRDNLQGQDTESSLGSGSGRMVLRSDNRRRMNKRGRVPLDLPLESLAKISASATESNASDLAPETSTGLAPLQLEARSAFRPNKPMPSARVVASSPDSSLDSPDLHPFRDSSSGAEEAQGLNSISITGIIPPNGIQRSRSASDGYEASGGEDSDRIPSRIVHRSSNWASIVLSSEHSQIADDMKASSSASLL >Ma06_p09470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6625639:6632451:-1 gene:Ma06_g09470 transcript:Ma06_t09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGKKLFQYVVMGLYTPLIACVFFLYVWCAATDPGDPGIFKSKKYLKVEDYKERFVSKECKQEGLTKELNVETTAEKQLDGSIDSDAARDELHSRVESERLPGSTITWLVVLFSWCGLSFMCNWCQSHEQSSEEQMSEEGMFYCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWINNCIGRKNYKRFFTLMASALLLLILQWSIGLLVLILCFLERKRFSAEIISKLGSSFSLVPFVIVVASCTFLAMVATLPVAQLFFFHILLIKKGISTYDYIIALREQEQEQEQHAVGEQQSPQMSQVSSFTGLSSTSSFNVIHRGAWCTPPRLFLEDQFDVVPPEIGTSASHTSKKLMAEEPVKRKNAGPVKISPWTLARLNAEEVSKAAAQARKKSKILQPIVRRDNLQGQDTESSLGSGSGRMVLRSDNRRRMNKRGRVPLDLPLESLAKISASATESNASDLAPETSTGLAPLQLEARSAFRPNKPMPSARVVASSPDSSLDSPDLHPFRDSSSGAEEAQGLNSISITGIIPPNGIQRSRSASDGYEASGGEDSDRIPSRIVHRSSNWASIVLSSEHSQIADDMKASSSASLL >Ma05_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6955952:6959672:-1 gene:Ma05_g09620 transcript:Ma05_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQICLVSRTIGRHRVVGGTNLIQFSCARATLFALVFRVHLSTGRAPSSSPPPPPTEAAHPPAAALSSAEVFSPTTNDSTNPLSSLLPLSLNPFNATVDLITSRLKQQQQQQQVYDDEPGGGGGELLEAHLLPFLRPQEVSRILLRCQSLPVASLRFFRWTQSHVLPSPHNFALLAHILASSAHSSQALCILSDFVRTYNRVDDAFQALLAASAACCNGHPAVFGMLVKVYTKLGRTSDALETFSRAIELGLAPDAEAFNCLLNSLAKSRSLDLCWDLYGEMRRIGVPLNSYTFNILIHALCRGSSKEGIRAEDFLEKMESEGFDPDVITYNTLMDAYCRRGMLEDAFHLYRIMRYRGVEPDLISYTILMNGLCRNGKVIQARQLFDTMLHRGVCPDSRSYNVLLNGYCREGKLKESKLLVQEMISGGLMPDDFACSTIVEAHIKGGKLLPCLNLIALLHKIGIIISVVVYKCLINALCMEGRPSAARSLLKRMQVDGYEPDLEIYNSLMDSFCKCESLESEALALKNEMVGKGIRPDIVTYQILIKCLCSSGKTFEGESLMKEMIEWDIQPDPGICAALVGGCCEKRDLAKAESLLVYFAEKFQIYENNGYNSLIRLYCYEREMSETIELQDRLQKLGYISNGETCRSLIHGLSRSRNHHGSISS >Ma05_p31180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41214692:41221814:-1 gene:Ma05_g31180 transcript:Ma05_t31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQSLPGEYFCPVCRTLIYPNEALQSQCTHLYCKPCLAYVVATTHACPYDGYLVTEADSKPLIESNKALAETIGKVAVYCLYHRSGCQWQGTLSECIAHCTGCTFGNSPVVCNRCGTQIIHRQVQEHTQICPGLQPQAQQADSGQGQASTTSNQAVSQDPAVASSAVPATTTSTATASTTSTTTAATATPAATATATAPATVPIASATTTTPAGASQGQANATAYAQAVAQASTPQQWYQQQQLQYQQYYQMYPGYDAYQQHYQQYAQYQQQGYPQYPQPQMQVVPQNATQGQSQPASYVQPQPHLQTQPYPQPQTQAPAQPLPQPQPQAQATQVQLQQQQPPVMQAQQQPLSQPQPQPQPQPQLVQLQAQQPHTPGQQPYAQAHTQTNQLPQAQIAGQQFGQPPQSHYAQPQPQPQPQPQSQPQVQSQQQMQAPPYQQTLQPQQHLQSVPHQQSHPHVQPQARPTAIQQPPMQLQPHSQYPSAHPQSQSQPMAQPQHPLAHAVTGHQSYQQPQMLQQTQPGTLPQRPMLMQPPQQGVPQQHPVQLPNQFAPQQPPRMPPPSGYMPMQGQQQSMLHTQRPPASHPQQQPPVPLPQHAQHLHQHPGVHAQATQQGLPPQQSHGHLHPGQQFPQGMLSSQQQLPPQVPPHMQQHVSVQMHPQQNVPPAHGLATHQAQAVAIRPTIANNGMPHQSIEQFPGGPGKPAQPPLNQQLPSQSHLAWPGTNLAATYESQRSHPPQTGSQGSSKAVSTAPHSGVVDKVGHAPNPSSDLAAKNAEGSGQIERSETAVTKIPEVGLEADKEKAGGESKEKDIQAEVESTLIHGRKLDPYAEEENVELTEPKLTMKEELAELSEDGSERSNVPKDGHAEENQNAEGKPDEKAGGMVEVQGAKLSSDDNAQLHAISTAVPRESFGLSEGQTAGDVTSKTHPPQQLTSPSSDKGQQQQLAHQRAPPSIEEASFLPAYHDKNTSQLAWQGPGSGMPQGIPTSGSLTGKEGYPTHHLPYSHPLNVPVTTPRYQVPDRILPHHMPLPGPIPDRRPQDAPPYQMQVPGQNMASGQMRPPGHSFPEPNPRQGHTSIVQDPLRPPSGQPYGGSYHSDVPQGTFPGLGLPTTGRVSGHVGFSQHGFPEQGISPQGQGRSHLPLPHAGTRVSHGEALAQLPLHAPHSGAFNTSNPMMSRGPFHPEDRGGPSHLGPVNALEAESYDVRRPGFSDLRQPDPLVQSNVIKANGIPVKVQVDGMRDSSFSHGLPEDRFRPLPDERFRSLPDNGMPRPFPLDPGRHNVGRREFEEDLKQFPRPSQLDGEGMRKFDNYIASSRPIDRGWQQVGSDALPRPYDRSLPGPDGIPRALPATQLGPFQAGNAGPFPASGAGSEHRMIDIVETRRPAGFREEFGAISDLHKPILEFGRRMDVVPSARSPVREFSGLSSSKFGSGSGSRGIPDGPRSFRMEQLDSGRFPGSIRKDLDGNIHMHPGEQFGRGRISIGDPILGGSYGRDFANEAGLFSTFNPRSEMEVFELLKKRKPGTMGWCRICSIDCETVEGLDLHAQTREHQKMAMDMVFAIKKENNKKQRVSEGILPLEDSKKSRKDFGKPLK >Ma02_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22213403:22224447:-1 gene:Ma02_g14070 transcript:Ma02_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGLVMGALVGIALMAGWSRMMRRRSTKRILKAADIKLLGSLSRDDLKKLCGDNYPEWVSFPLYEQVKWLNKMLAKLWPFIADAATMVIKDTVEPLLDDYRPPGISSLKFSKLSLGNVPPKIEGIRVQSLKKGQIIMDIDFRWGGDLSIILAVEALVASLPIQLKDLQVFTVIRVIFQLSEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAIPGLSDMIDDTVNSIVSDMLEWPHRIVVPLGGVDVNTSELELKPQGKLTVTVVKANNLKNLELVGKSDPYVVLYVRPMFKVKTKVVDDNLNPVWNETVELIAEDKETQSIIFEVYDEDKIQQDKKMGIAKLSLNELEPEISKEIELSLLASLDTLKVKDKKDRGSLTIKVLYHPFTEEEQQAALEAEKRILEERRKMKEAGLIGSTMDALGGAASLVGSGVGLVGTGLGAGVGLVGTGIGAGVGLVGSGLGAGVGLVGSGLGAVGGGLSKAGKFMGKSVTSQFSSSKKNGSSSPVVPGDGNAS >Ma10_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28961131:28961706:1 gene:Ma10_g17640 transcript:Ma10_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSSSTQRLVVITVGLLAVLSPLYVGRRGRVEPDDDGGGGALSLWLLLLLLVFVINLTCCADRRIMRCKASLGG >Ma11_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7786336:7789329:1 gene:Ma11_g09460 transcript:Ma11_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G09700) UniProtKB/Swiss-Prot;Acc:O04492] MNLEGFALREYTEKNKDNTTEKSPDNTKGVASCYVFKSRLQEYAQKVGIPTPVYQTLKEGPSHEPVFKSTVIVNHVRYDSLPGFFNRKAAEQSAAEIALVEIHKSGQMIENLPTVHETGLCKNLLQEYAQKMNYAIPSYICTKNSSGATPFTCTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSRKGTDSASQYAVLPGKRKVKEPEVHAEAVKKIKPKKANFKKKWSKKRFPRSKDGHVVKSNKDEPVTVMPEDDSRVPSSNIQIEEVTQ >Ma11_p09460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7786336:7789329:1 gene:Ma11_g09460 transcript:Ma11_t09460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G09700) UniProtKB/Swiss-Prot;Acc:O04492] MNLEGFALREYTEKNKDNTTEKSPDNTKGVASCYVFKSRLQEYAQKVGIPTPVYQTLKEGPSHEPVFKSTVIVNHVRYDSLPGFFNRKAAEQSAAEIALVEIHKSGQMIENLPTVHETGLCKNLLQEYAQKMNYAIPSYICTKNSSGATPFTCTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSRKGTDSASQYAVLPGKRKVKEPEVHAEAVKKIKPKKANFKKKWSKKRFPRSKDGHVVKSNKDEPVTVMPEDDSRVPSSNIQIEEVTQ >Ma01_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4246220:4246818:-1 gene:Ma01_g06010 transcript:Ma01_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRHFTHPSCILTRTCQQSFICNGCGVAGYGVSYRCNSCDFDLHEYCAKCPQSLSCSMHSHSLTLTQSGCYGRQCSVCCQATSTLVYQCGPCGFVVHPLCVQGRRW >Ma09_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17893658:17897226:1 gene:Ma09_g18410 transcript:Ma09_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDYKQNMVLRSQHSLPPLSNPNMGLPSHTRTIYTSLTTFTSLILFLTTSSSFFRCCLSIDEQGLALLSWKQTLNSSTDALKSWRSSDPNPCRWFGVTCNSKLKVIGLSINSSNLQGPLPSNLQPLKSLKTLVLSATNITGPIPREFGDYHDLAFVDLSRNQISGEIPAEVCKLSKLESLALNSNSLQGAIPANIGNLSSLTYLTLYDNSLSGEIPASIGQLQKLEVFRAGGNQNLKGSLPAEIGNCSSLVMLGLAETGISGKLPSTIGLLKRLQTIAIYTALLSGTIPDEVGNCTELTNLYLYQNSLSGPIPPQIGKLQNLQSLLSWQNNLVGSIPPELGRCKQLVLVDLSMNLLTGSIPRSIGNLANLQQLQLSTNQLTGVIPQEISNCAALTDLEIDNNELSGVIQIDFTKLENLTLFYAWQNRLTGSIPASLAQCRNLQSVDLSYNNLTGLIPKELFGLQNLTKLLLLSNELSGFLLPDIGNCTNLFRLRLNGNRLAGAIPAEIGSLRNLNFLDMSNNLFAGPIPAAISGCDSLEFVDLHSNALTGGLPESLPKSLQFIDVSDNRLTGPLSPGIGSLPELTKLVMGRNQLSGQIPAQLASCSKLQLLDLGDNSFSGGIPGELGQLPALEISLNLSCNHLSGEIPTQFSALEKLGCLDISHNELSGNLDVLAALQNLVTLNVSFNAFSGEVPDTPFFRKLPLSVLEGNHGLFITKGPATQEPQSRATISALKLAMSVLISVSAVLLLAAAYLLLRARAVPSGEADDTWEITLYQKLEFSVDDVVRSLTSANVIGTGSSGVVYKVGTSNGGTLAVKKMWSSSESGAFRNEITALSTIRHRNIVRLLGWGANRSTKLLFYNYLPNGSLSGFLHRRGKAPVEWESRYEIVIGLAHAIAYLHHDCVPAILHGDVKAMNVLLGPRFEPYLADFGLARVLSGDDTAHKLGSKTSPRIAGSYGYIAPEYASMQRITEKSDAYSYGVVLLEVLTGRHPLDPSLPGGMHLVEWVRDHLQRNRDDVDLLDARLRGLPEHQTQEMRQALAISVLCVSARADDRPMMKDVVAMLKEIRRPVNDEPKDSAGCAAADTPARKVKLRGSSNCSFAMSDYSS >Ma10_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31889454:31892623:1 gene:Ma10_g22570 transcript:Ma10_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSYWCYRCSRSVRVWPQDAIVCPDCDGGFLEEISSPPPRLHPAAEPRRRRVPSTDAHTLGSGWSAAAARTRQSSELRFGRNRRSPSGDRSPFNPVIVLRGQPDGGAREADRATTNSFELFYDDGTGSGLRPLPESISDFLMGSGFDRLLEQLAQIELNGIGQGRGCEHPPASKASVESMPTIEIVDDHIRKDCHCAICMDPFELGIEAREMPCKHIYHQDCILPWLSLRNSCPVCRHEMPTDVQGRGVAVAEGEEQAAAARNEEEAVGLTIWRLPGGGFAVGRFSGGRRAGEREFPVVYTEMDGGFNNRGAPRRISWTSTGSGRRRESGGIGQTIRNFFSLFRLSRSASSSSQPSSDSHPAFSHRDERGSFFRRRSQSRGSNWDGNANVISR >Ma04_p03990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3074824:3076042:1 gene:Ma04_g03990 transcript:Ma04_t03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLLASLYQAGREGTGSLQQEMNPNCSNVASSACGSSDSTQGLKIGRKTYFEDFSVSSSSFPVALSAPQKKGKGVAQVGKQQQPPRCQVEGCSVDLTGAKAYHCRHKVCGVHSKSPKVLVAGMEQRFCQQCSRFHQLPEFDQGKRSCRRRLACHNERRRRRPLSAHVACMPSVFHEGNNRFRGFLVDFTHPKLPSFLQNAWQINQSGLGVASAPGIPHLTAGSDSSRALSLLSAQPWGSTLVADYMTSSRGCSTSFEVRLNQVGEPDDGHFAGEIELVPQGKRQCMGVDPSVRCRFSDDAIHWTL >Ma04_p32110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32229237:32237164:-1 gene:Ma04_g32110 transcript:Ma04_t32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRYQAQADAINLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLTAGIQVAQLALKHRQNKKQKQRIIVFAGSPIKYDKKTLEAIGRKLKKNSVALDVVDFGESDDGKPEKLEALVAAVNNNDNSHIVHVPPSPSALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGMSGFDFGVDPNMDPELALALRISMEEERARQEAAAKKAAEEAAKQEKVGEQASSSQDAAMTEAVDTSAVVADDKKQNLTDDEAALLEQALAMSMDGAKSTSVDITDADMSDATAEDPELAYALQMSVQETAKDSSSQSEMSKVLEDQSFVSSILNSLPGVDPNDPSLKDFLASLQGQSETQQKQKEDDSEKGGDK >Ma05_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33001798:33003932:-1 gene:Ma05_g21360 transcript:Ma05_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKATASATVLLLLLAWCCNVHVEAQVPIPAKIDGFVYRGAPVWGHSVVVEAFFDPLCPDSRDSWPPLKKALRHYSDRLSVVVHPFALPYHSNAFIACRALHIANKLNASSTYPLLELFFKFQEKYYNQPTYNLPRASIIKDMARLAVAAIGKNSLSTFLSGFNDTRTDSATRISFKYGCSRGVTGTPFFFVNGMPLSQSGSELAYKEWRSIIDPLLERQI >Ma09_p29480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39915759:39937349:-1 gene:Ma09_g29480 transcript:Ma09_t29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLITIHFPPDYPFKPPKVAFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHIYKNDRNKYETTARSWTQKYAMG >Ma05_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8385310:8390117:-1 gene:Ma05_g11570 transcript:Ma05_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHAAAKVVLDVEEVDTKRVDGCQASKKVGEIKSVNWLAEPCPVPDSGMKLGVAVENSVVDSAVNLSWHDSSTSLQSATSFMMPAPTVRSVSMRDMGTEMTPIASQEPSRTGTPVGATSPICSPTSSRPPTPQRTAPVSTQTDSADCHEDFNKKKSSEKELQRETRREILVLGQQLGKTNITAWASKDEEINASNSTKNVSKDQPAISVVEARATAWEEAEKAKYLARFRREEIKIQAWENHQKATIEAEMRKIEVEVERMRASAHEKLMNQLSAVRHKAEEKRATAEAKRNQQAARIAQQADYIRRTGRIPSSFSCWSWCS >Ma08_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28351036:28352507:-1 gene:Ma08_g18090 transcript:Ma08_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGVVVEATISSTTESLLSSRVSYARCLSRADDELRSFRFCLRWMCVDQSDAKHAVVSWSIFLLLSVFVPIASHFVFSCAPTRRAYDVVVQLSLISASSLSYLCLSAFVRCYGLRRFLFLDKLVGASERVREGYMDQLNRSFRLLSVFVMPCFAGEVTYKIWWYSSGSERVPFEVAGSVVVGDVVACALELASWIYRMSLFLFVCVLFRLMCHLQILRLQDFASVFREGGPESDVASVLGEHLRIRRQLRIISHRYRSFILSCLLLVTASQLATLLLTTRPRAVVNLNNAGELALCSIGLVTGLLICLRSAAKITHKAQAITSQAATWHACATVESFDAEPETSFPPNCSSGDESDDDDDKDGDVWDVRKMMPSNINTISFQKRQALVTYLENNRAGITVFGFVVDRAWLHALFMIEFSLVMWLLGKTVGIS >Ma03_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2037281:2040084:-1 gene:Ma03_g03010 transcript:Ma03_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKKPSFCGVGRPHSHHRTGGGDLFFCFTARRSAAAAASSSSAAVMRTTSFKSLPSPGRSRDPSAAAPSLSASLSRRLRSSGSVKGGQSPMFPAVVGGRRKGVAFEAAEPSSPKVTCIGQVRVKSKKRKKASVMRSRSVRGSRREASFRRTEDAGGPRERLPSRNQRWVHQLPMSICEALRAFGSEFNCFSPCGGRSLCSSSSASRSGDASEGECCEKRSSSCGAAFARWLMAAPEGKRQEDMGVAAENKRDREMGTVTKEWVRREDLGLVAEMEKREEVVMVVKKGKEEEEEEEEEVEARVSICIPPKNALLLMRCRSDPVKMAALTSRFWDSQAVQVRVEEGGEEEEDSRDEDTDQCRESDEGTQGERDKEDTETEQVDQEGVVEESLQAVVSVEEPTQACEKIETKEEEVQVNLDQEKQNKDEDLKEGAAAELAEVNANTGVDPEELEVAAAEADKVKLEEKPDEKDNDNETRPEDREEKARRSSSCSSTTDKEERSNRCISRARDGGRKRSSASRERDRRRRHSFSTEREASRPSLSSEKEVRRASFSTEGKGRWSFSIEKDGLKLEKEANDEETETKEDSWAEETGPNDTVEVQNMHSMDQEEVKDDDDGDRQQHGVESEAREKRKEEKTSTELPDCLLLMMYEPKLSMEVSKETWVCSTDFLEWHRHHPNNRTHLSSKAAAAAATIITDTTSTGGAKVSDGTKGESSKKSTDKSSEKNETVAPMREAPWHPPPPPPALPTMVDAEKKLRGKVSVLQTPAQALAYGPFVLTRCKSEPMRSSVRLAPDACFWKDRHQPIGATGIGF >Ma09_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7909814:7915755:1 gene:Ma09_g11680 transcript:Ma09_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42310, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42310) UniProtKB/Swiss-Prot;Acc:Q8L844] MLLVPHLLPPGAARLVSPRPRHYVHLPDPVTVAASAAPSTSSAAAATYDSVLASLNSRRYDFSPLLDFISSPSASAQGPGGAGKESAPPTSLDPEELRLAETYRAVPAHVWHTLLKNLAASPDTLPSAAALVPWLERHRLCFSSDLLYSILIHALGRHRRLHHALLLSSSSPAPSPLTLNALISASALNGRPGLALQLLTLLRRHGFLSDHPNYTLILQSLVRSPDPPDPNLLETLLFDLLSTSLEPDARLLSDFVAAFAHAGDPDRALSLVSAAQAQGLTPKSSAVVALLSALGAAGRVPEAEAVFLEFHSSGLQPRTRAYNALLKGYVKIGALKDAELIFEDMERCGVSPDEGTYSLLIDAYTNAGRWESARILIKEMEANDVQPNSYVFSRILASLRDKGDWQKSFAILREMRSNGVQPDRHFYNVMIDTFGKYNCLHHAMDVFDRMRLDGITPDEITWNTLIDAHCKAGRHDRAMELFKQMQQSGCIPCATTYNIMINSLGEQEKWEELKEMFEKMKGQGLLPNVVTYTTLVDVYGKSGRFKEAIEFLEAMKASGMKPSPTMYHALVNAYAQRGLSEQAINAFRVMRADGIKPTVLVLNSLINAFGEDRRDNEAFSVLQYMKENDLKPDVVTYTTLMKALVRVEKFEKVPAVYEEMISSGCTPDRKARAMLRSALRYMKQAHTW >Ma09_p25120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36695942:36696497:-1 gene:Ma09_g25120 transcript:Ma09_t25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLLFILVAADGLAAIVMARPVPVVEADKLVLASSPTYSFPLSETKMGIASAPANRRHHRNHRIDKSIAIGEVMLGGLAATIIITVICYIRVTRKRVEGSKS >Ma04_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23685304:23692091:-1 gene:Ma04_g21030 transcript:Ma04_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKAQELGVMFIETSAKAGFNIKALFRKIAAALPGMENLSSTKQEDMVDVNLRATNANSYQSQSQSGGCSC >Ma04_p35340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34160320:34162845:1 gene:Ma04_g35340 transcript:Ma04_t35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKGVQLLVLFRLLSLAACLDRSHFPPSFLFGTSTSSYQIEGAYLEDNKSLSNWDVFTHIPGHIKDGSNGDIADDHYHRYMEDIELMHSLGVNSYRFSISWSRILPRGRFGGINPQGVAFYNKLIDALLLKGIQPFVTLNHYDIPQELEDRYGAWLSAQIQKDFGYFAEVCFEEFGDRVKYWTTFNEPNVMVKFGYGNGKYPPNHCSQPFGNCSSGDSSTEPYVAAHNVILSHATAVEVYKTKYQAKQGGSIGIVMATTWFEPLRDVPADRAAARRAQSFYVPWFLDPIIYGDYPPAMRQILGSRLPRFSTSDKKKLQHKSDFIGVNHYTSSYAKDCMFSPCEGGGSEGDASVLTTGERNGLAIGKPTAMPNFYVVPRGMEKIVMYIKKRYKNIPMFITENGYPQGSDHNTSVKDLLNDKDRVEYLRSYLSSLHKAMRQGADVRGYFVWSLIDNFEWLYGYTLRFGLYHVNYETQERTPKLSATWYQEFLEDSQVLIQKT >Ma04_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10302574:10303055:1 gene:Ma04_g13620 transcript:Ma04_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCTGKSSWPELVGVKGETAVTTIESENPTVKAVIVEVGTIVTGDFLCDRVRVWVDKAGIVAEIPRIG >Ma05_p25460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37390818:37406166:-1 gene:Ma05_g25460 transcript:Ma05_t25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGQQTVEFSALVRRAAEDSYLALKELVERSRTPEDLRSDSEKKIDLLKFIVKTRQRMLRLHVLAKWCQQVPLIQYCQQLAATLSSHETCFTQTADSLFFMHEGLQHARAPIFDVPSATEVLLTGSYQRLPKCIDDLGIQSSLSEDEQKPTLKKLDTILRSKLLEVVLPKEITEVTVSNGTAVLRVDGEFKVFLTLGYRGHLSLWRILHLELLVGEKNGNIRLEETRRYALGDDLERRMAAAENPLSILYTVLHELCVALVMDTVLRQVQVLRQGRWKDAIRFELVSDGSAGQVGNTSALQLTQEGELDTTGLKTPGLKIIYWLDADKNAGGSDFSSCPFLKVEPGQDTQIKCVHSSFVLDPLTGKEATFALDQNCIDVERLLLRAIACNRYTRLLEIQRELSKSVNICRESGDVVLGCDGGVVADLRKMDEDSSNQDYFGDEILKVRACGMSFITLGINIRNGRFLLQSSKNILSPSTLVDYEEALNQGSLSIMDVFTSLKSKSILNLFASTGRFLGLEVYDQSLTTLKIPKSILNGSDILIMGFPQCANSYYLLMQVDKDFKPVFSLLELRSDQDGKSSSFTDAIQVIRFNRIDIGQMKIVDDELNMSLFDWEKLCSLPKLGTFIQVVEHDFGVDSALQFPGFSQSSFSSVVDEVFKFEKGQLPKTNQLTSSYKMPPLSYLGSPSSSNQGINTGVTSTNLEGELQQSQVHKVGKASSSFTSSSNSLHVTSNLKGIIQNGATGSLSSSSPVRISSVHKLSTLRSDQDRSSLRYPYSADVGQYPPADEPPKVLNMIEGNGPGQLLPPLRTTCPPISAHSMAPNDIVNSSPGILVGSSEVTRSNTLLLANPCQTPEFGATRSDDNGAHKHERKGRKRSLVDFINLLPSFQGSEASSLQHKRQKISRLANSHAASSPPLPSLLACRTGGHTFGDLLGEANHGISPSNLYVSVLLHIVRHCSLCIKHAQLTSQMDALNISYVEEVGLRIPSLNLWLKLPFARDDSWQRICLRLGKPGTMCWDVKINDPYFRELWNLNKGSTTTSWGSGVRIANTSEVDSHIHYDPEGVVLSYKSVEDDSVQRLVSDLRRLSNARLFARGMRKLIGLGTGDRIDDNTNSDSKAQAKGTGEIVDKLSEQMRKAFKIEAVGLMSLWFSYGSMPVIVHFVVEWEADKEGCTMHVSPDQLWPHTKFLEDFINGGEVASFLDCIRLTAGPLLALCGAIRPARIPVPVSVGHSLVQKQNSFMSSHGLMANPSSTAIQLPSSSPTTTTLMTQLGSHSLQNAAVLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFAVDMRCFAGDQVWLQPATPPKGGPAAGGSLPCPQFRPFIMEHVAQGLNALEPNFSAASHAGGHLGSSNANVSSVSQPLASNANRISVASSAGISRPSSVIANQVGGNINRIGSAMLASSGLSPGISGVPLRISPGTGFPVHVKGELNAAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILRDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQQQNQNTSQEELAPGEINEICDYFSRRVASEPYDASRVASFITLLTLPVSVLREFLKLISWKKGLSQAHGGDVASAQRSRIEICLENHSGSVLDENSEATSCSKSNIHHDRAHNLVDFALTFVLDPAHIPHMNAAGGAAWLPYCVSVRLRYSFGDNAHVSFLGMQGSHGGRACWSRQEDWEKCKQRMARAAEFANGNSAADVSQGRLRLVADTLQRTLQMLLQQLRDGAVPLSSSGT >Ma05_p26190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37901660:37904894:1 gene:Ma05_g26190 transcript:Ma05_t26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVKELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKLERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >Ma07_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26890207:26891047:-1 gene:Ma07_g18930 transcript:Ma07_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDKKGRRASPGRLLVTSLLLFLLLAGITALVLYLVYRPSKPRFTVSAAAIYDISNASSQANAISTSMQFTLVIRNPNDRASVFYDRLSAYVSYRNQPITPPAALPPMFQEKDSTVAISPVLGGGFVPISGDVAAGLATDQAYGVVGLRLVLLGRLRYKSGPFRSNWYGLYVRCDMLTGFRKGVTGPVPLLGEPECKVDT >Ma06_p34880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34974632:34981217:1 gene:Ma06_g34880 transcript:Ma06_t34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSSSITTRSSVLRSPFSVIVLASYSSCSSADVAFPFSTEPSRDRCCGFYVKGRTLGSQHFARRMYQSRRSRRREEPLDAAERSRSRNWASPARAGGQHFHIRRRPNASRGAFNSVDSACNIGFSAEENSFTLEIRQSSSKKASQIPIREMMVSNEKEIKRPSPNLIARLMGLDSLPSPVRQQKNMDCYCQTSSSIGFLENHVHPEDHSYQRSAIEDQEFKDVFEVTETSKKKKHKNYSNNGGMLSHRGNKIDMDLIRQKSMVIEHFSTDEMLQNSRKFNDAVKVPGQSKDLFLELLQDPNSLFAKHLRDLNRSPPSPDQSKITNLRPSKGTKHSRNEVWYKFRSERNPDRCFPMSQEVTGSCTMHMTRLNKHYVEENNGFLSHNLTASHVGKTEVDVHPAQIVILKPNLEKSQKMAEANYFPQESFRFCSKRCREIAASGTDELHDNESRQRFHHTQVFCHKIKGSREIPKEIRRKLRHTISHTNKGSTSEMNPYAGNMDSCSFPGFCSLYHSEAISQSPGHFGECCSSISPSLSYSTESSVSREARRRLCERWKLTHEFQNMRLIPHGSSTLGEILALSDREVPKVTMEMLDAKKVSEENLANSEVLGNKDCPLGISSNSGCTEGSSRYLPRLKSLPVSCSPELTDRKRNEGNRKTMIKDVRDMKPSVSSDASFTKPGKPPLKPSKHQTHKYMQTYSIGEENMLPEWEIHANSEGLRKSIHLRHFVDKRTLHPSPTDYGISDRSQLISNASIPILRDEPWHLTAQEEQTMQSAYQESLENEGSYGHIKNVIVIEGTSNDHLQVKLLPSESGVAESHPLSSKKLVEQPSPVSVLETPSEDKTYSSECFERLTADLKELRMQLQLLKLESVTACSEETDVLMLSEEDSASDSHKRLPSREVHQRFIDDDDRDFTYLLDMLIESGIHGVDDKKLVGACYLLGYPVDQNIFSKIEKKYEKIASWSRSERKFLFDLINCTLAGLVTSCMDVHPRVTSKICQPSWDGAGLVEGLWQMVAKQKKEVDCNRENKILEPGWFCLEYDIGLIATEMESLLNDDILEELVSEFVSVRATPELLW >Ma11_p00620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:425506:452251:1 gene:Ma11_g00620 transcript:Ma11_t00620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MTTTAPPSSSSSGFSKYLRDFGWDLLIGSIAAFYVIMVPYTKVEESFNVQAMHDILYHRHHIDKYDHLDFPGVVPRTFMGALIFSVLASPLVLLMHAIHLPKIYSLLAVRMMEGCIVLLALRFFRIQVRRKFGYHVEAFFAILTAVQFHLLFYSTRPLPNILAFGLVNLAYSFWFKGNPYATLRCLTCASIVFRCDTVLLFGPIGIELLLSKSISISEAIKCCISSAFLCIVFTVLLDTVMWQRILWPELEVFWFNSVLNRSSEWGTQSFHWYFTSALPRSLLVAYPLCLAGVLLDRRVMQYIVPVFCFVLLYSKLPHKELRFIIGSIPMFNVSAAITASRLYINKKKDGWRWLYIMLLGSFLVSLGCSVMTFIASYYNYPGAYALKALHQADTSNTTKEKFVHIDAFTAMNGVSRFCENEYPWRYSKEEGIALDEYHDRNFTYLLNEHFHIDGYKCLFAVNGFSGARLQVGFPPFLLLKEPKVFVHGNMRDGDIDHFSWPGCP >Ma11_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:425506:452251:1 gene:Ma11_g00620 transcript:Ma11_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MTTTAPPSSSSSGFSKYLRDFGWDLLIGSIAAFYVIMVPYTKVEESFNVQAMHDILYHRHHIDKYDHLDFPGVVPRTFMGALIFSVLASPLVLLMHAIHLPKIYSLLAVRMMEGCIVLLALRFFRIQVRRKFGYHVEAFFAILTAVQFHLLFYSTRPLPNILAFGLVNLAYSFWFKGNPYATLRCLTCASIVFRCDTVLLFGPIGIELLLQSKSISISEAIKCCISSAFLCIVFTVLLDTVMWQRILWPELEVFWFNSVLNRSSEWGTQSFHWYFTSALPRSLLVAYPLCLAGVLLDRRVMQYIVPVFCFVLLYSKLPHKELRFIIGSIPMFNVSAAITASRLYINKKKDGWRWLYIMLLGSFLVSLGCSVMTFIASYYNYPGAYALKALHQADTSNTTKEKFVHIDAFTAMNGVSRFCENEYPWRYSKEEGIALDEYHDRNFTYLLNEHFHIDGYKCLFAVNGFSGARLQVGFPPFLLLKEPKVFVHGNMRDGDIDHFSWPGCP >Ma06_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10085187:10088281:-1 gene:Ma06_g14780 transcript:Ma06_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAVRRARGGGVWEGLYRLLMRRNSVYVTFVVAGAFVGERVVDYGVHKLWDYNNAGKKYEDIPVLGQRQSE >Ma03_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9787855:9789320:1 gene:Ma03_g12730 transcript:Ma03_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSRDGDGSGSDLQLVAVAPKTGAAIDAQAGAGGGRGGAMVEYTKSGPMLREEEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLSRMDADYQRRKEVAEFNTRREERLKAAEERTAKKRLKRQKKKQRKKEKKIKPSDGAPKEEEYSEDESL >Ma09_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7496239:7499358:-1 gene:Ma09_g11080 transcript:Ma09_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGVGGDGRSKEAVGMLALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGNLMLMWEDGFCRTRVDEIDGDDQVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDALPPEWNDQFASGIQTIAVIQAGHGLLQLGSCKIVPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSGRNSSPSPSAPMKQIPARIPPPILNWSHPSLASNPAIAPPAYHPSAHMGLPNSTDDTQLFLLPPSSSEAQMDEMMPAHEPDLKWPNGLSFFTALTGRTDDPKLLFGSETLGNKPPPQQSQGNQGDNGAALSASNTEDLMSSASHSSKARKMEHSKFKRSLTLPARMTTSSSSSSLDHHHASTPQPMDYRGSEAGIYQDIMETFLD >Ma08_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37595019:37603587:-1 gene:Ma08_g24400 transcript:Ma08_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPPSGCGGVRKSRHRRIADILAEDEDSSDESVFHGYGYGGRRGGGGGMLPVFLNDQSDLVEVMLELDEESMVVRSVTPTSAAAAAAAAAAAGRESSASLSRSSSTASRIRRKFSWLLSPTPRRTLAEMLAAEESASASGSGPLPAPATAMSSRDARRIRARLERTRSGAQRALKGLRFISRTTTATANLAELWGRVEDRFAVLAKDGLLSREDFGECIGMVDSKEFAVGIFDALARRRRQNLERITKEELYDFWVQISDQSFDARLQIFFDMVDTNVDGRITRQEVQELIILSCSANKLAKLKEQAEEYAALIMEELDPENLGYIELWQLEALLLQRDTYMNYSRPLSTASAAAWSQTIPGGAPKPPRRPWFSPRRAATRLRLAARENWQRAWVVALWVAAMAGLFAWKFTQYRQRTAFRVMGYCLPTAKGAAETLKLNMSLVLLPVCRNTLTWLRSTRARLFVPFDDNITFHKMIATAIVVGILLHAGNHLACDFPRLINSSPAHYEMVARYFGPEKPTYRSLVAGVEGVTGIAMVALMAVSFTLATHRFRKNGVRLPFPLNRLTGFNAFWYSHHLLAVVYLLLLIHGYFVFLVHKWYQRTTWMYISVPLLLYLGERNLRAFRSKGYSVKILKVSLLPGGVLTVTMSKPQGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDEYLSVHIRTSGDWTQELKRIFIENYFSPHSTGKATFNESGSLEQKSLPRLFVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMELAMETSRSEVSSTSFSISTTSSSTKKRSYRTSSAHFYWVTREAGSFEWFKGVMNDVADMDKKGIIEMHNYLTSVYEERDARTTLLKMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTKLASKHPGATVGVFYCGTPTLAKELRKLSHEMSHRTSTRFHFHKEYF >Ma05_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6045937:6048163:-1 gene:Ma05_g08160 transcript:Ma05_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALPLHHHPFPSHHHHPSKRSRLAPPLYPRLCDSPPTLPPLAAAGRDVWRSHDLHHHHDLHPTRRRPPQRNHYIDRSVDMAALLYQLSQTTTSEELHAVMSPYLGGPAGEYCLSPRFVVSLLSREPDHRRSLALLDWMVDAAGYQPSAFAFNVVLRNALRAAQFPLAIGLLHEMRRLRRPSPDPVTYSTLISALARADRLDAALALLPLMDADGVVPDLPLFTTLISLALRLGDHAKALALFSRLRAAGLNPDLKAFNAALHALSLAGLLREARRLLLSDMLEAGVAPDAVSFSTVLAALVRRRRFLQALSLFAEMRPRRVSPDLTTCNIMLDAYGQLDMAKEADRLFWSMRRIGVEPGIVTYNTMLRVYGDAELFGEAIHLFRLMQRKEIGQNVVTYNTMIRIYGKTLEHEKAGNLVQEMQKRGIEPNAITYSTIISIWGKAGKLDRAAKLFQKLRCSGVEIDPVLYQTIIVVYERAGLVAHARRLLHDLKHPENIPKETAVKILANAGRVEEAAWLFRQTSDAGEVKDISVFRCMMDLFSRNRKHINVIEVFEKMRAVGFFPDSEMIATVLNAYGKLQEFDRADAVYQEMKEEGCVFSDRVHFQMLSLLGARRDFKGVEALLESLNSDPNIDKKELHLVAAGVYERANKLDEASRIVAKIRNLDP >Ma11_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:343934:344579:-1 gene:Ma11_g00510 transcript:Ma11_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALPSYTLVVFFLSSLLLLHFGDARRHHAVVRLQARSPHGLAFQEPMPFPPTAFEFFHPKLLLPPASAPMPLASSSFYPAATSAKVRADEEVEAAGEHSSPAGSGGVGASGVAAVVLGLALVVIAAMLASYVVVVRRRSDVRRAKGTVQPDA >Ma07_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6520416:6526453:-1 gene:Ma07_g08740 transcript:Ma07_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIIRVPYEAAVRAALAALERNLLPDAVVRRLTRLLLAGRLRLCYLPSSDLQLAQLLRFKQSLEDMPIAVETDKAKSQHYELPTSFFKLVLGENLKYSCCYFKNITSTLEDAENAMLELYSERAQLKDGQKILDVGCGWGSFVIYIAKKYKNCSITGICNSSTQKTHIEEQCRHLQLSNVEIIVADITKFEMEASFDRVVSIEMFEHMKNYKMLLKKISIWMKQDSLLFIHHFCHKTFAYHFEDKNEDDWITRYFFTGGTMPSANLLLYFQDDVAVLNHWLLNGTHYARTSEEWLKRMDSNLTSIRPTFEATYGKDSATKWIAYWRTFFISVAELFGYNNGDEWMVALFLFKKK >Ma08_p30330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41638350:41640823:1 gene:Ma08_g30330 transcript:Ma08_t30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKSQGLLYRWNCSHPL >Ma10_p01430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4647896:4652513:-1 gene:Ma10_g01430 transcript:Ma10_t01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNLMQTCCRLPVGRPTETSFVPRERLLKHQQYFQSVQKHTYLKE >Ma10_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4647896:4652513:-1 gene:Ma10_g01430 transcript:Ma10_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNLMQTCCRLPVGRPTETSFVPRERLLKHQQYFQSVQKHTYLKE >Ma10_p01430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4647896:4652516:-1 gene:Ma10_g01430 transcript:Ma10_t01430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNLMQTCCRLPVGRPTETSFVPRERLLKHQQYFQSVQKHTYLKE >Ma05_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19500617:19503081:1 gene:Ma05_g17180 transcript:Ma05_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGRNGAVRQYVRSKVPRLRWTPELHLCFVHAIEKLGGQDKATPKLVLQLMDVRGLTISHVKSHLQMYRSMRNDLGKQAELHERKHSCQSNDEGPDEQDDVGSCHAWKSTKEFQSQFLYSPLPSLKRSRLDAQPSSKKSVQCSQQICEAVTSQYWIDNYMQALAVDRGIKEEGFSWQRKEGQQTEDLAADHSSKLRVPGCMVEESDPLKATVWSDQYLTSAKELMPIEIYENGCLSHKSPPLNNEFAESEETNDCSLSLSLSLHPSQSNNSSSDGGCCALGRNFNGRHSSYSVTHRVNLDLSMSICGS >Ma04_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7650908:7652073:-1 gene:Ma04_g10790 transcript:Ma04_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVREKGRGAWPSEVTPTPGQAQSCTIPQPHSSSFPFFLLRLFLHQQQQQQQTAMGRSSTRLPSFCLNRMSPGVRVRSPPLEPKPPPSPESGQLHHHGNAKQPGDGAEEEALLGRRIMIVVDSSPESKTALRWALSHSVQSNDSIVLVDVVRPPKHGEQSGKERDPKIYELLHSMRSVCHARKPEVQVELSLVEATERGPAIVEEVRKQDASLLIMGQKKRSLTWRLVMMWAGRKVGGSVADYCVQNATCMAMAVRRKSRRGGGGYLITTKRHKDFWLLA >Ma08_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32347621:32351239:1 gene:Ma08_g18770 transcript:Ma08_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFYYLLGRFTSIETVPMSKKVITREEWERKLKDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRVESGTEPDIDLATITDRMAVKKALQSGNVEDAIEKVNDLNPTILDTNPQLYFHLQQQRLIELIRSGKIEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVKNCPYGELLDVSQRLKTASELNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINNLTTAALEDPAV >Ma02_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18193941:18194285:-1 gene:Ma02_g07550 transcript:Ma02_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTLDALMCRAFPTTLRGPARAWYSGLKAGAITSFDQLAKEFELNYLAYAQPKPSVALLLRLNQREDELLSHFVNRFATEIRGLLDAHPSLLMQAFMIGLRPFIFFWSLTE >Ma03_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27127050:27128593:-1 gene:Ma03_g22360 transcript:Ma03_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLLSPLPSPPFAPPKTTHAPLSPSPHALSSSAVTPSSPSTAVVIGAGLSGLAAATHLASASVPFVLLEASDAVGGRVRTDSLNGFLLDRGFQIFLTAYPEARRLLHYPSLRLRQFYPGALVFHDGRLHRVADPFRLPLSGLASLLNPIGSIPDKLLVGLARLAAASRTDADLLSAPETTIAQRLGAAGFSPSIVERFLRPFLAGIFFDPDLATSSRLFDFIFKCLALGDNTLPSAGIGAIPEQLAARLPPGSLRLRSRVAAVDPGGASVTLECGEVVSADLGVIVAVEQPEAERLVAQFLPPRKDKTGAIRSTVCLYFSADRAPVTEPILILNGSGEGIVNNMFFASNVVPSYAPEGKTLVSVSLVGAFPERSDDELAAEVVRELAGWFRPQDVGAWRHLRTYRIGFAQPDQTPPTNLTAREPRVGAGLYLCGDHWSSATFDGALVSGRKAAEALLRDRGMVQA >Ma06_p32150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33109977:33116757:1 gene:Ma06_g32150 transcript:Ma06_t32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASSSVVGLRRPQVHCFSRGFISFKGLCYKAFVVNQRISNFTSTGFGKAVCFSLKEDDRFIAVPRSSESDILLGESAVVEQDNATVNSMVQADAVALGTMTADMASVPDDFSIDNDENDLDCPTEGFSCISEAIKDIRQGKFVIVVDDEDRENEGDLIMAASLVTPEAMAFVVKHGTGIVCVSMKAEDLERLELPLMVSNKENEEKLCTAFTVSVDAKHGTTTGVSARDRAQTVMMLASPDSKPADFNRPGHIFPLKYREGGVLKRAGHTEASVDLAILAGLPPVGVLCEIVDDDDGSMARLPKLREFAKEENLKIISIADLIRYRRKRDKLVERASIARLPLKWGSVQAYCYRSLLDGMEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSSRCDCGSQLSLAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYGGLKGYGLSIVGRVPLLTPITKENIRYLETKRTKMGHIYGSEFNGNLTSFIIGNVTNESDPAS >Ma06_p32150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33109954:33116757:1 gene:Ma06_g32150 transcript:Ma06_t32150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASSSVVGLRRPQVHCFSRGFISFKGLCYKAFVVNQRISNFTSTGFGKAVCFSLKEDDRFIAVPRSSESDILLGESAVVEQDNATVNSMVQADAVALGTMTADMASVPDDFSIDNDENDLDCPTEGFSCISEAIKDIRQGKFVIVVDDEDRENEGDLIMAASLVTPEAMAFVVKHGTGIVCVSMKAEDLERLELPLMVSNKENEEKLCTAFTVSVDAKHGTTTGVSARDRAQTVMMLASPDSKPADFNRPGHIFPLKYREGGVLKRAGHTEASVDLAILAGLPPVGVLCEIVDDDDGSMARLPKLREFAKEENLKIISIADLIRYRRKRDKLVERASIARLPLKWGSVQAYCYRSLLDGMEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSSRCDCGSQLSLAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYGGLKGYGLSIVGRVPLLTPITKENIRYLETKRTKMGHIYGSEFNGNLTSFIIGNVTNESDPAS >Ma08_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3394156:3397751:1 gene:Ma08_g04920 transcript:Ma08_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMYPLGVIAASILAFLLLLGIHGRWGKGRKATGKRDSGAVAAGFGGPDVIVVGAGVIGSALAYALGKDGRCVHVIERDLAEPDTIVGEALQPRGCLNLFELGLEDCVDEIDAQRVLGYVLYKNGRLAKLSISLEKYHVDVAVRCFHHGRFIQRLREKAASLSSVQLKQGTVTSLIKEDGIVKGVVYKTKSGKESKAFAPLTVVCDGCFSNLRHTLCSSKVDVPSYFVGLLLEDFQLPFPNYVHFILEDPSVVLFYSISSTETRCLVDVPGQKVPSIANGEMENYLKTIVAPQVPTELRDAFVAAIDKGNIRTMPCKSMPADAHLTPGVLLMGDAFNMRHPLTGGGMTVGLSDVIVLRDLLRPLHDLHDAAALCKYLESFYILWKPVASTMNMLADAFYKLFGASPDEARKEIGQAYFDCLSLGGRFSSDSTALIGGLNASPLHLVIHFLVAVTHGVGHLLLPIPSVRGLRGSARLISAAAGIVLPLMKAEGVRQTFFPATFPAYYRAPPAQLKQ >Ma10_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29683261:29689940:1 gene:Ma10_g18930 transcript:Ma10_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYIRDALLLLSLFFFFFFSAVVVADEEEARALIEFKKGISSDPSGRVFGSWNQPGAGSAVCGSWYGVACDAAGGVVAVDLARLGLVGDLKFSTLAPLARLQNLSLAGNALTGRLVPALGGVSSLRRLDLSANQFYGPIPGRITELWGLTYLNLSWNNLSQGFPAGIRNLQQLRVLDLRSNGLWGDIGTLLSELRNIDYVDLSSNDFTGNLLVDAENLTGLGNTVKYLNLSNNKLSGGFFSNDAIPAFKNLESLDVSNNQLSGELPSFDSVFSLRVFRAVANKLHGSVPGALLASTLHLSELDFSGNGFTGNVRDITSTSLKFLNLSSNMLSGLLPSSIGVCISVDFSNNNISGGLSVMQSWEPTLAIIDLSSNSLSGNYPEASQLQNLTSIRLRNNSLVGSLPSTLGNYPELSIIDLSLNRLSGPVLPGLFTSLTLISLNLSGNQFSGIIPLQSSHSTESLVLPSYSHLESLDLSNNLLIGPLPPEIGNMQRLKLLILRNNTLSGELPSELSKLGTLEILDLSMNHFRGRIPDMPQSGLNVFNVSYNDLSGTIPETLQRFPSTAFYPGNNLLVSPNGMTSGSNGEGGDSHHHMKYSVRIASIVGSIGAVMLILFALMALYVIRTQELCGKNRFRDQATGMEVKLGRFGRRKIFKSSKDTPATNSMSFSNDHLLTSATRSVAAHKELLTEAVECGYSDHRTESTVNNVLQNHPATCTTGERSSPGSPLGSSPHLIDLGVSEQSVMLDVYSPDRLAGELFFLDNSLIFTAEELSRAPAEVLGRSSHGTSYKATLDSGHILTVKWLRVGLVKIKKEFAKEAKRIGTIKHPNIIPWRAYYWGPREQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLKIAIDVARSLYYLHNEKGLAHGSLKPTNILLTGPDLTARLTDYSLHRLTTQGGTAEHILNLGALGYRAPELQSASKPFPSFKADVYAFGVILMELLTRRSAGDIISGQTGAVDLTDWVQMCNREGRGTDCFDRDITGLEECPRVMDELLAVSLRCILPVNERPNIRTVFQDLCAITM >Ma08_p25260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38191442:38191960:-1 gene:Ma08_g25260 transcript:Ma08_t25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRATVLVVLFLAAAGLTAAAEAPAPGPSSKPEQPESAQSPAKSPASATAPSTSTSTAPATVPAAAHSPATSPASAHAPAKALSPEAATSPSNSPAAAPTPDADLDDDSDDDIPAEGPISGSPPEPSLAAAPAPEEEDALSPTTSPSGAGAPIAAGAAFAALSAAVAAVFAF >Ma09_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:22687316:22687641:-1 gene:Ma09_g19300 transcript:Ma09_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLAGLRQLLIWEAWPTGLSSPLQIRSDCLLLKWLTFLSEA >Ma06_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1573674:1575356:-1 gene:Ma06_g01920 transcript:Ma06_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKELHALVCLLLINQLFAAATLVVRCEGRSLRQSKDSYLRDALAVKHQRNPQMKALKRLDTHYASSDPFYYVGSPVSLPPYDDAMGPSSSPEDYPPFCVYPPYTPLPPSTTPSTPIPIIQPPPPPPETTNPPVLTPNPPVYIPGTPEVVPSPPGAVPNPPETVPSPPGTVPNPPEAVPSPATNVPSPPEYEPSPPTYVPSPIGFVPSQPPPPSLVPNPPVYVPSPPEFTPGPPIFLPPVVYPPPIAPPSPAQGSRSMWCVAKPTVPDPIIQEAMNYACGSGADCDSILPNGSCYQPDTLIAHASFAFNSYWQRTKVAGGTCDFGGTAMLVTRDPSYDGCHFIIY >Ma01_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5038923:5045993:-1 gene:Ma01_g06980 transcript:Ma01_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAVTPTPPSTSPAIDPRSGFDAVSRTFHSLRPPVPLPARDRPLSFTSYAVSLLPSPLPSLPAVVDAATGDALSFPDLLSQIHSLAAALRTEVGLSKGHVAFILCPARLDVPPLYLALLSLGAVISAANPASTAAELARLVGLSKPRVAFATSETAAKLPGDVPTILLDSPRFRSFLAGSGGEVPPEEEVGQMDVAVIQYSSGTTGMVKAAALSHLNFIAMVAGFHASRKLAAERRRREAPDVTVVGAPLFHSMGFFFLLKGIALGETTVVMGGGGGAREMLRVAEKYRATSLTASPPVVVAMARWEEKIDLAALEFVTCGGAPLHEAAAHQFMSRFPDVELRQGYGSTEGGGIARMIDREECLHLRSVGRLSQNVEAKIVDSVTGETLSIGQTGELWIRGPSIMIGYAGDEKANASTFAPGGWLKTGDLCYFNQDGFLFIVDRLKEMIKYKAYQVPPAELEHLLLSLPGVADAAVVPYPHEEAGQIPMAFIVRQPGNNLKESEIMDFIAKQVAPYKKIRKVVFTSSIPKTASGKILRRQLRNHSIYSSMSRL >Ma01_p06980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5038923:5045993:-1 gene:Ma01_g06980 transcript:Ma01_t06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAVTPTPPSTSPAIDPRSGFDAVSRTFHSLRPPVPLPARDRPLSFTSYAVSLLPSPLPSLPAVVDAATGDALSFPDLLSQIHSLAAALRTEVGLSKGHVAFILCPARLDVPPLYLALLSLGAVISAANPASTAAELARLVGLSKPRVAFATSETAAKLPGDVPTILLDSPRFRSFLAGSGGEVPPEEEVGQMDVAVIQYSSGTTGMVKAAALSHLNFIAMVAGFHASRKLAAERRRREAPDVTVVGAPLFHSMGFFFLLKGIALGETTVVMGGGGGAREMLRVAEKYRATSLTASPPVVVAMARWEEKIDLAALEFVTCGGAPLHEAAAHQFMSRFPDVELRQGYGSTEGGGIARMIDREECLHLRSVGRLSQNVEAKIVDSVTGETLSIGQTGELWIRGPSIMIGYAGDEKANASTFAPGGWLKTGDLCYFNQDGFLFIVDRLKEMIKYKAYQVPPAELEHLLLSLPGVADAAVVPYLSSRGGRPNTYGIYCETARK >Ma01_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7906961:7907761:-1 gene:Ma01_g11020 transcript:Ma01_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIVLLATFVGLLAPLGMASTDFVIYSDPPTALLPGQSFQYDLTPQGIPYGPASLSMNHDCDLVLRFHNQKTWATNTTGLGTDCYLTVDSNGEAAVKHDMNYPLWSSGKKSVQGSYAFLLQWNGGLGIYGPAIWSSSNPPSLHDAGNEHPNVTTDYVFYSYSILPIGKILEYKNYKLVLRDDCNLVLEDTATNEIRWQTGTSSPLHDCFVTLDAQGELFVKHNRRDVLWRSGARSTPFLYIVALRYDGTLGVYGPQIWTTKPFW >Ma01_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8560675:8565015:1 gene:Ma01_g11790 transcript:Ma01_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVMSDFSSSATARLLPFRCTVPIRAFQFQPSVSIQLTPLRLNASRSTLSRCCSSSAVAAVATNTDTLAKVIDGKLVSKQIKDEVAGEVAGMKEAIGVVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSTEEEVIKHILEFNNDPSVHGILVQLPLPRHMNEENILNAVNIEKDVDGFHPLNIGRLAMQGRDPLFVPCTPKGCMELLYRHGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSHTKNPEEVTRQADIVISAAGVANLVRGSWIKPGAVVIDVGINPVDDAESPRGYRLVGDVCYEEACTEASAITPVPGGVGPMTIAMLLSNTLESAKRIHNFK >Ma09_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7470134:7488604:-1 gene:Ma09_g11050 transcript:Ma09_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVMSNSVASSRKQGHLELGKKKLEEFRKKKAAKQVASVGHLQSTDVDQYENSSKTNQHKEDDSSGTGGTNVVKTSGVVISYEDKAVNSSQNSDVDLSTRMPVNSTAWNYNNHISHGNSEQEAVKDKVLRLPDSSTFSESANGYYDHWREKNELSGNEESKVGSADGFKADQHIAFDPDITKPYIDGNIHGPGFHLHNVESGDFKNRVSSTSHMHDRDVSGAYNMSTLPEKSESISAMHTLGYPSASTKIYDVEKPFQISNKDNHGTASAGGRIADAISRRLNVDNSTWRAPESFSAGFSSGFGRSSGETFPVTSYGTTFGRSRPSFLDSLGVPRVSSISNVSHGDPDSIVTPVSLDNSKFQNTEAQLSASLQQPSADNSMEQSLRLTTLDSTREKQSSFSTMGFFDEEQQPKQRATDQDIQRDHEFPSLKKDEDFASLEQHIEDLTKEKFSLQRALQTAQTLAESLAAENSSLTDSFNQQGKVVNQLKSDMERLQEEIKAQMLAFESVKLEYTNAQLECNAADERAKLLASEVISLEEKALRLRSNELKLEKQLENLNSEITSFKRKVSVLEKERQDFQSTVDALQEEKKVLQSMLRKASTDGKTKHTTEISSIKQDASTSTDDLDVKDNENNAQGTMMNSGINAMQDVGPSAALSTVSASFLDDRRMDLPDAHIDLPQDQLRMIENIKALISELAVEKEELVQALRIESSNCSKLKDLNKDLSQKLEAQTQRLELLTSQRMANENVLAKPIDMHSTHETMEYADEGDEVVERVLGWIMKLFPGGPAKRRASKLL >Ma08_p30900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42004110:42004531:-1 gene:Ma08_g30900 transcript:Ma08_t30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCRRSVLVVWLLLLLLLLFSCCCHGAREMQVFKKKPSAGRRNSGYFTGFLPKATPIPPSAPSKHHNSIGLDRTTAAP >Ma06_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1929184:1933473:-1 gene:Ma06_g02470 transcript:Ma06_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHSAILSALQFHQRPSNLGLRIDSTRILTSTIAPPRLLQPFPLSTKTLASDRLVPHLPKILCSRTPQIPPLSRRNANFLRRIAGGAAVLLIGSLVFLGRLGTRSALALDGAQRSNFSAPLEEKIDASGEDEVEMYARVLQKNPKDVEALKVVLYGKLKKGKREEAVRLVERLIELEPDDVEWRLLQGLCYELMGKLDKAKRLFKDILKERPLLIRALHGLALAMHKSRESATAFEMLHKALDLARRENRVTDQRNIKILIAQMHAIVGDLEGASQHFQDLVNEDPRDFRPYLCQGVIYSLLDKTKEADEQFEIYRSLLPDEFPQRSFIDDVILTAKTEAKQLGKELESEYSPRK >Ma06_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2005652:2011426:-1 gene:Ma06_g02610 transcript:Ma06_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEHIMVRRGRGLSPMLLLAVAAAVVWRFTPVDASVSYDRKAVIINGHRRILFSGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFGGNYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMAKFTEKIVSMMKSQGLFESQGGPIILSQIENEYGPLEEYYGGAAAKNYASWAAQMAVGLKTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKPYKPTMWTEAWTGWFTAFGSPVPHRPVEDLTFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFISTSYDYDAPVDEYGLLRQPKWGHLRDLHKAIKMCEPALVSADPTVTKLGNYEQAHVFRSKSGSCAAFLSNYNPRSYATVTFNRMKYDIPPWSISILPDCKTTVFNTARVEAPTLQIKMTLLGRFSWKSFSEETNTLDDNSFMKDGLVEQISMTRDQTDYLWYTTSVDIGPNEPFLKNGPHPVLTVMSAGHSMHIFINGKRAGTVYGGLDNPKLTYKGKAKLWAGSNQISILSVAVGLPNVGSHFETWNAGVLGPVTLHGLNEGRRDLTSQKWTYQVGLKGESLNLHMLSGVSSVEWGGASSKQALTWYKAFFNAPSGNEPLALDMSSMGKGQIWINGQSIGRYWPAYKAYGTCASCDYRGTYNEKKCQTNCGESSQKWYHVPRSWLNPTGNLLVVFEEWGGDPSGISLVKRTAL >Ma03_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25359810:25363010:1 gene:Ma03_g20180 transcript:Ma03_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSWWRLLPSMVPFFLPVLPFMILVSPPPTVSAQPKQTNVSLGSSVAASDGASWPSPSGRFAFGFYPKAQGLAVGVWLTTTPNRTVVWTANRDDPPITDGYLRLTFDGRLLWSAPGGVEKAVSQGSEPAALAAMIDTGNFVLYNSNGAIIWSTFGSPTDTLLPGQSLFPDGQLFSSLSEADRSTGKYRLHNQNDANLVLYPVDTSDTADDAYWDTGTFQIGFLLTLNLDSTGLLYLSGDNGSYSKNISLPKASSGSPSGTQVYYRAIVDVDGILRLYSHSFSNNGSSTTRVEWAALQDRCLVRGVCPLNSFCSPNADEEPVCLCPPGFDFVDPTQIALGCARNSSGGDCSTKSSDVGDEGLTMVTVKNTTWVNKAYSILPPTTSLDACRAACLNDCFCQAALFIEDKCYKQMLPLRYGRTGSNDTLLLKVAASRKQAVVHERESKLRVDVLVVGVVLAGLSVIVFAISGYFFLERKRAARYIRTPDSDNSGSDDYSTLKSYSYGELERATENFREELGRGAFGTVFKGTVSDGARVIAVKRLEKMVEEGEREFQREVRAIGRTHHKNLVRLLGFCNQGSSRLLVYEYMSNGSLADLLFKAETWPTWRERCRIALDVARGLHYLHEELENHIIHCDIKPQNILMDATGTAKIADFGLAKLLMPDQTRTYTGIRGTRGYLAPEWHKNAPITVKADVYSYGVVLLEIICCRRNMELEEAGHGETLLELAYECLVDDEFGRLKKDEAMDETELARMVRAGLWCVQEQPVFRPSMKNVIMMIEGHMEAPLPPPPASFSS >Ma03_p20180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25359827:25363010:1 gene:Ma03_g20180 transcript:Ma03_t20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSWWRLLPSMVPFFLPVLPFMILVSPPPTVSAQPKQTNVSLGSSVAASDGASWPSPSGRFAFGFYPKAQGLAVGVWLTTTPNRTVVWTANRDDPPITDGYLRLTFDGRLLWSAPGGVEKAVSQGSEPAALAAMIDTGNFVLYNSNGAIIWSTFGSPTDTLLPGQSLFPDGQLFSSLSEADRSTGKYRLHNQNDANLVLYPVDTSDTADDAYWDTGTFQIGFLLTLNLDSTGLLYLSGDNGSYSKNISLPKASSGSPSGTQVYYRAIVDVDGILRLYSHSFSNNGSSTTRVEWAALQDRCLVRGVCPLNSFCSPNADEEPVCLCPPGFDFVDPTQIALGCARNSSGGDCSTKSSDVGDEGLTMVTVKNTTWVNKAYSILPPTTSLDACRAACLNDCFCQAALFIEDKCYKQMLPLRYGRTGSNDTLLLKVAASRKQAVVHERESKLRVDVLVVGVVLAGLSVIVFAISGYFFLERKRAARYIRTPDSDNSGSDDYSTLKSYSYGELERATENFREELGRGAFGTVFKGTVSDGARVIAVKRLEKMVEEGEREFQREVRAIGRTHHKNLVRLLGFCNQGSSRLLVYEYMSNGSLADLLFKAETWPTWRERCRIALDVARGLHYLHEELENHIIHCDIKPQNILMDATGTAKIADFGLAKLLMPDQTRTYTGIRGTRGYLAPEWHKNAPITVKADVYSYGVVLLEIICCRRNMELEEAGHGETLLELAYECLVDDEFGRLKKDEAMDETELARMVRAGLWCVQEQPVFRPSMKNVIMMIEGHMEAPLPPPPASFSS >Ma04_p39260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36443452:36448937:1 gene:Ma04_g39260 transcript:Ma04_t39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDADDKSRPVSFPLRLLSPTSTFPQKPHEEEAEEKEAKPLLPIRSDGKELKGSGCDDDDDDEASERAYEASEKVVIAEDDAEGDGSAPPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAMGLLIQMLSARLGVVTGRHLAELCREEYPRWARLALWFMAEVAMIGADIQEVIGSAIAIKILSRGVLPLWVGVIITAMDCFIFLFLENYGVRKLEGFFAVLITTMASSFAWMFREAKPSEKELLIGVLVPKLGSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKVDPDKKSHVREALRYYSIESTIALIVSFMINLFVTTVFAKGFYGTKEAENIGLENAGKFLQEKYGGGIFPILYIWGIGLLAAGQSSTLTGTYAGQFIMGGFLNLRLKKWIRSLITRSFAIVPTIVVALFFDTSDSALDVLNQWLNVLQSVQIPFALIPLLTLVSKEQVMGDFKISRAIQMLMWIVAALLIIINGYLLLEFFSTEIRGLLLGSICSAAIAVYTIFVIYLILYGGDFTTQLASAIHRRFRPGQNYFCSIL >Ma11_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20368991:20374331:1 gene:Ma11_g14650 transcript:Ma11_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRVMLRGGMGAMMMQAAKKVKETTGIVGLDVVPNAREVLVSLYTRTLKEIQAVPQDEGYRKAVESFTRHRLQVCQEEEDWEAIEKRLGCGQVEELIEEAQDELKLIGKMIEWEPWGVPEDYECELIEDDTSIPKHVPQHRPCQLPEEFFKTLEAVSTESVPKNEPAPQVKA >Ma07_p27160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33650955:33652572:-1 gene:Ma07_g27160 transcript:Ma07_t27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERGMETLFQDGKEEVLQAWFMDDGEEDQRLPHHCEPKKFVSLDKLADLGIVTWRLNAENHENDEDLKKIREARGCSFVYTVDMHPERLPDYEAKLKSYFQEHRHTNEETSYCLEGSGYYDVRDKNDCWIRIAVKKGGMIVIPAGIYHRFTLDTSNYIKANLFLTKPVNSSSDRPGDDLSSRKETFETFLRKETDELGVEAR >Ma02_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25150609:25155835:-1 gene:Ma02_g18740 transcript:Ma02_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVISTKGLLSLPPKPQTRPFHPLHTLRRRFPSAAACFPSPALRAHVPTSALHDFSSKERSSIPGPTAAAKPEKSHLCPGLWAERRKVPTFRAGAAIPADGASFVEEEDKPKFLGVEISTLKKIIPLGVMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLSNVLSKEALFYTVILPFIAFFGAFSFVLYPLRDAIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVLLGLIICAIYWGVNKFVVNDPSLPRSDRKKKEKPKLGMNESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGVATFTMMLLGRLILRKFGWGVAAMITPTVLLLTGVGFFSLILFGEPLTPLLGSLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEEMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAARSLDSQFSPLAKQELEKEKMLKEKVKEHLMEATKEDTDGSKGNGSVHESVSSDYSSNGSPSKQELAPESEGSSETSSSEQGSPVR >Ma04_p26650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28036189:28039647:1 gene:Ma04_g26650 transcript:Ma04_t26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEVRPEASGGGVDPPGRAGDADESIRRLTCRLESLKAVHSSSQSQHQHQSGRNSLIIDGTLRSPRCATEENML >Ma04_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25547397:25548622:1 gene:Ma04_g23360 transcript:Ma04_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQIVPAGKNVEAQYVEMKVPLYSYGCEKKVKKALSHRRGIHSVHVDYKMQKVTVWGICNKDDVLATIRKKRREARFWEQAEPEAAEGKVEDEMAVAKASRLAAAKGHRLRLSWKKLFPL >Ma06_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9357472:9358372:-1 gene:Ma06_g13660 transcript:Ma06_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPERPLLVRAMGMRRTWVCLFLLVYTFLLYCSWSLLLSIRSWYNTASSHSSSSSSSTSPTYVAGWPALYASVLYGGVFGLLAMGAALAVAVPATLVTWITVLVLLAFAGKPRRSLVMEGRRITADITAIALKILVREGNLVAGICATVSFFALLFSSRRSGGAAGGDRDL >Ma09_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2542926:2547929:1 gene:Ma09_g03860 transcript:Ma09_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFFRGSRADIESGLPGFIPDRRPVRMHAGVRPVNNNSMAFLVTVLVLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAASGFLGGTELRLHVPPSIAFATRGRLQSLRLQLALLDREFDDLDYDALRALDSDNPPDAPSMTEEEINSLPVHKYKLQSSNRQSGGSVLQHASSSSISATEQKRQEGTKLDGNLKTPEDELTCSVCLEQVNVGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVNSGWHAHSDGEMDMV >Ma09_p03860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2542931:2547929:1 gene:Ma09_g03860 transcript:Ma09_t03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFFRGSRADIESGLPGFIPDRRPVRMHAGVRPVNNNSMAFLVTVLVLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAASGFLGGTELRLHVPPSIAFATRGRLQSLRLQLALLDREFDDLDYDALRALDSDNPPDAPSMTEEEINSLPVHKYKLQSSNRQSGGSVLQHASSSSISATEKRQEGTKLDGNLKTPEDELTCSVCLEQVNVGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVNSGWHAHSDGEMDMV >Ma09_p03860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2542931:2547929:1 gene:Ma09_g03860 transcript:Ma09_t03860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFFRGSRADIESGLPGFIPDRRPVRMHAGVRPVNNNSMAFLVTVLVLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAASGFLGGTELRLHVPPSIAFATRGRLQSLRLQLALLDREFDDLDYDALRALDSDNPPDAPSMTEEEINSLPVHKYKLQSSNRQSGGSVLQHASSSSISATEQKRQEGTKLDGNLKTPEDELTCSVCLEQVNVGELVRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVNSGWHAHSDGEMDMV >Ma09_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4623357:4626570:-1 gene:Ma09_g07140 transcript:Ma09_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTAGONIST OF LIKE HETEROCHROMATIN PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT3G63270) UniProtKB/Swiss-Prot;Acc:Q94K49] MAPPKKSKKPKRKAKDPSKLGDRSNAAHVELKAGDTEWWYTFWHKNGGSPGIAIPSDEDEAFKYFFRVSKKTFEYICSLVRQDLVSRPPSGLINIEGRLLSVEKQVAIAMRRLASGESQVSVGAAFGVGQSTVSQVTWRFIESMEERARHHLRWPDADRIEQIKTKLETAFGLPNCCGAIDATHIIMTLPAVESSDDWCDQESNYSMFLQGIVDHELRFLDIVTGWPGSMTISRLLKCSGFFKLCESGRRLNGPIKMSSEKAEIREFIVGDATYPLLPWLMTPYEGKNLSSSMIGFNAKQKAARLLAARALSQLKGGWRILHKVMWRPDKHKLPSIILVCCLLHNIIIDTGDELNADVALSNHHDAGYKEQSSQQVDSQGKAMRETLTRHLSHGDISC >Ma07_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6263079:6266198:-1 gene:Ma07_g08430 transcript:Ma07_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATIASKMGFTEEEMAVDEGLGYPKAYAKLCRSPSVLSAYAQGPPFAFLPYTLQPQEALRARDWSQMFPVTDPEAIPSVNPRGFVNLLWKQLDHLGNAGFDPALFRVDTYGNVLYLHADSASPLAWDIDHWFPCSRGGRTVPSNLRLLQWQVWKKKHNKLEFLIPWWDLQLGISVNQFLSIFASRNSDFRSRAFSYLFCDGGSEELNALQAVDSHHFPQHFIEMERQVGLGPASIVSSRRSFDASVLRPIDANRHLRHNYPLIAARKFTREEEDAHSMATHSSKPLVSKENTSPDMDTCDSNPYLSIAMARDSLRQREEAKKKQAEISRLEDELNELKQKNEEDRVALQELETLLVKRRRRVEKCRRLAEAQSSYKALLEKMIRDAMHQSVVYKEQVRLNQTATSALMARLEAQRALCDSSEKELRRKFKQRDEIEKQIRPVWELRKRSRMDETLLEEGRNESIRLLCTRRTRTTPLTKELRKFLEEEQKASEAGLSLGEDGGEETEESATTGSSQKEKSAVPQSQKNEEAEEHRSVIDEKLKQLATSDGHLSQTSESEQQSFLHRGSQEEGYKASGAGNDIMLLNDKPRDVAAEEKVKPPILKIKIPKPRSPRKEEEEEEDGDDGNNNQIGKRNVDKWLEILLDGFEEGSPQKKPDAPEDNLDESVCKMNSAHPHKQIKFLRLKPLEEKAGTARNPITEQKTNSSKSRHGEDSSNASKEEVTGSRKSFEVKDPRSESSRGFRSLPSSPSMILGMRRGVDCIGRKPQVMGDDNNGNESVVSTNSSKFIKSCSRAIKRAMNI >Ma09_p26070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37422155:37428044:-1 gene:Ma09_g26070 transcript:Ma09_t26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPSSSIPRGQVDLVEFVDWSGVECLNQTTSHPIVNALKQGSRDDDGLHLESDADEQLLIYVPFLQVIKLHSVVIVGPEEEGPKTVKLFANKEHMGFSNVNDYPPSDSIDLSLDNLKGMPVTVKYVKFQNIRSLTIFIEDNQGGSDVTKVQKIAFLGTTVDTTNMKDLKKIEEH >Ma04_p37240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35249468:35252786:-1 gene:Ma04_g37240 transcript:Ma04_t37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCKEMLYTTCQSVRNQGSYSPISCVASHQLRLLYSSLSTAIASSWSRALTQISPYTFASVGIAISIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASKIYDPESLRAGYAIFASGIIVGFANLMCGLCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKTT >Ma09_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8030006:8034136:-1 gene:Ma09_g11850 transcript:Ma09_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPWSPPLSHREEPPSPLNFDDLDSFLPDFDPVKEWLIDPDQFFMLGDEAKVPDPTGLDPNKVESAGPLDTDDFATKRSYPGAKEEILCSSIDERMQNVSLVEASDGNANNVTVLEGAASEATAKIDAGEANVAMVSSGAGGSKERDVANGCTALTKANGANEAHAASGLVKDEESSGTESDGSEAEDDDTDRSDSSSSSSSEEEDDGDDDDDESDSNSNRERGMDAEGEIEEGEIRDTDREEMFIGSEEEEEVVKGPIKSKNEVENLPPVPKIEVLLEPHHQTLPVGVISAMLDNKVIVEGSEKHNPLNEGSILWITEMRLPLGFVDEIFGPVKNPFYVVRYNSDMEVPAGISVGTAVSFVVEFATCIINHKELCRKAYDASGENDEELNEEVEFSDDEKETEYKKSLRLSKRENNDRRKGNQESFVNKRKFRGASIHKDIPPAFDHVPDVVDRPLTSVAGPVQPPVIAGNLGCSDYGSFGAGNTCVSSPSTIPVIPLAAQMSSSLGLLPQQLQQQLNALWLNGLPHQQQQHIGLQGGFPVNMLPGQQLVNQAYNQLHQNQFFNSFPTGMPFQQQFVPSIQAPANIPWLGGLMRPSMAAPPGSMWSGDLGQETSGNMQIQGAPISSNEHNFGRPPLANAPRTQRPPRNLGRGRTSFRGKKPHHRGGYHSYGRGS >Ma06_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1539538:1540851:-1 gene:Ma06_g01860 transcript:Ma06_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDLDMLNDHRHRASAAAPAPAAAAEHEEGPSDSAEFRDIHALTPPSHPSRGRRRELWEGGSHRSSSLSIGSDAASEGFTTMSREFNAMVVAGSTMPNDGGNGGNVDDGPQNQLARIGEDELEETNPLAIVPDNNPFPSPRRPPPEIDSAASSSADEVRVHLVKKEEVESKISAWQTAEVAKINNRFKREEAIINGWESEQVEKATARLKKIERKLDEQRARAMEKMQNDVAKAHQKAAEKRASAEAKRGTKVAKAFELGNFLRAVGRAPSKRSFF >Ma08_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1770310:1774209:-1 gene:Ma08_g02200 transcript:Ma08_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRCSTLSLCWWPTHFKSSVLEPDDLGTNGGGDGDGMFTEYSLEELRAATDGFSPEHIVSEHGQKAPNVVYRGRLFPGDRAVAIKRFNKFAWPDARQFLEEARAVGQLRSERLANLIGCCCEGDERLLVAEFMPHETLAKHLFHWDTQPLSWTMRIRVALYLAQALEYCSSRGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRSKNFRTLMDSCLEGHFSNADGTELVRLASRCLQYEPRERPNVKSLVTSLGSLEKDAEVPSYTLMGILNGPVTSKQMIKLSPLGEACARLDLVAIYEILEKVGYKDEEGLANDLSFQAWTSQIQETLNFKKHGDNAFLAKDFETAIDCYTQFIDGGTMTSPTVLARRCVSYLMNNMLQEALGDAMQAQEASPEWPTAYYLQAAVLLSLGMDSDAEETIKHGAKLEAKRKTRT >Ma07_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27793461:27794847:1 gene:Ma07_g19880 transcript:Ma07_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNPCSSKEGFNKGSWSAIEDKILTDYIRAHGEGRWGKVPKGAGLSRCARSCRLRWLNYLRPDIKRGNISHEEEDLIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTVLKKKVQGQSVDMHSGEDEHMNGKSQETTRCPKFGNSTGRNDPPSFPQHGKNKFGESLVDGDFFSETSFLAPEDCDLFNPLMDSNHEELCPRHFLDLNFFEIFESNLPKEHETLWWW >Ma04_p26530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27957437:27958743:-1 gene:Ma04_g26530 transcript:Ma04_t26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMQPLCLVFLFLCSTLLLQWSQGCHPHDRSALLTFKAGITADPSGLLRSWDSATDCCSAWDGVACDAATGRVVNVSRPGLFSGPDFISDASIAGSLSPALGDLFSLRLLDLSNLKQLAGPIPPALGRLSRLEHLLLDSNQLTGCIPSAFANLTRLRKLSLGNNRLSGSLPPSMFTSP >Ma09_p01470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1049459:1056909:1 gene:Ma09_g01470 transcript:Ma09_t01470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVDAYRGGSATVNSSSIWRRGEDAVFSRSFSRDGEDDEEALMWAALEKLPTYDRVRRGLLLMSEGELREIDVNRIGLEERKRLLERLVRVAEEDNERFLLKLRERIHRVELEFPTIEVRYQHLNIVADAYIGNRGLPTFFNSYLNAVEAFANYLHLLPSKKKPLSILHDVCGIIKPHRMTLLLGPPGSGKTTLLLALAGKLNSELKVSGTVTYNGHNMDEFVPRRTAAYISQHDLHVGEMTVRETLAFSARCQGVGSRYDMLTELSRREKAANIKPDPDIDIFMKATSIDGQETNVITDYILKVLGLESCADTMIGDEMLRGISGGQKKRVTTGEMIVGPSRALFMDEISTGLDSSTTFQIVNSLRQTVHILSATCVISLLQPAPETYELFDDIILLSEGQIVYQGPCENVLEFFESMGFRCPERKGVADFLQEVTSMKDQQQYWVRTNEVYRYVPIREFADAFQSFHVGQQLGRELSVPFDKRKSHPAALSTSNYGVSKKELLKACMSRELLLMKRNSFVYAFRAFQLMIMAIIMMTLFLRTNMHHDSVNDGVIYMGALYFLILIHLYNGFSELALTVIKLPVFFKQRDYLFYPAWAYALPAWILKIPITLIEVAVSVFLSYYVVGFDPSVARLFKQYLLLLLVNQMASGLFKFMAVLGRNLVVANTIASFALLVLTVLGGFILSHDDVKKWWIWGYWISPLMYAQNSISTNEFLAKSWKQVVPGSEKALGVMILKSRGIFPEAKWYWIGVGALAGYVLLFNSLFTLALTYLKPYGKAQPSLTEEALREKHANITGVPFEPSSRGNPDHPTKSKRNTAIYPNASSSVLTAGDNQARKGMVLPFTPLSITFDSIKYSVDMPQEMRAQGIEEDRLLLLKGVSGSFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGCIEGDIFVAGFPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLSAEVDSATRMMFIEEVMELIELKSLREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMERGGEEIYVGPIGHHSCHLIKYFEGVNGVRKIKDGYNPATWMLEVTSEAQEDILGVNFSDIYKNSELFRRNKALIKELSTPPPGSSDLYFQTQYSRSFPTQCMACLWKQKLSYWRNPSYTAVRFFFTVIIALLFGTIFWNLGSKRKKRQDLFNAMGSVYASVLFMGCTYASSVQPVVAVERTVFYRERAAGMYSALPYAVGQVAIEVPYVLIQSVVYGVIVYAMIGFEWTADKFFWYLFFLSFTMLYFTYYGMMTVGLTPNYNIAAIVSSAFYGIWNLFAGFVIPRPRMAVWWRWYYWACPVSWTVYGLVTSQFGDVHERLDSGETVVEFLRDFFGFRHDFLGVVAVMVVGFAALFAFQFAVAIKVLNFQRR >Ma09_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1049459:1056909:1 gene:Ma09_g01470 transcript:Ma09_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVDAYRGGSATVNSSSIWRRGEDAVFSRSFSRDGEDDEEALMWAALEKLPTYDRVRRGLLLMSEGELREIDVNRIGLEERKRLLERLVRVAEEDNERFLLKLRERIHRVELEFPTIEVRYQHLNIVADAYIGNRGLPTFFNSYLNAVEAFANYLHLLPSKKKPLSILHDVCGIIKPHRMTLLLGPPGSGKTTLLLALAGKLNSELKVSGTVTYNGHNMDEFVPRRTAAYISQHDLHVGEMTVRETLAFSARCQGVGSRYDMLTELSRREKAANIKPDPDIDIFMKATSIDGQETNVITDYILKVLGLESCADTMIGDEMLRGISGGQKKRVTTGEMIVGPSRALFMDEISTGLDSSTTFQIVNSLRQTVHILSATCVISLLQPAPETYELFDDIILLSEGQIVYQGPCENVLEFFESMGFRCPERKGVADFLQEVTSMKDQQQYWVRTNEVYRYVPIREFADAFQSFHVGQQLGRELSVPFDKRKSHPAALSTSNYGVSKKELLKACMSRELLLMKRNSFVYAFRAFQLMIMAIIMMTLFLRTNMHHDSVNDGVIYMGALYFLILIHLYNGFSELALTVIKLPVFFKQRDYLFYPAWAYALPAWILKIPITLIEVAVSVFLSYYVVGFDPSVARLFKQYLLLLLVNQMASGLFKFMAVLGRNLVVANTIASFALLVLTVLGGFILSHDDVKKWWIWGYWISPLMYAQNSISTNEFLAKSWKQVVPGSEKALGVMILKSRGIFPEAKWYWIGVGALAGYVLLFNSLFTLALTYLKPYGKAQPSLTEEALREKHANITGVPFEPSSRGNPDHPTKSKRNTAIYPNASSSVLTAGDNQARKGMVLPFTPLSITFDSIKYSVDMPQEMRAQGIEEDRLLLLKGVSGSFRPGVLTALMGVTGAGKTTLMDVLAGRKTGGCIEGDIFVAGFPKKQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLSAEVDSATRMVSFNDRKMFIEEVMELIELKSLREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMERGGEEIYVGPIGHHSCHLIKYFEGVNGVRKIKDGYNPATWMLEVTSEAQEDILGVNFSDIYKNSELFRRNKALIKELSTPPPGSSDLYFQTQYSRSFPTQCMACLWKQKLSYWRNPSYTAVRFFFTVIIALLFGTIFWNLGSKRKKRQDLFNAMGSVYASVLFMGCTYASSVQPVVAVERTVFYRERAAGMYSALPYAVGQVAIEVPYVLIQSVVYGVIVYAMIGFEWTADKFFWYLFFLSFTMLYFTYYGMMTVGLTPNYNIAAIVSSAFYGIWNLFAGFVIPRPRMAVWWRWYYWACPVSWTVYGLVTSQFGDVHERLDSGETVVEFLRDFFGFRHDFLGVVAVMVVGFAALFAFQFAVAIKVLNFQRR >Ma04_p29420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30391126:30392308:1 gene:Ma04_g29420 transcript:Ma04_t29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSEPPLSPPAVDLSLTLAAASSSPPSSGHDARDVRLFPCLFCNRKFLKSQALGGHQNAHKKERGSSWNPHLYLPPPPPPPPTTHSFPIASHSCKPVLHRSGDCGAPRLLADRPFLILNWQRASYPHQALSPDLGSVNSIAAAAAPLPSTGDDRTIIDLSLRL >Ma03_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6445427:6446212:-1 gene:Ma03_g08760 transcript:Ma03_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPFPPGIRFVPTDQEVISCFLRPLVDGLPVTPGVIHHSDIYQTEPWNLPEGAGYYYTPRHRMSAAADRGGSSSTSAHVRRTAGAGFWHGKSPLVPIYNGAEVIGHKTSLAFNLKNRSTGFVTKTGWLMNEYILHSPDRQLQTRAICKIHNKNDARFDFDE >Ma11_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1809934:1813627:-1 gene:Ma11_g02480 transcript:Ma11_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKVSGEVAVMEVSHQSSVGVRTRARTLAAAAAAAAQDSSRAYLELRSRRLEKPLPPPSACKPCKDTSKPKPNPSSKTDSRFSSQKSGPNRRSNSGSVESVSTRRCSAAVEAEAPPDAEVSFGENILEADSRDRCSRETTPCSLIRNPEAIRTPSSTNRPSNSTTTNRRMQIVHQNIPSAHEMDEFFAGAEQLQQRIFIERYNFDPVNDRPLPGRYEWVKVDF >Ma09_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13050417:13051190:1 gene:Ma09_g17460 transcript:Ma09_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNELNSPIEQYQLSFPSCAGSSSNTTAASSSRRGKGKDGPENGRFRYRGVRQRSWGKWVAEIREPRKRTRRWLGTFSTAEDAAKAYDRAALVLYGPRAQLNLQTSSTPAAAHHVGATATTSSFTTTLRPILPRPSGFHFPIASLPPNNISPFLTTNTTDSTIMSPGPAAEPPRVEVGALAAGANHLGCSDPTNFDEMRTLAGSVSSSLSRSWPPVMTTASSSSAPLLSSPLWDYDDYDAVASCLWDDTDPFFFDI >Ma09_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12057186:12065850:-1 gene:Ma09_g16660 transcript:Ma09_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKDKLSTKAAKEQAKVSLKTSAAPHNYGNGAPSSAYNPDLGTFHAFDTMISGSLTTGQHNGCFRIIDETEEHSCSSFGTAGDSDSMSNHNSYSGESEDQKEKITTSNAARIEPVTSCDTDKREKIRQKNERKHQRQKERRAQELHERCSGYLMSRKLEMLAQKLVAMGFSSEQATMALIQNEGRVEESIAWLLEGGEESKQQTAVNIDGGVNLKIDITMELAKISDLEVKFKYTKQEVERAVVASEGDLEKAEETLKAHKQESKALPSKLEESSDPVIASGLDNKTIISVQNAALRPQQKEVASFRTKQQRRGERDLNHIIAVPAGAVESSNKNLQSLRKIQPKADWGKPQVAAPMEKRWPSTTSAPSISISLPSLQVAAPPTNQCAMVGGSCTFPWHKKFFLSLKNFVAMAFID >Ma07_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4259814:4261137:-1 gene:Ma07_g05880 transcript:Ma07_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKSFLPFLVMLLLACSVQGQLLPDFYKSTCPRLQRIVRSATKQAIDKDPRMGAAVLRLFFHDCFVTGCDASVLLDDTPTALGEKNSPPNFNSLRGYEVIDYIKARVEAACPATVSCADILALAARHSVSVLRGPRWQVPLGRRDARTAASQLEAGANLPPAGMEVPGLIGIFASKGLDAKDMVALSGAHTIGETRCGVYRSRIYTDNNVDPNFAAIRKNNCPPFGDDNKWTPLDLQTPTRFDNKYYSNLLGGRGMLHSDQALYSGGPADSLVKLYSANNSAFFADFALAMTKMGNISPLTGANGEIRLNCRKPN >Ma04_p37460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35361794:35362381:1 gene:Ma04_g37460 transcript:Ma04_t37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVVTENIAAQLDLLVDLHRSFRYAAIDTEFPGFIQSTPPYASEEDRYADVKYNVDKMKLIQLGITLFDENGSTPWQGCCWQFNFSDFDPLVHPSSPKSLELLRRSGHDLEGNQRNGINGDKWSNVLRNKLFDRRYDSVYVTFHGLYDVAYVIMLITGGAPLPPTLREFIVSIKSIIMLFVMRKNFNTKIEIK >Ma09_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11501289:11526811:1 gene:Ma09_g16160 transcript:Ma09_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAAPMFERHRAVAPNTVFKSGPLFISSKGIGWKSWKRRWFILTRTSLVFFKNDPRALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAESLEDLFEWKTALEHALAQAPNAALVMGHNGIFRNDNADTFEGSFEYWRDKRPIKSLVVGRPILLALEDIDGGPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRIQEYEQGKTEFAADEDAHVVGDCVKYVLRELPSSPVPASCCTALLEAYRLEHKEARINAMRSAISETFPEPNRRLLQRILKMMHVIASHTAENRMTPSAVAACMAPLLLRPLLAGECELDDDFDMNGDNSAQLLAAANAANNAQAIITTLLEEYESAFDDCNLRRCSLSSNSQIGDTGSEESIDDVNTDVKDNGYHDAENDAEPDMEDDDNDERVLSGKLSESSGYAGSDLYECKVFAGDDSEPDSPKDNQSSSTKTELVMDSKAHHNEQSLTSNNTEKSVAEKDASDVLPTQESSMSMGEILSSLHPGNSLPAHVAEYSVDRYSSKVNGSHTHMKRTNFWGRNNARKNQPLEQVDSSGEEELAIQRLELTKNDLQIRIAKEAKGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRTMDSKTRTELEEIALAEADVARLKQKVAELHLQLNQQRQNQYGSIPHACDRYQHLPAPLLQQKIVQQDFDMSLAFCNHEKKQRSEESSSATEWRSIKPQMPPYPGSKQLSRKNAADKASLNDLRGMEMEASTSMPSEANPSMVSNSMPKATEGAEHLRQPLVASSTLVELTTRLDFFKERRSQLMQQLHSLDLSHGASSQGLAYKSSPPWNNSPR >Ma06_p29590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30930767:30931626:1 gene:Ma06_g29590 transcript:Ma06_t29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIEQSKQAAVERHGRGNGVFGGNELASRCEPKPCLVKKPKDTMNRRHIPAFGKWDYSDDLPITQYFESAVQAGLVPGQFLGEDAHLFKVPPVGPEKPAHHHQQQQRKKGEKQHRNGQERKQAGEECDTTARRLRAPKAVDEDLYKIPPELLCRKPKRKKLLRSLWSGCLGLNCIA >Ma05_p11710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8552733:8555354:-1 gene:Ma05_g11710 transcript:Ma05_t11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MVALRGKELQVNEEELEDSNVGDVDDEEFESESESEPEEVAVSEPAKNAVYNKEALLEKLDDIAWPQNVEWIHKLTVDHAVDQEVDVNDDLARELAFYTQALDGTRQAFEKLQSMGIPFLRPPDYYAEMVKSDAHMLKVKGKLLVEKKKIEEAEERKKAREAKKLAKEVQAQKTKERAKRKKEDIESVKRWRKQRQQSGFARGKDEELDLSPDEEKSFEKSKKRRTGVAPGDRSGGFRRKGGNEKGRKQREFREAKFGHGGRKGMKKQNTAESTNDFKGFNKDKFSASKRQKK >Ma05_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8552733:8555346:-1 gene:Ma05_g11710 transcript:Ma05_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable rRNA-processing protein EBP2 homolog [Source:Projected from Arabidopsis thaliana (AT3G22660) UniProtKB/Swiss-Prot;Acc:Q9LUJ5] MVALRGKELQVNEEELEDSNVGDVDDEEFESESESEPEEVAVSEPAKNAVYNKEALLEKLDDIAWPQNVEWIHKLTVDHAVDQEVDVNDDLARELAFYTQALDGTRQAFEKLQSMGIPFLRPPDYYAEMVKSDAHMLKVKGKLLVEKKKIEEAEERKKAREAKKLAKEVQAQKTKERAKRKKEDIESVKRWRKQRQQSGFARGKDEELDLSPDEEKSFEKSKKRRTGVAPGDRSGGFRRKGGNEKGRKQREFREAKFGHGGRKGMKKQNTAESTNDFKGFNKDKFSASKRQKK >Ma03_p20850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25926028:25930316:-1 gene:Ma03_g20850 transcript:Ma03_t20850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFYYLKFETRNRNMVAKASASMASGANAVSEQSAGRSIPSTKMSRKVPKKEHKAMREKMKRDHLNDLFLELGQALETSRQNNGKASILVDATRLLRDLLAQVEVLRKENVTLMNESHYVTEEKNELQDEKNVLKAEVEKLQNVLHEGTQSDPMAEWHSKSDLAPPTLPQSLTAALPMQQQPVAPLIDIALHQDLNPLSDAAISPPSPAATSKVSRPHARYPTPSDTWSLELLSRNQRAAHEAQHGSGSTSDGRVEGLDNQ >Ma03_p20850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25926028:25930469:-1 gene:Ma03_g20850 transcript:Ma03_t20850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKASASMASGANAVSEQSAGRSIPSTKMSRKVPKKEHKAMREKMKRDHLNDLFLELGQALETSRQNNGKASILVDATRLLRDLLAQVEVLRKENVTLMNESHYVTEEKNELQDEKNVLKAEVEKLQNVLHEGTQSDPMAEWHSKSDLAPPTLPQSLTAALPMQQQPVAPLIDIALHQDLNPLSDAAISPPSPAATSKVSRPHARYPTPSDTWSLELLSRNQRAAHEAQHGSGSTSDGRVEGLDNQ >Ma03_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25926028:25930469:-1 gene:Ma03_g20850 transcript:Ma03_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKASASMASGANAVSEQSAGRSIPSTKMSRKVPKKEHKAMREKMKRDHLNDLFLELGQALETSRQNNGKASILVDATRLLRDLLAQVEVLRKENVTLMNESHYVTEEKNELQDEKNVLKAEVEKLQNVLHEGTQSDPMAEWHSKSDLAPPTLPQSLTAALPMQQQPVAPLIDIALHQDLNPLSDAAISPPSPAATSKVSRPHARYPTPSDTWSLELLSRNQRAAHEAQHGSGSTSDGRVEGLDNQ >Ma00_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35573870:35575172:-1 gene:Ma00_g04180 transcript:Ma00_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFDDMAKASAGVLYRYSFSEHALRVTDVVTGHGLCNSIIISTSEDRTCKIWSLYEGLLLRSITFPSIIDAIVMDLGEHVFYAGGRDGKIYIAALNAECNRNSIHGMFIIGALYDHRSQYAVSQF >Ma07_p05980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4330302:4331935:1 gene:Ma07_g05980 transcript:Ma07_t05980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTGGRAAAAFSPIKESPEAEKAAEWEVRPGGMFVQKRDPDADAAAAPVPTIRVKVKYGAVYHEAYVSSQATFGELKKALSARTGLHPLDMKLLYKDKERESTAFLDHAGVKDKSKVVLVEDPTAQAKRLLEVRKADKMEKATKSISAVCLEVDRLASKVSALDAIVSKGGRVAENDVTNLIESLMNELIKLDAIVADGDVKLQRRMQIKRVQRYVETLDAIKIKNAMPRANGRPQAKEQPQWPHQKSHQPHHHQQQMRDTQNPVRPQMQQKKMHLQQPQNGMPQQPVVVTTNWETFDSLFMPSTTTPTPAASSTPHAKLDWELF >Ma07_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4330302:4331935:1 gene:Ma07_g05980 transcript:Ma07_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTGGRAAAAFSPIKESPEAEKAAEWEVRPGGMFVQKRDPDADAAAAPVPTIRVKVKYGAVYHEAYVSSQATFGELKKALSARTGLHPLDMKLLYKDKERESTAFLDHAGVKDKSKVVLVEDPTAQAKRLLEVRKADKMEKATKSISAVCLEVDRLASKVSALDAIVSKGGRVAENDVTNLIESLMNELIKLDAIVADGDVKLQRRMQIKRVQRYVETLDAIKIKNAMPRANGRPQAKEQPQWPHQKSHQPHHHQQQMQQKKMHLQQPQNGMPQQPVVVTTNWETFDSLFMPSTTTPTPAASSTPHAKLDWELF >Ma07_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8558731:8559459:-1 gene:Ma07_g11530 transcript:Ma07_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLKALQRSRRPPTRRPLPASHIRRLFLALDITYSSPLRSSGYKYPPTTPSVSGLETTIPSTTTDKLLAAAKGRIKWLAARPSPSSSSAWWCAQPPPPAMNHATANASSGAGPSPAPPTSTAARPAKLGASRAAMKASMYPAILSS >Ma00_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:6660641:6660934:1 gene:Ma00_g01360 transcript:Ma00_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNHLRKGLLSFLLGRCYAGNPAQDKLGRPTGCSLKLIGESDQFIERMMVLMMILHVFRVYLTGGFQKPRVVYRFGFGCIDRGVTGYSLPWDSDSI >Ma01_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:796108:801575:-1 gene:Ma01_g01160 transcript:Ma01_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAFYNEIKGMKVRELPSYLKPKLLRGIATSRPAPSSPSTTTSSGTPAAVITDRGRRLLPIHPGLKSIYMEG >Ma04_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2225238:2226664:1 gene:Ma04_g02660 transcript:Ma04_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPENCHGGFCRAGNPQCAPERKASGGGAGGDQFVVEDLLDFSNEEEEEEEAEALTGSSTDSSTVTAVDSCSNSSSGPEPHPSSDIVCRSFADASLSGDLCEPYEELAELEWLSNFVEESFSSEDLHKLHLISGANSTASSTTAAAASGRADQVAPVRAEAPVTGKARSKRSRGAPCSWSSRLLVLSSSPESELIVPPGAAAGKKAARKKDPPAAAAAAADGRKCLHCQTDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKELQQPQKQLLQAASSGALYDAPTPVAAANFLIDGPDTRHLI >Ma04_p02660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2225238:2226664:1 gene:Ma04_g02660 transcript:Ma04_t02660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPENCHGGFCRAGNPQCAPERKASGGGAGGDQFVVEDLLDFSNEEEEEEEAEALTGSSTDSSTVTAVDSCSNSSSGPEPHPSSDIVCRSFADASLSGDLCEPQYEELAELEWLSNFVEESFSSEDLHKLHLISGANSTASSTTAAAASGRADQVAPVRAEAPVTGKARSKRSRGAPCSWSSRLLVLSSSPESELIVPPGAAAGKKAARKKDPPAAAAAAADGRKCLHCQTDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKELQQPQKQLLQAASSGALYDAPTPVAAANFLIDGPDTRHLI >Ma10_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32347147:32349188:-1 gene:Ma10_g23390 transcript:Ma10_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLSGAVIAKRLASCNRTARDRAVRALSSWLCRQTDDAVSDADLAKIWKGLFYCVWHADKLPVQVDLAGRLAALLESLAAPLAARYFEAFLVTIRREWGGIDFLRLDKFYLLIRKFLRHAFLLLRKNAWNPDLVARMIGILSKKSLLAMDRYPANGVNYHVTEVFLDEIEDLLPLALETLDSMLKPFILVVGKSTDKVLVNKIKVNIFDRLLDNGRKLLNVEKAGNRVDLGSEVDRLGNFALLLQFAKMFFDSASASETLQGNRKILFCLHEDFLKLENDLQKSGIHISAQRLENGSSGNMSGVVISESTEQIEVNNGVVDGGSDDKPIKKLKKLKEASDGIKKKKKKSKTGKKKKSMDSIMNRKIVEIASEVSDVVNSTSLKEDMVETQQLIDFNECMISNLQRQFENAAVEAGMANDGDQFSVLPATASAVPSLKKRKRAKSADANTSESGNTANGTVGKSGEKSVKKVRFSMKSNLVWKPNNPLPPQCLRLPPSVTPKGSALKKGVPPGPIRETPPTVKKIKVKSSSMKKSKKGVKSPSSAMKRLRKLQSLSV >Ma02_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27769830:27770396:-1 gene:Ma02_g22460 transcript:Ma02_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREIELLITIHTGVLRKQPMRSPPDTQNSSGRHHNF >mito8_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000019.1:364129:364374:-1 gene:mito8_g00060 transcript:mito8_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLITTTLGTYTFLANFHKTTLALHLMFLNSFSPLIKGTGQGVLMIPTLLTATSVFIIAFIAAPPVDIECLVSLPILYI >Ma08_p31830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42623302:42639989:-1 gene:Ma08_g31830 transcript:Ma08_t31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHIACPITCRRICDCELGFPAALRGEAARSGFLDEIDALEEFLRDPWTVRPADEEVEETVQVWVPRVAQVAAPPSAAVDDGGGGGGGGAGDEVKRALLQRQALAASLAAEDYVRRLEAGNGSEVLGEATSNLAGEDQGIPTIKVMCRICFTGESEGSEKAMKMLSCKSCNKKYHKSCLKTLAEHRDLFHWSSWSCPSCRICEVCRRTGDPNKLMYCKRCDGAYHCYCQQPPHKNVSRGPYLCPKHTRCHSCGSTVPGSGLSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEITPMVCCDACERWVHCLCDGISDEKYQQFQADQNLQYVCAACRGDCYQVKDIHDAVRELWKRKDIVDGDLIASLRVAAGLPSQEETYSISPYSGDEQSGPIILKNDNSKPLKFSLKGISDKSSKDYGNISLNKKQAKKKGYRIKLVGKKEETYSNMERQNEARSLESSYRDQRIDEMTSSRPDGPDVLSSSTARSPNANGMKANQLGNCEQNMVKDVARDNADTVPKVKIKSSKLQGLHFKECAGKSPNKNDTARSTKLVIHIGSTNKNAPSSPRSETSSCHKDQELAASFGAKMDNSNTKRSPEHGVKGKTIIKIGKFHEKHQKSNGNVTDARELNTTDISVMRRSEITAAAENASTERSDKVLQKKQTADISVRSHRDSNEMLPSNFTSSSSKDPKTLLKLKFKNPYFEQKSSWAPNGEEENSVKGQRSKRKRPSNEKMGGWQDERLSKLHLENLIDEAMDANWILQKLGMDAIGKRVEVQSSDGSWHQGTVTDVNQDTSSLSVRLDNGRSILLELGKQGIRFISQKQKRAKT >Ma05_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35330045:35337604:-1 gene:Ma05_g23290 transcript:Ma05_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRELLEIDPLELKFPFELKKQISCSIQLTNKSDDYVGFKVKTTSPKKYCVRPNAGIVQPRSTCDVIVTMQAQREAPPDMQCKDKFLLQSVVVNQGVTVKDITQEMFAKESGNVVDEVKLRVLYVSPPQPPSPVPEGSDEDSSPRPSVSDNGNSNASELLAATRAYAEDIQQGKSSENLTLISRLTEDKNTAIQQNNKLQQELELVRQEVSKQQGGFSFLFVVIIALLGIFLGCVLKK >Ma04_p38850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36211074:36213814:1 gene:Ma04_g38850 transcript:Ma04_t38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEIGLVLARASELRSKIIGCIGGNRAGGRAATQGEEGEEEEAGSLVGIRDALDSLERHLAALQALQHQQRYERESILAQIDHSRTILLSKLKEYKGDELEAIHEVAAFAGEAVECADGLVLPPYPTHLPDLFILDDIGASSHCMWKSKSKPSCNGPIANTKHQGKGAAAATDKNQGSRSSNGIRHVIGLVVKSAITFVSVMSILSFAGYQPVLKKRPQAMLLFGGQAPRGRQVTVQCPQEKFMVVEDGKVRCFVKERVEVPFESDVTSPNIRYGFG >Ma04_p27410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28587106:28587947:1 gene:Ma04_g27410 transcript:Ma04_t27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLLLSYMLLTFLCSLLLSSATSPHGLAFENPMSFPPSAYEFFHPTVDAPETAPLPASSLYPGKTSARAKADAVVGGNVFSAPPGRGGAVTGAIAAVVLGLVFVMLVAVVSAYIAIKRRSDVIKANAEMKADA >Ma07_p18000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:23333490:23335068:-1 gene:Ma07_g18000 transcript:Ma07_t18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMHDGGDSDAPEELTAAEGIKQDEEIRKVQRENVMRFSFVTHKQFLFTLFNYLFVGEKCALHYFF >Ma02_p24080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28828992:28833640:-1 gene:Ma02_g24080 transcript:Ma02_t24080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERERQVFMAKLAEQAERYGEMVESLKKIARMDIELTPEERNLLSVGYKNVIGERRASWRILCSLEQKEEVKGSEQNARRIREYKKKVENELSRICNDILSVIAIHILPSSAAGESIVFFYKMKGDYYRYLAEFKTGNERRDAADQSLKSYQAATSTAMTDLPPGHPIRLGLALNFSVFYYEIMNSSERACHLAKQAFDEAIPEINSLREDFYKDSTLILQLLKDNLTLWTSDLPEGGERSRDTSTNMEE >Ma02_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28828992:28833497:-1 gene:Ma02_g24080 transcript:Ma02_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESLKKIARMDIELTPEERNLLSVGYKNVIGERRASWRILCSLEQKEEVKGSEQNARRIREYKKKVENELSRICNDILSVIAIHILPSSAAGESIVFFYKMKGDYYRYLAEFKTGNERRDAADQSLKSYQAATSTAMTDLPPGHPIRLGLALNFSVFYYEIMNSSERACHLAKQAFDEAIPEINSLREDFYKDSTLILQLLKDNLTLWTSDLPEGGERSRDTSTNMEE >Ma06_p36750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36037542:36043788:-1 gene:Ma06_g36750 transcript:Ma06_t36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTAFLHLKRLLGASRSPLPPPPRSVLRSPAPPPLLQRRSCSSSPLLSFFSGNTAVSDAYESNLFPVHQGHGERRLGESQAEEEVAKYIPVKAFFLSTSIDLKSLQTENSSDVIPPTSRSSNCVALRYNDFQPETSDSVVKESVHYRHVIVFQYGSAVLFNIADHEAERYLDIIRKHASGLVPEMRKDDYAVVEKPSLEAWMEGGLDYITLKCLDIDGIRIIGSVLGQSIALDHYVRQVDDMVEEFTDINRGMEKTGTFNMNRNKLFQLVGRANSSLADVILKLGLFDRSEIAWKNANYAQILEYLREEYELAQRIGNLDFKLKFVEHNIHFLQEVLQNRKSDHMEWLIIILLLVENILSFYDLAMKV >Ma09_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9207422:9211617:-1 gene:Ma09_g13570 transcript:Ma09_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANASSLHNVFVYGTLMADEVVRTILKRVPPASPAVLNDYHRFSIKGRVYPAILPVESKKVKGRVLLGITDQELDKLDSFEDVEYERRTVEISLLDKSEKLLANAYVWSDKNDPNLYSEWDFEEWKRLHKKDFVTMTLGFMDDLEQPDSKTRVATYESYFHQGQSA >Ma11_p14320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19627733:19637332:-1 gene:Ma11_g14320 transcript:Ma11_t14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDPVMTHWSRMFLLFLVLVPLTSSSPSSWATMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHDPAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVRQMKEKSRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSNIGVSVVDRFTFYTLAFFEKLNMYSNASLRSLFNSYNPSLLMSTAYYRMDLYQRPSSEVPVTSFFGSVMKTVHTDAAYTGVSMPSEMEGSLFIEDGEHHRIMLENEPNQENLGNEEAKEVHCPYHAWLKTLQGRLDDTVADILLRYGFGTMILLLAISSWLSS >mito11_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000022.1:49349:50775:1 gene:mito11_g00030 transcript:mito11_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKASNLSCSKESKHIEMVPAVLNKGRIWQKYVKLSLLKRLFRKKWAILRRGAPAASRNKAHNTK >Ma04_p24110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26164949:26165698:1 gene:Ma04_g24110 transcript:Ma04_t24110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPPGPLRYLIGAAIMMVGVVLPLGYMMFRIKRVPSSASTYSKQT >Ma04_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26164949:26165698:1 gene:Ma04_g24110 transcript:Ma04_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPPGPLRYLIGAAIMMVGVVLPLGYMMFRIKRVPSSASTYSKQTSKGLI >Ma06_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12893466:12898679:-1 gene:Ma06_g18870 transcript:Ma06_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVADRTRKVQAHQRQATADVGAHREGSEAIGVSSNIQENLRIVDVPSGSAREHVAAGWPSWFVSVAGEAVKGWLPRRANSFKKLDKIGQGTYSNVYRARDLETGKIVALKKVRFFNMDPESVRFMAREIHILRKLDHPNVIKLEGIVTSRMSCNLYLVFEYMEHDLAGLAARPGIKFSEPQIKCYMRQLLEGLAHCHSHWILHRDIKGSNLLIDDNGILRIADFGLATFFRHDQKQQLTSRVVTLWYRPPELLLGATEYGAAVDLWSTGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWRKSKLPHATVFKPQHQYRRCVAETFKDFPPSALALLNSLLSIEPANRGTAISALGSEFFLTKPFACDPSILPKYPPSKEYDAKLAAEARRQRAEVAHGRGSECVRLGRRESKGMPVLDDNVEQKKWRAQANPKSISEKYDPQDDSVSGFPIEPPGGTSQNGFFQSGVHPNAFGSSRSKKVYHEELRPVPSRTYSSLRVPNDPQLKTQRSYRPQSGFADFADISGSFAARSTATSTYNHLDVAKPSEKHALDRPSSSQKKDGTIGRKDSISGYGTRIKRIHYSGPLMPPGGNIEDMFKEHERQIQEAVRKARLDKVKNKK >Ma03_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15340380:15341787:-1 gene:Ma03_g15450 transcript:Ma03_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDENEQGTLKSQLLKKTTTWKHEFEDHQSQVEKLEDKLMEVKVEMKCTEDDSKELAYLWRGVKNHCNNASLPEIESPLLAALSLSENHSYDQSDGFKLLKSFSTGLIAETTHLESEKVKKEAEVKTLIEDNVRLRVLLDKKEAQLLAMNVQCKWMSLKRSGHIASSFTSPSSYLLIFEC >Ma02_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15180857:15185912:-1 gene:Ma02_g03770 transcript:Ma02_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAVPTDQTTDEIVEEKRVINEEIDMNGETNSHPKEPKKMDEEEKLKMVCSTDVEAAVATEAEEKSRENNESIVEDVKEDTESSNNEMSDSVALSAQVVEDEADPQQKAGISVSSEKREDESKKQHESDTQELSPGPVEDGEGNAGEILVEEQESEGVKGQVASDISKVTISETEDKKEEPVLSCTTAESAPKESGEDSQFEEFLVDAHVTGDSSEQKISNSNRSEDDMKVENFASESIDMVSDFLESSDGAGEIMKDTMEERTESRTIEDAVKEVAVVAGFSEVPDVVNLIEIAAATSAVGSAEETVKSEVGITDDKREFRDVEEPVMDQVNGASSSSETVDVSTKEDSSVVSLEMVKDKDDGLENKNEIPLEATYPVKEVAADSEVSKVAAFVDPIESSNTTSAIKIIEETQGLEAKIVDGKQEAFTEIDEPEVEEQAMNQANEVDATSETVEVAVKEDKDTVTAEMVEDKDSSLHNLSEAHMEPLATANTPLSTNGTSSIQAFDEVTQDKLIENEEQWIAETSKVDPAGLTADVSIEIIGDKKDDCLEDKTSIEIPNGVDVTGEILETPFEEVKDPEDVAMVEEKNDPVEEVADDSDVLVVPAVVHPTESSSTSSTIITTEESREIEAKTIDVKQEAVTEIKEPKVEERKMNQANEVDATSDTVQVAVKEENDMVTVAIEEDNDLNNLSEADVVAIINPVKEDATDFGMPKPHATANITQSTNLTSAIQIADEITQPKAEVIYEKKDKLTEVEEQSMTETNKVDSASLTAEVPLEGEKANVSTQKYEDKKDDIFGSNTSTDNPNGVDAPGEISEIHFKEVKGPEEAYMVQEKNDPSQVVAKVKDIEDKSLKDDRATLLETSKNLDCGIETADAALDGKRNLGVTEIVDGTKEDNLKNSTELESYFVVAEVVGESKVENLESEKTSLIEAFGMAGVSSELDKSSTDKTSEGHEVTQEKGKEAKDYECSIVKESKDESITSKENYAETVRDLDKETNVVAALGATRTMDAEKDKEGAQDEEGTENPKDENLIMTPKCADVMKVEGEAPKQDIPAKPSQRHSNNIISKLKQSLIKAKKIMMGKSPSSKNMSAKSKQEIK >Ma02_p03770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15180857:15185912:-1 gene:Ma02_g03770 transcript:Ma02_t03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAVPTDQTTDEIVEEKRVINEEIDMNGETNSHPKEPKKMDEEEKLKMVCSTDVEAAVATEAEEKSRENNESIVEDVKEDTESSNNEMSDSVALSAQVVEDEADPQQKAGISVSSEKREDESKKQHESDTQELSPGPVEDGEGNAGEILVEEQESEGVKGQVASDISKVTISETEDKKEEPVLSCTTAESAPKESGEDSQFEEFLVDAHVTGDSSEQKISNSNRSEDDMKVENFASESIDMVSDFLESSDGAGEIMKDTMEERTESRTIEDAVKEVAVVAGFSEVPDVVNLIEIAAATSAVGSAEETVKSEVGITDDKREFRDVEEPVMDQVNGASSSSETVDVSTKEDSSVVSLEMVKDKDDGLENKNEIPLEATYPVKEVAADSEVSKVAAFVDPIESSNTTSAIKIIEETQGLEAKIVDGKQEAFTEIDEPEVEEQAMNQANEVDATSETVEVAVKEDKDTVTAEMVEDKDSSLHNLSEAHMEPLATANTPLSTNGTSSIQAFDEVTQDKLIENEEQWIAETSKVDPAGLTADVSIEIIGDKKDDCLEDKTSIEIPNGVDVTGEILETPFEEVKDPEDVAMVEEKNDPVEEVADDSDVLVVPAVVHPTESSSTSSTIITTEESREIEAKTIDVKQEAVTEIKEPKVEERKMNQANEVDATSDTVQVAVKEENDMVTVAIEEDNDLNNLSEADVVAIINPVKEDATDFGMPKPHATANITQSTNLTSAIQIADEITQPKAEVIYEKKDKLTEVEEQSMTETNKVDSASLTAEVPLEGEKANVSTQKYEDKKDDIFGSNTSTDNPNGVDAPGEISEIHFKEVKGPEEAYMVQEKNDPSQVVAKVKDIEDKSLKDDRATLLETSKNLDCGIETADAALDGKRNLGVTEIVDGTKEDNLKNSTELESYFVVAEVVGESKVENLESEKTSLIEAFGMAGVSSELDKSSTGNEMVKEKCKNSARNKITLGEITNYAGINSDASNVFPVQDKTSEGHEVTQEKGKEAKDYECSIVKESKDESITSKENYAETVRDLDKETNVVAALGATRTMDAEKDKEGAQDEEGTENPKDENLIMTPKCADVMKVEGEAPKQDIPAKPSQRHSNNIISKLKQSLIKAKKIMMGKSPSSKNMSAKSKQEIK >Ma10_p11010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24646176:24671559:-1 gene:Ma10_g11010 transcript:Ma10_t11010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGWDFSRSGAIKRVCKFLLKKKLGEVILGDIDLDQLDVQLSTGTIHLSDLALNVDFLNQKIAGAPIVVKEGSLKSLSIKIPWKLRNCEIEVDELELVLGPFSESNIPPTDADCSPLSHDGQQRISTKVDKIEPGPSQDSYSSIPVDVHEGVKTIAKIVKWILTSFHVRLKGIIVAFDPRSGLDESGGMFHRLLVFRIKEIEFGTCVSKDSMAKLMNFVKFQEANLEFLQMDDIDDGPELHSVTGRSFNKRCLGCGTIPVLSGVSGGFSGTLNLSIPWKNGSLDIHKVDADVSVDPMELRLEPSCIEWVIAMWQTLSTIGASSSWTHYHQAADSSNLNCRSHDRLSMSHTIYLDADGETSLKDSEFRSINSTITPERALDPLVMRNVIHNWVPEYVYQEDKSELEPDYGASIDQFFECFDGMRSSHAYSASSGIWNWTCSVFNAISVASNLASGMGDVPKEQHVETSLRAVIADISVILFLSDEQQYLHGSNKFIDPSTSELSSESYMSCLSSMNTNVSTVSEVNPVNRKMHYLAMRCQNVVLDLETYSQDTKFNASVKHIEVDAYHDTRNCDAGISSNNCKNDSNEQMFLSHYLQEKVQSSLPPFPFHIRYHASESAVEDNAVNGLVQVRLLESFGDCSCRFNVNSKTSNGVSMTLTSFVIDLPPFVLWVHFNLFIVLLDLFNRVESSLKENNVSENVQPNMQNKRNRISSPDVAETGISSSIATVSPRASFQGNIIIAQARIIICFPSEYYGDFRNSTLLDNLIILEHSLPLNTEETSGVLKVPKATSARDQSCAPSSSLHLSIKNFDIYMVKSSVENALDDQICNLGSQLFCAVKILSVKGLTHSGVTMLWQKGPVTGTWMADRAWSLASSRDQNSNKIIGKGSEFSVSSGENLEETSSNIRQELILSSAFLLHIKSSYVWINLDNHDYKFLVCLLNNVIDKCSRESNSMDTSTDMGMKNEQMSLRSSNISQTSILVECNAIDTCIRLNELVEVSRPLQKELQGSWSCFKLKIEKFELLSVSNIGGKEDAKLLWLNHGEGDLWGSICSSDEKACAVRHELPLITCRNSAMRRGNGEGANTLAFGPAGTVVTHIWNPQLHQSYTSIIVRCGTVIAPGGRLDWITAVCLFFSSPPRGKGNPEDDGKTQVSFLLDLVDVALSYEPQNKQFQVNSEVPGLDHNFYVELNKEKDEGYTACLLAAASLSLSVHTKSDPTTNYDIHMKDIGLLISESFGSITDIDGYCISYLQKARYSKVAQVSLLQAILRIRGMFWEIECEESHIDLESCRDTTSGLFRLIAQLQQLYAPDVEDALIHLQSRWDTVQQTDMDQNTSYLADPVSSNSVDLGSGLSTSNKECQAYGLLDDILENALECHPNSDHCGIQSHVSCEQCKVGDILNVNASRAGDAFAANYADSSCSSGVEAFQNQSDNEKSTPQVIESYYATDRLSSFPLCVGNNSHCEDNSCALDISFHRDTEYRRGGWYFGDCLTIVEDHISTILNQPDGKYLQQGELESDNSNSADCCLLKGRILLKNMDARWRMYSGVEWYKPEAVPTCSVNSNGRDVSLCLELSLVGLYIQYDIYPEGETNVSKLSLSVHDFNLYDRSKNAPWKMVLGNYHSKDHPRESCAKSLILNLEAVRPNPLTPLEDYRLHLELLPLRLHLDQSQLNFLIIFFGKESFDDPSPVSPNNLDESDMSKASRRFGSQIIVEEALLPFFQKCDVLPVILRVDYIPRHFDSAALRRGNYAELLNLVQWKGIDLQLKHVCAIGVYGWNNICQTVVGQWLEDIAHNQVRELLKGLPPVKSLFAVSSGASKLVSLPVKSYKKDHKLLKGMQRGAMAFLKSLSVEAVSLGVHLAAGAHEILLQTEYILASIPMSVPLSEIKRKKTDVRTNQPENAQEGIQQAYESLSDGFGRTASAILGTPLKSYQRGAGAGSALATAIRGAPAAAIAPFSASARAVHCTLLGLRNSLDPEHKQESKEKYLGPSQS >Ma10_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24646244:24671559:-1 gene:Ma10_g11010 transcript:Ma10_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGWDFSRSGAIKRVCKFLLKKKLGEVILGDIDLDQLDVQLSTGTIHLSDLALNVDFLNQKIAGAPIVVKEGSLKSLSIKIPWKLRNCEIEVDELELVLGPFSESNIPPTDADCSPLSHDGQQRISTKVDKIEPGPSQDSYSSIPVDVHEGVKTIAKIVKWILTSFHVRLKGIIVAFDPRSGLDESGGMFHRLLVFRIKEIEFGTCVSKDSMAKLMNFVKFQEANLEFLQMDDIDDGPELHSVTGRSFNKRCLGCGTIPVLSGVSGGFSGTLNLSIPWKNGSLDIHKVDADVSVDPMELRLEPSCIEWVIAMWQTLSTIGASSSWTHYHQAADSSNLNCRSHDRLSMSHTIYLDADGETSLKDSEFRSINSTITPERALDPLVMRNVIHNWVPEYVYQEDKSELEPDYGASIDQFFECFDGMRSSHAYSASSGIWNWTCSVFNAISVASNLASGMGDVPKEQHVETSLRAVIADISVILFLSDEQQYLHGSNKFIDPSTSELSSESYMSCLSSMNTNVSTVSEVNPVNRKMHYLAMRCQNVVLDLETYSQDTKFNASVKHIEVDAYHDTRNCDAGISSNNCKNDSNEQMFLSHYLQEKVQSSLPPFPFHIRYHASESAVEDNAVNGLVQVRLLESFGDCSCRFNVNSKTSNGVSMTLTSFVIDLPPFVLWVHFNLFIVLLDLFNRVESSLKENNVSENVQPNMQNKRNRISSPDVAETGISSSIATVSPRASFQGNIIIAQARIIICFPSEYYGDFRNSTLLDNLIILEHSLPLNTEETSGVLKVPKATSARDQSCAPSSSLHLSIKNFDIYMVKSSVENALDDQICNLGSQLFCAVKILSVKGLTHSGVTMLWQKGPVTGTWMADRAWSLASSRDQNSNKIIGKGSEFSVSSGENLEETSSNIRQELILSSAFLLHIKSSYVWINLDNHDYKFLVCLLNNVIDKCSRESNSMDTSTDMGMKNEQMSLRSSNISQTSILVECNAIDTCIRLNELVEVSRPLQKELQGSWSCFKLKIEKFELLSVSNIGGKEDAKLLWLNHGEGDLWGSICSSDEKACAVRHELPLITCRNSAMRRGNGEGANTLAFGPAGTVVTHIWNPQLHQSYTSIIVRCGTVIAPGGRLDWITAVCLFFSSPPRGKGNPEDDGKTQVSFLLDLVDVALSYEPQNKQFQVNSEVPGLDHNFYVELNKEKDEGYTACLLAAASLSLSVHTKSDPTTNYDIHMKDIGLLISESFGSITDIDGYCISYLQKARYSKVAQVSLLQAILRIRGMFWEIECEESHIDLESCRDTTSGLFRLIAQLQQLYAPDVEDALIHLQSRWDTVQQTDMDQNTSYLADPVSSNSVDLGSGLSTSNKECQAYGLLDDILENALECHPNSDHCGIQSHVSCEQCKVGDILNVNASRAGDAFAANYADSSCSSGVEAFQNQSDNEKSTPQVIESYYATDRLSSFPLCVGNNSHCEDNSCALDISFHRDTEYRRGGWYFGDCLTIVEDHISTILNQPDGKYLQQGELESDNSNSADCCLLKGRILLKNMDARWRMYSGVEWYKPEAVPTCSVNSNGRDVSLCLELSLVGLYIQYDIYPEGETNVSKLSLSVHDFNLYDRSKNAPWKMVLGNYHSKDHPRESCAKSLILNLEAVRPNPLTPLEDYRLHLELLPLRLHLDQSQLNFLIIFFGKESFDDPSPVSPNNLDESDMSKASRRFGSQIIVEEALLPFFQKCDVLPVILRVDYIPRHFDSAALRRGNYAELLNLVQWKGIDLQLKHVCAIGVYGWNNICQTVVGQWLEDIAHNQVRELLKGLPPVKSLFAVSSGASKLVSLPVKSYKKDHKLLKGMQRGAMAFLKSLSVEAVSLGVHLAAGAHEILLQTEYILASIPMSVPLSEIKRKKTDVRTNQPENAQEGIQQAYESLSDGFGRTASAILGTPLKSYQRGAGAGSALATAIRGAPAAAIAPFSASARAVHCTLLGLRNSLDPEHKQESKEKYLGPSQS >Ma10_p11010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24646244:24671559:-1 gene:Ma10_g11010 transcript:Ma10_t11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGWDFSRSGAIKRVCKFLLKKKLGEVILGDIDLDQLDVQLSTGTIHLSDLALNVDFLNQKIAGAPIVVKEGSLKSLSIKIPWKLRNCEIEVDELELVLGPFSESNIPPTDADCSPLSHDGQQRISTKVDKIEPGPSQDSYSSIPVDVHEGVKTIAKIVKWILTSFHVRLKGIIVAFDPRSGLDESGGMFHRLLVFRIKEIEFGTCVSKDSMAKLMNFVKFQEANLEFLQMDDIDDGPELHSVTGRSFNKRCLGCGTIPVLSGVSGGFSGTLNLSIPWKNGSLDIHKVDADVSVDPMELRLEPSCIEWVIAMWQTLSTIGASSSWTHYHQAADSSNLNCRSHDRLSMSHTIYLDADGETSLKDSEFRSINSTITPERALDPLVMRNVIHNWVPEYVYQEDKSELEPDYGASIDQFFECFDGMRSSHAYSASSGIWNWTCSVFNAISVASNLASGMGDVPKEQHVETSLRAVIADISVILFLSDEQQYLHGSNKFIDPSTSELSSESYMSCLSSMNTNVSTVSEVNPVNRKMHYLAMRCQNVVLDLETYSQDTKFNASVKHIEVDAYHDTRNCDAGISSNNCKNDSNEQMFLSHYLQEKVQSSLPPFPFHIRYHASESAVEDNAVNGLVQVRLLESFGDCSCRFNVNSKTSNGVSMTLTSFVIDLPPFVLWVHFNLFIVLLDLFNRVESSLKENNVSENVQPNMQNKRNRISSPDVAETGISSSIATVSPRASFQGNIIIAQARIIICFPSEYYGDFRNSTLLDNLIILEHSLPLNTEETSGVLKVPKATSARDQSCAPSSSLHLSIKNFDIYMVKSSVENALDDQICNLGSQLFCAVKILSVKGLTHSGVTMLWQKGPVTGTWMADRAWSLASSRDQNSNKIIGKGSEFSVSSGENLEETSSNIRQELILSSAFLLHIKSSYVWINLDNHDYKFLVCLLNNVIDKCSRESNSMDTSTDMGMKNEQMSLRSSNISQTSILVECNAIDTCIRLNELVEVSRPLQKELQGSWSCFKLKIEKFELLSVSNIGGKEDAKLLWLNHGEGDLWGSICSSDEKACAVRHELPLITCRNSAMRRGNGEGANTLAFGPAGTVVTHIWNPQLHQSYTSIIVRCGTVIAPGGRLDWITAVCLFFSSPPRGKGNPEDDGKTQVSFLLDLVDVALSYEPQNKQFQVNSEVPGLDHNFYVELNKEKDEGYTACLLAAASLSLSVHTKSDPTTNYDIHMKDIGLLISESFGSITDIDGYCISYLQKARYSKVAQVSLLQAILRIRGMFWEIECEESHIDLESCRDTTSGLFRLIAQLQQLYAPDVEDALIHLQSRWDTVQQTDMDQNTSYLADPVSSNSVDLGSGLSTSNKECQAYGLLDDILENALECHPNSDHCGIQSHVSCEQCKVGDILNVNASRAGDAFAANYADSSCSSGVEAFQNQSDNEKSTPQVIESYYATDRLSSFPLCVGNNSHCEDNSCALDISFHRDTEYRRGGWYFGDCLTIVEDHISTILNQPDGKYLQQGELESDNSNSADCCLLKGRILLKNMDARWRMYSGVEWYKPEAVPTCSVNSNGRDVSLCLELSLVGLYIQYDIYPEGETNVSKLSLSVHDFNLYDRSKNAPWKMVLGNYHSKDHPRESCAKSLILNLEAVRPNPLTPLEDYRLHLELLPLRLHLDQSQLNFLIIFFGKESFDDPSPVSPNNLDESDMSKASRRFGSQIIVEEALLPFFQKCDVLPVILRVDYIPRHFDSAALRRGNYAELLNLVQWKGIDLQLKHVCAIGVYGWNNICQTVVGQWLEDIAHNQVRELLKGLPPVKSLFAVSSGASKLVSLPVKSYKKDHKLLKGMQRGAMAFLKSLSVEAVSLGVHLAAGAHEILLQTEYILASIPMSVPLSEIKRKKTDVRTNQPENAQEGIQQAYESLSDGFGRTASAILGTPLKSYQRGAGAGSALATAIRGAPAAAIAPFSASARAVHCTLLGLRNSLDPEHKQESKEKYLGPSQS >Ma10_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29791487:29792319:1 gene:Ma10_g19100 transcript:Ma10_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPIKLLLPSPPFDSIREIALKTIPSASKVEIRRVLESLYGFDVAEVRTLNMEGKKTKRGPFLAAKPDYKKAYVTLRSPLSLSPDLFPVKLIFEEKKRIAGAASRRPAVVEGEDGRGERKHWLDDRRREEPSGYRGKRAAAGGGGVRRRSGGSGGGGGGGRGKKGQEENKFPWSSMRLSESA >Ma01_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3920858:3922514:-1 gene:Ma01_g05550 transcript:Ma01_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASAGVEGAKIERRTVERNRRICMKNLCFKLTSLIPRDTTMSKRAMRDQDQLDQAFNYIKELQGRVEKLKQKKEMRRMTADLHFLLVEVRSMDSTLLVSFVSGSRSSYMFGKVIGVLEEEGAEVISASFNLVGGRIFHTIHCQAFCSRIGLEPSSVNEKLKKLAC >Ma06_p27950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29797800:29798129:1 gene:Ma06_g27950 transcript:Ma06_t27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPARTWYNGLKAETVTSFDQLVRDFELNFLAYARPKPFVALLLGLNQREDKPLSHFVNRFTTQIRGLSDAHPSLLMQAFMIGLRPSIFF >Ma01_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10703439:10705983:-1 gene:Ma01_g14650 transcript:Ma01_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREHQETGAGGGSGYHVATAADMAGAKGKMTAASSMGMEDDDCGVDELLAALGYKVRSSDMADVAQKLEQLEMAMASTAAAASDDASVLPQIASDTVHYDPSHLAAWLDSMLSELNAPPPPLPPPPPVAAAVHQHHHQRFDVSTASAVSEPPAITTVAPLRPSDAYGFKPVPPPAAASGGRVVYGLDPQSEMIVSPRDQKRKRTCSPSSSSSWGAEAGRARGSRTEGASSAKPAAALPVVVVDTQETGIRLVHALMACAEAVQQENLKAADALVKHISVLAASQDGPMRKVAGYFAEAIARRIYRRRPLSQVDRALDSPALEDLLHLHFYESCPYLKFAHFTANQAILEAFSGCRRVHVIDFGMRQGLQWPALLQALALRPGGPPSLRLTGIGPPQSDHSDALQQVGWKLDMLAKTIGVDFRYRGFVASSLADLESRMLLERDGSEGDRDDAGEEEAVAVNSVMELHRLLARTGALEKVLRMVLAVRPRIVTVVEEEANHNGGTFLDRFTEALHYYSSMFDSLEGGGGQAAAAGEEEQQQKHRAMAEAYLGRQICNVVACEGAERTERHETLGQWRVRMARAGFEPAHLGSNAFKQASMLLALYAGGDGFRVEETDGCLTLGWHTRPLIATSAWRVSAASAH >Ma02_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14010333:14032637:-1 gene:Ma02_g02710 transcript:Ma02_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEVNTVRECRDEAVPSFSGVTDFNQGEEASPDLLKNTPSNIARLEDAIEQCKGHQKYLAHTRSPSDGEDIRWYFCKVPLAEKELASSVPCTEIVGKSDYFRFSMRDSLALEASFLQREEELLAYWWKEYAECSEGPRGLHVTCDELYIVEEERVGVPVKGGLYEVDLIKRHCFPVYWSGENRRVLRGHWFARKGGIDWLPLREDVAEQLELAYRCRVWHRRTFQPSGQFAARIDLQGTTVGLHALFTGEDDTWEAWLAFGSSGFPFGSGNVVKLRRGFSPSGSVKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVGDFRRIAASLAERHLTPYQRSTQRVLFIPCQWRKGLKLSGEHAVDKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYAKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQDCFSAPFSMDTVSLEGVGINIQESEVDPSYWLTESSIPMNSEHNRNKITASKPLACGTVKNSSKSRIYPNVNEGEAGAFSRNGDDFLRNDLQEVFPDNGGMLYPNEKYDNLDHLCFNDKCIDTSFLKQAGEEVNCPSETFCAEANNSTGNLCKSVDSEGGIVKDFRILSENTVDKDKLISMLEEEVESLKLKIAELEKAHLPKTYFKHYSENDGTSGTYHGKDKVAESINQSSENSSPGKDDKRKSYTPYVKYTKLNFMVDTFFAVGSPLGVFLALRNVRIGVGRGQGYWQDEKITEEIPSCRQMFNIFHPFDPVAYRVEPLICKEFIDKRPIIIPYHRGGKRLHIGFQEFTEEIAVHSQVMVNQINSLRVKVISVFQLQNKDENGDTAHGTREKERSYGSIMMERLTGDKDGRVDYVLQDKTFRHAYISAIKSHTNYWRDKDTALFILNHLYRDIPEEAPTTEQTNTRHGPESQRPTRLFYEKDIMDEDTPLTFSDSYSIREFSRKAKKVINAS >Ma02_p02710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14010333:14032637:-1 gene:Ma02_g02710 transcript:Ma02_t02710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEVNTVRECRDEAVPSFSGVTDFNQGEEASPDLLKNTPSNIARLEDAIEQCKGHQKYLAHTRSPSDGEDIRWYFCKVPLAEKELASSVPCTEIVGKSDYFRFSMRDSLALEASFLQREEELLAYWWKEYAECSEGPRGLHVTCDELYIVEEERVGVPVKGGLYEVDLIKRHCFPVYWSGENRRVLRGHWFARKGGIDWLPLREDVAEQLELAYRCRVWHRRTFQPSGQFAARIDLQGTTVGLHALFTGEDDTWEAWLAFGSSGFPFGSGNVVKLRRGFSPSGSVKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVGDFRRIAASLAERHLTPYQRSTQRVLFIPCQWRKGLKLSGEHAVDKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYAKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQDCFSAPFSMDTVSLEGVGINIQESEVDPSYWLTESSIPMNSEHNRNKITASKPLACGTVKNSSKSRIYPNVNEGEAGAFSRNGDDFLRNDLQEVFPDNGGMLYPNEKYDNLDHLCFNDKCIDTSFLKQAGEEVNCPSETFCAEANNSTGNLCKSVDSEGGIVKDFRILSENTVDKDKLISMLEEEVESLKLKIAELEKAHLPKTYFKHYSENDGTSGTYHGKDKVAESINQSSENSSPGKDDKRKSYTPYVKYTKLNFMVDTFFAVGSPLGVFLALRNVRIGVGRGQGYWQDEKITEEIPSCRQMFNIFHPFDPVAYRVEPLICKEFIDKRPIIIPYHRGGKRLHIGFQEFTEEIAVHSQVMVNQINSLRVKVISVFQLQNKDENGDTAHGTREKERSYGSIMMERLTGDKDGRVDYVLQDKTFRHAYISAIKSHTNYWRDKDTALFILNHLYRDIPEEAPTTEQTNTRHGPESQRPTRLFYEKDIMDEDTPLTFSDSYSIREFSRKAKKVINAS >Ma02_p02710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14010327:14032637:-1 gene:Ma02_g02710 transcript:Ma02_t02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEVNTVRECRDEAVPSFSGVTDFNQGEEASPDLLKNTPSNIARLEDAIEQCKGHQKYLAHTRSPSDGEDIRWYFCKVPLAEKELASSVPCTEIVGKSDYFRFSMRDSLALEASFLQREEELLAYWWKEYAECSEGPRGLHVTCDELYIVEEERVGVPVKGGLYEVDLIKRHCFPVYWSGENRRVLRGHWFARKGGIDWLPLREDVAEQLELAYRCRVWHRRTFQPSGQFAARIDLQGTTVGLHALFTGEDDTWEAWLAFGSSGFPFGSGNVVKLRRGFSPSGSVKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVGDFRRIAASLAERHLTPYQRSTQRVLFIPCQWRKGLKLSGEHAVDKITLDGVRGLRVTLSATVHDVLYYMSPIYCQDIIDSVSNQLNRLYAKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQDCFSAPFSMDTVSLEGVGINIQESEVDPSYWLTESSIPMNSEHNRNKITASKPLACGTVKNSSKSRIYPNVNEGEAGAFSRNGDDFLRNDLQEVFPDNGGMLYPNEKYDNLDHLCFNDKCIDTSFLKQAGEEVNCPSETFCAEANNSTGNLCKSVDSEGGIVKDFRILSENTVDKDKLISMLEEEVESLKLKIAELEKAHLPKTYFKHYSENDGTSGTYHGKDKVAESINQSSENSSPGKDDKRKSYTPYVKYTKLNFMVDTFFAVGSPLGVFLALRNVRIGVGRGQGYWQDEKITEEIPSCRQMFNIFHPFDPVAYRVEPLICKEFIDKRPIIIPYHRGGKRLHIGFQEFTEEIAVHSQVMVNQINSLRVKVISVFQLQNKDENGDTAHGTREKERSYGSIMMERLTGDKDGRVDYVLQDKTFRHAYISAIKSHTNYWRDKDTALFILNHLYRDIPEEAPTTEQTNTRHGPESQRPTRLFYEKDIMDEDTPLTFSDSYSIREFSRKAKKVINAS >Ma01_p17770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13022146:13022340:1 gene:Ma01_g17770 transcript:Ma01_t17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDNDVCITDIHSGHTSSTHYHANMPSKKQEAGKCANHLRWKPSSHLHRRHHHMERAREPETT >Ma10_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28162485:28175032:1 gene:Ma10_g16490 transcript:Ma10_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNGMIECSYCHSKFVSPSPRSVSRAYDKHRSNVSSKYRALNFLLVVGDCILVGLQPILVYMCKVDGKFKFSPISVNFLTEVAKVLFAIIMLLFQARQQKVGEKPLLSIATFAQAARNNVLLAIPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSIIQWEALALLLIGVSINQLQSSPESSTALVLPITMVAYVYTLIFVTVPSMASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGILGTAIFQGPRSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFVSMHQFFSPIAKVKDETPVGKLEMMETEHPRSKEASFLNMTAGAAEDASHHIGHDERQPLLPI >Ma10_p16490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28162485:28175032:1 gene:Ma10_g16490 transcript:Ma10_t16490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNGMIECSYCHSKFVSPSPRSVSRAYDKHRSNVSSKYRALNFLLVVGDCILVGLQPILVYMCKVDGKFKFSPISVNFLTEVAKVLFAIIMLLFQARQQKVGEKPLLSIATFAQAARNNVLLAIPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSIIQWEALALLLIGVSINQLQSSPESSTALVLPITMVAYVYTLIFVTVPSMASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGILGTAIFQGPRSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFVSMHQFFSPIAKVKDETPVGKLEMMETEHPRSKEASFLNMTAGAAEDASHHIGHDERQPLLPI >Ma04_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8004527:8004929:-1 gene:Ma04_g11350 transcript:Ma04_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFSSNSPSHHMPSIIAFLDPKRHGRSSAG >Ma10_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5395380:5395854:1 gene:Ma10_g01870 transcript:Ma10_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVRSRASLLLTLVIIVFLVTARGETTPVKEAKAQIIYRALRPSSQPARPGGPYTRGCGSHYYCRPPSTPPPPPPPPPSTP >Ma06_p27340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29374333:29378300:-1 gene:Ma06_g27340 transcript:Ma06_t27340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEMVKDLGSGNFGVARLLRNKETKELVAIKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLGIVMEYAAGGELFDRICTAGRFSEDEARYFFQQLICGVSYCHYMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPADPKNFRKTIGRIVSVQYKIPEYVHISQDCRQLISRIFVANPARRITIREIRNHPWFLKNLPRELTEAQQAQYYKRDTPTYSLQSVEEIMRIVGEARTPPPSTTPVAGFGWTEEEDEEEGKQDKQENDEAEEEEEEDEYDKRVKEVRASGEFPLVD >Ma06_p27340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29374333:29378300:-1 gene:Ma06_g27340 transcript:Ma06_t27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEMVKDLGSGNFGVARLLRNKETKELVAIKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLGIVMEYAAGGELFDRICTAGRFSEDEARYFFQQLICGVSYCHYMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPADPKNFRKTIGRIVSVQYKIPEYVHISQDCRQLISRIFVANPARRITIREIRNHPWFLKNLPRELTEAQQAQYYKRDTPTYSLQSVEEIMRIVGEARTPPPSTTPVAGFGWTEEEDEEEGKQDKQENDEAEEEEEEDEYDKRVKEVRASGEFPLVD >Ma10_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31106734:31111314:-1 gene:Ma10_g21190 transcript:Ma10_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEEIPNAVGGEYEVEEEVVVEEEVEEEIEEEVEEEEDEMGGGGGGRGGDGGGGEGDEDGDGSAESKGKRKDNSGGEEMRQADAGSSSAGKIFVGGVAWDTTEDTFNKHFSKYGEIIDSVIMKDKNTHMPRGFGFVTFADPSVIDRVWEDEHVIDGRTVEVKRTVPREDMPSKAGIKTKKIFVGGIPTALTEDELKEHFSSYGEVIEHQIMLDHSTRRSRGFGFVTFKDEEAVDKIISEGRMHDLAGKQVEIKKAEPKRSGGSSRMNERVSHGGSNRSARGYRGNSYGYGSDHPYGDAYYGGGSGYGYGRGYSYGGVPGYGAAYGSNYGGPMYGVGGYGGDMYGGPGGYGGWYDGGHGSGRGYENRYHPYGK >Ma05_p25950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37765747:37766352:1 gene:Ma05_g25950 transcript:Ma05_t25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVQLLPALVALCLALAGVALAAPKFVIEGRVYCDTCRAGFQTAVSQYIAGAKVKLQCRNYETDAVEHLVEAVTDSTGTYHIEVEDSHEEEICEVSLLQSPQPGCTEVAQSRNRARILVTGRSGLASDIRYANSLGFLKDEPLQQCGLLLQQYALGVDD >Ma11_p20450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24925951:24930306:1 gene:Ma11_g20450 transcript:Ma11_t20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATLEEAEAALGRSLTAAETLWFRYSARMPDYLVYYHNIIFLFVIFSLAPLPLALIELRLPAAVSPFKLQPKVRHSAASFFRCYKDVMRVFIFVVGPLQLTSYPTVKFVGIRTGLPLPSLWEAAAQLAVYFLVEDYGNYWIHRLMHGKWGYEKIHHIHHEFTAPIGFAAPYAHWAEVLLLGIPSFVGPAIAPCHMIIFWLWIALRQLEAIETHSGFDFPFTPTKFIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDKGYRYHKACLAKLKEEQWRNGDRNGEVNGFSYNKKSE >Ma09_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7367659:7368374:1 gene:Ma09_g10840 transcript:Ma09_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFSLFLLLPLVFLGIIATATSDEYTAPEEKMTHLHFYFHEIYAGANVTTMVVAVPPGTNSSFTTFGALVVIDDMLREGPDPSSKLIGRAQGLVAQASQEGSALLTAFNFVFTEGEFNGSTLAILGRAKLSEPPVERSIVGGSGKFRMARGYTEARVISSKDGYFLMEFDAYVTHY >Ma00_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9873964:9874200:-1 gene:Ma00_g01630 transcript:Ma00_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSGSKCNMPHLLMVSFAAQGHLNPLLRQRPPRHPLLHRRHPPPDLLLHRQRRPWRPHARRPWLHPLRVLLRQPGR >Ma09_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5001024:5007474:-1 gene:Ma09_g07630 transcript:Ma09_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKALPTFCFLLCAASCALAAASYDYMILSLIWPGTSCQPGWTGKPACCVDPLIDNPEPDFLVETMETYDSATGKLVAKCEPNCRFLINPLVDLLDDLYAYWPSLSCPAQNGKQVWKAAWCTFGNCTSLSEVNYFGRALQLRARADVLHALGSKAIIPSETKSYSLEDIEDALVPKIGFSAVVECTTEMGVLSREYLLSRVRICVSADGKSIIGCPFARKSNCGSKVKFYPFTDDMLGRPRHPAAGHIKLPSDKAMTQ >Ma05_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23644588:23655265:-1 gene:Ma05_g18370 transcript:Ma05_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLPCDGDGVCMVCRAPPPEAEVVNCHTCTTPWHAGCLSKPLETLAAVADWQCPDCSPLEDGGAALPAAAAYASGEKSDLISAVWAIEADASLTEREKAKRRQALVSGKKVVEEEEEEEEEEEGGKKKKKKKAEGGDDVLDLLDEKFNCSFCLQLPERPVTTPCGHNFCLKCFQKWVGQGKHTCAKCRFSIPPKMVSQPRINSALVVAIRMAKAAKSATSGGQTNVYHFIRNESRPEKAFTTERAKKAGKANACSGQIFVTIAPDHFGPILAENDPKRNLGVLVGEMWEDRMECRQWGAHFPHVAGIAGQSDYGAQSVALSGGYEDDEDHGDWFLYTGSGGRDLSGNKRTNKEQAFDQKFEKLNAALRVSCLKGYPVRVVRSHKEKRSSYAPESGVRYDGIYRIEKCWQKVGVQGYKVCRYLFVRCDNEPAPWTSDEHGDQPHPLPSVKELNSATDITERKESPAWDFDVKHGWRWVKSPPTSRKPVTSSDTDGKKGGRKAVRHAHKLSVRERLLKEFSCLLCRKVMTQPLTTPCGHNFCKSCLLEAFTDKSFVRERICEGGRTLRAQKIVKRCPSCSTDISDFLWNPQVNRDLMDLIESLEDKTEEEKVEQQIEDDTSEEGCSSANDTEEAAEEATEVQDIKLSKEESDNLENGKLDAAEGDSQVNVLGEKDGAEDTIDATNSELSCKRKNHLACRRGVKRTRNSESQ >Ma08_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:175596:180078:-1 gene:Ma08_g00200 transcript:Ma08_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLPPPLARLIATCPPSTLALAAVRATVPTTATLVVVPPPSKRASATLGRREGLAAACFAALTLSLARPASAAILEADDDEELLERVKQDRKKRLQRQGVISSSDKEKGYLQEVIYKLSKVGQALENADLTAASSLLGPSTNADWVKNVNAAFAKLSTSPEEKTEVDNFNSSLTSLFTSVGDRDIESSKLAFVSSASALEKWVGFAGLVGQLKGL >Ma01_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13811454:13829913:-1 gene:Ma01_g18530 transcript:Ma01_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MSFEGIDEWDENFLDEAIRIELEALSSRNLPSDPPEPTILRPSSYADLNSSSRPHRDAIEPPYGRVQLHSEREPEPDSFAPIPSFGLGARGLAFDVRGGGLSFSPPRELSQRHVEKPNSEMDCEILEPWISRGERFSGGGITERDREVERLKRELGRVSKHLKHLERECTELKKEKTKKDEQLKLVFSEIEAKEAEIYNLKSGKLDHRKLDFQNAGTFIDQACHHPVNEDNCTWHTSQALDGTSDFVYSKTKGENGPSSSLSLEPRMFECNEHKVEHVQTTGLAEYHGLVDNSSITCTGVNIQKSCFPKKAAQSKGCRTVGTQTEICQDWGHSSLEDLTEYHISSKLLNIWDFPNSLMTGKIMISRLLVSCTADFCVLFRCMSMTSHTDLDCHADKSFSHHMTLHDDAQSELSLDASKVSRLYAILTKMHNGVSQLHDLLEALLELCTLENVVVVHRSLRILHIFLQHLLSYEKRSIKRNNILVGQPLNDNMHRGLRHQDWYQNMDIHHKRTYGENENSGLPMADISSFDLENLCMDKVGSDCDIKFLSSESLVSIFKCMQLVAMKNMKEDVRIEALGVMILIMMESNPSTERDKFGLMSLLEVLPKLLQKEAGPHVQKHALRLLFLLLNCPKMLLLFSNGGKENDEHAETVDHSVALEDAVNLILEGLSKCLLPAGTGDLELKLHKQAIILLAYVASCGKSGFEILLKSVGPRGVNFLQIIIQVLASELDIEIAGCALPRTLCKERTSLFREALILLNRLASHPIYSKATMEALTSSNPIASLTVDVVSRLPLRSKSLFKYDDAMKSQMEAETTDLAQLFRTRVFAFVGGKHIS >Ma06_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2234596:2237547:-1 gene:Ma06_g03000 transcript:Ma06_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIISCPITTAAIARYPIASFLSKRCLHLSSSSAAAARPLVGIRLLRPSPTLLGLAAVGVASRIGAFRSMARRAGDSGYSPLSSGSRGGSGFSDRPPTEMAPLFPGCDYEHWLIVIDKPGGEGATKQQMIDCYVQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFLNGEIVQRPPERQRRIDAATTQRNDRDRPRYNDRTRYVRRRESQR >Ma04_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:377806:382774:1 gene:Ma04_g00410 transcript:Ma04_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSKSKDSGGKGKGKQAAASDENASKGKAKGGKSSDGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKVATEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFNTLVGATSAPFKSTHGYHIILCEGRKN >Ma03_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10756863:10760096:-1 gene:Ma03_g13650 transcript:Ma03_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MSDAHLAAVPRRLNYPAFPRHHPALRSCLPLPRLNRKNSLSPPSIGTISLSWAVSFAAAGGTTAWSAKEVTPSPEEEEPPTSVALEPIVSEEHFDRIIAEAHQLEEPIVVLWMASWCRKCIYLKPKLEKLAAEYYPSIRFYCIDVNTVPHRLVNRAGITKMPTIQLWRDSQNQAGVIAGYKAWMVVDDVRKMIDNED >Ma02_p10280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19895309:19901339:1 gene:Ma02_g10280 transcript:Ma02_t10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRASAAAAATNPEPANPAEPEEQVALDDPEEMMEEEVEYEEVEEEVEEEEEEVVEEEIEEELEEEEQETDVANGSNAGDAAGGDASMVEGEKDDDESKKHAELLALPPHGSEIYVGGIPHDASEEELRSFCEPIGEVTEVRVMKAKDSTENKGYAFVTFKTKELASKAIEELNNTEFKGKRVKCSTSQVKHRLFIGNIPRNWAEDDLKRTVTSIGPGIIKVELLKDPQNSSRNRGYAFIEYYNHACAEYSRNKMSSPKFKLDANAPTVSWADPRSGDSSSSSQVKAIYVKNLPKNVTQEQLKKLFEHHGEITKVVLPPAKPGHEKRYGFVHFKERSMAMKALKNTEKYEIDGDVLDCSLAKPPADNKKVEAGSSAQKAALLPNYPPGIGYGVLGGAYGALAPGFGQSMVYGRGQTPAGMAMVPMILPDGRLGYVLQQPGVPVASQQHGGNRGGSSSGGKSNDGGRGRRFRPY >Ma09_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1828911:1829951:1 gene:Ma09_g02610 transcript:Ma09_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSHLVSSSTFPCIPPTHNSFSGDTAMATAALLLSTLPPAQRHGLLQYHHSTRPRCRLLPASPLLGRQRRRLPSPIRAIQETEEKAKASSSADEITEKYGLEFGLWKIFSSKEGGDGAEGEGKEKSKADQAKELLAKYGGAYLATSITLSLISFSLCYLLIGAGIDVQALLNKIGIATDETGGKVGTFALAYAAHKAASPIRFPPTVALTPIVAGWIGKKTNK >Ma07_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29755896:29762907:1 gene:Ma07_g21700 transcript:Ma07_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGYHRPEKPASGQMRKLKELMLKSDNRICADCGAQDPKWASANIGVFICLKCSDIHRSLGTDISKVLSVTLDEWSDADIESMIEVGGNSYANSIYEAFLPKRFPKPKSNSSYEERSKFIRSKYESQEFLKPSLRIVSSKMSFNYVEPKESLNYRIDSNNSNKAVEMREFIGDLKVKVVRGSNLAVRDMFSSDPYVVLTLGEQKAQTTVKPSDLNPIWNEELTLSVPQTYGALKLQVYDQDVFSADDIMGEAEVDLQPMITSAMAFGDPELLGNMQIGKWLKTSDNALISDSIINIVDGKVKQEVSLQLQNVESGEIEVELEWIPLDE >Ma06_p32930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33731300:33739625:1 gene:Ma06_g32930 transcript:Ma06_t32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCLLHGAVGYMVIHPQFVPSCSNCNMPLLHSLCASSSLILPTTTAAAAQLAAKPSVLSPAPSSSSKDFAVPIPGLPTHVKEKILSLEVMGVDAGRALSLNPALRTASLDSVHSVIAYLLSKGIHHKDLGRILGMCPRILTSSVRADLGPVFAFISRDLGVPDSGFRRVINKCPRLLASSVGDQLKPALDYLRRLGFTDTNALAYHDPVLLVSSVEKTLIPKLQYLVGLGMSREEAVGMVLRCPGLFTFSIDRNFKPKYEYLIGQMGRSLQDLKDFPQYFAFSLEKRIKRRHQELRHSGLTLPLSTMLKSTDEELKQLVEKKKKKKKKKKKASHVARQRVALTERHDKVASLIGRPGS >Ma04_p12030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8600240:8609410:1 gene:Ma04_g12030 transcript:Ma04_t12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWSRRRPRPASNITFIVIIASTAILLGRLPLGQCQDDDDYDYGSQAQGASPLFAGVIYDRIMNLTGSFAMEMGQHLDFCVQNADKDWNEAFNFSSDLSFLSKCMKETNGDLTRRLCTAAETKFYFSSFYDNGGKKNYLRPNKNCNLTSWVSGCEPGWACSIFEDQKVNLKDSKVVPMRSINCRPCCEGFFCPHGITCMIPCPLGAYCPLGKLNKTTGVCDPYNYQLPPGQPNHTCGSADMWADVGTSSEIFCPAGYYCPSTIQKISCSSGYYCRKGSTTQTRCFQKSSCPPNSANQDITIFGALLMVALSLLLLIIYNFSGQILTSRERKQAKSREAAARHARETVQARERWKTAKDVAKKHAVGLQTQLSRTFSRKKSVRQDPPKGLGQPSKKEPSNLTKMMQSLEENPDTYEGFHVEIGDKNLKKNMPKGKQMHTRSQIFKYAYGQIEKEKAMQQQNKNLTFSGVISMATDTDIRTRPMIEVAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLNALAGKATGCATSGLVLINGKAEPIRSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSAELSKPDKVLVVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFAGLGINVPERVNPPDYFIDILEGIVKPSTSTGVNYKELPLRWMLHNGYEVPRDMLQDAGDIDASVRGGGSSPATTGSETQSIAGEVWDNVKDIVGQKRDEYEYNFSKSKDLSNRGTPGVLRQYKYFLGRVGKQRLREARIQGVDFLILCLAGVCLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLERLQYLRERASGMSSLAYFLARDTIDHFNTIIKPIVYLSMFYFFNNPRSSILDNYIILVGLVYCVTGIAYAFAMFFQPGSAQLWSVLLPVVLTLLATQQKTSKFLANLCYTKWALEAFVIANAERYSGVWLVTRCGSLIKNGYNIGDWWLCIVVLVINGVVFRCIALFCMVTFQKN >Ma06_p29450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30831917:30832438:1 gene:Ma06_g29450 transcript:Ma06_t29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDYEHDLLQHEDLLLLLGCGLPPELPHQIGHEVLEVEHRQPHSGAYLPPRTKWHHLDLLAPCGTSSLTMCGSRKCAGECVRRPSKITAFGSVAFSRSYPGMHRSSVPITSSISFFSFFCNTDCLTRFAMIHCRTVVVVSVPPLWNSEHKLTTSSLLSFLPSPSDNSRWRRV >Ma02_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20107966:20111122:1 gene:Ma02_g10570 transcript:Ma02_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHIALFASGLAVLLLLVQGSPPGPVVRCRSGNTNCTVTNGYGAFPDRSTCRVAAVAYPSTEQELLLAVSDATEKQQHMKAVTMYSHSIPKLSCPGGPSGQGLVISTQLLNRSVSVDMATSRMTFEAGITLRALLDAAAARGLALPHSPYWQGITLGGLLSTGSHGSSVFGKGSAVHEYVVGMRLVVPSPVPVNGYYAKIVNLGEDNPDLLAAKVSLGVLGVISQVTLQLEPMFKRSITNRVVSDVGFEQTISSYAAATYYGDISWYPSQRRVVYRDDIKVPITTKGKGVNDYLGFRAQPTLVVASLRASEELLEATGNAEGKCVLFRLQVDTLIAIGMGFKNNDGGLLEFTGYPVIGNQSDMQSAGSCLRSAEDNLLTACGWDPRFAGLFYHQTTISIPFTTIAGFIADVKKLRDAHPDALCSTELYLGFFMRFVRNSTAYLGKTDDVVDIDITYYRSKDPKKPRLYEDVLEEIEQMALFKYNALPHWGKNRNVGFLNVKNKLGAKLDKFVSVMQKYDSNGLFSSDWTDAVLGLRGKEVVVQGDGCALEGLCICSTDDHCAPKQGYYCRPGEVYEQARVCRKIKSVEADG >Ma02_p10570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20090605:20094032:1 gene:Ma02_g10570 transcript:Ma02_t10570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHIALFASGLAVLLLLVQGSPPGPVVQCRSGNTNCTVTNGYGAFPDRSTCRVAAVAYPSTEEELRLVVSDATEKQQHMKVVTMYSHSIPKLSCPGGPSGQGLVISTQRLGRSVSVDMATSRMTFEAGITLRALLDAAAARGLALSHSPYWQGMTLGGLLSTGSHGSSAFGKGSAVHEYVVGMRLVVPSPVPVNGYYAKIVNLGEDDPDLLAAKVSLGVLGVISQVTLQLEPMFKRSITNRVVSDVGFEQTISSYAVTTYYGDISWYPSQRRVVYRDDIKVPITTKGKGVNDYLGFRAQPTLVGASLRASEERVEATGNAEGKCVLFRLQVDTLIATGMGFKNNDGGLIEFTGYPVIGNQSDMQSAGSCLRSPEDNLLTACGWDPRFAGFFYHQTTISIPFTTISDFIADVKKLRDAHPDALCSTELYLGFLIRFVRNSTAYLGKTDDVVAIDITYYRSKDPKQPRLYEDVFEEIEQMALFKYNGLPHWGKNRNVGFLNVKNKLGAKLAKFVSVMQKYDSNGLFSSDWTDAVLGLRGKEVVVQGDGCAMEGLCICSTDDHCAPKLGYYCRPGEVYEQARVCRKIKSVEADGLAWSA >Ma02_p10570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20090605:20111119:1 gene:Ma02_g10570 transcript:Ma02_t10570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHIALFASGLAVLLLLVQGSPPGPVVQCRSGNTNCTVTNGYGAFPDRSTCRVAAVAYPSTEEELRLVVSDATEKQQHMKVVTMYSHSIPKLSCPGGPSGQGLVISTQRLGRSVSVDMATSRMTFEAGITLRALLDAAAARGLALSHSPYWQGMTLGGLLSTGSHGSSAFGKGSAVHEYVVGMRLVVPSPVPVNGYYAKIVNLGEDDPDLLAAKVSLGVLGVISQVTLQLEPMFKRSITNRVVSDVGFEQTISSYAVTTYYGDISWYPSQRRVVYRDDIKVPITTKGKGVNDYLGFRAQPTLVGASLRASEERVEATGNAEGKCVLFRLQVDTLIATGMGFKNNDGGLIEFTGYPVIGNQSDMQSAGSCLRSAEDNLLTACGWDPRFAGLFYHQTTISIPFTTIAGFIADVKKLRDAHPDALCSTELYLGFFMRFVRNSTAYLGKTDDVVDIDITYYRSKDPKKPRLYEDVLEEIEQMALFKYNALPHWGKNRNVGFLNVKNKLGAKLDKFVSVMQKYDSNGLFSSDWTDAVLGLRGKEVVVQGDGCALEGLCICSTDDHCAPKQGYYCRPGEVYEQARVCRKIKSVEADG >Ma07_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7115050:7115916:1 gene:Ma07_g09530 transcript:Ma07_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALDIGGLSCSPVFLFDDAPKLCTTDEFDVSEYVAVFDESSVTESSAVAGDVYCPTDDTMMNETKRMKVGDGCRIGFRTKSEVEILDDGFKWRKYGKKSVKNSPNPRNYYRCSTEGCGVKKRVERDRDDPRFVITIYEGVHNHISPCTLCYNYAHLPLAPSASAPWGRAMAGAPTISSSSSTCTAQWLESFFQDDM >Ma07_p09530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7115049:7115916:1 gene:Ma07_g09530 transcript:Ma07_t09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALDIGGLSCSPVFLFDDAPKLCTTDEFDVSEYVAVFDESSVTESSAVAGDVYCPTDDTMMSCRNETKRMKVGDGCRIGFRTKSEVEILDDGFKWRKYGKKSVKNSPNPRNYYRCSTEGCGVKKRVERDRDDPRFVITIYEGVHNHISPCTLCYNYAHLPLAPSASAPWGRAMAGAPTISSSSSTCTAQWLESFFQDDM >Ma11_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20839311:20846045:-1 gene:Ma11_g15150 transcript:Ma11_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G01395) UniProtKB/Swiss-Prot;Acc:Q8L838] MAVVSTAMTQQPSSSPLPVAAAEQDKSSSAAPTEAAPESVTTVDFGNAEAVDLVRSLTDVGAMTRLLHECVAYQRALDLRLESLLAQRPDLDRHLVVLAGPRSTHLLDLARSDASLLLSSARSTSELADRVSRRVRDLDLAQSRVRDTLDLVDATLDRSRCLDAARRALAIDDLHAAANAVHDFLQIDARFSRSSDNGNDEQRRQLLDVKRHVESIARKRLTAAVDQRDHPAVLRLVQVFPPLGLQEEGLQIYVAYLKKVIALRARLEFEHLAELAEQAAAAQAERPDFVGCLTNLFKDIVLAVQENDEVLRSLCGEDGIVYAICELQEECDSRGTQILKKYVDHRKLARLASEINSHSKNLLSVGADEGPDPREVEMYLEEILALTQLGEDYTEFMVSKIRGLESVDPNLGPKATKAFKSGSFNRMLQDLIGFYVILEEFFMVENVRKAIRIDEHVADSLTTSMVDDVFYVLQSCFRRAISTSSINSVFAVLSGAMNLLSNEYQEALQHKMREPNLGAKLFLGGVGVQKTGMEIATALNNMDVSAEYVLKLRHEIEEQCAQVFPTPADREKVKSCLSELGDINIAFKQVLQSGLEQLMSTVSPRIRPILDTVTTISYELDDPEYAENEMNDPWVQKLLHGVETNILWLQPLMTSNNYDSFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRALINHFSEMSQRPVRDKFARLSQMSTILNFEKVSEILDFWGENAGHVTWLLTPSEVRRVLGLRIDFKPEAIAALRL >Ma10_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7941346:7942149:-1 gene:Ma10_g02470 transcript:Ma10_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSASSSSGSRKKRMKRGPKAQPGTKWRTAAQERVYGRRLLEALRATAELGPRAVKEAADSALAFTARGRSRWSRAILLSPRRRARLLLRTGSKIRRGRRRARAAEAGERVRGRLRVLRRLVPGCRTLSAGSLLEEAVDYVAALEMQVKAMRALADALSPAPAAAEPGSRGGV >Ma11_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10017718:10019238:1 gene:Ma11_g10570 transcript:Ma11_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPAQTEEISREPEGLKYLGFVHVAVLQAVVCLAGLYEFAKDNSGPLKPGVHSVEGAVNAVIGPVYEKFRDVPFEILKFVDTKVGESLTEVDRHVPVVLKSASVQAYTAAEKAPEVARSLVGEVQRTGVMATASGVAKDLYARCEPVAKEMYGQYEPVAERYAVAAWRSLNRLPLFLQVAQILVPTAAYWAERYNKAVGAAAARDYPVAQYLPTVPIERIAKVFGEQAEKPRANVSPPPETQAE >Ma07_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10424562:10426270:-1 gene:Ma07_g13940 transcript:Ma07_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMIGVMLLLVFVMASAWGVGAHCDLYNGRWVEDESYPLYDSRSCPFVRKEFDCLRYGRPDTKYLKFRWEPAGTCDLPRFDGVKLMRTLGGKKVMFVGDSLTLNQYLSFLCLLHAAVPNATLSFSSDNRSLSAVTFEDYNVTVMYYNSHYLVDIVKENIGRVLKLDSVQIGGSIWLTADVLIFNTWHWWLSTGSRQEWDYMRDGHRTVKNMNRTVAFSKALATWANWVDSSINSSTTRVFFQGISPAHYKGTEWGRNGGTCKGETEPSSPSAYYGGPIPEEAIVKQQLSNMSKPVYLFDISYLSQLRKDAHPSKYNGINFRNDCSHWCVGGLPDTWNLLLYAALVHSI >Ma08_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37609049:37611926:-1 gene:Ma08_g24420 transcript:Ma08_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMDASGDFYSVLGLKKECSEAELRNAYKKLALKWHPDKCSASGNEIRMKEAKQQFQEIQKAYSVLSDSNKRFLYDVGAYDKDDDKDEEGMVEFLGEMAQMMRQTKCCGSGQESFEQLQQMFVEMFHDDLDAGFCGHSSATSGAASCGNKRDNSAMDSGKRKPDELDPAAIGFCLGTKDAGQSSKGRGSNSKRRNRRKQKASSKHDNSSHNAKVSA >Ma05_p31810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41560588:41561556:1 gene:Ma05_g31810 transcript:Ma05_t31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAPEGDPVSPEGDAPSPSSGAAAAAAVPGGTADARAQASPAPLSRYEAQKRRDWNTFLQYLRNQRPPLALAGCGGAQVIEFLRYLDQFGKTKVHAPGCAFFGRPTPPAPCPCPLRQAWGSLDALIGRLRAAYEESGGKPEANPFAARAVRFYLREIRESQAKARGVRYEKKKRKRAPTSAVRDAGDASSSAATAAGATSGGSGSDAGEGSFTAQPGGSSIS >Ma08_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35594614:35605419:-1 gene:Ma08_g21830 transcript:Ma08_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MILSKVLEEAGSLEPSDVKTKRPPAAATAAAMSNKLISEMGLPASIANVFAARNVLTAKDALSLPEFDLVALLGLDLDQVRSAVARISEIACPPCRTALSLLEDRARSACHLPTCLRGLDDALCGGIPFGALTELVGPSGIGKTQFCLKLALLAALPTCYGGLNGRIIYMDTESKFISSRLIEIGKSSFPHIFQSEGMAQEMAGRIVVLRPSSLSEFTESLQQIKLMILQHDVKLLIVDSMAAILLGENERSTTVQKQHSWRWPLSFLKSLAEFSQIPIVVTNQVRSQNNDEVFHYSFQAQKNDTGKTSERLESHLTAALGIQWAHAVTIRLIFEAHSGQRFIKVSKSPTSPAVAFPFVVESSGISLLSHDGLEVMGAEICTIHCQGHNILDQGTKSYSRIQC >Ma10_p29870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36306491:36308132:1 gene:Ma10_g29870 transcript:Ma10_t29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPLLASQQPCDGTTVSSPQPLVSINDATNAKKKRRPVGTPDPDAEIVSLSPRALLESERYVCAICNQGFQRDQNLQMHQRRHKVPWKLLKREATEVRKRVYVCPEPTCLHHDPRHALGDLVGIKKHFRRKHSSHRQWVCDKCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQGTCAAGRARAELHMSQPPACLSRMTSSPSPSSDTNFSPMTWPILIRTPNPTAAVFLTLPDQPSSAQLDKHCTRNIELQLLPPSGNQNLALSSSASSPATEDAEVTKLQLSIGPSADHAPAEDQQLAATRLKDETMEQLKLAMAEKVLADEARHHARQHLGLAQHEFETAKRIRQQAQLELNRAYAIREHALKQINSTLIHITCYACKQRFPEKPAMASEENSFVVSYMSSAVTEGEEENEDHDRQQKISKS >Ma10_p27480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34750766:34753284:1 gene:Ma10_g27480 transcript:Ma10_t27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHYQSPTTFNKYFPELRMATKDTPFVVEPPQDLLATPFSTSLWISKILHANLSGKSGSPSELKCFDVENITCLARIPPTLRFNHILRPESIAATIEEGNEEEEKYGSRKSDNSGLASEEGKGKGKGHWNDMHKFLLAGTVSTVISRTCVAPLERIKLECIVQGSKRPLVKIVQCIWTAEGLRGFWKGNALNLLRMVPFKSINFICYDMYLDWLLNSPGKKEITNHDRLVGGGISGLLATVICIPLDTIRTRLLAPGGDALGGVAGCVSHMVQNEGFLSLYKGLAAALISMGPASAVFYTVYDILKSSHLSETKKKRTELGPAWTLAYGAIAGACSETVTYPLEVIRRQLQLQQSTNLGLASAFIDLVKRGGAESLFAGLVPSTLQVLPSAALSYFFYEMMKSILKI >Ma06_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7053507:7055306:-1 gene:Ma06_g10180 transcript:Ma06_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSVLNMDDDCMDLPPGFRFHPTDEEIITHYLSQKVIDRGFSARAMGEVDLNKCEPWDLPSKARMGEKEWYFFCQRDRKYPTGMRTNRATEAGYWKATGKDKEIYRGRRVLVGMKKTLVFYRGRAPRGHKTNWVMHEFRLEGKFPFPGVPKSAKDEWVVCRVFHKNMGITRSPPPPGSERIDSLGKDLFDSTALPPLMDPPYLSSDARPSSCFIDKEEGFDFKDIPSFSAMMGMEDQVSSNPPQSSIFYSQVLAQSPYCSFPGHLHQQQAMTRALAADHDASSAIRRHCKMEQCWNNSMGCPSQDTGLSTDRNTEISSSMVSNHFGDFDNPSCGPLINLDNIWKY >Ma05_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19347318:19347593:1 gene:Ma05_g17120 transcript:Ma05_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQMLVIQEVMDEPLRLSGSEKGSLVAGAADGDKGQSVVDDLKQFLPVYERTVDSTLEWFSI >Ma04_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7298675:7302599:-1 gene:Ma04_g10210 transcript:Ma04_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSVLPDEIWALILEMGTAFGRLTYRDLCALAIASRRLNRLARDPALWATLLALDFPAGRHEPHDKATSVKSLYRIRFERDKARQLAAARRAVLYAESRVAASRKRLEELESSLAREGKRLKAAVSELADLERARTASVALNVWQPQVVRGRQKQIVEQCTVPVESRLGALKMEIKVCKQQIAIFNKAYNEEKKKLSEYNEALTSLKYHPLRSNFDSTIRHSNKQKRLKQSSDLKYGDGPVEQERVESLPNKSI >Ma08_p11890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8885680:8891377:-1 gene:Ma08_g11890 transcript:Ma08_t11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGVARQAGVVKIFDAHCHLQDRRIASVAPQLIRTALDSGVQRFVVNGVSEMGDEYPSIIPCFGLHPWYVNERSPNWFKSLREFLAETPGAAVGEIGLDKGAHAKNIDFGEQVDVFRQQLELAKVLEKPVSVHCLRAFGDLLEIMLSTGPFPAGVILHSYIGSAELVPGLAKLGSYFSFSGHLTSMKPEKAKKMLKSVPKDRILIETDAPDGLLSVKTSSSFPFPDVASTAETENQFGDSAAEATSLSKEGLNHPANIHRVLKYVATLLEMPEEELAELSFQNATRLFSYPGSKVNSDG >Ma08_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8885680:8891377:-1 gene:Ma08_g11890 transcript:Ma08_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGVARQAGVVKIFDAHCHLQDRRIASVAPQLIRTALDSGVQRFVVNGVSEMDWHIVKQMGDEYPSIIPCFGLHPWYVNERSPNWFKSLREFLAETPGAAVGEIGLDKGAHAKNIDFGEQVDVFRQQLELAKVLEKPVSVHCLRAFGDLLEIMLSTGPFPAGVILHSYIGSAELVPGLAKLGSYFSFSGHLTSMKPEKAKKMLKSVPKDRILIETDAPDGLLSVKTSSSFPFPDVASTAETENQFGDSAAEATSLSKEGLNHPANIHRVLKYVATLLEMPEEELAELSFQNATRLFSYPGSKVNSDG >Ma10_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30654763:30659337:-1 gene:Ma10_g20540 transcript:Ma10_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAASFPLAKWRSDFSRAFQYYLDKSTPHTTGRWIGTVGVAAIYSLRVYFVQGFYIVTYGLGIYLLNLLIGFLSPLVDPEIEVSDGPALPTKGSDEFRPFVRRLPEFKFWYSITKAFCVAFVMTFFSVFDVPVFWPILLCYWVVLFFLTMKRQIVHMIKYKYVPFNMGKQKYGAKKGPGSSNLSKD >Ma09_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27249786:27254991:1 gene:Ma09_g20040 transcript:Ma09_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDGRALMLWVLFFWPWVTATAMLSPKGVNFEVQALMGIKASLEDPHGVLENWDQDSVDPCSWTMVTCSPENLVIGLGTPSQNLSGTLSPSIGNLTNLEVVLLQNNNISGSIPPEIGKLFGLHTLDLSNNEFSGAIPTSLGNLRGLQYLRLNNNSLSGEFPLSLVNITQLAFMDLSYNNLSGPMPKLPGRTFNIVGNHLICPTGMEKECYGTMPLPMSFNITVSQDQHKEEVCLGNLKRFQFRELQVATNNFSSKNLLGKGGFGNVYKGQLQDGTLVAVKRLKDGNAAGGEIQFKTEVEMISLAVHRHLLRLCGFCITATERLLVYPYMSNGSVASRLKAKPALDWSTRKRIALGAARGLMYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDTHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRALEFGKAANQKGAMLDWIKKMHQEKKLDMLVDKDMKSYDRIELEEVVQVALLCTQYLPGHRPKMSEVVHMLEGDGLAERWEASQRTEALKLKVPDFHSDRYSDITVTDDSLLVEAMELSGPR >Ma09_p20040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27249788:27254990:1 gene:Ma09_g20040 transcript:Ma09_t20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDGRALMLWVLFFWPWVTATAMLSPKGVNFEVQALMGIKASLEDPHGVLENWDQDSVDPCSWTMVTCSPENLVIGLGTPSQNLSGTLSPSIGNLTNLEVVLLQNNNISGSIPPEIGKLFGLHTLDLSNNEFSGAIPTSLGNLRGLQYLRLNNNSLSGEFPLSLVNITQLAFMDLSYNNLSGPMPKLPGRTFNIVGNHLICPTGMEKECYGTMPLPMSFNITVSQGDPTPQRPKRHKLILALASSIGSICLISLAFGLFIWCSQRHNQQIFFDVKDQHKEEVCLGNLKRFQFRELQVATNNFSSKNLLGKGGFGNVYKGQLQDGTLVAVKRLKDGNAAGGEIQFKTEVEMISLAVHRHLLRLCGFCITATERLLVYPYMSNGSVASRLKAKPALDWSTRKRIALGAARGLMYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDTHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRALEFGKAANQKGAMLDWIKKMHQEKKLDMLVDKDMKSYDRIELEEVVQVALLCTQYLPGHRPKMSEVVHMLEGDGLAERWEASQRTEALKLKVPDFHSDRYSDITVTDDSLLVEAMELSGPR >Ma07_p26100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33032877:33035163:1 gene:Ma07_g26100 transcript:Ma07_t26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIAYDDFLLMIIPIVVYWVYSGIYEVLGSSEKYRLHSRRDEETKNLASKRAVLKGVLFQQALQATITVVVTKLTHEDAKPETSTNTSWFAAASQFMVAMIVFDTWQYFVHRYMHHNKFLYRKFHSWHHRIVAPYAFAAQYNHPVDGIITETVAGALAYFVSGMSKTTATLFFSFATVKGIDDHCGLMLPWNPFHILFGNNTAYHDIHHQLAGNKRNFAQPFFVTWDKIMGTYVPYAVVKRENGGFEARVAKH >Ma06_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10345683:10348976:-1 gene:Ma06_g15210 transcript:Ma06_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATKNSVRIQTSKKTDDVWVTPSASNPLDAKSDFSFFSSSSQALHHDKQHSHKGTQSTHDDRKGKDRMDDVDPQDVGIMLPDDEAALLSGALDCLDLSESPGQVLVALEDCDLFCDGGKMELDSDPKESITVGSYLGNVNHQYTLAGGVGTISVAHPHCEHPSRTLFVRNVDNNVEDSELRSLFEKFGEIRSLYTECKPKGFVMISYYDIRAAQSARRALQNMPLRRRNLDIHYSIPKDNPSNQETNQGILVVFNLRPSLSTEDIAQMFGAYGEIKEIWETPHKRHHKFIEFYDVRAAEAALRSLHNTEIAGMRLKIEPSSHGGTRRYSMQQLSRESEQDESVVPGDLSIANSSPGSWLQLGIPNDIPYCQQDTWRNDDITLESFAKSAANPTGLSGYRDKISSSSSSSPLQGGGSQRSHSFPYLGCAQGEMTSISGNLTSFGPPTPNTSGVRNQSGSRYPCGTSTSYMGNVQSSSWQPGATGGSFMADGHGRERSSRYSNQHGSFMGSPHHQHGYLIPVTQDTSFVMNMTPSGGSSLANMKNQGITVSGNVPSHGFGAAPFYNAPYSGRANNDQYQLDLDKITKGEDTRTTIMIKNIPNNYTSKMILAEIDATHKGTYDFFYLPIDFMKMCNVGYAFINMLSPAHILTFYKAFSGKKWEKFNSEKIISLAYARIQGRAALVAHFQDSNLMAEAKIWHPIFLDPEGAEAGDQKVLQELLPQAGHKESVWMRREKNTSSGGSFEE >Ma06_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13985373:13986041:1 gene:Ma06_g19890 transcript:Ma06_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNISSNNGGSWDLEVSMGSQFPFDHTPLELFQTADPFQPHVNSPSFANTHASVQGGREGEQEEEEEALGAMKEMMYRIAAMQPVDIDPSTIKKPMRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQVHDLQSNPQPPAAQIGVVDGTVVGAVEWPATAEARASSSPSSSSSAMRAPLGLGFGFGEQGAHQPRH >Ma05_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3391574:3393234:1 gene:Ma05_g04430 transcript:Ma05_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMEDELFPSTPGKFKIERAHTINRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSRYFNASWGGMHWEKQIRASATPRRPGGVSVLVTGAAGFVGTHVSLALRKRGDGVVGLDNFNSYYDPSLKKARKALLTSHGVFVVEGDINDARLLAKLFDVVPFTHVMHLAAQAGVRYAIENPASYVHSNIAGLVTLLEACKSADPQPAIVWASSSSVYGLNEKAPFSEADRTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNHVDLARDFTYIDDIVKGCVASLDTAQKSTGSGGRKRGPAQYRIFNLGNTSPVTVPALVGILERHLKVKAKRHVVEMPGNGDVPFTHANISSARTELGYNPTTNLEAGLKKFVKWYLSYYGYNPRSGGGATKASKSL >Ma03_p31770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33854402:33855262:1 gene:Ma03_g31770 transcript:Ma03_t31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEFRCFVGGLAWATDDRTLEQAFSPYGEIIESKIINDRETGRSRGFGFVTFRDEQSMRDAIEGMNGQNLDGRNITVNEAQNRRGGGGGGGGFRSGGGGGYGGGGGGGYGGGGGYGMGGRREGGGYSRGGGGGGYGRDRGYGDGGSRFHRGGGGGGGGFSEENWRN >Ma11_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25078919:25079786:1 gene:Ma11_g20690 transcript:Ma11_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAARILQANSGLLPAAEPPEPLSVDSDMVVILAALLCALICVVGLALVARCAWIRRSAGAPPAPKSKGLKKKALRALPKVSYDAAAAGGGGLADCPICLADFVEGDEIRILPQCGHGFHVGCVDTWLVSRSSCPFCRRELVFAAAAAASQPSRCQTCGARSGDAAAPSAAAAAEELAKAREDGDASRFLT >Ma01_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5937522:5941733:1 gene:Ma01_g08280 transcript:Ma01_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDIIKKAKEGGLNTIQTYVFWNAHEPLYGQFNFEGRYDLVKFIKLVQAHGMYVTLRIGPFIQAEWNHGGLPYWLREVANITFRTNNQPYMSHMERFTKMIVQKMNDEKLFAPQGGPIILAQIENEYNNVARAFQGGADYIQWAGNMAVGLNVGVPWVMCKQNNAPGPVINACNGRNCGDTFAGPNHPSKPALWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKNGTLTNYYMYHGGTNFGRTGAAFVMTRYYDEAPLDEYGLQKEPKWGHLKDLHNALKLCRKGLLWGTPSVQKFDAGFEARLYEIPESKVCVAFLTNTNAKKDGVMNFRGVEYYLPRHSISILPDCKTVVFNSQQVNSQHNARTFDVAKAAKENNQWQMYTEQIPTSRDANVSAKGPLELLNMTKDTTDYLWYTTSFKLDDDDLPMRHDIRPVLQVSNLGHAMHAFVNGKYIGSGHGTKIEKSFVFQKPMDLKAGVNQIAILGLTVGLPDSGAYLEHRLSGVHTVVIQGLNAGTLDLSQNLWRHQVGLAGENLGIFDEQRANAVKWEEAQSDTAVTWYKRYFDAPSGNDPVAVDMNSMGKGQVWINGESIGRFWVSYLSPLGKASQSIYHVPRSFLKPKNNLMVVFEEHGGKPKDIVILTVKRDNICTFVSAQHPAQIGTWSREDSQIRSVVGDAKPEARLKCGEKKVIRSIVFASFGNPDGMCGNFTVGSCHTQQAKSVVEKACLGKESCALPVSAEAYGADAGCPGTTSTLAVQAKCARKSKKD >Ma02_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22532852:22533990:-1 gene:Ma02_g14470 transcript:Ma02_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSYVKDGGDLRDTELRLGLPGTEAPEKPAAPSTRGSKRALQEDGDMESRAKSRPLAVDCGEAPAAKTQIVGWPPIRSYRRNSFPPRKVEAEAEAEAAGLYVKVSMDGAPYLRKIDLKVYKGYKELREAIDNMFKCFTQGEVSRKEGCSSGSESAITYEDKDGDLMLVGDVPWEMFISSCRRLRIMKGCEVRCLRTRQYS >Ma10_p29540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36101970:36103174:1 gene:Ma10_g29540 transcript:Ma10_t29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAPNCCVFGSRGLDGRCASAAAKAGAVVLALGSRTWKKRSRVGVKLGASRQEMSSRPMSVPMEAITVVEELDQILEQAHELSQVIIVDWMATWCRKCIYLKPKLEKLAAEYDPRVRFYLVDVNKVSQELVKRGNITKMPTIQLWKDKEWKDEVVGGHKAWQIIDEVREMIQKHI >Ma01_p07590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5503604:5506024:1 gene:Ma01_g07590 transcript:Ma01_t07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNFFSASRTKRFLHGSNITFLGSFAVAKEERYESTKKQGLVKKSLLLSETMSSLLSPPLFSLQKESPPPFVCSSNGFMDFTSELQEAFSLFDKDGDGCITLEELASVIRPLGHNPTEQELQDMIREVDVNGNGTIEFNEFFNIMAWKMKEADAEEEMREAFKVFDKDQNGYISDSELMSVMINLGEEVTYDEVKEMIREADINGDGQVDFYEFSKMMMAVQTKF >Ma01_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5503331:5506024:1 gene:Ma01_g07590 transcript:Ma01_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCITLEELASVIRPLGHNPTEQELQDMIREVDVNGNGTIEFNEFFNIMAWKMKEADAEEEMREAFKVFDKDQNGYISDSELMSVMINLGEEVTYDEVKEMIREADINGDGQVDFYEFSKMMMAVQTKF >Ma04_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11622569:11626480:1 gene:Ma04_g15320 transcript:Ma04_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASPIGACAARLMAAACSPRRARSRLAPLAAAVVPAGLSEGVLMLADAVSSARTEVGAGTRDELVCFVSSQYQPPLECPSSSRFLFDPQPLHPSCRGGW >Ma09_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8273147:8273927:-1 gene:Ma09_g12240 transcript:Ma09_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEMLDGSTIREFVEDEGAFNGSVEERFASLDMDHDGRLTYAEMAKELMSLRVRETHFGVDETGPSRDELLQLHLGLFGRFDRDGNGTVELEEFRAEMREVLMAVASGLGFLPVQMVVEEGSFLKRAVERETAKLVA >Ma08_p30590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41793772:41795191:-1 gene:Ma08_g30590 transcript:Ma08_t30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSVNGFYAFLAHGLDDLESSFESNNFMSLQFLEKAIALLRSVHANLTHLVQKLHLPAGEKWLDECMDESSRLWEACHALKTGISSMETFYAAGAGMISALDDSHRSNPQLTRQVMRAISVCRRGLVGLEGENRVMVETRIAPLSLRFDEKIPAESKLNGFNGFTGVLLASRSVSCFLLTILVWGLVHWWPNSSSTTSAAGEGSLFSGSGFMVSTSRLQQRVEAGVERAGGRPGILLFEFQRVRACLEELREELERSGGHDAESGSIQERVESLKVSFGLLRNGTENIALQLDDFLDEIVAGRKKLLDVCSRR >Ma08_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35349057:35356454:1 gene:Ma08_g21490 transcript:Ma08_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVLDGIIGRLLEVRTARPGTLVRLAEAEIQQLCTVSRQIFLGQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPVANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVRLWKIFSDCFNCLPVAAVIDDKILCMHGGLSPDLSKLDQIKSLPRPCDVPESGLLCDLLWADPGRDVQGWGENDRGVSWTFGADKVSEFLLKQDLDLVCRAHQVVEDGYEFFADKQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILQPAEKKPKSLLPTRI >Ma07_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10595699:10597243:1 gene:Ma07_g14170 transcript:Ma07_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPARAWYSNLKTASIASFDQLAKDFELNFLAYAKPKPSVAMLLGLNQREDEPLSHFVDRFTIQIHGLSDAHPSLMMQAFMMGLRPTRFFWSLVERPPASVPEMLQRANQFVAAEAWMVRRRDERKRVKPEQPRQPQPATSRRRAGGLDDAVPRSPPPGLNSSRTEIFLHIKEKGLLKDPHPMNSPRELADRSKYYCFHRQPGHDTEQCRELKRQIEELIRRGHLGPYLRRDKELSPRPEGPIERHIDVITGGPTAGGSSVAGGKAYARASRAEASKHEEGPEVTFPTGEPELAEHDDALVISARIANAQVRRIMVDTGSSADILYWDAFQKLGLVRENMKPVFSTLTGFTGASISSLGAVTLPLTLGVSPKTRTVMTSFLVVDLPTAYNAILGWPTLNKIRAVISTYYQTLKFPTHNGVGEVAGNSWESRRCYLTAMTLSKRARIQSPLEDPREGKKPAPRPEPKESTVDLPLIKGRPDQTIKIGSELPEAEQQ >Ma11_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7182875:7188463:1 gene:Ma11_g09020 transcript:Ma11_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFVCVCIHNDDMVTIPEVMLSSGAKPMPLIGLGTAVYPFAASETMRAAILRAIELGYRQFDTAALYRSEEPLGEAIAEAVRSGAIESRDELFITSKLWCSDAHGHLVLPALQRTLRNLQLDYLDLYLIHWPVSTKPGRYEVPMPKEDLQPIDLSSVWKAMEQCQRLGLTRSIGVSNFSTKKLETLLSIANIPPAVNQVEVNPLWQQQKLREFCVAKGIQVCAYSPLGAKGTMWGQDWVMNCDVIKDIAAAKGKTLAQICLRWVHEQGDCVLAKSFNEKRMLENLDILDWELNEEEKRKISEIPQRKGCLGLEFVSDYGPYKSAEELWDGEI >Ma07_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1718025:1723724:1 gene:Ma07_g02200 transcript:Ma07_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALQQQQGGSNEEGVRQRVNRCMMKLSDRDTEAMAATELDAIARELTADAFPPFLSAIADARPTDKTPLRRHSLRLLSLLSHSHPPAAVAPHLPRMLAAALRRLRDPDSSVRAACVDAVRSMAAAHPPALVAVLLRPLTDALLHEQDQCAQIAAALSLAAAIDTAAATALDPDLAYHLQRLLPRLVKLLRSNAFKAKSSLLSLLGSAAGAGGAGTASLLALLVPCLVESLACDDWASRKAAAESLSVLAIREKDLLTGFRSSCLSSFESRKFDKVKIVRDSMTRMLDVWKDIPEVPESNLIAQSQPKPSPKAASLGSGSIPSAKRFSSSRSPPPAALPIPTPRKNVPSIRNKKLSPPLFHKDERRPSNWRVEISIAGAPGEVVNDEKLHNIREHGGSEGNLRSRLETRRMLFERNHEDTGNKLAGLKSASRMVPLQETGSLESTVGANTKDDELNAGHKDSDLSLIRMQLVQIENQQSNLLELLQKFIGNSQNGLHSLETRVHGLEMALDEISHNLALSAGRIANNDSAANTCCRLPGAEFLCAKFWRRTEGRSSSRFSTSEVQNLTEMETRGSHKWDKRRLGVQGGLVVNPLAEIIPQSSASPEATPIKMLKSATHENAITHAYRHK >Ma08_p26860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39295478:39297185:-1 gene:Ma08_g26860 transcript:Ma08_t26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWKFSAGSSKLLGSCGGCSSGRTERSGWKIIMDCFSLAVSPNSCVCVKTQEEEDGLERKSLIKSHVEQVLKIKDVLDGAKTLAFHLEPKTVVLKVSMHCNGCARKIEKHISKMEGVSSFKVDLEKKKVVVVGDITPFEVLESVSKVKFAELWLA >Ma05_p25360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37328734:37329389:1 gene:Ma05_g25360 transcript:Ma05_t25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGDLGPSAAALLVLLFAPVLAFVVRRRWRLAVARREEVRRLALLAAQEASRAEVEAMETYAAAWTSAAVAAKGVTKDPSARPECPVCFCPAIARCARCKAIRYWLVDANSFLCLRSALQFR >Ma07_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2190249:2199332:1 gene:Ma07_g02780 transcript:Ma07_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKQLLDPDKVDVVQRLDKEKGVTLEEFRLIKIHMSLQIWKLALQVKVRQRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMYAGATSSDEKYRFEIKDILEMEMKVLEALDYYLVVFHPYRPLLQLLQDAGITDLTQVAWGLVNDTYKMDLILIHPPHMIALACIYIACVLKDKDLTTWFEELRVDMNIVKNISMEILEFFEYCRLDSKGNILIPEDRINAALNKVAAKP >Ma07_p02780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2190249:2199332:1 gene:Ma07_g02780 transcript:Ma07_t02780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKQLLDPDKVDVVQRLDKEKGVTLEEFRLIKIHMSLQIWKLALQVKVRQRVIATAITYLRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMYAGATSSDEKYRFEIKDILEMEMKVLEALDYYLVVFHPYRPLLQLLQDAGITDLTQVAWGLVNDTYKMDLILIHPPHMIALACIYIACVLKDKDLTTWFEELRVDMNIVKNISMEILEFFEYCRLDSKGNILIPEDRINAALNKVAAKP >Ma07_p02780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2190249:2199332:1 gene:Ma07_g02780 transcript:Ma07_t02780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKQLLDPDKVDVVQRLDKEKGVTLEEFRLIKIHMSLQIWKLALQVKVRQRVIATAITYLRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCATSSDEKYRFEIKDILEMEMKVLEALDYYLVVFHPYRPLLQLLQDAGITDLTQVAWGLVNDTYKMDLILIHPPHMIALACIYIACVLKDKDLTTWFEELRVDMNIVKNISMEILEFFEYCRLDSKGNILIPEDRINAALNKVAAKP >Ma10_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7219695:7221983:1 gene:Ma10_g02080 transcript:Ma10_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSWKSFLTGCWAKSVENSATSPRKLQCSSSSDISNSSAPFSPEDLSLTLAGSNLHVFTIGELKAVTRNFSMTNFIGSGGFGPVYKGYIDDKLRPGLKEPHVAVKSLDLDGLQGHREWLAEVIFLGQLRHPHLVKLIGYCCEDEHRMLVYEYMPRGSLENHLFKRLLASLPWSTRLKIAVGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYTVKLSDFGLAKDGPQGDNTHVTTRVMGTRGYAAPEYVLTGHLTAKSDVYSFGVVLLELLAGRRCVDKTRPNRQKNLVDWARPYLNNSDKISRVMDPNLDGQYSSKGAQKAAAIAYKCLSHNPKSRPNMTTVVETLEPLLNLSDVPVGSFVYVAPTERVAEDTKAEKLDTETEEKHHGHHNRDRRHKQRFPNSVIHSEVTLHRDGNSLYRNSHFRRSLRQNQERGA >Ma07_p22390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30357698:30364281:-1 gene:Ma07_g22390 transcript:Ma07_t22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSDLATAFFGLARRHTAVAFNLRASILAVARRRTPVSICARAYAVGAKPAVVAGPGRLAISTRSRAHARRFAASAAATDEGSDLLTKIPPDDRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKAAGAEDIIMLNNGCLCCTVRGDLVRMISELVSKKKGNFDHIVIETTGLANPAPIIQTFYAEDQIFNDVKLDGVVTLVDAKHAGFHLDEKKPRGVVNEAVEQIAYADRIIVNKIDLVGESDVSSLIQRIKNINSMAYLKRAEYGKVDLDYVLGVGGFDLERIESVVNAEASTEDHDHDHDHHDHHHHDHHHHDEHDHEHEHHSHSHAHDHTHDPGVSSVSIVCEGNLDLNKANMWLGTLLIERSDDIYRMKGLLSVDGMHERFVFQGVHDIFQGSPDRLWGAEEPRVNKIVFIGKNLNAEELEKGFKSCLL >Ma04_p28500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29557316:29557953:1 gene:Ma04_g28500 transcript:Ma04_t28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGPISQFPAGFHFVPTDGELIGHYLRAKLDGEDLQYKAFNDVKLYDYHPADLVEKYEDYGEGRWFFFTSRERKYPNGIRPNRTTCDGDGYWKATGTEKIIYYNRKPVGIRRSLVFYTGKAGNGQKTDWIMQEYTTKSTSNKPHRNSDGSHQPHRNSDGSMQVSIIFKICFRLDSSMINGCNDIW >Ma11_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15933627:15934087:1 gene:Ma11_g12120 transcript:Ma11_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWVCGLWQGKRVAIFPVSFRILMMFLMKKNIVVAEHLELSMLLFDKLILSWCLLLPRCHAGLGAGETVLVFKIWMEVLRAYSHLESLTVAKI >Ma08_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5011275:5014165:1 gene:Ma08_g07300 transcript:Ma08_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGGPVRMSGDQQAHSDEPPAVRSHTTDATEGHPQHEGVRDEHPAAISEHYWRLFNDPGLSPPIANPGGPSPVPPEAFYDLTHQVRALTGVMQTIIPLVSPPTSSHSTLPPPRQRSAAQNPASLPEFPASPPGQSTRPGNRGADDPATHPTPEAPLSDSAEGLWAQLRLVGRRLDEVQREVRRTRGDPGAEQHQGSPFTPEIQEQAIPSYFRLPSLDAYDGATDPADHVAAFRAQMALYGTSDALMCRAFPTTLRGPARAWYSNLKTASIASFDQLAKDFELNFLAHTKPRPSVAMLLGLNQREDEPLSHFVDRFTIQIRGLTDAHPSLMMQAFMMGLRPTRFFWSLVERPPTSVPEMLQRANQFVAAEAWTVGRRDERKRVKPEQPQQQQPATSRRRAGGLDDAPGHDTEECRELKRQIEELIRRGHLGSYLRPDKELSPRLEGPIERHIDVITGGPASGGNSTAGGKAYARASRTEASKHEKGPEVTFPTGGPEPAEHDDALVISARIANAQVRRIMVDTGSSADILYWDAFQKLGLVKENMKPVCSMLTGFTDASISSLGVITLPLTLGIFPRTKTVMTSFLVVDLPTAYNAILGRPTLNKIRAVISTYYQTIKFPTHDGVGEVSGNSWESRRCYLTAVSLNKRARIQSPLEDPREGKKPTPRPEPKESTIDLPLIKGRPDQTVKIGSGLPEQEQQQLVGLLQANADIFAWTPSDLVGVHPEVAQHHLNISSDARPVKQRPRRQAPDRQLAIREESQQCLPKRLLPPTEDRPASRRHSRPRPSLVYGCLLRVQPNQDGTRRPRTHSFPHRAGDIFLQSHVVRVEKCRGHLPKDGKQDVRPPDWTKHGDICRRHDHEKPNSRSPSFRPG >Ma01_p18450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13693433:13697349:-1 gene:Ma01_g18450 transcript:Ma01_t18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSLTTPFVTGSVAQSTKLRLQNEKLKSYQSRLAIPQKSKLLKCRPNLSVHAEHSDSSKGGGGDFVTGFLLGGAIFGTLAYVFAPQIRRSLLNEDEHGFRKAKRPLYYDDGLERTRQTLNAKISQLNNAIDNVSSRLRGRNIEVTESVEADSEVEAAM >Ma01_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13693435:13697350:-1 gene:Ma01_g18450 transcript:Ma01_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSLTTPFVTGSVAQSTKLRLQNEKLKSYQSRLAIPQKSKLLKCRPNLSVHAEHSDSSKGGGGDFVTGFLLGGAIFGTLAYVFAPQIRRSLLNEDEHGFRKAKRPLYYDDGLEQRTRQTLNAKISQLNNAIDNVSSRLRGRNIEVTESVEADSEVEAAM >Ma03_p18700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24240183:24244749:-1 gene:Ma03_g18700 transcript:Ma03_t18700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAKQLWRKVCMETSVELQLLMEKWRLLLAGIIFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISESLFTFVFVSFALWTFHPFVYHSKRFYTVLLWLRVLAFLVASQVLRIITFYSTQLPGPNYHCREGSELARLPAPKSVTEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVLTYNKYGSKRFIKFLAWVIAIVQSLLIVASRKHYTVDIVVAWYTVNLVVFFIDKKLPEMPDRSSGSQPLLPLNVKEKDGKFREDHRKLLNGNTVDAADWRQRVQVNGKHGEDGNHIHSNSVVSGT >Ma03_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24240070:24244196:-1 gene:Ma03_g18700 transcript:Ma03_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYIAREASKLWRKVCMETSVELQLLMEKWRLLLAGIIFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISESLFTFVFVSFALWTFHPFVYHSKRFYTVLLWLRVLAFLVASQVLRIITFYSTQLPGPNYHCREGSELARLPAPKSVTEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVLTYNKYGSKRFIKFLAWVIAIVQSLLIVASRKHYTVDIVVAWYTVNLVVFFIDKKLPEMPDRSSGSQPLLPLNVKEKDGKFREDHRKLLNGNTVDAADWRQRVQVNGKHGEDGNHIHSNSVVSGT >Ma03_p18700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24240070:24244749:-1 gene:Ma03_g18700 transcript:Ma03_t18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAKQLWRKVCMETSVELQLLMEKWRLLLAGIIFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISESLFTFVFVSFALWTFHPFVYHSKRFYTVLLWLRVLAFLVASQVLRIITFYSTQLPGPNYHCREGSELARLPAPKSVTEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVLTYNKYGSKRFIKFLAWVIAIVQSLLIVASRKHYTVDIVVAWYTVNLVVFFIDKKLPEMPDRSSGSQPLLPLNVKEKDGKFREDHRKLLNGNTVDAADWRQRVQVNGKHGEDGNHIHSNSVVSGT >Ma10_p25780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33742788:33751824:1 gene:Ma10_g25780 transcript:Ma10_t25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGWLLQSRGSFARGMAVVVMRGFLWFVVVLNPLVRVLANMEGDALHNLKTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGTLVPQLGQLKNLQYLELYSNNISGTIPSDLGNLTNLVSLDLYLNSFTGKIPDSLGKLTKLRFLRLNNNSLSGPIPKSLTNITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPLLCGPGTTKACPGAPPLSPPPPFVPPTPPSSQGSSASSTGAIAGGVAAGAALLFAAPAIVFAWWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLEWTTRRKIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGFGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPELQSDYVEAEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEEMVPRHQTNEWILDSTDNLHAVELSGPR >Ma11_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22109323:22111818:-1 gene:Ma11_g16660 transcript:Ma11_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTSTGTELAFGTRFPQVSAPQISLRPLLEQVVDVSRNPPPSSLRRSLLFLRRSSVQQEAGDTAEENGKETELRARKKSCSQWARKMAPCWISCRRFIQEVGLSPPLHKRYANKKVIFSTSNDLKEFIYIYHLHIFRG >Ma05_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1774024:1782624:-1 gene:Ma05_g02710 transcript:Ma05_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKKQEQHKSRSQCFQQEASYYSYGGVWTNLPQLPHRLVEIRVNWAHGLDGGPLLSPLPIYNLIRPLFSRHSSYTVPQSLLRFLLLLLRLPATRLWVDKYRPRALDKIIAHEEIAQNLKKLVSEHDCPHLLVYGPTGSGKKTLVMALLKQMFGPGAEKVKLENKMWKIDAGTQMIELVLTMLSSTHHVEMNPSDAGFQDRYIVQEIIKEMARNRPVDTKGKKGFKVLVLNEVDKLSREGQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNIRVNAPTEEQIIKVLEFIGKKENPQLPPGFTARIAAQSNRNLRRAILSFETCRVQQYPFTINLALPPLDWEQYVSEIASDIVKEQSPKRLFSVRGKIYELLVNCIPPEIILKKLLSELLKKLDSELKHEVCHWAAYFVSVL >Ma09_p23750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35499641:35502855:-1 gene:Ma09_g23750 transcript:Ma09_t23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFPSQPDLSLRISPPNTKPISDWRKQDGDTELGGVPVYQQPPSFPLLPPDHHHHHHHHQQQQHLYESSSSTDFDRSAPTQSLSRSSNSPSRIPIKRRMRAPRMRWTTTLHGCFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQKFFRLTSSKFMNMYRTVKNTQRPTVASGRSDGFQNEWSAEISDERLLKIHDLHTSEFSTHHEINQIGLWNSSSR >Ma06_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14271870:14272882:-1 gene:Ma06_g20220 transcript:Ma06_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHGEDNPRCYCHPKEVVVGICALCLKERLLVLASEQNGLPQPGGTKRPSRTLKRTPSVNLRNVFALGSLLQLLDNRYHRSKDTSSGEDSIASLEDSFISFKFEEDSGQTSWPNKRAIDSTIPSTSITCGSGISMGKDRTGVSSIAEHKKRGGAKLRWRKRIGHLLHLARWKRSNKASTSHVGFGCKVDDGF >Ma07_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7042772:7057145:-1 gene:Ma07_g09420 transcript:Ma07_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGAEDGGRPAGSPAPPPPSLEWKFSQVFGERMAGEEVHEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVTNHGLRKDLEKQDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQPANHALFLLSTNDKTIKYWKVKERKVKKISEMNVDASIAEQNGNIASSSVNCPGGYLPNGGCSERPYNHLNNELSFPPGRLPSLRLPMVVTSQDTNPIARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDNHSKMFEERDTPGSRSFFTEIIASISDIKFAKDERHILSRDYMTLKLWDINMESGPVATFQVHEYLRPKLCDLYENDSIFDKFDCCQSGDGLRVATGSYSNLFRVFGCMTGSNEATTLEASKNPMRQQVQTPSRPARSLSTLTRVVRRGSESPAVDANGNTYDFTTKLLHLAWHPTENLIACAAMNSLYMYYA >Ma07_p09420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7042772:7057145:-1 gene:Ma07_g09420 transcript:Ma07_t09420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGAEDGGRPAGSPAPPPPSLEWKFSQVFGERMAGEEVHEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDVTNHGLRKDLEKQDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQPANHALFLLSTNDKTIKYWKVKERKVKKISEMNVDASIAEQNGNIASSSVNCPGGYLPNGGCSERPYNHLNNELSFPPGRLPSLRLPMVTSQDTNPIARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDNHSKMFEERDTPGSRSFFTEIIASISDIKFAKDERHILSRDYMTLKLWDINMESGPVATFQVHEYLRPKLCDLYENDSIFDKFDCCQSGDGLRVATGSYSNLFRVFGCMTGSNEATTLEASKNPMRQQVQTPSRPARSLSTLTRVVRRGSESPAVDANGNTYDFTTKLLHLAWHPTENLIACAAMNSLYMYYA >Ma09_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3563276:3564219:-1 gene:Ma09_g05550 transcript:Ma09_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPLRPGKRGSRRLPPMAAPRPLALFLVVLLLTSLPPAAEGLWLSQWGTLVSLSHSLLTRVANARAARDDLAGADRVRKIADKLSLLGGSGGGIWSLGRDFAWNYAWRGGGVPTAEISRATSRLLEALAEASRIESAAERSRWALRNYRHLVDLADSLFKSLLRTFSRSGPLREMVLAVQREVAEGELLKDCLEIVAVDLEGLIRIVRDAFLSSSNVDEGEL >Ma06_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13697501:13772335:-1 gene:Ma06_g19690 transcript:Ma06_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSFAVASLSTETVEAFEASLFPDADKNLICHSLCDEEVVIHTLNGLDTDYKELAAAIRARDSPVSFEDLYDKLTNYEMYLKRANKLTGSTVTAQVSHKSKRKSTRYSPNITQAIIRLSVLPYRAIKDGSFANYVTKSATPLKFAGLVPASLLRHTGLSRSVSAKNKKERKEKGITKYISIDIIESVLMRMNPKDAVRLSTVCKEWKDTTPQCDPTLRKTPWLLNVANSNYFLHSTVDKDMSFTIKIHDILPKKLHNWGCFHGWLVLEHHRFSLYNPFTRVRLDLPRHKHGIMDFRYMSSAPTNPDCVVLVFHINELYIWRPGDKIWTIEKNMNVIDYRSQSDEDNAMAADNPSLGSVQATPVKKKAAWRRRGKERPGVGEGSTTEGE >Ma02_p12380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21130506:21136719:1 gene:Ma02_g12380 transcript:Ma02_t12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNVVLECGNHLQARMKPKIVHISSLSTKVQERVRKRETTDRYHYFKILDDDLRELNRSYSSSLHYESMHSKSFRANGDNEVLKRGSMYQSSKEVRRMRKLQEERRKVELECRGDDFLSFEIVDHLPQHGMDKVNQSLHQKLLPLVSSNANSKHTAATVNPITTSSMEFLDLSFRDLLDKPLNTNNSCSDIVPAKSSLVDDLLDISDHTVDARTHCTKAAPRLRTSGSLTLQKSNFHEMICPESYRKITYERDSLKALPKCFSENANMSHTVSQLECGLAEESGPKTIFRSLKRMFDPIMKSKSVRNLPLSGRQSTGSRVTETANVRRNGVFQKSLLNEFSITEQKIEHAACLMAGEHLNAAVLPAHLHGILKLEIENGNPSFQFTLEDPEDVLSTKTWRTDTAYNWVYTFHRSKKKMNTGRGTKDKHGQSPPLVGQMQVSCHLCSEVRENGSLANSTVTEFVLYDIARARCSFAVEERSKCSLDSIHSVASNATEGLITGPLERSIPVGHPNPARHGFSCCDTNASTSYPWLPEDLHPQLEIAAIVVQIPFSKTENLKDIKVFDLKENQNLSRLPTDDPGRETKNSLNPAFVKVMIPNGPHGLPDTDDGGPSALLDRWRSGGGCDCGGWDMACPILVYNNPQADDLIDHSTGKFQNPILLFVQGSKEKEPALSITADGEGQYSVDFHARFSSLQAFSICMAMLHSANISTPVLLEKSRHRSCSNSLKSLLEEEVKHLIEAVADEEKRKSKKGGEQMPPFCIDPPFSPIGRV >Ma02_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21130506:21136719:1 gene:Ma02_g12380 transcript:Ma02_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNVVLECGNHLQARMKPKIVHISSLSTKVQERVRKRETTDRYHYFKILDDDLRELNRSYSSSLHYESMHSKSFRANGDNEVLKRGSMYQSSKEVRRMRKLQEERRKVELECRGDDFLSFEIVDHLPQHGMDKVNQSLHQKLLPLVSSNANSKHTAATVNPITTSSMEFLDLSFRDLLDKPLNTNNSCSDIVPAKSSLVDDLLDISDHTVDARTHCTKAAPRLRTSGSLTLQKSNFHEMICPESYRKITYERDSLKALPKCFSENANMSHTVSQLECGLAEESGPKTIFRSLKRMFDPIMKSKSVRNLPLSGRQSTGSRVTETANVRRNGVFQKSLLNEFSITEQKIEHAACLMAGEHLNAAVLPAHLHGILKLEIENGNPSFQFTLEDPEDVLSTKTWRTDTAYNWVYTFHRSKKKMNTGRGTKDKHGQSPPLVGQMQVSCHLCSEVRENGSLANSTVTEFVLYDIARARCSFAVEERSKCSLDSIHSVASNATEGLITGPLERSIPVGHPNPARHGFSCCDTNASTSYPWLPEDLHPQLEIAAIVVQIPFSKTENLKDIKVFDLKENQNLSRLPTDDPGRETKNSLNPAFVKVMIPNGPHGLPDTDDGGPSALLDRWRSGGGCDCGGWDMACPILVYNNPQADDLIDHSTGKFQNPILLFVQGSKEKEPALSITADGEGQYSVDFHARFSSLQAFSICMAMLHSANISTPVLLEKSRHRSCSNSLKSLLEEEVKHLIEAVADEEKRKSKKGGEQMPPFCIDPPFSPIGRV >Ma05_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10457386:10466957:-1 gene:Ma05_g14350 transcript:Ma05_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEAQGRRGTERPQVLLLRPPLSVLHEALSARFQLLRPWESPLPFDRFLAAHAAGVRALLVTGLVPVDGPLLDALPALRFIVTTSSGVNHIDLAECARRGIAVANAGTIFSLDVADYAVGLLIDVLRRVSASDRYVHRGLWPRAGDYPLGSKLGSKRVGIVGLGSIGSEIAKRLEAFGCSISYFSRSRKPHVPYTYFPSVRDLATESDVLVLSCALTEETYHIISRDVMSALGKDGIIINVGRGALVDEAEFVKHLMQREIGGAGLDVFEHEPAVPEELCCMDNVVLSAHFAVHTLEMSSELCQLMIANLNAFFSDRPLLTPVSVRT >Ma06_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4676086:4676778:1 gene:Ma06_g06460 transcript:Ma06_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYRDAKLAHPIDDKQPSPPPSPPAPRLQVQDRPAARYNWLHDLPYVLGLLLLGISVAVSAYGSRSDPSSLAFMLFSWVDLLCLVLCLRRFERLAPDGPPEEKARLKQAVWLLSTALVVAFGWRVSELMPTALAVLIWISAGCVAVGGFYGLVLYKEQIDETER >Ma01_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1255217:1256179:1 gene:Ma01_g01870 transcript:Ma01_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCEGCVDKVVRSVKEFPGRVQEVKPELASSKLTVVGKMDPWKLRDRVEAKTKKKVDLISPIKKPDAAADQKPVNEKAKELYLKATTVVFKISLYCHCRGCIRGIVDTIRRIKGVHDVSIDAQNELVTVTGTMDAKAVTKISSHNMVREVKAVQPKKDDGGGKKKEGGEGGSDGENKEHAATAAAAAAVAEAGRMDYYEWYYRRMHHAPQLFSDENPNACSIS >Ma11_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6290688:6292187:1 gene:Ma11_g07890 transcript:Ma11_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLSTLAGSGQTVCVTGAGGFIASWLVKLLLARGYTVRGTVRNPDDPKNAHLRALEGAKERLALVKADLLDSDGLHVAINGCDGVFHTASPVTDEAEQMIEPAIKGTWNVMNAAADAAVRRVVFTSSIGAVYMNPNRGLDAAVDESCWSDLDYCKVTENWYCYAKTVAEQVAWKVAGERRLDLVVVNPVLTLGPLLQPTLNASTAHVLKYLTGSAATYVNAAQAYVDVRDAAEAHIRVYEAPEAEGRYICAESTLHRGEVVRILAKLFPEYPIPTRCKDEVNPPKKGYRFTNKKLKDLGIQFIPVTQCLLETVKSLQEKALLPIVSCISHN >Ma03_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3282384:3287727:-1 gene:Ma03_g04990 transcript:Ma03_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGRRRRMEWDGEQGRPSGGGEGEEEEEEEEEEEEAEAEAKEEEGEVEKEGGFLVNDGSSVFSFAVESMLQSAAACGLVVTDALELDHPIIYVNRGFEEATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAVVAEIRRCLEEGIEFQGDLLNFRKDGSPLMNRLQLTPIYGDDETITHYMCVQFFTDTDVDLGSLPSPMTKAVVKPSDRFTTNPLSRPISTGHGHICREFCSLLQMGDEVLCQKILSRLSPRDIASVGSVCTKLYELTKNEDLWRMVCQNAWGSETTLALETIPEARRLGWGRLARELTTLEAVSWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNVSNPEWRHVNVTSAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFILDLDAQHPAWREISGIAPPVPRSWHSSCTLDGTKLVVSGGCADSGILLSDTYLLDVTMEKPVWREIPASWTPPSRLGHSLSVYDGRKILMFGGLAKSGPLRLRSSDVFTMDLSEDEPCWRSITGSGMPGAGNPAGIGPPPRLDHVAVSLPGSRILIFGGSVAGLHSASQLYLLDPTEETPTWRILDVPSRPPQFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLFSSTI >Ma08_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10505972:10515499:1 gene:Ma08_g13280 transcript:Ma08_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPTGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQLMTTNIGVVYSGMGPDSRVLVRKSRKQAQQYFRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFQGQISGKNIEIGIVGADRKFRYYIEAVAYVLWLN >Ma07_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30097149:30100438:-1 gene:Ma07_g21990 transcript:Ma07_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTGALSSSKMLGLEDSGEIAEKGAILMGKEGEEEQKRVNGHDSEEAGEEERLNRQMSEMSLYGTEEEEEEDEEGKGAKGIELGPRVSLKTEIEKDKDDDSLRRWKEQLLGSVDLNSVGENLEPEVKILSLSILSPGRPDIVLPLPVVPNSKGVWFTLKEGSHYRLKFAFAVSNNIVSGLRYTNTVWKTGVKVERTKEMLGTFSPQPEPYTYETPEETTPSGLFARGSYSARTKFVDDDGKCYLEINYSFDIRREWPSTG >Ma08_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2375262:2382279:-1 gene:Ma08_g03190 transcript:Ma08_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGKSANIFWQECPVGKLDRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHSRGKLAYVLDGDNLRHGLNKDLGFSAEDRAENIRRVGEVAKLFADAGLICIASLISPYRKDRDSCRSILPDLSFIEVFMNMPLDLCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIQEEENGVCPSPCAMAGQVVGYLEEKGFLQV >Ma05_p29200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39956999:39970461:1 gene:Ma05_g29200 transcript:Ma05_t29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MACFSLATQPSPMAMRRAGLLGRHFASPFRLLCRQISVEPQATVDRLKESGLLRTQGLIGGKWIDAYDGKTIQVQNPATGDVIASVPCMGRNETTNAISSAYNTFSSWSKLTASERSKCLRKWYDLIISHKEDLALLITLEQGKPLKESLGEVSYGAAFIEFFAEEAKRIYGDIIAPTIDDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEYTPLTALAAAELALQAGIPPGALNVVMGNASDIGDTLLESTQVRKITFTGSTAVGKKLMAGSASTVKKISLELGGNAPCIVFDDADLDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFANAFAKAVQALQVGNGLIEGTVQGPLINEAALEKVETMVQDATAKGADVVTGGKRHSLGMTFYEPTVLGNVNKEMLIFRQEVFGPVAPLMRFKTEEEAIQMANDTNAGLAAYIFTTSMPRTWRVSEALEYGLVGVNEGLISTEVAPFGGFKQSGLGREGSKYGMDEYLEIKYVCLGNLKGT >Ma10_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17204434:17205444:1 gene:Ma10_g05840 transcript:Ma10_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNCLLVYVFLSENVQTNAMYYRGISHALYAICRGEGMRGLYKGLGPTLLVSDLF >Ma05_p29530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40163419:40175253:1 gene:Ma05_g29530 transcript:Ma05_t29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAEELLKKIQELEVGQARLKLEMSRLMPADEGGARRSDRGRSHSVSPQRTAPPPQRRNSGGLDGAPSSWGRGSSSFGHSSRLQRESRVTTGSADRAAGIGLSERQSLNILQSMGQSVHIFDLEGRIIYWNRSAANLYGYTASEALGQNAIELLVDAHDFNIASNIVRRVTMGESWTGKLPMKNKLGECFVAITTNTPFYDDDGSLVGIICVSSDSRSFQDLASPPIPTKSQASVSSTASRIRKGFTSNGGSDPQQPLQIAIASKITNLATKVTNKVRSRIKPGENNTEREIGTRDSQCSDHDEISSDHKEDGASSGASTPRGEAPLCAFGKPSPVLEEKSPGKATKVNNDEDEGKSGIHKIISKTEALFSSKGILWPWKAHEQGGNDAKNRFVWPWLHGDHENDYSHPKVSASGTKTENQPTENNRTGNNEASGSWSSLNVNSTSSVSSCGSTGSSAVHKVDVDTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAIKVFSKQEYSDDIILSFRQEVLLMKRLRHPNILLFMGAVTSPHRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDIARGMNYLHHCSPPIIHRDLKSSNLLVDRNWTVKVGDFGLSRLKHETYLTTKSGKGTPQWMAPEVLRNERSDEKSDVYSYGVILWELVTEKIPWDNFNSMQVIAAVGFMNQRLDLPKDLNPQWVSIIESCWHSEPKCRPTFQELIERFKDLQRQHAVQSHMQRVGETTQTTAKASFQDRNEPD >Ma04_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25003279:25007696:1 gene:Ma04_g22750 transcript:Ma04_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKLEVLLVGAKGLENTDFLCKMDPYAVLTCRTQEQKSSVASGKGSDPEWHESFVFTVSDSVPELTIKLMDSDTGSSDDFVGEATIPLEAVFFEGNIPPTVYNVVKDQKYCGEIKIGLSFIAEETRGSDFLAQRYGGWNESS >Ma04_p22750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25003279:25007696:1 gene:Ma04_g22750 transcript:Ma04_t22750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKLEVLLVGAKGLENTDFLCKMDPYAVLTCRTQEQKSSVASGKGSDPEWHESFVFTVSDSVPELTIKLMDSDTGSSDDFVGEATIPLEAVFFEGNIPPTVYNVVKDQKYCGEIKIGLSFIAEETRGSDFLAQRYGGWNESS >Ma06_p32300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33236912:33238873:-1 gene:Ma06_g32300 transcript:Ma06_t32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVTRRKPSLPLPPPVSPLFHPFLGHLNYSSSSHSPPWYSPPPQTPQADPLLLTISHAVLDSATTSLDASLKPLLPSINPSLFVSLLTLNPLSLPPSSLLDFFHWLSARPTFRHTPLSYLAMAAFLLRHRLPSAALPLLRLLVARRGHHSAPALFSAALQAVTPAPLQSSLVGALAVEYARVGHVSDAIQCLRLSHRHALPLPLDSCSRLLDHLLKSNSPAAAWAFYSEILAAGFPPRVTTFNVLMHSFCKEGKIKQAKSIFNEITKHGSCPTVVSFNTLINGYCKVGNLEAGFELKGRMLDVGLVPDVFTYSVLIRGLCKAGRLDDANELFDEMCSKGLVPNAVTFTTLIDGHCKEGKIEDAMEIYKEMLKRSVRPDVITLNATVNVLCKSGDLGEANRVVEEMRHSGLLPDKVTYTTLIDGCCKEGDLKMAIEIKKRMAVEGIELDEVTYTTLIAGLSREGWVADANTTLHEMVRAGLLPDMATYTMVIDAFCRKGDVKMGFKLLKEMQSKGHKPGVVTYNVIMNGLCKLGQTKNANMLLNAMINVGVAPDDITYNILLDGHCKHGNIEDHEELKGEKGMILDFASYSSFINELVKRPKNH >Ma07_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6358873:6367534:-1 gene:Ma07_g08540 transcript:Ma07_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLSRFHFLLKPSSRPLLPSTLFSTPNSPFFDRFRPSISCRCLAAMAGTAEDFVKGRIFPNGVAVITLDRPKALNAMNLEMDLRYKGFLDEWETNPRVKCILVESSTPRAFSAGMDIKGVAAEIQKDKTTPLVPKVFSAEYSLICKISDYKKPYISLMDGVTMGFGIGLSGHGRFRIITERTLLAMPENGIGLFPDAGFAYIVANSPGGGAVGAYLGLTGKRISSPADALYVGLGTHFVPSGSLAELKEALLKVNFTDEPDKDIHALLAGYKKDPASEAQMKTFFPQIAQCFSANRSVSETIEGLKKHQTSADSTVAEWASEALSGLGKGAPFSLCITQKHFSEVALAHGNSEHHLSKLIGVMKAEFRIALRSSIRDDFGEGVRAVLVDKDQNPNWSPRTLEDVNMDEVGSVFEPLPADNELNV >Ma03_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3594277:3594751:1 gene:Ma03_g05490 transcript:Ma03_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFSNISASEAARRTRVVQRRTWPSDGTKDQLLYTKEIVIHWNHCSSAETANPKQTVDASQPAFAFHIRNNARLLSSGFLVFCKKIIPIRPFRCVE >Ma09_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8971629:8980047:1 gene:Ma09_g13300 transcript:Ma09_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKPPVEFCKGVNGLEKVVLREVRGSSAEVYLYGGHVTSWKNDHGEELLFLSTKAIFKPPKAIRGGIPICFPQFGSHGNLEQHGFARNRLWSIDSDPPPFPTVSSNKAFIDLIFKPSEDDLKLWPHSYEFRLRITLGPGGDLMLTSRIRNTDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLKAKERFTEQGDAITFESEVDKIYLGTPSKIAILDHEKKRTFVLRKDGLPDAVVWNPWEKKAKSMADFGDDEYKHMLCVEAAAIEKPVTLKPGEEWKGRLELSTVPSSYRSGQLDPQRVLQG >Ma03_p14510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13123814:13141254:-1 gene:Ma03_g14510 transcript:Ma03_t14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAADAPSETLEYTPTWVVATVCSVIIIISLTAERSLHCLGKILLKKKQDALNRALQKLKEELMLLGFISLLLTVFQSLISNICVPKSVSSYMLPCKVEKSTSVQEIYHGMGFYYGHRWNKQRLLSSGSSSGFCLSKGKVPLLSLEALHQLHIFIFVLAVVHVVFSASTMVLGGAKIRKWKHWENAIQAEISKEEIQKKNESKIEQNEKEHNDKEYDDKKHEPTEQDVQTHNHHHEFVKERTLGFWQQLVVVSWMISFFKQFYSSVSKSDYRALRAGFVMRHSSNKAYDFHKYMMRALEDDFKKVVGISWYLWLFVVIFLLMNVHGWHTYFWLSFLPLILLLVVGAKLDHIITKLALELQEKPRGPKGETQHVKLSNEHFWFKKPGIVLYLIQFILFQNSFEIAFFFWIWSTYGFHSCIMEGLGYLIPRLVIG >Ma03_p14510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13122877:13137731:-1 gene:Ma03_g14510 transcript:Ma03_t14510.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MVLGGAKIRKWKHWENAIQAEISKEEIQKKNESKIEQNEKEHNDKEYDDKKHEPTEQDVQTHNHHHEFVKERTLGFWQQLVVVSWMISFFKQFYSSVSKSDYRALRAGFVMRHSSNKAYDFHKYMMRALEDDFKKVVGISWYLWLFVVIFLLMNVHGWHTYFWLSFLPLILLLVVGAKLDHIITKLALELQEKPRGPKGETQHVKLSNEHFWFKKPGIVLYLIQFILFQNSFEIAFFFWIWSTYGFHSCIMEGLGYLIPRLVIGVIIQVLCSYSTLPLYAIVTQMGEGFKESIFNATVQHTLHDWATQVKEKRKHQYGFLSFLNFHRKDKKNNNEIQMQTLASRAAEASHGIQQSASLQEIVVEDLHAV >Ma03_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:13122877:13141254:-1 gene:Ma03_g14510 transcript:Ma03_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAADAPSETLEYTPTWVVATVCSVIIIISLTAERSLHCLGKILLKKKQDALNRALQKLKEELMLLGFISLLLTVFQSLISNICVPKSVSSYMLPCKVEKSTSVQEIYHGMGFYYGHRWNKQRLLSSGSSSGFCLSKGKVPLLSLEALHQLHIFIFVLAVVHVVFSASTMVLGGAKIRKWKHWENAIQAEISKEEIQKKNESKIEQNEKEHNDKEYDDKKHEPTEQDVQTHNHHHEFVKERTLGFWQQLVVVSWMISFFKQFYSSVSKSDYRALRAGFVMRHSSNKAYDFHKYMMRALEDDFKKVVGISWYLWLFVVIFLLMNVHGWHTYFWLSFLPLILLLVVGAKLDHIITKLALELQEKPRGPKGETQHVKLSNEHFWFKKPGIVLYLIQFILFQNSFEIAFFFWIWSTYGFHSCIMEGLGYLIPRLVIGVIIQVLCSYSTLPLYAIVTQMGEGFKESIFNATVQHTLHDWATQVKEKRKHQYGFLSFLNFHRKDKKNNNEIQMQTLASRAAEASHGIQQSASLQEIVVEDLHAV >Ma06_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11840453:11841512:-1 gene:Ma06_g17420 transcript:Ma06_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPSAAAAAAAAAACTGGGGVRPAAVLTVWRRSLLFNGNGFAVFDAKGNLVFRVDNYASGSKAEVLLMDAAGKPLLTIRRKKLSLKDQWLIYEGEEAANPRFAAKRHVSLLHHGALAHVTPCVSGAKPCHAYEIQGSYLQRCCAVVDDKRRQLMEIKRKEPAKGITFGLDVFRLIVQPGFDTSFAMAIVMLLEQMFGSPS >Ma10_p30980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37212444:37216007:-1 gene:Ma10_g30980 transcript:Ma10_t30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAHQYDAKMKELLNADGQDFFTSYDDVYESFDKMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYGLVQCQALVLGPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLLPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGINQFYVNVEKEEWKLETLCDIYETLTITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDVIMREFRSGSSRVLITTDLLARGIDVQQVSMVINYDLPTQPENYLHRIGRSGRFGRKGNAINFVTSDDDRMLFDIQRFYNVVIQELPSNVADLI >Ma03_p26480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30185422:30190028:-1 gene:Ma03_g26480 transcript:Ma03_t26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVAVMVFSTKGKLYEYSTDSSMEKILDRYQRYSYAERALVDADTESQENWCHEYEVLKARIEALQKCQRHLVGEQLDKLTLKEIQQLEQQLEAALRKIRSKKNNVLFDSIAELQRKERALQAQNSDMAKKLMEKDKAEELSQHRQTEQAAQAQASSSIPHLLSIESTINIRNHQPTGSVVEEAAEQSFARCNGAVLPPWMLRL >Ma05_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4365665:4369270:-1 gene:Ma05_g05750 transcript:Ma05_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVLLALRETGEEREVRVRSLFNFFDAAGVGHLDYAQIDSGLSALRVPAEYKYARDLLKVCDANRDGRVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALIKAGIDIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWERVCLVDIGEQAVIPEGISKHVNPSKYLIAGGVAGAASRTATAPLDRLKVALQVQTTRARILPAIKDIWREGGFLSFFRGNGLNVIKVTPESAIKFYTFEILKDFIVKGKGEEKSNIGASERLIAGGIAGAVSQTVIYPMDLVKTRLQTYACEAGKVPNLAMLTRDIWVHEGPRAFYRGIVPSLLGIIPFAGIDLAAYETLKDMSRTYILKNTRSDSLKCYADPGPLMQLGCGTISSALGATCVYPLQVIRTRMQAQRTNPSNNYYGMSDVFWRTLKNEGLLGFYKGIIPNLLKVVPAAGITYLVYETMKKSLSLD >Ma05_p05750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4365665:4369405:-1 gene:Ma05_g05750 transcript:Ma05_t05750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAKAVEERSGFSAKMDAAPAAEGEQRRRPDGCNPVKKPGPVSMDHVLLALRETGEEREVRVRSLFNFFDAAGVGHLDYAQIDSGLSALRVPAEYKYARDLLKVCDANRDGRVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALIKAGIDIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYWERVCLVDIGEQAVIPEGISKHVNPSKYLIAGGVAGAASRTATAPLDRLKVALQVQTTRARILPAIKDIWREGGFLSFFRGNGLNVIKVTPESAIKFYTFEILKDFIVKGKGEEKSNIGASERLIAGGIAGAVSQTVIYPMDLVKTRLQTYACEAGKVPNLAMLTRDIWVHEGPRAFYRGIVPSLLGIIPFAGIDLAAYETLKDMSRTYILKNSDPGPLMQLGCGTISSALGATCVYPLQVIRTRMQAQRTNPSNNYYGMSDVFWRTLKNEGLLGFYKGIIPNLLKVVPAAGITYLVYETMKKSLSLD >Ma02_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17595310:17597055:1 gene:Ma02_g06670 transcript:Ma02_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSHEEMGSVKKGPWTPEEDRKLIDYVQKHGRGRWHRIPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEEEQLIVHLHSLLGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLMRMGIDPVTHRPTTDLSLLTSLSSLLSAGNLGYTTSHLETALKLQAEAALLVQSLIQALTSSSTSNMDLMNLFGSPSHTNYKAGDGCLGGSFNLQNSASVIPYWNKDSQPVPDSSFCMDEGGVTVWSASRTEERQSASSSPASNSTPLFASASSEAENVDLLKLSDSTYANSLSFTPFEAWENLNLDSLISDLGWKEIQDQMPWPNA >Ma10_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7996225:7996341:-1 gene:Ma10_g02540 transcript:Ma10_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQFVAFIFLECMLNNFVLAIVKLLLNCLCSACFSFK >Ma08_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1133931:1138734:-1 gene:Ma08_g01210 transcript:Ma08_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSRDSEERERGSFQDWDRRGYSREVPEYRSYPPPPQTYDPPSESYPPPGSRPRPTIDRRYSRISDNYHTLEQVTEALAQAGLESSNLIVGIDLTKSNEWTGKFSFGGRSLHHIGDTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDRDVFSFCPDGRPCNGFQDTLVQYRELLPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQFGHLSSQEQNTVDAIVKASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTEIMSKNIPQTRKEALFALEALMEIPSQYKATIEMGILGRRSGKSPQTIALPPPTGNHHAPSVGYTNYKSTTFQQSAPPYPGYETASSEHPSAPSSSFEDQVCPICLTNPRDMAFGCGHLTCSDCGPSLQTCPICRREIHTRIKLY >Ma00_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39338908:39339569:-1 gene:Ma00_g04580 transcript:Ma00_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHETADINTIWGVANCEASICVGRDTEVWQTPVSNMDPHVATSMIAETTILWKVYLQVISCLCLISTGSLPVGLVLLHYM >Ma00_p04580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39338908:39339569:-1 gene:Ma00_g04580 transcript:Ma00_t04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTSDGPWGAMRLLISTPFGELQTVRHRFVLDVTLKSGKQTTILWKVYLQVISCLCLISTGSLPVGLVLLHYM >Ma02_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20825394:20826517:-1 gene:Ma02_g11830 transcript:Ma02_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWVKSLHCKSSAVEDVVYPPTPSSKKPLLASVSCGNSSHAVKEVVSLVPKRPSSASSSSSFAEKHRSKTRAKHHTRPRPARPSKPPQTARVLVGPTHANPFPTLAELPAGHCSRRVVEIIFSSSWASSSSSGNGGGAAAFPGEIEMLFRVHNPARTVVRFEEYRAAVRARAHNDARCAADGNEMMRFYCGGGAGCVYDAGVACGAVCSAGRKVEGVRTFAGSGGAHEYGGGGAGRQSMLVCRVIAGRVRGESNPDSAAESVSVGNGELVVLDPRAVLPCFLIIYKL >Ma08_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9769287:9769607:1 gene:Ma08_g12750 transcript:Ma08_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYGGKDFYDVNCNNTSCPVNINLLCPQELRITAPDGGVVGCKSACLAFNTDEYYCRGQYGSPDTCKPSYYSQIFKNACPQAYSYAYDDRTSTFTYVGDNYDITFCP >Ma03_p24830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29058826:29062911:1 gene:Ma03_g24830 transcript:Ma03_t24830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLWARGAASYLRISTFHRGFSTVIKDLKYADTHEWVKVDGSSATVGITDHAQDHLGDVVYVELPEVGASVVQGKNFGAVESVKATSDVNSPVSGEVVEVNTELSGSPGLVNARPYENGWIIKVKMSDTGELNSLMDSEGYSKFCEEEDAKH >Ma03_p24830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29058826:29062911:1 gene:Ma03_g24830 transcript:Ma03_t24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLWARGAASYLRISTFHRGFSTVIKDLKYADTHEWVKVDGSSATVGITDHAQGKNFGAVESVKATSDVNSPVSGEVVEVNTELSGSPGLVNARPYENGWIIKVKMSDTGELNSLMDSEGYSKFCEEEDAKH >Ma00_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17741388:17744582:-1 gene:Ma00_g02430 transcript:Ma00_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRELADRSKYCRFHRQPGHDTEECRELKRQIEELIRRGHLGSYLRPDKELSPRPEGPIERHIEVITGGPTSGGSSMAGGRAYARASRAEASKPAKGPEVTFPTGEPEPPEHDDALVISARIANAQVGRIMVDTGSSADILYWDAFQKLGLVKENMKPVCSTLTGFTGASISSLGVITLPLTLGVFPKAKTVMTSFLVVDLPTAYNAILGRPTLNKTRAVISTYYQTIKFPTHDGVGEVAGNSWESRRCYLTAVSLNKRARVQSPLEDPREGKKPTPRPEPKESTIDLPLIEGRPDQTVKIGSGLPEQEQRQLVGLLQANADIFAWTPADLAGVHPEVALHHLNISSDARPVKQRPRRQAPDRQLAIREEVNRLLATGFIEEASLNNACPKDCYPLPKIDQLVDATAGHARLSFMDAFSGYNQIRMAPEDQEHTAFLTEQGIYFYKVMPFGLKNAGATYQRTVNRMFAHQIGRNMEVYIDDMIVKSRTAETHPSDLAETFDTLRKFGLRLNPAKCAFGVTSEKFLGFIIHERGIDANPEKIQAIINMQPPRTIRDLQRLNGRLVALSRFLSRSGDRCHPFFQALKDPKNFRWTAECEGAFEQMKLHLASLPRLASVSPGEKLSLYLAASRHAVSSVLVKENSGDQLPVYYVSHMLSGPEERYPPIEKLALALVLSARKLRPYFQAHPIEVITDQPLRLVLSKFDVAGRLLKWAVELGEHDIQYMPRTAIKAQSVADFIAELTPNTGEELEPPRDTWTLHVDGSANAKGAGAGLVLVTPDGRSIERSLRFGFRATNNEAEYEALLAGLQLALEMQVTDIRVITDSQLVARQLDGEYEARDPTMAKYLAQVKSLAAKFACFELSNVPRSENQRADTLAKLASGPAPWARPETEELPRRAIEVVATVAHGTPATWVQEMLRFKRDGTLPDDPTTARRLRRTQAWYTEEGGRLYKQSFSRPLLRCLEPSEAWTVLSDMHEGACGEHMGERALAHKVLQQGYYWPTMRQDAKAFVRRCSSCQEHARTARRPAVLFTPVDCA >Ma06_p25770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26272510:26274438:1 gene:Ma06_g25770 transcript:Ma06_t25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLSTDQISELQEAFCLFDKDGDGCITLEELATVIGSLGQYPTEQELKDMIREVDINGNGTIEFAEFLNLMARKMKETDAEEELREAFKVFDKDQNGYISASELRNVMTNLGEKMTDEEVLQMIKEADIDGDGQVNFEEFSRMMMAV >Ma10_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15617475:15618323:-1 gene:Ma10_g04960 transcript:Ma10_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKCAICDGGFVEEMSGEGVDTATDPESNTYLSLWASFFTEMLDSDDDEEEEEGVMLGRRRRMSAISRLLVDVLDDAENEREPIIFIDNAILVLGSPDTNQSQGQSSVDGGTGVPLRDFLGLALDLALQRPEETDLNRHGTPPAQKAAVEAMPTVKIEESLSCLVCLEDLEISAEAREMPCKHKFHAGCILPWLELHSSCPICRFQMPTEESTSSSAGGNGDRVGVHDGSGNDSGGRDERGSRLPATGPFSVLLSLLRSHRSGDSSSSQPSSSSTGSNS >Ma07_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11672321:11675038:1 gene:Ma07_g15510 transcript:Ma07_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVSEAGGAGDERGRRKGRTTPPHVRALAGSLGGVVEACCLQPIDVIKTRLQLDRTGAYRGIVHCGATAARTEGVRALWKGLTPFATHLTLKYALRMGSNALLQSAFKDPVTGDLSNRGRVAAGFGAGVLEALVIVTPFEVVKIRLQQQKGLRPELLKYKGPIHCARMIIREEGILGLWAGAAPTVMRNGTNQAAMFTAKNAFDVVLWKKHEGDGKVLQPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQSRSGGDVKYKGMVHAIRTIFAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVTGLYEKSYLHRAHL >Ma06_p22970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20029362:20031911:1 gene:Ma06_g22970 transcript:Ma06_t22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g59200, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G59200) UniProtKB/Swiss-Prot;Acc:Q9FIF7] MLLSTQQLAVPFPPNLSSRSHASKKKSHGANQLIPLLQSCKSIGQIPQLHALVIKAGQDRNPLVLFKMLRLCSKLSSMDYALQVFETILDPDVYHYTALMEGHVALASPRSAIELYFRMVGEQIRPDAVVIAYVLKACASVSALPDGRQVHCQVLKLDLGRERPIKMRLMELYARCALFEDAKLVFGEMPGRDAVAATILVSSLSDHGLLEEARAVFDCVLDKDTVCWTAMIDGYVRNGLANAALDLFREMQRECVRPNEFTVVCILSACSQLGALELGRWVHSYVGKNNVRLNAFVGSALVDMYAKCGSLEEALVVFDEMEEKDVVTYNSMIAGLAMHGRSNEAVKVYEQMVRDGVRPTHLTFVGVLNACSHSGLVDVGFEVFESMAKDYELEPRIEHYGCVVDLLARVGRLEEAYEFAKGMRVEPDHVIWSALLSACKIHGHLSLGEKVAQILIDSEAADSGTFVLLSNVYSSFGKWKEAARIRATMRGKGILKEPGCSSIQVGNEIHEFLLGDIRHPRRKEIYTKLEDLNGVLRQEGFVPAKDVVLQDIEEEEKEWALAIHSERLAICFGLITTEPGTTLRIVKNLRVCSDCHSMIKIIAKVTGRRIVVRDRNRFHHFEDGSCSCGDYW >Ma04_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5324403:5324915:1 gene:Ma04_g07340 transcript:Ma04_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIFRFLKIVGVGFKARTEAEGRQLFLKLGYSHEFAGAVRSCKPPEVYKGKGIMYIDEVVKKKQGKKSK >Ma03_p26840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30417017:30417520:1 gene:Ma03_g26840 transcript:Ma03_t26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPSDSPNLMRHSAGAASAASTPGRRWAQIAEEKPKPKLAARVTEAAGETVAECAAVLCCCPCGLANLFFVAAVKLPAGLVRRALRLRRKRRAGYGKVKAGILRTRVGSFDDDDFSIHHGTFFMAMGAKEVWPAKAVSPELLQLEKEMTARFYSTGFWRSPSQKE >Ma09_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1449138:1453577:-1 gene:Ma09_g01950 transcript:Ma09_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSLLFASPPFLLPSPSFSKSYTSSRRSSFAEGHRQQSQPISAARSSPLPSSTSCHGLVFDVGPAPSWDSHEVGSPVVKRYVRDDEERWLMWYHGRGDEGDSIGVAVSGNGIHWERGSGPVTTSDDVGQVMRRSSDWWAFDTASVSPSDVLIMSSDKLTAPGAVYWLYYTGSSQEKLEIPGEDAGDQCRPRFVSLPGLAISQDGRHWARIEGEHHSGALFDAGSAGEWDALFAASPKVVYHGRGDLRMYYHSFDQRNGHHAVGIARSGDGIRWVKLGEVLGRGPAGSFDEAGARNAHVVRNQRDGSYLMAYEGVSVEGATRIGLALSSDGLKNWRRWGDEVILEPSTDEDGWDSRGVGAPCLLQIMEGGGEEWRLYYTGIGKDGRAGIGMAVSEGSELGSFKKWV >Ma09_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7603262:7603820:1 gene:Ma09_g11220 transcript:Ma09_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVGLQKRVGGVDEDDFWGAMGQKSSGRRGTQQDEAKLFLEPEREEGGAGSTEIKIRISKKQLEELLRGTRGKERPLQQLLADLISMGETWEHHDQETHWRPSLQTIPEVPE >Ma05_p28860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39700861:39706236:-1 gene:Ma05_g28860 transcript:Ma05_t28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MAAHSRGHICHCLGRIEAVRRDSPVAISGLRRRTGEELVKGVRSLARGLADSGVARGDVVAVAALNSDQYMELFLAISYVGGIVAPLNHRWGFEEARMAMELVKPKMLAVDEYCSPWAVELQTSDSLSSIKLYLLIGESSSSFNCNHSSLRLNLIQRLDKPMPIIDPICAPEDIALICFTSGTTGKPKGVKISHTALIVQSLAKIAIVGYGEDDVYLHTAPLCHIGGITSCMAMLLAGGCHVFIPKFDAKLTFEEIKNHQVTSFITVPAMMADLISFIRKSNMWSDGETVRKILNGGGGLSEELTKCASHLFPHAKILSAYGMTEACSSLTFMTLFDPMFKNVGVSFHDKINVEADSRHHHLGGVCVGKPPPHIELKISGSSDNCCTSFVGNILTRGLHVMVGYWDSTDVIMAESAENRWLDTGDIGWLDGKGDLWLVGREKGHIKTGGENVYPEEVEAVLSQHPGVFRVVVVGVPDSRFTEKVVACLNIKEGWKWVDHSSKYSLEAKEVSPEIFQDHCRQSNLSRFKIPKIYSLWRRPFPLTTTGKLKRDDIRKEVMSSMQPRSNL >Ma08_p26060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38696173:38699050:1 gene:Ma08_g26060 transcript:Ma08_t26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEKKREAVMAEEEKRREAGRHELAESLADLFTSISAMIKGELEGTNNQLLLLEKMNQRVAEEYNGYGDVAAGLRVFVEQLCEKNHGFEEYVQQIEKIDQQLTEFEAVVSMLDKYVSLLEKKVQSAHHNIPT >Ma04_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26943644:26967982:-1 gene:Ma04_g25140 transcript:Ma04_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASWSPSAAAARSQDAWDCLLPGPPSRSNGGSADCSPSGLLAYGAGSCVVVADPRSMQLVCVLPMPPSAAASAHASLAPFVTAVRWTPQPLLRDLSSYDDPSTSHLRLAVGDRQGRIAIWDLRSRQIILWLDLDAASSSATSTRLGIQDLCWIRSDSWLLAVIHGPSLLALWDAASGRCLWKYDASPEYLSCIRRDPFDSRHFCTLSLRGFLLSAIALGGGDGGDVSLQELRIAGMTDSSFDLQKLEKESSSGSASSSPPALALFPLFFARLCFSPIWRHILLITFPKELIVFDLHYGTTLSSSPLPRGCSKFMNLMPDPELDLLYCVHLDGKLSIWKRKEGEQLHVLCGVEELMPSIGTVIPTPAVLAVNSCQSESSVQNIGLFCTDPSSYTQALLSEECVPPKSSNKEMDIPLKTCLVSISDDGKIWNWLVTSDKGVPDAVKEPAPVNGSCPPSTKPRFMEPELPMKISLMGQLHLLSSTLTTLAVPSPSLTATLARGGNNPAPAVPLIALGTQSGTIDVVDVSASAVTVSFSVHSNAIRGLRWLGNSRLVSFSYGQVNEKGGGYTNRLVVTCLRSGLNRPFRLLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPSAPRPIQNGSSKEISASKELTSNANASTNALSTDAKTTSSETPTDDISESFAFALVNGALGVFEVHGRRIRDFRPKWPSTSFASSDGLITAMAYRLPHVVMGDRLGNIRWWDVTTGLSSSFNTHREGIRRIKFSPVVPGDNSRGRIAVLFYDNTFSIFDLDSSDPLANALLQPQSPGTLVLELDWLTMRSERNEPLVLCIAGADSSLRLIEVNIHNAKSSSGTKSRVLKERFRPIPLCLPILFPTAHALALRTILQLGVKPSWFNLNSATTDILPFHIPETDTASPRDLRSFMIESVLPSVGDTVVPELLLKVLEPYRKEGCILDDERVRLYASLANKGSAVRFAFAAAIFGEVSEAMFWLQLPHALCHMLERSANKSQQGVPQPSISESESALLNRIASREKSAGMVTSEAVKYGQLNMMAFKQEDLWGNANERISWHAKLDGEEAIQKRVHELISVGNLEAAVSLLLSTPPEGSQFYPNALRAVALSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQESCSQLQDAGCWTDAATLAATHLRGADYSRVLQRWADYVLRSEHNIWRALILYVAAGALAEALTALRNARQPDTAAMFMLACHEIYTQISSESQTSVEAFASVDENRSFRLPSRNLEDEDLKAVSEFYGEYQRRLVHLCMDATPSFD >Ma06_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25404999:25407429:-1 gene:Ma06_g25140 transcript:Ma06_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPSFLRHGVLRLPPGFRFHPTDEELVVQYLRRKVFCCPLPTSIIPEINLAKLDPWDLPGGCEEERYCFNLREAKYRNGSWSNRAARSGYWKATRKDKQITSPRCSQVVGMKKVLVFYRGKPPTGTKTDWVMHEYRLAGTESTAQRHNSTHSGDWVLCRIFKKKRATKMDVETEEGDELPVTNSGIDFMGQRDRDQNHSSSLSESSCITELSDGSSNGEETSSRSSIPQGSEP >Ma03_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9285816:9287827:1 gene:Ma03_g12000 transcript:Ma03_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G36980) UniProtKB/Swiss-Prot;Acc:Q9SJK9] MGRNVSTERWYLISTTSWLVRLARSGRISAARKLFDDMLERDTVAWNAMLTAYFHSSRPLQTLTLFSHMRSCGPPPDPFSFTAVVAAAAELRDLRCGRKLHALLFRVGLLSSLPVSNSLIDMYGKCSRPADAARVFEGMEERNEVSWCSFLHAYVNSGQGKDAHELFVAMPLKTTVAWNVLMVGYAQLRESESCLQLFREMQMRGSEGDATTFASLINACSEMASPRFGCMVHAVTVRRGWNDATEVNNSMLSLYANFGFHEDALKIFECMVSPTVISWNAMIDASIKIGDVQGALSLFRRAPETNIVSWTTMIAGFARRGYGEEALAFFVDMARNSLGPDDFTYGAALHACAVMAVLGNGRMVHCCAIRSGFDEYLYVANGLVNMYAKCGDIDSSSKVFDGIREKDLVSWNAMLLGYALHGWPSRAFQVFDKMSAHDVRPDKVTFMGLLMACSHSGLVEQGRAVFEMMESVHGLLPDAEHVTCVVDMVGRAGWLKEATALLESCSKAVDVGIASSSEALLSACAARGDVSIGRNAGKDLIDLAPQKEAGYVMLSNLYCVDGQWKEAEKVRRAMIERGVKKSPGCSWIQTRDMLAVFVSGSQSPDGLADVRDTLELLGAEMRNPTTVWSSGEL >mito2_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:592405:592992:1 gene:mito2_g00100 transcript:mito2_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPRIVGEEHYER >Ma07_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16099407:16104331:1 gene:Ma07_g17010 transcript:Ma07_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGCSVSSLAARFAFFPPDPPTYSVKKDDGGRLAASGVPRDHSMDVLVLDTKNGNKIVAFYLKNPCARLTVLYSHGNAADLGRLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSEYNTYADIEAVYQCLQTEYGVSQEDLILYGQSVGSGPTLHLAARLPRLRGVVLHSAILSGLRVVCHVNFNFCFDIYKNINKIKKVKCPVFAVHGTEDDVVNWLHGHGLWKLAKEPYEPLWIKGGGHCNLELYPDYIRHLRKFIQEMENITTATRLKKIRQTLKSPTKPVATTSAATTTSFTANCCCRIRFRKPDFPSCSSRRCPTVQCSNGSAYLCNWCCGGDGH >Ma05_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7575401:7577905:1 gene:Ma05_g10520 transcript:Ma05_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKPAARVEVEAEGASVVKDVEEEKTAIVPASEEKPDDSKALAIVEQVVEPSANDRDTALARVATEKRLSLIKAWEENEKVKAENKALKKMSSISAWENSKKAEVEAELKKKEEELEKKKAEHAEKVKNKIALIHKEAEEKRAIAEAKHGEEALKAEEKAAKYRATGLTPKRIFGFF >Ma02_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21267842:21268143:1 gene:Ma02_g12620 transcript:Ma02_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLEVACWGTFVIYDCTHCYLHHGHPSKHPAKHLKRYHLNHHFKVQNKVFGITSSLWDIIFGTLPPAKTSHQKN >Ma08_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1231197:1233043:-1 gene:Ma08_g01350 transcript:Ma08_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVMAPGASKAYPGKMTLYVFVTCLVASSGGLIFGYDIGISGGITSMDTFLQKFFPKVYYKEKHTLSRNQYCEFDSQLLTTFTSSLYLAALVASFFASAVTRAFGRRISMLGGGIIFLGGAAINGGAKDVAMLIIGRILLGIGVGFASQSVPLYLSEMAPAKLRGMLNIAFQLMITIGIFIANVVNYATNKIEGGWGWRLGLGLAAVPAIIITVGSLFLPDTPNSLIERGHNEQAMSMLRKIRGTDDIKAEYDDLVAASEDSQLVKHPWSTLLQRKYRPQLCMSLLIPTFQQLTGINVVMFYAPVLFKTLGFGDDASLMSAVITGLVNVVATFVSIITVDKVGRRALFLQGGVQMVISQILVGTLIGIKFGANGQGEITKTYAVLVVVCICVFVTAFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMLFTFVVAQIFLPLLCHLKFGLFYFFAAWEVAMTIFIALFLPETKNMPIEEMSLVWKEHWFWSRFVNNDTDMEAARRNRG >Ma07_p21420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29536801:29541741:1 gene:Ma07_g21420 transcript:Ma07_t21420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPRTAALHGVAPLPRLRLPSLTSCGSRRVNVRAKVEPSEKSVEIMRKFSEQYARKSETYFCIDKGVTSVVIKGLADHKDMLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLNPDNDFAGKEQSITLEEIKEAISQI >Ma07_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29536816:29539986:1 gene:Ma07_g21420 transcript:Ma07_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPRTAALHGVAPLPRLRLPSLTSCGSRRVNVRAKVEPSEKSVEIMRKFSEQYARKSETYFCIDKGVTSVVIKGLADHKDMLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERCFRIMYYMLKNG >Ma07_p21420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29536801:29541741:1 gene:Ma07_g21420 transcript:Ma07_t21420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPRTAALHGVAPLPRLRLPSLTSCGSRRVNVRAKVEPSEKSVEIMRKFSEQYARKSETYFCIDKGVTSVVIKGLADHKDMLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLNPDNDFAGKEQSITLEEIKEAISQI >Ma07_p21420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29536801:29541741:1 gene:Ma07_g21420 transcript:Ma07_t21420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPRTAALHGVAPLPRLRLPSLTSCGSRRVNVRAKVEPSEKSVEIMRKFSEQYARKSETYFCIDKGVTSVVIKGLADHKDMLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLNPDNDFAGKEQSITLEEIKEAISQI >Ma03_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24754869:24763671:1 gene:Ma03_g19440 transcript:Ma03_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLVHSAILPSAVMTRSQSQLRGSGKAKRGAKMVRSMRMHPLRLQSFAGLRAADNLDFSSRCQQDFHSVVSRYISNPRGKATRGVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMVGESTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIQILRGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELDKELRQITKEKNEAVRGQDFEKAGELRDREMELKAQISALIDKGKERSKAESEAGDAGPVVNEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKVKDIELQVTERFKDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEIKEGDSAIVDVDTEGNVTVLNGGSGVPESIPPAIPV >Ma03_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1563549:1564882:1 gene:Ma03_g02300 transcript:Ma03_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seipin-1 [Source:Projected from Arabidopsis thaliana (AT5G16460) UniProtKB/Swiss-Prot;Acc:Q9FFD9] MDGETHHHVRPRRRALLSAVTPPSSSTVEDTKYKEDDYSDDQLLTVPAGWMIKLVAIQVELITSCFLSLIAPFLYLYFQSQAVPSRFAHGVTALLRRLTFGILGAVCAMVILLAVMVVSVLLGVGLARLCVEEPVLLRQPLHFDYTQVHPNATVALRGAWRRGRAVPAGHSVSVSLMLLLPESDHNLRIGVFQVHAEVTSSTGNVIATSSQPCMLRFQSHPVRLMRTFVMGFPLLLGISSESQRVAIEMLGYKETRTRSEMVRVKLKPRAGTTELPQLYDAEVFMKSQLPWAKEVAHNWKWTFYVWTSLYMYILLLILLVCCFKPFVMPRLRRYGAGGLAEVKKDSMDDHRIEREMSDKRLSDALRALRQRGKRKALLRPELVEGAASSVAGGEAMAASEVIDDSGDFAASESSECVGG >Ma08_p27920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40020699:40024155:-1 gene:Ma08_g27920 transcript:Ma08_t27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKERESFVYIAKLAEQAERYDEMVDAMKKVAKLDVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEETKGNEHHVKSIKEYRHKVESELSNICADIIALIDEHLIPSTSAGESSVFYYKTKADYYRYLAEFKSGNERKENSEQSLKAYQAATSTAEADLAPTHPIRLGLALNFSVFYYEIMNSPERACHLAKEAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPDDGAVEDAIKESNGKPGVVDDAE >Ma08_p27230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39534194:39540097:1 gene:Ma08_g27230 transcript:Ma08_t27230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRVLVPASTNILYRLTSWRRIASRSASSFHQPCVGTARSNEENADINWDDLGFGHVPTDYMYVMRCSRDDKFSSGVLNRYGNIELSPSAGVLNYGQGLFEGLKAYQKQDGSGFLLFRPEENARRMQRGAERMCMPSLSVVQFIHAVKETVLANKRWVPPQGKGSLYIRPLLIGIGPVLGLAPAPDYMFLIYAAPVGTYFKEGLAPINLVIDDKIHRATPGGTGDVKTISNYAPALKAQTEAKTKGFTDVIYLDSINKKYLEEASSCNLFIVKGDVISTPATMGTILPGVTRKSIIEIAIDYGFRVEERLVSLEDLLDADEVFCTGTAVVVAPVSSITYQDQRYEYKTGTETVTQKLYNILTAIQMGLVEDRKNWTVEIK >Ma08_p27230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39533986:39540099:1 gene:Ma08_g27230 transcript:Ma08_t27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSMDRSDARDFGPNYWDTDYVNLRGIQRTKKQTLLMVTDGNRLMMVKVLRQPDGVEQETGERQRAAVKAEVMYSPPACMFISWTCFSTTFVRPRLRWCVVSDSGEAMSARRVLVPASTNILYRLTSWRRIASRSASSFHQPCVGTARSNEENADINWDDLGFGHVPTDYMYVMRCSRDDKFSSGVLNRYGNIELSPSAGVLNYGQGLFEGLKAYQKQDGSGFLLFRPEENARRMQRGAERMCMPSLSVVQFIHAVKETVLANKRWVPPQGKGSLYIRPLLIGIGPVLGLAPAPDYMFLIYAAPVGTYFKEGLAPINLVIDDKIHRATPGGTGDVKTISNYAPALKAQTEAKTKGFTDVIYLDSINKKYLEEASSCNLFIVKGDVISTPATMGTILPGVTRKSIIEIAIDYGFRVEERLVSLEDLLDADEVFCTGTAVVVAPVSSITYQDQRYEYKTGTETVTQKLYNILTAIQMGLVEDRKNWTVEIK >Ma01_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10254446:10257174:1 gene:Ma01_g14010 transcript:Ma01_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFNSFMSRWKPVTFEESLTFVKKVKARDYQLYLSLFDIVSRNEQMTPDIYDDLLLLFESHNDLQKELMRFKPLVVERMIDNDVLSGMALLLVLILFLSLFILFEQPLKYAFQQVFGT >Ma05_p06180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4601166:4607086:1 gene:Ma05_g06180 transcript:Ma05_t06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPEGSQFDARQYDAKMNELLSQDGQDFFASYDEVFDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCAGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGLFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTVDDERMLFDIQRFYNVVIEELPSNVADLI >Ma04_p38560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36028815:36033558:-1 gene:Ma04_g38560 transcript:Ma04_t38560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSYIYQAGWLVRNYLLADHLVACTSVLVGIYMCKMAYDVTHMISSLYYKGYASLTKIQRIEWNNRGMSSAHAIFITVMSLYLVFFSDLFSDCTEGPVIFRSSSLSIFTLGVSVGYFVSDLAMIFWLYPSLGGIEYVLHHLLSATAISYTMLSGEGQLYTYMVLISETTTPGINLRWFLDTAGMKKSSAYLVNGVMMFIAWLVARILLFIYLFYYIYLYYDQIRQMHTLGYLLTLLVPAALFIMNIMWFTKILRGLRKTLAKRQ >Ma04_p38560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36028815:36033558:-1 gene:Ma04_g38560 transcript:Ma04_t38560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSKTQVMAEQSYIYQAGWLVRNYLLADHLVACTSVLVGIYMCKMAYDVTHMISSLYYKGYASLTKIQRIEWNNRGMSSAHAIFITVMSLYLVFFSDLFSDCTEGPVIFRSSSLSIFTLGVSVGYFVSDLAMIFWLYPSLGGIEYVLHHLLSATAISYTMLSGEGQLYTYMVLISETTTPGINLRWFLDTAGMKKSSAYLVNGVMMFIAWLVARILLFIYLFYYIYLYYDQIRQMHTLGYLLTLLVPAALFIMNIMWFTKILRGLRKTLAKRQ >Ma04_p38560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36028746:36033558:-1 gene:Ma04_g38560 transcript:Ma04_t38560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSYIYQAGWLVRNYLLADHLVACTSVLVGIYMCKMAYDVTHMISSLYYKGYASLTKIQRIEWNNRGMSSAHAIFITVMSLYLVFFSDLFSDCTEGPVIFRSSSLSIFTLGVSVGYFVSDLAMIFWLYPSLGGIEYVLHHLLSATAISYTMLSGEGQLYTYMVLISETTTPGINLRWFLDTAGMKKSSAYLVNGVMMFIAWLVARILLFIYLFYYIYLYYDQADAHVRLPSYFAGTRSTIYHEHHVVYKDSKGTQKDTSQAAMIVDVHKFPV >Ma04_p38560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36028746:36033558:-1 gene:Ma04_g38560 transcript:Ma04_t38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSKTQVMAEQSYIYQAGWLVRNYLLADHLVACTSVLVGIYMCKMAYDVTHMISSLYYKGYASLTKIQRIEWNNRGMSSAHAIFITVMSLYLVFFSDLFSDCTEGPVIFRSSSLSIFTLGVSVGYFVSDLAMIFWLYPSLGGIEYVLHHLLSATAISYTMLSGEGQLYTYMVLISETTTPGINLRWFLDTAGMKKSSAYLVNGVMMFIAWLVARILLFIYLFYYIYLYYDQADAHVRLPSYFAGTRSTIYHEHHVVYKDSKGTQKDTSQAAMIVDVHKFPV >Ma09_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35114050:35115343:1 gene:Ma09_g23190 transcript:Ma09_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEGSPSCESCRSAPSTVYCRADAAALCATCDASIHSANLLARRHQRVPVLLALAGGGLVVRPSLTLPYHIGVPVGLDESEREDDEEEEAASWLLLDPVKGNGQGAAALPPFAEVDEFLDLVGYNAGENQGSECCNGPMQQQQLQYSYETSEESECLVPNELHQLQQSLQMEHGASNGLNYSVSLSSVDASVVPDTSNTSHIRASKGTIHLFSPRFNPMDREARVMRYREKRKARKFEKTVRYASRKAYAETRPRIKGRFAKKSDAELEVDHMFSVAVLADSSFGVVPSF >Ma05_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:491063:494140:-1 gene:Ma05_g00790 transcript:Ma05_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSSLRSSSSPRVLFSSSHGVARRPLASAPSPLPPPEWIEPFLDVSDLTRHRGDPRNPSPWFPRVVSLVLASAPGSLPSDLASFCRRFLIRLSPAFVSHSLRSSDLRHRPDLALAFFRWAATQKKYPGHNLDSYVALIDILSCSDNKETSRIKELIAEIRARGNLLPAAPSAATSLIRSLGSTGMVEELLWVWRWMKESGVEPSLMCYNCLLDGLVNSMFVDSAEKVLEAMEANRVRPDVVSYNTLIKGYSKVGRRQKAVDRFVEMQEKKIQPDKITYLSLMQCHYSDGEFHECLVLYHEMEEKGLEIPTHAYSLVISGLCKEGKPFEGMAVLESMVRRGCKASVANYTVLIDSFAKSMNEDHAMMLFERMKNDGLEPDEVTYGVTINCLCKAEKLDKAMELFKFCKENGVLVNAIFYSSLIDGYGKAGLVDEAQGLFNEMIEQGFVPDSYCYNALIDAFGKAGRINEACALFKRMEMEGCDQTVYTYTILMDGLFKKHKNEEALKLWNMMIDKGITPTPAAFRALSKGLCLSGKFTRACKILDELAPMGIVPETAHENMINVLCKAGRFEQACQLADGIIGKGREVPGRVRTIMINALRKAGNADLAFKLVHSNIGIGYDRYGSIKRRVKFQTLLNS >Ma11_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26644808:26645236:-1 gene:Ma11_g23200 transcript:Ma11_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSNNSNESDAHKEKSIGRDILAPVASSVWNWSIVLAPPPCCTTTATTTTTWPDVALRVPPASLLEVGMVYVLWSGSVNSSTWESCHACLQEPCHAAVWQIACGIQQIVSSTVQVLCCKKIMFDGSLDDFYLPPALHNNDW >Ma11_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6427010:6427328:1 gene:Ma11_g08070 transcript:Ma11_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEQANNDWISCGNSLIPSDSSDVEAHEKYAREEPFTEEAAECLFEAA >Ma04_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2498531:2502070:-1 gene:Ma04_g03210 transcript:Ma04_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAARFSLCGLIRRSRRSASPVLRALSSNASGNLCRGGLPRFHSPSLPTSKGNAVRIQGDEFWHMTKVLRLGPNDRVELFDGKGGLVEGCIQSVSRTGLDIIALQEPQVVDPQGVQWHIYAAFGTLKGGRADWLVEKCTELGASSVTPLLTERSHTITENRVERLERVVLAAVKQCQRLHQMTLNPPMKFRKLLPMVSNSKFAFVAVAEATPLVNVLSESTVEDSGLLIVGPEGDFTNEEVKLLIEAGATAVGLGPCRLRVETATVALLSTLMLWSDAQKLQFPLQ >Ma10_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33335752:33339899:-1 gene:Ma10_g25010 transcript:Ma10_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKIKQYTNVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDGAGFPAVVTAHFVPVDGQQRPRTTILIKFAEEVLRRESRLG >Ma00_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35771122:35773355:1 gene:Ma00_g04240 transcript:Ma00_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLMHDPGLVPGLKLASEEDDQRAANSCSTSPDFSPEPISSPSRSVAFVIRSSSWFSRSFCWLLWWFPLEKFGSRFFTDRTRGHQASPCSFDESGPVCSDPLERVKKKD >Ma08_p06250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4224695:4248728:1 gene:Ma08_g06250 transcript:Ma08_t06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAKRTRELLASFYSTDPSAGGGAGVGSAASPRKMASPDSINSPSFDPDVYMSLLVQKLNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFINATDTIKRMKNNIVGMEANMEQLLAKITSVQSKSDLVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPTRLAKCIKAEAYADAVRFFIGSKPIFEAYGDSSFQDCKRASEEAIDLVIKNLQAKIYSDSEPIEARAEAVVLLKQLNFPVDSLKTKLLEKLEDYLMTLQDEHKETGASALDTLETSEPSDIGKLSDTILSLKTPTGTHMVSVGEVSKIIRAYLIIFPDSERRLIELIQELFTKRYGIIERRVKERMTSADILKMIRVIWEDVTLMDEVLAEAALPAFSLEAARSIIRQFISTSFSHLLLEVSEALAKSQPMPKKGSEESSLQNALEGSKKVVIQGSLDLLLEFRQLLDDNLELLAKLRDLIVDWVQEGFQGFFQKLDELFLALCGRGYIANPDSSVIDAIQVDKVQTGLVLVLAQLSVFIEQIAIPKIMEEIAASFSGGGARGYEHGPAFVPGEICRIFRSAGERFLLLYINMKTQKISILLKKRFTTPNWIKHKEPREVHMFVDLLLQELEAVGIEVRQILPQGLVRRHRHSDSTGSTNSSRSNPTREDKLTRTNTQRVRSQFLESHLAKLFEQKMEIFTKVQYTQESVISTVIKFCLKSLQEFVRLQTFNRSGFQQIQLDIEFLKNPLKEFVDDDAAIDFLLKEVIGAAHERCLDPIPLEAPILDKLISTKISKSREENQSSSLA >Ma08_p06250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4224695:4248680:1 gene:Ma08_g06250 transcript:Ma08_t06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAKRTRELLASFYSTDPSAGGGAGVGSAASPRKMASPDSINSPSFDPDVYMSLLVQKLNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFINATDTIKRMKNNIVGMEANMEQLLAKITSVQSKSDLVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPTRLAKCIKAEAYADAVRFFIGSKPIFEAYGDSSFQDCKRASEEAIDLVIKNLQAKIYSDSEPIEARAEAVVLLKQLNFPVDSLKTKLLEKLEDYLMTLQDEHKETGASALDTLETSEPSDIGKLSDTILSLKTPTGTHMVSVGEVSKIIRAYLIIFPDSERRLIELIQELFTKRYGIIERRVKERMTSADILKMIRVIWEDVTLMDEVLAEAALPAFSLEAARSIIRQFISTSFSHLLLEVSEALAKSQPMPKKGSEESSLQNALEGSKKVVIQGSLDLLLEFRQLLDDNLELLAKLRDLIVDWVQEGFQGFFQKLDELFLALCGRGYIANPDSSVIDAIQVDKVQTGLVLVLAQLSVFIEQIAIPKIMEEIAASFSGGGARGYEHGPAFVPGEICRIFRSAGERFLLLYINMKTQKISILLKKRFTTPNWIKHKEPREVHMFVDLLLQELEAVGIEVRQILPQGLVRRHRHSDSTGSTNSSRSNPTREDKLTRTNTQRVRSQFLESHLAKLFEQKMEIFTKVQYTQESVISTVIKFCLKSLQEFVRLQTFNRSGFQQIQLDIEFLKNPLKEFVDDDAAIDFLLKEVIGAAHERCLDPIPLEAPILDKLISTKISKSREENQSSSLA >Ma06_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15327843:15332972:-1 gene:Ma06_g21180 transcript:Ma06_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGRPLRVRGEAGMVADVVKRIRRCGEGGSTYGYILLYIALSSGQIFFNKWVLSSKQINFPYPVALTLLHMLFSSVLCFVLTKVFKIIKIEGGITSEIYLTSVVPIGAMFAMTLWLGNSAYLYISVAFAQMLKATMPVAVFFLGTAAGLEAMSCRMFVIMTVISIGVVVASYGEISISWIGVVYQMGGVVGEASRLIFMEIFVKRKGVRLNSISMMYYVSPCSALCLFVPWIFLEKPKMDSSGPWNFPPIILGLNCLCTFALNLSVFLVISRTSALTIRVAGVVRDWVVVLLSALIFSDSKLTIINIIGYGIAISGVVAYNNHKLKKEASQIKSDGTAKTEDQERSQDVQVVLIPQKENT >Ma05_p20830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32526962:32528922:-1 gene:Ma05_g20830 transcript:Ma05_t20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPLQLTKRNEEEIAMAVAAEEEEEEKLGFDTDKLSHEIFSILESKFLFGCDDTKLWVPAAPPLAPSSAVLPVAAAADSVKSQRGKVCVLCIDGGGGCGMRGILPGKVLAYLEHALKSKSGDPNARISDYFDIAAGTGVGGVLASMIFATRDGSLPLFRADDTWRFFVDRGERLFRKASPSPSSSGFLRCLFPGGGGSTTAAMERAMKEVFGEGLTLRDTVKPVLIPCYDLRSSAPFVFSRADALESESFDFRLWEVCRATWAELGRFEPAEISSVDGATSCVAVDGGLAMSNPAVAAITHVFHNKQEFPFVRGVEDLMVLSLGCGEVAVTEHLKLSRWGPKQEARPIARIAADGAADLVDHAVALAFGQCRSSNYVRVQANGWNTGMCSVDVDHDASLGNVKVLLEAAEEMLRQKNVESVLFGGKRITEQTNMEKLDWLAGELVVEHQRRNCRIAPTVAFKQAVTKSSMTNLSQAI >Ma05_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32526962:32529238:-1 gene:Ma05_g20830 transcript:Ma05_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPLQLTKRNEEEIAMAVAAEEEEEEKLGFDTDKLSHEIFSILESKFLFGCDDTKLWVPAAPPLAPSSAVLPVAAAADSVKSQRGKVCVLCIDGGGGCGMRGILPGKVLAYLEHALKSKSGDPNARISDYFDIAAGTGVGGVLASMIFATRDGSLPLFRADDTWRFFVDRGERLFRKASPSPSSSGFLRCLFPGGGGSTTAAMERAMKEVFGEGLTLRDTVKPVLIPCYDLRSSAPFVFSRADALESESFDFRLWEVCRATWAELGRFEPAEISSVDGATSCVAVDGGLAMSNPAVAAITHVFHNKQEFPFVRGVEDLMVLSLGCGEVAVTEHLKLSRWGPKQEARPIARIAADGAADLVDHAVALAFGQCRSSNYVRVQANGWNTGMCSVDVDHDASLGNVKVLLEAAEEMLRQKNVESVLFGGKRITEQTNMEKLDWLAGELVVEHQRRNCRIAPTVAFKQAVTKSSMTNLSQAI >Ma08_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27282840:27283502:1 gene:Ma08_g17850 transcript:Ma08_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKAYARAALAEAPRHGPEPNVTFPAETFEQNEHDDALVISARIANAQLRRIMVDTGSSADILYFDAFQKLGLSRDNMKPIFLALTGFTGDSISPLGAITLPLTLGAPSRSKTVMTTFLVIDLPAAYNAILGRLTLNKIRAVVSTYYQTVKFPTHAGTGEVAGSPRESRRCYLTAVSLHKRARVEPPLADPRETKKPAPHPESSGSTIDVPLLEDRPE >Ma07_p27600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33919914:33921363:-1 gene:Ma07_g27600 transcript:Ma07_t27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGEEEDVSSECSSGCQSGWTAYLDQSSYDSPQPLVYNKAGYLQEEEEEEEEEDLSMVSDASSGPPHFHEEDEPSFCYLHSSTCFEGGGCLCSALTPAAGSAKSGAKRKRVEPEQQREHSSLLDDTASSTLLSYPKTRFNGDSNSNNYLKPPMEGVLEFSCGFSATHFKRNHELHKQVGYLQSSSPVKPTPTRPVLTKEGGKKIW >Ma02_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24759208:24759471:1 gene:Ma02_g18140 transcript:Ma02_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRMEMQKIKIGRPGEEEEVAVGGWETPKRAEFRIPAAVRCPAPPKKKSPSVAFGKRGDPPKNGYFHPPDLEALFALFSRREACA >Ma08_p16860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:21191623:21192579:-1 gene:Ma08_g16860 transcript:Ma08_t16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKIKLSIQNLVLHSRSKTYRIAATISAFQTVFWGRYNLSDNLDSCGSIKLSPQSSSTTVFEGVGVTTGSGDAFPLTGCHYDGPAGLEDPSCISNWKNPKGYTISLDKRPAADGRDLREVQVDDNLAKLSEALYVAEHKAREAVAMRSKELREQEEQHKETIEERRERLQRDQIREERRRERERERRLENRDAAVAKKSKTTRDRNRDVSEKVALGMANNILGGEEVTIYDQRLFSQDKGMNSGFAAEDQYDVYDKDLFTAQPTVSTLCRPQC >Ma05_p30920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41091178:41096147:1 gene:Ma05_g30920 transcript:Ma05_t30920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPTLIQKSYSWPKTVVRKWLNLKTSEICSERSTEKNGDVQRRKSCSDKDSSVLRRRDLPVGGWLAEGRDNLEPPRVPSYSPTGSSPPIDNLRFQEIVPLNAGNVLGPEDKGPACKWLSLIRQVLNPPGIHGDASESDSPSAQKPRVSFSDLLSMELELEEPEDELASMNPSSSSGGESPDLYLGSITPHRGGYCLAASKQMVGIFLCVWVRASIMHRISALEISCVGRGIMGCMGNKGSISISMTLERTTFCFICTHLASGEKDGDEVRRNLDVAEIMKRTRFQQSHRIAGPAPYLPETILEHDKIIWLGDLNYRLASTNSDTHQLLQRNDWETLLQKDQLLIEQKAGRVFAGWDEGKIYFPPTYKYLANSDVYAVDQAKSREKRRTPAWCDRILWRGKGMKQMSYVRGESRFSDHRPVYSLFSVLMNDGMFDHGGVMTAEEHKAADVDSNSSSPLSSSSRGKVQAEELFLVATAPKPHGSRRVPNL >Ma05_p30920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41091214:41096147:1 gene:Ma05_g30920 transcript:Ma05_t30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPTLIQKSYSWPKTVVRKWLNLKTSEICSERSTEKNGDVQRRKSCSDKDSSVLRRRDLPVGGWLAEGRDNLEPPRVPSYSPTGSSPPIDNLRMVVGTWNVGGRPPHCGLNLRDWLMSTPSSPDIYVLGFQEIVPLNAGNVLGPEDKGPACKWLSLIRQVLNPPGIHGDASESDSPSAQKPRVSFSDLLSMELELEEPEDELASMNPSSSSGGESPDLYLGSITPHRGGYCLAASKQMVGIFLCVWVRASIMHRISALEISCVGRGIMGCMGNKGSISISMTLERTTFCFICTHLASGEKDGDEVRRNLDVAEIMKRTRFQQSHRIAGPAPYLPETILEHDKIIWLGDLNYRLASTNSDTHQLLQRNDWETLLQKDQLLIEQKAGRVFAGWDEGKIYFPPTYKYLANSDVYAVDQAKSREKRRTPAWCDRILWRGKGMKQMSYVRGESRFSDHRPVYSLFSVLMNDGMFDHGGVMTAEEHKAADVDSNSSSPLSSSSRGKVQAEELFLVATAPKPHGSRRVPNL >Ma05_p30920.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41091178:41096147:1 gene:Ma05_g30920 transcript:Ma05_t30920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPTLIQKSYSWPKTVVRKWLNLKTSEICSERSKKNGDVQRRKSCSDKDSSVLRRRDLPGGWLAEGRDNLEPPRVPSYSPTGSSPPIDNLRMVVGTWNVGGRPPHCGLNLRDWLMSTPSSPDIYVLGFQEIVPLNAGNVLGPEDKGPACKWLSLIRQVLNPPGIHGDASESDSPSAQKPRVSFSDLLSMELELEEPEDELASMNPSSSSGGESPDLYLGSITPHRGGYCLAASKQMVGIFLCVWVRASIMHRISALEISCVGRGIMGCMGNKGSISISMTLERTTFCFICTHLASGEKDGDEVRRNLDVAEIMKRTRFQQSHRIAGPAPYLPETILEHDKIIWLGDLNYRLASTNSDTHQLLQRNDWETLLQKDQLLIEQKAGRVFAGWDEGKIYFPPTYKYLANSDVYAVDQAKSREKRRTPAWCDRILWRGKGMKQMSYVRGESRFSDHRPVYSLFSVLMNDGMFDHGGVMTAEEHKAADVDSNSSSPLSSSSRGKVQAEELFLVATAPKPHGSRRVPNL >Ma05_p30920.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41091178:41096147:1 gene:Ma05_g30920 transcript:Ma05_t30920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPTLIQKSYSWPKTVVRKWLNLKTSEICSERSTEKNGDVQRRKSCSDKDSSVLRRRDLPGGWLAEGRDNLEPPRVPSYSPTGSSPPIDNLRMVVGTWNVGGRPPHCGLNLRDWLMSTPSSPDIYVLGFQEIVPLNAGNVLGPEDKGPACKWLSLIRQVLNPPGIHGDASESDSPSAQKPRVSFSDLLSMELELEEPEDELASMNPSSSSGGESPDLYLGSITPHRGGYCLAASKQMVGIFLCVWVRASIMHRISALEISCVGRGIMGCMGNKGSISISMTLERTTFCFICTHLASGEKDGDEVRRNLDVAEIMKRTRFQQSHRIAGPAPYLPETILEHDKIIWLGDLNYRLASTNSDTHQLLQRNDWETLLQKDQLLIEQKAGRVFAGWDEGKIYFPPTYKYLANSDVYAVDQAKSREKRRTPAWCDRILWRGKGMKQMSYVRGESRFSDHRPVYSLFSVLMNDGMFDHGGVMTAEEHKAADVDSNSSSPLSSSSRGKVQAEELFLVATAPKPHGSRRVPNL >Ma05_p30920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41093311:41096147:1 gene:Ma05_g30920 transcript:Ma05_t30920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFLIPSTPTTRNENICIGISAKEKAEDLGFQEIVPLNAGNVLGPEDKGPACKWLSLIRQVLNPPGIHGDASESDSPSAQKPRVSFSDLLSMELELEEPEDELASMNPSSSSGGESPDLYLGSITPHRGGYCLAASKQMVGIFLCVWVRASIMHRISALEISCVGRGIMGCMGNKGSISISMTLERTTFCFICTHLASGEKDGDEVRRNLDVAEIMKRTRFQQSHRIAGPAPYLPETILEHDKIIWLGDLNYRLASTNSDTHQLLQRNDWETLLQKDQLLIEQKAGRVFAGWDEGKIYFPPTYKYLANSDVYAVDQAKSREKRRTPAWCDRILWRGKGMKQMSYVRGESRFSDHRPVYSLFSVLMNDGMFDHGGVMTAEEHKAADVDSNSSSPLSSSSRGKVQAEELFLVATAPKPHGSRRVPNL >Ma07_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6059901:6061029:1 gene:Ma07_g08110 transcript:Ma07_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGLWSTEEDAKLKSYIEQHGIGGSWISLPKKIGLRRCGKSCRLRWLNYLRPNLKHGEYSEEEDEIICRLYLTIGSRWSLISTQLPGRTDNDIKNYWNTRLKKKLLGKQQKHHRQARRATAPQQEVKIRENGILVQLPPSEAHRSASPGMADSHGETSGSSSGFPSELDEILRFDSAAPQGLDYLYEARGMAEESSGTSTPEEESTNWDEMIPFMYPDWVHGGQEIFTMQ >Ma08_p33810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44005047:44014683:-1 gene:Ma08_g33810 transcript:Ma08_t33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHGWQLPAHTFQVVAITVFFLLVVAFYAFFAPFLGKHIFEYASVAVYTPVAIAVFILYVRCTRINPADPGIMSKFDNEFKHQRNKNPGMPNGTLPSNHENNASGAHSSPTSACRSSLDGSNRKVSAIEDATINMSTGPQRQSSACCSIGGFMCALFVKEDCRKLEDTEEQAGGEDALFCTLCNSEVRKYSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYVSFIALMATSLVWLAIECGVGIAVLILCFVDKKNMENNIEEKLGNGFSRVPFATVVAICTAVSIVACIPLGELFFFHMILIKKGITTYEYVVAMRAMSEAPPASADEEVPNLLYSPTNSATTGLSVGSSISIQYKGVWCTPPRVFVDHQDEIIPHLEPGMVPSTIDPDATGYAERANKSKKAVKISAWKLAKLDSNEAIKAAAKARASSSVLRPIDAHRVPDVDLSSSGNASGRSSLSMDYSATRESRGELKLSPLRSSYVQSLTSKDDYETRTQSASSMSSPVHIHESVALNSLPLPHPLPDRPPPFAARGQPQTSQHTNTMFQTATAIVRENKKVSVVWDQEAGRYVSVPGTNRIDNTMDVPARTSRVPLVNSSAEACAFERRASQPKASSSVVPPILAQERLTYSGQSIFFGGPLLNAPAKDTKQSDSSTRMWPETERASNTNRGGRERGQVVDSFPVFAPGAFQKNLPSK >Ma10_p13940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26537978:26547751:1 gene:Ma10_g13940 transcript:Ma10_t13940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MRLPAGVLILVLLIAAPRSIAGDGASPSNHTNKFRDREASDDMLGYPHLDEDSLLNTKCPKHLELRWQTEVSSSIYATPLITDINSDGKLEIVVPSFVHYLEVLEGSDGDKLPGWPAFHQSTVHSSPLLFDIDKDGTREIGLATYNGVINFFRVSGYMMMDKLEVPRRRVRKNWYVGLHPDPVDRSHPDVHDDLLVEEASAMNSMSYNSVNASKLEDEGKLDSTQSDQYNGSSINLNNNTKHDISMENVTTDNTTHIQRRLLEETDGKGAQDGHSETTTSAGATVENDQDLEEEADSSFDLFRNSEELADEYNYDYDDYVDESMWGDENWTEESHEKLEDYVSIDSHILCTPVIADIDNDGIQEMVVAVSYFFDREYYDNPQHLADLGGINIEKYVASGIVVFNLDTKQVKWTADLDLSVDSGNFRAYIYSSPTVVDLDGDGNMDILVGTSYGLFYILDHHGKVRNKFPLEMAEIQAPVVAADINDDGKIEIVTADTHGNVAAWTAQGEEIWEVHLKSLIPQGPTVGDVDGDGHTDIVIPTVSGNIYVLSGQDGSHVRPFPFRTHGRVMNQILLVDLNKRNEKLKGLTLVTTSFDGYLYLIDGSTACADVVDIGETSYTMVLADNVDGGDDLDLVVTTMNGNVFCFSTPSPHHPLKEWRSPNQGGNNVAVRSNREGIYISHASRAFRDEEGKHFWVEMEIVDKYRVPSGFQGPYNVTTTLLVPGNYQGERRIVVNQVYDRPGKQRIKLPTVPVRTTGTVVVEMVDKNGLHFSDEFSLTFHMHYYKLLKWLTVLPMLGMFAILVILGPQERAPLPSFSRNID >Ma10_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26537978:26547751:1 gene:Ma10_g13940 transcript:Ma10_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MRLPAGVLILVLLIAAPRSIAGDGASPSNHTNKFRDREASDDMLGYPHLDEDSLLNTKCPKHLELRWQTEVSSSIYATPLITDINSDGKLEIVVPSFVHYLEVLEGSDGDKLPGWPAFHQSTVHSSPLLFDIDKDGTREIGLATYNGVINFFRVSGYMMMDKLEVPRRRVRKNWYVGLHPDPVDRSHPDVHDDLLVEEASAMNSMSYVNGSMSETNTSISSDNSVNASKLEDEGKLDSTQSDQYNGSSINLNNNTKHDISMENVTTDNTTHIQRRLLEETDGKGAQDGHSETTTSAGATVENDQDLEEEADSSFDLFRNSEELADEYNYDYDDYVDESMWGDENWTEESHEKLEDYVSIDSHILCTPVIADIDNDGIQEMVVAVSYFFDREYYDNPQHLADLGGINIEKYVASGIVVFNLDTKQVKWTADLDLSVDSGNFRAYIYSSPTVVDLDGDGNMDILVGTSYGLFYILDHHGKVRNKFPLEMAEIQAPVVAADINDDGKIEIVTADTHGNVAAWTAQGEEIWEVHLKSLIPQGPTVGDVDGDGHTDIVIPTVSGNIYVLSGQDGSHVRPFPFRTHGRVMNQILLVDLNKRNEKLKGLTLVTTSFDGYLYLIDGSTACADVVDIGETSYTMVLADNVDGGDDLDLVVTTMNGNVFCFSTPSPHHPLKEWRSPNQGGNNVAVRSNREGIYISHASRAFRDEEGKHFWVEMEIVDKYRVPSGFQGPYNVTTTLLVPGNYQGERRIVVNQVYDRPGKQRIKLPTVPVRTTGTVVVEMVDKNGLHFSDEFSLTFHMHYYKLLKWLTVLPMLGMFAILVILGPQERAPLPSFSRNID >Ma11_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22187726:22188321:1 gene:Ma11_g16760 transcript:Ma11_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMFVGGRTGMCSNMLEAIPNPSLPARPTSLRPTSFCFQSARGSSQAFKRAGDYGGVVDRNAIKAKEYVEKVEAMGDRTKEAASSMTEAAKEKVKEKADSVAARVESADAARENAKEGVTKAKDETKSIVEKAKDKTEEAAAAVGEKAKQTMQYAWEAAKETTQKIKETVVGKDV >Ma02_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28928600:28928875:1 gene:Ma02_g24250 transcript:Ma02_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERERERTKSQSIFESCKQIS >Ma04_p38240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35854796:35859896:1 gene:Ma04_g38240 transcript:Ma04_t38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAFLVAAAVTKGERIDPYSDRKCWVFGMLDLGKTARLRVSEALIWFSFYRSSCSSNSFVAGFGVGREMELLRRIFVLLLLAIPCAAQLPSPDILALLAFKKGITHDPTGYVSGSWNEESIDLNGCPSSWNGVVCNGGNVAGVVLDNHGISGRADLSVFANLTMLLMLSMANNNLSGSLPDNLAELSSLECLDISNNAFSGELPSGIGKLRSLKNLTLAGNNFTGPVPESIGGLASIKSLDVSRNFLSGLLPASLTGLRNLVSLNLSHNAFSKSIPTGMELIPTLESVDLSQNQLDGGVDWNFLMQSSSVIHVDLSVNLLISSPKELKSLSDISETIRYLNLSNNRLTGPLIGVGISTFGSLKVLDLSYNQLYGELPGFNYVYDLEVLKLGNNKFTGLLPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLRILNLSSNAISGELPVVQGSCAVLDLSNNQFAGNLSVIAKWGNDLQYIDLSQNRLTGAIPDVTSQFLLLGYVNLSHNALVDVIPQVFVQYPKLTILDLSFNQLSGPILNDLLTSSTLQELHIENNMLFGNIVFSPSFSNKSNLRVLDISGNLFNGSFPESLGSLTGLQALDISANNFSGTLPSAVTELVALTSLDISLNHFSGPLPSSLPDTLVYFNASYNDLSGSVPENLRKFPDSSFHPGNSRLEFPGGTPGSANSPSESPGHRRMRAFVIAAIVAACVAALVILVLLAIILHYKRASRGSGSDKVSDKNYQKRSLPETAGGKSRESGGSLVISADDLMAPRKGSSSEILDPEEKMAAVAGFSPSKKSRFSWSPDSGDIYAQENLGRLDVRSPDRLAGDLHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQKKEFSKEAKKFANIRHPNVVGLRGYYWGPTQHEKLLLSDYVSPGSLASFLYDRPGRKGPLITWAQRLKIAVDVARGLNYLHFDRATPHGNLKATNILLDGLDLNARVSDYCLHRLMTQSGTVEQILDAGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGEEGGVDLTDWVRLRVAEGRGSDCFDPMMAADAANLVASKGMKEVLGIALRCIRPLSERPGIKSVYEDLSSI >Ma02_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28264702:28266694:-1 gene:Ma02_g23100 transcript:Ma02_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKIVEHLDVKDNDTQKAICSVVTVAAIASNRPRDDTWTDGYYRCLAAMDSDVVEVILGTLLGLIGLFLLITLFKARKKTHEHNKGTTTALGKVASKWSGLYRFSKAEIEKAINYSSSRIRLGTGSAGQVYQGILPSGQLVAIKHLYKTAMNGSFTREVEGLSKVRHPNLVSLLGYCDENGDKYLVYEYCSNGNLAHNLLRSDALLPWGKRVKILRDCSLALRFLHTHPDGCIVHRDIKLANILLMDNMEPKLSDFGLARMVGMKETKCFTEVRGTIGYMDPEYMSHGNLSCASDIYSFGVVILQLLSGRKVIELDTKARETLTRKAKDVSAGKRPLEDFVDPRLEGELNLEVFKSILNIAVLCVASSSKGRPTINDLVGEIERAYENTSANMVELVPFFLHSFHSVNAFPDDDFHLLCSLHKQGDRIMQHPYQALNLL >Ma06_p27510.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29494311:29497480:1 gene:Ma06_g27510 transcript:Ma06_t27510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSAAAVLPTCDLRRSSVSLRAAKLSAFGSLRVARLCRLATGTRRLSALPQRRVLPRIEAKKQAFSSFDELLEKCDKPLLVDFYATWCGPCQFMVSVLEEVSEKMKDKIQVVKIDTEKYTSIADRYQVKALPTFIIFKDGKPLDRFEGAMPAHQLIQRIEDAFKVKQ >Ma06_p27510.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29494311:29497480:1 gene:Ma06_g27510 transcript:Ma06_t27510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSAAAVLPTCDLRRSSVSLRAAKLSAFGSLRVARLCRLATGTRRLSALPQRRVLPRIEAKKQAFSSFDELLEKCDKPLLVDFYATWCGPCQFMVSVLEEVSEKMKDKIQVVKIDTEKYTSIADRYQVKALPTFIIFKDGKPLDRFEGAMPAHQLIQRIEDAFKVKQ >Ma06_p27510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29494311:29497480:1 gene:Ma06_g27510 transcript:Ma06_t27510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSAAAVLPTCDLRRSSVSLRAAKLSAFGSLRVARLCRLATGTRRLSALPQRRVLPRIEAKKQAFSSFDELLEKCDKPLLVDFYATWCGPCQFMVSVLEEVSEKMKDKIQVVKIDTEKYTSIADRYQVKALPTFIIFKDGKPLDRFEGAMPAHQLIQRIEDAFKVKQ >Ma06_p27510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29494311:29497485:1 gene:Ma06_g27510 transcript:Ma06_t27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSAAAVLPTCDLRRSSVSLRAAKLSAFGSLRVARLCRLATGTRRLSALPQRRVLPRIEAKKQAFSSFDELLEKCDKPLLVDFYATWCGPCQFMVSVLEEVSEKMKDKIQVVKIDTEKYTSIADRYQVKALPTFIIFKDGKPLDRFEGAMPAHQLIQRIEDAFKVKQ >Ma06_p27510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29494311:29497480:1 gene:Ma06_g27510 transcript:Ma06_t27510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSAAAVLPTCDLRRSSVSLRAAKLSAFGSLRVARLCRLATGTRRLSALPQRRVLPRIEAKKQAFSSFDELLEKCDKPLLVDFYATWCGPCQFMVSVLEEVSEKMKDKIQVVKIDTEKYTSIADRYQVKALPTFIIFKDGKPLDRFEGAMPAHQLIQRIEDAFKVKQ >Ma06_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:979128:983135:-1 gene:Ma06_g01220 transcript:Ma06_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGQWFTAVKRALVPQCCQSDLGRSIGKSKLSDSLLSKELEANAAQPLLSAPVEDVELTAKEDEHSKHAYSVALASAVAAEAAVVAAQAAAEVIRLTTLTTRLTGKSRREIAATKIQAVFRGYLARRSWRALRGLLRLRRLVDKSAVKSQTTNTLQCLQTLARVQTQLHSRRNSMTDQNQALQGHLQRKCGKQPVVKIGEGWNDSAQSKEQAETKLLNKQEAAVRRERALAYAFSHQWKSSTRSLHTQPSNPQWGWGWLAQWMAATPNNSAMEINDRAFTNSSNCNVMAQTRKHRDTSLDFTPSVAQKSSQSSSQESPATPRSKTPSTASTKKSVSPRDGQCSVDSDPRSKPSFQPEQRRRRHSIAGPLMTGGESLVSSPTTPSYMALTKSARARSRFHGTQSYQPETSEKGSISLVKKHLSFPAVAKSNVLSSARTRRHSWPVKIDIASSTEVATKKRV >Ma01_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15172844:15185030:1 gene:Ma01_g19490 transcript:Ma01_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDESGTLSSILAELDSSLRRHDGDSPLSEPSILGLQSLLDAAASGDAAFALWDLLAARGLPASALLRPLSASMDVSAPRLSLLSGRVYLSLLLSPSSPLYSLFNPLVFLSLLRSLRRALKPLPSTSAASATALPEASHDAPAPRRSARKRKPGRSAGSASTSVDQISDLSSLLPRVLELLDSVLCRVRLDNTPDAVKSLVDTVAKILSSSSGHHRLPDLCFLVLYRIVSKPEHGDQTTLAVEVLRSLTPMILSPAKSASRASALGFVTEKMVPLAQENDAVKEALVYLPRFLATKAPEKSELRVCAVDSIMVIVRAMKQEDQIRYADYVVKMTQGKPQLRLLAVDLILALLTLLPDPLGVKGSAQEFNDKAWGLTCLQALVQRCSDSSPGIRARALTNTAQLLGSLTGDSGNSARLWELSGISSVDFNELLWRRCQDDKAVVRKAALLLITKSTTIMRGPLDDLLLRTLSSACSDPLVSIRKAAVAALSEACRVFPDDRVIPEWLHAVPRLIVDNESSIQQDCENLFLELVLDKISQAAKINFGKDATDLESLLPKGILRLLKGICDSEVAPCVRKICSSLGKKERIKMSVASSLQNIITASESVWLGSSKPIEKWTAPPGTWQLLSEVSLFSPKAIEWEFLHHHWHLLDKISLEDQGKNSEEGDQSSFMWAGDRVHLLHTISNVSLELPPEPATELACNLLDRLKNFSMNLSEVDAHVKALKTLCKRKATKAEEGDLLILKWVHQLLSKALEILNSYISEASESSNINIFLTPPQNSRKKGKRDVSLLKSALQAVTAVFTVGSLILVCPSADLQGIVPVLHTIITSGNSEPKPRKFAGSTVSFKVVTPTLYIQSWVTMGKICLVDDKLAKRYIPLFVQELEKSDSAALRNNIMVAMTDFCVRYTSLVDCYMHKITIALRDPCEVVRRQTFILLSQLLQRDYVKWRGVLFLRFLLSLVDESEKIRHLADFLFGNILKAKAPLLAYNSFIEAIFFLNDCSAHSAHVESQGGLHARSRLFSIRGNDAKSRSQRMHIYVSLLKQMAPEHLLATSAKLCAEILAAASDGLLNVDDVAGLSVLQDALEILACKEMRIHPSRGSDSSEIDDDGGESAGSAVHAARGRVVTQVAKKNLIQIAVPVFIELKQLLQSKNSPLTGCLMECLRILLKDYKNEIDEILVADKQLQKELLYDMQKYETAKARSTVAEAIVNVQRSESYCSPNGRSSTGMYSKVSEKLGTEGKIASAVADAAARAKVRSVLKEANQNLPTPPLRSMSVPKLKSMGNGGVIVSDRPTHVLESLRRRQSFDSDEEK >Ma07_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9233256:9236128:-1 gene:Ma07_g12340 transcript:Ma07_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERDFLGMSGGKEDTKGSRHDAGSAVRWPFTNKASAMQQFMLHKAAQEERANKYRFDRLSSPTFHPVSVVDAFEADRSDFSALAQQRSFSLHDYQPQATTTFSSSTRQSSEAGTFPVVSHHSLPITTNSPFFKIHGTQSAPNIMITNLNQQPLAGVALNTPVVNTCVGALPSWNMPKPAPTSAQLTIFYAGTVNVYDDVSFDKAQAILMLASNGSNGASNASRSEAPLSVTPLVPPKISGSDGLNAKQILNPTPIRVASPCSVFSNPIPVTLHTVANSSSVSSTKNDSVGAKVVGTLSPSTQQGPPRTSSAAVGSITSAAIMPRAVPQARKASLARFLEKRKERVNDAMPYSCIKKTSEIGSGLESASASSISSLADVNVSSNREDSSDLRNQKSGISYGDSLSTKLRI >Ma06_p09490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6643116:6650067:-1 gene:Ma06_g09490 transcript:Ma06_t09490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQCKSYLPGYPISDLHEDANYSWSRFSEDKTFSRQMHNNFKLRGVSSWLDYDKEMLKRTMLEHEAIFQRQVFELHRLYRTQKELMHDIKKKHLNEFSGPMVISRSSMFASQMHPEFSESVWHLPHPPLLSTCQSTANVTDVDDRRNFLNFLEESATQCNSISAKNGGFVKDDRPKEAKLSRFPRRILDLHLPADAYIENEDTEHTEGKIDVEPCAMTAETINMIHGIEADNEVKLSLGSVEVPGFGKGALKLNLHSRNGLPTHSFADLNEPTEDSCERGTVGSGSCKFNGLNVDSKQLQRPWTTMRSKTSSPHTNPFMDKHGDQVVSSSYLNVDRADKGQEQQVLHNNCGQSKIAVSSYNSGLFNEKFPLSCGSIKLTLDTTQESHIPIAVQALPGSSASSMLNGQSKSYNAVKQSNNVGCEKVPCNVDLQPQSRLDNKFSSHVNSLHHGSQMNSTSFTGTHLLPCNLRMQSLGNNSDTSEYANSEIHEPRKCLKSLHFVDVKYAKDVQSNQAILCCSQSDLTDQQNLIRKHHESSKGIIWHREKPSPSGSTGIKECDTRLDLRFSRDYSQLIFTEFTEGVKDEGPSIFAAKQPMPSFDIKESRIQTSQTSDSLNSKRIFCLPENSCRNFSYSNSISYDVHSLADDAKDYGKGKAGRNFYPGLRNDINLNSDLTPIIDPQSFRMSAKGETQIPPSFPWPRVAGKPTCKIDLEAPADELEEENTFSGAKLVDINHSKTTVEISQEKISSQDPHVILAADAIVSMSMNVHKDSDKVACHPFAPSSCDSLYMLAELVTHDAESKGSGEGNCDASEDDGLDVFESMTLKLEELKADEYCCKPCRQEKPEDEKSMAALLLTKPRRGQARRRRQRRDFQKDVLPALASLSRHEVTEDLQALGGVTRSGKSWQISSARSTCQNSASFQTRGRRRPRDLAITAVEVTDSPPRTQPIHSELENDGRNIMAWGRTTRRCRRQRMPSGNAPAPQG >Ma06_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6643116:6650067:-1 gene:Ma06_g09490 transcript:Ma06_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQCKSYLPGYPISDLHEDANYSWSRFSEDKTFSRQMHNNFKLRGVSSWLDYDKEMLKRTMLEHEAIFQRQVFELHRLYRTQKELMHDIKKKHLNEFSGPMVISRSSMFASQMHPEFSESVWHLPHPPLLSTCQSTANVTDVDDRRNFLNFLEESATQCNSISAKNGGFVKDDRPKEAKLSRFPRRILDLHLPADAYIENEDTEHTEGKIDVEPCAMTAETINMIHGIEADNEVKLSLGSVEVPGFGKGALKLNLHSRNGLPTHSFADLNEPTEDSCERGTVGSGSCKFNGLNVDSKQLQRPWTTMRSKTSSPHTNPFMDKHGDQVVSSSYLNVDRADKGQEQQVLHNNCGQSKIAVSSYNSGLFNEKFPLSCGSIKLTLDTTQESHIPIAVQALPGSSASSMLNGQSKSYNAVKQSNNVGCEKVPCNVDLQPQSRLDNKFSSHVNSLHHGSQMNSTSFTGTHLLPCNLRMQSLGNNSDTSEYANSEIHEPRKCLKSLHFVDVKYAKDVQSNQAILCCSQSDLTDQQNLIRKHHESSKGIIWHREKPSPSGSTGIKECDTRLDLRFSRDYSQLIFTEFTEGVKDEGPSIFAAKQPMPSFDIKESRIQTSQTSDSLNSKRIFCLPENSCRNFSYSNSISYDVHSLADDAKDYGKGKAGRNFYPGLRNDINLNSDLTPIIDPQSFRMSAKGETQIPPSFPWPRVAGKPTCKIDLEAPADELEEENTFSGAKLVDINHSKTTVEISQEKISSQDPHVILAADAIVSMSMNVHKDSDKVACHPFAPSSCDSLYMLAELVTHDAESKGSGEGNCDASEDDGLDVFESMTLKLEELKADEYCCKPCRQEKPEDEKSMAALLLTKPRRGQARRRRQRRDFQKDVLPALASLSRHEVTEDLQALGGVTRSGKSWQISSARSTCQNSASFQTRGRRRPRDLAITAVEVTDSPPRTQPIHSELENDGRNIMAWGRTTRRCRRQRMPSGNAPAPQG >Ma06_p09490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6643116:6650067:-1 gene:Ma06_g09490 transcript:Ma06_t09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQCKSYLPGYPISDLHEDANYSWSRFSEDKTFSRQMHNNFKLRGVSSWLDYDKEMLKRTMLEHEAIFQRQVFELHRLYRTQKELMHDIKKKHLNEFSGPMVISRSSMFASQMHPEFSESVWHLPHPPLLSTCQSTANVTDVDDRRNFLNFLEESATQCNSISAKNGGFVKDDRPKEAKLSRFPRRILDLHLPADAYIENEDTEHTEGKIDVEPCAMTAETINMIHGIEADNEVKLSLGSVEVPGFGKGALKLNLHSRNGLPTHSFADLNEPTEDSCERGTVGSGSCKFNGLNVDSKQLQRPWTTMRSKTSSPHTNPFMDKHGDQVVSSSYLNVDRADKGQEQQVLHNNCGQSKIAVSSYNSGLFNEKFPLSCGSIKLTLDTTQESHIPIAVQALPGSSASSMLNGQSKSYNAVKQSNNVGCEKVPCNVDLQPQSRLDNKFSSHVNSLHHGSQMNSTSFTGTHLLPCNLRMQSLGNNSDTSEYANSEIHEPRKCLKSLHFVDVKYAKDVQSNQAILCCSQSDLTDQQNLIRKHHESSKGIIWHREKPSPSGSTGIKECDTRLDLRFSRDYSQLIFTEFTEGVKDEGPSIFAAKQPMPSFDIKESRIQTSQTSDSLNSKRIFCLPENSCRNFSYSNSISYDVHSLADDAKDYGKGKAGRNFYPGLRNDINLNSDLTPIIDPQSFRMSAKGETQIPPSFPWPRVAGKPTCKIDLEAPADELEEENTFSGAKLVDINHSKTTVEISQEKISSQDPHVILAADAIVSMSMNVHKDSDKVACHPFAPSSCDSLYMLAELVTHDAESKGSGEGNCDASEDDGLDVFESMTLKLEELKADEYCCKPCRQEKPEDEKSMAALLLTKPRRGQARRRRQRRDFQKDVLPALASLSRHEVTEDLQALGGVTRSGKSWQISSARSTCQNSASFQTRGRRRPRDLAITAVEVTDSPPRTQPIHSELENDGRNIMAWGRTTRRCRRQRMPSGNAPAPQG >Ma08_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33413859:33429564:1 gene:Ma08_g19660 transcript:Ma08_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVMPSSVASSRKKGHLELGKKKLEEFRKKKAAKQVVSAGQLQQSSDIGQYENPSKNNQLKGDEYSSGGDGTDVATTSGIMMPYEGKEGGSSQDSDVDSSTGMSVTSTAWNYDNHSSHENSIHEALKSRVSNSNESSTFSELANGYHNHWGEKIEHSGNEEPKVGSAAGFSIDQHIAFVPDITKPCIDGNVSNSGLQLHNNNKNSSRSHMQIMDVPSAYNMGTIPEKSESHSARKTLGRLSKSTNIYDVKQPFQSSNVENHGTVGVGGRIADAINRHLNVENSTWIAPEPSSAGFSSGFGNSSSDFTGYKTTFSRSRPFFLDSLGLPRVPSNILHGEPDSTVTPLPYDSSKFQKTEVQLASSLLQPSADSFTEQSLSLTTLDSFKENQLSLNTSASLNEEQHLKQGAREQDMPRDHEFPYLNKDADFAALEQHIEDLTTEKFSLQHALETAQGLAGSLASENSSITDSFNQQGKVINQLKSDMERLQEEIKAQMLALESVKLEYANAQLNCNAADERAKILASEVISLEEKALRLRSNELKLEKQLEKLNSEMTSYKRKVSILEKERQDFQSTVDALQEEKKALQSKLRKTSTDGRTKGVIENSSIKQDASTSTDDLDVKDGETSAEGTVLHSGINSVQDVRPSVALSNCTSQSSFVLSDRRVDLPDAYGDLPEDQLRMIENIKALISELSVEKEELVQALRIESSNCSKLKDLNKDLSQKLEGQTQRLELLTTQRMADENVVARPIDTRSTHDTTEYADEGDEVVEKVLGWIMKLFPVGPKRRNSKLL >Ma04_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6629525:6634871:-1 gene:Ma04_g09290 transcript:Ma04_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAILLQAMLQGSLQLLQIMCSLKQLLRRLQKQVLNHQILSWELILQRAMNGQVKFLSTAIAYMTLGILQTNEQAISIIGKTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPDNRPCNGFEEALERYRELVPNLRLAGPTSFAPIIETAVGIVDNTHGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTLSAIVKASDYPLSIVLVGVGDGPWDMMHEFDDNIPSRAYDNFQFVNFTEIMSRNFPASRKETEFALAALMEIPSQYKATIDLQLLGQRRGVPDRVCLPPPTRNPYSRSSSFEQGPGITRSYPPATSESSMEDKLICPICLWKSKDFAFGCGHQTCFDCGKDLQRCPICQSHITTKIRLY >Ma06_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23034404:23035955:1 gene:Ma06_g24540 transcript:Ma06_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRVTASFHEDLPQRPTSFQTTPSFVSLPPASSYLRPAAAGIARRVSRCVDDTEISIFDAERYFNDGHDPIDRTTSLNGAAERRDLLTRRIGSFVASTVGSSSSTLTASSEASWNSQCGLLSCSPGSVSVAMRALPPKEPRKSPSSAARRFFARRCPCSGGKSVDVEDKCSGLDMNTSSTAKSLRLRTGEVGLSSYPERESVMPEEIKNGFGVEEMIKVKINPGNRHKNPSFFRNSIQFLPERRFPAEIGRPMLNSGILFGDSGGFSFPILNPTSLTSAEEPPRESLEVFRPTKETAGDPPGFAYRAILKSPPEDDAASDASSDLFEIESFSTQTTYRPGGDSLDPHERLRRDLEEMPLPPSIAPSECYPPSEVSVEWSVTTAEGFDRASLANFSTSASDFGELRFSAATGGAPAGGRRRKCNGLLSCGNEKAVSVGPNPVRLAPSVRPHHVRRAMSHAVRTK >Ma07_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11003867:11005551:1 gene:Ma07_g14570 transcript:Ma07_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSPSSSSLSANQQGVSSSDDLPIQQSLLLSDSINGLRNMRSRLYSAAEYFELSYMNDDGKEMVMRSLKDYAVKAIANAVDHLGSVSYKVDNLLNYEVDEVSAATFEVSCMKQRLRTCQTRIDHECLSQQSLLIKPPKYHKHYILQGQSTADSGISMLKCQGFYPPKENSKLNHHQTGNIVFIL >Ma08_p29560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41116656:41122554:-1 gene:Ma08_g29560 transcript:Ma08_t29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLLTQSPRRSAATGLVALTALYGGAALAPSSSATLDGPFRPARYPTSRSSTVRTIPLSGSRKETSRENRDDGPSSPGCMQSDTIAKAAAAACPALVHVSVAQGPYGSGTIIDPDGTILTSASCVAESLNSRKVSKSKIGVTLQDGREFEGTVVIADFLSDIAIVKIQSETPLPTARIGSSSKIRPGDQVIALGTPQALQNTITSGIVSCTDRDSNDLGLGSVRREHLPTDCAINTGSLGGPLVNLDGEVVGVNTADGMSFAVPIDIVMKSIEQFEKNERVVRPRLGMKVRDLNKRKIAHFKKKDASFPDVIKGVQVLVVNPGSPAHHAGFRPGDVVIEFDKKPVGTAKEIIDIMEDQVGKTLEVLIKRADNTSMTLTVMPKEAKTMIDLPQEMLEDFSTKTVLRMFKFDFLYVIVVYQLLPFNMITHRKM >Ma09_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4633809:4639815:-1 gene:Ma09_g07160 transcript:Ma09_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASRRCVLQLGLLLLSGGFYLSSSAPEDARVTYLPGFNGTFPSAHYAGFVTVDEDHGRKLFYYFVVSERSPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFQSGVASGSLPQLHLNPYSWSKVSNIIYLDSPAGVGMSYSTDQSDYNTGDLKTASDSHTFLLKWFREYPEFLENPFYISGESYAGVYVPTLASEVVQGIKSGMTPTLNFKGYMVGNGVTDEAFDGDALVPFAYGMGLISTNLFQEVNTACEGSYWNPENEICETKLQKVDKALEDLNIYDILEPCYHRPEIRKVTVRHNKLPSSFRRLGETNRPLPVRKRIFGRSWPLRAPVREGHVPTWPELGSSVPCMDDEVATSWLNNEAVRSAIHAQPVSVVGPWELCTDNISFQHDAGSMIKYHKNLTYGGYRALIFSGDHDMCVPYTGSQAWTRSLGYQVLDEWRPWYFDKQVAGYTQGYEHNLTFLTIKGSGHTVPEYKPREALAFYARWLAGGSI >Ma03_p18940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24427600:24430649:1 gene:Ma03_g18940 transcript:Ma03_t18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVNFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAYDGYTLPKLYIKMQYCVSCAIHSKVVRVRSRTDRRNREPPPRFRRRDDLARPGQGPRPAGVGNPPPRP >Ma11_p23360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26730788:26735860:-1 gene:Ma11_g23360 transcript:Ma11_t23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRIVFSRIQNLDPEHAAKIMGLLLLQEHGEKEMIRLAFGPESLLRSLVLKAWKELGLVPDPSSSAPCTPFGGGSSASPFLRRQNSASRLPARGLPSPLAVSSPSSWRSDSSSGCNGLNGSSDEFQNSDELMSPGNLRASPFYGVGGDGGDLIDEFHRSDQLSFLSDAAAASDYSYPLSFASKHVGDVFQPDLECRSPSSNGDCTLFPYGVGSGVDGYYHRRSCSAADLGLGDPAAGFGWRPCLYFARGYCKNGTACRFLHGLPEEAVVAPSVVGGTKMDAVLEQQCQELLLRSKSQRIDGVSQLMASAFPYSQTGSVPPSPSLSSSNSLSFLLQQQQQNESQRATAVAAAAALMLGGDDTHKFVCRSRFERNDLMANPGSRQIYLTFPADSIFSEEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRKQQQHAERGGDFSMCMSPTALDATEAYDLQQLGARRLYNGSSQELLLRRKLMEQQQQAAELQRAIELQGSRFMNLQLNLNKRGLSNSPAIAVTQSLSDVDRSSNTSSSSSSSHEGSPTEEKSLSAVLPEEKPNSSDGLLQQKADKEESAGEPNPKEDSDFQPSIEHNLPDSPFASPTKSSSMLDSFTASEDVATSYIVNNSSTKDYLIASTLLPTTLSLDMPSFSSCFFQMPSRFSGQGEIGM >Ma11_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26730788:26735860:-1 gene:Ma11_g23360 transcript:Ma11_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATRIVFSRIQNLDPEHAAKIMGLLLLQEHGEKEMIRLAFGPESLLRSLVLKAWKELGLVPDPSSSAPCTPFGGGSSASPFLRRQNSASRLPARGLPSPLAVSSPSSWRSDSSSGCNGLNGSSDEFQNSDELMSPGNLRASPFYGVGGDGGDLIDEFHRSDQLSFLSDAAAASDYSYPLSFASKHVGDVFQPDLECRSPSSNGDCTLFPYGVGSGVDGYYHRRSCSAADLGLGDPAAGFGWRPCLYFARGYCKNGTACRFLHGLPEEAVVAPSVVGGTKMDAVLEQQCQELLLRSKSQRIDGVSQLMASAFPYSQTGSVPPSPSLSSSNSLSFLLQQQQQNESQRATAVAAAAALMLGGDDTHKFVCRSRFERNDLMANPGSRQIYLTFPADSIFSEEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKMILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRKQQQHAERGGDFSMCMSPTALDATEAYDLQQLGARRLYNGSSQELLLRRKLMEQQQQAAELQRAIELQGSRFMNLQLNLNKRGLSNSPAIAVTQSLSDVDRSSNTSSSSSSSHEGSPTEEKSLSAVLPEEKPNSSDGLLQQKADKEESAGEPNPKEDSDFQPSIEHNLPDSPFASPTKSSSMLDSFTASEDVATSYIVNNSSTKDYLIASTLLPTTLSLDMPSFSSCFFQMPRFSGQGEIGM >Ma05_p24770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36932626:36935680:-1 gene:Ma05_g24770 transcript:Ma05_t24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRIYTLLGLLLLAAVGYLNWSSSGGGGGRGGVRIPIPWLQPKMGFVGRNGTRFIDLEDGSPAYVNGWNSYWLMSSDSSDRVVEMLRRGRAMGMSVCRTWAFSDGGPNALQIYPGHFDERIFQALDFVIYQARKHYIRLILCLVNNLGAFGGKSQYVRWAQASGINVSTSTDPFFSHPTIKGYYKDYVKAIISRKNTYSGIRYRDEPAIFAWELINEPRCEYNSSGPLLQAWIAEMASYVKSLDEKHLVTVGLEGFYGPARNDRLGANPGSWAASLGSDFIQNSAIENIDFASVHAYPDSWIPKASLEEKVEYLSSWVDSHVNDSEHVLKKPVLFSEVGSHLRVKKNGTYDRDILLKIVYDKVYESAKKGQAAAGALIWQLMVEGMQSYQDEFSLVASEHPSTYKLIAQQSCRLRNLHMTKDNTSREVVQSCLEPPS >mito10_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000021.1:416252:418139:1 gene:mito10_g00050 transcript:mito10_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRFQACRLLLGNVRNRELSLIQRSILRRLRNKRRSIQINLSRRENRNCYIKSQTTRKLSLYYGDLPTRGLLRRGRERTSYIPFLLNQETRSDVILVRLHFSKTIPQARQPISHRKVSLNNGQVSITSSQVSHGDLISFPETIAEIQIRRSFYIDISVGKIIGKFLPVRMWRRTKTEWFRLLKTKRGCRLLLQSGFLQELRDSMQEEDLERTKKFGSAKVCLGSSFAEHNRMKKNLFHFKYFFLLKRRKEKNRNPPTLSICAFVDKYKVYCNSTYCSGSPFTRKIRIKRIELPTHYSEVNHRTPKAVVSYGPNLGNIPHDIRLKDPNLPL >Ma02_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21167725:21171806:-1 gene:Ma02_g12430 transcript:Ma02_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSDGPRGMKRRRRSSGSAFAVGAKVEVRSDEDGFRGAWYEATVVRQLSQRRFEVVYAFLVEDHDPSQPLREVVQRSNLRPQPPPLLAADAASSGGGRYSLHDLVEAFHNDGWWAGVIFAVLHGPTTSRYLVSFPTSREEVVFEESQMRPQLQWVRGRWVPVDEQRAEDPAFSSGAQVEVSRDRENYGAAWFGASVVEVFNSTTVLVEYENLKAENNDELLREIVDAQYIRTCQPNAALVKDFALNDEVEVLHHGGWVPGMISKIVNGSKYIIKMLHDENETEFGHAELRLRRFWNGQQWVFKSQMTSTALTEARSTGSKRNSHSGKRYHLPISVSTSSDDDDVDVDVTCEPGSTNLSLKHKIAEGQLECSQVCNNMKKVDSLEYSMGSPCSSLLVGYPATPMTEINLSVAMPMIEYSSSVEKHHSESPFLGEIADMANVGTTLPPNPLLDEHLNAHKNGLPKIPVRDEVSPRNSGNGSEHQSKVTQVSGAPISHEINGFFQYAEQRNSEGVHGSSLNITKGRRKLIIKAPRRLKMANSDDSVRQQAQDETQRRPSIVDSMRCFSSMCASIRCEPINSNKSANEVFTSDGSRDKNELSGCLCQATSPLCRQNDVLLLQGNTPILDVVGNSRIRCLVAQSLPDVVSSSLRTTCGGILNNARVYTVDQIIPSVIGESCTEINHNKAPSETVIFESMGALIDPISTGSPLKEELMPFSKTSSMWEPIESMEIFQVMPQQPHFHPLEQYSMEFREGMAIGLMISFANLVANIQQIHIDDAQATIEGRLRALSAFEANGFNVHCLRSRLQDLLEMHVNRRQCETRKAALKVKMLEKKDDNERLDSLIAAFDKAILELEQNLASFRDKKDSVIRERSSNDSEISKLQVNILEAEVTHNSAEENFKAILSAPW >Ma10_p30150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36512624:36521102:-1 gene:Ma10_g30150 transcript:Ma10_t30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHRDLGLSGAASLVFLLIVPLIAFVVRRRWRLASVRQAEVRRLARLAAEEAARAEMEAITVFFASSHVAASAAAAVAKDPSARPECPVCLSPATARCARCKAVRYCSGKCQIIHWRQGHKDECHPPQVSDDHNGELKFSGLKGVQAEHSGFFENSFEHRDELNTKAVEMVSDRPAASELAYSYNDINKKNKHEESGSMDVSGMETTSGPSVGTPDDTLTVGNLLPLHDSRPGESSSFDSSTSSLGTVANASYVDPSKSLTSEPGRSSPLANNTSCFSNIKEKASTCKAEADKFMSNEPSGLKATSSFDHTSTKHPEVAGSQITSPRGVGNGIHPDTFSRSEKISSHAFESLEAKSQLEHKETLTSNTEILGSVVNGTSTDIQSLNSRTLRPLAYASDQLSSNGGAHPVVCYESSKVSNAPRGPVGSPDTYGSIANGMSTSVKRVVKEFTSSKISRLYSSELMLFPYDRFIKLYNSDKIELRPCGLINCGNSCYANAVLQCLAFTRPLTAYFLEGLHSKICPKKEWCFTCELERLAMKAKEGNSPLSPIGILSHLHNIGSNFGHGQEEDAHEFLRYAIDAMQSVCLMEAGKKPDGPLAEETTLIQQTFGGYLRSKIRCSRCKSKSERCERMMDLTVEIDGNISTLDEALLRFTSPEILDGENKYECGRCKSYERAKKRLTILEAPNVLTIVLKRFQSGMFGKLNKPVRFHEYLDLAPYMSGDDKSPVYRLYAAIVHIDVKNASFSGHYVCYVKDTQGKWYKINDSKVNPVELEEVLSKGAYMLLYARCSPRAPTSVRKALAHELEQARKTRSKLKPGGPSVAQQGTCFYPQRTMSDHSNFRSSDLFNERLRPLRNDSSSDSSSLFDEGSSCSTESTRDSTSTEEYWERMSGESDSVNLNSPLRVLEESDGFTRSPLSSRHSSKAVLSGSMPDHHRGDDSECIANSSGREINQVEAERPGCVKHHSDESRSFLYADKNEHCRNLTEQCRAIETGWINPNEVKSGILLRRPSRERTARTFY >Ma03_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27746640:27751934:1 gene:Ma03_g23100 transcript:Ma03_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKVLDGKTLRTVLSILQWWGFNVTVIIMNKWIFQNLDFKFPLTVSCIHFICSSVGAYIAIKLLKIKPLIEVKSEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKFFEWRIWASLVPIVGGILLTSITELSFNVFGFCAALVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAILLEGAGVVDWLYSHQSICSSMIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWIIFRNPISALNAVGCAVTLSGCTFYGYVRHKLSQQPSIPGTPRTPRTPRSLMELLPLVNDKQDKA >Ma07_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:694889:695822:1 gene:Ma07_g00880 transcript:Ma07_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVARKHNTVSPCAACKLLRRRCTQDCIFAPHFPADEPHKFANVHKVFGASNVSKLLQEIAVQHRGDAVSSLVYEANARVRDPVYGCVAAISTLHRQIQALQAQLAMAQAQMVHLRMSHAASLAHAGLGHSPMGAGSTSTGSSSMSPTHNQLMEPDTVNAKPVFALDMVVLDQTNLGSEPPIWSF >Ma07_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3259106:3259330:-1 gene:Ma07_g04370 transcript:Ma07_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSRCRLPFLEETCIVEVAVDSTSGLWQTCPNSTLGLHGYPFSSSSSSSEKKVQLSVNLRVQQHREHKLTLS >Ma01_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8567230:8570349:1 gene:Ma01_g11800 transcript:Ma01_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWRTGWEGRGVGRWLGFVTAVWVQCISGNNYTFSNYSDALKSLMGLTQLQLNNLSVAKDIGKAFGLIAGLASDRLPTPVILLIGSVEGLVGYGAQWLVVRQSIRPLPYWQMCVFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDICSALFADSPAAFLLMLAVVPAAVCTAAMFFLRESPPAGDDSAADLDGAEAKYFGVINAMAVVIAVYLLAFDLTGRHGALVSRLFAAGLLVLLAAPAAVPIHISLKARCRQRGGASEDGGVSEAPLLAEEAAEGGAKNGGEMSNREDEAEGKGRPEIGEDHTIVEAVKTVDFWVLFSSFLCGVGTGMAVMNNMGQMGLALGYTDVSIFVSMLSIWGFFGRITSGTISEYFIKEHATPRPLWNAASQILMAVGYVVMALAVPGSLYIGSVVVGLCYGVRLAVSVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATKTEGGANTCVGAHCYRMVFGIMALACIVGFGLDVVLAFRTKKLYRQIQSSKRTRKAASRHRITKG >Ma09_p29900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40229897:40251810:-1 gene:Ma09_g29900 transcript:Ma09_t29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLFEFCSSSSMLKTLERYQKCNYGAPETNVISRETQSSQQEYLKLKARVEALQRSQRNLLGEDLGPLNIKELEQLERQLDASLRQIRSTRTQYMLDQLTDLQRREQMLCEANKALKRRLEESNQANQQQLWDPNTYGRQQPQPQGDGFFQPIDCEPTLQIGYHPDQMAIAAAAAAAAAAGPSVSNYVPGGWLA >Ma05_p19690.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28206781:28209818:1 gene:Ma05_g19690 transcript:Ma05_t19690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSEVRAWEELIPDALSLIFRNLSLQDILTVIPRVCKSWERVVSGPYCWQEIDIEEWSLRCKPEHLDQMLHMLIARSCGSFRRLSVSGLHTESMFSFIADHAGSLRRLELPRSEISDSIAEVIAPRLSNITFLDISYCRKIGARAIEAFGKHCRSLIVLRRRMHPLEVTNKVCQDEEAYAIAVSMPRLRHLELAYLLLTTRAVLDILSSCKDLEYLDLRGCWDVKLDQKYLKERHSGLKVLGPDIIDCYEQSCWDGCSDYSDSSVYTWDFMEDGEDVYEGLSDDDSIWEDDQGLEGFEVRFYGGGFSDAVTVFDWPPSP >Ma05_p19690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28206698:28209818:1 gene:Ma05_g19690 transcript:Ma05_t19690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSEVRAWEELIPDALSLIFRNLSLQDILTVIPRVCKSWERVVSGPYCWQEIDIEEWSLRCKPEHLDQMLHMLIARSCGSFRRLSVSGLHTESMFSFIADHAGSLRRLELPRSEISDSIAEVIAPRLSNITFLDISYCRKIGARAIEAFGKHCRSLIVLRRRMHPLEVTNKVCQDEEAYAIAVSMPRLRHLELAYLLLTTRAVLDILSSCKDLEYLDLRGCWDVKLDQKYLKERHSGLKVLGPDIIDCYEQSCWDGCSDYSDSSVYTWDFMEDGEDVYEGLSDDDSIWEDDQGLEGFEVRFYGGGFSDAVTVFDWPPSP >Ma05_p19690.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28206807:28209818:1 gene:Ma05_g19690 transcript:Ma05_t19690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSEVRAWEELIPDALSLIFRNLSLQDILTVIPRVCKSWERVVSGPYCWQEIDIEEWSLRCKPEHLDQMLHMLIARSCGSFRRLSVSGLHTESMFSFIADHAGSLRRLELPRSEISDSIAEVIAPRLSNITFLDISYCRKIGARAIEAFGKHCRSLIVLRRRMHPLEVTNKVCQDEEAYAIAVSMPRLRHLELAYLLLTTRAVLDILSSCKDLEYLDLRGCWDVKLDQKYLKERHSGLKVLGPDIIDCYEQSCWDGCSDYSDSSVYTWDFMEDGEDVYEGLSDDDSIWEDDQGLEGFEVRFYGGGFSDAVTVFDWPPSP >Ma05_p19690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28206807:28209818:1 gene:Ma05_g19690 transcript:Ma05_t19690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSEVRAWEELIPDALSLIFRNLSLQDILTVIPRVCKSWERVVSGPYCWQEIDIEEWSLRCKPEHLDQMLHMLIARSCGSFRRLSVSGLHTESMFSFIADHAGSLRRLELPRSEISDSIAEVIAPRLSNITFLDISYCRKIGARAIEAFGKHCRSLIVLRRRMHPLEVTNKVCQDEEAYAIAVSMPRLRHLELAYLLLTTRAVLDILSSCKDLEYLDLRGCWDVKLDQKYLKERHSGLKVLGPDIIDCYEQSCWDGCSDYSDSSVYTWDFMEDGEDVYEGLSDDDSIWEDDQGLEGFEVRFYGGGFSDAVTVFDWPPSP >Ma05_p19690.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28206698:28209818:1 gene:Ma05_g19690 transcript:Ma05_t19690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSEVRAWEELIPDALSLIFRNLSLQDILTVIPRVCKSWERVVSGPYCWQEIDIEEWSLRCKPEHLDQMLHMLIARSCGSFRRLSVSGLHTESMFSFIADHAGSLRRLELPRSEISDSIAEVIAPRLSNITFLDISYCRKIGARAIEAFGKHCRSLIVLRRRMHPLEVTNKVCQDEEAYAIAVSMPRLRHLELAYLLLTTRAVLDILSSCKDLEYLDLRGCWDVKLDQKYLKERHSGLKVLGPDIIDCYEQSCWDGCSDYSDSSVYTWDFMEDGEDVYEGLSDDDSIWEDDQGLEGFEVRFYGGGFSDAVTVFDWPPSP >Ma05_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28206694:28209818:1 gene:Ma05_g19690 transcript:Ma05_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSEVRAWEELIPDALSLIFRNLSLQDILTVIPRVCKSWERVVSGPYCWQEIDIEEWSLRCKPEHLDQMLHMLIARSCGSFRRLSVSGLHTESMFSFIADHAGSLRRLELPRSEISDSIAEVIAPRLSNITFLDISYCRKIGARAIEAFGKHCRSLIVLRRRMHPLEVTNKVCQDEEAYAIAVSMPRLRHLELAYLLLTTRAVLDILSSCKDLEYLDLRGCWDVKLDQKYLKERHSGLKVLGPDIIDCYEQSCWDGCSDYSDSSVYTWDFMEDGEDVYEGLSDDDSIWEDDQGLEGFEVRFYGGGFSDAVTVFDWPPSP >Ma06_p33400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34048126:34049473:-1 gene:Ma06_g33400 transcript:Ma06_t33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFLCSHPAVSRKETLMDTSDRDDVRVAGLSLRLNYDGRGDLSGGDHHPRPSAGFKLERSSSTATSVEPYLTLGLPGDGWGSAKAQAEEDKACSASRVVGRPSSPHSMVSSFSGGHLSRIKRERDARVSDEEEDGGAARKKLRLTKEQSALLEDRFREHSSLDPKQKQALAGQLNLRPRQVEVWFQNRRARTKLKKTEVEYERLRKCCETLRDENRRLQKELQELKSFKLLRPRYMQLPATAVSGFTMCPSCSTVAAASDSDESGSLVVAPKPRLFNPFAHSASL >Ma07_p28680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34644502:34645622:1 gene:Ma07_g28680 transcript:Ma07_t28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARIIFFAAVSAATLAVILLPLLSPVPRKSEKRQTGPRLALSLYVHPPGPGRPHQQAGLHGHSAFVFRHDLREGPENTSRVIGGARGIVLLPGRQLELSAFNIVHLALDTAAYSGSLSVEARQVGRRGREELAVVGGTGSFAFARGHAAFTVTRERRRSDADAIYRLDLRLMLPEESPTIPG >Ma09_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3131065:3137562:1 gene:Ma09_g04880 transcript:Ma09_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARQEPILDKIQRFRGVILVFAVPLILVSFVLFLMPRSPAVISFASRKTMPGGSEAGSKSYAVIFDAGSSGSRVHVFCFDENLDLLPIGQELELFVQKKPGLSFYAKDPQQAAQSLVSLLEKAESVVPMKLRQQTPVRVGATAGLRALGAETSEKILQAVKDLLQHKSSLKFKSDWVTVLDGTQEGAFQWVTINYLLGKLGKSYANTVGVVDLGGGSVQMAYAISEKDAEQAPKVSDGEDSYVQKLFLKGTKYYLYVHSYLRYGLLAARAEILKVTKDDSNCILSGYHGSYKYGGNAYRASAKQSGASFLKCREDAVKALRVDEPACTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFVDREKPIAVVKPSHFEKAAKHACQLSIEDAKAAYPRVQEDNLPYLCMDLVYQYTLLVDGFAIDPDHDITLVKQVKYGDAFVEAAWPLGSAIEVASSA >Ma11_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20641201:20651161:1 gene:Ma11_g14930 transcript:Ma11_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTNAKKEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFIRGDSVIIVLRNPK >Ma11_p14930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20641185:20651161:1 gene:Ma11_g14930 transcript:Ma11_t14930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTNAKKEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFIRGDSVIIVLRNPK >Ma11_p14930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20641201:20651161:1 gene:Ma11_g14930 transcript:Ma11_t14930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTNAKKEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFIRGDSVIIVLRNPK >Ma11_p14930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20641187:20651161:1 gene:Ma11_g14930 transcript:Ma11_t14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTNAKKEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEIPKTGKGKKKALPVNKDRFISKMFIRGDSVIIVLRNPK >Ma09_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34861940:34894025:-1 gene:Ma09_g22980 transcript:Ma09_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) UniProtKB/Swiss-Prot;Acc:P84634] MDGNSTAPNRVKDPRTIARKYQMDLCKRAVEENIIVYLGTGCGKTHIAVLLMYELGHLIRKPSKSICIFLAPTVPLVRQQAMVIESSTDFKVRCHYGSQKNLKDHDDWNKEIDQSEVLVMTPQILLQNLRHCFIRMDLVALLIFDECHHAQAPTRHPYAQIMREFYKTKGDGSKCPRVFGMTASPIIGKGGTDLSNYTKCINSLENLLDAKVCSVDDESELEGFVASPDIKVYYYGPLFYTASSLTFVYSKKLEDIKVQCISMLKDKLCDFRECQNQIKLLHRLHDNIIFCLEQIGLFGAIRAARKADMPETEIVSINNDNFLIDQYLTKALSILIGDLLDGNNDVDSLTIGALEEPFFSNKLAVLVGILASYRLQATAKCIIFVKRIIVAKSLAYILGSLESLKFWKCEFLVGCHGGKESMSRGKMNTIVERFSSGEVNLLVATNVAEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTISEYVFLVERGNEREGKLLNDFMAGEDIMNKEITSRTSSETFDDLEEVNYKVSSTGASINTGCSVSLLHRYCDKLPRDKYFTPLPKFYYVDDLNGTICRIILPPNAPLRQVDGLPCSSKDEAKRNACLKACKELHERGALTDYLLPDINPRRKIGPATHHSEYNSNSIEDESSREELYELLVPAVLRRSWSNDDTNINLHFYYIRFIPKPKDRQYRMFGLFIKNPLPQEAESLKVDLHLTHGRIVETTLTPQGMTTFDKEEIMLAQNFQEMFLKIILDRSEFYSDLVPLGKCNASQDCSSKSYLLLPVIEQLYEGQKMIDWTTVRCCLTSPAFIDVSAKFEKAPCRTSEKLQLLNGSVNKTDVMNSLVFTPHNNLFFFVDGILYETNANSCYKGTKCESYAEYYRDRFHIKLSYPEQPFLKAKQLFVCRNLLHNRVQANTEARELVEHFVELPPELCSLKIVGFSKDIGSTLSLLPSLMHRMENLLVAIELKEVLSSSFPEASEVRADRILEALTTEKCLERLSLERFEVLGDSFLKYAVARHSFLTYEAFDEGQLTRRRSSIVNNSNLYELAIAKKLQVYIRDELFDPTQFFALGRPCKMVCNIDTESVIHQEENENLNIAAEGHNFRCTKSHHWLHRKTIADVVEALVGAFLVESGFKGAIAFLRWIGISVDFDVSNIYRVWESSNSNLSLISNRNVNELEEILGYTFRCKGLLLQAFVHASYNKHSGGCYQKLEFLGDAVLEYLITSYLYSVFPELKPGQITDLRSITVNNNSFANVAVWRSLHKYLMKDARSLDEAINKFESFVLLSDLEKDLIEEPACPKVLGDIVESCVGAVLLDTGFNLKIVWNLMLSLLGPVLDFSSFQINPLRELRELCQYFNFAMRLPDPVKVGGDYCVKVEADVKDEHLMFTSTNKNSKTARRMAAQEVLSMLKARGYKLKSKPLEDIVQSAKKDKPKLIGYDEEPIVIDNLDSIPLEKLQIQTTEETPHSLGLEKANGASLLNCSGNSWSSRKELTRADASESCNGNHGQIQQTVSDYVEISGTSPGGNHTETAGTLAHKTAKSRLMEICATNHWRDPLFECCKEEGPSHLKMFTYKVTVEVEHESSVCLECFSEPRPQKKAAQDHAAEGALWYLRHIGYIL >Ma02_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16763655:16764809:-1 gene:Ma02_g05480 transcript:Ma02_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGVGLVLLMVLAVSSMFSVVEGFKPSGWTKATATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFGDGAACGQCYKIICDYRADPRWCLKGVSVTITATNFCPPNYALPNDDGGWCNPPRQHFDMAQPAWEKIGIYRGGIVPVMFQRVPCKKHGGVRFTINGLDYFELVLVSNVAGPGSVQSMSVKGSKTGWLPMSRNWGANWQSNAYLNGQPLSFRVTTTDGQTLVFSDIVPSNWGFGQTFSSRLQFS >Ma03_p21040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26115085:26116501:1 gene:Ma03_g21040 transcript:Ma03_t21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQWWRRATATAKDRWSIYVTRMGGARHRHHLHRRSPEVEAAVIRATSHDDRSVDYKSAGRVFTWARGAPSSLLPPLMWSVASRASRTRSWIVALKCLLLAHGLLLCSDDASPSAHVGRLPFDLSDFRGRSSTSPGFSAFVRAYYRFVDRRSFFSALTGSASPSPSPSQAASGAGDQDDDLEQLERLQVLLDLLMQIRPYADGMEVSLVLEAMDCVVIEIFEVYSSICSGIAQFLVGILGPDQYGAATEARRRRGRVGMRILKRAAAQNSQLSSYFDLCRALRVLNAAELPPVEGIPEKDMEDLERLVLGGTTGGDEEAAAVAVARNGGGRPEREVQGKKSEGHFGTVITMEWVVFEDEAHCGKEGRGHFGHSTSRSPDNICPSTLWAQRDWQSHPSDLSSSILI >Ma11_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7574297:7574943:1 gene:Ma11_g09330 transcript:Ma11_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKCGSLEDAVRVFDHMPVKSVATWNSMITSFGVHGRGKEAVAFSWKWRRQRCCRMESPLWALCKPYSSEEEAGCLR >Ma06_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16676198:16681005:1 gene:Ma06_g21950 transcript:Ma06_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFMHCEGCARKVKRSLKGFEGDEGSTFSFLLPTVAKGKKATEDPTEVVERVQKKTGRKVELLTPLPPPKQEKKEEEKPKAEEEKEEVSRICLLNPPQVIAVVIKVHMHCEACAQQIKKRILKMKVGVLSVEADLKASQVTVKGAFVAEKLVEYVYKKTGKHAVVCNQGREESRRNQCRGLRVCKSW >Ma04_p23610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25728532:25730982:1 gene:Ma04_g23610 transcript:Ma04_t23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSSFPSPVFPALTAASVMLALFLLRRLLRRCSSSVHRRKPNYPPIAGTVFHQLLNLSRLADFQTDLSRKYRTFRILTPFCNYVFTVDPANVEHILKINFANYGKGSFTYDIMCDFFGDGIFAVDGEKWRHQRKLASFEFSTKVLKNNSSVVFRSTAARLAKIISNAARSNEMIEIQDLLMKSTLDSICEVGFGVELDTLTGSTEEGRTFAKAFDDASAQIVLRFFDVFWKVKRFLNIGSEAKMKKSLKSIDDFVYKLIDTKIEQLSQRETGFMEKEDILSRFLIEREKNPDDMSYKYLRDIILNFVIAGRDTTAGTLSWFFYMLCKHPNVQEKVAQEVREATKIKGEVTVDEFVASLTEEALNEMQYLHASLTETLRLYPAVPLDVKHCFSEDTLPDGFDVKKGDLVIYQPYPMGRMQFLWGEDAEDFRPERWLNGDGVFVPESPFKFPAFQAGPRICLGKEFAYRQMKIFAATLLCFFKFKMWEEMSTVRSRTMLTLQIYGGLHLAALHRQGCLNAD >Ma11_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11392164:11403881:-1 gene:Ma11_g11050 transcript:Ma11_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKLAQRLDKIYITVQLPDAKDAKVNLEPDGIFTFSATAGAGNSTYELKMDLYDKVNKEASKINVGVRSIFCVVEKAEKGWWKKLLRGDGKAPHYVKVDWDKWVDEDADGPGDLDLGGMDFSNFGNMGGDAMDDDFEDSDDEEQAENVQKTGED >Ma09_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5065277:5066367:1 gene:Ma09_g07740 transcript:Ma09_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKGPNTINPKLLLLGFLLAFLLLYLLASGYSSSPTPETTSVEASAATRVPACTKIPPSLGETIIHYATSNITPQQTLREISVTAKVLERKSPCNFLVFGLGHDSLMWSALNHGGRTVFLEEDKKWIESVTQKFPTLEAYHVSYDTKVSQADELLESGKSTGCMVLEDTKFSRCPLALTKLPDVFYEVDWDLIMVDAPTGYFPQAPGRMGAIYTAGMAARGRRREGDTDVFVHDVDRAVEDKFSKTFLCQGYLKEEEGRIRHFTIPSHRANPEISFCPS >Ma11_p09570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8658211:8664639:1 gene:Ma11_g09570 transcript:Ma11_t09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGKPDNPSRKRRRKRHKPQSRSESANSPPPQLPLPHPKRRKIEGPSTSKNQLGFLQKMRMRLSGGHFRMLNEKLYTCSGSEAFNLFKNEPELFDVYHAGYQEQMVHWPQQPVNTIAKWLRNQSSLKVADFGCGNATLAKSVKNKVFSIDLVSNDPSVIACDMAHTPLDSSSVDVAVFCLSLMGTNYSNYLQEAKRVLKPSGWLLIAEVRSRFDPNTGGADPEKFFEAVSQLGFSLVSKDFSNKMFVLFYFKKAKKDSQVESIKWPELKPCIYKRR >Ma05_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:693918:696172:1 gene:Ma05_g01160 transcript:Ma05_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLVQPIGQKRLTAIAIVRLKKHGVRFEIACYNNKVLSGRSRVEKDLDELLQSHTVYSNVSKGWLRILALMTDPKYAWRH >Ma02_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16321682:16322630:-1 gene:Ma02_g04960 transcript:Ma02_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAGFAPASSSHGRTFRSLDLFLRLTVIPLSAASLWVMATNKQANDAYGKVEFSNITGLKYLVCTNAISLGYAAASILLSFLGCFDSDWLFFILDQVFAYLMVTSGSAATEVMYLAYQGDTEASWSEGCSYYGKFCSKGKASLFLHFAAFVCFVALSLLSAYEVFSKYEAPPLSSSKDVGDQAG >Ma06_p31870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32883486:32886161:1 gene:Ma06_g31870 transcript:Ma06_t31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGGGGDDENIILNPAFDDGLNNWSGRGCKIALHDSMSDGKILPLNGGKYFASATGRTQSWNGIEQEITGRVERKLAYEVAATVRVLGGSTTTADVRVNLYLQGANGRDQYIGVAKSQASDKDWVQLQGKFLLNGAASRAVIFIEGPPAGTDLLLNSLVVKHAAKMPPPSPPDFQSVVHGVNIIQNSNLVDGSDGLNGWFPLGSCKLTVTSNGAPHVLPPMAKESLGTREPLNGRYILVTSRTQTWMGAAQAIADKLHLHATYQVSAWVRVGPQRSGPQNIGVSLSVDGKWTSGGQVEAKDGRWYEIGGSFRIEKQPSRVIVSVQGPASGVDLMVAGLQIFPVDRTKRFKRLKKLTDKVRKRDVVLNISGSGVDAAAGSSVRVTQIKNSFPLGSCITRTNMDNEDFVDFFVNNFNWAVFGNELKWYWTEPQKGSLNYADADELLELCRKNGMEARGHCIFWEVESTVQNWVRSLNKEDLSMAVQNRINGLLTRYKDQFRHYDVNNEMLHGSFYRDRLGEDIRSYMFKTANQLDPSATLFVNDYNVEGAADTRASPEMYMNQILGLQEQAAPVGGIGLQGHVTTPVGPVVSSALDKLSVLGLPIWFTELDAPASNEYVRADDLEVMLREAFAHPSVEGIMLWGFWELFMFRNNSHLVNAEGDLNEAGKRFLMLKQEWLSHASGKADDQGEFKFRGFHGTYSVDIITPNEEKLSQTFTVAEGDSALVVNINP >Ma04_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15871078:15872345:1 gene:Ma04_g16470 transcript:Ma04_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCTTPPKLSLYSVPGKRMNPLGTATPPPRVLVSVPFMWEEAPGKPRKQPTPGGHGMMPGGARSLDLPPRMVAAMVEAKSNKTLSPTTALDGPEHATRPVPLGSCYSFSFGEGRTVVTVGGKKKGVVWFRGRKSGRKMMRDGTWEMSVEGLEEKDVTCPSMSSSPSRKKHGGESEEDGNVEEGRIRITRLRRNRSLANVSTSHIWASIYGSLKLVVPLPWRRDRRA >Ma04_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16592801:16594124:-1 gene:Ma04_g16770 transcript:Ma04_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDEVGLKRGPWTPEEDKKLRDYVQKNGHGSWRRLPMLAGLNRCGKSCRLRWINYLRPDIKRGNFTEEEESLIIDLHSLHGNKWSTIAASLPGRTDNEIKNYWNTHLRKKLLRMGIDPVTHQPRTDLRVLPGLPELLAAANLAGGFTSSLNDPLHLQADAAHLIKLHLVQNLVQVLTSNPTPNLNQIMGPFGSGFLRNYRLDDVDVPSSRQLESLLRHLPHGWLLQSTAPMASSLSTQGWQRFVDSLHRSTRESSRSVDAGDQTAAAATEDVSSVNEGCLPTSDSTPPFLSSSPVNMNKGEAITIDGSINAPLEAWDANLDDQDDLGYWKEILDQISSWPNTP >Ma06_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4014842:4032654:1 gene:Ma06_g05410 transcript:Ma06_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRKDMDPAFHGAGTKAGMEVWRIENFAVVPIPFHGKFFTGDSYVILKTSSLKNGALRHDIHYWLGKDTTQDEAATAAIKTIELDTILGGRAVQYREVQGNETKKFLSYFRPCIIPQQGGVASGFRHADVNEHEHETRLYLCKGKHVVHIKEVPFVRSSLNHDGIFILDTRSKIFQFNGSNSTIQERAKALEVVQHIKDTFHDGKCEVAAIEDGKLMADADAGEFWGLFGGFAPLPRKVSSEEARDVDTISVKLLCVENGQPTPVDADSLTRELLNTNKCYLLDCGIEVCVWIGRNTSLEERKIASAAAEELISGPDRQKCHVIRVVEGFETVMFRSKFDTWPQTAGAAASEDGRVKVAALLKRQGLNVEGLTKSDTSKEEPQPYIDCTGDLQVWHVNGDEKVLLASSDQTKFYSGNCYIFQYTYSGEDKEEYLIGTWFGTKSVEDERASAISLSGKMVEALKSQAVQTRLYEGKEPIQFFSIFQIFIVFKGGLSSGYKRFITENSTADETYSEDGLALFRVQGSGPDNMQAIQVEPVASSLNSSYCYILHNDTTVFTWSGSLTTSEDQDLVERLLDQIKPNIQYKPQKEGTETEQFWGLLGGRCDYSNQKIVKEPENDPHLFYCDFSKDNLKVTEIFNFTQDDLMTEDVYILDCHSDIFVWIGQKVDTKIRSQALKIGEEFLEHDFLLEKISRETSIFIVTEGNEPPFFTRFFTWDSAKSAMHGNSYQRKLAIVKTGVTRPMLMFQKPKRRVPTSGGRSVTDKSQRSRSMSFSPDNVRARGRSPAFSALAATFENPNSRNLSTPPPAVTRLYPKSTIPDSVKPVPRSAAIAALTASFESAKESVESKSSRESMEANSIENPISASGRNDDLTIKEEMNEGEDEDDGGLPIFPYEHLTTSSSDPVTDIDITKREAYLSSVEFKEKFGMKKSAFYDLPKWKQNKLKMAVHLF >Ma01_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1052507:1054717:-1 gene:Ma01_g01520 transcript:Ma01_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGFLPFSAIYVELYYIFASVWGHKIYTIYSILFIVFIILIIVTAFITVALTYFQLAAEDHGWWWRSVLCGGSTGVFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCICYGFFLMLGTVGFRASLLFVRHIYRSIKCE >Ma05_p28840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39693376:39695309:-1 gene:Ma05_g28840 transcript:Ma05_t28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28210 [Source:Projected from Arabidopsis thaliana (AT4G28210) UniProtKB/TrEMBL;Acc:Q9M0I6] MASVALPAFSSLATGSSPRLGAPPPFSAKSRSCLHLSRPNHGKDFTFVGASSPKPFLSRARIGFSSRIERRFLAFAPRIGGSGNSETSRVDEDDEMRGQSTMPERFRHLTKEAPDRPVRWPWVVALLFLVYAWRTVLWELTNWKRTMLAVMHFLGYLGKLILAVVFHYVGDLITVLIRCIEFCLNSARYAYASVVAFAPVPELTRVILFATAVLAIAEATVPDSVNSQSHLLTLAGFIGFGVVKDFVPELLFWLFLFGLSCYSRFIKKNDYISALLPSAAVLAAVGEPWVRGLVMGSYLAVAIFQHSKTSEELLSRKLPATGRRLPEPLLLAAMAIGVHLAAKWIRYRHLTWMIA >Ma04_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7359323:7361971:1 gene:Ma04_g10320 transcript:Ma04_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRHSATKSTTFEDCRSFLEEPPSPLPAQPARASSAYSRSASFTSSSVSAYRDSDRRRRTQFQSPLISENHQSTKVLTSWGGSDKISSSLREIGGTTKSEFEEEHMTADMIQETGKLQIRRKPGVSDTTFQFAEPSTPTNLNHNQVGSEVQAKVSGDVANVMAAKAKLLLRELKIVKADLATAKERCAKLEEENKILRENRQKGETPADDDLIRLQLETLLAEKARLAHENSIYARENRFLRETVEYHQFTMQEDIVYLDDQGIEEEAEAYPIHQMQPFYAPLQSVPEGSSPVLPSSPSPSMHSSSSELNTTAETMPTAEGSMMMMQQQQHPYPF >Ma08_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16343560:16365045:-1 gene:Ma08_g15950 transcript:Ma08_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTALAPGLSRKLKKVLETRTDSPDLLSSLATLSTFYADNTPQARRNLKSSIEQRALAINHHFLDASLAAQQALDTVEEEVNALAECCDQIAKALSGCSESTGDIISTTERLKQELELTTQRQEIVSCFLRDYQLSNDEINALREEELGENFFKALVHVQEIHANCKILLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSDLLKTAICCLKERPVLFKYCAEEIANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLVLLDTDANSDADSIVHHFSKSSESDHTKFEPEITFVLDRIFEGACRPFKIRVEQVLQSQPSLIISYKLSNTLEFYSHTISDLLGRETALCNTLWLLKDAAQQTFFNILKGRGEKLLRYPPLVAVDLSPPPAVREGVSLLLDLIDTDNSMMVPASVKKPDFDPVITALLDPIVQMCEQAAEALKSKGVLSKRSRTSSDLGSSSRDSLTFDAMLSKKTSTLTQGAEMSSTIYLINCLSAIQQALVDQGVAANYVDKITSDIDTNVSILVQMEVDAILTRCGLLSKISYIQNSTSEVDSEPGPLAQAPEMSPLVLSESLRTFFGLVTGTEGLLPEFEQLQLPRLRSDASIRVARALADGYELLYKAVVDPKNKYPDPRSLVRHSPDQIKTILEI >Ma04_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18411561:18454000:-1 gene:Ma04_g17640 transcript:Ma04_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P2 [Source:Projected from Arabidopsis thaliana (AT3G05350) UniProtKB/Swiss-Prot;Acc:Q8RY11] MIVLQAKPLSALLPVRSAAMEAAATAASPLSALFSRSPSSHLRSAPGFRLLALSLPLADELRAPSGSALLLPPRRFCVSARSSGDQIVARPSSEMRKNPFGGCDRGTGDEKLRALRELFSRPDVGIDAYIIPSQDAHQSEFIAECFMRRAYISGFTGSAGTAVVTKENAALWTDGRYFLQAEKQLSRDWILMRSGNHGVPTTSEWLNDVLAPGCRIGIDPFLFSSNAAEELKDEISKKDHELVFLYDYNLIDVIWGESRPKPPINPTRVHDINYAGVDVSSKLFSLRSELIEAGGSAIIISMLDEVAWLLNMRGNDVPNSPVFYSYLLVEMNRVKLFIDNTKITMEVKDHLTNAGVELRPYEGILPEIERLAASGAKLWLDPSSVNAAIVSIFKSACEKHNRKTRKGEKKEVVASIKNQDIQAEGPFGLYKVSPVTMAKAVKNRAEIEGMHNSHLRDAAALAEFWAWLEEEISKNIAYTEVEVADKLLEFRKKQPGFLDTSFDTISGYGANGAIIHYNPNSESCSVVGDKNLFLLDSGGQYVDGTTDITRTVHFGEPSLRQKECFTRVLQGHIAIDQAIFPEGTPGFVLDILARSPLWKIGLDYRHGTGHGVGAALNVHEGPQSISFRYGNMTALQKGMIVSNEPGYYEDNSFGIRIENLLIVKEVELPNYYGGIGYLGFEKLTFVPIQNKLVDLSLLSHAEINWLNDYHAQVWEKVSPMLDGGAREWLWNNTRPIPQD >Ma07_p16990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15979722:15993796:1 gene:Ma07_g16990 transcript:Ma07_t16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEREAVEITVKTVGPARPTRVRVPSPIKVADLRRLVAAEFRLPAERLKLVLRGKTLRDKKEKNDGDEDVVINLDGGDSLIVAVVPKPPARHLRDGDDDDDEELKFQIPQSANWLKKRIFLFLHQKLRLPDILLMAIFSISLKAWIGIILWFLLAPVAQKWDIGPIYILITGFLIILLNLGKRQHGDLSAYSIFNEDFRELPGTLNADRLDRDIRAGQF >Ma07_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15979720:15993455:1 gene:Ma07_g16990 transcript:Ma07_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEREAVEITVKTVGPARPTRVRVPSPIKVADLRRLVAAEFRLPAERLKLVLRGKTLRDKKEKNDGDEDVVINLDGGDSLIVAVVPKPPARHLRDGDDDDDEELKFQIPQSANWLKKRIFLFLHQKLRLPDILLMAIFSISLKAWIGIILWFLLAPVAQKWDIGPIYILITGFLIILLNLGKRQHGDLRYKLEERQTKHVHHWTINLTVTSSSYSMTRSRRMKQL >Ma04_p35280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34131208:34131828:-1 gene:Ma04_g35280 transcript:Ma04_t35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIPNADSPHPNTSGATSMAATSTGAAASSSSSSSIAPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSQCSSSHVLEFLRYLDQFGKTKIHTHLCPFFGNSNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPESNPFGARAIRLYLREVREVQSKARGISYEKKKRKKQQQLQQQQQQQQQQQQQQQQHDQHHPPPPPAAA >Ma03_p25410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29453320:29459230:1 gene:Ma03_g25410 transcript:Ma03_t25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERAEVRRNKYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGMQAQPLPQTATHASVIEKKLESLPAMVAGVYSDDSTLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLTREDYPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLGNGALFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPAFEQVKPALPALERLIHSSDEEVLTDACWALSYLSDGTNDKIQAVLEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDVQTQYVINHQALPCLLNLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIAAGIIGPLVHLLQTAEFDIKKEAAWAISNATSGGNHDQIKYLVSQGCVKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGASGDVNLYAQMIEEAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEDDAMPTGDAAQTGFHFGNNGQNTAPPGGFNFG >Ma11_p10910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10881170:10893914:1 gene:Ma11_g10910 transcript:Ma11_t10910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALSPSPPFSSLHHRQQRHLRTSASPSSLPAALFPLFGFPNADPHRRRTSLLILSSSSNARPVYGRELERKQEILESHGLDPSDCISLSAHKLRRRRRDEENRAGKGKRMPLPLDEPKPPPRTTHRLLQVLGGKARRKKLLSPKGMDVRPMMEVVRGAAFDILQVAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSEVLQPNLEWTGFSNVSVIHTIRVERFLEQAEQSSDKNRSFDYISVTPPYMAVDYTVLMDHLGKSPLVGEDCFILVEYPLKTLLADSCGHLIKIADRRFGRTNLVIYGPSWAEKKRKSRKDLLTLE >Ma11_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10881170:10893914:1 gene:Ma11_g10910 transcript:Ma11_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALSPSPPFSSLHHRQQRHLRTSASPSSLPAALFPLFGFPNADPHRRRTSLLILSSSSNARPVYGRELERKQEILESHGLDPSDCISLSAHKLRRRRRDEENRAGKGKRMPLPLDEPKPPPRTTHRLLQVLGGKARRKKLLSPKGMDVRPMMEVVRGAAFDILQVAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSEVLQPNLEWTGFSNVSVIHTIRVERFLEQAEQSSDKNRSFDYISVTPPYMAVDYTVLMDHLGKSPLVGEDCFILVEYPLKTLLADSCGHLIKIADRRFGRTNLVIYGPSWAEKKRKSRKDLLTLE >Ma11_p10910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10881170:10893925:1 gene:Ma11_g10910 transcript:Ma11_t10910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALSPSPPFSSLHHRQQRHLRTSASPSSLPAALFPLFGFPNADPHRRRTSLLILSSSSNARPVYGRELERKQEILESHGLDPSDCISLSAHKLRRRRRDEENRAGKGKRMPLPLDEPKPPPRTTHRLLQVLGGKARRKKLLSPKGMDVRPMMEVVRGAAFDILQVAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSEVLQPNLEWTGFSNVSVIHTIRVERFLEQAEQSSDKNRSFDYISVTPPYMAVDYTVLMDHLGKSPLVGEDCFILVEYPLKTLLADSCGHLIKIADRRFGRTNLVIYGPSWAEKKRKSRKDLLTLE >Ma01_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14887080:14904590:-1 gene:Ma01_g19360 transcript:Ma01_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MDDCSGTATTVAQAIAAALDWGSPPDARKAAVDYLESVKSGDIRTLASTSLILVQRNWPSEIRLHGFKLLQHLVRFRWDEFNITERREFANLTINIVSEVVNPHEEWALKSQTAALVAEVVRREGVALWHELLPTLVSLSIKGPIEAELVAMVLRWLPEDITVHNEDLEGDRRRVLLRGLTESLTEIFPLLYSLLEKHFGAALSEFAGQQLDAAKQHASTVIASLNAVNAYAEWAPVPDLAKYGLIHGCGSLLQYNEFRLHACEFFKFICQRKRPTDATAVEFDSAMTMIFQILMNVSREFLSRSRSNFTAIDETEFEFVECICECMVTLASSNMQCITGDGTTTTQFLQQMVEYYQHVKFGLHFQSLLFWLAIMREPVSKVKGGGQIGGDNSAVGNSEVSSRPTEKEKKGVSAFINDDICAAILDISFQRMLKKNPSATNISTSKAVELWDDEFDSRTDFSQYRSRLLELIRLVAVQKPLVAATRVSERINLILKSYVHASVSAQDVALIESMQLGLETVVGAIFDGSAEFLNSAAESKFQLCTIFEGVLQWFLSLSWTEPALAVILGRYLDAMGPFLKYYPDSVANVVNKLFGLLTSLPIVIKDPSFNNARHARLQICTSFIRIAKAAERSLLPHMKGIADTMAYLQGEGHLLRGEHNLLGEAFLIMASSAGIQQQQEVLAWLLEPLSKQWTQSEWQNAFLSDPAGLTRLCSDPQFMWSIYHTVTFFEKALKRSGIKKSVLNLQGSSVVTDVSTQPHPMSSHLSWMLPPLLRLIRSIHSLWSQPITQTLTSEISAAKAMNHVEQASLLGESNKLFKGLSTSADGPQTDTLREGESSENDIRNWLKGIRDSGYNVIGLSATTGDTFFRCIESHSVTLALVENVQSMEFRHLRQLIHLVIIPMVKFCPPNLWEVWLESILHPLLLHCHQALACSWSSLLLDGRAKVPDTFGNLSGLELKVEVMEEKLLRDLTREVCSLLSVLASPPLNSGLPSLEHLGPTNRVDSLKELNAFVLNSMVGFLMMHKGLALHALKIGIDVFAWTDGEAVTKAIPFCGAIILLAIMTNTVELREFVAKELFLALIKGLTLESNAVTSSDILGLCREIYVYLADRDPAPRQVLLSLPSITRDDLLAFEDALAKTSSPKEQKQLIKSLLLLATGNKLRALATLKSTNIITNVTGRSRSSTATSGPNIEEDDTIGLAAIT >Ma01_p08450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6050118:6055264:1 gene:Ma01_g08450 transcript:Ma01_t08450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGDSCGAGDSDAVGGCAAVTVHVRCSNGSKFSVQIALDSTVGAFKAALVEKCDVPAEQQRLIYKGRILKDEHTLESYGLESDHTIHLVRGLTSPAASADVTTASNGASRIPANSAGVENGGTNEGRRFGGADIGGSLFPGLGVNRRDGNGSGFLGFGLPDINQMQQQLAQNPSMMREIMNMPAVQSLMNNPDLLRNMIMNNPQMREIIDRNPDFAHVLNDPSTLRQSLEVMRNPELMRELMRNTDRAMSNIEASPEGFNALRRMYETVQEPFLNATTGSGNMGNDLAQNPFAALLGNQSASQARGQTANQSGTGSDVTTESAAPNSNPLPNPWSSTAGGAQSTNSRPVPANDGRSPGVAGLGGLGLPDLERMAGGMPDPSLMNQLLQNPAIMQMMQSLLSNPQFMNQVLDPQLGGMLGSNSQLRDMLQNPELIRQLTSPETLQQLLSFQQSLVSQVVRQQSSQEQNQTGGGTAGTMNNNGLELLMNMFGGLGSGAGVPSNPDVPPEERYATQLSQLQEMGFLNTQENIRALSATSGNVHAAVDWLLRNLDR >Ma01_p08450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6050102:6055264:1 gene:Ma01_g08450 transcript:Ma01_t08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGDSCGAGDSDAVGGCAAVTVHVRCSNGSKFSVQIALDSTVGAFKAALVEKCDVPAEQQRLIYKGRILKDEHTLESYGLESDHTIHLVRGLTSPAASADVTTASNGASRIPANSAGVENGGTNEGRRFGGADIGGSLFPGLGVNRRDGNGSGFLGFGLPDINQMQQQLAQNPSMMREIMNMPAVQSLMNNPDLLRNMIMNNPQMREIIDRNPDFAHVLNDPSTLRQSLEVMRNPELMRELMRNTDRAMSNIEASPEGFNALRRMYETVQEPFLNATTGSGNMGNDLAQNPFAALLGNQSASQARGQTANQSGTGSDVTTESAAPNSNPLPNPWSSTGGAQSTNSRPVPANDGRSPGVAGLGGLGLPDLERMAGGMPDPSLMNQLLQNPAIMQMMQSLLSNPQFMNQVLDPQLGGMLGSNSQLRDMLQNPELIRQLTSPETLQQLLSFQQSLVSQVVRQQSSQEQNQTGGGTAGTMNNNGLELLMNMFGGLGSGAGVPSNPDVPPEERYATQLSQLQEMGFLNTQENIRALSATSGNVHAAVDWLLRNLDR >Ma01_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6050102:6055264:1 gene:Ma01_g08450 transcript:Ma01_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGDSCGAGDSDAVGGCAAVTVHVRCSNGSKFSVQIALDSTVGAFKAALVEKCDVPAEQQRLIYKGRILKDEHTLESYASNGASRIPANSAGVENGGTNEGRRFGGADIGGSLFPGLGVNRRDGNGSGFLGFGLPDINQMQQQLAQNPSMMREIMNMPAVQSLMNNPDLLRNMIMNNPQMREIIDRNPDFAHVLNDPSTLRQSLEVMRNPELMRELMRNTDRAMSNIEASPEGFNALRRMYETVQEPFLNATTGSGNMGNDLAQNPFAALLGNQSASQARGQTANQSGTGSDVTTESAAPNSNPLPNPWSSTGGAQSTNSRPVPANDGRSPGVAGLGGLGLPDLERMAGGMPDPSLMNQLLQNPAIMQMMQSLLSNPQFMNQVLDPQLGGMLGSNSQLRDMLQNPELIRQLTSPETLQQLLSFQQSLVSQVVRQQSSQEQNQTGGGTAGTMNNNGLELLMNMFGGLGSGAGVPSNPDVPPEERYATQLSQLQEMGFLNTQENIRALSATSGNVHAAVDWLLRNLDR >Ma01_p08450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6050117:6055264:1 gene:Ma01_g08450 transcript:Ma01_t08450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDGDSCGAGDSDAVGGCAAVTVHVRCSNGSKFSVQIALDSTVGAFKAALVEKCDVPAEQQRLIYKGRILKDEHTLESYASNGASRIPANSAGVENGGTNEGRRFGGADIGGSLFPGLGVNRRDGNGSGFLGFGLPDINQMQQQLAQNPSMMREIMNMPAVQSLMNNPDLLRNMIMNNPQMREIIDRNPDFAHVLNDPSTLRQSLEVMRNPELMRELMRNTDRAMSNIEASPEGFNALRRMYETVQEPFLNATTGSGNMGNDLAQNPFAALLGNQSASQARGQTANQSGTGSDVTTESAAPNSNPLPNPWSSTAGGAQSTNSRPVPANDGRSPGVAGLGGLGLPDLERMAGGMPDPSLMNQLLQNPAIMQMMQSLLSNPQFMNQVLDPQLGGMLGSNSQLRDMLQNPELIRQLTSPETLQQLLSFQQSLVSQVVRQQSSQEQNQTGGGTAGTMNNNGLELLMNMFGGLGSGAGVPSNPDVPPEERYATQLSQLQEMGFLNTQENIRALSATSGNVHAAVDWLLRNLDR >Ma10_p14020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26606449:26608277:1 gene:Ma10_g14020 transcript:Ma10_t14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTTISDLLQRVASSCLTHRLPGGHALEDGDCDEGEIDRAEEAKAQPNEEEEEEEEEGLRIWEEDGQRASTVPGFSHKVKIREMEGFMYEVFDAVSAVKRAYVGLQEAHNPWDPEKLRVADAAVVAELRKLGRLRDRFRRGCVSASAAGPTAVPLRDAVAPYEATIDDLKRQLRAKEVEAESLKEKLRSATLGSSGRRGRLYSSKRFGCVAVPGAPGTATPELFEAYMERVKFASKSFTGHLLSLMRSARWDIVAAVRTIIDAGGGDDAEYRPLAIPDLEPRDAKYALESYVNRKLFQGFENETFYLEGSLSSLINPAEFRRDRFTQFRDMRGMEPEQLLGILPRCPFGRFAASKYLAVVHAKLEESLFGAGSEQRRQVLEGAHPRTGFYSEFLRLAKAVWLLHLLAFALDPAPSHFEASRGADFHPRYMESVVRFAGGRVPPGLVVGFPVGPGFKLGDGSVIRARVYLVRGPLPRPQ >Ma10_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26606295:26608277:1 gene:Ma10_g14020 transcript:Ma10_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVTTISDLLQRVASSCLTHRLPGGHALEDGDCDEGEIDRAEEAKAQPNEEEEEEEEEGLRIWEEDGQRASTVPGFSHKVKIREMEGFMYEVFDAVSAVKRAYVGLQEAHNPWDPEKLRVADAAVVAELRKLGRLRDRFRRGCVSASAAGPTAVPLRDAVAPYEATIDDLKRQLRAKEVEAESLKEKLRSATLGSSGRRGRLYSSKRFGCVAVPGAPGTATPELFEAYMERVKFASKSFTGHLLSLMRSARWDIVAAVRTIIDAGGGDDAEYRPLAIPDLEPRDAKYALESYVNRKLFQGFENETFYLEGSLSSLINPAEFRRDRFTQFRDMRGMEPEQLLGILPRCPFGRFAASKYLAVVHAKLEESLFGAGSEQRRQVLEGAHPRTGFYSEFLRLAKAVWLLHLLAFALDPAPSHFEASRGADFHPRYMESVVRFAGGRVPPGLVVGFPVGPGFKLGDGSVIRARVYLVRGPLPRPQ >Ma09_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4981608:4984841:1 gene:Ma09_g07570 transcript:Ma09_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNNWLGFSLSPQELPPSQSHQTHPSSGIISNDEVSADCYGLSTDSSSTAPLGIPTLRPDGSFGILEAIHRPGYHSQDWSMKSLEYKGSSSELSMLVGASSNQNTMDNEQPKLEDFLGGHSFARHDQKLPSIAGNYDNPGGYMFSHGCNNGGLMSSNAGCSSSSIGLSMIKTWLRNQPAPPSQVEGNGTSGDGCSTMNGGGAVVGNVGGALTSSQSLSLSMSTGSQSSSPLPLMAAAASGGGDSSSSESKQREGSGVSAALDAQSGALETVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESSTLPIGGAAKRLKEISEHTEASVDGRMADVGSITSHLTDTISSYASHHHGWPTMGFQQSQPLAVHYPYGHQPRGWYCKQEQDAVIAAAHGLQDLQQLNLGNSTHNFFQPSVIHNLVNLESSSMDHGTGSNAVIYSGNVGGSGGSYQVDGSGGFVMPVGTTMVGDQNNPGSSSSYAESEGKQMGYENILSTGDPYAGRSIYYLSQQSGGGSGVVKENGYEQMNGCNAWVAAPVQASANGGNNVTVCHGAPLFTVWNDA >Ma07_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1394632:1397714:-1 gene:Ma07_g01810 transcript:Ma07_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGIMPSDSSVGMACDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEEEEPEDY >Ma09_p26060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37414362:37421242:1 gene:Ma09_g26060 transcript:Ma09_t26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKVQQMSGRAVEKVIVHPLVLLSIVDNYNRVARDTRKRVIGVLLGSSAKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNDYVPNSVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVSSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLSALKGLDARLREIRSYLDLVIDGKLPLNHEILYHLQDIFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTTAIPSAAGS >Ma08_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9239682:9263240:1 gene:Ma08_g12260 transcript:Ma08_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYSKSDQVEEAEGIFHELKEKGLKPTTTTYNILMNAYSRRMQPEIVESLITEMQGLGLKPNVKSYTCLISAYGRQRKMSDMAADAFLRMKKAGIKPTSHSYTALIHAYSVGGWHEKAYAAYENMKREGISPSIETYTALLEAFRRAGETDKLMEIWKSMISNKVEGTRPTVMTYNILMNAYARGGQHCKLPQSLKDMSALNLKPDSVTYSTMIYAYVRVERHQFVAIYLFVSDESNVLSVLWCHSNLVIAQECIHECEEFMSRSGVYELVYPWERVAVFGACPVEISVIDTIL >Ma06_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8118815:8120322:1 gene:Ma06_g11650 transcript:Ma06_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRKRGRERERERDRERKHKIAEGTHKKPRLGPTYIITKDC >Ma00_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17957221:17961255:1 gene:Ma00_g02480 transcript:Ma00_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTTDALMCRAFPTTLRGPARAWYCSLKTGTITSFDQLARDFELNFLTYARPKPSAALLLGLNQREDEPLSHFVNRFTTQIRGLSDAHPFLLMQVFMMGLRPTRFFWSLVERSPTAVPEMLQRASQFIAAETWMAGRREEHKRVKSEQPRPQQPTASRRRLDRPDLPTPRPPLPALNSSRTEIFLHIREKGLLKEPHPMRSPRELADRSKYCCFHRQHGHDTEQCRELKRQIEELIYRGHLGQYLRPNKELSLCPEGPIERHIDVIAGGPASGEGSMTGRKAYARAAPTEAPRNGPELEITFPTGASEQPEHDDALVVSVRIANAQVRRIMVDIGSSIDILYFDAFQKLGLARENLKPMSSALTGFTGDSISPLGAITLPLTLAAPPRSKIVMTTFLVVDLPTVYNAILGRPTLNKVRAVVSTYYQTIKFPTHVGVGEVTESPRESRHCYLTAISLNKRARMEPPLEDPQEMKRPTPHPEPKESTIDLPLLEDRPDRMVKVGLELPERERKQLIGLLRENADVFAWSPSDMTGVDPEVAQHHLNISPDTLPVKQKPKRQAPDRQLAIREEVDRLLAAGFIKEARYPRWLSNVVLVKKPNGNWRMCVDYTSLNNACPKDCYPLPKIDQLVDATAGHAHLSFMDAFSGYNQIRMAPEDQEHMAFLTNQGIYFYKVMPFGLKNVGATYQRTVNKMFAHQIGRNMEVYVNDMIVKSQEVTAHLADLAETFATLRKFGMRLNPTKCAFGVTSGKFLKFIIYERGIDANPEKVQAVIDMQSPRTIKDLQRLNGRLVAMSRFLARSGDRCLPFFRALKNPKSFQWMAECEEAFQRMKRHLANLPRLASVSPGEKLGLYLAASRHAVSSVLVKENSGEQLPVYYVSHVLSGPEERYPPIEKLALELVLSARKLRTYFQAHPVEVVTDQPLRQVLSKFDVAGRLLKWAVELCEHDVRYVPRTAIKAQSVADFIAELTQTEETDLEQPPEPWVLHVDGSANSKGAGAGLVLRSPDGRSFERSLRFGFRATNNEAKYEALLAGLRLALEMQVVTLHVLTDSQLVAEQLSDRYEARDPVMAKYLAQVQNLSTQFSHFTLSNVPRGENERADALAKMASKTALGVRPEVDELPARAIEIIATDLGGAPTTWVQELLRFKRDGTLPLDEAAARRLRWTHAWFSEVSGRLYKQSFTYPLLWCLEPDEAQTVLAEVHEGVCGEHIGGRTLAHKILRQGYYWPTMCRDAKAYVQRCSSCQEHARAPRQPAVPLAPIDCSWSFAQWGLDLLGPFQPASGQRKYIIVGVDYFTKWVEAEPLATITEWQMEKFVWRNLVTRFDLPKTIITDNGPQFASRKF >Ma09_p21470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32502738:32505783:1 gene:Ma09_g21470 transcript:Ma09_t21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMGDLFDHIEDLLDFPDDVLGLAEPCGHNGGLLLPSLPVPAANGLPGGVGDDALHGFTTRSNNKFSGEEHKLGSLEWMSKFLDDSDSFSLDLPSCDGINNNANGEDNGVQTKARGHHSFFRTSSPVSVLEADTMGGGGGGDSYSSSSSSSSTSASYSNRGNDATIPLPPLSPPEPPSVLVVPARARSKRPRPATFSPRHHVAAPYLPPSSDAVPVPNPLSAATSSDPESIGESCPVPPPPKKKKSKKKSPATAATADGEESGPPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRINASQKCATEGAATSSSSDGCDLLEYIRRRE >Ma09_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32502742:32505783:1 gene:Ma09_g21470 transcript:Ma09_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMGDLFDHIEDLLDFPDDVLGLAEPCGHNGGLLLPSLPVPAANGLPGGVGDDALHGFTTRSNNKFSGEEHKLGSCDELDIVQLEWMSKFLDDSDSFSLDLPSCDGINNNANGEDNGVQTKARGHHSFFRTSSPVSVLEADTMGGGGGGDSYSSSSSSSSTSASYSNRGNDATIPLPPLSPPEPPSVLVVPARARSKRPRPATFSPRHHVAAPYLPPSSDAVPVPNPLSAATSSDPESIGESCPVPPPPKKKKSKKKSPATAATADGEESGPPPPVRKCMHCEIQKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRINASQKCATEGAATSSSSDGCDLLEYIRRRE >Ma02_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21154664:21157190:1 gene:Ma02_g12410 transcript:Ma02_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAKAEAEAKAWETLKDAVEAYMGPSPVTFFTVLAVAVALALDFYYASPSRCPCSLRRSPRRSSVPTTAPAPSSRSSWPSRARSMTSPRPGCFMDLVDHMHFLLAKMQVEH >Ma04_p14760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11202769:11207368:1 gene:Ma04_g14760 transcript:Ma04_t14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEKEMTASPTSSRSVTETVNGSHKFVIQGYSLAKGMGVGKHIASDTFTIGGYQWAIYFYPDGKNVEDNSTYVSVFIALASDGTDVRALFELTLVDQSGKGKHKIHSHFDRSLESGPYTLKYRGSMWGYKRFFRRSALETSDYLKDDCLKINCTVGVVVSVMDSPGLHSVHVPESDIGMHFGSLLDKQEGSDVVFDVSGEKIHAHKLVLAARSPIFHSLFFDGLDDERNEIAVTDMVPKVFKAMLHFIYRDTLLEDDILVTSYLPESSVSDTLVAKLLAAADKYCLERLRLLCEAHLCREISVNSVASTLALADQYHAMELKASCLKFAAENLAAVMRSSGFEQLKDNSPALQSELLTTIAGCDEEYKKSRSDWGQLSDGEDSSGRRIRPRTDTDTNY >Ma00_p03500.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29719460:29737640:1 gene:Ma00_g03500 transcript:Ma00_t03500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLVMEVPDTPDRLAQLANKSSGSSFQNENINVEVDPLSPSQGIKHLLQRRNSKHLNLKDTGERYDSTRSTTLADKILSTPGKSMSETVNMKPLLGTSFEVGKSAVSMDNKERVKRTDLNHDTLTLLNGAICEDAKRKRGKGLLSENSGVLRSYGSSALLGMGSSLPSMSVGHGRSQIGMVISDKDNLSLQNACADMKFRDKGKGVDSCSGVHSESHQDLASVIQTVVPQRPRGLRRLVRNGCISPYNIARVSNESEVNCQNGKTSGGQKCSSFARISDQDKLQGIDILDDLQPKVSQRKLVRNVCISHNSSGSSKQPIEDELMEIEFGRVPKPNRTSNQIHNVNPDSRGRSDDVKKGKGKAKTDIYTMTNGQHGKANFPLSRLDSRKDVAIYGDSNTDVLGFEDQGRKTEGWREKESTVSSFKTANICESEASGHQSDQSNVVAIGTERPSSESDLESRRKKHTDRKRKYGSSSNFNGESSSSVLDWRFSKSRSTKTHNPHERGIILGSVIEVDELHSPEARSSNLQEQSHSIFDSCNAMTRRVESDELFAQQLQEQLYNESPGIRNREEIDASIAWSLQQEENARPMSLPSWQTQRNRRDRLITRLHSQQLPGNYLPQSVNTAQYGLSMRSAPWMRYVDFPEMDVNMRSDLLDELDAGMNSISYTTNILHIQRDFDENDYETLLALDENNHQHSGASENQINNLPQSTVQADTIEECAVCLEKPSIGDIIRHLPCLHKFHKDICYKLPPWFLIVCYMDPIELC >Ma00_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29719460:29737641:1 gene:Ma00_g03500 transcript:Ma00_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEPDLVMEVPDTPDRLAQLANKSSGSSFQNENINVEVDPLSPSQGIKHLLQRRNSKHLNLKDTGERYDSTRSTTLADKILSTPGKSMSETVNMKPLLGTSFEVGKSAVSMDNKERVKRTDLNHDTLTLLNGAICEDAKRKRGKGLLSENSGVLRSYGSSALLGMGSSLPSMSVGHGRSQIGMVISDKDNLSLQNACADMKFRDKGKGVDSCSGVHSESHQDLASVIQTVVPQRPRGLRRLVRNGCISPYNIARVSNESEVNCQNGKTSGGQKCSSFARISDQDKLQGIDILDDLQPKVSQRKLVRNVCISHNSSGSSKQPIEDELMEIEFGRVPKPNRTSNQIHNVNPDSRGRSDDVKKGKGKAKTDIYTMTNGQHGKANFPLSRLDSRKDVAIYGDSNTDVLGFEDQGRKTEGWREKESTVSSFKTANICESEASGHQSDQSNVVAIGTERPSSESDLESRRKKHTDRKRKYGSSSNFNGESSSSVLDWRFSKSRSTKTHNPHERGIILGSVIEVDELHSPEARSSNLQEQSHSIFDSCNAMTRRVESDELFAQQLQEQLYNESPGIRNREEIDASIAWSLQQEENARPMSLPSWQTQRNRRDRLITRLHSQQLPGNYLPQSVNTAQYGLSMRSAPWMRYVDFPEMDVNMRSDLLDELDAGMNSISYTTNILHIQRDFDENDYETLLALDENNHQHSGASENQINNLPQSTVQADTIEECAVCLEKPSIGDIIRHLPCLHKFHKDICYKLPPWFLIVCYMDPIELC >Ma00_p03500.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29719460:29737641:1 gene:Ma00_g03500 transcript:Ma00_t03500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLVMEVPDTPDRLAQLANKSSGSSFQNENINVEVDPLSPSQGIKHLLQRRNSKHLNLKDTGERYDSTRSTTLADKILSTPGKSMSETVNMKPLLGTSFEVGKSAVSMDNKERVKRTDLNHDTLTLLNGAICEDAKRKRGKGLLSENSGVLRSYGSSALLGMGSSLPSMSVGHGRSQIGMVISDKDNLSLQNACADMKFRDKGKGVDSCSGVHSESHQDLASVIQTVVPQRPRGLRRLVRNGCISPYNIARVSNESEVNCQNGKTSGGQKCSSFARISDQDKLQGIDILDDLQPKVSQRKLVRNVCISHNSSGSSKQPIEDELMEIEFGRVPKPNRTSNQIHNVNPDSRGRSDDVKKGKGKAKTDIYTMTNGQHGKANFPLSRLDSRKDVAIYGDSNTDVLGFEDQGRKTEGWREKESTVSSFKTANICESEASGHQSDQSNVVAIGTERPSSESDLESRRKKHTDRKRKYGSSSNFNGESSSSVLDWRFSKSRSTKTHNPHERGIILGSVIEVDELHSPEARSSNLQEQSHSIFDSCNAMTRRVESDELFAQQLQEQLYNESPGIRNREEIDASIAWSLQQEENARPMSLPSWQTQRNRRDRLITRLHSQQLPGNYLPQSVNTAQYGLSMRSAPWMRYVDFPEMDVNMRSDLLDELDAGMNSISYTTNILHIQRDFDENDYETLLALDENNHQHSGASENQINNLPQSTVQADTIEECAVCLEKPSIGDIIRHLPCLHKFHKDICYKLPPWFLIVCYMDPIELC >Ma00_p03500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29719460:29737640:1 gene:Ma00_g03500 transcript:Ma00_t03500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEPDLVMEVPDTPDRLAQLANKSSGSSFQNENINVEVDPLSPSQGIKHLLQRRNSKHLNLKDTGERYDSTRSTTLADKILSTPGKSMSETVNMKPLLGTSFEVGKSAVSMDNKERVKRTDLNHDTLTLLNGAICEDAKRKRGKGLLSENSGVLRSYGSSALLGMGSSLPSMSVGHGRSQIGMVISDKDNLSLQNACADMKFRDKGKGVDSCSGVHSESHQDLASVIQTVVPQRPRGLRRLVRNGCISPYNIARVSNESEVNCQNGKTSGGQKCSSFARISDQDKLQGIDILDDLQPKVSQRKLVRNVCISHNSSGSSKQPIEDELMEIEFGRVPKPNRTSNQIHNVNPDSRGRSDDVKKGKGKAKTDIYTMTNGQHGKANFPLSRLDSRKDVAIYGDSNTDVLGFEDQGRKTEGWREKESTVSSFKTANICESEASGHQSDQSNVVAIGTDLESRRKKHTDRKRKYGSSSNFNGESSSSVLDWRFSKSRSTKTHNPHERGIILGSVIEVDELHSPEARSSNLQEQSHSIFDSCNAMTRRVESDELFAQQLQEQLYNESPGIRNREEIDASIAWSLQQEENARPMSLPSWQTQRNRRDRLITRLHSQQLPGNYLPQSVNTAQYGLSMRSAPWMRYVDFPEMDVNMRSDLLDELDAGMNSISYTTNILHIQRDFDENDYETLLALDENNHQHSGASENQINNLPQSTVQADTIEECAVCLEKPSIGDIIRHLPCLHKFHKDICYKLPPWFLIVCYMDPIELC >Ma00_p03500.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29719432:29737348:1 gene:Ma00_g03500 transcript:Ma00_t03500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLVMEVPDTPDRLAQLANKSSGSSFQNENINVEVDPLSPSQGIKHLLQRRNSKHLNLKDTGERYDSTRSTTLADKILSTPGKSMSETVNMKPLLGTSFEVGKSAVSMDNKERVKRTDLNHDTLTLLNGAICEDAKRKRGKGLLSENSGVLRSYGSSALLGMGSSLPSMSVGHGRSQIGMVISDKDNLSLQNACADMKFRDKGKGVDSCSGVHSESHQDLASVIQTVVPQRPRGLRRLVRNGCISPYNIARVSNESEVNCQNGKTSGGQKCSSFARISDQDKLQGIDILDDLQPKVSQRKLVRNVCISHNSSGSSKQPIEDELMEIEFGRVPKPNRTSNQIHNVNPDSRGRSDDVKKGKGKAKTDIYTMTNGQHGKANFPLSRLDSRKDVAIYGDSNTDVLGFEDQGRKTEGWREKESTVSSFKTANICESEASGHQSDQSNVVAIGTDLESRRKKHTDRKRKYGSSSNFNGESSSSVLDWRFSKSRSTKTHNPHERGIILGSVIEVDELHSPEARSSNLQEQSHSIFDSCNAMTRRVESDELFAQQLQEQLYNESPGIRNREEIDASIAWSLQQEENARPMSLPSWQTQRNRRDRLITRLHSQQLPGNYLPQSVNTAQYGLSMRSAPWMRYVDFPEMDVNMRSDLLDELDAGMNSISYTTNILHIQRDFDENDYETLLALDENNHQHSGASENQINNLPQSTVQADTIEECAVCLEKPSIGDIIRHLPCLHKFHKDCIDQWLRRKTSCPVCKSGIT >Ma00_p03500.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29719460:29737348:1 gene:Ma00_g03500 transcript:Ma00_t03500.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEPDLVMEVPDTPDRLAQLANKSSGSSFQNENINVEVDPLSPSQGIKHLLQRRNSKHLNLKDTGERYDSTRSTTLADKILSTPGKSMSETVNMKPLLGTSFEVGKSAVSMDNKERVKRTDLNHDTLTLLNGAICEDAKRKRGKGLLSENSGVLRSYGSSALLGMGSSLPSMSVGHGRSQIGMVISDKDNLSLQNACADMKFRDKGKGVDSCSGVHSESHQDLASVIQTVVPQRPRGLRRLVRNGCISPYNIARVSNESEVNCQNGKTSGGQKCSSFARISDQDKLQGIDILDDLQPKVSQRKLVRNVCISHNSSGSSKQPIEDELMEIEFGRVPKPNRTSNQIHNVNPDSRGRSDDVKKGKGKAKTDIYTMTNGQHGKANFPLSRLDSRKDVAIYGDSNTDVLGFEDQGRKTEGWREKESTVSSFKTANICESEASGHQSDQSNVVAIGTERPSSESDLESRRKKHTDRKRKYGSSSNFNGESSSSVLDWRFSKSRSTKTHNPHERGIILGSVIEVDELHSPEARSSNLQEQSHSIFDSCNAMTRRVESDELFAQQLQEQLYNESPGIRNREEIDASIAWSLQQEENARPMSLPSWQTQRNRRDRLITRLHSQQLPGNYLPQSVNTAQYGLSMRSAPWMRYVDFPEMDVNMRSDLLDELDAGMNSISYTTNILHIQRDFDENDYETLLALDENNHQHSGASENQINNLPQSTVQADTIEECAVCLEKPSIGDIIRHLPCLHKFHKDCIDQWLRRKTSCPVCKSGIT >Ma00_p03500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29719432:29737348:1 gene:Ma00_g03500 transcript:Ma00_t03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLVMEVPDTPDRLAQLANKSSGSSFQNENINVEVDPLSPSQGIKHLLQRRNSKHLNLKDTGERYDSTRSTTLADKILSTPGKSMSETVNMKPLLGTSFEVGKSAVSMDNKERVKRTDLNHDTLTLLNGAICEDAKRKRGKGLLSENSGVLRSYGSSALLGMGSSLPSMSVGHGRSQIGMVISDKDNLSLQNACADMKFRDKGKGVDSCSGVHSESHQDLASVIQTVVPQRPRGLRRLVRNGCISPYNIARVSNESEVNCQNGKTSGGQKCSSFARISDQDKLQGIDILDDLQPKVSQRKLVRNVCISHNSSGSSKQPIEDELMEIEFGRVPKPNRTSNQIHNVNPDSRGRSDDVKKGKGKAKTDIYTMTNGQHGKANFPLSRLDSRKDVAIYGDSNTDVLGFEDQGRKTEGWREKESTVSSFKTANICESEASGHQSDQSNVVAIGTDLESRRKKHTDRKRKYGSSSNFNGESSSSVLDWRFSKSRSTKTHNPHERGIILGSVIEVDELHSPEARSSNLQEQSHSIFDSCNAMTRRVESDELFAQQLQEQLYNESPGIRNREEIDASIAWSLQQEENARPMSLPSWQTQRNRRDRLITRLHSQQLPGNYLPQSVNTAQYGLSMRSAPWMRYVDFPEMDVNMRSDLLDELDAGMNSISYTTNILHIQRDFDENDYETLLALDENNHQHSGASENQINNLPQSTVQADTIEECAVCLEKPSIGDIIRHLPCLHKFHKDCIDQWLRRKTSCPVCKSGIT >Ma09_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7953131:7954793:-1 gene:Ma09_g11720 transcript:Ma09_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLTSSEDEHQGWGSISALFAAESDHMISTVGLIDLSSRRDAVSLVLQAQFDCNLDPFVAYLAINYIDRFLSKRKIQREKPWIIQLLSIACLSLASKMKKTNFMLANFQGKEGFIFDAQTIRRMELLVLGTLDWRMRSVTPFSFLSFFISFFSPARPPLLQALKAHATQILLKAKNEIKMLEFKPSVVAASSLLSAAYEIFPIQFPAFRAAVSSCNFVNEEKLWECSIVIGAATATAMDGCDDSAGMGEGSSPETPVTVLGRHSSSSESETAVGSSSDGRELKKRRTTSTLRASCDHSG >Ma07_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10728936:10744285:1 gene:Ma07_g14330 transcript:Ma07_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVTMEIGSDGVAVITICNPPVNALAPSIIAGLKEKYTEAMNRSDVKAIVLTGDGGKFSGGFDINVFTEIHKTGNLSLLPDVSVELVVNTIEDAPKPSVAAIQGLALGGGLEIAMGCHARVSTPEAQLGLPELSLGVIPGFGGTQRLPRLVGLPKALDMMLQSKSIIAKEGEKYGLIDAIVSPEDLLKISRCWALDIAERRKPWISSLRRTDRLGSLSEAHEILKAARQQVNRIAPNMPQHKECLDSVEEGVNFGGYAGVLKEAKVFKELVLSSTSRGLVHVFFAQRATSKVHSVTDVGLRPRKIKRVAVIGGGLMGSGIATALILSNTPVILKEIDSNLLQKGLKMIRANLEGLVKKGSLTQDKMNKALSLLKGVLDYSEFKHVDMVIEAVIEKVSLKQSIFADLEKACPPHCILASNTSTIDLNIVGEKTRSQDRIIGAHFFSPAHVMPLLEIVRTDKTSPQVMLDLMTIGKAIRKVPVVVGNCTGFAVNRTFFPYTQGAQLLVHLGVDVFRIDRVISSFGMPMGPFQLQDVAGYGVALAVKDIYDSAFRGRTFQSQLVELMVKSGRNGKNNGKGYYIYEKGQKPKPDPSVQAIIEESRRLANVMPGGKPITINDQEVLEMIFFPVVNEACRVTDEGVVIRASDLDISSVLGMGFPRYRGGIIFWADTIGSGYIYAKLNKWANSYGNFFKPSAYLEERATKGLPLSMVNVSGSQQSRSRM >Ma06_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6655809:6658873:1 gene:Ma06_g09500 transcript:Ma06_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALKKVASFSIPKKAKEEISSIGEDLSRFSSTVEEKAKWIFEKLKGKPSKSLPDLLREYGIPQGLFPRNIICYEFDESKSKLIVHLPSTCEISFKDSSVLRYATRVKATLSRGKLSGIEGMKTKVLVWVKVTQVSLESYKSDKVCFMAGVKKLRPKDAYDMPRDSITVEEF >Ma01_p09210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6658174:6662154:1 gene:Ma01_g09210 transcript:Ma01_t09210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAVPFWRAAGMTYITYSNICASLVRSCLKEPYKSETSGREKVHFNVTKWANGKPEKPAEHSYALLPWLIARLVNKASMLLQMQTNAYLAGMMEVTGSVRLNRDPHRRSEAARI >Ma01_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6658174:6662154:1 gene:Ma01_g09210 transcript:Ma01_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAVPFWRAAGMTYITYSNICASLVRSCLKEPYKSETSGREKVHFNVTKWANGKPEKPAEHSYALLPWLIARLVNKASMLLQMQTNAYLAGMMEVTGSVRLNRDPHRRSEAARI >Ma01_p09210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6658174:6662154:1 gene:Ma01_g09210 transcript:Ma01_t09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAVPFWRAAGMTYITYSNICASLVRSCLKEPYKSETSGREKVHFNVTKWANGKPEKPAEHSYALLPWLIARLVNKASMLLQMQTNAYLAGMCDSVVVYFVCSFTCTQMFDMHTVHYRKYHRMS >Ma04_p30040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30792255:30799900:-1 gene:Ma04_g30040 transcript:Ma04_t30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGTAIDAEGATGSNIGNPQLEDKSAICDTAREEQMDVDEKKEAKEIDIDGQEKSKGKKDVNKDDHVLEEGGEEKKEGEAEEDGEEKKEGEIEEDGKEEEEGDTKEEGEEEKEGEIEEDGAEKKGGEIEDDGDKKTEGEVDGDEKREGGDWEKEVDVKDGDKMKKVEVKENGDKKNEGKEVIDALENEDGQQTDITNLENVGSEKEYTENVNTVEPEDVKMVDAEHVKADAVDVKMVDAEDVKDEEKGGETVEQGVQDATENDVNLEEDVGITAEKVNEDKGGDRSKKKRSGPKKSGQKGEGKDKDGETKAMKLLASPGSSIERPVRERKTVERLVEVIEKEPNKEFQVEKGRGTPLKDIPNVAHKLARKRPADIKLIHQTLFGRKGKAVNFKSHILQFSGFVWHESDEKQRAKMKEKLDKYVKDTLLDLCDLFDLSVSRANSRKEDLVTKLLDFLVAPHSTTDSVHAEDQKSRKRKRMDRGSASKRRGVLTKHPEKWIKSEETAATKESSAQETEDEEEDEKVVDVHSEEKVRKHSESEAKETESGEDEDEDEDDDDVDEYDEKKLGKGKPDKRKVGRRQGSVAKEKLKVGTSPKKSSLPMTTNSPAKRSFSKRSKAEEDNDASMKVFVSKKRNLGSPKKKSTPKSNTKEKTTDKKTARGKPRRLEVEQPTKEELRNKICEILKEVDFNTATFTDILKQLAAYYKMDLTPRKASLKLMIQEELTKLAEEDEEDEDEDDDDAEKEGKPESKGKAVEA >Ma09_p19040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19656218:19671417:1 gene:Ma09_g19040 transcript:Ma09_t19040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAANNRPEDLTARLGVGAGAAQGEALLKALREVKNQIIGNKTKKLLYLHLGAVPKIVSVLAAAAASSSSDSGGISRGGDAAVIVQAAAAIGSFACGVEDGVRAVLDAGAVPHLTSILSHDDEKVVDAGARSLKMIFQSELAPKYDVLQEKNLNFILSLLDSDNENVTELAASIIAHSCETNEQQKALCDAGVLLRFVSLLGGSSNQKDGCLESIAAVIKNNPEVSSRFSCTGNGKALNALANLIQDRYPHTRLLACKCLIAVGHASPCYVEELQIKTKLVLVLVELLEEPGRVGNEAPFSLKTLIADDEELHKQALSVNAIEKLCSILQMSSVQARRLQGILLALAELCSKLEKCRCQLMSPQVLNLIVDALKHDCMDVRVAACDCIRNITRSLKNLSAGCLSSEAFIVRLVQLSHDPSTSVQSVLIKCGGVSQLVQLSKSMDSALRSRSVLALRNLMFLADITDKEFILKELTLCTLASLIYDSECSIQEQALALTSDLVDGCSQSVKHVLAEDSIILDAVMRQLQNASSIGVCIQGMFVLSNIAAGSESDKDTVMNYLVPPHTDSCIPSFTIKFLQSKDILLRVASLWCFVNLTDPASVGSSRRVARLQDAGIVLQLKSMLNDPCLDCKLRVRMILEQCMELETAQA >Ma09_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19656218:19671417:1 gene:Ma09_g19040 transcript:Ma09_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAANNRPEDLTARLGVGAGAAQGEALLKALREVKNQIIGNKTKKLLYLHLGAVPKIVSVLAAAAASSSSDSGGISRGGDAAVIVQAAAAIGSFACGVEDGVRAVLDAGAVPHLTSILSHDDEKVVDAGARSLKMIFQSELAPKYDVLQEKNLNFILSLLDSDNENVTELAASIIAHSCETNEQQKALCDAGVLLRFVSLLGGSSNQKDGCLESIAAVIKNNPEVSSRFSCTGNGKALNALANLIQDRYPHTRLLACKCLIAVGHASPCYVEELQIKTKLVLVLVELLEEPGRVGNEAPFSLKTLIADDEELHKQALSVNAIEKLCSILQMSSVQARRLQGILLALAELCSKLEKCRCQLMSPQVLNLIVDALKHDCMDVRVAACDCIRNITRSLKNLSAGCLSSEAFIVRLVQLSHDPSTSVQIAALGALCNIVINCATRKSVLIKCGGVSQLVQLSKSMDSALRSRSVLALRNLMFLADITDKEFILKELTLCTLASLIYDSECSIQEQALALTSDLVDGCSQSVKHVLAEDSIILDAVMRQLQNASSIGVCIQGMFVLSNIAAGSESDKDTVMNYLVPPHTDSCIPSFTIKFLQSKDILLRVASLWCFVNLTDPASVGSSRRVARLQDAGIVLQLKSMLNDPCLDCKLRVRMILEQCMELETAQA >Ma01_p12930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9461051:9467098:1 gene:Ma01_g12930 transcript:Ma01_t12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRIKDQENYGEKSQTDVENQHGKPFSVGAADSVPSSGVSSTNKSNWKLKSVVTIALTLLTSSQAILIVWSKRAGKYDYSVTTANFSVEALKCTLSLAALVRIWKSHGVTADNTLSTSFEEVNVYPIPAILYLIKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNSTSDRVLQTPFQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMIFNLVAICIQDYDEVMNKGFFHGYSFITVCMVLNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVLSVFLFSFHLSLAFFLGSTVVSVSVYLHSIGKPQPQK >Ma05_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1225066:1228570:-1 gene:Ma05_g01960 transcript:Ma05_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYHLLFLSLLSPSSAAAASAGYAEEHRALLSLKSELSNPGAALAAWEAATDHCSWPGVTCGSSGAVVALDLSGRNLSGPLPPAVGRLRSLLRLSVAANFLSGPIPPELALLPDLRHLNLSNNLLNGSFPVALARLADLRLLDLYNNNLTGPLPQEVAAMTLLRHLHLGGNFFSGSIPPEYGHWQFLEYLAISGNELSGPIPREIGNLSALRELYIGYFNSYDGGVPPEIGNLSALLRLDAANCGLSGGIPSEMGNLRNLDTLFLQVNGLSGEIPPELGRLRSLKSMDLSNNALTGQIPPSFSGLSNLTLLNLFRNKLFGTIPEFVGDLPELEVLQMWENNFTGSIPRRLGTNGQLQLLDLSSNKLTGSLPPDLCYGNKLETLIALGNFLFGSIPESLGRCESLSRIRMGDNYLNGSIPHGLFSLPNLSQVEFQDNLLTGGFPDTGDSSISPSLGQICLSNNRLVGPLPPSIGNFSGLQKLLLSQNSFSGSIPPEVRRLQQLSKLDFSGNRFSGPITPEISKCKLLTFVDLSRNEISGNVPSDIAALRILNYLNLSRNHLEGQIPSAISTMQSLTAVDFSYNNLSGLVPSTGQFSYFNATSFVGNRDLCGPYLGPCRPGISNESRPSRAKGALSASFKLLLVVGLLICSIAFAVAAIIKARSLKRASEARAWRLTAFQRLDFTCDDVLNCLKEENIIGKGGAGIVFKGVMPDGEQVAVKRLPAMSRGSPHDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWVTRSKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTGSDKENVAKILDPRLPTAPIHEVMHVFYVAMLCVEEQSVGRPTMREVVQMLTELPIPSLKQGEDSSSGNVTAQPPPPIVASVDSPVKETREHQQQPASHSPPSDLLSN >Ma05_p26210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37905254:37908506:1 gene:Ma05_g26210 transcript:Ma05_t26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSLHSVDSVVEEIMRLHRSLPARPGIDEVEAAMALVHNVDKEEQGRIDAILNQNKASEVPEELFFVLQEMQKNLVYYQSKEQKREALKLLDLENIHVLFDELIQRASGCLPSSSNASSLSVPTPSVTDSKVNSKTPTSYSTSVSSAFYSEKEVGRSTDWVSKDDSFLKKPRSHVDGISSNTHLSRGLVPNSTTRQEVTSGEESGKLNLIKLASLIEVSAKKGTRDLNLQNKLMDQIDWLPDSIGKLSSLITLDLSENRIVVLPTTMGALSSLTKLDLHSNRIAQLPDSIGDLHRLLFLDLRGNQLTSLPSTFCKLVHLEELDLSSNQISSLPDAIGSLVRLKKLNVETNDIEELPHSIGNCVVLAELRADYNRLKGLPEAVGRLESLEVLSVRYNNIKGLPTTMASLSKLKELDVSFNELESIPESLCLATSLIKLNIGNNFADLQSLPRSIGNLELLEELDISNNQIRVLPDSFGMLSQLRVLRAEENPLEMPPRHITEMGAQAVVQYMAEYIAKRDIKVLPLKSKMSWAQFCFFSRPNKRKHDGSDL >Ma05_p26210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37905254:37908504:1 gene:Ma05_g26210 transcript:Ma05_t26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSLHSVDSVVEEIMRLHRSLPARPGIDEVEAAMALVHNVDKEEQGRIDAILNQNKASEVPEELFFVLQEMQKNLVYYQSKEQKREALKLLDLENIHVLFDELIQRASGCLPSSSNASSLSVPTPSVTDSKVNSKTPTSYSTSVSSAFYSEKEVGRSTDWVSKDDSFLKKPRSHVDGISSNTHLSRGLVPNSTTRQEVTSGEESGKLNLIKLASLIEVSAKKGTRDLNLQNKLMDQIDWLPDSIGKLSSLITLDLSENRIVVLPTTMGALSSLTKLDLHSNRIAQLPDSIGDLHRLLFLDLRGNQLTSLPSTFCKLVHLEELDLSSNQISSLPDAIGSLVRLKKLNVETNDIEELPHSIGNCVVLAELRADYNRLKGLPEAVGRLESLEVLSVRYNNIKGLPTTMASLSKLKELDVSFNELESIPESLCLATSLIKLNIGNNFADLQSLPRSIGNLELLEELDISNNQIRVLPDSFGMLSQLRVLRAEENPLEMPPRHITEMGAQAVVQYMAEYIAKRDIKVLPLKSKMSWAQFCFFSRPNKRKHDGSDL >Ma05_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32848489:32849292:1 gene:Ma05_g21160 transcript:Ma05_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPDTALKAYVDAVKALAERGLEESSVAEMVSAMAGGWRAQLVVEAWARDAGAATGVGLRAAVEHVRGRHVCVVPDEQWAAEYVGAMRRAGESVEAESVAVGEAEGAMRELEGVDLMVVDCRRRDAGRVLREARPGERGMVVVCKWAGRQRGGAAGVFRAGTRVVRATYLPLEGGVEVFHVGVGKGPSLGDVRSRWIRYVDDYNNEEHLFRRW >Ma07_p21570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29642800:29646131:1 gene:Ma07_g21570 transcript:Ma07_t21570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVSISPDESNGQRRGKRILDETVPLLPSKQEETGEFDEFNGASFAGAVFNLSTTIVGAGIMSLPATMKVLGLVPGILLIVFFAFFTEQSIDMLIRSSRAGKTVSYGGVMGDAFGKTGKALIQLCIIVNNVGVLIVYMIIIGDVLSGTSSSGYHHSGVLEGWFGQHWWTSRFVILLVSMLAVFAPLACFKRVDSLRYTSALSVALAVVFVVITAGIAVVKLLAGSIAMPKLFPDVPDLASVWNFFTVVPIIVTAYICHYNVHPIENELDDPSQIKPVVRTSLALCSTVYITTSFFGFLLFGESTLDDVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRVNVDGLLFASASPLSSDNRRFAIITVVLLSIIFLAANFIPSIWDAFQFTGATAAVCIGFIFPAAITLRDPHGIATKWGKILAVFMIILAVLSNAIAIYSDAYALFKKTDASPES >Ma07_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29642800:29646131:1 gene:Ma07_g21570 transcript:Ma07_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVSISPDESNGQRRGKRILDETVPLLPSKQEETGEFDEFNGASFAGAVFNLSTTIVGAGIMSLPATMKVLGLVPGILLIVFFAFFTEQSIDMLIRSSRAGKTVSYGGVMGDAFGKTGKALIQLCIIVNNVGVLIVYMIIIGDVLSGTSSSGYHHSGVLEGWFGQHWWTSRFVILLVSMLAVFAPLACFKRVDSLRYTSALSVALAVVFVVITAGIAVVKLLAGSIAMPKLFPDVPDLASVWNFFTVVPIIVTAYICHYNVHPIENELDDPSQIKPVVRTSLALCSTVYITTSFFGFLLFGESTLDDVLANFDSNLGIPYSSVLNDAVRVSYAVHLMLVFPMIFHALRVNVDGLLFASASPLSSDNRRFAIITVVLLSIIFLAANFIPSIWDAFQFTGATAAVCIGFIFPAAITLRDPHGIATKWGKILAVFMIILAVLSNAIAIYSDAYALFKKTDASPES >Ma05_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33547720:33553686:-1 gene:Ma05_g21750 transcript:Ma05_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGATGSSSAAAAVTAEKRYLCHQCNRTFTAAGTRLACAHCHGDFVEEFDLPDRNPNPAPHPGRYFRSSFDDVDAFPAFPSLLSAFVDLAAAAAAAAVNVENPSVDASADPLSSFSAPSLRDLVQAVSFGGAAGSGGRGRHFMGNIGDYFVGPGLDQLIQRLSENDPNQYGTPPASKAAVESLPDVKITEGLLASDEAQCSVCMDLFEMDAVAKQMPCNHVYHKECILPWLELHNSCPVCRYELPTDDPDYERHRTPRSNAVNPGGGLTTGAVAGEVDGNLPVRTSERSSGDHGYPASGTH >Ma01_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6886091:6887174:1 gene:Ma01_g09590 transcript:Ma01_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPIKKTHTFFSSKVEQQSSHGHVIQMSNCAGVCSESIYLVLLLCYLSCLYVNHMDLTMICENWQRTDTVTK >Ma11_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5109019:5117800:1 gene:Ma11_g06310 transcript:Ma11_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLIQLHFFTPKYSSVKSPIFTLCVGNGWGEAALLLAAGSKGNRAALPSSSTIMIKQPIARFQGQASDVDLARKEIKNVKAELVAMYSKHIGKTPEQIEEDIRHPKYFSPSEAVEYGIIDKVLYNEMACEDRGIVSDLKRAQLI >Ma05_p26760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38270571:38271461:1 gene:Ma05_g26760 transcript:Ma05_t26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVFGDPIDTEFETLGNANNVFGDPITDETLEGVTEYAKKLRKLRVAMALDTQMKGNKYESALKYVREMKERWGTGVSTLCLVYNATGEPLTLHSTHDWWGHIYDQSPYPMRIGNGQWGAYLHVKRSGTPDGSNAAVVYRGKNDGGDDTDSLLFWDNPWNKASYSNQAYAEINQAGYYDNIDWGVIAGKGSNAGPQYRAAWKGCVSTVVIESGTTAKFEATLTFE >Ma08_p25630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38386116:38391561:-1 gene:Ma08_g25630 transcript:Ma08_t25630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFSEQILADKLSKLNSTQQCIETLSHWCIFHRKHAEQNSKRTGTEFVSEFWKVLPAALKDVFENGDEHGKNVVSRLVDIWDQRRVFGSRARGLKELMLGSEPLPTLELNKKRSRGSVRIIRRDSRSVKIKLSMGGTAEKIISALHNVLSEHAKEESDLNKCKAAVMHAAQLEKDVESVCKHDGDPRRGSLANELQDEEATLKECVEKLKLIESNRAVLVFHLKEALQEQESNLENVRTQLQLAEAMVEEAVNMRKRLNNEPIVMPNKPLSAPESPKPDSIGPPAKISEKTAAAIAAEVADKLTASTHSQQIMTSVLSTFAAEEAKNAGLATSTNPSNSLPIATSDRRMISEKPLPISDTTTTTAFVPVQQIVVSTPHQPQAVLVRQSPVQSQASATQTQYNMYPVSTQQYLQPSGGVMIGLPYTFSTLPPPPPPIPQVINLARSSSPLVQQQQPMALIQQPAAAAAPPPPPLLNMNQPMLINQQPPQYALQQPAPRSYRPLQTPGITFYHAQIQ >Ma08_p25630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38386116:38391584:-1 gene:Ma08_g25630 transcript:Ma08_t25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFSEQILADKLSKLNSTQQCIETLSHWCIFHRKHAEQVIQTWDKQFHSSQREQKVPFLYLANDILQNSKRTGTEFVSEFWKVLPAALKDVFENGDEHGKNVVSRLVDIWDQRRVFGSRARGLKELMLGSEPLPTLELNKKRSRGSVRIIRRDSRSVKIKLSMGGTAEKIISALHNVLSEHAKEESDLNKCKAAVMHAAQLEKDVESVCKHDGDPRRGSLANELQDEEATLKECVEKLKLIESNRAVLVFHLKEALQEQESNLENVRTQLQLAEAMVEEAVNMRKRLNNEPIVMPNKPLSAPESPKPDSIGPPAKISEKTAAAIAAEVADKLTASTHSQQIMTSVLSTFAAEEAKNAGLATSTNPSNSLPIATSDRRMISEKPLPISDTTTTTAFVPVQQIVVSTPHQPQAVLVRQSPVQSQASATQTQYNMYPVSTQQYLQPSGGVMIGLPYTFSTLPPPPPPIPQVINLARSSSPLVQQQQPMALIQQPAAAAAPPPPPLLNMNQPMLINQQPPQYALQQPAPRSYRPLQTPGITFYHAQIQ >Ma08_p25630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38386116:38391561:-1 gene:Ma08_g25630 transcript:Ma08_t25630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFSEQILADKLSKLNSTQQCIETLSHWCIFHRKHAEQVIQTWDKQFHSSQREQKVPFLYLANDILQNSKRTGTEFVSEFWKVLPAALKDVFENGDEHGKNVVSRLVDIWDQRRVFGSRARGLKELMLGSEPLPTLELNKKRSRGSVRIIRRDSRSVKIKLSMGGTAEKIISALHNVLSEHAKEESDLNKCKAAVMHAAQLEKDVESVCKHDGDPRRGSLANELQDEEATLKECVEKLKLIESNRAVLVFHLKEALQEQESNLENVRTQLQLAEAMVEEAVNMRKRLNNEPIVMPNKPLSAPESPKPDSIGPPAKISEKTAAAIAAEVADKLTASTHSQQIMTSVLSTFAAEEAKNAGLATSTNPSNSLPIATSDRRMISEKPLPISDTTTTTAFVPVQQIVVSTPHQPQAVLVRQSPVQSQASATQTQYNMYPVSTQQYLQPSGGVMIGLPYTFSTLPPPPPPIPQVINLARSSSPLVQQQQPMALIQQPAAAAAPPPPPLLNMNQPMLINQQPPQYALQQPAPRSYRPLQTPGITFYHAQIQ >Ma08_p25630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38386116:38391561:-1 gene:Ma08_g25630 transcript:Ma08_t25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVFSEQILADKLSKLNSTQQCIETLSHWCIFHRKHAEQVIQTWDKQFHSSQREQKVPFLYLANDILQNSKRTGTEFVSEFWKVLPAALKDVFENGDEHGKNVVSRLVDIWDQRRVFGSRARGLKELMLGSEPLPTLELNKKRSRGSVRIIRRDSRSVKIKLSMGGTAEKIISALHNVLSEHAKEESDLNKCKAAVMHAAQLEKDVESVCKHDGDPRRGSLANELQDEEATLKECVEKLKLIESNRAVLVFHLKEALQEQESNLENVRTQLQLAEAMVEEAVNMRKRLNNEPIVMPNKPLSAPESPKPDSIGPPAKISEKTAAAIAAEVADKLTASTHSQQIMTSVLSTFAAEEAKNAGLATSTNPSNSLPIATSDRRMISEKPLPISDTTTTTAFVPVQQIVVSTPHQPQAVLVRQSPVQSQASATQTQYNMYPVSTQQYLQPSGGVMIGLPYTFSTLPPPPPPIPQVINLARSSSPLVQQQQPMALIQQPAAAAAPPPPPLLNMNQPMLINQQPPQYALQQPAPRSYRPLQTPGITFYHAQIQ >Ma08_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15872887:15877218:-1 gene:Ma08_g15670 transcript:Ma08_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRGTAGAMEIEEASEKEEEDEEFSPIEQVRLTVRNTDDPTLPVWTFRMWFLGLASVCLMSFLNQFFSYRSEPLVITQITVQVASLPLGHFLARVLPTWRFRLPGLGQREFSLNPGPFNMKEHVLISIFANAGCAFGNGSAYAVGIVNIIRAFYKRSVSLIAGWLLIITTQVLGYGWAGLMRRYVVEPAHMWWPSTLVQVSLFRALHEKEDGRIRRISRAKFFVIVLICSFCWYTVPGYFFPTITSMSWVCWLFSNSVTAQQLGSGLNGLAIGAFTLDWSTVVSFLGSPLVSPFFAIVNVFIGFVIFVYIAIPVAYWGLNSFDAKTFPIFSSELFAATGRKYNITAIVNDRFEIDMAAYEKQGRINLSMFFALSYGFGFAAIAATFTHVMFFYGREIHDRYRASYKGKPDIHTRLMHKYADIPGWWFYSLLLLTVAVSVVLCTVLKDQVQLPVWGLFFACALAFIFTLPISIITATTNQTPGLNIITEYMMGLLQPGKPISNVCFKVYGYMSMAQAVSFLADFKLGHYMKIPPRSMFLVQLIGTIIAGTINITVAHWMLGSIDNICDRARLPPNSPWTCPGDRVFFDASVIWGLVGPRRIFGPLGNYGSLNWFFLGGAIGPVLVWGLHRAFPARSWIPLVNLPVLLGATGIMPPASPINFTAWVVVGTVFNYAVYRRRKKWWERFNYILSAAMDAGVAFMGVLLYFALNMENTSIDWWGTDEHCPLATCPTAKGVQVEGCPVF >Ma05_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:385123:393822:1 gene:Ma05_g00650 transcript:Ma05_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PRD1 [Source:Projected from Arabidopsis thaliana (AT4G14180) UniProtKB/Swiss-Prot;Acc:O23277] MEEYLDDGDENELEMADAACEKGHRPSMAVATAEGGAICLVCLSALLSDRRALTHHVSYALSQLSAAIRCPEFVRRLAGRQPHLLVSPLVRALSSFDDEPLARQLIDLVSDLSGCGLPCSVFGDFITRIADFLSSGALAWSRRQFFMLHCLGVLLNSCKEYNPAAHIRDKAALFSTLVVGLQLPSEEIRGEIMFVLYKMSLQQATPWDDENNHDIDLSSKGNSLLQLSLEALLKTQKDDVRINCVALLMVLARRGVFDNSFENDQIGGFNKEIDKPIHGDEVVFSSPLIEMFADAIKGPLLSSDSQLQTSTLDLVFHIFSSGATCLKKIQALVENNIADYVFEALRLSATAEEVFIQRLAIGFPTLLAVLRYVTEIPLHPVQNHALKLVWTCITNCPGIMSISQVEEIAVILTGIFRRPDMGELAMVSETFTLACSTFVEILRSPSACNIQQLALLFQEASRNAVIASLSSHEDPDKLLYSLYLLKEVYTCGVEGYSHASCARKELEENIIETCLTYLLPWLGRVIDGGQDEEIVLGIIETFHIVLLVGSEIQARHFAESLVSSSWFSLSFGCLGLFPTDQMKTRIYLMLSSVIDRLLGSDFGESIRDCYPNLPSDPLELIYLLGQSYLDDPLMASCQCAVLMMLYVNSLYGERFTDGNQVLASLEQYILVNVSSNSSCEIANSMMLSQLVHLYALVRSDPFSCQSLCSTEAEKSVFCLIAEMELDLFSIGLHPRAVKWFFQQEEIMKPLSYLVLNFCRSYSTNKAQICTQSNCIKLLDIQMIADLIVSGDNFVTELLVSLVKELEEGREDDISHLVNAMTDILNIFPGASDEFSLHGIAEALNRVFYLTHDALIIKTCSLFIFNVLSLANHETLSQDKNWLGILLKLLEHLRPKLVHYSFGQEEHLILAIFSLILHYSTRQVLQEASKAILLDKSLASAVEKVVQTACEKGPALNAYDEETPIGETLIFLLLLYVFSLRSLHAVFQETMDWQDFFQLADESYPSSVICIKCHDLCRLLHFGSSIVKLVSSQCLLELLTTVSEQREKKKKEPNWSVRYVESVITVAEGLLFYGDFTTSSNCRACLSMLLGWEKSGSLDKKVIGNSKWFRLVMEELIMTLAAPCFASRSFTNQHKPAAHMAIFFLKMDKVPSWMKSVFSHSSISGILNNLSACSLTSDMVKLFRELRVRKYLNKEQVDALHNLFQVCRKQVYKDISREEVVEENIHKVMKTPYDTGDVCTVLIKLLLSSNSYYNEIQSEHEKLLEEIDLFLQFSTIQ >Ma03_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9688641:9692690:1 gene:Ma03_g12580 transcript:Ma03_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain protein 4-like protein [Source:Projected from Arabidopsis thaliana (AT3G07930) UniProtKB/Swiss-Prot;Acc:Q0IGK1] MVILHGRSIDDIVSAFAYKPQPHHKFPFGNDDESSPPPSSPSPPSKASSLVRVGRGDGQNTTRGGGDFEPVEEGPNTPPLPQPPLLKNKRRKKTLASLTSTSTSSSSASPPSSSSSLPSSFIRTTVGGKPLVVSRFFPLPPQASSPVVIGVKDPKSQKSRSTGKCIPSRSADKEKRTKKKKKINCIDENLDDEEKEERSRQQLEKSGRMGKQAIAQLSAAEKKSDAYRRVPANSAWEPPASCHHLLQERHSFDPWRVLIICMLLNVTSGRQVEKVLPGLFLLCPDAEATTKVPEEEIEKVIQTLGLQKKRARMIKHFSCEYLRNDWTHVTQLHGIGKYAADAYAIFCVGKPEQVVPRDHKLLDYWSFVCSKSETE >Ma07_p27500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33852637:33857334:1 gene:Ma07_g27500 transcript:Ma07_t27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGYKKAWKSLLPLRLNKRSSNRFCMFSKVRSASRTSGNAPVYLNVYDLTPINGYMYWAGLGIFHTGVEVHGVEYAFGAHDYPTSGVFEVEPHQCPGFRFRKSIFMGTTCLDPFQVREFMEIQSVNYNGDTYHLITKNCNHFCQDICFNLTGNSIPKWVNRLARIGSLCNCLLPEALKITAVQHDPVPQTKDGERRKLRSAFSCLSSISMRQKRFSAASLLSPPFRSAFHIGS >Ma02_p22710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27958250:27959766:-1 gene:Ma02_g22710 transcript:Ma02_t22710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFDLSHRVVMAPLTRCRSYGSVPQPHAAVYYSQRATDGGLLIAEATGVSDTAQGYPDTPGVWTREQVEAWKPIVDAVHAKGGVFFCQLWHVGRVSDTSQPNGQHPISSTDKQVLSQMPDDASVEEHSRPRRLLTEEIPRIVEDFRLAARNAIEAGFDGVEIHGANGYLIDQFMKDSVNDRTDEYGGSLENRCRFALEVVEAVADEIGADRVGMRLSPFMDCLDCWDSDPEALALYMVRELNKHGILYCHMIEPRMAMVSGRYHIPHRLLPMRKAFKGTFIAAGGYDRDEGNEVVDEGYTDLVAFGRLFLANPDLPKRFELNAALNEYDRNTFYTSDPVVGYTDYPFLENSA >Ma02_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27958250:27959799:-1 gene:Ma02_g22710 transcript:Ma02_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPLLGSYKMGKFDLSHRVVMAPLTRCRSYGSVPQPHAAVYYSQRATDGGLLIAEATGVSDTAQGYPDTPGVWTREQVEAWKPIVDAVHAKGGVFFCQLWHVGRVSDTSYQPNGQHPISSTDKQVLSQMPDDASVEEHSRPRRLLTEEIPRIVEDFRLAARNAIEAGFDGVEIHGANGYLIDQFMKDSVNDRTDEYGGSLENRCRFALEVVEAVADEIGADRVGMRLSPFMDCLDCWDSDPEALALYMVRELNKHGILYCHMIEPRMAMVSGRYHIPHRLLPMRKAFKGTFIAAGGYDRDEGNEVVDEGYTDLVAFGRLFLANPDLPKRFELNAALNEYDRNTFYTSDPVVGYTDYPFLENSA >Ma02_p22710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27958250:27959766:-1 gene:Ma02_g22710 transcript:Ma02_t22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFDLSHRCRSYGSVPQPHAAVYYSQRATDGGLLIAEATGVSDTAQGYPDTPGVWTREQVEAWKPIVDAVHAKGGVFFCQLWHVGRVSDTSQPNGQHPISSTDKQVLSQMPDDASVEEHSRPRRLLTEEIPRIVEDFRLAARNAIEAGFDGVEIHGANGYLIDQFMKDSVNDRTDEYGGSLENRCRFALEVVEAVADEIGADRVGMRLSPFMDCLDCWDSDPEALALYMVRELNKHGILYCHMIEPRMAMVSGRYHIPHRLLPMRKAFKGTFIAAGGYDRDEGNEVVDEGYTDLVAFGRLFLANPDLPKRFELNAALNEYDRNTFYTSDPVVGYTDYPFLENSA >Ma09_p30090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40393047:40395789:-1 gene:Ma09_g30090 transcript:Ma09_t30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLESSLGMLWMLLAASAVRLLASTSADVDTYIVHMDSAAMPSAFSGRRSWYAATLAATADASDAIPADEKIVYVYDHAIHGFSARLSSAQLEQLKKSHGFLSCSRDAPVKKDTTHTSDFLELSASAGLWPASNYGDDVIIGVLDTGIWPESASFRDDGLTAVPSRWRGACEQGTAFRSSACNRKLIGARSFNKGLLASDPNLTIAVNSPRDTDGHGTHTSSTAGGNYAEGASFFGYASGVARGMAPRARLAMYKVLWDEGAVTSDIIAGIDQAISDGVDVISMSFGLDDVALYEDPIAVASFAAVQKGIFVSTSAGNEGPFLGFLHNGTPWVLTVGAGTVDREFAAVIGLGDGTLVIGQSLYPGNPATLKQMPMAFLGSCDNTTLLKKTRHKIVVCEADELGGAVQYLRYAKVDAGLFISNDSFAQLYSQFSFPAAIISPQDGPTILNYIQRSSEPKATIKFRQTILGTKPAPTVATYTSRGPSASCPNVLKPDVVAPGSLILASWAQNSTVGRVGTHKLYSPFAIISGTSMACPHASGVAALLKAARPGWSPAAIRSALMTTASHLDNTGAPIKDMGNGNKQASPLAMGAGHIDPNRALEPGLVYDADTKDYVNLLCAMNFTSKQLRTITGTATVDCSNPTLDLNYPSFIAYFDPNETSASAPSVRQFRRTVTNVGDNPVATYIAKLVDIKGFTVSVVPEKLSFKEKYQKQSFTLTLKENTREKKDAVRHGSLTWVDDEEKYVVRSPIVATTVSPISL >Ma06_p30390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31667431:31668154:1 gene:Ma06_g30390 transcript:Ma06_t30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVCFAGSLPLRSTKAIAPRSSKPRRTFHKSRVVAATAPESSTIDYSSSTSVFPVEACDVVGGEACNAKMYPEVKLAAAAASSADSRAASEEAVDRDYLEYNDPKTVFPGEACDDLGGEFCEAEYQDGVY >Ma05_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36385653:36390183:1 gene:Ma05_g24120 transcript:Ma05_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGAKFWEVVCDEHGIDATGRYIGKSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISVTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYCALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMIIVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEDDYEGDEEEVLQDV >Ma04_p37980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35654498:35655419:-1 gene:Ma04_g37980 transcript:Ma04_t37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSDASPPPVADGGSVGMLSSDRISGFGYGLGVSIGMLLLITTITLASYFCTRATTASPTAGPPPPPHRAAARRPAEQETTAAAGGDVEAGIDEDTLKSYPKVRYSHAKLRRDVAGAASCCSICLSDYKDADVLRALPECGHLFHVKCVDPWLRLRPTCPLCRTSPLPSPLPTPLADAVPLSTLARHP >Ma06_p29970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31325038:31325957:1 gene:Ma06_g29970 transcript:Ma06_t29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAGWRLMSRLCRKRSWKPATPRAGRSTIDCRMQGRLSSPKGRSNGWVGTALAAVENWRNFRSLLLNLMKQDWGKEQILKQGYACMFLILVTFNRLEIHELTCY >Ma07_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26719959:26730703:-1 gene:Ma07_g18770 transcript:Ma07_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLVEQLREYQMRPKYEWATVSFFSSYAASDASARVDVILVIWELLMFAFLVFSGVALYFRYMKIVFLLMCLTTLMLVCMKVTKQVGQNRKSKRRMLLPLSM >Ma04_p19920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22585617:22587875:1 gene:Ma04_g19920 transcript:Ma04_t19920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTQWSQGIGLVKPVKEFASSTTTTSGSDTCTTTRTQVTERRPRPHKEQALNCPRRYWTEGGSLRNVPVGGGSRKNKRSSMSSATAISSSSCNSFTEALATASAPKKFHADLIPPSILLSTNSETPKLHEKQDLNLAFRQHSIPEYNDYFNLEISNANNISSSNSNYCAAVRALSDMEVLTNGMTAKGIGSLMPLPMTEYPTGLGLHGFRPPTLSFPLDGISGGGGGGIGGGGYGDLPGIQESVTGKLLFPLEVLKPAIPSNNVADQFEVQGDDPPRYWNGVIGGGSW >Ma04_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22585617:22587875:1 gene:Ma04_g19920 transcript:Ma04_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTQWSQGIGLVKPVKEFASSTTTTSGSDTCTTTRTQVTERRPRPHKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSMSSATAISSSSCNSFTEALATASAPKKFHADLIPPSILLSTNSETPKLHEKQDLNLAFRQHSIPEYNDYFNLEISNANNISSSNSNYCAAVRALSDMEVLTNGMTAKGIGSLMPLPMTEYPTGLGLHGFRPPTLSFPLDGISGGGGGGIGGGGYGDLPGIQESVTGKLLFPLEVLKPAIPSNNVADQFEVQGDDPPRYWNGVIGGGSW >Ma04_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24157486:24158477:-1 gene:Ma04_g21650 transcript:Ma04_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTRFVLSAIFLVFSALALPASADEPVRDTDGNVLQRGVDYYIRPTVTDIGGGLTLEARNGSCPLSVALASLPADNGLPLQFSPEDDDDDTVELSTDTNVIFSAFTTCLQSTVWKLELDEGEGRYYVVIGGVAGNPGKETLSNWFKIESYQGVYKLVFCPTVCDYCRPVCGSLGVYEQGGRQWLGIRDDTPFPFEFKRA >Ma08_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34699289:34699462:1 gene:Ma08_g20570 transcript:Ma08_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFFTAKCEDVKRIAETSPLGWLGQSEDVAALVGFQCIDASEWVNEQVIQVNGEFI >Ma10_p27770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34877199:34878890:-1 gene:Ma10_g27770 transcript:Ma10_t27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSPCFRSGHHQYGNGGGAGRGGGGPVAAPFVLKTYRMVDDPSTNAVIAWGRDNNSFVVVDPFAFSQLLLPSHFKHGNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTNLLRLIVRRNSGCGKKNERGEEEGEEEEGMEERVAAEVVRLKQEQRRIAERVEEMWRRVQETERKPRQMLAFLVKVAGDPKLLGRLGAGPAPGAIEGGEKRARLRSGDEYERVLEMEGGVGRWQFDGQSMVAGDGEEGMMLCGGDGGGAHQFPGTSDPIGFYGGPVWVGGEFGGVEGDGLGGGSAAYPFPSHVGNES >Ma04_p35190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34075371:34081558:1 gene:Ma04_g35190 transcript:Ma04_t35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASGAAAGDVESSAAVNRWTVSTNWIVTGGSLHDAISFETSEEDAPTAPGISTPLILLRPPAEAAAEEEERGFLPCEVTVCLNRKYEIHRIYARSTARVYEVYYATDKQNKCKEYLCTVRCGVASEEVTPSSDVASFECENGSYATSDKQDKMSLADSNSSNEDGWVEVKIPDTPSHDHKINVLPRKIDGNSNTDCQIYYEATADISDASPCMSVTLRFLSLNTKTCVHVSEIYIYADPVETDTPCAPVSMGENFGGNSLLAMFMPSLLQLSKSGTSTQDKFFGASALRKYQYGVQKEAELVSSDMAVVMPQEATSDKVDCTLGLDHKQVQLKPENTFSTSDQRITDQEVPSCSVDQKLNQVRDQSEIKPENMQLGSNQKITEREPSSSTSIQGTKARTENVQSTYSEKQIGLVHMSKSSAQQEYKAGDRIERVLDELVSRVTRIEAFCSRFEESLLKPLSCIETRLQNLERLFHTAGGQFPRQGACTRISAPEFSSDLENDSLNPSPASGDNDKDDTVLHDPPLPVDAMLHPSLPASGVTGCVPDSRIYPGLVLKAPEFSNEDDECLKYDAGLASDANCSQDKKLCSTGNKDSDGIKDDVSLASDLNCSEDKKLSSFDHALASALQAFLSSKPNATVMEPYEICAGDESVLNPSLIKVLSNGADTSVSTLNEVKDTTVSDSSFPVSGASERSVRNPECDTGCSIRGISEVSAALDGSSTPVDALVSFLPAPVMDSGLIFKAPEFSSDEDEFDNYDDMTETNSHNFHEDEAYMRMDGEAVSQIVAFLSSEKLKTSNCTSNLVAISSDPYNVDKSETSSLVVGVPCEGADGLDTQNHGISTKDTDFSILTSKTGLDCDEDEHWLERASTSPLGCSLEKRGDQVKLHGYHSTSATASEGRLLTEDLSHNVSFISDCSASKDWQGGLLNDSDDDQVDPGGASLIELGKGWTGSSSTFASLVTDSIKNKDQVGVMDSSASTADHYDGQAANETGSIHENTMSAAGQSNIASSANDSSSPNDNYAGCRLEQTPTSASSSDDPIWDVTFVPERDWSSGVPLQVLLGESCDDEVQDTAAIDATEYCIATDKLLEGMENLSLTGDSSDLRNDSGCINQQNFPSLI >Ma08_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33147799:33149279:1 gene:Ma08_g19370 transcript:Ma08_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVKSETWLGRVRLTRGSRRRKDGATGTTKPATVGVLAFEVGRYMSKVAQLWHALSDDHVGRMREEVLRLEGVRKLVSDDEGFLLALALAEMTDVLGSLARSVACLAWRCSDPVLRRFDAEFANLVQNGDDPFGFEYAGRKMERKVKKMEKFVATGTNLYQELELQAELQQGLRRMLANPDACRPQDSVTCFRNKVVRHRQQLKHLRETSLWVRTYDYVVRLLARSLFSIIGRMRLVFGFRKFNMTPLLLNRAAEASPEANPQGSNSTVNMNLSSSMIDPRSQPQNAPASTLGAAALALHYANVIVLIEKLATSAHLMDADARDDLYSMLTTSIKAALRDRLEPYGKNLAPPARNPALAAEWTATVTGMLERLAPLAHNMIRWQSDRSFEQQSSVPSSGILLLQTLYFADQKKAEDAITELLVGLTYLWRYRLIY >Ma11_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21817309:21817490:1 gene:Ma11_g16270 transcript:Ma11_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWTSFVRKEFDAFGENTIYEPIQ >Ma01_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14726544:14727474:1 gene:Ma01_g19250 transcript:Ma01_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPKFSSPPLLPLQKPSQRLVLSPTARTKLDFPPPLPSRGDAVQERPPAHPHKPLTTTTTAGGRGGNGNKDDFYLNLGVAVRTLRNDLPSLFSKDLNYDIYREDITLIDPLNTFHGIENYRLIFWALRFHGRILFREIGLQIFRVWQPSENIILIRWELQGVPRVPWEAQGRFQGTSWYKLDRNGKIYEHKVDNLAFNFPQAPIRPAAVIDLVAAACPPSPSLTFSDGILGESFSLGSSSWLELYRAVRSTLEQKGSSPIGIGIEGLITCS >Ma06_p38330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37120059:37121341:-1 gene:Ma06_g38330 transcript:Ma06_t38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENQNGDRRHQEVGHKSLLQSDELYQYILETTVYPREPEAMKELREITAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYEIGLPVIQKAGVAHKIDFREGPALPVLDQMIEDEKNHGSFDFVFVDADKDNYINYHKRLLELVRVGGVIAYDNTLWSGSVVAPPDAPMRKYIRYYRDFVLELNNALAADPRIEICQLPVGDGVTLCRRIK >Ma06_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4809618:4811111:1 gene:Ma06_g06670 transcript:Ma06_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQQPCKLYADILILFVLILFSSASAVSGLSIGVNYGTIADNLPPPPQVAAFLKDHTIIDRIKLYDANPDIIRAFAGTPISVTITAPNGEIPSFASSREAADAWVAANVAPFVPATRITLVLVGNEILNTGDAGLMERLVPAMVSLSGALSAAGFHRIRVSTPHSMGILSSSDPPSSGRFIRGYDRSVFAPMLAFHRKTRTPFMVNPYPYFNYNPASLAYTQFRPNRGKRDRVTGITYTNMFDAQLDAVHSAMEKLGYGDVAIAVGETGWPTVADNNQFGVSPADALAYNGNLIKHVNSGRGTPLMPNRTIETYIFALFNEDLKPGPLAERNFGLFKPDLTPLYDSGVMQTGPGGEAGRSHRKRGRGRGRGRGRAGRGMGNKWCVPKSDAGDAALQANINYVCSSGRVDCKPIQDGGACFSPNSLHSHAAYAMNAFYKAAGQHEFDCDFSGSAIITTTDPSYGTCKYM >Ma11_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17572010:17572960:1 gene:Ma11_g13300 transcript:Ma11_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCGLVLACVAVMIAMAGAIQFRVGGSKGWSVPDPGAMSYNQWAERNRFRVGDSLLFVYPPDKDSVLQVGKEAYDACNTNAYVEKYDHGNTVVTFNRSGPVYFISGVEANCLKNESVVVVVMADRSNRAAAPGASEPSPSPSPSTPVAREPSPLPSPSTASAPSPPPPPSPPPSPAEVTPAPGPAPSGEEPNPPSPPSPPNMASSTKVGFMGMVGSLLGSVLLLAL >Ma04_p35690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34347170:34350858:1 gene:Ma04_g35690 transcript:Ma04_t35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQHSHSSISRSDTDPSLELECPFGRIDPLTRTDLREAVYELFFMTCRSSPGFGGSRGSLNYYPSSPASTGAGGEGSPKWGSSGMTVARSRIKKALGLNARRSSPMTTGMSSGSNSPGKARRPMTSAEIMRLQMRVTEQSDRRLRKTLMRTLVGQVGRKAETIILPLELLRQLKPSEFNDAQEYHQWQRRQLKILEAGLILYPSVPVDRHSPAAARLLEIIRASELKPIDTSKNSETMRNLCNAVVALVWRSSSGASTEVCHWADGYPLNVHLYLALLHSIFDLREDTVVLDEVDELIELMKKTWSTLGINKMIHNVCFAWLFFQRYLETGQIEPDLLCATLATLVEVASNAKKADRDANYVNLLSGALTVMQSWAEAKVLDYHECFDKETIASMENIVSLALSTTNIIGEDPLDNGASLVDDDGQAAMDPSVNRVDYYIRSSMRSAFAKILENGASHGDSVIVGINDDPSNILLQLAEETEELALVEKDLFSPVLRKWHQVPTAAAVVTIHSCFGIVLKQYLSKVTCLTNELVRVLQSAGKLEKLLVQMVVEDSADCEDGGKGVVREMVPYDVDSIVAGLLKTWIDERLRIGKECLSRAKETESWMPRSKNEPYAQSSMDLMKLAKVTVDEFFEIPVGARDDMVQDLADGLETIFQEYTTFVAACGNKQSYVPSLPPLTRCNQDSNLVRLWKRAAVRCSVGIGRSNGKDGITNMNHPRPSTSRGTQRLYIRLNTLHYVLAHLHALDKSLSFFSRSGPSPTGRHTAANRRLAPSHHLGLARSSVQSAIQYVSEVAAYRLIFLDSRHSFYDGLYVESVTDARIQPGLRILKQNLTLLVSILTDRAQPLTVKEVMKASFEAFLMVLLAGGSERAFARGDYESVVDDFRSLKRVFCTCGEGLVLEEVVNREAEVVEGIVALMALPTERLIEDFSIAACEASGLVGFGFCSGGSTDTAEGPKVPMPPTTGRWNRADPNTVLRVLCHRDDEMANEFLKRTFQLAKRR >Ma03_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7310504:7344209:-1 gene:Ma03_g09860 transcript:Ma03_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNAKHKRIAEEEEEEEEETLEEIEGFDDFTLASSWERFISEIEAICRVWMVDGPKNLVEKGAECLGSQKKLYKVKSEMKHGTKLYSIEYYFCVSNKGKASFWDDDLHDLQLSFGVSDFMVIAPLSASGVVLDAPESTKLLSSVAIALTNCGSNWPAFVPVHDPSRKAYIGIQNLGLIFTRRFDADRIGSQVPIRLMHLEGLYELFISKFALYSVDFSASFFKVQFAMKLTYRSPPYESDDEINSVDPEVNESKGDIVSPNHIKTQWDDDCPWTEWYSAEDPIRGFELVAIWSGRMFESSLEMAELENASSFDAEKWLLCPIIFPFMMDDSTWMFVGFASQIRLLTVENPASDNSKSSTAIPPPTVLDRVLKEIFHDGGHNSHLVERDNKHSRAIKGTPLESLFAQFCLHSLWFGGCNIRAIASLWIEFVREVRWCWEESQPLPKMPSDSTIDLSACLIHQKLQMLAICIEKKNLSHDTHNSTESVDHTPSGKKVDAEADPFKEPVKNENGSYLRSYCHQDSEPSTSSSFKHHDYSVRSPDLQKVDQVRKGSAGVVGSMMLLHSYQKMHAPYTQDAPIMTEDMHEERLHAVEVFGDAFSFSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWENDDMDGCAGDGNNWPPRGKLSQRMSEHGNLWRQIWNDSPPLPVLEQKSLLDPIREGEKILHYLETLKPHQLLEQMVCTAFRASADILNQTMYGDFKPMKTRFNQLYITLASTLKPLQANHLREKAELIGDLRRLCLVFEHIEKLLIFAASIHRKLLDVPRLSEAMFSDYFNFYLPKMGTSLESICYDQEFKTKQLVMMHERDAVSILFPPPTANQSWRKVLSMGNLLNGHEPILREIIFSINDRVEDSHYGSSIPMATMEEIQTHRMYIQGTSNDLWVALSVTSWD >Ma03_p09860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7310505:7344209:-1 gene:Ma03_g09860 transcript:Ma03_t09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNAKHKRIAEEEEEEEEETLEEIEGFDDFTLASSWERFISEIEAICRVWMVDGPKNLVEKGAECLGSQKKLYKVKSEMKHGTKLYSIEYYFCVSNKGKASFWDDDLHDLQLSFGVSDFMVIAPLSASGVVLDAPESTKLLSSVAIALTNCGSNWPAFVPVHDPSRKAYIGIQNLGLIFTRRFDADRIGSQVPIRLMHLEGLYELFISKFALYSVDFSASFFKVQFAMKLTYRSPPYESDDEINSVDPEVNESKGDIVSPNHIKTQWDDDCPWTEWYSAEDPIRGFELVAIWSGRMFESSLEMAELENASSFDAEKWLLCPIIFPFMMDDSTWMFVGFASQIRLLVSALDMSFEAQFLDDFVSVENPASDNSKSSTAIPPPTVLDRVLKEIFHDGGHNSHLVERDNKHSRAIKGTPLESLFAQFCLHSLWFGGCNIRAIASLWIEFVREVRWCWEESQPLPKMPSDSTIDLSACLIHQKLQMLAICIEKKNLSHDTHNSTESVDHTPSGKKVDAEADPFKEPVKNENGSYLRSYCHQDSEPSTSSSFKHHDYSVRSPDLQKVDQVRKGSAGVVGSMMLLHSYQKMHAPYTQDAPIMTEDMHEERLHAVEVFGDAFSFSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWENDDMDGCAGDGNNWPPRGKLSQRMSEHGNLWRQIWNDSPPLPVLEQKSLLDPIREGEKILHYLETLKPHQLLEQMVCTAFRASADILNQTMYGDFKPMKTRFNQLYITLASTLKPLQANHLREKAELIGDLRRLCLVFEHIEKLLIFAASIHRKLLDVPRLSEAMFSDYFNFYLPKMGTSLESICYDQEFKTKQLVMMHERDAVSILFPPPTANQSWRKVLSMGNLLNGHEPILREIIFSINDRVEDSHYGSSIPMATMEEIQTHRMYIQGTSNDLWVALSVTSWD >Ma04_p35710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34351814:34354087:-1 gene:Ma04_g35710 transcript:Ma04_t35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNTSLVIVKKKKKLSVDQRLLLPFSPSCSASACQKPSSYRPSPVIDVGPQSSRNHSNPWPNRYESQFARHVGWHGPKRRREEWRRSCC >Ma03_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1800611:1803405:1 gene:Ma03_g02660 transcript:Ma03_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEQGGEPNRHGNRGTNHELLGLLRMTSERSGSSDVRIAWLQSQLIGHDVVFDTPFGERLLIYADHTASGRSLHYIENYILQYVLPVYGNTHTDDSFVGSKTTRMVHEAADYIKRCMGGGAEDALMFCGSGSSAAIKRLQEVIGIAVPSTMRERIVASLSDEERWVVFVGPYEHHSNLLSWRQTTAEVVEIGMDENGLLDMEALRLHLTSSKYANRPMLGSFSACSNVTGILADTRSLARLLHDHGAFACFDFAASGPYVDIDMRSGDMEGYDAVFLSPHKFVGGPGTSGILLMNKALYQLKTSPPSTCGGGTVAYVNGFDEKDTLYHADVEEREDSGTPPIIQKIRAALAFWVKEYIGHDLITLREQVYTETALGRLLSNPNIEVLGNTSVKRLPIFSFLVFPSSQRRKTEGKPLHGRFVAKLLNDLFGIQARGGCACAGPYGHHLLGVDTDLSLRIRSAIHEGYNGLKPGWTRVSFTYYTSKEESTYVLAAIEFVAAHGDRFLPLYHFDWVTGDWTFRKRAFKYHRMKEELEDLCRCLFGDATTGAKKERTPCPKPGREGSASARMKRFESYLEGARRIALSLPERAGCGSAPEGIDPNLITFRI >Ma03_p02660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1800322:1803405:1 gene:Ma03_g02660 transcript:Ma03_t02660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEQGGEPNRHGNRGTNHELLGLLRMTSERSGSSDVRIAWLQSQLIGHDVVFDTPFGERLLIYADHTASGRSLHYIENYILQYVLPVYGNTHTDDSFVGSKTTRMVHEAADYIKRCMGGGAEDALMFCGSGSSAAIKRLQEVIGIAVPSTMRERIVASLSDEERWVVFVGPYEHHSNLLSWRQTTAEVVEIGMDENGLLDMEALRLHLTSSKYANRPMLGSFSACSNVTGILADTRSLARLLHDHGAFACFDFAASGPYVDIDMRSGDMEGYDAVFLSPHKFVGGPGTSGILLMNKALYQLKTSPPSTCGGGTVAYVNGFDEKDTLYHADVEEREDSGTPPIIQKIRAALAFWVKEYIGHDLITLREQVYTETALGRLLSNPNIEVLGNTSVKRLPIFSFLVFPSSQRRKTEGKPLHGRFVAKLLNDLFGIQARGGCACAGPYGHHLLGVDTDLSLRIRSAIHEGYNGLKPGWTRVSFTYYTSKEESTYVLAAIEFVAAHGDRFLPLYHFDWVTGDWTFRKRAFKYHRMKEELEDLCRCLFGDATTGAKKERTPCPKPGREGSASARMKRFESYLEGARRIALSLPERAGCGSAPEGIDPNLITFRI >Ma08_p32900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43447831:43450109:1 gene:Ma08_g32900 transcript:Ma08_t32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMGENGAANCHQHVALAPVEVSVELGFQHGASKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWAIGQLGWVAGPAVMLLFSFVTFYTSALLADCYRSGDPVTGRRNYTYMDAVQANLNGIKVKICGYLQYLNIVGVAIGYTIAASISMVAIKRSNCFNKEGDDSPCHVNSNPYMIMFGVAEIVLSQIPDFDQISWLSILAAIMSFTYSSIGLVLGIVQVIQNGGIKGSLTGISIGTVSQMDKIWRSLQAFGDIAFAYSYSIILIEIQDTIKSSPSSEAKVMKKATLTSVTVTTIFYMLCGCMGYAAFGDLAPGNLLTGFGFYNPYWLLDIANAAIVVHLVGAYQVYCQPLFAFIEKWTLKTWPKSEFITKDIQVPIASGRCYNLNLFRLTWRTMFVIVTTVVSMLLPFFNDVVGFLGAIGFWPLTVYFPVEMYIVQKKIPKWSTRWVCLQLLSLACLVITVASAAGSVAGVVSDLKVYRPFKSS >Ma00_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43762201:43762708:-1 gene:Ma00_g05030 transcript:Ma00_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPKKEPDDLEGIADAAPDTLLKVKANKKEKKRKHKDKDSSDAVEGFSVFKSSEFLSSILERNALEVTSAEMKKDVEKEIEKASILRKMYGIHISGHGVPPPLESFAELSSR >Ma02_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14497224:14499865:1 gene:Ma02_g03170 transcript:Ma02_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSMYGHVAKLAEEIKKGSSSVEGVEVKLWQVPETLPEEVLVKMGAPPKSDAPIITPNDLAEADGILFGFPTRFGMMAAQFKAFLDATGGLWRTQALAGKPAGLFFSTGSQGGGQETTPLTAITQLAHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTFAGDGSRFPSELELEQAFHQGKYFASIAKKLKSSS >Ma03_p26680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30327102:30329759:-1 gene:Ma03_g26680 transcript:Ma03_t26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRTKIQDIMLRKRSRAVGSKQGIMSDIPSLPSPVAASLFTSPRLYSPKNIADPEAAEDVESGATGLGLLDALTNDDSFSSTSRPEKRMVVFGSQLKIQIPPPPPPPTSQSSSISPMRSSGESLHSPTEFGIKTRNSQLALLPGMHSSPPRFFTGRLPPPEMELSEDYTRVILHGPNPRTTHIFDNCIIESCTNGFATPMSERRSSSDRPGYAVDDFLSFCYGCKKKFGSGEDIYMYRGDKAFCSHECRHHEMLLDEGKDKC >Ma03_p26680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30327102:30329758:-1 gene:Ma03_g26680 transcript:Ma03_t26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRTKIQDIMLRKRSRAVGSKQGIMSDIPSLPSPVAASLFTSPRLYSPKNIADPEAAVSPTSILETKPFSVIRNPFFSNGNKHLPQEDVESGATGLGLLDALTNDDSFSSTSRPEKRMVVFGSQLKIQIPPPPPPPTSQSSSISPMRSSGESLHSPTEFGIKTRNSQLALLPGMHSSPPRFFTGRLPPPEMELSEDYTRVILHGPNPRTTHIFDNCIIESCTNGFATPMSERRSSSDRPGYAVDDFLSFCYGCKKKFGSGEDIYMYRGDKAFCSHECRHHEMLLDEGKDKC >Ma07_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32008221:32011908:-1 gene:Ma07_g24750 transcript:Ma07_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKEEAAEKKKRSKKDETFVEEEQEEKAAERGGGGGGKTVVVGVRMDSQSRELLTWALVKAAASGDLVVALHVLSSSGGGSAAAAKDPGGQPVAVFSVAKELDAMLAVYEGFCNLKQIDLKLKICKGSSIRKVLVKEAKAFAASLLILGVTKNSRAIGSSWVSIAKHCAKKLPNDCSVVALSDGKIVFQREAAGPKKLTDTASDPSFPPEAELNGCIISHAANGLSAGNGSPRSNCSICAPESDPSSLNELKEEESLVLVPVEKTEAPTSSTALTVVKDLPEARPGWPSLRRLVLTNRKSLCSEKHKASVVQWAKWLPSRYSSVHPDRKSKKSVGNTTLNLDQESGAIVPVGADLPPTPFLPSDAEGRLPEELESFRKKFSSVCRLFSYEELEQATSNFLHENLIGKGGSSSVYKGCLSDGKELAVKILKPSEDAVKDFVSEIEIITTLHHKNIIALLGFCFQNNSLMLVYDYLSRGSLEEILHGEEVNKHVLGWAERYKVAIGVAEALDYLHGGGTTEPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASASSQLICSDVAGTFGYLAPEYILYGKVNEKVDVYAFGVVLLELLSGRKPISSGCPKGQESLLMWAKPILQDGDTKQLIDPGLKNEFSSDQVERMILAASLCARRLHHARPHIALVLKLLQGDDDTVEWARSEVNARADMLDDEMPNQESDIQSHLNLALLDVKDDLNSISSTDHTSDSLTSSASAENYLQWRCSHSSSFD >Ma06_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2337115:2338637:1 gene:Ma06_g03150 transcript:Ma06_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGSEQVCSETEKQSPPPRPSPGGLLRLGSGTSVVLDPEVILEAKLRKLPSSQYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDVAVQRFRGRDAVTNLKPLVVTDGNDAAELLFLNSRSKAEIVDMLRKHTYYDELQQSRRNSGADRSKPCPSHEETFGRVYREHLFDKAVTPSDVGKLNRLVIPKQHAEKHFPLQQTSDAACKGLLLNFEDACGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKELRAGDVITFQRSTGPENQLFIGCRRRSVGRYAAAGVHAPTTPVEAVRLFGVNIVKIPVAVSGGVMDGDGIGWNGKRSRDMGLISSRELFKRQSTQAL >Ma11_p14430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19732125:19750604:-1 gene:Ma11_g14430 transcript:Ma11_t14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLGQKQGPQVFPSITLSHLSSSAPSSSAASAFFSDDRSGCSRLRFHQPCSPVPVFDLDLQKIQIFKLGLLELLCVTNETGDDDPEEKYFSRVFKIGFNTKDETKAFHLAFEQWKLGVVVAKTGGPLENGSLTTPKSKFDNKIDATSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRIDFSGRIVLDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARRLIAGNPSLGQRITVIKGKIEEVDLPEKADILISEPMGTLLVNERMLETYVIARDRFLIPDGKMFPSLGRIHMAPFSDEYLYVEIANKALFWQQHNYYGVDLTSLYGSAFQGYFSQPVVDAFDPRLLISQPIVHTLDFTCMKEEDLYEIDIPLNFVSSVGTRVHGLACWFDVLFNGSSVQRWLTTAPGAPTTHWYQLRCVLAQPIYVMAGQEITGRFHLVSHNAQSYTIYLTLSAKTWGAGADQGGILQTFSCKLDLKEPYYRLSQPLPYVLQQDQQSNTELMQSQELSPQIQDGTGPSLAIIPSQISEASEQQHKHGSCSGS >Ma04_p28610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29646838:29655165:1 gene:Ma04_g28610 transcript:Ma04_t28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFHGLDCRLRVSGMVADSIMMGIVNSAMEDAYKKSCTEDGDLDRLIEKSRFCELAIMQLEWCLKYLQEEMDNATVDNACDREKLFCDLLETRDRIDNRLDETKLAIAEKDVELARRKESEIKLRLALELKGEEVRSLHHTLGADKRVKNDACDGFACRDDVNEDESDGHVFDELECSMGKQLRKIRGKLEHGRQALTNVMLNMRSSPRVGAANPNAGLDTDSGGLKALHELHDMAQLTLDFNDMVIDLDMLKEEVRSSFEMIVSSISVFKATTEEQRWAWNMEREIATVIIRSFVRDVRREWAANPDTNLLSFIDELEALASQITVPSTSSNDVMDPKAQCNPLSIVPDMDEVEKDASVAGNSSPPKGDNLYGVSQGGAEDHVGGIERNDELIDSAFRAGELHNMNDLSEKSPPGNFVADLTNYHDSIRTQKIDSDREMITKVISRLEGIIKRAKSESFADIHIPRHAPPDSETKHEAMKIIQKPIDSHLKDLELHEEIRRLKEEKDEMETKAVIMEDVYRLNYKGLMGRLLTDFFDVELEVLTREDTFRIVFGELIKELMSMREAHTSEQLLREEIRYIILSEVIKGILCANNATKDTEFSGKPEQGKDSLLTNPTTEMGSDYVLDSGIRKNSVDDSNGLDVEESDAFRSASNLETSPQGAITGTMQQGEPTLSYASITDENNIVHGSGASTGIQKQNKSEFSCMSAGIVEKHWDDFHFMMMPVEQIIQMVDDFGLLTCEKISINISRLDNLIHQLNPISEQVNIIKRNELLYRKAFANRCYNLQTAEAEVDLLGDELDLLFGLLEKIYIALDHYSPVLQHYSGITEVLRMIRREIYGEVANTSRQ >Ma02_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24181448:24184694:-1 gene:Ma02_g17130 transcript:Ma02_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKWRSGRLWSAVLVAVAAAAAVAADRGLRPEAVAAEAVEAEEVGLSDYLLKVVDFLWQSDGSSYQHVWPDMKFGWEIVVGSIIGFLGSAFGSVGGVGGGGIYVPMLTLIIGFDAKSSTAISKCMIMGAAGSTVWYNMKMRHPTLDMPIIDYDLALLFQPMLMLGISIGVIFNVVFADWMVTILLIILFIGTSTKAFLKGVETWKKETIMKKEAAMLKESNGREEVEYSALPSGPGSTSTKEKAALRRVVPVLENVYWKELGLLCFVWVSFLILQVLKQNYTSTCSTWYWILNFLQIPISLGVSGYEAVSLYRGKRVISSKGDEGTNFTVLQLVFYCSIGVLAGVVGGLLGLGGGFVLGPVFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPIPYALYFISVALVAAFVGQYVVKRLIDILGRASLIIFILAFTIFVSAVSLGGVGISNMVQKIQHHGYMGFENLCKYEV >Ma05_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8078993:8079616:1 gene:Ma05_g11150 transcript:Ma05_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPHPLPSSQFLGPRVAPPPRQVAAAILSPRSPPVAAVLRSPGVSNPSLEVPTSLYEVLGVPAWASGREIKAAYRGLALKCHPDVGASAEEFMRVQAAYCTLSDPQQRADYDRQLMASSAAAAPLGRRYRSTYSRTASFPGNRRRTWETDQCW >Ma08_p31250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42223506:42226228:1 gene:Ma08_g31250 transcript:Ma08_t31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWVILAGVVAMEAAVAVLLTLPAPRLVKSRIVALASLLLQPGASILPFAAFQFLDLRWKNEHRLMCTFEVCTTEERTRYEKSIFKAQRNVILCVSACLLYWCIFRICKYHKEIRELEEVEKHLKDQ >Ma09_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9877872:9879732:1 gene:Ma09_g14440 transcript:Ma09_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHGCRPIASAGYVVLPLVLLLLTARCAHAQSSSASVPSDKYNPYFGAPSFNATMAIVIVFLVAALFLVGFLSIYIRHCAGGGSDRHGLARGTAARSRRLLLQQQMGLSPELLYTFPTLVYAEVKGLKLGKGALECAVCLSEFEDDDALRLLPPCSHAFHPECIDAWLASHVTCPVCRSNLAEAANATADRETPTVVIAADPDRETPHHPSERRVEEELRRPLDHLTIVVDKAPGEDNNEGLARIEERQRPEVGLRSGSRPPKLRRSHTTGHSVVLPGENVERYTLRLPEHVWREIFASQKLHRSTSCVSFATAVEGSWRRGSRGTGGGGAGEGSSRGGRSVRLGRSDRWPSFLIRTLSAKVPPWATVRRADGGEASVKKGEAEGSSSGKFTAVRTPFYCLGGGGSGATKSVAAAAAAAAADDDESSATGHAGRV >Ma07_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28812344:28813039:-1 gene:Ma07_g20800 transcript:Ma07_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Ma04_p17320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17584254:17600732:-1 gene:Ma04_g17320 transcript:Ma04_t17320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIVMREALTLPSIGINPQFITFTHVTMESDKYICVRETSPQNSVVIVDMNMPMQPLRRPITADSALMNPNSRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKMLGLVTQTSVYHWSIEGETEPIKMFDRAANLTNNQIINYKCDPTEKWLVLIGIAPGAPERPQLVKGNMQLFSLDQQRSQALEAHAASFASFKVVGNEKPSLLICFSSKTLNAGQITSKLHVIELGAQPGKPGFTKKQADLFFPPDFADDFPVAMQISQKYSLVYVITKLGLLFVYDLETATAVYRNRISADPIFLTTEASNVGGFYAINRKGQVLLATVNEAAIVPFVSGQLNNLELAINLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNGFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYSDQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQLKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVAECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPPRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAVEFAFRVEEDAVWSQVAKAQLRAGLVSDAIESFIRADDETQFLDVIRAAEDANVYHDLVKYLLMVRQKTKEPKVDGELIFAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDDALYEAAKIIFAFISNWAKLACTLVKLKQFQGAVDAARKANSTKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPETLMEHIKLFSTRLNIPKLIRVCDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDVLHVLALRVDHTRVVDIMRKAGHLHLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESVDLHDNFDQIGLAQRIEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNLYKDAMETCSQSGDRELSEELLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYASKVDELVKDKIEAQNEVKSKEKVEKDLVAQQNMYAQLLPLALPAPPMPSMGGSNMGGPYGAPPPMPGMGMPPPMPGMGMPGMGMPPMPPFGMPPMENY >Ma04_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17584254:17600733:-1 gene:Ma04_g17320 transcript:Ma04_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIVMREALTLPSIGINPQFITFTHVTMESDKYICVRETSPQNSVVIVDMNMPMQPLRRPITADSALMNPNSRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKMLGLVTQTSVYHWSIEGETEPIKMFDRAANLTNNQIINYKCDPTEKWLVLIGIAPGAPERPQLVKGNMQLFSLDQQRSQALEAHAASFASFKVVGNEKPSLLICFSSKTLNAGQITSKLHVIELGAQPGKPGFTKKQADLFFPPDFADDFPVAMQISQKYSLVYVITKLGLLFVYDLETATAVYRNRISADPIFLTTEASNVGGFYAINRKGQVLLATVNEAAIVPFVSGQLNNLELAINLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNGFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVIFSYSRMCLEFNFCKFITSIFFQTAKEYSDQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQLKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVAECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPPRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAVEFAFRVEEDAVWSQVAKAQLRAGLVSDAIESFIRADDETQFLDVIRAAEDANVYHDLVKYLLMVRQKTKEPKVDGELIFAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDDALYEAAKIIFAFISNWAKLACTLVKLKQFQGAVDAARKANSTKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPETLMEHIKLFSTRLNIPKLIRVCDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDVLHVLALRVDHTRVVDIMRKAGHLHLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESVDLHDNFDQIGLAQRIEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNLYKDAMETCSQSGDRELSEELLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYASKVDELVKDKIEAQNEVKSKEKVEKDLVAQQNMYAQLLPLALPAPPMPSMGGSNMGGPYGAPPPMPGMGMPPPMPGMGMPGMGMPPMPPFGMPPMENY >Ma06_p35380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35240783:35241170:-1 gene:Ma06_g35380 transcript:Ma06_t35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCRFLGSFRGKKMSLQRFHRLILLLLVSSSLVHISLAAAEAASIPFTKQERYVKVLESLGIKCQCCDGDDGECNSSWDTTCSRLDCHNMRF >Ma03_p25480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29509113:29509452:1 gene:Ma03_g25480 transcript:Ma03_t25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDYDRGHRMAVWAHRAPAGSEAVAAAARGPPLRPGRGNGSRIGTGSSSSSSSTGESWAGDPETRRRRRVAGYNAYAAESKVRASLRNGLRWFKARCSALVYGR >Ma01_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16566717:16568042:1 gene:Ma01_g20100 transcript:Ma01_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRDQHRWGGGVPFEKSKGQHILKNPMLVETIVHKSGIKPTDVVLEIGPGTGNLTKKLLEVAKSVVAVELDPRMVLELNRRFQGTPHSSRLKLIEGDVLKCELPYFDMCVANIPYQISSPLTFKLLAHRPAFRCAVIMFQREFAMRLVANPGDALYCRLSVNTQLLARVSHLLKVGRNNFRPPPKVDSSVVRIEPRRPLPPVSFKEWDGLVRLCFNRKNKTLGSIFRQKSVLSLLERNYKTLQALQATQKVQMEEDKVSSEDVTVLAEMIEELSMGDDDDEKEVEEEEEEEMEEDIDLVGEGGESCSFKEKVLRVLKQGDFAEKRAAKLTQVDFLYLLSLLNKAGIHLS >Ma03_p26540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30228816:30235665:-1 gene:Ma03_g26540 transcript:Ma03_t26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEGSLGTSFEAPCGRITRARAAACRANGKLPPLMPSVANAEKKQTRCSNLKRAAFDENSHGSHPAASQCKKKRAVLTDVTNMFSQNSTRNWVPAGKVKSTTAQRAKSGPSKTRRYSNSKTSKLSLVGNASTLANVEDKMNEGVQKVETLVSKGSPPFGNMEDSLVALQHKELAIVGNTPTYADMKNEIIEGAQNAVTLVSKESYPLEKVEDSLMALQQKEITRDGESIHITTLIEGHNSVGNHDLINHAKNGGCSGLDFIDIDTDHGNPQMCCTYASEFYTNLRAAELIRRPVSNFMEALQRDITESMRGILIDWLVEVSEEYRLVPDTLYLTVYIIDQFLSQNYIERQRLQLLGITCMLIASKYEEMCAPRVEEFCFITDNTYSKAEVLNMESQVLGFLGFQLSVPTAKTFLRRFLRAAHASNKVPSLALGYLANYLAELTLVEYSFIKFLPSVVAASAVFLARWTLDQSDHPWNPTLEYYTSYTAMDLKATVFALQELQKNSKSCPLNAIREKYRQQKFECVATLASPSLVESLF >Ma09_p23420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35260669:35262051:1 gene:Ma09_g23420 transcript:Ma09_t23420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSMGYRRMDDQIAVREAADVGLRTLERLVFQLSHQQSPSDCREVTDHTIAKFKKVISVLNRTGHARFRRGPAQPAFVPPVEEDPALVPAALYHHAPAPPAPAPVPLPQPPAVPRAVTLDFTKPKESFSASGTVSSSSLTGDGSVTGKQGSSILVPAAAAFAVSSGKPPLASSHKRKAPEHAHPHCPEDAKQEAPAGRCHCSKKRKNRDKRTVRVPAISSRNADIPADDHSWRKYGQKPIKGSPYPRGYYKCSSVKGCPARKHVERAPDDPTMLIVTYEGEHRHGQSRPGTALPVANAASG >Ma09_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35260669:35262051:1 gene:Ma09_g23420 transcript:Ma09_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSMGYRRMDDQIAVREAADVGLRTLERLVFQLSHQQSPSDCREVTDHTIAKFKKVISVLNRTGHARFRRGPAQPAFVPPVEEDPALVPAALYHHAPAPPAPAPVPLPQPPAVPRAVTLDFTKPKESFSASGTVSSSSLTGDGSVTGKQGSSILVPAAAAFAVSSGKPPLASSHKRKAPEHAHPHCPEDAKQEAPAGRCHCSKKSRKNRDKRTVRVPAISSRNADIPADDHSWRKYGQKPIKGSPYPRGYYKCSSVKGCPARKHVERAPDDPTMLIVTYEGEHRHGQSRPGTALPVANAASG >Ma09_p31380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41121304:41127179:1 gene:Ma09_g31380 transcript:Ma09_t31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKLEKETRAEEDRRKEAAEKAVEVADEAEADAGKKEKKGQEEDAREWGRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEVTHKFKGKTVMTDTERYESLRHCKWVDEVIPDAPWVITKEFLDEHKIDYVAHDSLPYADASGAGNDVYEFVKAIGKFKETKRTEGISTSDIIMRIVKDYNQYVTRNLARGYTRKDLGVSYMKEKRLRVNMEFEKLYDKVKQHQEKVGKKLGLLHDEWVENADRWVAGFLEKFEEGCHQMGTVIKERIQESLQPRGFSLLQYEEE >Ma04_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22808423:22809593:-1 gene:Ma04_g20080 transcript:Ma04_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWVKSLHCKSNAVEDVVYSPTRSSSSSSSAKKPLLSSVSCSSASHTVKDVILLAPNYPSCSSSIPKKPRHNTRPKSKHKPRTPRPCASPPSVSASELVGPARAEPIPTLTELPAGHSSRRVVEIIFSSSWCSSGAGGVDGSAAAAAFPSEIEMLFRVHNLARTVARFEEHRASVRAQADDARCAADGNEMMRFHSGRAGGVVYDAGVARSAVWSAGRKVEGVRTFAGSGGAHASGGGGAGRRGMLVCRVIAGRVRGESDPEREADSVSLGNGELLVFDPRAVLPCFLIIYKL >Ma03_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6591762:6600735:1 gene:Ma03_g08970 transcript:Ma03_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCATCTCGLCTSVAAGISRRSARLGYCGLFALSLVISWILREVAAPLLEKIPWINTFTHAPPKEWFQTNAVLRVSLGNFLFFAIFALLMIGVKDQNDKRDSWHHGGWIVKIIIWALLIVLMFFLPNIVITIYETLSKFGSGFFLLVQVVILLDFTHTWNDAWVEKDEQKWYVALLSITVVCYLATYAFSGVLFMWFNPSGHDCGLNVFFIVMTMILAFVFAIVALHPQVNGSLLPSSVISIYCAYLCYSGLSSEPRDYACNGLHNHVKQVSTGTLVLGMLTTVLSVVYSAVRAGSSTTFLSPPSSPKSGSRSPLLEAGDAESGKPEKKENEARPVSYSYTFFHLIFALASMYSAMLLTGWSGSISESSELIDVGWTSVWVRICTEWATAALYIWTLVAPLILPDREFY >Ma06_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9013318:9016663:1 gene:Ma06_g13140 transcript:Ma06_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSKIAVNVFFSSPHRRPFCPFPLVVHWRNKLWIRIPLPQILRVCQSRNLRLPWKRATSIAGIQPYR >Ma09_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29454248:29456164:1 gene:Ma09_g20470 transcript:Ma09_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFVPFLLLLMLRWSNAQPSPGYYPSYRFRPLRGFYRGFNNLWGPEHQTVSGDQSSVTIWLDRNSGSGFKSIRPFRNGYFGTSIKLQPGYTAGVITAFYLSNNQAHPGFHDEVDIEFLGTTPGKPYTLQTNVYVRGSGDGRIIGREVKFHLWFDPTAGFHNYAILWNPNEIIFFVDDIPIRQYPRKNAGATFPLRPMWLYGSIWDASSWATEDGKYKADYRYQPFVARFTRFVVRGCTAFAPLLCQPVPSSWHGDGLSRRQYAAMQWAQRYYLVYNYCKDPKRDHSLTPECWS >Ma09_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6942592:6944522:1 gene:Ma09_g10180 transcript:Ma09_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTVQVLFSLLLLLSSCVLASTYGSDRAKKRCHMECRGTPEGRRRKECVRQCLDHSGREREHGEVAEGGRRERNPYFFGDRSYEQWSRSEHGRFEVLERFARRSELLVGVENYRLAVMEAEPETFIMPRHWDAEEVFYVMEGRGTITLLHENNRETHDIKRGDIIWIPAGAIVYAINKARNEKLRVAILLHPISTPGHVEEFYGAAGRNPETFFASFSDEVLEAAFDTPSEKLERLFEKQRRGEFIKMTEEQMRALSQSTGEGGWPLARSTEPYNLLQNRPSHSNEHGQLHEVGANEYQQLQDLDVDVSIANISERSMMAPNYNSLSTKLAMVVQGRGYIEMACPSRSGESRRSEETTESEPQQRVLYRTVRSRVSRGSVFVIPAGHPVAAVAARNENLEVLFFGVRAAQNRNYYLAGRNNVLNRLDREAKELAFGVPAEEVDEVLHAQPESVFLPGPERRREAERGRQPSPESLLSFAES >Ma03_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:247077:255585:-1 gene:Ma03_g00250 transcript:Ma03_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MSYPSSQYPPHYNLPAQPPPPPPPPSSPPPNPSVPPPPPPPIPPPSVPPPPPPSSNQSPLVPPDVGQRHQGAREALPPGRQPKLSLPPKQQKPPSAPPAGRASAQPGGPNGTSMRVETEEERRLRKRREYEKQKQEEKRQLLLKQSQATVLQKTQMMVSGSARPHGSITGSRIAERRTTPFLSGERIENRLKKPTTFICKMKFRNDLPDPTAQPKLLAMHKDKDRYTKYTITSLEKMHKPKLYVEQDLGVPLDLLDMSVYNPSAVRTALSPEDEELLLDDEVVTPIKQGGIRRKERPTDKGVSWLVKTQYISPISMEAAKMSLTEKQAKEIRESKEGRNLFLENLNNRDRQIQTIEESFRAAKLPPVHQTKPELEAVDILPLLPDFDRCEDQFVMVNFDGDPTADSEQYNKLDRSIRDELESQVIFFHMFSTNGSDPMNPEKFLAYMVPQPDELYKDLKSENEDTSYSWVREYHWDVRGDDADDPTTYFVTFGEKDARYLPLPTKLVLQKKKAKEGRSGDEIEQFPVPSRVTVRKKSTTTYGEPNEYGEASRNNEKLDVRNIKRGRSSMDDDLERQHKFQRTEDIDQFSGEEDMSD >Ma06_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5577262:5582915:1 gene:Ma06_g07870 transcript:Ma06_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRIAIVRDEETAADDTPTAKKTLRAESRVPLTRWEAAAAAAVFLIFAVGLFCIFLTMPEAEYDKILRLPRNLSDLRVLKNNLAVYARDYQAKFVLGYCSIYIFMQTFMIPGTIFLSLLAGALFGVIKGVILVVFSATSGASSCYFLSKLIGRPLVSWMWPEKLRFFQAEIAKRRERLLNYMLFLRITPSLPNTFINLASPIVDVPFRIFFLATLVGLVPASYITVRAGLALGDLKSVRDLYDLKTLAVLFLIGFVFIFPTILKRKRTYE >Ma06_p29370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30765786:30767017:1 gene:Ma06_g29370 transcript:Ma06_t29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR [Source:Projected from Arabidopsis thaliana (AT2G28160) UniProtKB/Swiss-Prot;Acc:Q0V7X4] MESDHPFLFAPQARISNEDDGFDPVFESYDHELLQFMLFDGGFAGDGHLSLLREGALFDAGGHEGTTATQYLQADAPSGSPDLSLEVDDDPPACGGCHDGGDSPGGITKTRRDRSKTLISERKRRVRMKEKLYELRSLVPNITKMDKASIIADAVVYMKNLQSQAKKLEEEVSMLESSSREGQPLQVPSRKTTKATDLEEAAAVRGGNIMQVNAFEVGEGRFYVKVEGSMGDGAASSLYAAVESLLCFDLESSNFSLNPNGFVFTLTFKIGDFSREMNASSMELWVMGALLREGFQLMQTTPPL >Ma11_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26497762:26500714:-1 gene:Ma11_g22920 transcript:Ma11_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEDGRLRTIHCLRGRLLAERVASKAAKEEAEKLAIRLEELERKLAEEIKCMNRAEKKLKHAMKKLQSLKLLDGRGQMDIPLSSVSSTSSQSFLGQQRLEGDTAQCGLLSDAKTMPSSLGSEDLPGDDVLGSLPGELDHRLVSLQGSWTMKPQYQEELNIVEADEGFSPKECTSFALSDGRQEDNMLALVPVSKQLDIIEVGEPEMKNTVQCVLLALRHVKEQLLQALGRRADIYSSTEFFADGREDFHRAPLCCKTFH >Ma07_p26220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33111215:33115574:1 gene:Ma07_g26220 transcript:Ma07_t26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLIVGFDPKSSTAMSKCMIMGAAGSTVYFNLKLRHPILDVPLIDYDLAVLIQPMLMLGISIGVIFNVIFPDWMVTILLIVLFLGSSTKAFMKGVDTWKKETVLKKDAYKRLVTNGGGSEEVEYRSLPLGPSDIDRKGVEMTAESEVPVLQNVSWKELGLLVFVWVLFLVLQVTKNHTATCSTWYWILNFLQIPVSVAVTLHEAISLYKGERVIASRGDEGTNWKVSQLFVFCLSGIIAGIVGGLLGLGGGFILGPLFLELGIPPQVSSATATFAMTFSSSLSVVEYYLLNRFPVPYAVYFIFVATVAAFVGQHVVRRLVILIGRASLIIFILASMIFISAISLGGVGIVNMIKKIEDNDYMGFENLCRYQA >Ma06_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25212242:25213508:-1 gene:Ma06_g25010 transcript:Ma06_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMECRCLPRPRRLLLVSLWSMMLASAGVVGILDPVDFLALQAIRKGLEDMPGSSFFAAWDFTADPCTFSGVLCDGDRVVALALGDPRAGSPGLQGRLDPALGRLSALAELSLVPGRVTGPVPDALARCSDLRFLALSKNLLSGPIPPTLGALTHLRTLDLSYNQLTGPVPTAFAAAPVLSNLILCHNQLSGPLPPFPDSSSALLRLDLKHNQLSGPVPPLPPSLQYLALGSNALTGAVDAVLPCLTRLNFLDLSSNQLQGPIPGSVFALPLAKLQLQRNAFSGPVAPDGDVIIPVVDLSYNRLWGRLPPQLAAVGRLYLNNNRFTGEVPSRLVQGLTNGMQLLYLQHNFLTGLEIGPAAAATIPVGASLCLQYNCMAPPFDAPCPLKAGNQRMRPADQCPDWRG >Ma11_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22333448:22334636:-1 gene:Ma11_g16910 transcript:Ma11_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWERRLMAAEVSSLSRMLRGYEEEGEGKRELVTRDLLGGGGAVLGSAEVGLELRVPMGWERRFDLLHGKTYLEKRDPDPVPSCLHNLNLALPPPSTAALVPQPDAAAAAPAPSAYQSVCTLEKVKSALERATRRSDGSPSPRSSSTTTTSSSFSVIKRRAPEEDGPGPGSLMGERGRAAAAMTVAGCPVCLLYVLVSSVDPRCPRCAVHVPVIGELKKRPKLDLNSPTRDGDDDEDWKKSHLSLQII >Ma03_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1058688:1060236:1 gene:Ma03_g01500 transcript:Ma03_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSKQARRDRRRSPSPLARSRSLPRDHKAGVGSNDHVVALTSATLGSFKLDKEAKQSVGDDHGDLKSKLAEAKAWTEKMSKRIPTTPTETPPNEPEAVDALELMAGLEEAAAPRFSSATVVDRHSFSFYPIHESNRVLGSTQGTVWSNALAFPSPIWKPAKIEEHAVGIGEFDPEVLSAFRKAMEELSPQHPCLLRSPESRTPSANVIHARISGFQERLDSKKANAKAGQDSELKRWPPGGEGKVVLYFTSLRGVRKTYEDCFLVRMILKGYGLRVDERDVSMDRGFRNELNEMLGADRVAVLLPSVFANGRYAGGVEEVRRIHEDGELSKAFSDCEAASEGGRGGPCEDCGDIRFVICGKCSGSCKVYVEEEEEEEEEEEMEEWEDVGGGFRRCMECNENGIVRCPACC >Ma06_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8877947:8883608:1 gene:Ma06_g12870 transcript:Ma06_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMRAERPMLTSGASGRVAALLSVRVLRGLLLLLQAAALLLLLPFRWRPLLVSTAERSAPAPADGRPEASRKGGHGGVVVRVPAAMVPRRQREHDASWRRALAVRRVVEAMKEGQLGRDFSLFTTARGDTLFTQSWTPVNLKTRGLVVLLHGLNEHSGRYNDFAEKLNENGFKVYAMDWMGHGGSDGLHGYVHSLDYAVSDLETFLEKVLAENPGTPCFCFGHSTGAAIILKAACDPKVEGWIKGLVLTSPAVLVQPSHPIVMVLAPMFCLLAPKYQFSAANNSGSVVSRDPEALQSKYSDPLVFTGSIRVRTGCEILRMSTYLLQNLNKIKIPFLVLHGASDTVTDPEGSQRLFDEASSTDKSIKLYHNLLHDLLIEPEREKIMQDIIDWLSFRV >Ma03_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:710275:713817:-1 gene:Ma03_g00900 transcript:Ma03_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSSWPWASLGIYKYVLCGPLVAIAAREWRDGRAGGWWLHLLLLFVLRSLTYQLWYSFANMLFLTRTRRVIKDGVEFKQIDSEWDWDNFLILQALLGSVVLCRAPSFEDLPLLQLKGCVIALVLHVGVSEPLFYMAHRCFHRGYLFTHYHSIHHSSPVPQPITAGFGTLLENLVLSAAMAAPLLGPFATGHGSASLAYGYVLVFDFLRCMGYSNVEVLPSRLFEALPALRYLLYSPTYLSLHHKEKNCNFCLFMPLFDFLGKTANNKSWDLQKEISSGKKDRAPDFVFLAHVIDVFSSMHVPFVFRSLSSMPFINYIFLPVFWPIAFLFMLFQWAFSKTFLLSFYTLRGRLHQTWIVPRYGFQYFLPFAREGINDQIELAILRADKLGVKVLSLAALNKNESLNGGGTLFISKHPDLRVRVVHGNTLTAAIILNEIPMDVKEVFLTGATSKLGRAIALYLCRKNIRVLMLTLSTERFVKIQKEVPADYQHHLVQVTKYQAAQNCKTWIVGKWLLLREQRWAPPGTHFHQFVVPPVIGFRRDCTYGNLAAMRLPKDVQGLGSCEYTMERGIVHACHAGGIVHCLEGWTHHEVGAIDVDRIDVVWNAALKHGLQPV >Ma09_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31064439:31079734:1 gene:Ma09_g20910 transcript:Ma09_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGERGRPMKKHKTSSSSYSKAVHMMEEEDFNDYGNDFDDDSRDGESEIKKRDFTQLELKPDHVNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLSKLSKTKLPAEIIDFIHGSTANYGKVKLVLKKNRYFVESPFPEVLKRLLKDDVISKARINSEDLHGAETFTISKSVREIQGGHEDLLNGADLAAAAEEKETHSFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLNIELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRVKKSCLCLATNAVSVDQWAFQFKLWSTIKDEQISRFTSDNKEKFRSLAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHNERTRILEAFKNSPDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGRLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDSGAELSYHSLEEQIELLSKVLNAGDDMVGLEQLQEDADDIANIKSKRSAGSMSAFSGATGMVYMEYSTGKSKQAGHGLKSKPKDPSKRHVLFKKRFAP >Ma09_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1005140:1006943:-1 gene:Ma09_g01370 transcript:Ma09_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYPILFPVQQSSSSSTSFPQTSEMLGSTHVFGSLHGGNSSGLLGLKDFEGRGMSPQGDGAHHMKSLASSMENETRPDKKKEKKVRRPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDESIVVTTYEGMHSHPIEKPNDNFEDILNQMQIYSNF >Ma08_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17705503:17705682:-1 gene:Ma08_g16270 transcript:Ma08_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGVFVSGFPTEDGMGFIKILKHLLVDINMVLFMSGYMRNILYRIVLQLGAKVFFFF >Ma06_p36540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35896323:35898607:-1 gene:Ma06_g36540 transcript:Ma06_t36540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADFTVRRLQQGHTRIKTVPIAVTPEGFWCCPSPAAIEKNLKNRNHQDKRKTASPPNSKASSIQRSSLPPVDKRLVSAPLRSKLADDDHSHLNSATAASISSNSVDRPQMQSIETKQRKIYASFGRPETSDMKVTLHGKEGSSMRMSVHRNILAEHSSFFADKLSRLSPASQVEIADCEDVEIFLEVVGLMYCEEIKCRLIKRSLSRVLRILKVAESLGFHACIESCLDYLEAVPWVEEEEEQNVISSVRHLRDDSYGVGPILNRVASDPSNPPTDTLAQIMDLVLGSSEDRGRREMKSLVLNLLKESDRDGSVGICTETLYGSCRHCLESLLNLFRCASEPGFSHEPLGSRDRVVRRISLEVDNLLWLVEILAVRHAADEFASIWASQDELAELHSKLPTMARHQVSCVTSRLFVAIGNREMLPSKETRKLLLRVWLQPLIDDYGWLRRGSSRSFDRHAVEEGIDRTITTLPLEEQQSVLLSWLRSFLKVGDDCPNLRGAFEVWWRRTFTMPYVEHLEGSFRSEKAGGGRGCLGLDA >Ma06_p36540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35896323:35898607:-1 gene:Ma06_g36540 transcript:Ma06_t36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFTVRRLQQGHTRIKTVPIAVTPEGFWCCPSPAAIEKNLKNRNHQDKRKTASPPNSKASSIQRSSLPPVDKRLVSAPLRSKLADDDHSHLNSATAASISSNSVDRPQMQSIETKQRKIYASFGRPETSDMKVTLHGKEGSSMRMSVHRNILAEHSSFFADKLSRLSPASQVEIADCEDVEIFLEVVGLMYCEEIKCRLIKRSLSRVLRILKVAESLGFHACIESCLDYLEAVPWVEEEEEQNVISSVRHLRDDSYGVGPILNRVASDPSNPPTDTLAQIMDLVLGSSEDRGRREMKSLVLNLLKESDRDGSVGICTETLYGSCRHCLESLLNLFRCASEPGFSHEPLGSRDRVVRRISLEVDNLLWLVEILAVRHAADEFASIWASQDELAELHSKLPTMARHQVSCVTSRLFVAIGNREMLPSKETRKLLLRVWLQPLIDDYGWLRRGSSRSFDRHAVEEGIDRTITTLPLEEQQSVLLSWLRSFLKVGDDCPNLRGAFEVWWRRTFTMPYVEHLEGSFRSEKAGGGRGCLGLDA >Ma06_p36540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35896323:35898607:-1 gene:Ma06_g36540 transcript:Ma06_t36540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADFTVRRLQQGHTRIKTVPIAVTPEGFWCCPSPAAIEKNLKNRNHQDKRKTASPPNSKASSIQRSSLPPVDKRLVSAPLRSKLADDDHSHLNSATAASISSNSVDRPQMQSIETKQRKIYASFGRPETSDMKVTLHGKEGSSMRMSVHRNILAEHSSFFADKLSRLSPASQVEIADCEDVEIFLEVVGLMYCEEIKCRLIKRSLSRVLRILKVAESLGFHACIESCLDYLEAVPWVEEEEEQNVISSVRHLRDDSYGVGPILNRVASDPSNPPTDTLAQIMDLVLGSSEDRGRREMKSLVLNLLKESDRDGSVGICTETLYGSCRHCLESLLNLFRCASEPGFSHEPLGSRDRVVRRISLEVDNLLWLVEILAVRHAADEFASIWASQDELAELHSKLPTMARHQVSCVTSRLFVAIGNREMLPSKETRKLLLRVWLQPLIDDYGWLRRGSSRSFDRHAVEEGIDRTITTLPLEEQQSVLLSWLRSFLKVGDDCPNLRGAFEVWWRRTFTMPYVEHLEGSFRSEKAGGGRGCLGLDA >Ma08_p33950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44094645:44096721:1 gene:Ma08_g33950 transcript:Ma08_t33950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKLIPFFDSIHTKSASSLAHQASSAFLHRLFPPRSSSSKILWFLYAGNVTTTRAGFKVMAASAYPFHSNSTTTTPSPLLSMCISPREIITGSDAQQQMYCHLLCGLRHSASIDCIRSPYAAGLIRAMRMLESKWMQLCDDIESGLVSSEITELAMRRAVGELLGGPRPDLAARIRGASSRKNWNGILPLLWPELRYIACVSTGSMEQYYPTLKHYAGEGVPLLGGDYFASECPIGINMDRTRPPELTSFVILPGAAYFEFLPFDLGASSAAKETVDISGVEVGKMYEVVVTTYRGLYRYRLNDVVKVVGFHNSSPRVEFITRAPKQASEDFTERDLMSAMASFELMVGERDGEQMVEFAGYLDPNSDQKHLIIFVELSKDCTLLQRERMEESITHLRRCCQSLEGCLGSVYKAKRAEGDLAALEISVVKPGSFEGLARAAVEGGAPANQYKPAKIIRNSNFVDLLKANVVISSSNGELRTLPV >Ma08_p33950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44094266:44096722:1 gene:Ma08_g33950 transcript:Ma08_t33950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAEAARWVEESTRDALRFQLETLRSILESNAGTAYLRLHLRDRLPDPARVDPALVATAFRRLVPLSSYDDYADLIERIADGAEPPAALSLDPLICFFNRNEHHEAKADTFLRFHTHQVCFQSCSPSQLGLPSQVFLSILETMLLLSHLLDFLLLLRLFPPRSSSSKILWFLYAGNVTTTRAGFKVMAASAYPFHSNSTTTTPSPLLSMCISPREIITGSDAQQQMYCHLLCGLRHSASIDCIRSPYAAGLIRAMRMLESKWMQLCDDIESGLVSSEITELAMRRAVGELLGGPRPDLAARIRGASSRKNWNGILPLLWPELRYIACVSTGSMEQYYPTLKHYAGEGVPLLGGDYFASECPIGINMDRTRPPELTSFVILPGAAYFEFLPFDLGASSAAKETVDISGVEVGKMYEVVVTTYRGLYRYRLNDVVKVVGFHNSSPRVEFITRAPKQASEDFTERDLMSAMASFELMVGERDGEQMVEFAGYLDPNSDQKHLIIFVELSKDCTLLQRERMEESITHLRRCCQSLEGCLGSVYKAKRAEGDLAALEISVVKPGSFEGLARAAVEGGAPANQYKPAKIIRNSNFVDLLKANVVISSSNGELRTLPV >Ma08_p33950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44094266:44096720:1 gene:Ma08_g33950 transcript:Ma08_t33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAEAARWVEESTRDALRFQLETLRSILESNAGTAYLRLHLRDRLPDPARVDPALVATAFRRLVPLSSYDDYADLIERIADGAEPPAALSLDPLICFFNSSGTSTMKPKLIPFFDSIHTKSASSLAHQASSAFLHRLFPPRSSSSKILWFLYAGNVTTTRAGFKVMAASAYPFHSNSTTTTPSPLLSMCISPREIITGSDAQQQMYCHLLCGLRHSASIDCIRSPYAAGLIRAMRMLESKWMQLCDDIESGLVSSEITELAMRRAVGELLGGPRPDLAARIRGASSRKNWNGILPLLWPELRYIACVSTGSMEQYYPTLKHYAGEGVPLLGGDYFASECPIGINMDRTRPPELTSFVILPGAAYFEFLPFDLGASSAAKETVDISGVEVGKMYEVVVTTYRGLYRYRLNDVVKVVGFHNSSPRVEFITRAPKQASEDFTERDLMSAMASFELMVGERDGEQMVEFAGYLDPNSDQKHLIIFVELSKDCTLLQRERMEESITHLRRCCQSLEGCLGSVYKAKRAEGDLAALEISVVKPGSFEGLARAAVEGGAPANQYKPAKIIRNSNFVDLLKANVVISSSNGELRTLPV >Ma08_p33950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44094266:44096724:1 gene:Ma08_g33950 transcript:Ma08_t33950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAEAARWVEESTRDALRFQLETLRSILESNAGTAYLRLHLRDRLPDPARVDPALVATAFRRLVPLSSYDDYADLIERIADGAEPPAALSLDPLICFFNSSGTSTMKPKLIPFFDSIHTKSASSLAHQASSAFLHRCSCRSSKPCCSYLIYLTSFSCLGYSLQDLLAVRFCGSCTPEMSPRPELDSSPREIITGSDAQQQMYCHLLCGLRHSASIDCIRSPYAAGLIRAMRMLESKWMQLCDDIESGLVSSEITELAMRRAVGELLGGPRPDLAARIRGASSRKNWNGILPLLWPELRYIACVSTGSMEQYYPTLKHYAGEGVPLLGGDYFASECPIGINMDRTRPPELTSFVILPGAAYFEFLPFDLGASSAAKETVDISGVEVGKMYEVVVTTYRGLYRYRLNDVVKVVGFHNSSPRVEFITRAPKQASEDFTERDLMSAMASFELMVGERDGEQMVEFAGYLDPNSDQKHLIIFVELSKDCTLLQRERMEESITHLRRCCQSLEGCLGSVYKAKRAEGDLAALEISVVKPGSFEGLARAAVEGGAPANQYKPAKIIRNSNFVDLLKANVVISSSNGELRTLPV >Ma10_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22087908:22092605:1 gene:Ma10_g07740 transcript:Ma10_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAAKKAAAAAKRGGKCAAASSKAAAASKVPNGSAVDKVADGVGSLQISDRTCTGVLASHPQSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLSAIGCRELPIPDHMDIYHLTREIEASDMSSLEAVISCDEERLKLEKEVEMLAGEDGGGGEALDRIYERLEALDASTAEKRAAEILYGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVVSHSQDFLNGVCTNIIHMQNKKLKFYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVKDKVLVFRFTDVGKLPPPVLQFVGVTFGYTPDTLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPLDGMVRRHNHLRIAQFHQHLAEKLDLEMPALQYMMKEYPGNEEEKMRAAIGKFGLSGKAQVMPMNNLSDGQRSRVIFAWLAWRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCENQAATRWEGDIMDFKEHLRSKSEFSD >Ma10_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31105447:31105866:1 gene:Ma10_g21180 transcript:Ma10_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAVAEVMKWLEEEMDAAALPPSPSSPSYRQETSFVTINGNEESCGPSFSSSASTVMASVDTSGADYVPYFVGCPSDSAAWPPAAPLIRTLAERSAAKPVAEAADGYGAAAVEDDESEWVAQVLGVGAALKVEESRV >Ma02_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17551950:17555507:1 gene:Ma02_g06580 transcript:Ma02_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVRCVRDRSAFCSLRYLLLDHPCRSLTTASNPQLRRSDDIFRWNSAITSSFNDGKVESAWQFFEQMPRRNLITWNCMLTGLVKNRRIADAQRVFDSMPRKNVVSWTVLLTGYAKCGLIDEARELFDRIPDRNVICWNSMVSGYINNGKIGKAREVFDDMPVRNNVSWSIMITGYLKNRLINEARVLFDQAAGHSTSTCNALLSGYVDLGRLKDAEDLFGQMTQRDVVSWNTMITCYSRAGKMELAQHLFDETPEKDIVSWTALMHGYLRNRNIEAAQRIFNEMPDHDVMTWNTMMGGFVQNGMLEDALRLFADMPNRDVVSWNTILQGYIQQDDMAGAKCWFDKMPQRSETSWNTLISGYRSDEALVLFCNMIREGFKPDQGTFSVVISVCASLVALGWGRMVHLCVIRVGYQHDALVMSSLISLYSRCGLISESALIFECIIKRDTITWNAMIATYAYHGFAMEAFKLFDEMIRNGFNPDHATFLSLLLACSHKGLVNEGCQYFDSMQKDWNFIPKPEHYSCMVDLLGRSGLVTQAHEFTKKIPVQLQTTAWETLLSSCRYYGNLELGEVAAKKVLDARPPDGGMHALISNMYAAKGMWKSAESVRMLMKDRGLKKQTGCSWIEVKGKMCSFVSNDKSDPSIEKICQELDNLTFIMEGAS >Ma03_p32900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34507349:34507819:-1 gene:Ma03_g32900 transcript:Ma03_t32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKDQALSSGEPTMNAIKIMEELNEKATTNDVESAECECCGMSEDCTPTYIRRIKEFFHGRWICGLCSEAVKEQMKRTPAATMEEAVDSHTSLCKKFNRTVRLNPKLSLAVSMRDIARKSSERRTIDGMPGSKIVRSMNCGPKLDVAIKQSQIQ >Ma03_p26040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29934982:29936195:-1 gene:Ma03_g26040 transcript:Ma03_t26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKTVFSADPEPSQPHDDDYRRGEGGGASEWSFGGFIKTLASMSESVIQTYRRDLAEFGTGLKKETEAVGKAAARAFRDLDGSVWRGTGKEAVETGDDSGPTNTVSSSRRYSRFDVELLTVQSEVRTFSEDPKEAEDFSKWRSEFDLVEKEEEIENLCRENGALLRLLNKLVPGVVEYETFWFRHYYRVRKLKQAEDARAKLVRRMIRREEELEELSWEVDDDDEGDEEELETEEIKEEKKDREAKSTCKSDEAVVAEGSCKDGEFLIISTQNSVSEEDDLGWDEIEDLGEHDEKKGGGSVPGR >Ma06_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5355831:5356747:1 gene:Ma06_g07540 transcript:Ma06_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNSLFFLFFFLFLPLVACTSVPPPDLAPMSPDENATEFIRARCVLTRYPDLCFTSLSSYASAVRRSPVQLARLATNVTLTRLRALRAHVSALRRAGAGAAGREAAALRDCAEQLGDAADQVGRTAAELRGLEALVGMEVAWRVSNAQTWMSAALTNEDTCSDGFREMGGGGTSSIRTDVCRRVGRVIQYTSNALALVNSLVNGG >Ma09_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6095002:6097880:1 gene:Ma09_g09190 transcript:Ma09_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNIEKNKAAKGSQLESNKKAMTIQCKVCMQTFICTTSEVKCREHAEAKHPKSDVSQCFPHLK >Ma03_p17610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23203638:23227783:1 gene:Ma03_g17610 transcript:Ma03_t17610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSQKDLAVFDFSEEEAAVEAAAGRFTAKLQSRLRPRMDDTVNKNQFLEAYTSGLTFKGDVTDIICVDMHERDNDTGSYAIDGPEQGLALGDEKAELDEIMSSSTKYDEHDQIYPDNFEDENLTRKDTKAVGDSVLQNPGMAVDVVSEDEESWSLMSGSESSTASDTPEDEGQMECPELENCYAAMGDVQFNNQMSVMIYPDYVIHGNTLYGDSQLVFSSDCIKIECSDASGSDEKFASEWAVSDIVHIDCRWSGTVTTALVKLCLRANDVTKSEKHHNVSDVLKVIFSVNDMCWLEKEHKIRNLAARYRDIWNANSGDMVWEDDSLDPSALFSRDYFTDLLCFRNADSFEDVVYPKGDPDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNKIQPDQKHRFHFFNSFFFRKLADLDKDRGSVSEGRAAFLRVRKWTRKVNIFEKDYIFIPVNFNLHWSLLIVCHPGEVANLEDNEIDSDKVPCILHMDSIKGSHSGLKNIIQSYMWEEWKERHPETTEDDSLKYLNLRFVSLELPQQENSFDCGLFLLHYVELFLEEAPVSFDPFKVTKFSSFLSADWFPPAEASLKRSLIWKLIYELNNPPQKINTSTCSKVHPSSSGYPEINVEQEHVELLSAHCSPAKGLVGDAVCPDAGVGNEFGHLASSSGTKCDEQTRLMIPEFLEPGENTMSSPKHGADVHQHTATSSNLLFVSCPILEHVDGREHLSSSRLGKEDCQPQDGSPNAQFCMNSMNVTSANVTPWSTADEKDADTDLASECMNSNSMNIDQREQDEKMRLASPENFGYVPESPTSSPREKLDGFVKDSQENDASKAEGTDCEGSLRICTRETDCQIIDGGDIDTHAYGVSDVTDCMVMDGRGTVDGHDECVELGTQESGDGEDCQETRSEARQDDNHQETHSVDISSGDGNCKVNLPSSFEDIIVTDDVKPLDKDDVQVIGRILVRQPHKRRKVTIPEGSRMRTRSFTRESHNNGT >Ma03_p17610.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23203638:23227783:1 gene:Ma03_g17610 transcript:Ma03_t17610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSQKDLAVFDFSEEEAAVEAAAGRFTAKLQSRLRPRMDDTVNKNQFLEAYTSGLTFKGDVTDIICVDMHERDNDTGSYAIDGPEQGLALGDEKAELDEIMSSSTKYDEHDQIYPDNFEDENLTRKDTKAVGDSVLQNPIGNKHFLDFPADGMAVDVVSEDEESWSLMSGSESSTASDTPEDEGQMECPELENCYAAMGDVQFNNQMSVMIYPDYVIHGNTLYGDSQLVFSSDCIKIECSDASGSDEKFASEWAVSDIVHIDCRWSGTVTTALVKLCLRANDVTKSEKHHNVSDVLKVIFSVNDMCWLEKEHKIRNLAARYRDIWNANSGDMVWEDDSLDPSALFSRDYFTENADSFEDVVYPKGDPDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNKIQPDQKHRFHFFNSFFFRKLADLDKDRGSVSEGRAAFLRVRKWTRKVNIFEKDYIFIPVNFNLHWSLLIVCHPGEVANLEDNEIDSDKVPCILHMDSIKGSHSGLKNIIQSYMWEEWKERHPETTEDDSLKYLNLRFVSLELPQQENSFDCGLFLLHYVELFLEEAPVSFDPFKVTKFSSFLSADWFPPAEASLKRSLIWKLIYELNNPPQKINTSTCSKVHPSSSGYPEINVEQEHVELLSAHCSPAKGLVGDAVCPDAGVGNEFGHLASSSGTKCDEQTRLMIPEFLEPGENTMSSPKHGADVHQHTATSSNLLFVSCPILEHVDGREHLSSSRLGKEDCQPQDGSPNAQFCMNSMNVTSANVTPWSTADEKDADTDLASECMNSNSMNIDQREQDEKMRLASPENFGYVPESPTSSPREKLDGFVKDSQENDASKAEGTDCEGSLRICTRETDCQIIDGGDIDTHAYGVSDVTDCMVMDGRGTVDGHDECVELGTQESGDGEDCQETRSEARQDDNHQETHSVDISSGDGNCKVNLPSSFEDIIVTDDVKPLDKDDVQVIGRILVRQPHKRRKVTIPEGSRMRTRSFTRESHNNGT >Ma03_p17610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23203638:23227783:1 gene:Ma03_g17610 transcript:Ma03_t17610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSQKDLAVFDFSEEEAAVEAAAGRFTAKLQSRLRPRMDDTVNKNQFLEAYTSGLTFKGDVTDIICVDMHERDNDTGSYAIDGPEQGLALGDEKAELDEIMSSSTKYDEHDQIYPDNFEDENLTRKDTKAVGDSVLQNPIGNKHFLDFPADGMAVDVVSEDEESWSLMSGSESSTASDTPEDEGQMECPELENCYAAMGDVQFNNQMSVMIYPDYVIHGNTLYGDSQLVFSSDCIKIECSDASGSDEKFASEWAVSDIVHIDCRWSGTVTTALVKLCLRANDVTKSEKHHNVSDVLKVIFSVNDMCWLEKEHKIRNLAARYRDIWNANSGDMVWEDDSLDPSALFSRDYFTDLLCFRNADSFEDVVYPKGDPDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNKIQPDQKHRFHFFNSFFFRKLADLDKDRGSVSEGRAAFLRVRKWTRKVNIFEKDYIFIPVNFNLHWSLLIVCHPGEVANLEDNEIDSDKVPCILHMDSIKGSHSGLKNIIQSYMWEEWKERHPETTEDDSLKYLNLRFVSLELPQQENSFDCGLFLLHYVELFLEEAPVSFDPFKVTKFSSFLSADWFPPAEASLKRSLIWKLIYELNNPPQKINTSTCSKVHPSSSGYPEINVEQEHVELLSAHCSPAKGLVGDAVCPDAGVGNEFGHLASSSGTKCDEQTRLMIPEFLEPGENTMSSPKHGADVHQHTATSSNLLFVSCPILEHVDGREHLSSSRLGKEDCQPQDGSPNAQFCMNSMNVTSANVTPWSTADEKDADTDLASECMNSNSMNIDQREQDEKMRLASPENFGYVPESPTSSPREKLDGFVKDSQENDASKAEGTDCEGSLRICTRETDCQIIDGGDIDTHAYGVSDVTDCMVMDGRGTVDGHDECVELGTQESGDGEDCQETRSEARQDDNHQETHSVDISSGDGNCKVNLPSSFEDIIVTDDVKPLDKDDVQVIGRILVRQPHKRRKVTIPEGSRMRTRSFTRESHNNGT >Ma03_p17610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23203638:23227783:1 gene:Ma03_g17610 transcript:Ma03_t17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSQKDLAVFDFSEEEAAVEAAAGRFTAKLQSRLRPRMDDTVNKNQFLEAYTSGLTFKGDVTDIICVDMHERDNDTGSYAIDGPEQGLALGDEKAELDEIMSSSTKYDEHDQIYPDNFEDENLTRKDTKAVGDSVLQNPIGNKHFLDFPADGMAVDVVSEDEESWSLMSGSESSTASDTPEDEGQMECPELENCYAAMGDVFNNQMSVMIYPDYVIHGNTLYGDSQLVFSSDCIKIECSDASGSDEKFASEWAVSDIVHIDCRWSGTVTTALVKLCLRANDVTKSEKHHNVSDVLKVIFSVNDMCWLEKEHKIRNLAARYRDIWNANSGDMVWEDDSLDPSALFSRDYFTDLLCFRNADSFEDVVYPKGDPDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNKIQPDQKHRFHFFNSFFFRKLADLDKDRGSVSEGRAAFLRVRKWTRKVNIFEKDYIFIPVNFNLHWSLLIVCHPGEVANLEDNEIDSDKVPCILHMDSIKGSHSGLKNIIQSYMWEEWKERHPETTEDDSLKYLNLRFVSLELPQQENSFDCGLFLLHYVELFLEEAPVSFDPFKVTKFSSFLSADWFPPAEASLKRSLIWKLIYELNNPPQKINTSTCSKVHPSSSGYPEINVEQEHVELLSAHCSPAKGLVGDAVCPDAGVGNEFGHLASSSGTKCDEQTRLMIPEFLEPGENTMSSPKHGADVHQHTATSSNLLFVSCPILEHVDGREHLSSSRLGKEDCQPQDGSPNAQFCMNSMNVTSANVTPWSTADEKDADTDLASECMNSNSMNIDQREQDEKMRLASPENFGYVPESPTSSPREKLDGFVKDSQENDASKAEGTDCEGSLRICTRETDCQIIDGGDIDTHAYGVSDVTDCMVMDGRGTVDGHDECVELGTQESGDGEDCQETRSEARQDDNHQETHSVDISSGDGNCKVNLPSSFEDIIVTDDVKPLDKDDVQVIGRILVRQPHKRRKVTIPEGSRMRTRSFTRESHNNGT >Ma03_p17610.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23203597:23227783:1 gene:Ma03_g17610 transcript:Ma03_t17610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSQKDLAVFDFSEEEAAVEAAAGRFTAKLQSRLRPRMDDTVNKNQFLEAYTSGLTFKGDVTDIICVDMHERDNDTGSYAIDGPEQGLALGDEKAELDEIMSSSTKYDEHDQIYPDNFEDENLTRKDTKAVGDSVLQNPIGNKHFLDFPADGMAVDVVSEDEESWSLMSGSESSTASDTPEDEGQMECPELENCYAAMGDVFNNQMSVMIYPDYVIHGNTLYGDSQLVFSSDCIKIECSDASGSDEKFASEWAVSDIVHIDCRWSGTVTTALVKLCLRANDVTKSEKHHNVSDVLKVIFSVNDMCWLEKEHKIRNLAARYRDIWNANSGDMVWEDDSLDPSALFSRDYFTENADSFEDVVYPKGDPDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNKIQPDQKHRFHFFNSFFFRKLADLDKDRGSVSEGRAAFLRVRKWTRKVNIFEKDYIFIPVNFNLHWSLLIVCHPGEVANLEDNEIDSDKVPCILHMDSIKGSHSGLKNIIQSYMWEEWKERHPETTEDDSLKYLNLRFVSLELPQQENSFDCGLFLLHYVELFLEEAPVSFDPFKVTKFSSFLSADWFPPAEASLKRSLIWKLIYELNNPPQKINTSTCSKVHPSSSGYPEINVEQEHVELLSAHCSPAKGLVGDAVCPDAGVGNEFGHLASSSGTKCDEQTRLMIPEFLEPGENTMSSPKHGADVHQHTATSSNLLFVSCPILEHVDGREHLSSSRLGKEDCQPQDGSPNAQFCMNSMNVTSANVTPWSTADEKDADTDLASECMNSNSMNIDQREQDEKMRLASPENFGYVPESPTSSPREKLDGFVKDSQENDASKAEGTDCEGSLRICTRETDCQIIDGGDIDTHAYGVSDVTDCMVMDGRGTVDGHDECVELGTQESGDGEDCQETRSEARQDDNHQETHSVDISSGDGNCKVNLPSSFEDIIVTDDVKPLDKDDVQVIGRILVRQPHKRRKVTIPEGSRMRTRSFTRESHNNGT >Ma06_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5644808:5650692:-1 gene:Ma06_g07950 transcript:Ma06_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEGEQTSSLEEDLLLPENVNNGPYTGDGSVDMQGNPIPKDGTGNWKACPFILANECCERLAYYGISTNLVTYLKKKFHEANVSAARKVTTWQGTCYMTPLIGAILADAYWGRYRTIAVFSTIYFIGLATLTLSATVPAFKPPPCVGSVCPKASAVQYAILFIGLYSIALGTGGIKPCVSSFGADQFDDTDEDERRRKQSFFDWFYLSISIGALISSSFLVWVQDTCGWGWGFGIPTVFLGLAICSFTLGTSLYRFQKPGGNPLSRMCQVIVASFRKRKVDVPDDSSLLHEVRGDAPAIEGNRQLKHTDDLKFLDKAATKTDLDGENGNSINPWRLCTVTQVEELKILTRMFPVWATTIVFSAVFAQMSTLFVVQGMVMDTSIGSFVIPPASMSTFDVISVIVWVLLYDNIVVPVARRFTGEERGFSDLQRMGIGLFISILAMVAAALVEIKRLDIAHAEGLVHEKVAIPLSIMWQTPQYSLIGAAEVFTYVGSLTFFYDQAPNTMRSLCSALSLLTTALGSYLGSLIVTAVTSLTTWGGNAGWIPDNLNEGHLDYYFWLLAGLSCLNLLMYAACAKRYKRIVPF >Ma03_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9294768:9298137:-1 gene:Ma03_g12020 transcript:Ma03_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-1 [Source:Projected from Arabidopsis thaliana (AT1G01940) UniProtKB/Swiss-Prot;Acc:Q9LPC7] MSVTLHTNLGDIKCEVFCDEVPKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGPNTNGSQFFLTYAKQPHLNGLYTIFGKVIHGFEVLDLMEKTQTGPGDRPLAEIRLNRVTIHANPLAG >Ma11_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:823598:824153:-1 gene:Ma11_g01120 transcript:Ma11_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKTEREAESPMKSRGLSRCPRIMVEKSLRAAEAVEQARDDDNGVVRVKIVVTKRQLRQMVASMGQGPCNAAGPQAATAVAAASNLELLLHVLRRRHMKRAETGKGKRHGGGRWRPALKSIPEDIIQD >Ma06_p36900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36121536:36131275:-1 gene:Ma06_g36900 transcript:Ma06_t36900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MEASLDQTLQEGKLVRQVNAFIVAYLRDNNLHQAAMAVASATMTPLATDAPANRLLELVTKGLAVERDEASRGVSAASAAGIPVLLGSMPVPTQAIDFSTMPETKGSSKTFPKHETRHVSEHKNFARCARFSPDGRFVATGSSDTSIKLFEVSKMKQAVLADSRDGPVRPVIRTFYDHSQPINDLDFHPQSTVLISGAKDNTIKFFDFSKTVARRAFRVIQDTHNVRSVSFHPSGEYLLAGTDHPIPHLYDINTFKCYLTANAHDLNAKAAINQVRYSSTGSMYVTASKDGSIRVWDGVTAQCVRPIVGAHGLMEATSASFTKDQRFILSCGKDSTIKLWEVGTGRLLKQYVGAVHTQFRCQAVFNGTEEFILSIDESSNEVVIWDALTAEKVAIWPSNHVAAPRWIEHSPTEAAFVTCGNDRSVRFWKEIP >Ma06_p36900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36121536:36131275:-1 gene:Ma06_g36900 transcript:Ma06_t36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MEASLDQTLQEGKLVRQVNAFIVAYLRDNNLHQAAMAVASATMTPLATDAPANRLLELVTKGLAVERDEASRGVSAASAAGIPVLLGSMPVPTQAIDFSTMPETKGSSKTFPKHETRHVSEHKNFARCARFSPDGRFVATGSSDTSIKLFEVSKMKQAVLADSRDGPVRPVIRTFYDHSQPINDLDFHPQSTVLISGAKDNTIKFFDFSKTVARRAFRVIQDTHNVRSVSFHPSGEYLLAGTDHPIPHLYDINTFKCYLTANAHDLNAKAAINQVRYSSTGSMYVTASKDGSIRVWDGVTAQCVRPIVGAHGLMEATSASFTKDQRFILSCGKDSTIKLWEVGTGRLLKQYVGAVHTQFRCQAVFNGTEEFILSIDESSNEVVIWDALTAEKVAIWPSNHVAAPRWIEHSPTEAAFVTCGNDRSVRFWKEIP >Ma06_p36900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36121536:36130844:-1 gene:Ma06_g36900 transcript:Ma06_t36900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MPVPTQAIDFSTMPETKGSSKTFPKHETRHVSEHKNFARCARFSPDGRFVATGSSDTSIKLFEVSKMKQAVLADSRDGPVRPVIRTFYDHSQPINDLDFHPQSTVLISGAKDNTIKFFDFSKTVARRAFRVIQDTHNVRSVSFHPSGEYLLAGTDHPIPHLYDINTFKCYLTANAHDLNAKAAINQVRYSSTGSMYVTASKDGSIRVWDGVTAQCVRPIVGAHGLMEATSASFTKDQRFILSCGKDSTIKLWEVGTGRLLKQYVGAVHTQFRCQAVFNGTEEFILSIDESSNEVVIWDALTAEKVAIWPSNHVAAPRWIEHSPTEAAFVTCGNDRSVRFWKEIP >Ma03_p33650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34934847:34940754:1 gene:Ma03_g33650 transcript:Ma03_t33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGLDIGFGPQLTLPFVSHHLRFLAANGGAEIVSFDDRRSLSLSTAVEAEISYASVGGGGRGDGREEATTASALHLLPFTGAAQQHYVSQLLSFTLDRLHKEPELLRVDAERIRRQMQEVAVGNYRAFIAAADTVSFIKEQLSGFDKHLDSLITGIPNLTAGCTEFIESAQKILEESKLNQILLDNHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVNKLSKMHTELPIIQALASEVRKTPQSLLSQLLQKLRSNIQVKHCISNFNILCLRSFRDSYCFSASVLDFLLIGTHRHSKPWFMLLHSNLYILLLGFLCLMLYDVFLFHVLYNFSLPDSSISCTYGNLISILQSSIRYLLSPCRIICFSYCAMGLGLVGFDFRGLLPSLFESAVINLFSKNMSIAVENFQIVLDLHRWVPLPSVGFVTN >Ma08_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5029961:5031484:1 gene:Ma08_g07320 transcript:Ma08_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQCELCDRPARMHCESDQASLCWECDAKVHGANFLVARHSRCLLCQSCQSPTPWRAEGARPGYAASTCERCAVGCATEGRKDGGADGGPGGVGVAEEDEGEMGGEEADEDEDEEAEEPEDDGVDEEGENQVVPWSMTPPPVSSSSSSEEEQEAGRRERGGGFLKRTRVNVNLPLSQEDVACSSSQPSYMTSDDATSRDRKRPNPLRADTSTSALGDVRFHGNQGGLTSSHPGIAEQSKKQQG >Ma10_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10145145:10151532:-1 gene:Ma10_g02960 transcript:Ma10_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVVRRKPPLFSISRPLPLLPNPACHASAAGEASASRRSRYSTAVPGEKGSPSSSLSSSSKFPEYELPSVTWGVIQGRKERLVSRVIISDYLKSLGIAPDELADLELPSSVDVMSERVDFLTRLGLSVDDLNAYPLLLACSVRKNMIPVLGYLEKLGVPRPRLGEFVRAYPQVLHASVVVELAPVVKFLRGLDVERSDIPYVLQRYPELLGFKPEGTMSTSVGYLVSIGLWPRDIGPMVTQYPFFLGMRVGTTIKPLVEFLVSLGFPKRILAKMLEKRPYILGYDLEETVKPNVDALLSFGVRRESLPSVIAQFPQILGLPLKAKLSTQQYFFNLKLKIDPDGFTRAMEKMPQIVSLHQSVMLKPIEFLRGRGIPDEDVANMVVKCPQLLACRVELMKNSYYFFKSEMKQPVQELFEFPEYFTYSLESRIKPRYQRLVNKGIRCKLDWFLNCSDQRFEERLRGDYIEADAPGPSFVMGGKLEMPRSHLVSDKEEDESDEEVLYRRTISL >Ma04_p18500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20481450:20481911:-1 gene:Ma04_g18500 transcript:Ma04_t18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYERRQSSVCAAFTLSPLPYHVLLILLMVLGLLSLSWFFHYESFIDDAEEQMSWALLVIPVLLILVIRWLSSIERLDDSLLGLFSYDHRRRIYYNGSNERPQVGSSPWGVAAVVVLLLILVYFQSSFQDMWGP >Ma05_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2797682:2803302:-1 gene:Ma05_g03760 transcript:Ma05_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGPMMMLERDDKVSMELTDEVFKSMEVGMAFRDYNGRISSMDFHRTASYLVTASDDESIRLYDVQNALCLKTINSKKYGVDLVSFTDHPATVIYSSKNGWDESLRLLSLNDNKYLRYFKGHHDRVVSLSLCPRKEYFISGSLDWTCLLWDQRAEKAQGLLRVQGRPAVSYDDQGLVFAIAFGGRIRLFDARKYEKGPFDIFPVGGFDSETHVVKFSNDGRLMLLTSKDGHVHVLDSFRGNVISTYNVKPVISKGTLEASFSPDGMYVVSGSGDGSVYAWNIRSGKVACWASTDTEPPLIKWAPGSLMFTTGSSELSFWVPDLSKLGSFSGVK >Ma05_p03760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2797685:2803302:-1 gene:Ma05_g03760 transcript:Ma05_t03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGPMMMLERDDKVSMELTDEVFKSMEVGMAFRDYNGRISSMDFHRTASYLVTASDDESIRLYDVQNALCLKTINSKKYGVDLVSFTDHPATVIYSSKNGWDESLRLLSLNDNKYLRYFKGHHDRVVSLSLCPRKEYFISGSLDWTCLLWDQRAEKAQGLLRVQGRPAVSYDDQGLVFAIAFGGRIRLFDARKYEKGPFDIFPVGGFDSETHVVKFSNDGRLMLLTSKDGHVHVLDSFRGNVISTYNVKPVISKGTLEASFSPDGMYVVSGSGDGSVYAWNIRSGKVACWASTDTEPPLIKWAPGSLMFTTGSSELSFWVPDLSKLGSFSGVK >Ma03_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7803151:7807389:-1 gene:Ma03_g10380 transcript:Ma03_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLERTTRSDYLGKRQIDLKTEGSDSRAEKLKSPMVSRPKMKLWMIRVTTIVLLWTCVLQLMAIGEIWGPKVLKGWPSCFTSSDSPLTEKQSSLIDVEKIVLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVAIARFLNVTLIVPELDKTSFWNDPSEFQDIFDVDHFITSLRDEVRILKELPPRLKTRVELGMSYSMPPVSWSDVSYYQNQILPLIRKHKVVHLNRTDARLANNGLPLEIQKLRCRVNYASLRFTPQIEELGRRIIRILRQKGPFLVLHLRYEMDMLAFSGCTQGCTSEETEELTRMRYAYPWWKEKVIDSDMKRRDGLCPLTPEETTLVLRALDVDRSIQIYIAAGEIYGGERRMTALSATYPKVVKKETLLGPSDLRYFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGFRKTILLDRKLLVDLIDQYNNGTLSWDEFSSSVKETHANRMGNPVRRVMIPDKPKEEDYFYANPEECFPQPDKPWIS >Ma07_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10613801:10616144:1 gene:Ma07_g14180 transcript:Ma07_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIGSLGLVLSASCFDTFDNWSSKVGDRDHTDTTLRLDSSSSHSLCTTNSKGIKRKREDIDVTRGLGKSLPALGLGDPLSFSYSNNKSSTIACTVFSEKQTVEELFMDYGLNVDLKLGNGNTTSPVKPAAATLGALETENKFDLELSLSVGPSESAMTSIDPVSSHHQNILDKPITVCLAATVDEGSTSSSWKCGNNLLPSLVTTEIGSQCLSSQMIHDNHCPVRLPDLSSTKIQMQRSPVTSSSKVAQLCTTNKKLCQFPGCGKGARGASGLCIAHGGGRRCQKSGCQKGAEGRTIFCKSHGGGRRCEHLGCTKSAEGRTSCCIAHGGGRRCSHEGCTRAARGRSGLCIRHGGGKRCQKENCKKSAEGQSGLCISHGGGRRCKFPQCTKGAQGSTNLCKAHGGGKRCTHLGCTKGAEGSTLFCKGHGGGKRCAFLGGCSKSVHGGTLFCVAHGGGKRCAIHECTRSARGRTDFCVRHGGGKRCKSEGCGKAAQGSTDFCKAHGRRKRCTWGRPGSNLGAGDSPCDRLVGTKIGLCIEHTALVEDHCVHGGNTMEAVTSQNPISSKPEKINGDCDGNLSLNVQNDQNIYLFPLSEGRVHGGNIMAMISDSGSDSEVTKLEHGMSCTVAHNWL >Ma03_p10930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8253407:8254522:-1 gene:Ma03_g10930 transcript:Ma03_t10930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKGKGKKLTLATSNEDRGSGDEEAIPAYKRRGRPQRRLKDDIDEDVTEKIEDGEDDVKHTSAPSKDPKVSTVVNGKKRKRHGKAKDNPALILEENFGAASKSNNDDVTGSNGFRHTGSRRKSKPRRAAEAGVECK >Ma03_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8253407:8255413:-1 gene:Ma03_g10930 transcript:Ma03_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKGKGKKLTLATSNEDRGSGDEEAIPAYKRRGRPQRRLKDDIDEDVTEKIEDGEDDVKHTSAPSKDPKVSTVVNGKKRKRHGKAKDNPALILEENFGAASKSNNDDVTGSNGFRHTGSRRKSKPRRAAEAGVECK >Ma06_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14391668:14391934:1 gene:Ma06_g20310 transcript:Ma06_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLRAVMAIATVAAISAIAMGANYDVGGPAGSWDLATNYTQWVSGKAFRVGDTMSESSPSSFPPLYIAYDVKVYDVMHILGEVIKK >Ma08_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:579212:601705:-1 gene:Ma08_g00610 transcript:Ma08_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFAFHKHDQKEKRNARFPAHQDELLQASQDMLDMKNCYDSLLSAAAATANSAYEFSEALRELGACLLEKTALNDDEESGKCRVLLMLGKAQFELQKLVDSYRTHIIQTITTPSESLLKELQTVEDMKRQCDEKRDLYRSMIATQREKGTLRNVKVEHFTSEQLQTAQEDFQEEATFFVFRLKSLKQGQSRSLLTQATRHHAAQLNFFRKGVKSLEMVEPHVKVIAEQQHIDYQFIGLEDDDTDEEVDDYDVNDDGVASFDYGQNGHGQDAVSHSRMSMEIDGMEDSCSQPQIVEISSLENLDRSQADHLYVNRGPRGVSMSEPLLADKKPEFSERISQMRPASTKKYHTYVLPTPLDVKNSSLAGSNNTISTSKIVNKGGFPTQLYHSFPKAHSVLKENINSGPLSRPTSLHEELSTSQFAQNPSDAKKIKRQAFSGPLTGQTLPNKPTLSAASSLSTVDYPSRFSAMPTHITPQLSAAQKLSLSSSPPISSPRINELHELPRPPLFSAKPVRPSGLIGHSGPLVYRGREHNASNKLPSISSHMASPLPTPPGAMTRSFSIPSSGQRTPSLTVEVGGSSYKSEHDHGYFFTSSDTYNIDN >Ma07_p26130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33055879:33059060:-1 gene:Ma07_g26130 transcript:Ma07_t26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRPELGAMTFDEVSLERSKGFVQALQELKNLRPQLYSAAEYCEKSYLRSEQKQMVVDNLKEYGVRALVNVVDHLGTVAYKLTDLSEQQSSDMATVEQKISCLCQQILACQAFTGEECLRQHQLFAATPRHLKHYTLPKFVGTSVESSSMLQNHINPSHVEAKPLPHTWAPKTLSWHLASGSNSSPNRDPPHTAFRVDDSKAFGMTADSCRVLEETTAPLPLSSYLQAAKRRPTINVASRTFAVKDPLEGTRTVAGFESSNDSGQREICQPPPRNKSILSALFPRNKTLKPKRLVVS >Ma05_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16255543:16255689:1 gene:Ma05_g16350 transcript:Ma05_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSPICHYKHHFPSDLHVFSMPLVFIQSQDQTLHEIHSCIIYNFLIC >Ma04_p22460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24777685:24781301:-1 gene:Ma04_g22460 transcript:Ma04_t22460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTAMWTAAVVGAAVIYWFVWVMGAAEVKGKRAVNLKMGSITRDKVQDKYKQYWSFFRRPKEDIAAASDGDKVPVFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRLHEERAADLIAARPGQRVVDVGCGVGGPMRAIAAHSGAHVVGITINEYQVARARAHNRKAGLHDRCEVICGNFLEMPFADASFDGAYSIEATCHAPRLEDVYREVFRVLKPGALYVSYEWVTTALYREDDPRHVETIRGIEAGNALPGLRAQHEVAAVARQVGFEVVEDRDLALLPAGSWWTRLKMGRIAYWRNHLLVSALTALRVAPKGVVEVHEMLYETARHLSDGGETGIFTPMHMILCRKPLVTPN >Ma04_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24777685:24781301:-1 gene:Ma04_g22460 transcript:Ma04_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTAMWTAAVVGAAVIYWFVWVMGAAEVKGKRAVNLKMGSITRDKVQDKYKQYWSFFRRPKEDIAAASDGDKVPVFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRLHEERAADLIAARPGQRVVDVGCGVGGPMRAIAAHSGAHVVGITINEYQVARARAHNRKAGLHDRCEVICGNFLEMPFADASFDGAYSIEATCHAPRLEDVYREVFRVLKPGALYVSYEWVTTALYREDDPRHVETIRGIEAGNALPGLRAQHEVAAVARQVGFEVVEDRDLALLPAGSWWTRLKMGRIAYWRNHLLVSALTALRVAPKGVVEVHEMLYETARHLSDGGETGIFTPMHMILCRKPLVTPN >Ma01_p01240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:850732:868935:-1 gene:Ma01_g01240 transcript:Ma01_t01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSQAQPDYYGGYENYTQQIDTEVANVVGDVGASFPTSMYNPQAQTYYYGGPEGADAVGNVGASFPANMYNPQAQPYHYGGTYYNPQAQPHYHGGTYNNLHAQPYYDAQAQPYYYGGTEGADAVGNVGASFPANMDNSQAQSFYYGGYGNPSGTFVTYTQHVGIEGTNAVGNVSGTDTQHVGIEGAIAVGNVGASYPISMYNPQAQMSGSAAAYSEEHPLVFNAGNGYGQYMPNGPYYPSAMPAYVGGNVQGVSYPSTENNNTIRERGNASFGRSNGTHGFLRRQSRRPWTNRSNNRAIQQHSVAESGGANCSLGVDRKLYNSPEFVTEYDDGKIFIIKSYSEANVHKSIKYGVWSSTSAGNKKLNSAYIEAQKKGHSSPIFLFFSVNASGRFCGVAEMIGPVDFEKSVDYWNKDKWTGQFPVKWHIVKDVPSFRFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLSIFKTHEYKESILDAFEFYDKREAALRRRKARQQETRTTTRNRISKRFVQVVKFKGETSNVGISTDKTSSSNGVGSSPTPKDV >Ma01_p01240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:850732:868935:-1 gene:Ma01_g01240 transcript:Ma01_t01240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSQAQPDYYGGYENYTQQIDTEVANVVGDVGASFPTSMYNPQAQTYYYGGPEGADAVGNVGASFPANMYNPQAQPYHYGGTYYNPQAQPHYHGGTYNNLHAQPYYDAQAQPYYYGGTEGADAVGNVGASFPANMDNSQAQSFYYGGYGNPSGTFVTYTQHVGIEGTNAVGNVSGTDTQHVGIEGAIAVGNVGASYPISMYNPQAQMSGSAAAYSEEHPLVFNAGNGYGQYMPNGPYYPSAMPAYVGGNVQGVSYPSTENNNTIRERGNASFGRSNGTHGFLRRQSRRPWTNRSNNRAIQQHSVAESGGANCSLGVDRKLYNSPEFVTEYDDGKIFIIKSYSEANVHKSIKYGVWSSTSAGNKKLNSAYIEAQKKGHSSPIFLFFSVNASGRFCGVAEMIGPVDFEKSVDYWNKDKWTGQFPVKWHIVKDVPSFRFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLSIFKTHEYKESILDAFEFYDKREAALRRRKARQQETRTTTRNRISKRFVQVVKFKGETSNVGISTDKTSSSNGVGSSPTPKDV >Ma01_p01240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:850731:868935:-1 gene:Ma01_g01240 transcript:Ma01_t01240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSQAQPDYYGGYENYTQQIDTEVANVVGDVGASFPTSMYNPQAQTYYYGGPEGADAVGNVGASFPANMYNPQAQPYHYGGTYYNPQAQPHYHGGTYNNLHAQPYYDAQAQPYYYGGTEGADAVGNVGASFPANMDNSQAQSFYYGGYGNPSGAIAVGNVGASYPISMYNPQAQMSGSAAAYSEEHPLVFNAGNGYGQYMPNGPYYPSAMPAYVGGNVQGVSYPSTENNNTIRERGNASFGRSNGTHGFLRRQSRRPWTNRSNNRAIQQHSVAESGGANCSLGVDRKLYNSPEFVTEYDDGKIFIIKSYSEANVHKSIKYGVWSSTSAGNKKLNSAYIEAQKKGHSSPIFLFFSVNASGRFCGVAEMIGPVDFEKSVDYWNKDKWTGQFPVKWHIVKDVPSFRFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLSIFKTHEYKESILDAFEFYDKREAALRRRKARQQETRTTTRNRISKRFVQVVKFKGETSNVGISTDKTSSSNGVGSSPTPKDV >Ma01_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:850732:856506:-1 gene:Ma01_g01240 transcript:Ma01_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKVLMQLEMWVLHFPPTCITLRHNRTIMEAHTITLRHNHTIMEAHTITFMRNHTMTLRHNRTIMEALKVLMQLETWVLLFLPTWITLRHNRSIMEDMGIHQVPLQLETWVLRIRSACITLRHKCLDPLEEHPLVFNAGNGYGQYMPNGPYYPSAMPAYVGGNVQGVSYPSTENNNTIRERGNASFGRSNGTHGFLRRQSRRPWTNRSNNRAIQQHSVAESGGANCSLGVDRKLYNSPEFVTEYDDGKIFIIKSYSEANVHKSIKYGVWSSTSAGNKKLNSAYIEAQKKGHSSPIFLFFSVNASGRFCGVAEMIGPVDFEKSVDYWNKDKWTGQFPVKWHIVKDVPSFRFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLSIFKTHEYKESILDAFEFYDKREAALRRRKARQQETRTTTRNRISKRFVQVVKFKGETSNVGISTDKTSSSNGVGSSPTPKDV >Ma08_p13960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11076251:11077205:1 gene:Ma08_g13960 transcript:Ma08_t13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPQFAVSPRRLRPRRPPPASSYDAPSVRAPPPATSKRPPRPHRSSMSVESPARTDRRLVSSELAALVERAKEEFQSCGDAGAAAAVSSGGGCRSPVFERGRFYELYSARRNERLKRKKGEMSEEAVAEDPGKAVELARRRVPKKAEGARKSMPADFSVGRGSSSSSLRSSVKKRPYSAAGVAESSAGGGRIVNARSVRRL >Ma08_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8121694:8123495:-1 gene:Ma08_g11000 transcript:Ma08_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALEKSVIACPSMALPFIFAFLFLLSGDFRAAATAAECEGRWIYIRELPSRFNTDLLATCDNFPLLFELREQQEKSLLPFIANHGLGPRTHNRSHSWYRTDPLFMELLFHRRMLEYPCLVADPAAADAVFVPYYAAIAALPFLYSPDQWNSSALHGRDLADWLRRDRPAIWSRRAGHDHFLAVAGAAWDFDNDPAQTTVWGTAFLGLPECYNLSALTLESRAWPLQEHAVPPPTSFHPATLGRFNAWLARARRSRRPALMLFAGGAASSSGRPNIASSIRAECELRRDLCDIVDCSRGACAHDPGRFMRPMIRARFCLHPPGDTPTRRSTFDGILAGCIPVFFEEASAARQFGWHLPRRRYSDFSVLIPKEEVVFRGRRIADVLEAIPRARVRRMRNAVLELAPAVMYRRHGSSTALRARKDAFDLAIEGVLRRIRRRVQAMEQGTNPLVLVGEDEEEQHQQHLQPSDS >Ma08_p02110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1715274:1718557:1 gene:Ma08_g02110 transcript:Ma08_t02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQTQAEEAAIVASGIAAFNSEMEQGSGGKEADGGRDSAFTMKSLLWHGGSAWDAWFSCSSNQVAQVLLTLPYSFSQLGMLSGVILQLFYGIMGSWTAYLISVLYVEYRTRKEKENVSFSNHVIQWYEVLDGLLGRYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRLWSFLGLGMTTYTAWYLTIAAVVHGQAEGVAHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKYIYLLATLYVFTLTLPSAAAVYWAFGDQLLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGVHDTRSICLRALARLPVVIPIWFLAIVFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTQSARQNAAEKPPLFLPSWAAMYAVNAFVVGWVLVVGFGIGGWASMTNFINQVDTFGLFAKCYQCPKPQPPPAPAPQQHH >Ma08_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1715690:1718557:1 gene:Ma08_g02110 transcript:Ma08_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQTQAEEAAIVASGIAAFNSEMEQGSGGKEADGGRDSAFTMKSLLWHGGSAWDAWFSCSSNQVAQVLLTLPYSFSQLGMLSGVILQLFYGIMGSWTAYLISVLYVEYRTRKEKENVSFSNHVIQWYEVLDGLLGRYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRLWSFLGLGMTTYTAWYLTIAAVVHGQAEGVAHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKYIYLLATLYVFTLTLPSAAAVYWAFGDQLLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGVHDTRSICLRALARLPVVIPIWFLAIVFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTQSARQNAAEKPPLFLPSWAAMYAVNAFVVGWVLVVGFGIGGWASMTNFINQVDTFGLFAKCYQCPKPQPPPAPAPQQHH >Ma07_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3131469:3133301:-1 gene:Ma07_g04150 transcript:Ma07_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNVNTHLPPRKRLLAELKREGSDFDFLPPVPFLSGDLGAQLRDSINSPRSNPEEIIEVAKSVASAAAEVAAAAKRIATEKAAAAAKAKASAKSALLFLDSVSRRRKSGKGCMARTRVRKKQMPVKLLYKTHHRLGSQETDEELARKLHHVINSSSRISKNKRRSIRNAVCNEKSSVLHEKSSRISKCSIDKSEEKIVGCSEDIFEREEESSYCTETQYSGSKVIKKLMVGK >Ma00_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13924685:13925118:1 gene:Ma00_g01990 transcript:Ma00_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKASAAIKPPLPGRLQTTRMRLERRRKRARKVLQMVNLKLYLENRCIMEENRRLRKKAFLLRQENTALLSHLGITNLVPGWPPSLLS >Ma10_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28762864:28763837:1 gene:Ma10_g17320 transcript:Ma10_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLQLSLFEVSFVEIPFSFPTSRPRSATNLQGKMGSNFVSKEDHHHQAPSRRGVRRTWSSNSSTCGQGVAAVPKCVCAPATHAGSFKCRLHRASSHGHSPPSPTSIRPPPPSANSSCAPTVEAQ >Ma09_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36231326:36235096:1 gene:Ma09_g24590 transcript:Ma09_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPLLLGKSSDGIFPLWSMIIFGPFLFFIRLFVPLRRWKSREPLYSEISEGLYVGGWPSSLEHLPPCRPAVIDCTCELPRSSALPNAYLCVATWDTRAPQPSQIESAVRWVCRKRTQNVPVYIHCAFGHGRSVCVMCAVLVALGLAEDWRSAERIIREKRPSIEMNDLHRKSLEEWSRHRLPSKRDGVSVVSSVILSERKHKV >Ma09_p24590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36230612:36235096:1 gene:Ma09_g24590 transcript:Ma09_t24590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRKISMGISKLIGLKATVLLLAFYFTRKLGVNLIPVPLLYASLVAYLIAIASHPAVNLPLLLGKSSDGIFPLWSMIIFGPFLFFIRLFVPLRRWKSREPLYSEISEGLYVGGWPSSLEHLPPCRPAVIDCTCELPRSSALPNAYLCVATWDTRAPQPSQIESAVRWVCRKRTQNVPVYIHCAFGHGRSVCVMCAVLVALGLAEDWRSAERIIREKRPSIEMNDLHRKSLEEWSRHRLPSKRDGVSVVSSVILSERKHKV >Ma06_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19469576:19470897:-1 gene:Ma06_g22720 transcript:Ma06_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRRRLGNLRTFVGNATGGLRGGANLASWVVAGTLTDFLWVVAGLSKIFTLLVLQERAALAAASDPHRYVERRKPSGNWSDI >Ma01_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1014352:1014923:1 gene:Ma01_g01450 transcript:Ma01_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDNGYLTSMKHLINVLGWDSHRRVSTTAKQNFGQVSLQ >Ma07_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2028829:2035672:-1 gene:Ma07_g02550 transcript:Ma07_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Pantoate--beta-alanine ligase (EC 6.3.2.1) (Pantothenate synthetase) (Pantoate activating enzyme) [Source: Projected from Oryza sativa (Os03g0851800)] MASEPEVIRDKTAMRRWSRSYRSKGKTLAFVPTMGFLHEGHLALVRAAGALADLTVVSIYVNPGQFAPSEDLDAYPADLRGDLHKLADLGVHAVFCPTNLYDYGEGGGRGDVQARRTEGAIGSSGSPVSCLEAAAGDGSGHETWVRVEKLERGLCGMSRPVFFRGVATIVAKLFNIVEPDIAVFGKKDYQQWRIICRMALSIYNSLSKAKYAALHEQSTCQELRSLVIQLVTEAGGRIDYVEIVEQESLIPVEEINSPAVICIAAWFGAVRLIDNMEINP >Ma07_p02550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2028829:2035672:-1 gene:Ma07_g02550 transcript:Ma07_t02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Pantoate--beta-alanine ligase (EC 6.3.2.1) (Pantothenate synthetase) (Pantoate activating enzyme) [Source: Projected from Oryza sativa (Os03g0851800)] MASEPEVIRDKTAMRRWSRSYRSKGKTLAFVPTMGFLHEGHLALVRAAGALADLTVVSIYVNPGQFAPSEDLDAYPADLRGDLHKLADLGVHAVFCPTNLYDYGEGGGRGDVQARRTEGAIGSSGSPVSCLEAAAGDGSGHETWVRVEKLERGLCGMSRPVFFRGVATIVAKLFNIVEPDIAVFGKKDYQQWRIICRMVRDLDFAVKIIGSEIVRESDGLAMSSRNVRLSPEEREKALSIYNSLSKAKYAALHEQSTCQELRSLVIQLVTEAGGRIDYVEIVEQESLIPVEEINSPAVICIAAWFGAVRLIDNMEINP >Ma03_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25204790:25209824:-1 gene:Ma03_g19950 transcript:Ma03_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVVSAGQYLACHRDRILCSLPGKPRFFSPPCYSYCACLLPLIWCSLAPYSDTCTHYVSQSMQQMSIHAAMEHAILAREQILLGGLEGAARRGDVVADLVVAKDGSGDFGSIKEAINEADLMLVGDGIGKTVVTGSRSVAGGSTTFNSATVAVTGDGFIARGITFRNTAGPAKRQAVALRCNADLAVFYRCSFEGYQDTLYAHSLRQFYRECDVHGTVDFIFGNAAAVLQDCNIYVRRPMSKQQNTITAQGRTDPSQNTGIVIQHCRVTAAPDLRPVQGKFRTGGFALNTLYYGEYKNTGPGSDTSRRVRWRGHHVITDPSTALRFTVRGLLSGGSWLPATGVPFTDGL >Ma07_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28379370:28379618:1 gene:Ma07_g20500 transcript:Ma07_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRAAATVAVVLIAALLVAASTERATATGDDPQLCYCPCMQDQCMTIGTATKEECARACDAGCREGGLPGQPNRFEFCGF >Ma08_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15007373:15008009:1 gene:Ma08_g15050 transcript:Ma08_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRYRSSHHHPGRLALFVLLLLLLLAGVTALAVYLVYRPSRPRFSVTSAAIYSLSNATTVAPVAAISSAMQFTLLIRNPNDRSSISYERLATYATYRGQAITLPAPLPPLFQERDSEVSVSPLLGGSPVPVSGDVAAGLATDQAYGVVALRLVVLGRLRFKSGPFHSGWHSMYVRCDVLAGLKTGVPGQVPLLGTPVCDVDI >Ma08_p32010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42741975:42756326:-1 gene:Ma08_g32010 transcript:Ma08_t32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQAGDGVLALMPQEVANSVEPAPSSPPPAAAAAASLKGSAEKSPILIFLYFQKAIRSELDRLHHDAVELATDGSGDVRSLAERCIFLFDIYQHHCNAEDAVIFPALDTRVKNVARTYSLEHEGESHLFYFVFVLLKSHMTHDDRLRRELALRIGAIKALFSQQMPKEEKQVFVSEDHFRRELASRTGVIRTALVQHMCKEEEQVFPLLIEKYLFEEQADLVWEFLCSIPVDMMTKFLPWLSSSVSPEEHQDMINCLRKIVPNEKLLKQVIFTWIEDKSMADMGKSHVDDSLSQSSIDDTEKYACLSDLSRTGKRKHDEPDSKAIDLGLYPIDEILHWHNAIRKELNEIAECARKIQLSGDLSDLSVFNTRLQFIADVCIFHSYAEDQVIFPAVSDGMEFLLLDHANEKIQFNKFRCLIEEIQSAEANSTSVEFYSEFCSHADQIMDTIQKHFHSEEAEVLPLARMHFSSEKQCKLLFRSLCVMPLKLLERVLPWFVANLSDKEASQFLQNMHLAASSSEDALVTLFSGWACKGRSEDITRSGKFICLTSKAICCFPLEDGTESEEDCRHNFCSCSCLLGCEKGLTILKSENNARPIKRGNFSGLYGNSGEINTSESNEIYSVSCSQKSCCVPALGVASANTGISSPDASKSLLFSSYNSSAPYFKSSLFVPEMELNLCSIENTLRPIDNIFKFHKAISKDVKYLDDESGNLIPYVDTVLRQFSGRFRLLWGLYRAHSNAEDDIVFPALESRETLHNVSHSYTLDHKQEEKLFRDISVVLLELSQLHDGLGMNISKDTARGSNSDSHVVDQTRKHNELVTKLQGMCKSLRVTLDNHIFREELELWPLFDKHFSVDEQDKIVGRIIGTTGAEVLQSMLPWVTSALSQEEQNKMMDTWRQATKNTMFNEWLNEWWKDTPSLSTDATESSGLPKEADHQESLEQSDQMFKPGWNNIFRMNQNELESEIRKVSRDPTIDPRRKAYLIQNLMTSRWIAAQQKLPQARTEEATEGEDIPGCSPSFRDPEKQIFGCEHYRRNCKLVAACCNKLFTCRFCHDKVSDHSMD >Ma07_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6194603:6196876:-1 gene:Ma07_g08310 transcript:Ma07_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGEQVWSRMDVERRNHPPLVDSSACLCRVDGGFKTVTGGKKYVPGSKLCVQPKIRTSIHPVRSKPAQERNRCQSPLLPGLPDDLAIACLIRVPRAEHRKLRLVCKRWQRLLAGNYFYSLRRSLGIAEEWIYVIRRDRDGRISWDAFDPRYQLWHPLPPIPKEYSEATGFGCAVLSGCHLYLLGGKDRRKGSMRRVIYYSARTNKWHRAPDMLRRRHLFGSCVINNCLYVAGGESEGVHRSLRSAEFYDPNKNRWTYISDMSTAMVPFIGVVYEGKWFLKGLGAQRQVICDAYFPDTDRWCPVFNGMVTGWRNPSVCLNGQLYALDCKDGCKLRSYDASTDSWSIHIDSKLHLGSSRALEAAALLPLRGKLCIVRNNMSITLVDVEAKDSGDLRWETVAGRGQLRTFVTNLLSNIAGRGGLRSHIVHCQVLQA >Ma07_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4599173:4601720:1 gene:Ma07_g06380 transcript:Ma07_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSASFKTASRVFLLPRIAVGWCGTRAIAPVSDHEIASCVDPLLRQSDPATASVAGVVRRFEAKLGLDLSHKAAAFTRDQIELLLGSSRSPAPSSHSQPPFHQQHPAAPHNPYIFLHHLPRQQQITPPKPISSAAVATPATFPNQHSPGIVFHHPPPPLPSAAVVAAYHLQQQLHLVAQGVPTAVRPLTVSAAAQKERCPFSDFVCAPLLLDEGGDERPCGSCKMSGPGGLNTVCGVSPELQLIVGEAAMSRTQIPLSLWAYIRKTIFNILLTRVRSSATMN >Ma06_p29890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31240445:31273295:-1 gene:Ma06_g29890 transcript:Ma06_t29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEPFKGSGAVPAGSVFSSPGAAQRSIVPVPAASKMKWESSNGSGVAPDRSGYVRLELPCPKSCLVVASADPEPWTTMDDLVSGLKALESRLGYNSPPVPLKQPPEWVSPRQKAMDARDKTRLGGSMVAGWRISCPDFDFSDSEESDDDLTIGRTTCLLDKEDLDKSILFMLEQENQLRVKEELRCKLAKLEVAQRNEMNRFASAISQIDKYVEDRQEMDRRFDQQYRRKIAEVVDSHLSAVRRDHEKRSQIEERRIRDDAALEEAKKKAILEEKLRQEKAKAEAEAKLRAAKLAEEAERVALEAAQRAAAEAAESKAAASEDTKKKSMDHNEEETKERGSGSEVPKEVQLTTGCPTPKVLAVDAALRAEASRQKIYNEVAEKSNLIASKEFDRCGRQIYKNLKQITGTVENVRAKTHALIDLLNNPVCPSSISVFLFAKKVVSLCEDPTGTFDSTAFACGQVILLVSSQVPAVMDFVLAEFHKSCIYTVPKYLQPSDQTLQTRDYWKMVGYHEVDGKIESSENYLNRVQSYMKLYAAIVQTEIEGVRNPHGLAEGWAWLARFLNALPANRSTAYALEAFLKMAGFALHRRYKSQFKKVLNAISRSFLPALRERGDRKLNEIIKRLEQYLEDQVFLKEPEGWRLHSSLLSKELV >Ma04_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6020252:6026473:1 gene:Ma04_g08420 transcript:Ma04_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMWFYLLLLVSIQNIGGTQSDSTYLIGLGSYDITGPAADVNMMGYANAEQIASGVHFRLKARAFIVAEPGGNRVVFVNLDACMASQLVTIKVLERLKSRYGDMYNDKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEKSIIEAHENLRPGNIFVNNGELLDASINRSPSAYLNNPDAERSKFKYDVDKEMTLLKFVDDEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQTGYAKGSDIVTSVRHSSLHRRVSMIIPQPHENFHKLRQLASSFLASGGRHLASSESVSQRVRNGQDGKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGDRQFTKAVELFDKASEQVKGKVDYRQTYIDFSKLEVTLLSSDGGQEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWKLVRNLLKTPSKEQVACQQPKPILLDTGDMDLPYDWAPAILPVQIIRIGQVVILCVPGEFSTMAGRRLRDAVRTVLTSDGNGEFDSNVHIVIAGLSNTYSQYVTTYDEYLIQRYEGASTLYGPHTLNGYIQEFKKLASALLDGKIIESDLQPPDLLDKQISFLPPVVMDTTPYGVKFGDVGTDVPENSTFRPGDMVTATFWSACPRNDLLTEGTFSLVEILDGSSTWVPAYDDDDFSLRFKWSRPSRFSAHSHATIEWRIPETVAAGVYRLRHFGTSKSLFGKIRHFTGTSRAFVVL >Ma04_p08420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6020246:6026473:1 gene:Ma04_g08420 transcript:Ma04_t08420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANMWFYLLLLVSIQNIGGTQSDSTYLIGLGSYDITGPAADVNMMGYANAEQIASGVHFRLKARAFIVAEPGGNRVVFVNLDACMASQLVTIKVLERLKSRYGDMYNDKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEKSIIEAHENLRPGNIFVNNGELLDASINRSPSAYLNNPDAERSKFKYDVDKEMTLLKFVDDEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQTGYAKGSDIVTSVRHSSLHRRVSMIIPQPHENFHKLRQLASSFLASGGRHLASSESVSQRVRNGQDGKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGDRQFTKAVELFDKASEQVKGKVDYRQTYIDFSKLEVTLLSSDGGQEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWKLVRNLLKTPSKEQVACQQPKPILLDTGDMDLPYDWAPAILPVQIIRIGQVVILCVPGEFSTMAGRRLRDAVRTVLTSDGNGEFDSNVHIVIAGLSNTYSQYVTTYDEYLIQRYEGASTLYGPHTLNGYIQEFKKLASALLDGKIIESDLQPPDLLDKQISFLPPVVMDTTPYGVKFGDVGTDVPENSTFRPGDMVTATFWSACPRNDLLTEGTFSLVEILDGSSTWVPAYDDDDFSLRFKWSRPSRFSAHSHATIEWRIPETVAAGVYRLRHFGTSKSLFGKIRHFTGTSRAFVVL >Ma04_p08420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6020528:6026473:1 gene:Ma04_g08420 transcript:Ma04_t08420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANMWFYLLLLVSIQNIGGTQSDSTYLIGLGSYDITGPAADVNMMGYANAEQIASGVHFRLKARAFIVAEPGGNRVVFVNLDACMASQLVTIKVLERLKSRYGDMYNDKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEKSIIEAHENLRPGNIFVNNGELLDASINRSPSAYLNNPDAERSKFKYDVDKEMTLLKFVDDEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQTGYAKGSDIVTSVRHSSLHRRVSMIIPQPHENFHKLRQLASSFLASGGRHLASSESVSQRVRNGQDGKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGDRQFTKAVELFDKASEQVKGKVDYRQTYIDFSKLEVTLLSSDGGQEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWKLVRNLLKTPSKEQVACQQPKPILLDTGDMDLPYDWAPAILPVQIIRIGQVVILCVPGEFSTMAGRRLRDAVRTVLTSDGNGEFDSNVHIVIAGLSNTYSQYVTTYDEYLIQRYEGASTLYGPHTLNGYIQEFKKLASALLDGKIIESDLQPPDLLDKQISFLPPVVMDTTPYGVKFGDVGTDVPENSTFRPGDMVTATFWSACPRNDLLTEGTFSLVEILDGSSTWVPAYDDDDFSLRFKWSRPSRFSAHSHATIEWRIPETVAAGVYRLRHFGTSKSLFGKIRHFTGTSRAFVVL >Ma04_p08420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6020683:6026473:1 gene:Ma04_g08420 transcript:Ma04_t08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMWFYLLLLVSIQNIGGTQSDSTYLIGLGSYDITGPAADVNMMGYANAEQIASGVHFRLKARAFIVAEPGGNRVVFVNLDACMASQLVTIKVLERLKSRYGDMYNDKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEKSIIEAHENLRPGNIFVNNGELLDASINRSPSAYLNNPDAERSKFKYDVDKEMTLLKFVDDEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQTGYAKGSDIVTSVRHSSLHRRVSMIIPQPHENFHKLRQLASSFLASGGRHLASSESVSQRVRNGQDGKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGDRQFTKAVELFDKASEQVKGKVDYRQTYIDFSKLEVTLLSSDGGQEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWKLVRNLLKTPSKEQVACQQPKPILLDTGDMDLPYDWAPAILPVQIIRIGQVVILCVPGEFSTMAGRRLRDAVRTVLTSDGNGEFDSNVHIVIAGLSNTYSQYVTTYDEYLIQRYEGASTLYGPHTLNGYIQEFKKLASALLDGKIIESDLQPPDLLDKQISFLPPVVMDTTPYGVKFGDVGTDVPENSTFRPGDMVTATFWSACPRNDLLTEGTFSLVEILDGSSTWVPAYDDDDFSLRFKWSRPSRFSAHSHATIEWRIPETVAAGVYRLRHFGTSKSLFGKIRHFTGTSRAFVVL >Ma05_p25080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37117256:37127273:1 gene:Ma05_g25080 transcript:Ma05_t25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEAPADPQSEPRESPRDGSPSQLPPPPPPPRRDGDSRDRKGDWPPSRREDHHDSRSSSPPLPPPPASGRPRGEKDREYRRRSSPSPPPYRDRRRSPPRRSPPPGSFKRARRDDGGYDRRRGSPRGGYVPDDRRYGYDYGGGYDRVGSGSRGGYGDERPYGRHMYRSSGHGGYGDGPEFIQRGGLMSYKQFIQELEDDISPAEAECRYKEYRSEYISTQKQAYFEAHKEEQWLKDKYHPTNLVAVIERRKEQARSIAKEFLLDLQSGILDLGPGSTASSASKSGNGSEPNSEDEAGPSGKRRRHDRGPAKENELLSATPKAHPVSSEPRRIQSDVEQAQALVRKLDIEKGIQDNVLSSSGHDKLDAEKSHGGSMGPIVIIRGLATVKGLEGVELLDTLITYLWRVHGLDYYGMSEASEAKGLRHVRADNKTHDGTNASASDWEKKLDSFWLARLQGQDPLETLTAKDKIDAAATEALEPFVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPDLAIELTSKVREDLYFQNYMNDPSAPGGTPVMQQSASKVKIQRRKALLGDRLRDEHGNRRDLDRNHRDGDRDDMTGNSPRDANGAMEGENHEKSPYDTYGGQGLHGSFPSDVPPPPLLVPVPGAGPLGPFVPAPPEVAIRMLRETGGPSSFESNGGPRGIKGRLGPQVSVPAPILPSPAFRHDPRRIRSYQDLDAPEDDVTVIDYRSL >Ma05_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37117256:37127273:1 gene:Ma05_g25080 transcript:Ma05_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEAPADPQSEPRESPRDGSPSQLPPPPPPPRRDGDSRDRKGDWPPSRREDHHDSRSSSPPLPPPPASGRPRGEKDREYRRRSSPSPPPYRDRRRSPPRRSPPPGSFKRARRDDGGYDRRRGSPRGGYVPDDRRYGYDYGGGYDRVGSGSRGGYGDERPYGRHMYRSSDLPDSGHGGYGDGPEFIQRGGLMSYKQFIQELEDDISPAEAECRYKEYRSEYISTQKQAYFEAHKEEQWLKDKYHPTNLVAVIERRKEQARSIAKEFLLDLQSGILDLGPGSTASSASKSGNGSEPNSEDEAGPSGKRRRHDRGPAKENELLSATPKAHPVSSEPRRIQSDVEQAQALVRKLDIEKGIQDNVLSSSGHDKLDAEKSHGGSMGPIVIIRGLATVKGLEGVELLDTLITYLWRVHGLDYYGMSEASEAKGLRHVRADNKTHDGTNASASDWEKKLDSFWLARLQGQDPLETLTAKDKIDAAATEALEPFVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPDLAIELTSKVREDLYFQNYMNDPSAPGGTPVMQQSASKVKIQRRKALLGDRLRDEHGNRRDLDRNHRDGDRDDMTGNSPRDANGAMEGENHEKSPYDTYGGQGLHGSFPSDVPPPPLLVPVPGAGPLGPFVPAPPEVAIRMLRETGGPSSFESNGGPRGIKGRLGPQVSVPAPILPSPAFRHDPRRIRSYQDLDAPEDDVTVIDYRSL >Ma05_p28900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39723717:39731593:1 gene:Ma05_g28900 transcript:Ma05_t28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSAANEITSSLEDLHLKPRPKSTPVVSPFDSFAFSLKKTKPPSLVNLCLGILGRYLEDIIADISEIAAGFPPDIKLVLVAIARRRQLLNDDVLISLAEVSWKILDLSGSDVTDFGLRKVAEICIDLRAIDIRGCPRSEITARQCVSVLKPKLNHVEEDSWEELENVDIGSGSQSLCWLVWPKIDDDSKESLAVECPRIVVNPQPLPLGFRGLQVPKEALANKALDHFIIDGIDPKTWAVSGATRRPPAPAPTACDDTPELSMAERFRLAFLERDARLAPKRAKNARQHRRRAEREYLMNSSSAKSVILASQASKFLHNKS >Ma05_p28900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39723717:39731593:1 gene:Ma05_g28900 transcript:Ma05_t28900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSAANEITSSLEDLHLKPRPKSTPVVSPFDSFAFSLKKTKPPSLVNLCLGILGRYLEDIIADISEIAAGFPPDIKLVLVAIARRRQLLNDDVLISLAEVSWKILDLSGSDVTDFGLRKVAEICIDLRAIDISNCGKITVAGVSELIYHCHSLETLRCGGCPRSEITARQCVSVLKPKLNHVEEDSWEELENVDIGSGSQSLCWLVWPKIDDDSKESLAVECPRIVVNPQPLPLGFRGLQVPKEALANKALDHFIIDGIDPKTWAVSGATRRPPAPAPTACDDTPELSMAERFRLAFLERDARLAPKRAKNARQHRRRAEREYLMNSSSAKSVILASQASKFLHNKS >Ma04_p37900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35599150:35611478:1 gene:Ma04_g37900 transcript:Ma04_t37900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGYDGRIGGKLRRRPFRRAAATPYDRPPSAARGLTTTVPEPGGDGWLAKLVDPASRFISSSASRLFSSVFRKRLTSPPAVETPGENLQSREIAEASSDEMSADLQENKADSGNNATNNFDSNMFLEFEQLLEHKTFTRAQFEHLTEILRSRTMDSDMSKPAVDNDKVDETTVPLPEKEIESSIPHEDCRTPPDTLAIPDASPVELAKAYMGSRVLTVPPLALSWRSHLFHEYKKVPTSLTSATKPFDLKGPRSVRFSGSTVIPESGYLTPRSSGRSTMYRMTCSPYIKGERSSKDDSVGSLSHRTPESTRQLGGRQVFKRRSSVLESDLGPFLPIRSIRQKLSVKPSSKVMHSVLPGNLHHSPSTPFKRDAQDDLSVIQKPIWSDGHEKSGNNRISNNILTPVSPQSSEMEKKILQQLDKLVPSPKEKTSKLKSNTLDESLDNVMHASLGQTLRNEEMYSTKSKFVQGNNLDSFRGSLMPDFRNSLSYKQETARFPQENCSSVPISGVKLMSEANDMCDGIISVTAAMCDKAAAEAMISDSVIVSQHQKLAFQSAALKDTQDVSNTYTSMDASCPLKDKDEMKITDKAVRPSIIRTNLSSSMTSTSLSSSSSDFSKAAHLKLSVDSIAESGKGFTFPFATAPSTSQIPPTPTMPSSLVKKSAMQKGQIDAPLFSFGSKDSNRADFSSTTTMRSFSATSGPGNDISNATASAMVKDSDADKHEGQISINLSKSVGVDNSADESTSNIPVVYSFGSSHNEFMPNGSLNLPSASSAVSVMAYSGSTGSMIFSTVTAVSASSFSTFVSSEAPPLSTVPSLQFGSTAFLVSPTSVSQPLDKSTATDFEGMHSKVPPFNTNTANPGTSANYMFGGSFSSATNTGSILATSTVSSIGNSFIAPAASTLFSVAAGSQSALAPASTFSGASNSTFKFASAQSNDSNPSVVDNNTRGVAGSVGTQSTQGGSGISQISESSSSLFGPFCSSPTFGSNVLSSSSLGSAQFGAATTGFDLLSSSYLFSSSVGANSSCLSATSSSALAPAPSLFGSTFQSSISSAFGTSFGSNASYSSTGLAFGVSGSGGSPFVFSSLSAPAFSTNSAGGSSSSNLSGQPVFGSSSPATAFSSGTPRNDQMNVVDSMVEDCAKSAGSLVPQFGQPSTSSSITVFGTPVTQPTASPFFQFGSHQQPTLPQSSPFQASGNLVEQLPQGGSFSLGSGGGDKSGRKIVKVRRDRLRKK >Ma04_p37900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35599150:35611478:1 gene:Ma04_g37900 transcript:Ma04_t37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGYDGRIGGKLRRRPFRRAAATPYDRPPSAARGLTTTVPEPGGDGWLAKLVDPASRFISSSASRLFSSVFRKRLTSPPAVETPGENLQSREIAEASSDEMSADLQENKADSGNNATNNFDSNMFLEFEQLLEHKTFTRAQFEHLTEILRSRTMDSDMSKPAVDNDKVDETTVPLPEKEIESSIPHEDCRTPPDTLAIPDASPVELAKAYMGSRVLTVPPLALSWRSHLFHEYKKVPTSLTSATKPFDLKGPRSVRFSGSTVIPESGYLTPRSSGRSTMYRMTCSPYIKGERSSKDDSVGSLSHRTPESTRQLGGRQVFKRRSSVLESDLGPFLPIRSIRQKLSVKPSSKVMHSVLPGNLHHSPSTPFKRDAQDDLSVIQKPIWSDGHEKSGNNRISNNILTPVSPQSSEMEKKILQQLDKLVPSPKEKTSKLKSNTLDESLDNVMHASLGQTLRNEEMYSTKSKFVQGNNLDSFRGSLMPDFRNSLSYKQETARFPQENCSSVPISGVKLMSEANDMCDGIISVTAAMCDKAAAEAMISDSVIVSQHQKLAFQSAALKDTQDVSNTYTSMDASCPLKDKDEMKITDKAVRPSIIRTNLSSSMTSTSLSSSSSDFSKAAHLKLSVDSIAESGKGFTFPFATAPSTSQIPPTPTMPSSLVKKSAMQKGQIDAPLFSFGSKDSNRADFSSTTTMRSFSATSGPGNDISNATASAMVKDSDADKHEVMAYSGSTGSMIFSTVTAVSASSFSTFVSSEAPPLSTVPSLQFGSTAFLVSPTSVSQPLDKSTATDFEGMHSKVPPFNTNTANPGTSANYMFGGSFSSATNTGSILATSTVSSIGNSFIAPAASTLFSVAAGSQSALAPASTFSGASNSTFKFASAQSNDSNPSVVDNNTRGVAGSVGTQSTQGGSGISQISESSSSLFGPFCSSPTFGSNVLSSSSLGSAQFGAATTGFDLLSSSYLFSSSVGANSSCLSATSSSALAPAPSLFGSTFQSSISSAFGTSFGSNASYSSTGLAFGVSGSGGSPFVFSSLSAPAFSTNSAGGSSSSNLSGQPVFGSSSPATAFSSGTPRNDQMNVVDSMVEDCAKSAGSLVPQFGQPSTSSSITVFGTPVTQPTASPFFQFGSHQQPTLPQSSPFQASGNLVEQLPQGGSFSLGSGGGDKSGRKIVKVRRDRLRKK >Ma10_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30170538:30171257:1 gene:Ma10_g19700 transcript:Ma10_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKCRKAHFTAPSSVRRVLMSAPLSADLRNKYNVRSVPVRKDDEVQVVRGTFKGREGKVVQVYRRKWVIHVERIAREKVNGSTVNVGINPSKVVITKLKLDKDRKALLDRKARGRAADKAKGKFSADEVAAAAAAAGAPSLQEID >Ma10_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32388689:32389204:1 gene:Ma10_g23470 transcript:Ma10_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGAPDNDTNLGSMQRIPLRNATALVTGRKVKLHFSQQRWSLAEGKSEASLFSMTLVVVAITTAATRKENSYNEEER >Ma10_p27470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34744752:34750483:1 gene:Ma10_g27470 transcript:Ma10_t27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGEIAPLLLLLLSSLLVLPQADAFYLPGVAPRDFQKDDELQVKVNKLSSTKTQLPYDYYFLDYCKPLKIMNSAENLGEVLRGDRIENSIYTFQMRRDEGCKVACRTKLTPEAAKNFKEKIDDEYRVNMILDNLPVAVPRQRRDGNQVATYEHGFRVGYKINDDKYYINNHLSFKVMYHKDLDSEDSRIVGFEVTPSSVKHEFSEWDDKNPKVLTCYPSTKITPTSNAPQEVVAEKYVVFSYDVTFQPSEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDISNYNQLETQDEAQEETGWKLVHGDVFRSPTNYGLLCVYVGTGVQFFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSSHLYKMFKGTEWKKITLQTAFMFPGVVFAIFFVLNAIIWGEKSSGAVPFGTMFALVFLWFGISVPLVFVGSYIGFKKPAMEDPVKTNKIPRQIPEQAWYMQPGFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITMVLCYFQLCSEDYYWWWRAYLTAGSSAIYLFAYAVFYFFTKLEITKLVSGILYFGYMLIASYSFFVLTGTIGFYACLWFVRKIYSSVKID >Ma06_p33180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33908762:33910146:-1 gene:Ma06_g33180 transcript:Ma06_t33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPWKKPRPGGGRGGGVSRLLAGIRPHRGGSLVVQTGFPTSLADLFVKNRGRLRKPSRKRKPPSESDSAASEPPAVTSPLVGGPLPEASSVGRSIPVAADGFPSLPDSNETKHRRLGIVFGLLFIMTLVLVVLAMERKMLVAGFTLSALALWLLDSIGYRALWFSKPCSEATTRLNSVVGGWEFEGRGVVSPIREVGIDSCSDTVRSESSSLESIDWKQGTEVLLERKDLAGTGKISLVRDLSSKGNSKAKKLFRKLIPKKHRAQKHGNDEKELSLDLSGRGSITEIEEENPKASNRDYEAVHSVRDVLNMNASDSVTDHDKQDVEFIRENGHEIRSRSSQQLVFCAVVLLGLLGGKIVALVLTVSWFLFSKSIKSLLKKERDLVV >Ma04_p33310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33000608:33002127:1 gene:Ma04_g33310 transcript:Ma04_t33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPPELNVLEICRVSPPPGAVAESTLPLTFFDIGWLYAGSVERVFFYAFPVSTSQFIDSVVPSLKSSLSLALQHFYPLAGKIRRSPGSVDKYEIHYADGDSVTFTLAEHDDDFDDISGSHPRDLIRLLPLVPQLPQPDDDNQGMRLLALQVTVFPGRGIAVGVTVHHAACDGSSSVRFLSSWASACARPGRLGPAPPVLDRSLISDPKGLYPIFFKSLATDRPVTEPLMNQAVPPGAVISSFTLKGDHIRRLKELASSTAKAKAEEGGASLRCSTTVVTYAYAWFCLVKTRAFADDRIAHFAFAADCKARLRPPVPATYFGNCLVACFVEMKAGELTGEDGVAAAAIAISKVVQGFIDGPLEGAAELPEKYKSVASEQALSVAGSPKLRVYEVDFGWGKPKKVEVISIVRTGAMSVAESREEEGGVEIGFVLPKLEMDKLKAHFSGGLKLLE >Ma07_p26850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33458812:33462644:1 gene:Ma07_g26850 transcript:Ma07_t26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTDSPVVELPVGGAVLTFEQDNGSMHVGTSVWPCSLVLVKFVERWLPRPDAAVNPYADLLRFPGKRAVELGSGCGPAGLGLFLLGLDVILTDIAPVMPALRRNLKRNRPALPRAPKHAQLYWNNPGQIRALRPPFDLVVAADVVYMEDSAAQLVTAMEALVGDDGAVLLGYQLRSPDAHQVFWDLCRQAFPAIEKVPHEDLHPDYAYEETDVYILRKRK >Ma07_p26850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33458812:33462042:1 gene:Ma07_g26850 transcript:Ma07_t26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTDSPVVELPVGGAVLTFEQDNGSMHVGTSVWPCSLVLVKFVERWLPRPDAAVNPYADLLRFPGKRAVELGSGCGPAGLGLFLLGLDVILTDIAPVMPALRRNLKRNRPALPRAPKHAQLYWNNPGQIRALRPPFDLVVAADVVYMEDSAAQLVTAMEALVGDDGAVLLGYQLRSPDAHQVFWDLCRQAFPAIEKVPHEDLHPDYAYEETDVYILRKRK >Ma09_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2917046:2917508:-1 gene:Ma09_g04470 transcript:Ma09_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKCRRKLDPLDIIKIGRRHGISRDSSKVFGNSSGGADPTVFSKAKPCEVVIGRRLVDC >Ma03_p29570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32436267:32438729:1 gene:Ma03_g29570 transcript:Ma03_t29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGRTLLLLLSILCLCVATSFGACSQARQERKAAEVSDGPTASPSQTNGSCGCSSSPAPSPSTSEPNPNDFPNLKQYYAYLVIQQFKQTVTCDPDGVTATWVGYRPCTYRGFYCDTPPDSPGTPTIASVDFNGFRLCAPTVAGFVDQLPDLALFHANSNNFSGPIPDLTGLPYLYELDVSNNIHSGPFPVAVLPLSNLVFLDLRYNLFAGTVPASIFFLDLDVLFLNNNNFNQQLPANLGSSPVAYLTLANNGFTGPLPRSIFNASRTLVEVLFLNNKFSGCLPYEIGSLTTATVFDVGFNQFTGPIPWSFGCLLKVEQLNLAGNLLYGEVPDVVCRLAKDGNLANLSLSGNYFTSLGHSCWDLIKRKVLDVRQNCIPWFPEQRRPVECWRFLWHRKFCPFFHYIPCGLPKCAPKPAAPPPPGYTTYKALHQPPRN >Ma06_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7131010:7133800:-1 gene:Ma06_g10310 transcript:Ma06_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTRLPLFSCIFLLLTSAVKALPLDPSCLPTGFTCSPLPSPSPSPVPPPLSSAPELLPPSLTPSPSLPPLPSPPSTPSPLLPPASPPPPSPALPPSSSLSPSSISPLLPPSDSPASSATPMPPPIPSPSPTPSLPFPPPSPVAPPSPIIPSPTPSLPFPPTLPAVPSSPILSPAPSPLSPPSPPPIPTPSPSPFSPPSPTPSPLSPPSPPPIPTPSPSPFSPPSPTPSPLSPPSPPPSSSPFSPPSPTPTPSPFPPPEPSPLAPASPIIPSPPLPPSPWPETMVEYFDPTLPPILPAHDPKCSVPILLRDFANAVGAPPIHANYKPPLNCPAPWSRVILELSGSASDAQKDRIAAVWLGGAEILRTTTPLPMAPGAFWHVHKDITRYTSLLHIANSFSMMLDNSFTTLPGVYTINVTLHFYRGPLCGSVMQSNTETTEAKLNSVLTAYPIIKGIYRDPADLIIPISNGTGGAGFWFRIENETDVHTTAVVIPNNTYRAVLEVYVSHHGGDEYWYANPLRSGDLQLKPDGLESAKPNGGFRQVVATIDGRYVGSALPFPVIYPSSINPFFWAPVTPIGAYDHPSYDLELTPFISLLVDSKPHEFGLTVRDSQPYWLVSANLHIWLDAWSDAVEAGLLRYKAPPLRLSRQADWEANEGKSEIEGQVTIRFSGWVNSYRGNITTSVRHRIKFKSHVEVEENGESKNIEVESRSRTNVRTVKDHKVLQRAAMDMEAPLEMTVITTNGGGRSLFHKTKLVHQLKETRSLIEGKNTAFSTLADRQESEGSVLTEDGAALWGKGDTKSTYKFRDEKSCYMRTVNMIGGKVEEDEETASCVAVAAS >Ma03_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24318744:24320719:-1 gene:Ma03_g18810 transcript:Ma03_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLEIPIIYLDLQLSWPPLNERILSSMCRRSVAAHPWHDLDIGPEAPMIFNCVVEIGRGSKVKYELDKRTGLIKVCLVFYSVASFLDPIDVLVIMQLCGTSEPVIPGCFIRAKAIGLMPMIDQKDDKIIAVCADDPEYNHYNDINKLPCHRLAEIRSFFEDYKKKENKLL >Ma07_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6946280:6952053:1 gene:Ma07_g09250 transcript:Ma07_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCCGLSLRSSASALLSPQNFSTPEDLSAYDGIEMRVKGDGRRYKLILRTSSDWDTVGYPASFDTTKEQWQTIKLPFSSFRPIFRARTVVDAAPFDPSNIISLQLMYSKFEYDGKLNPTFVEGSFQLPFSSIRAYINESITPRFVHVSSAGVTRPDRPGLDLSKQPPAVRLNKELGFILTEVVHSVFLLQGEDLIRESGIPYSIVRPCALTEEPAGADLIFDPGDNITGKISREEVAQICVAALSSPYACGKTFEVKSTVPFNEPYVVDPENPPPEKDYDEYFKNLKEGVTGKEASEGSPVPV >Ma05_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1566710:1570345:1 gene:Ma05_g02430 transcript:Ma05_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) UniProtKB/Swiss-Prot;Acc:Q9C757] MEGGVHEVDGSAFRECFSLAWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFPSVDKKTWLQESIVSTAVAGAIIGAAIGGWMNDRFGRKTTILVADFLFFAGAVIMASAPNPALLIVGRVFVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAALPALTQFILMLFLPESPRWLYRKRMEEEAEAILRKIYPANEVEGEVQALKESVEAEIQAEGSSKKINLIKLLKTKTVRRGLVAGVGLQVFQQFVGINTVMYYSPTIVQLAGFTSNQTALALSLVTSGLNALGSIVSIYFIDRTGRKKLLIISLCGVVLSLGVLSAVFHETTSHSPSISRQETAHFAAYSCPAYQSASATGWDCMKCLKASSPDCGFCAAAADKLYPGACLISNSTVKDLCHGENRLWYTRGCPSRFGWLALIGLALYIIFFSPGMGTVPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTEAIGASWTFLIFGVISVIALFFVLICVPETKGLPIEEVEKMLEHRAARFRFWRKETDDDGKDSNVTV >Ma04_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10951806:10953725:1 gene:Ma04_g14440 transcript:Ma04_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAPEFRRPARRRLPGWMWSLLGISFVVGVVLFFFQHRQDQHAAPVQEKGLTNEEVMHISLNLTQELLSSSSFARQLAVQMTLAKAYVVIAKEHNNLQFAWELSSQIKNCQWLLKQL >Ma04_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10770363:10776144:-1 gene:Ma04_g14220 transcript:Ma04_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASDWIAAASSFIFLLFLFADAARTPEPSLRALATHGGKPNLVLPLVCSRSNSTRRSVLARRLLGEQVTARASMRLYDDYVTNGYYTAKLFIGTPPQEFALIVDSGSTVTYVPCSTCEQCGNHHQNLRFEPDLSSTYEPVKCNDDCTCDKEQKQCVYESQYTEMSSSSGVLGEDLISFGKESELKPQRAVFGCANSETGNLFNQHADGIIGLGRGELSIMDQLADKGVVTDSFSLCYGGMDVDGGAMVLGEITPPPDMVFSRSDPIRSPYYNIELEEIHVDGKLLHLDPRLFNSKDGTILDSGTTYAYLPEEAFMAFRDAILSNLHSLKRILGPDPNYSDICFSGAGSDVSELSKTFPVVDMVFGNGEKLSLSPENYLFRHSKVSGAYCLGVFQNEKDLTAILGGIIFRNTLVTYDRQNERIGFWKTNCSVLWERLHSDGGPTPGVLDFTNSRVNDSPTPVLPDLFESGVITFDMILNIAYAELLPHAKELEELIAHELQVDINQVNLINIASKGKSTMLRLAIFPAASSGFFSDTTVMDIVSFLSEHRVQLPENFGSYQYMVGMADNVVTGGNITRGFPPVYSPLDVARRIQAFGCTSS >Ma07_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11074013:11074796:1 gene:Ma07_g14750 transcript:Ma07_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSSSSASSSRKKKMKRAETKWRTAAQERIYRRRLLEALRATASGAGPRAVKEAADSALALTARGKSQWSRAILLGRCRPRRKLLLKAGGKVRRGRRRPRPAAPVPVAAAAPAGKKVRDRLRVLGRLVPGCRQLSAPSLLEEAADYVAALEMQVKAMRALADALSAASLNAAAAGEAESRVV >Ma08_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3617518:3620716:-1 gene:Ma08_g05280 transcript:Ma08_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSPVVARAPRGVHISPKPTSTVVSLTDLGLLEKTKHRKAGKTRAASGPRVPPVLNLDPSPFPFSCARLSPHPPFGIRFRRRRLSLRSPLQNLEHRDSIGFEVAIVVPMRRQNERDEGADCIEFRERESMRARLTVEREAIFQEHLHYRKNATILHIAETTAGLIVYIEIPSLY >Ma02_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20555287:20556770:-1 gene:Ma02_g11370 transcript:Ma02_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPIALLILLALLPFYTWIGGGAAAVPPSPSPKASPAPSPPASNASPRPPSAAQGSTLDPRQLTALQSMGFPTATDPCADPSPHGNATACDDDTPFRHLVSLRLANCSPDLDIPTTALRALSTLRSLAFLRCPIPVPRHLPGPLTASLRSFSCDASLRHLTGVWLSRFQNLTDLSVVGVPVSASGPAVILSQMHHLRSATISATNLSGVVPHHWNALGLVHLNLSSNLLKGRIPSSISVLGLIQTLDLSSNALTGSLPDTIGDLAALKNASFARNSLSGPVPDSISQLSALVHLDLSSNQFNGSIPKFLAEMKGLKLLNLEKNNFQGVFPFNASFLRRFEVFKVGGNNNLCYNHTQLSSSLKLGIAPCDKYGLPVSPPADRSTRADSSDYSDDSSDEGSRDRGSNGGSHHGPSKLVLGVAIGLSCFVFLVIFLVCFSKQLFSLISFTITVAPFSRIKKRFCRS >Ma04_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3078115:3086150:1 gene:Ma04_g04000 transcript:Ma04_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGSENPLLGEITCGSLLQQLQIIWDEIGESDEERDKMLLQLEQECLDVYKRKVDQASKSRALLLQSLADSRAELARLLFALGEKSLVDIQDRSSGTIKEQLVAIAPVVEQLCKQKEERIKEFDNTLLQIQKIRGEISGTLKLGEQIETPVVDEEDLSLKKLDEYKLQLQELQREKSDRLQKVLDFVSTVHDLCAVMGLDFFSTITEVHPSLNDSVGVQSKSISDGTLSKLSGMVIGLKEDKKMRLQKLQELAAQLHDLWNLMDTPMEERNLFSHVTCNTNCTVDEVTVPGALTLDLIEQAEVEVERLDQLKASKMKEIAFKKQIELEDIYSRAHIEIDSAAAREKILALIDSGNFEPLELLADMDNQILKAKEEALSRKDILERVEKWMSACEEESWLEDYNRDQNRYNSSRGAHLNLKRAEKARILVNKIPALVDTLVAKTKAWEQDHDMSFMYDGVSLLAMLDEYNMLRQDREEEKRKQRDQKRFHEQLATEQEAMFGSRPSPVRPLGAKKVISPRSNGSAPNGTATRRLSLNSHQGGSSGSPSLSRDGKRDNSNRPAAPVNYVAIAKEDAASPIQVNASP >Ma10_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23083420:23089222:-1 gene:Ma10_g08800 transcript:Ma10_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MEVKGICQAHSLLYEAYAMSLVAGGKLVEAHEVFQLGISKNAEPLDRLKKMHGMFLNHLAAVAQNAAADPKSDMSSRSLNQQPTLVNPWSMPTIDDLLKKMDTNIRKYNGYCRSSKVYSGKVSLSSLKNSSRNKIVELGGCKYQIKGCSGLGGFAQVYKAYNDSNPDDVLALKIQKPAFPWEFYMYRQLDKRIPDVERSSFGFAHKVHIFADLSVLVCDYLSHGTLQDAINSNLVTHKLMEEVLCIYYTIEMLRMLETLHSVGIIHGDFKPDNLLVRYAREELTEASFITRSGPWRDQGLCLVDWGRGIDLSLFPADTRFIGDCRTSGFRCVEMQDNQPWKYQVCILSSNHSKYHAKCLANVTNHSISHDFSVMQG >Ma02_p02220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13314893:13344026:1 gene:Ma02_g02220 transcript:Ma02_t02220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRVTDFDINKLSKETQFRWLKPVEVLFILQNHETFEITQKPPQKPPSGSLFLFNRRVLRYFRNDGHSWRKKRNGKTIREGHERLKIGNYEAINCYYAHGEPNSCFQRRSYWMLDPAFDHIVLVHYRDVIKGRHIPKSIVSSSIDSCPTLRYSTSVSNDQAQGIHSCTIEFNDPCQNSCSPGSVEEIISQVTGGNIKMSHLNTMDRSESTNQLLQSELSQALRKLEEQLSLDKDKDSFASSEEELPPFCNLNVETHNTQDETRSPKEEALQNPLDKFQQMSNGHNEDSLQYDNGLERLHGSLFPQSYATEADNYGANYSLLIQGTGETAPSAEISEFSSLFTDMWFDQSQFGAPRRTESGLTLAERHLFTIREVVPEWAFSSEPTKVIITGDFHCSPFEHTWTVLFGDIEVPLEIVQDGVFRCLTPQQSARKVKLCITSGNSQPCSEPHEFEFREKPEKASCSSTSVGAVATKISAELLSLVKFMQILFSSASNPQEDLELEVDPLRKLEGSKNQLEPIIEALLSGSMAPEKIMNAILQELLKDKLHQWLSFKHQGATEKDHLLSKQEQCIIHMISALGYQWALLPILKSGVCINYRDSNGWTALHWAASSGREEMVAALLAAGASAGVVTNPSAHDPAGKTPASLAAASGHKGLAGYLSEAALTSHLFSLTTERNERFEESAYVEVQRGVNSISERSAHAHSDGGTEDQLSLKDSLAAVRNAVQAAARIQAAFRAYSFRRKQQEAAICMSPAGVHELSVASRSHKAFYGFSDKKYEQAALSIQRNYQRWKRRKEFLQKRRCIVKIQAHVRGRLARENYKELLWSVGVLEKALLRWHRRGVGLRGFQAEPEYIDEEEDDIVKVLRRQNLDTAINEAVFKVTSVVGSPRARQQYRRMLESYQQVKDSIILSSPKGPDSASTKS >Ma02_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13314893:13344026:1 gene:Ma02_g02220 transcript:Ma02_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRDFDINKLSKETQFRWLKPVEVLFILQNHETFEITQKPPQKPPSGSLFLFNRRVLRYFRNDGHSWRKKRNGKTIREGHERLKIGNYEAINCYYAHGEPNSCFQRRSYWMLDPAFDHIVLVHYRDVIKGRHIPKSIVSSSIDSCPTLRYSTSVSNDQAQGIHSCTIEFNDPCQNSCSPGSVEEIISQVTGGNIKMSHLNTMDRSESTNQLLQSELSQALRKLEEQLSLDKDKDSFASSEEELPPFCNLNVETHNTQDETRSPKEEALQNPLDKFQQMSNGHNEDSLQYDNGLERLHGSLFPQSYATEADNYGANYSLLIQGTGETAPSAEISEFSSLFTDMWFDQSQFGAPRRTESGLTLAERHLFTIREVVPEWAFSSEPTKVIITGDFHCSPFEHTWTVLFGDIEVPLEIVQDGVFRCLTPQQSARKVKLCITSGNSQPCSEPHEFEFREKPEKASCSSTSVGAVATKISAELLSLVKFMQILFSSASNPQEDLELEVDPLRKLEGSKNQLEPIIEALLSGSMAPEKIMNAILQELLKDKLHQWLSFKHQGATEKDHLLSKQEQCIIHMISALGYQWALLPILKSGVCINYRDSNGWTALHWAASSGREEMVAALLAAGASAGVVTNPSAHDPAGKTPASLAAASGHKGLAGYLSEAALTSHLFSLTTERNERFEESAYVEVQRGVNSISERSAHAHSDGGTEDQLSLKDSLAAVRNAVQAAARIQAAFRAYSFRRKQQEAAICMSPAGVHELSVASRSHKAFYGFSDKKYEQAALSIQRNYQRWKRRKEFLQKRRCIVKIQAHVRGRLARENYKELLWSVGVLEKALLRWHRRGVGLRGFQAEPEYIDEEEDDIVKVLRRQNLDTAINEAVFKVTSVVGSPRARQQYRRMLESYQQVKDSIILSSPKGPDSASTKS >Ma02_p02220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13314893:13344026:1 gene:Ma02_g02220 transcript:Ma02_t02220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRVTDFDINKLSKETQFRWLKPVEVLFILQNHETFEITQKPPQKPPSGSLFLFNRRVLRYFRNDGHSWRKKRNGKTIREGHERLKIGNYEAINCYYAHGEPNSCFQRRSYWMLDPAFDHIVLVHYRDVIKGRHIPKSIVSSSIDSCPTLRYSTSVSNDQAQGIHSCTIEFNDPCQNSCSPGSVEEIISQVTGGNIKMSHLNTMDRSESTNQLLQSELSQALRKLEEQLSLDKDKDSFASSEEELPPFCNLNVETHNTQDETRSPKEEALQNPLDKFQQMSNGHNEDSLQYDNMWFDQSQFGAPRRTESGLTLAERHLFTIREVVPEWAFSSEPTKVIITGDFHCSPFEHTWTVLFGDIEVPLEIVQDGVFRCLTPQQSARKVKLCITSGNSQPCSEPHEFEFREKPEKASCSSTSVGAVATKISAELLSLVKFMQILFSSASNPQEDLELEVDPLRKLEGSKNQLEPIIEALLSGSMAPEKIMNAILQELLKDKLHQWLSFKHQGATEKDHLLSKQEQCIIHMISALGYQWALLPILKSGVCINYRDSNGWTALHWAASSGREEMVAALLAAGASAGVVTNPSAHDPAGKTPASLAAASGHKGLAGYLSEAALTSHLFSLTTERNERFEESAYVEVQRGVNSISERSAHAHSDGGTEDQLSLKDSLAAVRNAVQAAARIQAAFRAYSFRRKQQEAAICMSPAGVHELSVASRSHKAFYGFSDKKYEQAALSIQRNYQRWKRRKEFLQKRRCIVKIQAHVRGRLARENYKELLWSVGVLEKALLRWHRRGVGLRGFQAEPEYIDEEEDDIVKVLRRQNLDTAINEAVFKVTSVVGSPRARQQYRRMLESYQQVKDSIILSSPKGPDSASTKS >Ma04_p28770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29753808:29768556:-1 gene:Ma04_g28770 transcript:Ma04_t28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANALPAPTRHGNLDEQIEQLMQCKPLSEQEVRVLCEKAKEVLMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHDQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Ma08_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36601005:36601955:1 gene:Ma08_g23210 transcript:Ma08_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFVDGRDVTPDDELQPRHGEEGEDTKTRAAGQGERRRKTLYRGIRRRPWGKWAAEIRDPRKGARVWLGTYGTAEEAARAYDAAALEIRGSKAKLNFPDAAAAAGGDMAAVPPKKRRAGAAEAGSGEVSSASYCAAPEASLEEGLRERISSLETFLGLEHQESAAGEVAAWGDVRFV >Ma04_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4750112:4750737:-1 gene:Ma04_g06440 transcript:Ma04_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGTSSGSSLLQTSGSEEDLQALMDQKRQKRMISNRESARRSRMRKQKHLDDLTAQLNQLRKENSRILTSLTLTTRHHSAVEAENSVLRTQMVELGNRLQSLSEILHCLNGNTAITSGLFCDGHQVNNSFSNPWNLMYMNQQPIMASVGNMFLY >Ma06_p06260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4577155:4577559:-1 gene:Ma06_g06260 transcript:Ma06_t06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNSTSVAEVFSRIDHKFDLMYAKRAFVQWYVGEGMAEGEFSEAREDLAALEKDYEEVGAESAEGEEDDE >Ma07_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11005558:11005995:1 gene:Ma07_g14580 transcript:Ma07_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICSRENVIICVFFLQLLRQLGIGNLQSRTFIHGLLHPVLQKVNSIYHLLGRCIQLRRSLTMLIIHLQVQDQQQADQTFPTHRRR >Ma11_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20330108:20335055:1 gene:Ma11_g14600 transcript:Ma11_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSTALSVRPLSSPTRPPHFPKFPYLSLVPSALFRHRQRPAQTLAMAPLRRRSCTAARASASQQVEEGTVPVGEEGAEWGKVSAVLFDMDGVLCNSEELSRMAAVDVFADMGVSVTTDDFIPFMGTGEANFLGGVASVKEVKGFNPEAAKKRFFEIYLDKYAKPDSGIGFPGALELIMECKSRGLKVAVASSADRIKVDANLAAAGLPVSLFDAIVSADAFENLKPAPDIFLAASKNLNVPQSECLVIEDALAGVQAAKAAQMRCIAVTTTLPEEMLQPASPSLIRKEIGSISIDDVLYGGHSIDK >Ma08_p34700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44690203:44701684:-1 gene:Ma08_g34700 transcript:Ma08_t34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Moco containing protein (Moco containing protein(OsMCP)) [Source: Projected from Oryza sativa (Os08g0530400)] MPGLRGPSDYSQEPPRHSSLKVNSKEPFNAEPHRAALLSYITPIDFFYKRNHGPIPVVDDIERYNVSIGGLVEKPLEISMFEIRRLPKYNVVATLQCAGNRRTEMSKARTVKGVGWDVSAIGNAIWGGAKLADVLELVGISKYSSFSAPGGKHVEFVSIDKCKEENGGPYKASISLRQAANPEADVLLAYEMNGEILSRDHGYPLRVIVPGVIGARSVKWLDHINIIEDECQGFFMQKDYKMFPPSVNWDNINWLTRKAQMDFPVQCAICSLEDVDVVNQVKATIAGYAVSGGGRGIERVDISVDGGKTWLEAERYQRRNIPYQSDDMNNDKWAWVLFKATVDIPENAVIIAKAVDTAANVQPEKVDAIWNLRGILNTSWHKVQVRRASPGMNSNL >Ma05_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8383420:8384715:-1 gene:Ma05_g11560 transcript:Ma05_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SLOW GREEN 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18420) UniProtKB/Swiss-Prot;Acc:Q9LS48] MDSLIVSAPVHGGRALLSPLPPFLTRSSFSPKLLRFAKTRVSRVPVAKASSSGSNPLAPLSGHVRAAVSGAVLLATATAALVSASIRPPIARADPTAFPVAEGESDPSVLSRFLDSSSDGVDALRSLLYQKLEAGDDTEGLAILQRLIAAQPAETEWKFLAARLLNEMGEASESRRLYEEILAVDPLSFEALFDNAVLMDRCGEGDAVIQRLEQALELAKAEQKEKAARDVRLIMAQIQFLQKNVDEALSSYEGLAQEDPKDYRPYFCQGVIYSLLGKNKQAREKFSKYHELSPRKFEVNAYLQTPLSRMNLFSTGDS >Ma09_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2683605:2684186:1 gene:Ma09_g04100 transcript:Ma09_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRCKSSLGFVDARVPVRATYVNLYKWPESDAEFVKSVTRRRGKVDGRSGGSNLEGGRKWSAAPTAVDSYSCRQMYLRSYTFSRRESVPEKTQRCLGRVRETAAVFPFRQRKNQGTAGGVNGDANNKNIPNRRMKTERKKKACVTVRKLREVSYSTLCFIFHRLLSCTARVEVVRRGCKLPQNSHSSLFPVS >Ma04_p10010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7172351:7178659:1 gene:Ma04_g10010 transcript:Ma04_t10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFFSFRSVESSSPNGNSLHPGHSFSDDLVCCEKLGDSHSNFSLREKVHDHSQTVKDSTLSPQYPENEDSSHLLLRRSFSLSSCAMNGGGFRDGNLISSSDLSRPASSSGNSPHRASSFRMHCHPLTQERSTRPRSCEQPPSQHFHAVQKYSSLKSLRECEIPSNSPNSSPVPSRCNVVHLSCFPKGNGLLDQYIDAEDQEVQPETHTEKCLSESGINCSLAENQAYPSAGSPQQFWSVALSSPMCRKENSKTLSVREEIDSSHHPSARNWARDDYETVSTSRCIQKSINKSSHPFHGRLSMNARDYDSESTTTFEDIYMDSFEMQPTLHSDDTVQHFSIDDHSSYKNLTGHFAQDLLGLEKYDCFLENGATSTRNGKAMSSGLQDTDEVLHKKLKEVDRMIELLPDEDFDLEELQSGDSNVPSLFQAIENIKEVRKFLVLELSVQIKCRLAERHAAKGHLRRARIDLKTQTRRLEQEKNELQFILEKELDRRSSSWSMKFEKIQLEEQWLRERVRELAEQNMSFQREISSLKGIKGDSQTRVVDSEIELTNLTATLEQLGIENYNLQKGFSELQEHFDATEKDRDCIRRCLREKERENKELQKLVVRLQRTINEQEKTINGLRKGFSDETENKATDGSDQLRMLQMEQLRLTGLEQKLRKELKTFKHEVETLRHENIGLLTRLRAAGDGHQFSSIKLDEELHARLDCLQTEGLSLLTDISHFSNNLLGCLRHKQYEHGQEDENNTDGYSFIDYIIKSQSFSRRYENFRTSLQTIATILDEKSDLQATERQSLSTEFGMSRQSEDELEAKLKAEIILTRLLREKLHSNELEFEQLEADLASSVRVHDVMQTEIQRMQDEVSCLTHKTMDMELKMLQKDESIKQLENEYQECTKELTAARNICLKITEERNHMWEEVKSSKEKIMLLNYEVLSLRKKIEELEEDILTKEGQIAILRDSLEKPFDILCA >Ma04_p10010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7172311:7178659:1 gene:Ma04_g10010 transcript:Ma04_t10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFFSFRSVESSSPNGNSLHPGHSFSDDLVCCEKLGDSHSNFSLREKVHDHSQTVKDSTLSPQYPENEDSSHLLLRRSFSLSSCAMNGGGFRDGNLISSSDLSRPASSSGNSPHRASSFRMHCHPLTQERSTRPRSCEQPPSQHFHAVQKYSSLKSLRECEIPSNSPNSSPVPSRCNVVHLSCFPKGNGLLDQYIDAEDQEVQPETHTEKCLSESGINCSLAENQAYPSAGSPQQFWSVALSSPMCRKENSKTLSVREEIDSSHHPSARNWARDDYETVSTSRCIQKSINKSSHPFHGRLSMNARDYDSESTTTFEDIYMDSFEMQPTLHSDDTVQHFSIDDHSSYKNLTGHFAQDLLGLEKYDCFLENGATSTRNGKAMSSGLQDTDEVLHKKLKEVDRMIELLPDEDFDLEELQSGDSNVPSLFQAIENIKEVRKFLVLELSVQIKCRLAERHAAKGHLRRARIDLKTQTRRLEQEKNELQFILEKELDRRSSSWSMKFEKIQLEEQWLRERVRELAEQNMSFQREISSLKGIKGDSQTRVVDSEIELTNLTATLEQLGIENYNLQKGFSELQEHFDATEKDRDCIRRCLREKERENKELQKLVVRLQRTINEQEKTINGLRKGFSDETENKATDGSDQLRMLQMEQLRLTGLEQKLRKELKTFKHEVETLRHENIGLLTRLRAAGDGHQFSSIKLDEELHARLDCLQTEGLSLLTDISHFSNNLLGCLRHKQYEHGQEDENNTDGYSFIDYIIKSQSFSRRYENFRTSLQTIATILDEKSDLQATERQSLSTEFGMSRQSEDELEAKLKAEIILTRLLREKLHSNELEFEQLEADLASSVRVHDVMQTEIQRMQDEVSCLTHKTMDMELKMLQKDESIKQLENEYQECTKELTAARNICLKITEERNHMWEEVKSSKEKIMLLNYEVLSLRKKIEELEEDILTKEGQIAILRDSLEKPFDILCA >Ma09_p30880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40791585:40799845:-1 gene:Ma09_g30880 transcript:Ma09_t30880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVEETVPSENVLEWVEKDKRRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDIPEEKYSNAFLGFGPEASHFVVELTYNYGVDKYDIGTGFGHFAIASEDVYKLVEGIRAKGGKITREPGPVKGGTTVIAFVQDPDGYMFELIQRGPTPEPLCQIMLRVGDLERSIKFYEKACGMKLLRTRDNPDYKYTIAMMGYAEEKESTVLELTYNYGVTEYTKGNAYAQVAISTEDVYRSAAVVDLVAKELGGKITRQPGPIPGINTKITSFLDPDGWKVVLVDHSDFLKELE >Ma09_p30880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40791585:40799845:-1 gene:Ma09_g30880 transcript:Ma09_t30880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVEETVPSENVLEWVEKDKRRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDIPEEKYSNAFLGFGPEASHFVVELTYNYGVDKYDIGTGFGHFAIASEDVYKLVEGIRAKGGKITREPGPVKGGTTVIAFVQDPDGYMFELIQRGPTPEPLCQIMLRVGDLERSIKFYEKACGMKLLRTRDNPDYKYTIAMMGYAEEKESTVLELTYNYGVTEYTKGNAYAQVAISTEDVYRSAAVVDLVAKELGGKITRQPGPIPGINTKITSFLDPDGWKVVLVDHSDFLKELE >Ma09_p30880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40791585:40798537:-1 gene:Ma09_g30880 transcript:Ma09_t30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVEETVPSENVLEWVEKDKRRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDIPEEKYSNAFLGFGPEASHFVVELTYNYGVDKYDIGTGFGHFAIASEDVYKLVEGIRAKGGKITREPGPVKGGTTVIAFVQDPDGYMFELIQRGPTPEPLCQIMLRVGDLERSIKFYEKACGMKLLRTRDNPDYKYTIAMMGYAEEKESTVLELTYNYGVTEYTKGNAYAQVAISTEDVYRSAAVVDLVAKELGGKITRQPGPIPGINTKITSFLDPDGWKVVLVDHSDFLKELE >Ma01_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1730414:1738181:-1 gene:Ma01_g02590 transcript:Ma01_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLASKLLSEPSPSSSSRSSLCFSSHSPPSLLPRSVAFPFPKRSRCRLKLVRAQDSDTVASGGDPKPQNGNLPKNRRDVLLEYVKNVQPEFMDLFTKRAPQQVVDAMRQTVTNMIGTLPPQFFAITVTTVAENLAQLMYSVMMTGYMFRNAQYRLELQLSLDQIALPDPEEKNAVPKYAPGSQKKVTGEIIRWNKITGPEKMDAMKYIEYLEREIEELNRQVARKSANGQNELLEYLKSLEPQNLKELTSSAGEDVVFAMNTFIKRLLSVSDPEQMKTSMTETSASQLANLLFWMMVVGYSIRNIEVRFDMERVLGTSPKLPELPPGENI >Ma11_p11020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11283774:11285164:-1 gene:Ma11_g11020 transcript:Ma11_t11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLEYIENRSLDTFVYVTQYYYGDCSRAAIPASRLPHEGRDLKPSNILLVESLNPKIFDFGITRIFEGDEVQERATRRPRGRGSIGYMAPEYANYGIFSFKSDVFSFGVLVLEILSGKKSIETIEGDNGIDLIGHVILHRLIDFGNKVDRSLEILDDTLQGLDPPIEVMRFIRVGLLCVQENIEDRPTMAEVVMMLGRYLLLNDQEK >Ma06_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10716474:10722681:1 gene:Ma06_g15800 transcript:Ma06_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDPELVGGLVGRCIDAATASAESVERWRRQRRTLDLLPSYLADALLRRIIQRRILLYPSWLEIFQNSAEEIDLKGESSVDVEWLAYLGAFRHLRRLNLANCRAVNNSAIWYLSGMNNLKELDLSRCSKITDAGIKHVLAIQNLEKLHVSETGLTSNGVVLLSSLQNLNLLDLGGISITDEALCSLQVLTNLEYLDLWGSKISNRGVPVLEMFPKLSFLNIAWTEVTELPYLPSITCLNMSNCTICSIFYGKGSTSAPLLKLFGLGATFVDADKVFSIIDTSHVMYLDVSSSSICNLHFLVKMDMIEHLDVSFCGITDDSVEYIAKAGKGLKFLNASNSKLTSQGICVLAGNVINLETLYLSNTSVDDVALSYIALMPSLRIVDLSRTKIKGFAYEKSQDNLEKTFPLSLLQNLSHLESLNLEDTLVEDEALLPLVFLKQLRCLYLKSDLLSDVSLHILSSFSSLEYLGFRNAVLSNSGLLLFVPPKALHTLDLRGCWLLIEDVFSSFCKRHPQIELRHELVGTVPIDENVSAGSTSLHKTYLTSEVRSEEYDGCFVDERIKYSMEDLLGLQLSSSSTPALHGLNMLPKELKRSKMSNHISFL >Ma06_p15800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10716474:10722681:1 gene:Ma06_g15800 transcript:Ma06_t15800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDPELVGGLVGRCIDAATASAESVERWRRQRRTLDLLPSYLADALLRRIIQRRILLYPSWLEIFQNSAEEIDLKGESSVDVEWLAYLGAFRHLRRLNLANCRAVNNSAIWYLSGMNNLKELDLSRCSKITDAGIKHVLAIQNLEKLHVSETGLTSNGVVLLSSLQNLNLLDLGGISITDEALCSLQVLTNLEYLDLWGSKISNRGVPVLEMFPKLSFLNIAWTEVTELPYLPSITCLNMSNCTICSIFYGKGSTSAPLLKLFGLGATFVDADKVFSIIDTSHVMYLDVSSSSICNLHFLVKMDMIEHLDVSFCGITDDSVEYIAKAGKGLKFLNASNSKLTSQGICVLAGNVINLETLYLSNTSVDDVALSYIALMPSLRIVDLSRTKIKGFAYEKSQDNLEKTFPLSLLQNLSHLESLNLEDTLVEDEALLPLVFLKQLRCLYLKSDLLSDVSLHILSSFSSLEYLGFRNAVLSNSGLLLFVPPKALHTLDLRGCWLLIEDVFSSFCKRHPQIELRHELVGTVPIDENVSAGSTSLHKTYLTSEVRSEEYDGCFVDERIKYSMEDLLGLQLSSSSTPALHGLNMLPKELKRSKMSNHISFLRGTCWIWHKVSRT >Ma06_p15800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10717678:10722681:1 gene:Ma06_g15800 transcript:Ma06_t15800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLKELDLSRCSKITDAGIKHVLAIQNLEKLHVSETGLTSNGVVLLSSLQNLNLLDLGGISITDEALCSLQVLTNLEYLDLWGSKISNRGVPVLEMFPKLSFLNIAWTEVTELPYLPSITCLNMSNCTICSIFYGKGSTSAPLLKLFGLGATFVDADKVFSIIDTSHVMYLDVSSSSICNLHFLVKMDMIEHLDVSFCGITDDSVEYIAKAGKGLKFLNASNSKLTSQGICVLAGNVINLETLYLSNTSVDDVALSYIALMPSLRIVDLSRTKIKGFAYEKSQDNLEKTFPLSLLQNLSHLESLNLEDTLVEDEALLPLVFLKQLRCLYLKSDLLSDVSLHILSSFSSLEYLGFRNAVLSNSGLLLFVPPKALHTLDLRGCWLLIEDVFSSFCKRHPQIELRHELVGTVPIDENVSAGSTSLHKTYLTSEVRSEEYDGCFVDERIKYSMEDLLGLQLSSSSTPALHGLNMLPKELKRSKMSNHISFLRGTCWIWHKVSRT >Ma07_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12406821:12407516:-1 gene:Ma07_g16030 transcript:Ma07_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAAFNATAAVEVPAAAVGGGHSGWRSPVPYLFGGLAAMLGLIAFALLLLACSYWKLSGYLDGGDDGSGVEPGAKTGAEVAMPVACYEEKFVVIMAGDEKPTYLATPVSSRASSFGDHTSKEDNNNDKDMLEDCIGTGNETENKDHIRGQSENQNLDV >Ma05_p24410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36664418:36666519:1 gene:Ma05_g24410 transcript:Ma05_t24410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFDARIEAERKEMEKNSAGHSLRMPMAKLTTMFHGRRVRAYNAIPRDKARAILLIAAAATKTPAIVGPSAATAAALLGPLLTRSLSLQSSTAGEPPQVELQAELPMARRKSLQRFLEKRRNRLVSAAPYASAKSLDMEGDSERRPQLNSASLLAMTTKSTGN >Ma05_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36664418:36666519:1 gene:Ma05_g24410 transcript:Ma05_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFDARIEAERKEMEKNSAGHSLRMPMAKLTTMFHGRRVRAYNAIPRDKQARAILLIAAAATKTPAIVGPSAATAAALLGPLLTRSLSLQSSTAGEPPQVELQAELPMARRKSLQRFLEKRRNRLVSAAPYASAKSLDMEGDSERRPQLNSASLLAMTTKSTGN >Ma04_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3644244:3649514:-1 gene:Ma04_g04780 transcript:Ma04_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLPVLRCSRCLLVYSSSSLPNLRPNSHRSSFRMANPHPGAPSSSKPFSTAASFSSPSRTSGRGRGRGSGGRGRGADGASDRIDALGRLLTRILRHMASELNLNIRNDGYVRVRDLLKLNMTTFAKVPLRSHSVEDIREAVKRDNKQRFSLLEEDGELLIRANQGHTIVTINSESLLKPILSADEVPVCVHGTYRRNLESILQSGLKRMTRLHVHFSSGLPTDGEVISGMRRDVNLLIYLDIEKALQEGMKLFISDNKVILTEGFDGVVPVRYFKKIETWPGREIIPFQI >Ma06_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8096287:8104472:-1 gene:Ma06_g11620 transcript:Ma06_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKATEAMFSGEPPLSTTYSAECLPIKGPPNFSCHDENHHQEEAMNNTEKKVARCITNDALLDHKADIAENVDRLCTDNQQEAITAGPELSLNQFVSDGTLSTQNILVDAIMDTNCERGIISKDSVQPVPQGASAIPDPRESSNVSDVSNTNVVVLTSGDITIAVPPFDPQNKELESIPNRHQDGTTVNLVDVQIINDSAIPHESRNTEDSTPILPSELNHQKDVGRMQKKAPELATSSKPVKNIHVNRGIVDTAAPFESVKEAVTMFGGIVDWKAYRRNTLEKRKLLQLELERMQADIPECKKQFEAAEEAKAQVLKELDRTNRIMEELKVNFEKAQTEEAQAKQDSELAQLRVKEMEQGIASESSVAAKAQLEVAKARHEAAVAELKILKAELKSLQGEYVSLVNERDMAIRKAQDANSALKEIEKTAEELTLELITTKESLESAHAAHLEAEERRIGAALAREQDYLTWEKELKHAEEEVQELNQQVLLTRDLKSKLETASTLLFNLKAELAAYMESKLNQESVSFEDKLPDDVEETTQNSVQALASTRKELEEVKVSIEKAKDEVVCLRVAAASLKSELDRERASLTNLQQREGMASIAVSSLEAELDRTKQDLEVVRVKEKAAREKMVELPKLLQQAAQEADQAKSVAQMAREELRKSKEEAEQAKASASTIEIRLQAALKEIEATRASEKLALAAIKALQESEQAASIGGEDSPRSVTLPLDEYFNLSKKAHEAEELAHERIAVAIAQIEAAKESEMKSLERLDEAYGEMSARKEALKIAMENAEKAKEGKLGAEQELRNWRAEHEQRRRASDAAKGGVNPVTSPLKTFEHPSRPQKEESDVVDPSMSDPKSHISEDSSDNGVSQVKIKKKKKSLVPKIVLFLARKRAQPE >Ma06_p11620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8096287:8104472:-1 gene:Ma06_g11620 transcript:Ma06_t11620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKATEAMFSGEPPLSTTYSAECLPIKGPPNFSCHDENHHQEEAMNNTEKKVARCITNDALLDHKADIAENVDRLCTDNQQEAITAGPELSLNQFVSDGTLSTQNILVDAIMDTNCERGIISKDSVQPVPQVPPFDPQNKELESIPNRHQDGTTVNLVDVQIINDSAIPHESRNTEDSTPILPSELNHQKDVGRMQKKAPELATSSKPVKNIHVNRGIVDTAAPFESVKEAVTMFGGIVDWKAYRRNTLEKRKLLQLELERMQADIPECKKQFEAAEEAKAQVLKELDRTNRIMEELKVNFEKAQTEEAQAKQDSELAQLRVKEMEQGIASESSVAAKAQLEVAKARHEAAVAELKILKAELKSLQGEYVSLVNERDMAIRKAQDANSALKEIEKTAEELTLELITTKESLESAHAAHLEAEERRIGAALAREQDYLTWEKELKHAEEEVQELNQQVLLTRDLKSKLETASTLLFNLKAELAAYMESKLNQESVSFEDKLPDDVEETTQNSVQALASTRKELEEVKVSIEKAKDEVVCLRVAAASLKSELDRERASLTNLQQREGMASIAVSSLEAELDRTKQDLEVVRVKEKAAREKMVELPKLLQQAAQEADQAKSVAQMAREELRKSKEEAEQAKASASTIEIRLQAALKEIEATRASEKLALAAIKALQESEQAASIGGEDSPRSVTLPLDEYFNLSKKAHEAEELAHERIAVAIAQIEAAKESEMKSLERLDEAYGEMSARKEALKIAMENAEKAKEGKLGAEQELRNWRAEHEQRRRASDAAKGGVNPVTSPLKTFEHPSRPQKEESDVVDPSMSDPKSHISEDSSDNGVSQVKIKKKKKSLVPKIVLFLARKRAQPE >Ma06_p11620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8096287:8104472:-1 gene:Ma06_g11620 transcript:Ma06_t11620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKATEAMFSGEPPLSTTYSAECLPIKGPPNFSCHDENHHQEEAMNNTEKKVARCITNDALLDHKADIAENVDRLCTDNQQEAITAGPELSLNQFVSDGTLSTQNILVDAIMDTNCERGIISKDSVQPVPQGASAIPDPRESSNVSDVSNTNVVVLTSGDITIAVPPFDPQNKELESIPNRHQDGTTVNLVDVQIINDSAIPHESRNTEDSTPILPSELNHQKDVGRMQKKAPELATSSKPVKNIHVNRGIVDTAAPFESVKEAVTMFGGIVDWKAYRRNTLEKRKLLQLELERMQADIPECKKQFEAAEEAKAQVLKELDRTNRIMEELKVNFEKAQTEEAQAKQDSELAQLRVKEMEQGIASESSVAAKAQLEVAKARHEAAVAELKILKAELKSLQGEYVSLVNERDMAIRKAQDANSALKEIEKTAEELTLELITTKESLESAHAAHLEAEERRIGAALAREQDYLTWEKELKHAEEEVQELNQQVLLTRDLKSKLETASTLLFNLKAELAAYMESKLNQESVSFEDKLPDDVEETTQNSVQALASTRKELEEVKVSIEKAKDEVVCLRVAAASLKSELDRERASLTNLQQREGMASIAVSSLEAELDRTKQDLEVVRVKEKAAREKMVELPKLLQQAAQEADQAKSVAQMAREELRKSKEEAEQAKASASTIEIRLQAALKEIEATRASEKLALAAIKALQESEQAASIGGEDSPRSVTLPLDEYFNLSKKAHEAEELAHERIAVAIAQIEAAKESEMKSLERLDEAYGEMSARKEALKIAMENAEKAKEGKLGAEQELRNWRAEHEQRRRASDAAKGGVNPVTSPLKTFEHPSRPQKEESDVVDPSMSDPKSHISEDSSDNGVSQVKIKKKKKSLVPKIVLFLARKRAQPE >Ma09_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2910730:2914798:1 gene:Ma09_g04460 transcript:Ma09_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRRHLHHHHHAGGGGREDIWSEDATGALIDAWGDRHLRLSRGSLRQKDWKEVADAVNERREAVGKPPKTDAQCKNRIDTLKKKYKIEKAKPGPSEWSFFSRLDLLIGDAATEPYHRPTPSLRLQHSHPLPKRHPSLVSTVKHRNPNGAVYLRGSSESSPEFGDGNDDEDIEADAEADEDDDDEQERKLNGMFAGALAGKRRRDWGVYGCGMGDGDGGHGPFSELARAIMKFADVYERVENSKQRQMMELELKRMEFAKDLEFQRMQMIIEAQIEVEKMKRRKNTSGSGKS >Ma02_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23830384:23831079:-1 gene:Ma02_g16490 transcript:Ma02_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEKLSELSKATLMPSVSYSGGLSQPFRNASAATSHAPSSSLAPFSGHHQDQHTPMLTNSPTGAASWLEDPYHGCWWPPAAAMREMIFRIAAMQPIHIDPESAKPPKRRNVKVSKDPQSVAARHRRERISERIRILQQLVPGGTKMDTASMLDEAIHYMKFLKSQVQALEQAAAANQSTGSVATTGLSMTGAKFWEGSYYCFDKDYEASDQGIIMNTPSHAELNKMDS >Ma08_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28199605:28205830:-1 gene:Ma08_g18040 transcript:Ma08_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKKRNFKIEAFKHRVELDPNYAERTWRVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKYGEKLYTGLVNTMTGHLKEIARSIESAQGGFFLEELNVKWGDHNKALQMIRDILMYMDRTFVHSSHKTPVHELGLNLWRDNIIHSSKIQSRLLDMLLDLIHRERTGEVINRGLMRNITKMLMDLGSSVYQEDFEKPFLEVSASFYSVESQQLIECCDCGEYLRKAERRLSEEIERVSHYLDVKSEVKITSVVEGEMIANHMQRLVHMENSGLVSMLVDDKYEDLSRMYNLFRRVPDGLSTIKDVMTSHLRDTGKQLVSDPEKLKDPVDFVQNLLDEKDKYDKIITKAFNNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGVSEEDVEVVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLAGKSVSDDTERSMIVKLKTECGYQFTSKLEGMFTDMKTSVDTMQGFYTSQYSEIGDGPTLAVQVLTTGSWPTQPSAPCNLPAEILVICEKFRTYYLGTRTGRRLTWQTNMGTADIKATFGKGQRHELNVSTYQMCILMLFNSVDRLTYGEIEQATEIPPSDLKRCLQSLACVKGKNVLRKEPMSKDIAEDDAFYFNDKFTSKFIKVKIGTVAAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNTIVTEVTSQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >Ma04_p31910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32104149:32106558:-1 gene:Ma04_g31910 transcript:Ma04_t31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYRSIPASIRCWIFRKNLGSRPNPSNSIPFARISSSQIHHQRHLGESSCSCRRNLLGDVILSPRTDIYISFKSLYSSSSTESLVSDASGNRTSIDGSGDGRVGSASSKVYEAIMSASGSGESLGAALDVLGIELTTELVNEVLHMLRYDEKLAFGFFAWAGHQDGYAHEPPTYNFMIDVLSSTRYKDKQFGVVCDILDHMKRSGKKSVPIGALLTILRVYTEKHLTHLRKFAKKRRIKMKTPPETDALNLLLDSLCKCSLVKEADTMFHRLKSKVAPNAETYNIMFFGWCRVRNPKAAIKVLEEMIQMGHTPENFAYNAAIDSFCSAGMLSEARELFSFMRTKGSTISSPTAKTYAIMIVGLAKFDQLDECFRLLADMRKIGCLPDVSTYKQMIEGMCLAGKDDAAHKILEEMAETGFRPDILTYNCFLKVLCKLKKAEEALRLCEKMIDAGCEPSIHTYNMLMTMFFEMNEPDRAIHIWEEMDNRGCARVADTYGIMIDGLLSCCRAQDACFLLDEIIDRGIKLSYSRFEAILLRLSEIGNLNAIHRLSEHMRKFYNVAMARRFAVSQKKKRISMRRI >Ma01_p07890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5682195:5684503:1 gene:Ma01_g07890 transcript:Ma01_t07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFWSDEDRQVAVAVLGHQAFDYLNALHTSLSDGHLTAVGGGDADLQTKLQDLVEGTSSSCSSVSAWAYAIFWQISRSGSGDLVLGWGDGHCRELSDGEEEGGIQRLPLDGANQKMRKRVLEKLHTLAGGSADENYALRLDRITDAEMYFLASMYFSFPKGEDAPGKALASGKHIWISEAGLNSPVCSSYCVRTFLARSAGFRTILFVPFDTGVLELGSMDPVPESFEALHTIRSVFGQGRNKAAAALAAGEKIGENHGAVSASRFGVGGHVAEYPKIFGRDLRLGHAQLNERARALIVKPEQRPSELITKHGDHHQNIPPTNGATVLQWNQSRIATSHQQKLGNGAPPHGRHISGVVGQDLRKNQSQPQKPPPPAPQPRRQQPMPHPLPQSGQIDFSTGEANSASVGVSVGRLGAVDTELSDVEAPCKEDKPGTMEERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRSVVPNISKMDKASLLGDAISYITELQNKLKEMEAEREMWGDPSFMDRKRRAYMHPEIDVEAAQDEVIVRMSCPLDVHPVSKVIQALKDLQIDVVDSKVAANSDSVLHTFVVKSPGAEQPTKEKLIAALTQELNAT >Ma03_p27840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31079090:31080990:-1 gene:Ma03_g27840 transcript:Ma03_t27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLGLLLVLSPLFLADSDGDGDHGALVKLKAALVGPGGSGLGDWAAASPDHCSFSGVTCDEDSHVVALNVSFVHLNGSLPPEIGLLRHLVNLTVSCAGLGGSLPVELAALPSLRLLNISNSHFSGEFPKVEPGGFPALDVIDAYNNNISGPLPLGLAAAPLLRYLHLGGNFFSGVIPEAYSDIPNLEYLGLNGNSLTGRVPPSLSRLSKLKEMYIGYYNKYEGGIPWEFGRLSSLVRLDMAGCRLSGTLPASLGQLKRLDSLFLQINRLNGSIPPELGGLSQLEFLDFSINELTGEIPESFAELKELKLLNLFMNHLRGIIPPFIGELPSLVELKLFQNNFSSELPESLGMNGRLVTLDVASNRITGTIPRDLCAGGRLEMLVLMDNGLVGPIPEKLGGCKSLTRVRLGKNSLNGSIPAGLFDLPSNDMLELNDNNLSGELPTVIAGDKLRLLILSNNSITGSIPPAISNLSALERLELNINRMFGEIPPEIGRLKALAMINLSGNELTGEFPIDLAHCASLVSLDLSRNRLTGEIPVQITALPILNTLKLSSNRFWGEIPPEILKMPSLTTLDLSNNCRPNLLSSETSLMKLDFSVSCTAGATPRGARRTGGRSRLALLTSLFPLLLFNC >Ma09_p27430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38427337:38431325:1 gene:Ma09_g27430 transcript:Ma09_t27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLVKLRSFLSWSAGLVWRRKEVVSSDDDGDDDNESGGRTPPSMATAECYACTQRGVPAFHSTTCDRVHSPEWEASAGSSLIPIRPSQTNVLQNLRLSKSASLLRGPVLDPRSEKVQRWNRVILLARAVAVAVDPLFFYALSIGRRGDPCLYMDGGLAVIVTALRTAADAVHLVHVWLQFRVAYVSRESLVVGCGKLVWDARMIAVQYLRSLRGFWFDVFVILPVPQAVFWLIVPKLIREEEIKLIMTICLLIFVFQFLPKVYHSICVMRRMQKVTGYIFGTIWWGFGLNLFAYFIASHVAGGCWYVLAIQRVAACLQQQCEKNNNCNLVSLACSKEVCYHLPLASGMNNLSCEGDLAASIGMQNTPVCLNADGPFPYGIYNWALPVVSSNSLVVKILYPIFWGLMTLSTFGNDLEPTSHWIEVIFSIIVVLSGLMLFTLLIGNIQVFLHAVMARKRKMQLRSRDMEWWMKRRQLPSRLRQRVRQYERQRWAATRGEEETEMVKDFPEGLSRDIKRHLCLALIKQVPLFHNLDDLILDNICERVKPLVFSKGEKVIREGDPVQRMVFVVRGHLKSSQCLSKGMVATSALGPGSFLGDELLSWCLRRPFVDRLPASSATFECVESTEAFSLEAPGLRFITEHFRYKFANERLKRTARFYSSNWRTWAAVNIQLAWRRYKSRTGGTASSPPKQHDSERRLRRYAAMFMSLRPQDHLD >Ma03_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24914331:24915219:1 gene:Ma03_g19630 transcript:Ma03_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEIREEEEDTDQKPGRQTIDSDGREIFHEDHQAWLKLTLGGRTSSADGSSTSSQSKPPSRKMFSCNFCMRKFFSSQALGGHQNAHKRERGASRRPHQSQKMMMGFSLYASSLQSLTVHSHSLVPKQHPEKGMSIVPRCYQINSDIQVTRTPFALDEARGSKWPGSFQKVFQPTDQPSEQQNLDLSLRL >Ma06_p25220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25483103:25483531:1 gene:Ma06_g25220 transcript:Ma06_t25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAYLFHLEKKGILLPAELPLVKLKFSMISARILRALGASLSSTLARSTRSTPWRCKRRGSHSCSRSPPPTAASCGLTVPLKIMCSRKCVVPASVLTPTVAVRVAREDSVATRRPLGRVMIMGRGAGRMGLWPAVAGCGEA >Ma10_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26507763:26513163:1 gene:Ma10_g13880 transcript:Ma10_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAINPSRRVADSGSIPLVSSLHQKSRNSPLVSVALVILGAFLLIGYSFRGSGGFAGNKEAINIGQGVSCTSDVLQAIPILKKAYGDSMRKVLHVGPDSCAVVSKLLKEEDTEAWGVEPYDLEDADSSCKSLVRKTFVRVADIKFPLPYRPKSFSLVIVSDSLDYLSPKYLNKTLPDLARVSADGLVIFTGHPGQQRAKVSELAKFGRPAKLRSSSWWVRYFVQTGLEENETVMKKFEEASSKSSYKPSCQIFHVSS >Ma08_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36402933:36404511:-1 gene:Ma08_g22920 transcript:Ma08_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAEEAEQERAVFDDEDDVSSDSDDSDDDEGEATAPPPSLQPQAAPTAVFSEAVSDLNPSAPSHDAANPNPNPNPSPTFSDASACAPPQNGAIPVQVLPVAPASAPSFDAALFTPSSTSIHVSAPVSSASEERRSLSVVAFDDSRRLFQRLWTDEEEIKILQEFFEFTSRRGTTFASHQYDTGPFYEEIKKQFQFEFTKNQLIEKLRRLKKKYRNCVGRMRSVGKDFAFKSAHERAIYDIARKIWSASTKRDYESDDEDLNTPSNTISNEIITVPINDGSLSCDRKMSRSRRRLRRRMAEEAAATDAAAAAVGGVVVDNSSTVLHTPLALESGIPDIIKETVKNCLSPLFKELINSAAGGMLGPGLNSGASPLSLLPLSLGGGSSAAPGIAVDDKWRKQQILELEVYLKRIELVREHINSTLEELKSARS >Ma09_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1506847:1507039:-1 gene:Ma09_g02050 transcript:Ma09_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHKFFKAATYWRKRLQFPVVLCSLLILLFATGP >Ma11_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7190517:7192616:-1 gene:Ma11_g09030 transcript:Ma11_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGLGIRNWGYYEPSSKGNLGLRLMSSTVEQDAKPLLSNAGFIHRHCSIPEPTAPVDFMSDGWFHHSNDNSKNDYVRDGLMRHSNNNSKNLHILPTSHQHHPTYSVLPEPPTIENVQMLQHLEPQPKDDKVPVTDETVVRNESPLKKTPRSSAQKPPKTKKPKKVTAPKDEVANGSASHGKSGRKSTGMVINGINFDMSRIPTPVCSCTGKQQQCYRWGIGGWQSACCTTSVSIYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLSNPIDLRTFWAKHGTNKFVTIR >Ma11_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23456890:23459573:-1 gene:Ma11_g18380 transcript:Ma11_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPQQSKPLRLYQAWKGNNRFFCGGRFIFGPDVSSLFLSTFLIAGPSITFCYQIIIKILKYEKLDMSEHVVHHPILGLPVLIVTLVITISDLMFLLMTSSRDPGIVPRNTRPPEVDEGFSVTTPSVEWISGRTPHLRIPRTKDVIVNGFSVKVKYCDTCMLYRPPRASHCSVCNNCVQKFDHHCPWVGQCIGLRNYRFFCLFISTSTFLCIYVFTFSWLNIITERNHYHNSIWKSMKGEVLSLVLIVYTFIIVWFVGGLTVFHFYLMSTNQTTYENFRYRYDKKENPYNKGFWGNFKDVFFSKTPPSMHDFRSLVIEEIVEAGSGSYTPNIGMDVMRPKEKIDIELGSKLSMDGNLSIPSILQNLDYSSIEDSANVKGRREDDGLDPFTFPVAQKPASHAPKHSMQKSYDEYGFSGDERASEGSDGSVKDDQVLQSI >Ma01_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27866430:27868476:-1 gene:Ma01_g23390 transcript:Ma01_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDPLLSRCFPDYVVGAIDYRGRPASRSASGRWTSALFIIAVEIAERFAFYGVSSNLISYLTGPLGESTASAAAAINAWNGVAAMLPLLGAFLADSYLGRYRTIVIASLLYMLSLAMLTLSSLLPSLHLAKCGDTTVDPAGCPPSPSSVALFYASLYLMAFAQGGHKPCVQAFGADQFDENHPGECAAKSSFFNWWYLGFSCGVLVAVVVLSYVQDYISWGLGFGIPCVAMLLALLVFLLGTNTYRFYPLEENSPFACMRRTVHLATEEDRGGLDSMDVGCGEGSEGLLRLLPTWATCLTYAMVFGQSSTLFTKQGSTLDRRIGFIYLNLEVPPAALQVFISVSIVGFIPVYDRILVPVARKFTKLPSGITTLQRIGAGLVLSLASMVAAALVEMKRLRTAREFGLVNQPEAVIPMSFWWLAPQYVLSGVADVFAMVGLQEFCYDQVPDALRSLGLALYLSIFGIGSFISGFLVSVIDKASRKKTGESWFSDNLNRAHLDYFYWLLAGLSTLGLLLYLHFAQAYVYKKKGRNASM >Ma07_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10041651:10045576:1 gene:Ma07_g13300 transcript:Ma07_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRRSSVIGSCSFLLVLLLSGVGFSASQPETAAAEATTDRLALLSFKALISDDPSRALESWNTTSLHFCRWRGVTCGNHSGQLRVTTLELESLALAGKISPSIANLTFLQRLHLPQNQLQGPVPQELGLLSRLQHLNLSYNSFRGTVPTTIANLSSLILLDLSYNQLVGAIPHNLSSELQYINLSNNTLVGGIPDNLRSLSKLKLLALSGNNLTGNIPPEIGSLVNLTVLDLSINNLEESIPLEIGNLISLKAVYLDYNKFTGVIPGSIGNLTSLVWLQLSQNQLTGAIPRSLSHCSELQYIFLGNNMLEGEIPSDISSLSNLKVLYLWNNRLTGSIPPEIGNLTNLTNLNLYSNRLEGSVPREIGNLVGLREVLLHDNQLTGSIPSEIGNLVNLRTLALLINGFVGTVPPAIGNLTSLTYLGVSMNNLGGIIPPSIWNLSSLRTLELQSNQFTGSIPPDMGITLPLLEELDINDNQFYGPLPISLSNATNLNNIQLYENRFTGTIPRGLGSLQQLFHFDVTYNQLEARNAAEWGFLDDLANCSSLKYLQLTSNNLSGFLPQSIANFSNSLMWFEIDDNNIAGSIPAEIGNLVSLTSVRMKSNLLTGGIPAALGSLAKLQIIDLSGNRFTGGIPATLGNLTKLTELQLYSNRLQGPIPPTLGNCPLELLDLSFNQLNGTVPKEILSIPTLTRFLNVSYNSLAGSLTPQVGNMKNIGQFDISGNSLSGTIPRTLGDCQQLDSLDMAGNSFQGSIPSSFSQLKGLQSLDLSRNNLSGLIPEFLGNLKFLSYLNLSFNNFEGELPKHGIFTNLTAFSVLGNSKLCGGVQALNLPPCPTPSSSKRHLSRKLVAAISVAGGIICLILLLSLFGIHRWMPKSNKEAHADGIKVPHMMVTYAELLRATNGFSSANLVGVGSFGSVYKGLLNYEEYQLVAVKVLNLQQRGASRSFVAECEALRNVRHRNLVKILTACTSTDYRGNDFKALLYEFMPNGSLEKWVHPEANEQGQTRALSLIHRLNILIDVASALDYLHHHGPEPIVHCDIKPSNVLLDHDMVAHVGDFGLARFLNSNPVEASQRSSTSMIFKGSIGYVAPEYGVANKISVEGDVYSYGILVLETLTGKRPTGETLKDDLSLPRYLEMALPERVAEIIDPNLLLEEGEEPNECTRDRAMERLALSLKIGIRCAKESPPERMQLVDAINELTAIRNAYLELSKQGRRTQLRDEDPVLD >Ma04_p35840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34422516:34429759:-1 gene:Ma04_g35840 transcript:Ma04_t35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLNRHKSDRSGDKVEFRFSNLQAFQVPKGWDRLLLSIISVETGKTIAKSSKATVRGGTCQWTGPEVIWISQDDASKELEESQIKFVLSMGSARSVILGEIVLNLADYLSSEDSGSLLLPLKKCESGTTLQVKIQCATPISKFSHEKNWRETTSHFEGLNTNNFGTSIKSDASDSIFNRSAESLSISHSSDTSCPDELQDMDTSFLASGSHCCSNSGNGSSGAGRTFVSARSSSNGTQCLGRHDSAGSFIGTGPEGELSKSNRSSFNSRVSGSSIHVNQRQDFAAPKSEDGYHTVSLRSRDSSIDIETAEEMEELHDEVKMWERHSRQLKHDIEILKKEISDKSKHQVNLDMELAAAYKEKNSLKQEVEQLKIALKESISKRTNTATDESQEMTFSLKNQDMINMQEELKDELKLLKESNATLTLQLSKSQESNIELVCIVQDLEQTIEKQKLESEKFSQKNNETINEEILQGQNLLDREAEHASKLFLKEEEIFKFEKLSNTPNTQQTNQIELKRSYSDLKREIEVLKAKLQEVEKDQVKLTDENLDLTFKMKELYKDIREEKDSHGFRSSESQGFISKDELELHITKLEQENVQLLEHISGLETHLGCLTNAKQSTQLELENSRSLISDLKEENERKQAEVEMQQMDANQRLRKSQKLLSEALQEYDILKISNSSLQASIDSLIEECSSLQNLNADLKMQKMELHDHVMHLEVELDQSRRKVSEFSNQVKNIEAKLSSLQIGITSKEKSLLSQLENLFQEHKEYKKKLSQTHAMLKKIESDKTSKTENLQRELAHLSEQISSDHREQEQITSDAKEASCANGAESKSEICQMQRENNEYRRMIQSLQDEIDKLMRKTQLMEKELMLINEHKQDDKVCSEVNEKPHGTGTSHVTEVYRESKTQQHGLEFAEVVESNNMLKLQAKRFSTEEQIDDSEILKKIMTENKTMTTDTNKIASLEAELKDMKERYLHMSLQYAQVEAQREELVLKLKSMQKERRWFS >Ma06_p30660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31871163:31876773:-1 gene:Ma06_g30660 transcript:Ma06_t30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCICPKGISPGGDGATNRRKSFKRFVTLSKKKGSAARKTNVDSSGNPKPNSVSKPQDNVVSALPPPTGEGKKKAAGCADRIRKSVGHQRRVTAAAGANRENSQVVSGPNEAEANLGIVDVPNGLPGENVAAGWPSWLIAAAGEALNGWQPRRASSFEKLDKIGQGTYSNVYRARDLVTGKIVALKKVRFVNMDPESVRFMAREINVLHRLDHPNVIKLEGVVTSRVSGNLYLVFEYMEHDLAGLAARPGTKFTEPQVKCYMQQLLEGLAHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLATVFNPDQKQPLTSRVVTLWYRPPELLLGATEYDVAVDLWSSGCILAELLAGKPIMPGRTEVEQLHKIFKLLGSPSDEYWKKSKLPHATIFKPQHQYKRCFADTFKDFPPSALTLLDTLLAIEPENRGTAASALESEFFRTKPLACDPSSLPKYPPSKEYDVKLRDEEIRRQRAEATKGWSETARPGRKETKGMAMPDANMELQKQQAQAYNAQDAERRSGFPIDSAVGTAQNGFYQLGVHPGGYGTTLTKKVNQEEMRVPGPSKSSARVSNDSQLQKQRSYIHLSGADGIPGSVAARTTANSRYNRLDVTESSDKHILDRAASTHKKDDRTVSKESTIVYGTRNKKIQYSGPLMPPGGNVEDMLKEHERQIQQAVRKARIDKVKTKKNF >Ma11_p05290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4043118:4047848:-1 gene:Ma11_g05290 transcript:Ma11_t05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPPRIIMYLKPYVLRMHFSNKYVSTQVVHTPTATVAASASSKEKLLRPVMESTRDVAAASKIGKILGERLLLKGIPAVSVFLKREQKYHGKVKAVIDSVRDAGVKLL >Ma11_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4043118:4047848:-1 gene:Ma11_g05290 transcript:Ma11_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPPRIIMYLKPYVLRMHFSNKYVSTQVVHTPTATVAASASSKEKLLRPVMESTRDVAAASKIGKILGERLLLKGIPAVSVFLKREQKYHGKVKAVIDSVRDAGVKLL >Ma09_p16180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11542672:11560169:-1 gene:Ma09_g16180 transcript:Ma09_t16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATDTPAQQSTAGEVTADQVQALLEAARYDDLEDLVSILSVGISPNSRDSQGRTALHMAAANGHLEIVEYLIQNGADASMKQDLNALNSEKNSPLHWACLNGHIEVVKLLIQGGASVSLLNSHERTPMDEAVSRGKMDVINAINMTVAQLELDDVNIS >Ma09_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11542672:11560273:-1 gene:Ma09_g16180 transcript:Ma09_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATDTPAQQSTAGEVTADQVQALLEAARYDDLEDLVSILSVGISPNSRDSQGRTALHMAAANGHLEIVEYLIQNGADLNALNSEKNSPLHWACLNGHIEVVKLLIQGGASVSLLNSHERTPMDEAVSRGKMDVINAINMTVAQLELDDVNIS >Ma10_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26065056:26067353:-1 gene:Ma10_g13160 transcript:Ma10_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDAISRWIIRALLPLFKQSPALPNSSARARERERERERVMVSREHKRAAFHEKLQLLRSITHSHALNKTSIIMDASKYIEELKKKVDKLNREIACTQNRIDDNTLPMVTVEALQKGFLINISSGKSYPGLLVSILEAFEKLGLSVVQAKATCTDTFRLEAVGGDIQVARMDAQMVKQAVLQAIKTSTKSSDPE >Ma09_p31010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40866502:40867512:-1 gene:Ma09_g31010 transcript:Ma09_t31010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLQLFALFLLAVWVVGSEAHDVRPAEHGLEHQKDPGPASPAMVTFFRGRPEVALPEAQNVSEPAWKLAPPRPQHRDGPSAVLLAAGVACGIVGSALLAAAAVAFVVHARRSGFGLRPGWAFSPARRSGPEVRLGSA >Ma09_p31010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40866502:40868024:-1 gene:Ma09_g31010 transcript:Ma09_t31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLQLFALFLLAVWVVGSEAHDVRPAEHGLEHQKDPGPASPAMVTFFRGRPEVALPEAQNVSEPAWKLAPPRPQHRDGPSAVLLAAGVACGIVGSALLAAAAVAFVVHARRSGFGLRPGWAFSPARRSGPEVRLGSA >Ma09_p31010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40866502:40867363:-1 gene:Ma09_g31010 transcript:Ma09_t31010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLQLFALFLLAVWVVGSEAHDVRPAEHGLEHQKDPGPASPAMVTFFRGRPEVALPEAQNVSEPAWKLAPPRPQHRDGPSAVLLAAGVACGIVGSALLAAAAVAFVVHARRSGFGLRPGWAFSPARRSGPEVRLGSA >Ma02_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28129010:28130872:-1 gene:Ma02_g22900 transcript:Ma02_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEQLDYGDEEDEEEDGYDHPYDDVNVGQGVAGPATPSGNGGLPDRVADGPTGLGVPVVGPDGNRSQSGSGALQPEPKFGSLLPGEGPDGIPHRSNSASDAVRESNGGSIGFRGNSALAPLRSKISLDPKFGDASSSLPSDPGNDGAKNQPLMPPNPPAHATGDRPMTDNSLRTDGGRMDDNGSTLLFVGELHWWTTDVELESVLLRYGRVKEVKFFDEKASGKSKGYCQVEFYDSTVAAACKEGMNGHVFNGRPCVVVYASPQTLKKKMGVTHMNKNQAQNHAQTQGRRLMNEGISRGCRINHQNGDGGTNLGRDGLGGRGRQRYQNRGQGGTGSMRGRGGVSSKGVGWVTGGLQAIFQQGLARPGFGNLAGDLIHSQGLMGAVFEPAYLGRGGPSGGFSGPAFRSMVPPFHAVTPHVNQSFFGCGVAGAGMGMIRSSGMEGHSTGMWTDTSMGGWKVTDNGRRMKESRYGGDNCVSDYGHKEVSHKRTGRSNIPQDKEEDPEHDWSGNFERGHLYKMEKDWDRSDKEHSREEKNGHRDHEQRDQDYNNEDTLDRSSSSSRSQSNFRMAQEVDHGFGLREADYGKRRRIPLEERSPCGCRHPDGWLLLLLTGFAGGF >Ma11_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27000438:27002118:1 gene:Ma11_g23780 transcript:Ma11_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSAAAAAKGGKKKGSTFVIDCAKPVEDKIMDIASLEKFLQERIKVAGGKAGALGDAVTVTRDKSKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEED >Ma02_p17020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24085875:24088532:1 gene:Ma02_g17020 transcript:Ma02_t17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEALRGSNGEERDGSSDNFCINGDFTGETLLEDINFSDLFAGIDDGDMLPGLELDPAETFAEFSVGWEEDSGSPAVAEATDGMLGGVTQDTVVLDGEKYLSHGEEATSETTAEDAASMPSEARSSTPDNGQKSSVAAAAAAKGSQGKRKAKVDWTPDLHRRFVQAVEQLGIDKAVPSRILELMGIDCLTRHNVASHLQKYRSHRKHVLAREAEAASWSQRRQMYATGMGVKRVDVNPWLASTIGFLPPPPPPPPPPVQPFRPLHVWGHPTPEAPVLHMWPRHLSARSPTQPWAPPQPPLPPPPDPSYWHHLYQSGSGEGWVPHTMAKGAPCFPQPLPMVRFAAPPVPGVVPRPMYRAVAPAMTKHPSSQLQLDASPSKESIDAAIGDVLGKPWLPLPLGLKPPSLDGVLVELQRQGVSKVP >Ma01_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4174868:4186603:-1 gene:Ma01_g05900 transcript:Ma01_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSPLDHAVFQLSPRRSRCELFISGNGKTEKLASGLLNSFITHLKVAEQQAAQGGKSIKLEVQRSTNGDSWFNKGTLERFVRFVSTPEVLELANAYDAEMSQLEGARRIYSQGAGDPLAGTLGMFFFFFFVSACYSKCAVDTSTTATVDTTKMELLRTIDLRLTTLEQDLATACARAFSAGFSIENVSELLLFAEYFDADHLNEACKKFILLSQRHPELIGKQQQSKAMESSANGNIRSSSSLDTDMSIDEPELVHGGGGKPPDGDGLQLHNPNVSKPSHFNTTPLSGTSQQAKLIKQRLEGAMLEQPVPPASSANVPAQSGGASFRRLSVQDRINLFEGKQKEQSASSRNISTAGVINKVLAGKGEQQRLPSDVSEKSVLRRWSGASDMSIDPSSSSSKSFNDQKESGSDVGTPTSANLQLQSMSKTEEAEVSGFEDTATSQCWLDLEKSTTDTSSYSLLLSQSQFKASPSTGDCTDDEDIKFSLNKGKQFLERGQDACYLSASMSRMKYCGSGDQDASMVHQKGFLGTSSNAGLKDYAVCHIQLKAEDQVQIEDQKTLLDISQPVLCVTKQVRSRDQESLQTETREVPSRATSAGIKDQPKVVNQFWTFEKRVNPLVKPKGPSDSPVQFGNSSVLSSENNLLAYQSEGKTSPSRVEEEGGGNAAASHATFGSSLVKTKEDTDHQGINWHQAVNPERCVDEIADTKINCMPAVPLRKAKEMLEMVEPPSAHLAGQLQVARPSKGNQELNDELRMKANELEKHFAEHKLRTLSEQTASSRRNRPVNVQDKHVPMAVEKTLAVALPGQLPETNPLRETSNSEIDFDANFLLKMVSNEENDSHINQALGTLSPSDDSRGKFYYKYMQKRNAKLQEEWGIKGAQKEAKMKAMHDSLDCSQAEMNSIYSGSADRQCSRYRHRRVEKLRYFSNNPALRSENQQAVKSVQEEEDLEEVREQVDNGQDISFNDLFGDNASEITNLMELLSMKTLSSSTPWTEVKPSVKSIKSVPIKCRTQTENPLAESLPNFSDFIKENAKPFTDNNRVSTREKRKIFSRSKIIIEAANLVKEEKPQRSHSMRKRTARPSELKDLSSLNSDTADLTPLGVSKGPTNANFIDKVQRSREAKSLIRKEKVTGLGLGANIHKPKTCKVSKVKKNGLVFEGIVHREDSSSLVKDVLETEISLAKGDAKAVDFLILDCEKPRNNQGYNSSDIFGSENDVQRSLSQADYDTVPDSPIFSTSTRNEQESPGESPHSWDLHLHQSFSGVHEASEIHASIDSPVGSPASWNLHPLNQTMETDASRMRKKWGTAQMPMIVANASQQSCMDVTEGFKRILKFGRKSKGVESQVTNWVSALTASEGGDETIDGHDLAAQPENDLWKPKMEHALPHDRFTDGEIFPEQALSLRSSIPNPPANFKLRKDNLSGSSLKAPRSFFSLSSFRSKESKPR >Ma01_p08840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6342083:6345686:1 gene:Ma01_g08840 transcript:Ma01_t08840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSNTSIWNRSGLHEGSNNKNTHGTLKVSKISRTGSATLDTRSPSPMADLSSPMSKPRVSVNRSPKSANSKASSKKSSTPDKLPQAAKASELQEKLDAVEMDLKKAKEQLASVGLEKMKAIEELNKVKRSADEVNEKFQEATVAKKKAEETLEIEKFRFNELEQASKKADRKREEEFQKELENIQIQHNQDVSAMNSMTQELQRIKMELIDVTNAKEIALVQATDAKKTAEIYEENVELLSEEVSQLKALHDSKLDSVNKEAADTVKKLDAEVTTLKFELERAKTAEEKLVQMEALVEKLQLEVIDARKGELDVAQLVDEWRKKAEMLKIDLEEAYQSEKSASDSLAAMMVKLEESKSLLDDAESEISTLRGTINSLEIEVAKQNIDLEESDRQLDLAQQDALNIGKTVELLKMELHNLDEEKLRAINSEKVTASKIKSLIEENNKLMDDLKNSKDEEEKAKKAMEDLSSALQAMSIEAREKDERLLKTQAEIEESQADIEQLNIALRNTKERYEVMLDEARYEVVCLKKIVERFETEASNMNNEWDEKEFSFCNKIKELEEETVTLKVEMSKTVDLQKLANQKTQVVKEDVSEMLTKLRLVESGANSAYEEAEASKYESLQLKETLLDKETELQSITQENDDLRIQEAAATHKAKELSAALKQAISDKTEENCEISDSDEYNLEKSDSEVPYKNLEDHHKEDHKQIEKRKETENGKEDFVEVMENDLSSERNHEDESTDDDLQLKMDGSSNNLTNGMTGSIDNGTTLTTKQHQQQKKKKPLLHKFGNLLKKGNH >Ma01_p08840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6342083:6345686:1 gene:Ma01_g08840 transcript:Ma01_t08840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSPMSKPRVSVNRSPKSANSKASSKKSSTPDKLPQAAKASELQEKLDAVEMDLKKAKEQLASVGLEKMKAIEELNKVKRSADEVNEKFQEATVAKKKAEETLEIEKFRFNELEQASKKADRKREEEFQKELENIQIQHNQDVSAMNSMTQELQRIKMELIDVTNAKEIALVQATDAKKTAEIYEENVELLSEEVSQLKALHDSKLDSVNKEAADTVKKLDAEVTTLKFELERAKTAEEKLVQMEALVEKLQLEVIDARKGELDVAQLVDEWRKKAEMLKIDLEEAYQSEKSASDSLAAMMVKLEESKSLLDDAESEISTLRGTINSLEIEVAKQNIDLEESDRQLDLAQQDALNIGKTVELLKMELHNLDEEKLRAINSEKVTASKIKSLIEENNKLMDDLKNSKDEEEKAKKAMEDLSSALQAMSIEAREKDERLLKTQAEIEESQADIEQLNIALRNTKERYEVMLDEARYEVVCLKKIVERFETEASNMNNEWDEKEFSFCNKIKELEEETVTLKVEMSKTVDLQKLANQKTQVVKEDVSEMLTKLRLVESGANSAYEEAEASKYESLQLKETLLDKETELQSITQENDDLRIQEAAATHKAKELSAALKQAISDKTEENCEISDSDEYNLEKSDSEVPYKNLEDHHKEDHKQIEKRKETENGKEDFVEVMENDLSSERNHEDESTDDDLQLKMDGSSNNLTNGMTGSIDNGTTLTTKQHQQQKKKKPLLHKFGNLLKKGNH >Ma01_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6342083:6345684:1 gene:Ma01_g08840 transcript:Ma01_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSNTRSGLHEGSNNKNTHGTLKVSKISRTGSATLDTRSPSPMADLSSPMSKPRVSVNRSPKSANSKASSKKSSTPDKLPQAAKASELQEKLDAVEMDLKKAKEQLASVGLEKMKAIEELNKVKRSADEVNEKFQEATVAKKKAEETLEIEKFRFNELEQASKKADRKREEEFQKELENIQIQHNQDVSAMNSMTQELQRIKMELIDVTNAKEIALVQATDAKKTAEIYEENVELLSEEVSQLKALHDSKLDSVNKEAADTVKKLDAEVTTLKFELERAKTAEEKLVQMEALVEKLQLEVIDARKGELDVAQLVDEWRKKAEMLKIDLEEAYQSEKSASDSLAAMMVKLEESKSLLDDAESEISTLRGTINSLEIEVAKQNIDLEESDRQLDLAQQDALNIGKTVELLKMELHNLDEEKLRAINSEKVTASKIKSLIEENNKLMDDLKNSKDEEEKAKKAMEDLSSALQAMSIEAREKDERLLKTQAEIEESQADIEQLNIALRNTKERYEVMLDEARYEVVCLKKIVERFETEASNMNNEWDEKEFSFCNKIKELEEETVTLKVEMSKTVDLQKLANQKTQVVKEDVSEMLTKLRLVESGANSAYEEAEASKYESLQLKETLLDKETELQSITQENDDLRIQEAAATHKAKELSAALKQAISDKTEENCEISDSDEYNLEKSDSEVPYKNLEDHHKEDHKQIEKRKETENGKEDFVEVMENDLSSERNHEDESTDDDLQLKMDGSSNNLTNGMTGSIDNGTTLTTKQHQQQKKKKPLLHKFGNLLKKGNH >Ma01_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11806577:11813234:-1 gene:Ma01_g16300 transcript:Ma01_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MGRLNRRSPDALIFLIFLVFLASLTSAWKKDEFRSCRQTPFCKRARGRAPNSLPSFSVADVSLSDGAVSARLVPAPTLRQVTDDDPSAADGDDASSRSLLLRLSVYRGGILRLEIDEDPSSAPTTKRRFRLPDVLLPNLDDLRLWLSRLSPDGASATSFYLADGFEGVLRHDPFQIVVRRAGSGGEPVLSLNSHGLFDFEQLRAKKDDENWEENFRSHTDSRPHGPQSISFDVSFHGADFVYGIPEHASTSLSLRPTRGPGVDESEPYRLFNLDVFEYLHDSPFGIYGSIPFMLSHGTRSTSGFFWLNAAEMQIDVLAPGWDDPAAPNAGRVDTFWMSEAGVVDAFFFVGPGPKDVLRQYASVTGTQAMPQEFAVAYHQCRWNYRDEEDVAAVDAGFDEHDIPYDVLWLDIDHADGKRYFTWDRVLFPHPEEMQNKLAAKGRHMVTIVDPHIKRDDSFYLHKEATEKGYYVKDASGKDFDGWCWPGSSSYPDMLNPEIREWWAEKFSLKEYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDAIHMGGVEHRELHNAYGYYFHMATSNGLLKRGNGKDRPFVLSRAIFAGSQRYGAIWTGDNSADWDHLRVSVPMILNLGLAGMSFSGADVGGFFGNPENDLLVRWYQLGAYYPFFRAHAHHDTKRREPWLFGEQNTALMREAIHTRYSLLPYYYTLFREAAVTGIPVMRPLWLEFPSDKETYDNGEAFLVGSSLLVHGIYEKDQKSASVYLPSGASWFNLRNGVKFDGGVSHKLAVSEDSIPSFQRAGTIIPRKDRFRRSSTQMVNDPYTLVIALNGSLAAEGELYIDDGKSYDFEQGAYIHRRFIFADRKLTSIDIRPSNVGDKKFSTDCTVERIILLGLPSGAKKAVVEPGNHETNIELGPLTLRRASPPVALTIRKPNVRVADDWSLRIL >Ma11_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23285136:23286785:-1 gene:Ma11_g18150 transcript:Ma11_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGSRQSTEDTKAAIEKSERSMTTKLSCCIRRKEEQFLDRTRHVRRFLDFLKAQPSKDWFLRFGSVGRSSPFTFVKRIGSQRGGSSPPDCAPVHGCRPLRVPFVRRINWEGLITYCKNWVKHPMNMALLVWLFFVAVGLFVLFLFMTGLLDHTIPKSSQRKRWTEITNQIVNALFTIMCIYQHPKLFHHLVLLCRWTSTDVAELRKVYSKNGAPRPNEWAHMMLVVLLLHTTCFSQYGLCGLYWGYSSDSRPTWPQILFIVLGIAAPVAAGIYAVYGPLGKKQESETDVESRQMAVAAAPDTDLLKTRNKSVVITKPEWVGGLFDCWDDPTVASLSVFCTLCVFGWNMERLGFGNMYVHIVTFLLLCVAPLLVFSVTAINIDDDIARYTTGITGILLCFCGLLYGGFWRIQMRKRFKLPANPFCCGYPAMTDFMQWLFCWSCSLAQEVRTGNLYDIEEDSFHQKGGEDESSKVHPLPREGGMGPLMESSSLAHSHSNPHTGKSNSKPDSNGTATNCVRINIDEAACGGEKDDSMTPPLQPLVQLRTP >Ma02_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27862900:27865775:1 gene:Ma02_g22560 transcript:Ma02_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLQTLGEPNQPVLKRLQEPASDIGLEPICAMVCGVYTSRLLSMDLSNSIMEALPQNYVEQVNFEDTCKGFLDFLCTLFFWEMVILHESLVNPTWVIASRVISPMLLYYILEYAVSGCWEEIKCTLVSVTLGVSLMTKRGCLDEDLKMIAEFLLRSAEIASFALRLYGKLREFPEDLENNMFESFSSQFAMPGFVIQ >Ma09_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13444930:13451748:-1 gene:Ma09_g17850 transcript:Ma09_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MAATSPTPLAAPQLPFSPRRPPPRPISKELHFNHDLSATKKLQAGVDLVANLVGVTLGPKGRNVVLGNKYGPPRIVNDGETVLKEVELEDPLENVGVKLVRQAGARTNDLAGDGCTTSIILAQGLISEGVKVLAAGMNPIQVARGIEKTAKALVSKLKLMSREIEDNEIANVAAVSAGNDHAVGSMIAEAVRRVGRKGVVRIEDGRSTENGLQIVEGMQFDRGYLSPYFVTNRADMLVEFNNCKILLIDKKITNAREMLKILDGAVKENFPLLIVAEDFEPEALAPVIRNKLKGVLKAAAIKAPSFGERKTDYLNDIAVLTGGTVIRDDMGLTLDTVGKEVLGSSVKVIIGKDSTLIVTDGSTQHAVEKRVAQIRSLEQYSEEKFQKKILNERIARLCGGIAIIQVGAQTVVELKDKKLRIEDSLNATKAAIEEGVVVGGGCTLLRLSTMVDGIKDYLDNEEQKIGADIFKRALSYPAKLIAKNAGVNGDVIVNKVLSNDDIRYGYNAAMNCYEDLVAAGILDPSKVVRCCLEHAAATAKTFLTSDLVVVDVKGTETISMRMPPRRPMPMSNMMQPPLPASKFMRMPGIAL >Ma07_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5004080:5005769:-1 gene:Ma07_g06910 transcript:Ma07_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQGAGSSRCYLLDVPPVSRPSVAAHQMELGYPKESLQGLGFVVPPHGGPFLLSTDAVPFTNPTATCCEKTISDPWSNEEVGTSDSKDPNDQTSSSKDGDNSCRWRGSSLEKGKVKVKRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHTHSPCDDENSSEHECFSSF >Ma11_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27632808:27636424:1 gene:Ma11_g24760 transcript:Ma11_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSMPLLLPPSPLPTLFIILVLPLSLLLLRLALQRNLRARTHDMPPSPPKLPFVGNFHQLGSLPHRSLHALSQKHGPLMLLRLGQVPTLVVSSPDGARDVMRNHDQVFASRPALKPAKVLFDGTTDLALAPYGDSWRQLRKICAFHLLSSIRVQSYRLIRQEEVGFMIRKISSQASPTTSVDMSEIFYSFANDMLCRVVSGKFNREDGRNVLLGELIREFSVLLGKFYVGDYFPWLGWLDVLFGSMERVNKSKKRWDDLLDGVIQEHEDRSAAEGDDGEKDFVDVLLSLREDPGGNHALLTPQTIKALLMDIFSGGTETSYVTLECAMAELVRSPRVMAKLQHQVRGIASRTKGTVKEEDLDEMAYLKAIIKEVLRLYPPAPF >Ma01_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13494409:13498076:1 gene:Ma01_g18190 transcript:Ma01_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAAQEKEEEEEKETEMSGGAGGAVAETLLQVVAEVSALPESRGPLRQMCCDLARRVKLLAPLFDELRDDAVSLGPAELRGLESLYAALAGAKETLRSVNDGSRLYQVLRPQKFQRLFLKATESIEEALGEISLDKLNVSDEVKEQIELLHAQLHRAKESMDLRDLQLSRDLNRALNEGHCDPTILKRISEKLQLKNKNDIMKESVALHEMVISSVGEPDVSVEEMSSLLKKLKDCSLLEDPTSASIERKTSFAKHRSPVIPDDFRCPLSLELMKDPVIVSTGQTYERSYIQKWLDSGHKTCPKTQQYLSHTAVTPNFVLKSLIAQWCDANGIELPKTQGGHQDRKPANNSDISRDGINILLQKLANGNQEAQRAAAGELRLLAKRNADNRISIAEAGAIPLLKQLLSSPDPRTQEHAVTALLNLSINSDNKGIIVKEKAIPEIVKVLESESMEARENAAATLFSLSTVDQNKALIGEAGAIPALISLLCQGSPRGKKDAATAIFNLCLYPGNKVVALQAGIVVHLMTMLDDPGASLVDEALAVLAILASIQEGKVAIAHSDPTPVLLKLMKTGSAQVRENAAALLFSLCSGVEENLEAAKEGGAEEVLKELVETGTERAKRKAGSLLGLICQAAEASVSDSAKT >Ma06_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22974948:22977157:1 gene:Ma06_g24450 transcript:Ma06_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCARCVVVDARHHMLGRLASILAKELLNGQRVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKIKRMVIPDALKVLRLQPGHRYCLLGRLSSEVGWNYYDTVKELEAKRKERAKVAYERRKQLTKLRLKAEKAAEEKLGSQLDILLPLNY >Ma11_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26544673:26545555:-1 gene:Ma11_g23010 transcript:Ma11_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEEMRKGPWTEQEDSLLVRFVRLFGERRWDCLAKVSGLNRTGKSCRLRWVNYLHPDLIHGRMTPEEEHLVLDLHAKWGNRFGLQPYSSSSDYMNTQCTIRSWSRIARYLPGRTDNEIKNYWRTHMRRKAQERKTSASPSSSSSSSSSSSSSCSPAAAAQGVVQSEGVKVYSMDEIWDEIAAADSVSGLTAEECGLACPPPLPCPMWEDCSESLWKVDDEYKMATVLPVDDLMISDFHYSD >Ma06_p09270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6525614:6526501:-1 gene:Ma06_g09270 transcript:Ma06_t09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLLGLLRVRVARGVNLAVRDVTTSDPYVVLRMGKQKLKTRVIKKNTNPVWNEDLTLCIEDPSLPVRLQVFDKDRFTKDDAMGHAEFDIRPFLEAVKMNPQGVPEGTIIRKVVPCRQNCLAEESVVRWCHGQVTQDLALRLKDVERGEVELQLQWVDIPGAKGL >Ma06_p09270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6525614:6526501:-1 gene:Ma06_g09270 transcript:Ma06_t09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLLGLLRVRVARGVNLAVRDVTTSDPYVVLRMGKQWFSLRFFFSFFFILLQKLKTRVIKKNTNPVWNEDLTLCIEDPSLPVRLQVFDKDRFTKDDAMGHAEFDIRPFLEAVKMNPQGVPEGTIIRKVVPCRQNCLAEESVVRWCHGQVTQDLALRLKDVERGEVELQLQWVDIPGAKGL >Ma03_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22614121:22614996:1 gene:Ma03_g17380 transcript:Ma03_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVFQLGPGMLPMNTAYVAWNNLGDRTAQPMLFNYIFNNARATAAADFLLCNSFQELEAPVFAFSPSIIPIGPVRAAHRPGKLVGHLWPVDTTCTAWLDGKPPGSVAYVAFGSFTILDRRQFHELALGLELSGRPFLWVARPDLTDDMADAYPPGFIDRVACRGKMARWAPQDQVLAHPAIGCFISHCGWNSTMEAISNGVPLLCWPSFGDQFVNQTYVCDDWKTGLKMVADESGIITREEISCKLQELLGGVEVKNRAMALMEAALRSATNGGFSFQNLTHFVAAMKQ >Ma01_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5673262:5678462:-1 gene:Ma01_g07880 transcript:Ma01_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASSLALSPSPAKLRPPLPSLGFLSSVAISACRSFQPSPRARISRRTLSIRALTLDFLGSFFEGGGDEEGGGPSAFSAAAPLGDKEEPQCPPGLRRYETMAVLRPDMTEDERLALIQRYEELLVAGGGLYVEVFNRGVIPLAYSIKKKNKAGESNTYLDGIYLLLTYFTKPESMALLESRLKTDDDVIR >Ma01_p07880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5674238:5678462:-1 gene:Ma01_g07880 transcript:Ma01_t07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASSLALSPSPAKLRPPLPSLGFLSSVAISACRSFQPSPRARISRRTLSIRALTLDFLGSFFEGGGDEEGGGPSAFSAAAPLGDKEEPQCPPGLRRYETMAVLRPDMTEDERLALIQRYEELLVAGGGLYVEVFNRGVIPLAYSIKKKNKAGESNTYLDGIYLLLTYFTKPESMALLESRLKTDDDVIRSAIFKIRKRKY >Ma05_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32775084:32775807:-1 gene:Ma05_g21050 transcript:Ma05_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYFTFNILGGLDSDGKGCVFTYDAVGSYERVGYSAQGTGAGLIIPVLDNQLKSPSPLLLPAKDAVTPLLEMEAVDLVKDVFASATERDIYTGDKVEILVINASGIRQELMELRKD >Ma09_p15910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11270859:11284024:-1 gene:Ma09_g15910 transcript:Ma09_t15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDSSIMDPSRAASTPSHGPPDDGGGPAPEFPAGLRVLLVDDDPTCLKILDRMLRKCLYDVTTCSRAALALSILREKKGWFDLVLSDVYMPDMDGFKLLEHIGLEMDLPVIMMSADDHKDVVMKGVTHGACDYIIKPVRMESLKNIWQHVVRKKRNELKELENSGSVEEDDKHKRSLDDGDNASSGCVGNWKNAKRKKEEKDEEEEEMVEEHDDSSSTKKPRVVWSVDLHQQFVTAVNQLGVDKAVPKKILELMNVAGLTRENVASHLQKYRLYLRRVSVPQHHGRFDAHFASAQEVTYNNSIGSVSGYDFQALAASTQLLPQNIAALHSGPRSATNTGMGVSAIDQLSFLSSGKQVTNSSNIISSSVQQINSRQMSDVHGPSNNIELNQLGQSQQVVQPFGSINQQFGEGTTNLFSLPSSELTNFSLPRGTINEQLNNSLARHMNQHGQQFPISQQRSNMDITLQGLPRSRGQLLTETACHDSRLVSALLQQVPPNNIPNHVSGRLETSANMLTSLPANYLNASCSTSPQALYPDVHNSFITGLPGSCYRCVSSKGATPQTGSVKALSNSSNLKGMDNHVPNFDIIGEHHQNKSQDWKLQNVNLPYQSGQNLGHGQSTIDCYSSLMGNQDSTSTAKNGFNGNAGTVTKNIISTRSDIDMEKRECIVQHKTNLVENCNRVNYDGIPDLSYQDILFEDNIITNELMNVVRKQQQEGIGQVDNEFNFNPPV >Ma03_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24956994:24961143:1 gene:Ma03_g19700 transcript:Ma03_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEESEVMAQLLGGSQSFAGDHDQQQQMLWSDHNADSYYCAATSYPDPYCWPQGNCSRSSSTDYYYFSDPHMVPAIGVSSTPMSFSIGGERIDTPSFPVGAHHQFGAAVCVTEEASGDEVVDSLAKPPPPPPAHASQAIKRRLHGGVIEVAANTEEDDTQLQSPKKKARASAPMPKKGKNAQSKKAQKSGGSCNEEESNGDMNPQSSCCYTSEDDSNGSQELKGAAASLNSNGKTRAGRGSATDPQSLYARKRRERINERLRILQTLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMNLGIDLNIASKSQQ >Ma09_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:363457:363760:1 gene:Ma09_g00450 transcript:Ma09_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFNSFFLQRAPQFAESKSYLSPNALDRSGC >Ma07_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31582523:31596359:-1 gene:Ma07_g24150 transcript:Ma07_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a1 [Source:Projected from Arabidopsis thaliana (AT2G28520) UniProtKB/Swiss-Prot;Acc:Q8RWZ7] MKLFDDLPSMDHLRSEDMSLVQVIIPVESAHRAVSYLGELGLLQLKDLNEDKSPFQRTFVNQVKRCGEMSRKLRFFGDQISKAGITASPCPASQQVIDLEELEVRLSEHEAELLEMNANSEKLRQTYNELLEFKLVLLKAGGFLVAAQNHAVPAETELVESIYSKKDDESLFLLEQSVQPEPSSKAGLRFISGIICKSKELTFERMLFRATRGNMFFNQAPAGEQVMDPVSGEMVEKTVFVVFFSGEQAKNKILKICQAFGASCYPVPEENSKQMQLTREVSLRLSELEATLDAGNRHRNKALASIASQLWNWIIMVKKEKGVYDTLNMLNFDVTKKCLVGEGWCPTFAKPQIKEALEHASIHSNSQVGIIFHDMDSFESPPTYFRTNWFTHAFQEIVDAYGVARYQEANPAVYSVITFPFLFAVMFGDWGHGLCLLLGSLILILREKKLGSQKLGSFMEMAFGGRYVVLLMALFSIYCGLIYNEFFSVPFQIFGKSAYKCRDSSCSDAHTAGLIKYRDPYPFGVDPRWRGSRSELPFLNSLKMKMSILLGVSQMNLGIILSYFDAKFHGSSLDVRYQFMPQMIFLNSLFGYLALLIVIKWCTGSQADLYHVMIYMFLNPTGDLGENKLFWGQKELQILLLLMAIVAVPWMLFPKPFILRKLHTERFQGRTYGILGTSEMDVDHDPDSARRQHHEDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAWGYDSVIIRIAGLAVFAFATAFILLMMETLSAFLHALRLHWVEFMGKFYHGDGYKFKPFSFKLLADEED >Ma08_p26610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39130914:39134681:1 gene:Ma08_g26610 transcript:Ma08_t26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMFGGTTQKCQACQKTVYLVDQLAADGRIYHRACFRCHHCKGTLKFSNYSSIDGVLYCKPHYDQLFKMTGSLDKIFEGAPKSSKIDRSIGQQGIANNRYSTIFLGTQDKCVECKKTVYPIEKVAVDGNSYHRPCFRCSHGGCTISPSNFITHEGRLYCKHHHAQLFMTKGNFSSFSKVEEKNEDITLPADKVLVEGVQVA >Ma09_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3359063:3361916:-1 gene:Ma09_g05220 transcript:Ma09_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEASWESLYAIHLGRKVLENRPVSEGNEGVEEFTVSLDSILPYDILERIFSFLPMASTIRATSVCKRWYHIIHSKRFIRANKLPQMPWYFMFTCNEAAAGYAYDPVLRKWYNIDLPCIEKSNWFVSSSHGLVCFMDNDSRSRIFVCNPITKDCKRLLEPPGAKFPDYSSLAMSVDRNSHHYTIVVVKSKQVPGDFSVWDFSIHIYRSESKSWVSSIKEVLSGWRGGDECVICNGVLYCLIHSTGVLGNADLRHSLIMYDLSARSSHASLMCMPIPVPCSLTCGRLINLKERLVMVGGIAKYDRPDIIKGIGIWELNKREWQEVARMPHRFFQGFGELDDVFASSGIEDLIYIQSYGATALLVFDMSQKQWKWSVKCPVTKRFPLQLFTGFCFEPRLEAVS >Ma09_p05220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3359063:3361916:-1 gene:Ma09_g05220 transcript:Ma09_t05220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEASWESLYAIHLGRKVLENRPVSEGNEGVEEFTVSLDSILPYDILERIFSFLPMASTIRATSVCKRWYHIIHSKRFIRANKLPQMPWYFMFTCNEAAAGYAYDPVLRKWYNIDLPCIEKSNWFVSSSHGLVCFMDNDSRSRIFVCNPITKDCKRLLEPPGAKFPDYSSLAMSVDRNSHHYTIVVVKSKQVPGDFSVWDFSIHIYRSESKSWVSSIKEVLSGWRGGDECVICNGVLYCLIHSTGVLGNADLRHSLIMYDLSARSSHASLMCMPIPVPCSLTCGRLINLKERLVMVGGIAKYDRPDIIKGIGIWELNKREWQEVARMPHRFFQGFGELDDVFASSGIEDLIYIQSYGATALLVFDMSQKQWKWSVKCPVTKRFPLQLFTGFCFEPRLEAVS >Ma00_p03900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:32425375:32430413:-1 gene:Ma00_g03900 transcript:Ma00_t03900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMEFFEELLLTALISVLLAFLIGKFAASAAVKPEAVPLARVAGPGMDSDPIEEEEETEKSERKSSSGNGAGSDRPKTGVFEVVEERLLEERPERSDEVSGFDLNRENIADKLFSEVDLTRSEESSSKKEKVDNEVEIGRINDDSRRQQGGSVKGKEDGKKAVEKVVKLGREDAVDKEDGGEVGSLLHGEDEWEGIERSELEMLFGVASEFVGSKKGGDAVSKLSNEVQMQMYGLHKVATEGPCHEPQPMALKVSSRAKWNAWQKLGNMDPEAAMGQYISLLNESIPGWTAKKYGEEAKGHVGSDPRVVEASRIDQLDSKFSQSNSEIEVLVEDSSAIEGVADDVNTGVKLSKQVFNWISVDVTGLTKIFLFNLVSPPRVAF >Ma00_p03900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:32425375:32430413:-1 gene:Ma00_g03900 transcript:Ma00_t03900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMEFFEELLLTALISVLLAFLIGKFAASAAVKPEAVPLARVAGPGMDSDPIEEEEETEKSERKSSSGNGAGSDRPKTGVFEVVEERLLEERPERSDEVSGFDLNRENIADKLFSEVDLTRSEESSSKKEKVDNEVEIGRINDDSRRQQGGSVKGKEDGKKAVEKVVKLGREDAVDKEDGGEVGSLLHGEDEWEGIERSELEMLFGVASEFVGSKKGGDAVSKLSNEVQMQMYGLHKVATEGPCHEPQPMALKVSSRAKWNAWQKLGNMDPEAAMGQYISLLNESIPGWTAKKYGEEAKGHVGSDPRVVEASRIDQLDSKFSQSNSEIEVLVEDSSAIEGVADDVNTGVKLSKQVFYMFAVFNWISVDVTGLTKIFLFNLVSPPRVAF >Ma00_p03900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:32425375:32430412:-1 gene:Ma00_g03900 transcript:Ma00_t03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMEFFEELLLTALISVLLAFLIGKFAASAAVKPEAVPLARVAGPGMDSDPIEEEEETEKSERKSSSGNGAGSDRPKTGVFEVVEERLLEERPERSDEVSGFDLNRENIADKLFSEVDLTRSEESSSKKEKVDNEVEIGRINDDSRRQQGGSVKGKEDGKKAVEKVVKLGREDAVDKEDGGEVGSLLHGEDEWEGIERSELEMLFGVASEFVGSKKGGDAVSKLSNEVQMQMYGLHKVATEGPCHEPQPMALKVSSRAKWNAWQKLGNMDPEAAMGQYISLLNESIPGWTAKKYGEEAKGHVGSDPRVVEASRIDQLDSKFSQSNSEIEVLVEDSSAIEGVADDVNTGVKLSKQGLTKIFLFNLVSPPRVAF >Ma06_p08470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5992408:5998547:1 gene:Ma06_g08470 transcript:Ma06_t08470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINTGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFFVNLLGKWKESEYSGQSIPVGGIAYYITAPSSLADMAANPFHALFYIIFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >Ma06_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5992378:5998547:1 gene:Ma06_g08470 transcript:Ma06_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINTGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFFVNLLGKWKESEYSGQSIPVGGIAYYITAPSSLADMAANPFHALFYIIFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >Ma09_p25900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37257641:37261148:1 gene:Ma09_g25900 transcript:Ma09_t25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQWKPSCSLRSPRAPLLTPSSSFPSSSASMPLLLHMEKGRSGLSYRGRRFVVRSSSGAGSGSLESGWQRWWRPPEKGLGTSDVSLSDVLWPSAGAFAAMALLGRLDQVVASRGLSFTIAPLGAVCAVLFTNPNAPAAQKYNVFVAQIGCAAFGVLALAIFGPGWLARGAALAASMAFMIATGTTHPPAASLPILFIDGAKFHHLNFWYALFPGAVGCVFLCLIQEMVTFLKRNCKF >Ma05_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8757023:8759877:1 gene:Ma05_g12050 transcript:Ma05_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPQLKKRNMAAATGSPSSGSAVTLILLLLLVGGAFIPGRWIRDTSTMRETSPIIMAQNLIINPIVDHQPPPRPSQSLPTIPKAEQAPAATTNQTTMNQANPIVDLRAPPLQPLLPSIPEPQQAPAAIVPPFACPTGNQTGNEICRSANPTLPIPAPTLSLPHSSSCPDYFRWIHEDLRPWKSTGITRKMVERARRTANFRLVVLNGKAYVQRYCHSFQTRDVFTIWGILQLFRRYPGRIPDLDLMFDCVDWPIVRASDYRRRNASAPPPLFRYCGDESTLDIVFPDWSFWGWAEINIKPWEVLRSELKDGNGRVRWMDREPYAYWKGNPAVATTRQDLLRCNVSEAHDWNARLYAQDWLKESREGFKESDLANQCIHRYKIYIEGSAWSVSEKYILACDSLALLVTPKYYDFFTRGLMPLQHYWPIRDDDKCRSIKFAVDWGNSHKQKAQAIGKEASNFILEKVKIDYVYDYMFHLLNEYAKLLRYKPTIPEGSVELCAESMACSAKGLEKKFMTESLVGSANDSSPCMMPPPYSTSEVRMIAKRKANAMKKVEMWEQRARESQDNKV >Ma06_p20440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14537945:14541802:-1 gene:Ma06_g20440 transcript:Ma06_t20440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVISFHVISPPSISCPKRTQPFAVLPPSFLPSLPTKKQKKKKKRERGMEVEAELPEDFRCPISMEVMTDPVILSSGHTFDRASIQRWLDSGNRTCPLTNLPLPSSPSLIPNHALRSLISNFLSLRPAAAVTDDALLLARLSFPSDTPSLAAVLRLAQHGRHAARRLLADSGAASVLLRHAAAPDRPDLQELSLHALLHLSLDGDDARVGLVAEGALDPLVAALRGGSGSSAAALAATLLTSLAVVEVNKATIGAHPAAIPRLAALLREGGGRERREAATALYELCKFAENRRRTIRAGAVPPLLRLVSEGSERAVRVLGLLAKCREGKEEMRKLSGFVRVLAEVVRAGSPRAIEHALLVLNLVCSDSKVMAVEATEEGVLDLCSILSGDVNLKTSKNAMELALILKKGLFVGYS >Ma06_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14537945:14541802:-1 gene:Ma06_g20440 transcript:Ma06_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVISFHVISPPSISCPKRTQPFAVLPPSFLPSLPTKKQKKKKKRERGMEVEAELPEDFRCPISMEVMTDPVILSSGHTFDRASIQRWLDSGNRTCPLTNLPLPSSPSLIPNHALRSLISNFLSLRPAAAVTDDALLLARLSFPSDTPSLAAVLRLAQHGRHAARRLLADSGAASVLLRHAAAPDRPDLQELSLHALLHLSLDGDDARVGLVAEGALDPLVAALRGGSGSSAAALAATLLTSLAVVEVNKATIGAHPAAIPRLAALLREGGGRERREAATALYELCKFAENRRRTIRAGAVPPLLRLVSEGSERAVRVLGLLAKCREGKEEMRKLSGFVRVLAEVVRAGSPRAIEHALLVLNLVCSDSKVMAVEATEEGVLDLCSILSGDVNLKTSKNAMELALILKKGLFVGYS >Ma06_p20440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14537405:14541802:-1 gene:Ma06_g20440 transcript:Ma06_t20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVISFHVISPPSISCPKRTQPFAVLPPSFLPSLPTKKQKKKKKRERGMEVEAELPEDFRCPISMEVMTDPVILSSGHTFDRASIQRWLDSGNRTCPLTNLPLPSSPSLIPNHALRSLISNFLSLRPAAAVTDDALLLARLSFPSDTPSLAAVLRLAQHGRHAARRLLADSGAASVLLRHAAAPDRPDLQELSLHALLHLSLDGDDARVGLVAEGALDPLVAALRGGSGSSAAALAATLLTSLAVVEVNKATIGAHPAAIPRLAALLREGGGRERREAATALYELCKFAENRRRTIRAGAVPPLLRLVSEGSERAVRVLGLLAKCREGKEEMRKLSGFVRVLAEVVRAGSPRAIEHALLVLNLVCSDSKVMAVEATEEGVLDLCSILSGDVNLKTSKNAMELALILKKGLFVGYS >Ma04_p01570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1411258:1414466:1 gene:Ma04_g01570 transcript:Ma04_t01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVQLYGSKLAARWSIQPRQGLRPSALWSRVSSDRVDLMGKYVRVPAIRVSNISGVSLCHKGSSSSSIDANTSMRRTQSKPDEAPTVEPVNGKMSMDIHVQTEAVITIKRSAKIHDFCLGIPFGRFLFAGGLLGYIFSRNPTGMVSGGVILALSFFSLKVWRTGRSSLPFISDQAATSATLCLEFSADVLLVK >Ma04_p01570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1411258:1414466:1 gene:Ma04_g01570 transcript:Ma04_t01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVQLYGSKLAARWSIQPRQGLRPSALWSRVSSDRVDLMGKYVRVPAIRVSNISGGKHSVSLCHKGSSSSSIDANTSMRRTQSKPDEAPTVEPVNGKMSMDIHVQTEAVITIKRSAKIHDFCLGIPFGRFLFAGGLLGYIFSRNPTGMVSGGVILALSFFSLKVWRTGRSSLPFISDQAATSATLCLEFSADVLLVK >Ma04_p27090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28363997:28369255:-1 gene:Ma04_g27090 transcript:Ma04_t27090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSAVSGHFSDVLRPASWRPSAFPSSLLRHKFNTNCVPCLYWPSSTREHKMKSLRVYGLFGGKKDKGENTNDASSKAGILGNMQNLYETVKKAQMVVQVEAVRVKKELEAAEFDGYCEGELIKVTLSGNQQPIRTEITEAAMELGPEKLSLLINEAYKDAHEKSVQAMKERMNNLAQSLGMPPDLSGLKQ >Ma04_p27090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28363997:28369252:-1 gene:Ma04_g27090 transcript:Ma04_t27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSAVSGHFSDVLRPASWRPSAFPSSLLRECHKFNTNCVPCLYWPSSTREHKMKSLRVYGLFGGKKDKGENTNDASSKAGILGNMQNLYETVKKAQMVVQVEAVRVKKELEAAEFDGYCEGELIKVTLSGNQQPIRTEITEAAMELGPEKLSLLINEAYKDAHEKSVQAMKERMNNLAQSLGMPPDLSGLKQ >Ma02_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13806462:13810297:1 gene:Ma02_g02570 transcript:Ma02_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGGKGGEIILKDGANFIDERHDEDGANDRAMGENEASQSITADTVDEGKIDQTKELVIHDEDDSVGKMDKAELDVQGVIGGDDGIPRVGMVFNSYGEAVTFYKRYAMRVGFGVTIKKSSFTTYGLCRRLVLVCSKEGKAQTKACYHQSRPSMKTNCEAAFIAKLWGDGLLHVVEAKLEHNHELNPSEAHLYRCYKNMSSGATKDLAVRAAGREHLPYVGMEFLNSIEEGQLKLSEGDKEALHEFFSRMQTKNPSFFYLLDLDMEGNLRNVFWADSRSRSAYQYYGDVVYFDSTYLRNKYNIPLVLFVGSNSHGQLVLLGCGLLADETPGNYLWLFKAWLTCMLERAPNVIITDQSKEIQDVVAKVLPEARHRMCLSDITGSIPEKLRDHTEWKTIHKAMNEVIYGSLKVDEFEEGWRNLTKTYGLEGNEWLNLLYENRKLWAPVYLKDMFWAGLSTALLEDSVRPFFEELIYPETSLQNFLENYEMVLRTKYELEAKADFDSFHNSRLTGSESHMEQQLSKIYTLSMFEKFQHELKATIYCQVSLLKVDGSISTFEVRECTYTGGDERNHDKYYEVLYHVGEFEVQCICGFFQFTGILCRHALSVFSLQQVYEVPSQYILNRWRKDFKLLYALECSSKDIYGNKHIEQYDSLSKHCLRLVEVGMVSDEKYQLALKLVREVERSLLDENIFQDLHSRLVSSVTRLTGSDENHAASQVGIVDGDKTPSSVPAKRRGRPPKKRKEPEIEPLNGSNGKTDSLRASADGNQSNMFQSSSTASHFGTHDRTHGVIDIMEEVNQNDLAFSSHYGLQSNHQHHLGNPMQSGTTLQSHFGQQALGSQSRMQWICQQMLQEDQTPFGRRTG >Ma04_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17340461:17346029:-1 gene:Ma04_g17150 transcript:Ma04_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRSLPISSVSYLLRGRPALPSKPPSNSISTSFFTRRKRRPSPAGSAPARGPRESFRISSNVNGEKADESRFFDEDGVVEDMDGYLNYLSLEYDSVWDTKPSWCQPWTILLTGVAVVACSWTILHSAAISVGLSILICGWWYIFLYAYPKAYSNMIAERRKRVSSGIEDTFEDSENSTEDQ >Ma08_p32820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43394597:43395383:-1 gene:Ma08_g32820 transcript:Ma08_t32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGREMGIDDSFKRPGSIPFKWEIQPGVPKHGDDTMITASPNVVTPKLSPPPSMHAAGTSSSPSPSSYQAFSSLGCFPASSIRYRNYKKTAKLFSLMRRRSMSDRYSMPSFASIREDDDASDAGSSSLALSDASSV >mito7_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000018.1:258911:259183:-1 gene:mito7_g00020 transcript:mito7_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILRTLSPHLPIVKPQLTSTFPISHRISGAFLATIVSFFYLLCLKIGLICFTYEKINLFFFYSSKVLKAFPLKLDACSVALTLVYVSGY >Ma06_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11798910:11799809:-1 gene:Ma06_g17350 transcript:Ma06_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLVSCDSKLLRTWRKLSWLLRPPFKRSFSIRALRFRSLSRGGERRSCWSGKFRSFRLTLCTSRQPEELDRLGELRSMTERDRFRAPFPSPITPAYVKLASLQPREPEEGLDEVEAACRSFRSCLAEMLAEEGRVEDLVNVEELLHHWSSLRSPAFVELVCSFYEQVCNELFIGSEEEELLNEDL >Ma06_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2638861:2642861:-1 gene:Ma06_g03640 transcript:Ma06_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITCCSLCGPLPSVLTNPLLPPRSLGGWSGSSVAAAPSGRLRKVPALLVRASVVDSSESSSSFAKRMERAWLISQQPTPIACSSCGSNGSVECKWCAGTGFFILGNKMLCEVPSRNTTCVICMGKGSASCPDCKGTGFRAKWLEEPRPPSE >Ma01_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5531045:5533983:-1 gene:Ma01_g07620 transcript:Ma01_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRTVAKSPEGLRNPEAGGGDAAGRRLVDHFAAAREGAVVINLGSAGALAYASEKQNPLLPRLFAVVDDIFCLFQGHIENITSLKQQYGLGKTANEVIIVIEAYKTLRDRGPFPASQVLRDLGGKFAFILFDCSSNSAFIAGDADGSVPFFWGADAEDHLVLSDDVDTVKKGSGKSFAPFPKGCFFTTSGGLQSFEHPLNELKAVPRVDSQGQVCGATYKVDDKSKKDTGMPRVGSAADWSSQF >Ma00_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40896788:40899343:1 gene:Ma00_g04760 transcript:Ma00_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQKRLFCLLSCNKPTIHLSSYQLCSLFSFSTAEDHSSNHRSKFMLVEPLPSCELSSKEAAKMAEGCICEKKLPSSSPSIEFFKQSGWSDAQVMKLTKRSPKLLHANVETALKPRMRSLQDMGFSDTEIVELVSLCPHVLLLLDIKPRINFWRSLLGSNERLLKACKRNKFLLGYSLARTIEPNISLLREHGISDERIMHMVTTLPRSFGRIDKLKEVIKYIEELGVPRDSGVYMCALHVVMGMNKSSFDSTSATLMSFGCSQPDIIAAFRKCPNVWALSNKTICDKMTFLMKEAGFELTYIISRPMILTYSLEKRLRPRYEVLNFLKQNKLLDEGHSLPSVVPLSEEKFIKKYLFRLKEKFTSLYDSYLAAVQGKPHVT >Ma06_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7789863:7791827:1 gene:Ma06_g11080 transcript:Ma06_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRTPPRVEPRRPGNALIVAAMLLSLCILSLIKARYCPSPYAKAQSPTEVDHVEVSKIVTEKSGKAAALPGVEDDEGNAVAPKIVADEAVSNLSRPLCSETSKRSNVCEAEGGIRLQGSSRTIFLHPSLADREWKIKPYCRKHDPPAMKKVNEWTMKPFPSDKAPPRCTAKYTVPALVFSIGGFTDNLFHDFTDVIVPLFISSYRFHGEVQFVVADFKPPWVSRFILILRQLSNYDIIDADNDDPGAVRCFPRVIVGLSFHKELGVDPSKTATGYSIVEFKEMLRKAYGLERPTVERWGVRRKPRLLLISRNKTRVFLNEKGIADMAASLGFDVRATEPTNKTDVGEFARLVNSADVMIGVHGAGLTNMVFLPAGAVLIQVVPMGAPGWLPRDTFGQPSRDMQLKYLDYHIEGDESSLTEQYPKDHPVLKDPSSIHKQGWYALSEVYLENQNVRPQLDRLKNTLLEARSLLPHNSKEA >Ma03_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4693454:4694572:-1 gene:Ma03_g06830 transcript:Ma03_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMVNSEHQGLRGVPAVPPPIGPRISFSSDFVVEPPAARNPGPPPDPNFEFAVGGDSMIDADKLFFKGRLLPLKESHQCGPQRMTTLREELRASEEWERPLRGSIKWKELLGLKKSHCSSAAKKSDKPVQGDV >Ma11_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23607658:23609466:1 gene:Ma11_g18580 transcript:Ma11_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIACPKLQLRFLGLARSLPSLARQPLPCLLPARSSPIPVLRAVRRTAKARALSAVKDDQSLSSIITELEQEQEEDETAAGEDVTRRLPERWSEIHGRDDWAGLLDPMDPLLRSELIRYGEFAQACYDSFDYDPFSRYCGSCKYNRRRFFSSLGLEGIGYEVTRYLYATSNINLPNFFTKSRAGAKIWSQRANWMGYVAVSDDETTARLGRRDIVVAWRGTVTRLEWIADLMDFLRPVTSVGIPCPDPSVKVESGFAELYTDKDPTCRFCKYSAREQLLTEVRKLVELHSRAGEEVSISVTGHSLGSALAMLSAYDIAEMGLNVGEGGERVATTVFSFSGPRVGNGHFKERFEGLGIKAIRVVNVHDTVPKVPGILFNERVPAFLRRLAEALPWSYSHIGVELPLDHRRSPFLKETVDPSCFHNLEAHLHLLDGYHGKGHRFVLASGRDPALVNKACDFLKEHHMVPPFWRQDENKGMTRAHDGRWGQTDRREVDDHPEDTQHHVQRLGLADDHHH >Ma03_p14340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11509097:11511926:-1 gene:Ma03_g14340 transcript:Ma03_t14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGARSICGVPERVQLHVAMLALQFGYAGFHVVSRAALNMGISKVVFPVYRNIIALILLVPFAYFLEKKDRPAMTLSFLIQFFFLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKIRFDRRDGIAKLVGTLACVGGATIITLYKGPSIFSPSRTLNEATPSSSASTMLWLGDAKGKNWTLGCLFLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFIERDADAWIFHSGGELFTILYAGFVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEQFYLGGIIGAVFIIAGLYLVLWGKSEERAFAAKEAAMVVSSTCDHDGVRPIASPKASTLTQPLLPSPPSENV >Ma08_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36306361:36315186:-1 gene:Ma08_g22820 transcript:Ma08_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSSGEQEQVDHEFSGEVSNNEDHPLCLTDVQENTLFAFKDDRMKGKNEGLAGDVTSRPISGSENDFTCHYMENKSISGRDEAISTSNLDLDVLPDFPTLYASYSRGQIDASDQDSLQTELINDFTDSSNLNAVRDCTMEFLPSDAISNEDSFMVHKSYSFSTRSTVQLDTKPKISANERDDKASDSLLDCDWDSIEDFDLDAVFRSDSIDHFFGDDMMDVSDGLFSPSDPLISGITEFVPVPDAALCKEELSDKEFSSLRLDEGCDAKGNVSQRSQVDEHESLLTYWEKEEEKSKKIMSQELTGPWSYDIKNQQSPSHQFHDSSCVTPQSYQSSDSSLQRKASDEESVVCVGTCNDIISSDSGYPSYPFPAIEGGSDVCAEHSKKEYFSSCQFCHLDPWRHSSSAFGYRHAQRNQKKPISSGDRGKADPSVHPNPVQKIPAISITPQERNGKPKQMQITQSRFEVQHKRKQYDDLKSGSDSSICQTSPGNSHSQIMTSIVGSKEICNDLTVMEKNMLVDAPRISIVNDDNSIAETIYCQLQAALSMLDNRLKFCIRDSIFRLAKSATERHNITDRNGTNKDNVERNAAANGEADNKCRSRNLSASETGTNPVDRIVAQLLFHRPSKLSS >Ma08_p22820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36306361:36315186:-1 gene:Ma08_g22820 transcript:Ma08_t22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSSGEQEQVDHEFSGEVSNNEDHPLCLTDVQENTLFAFKDDRMKGKNEGLAGDVTSRPISGSENDFTCHYMENKSISGRDEAISTSNLDLDVLPDFPTLYASYSRGQIDASDQDSLQTELINDFTDSSNLNAVRDCTMEFLPSDAISNEDSFMVHKSYSFSTRSTVQLDTKPKISANERDDKASDSLLDCDWDSIEDFDLDAVFSRSDSIDHFFGDDMMDVSDGLFSPSDPLISGITEFVPVPDAALCKEELSDKEFSSLRLDEGCDAKGNVSQRSQVDEHESLLTYWEKEEEKSKKIMSQELTGPWSYDIKNQQSPSHQFHDSSCVTPQSYQSSDSSLQRKASDEESVVCVGTCNDIISSDSGYPSYPFPAIEGGSDVCAEHSKKEYFSSCQFCHLDPWRHSSSAFGYRHAQRNQKKPISSGDRGKADPSVHPNPVQKIPAISITPQERNGKPKQMQITQSRFEVQHKRKQYDDLKSGSDSSICQTSPGNSHSQIMTSIVGSKEICNDLTVMEKNMLVDAPRISIVNDDNSIAETIYCQLQAALSMLDNRLKFCIRDSIFRLAKSATERHNITDRNGTNKDNVERNAAANGEADNKCRSRNLSASETGTNPVDRIVAQLLFHRPSKLSS >Ma06_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6619414:6623031:-1 gene:Ma06_g09460 transcript:Ma06_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAKAPLLENRPGTDEQPEAAYDSDEKVVISVSDDEGPSAAAETEEVDGSLPPFSWRKLWRFTGPGFLMSIAFLDPGNLEGDLQAGAAAGYALLWLLLWSTAMGLLIQILSARLGVATGRHLAELCGDEYPRWATVALWLMAELALIGADIQEVVGSAIAIKILSGGVIPLWAGVVITALDCLVFLFLEDYGVRKLEAFFGVLIATMAVSFAVMFGETKPSFKGLLIGAVVPKLSSSTIRQAVGIVGCIIMPHNLFLHSALVQSRKIDNYKKSHVREAMKYYSIESTVALAVSFFINVCVTTIFAKGFYGTEAASSIGLENAGHFLQERYGGAFPILYVWGIGLLASGQSSTITGTYAGQFIMGGFLNLRLKKWVRSVITRSFAILPAMVVALLFDTKGSAMDILNESLNVLQSIQIPFALIPLLTLVSKEQVMGIFRIGTITKVVTWIVAGFLIVINGYLLLDFFSAEVHGVLFNSLLSLILSLYVMFIVYLVLWGSTLYAWVTIAIRKSFRSGN >Ma06_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7887146:7890177:1 gene:Ma06_g11240 transcript:Ma06_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERQSDPPDYRIELLSPMRQEGDSAATTSWRLNVSDFTLPEAPKDPPLVSSVFRRYHGNQRKIAKYYRKQGKLLQGFSEMESITELEAPTQDELNDLARSERLAINVSNIVNLILFASKVLACVESKSMAVIASALDSLLDLMSGLILWFTSYAMKKPNQYSYPIGKNRMQPVGIIVFASVMGTLGLQVLLESGRQLITKEHPTFDHEKELWMVGSMSSVTVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSIGLVTSLLAVRYYWWMDPVGAILIAVYTISTWAKTVLENVWLLIGRTAPPEFLAKLTYLIWNHHQQIKHIDTVRAYTFGSYYFAEVDIVLPADMPLSQAHDIGESLQEKLEQIPEIERAFVHVDFEFTHRPEHKAKV >Ma10_p28330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35246585:35254147:-1 gene:Ma10_g28330 transcript:Ma10_t28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDDEKSIYVGGLPYDCTQEDLRRAFDLYGAIVDVKIINDRQVGGKCYGFVTFRNPRSAVDAIMDMNGRKIGGRVVRVNEVHSRGGRPNFHRENFHRDSDRDDDWERGRERERDHMRDRFRYLDRNDERSRDHDRQREREIDIERGRNFDRARSHPLDQDRDREGDDHEHPGGHDRDWEGDRDMDWDHDRDVDKTKDHDGGKDMDKEQQLRQKNGADFNEHPSRDLSSNSSDDYYGQVKEKLELSIQRREDLQKELTIVGEKIDEKQHLISDLQMKYQKLEDALTAAKKLTYQRQSMLMKLHSCFARAQDYTERLKSSEHELQSLVDVAMSDVGMGEDAGGRDGSLYANGQV >Ma08_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15397016:15400176:1 gene:Ma08_g15300 transcript:Ma08_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHEMQLLRFEDRIEPTCTITEVDFFSQDHRRAVAEAGASPASPKDHQRVEEEEKEEEEEEDSPAHGLTGINIGLNLVPSNAQAGQSVIAENEKPENKILTLQRELSQVNEENRRLRSMLDQLTKSYTALHTQLLQVMQQREVHEIRRGQDGKSGVPSRGELLAHQFMEPAPTRLLNTGQRQDDDDGEHSSSRNKNSSDRGVVPFPQTHLPHVDASSEHTSPSLEEGKSPRLVHERSTDTASEAPCRRARVSVRARSDAPMINDGCQWRKYGQKMAKGNPCPRAYYRCTMAIACPVRKQVQRCAEDKTILVTTYEGNHNHPLPPAATVMANTTSSAAAMLLSGSTTSEDSLMAPAAGSFLHPSTYASTMAALSASAPFPTITLDLTQTANQLQLLQRPQHPVVPLSMYGLPQKLPGLMVGPQASLPFRPQQQSMVETVTAAITTDPNFTAALAAAITSVMGAPGTTNGASGSNAASIGPAIVPESPQCPKSCTTFSIN >Ma08_p15300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15397016:15400176:1 gene:Ma08_g15300 transcript:Ma08_t15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHEMQLLRFEDRIEPTCTITEVDFFSQDHRRAVAEAGASPASPKDHQRVEEEEKEEEEEEDSPAHGLTGINIGLNLVPSNAQAGQSVIAENEKPENKVQILTLQRELSQVNEENRRLRSMLDQLTKSYTALHTQLLQVMQQREVHEIRRGQDGKSGVPSRGELLAHQFMEPAPTRLLNTGQRQDDDDGEHSSSRNKNSSDRGVVPFPQTHLPHVDASSEHTSPSLEEGKSPRLVHERSTDTASEAPCRRARVSVRARSDAPMINDGCQWRKYGQKMAKGNPCPRAYYRCTMAIACPVRKQVQRCAEDKTILVTTYEGNHNHPLPPAATVMANTTSSAAAMLLSGSTTSEDSLMAPAAGSFLHPSTYASTMAALSASAPFPTITLDLTQTANQLQLLQRPQHPVVPLSMYGLPQKLPGLMVGPQASLPFRPQQQSMVETVTAAITTDPNFTAALAAAITSVMGAPGTTNGASGSNAASIGPAIVPESPQCPKSCTTFSIN >Ma08_p15300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15396912:15400176:1 gene:Ma08_g15300 transcript:Ma08_t15300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHEMQLLRFEDRIEPTCTITEVDFFSQDHRRAVAEAGASPASPKDHQRVEEEEKEEEEEEDSPAHGLTGINIGLNLVPSNAQAGQSVIAENEKPENKVQILTLQRELSQVNEENRRLRSMLDQLTKSYTALHTQLLQVMQQREVHEIRRGQDGKSGVPSRGELLAHQFMEPAPTRLLNTGQRQDDDDGEHSSSRNKNSSDRGVVPFPQTHLPHVDASSEHTSPSLEEGKSPRLVHERSTDTASEAPCRRARVSVRARSDAPMINDGCQWRKYGQKMAKGNPCPRAYYRCTMAIACPVRKQVQRCAEDKTILVTTYEGNHNHPLPPAATVMANTTSSAAAMLLSGSTTSEDSLMAPAAGSFLHPSTYASTMAALSASAPFPTITLDLTQTANQLQLLQRPQHPVVPLSMYGLPQKLPGLMVGPQASLPFRPQQQSMVETVTAAITTDPNFTAALAAAITSVMGAPGTTNGASGSNAASIGPAIVPESPQCPKSCTTFSIN >Ma04_p27370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28557991:28562492:-1 gene:Ma04_g27370 transcript:Ma04_t27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNPHGVNGLIEQHVELEDDSINFWTTLGVSPHVHVGEPEHPLVPQHHHHHIQQQQQQSLELVEQSVRRELFAVEADRRLEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLMKEHNHELGMPSRVHYIATEGDAVMDPYIGMEFESLELAKTFYYAYAGRVGFEARVRQSRRSLHDESLKMLKLVCSKHRHHSGRDNGSDDNKRVQIQDPSKEGCDALFEIIRKDADIWMVSKLVLEHNHELKPSLRSKVRCVRSQGEILVIAKNFADTRNLLLNGQDTQHPREIRYNDLGPDDAQNLLEYFKKTQADNPFFYYAVQIENNNCMTNIFWADSKARMAYYYFGDVVRFDTKYINDKELMPIVMFTGVNHHQQPVVFGCALMVDETEASFTWLFENWLVAMPALHPVSLITELNRTITSVVAKTLSQTRHCFCKAQILSTIQEELPDLFSEHIPFQGELKACVDESETIESFESCWDAMINRYCLKESVYMQSLYNIRHQWVPVFVKQTFLAEVPGSQSCENFDKVIEKYFTTKTPLRMAVRQLSQTLANRYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEIDDEIYSVDFNSTENKAHCSCFMFEFSGILCRHALRVFIINGVRALPNNYILKRWTKHAKSGFVLDDYGVELRGNAEDPSIARYNDLCRDAIRCAREGATSTEFYAVAKDALQKAINEIVSAKQKRGQQTLQSFITSQKKQTKKVVKITPNKDASGKNLKKLTSTKLLAENDIR >Ma04_p27370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28557991:28562354:-1 gene:Ma04_g27370 transcript:Ma04_t27370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNPHGVNGLIEQHVELEDDSINFWTTLGVSPHVHVGEPEHPLVPQHHHHHIQQQQQQSLELVEQSVRRELFAVEADRRLEPFVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRRSKCNESIIMLRFVCSREGFSREKRIIAGKKTRKRAASIREGCKAMLEVIRRGDERWVVTKLMKEHNHELGMPSRVHYIATEGDAVMDPYIGMEFESLELAKTFYYAYAGRVGFEARVRQSRRSLHDESLKMLKLVCSKHRHHSGRDNGSDDNKRVQIQDPSKEGCDALFEIIRKDADIWMVSKLVLEHNHELKPSLRSKVRCVRSQGEILVIAKNFADTRNLLLNGQDTQHPREIRYNDLGPDDAQNLLEYFKKTQADNPFFYYAVQIENNNCMTNIFWADSKARMAYYYFGDVVRFDTKYINDKELMPIVMFTGVNHHQQPVVFGCALMVDETEASFTWLFENWLVAMPALHPVSLITELNRTITSVVAKTLSQTRHCFCKAQILSTIQEELPDLFSEHIPFQGELKACVDESETIESFESCWDAMINRYCLKESVYMQSLYNIRHQWVPVFVKQTFLAEVPGSQSCENFDKVIEKYFTTKTPLRMAVRQLSQTLANRYEKEAQAEFVTLFEKPFLRTASPMEKQAAGIYTRSIFDRFQEEFVESLGYHVDKIEDGPISKYRVMRNEIDDEIYSVDFNSTENKAHCSCFMFEFSGILCRHALRVFIINGVRALPNNYILKRWTKHAKSGFVLDDYGVELRGNAEDPSIARYNDLCRDAIRCAREGATSTEFYAVAKDALQKAINEIVSAKQKRGQQTLQSFITSQKKQTKKVVKITPNKDASGKNLKKLTSTKLLAENDIR >Ma06_p29230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30683900:30689492:-1 gene:Ma06_g29230 transcript:Ma06_t29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMELGVLKDMPGIRQKACDKLARKQETYRKLLLSSYNDMVLAVAELVKSARSMRCFLKGPIASPLAQFSDIPEHENDPGDGGGIPVFSSFSITYFENLAHELVKMFLSELSLKRFLVVELLSIKHEEGKGQFDRLKWSDELYSGEFQDLTISGLCSEKNVEPLLPSLRGQQPCASLTTQVDHPLSSDVLQVYLTTWLADVNIDTNRINDIFTIVEEETQVKLS >Ma11_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25920203:25921405:1 gene:Ma11_g21980 transcript:Ma11_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRFSLSDALFLLFSSSCFLARGTLGDSYDWQSAHATFYGGGDASGTMGGACGYGDLYSQGYGTNTAALSTALFNDGLSCGSCYELKCDDDPRWCLPGSIVITATNFCPPNYALPSDDGGWCNPPRPHFDMAEPAFLQIAQYRAGIVPVAFRRVPCAKKGGVRFTINGHSYFNLVLITNVAGAGDVCAVSIKGSRTGWQVMSRNWGQNWQSNAYLDGQSLSFQVTTSDGRTITSYDVVPTDWQFGQTFEGGQF >Ma09_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:86031:86355:1 gene:Ma09_g00090 transcript:Ma09_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRARQNAMRAGLVVVGAVAFGYLSFQVGFKPYLERAQEALDRLHQGHPSDGDNPTSPPGASSVDVPRNGAL >Ma04_p27460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28617137:28635877:1 gene:Ma04_g27460 transcript:Ma04_t27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSPPQPLQTGSSSFYTGFTRLCKGLAVILVLGHVLLQIFPSALPYVALIPSSTIPFAWNLITAGYIEQSLIGVAISTIGLLLFGKSLEPLWGSKEFLKFIIVVNLFTSVCVFVTAIALYYITRQESYLYTPFSGFHGVLSGFLVGIKQILPDQELSLFVLKIKAKWMPTLVTLISIATSFFITDSVSYLPTLLFGIYMSWIYLRYFQKRPETSLKGDPSDDFSFSSFFPEFLRPILDPITSLFHWVFCGRRSGSSAELRGRSLDASPLPGSDSIEAARRRERGARALEQRLAAEKLSAVGKVEGTSHQSAAENV >Ma09_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1177867:1182914:1 gene:Ma09_g01580 transcript:Ma09_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPSTPAESLYYAQRVGETFVNRYYHFLRNSPELVHRFYQESSRLGRADDHGNVTSITTIDAINEKIMSMDFCTLEIKAVHSQDSFGGGMMVLVTGFLTRVDNVKRDFVQSFFLAPQERGYYVLNDILMHAGKVTPQLENKGLTNGVSSPVVPEHGQEENVSVPIVKASGVCNPRINGESWNLQEEEPGNGVVDEVSDCFQAVGVKSKDTSVQQEMAKKTYASVVKAKKDRPWVSVVKTEQHPISAPPASNGPTFSSSASRWQNIQMAESDGHSIYVKNLPLDATHALLEEEFKRFGPIKAGGIQLKGNKLQSTCFGFVEFKLAGAVRCAIEASPILIAGCPVYIEEKRIFGSGVQQAD >Ma07_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28557240:28563542:-1 gene:Ma07_g20640 transcript:Ma07_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVAGKFKLGKKIGSGSFGELYLGVNIQSGEEVAVKLESVKAKHPQLHYESKLYIHLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRRFSLKSVLMLADQLINRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDRISEKKMLTPVEALCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIVANPRVRQSSDRISGAIGPSFGRSERTSEIRDRFSGAVEAFARRNASGSGHHGDHSKHKSPDNALISSNKTVDSDNSRPTSHHGSTSKRAVLSSSRQGSTEHSEPRPSRTSRILSSSSQPASGQRVKQPVVDSRSSSISRAAVRGTHSESLLRSLDLLSLGAEKRK >Ma07_p20640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28557240:28563542:-1 gene:Ma07_g20640 transcript:Ma07_t20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVAGKFKLGKKIGSGSFGELYLGVNIQSGEEVAVKLESVKAKHPQLHYESKLYIHLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRRFSLKSVLMLADQLINRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGNKKQKYDRISEKKMLTPVEALCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIVANPRQSSDRISGAIGPSFGRSERTSEIRDRFSGAVEAFARRNASGSGHHGDHSKHKSPDNALISSNKTVDSDNSRPTSHHGSTSKRAVLSSSRQGSTEHSEPRPSRTSRILSSSSQPASGQRVKQPVVDSRSSSISRAAVRGTHSESLLRSLDLLSLGAEKRK >Ma05_p01450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:878636:881005:-1 gene:Ma05_g01450 transcript:Ma05_t01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMPATSSKLGPAACEKDAEKLEFIDEMTTNADEVQEKVLTEILSQNAETEYVQRYNLGGATDRETFKSKVPMVTYEDLQPEIHRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIQEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFIKSESKTPGGLPARPVLTSYYKSQHFRARPFDPYNVYTSPTAAILCADAFQSMYSQMLCGLLDRLAVLRVGAVFASGLLRAIRFLQLHWQELAHDIAAGALSSKVTDPAVRDAVAEVLKPDPGLAEFIVSECAAGDWAGIITRIWPNTMYLDVIVTGAMAQYIPTLEYYSGGLPMTCTMYASSECYFGLNLRPMCKPSEVSYTIMPNMAYFEFLPLDAAAAGSPPQLVDLADVEVGKEYELVITTYAGLYRYRVGDILHVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQKAVERASELLKPWGASVAEYTSQADTTAIPGHYVIYWELLVREGEGGKWPEKEALEACCLEMEEAMNTVYRQSRVADGSIGPLEIRVVRNGTFEELMDYAIARGASINQYKVPRCVTFPPIIELLDSSVVEAHFSPACPKWTPHPD >Ma06_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13942536:13948214:-1 gene:Ma06_g19840 transcript:Ma06_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPRESRQLQESQPSPSCTDLNDYIWSLHSTAPHGIIRETATNERSKRSADSVYIRIRYGSDPTTLHLKPDGIRPYLSFLWFCYRAGRSDNGDDILGAVHQATVADSAAYETPVVRQALRFDEWKDPSEEALAGGRGMFCILPIAKSMLNVASLSISLAADFVIKALKSPIQLSRQELHANLCSQFHKLALNMQGWNLKLITLGNRRQNAMNSEEVPTN >Ma02_p01210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8607533:8610306:1 gene:Ma02_g01210 transcript:Ma02_t01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVIRTILFLSLLLLLLPPNDGQADPPDGIQCAADRGIYPCQAYALYRADATSLSQLDLASAGDLFDMSRLSIARTSNLTTTAVLQQNQPLLIPLTCSCTNYSRAYAPVPYQINSGDTFYLVSTKKFENLTLWPAVVLVNPTLVATNLTIGVIATFPISCQCLNSTTANSIAINGRMPLGLVTYVLQLSDTYSSVAASFGTDVQTLINLNGNQSAFSTIFVPLYEIPPPLLLSNASIATPPSPAPTAESPSVVVKKRNGVIAGLAIGLGVVGALWVLQMMLLAWLWRRFVSKGRWGVGEERGKSSADGLMTYSRGGEVASNDDKLINDISEWLDKYKVYKVEELMQATDDFGQSNHIKGAVYKGIIDGEVFAVKKMKWNAREELKILQKVNHSNLVKLEGFCIDNDAGTCYLIYEFVENGSLDFWLHDPAAPYKLDWRTRLRIALDLANGLQYIHQHTWPRVVHKDIKTSNVLLDAQMRAKVANFGLAKTGCNAVTTHIVGTQGYIAPEYLADGVVTAKMDVFAYGVVLLELVSGKEASSERGESLWAEAEGLLFEKSGGGGSEASIMAWMDPVLAKQSCPMESVATVMNIARDCLRRDPSKRPTMVEVAYNLSKADELFSDYSADTLSIESPDSI >Ma02_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8607533:8610369:1 gene:Ma02_g01210 transcript:Ma02_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVIRTILFLSLLLLLLPPNDGQADPPDGIQCAADRGIYPCQAYALYRADATSLSQLDLASAGDLFDMSRLSIARTSNLTTTAVLQQNQPLLIPLTCSCTNYSRAYAPVPYQINSGDTFYLVSTKKFENLTLWPAVVLVNPTLVATNLTIGVIATFPISCQCLNSTTANSIAINGRMPLGLVTYVLQLSDTYSSVAASFGTDVQTLINLNGNQSAFSTIFVPLYEIPPPLLLSNASIATPPSPAPTAESPSVVVKKRNGVIAGLAIGLGVVGALWVLQMMLLAWLWRRFVSKGRWGVGEERGKSSADGLMTYSRGGEVASNDDKLINDISEWLDKYKVYKVEELMQATDDFGQSNHIKGAVYKGIIDGEVFAVKKMKWNAREELKILQKVNHSNLVKLEGFCIDNDAGTCYLIYEFVENGSLDFWLHDPAAPYKLDWRTRLRIALDLANGLQYIHQHTWPRVVHKDIKTSNVLLDAQMRAKVANFGLAKTGCNAVTTHIVGTQGYIAPEYLADGVVTAKMDVFAYGVVLLELVSGKEASSERGESLWAEAEGLLFEKSGGGGSEASIMAWMDPVLAKQSCPMESVATVMNIARDCLRRDPSKRPTMVEVAYNLSKADELFSDYSADTLSIESPDSI >Ma01_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23784253:23785438:1 gene:Ma01_g22160 transcript:Ma01_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITGPSRPQRDGSRESPSSVAYQKLLDDCILKNRSRILAFKTAPEAPASKLPQFDEPIRPQKKQQRRIPKEPERVLVIHGLLDDNVLNLLDWGSNNVLAIGLKDAVYLWHAANESTKLLQPVEDRGPITCIRWSPDCAVLAVAFGNSDLDLIDPATGHVVDGMEDENQAPVLSLAWRSNSILTVGRFDGRYLASGGQDKLVHIWDACMPVSHHHSRQRQWLHRISSHTSTVKAVDWCPTRSNLLASGGGCNDHCVKFWNTVNGACLNSIDAGSEVCALLWDKNKSELLTSHGSPNNQLTLWNYPSMTRVAEVSGHSSRILSLAGSPLGGVVASAAADETVKFWNIFETPKITKPELPFAQFNVIR >Ma08_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2088433:2097609:-1 gene:Ma08_g02790 transcript:Ma08_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGDGSATPQEDKPRGGDDDGGTPPSPSSERPPPADLGSDATAVGKGGGSGAVPAAKADGRSFSQLLAGAMASPVGSPRPPPILTVPVVAVPCLLAPAALLESPGFTGQFAMTHQAVLAAVTAQAQMQMQAAYPPPSETTTNSFPHSMLPAVSPVPLQQMSSVPEACSGDIYHWRKYGQKQVKNTENFRSYYRCADSNCLAKKKVECYPDGKICDVIYRGKHNHDPPQKYRYTRDRGAQSGGSSRENESIERLKNELNESGSSSCKAEQNSGNDTPEQQLDHSSDCKGVAGVTTKKDFGDEPDPKRRLSENTKSFSMPVPKQVKEHVVQTEIDAKHLSDGYRWRKYGQKLVKGNPNPRSYYRCTHDGCPVRKHVERASHDAQSLLITYEGKHNHDQPTPKFASDQPASDSPVKEIDSKTSLSDRKSSKDLNPNNLANNLTGDKASEFGGHKAPEGAQSLPSSKGGSESTDPDGMRNPLLSENPAAVPVENC >Ma06_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4535363:4545616:-1 gene:Ma06_g06190 transcript:Ma06_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVAVHLLVLSLLGLYLRCLCQEDAAVYIVTMKQPSAAHYSDEVKRFGSSGVSAGASGAFNTLNKPRNASRTNTSYSSYLIRLQNLLLKRTLRGEKYLKLYSYHYLINGFAVLITPQQADKLSRRHEVANLMLDFSVRTATTHTPEFLGLPHGAWAQDGGPEVAGEGIVIGFIDTGIDPTHPSFSDTLSINHYPVPAHFSGICEVTRDFPSGSCNRKLVGARHFAASAIIRGMFNATQDYASPFDGDGHGTHTTSIAAGNHGIPVIVSGHHFGNASGMAPHAHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPWGLATFFNPIDMSLLSAVKSGIFVVQAAGNTGPSPKSVSSFSPWIFTVGASAHDRVYNNWLLLGNNLTISGVGLAPGTDGDSMFPLIAAIHAMKNNTTVANDMYLGECQDSSYLDEDLIDGKILICSYSIRFVLGLSSIKQALETAKNVSAVGVIFYMDPFVTGFHLNPIPMDMPGLIIPSTDDSKVFFDYYNSSLVRDDMSKSIIKYCGVANILGGLKANYSNTAPKVMYYSARGPDPEDNSLADADIMKPNLIAPGNFIWGAWSSVGTDSAEFEGESFAMISGTSMAAPHIAGLAALIKQKFPSFSPSAIASALSTTATLYDRQGGPIMAQRAYRNPDSNQSPATPFDMGSGFVNATAALDPGLIFDTGYDDFISFLCGINGSGPIVLNYTGHTCEVSNMTGSDLNIPSITISLLNQLRVIVRTVTNVANDEYYHVSWSAPFGASVSVAPAQFFVASGQQQNLTIVLNATMNSSFASFGSIGLYGNLGHKSIIPLSVISKITQDTTPRRRKC >Ma08_p32750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43357395:43363051:1 gene:Ma08_g32750 transcript:Ma08_t32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPPLHSRPSLSPSPRLKKSCAPRVSATLVVSNVVASETSLSWSSKEKLNLSSKWNQGPLQEIDWENLEVDLYHWTRPLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPMATSHPQMDSWLGTRKKILVLNREDMISAADRNAWATFFARQGIKVVFSNGQLGMGTMKLGRLAKSLAVSVNTKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQAAAIKLAICDDIGERSYDVADVAAILVQMLTRLPEVGSETLRKRYRIDLHDQCGKTFLQKLALQLFNGDVSQAAFRILSDFRKGKFGRVALERPPR >Ma10_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31426853:31427273:-1 gene:Ma10_g21810 transcript:Ma10_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQRTDLYFVFMSFDPNYERLRADRSKEGMEALDAYLCKKHDRLLAKLLQPNTYKKKSSLAIVDGFTVEISKEQVNFFFFFFHSWICQGSEGGREEPRAGLIP >Ma01_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8857625:8858557:1 gene:Ma01_g12230 transcript:Ma01_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHASDVEVEENEMPSSQEGRLPGVPFTAQSHRSPPPTSHAASSAPAPASAAAGVAARPGDAASGVSPRMGTRIKRTVRKPPAAGYGADQRKGRASTKRSRGVHISSAISPTESEQEGHDDLGFSAGVEPPMPDQEGRKRPADPQATADAGAMGEPNAASTADPQPRKLPVSIQHCLSHGEQEESARRSSLGHDGENSNKTQAAIDGPPMNLIEVIKMLSGEPSRRFADKDILDIAKMKGIEFPPPWWSVLFTRRS >Ma02_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17932462:17937851:-1 gene:Ma02_g07140 transcript:Ma02_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAMMSDLLTEVLIPVASVVGIFFSLLQWYFVSKVKLSPERQTRGAHDHGKNGYSDRLIEEEEGINDHNVVVKCAEIQNAISEGATSFLFTEYKYVGIFMVVFAILIFLFLGSVEGFSTKSQPCTYSKDRTCKPALANAIFSTLSFLLGAVTSIVSGFLGMKIATYANARTTLEARKGVGKAFIAAFRSGAVMGFLLAANGLLVLYIAINIFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTAMLYPLLISSMGIIVCLVTTLFATDFFEIKAVKEIEPALKRQLIISTALMTVGIAIVSFIALPSTFTIFNFGAQKVVKNWELFFCVAIGLWSGLVIGFVTEYYTSNAYSPVQDVADSCKTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIIGAMLPYWFSAMTMKSVGSAALKMVQEVRRQFNSIPGLMEGSAKPDYATCVKISTDASIKEMIPPGALVMLTPLVVGTFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASDQARGLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIFWNGK >Ma01_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13672676:13675719:-1 gene:Ma01_g18420 transcript:Ma01_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPDSHAPESSLTRLAPVEAVLFDVDGTLCDSDPLHYYAFREMLLEIGYNNGVPVDEEFFIKNIAGRHNDDIASILFPDWDHEKAIKFVDDKEAMFRRLVSKELKPIEGLHKLCKWVEDRGLKRAAVTNAPRLNAELMISMLGLTDFFQVVVVGSECERAKPFPDPYLKALKELKASAEHSFVFEDSASGIKAGVAAGMPVFGLTTRNPEKSLLEAGASFLIKDYEDPKLWMSLEELNRVQQS >Ma01_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6858121:6860690:-1 gene:Ma01_g09530 transcript:Ma01_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETEPIAAAVEDSEVAGSAEHWLSRARLLVPVALDKARSTKGFPGRWKSIASKLERVPPCLSDLSSHPCFARHPLCRELVQSVAATLSEAVELADRCRSDDGASVGKLQMQSDLDALSGKLDLSLRDCGLLVKIGVLGDAALPPPSATGAGPAETEFASWNLRELLARLQIGHAEAKHRALDGLLRAMREDEKSVMAVLGRSSVSALVHLMTATSLKVREKAATAVCLLAESGNCEKLLVSEGVLPPLIRILDSGSLVAREKAVISLQRLSMSADTARSIAGHGGIRPLIEVCQVGDSISQTAAAGALKNVSAVPEVRQSLVDEGIIGIMINLLDCGIVLGSKEYAAECLQHLTCSNESLRRSVVSEGGIRSLLAYLEGPLPQESAVGALKNLVGSVSTDTLISLGLLPCLVHVLKDGSLGVQQAAAASICKFSSTAETKRIVGEFGFIPLLVKMLEAKSNGAREVAAQAIASLMTYQHNGREVKKDEKSVPNLVQSLDPSPQNTAKKYAVSCLLSLSSSKKCRKMMISYGAIGYLKKLTDMDVPGAKKLLERLERGRLRSLFSRK >Ma03_p25790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29746374:29761254:-1 gene:Ma03_g25790 transcript:Ma03_t25790.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAGGSKWSLIRPFLVILLIHSLAIYLFTRGFLLTRTELASFSHCSDLTHSPCSSISSSHRRSNFTPPPDESPDELHRDRRCWTKPAVDRLVIIVLDALRFDFVAPSTFFKDKKPWMDKLRVLQKLASAEGSSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQLVRNGKRVLMMGDDTWLQLFPDHFNTSYPYPSFNVKDLDTVDNGVIEHLLPSLYKEDWDVLIAHFLGVDHAGHIFGVDSAQMIQKLEQYNSILEEVVDVLKSESGAGGLHENTFLIVMGDHGQTTNGDHGGGTAEEVETSLFAMSLQSPPASVSSVLDSSFCHPDLDGRKLCVGIFEQLDFAVTISALLGIPFPFGSIGRVDPEIYALSAHTWEGRGTGTNDCQPSSDLASWKQNYANALCINSWQVKRYIDLYSATSVIGLPIEDLNHVSELYSQAQTNWSDTVSSGYLPMGTPHRIQDSEESPFQQKIDAYSDFLESVAKLARSAWTEFDGTLMGVGLSCMLVSLAIHLLAIRRLNILCKPYQNALNILGNYFSLVSPLLLVAIRAASFLSNSYILAEGSVANFLLATAGIIRLQRSIREWKLPTDELFFLLLSTIIRFGIEIGMSKESSASTFLFTFSKNIFGINEGDPLLTVLTDIFPIILLFVLAIMFYKFISGICCWPHFRYILYFGTVCSYLLIALHWASESNLMPISLFVQYTGRNFAPQVVYAIGFGLLVLSVLSRFLDSSRVKPTARMTVLSLALVSSWSPTILILLGRQGPFAVLIFISGAWFIVKSWREGWIESTRRDLGAFIIDPIPVIQWSFLAVCLFFYTGHWCTFDGLRYGAAFVGFDHFNIIRQGLLLAIDTFGVSHILPILSIPFIITIQLQNSKENSAEGNTFLYLTQVFLIYGLITATTTTLTIICVTIQRRHLMVWGLFAPKYVFDAIGLLVTDALIFMASLYYY >Ma03_p25790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29747665:29761254:-1 gene:Ma03_g25790 transcript:Ma03_t25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGGSKWSLIRPFLVILLIHSLAIYLFTRGFLLTRTELASFSHCSDLTHSPCSSISSSHRRSNFTPPPDESPDELHRDRRCWTKPAVDRLVIIVLDALRFDFVAPSTFFKDKKPWMDKLRVLQKLASAEGSSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQLVRNGKRVLMMGDDTWLQLFPDHFNTSYPYPSFNVKDLDTVDNGVIEHLLPSLYKEDWDVLIAHFLGVDHAGHIFGVDSAQMIQKLEQYNSILEEVVDVLKSESGAGGLHENTFLIVMGDHGQTTNGDHGGGTAEEVETSLFAMSLQSPPASVSSVLDSSFCHPDLDGRKLCVGIFEQLDFAVTISALLGIPFPFGSIGRVDPEIYALSAHTWEGRGTGTNDCQPSSDLASWKQNYANALCINSWQVKRYIDLYSATSVIGLPIEDLNHVSELYSQAQTNWSDTVSSGYLPMGTPHRIQDSEESPFQQKIDAYSDFLESVAKLARSAWTEFDGTLMGVGLSCMLVSLAIHLLAIRRLNILCKPYQNALNILGNYFSLVSPLLLVAIRAASFLSNSYILAEGSVANFLLATAGIIRLQRSIREWKLPTDELFFLLLSTIIRFGIEIGMSKESSASTFLFTFSKNIFGINEGDPLLTVLTDIFPIILLFVLAIMFYKFISGICCWPHFRYILYFGTVCSYLLIALHWASESNLMPISLFVQYTGRNFAPQVVYAIGFGLLVLSVLSRFLDSSRVKPTARMTVLSLALVSSWSPTILILLGRQGPFAVLIFISGAWFIVKSWREGWIESTRRDLGAFIIDPIPVIQWSFLAVCLFFYTGHWCTFDGLRYGAAFVGFDHFNIIRQVAE >mito5_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:281096:281242:-1 gene:mito5_g00070 transcript:mito5_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLWKVENGIHITYIERNETSTSSYKLKKNTVTTISAELSDKQLKEY >Ma07_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3506389:3511158:-1 gene:Ma07_g04790 transcript:Ma07_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTIIPQSSRTQSLPDPNTDRAPPSLMGSNARDKSVRGGRKARRAPKHAPESAASAPAPSFPAHPAAPSADPDPHHCSASPNPNPIPGGYDDDGGWGCCTEEQLEELLLKNLDFFYREALARVVSMGYDEEAALRAVLCNGRCYGSSDVVSNIVQNAVAHLTAPPPPPPRAAHQDPSAVAAVPGNGFADLRHLQEYSLAEMVCLLLQVRPNLTRGDAMWCLLMSDLHVGRASTIEIPVPSAAAYPSTAPPLAPVAVPTTAGGAIGEFTPAVNLCKFHAATVTAAASVSNGNAADPIQPSMKPAPRRPASTFPTASGFRPFIKPPARPAASDLASEDEQLKEYIASARDRVENGSLDTGVVNSVLKALEGMSLEDNGVEDPKKEMILDVIRQIRDLEAQVKERQEWAQQKALQAARKLSNDLTELKVLRMEREENQRLKNGKQALEDTTMKRLSEMENALKKVSGQVDRANAVVRQLETENAEIRAEIEASKLSASESERTCTEVARREKKCLKKLVAWEKQREKMLGEISSEKKKIVQMQQQLDEVRAATKEYEMKWKQEIKAKEQAIVLAEEERQAKEAAKVNASRRHEALRRKIEIDYQRHKDDIQRLEEELARLKATAGSTVVITPPANSLRTTNADVKAPKETNVKAPTGFSKPQDSSNKLNRCRACMICKKDEVSVVFLPCSHQVVCACCNEDHEKKGKGSCPCCNVRIEERIRVYGASS >Ma11_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24369968:24373023:-1 gene:Ma11_g19540 transcript:Ma11_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRLTASMALPVPRTAALAPAPASSSLSRRATRLLPVFEGLRRCTRLPGVSFATSSRAVRRGAVVCEAPETTTGHVSKATWQSLVMDSDVPVLVDFWAPWCGPCRMIEPTIIKLAKVYEGKLRCYKLNTDENPDITTQYGIRSIPTMMIFKNGEKKDAVIGAVPESTLIISIEKFVAR >Ma11_p19540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24369968:24373436:-1 gene:Ma11_g19540 transcript:Ma11_t19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRLTASMALPVPRTAALAPAPASSSLSRRATRLLPVFEGLRRCTRLPGVSFATSSRAVRRGAVVCEAPETTTGLPDVSKATWQSLVMDSDVPVLVDFWAPWCGPCRMIEPTIIKLAKVYEGKLRCYKLNTDENPDITTQYGIRSIPTMMIFKNGEKKDAVIGAVPESTLIISIEKFVAR >Ma06_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21047457:21054261:-1 gene:Ma06_g23290 transcript:Ma06_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPLTTEAIALTEKKMDMTLDDIIKMSKKNPMKGKRPQRPPIKSQGFQNGNASHGNTRMQRFIDSRSSIRQGVLAKRRSNFHSDKFPITTEVARKAAVMPVSNRRINRNGPRVTATTIQRKGYDNGFNVKDKAVAKQKPQTLDALFANMKEQRMRLMSQKVNHENAVRLHKRHNVPWQQQGSGVARGGPRRQFANFAK >Ma04_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17487685:17488595:1 gene:Ma04_g17240 transcript:Ma04_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSALHPVALVVATTVVLLAAAPRGGEAITCSQVYGDLISCVGYLQGGPIKQQCCSGIKSLIAAARTTQDRRTACGCIKTAAAGLSGIDYGRVSQLPGQCGISVSYKISPNVDCSKIN >Ma06_p25840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26361042:26361737:-1 gene:Ma06_g25840 transcript:Ma06_t25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVPFEKMNLVFIRDNMGKMITTCSLNVDTVNVSTYNMATVDEYNLTFLRWSSLTTRIPSTPNPSTSSTSPIASLAPSASSSVTRTLSSATTSSSSSPTCSTLSMVSPKPSPCTAALTPPSPPTSCLTIAPSTGTTSTSRSTTRSLRSITHTSGGHGRSTQGQCSSTATWWRL >Ma03_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25496979:25516246:1 gene:Ma03_g20350 transcript:Ma03_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASLTVVLRAALSPIPEERKAAEESLNQFQYTPKHLVRLLQIIVDGTYDMGVRQVASIHFKNFVAKHWSPHDPGEPQKVSEIDKSMVRENILGFIVQVPPLLRAQLGECIKTIVHVDYPEKWPSLLHWIKCNLLMQDQVLGALFVLRVLARKYEFKSDEERTPLYLIVEETFPLLLDVFNKLVHIVNPPIEVADLIKLICKIFWSSIYLDIPRQLFDPNVFSSWMVLLLNILERPVPLEGQPSDPEVRKSWGWWKVKKWTIHILNRLYTRFGDLKLQKPESKAFAQMFQKNYAGRILGCYLQLLNEIRIGGYLPDRVTNLILQYLGNSISKNSMYQLLQPQLDIILFEIVFPLMCFNDNDQNLWSEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKHGKENLQKFIHFIVDIFTRYDGASVEFKPYRQKDGALLAIGALCDKLKQTEPYKSELEHMLVQHVLPEFTSPIGHLRAKAAWVAGQYAHINFSDQNNFRRVFHYVVSGLQDPELPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAIGLCQNLAAAFWRCLGASESDDEVDDSGALAAVGCLRAISTILESVNRLPHLFVQIEPTLLPIMRKMLSTDGQDVFEEVLEIVSYMTFYSPTISLEMWTLWPLIMDALGDWAIDFFDNILGPLDNYISRSTSHFLTCKDPDYQQSLWNALSSMLSDKNIDDADIEPAPKLIEVVFQNCKGQVDRWVEPYLRITIERLQRAERPYLKCLLMLVIADAIYYNPSLTLNILHKLGVAAHFFNLWFQMIQEVKKSGTRANFKREHDKKVCCLGLTSLLGLPADQLPGEAFERVFKATLELLVSYKDQVAETKKQADTVDDIDGFEDDDDDDDEDEEDESDKEMGDDSVDGDEADSRKLQKLAAEARVFQSNYEDDDSDDDYSDDEVLQSPIDEVDPFIFFIDTVQAVQASNPIRFQNLTQTLDFRYQAMASGIAQHAEQRRVEVEKEKLEQTVTK >Ma08_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7353394:7356526:-1 gene:Ma08_g10090 transcript:Ma08_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGISHRKLHVNGINMHVAEKGDGPVVLFVHGFPELWYSWRHQIASLAARGYRAVAPDLRGYGDSDAPPSASAYTILHLVGDLVALIHALGQDQVFVVGHDWGAAVAWWLCMLRPDKVKALVNLSVPFSPRNPHRRTLDSLRAIFGDDHYMCRFQEHGATEAEFAQIETSLLIKKFLTYRKPEPIIVPKEKGFDGTLETPIALPTWLSEEDINYFASKFEKSGFTGGLNYYRCLDLNWELTAPWTGAQIKVPVKFIVGDLDLTYHSPGVQDYIHKGGFKKLVPFLQDVVVMEGVGHFINQERAHDISDHIYEFIKKF >Ma01_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1994890:1997231:-1 gene:Ma01_g03050 transcript:Ma01_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYLDLFLTQFVAVMTPFLLPLLLLLALPLPLARSHDYHDALAKSIMFFEGQRSGKLPADQRAEWRGDSALLDGSEVGVDLTGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGDCMPADELRNAAAAIRWATDYLLKTVSHPGIVFVQVGNPISDHNCWERPEDMDTARTVYYVSADRPGSEVAGETAAALAAASMVFRQTDSAYSRKLLKNAMRVFEFADTHKGAYSDDPGLKAGVCPFYCDFDGYQDELLWGAAWLRRASRNDSFLNYIQNNGKTLGADDNINEFGWDNKHAGLNVLISKEFMEGQVLSLESYKEFADSFMCTLIPESFSSHIQYTPGGLIYKPGGSNMQHVTSISFLLLAYAKYLAKSSQTVNCGSIQVAPSSLQLQAKKQIDYVLGDNPMNMSYMVGYGDRYPQRVHHRGSSLPSISSHPRFIACKDGTDYYRSPNPNINPHVGAVVGGPSDDDVYEDDRADFRKSEPTTYINAPLVGALAYFVANPNAGLVLR >Ma09_p07450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4897289:4903698:1 gene:Ma09_g07450 transcript:Ma09_t07450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) UniProtKB/TrEMBL;Acc:F4KJ62] MMFKVRSQESNMQVCWHARTMNFGRVGPLRHPKICPCSQIRSSFRQMQMNTAHNDNSRGSLIVLEGLDRSGKTSQSNRLVTFLKEKGLSVELWRFPDRSTSTGQMISAYLANESQLDDRAIHLLFSANRWEKRSLMESKVMSGTSLIIDRYSYSGVAFSAAKGLDVEWCKAPEVGLIAPDLVIYLDISAEKAAERGGYGTERYEQLEFQKKVAEHYRTLYDASWKIVDGGLPVETLEEQIREIALGCILTCQKGKPLSKLWQAK >Ma09_p07450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4897274:4903698:1 gene:Ma09_g07450 transcript:Ma09_t07450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) UniProtKB/TrEMBL;Acc:F4KJ62] MQMNTAHNDNSRGSLIVLEGLDRSGKTSQSNRLVTFLKEKGLSVELWRFPDRSTSTGQMISAYLANESQLDDRAIHLLFSANRWEKRSLMESKVMSGTSLIIDRYSYSGVAFSAAKGLDVEWCKAPEVGLIAPDLVIYLDISAEKAAERGGYGTERYEQLEFQKKVAEHYRTLYDASWKIVDGGLPVETLEEQIREIALGCILTCQKGKPLSKLWQAK >Ma09_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4897289:4903698:1 gene:Ma09_g07450 transcript:Ma09_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) UniProtKB/TrEMBL;Acc:F4KJ62] MMFKVRSQESNMQVCWHARTMNFGRVGPLRHPKICPCSQIRSSFRQMQMNTAHNDNSRGSLIVLEGLDRSGKTSQSNRLVTFLKEKGLSVELWRFPDRSTSTGQMISAYLANESQLDDRAIHLLFSANRWEKRSLMESKVMSGTSLIIDRYSYSGVAFSAAKGLDVEWCKAPEVGLIAPDLVIYLDISAEKAAERGGYGTERYEQLEFQKKVAEHYRTLYDASWKIVDGGLPVETLEEQIREIALGCILTCQKGKPLSKLWQAK >Ma04_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24124887:24125835:1 gene:Ma04_g21600 transcript:Ma04_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVTTISTASAAALASFLLCRSPPSAAPTSPRPLPASASSRPAVVLAVRALASRRVVSCQVALSSDLSSGIEEEELLAAAMVGKRVRVKVPLKVYHVMKAPDLDLDGLEGVIKQYVGVCKGKRISANLPFKVEFEINVEGQVRPVKFFAHLREDEFEYLSSD >Ma08_p34820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44820509:44823559:1 gene:Ma08_g34820 transcript:Ma08_t34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSETPETSQRKNHPHAKDDEAEGSMNNKKNLDSDIWMAMKIDLIFPIYLKFSDVGYKFADNGGTSSVAMNYILQGVTGSVEPGEVLALMGPSGGGKTTLLNLLSGKMTIKDHGGLITYNDQPYSKWLKRRIGFVLQDDVVFPNLTVRETLTYAALLRLPKTLTKQQKEERAINVIHDLGLERCQDTIIGGAYTRGISGGERKRVCIGNEILLDPSLLFLDEPTSGLDSTTALRIAQMLHNIALAGKTVVTTIHQPSSRLFNMFDKLILLGRGSSLYFGKTSEAMLYFSSIGCSPLIPMNPAEFLIDLANGNINDKSVPLELQQRRFLCKTPEIEGRSSSSMDIHEYLVGAYETRVAGIEKQKLLKPAPIDTMWMMQGRGPCSLDESRVGWWEQCHILFWRGLKERRHEYLSCIRVIQVVATAVIIGLLWWHSDASTPKNLQDQAGLLFFISVFWGYFPVFAAIFTFPKEREILAKERSVGMYKLSAYFIARTTSDLPLDLTLPIVFLLIVYFMAGLRSDFTTFLESLLTIFLSIVAAQGLGLAVGAALMDIKKATTLASVIIMTFMLSGGFFVQRVPFFMSWIRHLSFNYHAYRLLLQAQYGCTSTSHHNANPCKSRFIEELGLDNRGMEVGTMIAMVFGYRLLAYLFLRKMKLRNT >Ma04_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10088711:10102441:-1 gene:Ma04_g13370 transcript:Ma04_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTDIGVAAAMNILSALGFLMAFALLRLQPINGRVYFTKWYLNGERSSRTHSGTFIHKFINLNPRSYLRFLEWMPAALKMSELELIEHAGLDSAVFLRIYLIGLKIFIPITILAFFVLVPVNWTNSTLKNLNSIQYSNIDHLSISNVPAGQFIPPKDVCINHFVFWVHLVMAYVFTFWSCYVLLKEYKIVMAMRLQFLASVERRPDQFTVLVRNVPPDPDESVSELVEHFFLDNHPDHYLTHQVVYNANKIAKLVNEREHMENWRDFYQLKYDRNPSKRPTIKVLWQIMTLYFLLNFLFIYVDAIDFYVSKIDKLSKEVTTKQENIKTNPKHIMPAAFVSFRTRWGAAVCAQTQQTRNPTLWLTEWAPEPRDIYWQNLSISFVSITIRKLIVAVAFFFLTFFFMIPITFVQSLANIEGIEKVAPFLKPLIEVPVIKSFIQGFLPGIILKIFLILLPMILMLMSKFEGFVSLSALQRRSASKYYIFLLVNVFLGSIIAGTAFEQLNSFIHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLIFFYFKNLFLVKTEKDREKAMDPESINFATSEPQIQLYFLLGLVYAAVTPFLLPFILVFFALAYVVFRHQIINVYDQKYETAAAFWPDVHGHIITALVISQLLLLGLLSTQHAAKSTPLLIPLLVLTIWFHRFCKNRYEPAFVKYPLQEATMKDALEREREPNLDLKAYLRDAYAHPAFKKREDDDKVAGDEAELETIWCPPSTSHARGHQSPASSAAHDHRPYLMLSKNNTTLINSISLFFQIQIHDFIINHQFFYQLS >Ma10_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27183464:27189823:1 gene:Ma10_g14910 transcript:Ma10_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAGCYMESALLDASAKNLDKQRMKQEQLFDGLPSKESRRWDTNGRPISSSSHYEKHQMCAICLKGNSCRTVRTRTKQMGTLIGENKPHEAQTLFDCLVEEGHKPSLVTYTTLLTALTDQRKFKSIPSLISQVENSGLKPDTIFFNAIINAFSEAGKINEAIKIFWKMKESGCRPTTSTFNTLIKGYGIIGKPEESQTLLDMMSREENARPSQKTYNILIKAWCDKQNLTEAWNVVHKMHASGIQPDVVTYNTIARAYTKNGETKRAEELILEMQMRLRPNERTWVIILGGYCKEGNMKDALRCVNEMKAVGIRPNIVVFNTLIKGFLDIQDMAGSDEVLALMEELGVRPDLVTYSHQMNAWSAMGLMTRCMEVFNKMVEAGIKPDAQVYSILAKGYVRAREPAKAEALLVTMNELGIQANVVTFTTIISGWCSAANMENAMRVYSMMSESGVSPNIKTFETLIWGYGELKQPWKAEELLQTMKETGVRPRKNCIRLVAEAWRAVGLQNEANRVLDSINDSHRSSQPDDSCILLERTDEVNQGQVYGSVNSNLLRATNGSATHGIRKGLRCTEPSSATIQIATKSALISPSFRFGVKSPVMCWKQSLLQPGIYGQVMNSCRAVFLQ >Ma10_p14910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27183464:27189823:1 gene:Ma10_g14910 transcript:Ma10_t14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAGCYMESALLDASAKNLDKQRMKQEQLFDGLPSKESRRWDTNGRPISSSSHYEKHQMCAICLKGNSCRTVRTRTKQMGTLIGENKPHEAQTLFDCLVEEGHKPSLVENSGLKPDTIFFNAIINAFSEAGKINEAIKIFWKMKESGCRPTTSTFNTLIKGYGIIGKPEESQTLLDMMSREENARPSQKTYNILIKAWCDKQNLTEAWNVVHKMHASGIQPDVVTYNTIARAYTKNGETKRAEELILEMQMRLRPNERTWVIILGGYCKEGNMKDALRCVNEMKAVGIRPNIVVFNTLIKGFLDIQDMAGSDEVLALMEELGVRPDLVTYSHQMNAWSAMGLMTRCMEVFNKMVEAGIKPDAQVYSILAKGYVRAREPAKAEALLVTMNELGIQANVVTFTTIISGWCSAANMENAMRVYSMMSESGVSPNIKTFETLIWGYGELKQPWKAEELLQTMKETGVRPRKNCIRLVAEAWRAVGLQNEANRVLDSINDSHRSSQPDDSCILLERTDEVNQGQVYGSVNSNLLRATNGSATHGIRKGLRCTEPSSATIQIATKSALISPSFRFGVKSPVMCWKQSLLQPGIYGQVMNSCRAVFLQ >Ma07_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14102350:14108668:-1 gene:Ma07_g16530 transcript:Ma07_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTVAVNDETIKFEIWDTAGQERYHSLAPMYYRGAAAAVIVYDMTNAASFTRAKKWVQELQAQGSPNTIMALAGNKADLVEARQVSVEEAQTYAQENGLFFMETSAKTAINVNDIFYEIAKRLTRVQPVQNPQGMALADKPDQRIVNTSSCCS >Ma00_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39897868:39903113:-1 gene:Ma00_g04620 transcript:Ma00_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAAGSKLEKVLGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREQLLDYYANNKNLGDAEENLLMCLADLFSQISSQKKKTGVIAPKRFVYRVKKQNEIFRGYMHQDAHEFLNFLLNELVDILEKESNALKSPPEALSPSEKISNGPSHPVMNGVRKEPIVTWVHKNFQGILTNETRCLCCETVTARDEMFFDLSLDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPMELKLNTAEDADSEYILFAVVVHVGSGPNHGHYVSLVKSHNHWLMFDDDNIEMIDESTVQTFFGSSQEYPGNTDHGYILFYECLGGKS >Ma10_p27300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34653149:34657252:1 gene:Ma10_g27300 transcript:Ma10_t27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGSISTGSLFFSFFAMAILSFISPTVSLSPDGKALLSLLAAASASSSPGLLPSWDSSHPTPCSWQGVTCSPQGRVISLSLPNTFLNLTSIPPELSSLTSLQLLNLSSANISGAIPPSFGALASLRLLDLSSNALSGPIPQRLGAMASLQFLLLNSNRLSGSIPPALANLTSLQVLCLQDNLLDGSIPSQLGSLLSLQQFRIGGNPYLSGRLPPQLGLLTNLTTFGAAATSLSGAIPAEFGNLVNLQTLSLYDTDISGSVPPELGSCSELTNLYLHMNKITGGIPPQLGKLQKLTSLLLWGNSLTGPIPGELANCSELVVLDLSANKLSGEVPSELGGLALLEQLHLSDNLLTGGIPEELSNCSSLTALQLDKNALSGSIPWHIGSLKSLQSLFLWGNSLSGTIPRSFGNCTELYALDLSKNSLTGTIPEEIFGLNKLSKLLLLGNSLTGSLPPSVANCQSLVRLRLGENQLAGEVPREIGMLQNLVFLDLYTNNFTGKLPPEIANITVLELLDVHNNHIAGEIPPQLGDLMNLEQLDLSENSFAGGIPASFGNLSYLNKLSLKSNLLTGSLPRSIRNLQKLTLLDASGNGLSGRIPPEIGSLTSLTISLNLRSNRLVGEIPQEISGLTRLQSLDLSGNMLGGGIQVLGLLTSLTFLNISFNNFSGPIPATPFFRTLSTNSYLRNPELCPSFDGYTCSSDLAPRAAIKSIKTVALACAVLGSAALLFAATWMLVGRNRKLAAEKAVSIPSSGCDDFSHPWTFIPFQKLNFTVDNILEWLKDENVIGKGCSGMVYKAEMPGGELIAVKKLWKTKKEEELVDAFESEIQILGHIRHRNIVKLLGYCSNKCVKLLLYNYISNGNLQQLLQENRNLDWDTRYKIALGSAQGLAYLHHDCIPAILHRDVKCNNILLDSNFEAYLADFGLAKLMSSPNFHHAMSRIAGSYGYIAPEYGYTTKITEKSDVYSYGVVLLEILSGRSAIEPMVGDSLHIVEWMKKKMGSLEPAVNILDPKLRGMQDPMVQEMLQALGIAMFCVNSSPSERPTMKEVVALLMEVKSPPEECGKTSSQQPLIMSVKHG >Ma10_p27300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34653149:34657252:1 gene:Ma10_g27300 transcript:Ma10_t27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGSISTGSLFFSFFAMAILSFISPTVSLSPDGKALLSLLAAASASSSPGLLPSWDSSHPTPCSWQGVTCSPQGRVISLSLPNTFLNLTSIPPELSSLTSLQLLNLSSANISGAIPPSFGALASLRLLDLSSNALSGPIPQRLGAMASLQFLLLNSNRLSGSIPPALANLTSLQVLCLQDNLLDGSIPSQLGSLLSLQQFRIGGNPYLSGRLPPQLGLLTNLTTFGAAATSLSGAIPAEFGNLVNLQTLSLYDTDISGSVPPELGSCSELTNLYLHMNKITGGIPPQLGKLQKLTSLLLWGNSLTGPIPGELANCSELVVLDLSANKLSGEVPSELGGLALLEQLHLSDNLLTGGIPEELSNCSSLTALQLDKNALSGSIPWHIGSLKSLQSLFLWGNSLSGTIPRSFGNCTELYALDLSKNSLTGTIPEEIFGLNKLSKLLLLGNSLTGSLPPSVANCQSLVRLRLGENQLAGEVPREIGMLQNLVFLDLYTNNFTGKLPPEIANITVLELLDVHNNHIAGEIPPQLGDLMNLEQLDLSENSFAGGIPASFGNLSYLNKLSLKSNLLTGSLPRSIRNLQKLTLLDASGNGLSGRIPPEIGSLTSLTISLNLRSNRLVGEIPQEISGLTRLQSLDLSGNMLGGGIQVLGLLTSLTFLNISFNNFSGPIPATPFFRTLSTNSYLRNPELCPSFDGYTCSSDLAPRAAIKSIKTVALACAVLGSAALLFAATWMLVGRNRKLAAEKAVSIPSSGCDDFSHPWTFIPFQKLNFTVDNILEWLKDENVIGKGCSGMVYKAEMPGGELIAVKKLWKTKKEEELVDAFESEIQILGHIRHRNIVKLLGYCSNKCVKLLLYNYISNGNLQQLLQENRNLDWDTRYKIALGSAQGLAYLHHDCIPAILHRDVKCNNILLDSNFEAYLADFGLAKLMSSPNFHHAMSRIAGSYGYIAPEYGYTTKITEKSDVYSYGVVLLEILSGRSAIEPMVGDSLHIVEWMKKKMGSLEPAVNILDPKLRGMQDPMVQEMLQALGIAMFCVNSSPSERPTMKEVVALLMEVKSPPEECGKTSSQQPLIMSVKHG >Ma02_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20188441:20190666:1 gene:Ma02_g10720 transcript:Ma02_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGGGFRGRDGGFRGRDGGRGGGRGGRFGGRGGGRGRGGGYDEGPPAEVVEISSFLHACEGDAVTKLTNEKIPYFNAPIYLQNKTQIGKVDEIFGPINEAHFSIKMLEGIIATSYSPGDKFYIDPAKLLPLTRFLPRPKGQEATRGGRGGGRGGGRGGGRGGGFRGRGGPRGGRGGPPRGGGRGGFRGRGRF >Ma06_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6510936:6512566:1 gene:Ma06_g09230 transcript:Ma06_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGWRRGRIIGRGTSATVSLATSVSSGDVFAVKSSELSRSWLLQREQRILSALDSPFVVSYFGFDVAAQTPGAGLCYNLFMEYAARGSLSDEIRKQGGRLDELAIRSYACDILGGLAYLHSNGVFHCDLKSQNVLICSGGRAKVADFGCARSAEEEDEDERGWMRGTPMFMAPEVARGEEQSAPADIWALGCTVIEMATGRPPWPLVSDALSALHQIAFATDVPEFPRWISEEGRDFLSRCLRRDPLERWTAEQLLQHPFVAASRVANPPSKSDWISPKSTLDQAFLQSLSDDDDDDDGQVLDQTEEDPFERMQSLIGDAAPNWTWDETWATVRSNGGFPVTESITEDGRSTNPITDSSEQLMLSTNPMETGHVGGNRSSDHNLSETSVLPIAEGRILLKCKTENCTFENANFSLMNNKERTRAPFGHRTPIFPSVMSNSELRINAQLQLLSH >Ma08_p28050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40101555:40105660:1 gene:Ma08_g28050 transcript:Ma08_t28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAAAIGGGSSGKGKAVAAPEAKMKMETSGKPIIKQKSAAVVGKLEGKTGISSTKTVTKTINKTVKGRAAKKVYSLPGQKYDPPEEREPLRIFYESLSGQIPSSEMAEIWMMEHGLLSPERAKKAYERKQRRQQQQRLGTPIKSNKQERPESSKKPQVSKNGDVKAKKRVNHSDDDDDDFIVKSKKAK >Ma10_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32071518:32075987:-1 gene:Ma10_g22920 transcript:Ma10_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPAAALKAVTLTHVRYPRGDSLGHFLAWVSLIPVFISFGGFISHFIFRRELQGLCFALGLIISQVINELIKSSIHQSRPSSMCAVLEVCDSHGWPSSHSQFMFFFSTYFSLLCLINGVGVSSPSSRRIIALLPWPSAFLTLYSRVYLGYHTVPQVLAGSTLGLLLGAGWYWIVNTMLVDFFPAVEESAIGRFLYIKDSTHIPNVLKFEYDNARAARKKPAKD >Ma04_p38070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35746940:35747780:-1 gene:Ma04_g38070 transcript:Ma04_t38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNHLMQGVVNFFHRISILIYQNTQSMSMTALLQLFDRSGLLYGELARFVLRILGFRTRPRKH >Ma05_p19930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30296992:30297657:1 gene:Ma05_g19930 transcript:Ma05_t19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEHGLFYAAQSLCSTVSNPSANFKLREDPLSGSSLKAPRSFFSLSSFRSKESKPR >Ma08_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5210886:5213512:1 gene:Ma08_g07600 transcript:Ma08_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESRNMTLEDAEVTSEHRGPEPSRRQLIPLEDRDTVAARELDLGFPLMRQNTIYSLTLDEIQNAVCEPGKTFGSMNMDEFLTNIWNVEEIQAAAAANNNNEVPINHLAESTAGRQAAEAPPLRRQGSLTLPAPLSRKTVDEVWAEIHRDVALRSQHVERASQEGAGSAARQPTFGEMTLEDFLIKAGVVREGYGGGPPGAPSPHQQPVAPPPAPAAQQYGMAGFGHVVGMAGYVDEQGLGSAAGVGNGGYSVGNGFGGRVGNGYAAAAAVGSPASPVSSEGIGGGQVDNTVAGYVADGPRVGGGGGRKRPTDGAAVDKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEDNARLREEQGRVMALRKQLLLESMSEQARINVQKAARKLRRCNSSTW >Ma03_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17084497:17087142:-1 gene:Ma03_g15770 transcript:Ma03_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTESGNSSTESEVSGLDYEETQLTLAPPGGSKSEPERKRGFSESVDLRFGRPALEAHAKDPASGSSDGPVSGAGKSPASKAQVVGWPPVRSFRRNALRSCTYVKVAVDGTPYLRKVDLDAYAGYQQLLIALEEMFSCFTARDYTNERRLVDPVNGAAYVPTYEDKDGDWMLVGDVPWKMFVASCKRLRLMKSSEAVNLAPRTPQGCANAR >Ma04_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25481919:25485805:-1 gene:Ma04_g23300 transcript:Ma04_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRWRNCRRGIRWLSSATSAAVRGHLADEGDWSYVSEWWSDGGDTVFRSVSDHGNGVVSVVAYPSSRPPAEQLPAIERSIQQRYEKLHPESEHDGRLKILGYQWRVLRFNENTRQSTAKIMTAYRTSDPSSLFLMQQPHCLAVPYLKSMVSTGLTALASSGYDLSGAVTGKRSMKILCVGHGGGSLPLFLASKIKGASVHIVEIDPVVVMASVQAMGFPASAVKETSDKLSFDQSSYADEVLWEHMHERLFLHRSDAEDFVLNSHDIYDLVFIDAYDGDDIFPHKLWDRQGPFLESLRSKVHPVHGTVVVNLHSDSEVLSMDMKDYSLCQSILPMSRYISQVRKAYEEHFGLAYFFSVPWLCNITLVACSGMGLGINGRELDKNLVLSALISKCFLVEFVLGLPFPCLPYIKRGFMSLD >Ma08_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28553600:28553683:1 gene:Ma08_g18140 transcript:Ma08_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGAHVKDTNLGSMQRIVYEPEAS >Ma11_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4387169:4387510:-1 gene:Ma11_g05700 transcript:Ma11_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLRFSIRVFAFVVLNQIRISDYFCSFFLDYFSVLKPSDH >Ma03_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27319031:27326560:1 gene:Ma03_g22580 transcript:Ma03_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVPSSLPSHFPSIPPLAERSVRTRRRRVEVRRMAARCLIAPGGGEGSSRMASSVEVPQSLDGIRTLAESGRFKGWFLDQFGVLHDGKKPYPGAIYTLEKLAEHGAKLVIISNSSRRSCTTIEKLKSLGFNSSLFSGAITSGELTHQYLLRRDDPWFAKLGKSCIHMTWSDRGAISLEGLGLQVVSNVDDADFVLAHGTEALGVPSGESLPMSLDDLGQILEMCAKRKIPMVVANPDYVTVEARALRVMPGTLADKYEKLGGEVKWMGKPEKVIYSAAMAMVGVDACDCITVGDSLHHDIKGANKTGIASAFITCGIHATELGIGTFGETAGFDAVQSLARKYDAYPSYVLPAFTW >Ma11_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22619912:22622862:-1 gene:Ma11_g17270 transcript:Ma11_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNSSVSGDHLSGTFNRLGVGRSPAWRGQQARRQIRTVPEGGCGTADKSPGEVGCEEEGKPSKQGATLPREQRESIRLSLVKRKKDFKHMEKVNGRWINVLEGLELHTGVFSAVEQRRIVDCIYDFQDKGRKGMLRERTYSEPRKWMRGKGRVTIQFGCCYNYAVDKHGNPPGIIRDEEVDPIPPLLKLMIKRMVAWHVLPPTCIPNSCIVNIYDEDDCIPPHIDHHDFVRPFCTVSFLSECGILFGTELKVLGPGEFSGSSAIPLPVGSVLILNGNAADVAKHCVPAVPSKRISITFRKMDDSKLPYKFSTDPDLQNLQPLWYPPKAVPVQQNQDQSSSIPAAHNLEIHKHQNNQNPDVHRVHSNPIESTIDSPFKIHGDDFPPLGSGSSARPNRTTRSFRQ >Ma02_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16913523:16914556:1 gene:Ma02_g05650 transcript:Ma02_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLIPQGSHGTMVEEEELRSVSSSLESFSRNSNASSSSSDSMDDATSSASPSSPATEKDQHDREPLNEMSSLLAHLPLKRGLSRYYQGSSQTFTSLSDVKCLEDLAKPERPHRKKMKSCRSYVWGLDNQKPLFPKQCSKTITKKASKSSLSCIGGRRHNFVSGRPAVPPHRSSNFSGQSLLLA >Ma05_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6239667:6244391:1 gene:Ma05_g08490 transcript:Ma05_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGLQKLLLVLLILGCRGTLGGAEYLKYKDPKQPLNARINDLLKRMTLAEKIGQMSQIERENATADVLKTYFIGSVLSGGGSVPAPQASIETWVNMVNGMQKAALSTRLGIPIIYGIDAVHGHSNVYKATVFPHNVGLGATRDPALVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKLVKEMTEIIPGLQGDIPANSRKGVPFVAGKRNVAACAKHYVGDGGTYKGINENNTIISQHGLLSIHMPPYYSAVIKGVSTVMVSFSSWNGVKMHANHYLVTDFLKNKLHFRGFVISDWQGIDRITSPPHANYSYSIQAGIHAGIDMVMIPFAYTEFIKDLTYQVQNNVIPMSRINDAVRRILRVKFTVGLFENPYADPSLADQLGKKEHRDLAREAVRKSLVLLKNGKSANDPMLPLPKKAKKILVAGSHADNLGYQCGGWTITWQGLSGNNNTIGTTVLDAVKSTVDPSTEVIFSENPDPEFVKQSMFSYAIVVVGEHPYAETDGDNLNLTIPYPGPSVIQNVCSCIKCVVIIISGRPIVIEPYIGMIDSLVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKSVEQLPMNFDDPHYDPLFPYGFGLTTMPSKAN >Ma05_p08490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6239089:6244391:1 gene:Ma05_g08490 transcript:Ma05_t08490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGLQKLLLVLLILGCRGTLGGAEYLKYKDPKQPLNARINDLLKRMTLAEKIGQMSQIERENATADVLKTYFIGSVLSGGGSVPAPQASIETWVNMVNGMQKAALSTRLGIPIIYGIDAVHGHSNVYKATVFPHNVGLGATRDPALVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKLVKEMTEIIPGLQGDIPANSRKGVPFVAGKRNVAACAKHYVGDGGTYKGINENNTIISQHGLLSIHMPPYYSAVIKGVSTVMVSFSSWNGVKMHANHYLVTDFLKNKLHFRGFVISDWQGIDRITSPPHANYSYSIQAGIHAGIDMVMIPFAYTEFIKDLTYQVQNNVIPMSRINDAVRRILRVKFTVGLFENPYADPSLADQLGKKEHRDLAREAVRKSLVLLKNGKSANDPMLPLPKKAKKILVAGSHADNLGYQCGGWTITWQGLSGNNNTIGTTVLDAVKSTVDPSTEVIFSENPDPEFVKQSMFSYAIVVVGEHPYAETDGDNLNLTIPYPGPSVIQNVCSCIKCVVIIISGRPIVIEPYIGMIDSLVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKSVEQLPMNFDDPHYDPLFPYGFGLTTMPSKAN >Ma05_p08490.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6239075:6244391:1 gene:Ma05_g08490 transcript:Ma05_t08490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGLQKLLLVLLILGCRGTLGGAEYLKYKDPKQPLNARINDLLKRMTLAEKIGQMSQIERENATADVLKTYFIGSVLSGGGSVPAPQASIETWVNMVNGMQKAALSTRLGIPIIYGIDAVHGHSNVYKATVFPHNVGLGATRDPALVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKLVKEMTEIIPGLQGDIPANSRKGVPFVAGKRNVAACAKHYVGDGGTYKGINENNTIISQHGLLSIHMPPYYSAVIKGVSTVMVSFSSWNGVKMHANHYLVTDFLKNKLHFRGFVISDWQGIDRITSPPHANYSYSIQAGIHAGIDMVMIPFAYTEFIKDLTYQVQNNVIPMSRINDAVRRILRVKFTVGLFENPYADPSLADQLGKKEHRDLAREAVRKSLVLLKNGKSANDPMLPLPKKAKKILVAGSHADNLGYQCGGWTITWQGLSGNNNTIGTTVLDAVKSTVDPSTEVIFSENPDPEFVKQSMFSYAIVVVGEHPYAETDGDNLNLTIPYPGPSVIQNVCSCIKCVVIIISGRPIVIEPYIGMIDSLVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKSVEQLPMNFDDPHYDPLFPYGFGLTTMPSKAN >Ma05_p08490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6240032:6244391:1 gene:Ma05_g08490 transcript:Ma05_t08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGLQKLLLVLLILGCRGTLGGAEYLKYKDPKQPLNARINDLLKRMTLAEKIGQMSQIERENATADVLKTYFIGSVLSGGGSVPAPQASIETWVNMVNGMQKAALSTRLGIPIIYGIDAVHGHSNVYKATVFPHNVGLGATRDPALVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKLVKEMTEIIPGLQGDIPANSRKGVPFVAGKRNVAACAKHYVGDGGTYKGINENNTIISQHGLLSIHMPPYYSAVIKGVSTVMVSFSSWNGVKMHANHYLVTDFLKNKLHFRGFVISDWQGIDRITSPPHANYSYSIQAGIHAGIDMVMIPFAYTEFIKDLTYQVQNNVIPMSRINDAVRRILRVKFTVGLFENPYADPSLADQLGKKEHRDLAREAVRKSLVLLKNGKSANDPMLPLPKKAKKILVAGSHADNLGYQCGGWTITWQGLSGNNNTIGTTVLDAVKSTVDPSTEVIFSENPDPEFVKQSMFSYAIVVVGEHPYAETDGDNLNLTIPYPGPSVIQNVCSCIKCVVIIISGRPIVIEPYIGMIDSLVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKSVEQLPMNFDDPHYDPLFPYGFGLTTMPSKAN >Ma05_p08490.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6239286:6244391:1 gene:Ma05_g08490 transcript:Ma05_t08490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGLQKLLLVLLILGCRGTLGGAEYLKYKDPKQPLNARINDLLKRMTLAEKIGQMSQIERENATADVLKTYFIGSVLSGGGSVPAPQASIETWVNMVNGMQKAALSTRLGIPIIYGIDAVHGHSNVYKATVFPHNVGLGATRDPALVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKLVKEMTEIIPGLQGDIPANSRKGVPFVAGKRNVAACAKHYVGDGGTYKGINENNTIISQHGLLSIHMPPYYSAVIKGVSTVMVSFSSWNGVKMHANHYLVTDFLKNKLHFRGFVISDWQGIDRITSPPHANYSYSIQAGIHAGIDMVMIPFAYTEFIKDLTYQVQNNVIPMSRINDAVRRILRVKFTVGLFENPYADPSLADQLGKKEHRDLAREAVRKSLVLLKNGKSANDPMLPLPKKAKKILVAGSHADNLGYQCGGWTITWQGLSGNNNTIGTTVLDAVKSTVDPSTEVIFSENPDPEFVKQSMFSYAIVVVGEHPYAETDGDNLNLTIPYPGPSVIQNVCSCIKCVVIIISGRPIVIEPYIGMIDSLVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKSVEQLPMNFDDPHYDPLFPYGFGLTTMPSKAN >Ma05_p08490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6239089:6244391:1 gene:Ma05_g08490 transcript:Ma05_t08490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGLQKLLLVLLILGCRGTLGGAEYLKYKDPKQPLNARINDLLKRMTLAEKIGQMSQIERENATADVLKTYFIGSVLSGGGSVPAPQASIETWVNMVNGMQKAALSTRLGIPIIYGIDAVHGHSNVYKATVFPHNVGLGATRDPALVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKLVKEMTEIIPGLQGDIPANSRKGVPFVAGKRNVAACAKHYVGDGGTYKGINENNTIISQHGLLSIHMPPYYSAVIKGVSTVMVSFSSWNGVKMHANHYLVTDFLKNKLHFRGFVISDWQGIDRITSPPHANYSYSIQAGIHAGIDMVMIPFAYTEFIKDLTYQVQNNVIPMSRINDAVRRILRVKFTVGLFENPYADPSLADQLGKKEHRDLAREAVRKSLVLLKNGKSANDPMLPLPKKAKKILVAGSHADNLGYQCGGWTITWQGLSGNNNTIGTTVLDAVKSTVDPSTEVIFSENPDPEFVKQSMFSYAIVVVGEHPYAETDGDNLNLTIPYPGPSVIQNVCSCIKCVVIIISGRPIVIEPYIGMIDSLVAAWLPGTEGQGVADVLFGDYGFSGKLSRTWFKSVEQLPMNFDDPHYDPLFPYGFGLTTMPSKAN >Ma02_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22300094:22303066:1 gene:Ma02_g14170 transcript:Ma02_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGGESEREGMAAAEEMLVAVRQGKKGGGEKKEEEEEEPSIHADKLNCDIFSILESKFLFGYDDHQLWVPKASPLHASDADMTVAPDTGAAVPSPVRSQRGKVCVLCIDGGGGGAMRGILPGKALAYLEHALRSKSGDPDARISDYFDVVAGTGVGGVFAVMLFATRDGARPLFRADDTWRFLADHGKRLFRKASTSSPTSPTPSHGFLCGVFQGGGGGGTATAAMERVMKEAFGDRLTLRNTVKPVLIPCYDLQSSAPLVFSRADALESESFDFRLWEVCRATWAEPGRFEPAEIRSVDRSTTCVGVDGGLTMSNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGTGSGTTVVPETDQRRLRRWGAREWVRPITRIAADGAADLVDQSVALAFGPYRSSNYVRIQANPSTMGRCGVDMDCDASPANVEVLLGAAEEMLKQKNVESVLFSGRRIGEETNMEKLDWFAGELVLEHQQRRCRIAPTVAFKQATSKSN >Ma02_p14170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22300094:22303066:1 gene:Ma02_g14170 transcript:Ma02_t14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGGESEREGMAAAEEMLVAVRQGKKGGGEKKEEEEEEPSIHADKLNCDIFSILESKFLFGYDDHQLWVPKASPLHASDADMTVAPDTGAAVPSPVRSQRGKVCVLCIDGGGGGAMRGILPGKALAYLEHALRSKSGDPDARISDYFDVVAGTGVGGVFAVMLFATRDGARPLFRADDTWRFLADHGKRLFRKASTSSPTSPTPSHGFLCGVFQGGGGGGTATAAMERVMKEAFGDRLTLRNTVKPVLIPCYDLQSSAPLVFSRADALESESFDFRLWEVCRATWAEPGRFEPAEIRSVDRSTTCVGVDGGLTMSNPAAAAITHVLHNKQEFPFVRGVEDLMVLSLGCGTGSGTTVVPETDQRRLRRWGAREWVRPITRIAADGAADLVDQSVALAFGPYRSSNYVRIQANPSTMGRCGVDMDCDASPANVEVLLGAAEEMLKQKNVESVLFSGRRIGEETNMEKLDWFAGELVLEHQQRRCRIAPTVAFKQATSKSN >Ma05_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9540403:9541886:1 gene:Ma05_g13170 transcript:Ma05_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRILERSRVSPPAGAVAETTVPLTAFDLIWLKGGTVERVFFYRLPHSTAYFCASVLPHLKSSLSLALQQFYPLAGKIRRFPELDDDKYEIRYVDGDSVSFTVAEYDADFDEVSGDHARGVGPLLPLLPQLPRSDDDGVPVLDLQVTVFPNQGVAVGVAVHHAGCDGSSSMRFMFSWASTCAGPRSSAAVVATPPVFDRSLVSVPRDLYSIFYRYFGQRADWIIHEDPPEDMVIASFTLKGDHIRRLKELISAKAGAMEGGGASLRCSTIMATYAYVWVCLIKARAYGSDRTAHFMFAADCRGRLRPPLPAAYFGNCLGACFVEVKAGELLRENGVVSAAKAIGKAIERFGDDPLRGAETWPERIKYVALQQPLSVAGSPRFRVYDLDFGWGRPKKVEVTSIVRSGAMSLAESRDEDGGVEIGMGLLKHEMDEFATHFIDGLDRLQ >Ma04_p30050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30803759:30804876:-1 gene:Ma04_g30050 transcript:Ma04_t30050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIATVIIKVDLHCCSNSKKIKKALCKLQNWFNIESIVYDEKKNTVTVSGPFNPECFIRKLRCLACKAIEDVQIKPPKPPPSSPPKDEKPKPDKPPPPPEVVVKLHVCVFPPSAWPVGCCQPYPCYEPHRGCRRSCSCGLLSDGRHCYKGDGYKIVLE >Ma04_p30050.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30806524:30807741:-1 gene:Ma04_g30050 transcript:Ma04_t30050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIATVLITVDLHCCTCSKKIKKALCTLQNRFNIESIVYDQKKNTITVSGPFNPDCFIKKIRCLACKVIICIQIKPKQKPVTPPPPPPPPPKVDVNLGVCVYPGWPNCWVYGGVCDGLPPSAFCRPCRDGYNIIVEQEPCQSCFIM >Ma04_p30050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30811078:30812858:-1 gene:Ma04_g30050 transcript:Ma04_t30050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIATVIIKVDLHCRTCSKKIKKALCKLQNRFNIQSIVYDEKNNTVTVSGPFNPECFIKKLRCLACKVIKDVQIKPKPPPPPPPKPQPPKPEPPPPPKPEKPPPPPPPPEVVVKLPVCVFPPPGWPVCCYQPCPCFKPSNGCRRCCTCGWICDVPSLPQPRPKPVPLPEAVVRPPVCVFPPLGWPNCCRQPCPCFEPLNECCRCCSCGWVCDGPPPSAACRPGFEVDGCKIIVEQEPCQSCSIM >Ma04_p30050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30803759:30807701:-1 gene:Ma04_g30050 transcript:Ma04_t30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIATVIIKVDLHCCSNSKKIKKALCKLQNWFNIESIVYDEKKNTVTVSGPFNPECFIRKLRCLACKAIEDVQIKPPKPPPSSPPKDEKPKPDKPPPPPEVVVKLHVCVFPPSAWPVGCCQPYPCYEPHRGCRRSCSCGLLSDGRHCYKGDGYKIVLE >Ma04_p30050.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30806524:30812843:-1 gene:Ma04_g30050 transcript:Ma04_t30050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIATVLITVDLHCCTCSKKIKKALCTLQNRFNIESIVYDQKKNTITVSGPFNPDCFIKKIRCLACKVIICIQIKPKQKPVTPPPPPPPPPKVDVNLGVCVYPGWPNCWVYGGVCDGLPPSAFCRPCRDGYNIIVEQEPCQSCFIM >Ma07_p27010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33553525:33562844:1 gene:Ma07_g27010 transcript:Ma07_t27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRGFLASLVLLSILVLPLVLPASADGLVRIKLKKKPLDENGRLAARLVQERRRLMARKHGFRLGNGEDTDIVSLKNYMNAQYFGEIGIGSPAQKFTVIFDTGSSNLWVPSSKCYFSVACFLHSRYKSTRSSTYQKNGKSAAIHYGSGAISGFFSQDHVTIGDLVVKYQDFIEATREPSVTFVVAKFDGILGLGFKEISVGNAVPVWYNMIKQGLVKEPVFSFWFNRNADEGEGGEIVFGGVDPNHYKGEHIYVPVTQKGYWQFDMGDVHIDGQSTGFCAGGCAAIADSGTSLIAGPMTVIAEINQKIGASGVVSQECKAVVAQYGEQILDMLLSETEPSKVCSRIGLCTFDGTHGVSIGIESVVNENADVSSGLQSDAICSVCEMAVVWMQNQLRQNQTQERVLNYINELCERLPSPMGESSVDCAAISSMPGVSFTIGNKTFELTAEQYILKVGEGAAAQCISGFIALDVPPPRGPIWILGDVFMGVYHTVFDYGNLRVGFAEAA >Ma07_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25478342:25479754:-1 gene:Ma07_g18450 transcript:Ma07_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEHVRKIIRDHGDMSSKKHRYDKRVYLGALKFVPHAVYKLLENMPMPWEQVRNVKVLYHTTGAITFVNEIPWVAEPIYLAQVCVLFDHQNILLHDGFQVEEQYTCPPSTHHVFFNLN >Ma11_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6303539:6310314:-1 gene:Ma11_g07910 transcript:Ma11_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEESMGSRDQFPVGMRVLAVDDDPICLRLLEALLRRCQYNVTTTDKAITALKLLRENKDKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRIEELRNIWQHVIRRRKFERRCDNDPDGREDGQKSQVAKSEDGQGAADHSGKINKKRKDQSEVDEDDSEDNMLDNEDPSAQKKPRVVWTVELHRKFVAAVNQLGVDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRLSAVAGHQASITAAVGVRNPSYLHMASFNGLRNYCAINGSKQLPILGSLQQNGFGRMNSPMLGMHALLPSQTVQLGGAHKNASNPCNDLGKIPGIILQGNHRVNLLQGVPTALELEQFPQPKLMQEAKSHFPAGLSDSGLAAGPCSNSFPNVTNNSLLVQANKQHNQSGGLDNFSIVRMPSSSSELFEIDLQDVSQFSSISRCDGSWQGAVASTEYSANPLPILAPYNHTDIPPGNVGRNISTVTSHPVTRTLDESPNIKAVAPLCNPTTSNGVNQVRLLSASSVPTALDKDPKFSNFNIIGNSQQKWDYTFNPNAVSSASSSSSLPNLRITDATAQCRTSEFVFSNKNLDVDSIGQVNFGSPLITQNCMIDKPTSDSQLNFKGEYGSTSFQSGLNSAGCSLDDLVNAMIKPERDEIAFADMDLGCDVYSLGTCM >Ma09_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13071463:13073959:-1 gene:Ma09_g17500 transcript:Ma09_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGATVEAKNKVVLRSDAIPQKANPVDESKKDTKPQSTPTTSVPANRNGVSSGVASGVGRAKEKEKVAAGDKNKRILTPNTGKGKEQSMIADEKGVMKRKIGGEQDHARVPARKSRTNPVIKDAPRHEKGYDELADDEFHCSICMETKQLNESFSVSSCSHIFCTSCISQYVAAKVEENVTVISCPDPRCKNGILEPDMCKLILPEGVFHRWGIGLCESALGTQKFYCPFKECSALLVHDGADTDKQLITNSECPHCHRMFCAQCKVPWHAGICCKGFQELGKDERTREDILMRKLATDSKWQRCPQCRIYVEKIDGCMFMMCRCGYCFCYVCASPMTKELHYCAKCQR >Ma03_p28700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31814742:31814963:1 gene:Ma03_g28700 transcript:Ma03_t28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKCTQQGVCGPTPNLSGNMDDAGGTYEVCRNWYLSGMNQDVDILGVGFNGMNQGPVSCICFVIAYLMMVW >Ma05_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:126320:131104:-1 gene:Ma05_g00180 transcript:Ma05_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWNYMFSKTEFHVLILGVDKAGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRVEASNAKLVFWDLGGQIGLRTIWEKYYEEAHAIVYVIDAGCPSSFEDTKSALGKVLRHEDLQGAPLLILANKQDLPGAVSTEELARYLDLKELNERLYMIEAVSAYNGTGIKFAIDWLVDVMERSKRTEMLRVRAGVTGHV >Ma06_p31070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32258692:32261195:-1 gene:Ma06_g31070 transcript:Ma06_t31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCNFYFQIPSPSSSSAKPSSSFLSLTRRLGWVKPSKMRSKVGPETNGSRTTCWFRFGNRGVDAEGAGIYGSQTRDDFDRDDVEQYFNYMGMLAVEGSYDKMESLLSQNIHPVDILLMLAASEGDKPKIEELLRAGAKYDVKDADGRTALDRAVSEEIKELILGYPVKTA >Ma07_p25610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32636016:32638253:-1 gene:Ma07_g25610 transcript:Ma07_t25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein indeterminate-domain 9 [Source:Projected from Arabidopsis thaliana (AT3G45260) UniProtKB/Swiss-Prot;Acc:Q944L3] MKVVEHKTPGLTSGTGEVTAVSSSQQSSFASPNPLPAKKKRGPAGNPAPEAEVVALSPRSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWRLKQRTSKEARKKVYVCPEVSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDCKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEENARVTTANLVGDAHQLLLPQPASSAHEHSVLPNTTSVTTTTTTTTTTSSSCHLEFSIKGEEQDPYPSMKPWQPAKIHGLPSICSAVLEPVFPYKNHQSPYLSSHPTLTSSPHLSATALLQKAAQAGATMSRTKINTEMAADTPTTAGIGLCLSSHRGMRYGCGSSSHGLGPAVTFLQATAPGGSSEGIFNGMLAGSDRLKGSHGRDEEFARESLCNSHFSSRVILRMADTKPAMDFSSCERPQL >Ma07_p25610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32636016:32638244:-1 gene:Ma07_g25610 transcript:Ma07_t25610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein indeterminate-domain 9 [Source:Projected from Arabidopsis thaliana (AT3G45260) UniProtKB/Swiss-Prot;Acc:Q944L3] MKVVEHKTPGLTSGTGEVTAVSSSQQSSFASPNPLPAKKKRGPAGNPAPEAEVVALSPRSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWRLKQRTSKEARKKVYVCPEVSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDCKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEENARVTTANLVGDAHQLLLPQPASSAHEHSVLPNTTSVTTTTTTTTTTSSSCHLEFSIKGEEQDPYPSMKPWQPAKIHGLPSICSAVLEPVFPYKNHQSPYLSSHPTLTSSPHLSATALLQKAAQAGATMSRTKINTEMAADTPTTAGIGLCLSSHRGMRYGCGSSSHGLGPAVTFLQATAPGGSSEGIFNGMLAGSDRLKGSHGRDEEFARESLCNSHFSSRVILRMADTKPAMDFSSCERPQL >Ma02_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19322234:19327489:1 gene:Ma02_g09200 transcript:Ma02_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSFDVSRSSHSRGRSALRGHHPTPSYARSPAPRRRSPYRDDVSWQTSASWQFEPSRWRELSGFGAALSPWTPADDTPGSNHSRTIFRRSANDYYVSRAADPRSHGPSGQARRLELRSYVSTTNYDRSVELSKANNSSVLVAKGPWNPAESNSFDSQDEFSLFGYSAPTTAAARHNRVSFNIDHSSNHQTHHDVSFSHDREEHRRHDMSDDSRESDDDGDDEEAVQASRPVGLFSLFKYSNALDLFLIFLGCVGSLIGGGSLPWYSYMFGDVVNKMASQSGSQMIKEVERISVYMAALAAIVVIGSYMEITCWRMVGERSAQRIRREYLRAALRQDIGFFDTEMSTGDVMLGISSDVALIQEVMGEKVAHFVHHIFTFICGYMVGFLEAWKVALVVFSVTPVMMFCGIAYKAIYGGLAAAEEASYRRAGDVAQQAITSIRTVLSFVMEDEMAAKYEEGLQKSAPIGVKTGFAKGAGMGVIYLVTYSQWALAFWYGSLLVAKGEITGGAAIACFFAVNVGGRGLALSLSYYAQFAQGTVAAGRVFEVIDRTPEIDPYSSDGRALASVRGRVEFRGVDFAYPSRPDTMILRDLDLTIPASKTLALVGASGGGKSTIFALIERFYDPCRGSIRLDGHDLRTLRIQWLREQIALLGQEPVLFSTSILENVMMGREDATRKEAIAACAAVNADTFISGLPEGYDTQVGERGAQLSGGQKQRIALARAMIRNPRILLLDEPTSALDPESEATVQRAIDRFSAGRTTVVIAHRLATVRSADTIVVLDSGSVVESGGHHDLMGRAGPYAALVKLATDNTSINASKGSIGPIRPGSFNTAQYKSFEVESATLVSTHKCVESVNGVEEQMDTQRPTKISTSDIWGLQRPEVPVLLLGFILGITAGAIFSFFPLLLGEALQVYFQPNTSKMKREVGYLAVAIVGLGVGCILTMTGQHGLCGWAGTRLTIRVRNRLFRSILRQEPGWFDLAENSTGALISWLSVDCAAFRSMLGDRHSVLLMGLGSVAAGLGASFALDWRLTLVAMAVAPFTLGASYFSLLVNLGPRSDDGAYAAASSVAAGAVSGVRTIAAFSAQQRIVSTFDRVLSEPMNKSMNRAHLMGLGLGLSQGAMYGAYTLILWAGARMMKSGYSSFGDVCKIFLILVLSSFSVGQLAGLAPNTSRAPAAIDRVLRIIKRRPSMMDTEGPQKGRRVEGGRLMEVELRRVTFSYPSRPGVAVLREFSMRVRAGSTVALVGDSGSGKSTVVWLVQRFYDPEAGRVVVGGLDVREADVKWLRKECALVGQEPCLFGGSIRDNIRFGDQSASWAEIEEAAEAAHIHKFISGLPQGYETQVGEGGVQLSGGQKQRIAIARAILKRSRILLLDEATSALDVESERQVQEALRKASKRATTIIIAHRLAAVRDADRVAVVRDGTVVEFGSHRSLLENHVDGVYAAMVRRESEAQALA >Ma11_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21886072:21888542:-1 gene:Ma11_g16330 transcript:Ma11_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLQTDSKVSKMEDAQDKDILESSVEFTGQHAAEGRSGGWLAGNFLLVNQGLATLAFFGVNVNLVLFLTRVLQQSNAVAANNVSKWTGALNIFSLVGAFLSDSYWGGYKTCVVFQAVFVFGLTVLSLTSHLFLIKPSGCGDQWTPCGSHTNLEVRLFYFVIYMVALGNGGYQPTVASFGADQFDEDDPKEAHSKDTFFLYFYLALNLGSLLSNTCLSYFEDRGMWAWGFWASTVSAFVALLIFVGATPTYRHRKPGGNTLSRFCQVVVAASRKWRVGMPPGAADLYEEDGKELQVAGGRRRILHTEGLGFLDRAAVVDSDDFTFQAQSTHRKLWRLCTITQVEEVKCVLRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTVGGVTIPPSIMSAFDILSVVAFIFFYKRLLQPLVCRVRKNTTGLTELQRMGIGLIIAALAMVSAGVVEHFRLKLRCRGCTDASSLHIMWQVPQYVLVGASEVFMYVSQLQFFNGQTPDGLKSFGSALYMTSIAFGNFFSDFIVTIVMKITGKGGRPGWIPANLNDGHLDRFYFLLAALSCADLVAYVVCAMSYKCIKMEGRREKATSGFHV >Ma02_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28896065:28897028:-1 gene:Ma02_g24200 transcript:Ma02_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAVALAPADPSFFLSAPSSPRPFGDPAGCYYYTSAPASPSRAAAIYTDLYGPEEKPASPRPGSGDDEVPEFSFCFHGKPMEEWPTLPITAADELFEEGIIRTVTPSWVENGGGGAPQIAEDGLRRERGRRTLSSFSGASPRGLRGSTSLSALRGGYEGDLPKPPISSPATSALSKGGGSKKWKFSDLFLFRSASEGRATGRGSKDPLRKYTLPPPSSCTKRGANGGGSTRRGSASLPSAHEIYYSANRAAAEEQKRKQMTPLAYQRHGLFGYLSDNPAIHSITKGFGGSSLFSRRQR >Ma01_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7497858:7501987:-1 gene:Ma01_g10410 transcript:Ma01_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARRLRLLLGSAYAPARPTSSLPDALVPRAAAGAGTTTGRRAGGCRDTLGRRLLSLIYPKRSAVVVLRKWAEEDKPIQKYQLNRVVRELRKYKRYKHALEICEWMTTQSHIKLLPGDYAVHLDLVAKVCGLASAQKFFEDLPVRMKGPSTCTALLHTYVQCKLTDKAESLMEEMSAQGLLRCALPYNHMLTLYISNGELNKIPDLLRDLKRNASPDEITYNLWLSVFAKKNDVIGAEKVLHQMGKDNVTADWVTYSILANIYIKAACHDKAKEALVEMEKRVFKKDRVAYCSLISLHAGLLDKDNVYRMWNKLKATFRKMSDMEFKCMLSSLTKLGDIEEAERIYKEWESVSGTQDSRLPNILLAFYVKNDMMEKAEKFLMHIVETGVKPSYTTWELLASGNLHKNRMDKVLDCLKKALSSLEKWDPNVGLVKAVFTELEAMGNVEDAENFLVILRDAGYVTTEIYNSLLRTYVKAGKMPLIVAERMKKDNVKMDEETRHLLMVTSKFCISEVSPLIS >Ma01_p13570.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9889542:9893774:-1 gene:Ma01_g13570 transcript:Ma01_t13570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDSLPGCMGRMIGLFDLTAGMTKTKLLTEKPHMDAALGSAVGRNCSDAVKKPIYPAVAETQDKQLIVNEQRSGSSNKNSGRTPIKMLLSQEMQGDAEPRQKPPSVVARLMGLESFPVQRSVATSRKIRSKGGLRDSSTGELRHLKDDFVDHSMAYESRSFAHEKKDYRDVYEIQQQPSKNVWVKDQSPVKARHDENSYQKRMDLVRQKFTEAKRLATDEKLLDSKEFQDAVEVLNSNRDLFLQFLDEPNSLFTKQRLFDLQSISLPSPQRTRITVLKPSTAMEERSDRSMGRELLADSGESVGRANKHHWSSGFSEPNVHKPQPTRIVVLKPSPGKFDDTNTRFTDGGVSSGALVTDELVGSREVAKEITRQMRESLSSNRDEALLSSVLSNGYIGDESSSHRSASEFMEDEVGCLSGSEIATPATDYSWDCTNKIGSPFSASSLTRASHSPESSVITEAKKRMSERWASVASNENSQDRFQLQRTLSTLGEMLSIPELKKEGSKEEISLLDSKLFTGDDDPKVPAAFECTSGTTKDEHPVESSCRNLTRSKSAPNSSSACEFDESNTEISSSSFGKPIDQTEVPKSRGRSSFRDKVSGFFFSRSKKPCGEKPARSLPVSDDRLRSISSDLGGKTNDDLSRSVDDDLSQPKLGSPDKMPQGSTKVTPSLEAAHSLQKPAIHGTLTQNQDRYSQIPVFEAPLADGLSQSPGNNMAERPQALSRSPPIESVVRSLSRSTSCSDAASAKPFNSCMMFSEAEREHEQLVFVHELMASAGMDSRESVVFGGWHSIDSPLNPLLLYEYLHVDEEEGKCRERRSGRRLLFDAVNAALLDLSQAALRAAYPWNGPRHDARKNDKVGGSAADQVWAFVRNVLSSEKRVPTESASAAVERLVRKEVAGRQWAESSWLEVCEFSKEIGGKLLEELVEETLSELSRH >Ma01_p13570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9889542:9894415:-1 gene:Ma01_g13570 transcript:Ma01_t13570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDSLPGCMGRMIGLFDLTAGMTKTKLLTEKPHMDAALGSAVGRNCSDAVKKPIYPAVAETQDKQLIVNEQRSGSSNKNSGRTPIKMLLSQEMQGDAEPRQKPPSVVARLMGLESFPVQRSVATSRKIRSKGGLRDSSTGELRHLKDDFVDHSMAYESRSFAHEKKDYRDVYEIQQQPSKNVWVKDQSPVKARHDENSYQKRMDLVRQKFTEAKRLATDEKLLDSKEFQDAVEVLNSNRDLFLQFLDEPNSLFTKQRLFDLQSISLPSPQRTRITVLKPSTAMEERSDRSMGRELLADSGESVGRANKHHWSSGFSEPNVHKPQPTRIVVLKPSPGKFDDTNTRFTDGGVSSGALVTDELVGSREVAKEITRQMRESLSSNRDEALLSSVLSNGYIGDESSSHRSASEFMEDEVGCLSGSEIATPATDYSWDCTNKIGSPFSASSLTRASHSPESSVITEAKKRMSERWASVASNENSQDRFQLQRTLSTLGEMLSIPELKKEGSKEEISLLDSKLFTGDDDPKVPAAFECTSGTTKDEHPVESSCRNLTRSKSAPNSSSACEFDESNTEISSSSFGKPIDQTEVPKSRGRSSFRDKVSGFFFSRSKKPCGEKPARSLPVSDDRLRSISSDLGGKTNDDLSRSVDDDLSQPKLGSPDKMPQGSTKVTPSLEAAHSLQKPAIHGTLTQNQDRYSQIPVFEAPLADGLSQSPGNNMAERPQALSRSPPIESVVRSLSRSTSCSDAASAKPFNSCMMFSEAEREHEQLVFVHELMASAGMDSRESVVFGGWHSIDSPLNPLLLYEYLHVDEEEGKCRERRSGRRLLFDAVNAALLDLSQAALRAAYPWNGPRHDARKNDKVGGSAADQVWAFVRNVLSSEKRVPTESASAAVERLVRKEVAGRQWAESSWLEVCEFSKEIGGKLLEELVEETLSELSRH >Ma01_p13570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9889542:9894468:-1 gene:Ma01_g13570 transcript:Ma01_t13570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDSLPGCMGRMIGLFDLTAGMTKTKLLTEKPHMDAALGSAVGRNCSDAVKKPIYPAVAETQDKQLIVNEQRSGSSNKNSGRTPIKMLLSQEMQGDAEPRQKPPSVVARLMGLESFPVQRSVATSRKIRSKGGLRDSSTGELRHLKDDFVDHSMAYESRSFAHEKKDYRDVYEIQQQPSKNVWVKDQSPVKARHDENSYQKRMDLVRQKFTEAKRLATDEKLLDSKEFQDAVEVLNSNRDLFLQFLDEPNSLFTKQRLFDLQSISLPSPQRTRITVLKPSTAMEERSDRSMGRELLADSGESVGRANKHHWSSGFSEPNVHKPQPTRIVVLKPSPGKFDDTNTRFTDGGVSSGALVTDELVGSREVAKEITRQMRESLSSNRDEALLSSVLSNGYIGDESSSHRSASEFMEDEVGCLSGSEIATPATDYSWDCTNKIGSPFSASSLTRASHSPESSVITEAKKRMSERWASVASNENSQDRFQLQRTLSTLGEMLSIPELKKEGSKEEISLLDSKLFTGDDDPKVPAAFECTSGTTKDEHPVESSCRNLTRSKSAPNSSSACEFDESNTEISSSSFGKPIDQTEVPKSRGRSSFRDKVSGFFFSRSKKPCGEKPARSLPVSDDRLRSISSDLGGKTNDDLSRSVDDDLSQPKLGSPDKMPQGSTKVTPSLEAAHSLQKPAIHGTLTQNQDRYSQIPVFEAPLADGLSQSPGNNMAERPQALSRSPPIESVVRSLSRSTSCSDAASAKPFNSCMMFSEAEREHEQLVFVHELMASAGMDSRESVVFGGWHSIDSPLNPLLLYEYLHVDEEEGKCRERRSGRRLLFDAVNAALLDLSQAALRAAYPWNGPRHDARKNDKVGGSAADQVWAFVRNVLSSEKRVPTESASAAVERLVRKEVAGRQWAESSWLEVCEFSKEIGGKLLEELVEETLSELSRH >Ma01_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9889542:9894468:-1 gene:Ma01_g13570 transcript:Ma01_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDSLPGCMGRMIGLFDLTAGMTKTKLLTEKPHMDAALGSAVGRNCSDAVKKPIYPAVAETQDKQLIVNEQRSGSSNKNSGRTPIKMLLSQEMQGDAEPRQKPPSVVARLMGLESFPVQRSVATSRKIRSKGGLRDSSTGELRHLKDDFVDHSMAYESRSFAHEKKDYRDVYEIQQQPSKNVWVKDQSPVKARHDENSYQKRMDLVRQKFTEAKRLATDEKLLDSKEFQDAVEVLNSNRDLFLQFLDEPNSLFTKQRLFDLQSISLPSPQRTRITVLKPSTAMEERSDRSMGRELLADSGESVGRANKHHWSSGFSEPNVHKPQPTRIVVLKPSPGKFDDTNTRFTDGGVSSGALVTDELVGSREVAKEITRQMRESLSSNRDEALLSSVLSNGYIGDESSSHRSASEFMEDEVGCLSGSEIATPATDYSWDCTNKIGSPFSASSLTRASHSPESSVITEAKKRMSERWASVASNENSQDRFQLQRTLSTLGEMLSIPELKKEGSKEEISLLDSKLFTGDDDPKVPAAFECTSGTTKDEHPVESSCRNLTRSKSAPNSSSACEFDESNTEISSSSFGKPIDQTEVPKSRGRSSFRDKVSGFFFSRSKKPCGEKPARSLPVSDDRLRSISSDLGGKTNDDLSRSVDDDLSQPKLGSPDKMPQGSTKVTPSLEKPAIHGTLTQNQDRYSQIPVFEAPLADGLSQSPGNNMAERPQALSRSPPIESVVRSLSRSTSCSDAASAKPFNSCMMFSEAEREHEQLVFVHELMASAGMDSRESVVFGGWHSIDSPLNPLLLYEYLHVDEEEGKCRERRSGRRLLFDAVNAALLDLSQAALRAAYPWNGPRHDARKNDKVGGSAADQVWAFVRNVLSSEKRVPTESASAAVERLVRKEVAGRQWAESSWLEVCEFSKEIGGKLLEELVEETLSELSRH >Ma01_p13570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9889542:9894077:-1 gene:Ma01_g13570 transcript:Ma01_t13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDSLPGCMGRMIGLFDLTAGMTKTKLLTEKPHMDAALGSAVGRNCSDAVKKPIYPAVAETQDKQLIVNEQRSGSSNKNSGRTPIKMLLSQEMQGDAEPRQKPPSVVARLMGLESFPVQRSVATSRKIRSKGGLRDSSTGELRHLKDDFVDHSMAYESRSFAHEKKDYRDVYEIQQQPSKNVWVKDQSPVKARHDENSYQKRMDLVRQKFTEAKRLATDEKLLDSKEFQDAVEVLNSNRDLFLQFLDEPNSLFTKQRLFDLQSISLPSPQRTRITVLKPSTAMEERSDRSMGRELLADSGESVGRANKHHWSSGFSEPNVHKPQPTRIVVLKPSPGKFDDTNTRFTDGGVSSGALVTDELVGSREVAKEITRQMRESLSSNRDEALLSSVLSNGYIGDESSSHRSASEFMEDEVGCLSGSEIATPATDYSWDCTNKIGSPFSASSLTRASHSPESSVITEAKKRMSERWASVASNENSQDRFQLQRTLSTLGEMLSIPELKKEGSKEEISLLDSKLFTGDDDPKVPAAFECTSGTTKDEHPVESSCRNLTRSKSAPNSSSACEFDESNTEISSSSFGKPIDQTEVPKSRGRSSFRDKVSGFFFSRSKKPCGEKPARSLPVSDDRLRSISSDLGGKTNDDLSRSVDDDLSQPKLGSPDKMPQGSTKVTPSLEAAHSLQKPAIHGTLTQNQDRYSQIPVFEAPLADGLSQSPGNNMAERPQALSRSPPIESVVRSLSRSTSCSDAASAKPFNSCMMFSEAEREHEQLVFVHELMASAGMDSRESVVFGGWHSIDSPLNPLLLYEYLHVDEEEGKCRERRSGRRLLFDAVNAALLDLSQAALRAAYPWNGPRHDARKNDKVGGSAADQVWAFVRNVLSSEKRVPTESASAAVERLVRKEVAGRQWAESSWLEVCEFSKEIGGKLLEELVEETLSELSRH >Ma01_p13570.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9889542:9894218:-1 gene:Ma01_g13570 transcript:Ma01_t13570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDSLPGCMGRMIGLFDLTAGMTKTKLLTEKPHMDAALGSAVGRNCSDAVKKPIYPAVAETQDKQLIVNEQRSGSSNKNSGRTPIKMLLSQEMQGDAEPRQKPPSVVARLMGLESFPVQRSVATSRKIRSKGGLRDSSTGELRHLKDDFVDHSMAYESRSFAHEKKDYRDVYEIQQQPSKNVWVKDQSPVKARHDENSYQKRMDLVRQKFTEAKRLATDEKLLDSKEFQDAVEVLNSNRDLFLQFLDEPNSLFTKQRLFDLQSISLPSPQRTRITVLKPSTAMEERSDRSMGRELLADSGESVGRANKHHWSSGFSEPNVHKPQPTRIVVLKPSPGKFDDTNTRFTDGGVSSGALVTDELVGSREVAKEITRQMRESLSSNRDEALLSSVLSNGYIGDESSSHRSASEFMEDEVGCLSGSEIATPATDYSWDCTNKIGSPFSASSLTRASHSPESSVITEAKKRMSERWASVASNENSQDRFQLQRTLSTLGEMLSIPELKKEGSKEEISLLDSKLFTGDDDPKVPAAFECTSGTTKDEHPVESSCRNLTRSKSAPNSSSACEFDESNTEISSSSFGKPIDQTEVPKSRGRSSFRDKVSGFFFSRSKKPCGEKPARSLPVSDDRLRSISSDLGGKTNDDLSRSVDDDLSQPKLGSPDKMPQGSTKVTPSLEAAHSLQKPAIHGTLTQNQDRYSQIPVFEAPLADGLSQSPGNNMAERPQALSRSPPIESVVRSLSRSTSCSDAASAKPFNSCMMFSEAEREHEQLVFVHELMASAGMDSRESVVFGGWHSIDSPLNPLLLYEYLHVDEEEGKCRERRSGRRLLFDAVNAALLDLSQAALRAAYPWNGPRHDARKNDKVGGSAADQVWAFVRNVLSSEKRVPTESASAAVERLVRKEVAGRQWAESSWLEVCEFSKEIGGKLLEELVEETLSELSRH >Ma01_p13570.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9889542:9894077:-1 gene:Ma01_g13570 transcript:Ma01_t13570.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDSLPGCMGRMIGLFDLTAGMTKTKLLTEKPHMDAALGSAVGRNCSDAVKKPIYPAVAETQDKQLIVNEQRSGSSNKNSGRTPIKMLLSQEMQGDAEPRQKPPSVVARLMGLESFPVQRSVATSRKIRSKGGLRDSSTGELRHLKDDFVDHSMAYESRSFAHEKKDYRDVYEIQQQPSKNVWVKDQSPVKARHDENSYQKRMDLVRQKFTEAKRLATDEKLLDSKEFQDAVEVLNSNRDLFLQFLDEPNSLFTKQRLFDLQSISLPSPQRTRITVLKPSTAMEERSDRSMGRELLADSGESVGRANKHHWSSGFSEPNVHKPQPTRIVVLKPSPGKFDDTNTRFTDGGVSSGALVTDELVGSREVAKEITRQMRESLSSNRDEALLSSVLSNGYIGDESSSHRSASEFMEDEVGCLSGSEIATPATDYSWDCTNKIGSPFSASSLTRASHSPESSVITEAKKRMSERWASVASNENSQDRFQLQRTLSTLGEMLSIPELKKEGSKEEISLLDSKLFTGDDDPKVPAAFECTSGTTKDEHPVESSCRNLTRSKSAPNSSSACEFDESNTEISSSSFGKPIDQTEVPKSRGRSSFRDKVSGFFFSRSKKPCGEKPARSLPVSDDRLRSISSDLGGKTNDDLSRSVDDDLSQPKLGSPDKMPQGSTKVTPSLEAAHSLQKPAIHGTLTQNQDRYSQIPVFEAPLADGLSQSPGNNMAERPQALSRSPPIESVVRSLSRSTSCSDAASAKPFNSCMMFSEAEREHEQLVFVHELMASAGMDSRESVVFGGWHSIDSPLNPLLLYEYLHVDEEEGKCRERRSGRRLLFDAVNAALLDLSQAALRAAYPWNGPRHDARKNDKVGGSAADQVWAFVRNVLSSEKRVPTESASAAVERLVRKEVAGRQWAESSWLEVCEFSKEIGGKLLEELVEETLSELSRH >Ma06_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2850474:2851089:-1 gene:Ma06_g03940 transcript:Ma06_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHPSLVILLILFTSSCAQLAMARSAFCDSKCKVRCSKASLQDRCLKFCGLCCETCRCVPSGTYGNKDECPCYRDKYTGVGKRRRPKCP >Ma11_p00840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:616393:618542:-1 gene:Ma11_g00840 transcript:Ma11_t00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKIHESNVKRQDIDVVHMHAQHGQLLPIPQEQNTIVEHQKEEQQEEAAGVRFSAYVHKPTPLHPSIVGSSTVTTPPALTTRRPSRTRGQDDGEAVYVSCDKCRPTSRDKLISVVPLDNAAGHKHSPAGSSSPGPGGLLRSLFLSVTRRSPTAAAGVASTASAASAVREDQWRLVAAELSRKLLHATRKRDEAVLEASRLEYSLTELERKIDRLESHCRDLRASIQPGPALGPSTAPFPTEAFHVAIADARAAVRQLARSLIAHVRLGPPGNPGGLLFHMEALLSQAFYAGFEEDDEEEARLIDPAARCEASRAGYEAVRGLGWDEVLCKGTRHYSEGLSRFCDRKMSEVVGVVGWDRAWPEGLLQAFFEAAKGAWVVRLMARSVHPALPVLRADQGARFDCRFMEDVAADRVRRLTPANVRMMVAPGFHVYNAGGGGVVKCTVLCAYNSSNSD >Ma04_p27080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28360129:28363519:1 gene:Ma04_g27080 transcript:Ma04_t27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAAETTGGSGGGGGGFKARLEHYLYSGDKKHVFAGIAVISAVFAVPWFLMNRGTRHQSHQDYMEKANEARSERLSSGQPTIK >Ma06_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8798602:8803806:1 gene:Ma06_g12730 transcript:Ma06_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCDFSAEGFVGEAAVGNATPQKESAVLTTSSSFKIEGRAASALRRRASMKPNLEAEEFINMLHGSDPVRVELCRLENEVRDRDRELSEAQAEIKALRLSERAREKAVEELTEEVNKMDEKLKLTESLLENRNLEIKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNDEMKTLDRELSRAKVSANRVAVVVANEWKDSNDKVMPVKQWLEERRFMQGEMQQLRDKLVIAERTARSEAQLKEKFQLRLKVLEDGSRMSASGTYRTTIEGKSVSNGPSRRQSLGGADNVPKSVNGFLSKRPSFQMRSSVSSSTVLKHAKGASKSFDGGTRSLDRSKVLLTGAGLSLNRSSDATGDGVTHESWKKIPDEKTNDFPNVDSDDCVSGLLYDMLQKEVITLRKACHEKDQSLKDKDDAIEMLAKKVDMLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEQDNKSKRLGGSKGLANSSQLPPGRAVPRSGLMRNL >Ma04_p16800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16634532:16640030:1 gene:Ma04_g16800 transcript:Ma04_t16800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEGEASAKSPKPLAGQEQSPTTSSAAAVSVYPDWSNFQACPPIPPHGLFPSPVPSSPQAYPYMWGAPHLMPPYGTPLPPYLTYPPRGLYSHPSVPPGLRPFGPFAMSTTNMNAENSGVVPSSMEMDGKSCKGKEKGPTKRSKGGCSSSNMISEKNNSETCKSSGPPANEVSQRCIIPGDSTSDSSSEEESDDTSQNDSQPKTSGGDQAPSQAMMSHTRAVMPMPAASAPGGVVGPATNLNIGMEYWAASSLSHIPSIHGKMPTTAVGGAVAPSAPSKHWLQDERERKRQRRKQSNREAARRSRMRKQAEFEELANRAETLNEENTSLRTELNRIKSEYEHLLSENNSLKEKLGEVQKETQEPGFERNDQPLGKENPK >Ma04_p16800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16634483:16640060:1 gene:Ma04_g16800 transcript:Ma04_t16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEGEASAKSPKPLAGQEQSPTTSSAAAVSVYPDWSNFQACPPIPPHGLFPSPVPSSPQAYPYMWGAPHLMPPYGTPLPPYLTYPPRGLYSHPSVPPGLRPFGPFAMSTTNMNAENSGVVPSSMEMDGKSCKGKEKGPTKRSKGGCSSSNMISEKNNSETCKSSGPPANEVSQRCIIPGDSTSDSSSEEESDDTSQNDSQPKTSGGGESFDDQAPSQAMMSHTRAVMPMPAASAPGGVVGPATNLNIGMEYWAASSLSHIPSIHGKMPTTAVGGAVAPSAPSKHWLQDERERKRQRRKQSNREAARRSRMRKQAEFEELANRAETLNEENTSLRTELNRIKSEYEHLLSENNSLKEKLGEVQKETQEPGFERNDQPLGKENPK >Ma04_p16800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16634532:16640060:1 gene:Ma04_g16800 transcript:Ma04_t16800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEGEASAKSPKPLAGQEQSPTTSSAAAVSVYPDWSNFQACPPIPPHGLFPSPVPSSPQAYPYMWGAPHLMPPYGTPLPPYLTYPPRGLYSHPSVPPGLRPFGPFAMSTTNMNAENSGVVPSSMEMDGKSCKGKEKGPTKRSKGGCSSSNMISEKNNSETCKSSGPPANEVSQRCIIPGDSTSDSSSEEESDDTSQNDSQPKTSGGGESFDDQAPSQAMMSHTRAVMPMPAASAPGGVVGPATNLNIGMEYWAASSLSHIPSIHGKMPTTAVGGAVAPSAPSKHWLQDERERKRQRRKQSNREAARRSRMRKQAEFEELANRAETLNEENTSLRTELNRIKSEYEHLLSENNSLKEKLGEVQKETQEPGFERNDQPLGKENPK >Ma04_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16634532:16640029:1 gene:Ma04_g16800 transcript:Ma04_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEGEASAKSPKPLAGQEQSPTTSSAAAVSVYPDWSNFQACPPIPPHGLFPSPVPSSPQAYPYMWGAPHLMPPYGTPLPPYLTYPPRGLYSHPSVPPGLRPFGPFAMSTTNMNAENSGVVPSSMEMDGKSCKGKEKGPTKRSKGGCSSSNMISEKNNSETCKSSGPPANEVSQSGDSTSDSSSEEESDDTSQNDSQPKTSGGGESFDDQAPSQAMMSHTRAVMPMPAASAPGGVVGPATNLNIGMEYWAASSLSHIPSIHGKMPTTAVGGAVAPSAPSKHWLQDERERKRQRRKQSNREAARRSRMRKQAEFEELANRAETLNEENTSLRTELNRIKSEYEHLLSENNSLKEKLGEVQKETQEPGFERNDQPLGKENPK >Ma07_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:418447:429432:1 gene:Ma07_g00470 transcript:Ma07_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] MGKNMRIKTASSNRSPLLDHEESSSRALSDLEHGDTVQPANVTFSRVLHLAKPDAGKLVLATVALLIASTTNILIPKFGGKIIDIVSRGIQAPDQKAEALDAVKNTVLEIMVIVVIGSICTTLRAWMFNSASERVVARLRKDLFSHLMNQEIAFYDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLTTTFIGLGFMFSTSWKLTLLALVIVPVVSVAVRQFGRYLRELSHKTQAAAAVAASIAEESFGAIRTVRSFAQEDYEISRYSVKVDETLKLGLNQAKVVGLFAGGLNAASTLSVVIVVIYGANLTINGSMTTGALTSFILYSLTVGSSVSALSGLYTTAMKAAGASRRVFQLLDRVSSMPKSGDLCPLSEQDGDVEIDDVWFAYPSRPTHMVLKGIQLKLRPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVSLVDISHRYLHRQVSIVSQEPVLFNCSIEENIAYGYDGKATSSEIENVAKMANAHEFISNFPDKYQTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKTADTVAVISDGQIAESGTHDELLSKNGIYTALVKRQLQGPRFEDETGDKSNGTQG >Ma04_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6171832:6172893:1 gene:Ma04_g08650 transcript:Ma04_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPAAKPPLFFGMDLDRMNNLMLLEEKFFSEWPHYGDSLPEVPYLLSGTDDLVPLPESLDPFDDTLGFSPSLISSDTSLPSVPCQYHVLPEPLNPVHLEDIDLEPFAWPHDCVSTSNNEDLLLDPLPLNACDFPIVALDGESGWDGKRTTEKRVDGRTSRLNDVGFDEIKNYFYMPITKAAKEMNVGLTVLKKRCRELGIARWPHRKMKSLKSLIHNVQELGKGVCEESMRKELETLEDHKRLMEENPEMQLTERTKKLRQACFKANYKRRRLSQQF >Ma02_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16843521:16844976:1 gene:Ma02_g05580 transcript:Ma02_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITVGKSRFKRVCVFCGSSTGKRNCYQDAAVELGKELVARKVDLVYGGGSVGLMGLVSEAVHTGGGHVIGIIPRTLMSKEITGETFGEVKPVASMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHNKPVGLLNVDGYYNSLLAFIDKAVEDGFIQPYQRHIFVSAPNAKDLVQKLEEYVPVEDALIAKLSWEMEQVGLNSTLQAEIAR >Ma10_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31048761:31049509:-1 gene:Ma10_g21080 transcript:Ma10_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRMVGLDGPLLSTLQHLMEMPEEMEKTFSGPSHTYVRDAKAMATTPADVKELPGAYVFVVDMPGLKGGEIKVQVEDGRVLVVSGERKRGPDGEDGKDGGVKYLRMERRVGKFMRKFSLPDNADVEAISAVCQDGVLTVTVQKVPPPEPKKPKTIEVKVA >Ma11_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25555087:25558391:1 gene:Ma11_g21380 transcript:Ma11_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLILNTAAASPLVGLASHHFSKFPIKPLATSRNFKPLSFPASSSAPSRLRRMSWLGKLGLGFGGRGSPTEASSAIAQSPDDDVPAAGQEFAQFGAGCFWGVELGFQRVPGVTKTEVGYSQGNVHEPTYEDVCTGMTNHSEVVRLQYDPRQCKYEDLLDVFWERHDPTALNRQGNDVGTQYRSGIYFYTPEQEKAARESMERRQKVLNGKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFRQSAAKGCSDPIRCYG >Ma07_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30581640:30582182:1 gene:Ma07_g22770 transcript:Ma07_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSLSAPLAEAPAAAGGVGLGYGIAIAVGILVLVSTILLASYLCVRVKSDVHRPLPSPPPAARPAALAPDGSAAVVVVTAVGLDGPVIEAFYPRFACGQGGALAPPGPCPICLAEYESGEVLRRAPDCGHCFHAGCVDEWLRVSATCPLCRSSPVPSAAATPVATPLSELIPLAAHPR >Ma02_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19212689:19222123:1 gene:Ma02_g09030 transcript:Ma02_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKRPSISQEPLDSENNDSVEEVSVETDKEFEKGETFNAKTAASAAPRSMNIMERRKKRKELDKARHRLDAEKEQPKAKMPSEGAPLADTQSVPSMVAANQPGLHVNVFRDLASADSSVREAAAESLVVELSEVQKAYEKQRGKGEEDGALQLEAEKDDGLEDCAPSLRYAIRRLIRGVSSSRECARQGFALGLAAVVGTIPTIKLNSVMKLITDLLDVSSSMKGQEAKDYLLGRLFAYGSLVRSGRIVKDWSTNTDTSVIKDFMSHIISLAGKKRYLSEPAVSIILDMVDKLPSQALSIEVLKASGVHDWFKRAAEVGDPDALYLALKLQEKIQVDDEVFGKLLPHPFNSENFFGRDHLLYLADCFKESSFCLPRVHSLWPVMVNLLTLDMTTHSEDVAVRSTSVKKQKRNRKGSSFEDIAKNIRCFHEVVIERSLLQSSHDRKLLALDILLLILPKLPVSCIKVVLSNKLVHCLMDVLSNKDSWLYSAAQHFLKELLDLVGDDNDRCVSVITSLQKHSSGIFDSISRTQTVKNLVAKFNTVPGCLLFVQDLISLFVDEGPITDEPSDQSQTTDENSDLCSSEDKETLASGNIDSLKNWVIDTMPRVLKNLKLDSIAKSWPHTEIAKHIEAKFRVQTEITKFLAVQGLFSASLGTEVTSFELQEKFKWPKAAISSSLCRMCIEQLQSLLEDAQRGESSHASTGIEFNDLGSYFVCLLNTLYNIPSVSLYRTLTSEDEKAFKKLLSMESRLSQEERKIRPGLDANKLHAFRYLLIQLLLQVLVRPGEFSEVALELTICCKKAFPAAADDGSSEDEEYDGNDVPEFMDVLVDNLLSLLPQSSSPLCFAVEQVFKSFCDDITDAGLLQMLRVVKKDLKGPRHPTASSYGDEEDDDDDFLGIEEAEEADEVGTDDTVDSDGHADGADELLRPEETDDKVAKKDVDIMGTEIVKAIDKVTKNEELSASDDSDDDMDDDAMFRMDSYIARIFKERKISGSDSAQSQLIPFKLRVLSLLEIYLQKNPGKPQVLMVYSYLAQAYVNSHMTEGGEPLKQRIGGIVQKKVFKAKDYPKSDDIQLHSLEILLEKSLKSASRSRYKTVSSFAQTSTFWLLKVMHSRDLSKSELESVANDFQNVLVDYFSNKKSRLKAGFIKEVIRRHSWLGLLLFGFLLEKCGTAKSEFRQIEALDVIDCIIKTCIPTGKGEKDQDDSSRAKFLKKNLPAICELMEKLLTKMPEKQSRRAEVRRFCSRILNTVSMLNLNKAFLKVLKPDARILCEHLLGEAFHPFKSSS >Ma01_p21430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21091324:21096233:-1 gene:Ma01_g21430 transcript:Ma01_t21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLFRQLFEKESSTYTYLLADLGHPDKLAVLIDPVDRTVDRDITLVKELGLKLVYAMNTHVHADHVTGTGLIKAKMPGVKSVISKASKAVADHVVEHGDKIYFGDLFLEVRATPGHTVGCVTYVTGVGSDQPYPRMAFTGDALLIHGCGRTDFQGGSSHQLYQSVHSQIFTLPKDMLLYPGHDYKGFTVTTVGEEIVYNPRLTKDEETFKDIMENLNLAYPKMMDVAVPSNMVCGLQDIISKV >Ma08_p33630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43904139:43906331:-1 gene:Ma08_g33630 transcript:Ma08_t33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSMTEARLPPGFRFHPRDEELVCDYLEKKVRGGSDGAGSSHGGYPVIVDVDLNKCEPWDLPEMACVGGKVWYFYSLRDRKYATGQRTNRATMTGYWKATGKDRQVKRRGAMVGMRKTLVFYHGRAPKGKKTDWVMHEFRMESSDPPSFPPLREDWVLCRVLHKSRYGATTSMTTSCHDHANASSLPPLMEPFISFDPARLESNAQAPCFSDLPPSSVPLQDAAAPPTSSIGGFPDSGACDRDDKVIKTLLNHFLPPSLPQGSSEGFFFADNGLSHMWNTF >Ma03_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10933664:10933759:-1 gene:Ma03_g13870 transcript:Ma03_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAIMLSTGAIGFLMSFYFVHYLFSSVKID >Ma03_p33510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34834502:34843178:1 gene:Ma03_g33510 transcript:Ma03_t33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERERERERGGKVTVDVRYAQWKSLVPLLYDWLANHNLSSFLPHLCRWGPQLDQATYKNRQRLYLSEQAIGSVDSTATVSQTICEIVKPRVAAAEHISQFNEETHSPFVKEYKTIIHPGEVNRIRELPQYSKIVATHADSPYVLQPNHHPMLGATESRPDLGRTMLQMRRRYSCVIFFI >Ma08_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36603404:36607778:1 gene:Ma08_g23220 transcript:Ma08_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVARALRSRLPRSGACRAVSTAAPTPTDDGAGEGISHRMVSVNGINMHVAEKGEGPTVLLLHGFPELWYTWRHQMHGLAACGFRAVAPDLRGFGDTDAPPAAAAYSILHIVGDLIALLDSLGQDKVFVVGHDWGSMVAWNLSMFRPDKVNAMVNLSQAFTPRNPTRKSLEYLRTVFGDDYYMCRFQEPGKIEAEFARLGTAWVLKKFLTYRNPGPLYITEDQGWGSSDSKILLPSWLSEVDINYYTSKYEKAGFTGGLNYYRSLDLNWELTAPWTGARIKVPAKFIVGDLDLTYHSPGAQDFIHKGGLKNYVPLLDEVVVMKEVGHFINEEKPTEITENICDFITKF >Ma11_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24827596:24832203:1 gene:Ma11_g20290 transcript:Ma11_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSECVVFLLLLFLSLYTNPHAVLLLIQRWLGFLGSHALDTFRPMSPLSPTTFFTICGAMSTLLRALLVAAALLLLVFPRAGAGADAKAEDDHHGKIRYKNHVHGDHLKGHHGTFKSGKWEFAHATFYGGSDGSQTREGACGYEDTVTEGYGLQTAAMSTALFNDGLTCGACFEIKCVNDKEWCKPGHPSIFVTGTNLCPPNYYQSSDNGGWCNPPRVHFDLTQPAYLQIAQYKAGIVPVAYRRVPCKKRGGIRFTTSGNPYFLLVLVWNVAGAGDVHNMQIKGNKVGWTAMSRNWGQRWQTNVDLTGQSLSFRVTASDGRRSTSWHITPRNWQFGQTYEGKNFKF >Ma10_p29100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35772480:35772857:-1 gene:Ma10_g29100 transcript:Ma10_t29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFVAVVLFVLLSPGLLFQIPGNGRLVEFVSLKTSGLAIFVHSIIFFALAAIFILAIGVHVYTG >Ma02_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15063277:15078870:-1 gene:Ma02_g03610 transcript:Ma02_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQVQQGGAGTTAAAAAAGGDAGDAVMARWLQSAGLQHLASPMASSDNRLLPNLLMQGYGPQSVEEKQKLLRLLRNLNFNGESVSEPYTPTAQSLGTMTAADGFYSPELRGEFGAGLLDLHAMDDTELLSEHVFSEPFEPSPFFPTRGFDDDYDVTSTKQQVRAEGNMRPVDNEKEISTKESNVAKIKVVVRKRPLNKKEKSRKEDDIVTVNDNACLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRVTVEPIIPTIFERTKATCFAYGQTGSGKTYTMQPLPLRAVEDIVRLLHQPFYRNQKFKLWLSYFEIYGGKLFDLLCDRRKLCMREDGRQQVCIVGLQEFEVSDVLMVKEFIEKGNAARSTGSTGANEESSRSHAILQLAIKKHREVEDNRRQKESNESKGGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNSRKDQASASLVPSSKELASSLPISVETDNFAEQNQGSNAVEVPKRNAEMFLHNSSSEPDRNSLGTMNYNRNGREESMQVSGSLDRERADLKSNLGGYSNKSYTVQNSSDTQDEEKVPKVSPPRRKLTREEKPERQNWSKKESGPRLPPSNQKQQHIFDSASDTVSKQYEQEASCNDEEINALLEEEDALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQQRLKEQEILSRKKGPK >Ma05_p28480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39472271:39473740:-1 gene:Ma05_g28480 transcript:Ma05_t28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVNYEKIVRDTCRGIGFVSADVGLDADRCKVLVNIEEQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETAELMPLTHVLATKIGARLTEVRKNKTCPWVRPDGKTQVTVEYRNDGGAMVPVRVHTVLISTQHDESVTNEQIAADLKEHVIKPVIPAKYIDDRTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSVVASGLARRCIVQISYAIGVPEPLSVFVDTYKTGKIADQDILVLIKENFDFRPGMIAINLDLKRGGNLRYQKTAAYGHFGRDDPDFTWEKAKVLKANKA >Ma02_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19825183:19827481:-1 gene:Ma02_g10160 transcript:Ma02_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMHCAAAILLLCSAVPIALAITDGLLPNGNFEAKPKASDLNGTQVMSRYAIPQWEISGFVEYIESGHKQGDMLLVVPEGAYAVRLGNEASIKQKLKVVKGMYYSITFSAARTCAQDERLNVSVTPDSGLLPIQTTYGSDGWDSYAWAFRATLEEVHLVIHNPGVEEDPACGPLIDSVAIKTLYPPKLTGDNLLKNRDFEEGPYVLPNTTWGVLIPPNIEDDHSPLPGWTVESLKAVKYIDSDHFSVPRGKRAVELVAGKESALAQVARTVPGKVYALAFSIGDAGNGCVGSMVVEAFAGRGTAKIPYESKGTGGYKRGVLRFTAVAERTRVVFFSTFYHTKVDGSLCGPSLDDVSLLSVRSPVRRD >Ma08_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:729129:732955:1 gene:Ma08_g00780 transcript:Ma08_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASINRPPTPDPDEEPEKELTLQEMINIKLIESGEKEKLMELLRERLIECGWRDEMKALCRAYARKKGRNNVTVDDLVHVITPKGRASVPDSVKAELLQRIRSFLTSVPL >Ma08_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4797442:4803073:1 gene:Ma08_g07010 transcript:Ma08_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASLASLGSARSVSPGVFEVFPSVVAVRRVHVVSSIRVGGFDGWKKWRRVRGRVCKCMVTTNLVEEREIPFSAESTLKVSNSSGGRNDDADLILKPPSKPVLKPLPNGQVDPSNSGSSIWSSDMVVREKPMAAVEDTEKVIESLGEVLEKVEKLETTNALKFGGKDIKGNGAPSGGSKPVGPENATSSPRKSKTLKSVWRKGNPVASVQRVVKELPKVREDRKKDIPIITETKISGAAQVAPLRPQMPLPARPKLQAKPAAVPPSTPAVKKSDVQKERKPILIDKFASKKPVDDPIAAEAILATPLKPAKGPPPSKVKDERRKKSSSTGGLRRRMTNDGEISEQEASELDVPIPGVTEPRKGRKWSKASRKAARLQAAKAAEPVKVEILEVGEEGMLTEELAYNLAVGEADILAFLFSKGVKPETVHALDKDMVKMICKEYDVEVIEVDPVRVEEMAKKKEVLDEDDLDMLEDRPPVITIMGHVDHGKTTLLDYIRKSRVVASEAGGITQGIGAYKVLVPVDGKPQPCVFLDTPGHEAFGAMRARGARVTDIVIIVVAADDGVRPQTSEAIAHAKAAGVPIIIAINKIDKDGANPERVLQELSSVGLMPEIWGGDIPMVQISALKGENVDELLETVMLVAELQELKANPQRNAKGTVIEAGLDKAKGAVATLIVQNGTLKKSDVVVCGEAFGKVRAMFDDRGGHVDKAGPSMAVQVIGLSSVPIAGDEFEVVKSLDVARERAETCAESLWVARISAKAGEVKVTLSSIASAVATGKQSGLDVHQLNIILKVDVQGSIGAIRQALQVLPQSNVSLKFLLQAPGEVSTSDVDLAVASEAIIFGFNVKTPGSVKSYADKKNVEIRLYRVIYDLVDDMRNAMEGLLEPVEEQVPIGTADVRATFSSGSGRVAGCMITEGKVVKDCGVRVVRNGKTVHTGTIDSLRRVKEDVKEVGAGLECGIGVDDFDDWEAGDVIEAFNTVEKQRTLEEASATVAAALVGAGIEL >Ma08_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9800847:9807607:1 gene:Ma08_g12760 transcript:Ma08_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYINRSLLFFSGAHSTTFNFKNNCPYPVWPASLANAGKAALSQTGFQLDSGASLSVDAPPAWGGRMWTRHRCSADSSGRFSCLSGDCGTGQVACNGAGGAPPTTLVEFTLQGDGGKDFYDVSCVDGFNVPVSVVPSGGSNCDSTSCQTNINARCPTELQMLAPDGSVVGCKSACLAFDTDEYCCRGQYGGPDTCKPTSYSKMFKDACPQAYSYAYDDKSSTFTCVGANYDITYCP >Ma08_p29290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40936449:40943001:1 gene:Ma08_g29290 transcript:Ma08_t29290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQGFWATLWSCLRFLPFFLGLLLLGIIKGALLFPFVCLIMTLGNSAIIVSLWPVHVVWTYYCIAKAKQLGPILKLVLALGVSVILMLWPPVGIFGSIIVGAIYGFLTPIMATFDAVGEGKANNLIHCFLDGTWSTIKGSCTVVRDVKDICLHSYFSIMDDLRLHDPPNGEPYEIRLRYIPGACLAGLLGVMADVPMISLIAICKSPYMLFKGWRRLFHDLIGREGPFLETACVPFAGLAIILWPLAVAGAVTASIISSFSLGAYAAVIAYQETSVKMGLAYIISSMSMFDEYSNDVLDMPEGSCFPRYQYRKKVPQRATSFSRPVSFRRENQDAKKAPSRATSFKNSILELNPLKLLDHLFSECKRHGEVLVSEGVITREDIQESRSSKGGSRIISIGLPAYSILQALLLSAKSDTDGLVLSDNTEITTENRPKDTIFDWFFDPLMIIKEQIKAENFTEEEEIYLSKLVLLHGDSKRLKNLNAQSSSSDQRKRAEIDALARRLQGITKSISRYPTARRRFDDLVKSLSEDLEKKFGSNRSANGFQESQRVRSGIFRIFSQKSFGSDTSTKGHHQEIQEVNNGF >Ma08_p29290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40936449:40943001:1 gene:Ma08_g29290 transcript:Ma08_t29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQGFWATLWSCLRFLPFFLGLLLLGIIKGALLFPFVCLIMTLGNSAIIVSLWPVHVVWTYYCIAKAKQLGPILKLVLALGVSVILMLWPPVGIFGSIIVGAIYGFLTPIMATFDAVGEGKANNLIHCFLDGTWSTIKGSCTVVRDVKDICLHSYFSIMDDLRLHDPPNGEPYEIRLRYIPGACLAGLLGVMADVPMISLIAICKSPYMLFKGWRRLFHDLIGREGPFLETACVPFAGLAIILWPLAVAGAVTASIISSFSLGAYAAVIAYQETSVKMGLAYIISSMSMFDEYSNDVLDMPEGSCFPRKKVPQRATSFSRPVSFRRENQDAKKAPSRATSFKNSILELNPLKLLDHLFSECKRHGEVLVSEGVITREDIQESRSSKGGSRIISIGLPAYSILQALLLSAKSDTDGLVLSDNTEITTENRPKDTIFDWFFDPLMIIKEQIKAENFTEEEEIYLSKLVLLHGDSKRLKNLNAQSSSSDQRKRAEIDALARRLQGITKSISRYPTARRRFDDLVKSLSEDLEKKFGSNRSANGFQESQRVRSGIFRIFSQKSFGSDTSTKGHHQEIQEVNNGF >Ma10_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29442041:29444603:1 gene:Ma10_g18560 transcript:Ma10_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLQEKSFDSWNESDDLDVFEATRYFSGAIDGTGLQVGGFGSHGAVTVEDRVPSSARKGNLDERQISSSSSLMKDKKCKQPSSPGARLVGLLNSFLHQAASGRKLKCLNPTSTTREPPEEGDAKEENSLVGRERRRSISRSQSTNSTGSKSSKFCGSSSFTNPDLYPYNYRTTPSMSYKCNRGQKSVTFCPRIEAWRSTSITELLHNSGVQKEKDYGLHGIRTEERDAHDRENKKWVLNDPGDQYPGRDWFGDYDLPLPGVRKNSIRGEEEEEEEVSDSSSDLFELKICDHAVLSDGLPVFATTDIEVINRASTAS >Ma05_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37128522:37133135:1 gene:Ma05_g25090 transcript:Ma05_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSSLGGIQTDAAPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKAHLFSNLIRHPKFISDTKSAIADAYSHTDSEFLKSENSQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGKAFAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQFVVADPEIQEEVIDNSLEFLILASDGLWDVVTNEEAVAMIQSVEDPEQAAKRLLQEAYQRGSADNITCVVVRFIAGQENTTTVQQ >Ma09_p24720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36343272:36346843:1 gene:Ma09_g24720 transcript:Ma09_t24720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVAAMTMIVVAAAATGSAAQSTPECASKLVGCAQYLNSTTPPDTCCGPLKQEAKDDLPCLCALFNNTAVLKAFNVNITQALQMAKRCGVNADQSTCATVTASPSATPPSSSTNNTSSPAGKSDNSAHGVASIGLPGLVSLLLCWWSLIA >Ma09_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36343272:36346843:1 gene:Ma09_g24720 transcript:Ma09_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVAAMTMIVVAAAATGSAAQSTPECASKLVGCAQYLNSTTPPDTCCGPLKQEAKDDLPCLCALFNNTAVLKAFNVNITQALQMAKRCGVNADQSTCATVTASPSGTATPPSSSTNNTSSPAGKSDNSAHGVASIGLPGLVSLLLCWWSLIA >Ma09_p24720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36343272:36346843:1 gene:Ma09_g24720 transcript:Ma09_t24720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVAAMTMIVVAAAATGSAAQSTPECASKLVGCAQYLNSTTPPDTCCGPLKQEAKDDLPCLCALFNNTAVLKAFNVNITQALQMAKRCGVNADQSTCATVTASPSGTATPPSSSTNNTSKSDNSAHGVASIGLPGLVSLLLCWWSLIA >Ma09_p24720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36343253:36346843:1 gene:Ma09_g24720 transcript:Ma09_t24720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVAAMTMIVVAAAATGSAAQSTPECASKLVGCAQYLNSTTPPDTCCGPLKQEAKDDLPCLCALFNNTAVLKAFNVNITQALQMAKRCGVNADQSTCATVTASPSATPPSSSTNNTSKSDNSAHGVASIGLPGLVSLLLCWWSLIA >Ma10_p31530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37651596:37655007:-1 gene:Ma10_g31530 transcript:Ma10_t31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGPNRSARNGGLGLTNTLSSGDRIVSFLIGQFSLVWIDQQQSLNFNLNVIESEWGRGANLDVLASKLAADPSHSIKAVCIVHNETATGVTNNLAAVRKLLDEYGHPALVPVNGVSSICSLDLRMDEWGIDVALTGSQKAPSMPTRMGIVCASPKAMEAAKSAKSVRVFFDWNDYLKLYKMGTYWPYTPSIQLLHGLRTALDLFFEEGFDNVTARHSRLGKATRLAVEAWGLKNCTQEEWWFHLVLTALLWWFHLVLTALIIVKRAWTRYNLIMGLGLKKVAGKVFRIGHLGKLNDVLSLRNKKHFGVEMVLRDVGYPVKLGSGVAAAAAYLQNTIPMIPSRI >Ma00_p02680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19754982:19756893:-1 gene:Ma00_g02680 transcript:Ma00_t02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLSKRPSMRPVRRVSGGGSGCGSSIGGGHQVNGTDGSSTSGDTGAGQSGIQYTAELTSYEAACRLDPELRSFDATLQQRTSHAISTLALGVELRCLSFDTLREVTGCLLETNKEVVKAILECRKDIWKSPELLSLVDDYFECSIQTLDYCTELEKCLKKARDSHLIIQFAIQRFEEEDKEKNEVVTEEDGKTRYTMTLEKLRHFKAAGSPFTEEFARVLKSVYEHQKLMLEKLLLRKKKLDDKMKSINTWRRVSNITFVAALVAVIICSVVAASIAAPPVAAALAAATAIPIGSMGKWVNSLLKNCQNAFGRETELLTSMQFGTFIALKDLDTIRVLVDKLEIHFNSLLENADFAFRDEEAVKFAIEEIKKNLEVFMKNIEDLGVQVDRCSRDTSKARMVVVRTIIKHPGE >Ma02_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27533574:27542948:-1 gene:Ma02_g22100 transcript:Ma02_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMVSILQPSKPSFFPAMTKIVGTLGPKSRSVEVISACLKAGMSVARFDFSWGDVDYHQETLENLKAAIKGTKKLCAVMLDTVGPELQVVNKSEKAISLVADSHVVLTPDQEQEASSDLLPINFIGLSKSVKPGDTIFIGQYLFTGSETTSVWLEVAELKGDDVVCIIKNSATLAGSLFTLHISQIHIDLPTLTEADKNVITTWGVRNKIDFLSLSYTRHAEDVRKAREFLSKLGDLHQTQIFAKIDNIEGLTHFDEILQEADGIILSRGNLGVDLPPEKVFLFQKAAVYKCNMAGKPAVITRVVDSMTGNLRPTRAEATDVANAILDGSDAILLGAETLRGLYPVETISTVGRICYEAEKVYNQDLYFKKAMKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGKAARLIAKYRPTMPVLSVVIPQLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHVKASGLIMPHDRVVVCQKVGDASVVKIIELED >Ma09_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10932443:10937281:1 gene:Ma09_g15600 transcript:Ma09_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRWVDMKNEEKRKPKERRPYLASECRDLAEAHKWRSEILREIGVKVTEIQNEGLGEHRLRDLNDEINKLLRERVHWERRIVELGGPNYTKHAAKMTDLEGNIIDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPEVKKRRTRYEIYKRIDASYYGYRDDEDGILEKLEAPAQDDMRRRAVEELERIKAIRSEAMKMVKSGEVASVEPTAAPAVEVVLFEGVEDVVEEERRLEMEEELERTKVQQEFVAHVPLPDDKEIERMVVEKKKKELLSKYMSEELMEEEQEAKEMLNVNR >Ma01_p21160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20381996:20383021:1 gene:Ma01_g21160 transcript:Ma01_t21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAENGKDKRSKGLILKTLERCRSLGSHRKGDQKRQRTPEGCFSVYVGPARERFVVRTECVNHPLFKMLLDEAEMEFGYSAAGPLELPCDVDLFQKVLWEVEQDAAELYSPRCNFSKAHAGYLLLSPARAMITGRV >Ma10_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28116482:28117402:1 gene:Ma10_g16380 transcript:Ma10_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWICSASSEEGLHEMSCLFPAFGSEREREREREREREGGGNHSND >Ma02_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21258101:21258316:1 gene:Ma02_g12590 transcript:Ma02_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPEALHDVRPATKSQPPIYTRERTRNEQGGGIRHFRLGARRGEAGEAIPPAVLDAPHSHAILQVFLFH >Ma07_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16130618:16131352:1 gene:Ma07_g17040 transcript:Ma07_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLFPPFSITSSTAFHLPLLPLHLPLSPPPPFLPYKIFLLPRPTLPVQWVHFEGFSLSKKVGELKKQVAA >Ma06_p35000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35041137:35043628:1 gene:Ma06_g35000 transcript:Ma06_t35000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDGEGSGDKGLHGHGYPGYPPAGYPPPPEAYQPQPYPPAPGGFPPQYGYPPQGYPPAAYAPAPGGYPPQGYPSTGGYPPQGYPPTAYPPAGYPGSSAPPHQGHGSHMGTLIAGGAAVAAAGYGAHHLAHGHHHAGHGGYHGHMPGHHGKFKHHGKFKHGKYGKHKHGKHGKFRKWK >Ma06_p35000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35041137:35043628:1 gene:Ma06_g35000 transcript:Ma06_t35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDGEGSGDKGLHGHGYPGYPPAGYPPPPEAYQPQPYPPAPGGFPPQYGYPPQGYPPAAYAPAPGGYPPQGYPSTGGYPPQGYPPTAYPPAGYPGSSAPPHQGHGSHMGTLIAGGAAVAAAGYGAHHLAHGHHHAGHGGYHGHMPGHHGKFKHHGKFKHGKYGKHKHGKHGKFRKWK >Ma06_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11020681:11022352:1 gene:Ma06_g16290 transcript:Ma06_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARNCSEPESSAHSMSSSVSSITTSSSPSPVLPATDALNQTTATSAAARKTGGGTKRARDGSQQPAYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALNIKGTAAVLNFPELAASLPRPTTLSPRDVQAAAAMAAAMDPAPTPAAPEDDDPDTSDELGEIVELPRLDGCLLDSAHSRGEFVFHDAAYSWSPWVETELCLEPDQMWPPLDPTGFEALLWDY >Ma00_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:25221871:25222122:-1 gene:Ma00_g03110 transcript:Ma00_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLVVCRSSLARVAKNSQFWIVFRLFWPVFGLFLRGMTIVVSGAKCQSSQHPGTPRVAQGWMGLSYSRDGAASCFARCPSLAA >Ma04_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17829230:17843259:1 gene:Ma04_g17480 transcript:Ma04_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAKDLAAGTVGGAAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYSGAMDAVKQTISAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEALLRSEPGTVLTVNQQVICGAGAGVAVSFLACPTELIKCRLQAQSALASSTTSSGAVKYGGPMDVAKHVMREAGLRGLFKGLVPTLSREVPGNAAMFGVYEALKQKFAGGPDTSGLGRGHLIVAGGLAGAAFWASVYPTDVVKSVIQVDDYRNPKYSSSIDAFRKILASEGVKGLYRGFGPAMARSVPANAACFLAYEITRSSLG >Ma03_p10990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8293083:8299137:-1 gene:Ma03_g10990 transcript:Ma03_t10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNSSCFKIIGCGGGDAVDNDELAHGEDKASSDKHRWSFCRRSSKHRVLSNTAVSEPISVCSIKDNQEISTINFNSPKYSLPERAEVQEKPIETAPSSSGIVNTEAPPSSSNRSITPAGSTLSQYDAIVIQAAIRAYLAEKRLLKLKIVVKLQAAIRGYLVKRQAIGSLRCIQAIIRMQSLIRARHAHKLIGKLTSPEEKFQEKGDSFVKSNKASNKLLLSNGLVRQLLETTPRTKSIYIKCDPSKSDSAWKWLEIWTALISSGVGKQQEQNLNHDNWSVEENANMVESEPAKENPYAASSLLLDSPAELILADGKRSSVIENVGNFEFQTPVIAPNNSFNPLLRVDVENSELKNELFNTMSQDCTDTKMVNKENMDCVSDNKQLQPNQSLEILVTTVPDKLQSVRDSSKHSAEKASSETLEKEGKKFVIVSRKPCNPAFVAAQSKFEELSSMSNVGRSVSSAAALKSKTESHSIQNNSFTNNNEAISAENLIFNDSRIQAAVSECGTEISISSTLDSPDKSETEGGEIVIEIGALEKENYAIIADAENAFDLSNLGANRRPDGTDQSMANLNASINLPQVDQHPAEPTNSNVPTHVEGMLEQARSPEGTPRSLATVPDLHGTPSSDVSVNAKKSQKDNDTPAERRRSQSIGKRSPSKPNNDSSGRSSTDRITKDSKILKRRNSFGIAKTDHVDQEPRLSSSNSLPGYMQATASARARAHVNTSPKSSPDLHDSQPKKRHSLPIENGKQNSSPHMQSSASQAQQSAKGNEAHSPHNSAERRWQR >Ma11_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3683521:3684681:-1 gene:Ma11_g04740 transcript:Ma11_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISNGDGDSAAKDGRRERKRKRRAEPSLVKWKTEGEQKTYSSKLIEALRRVRRSSATGAASAATDHSRSRAVREAADRALAAAARGRTRWSRAILCGRTLKRRVLPRAGRPKPVGPARAAERSKPPALKTKARVLGRLVPGCRKLPLPSLLEEVSDYIAALEMQIRAMSVIAEALSAVGGAPSPAQTEGPM >Ma08_p14400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12702345:12706201:-1 gene:Ma08_g14400 transcript:Ma08_t14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSFIRFLFLILAAVVLLLFTRSWIHLPSPAADELDLLDCNRFSSWCTSKNRIQTKQTTPSSSSSTTRSASSSSAAVRDHSDDVPRHPLDPLTLREISAARSILLSQPPFSSSPSSVIFHTLTLSEPDKSSVEAWSKGSPLPARRASVVARFKGESYALTVDLASGALTTLPIPASGFPTMTIEDMSSSTWAPLSDAAFNSTVLARGVRLSDVACLPISPGWYGEKEEGRRLIKVQCYSAEGTANFYMRPIEGLTVLLDMDTKEVVSISEQGRGIPIPKSAGTEYRYEAVAGFNDDPPRLGLKPISIEQPAGPSFEVEEGGHMVRWAGWEFHLKADARAGVVVSRVRARDPDTGEWRGVMHKGFVSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRNAHYMDGVFAAADGI >Ma04_p05740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4293717:4296913:1 gene:Ma04_g05740 transcript:Ma04_t05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPTPPHSTLTVTSTIPASTTSLAAPRPAVILPGLGNNTGDYKDLVSTLREVYGVPSVVTKVSRVDWLRNAAGLLDPNYWRGTLRPRPVLDWYLERVDEAVAEAKQLLADDGKISLIGHSAGGWLARLYLEEFGASNISLLLTLGSPHSAPPKGLPGVIDQTRGLLDYVDKNCAPAVYTPELKYICFAGRYIRGARLVGNSKDTSNNLNPVDEEPNDSELIKDNGNKKSLSATPNLRARFIGQGYKQVCGEADVWGDGVVPEVSAHLEGALNISLDGVYHSPVGSDDTTRPWYGSPSVLEKWAHHLLT >Ma08_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7337723:7338953:-1 gene:Ma08_g10060 transcript:Ma08_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLVVGVIGNIISVLVFASPIKTFWRIARSGSTEDFESAPYVVTLLSSSLWVYYGITKPGGLLVATVNGVGVVMEAVYVTLFLLFATPQLRAKTAVLVAALDVGFLGAVVLVTSLAVHGSLRVMVIGTICACLNVFMYGSPLAAMSLQVPVAYLFYSWNRDIRGVSSMIASQIL >Ma08_p10060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7335789:7338953:-1 gene:Ma08_g10060 transcript:Ma08_t10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLVVGVIGNIISVLVFASPIKTFWRIARSGSTEDFESAPYVVTLLSSSLWVYYGITKPGGLLVATVNGVGVVMEAVYVTLFLLFATPQLRAKTAVLVAALDVGFLGAVVLVTSLAVHGSLRVMVIGTICACLNVFMYGSPLAAMKTVITTKSVEYMPFFLSFFLFLNGGIWIVYAILDKDIFLGIPNGIGLILGTIQLILYLIYVNAKASKQLGDSHEEEWQHQQLINSNSEHEADEEAHI >Ma09_p25580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36992945:36993598:-1 gene:Ma09_g25580 transcript:Ma09_t25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSSVEMVRSVVTHERKDVVGYLCQQWGGKRELYILINYSRPDLKRGSFSAEEERIVMDVHRILGNKWAKIAKHLSGRTHIINTIKFII >Ma10_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28846452:28854094:1 gene:Ma10_g17460 transcript:Ma10_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVASPLCTWIVAAACMSSSAASDQAPPPKSGRRWTTMAAPTRGGRAHPRRRLISANSNSGIQGIVSACLAFEPCADFRGAVASPLFGDGGLSSLFGLWNAEAHRWRRRTARSRAVSGKVMAVALEPTKELTEKKKRHIKKRRVVVTGMGVVTPLGHDPDVFYNNLLEGVSGISEIETFDCSSFPTRIAGEIKSFSADGWVAPKLSKRMDKFMLYLLTAGKKALEDGGVTEEVMSQFEKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAAHHIIRGEADVMLCGGSDAAVIPIGLGGFVACRSLSQRNNDPTKASRPWDVDRDGFVMGEGAGVLLLEELDHAKQRGAEIYAEFLGGSFTCDAYHMTEPHPEGTGIVLCIEKALSESGVSREDVNYVNAHATSTLSGDLKEYQALMCCFGKNPELRVNSTKSMTGHLLGAAGAVEAVAVVQAIRTRWVHPNINLDNPEKSVDVNLLVGSKKERLDVKVAVSNSFGFGGHNSSILLAPYK >Ma09_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34552628:34555750:-1 gene:Ma09_g22680 transcript:Ma09_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGCKGESIFPKHVIVLIAVIMSFGVISCCLGHVRDDHVDRLGTEGRSCVESERRALLAIRSDMYHSNERFSSWIGEDCCRWRGVACDNTTNHVIELDLRYPLTDDWDDEDDICFMLEGMGASKVNPALHDLKYLKHLDLSMNNFFGANVPLMIASFMHLEYLNLSNAMFDGLIPPQLGNLSNLHYLDIKGCRLSDLRADDLDWLSRISSLIYVDMSFVNLSKATNWLHQVNSIHSLKVLHLKWANLPYVSSPSFPFNLTSIVKLDISGYLNLNTTILRWLSHASSLVYLDLASCKGVDIESLQITLGALSNLKELNLQYNGMKGEILGIVMNVSKSLKHLDLSGNSLSGDITQILWSLGPVEYLALDGNELDGDISEMVKNFTSSLRYLSLRSNHIAGEIPQIIDNLTNLEYLDLSYNSITGGIPMTFGNIINLESLRLSGNKISGQIPESIGNLQNILSLDLSENFITGQMPETFDRLYTLEYLNVSYNNLSGIVPSSMGQLSALSELDLSSNSLKGNITEAHFSKLTDLTLLDISYNSLNVILPNDWLPPFNAYSIFMDSCHLGTKFPAWIHTQSRLEQLSLSGVGLSGNLPTWFSDFSKGLSNLNLSSNNLNGPLPSAANEVIDLSNNSFVGPIPLSFANTSNLAILSLSHNNINGSFPSFFCNFNYLQVLDLSNNNLSGEVPTCHKSFPTSLQSLHLNHNNLSGRFPSFLKHCEQLVTLGLGENNLYDEIPTWVGENLLSLRVLCLKSNLFYGPIPVHIANLTSLQVLDLSSNNLSGSIPSSLGNCRAMVEIQHDTTSLLHLDAGSYYVESIVITVKGFDIQYTTILSLVTSIDLSNNNLSGEIPRELTNLHGLLFLNLSKNHLRGTIPEKIGSMEQLESLDLSMNNLTGDIPSSLSSLTFLSHLNLSHNNLSGRIPTAGGQMSTFLGDLSIYDGNEYLCGTPLPECPGDEAYQSPPHEQEEKNGDRLETVWEIIGIVMGFVVGFWSFISTMIMKQSIRTAFFRLIDEVCNWCYVQLAVGCATLKSKQQRVT >Ma01_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6228367:6230614:-1 gene:Ma01_g08690 transcript:Ma01_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVKSKASCGGTPGGAGNLVLILDYGSQYTHLITRRIRLHRQADASRRRPLRRPPLRPRRRSTLRQEYGKMEIAVAEGERGLYGSEAIGGHQTVWMSHGDEAVKLPKGFSVVARSLQGSVAAIENHSRRIYGLQYHPEVTHSTQGMETLWHFLLDVCGITADWKMQGVLEEEIKVIKGVVGPDEHVICALSGGVDSTDVATLVHKVIGNRLHCVFVDNGPIKATCFLKRIMSTFQSNLHLPVTYIDASEQFLGKLKGIKDPEMKKKIIG >Ma03_p27500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30862715:30870852:-1 gene:Ma03_g27500 transcript:Ma03_t27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARKLASRGILRRLISQTNSSAPTPATTRHLSSLASSSVFPTGNSLLRPPAAADSLLRNGQYRSISVDALRPSDTFPRRHNSATPDDQSTMAASCGFGSLDALIDATVPKSIRIPDMKFAKFDGGLTESEMIAHMSRLAAKNKVFKSFIGMGYYDTLVPAVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTMISDLTALPMSNASLLDEGTAAAEAMAMCNNIQKGKKKTFFIASNCHPQTIDICKTRAEGFDIKVAVANLKDFDYTSNDVCGVLVQYPGTEGEILDYGEFIKNAHAHGVKVVMATDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIAQRVHGLSYAFASGLKKLGTVTVQDLPFFDTVKVTCSDSKAIADEACKHGMNLRVVDSNTITVSFDETTTLEDVDKLFKVFACGKPVNFTAESLAPEVQMAIPKGLVRGSPYLTHSIFNSYHTEHELLRYMYKLQSRDLSLCHSMIPLGSCTMKLNATVEMMPVTWPSFANLHPFAPADQSQGYQEMFKDLGELLCTITGFDSFSLQPNAGASGEYAGLMVIRAYHQSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEAHKENLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGIPPPEKVQPLGTIAAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLENYYPILFRGVNGTVAHEFIVDLRGFKATAGLEAEDVAKRLIDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQIENGKADININVLKGAPHPPSLIMGDTWSKPYSREYAAFPAAWLRGAKFWPTTGRVDNVYGDRNLICTLLPVSQMAEEAAAATA >Ma06_p33250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33941412:33943557:-1 gene:Ma06_g33250 transcript:Ma06_t33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVVWVVSPKESIRCSLLNQFIEVKRRSWRRSSVAWSFSRPAPTSGHSVPASLVVTPPRTSEELVYEVVLRQAALVGETKRKRPATVQLPPVPLRGDLLYEAYERCGEVCAEYAKNFYLGTLLMTPERRRAIWAIDVWCRRTDELVDGPNASHMTPTALDRWQHRLEDLFNGRPYDMYDAALSDTVSKFPVDIQPFKAMVDGMRMDLWKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDDDIFKGRVTDKWRSFMKGQIRRARMFFEEAEKGIYELNSASRWPVLASLLLYRQILDAIEANDYNNFTRRAYVGIAKKLASLPIAYARALRGPSRFTGATRT >Ma03_p20500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25658084:25662705:-1 gene:Ma03_g20500 transcript:Ma03_t20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIFLALSFSLLAPSSPSPGPASTDQAALLAFKSSVALDPASLLSGWSPVARRHCTWRGVTCDAVSGRVTALNLTGTPSSPLSGRLAAALGNLTELRVLSLPHNAFSGDIPAAAIGSLCRLEVLDLRRNNFSGKIPDEISRLPSLSVLDLSHNSLSGAIPESLIGSSNLQSVDLSFNQLSGKITVDPLGSCSCLTHLRLSSNLLVGRIPSAIGRCTKIQTLLLDRNILEGRIPAAIGQLLDLRVLDVSRNSLTDRIPRELALCQKLSVLRLTNLMDFDSTGGSSNVEEFNAFIGSMPAEIFSIPSLEILWAPRANLDGSLPDSRNGSCSLGILNLGQNYIAGVIPEWLETCRNLSFLDLSSNYLQGLLPASLGIPCMAYFNISQNSVTGSLPGFLDLDCSYNLASLSKSGDLLVEDNLLIAYSADLLQSTQRDNPFALVLDNSFVVLHDFSQNRFIGPLPSFVMPLDDSFPYGLSLNNNGFNGSISDCWLLKSFEAANNRLHGSIPSEIRNLNLLRHLDLRNNYFNGSTPDKLRALKALEQVLLGGNNFSGGIPTQFDGLSSLTVLDLSRNSFTGSIPPSLANATNLEVLLLNNNQLSGTIPPSFSALHRLIELDVSFNNLSGDIPHLEHSTDCKFFLGNSFLKPCQDPSMSAPSGIPFKTEIPDQGRRKSRLKYFTIAAVASASVLVSVLLVLTFVLVSGRRKFVRITSLRKKLVVTFTDVPAELTYENVVRATGNFSIQNLIGTGGFGATYKGELVPGFLVAVKRLSIGRFQGLQQFDAEIRTLGRVRHKNLVTLIGYHMGEIDTFLIYNYLSGGNLETFIRHMSNRNVTWYEVHKIALDVAQALSYLHYSCVPRIVHRDIKPSNILLDEKLNAYLSDFGLARLLEVSQTHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSEYGNGFTIVAWGRLLIQEDRAGELFSQLLWENGPKDKLVSMLKLALSCTVESLSVRPSMKQVVLTLKQLKS >Ma03_p20500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25658084:25662705:-1 gene:Ma03_g20500 transcript:Ma03_t20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIFLALSFSLLAPSSPSPGPASTDQAALLAFKSSVALDPASLLSGWSPVARRHCTWRGVTCDAVSGRVTALNLTGTPSSPLSGRLAAALGNLTELRVLSLPHNAFSGDIPAAAIGSLCRLEVLDLRRNNFSGKIPDEISRLPSLSVLDLSHNSLSGAIPESLIGSSNLQSVDLSFNQLSGKITVDPLGSCSCLTHLRLSSNLLVGRIPSAIGRCTKIQTLLLDRNILEGRIPAAIGQLLDLRVLDVSRNSLTDRIPRELALCQKLSVLRLTNLMDFDSTGGSSNVEEFNAFIGSMPAEIFSIPSLEILWAPRANLDGSLPDSRNGSCSLGILNLGQNYIAGVIPEWLETCRNLSFLDLSSNYLQGLLPASLGIPCMAYFNISQNSVTGSLPGFLDLDCSYNLASLSKSGDLLVEDNLLIAYSADLLQSTQRDNPFALVLDNSFVVLHDFSQNRFIGPLPSFVMPLDDSFPYGLSLNNNGFNGSISGKLFGSCQVGSGFAVNLTVNKMSGGVNDILTDCWLLKSFEAANNRLHGSIPSEIRNLNLLRHLDLRNNYFNGSTPDKLRALKALEQVLLGGNNFSGGIPTQFDGLSSLTVLDLSRNSFTGSIPPSLANATNLEVLLLNNNQLSGTIPPSFSALHRLIELDVSFNNLSGDIPHLEHSTDCKFFLGNSFLKPCQDPSMSAPSGIPFKTEIPDQGRRKSRLKYFTIAAVASASVLVSVLLVLTFVLVSGRRKFVRITSLRKKLVVTFTDVPAELTYENVVRATGNFSIQNLIGTGGFGATYKGELVPGFLVAVKRLSIGRFQGLQQFDAEIRTLGRVRHKNLVTLIGYHMGEIDTFLIYNYLSGGNLETFIRHMSNRNVTWYEVHKIALDVAQALSYLHYSCVPRIVHRDIKPSNILLDEKLNAYLSDFGLARLLEVSQTHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSEYGNGFTIVAWGRLLIQEDRAGELFSQLLWENGPKDKLVSMLKLALSCTVESLSVRPSMKQVVLTLKQLKS >Ma07_p27300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33750922:33755936:1 gene:Ma07_g27300 transcript:Ma07_t27300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWGSKKNKLSWSKSLVRRWFNIKSKAQDFHSDNVFGQGADDEWSTFPVRETCKVGKSKAERPYKESTDQAHRGKFVLDAAEVTETLEYRIFVATWNVGGKSPPSNLNLEDWLRASPLADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWVSLIRKALNNLLDASSNGGYQTHSLVSNPVVEQNDHIGCSSTGQRNSSFFHRHSFQSWGLSMRMDEDIMAPQVRLDHRYSVCDQVIFGSRQSDYDRSYRLNGFYDDEYMNGDSPGAVVFSPTSHGHAASSTEERDGSTGHSRYCLLASKQMVGIFLTVWVKREIRDSIRNLKVSCVGRGLMGYLGNKGSISISLSFHQTSFCFICSHLTSGQKERDELRRNSDVMEILRKTRFPPVHGDEKSPETILEHDRIIWLGDLNYRIALSHRSAKALVEMRNWNALLEKDQLRLEQRCGGVFEGWNEGRIYFPPTYKYSNNSDQYSGDVMNQKEKCRTPAWCDRILWYGRGLNQLSYFRGESRFSDHRPVSSIFTVEVESIKHNQI >Ma07_p27300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33750925:33755936:1 gene:Ma07_g27300 transcript:Ma07_t27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWGSKKNKKLSWSKSLVRRWFNIKSKAQDFHSDNVFGQGADDEWSTFPVRETCKVGKSKAERPYKESTDQAHRGKFVLDAAEVTETLEYRIFVATWNVGGKSPPSNLNLEDWLRASPLADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWVSLIRKALNNLLDASSNGGYQTHSLVSNPVVEQNDHIGCSSTGQRNSSFFHRHSFQSWGLSMRMDEDIMAPQVRLDHRYSVCDQVIFGSRQSDYDRSYRLNGFYDDEYMNGDSPGAVVFSPTSHGHAASSTEERDGSTGHSRYCLLASKQMVGIFLTVWVKREIRDSIRNLKVSCVGRGLMGYLGNKGSISISLSFHQTSFCFICSHLTSGQKERDELRRNSDVMEILRKTRFPPVHGDEKSPETILEHDRIIWLGDLNYRIALSHRSAKALVEMRNWNALLEKDQLRLEQRCGGVFEGWNEGRIYFPPTYKYSNNSDQYSGDVMNQKEKCRTPAWCDRILWYGRGLNQLSYFRGESRFSDHRPVSSIFTVEVESIKHNQI >Ma07_p27300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33750922:33755936:1 gene:Ma07_g27300 transcript:Ma07_t27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWGSKKNKKLSWSKSLVRRWFNIKSKAQDFHSDNVFGQGADDEWSTFPVRETCKVGKSKAERPYKESTDQAHRGKFVLDAAEVTETLEYRIFVATWNVGGKSPPSNLNLEDWLRASPLADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWVSLIRKALNNLLDASSNGGYQTHSLVSNPVVEQNDHIGCSSTGQRNSSFFHRHSFQSWGLSMRMDEDIMAPQVRLDHRYSVCDQVIFGSRQSDYDRSYRLNGFYDDEYMNGDSPGAVVFSPTSHGHAASSTEERDGSTGHSRYCLLASKQMVGIFLTVWVKREIRDSIRNLKVSCVGRGLMGYLGNKGSISISLSFHQTSFCFICSHLTSGQKERDELRRNSDVMEILRKTRFPPVHGDEKSPETILEHDRIIWLGDLNYRIALSHRSAKALVEMRNWNALLEKDQLRLEQRCGGVFEGWNEGRIYFPPTYKYSNNSDQYSGDVMNQKEKCRTPAWCDRILWYGRGLNQLSYFRGESRFSDHRPVSSIFTVEVESIKHNQI >Ma07_p27300.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33750922:33755936:1 gene:Ma07_g27300 transcript:Ma07_t27300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWGSKKNKKLSWSKSLVRRWFNIKSKAQDFHSDNVFGQGADDEWSTFPVRETCKVGKSKAERPYKESTDQAHRGKFVLDAAEVTETLEYRIFVATWNVGGKSPPSNLNLEDWLRASPLADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWVSLIRKALNNLLDASSNGGYQTHSLVSNPVVEQNDHIGCSSTGQRNSSFFHRHSFQSWGLSMRMDEDIMAPQVRLDHRYSVCDQVIFGSRQSDYDRSYRLNGFYDDEYMNGDSPGAVVFSPTSHGHAASSTEERDGSTGHSRYCLLASKQMVGIFLTVWVKREIRDSIRNLKVSCVGRGLMGYLGNKGSISISLSFHQTSFCFICSHLTSGQKERDELRRNSDVMEILRKTRFPPVHGDEKSPETILEHDRIIWLGDLNYRIALSHRSAKALVEMRNWNALLEKDQLRLEQRCGGVFEGWNEGRIYFPPTYKYSNNSDQYSGDVMNQKEKCRTPAWCDRILWYGRGLNQLSYFRGESRFSDHRPVSSIFTVEVESIKHNQI >Ma06_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11410816:11413350:1 gene:Ma06_g16800 transcript:Ma06_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQSLIALLFLLCLAQATSPISTALPGCRTACGNLTLPYPFGMEEDCYMPGFKMTCNDTFYDPPKLFMGSGNIEITQVTLDNVFMEGLVARDCYGELSTSSHVWTNIENTPYTLSYARNRFTAIGCDTLALLYRATLVDFTSGCISLCADDDSVANGTCAGSGCCQTTIPMGMKRFDALLGSVQNHTTTKSFSPCSFAFLIDQEQFEFSVPDFIRFRSRSTVPIVLDWAVGNQTCGDANKSGSIICGPNSGCVDSDNGPGYKCRCNQGYHGNPYLAVGCEDINECEDPTSNPCVSICTNTPGSYICSCTDATAGDGKRDGSGCRSATKTFPLVQVVLGCGSGFMFLLVISSLIYWGVKKRRLVQMREKLFEENGGVLLQQQIASREGAARTAKIFTAKQLAKATNNYSQDRVIGKGGNGTVYKGILPDNSVVAIKKSMVFDRSQVEQFINEVVVLSQVMHRNVVKILGCCLETQVPLLVYEYVPNGTLSDRLHGRRDYSSLSWQARLRIAVETAGVLAYLHSATARPIIHRDIKSTNILLDHNYTAKVSDFGASRVVPLGRAHLTTLVQGTLGYLDPEYFHAGVLTEKSDVYSFGVVLAELLTGKPPVSSQRSREEQLLAMHFISSMERGQLFEILERRVATEGDRAQLEEVAQLAERCLRLTGEERPSMKEVAEELERLRRFERHPWAQQSSDEGSGRLLGITGYPSDMDSIDNISTPID >Ma04_p39160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36359950:36364950:-1 gene:Ma04_g39160 transcript:Ma04_t39160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAMERVIEPVTPEVPKPKSSVGRNSTGKTKSGASPSFPKGEEKPLPHYLRSSTSSCHDFCKYGKKHDLEIKKRHPVRQKFLVNKEISEDGNNKTNVATQQEKRKKEYPKPVVSKEKNQGTKKPVVVEENDVPPEKIIQISDSLANLGDGSIQESSSYKFNFPSDDQATDAFLGHSPGSQADETLDESTSIKQMTPSFISTSNESTKQKPSYRSERSSETNASINLDQKATENGELAPTEESSAEPVIIKFMISSSMQHSSDSAPHMTVMEDKASAEHIASCRSDTSPDESIGSLRSSDLLSSMKPENSEDVTSTGNRHANGAEGYIEEDIAAKQEATCSTGMATGSFVYQEEGLSKKGKQVSGSGMGVKAKRDADKIKRPNKVVGASDRPKVIRQAKTDLSGVPNGRIAPILRKTISSVKPETKQRMTPVTPRVVRKKATSASKDHDASVQRATSIKIKNLSFKAASQSTSSGGLAHRNKKITKVSSQPDRRDKVLGQSLSSRSLKPHTSRVSTTKSWIHRNVRPASQVKNETRSGKPAKKKEASSVSEPKSEKVNLKTTKKNLKKHNSNPSDEKDCNSGPKLGEVSKSGLRKAVTQRMSSVISKGEIQSKNRRTATVDSGDKISTAYKLKFSRGKVVSLQHENNAPRILRFRLARTASNKKNSKGDVQTKGYRSGPGPGSAGSYTPISKAPTVVLRHQDVREKKDTKGLLNQVIEETASKLVETRKSKVKALVGAFESVISLQERKGAPLAAP >Ma04_p39160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36359950:36364962:-1 gene:Ma04_g39160 transcript:Ma04_t39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAMERVIEPVTPEVPKPKSSVGRNSTGKTKSGASPSFPKGEEKPLPHYLRSSTSSCHDFCKYGKKHDLEIKKRHPVRQKFLVNKEISEDGNNKTNVATQQEKRKKEYPKPVVSKEKNQGTKKPVVVEENDVPPEKIIQISDSLANLGDGSIQESSSYKFNFPSDDQATDAFLGHSPGSQADETLDESTSIKQMTPSFISTSNESTKQKPSYRSERSSETNASINLDQKATENGELAPTEESSAEPVIIKFMISSSMQHSSDSAPHMTVMEDKASAEHIASCRSDTSPDESIGSLRSSDLLSSMKPENSEDVTSTGNRHANGAEGYIEEDIAAKQEATCSTGMATGSFVYQEEGLSKKGKQVSGSGMGVKAKRDADKIKRPNKVVGASDRPKVIRQAKTDLSGVPNGRIAPILRKTISSVKPETKQRMTPVTPRVVRKKATSASKDHDASVQRATSIKIKNLSFKAASQSTSSGGLAHRNKKITKVSSQPDRRDKVLGQSLSSRSLKPHTSRVSTTKSWIHRNVRPASQVKNETRSGKPAKKKEASSVSEPKSEKVNLKTTKKNLKKHNSNPSDEKDCNSGPKLGEVSKSGLRKAVTQRMSSVISKGEIQSKNRRTATVDSGDKISTAYKLKFSRGKVVSLQHENNAPRILRFRLARTASNKKNSKGDVQTKGYRSGPGPGSAGSYTPISKAPTVVLRHQDVREKKDTKGLLNQVIEETASKLVETRKSKVKALVGAFESVISLQERKGAPLAAP >Ma03_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15078048:15085621:-1 gene:Ma03_g15280 transcript:Ma03_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITEKLFQSLLSYSPPIWATAVGGVSVVVTLFLSMFLLFQHLSAYKNPEEQKFLIGVILMVPCYAIESYVSLVNPSVSVDCEILRDCYEAFAMYCFGRYLVACLGGEERTIDFLKRQGATISNTPLLENASEKGVIKHPFLMNYILKPWRLGEWFYQIIKIGIVQYMIIKTVTAILAVFFEAFGVYCDGEFKWTCGYPYMAVVLNFSQSWALYCLVQFYAATSDELAHIKPLAKFVMFKSIVFLTWWQDVAIALLYSWGLFKSPIAQGLQFKSSVQDFIICIEMCVASVVHLYVFPAKPYKLMDVCFAGGVSVLGNYASLDCPLDPDEVRDCERPTKLRLPQPDIGTKSTTAIRESVRDVVLGGGEYIVNDLKFTVTHAVEPMEKGFTRFNEKLHKISENIRKHDKKLRTKDDSCIGSSPPSRRVVRGIDDPLLYGSNSDSGSKRGRRHRRKSGYTSAEGGDSSDLGHGRYEIHGRRWITKD >Ma11_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17050659:17056281:1 gene:Ma11_g12990 transcript:Ma11_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEPEKTAPALGEPMDLMTALQLVMKKSLAHDGLVRGLHEAAKAIEKHAAQLCILAEDCNQADYVKLVKALCADHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVIKDYGEESEGLHIVQEYVKSH >Ma01_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3010020:3012611:-1 gene:Ma01_g04480 transcript:Ma01_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMLPVLSFQLSLLMLLLTTTAAASAPPSPNVLSPGCNETCGGVSIPYPFGIGDGCFREGFEVTCEVGNGSATPRAFLGGREGNITVEEIFLPQGQASILNGIAWDCFNSTGDRVVRHRPSLDLGDKPFWASSTKNRFTTVGCNVVGYILGGDNTTYGTGCASFCFEGANITSGSCSGTGCCQTTISDKLDNFTTRLAYFVNVSSYKDYSPCTYAFIADKEWFYFHKSDLRNHNFGAKYKDGVPLVLDWVAGNQTCEEAKRNPSSYACRSTNSECFKSPSLQGYICNCSTGFQGNPYLQDGCKDIDECSSPNLYPCHGKCSNTAGNYSCSCPKGYSSKDPKSEPCVRDQGIQTSTKIVIGSCVGFVSFITCIFYVILVFQRRKLLREKDKFFQQNGGLRLYEEIRSKQIDTIKIYTKEDLKKATDNFDKSRELGRGGHGTVYKGNLDDGRVVAIKRSKVVTEDQSEEFIREMIILSQINHKNIVKLLGCCLEVEIPMLVYEFIPNGTLFEFIHSNDGKLIPLTTRLRIATESAEALAYLHSSASPPILHGDVKSLNILLDHNYVSKVSDFGASRMMSLDETQFITMVQGTLGYLDPEYLLVRQLTAKSDVYSFGVVLVELITRKKAVYYDGSSQGKALASSFIESMKYSRLEEILDDQIVGKENMDVIQEIAELAKECLNIKGDERPTMREVAEKLHLLGGFLQVSSTHHAPKECETLLGESSMSSTLDSVGYRSLENKLGFDVKAGR >Ma02_p08260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18670548:18678362:1 gene:Ma02_g08260 transcript:Ma02_t08260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASENVVGKNTGESAVTTIVNLAAEEAKLAREGVKAPGHAILSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIQYNGTIQGLKYIWKSEGFRGLFKGNGTNCARIIPNSAVKFFSYEQASSGILWLYRRHSGKDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALRTVYCEEGFRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKTNPYGLVEDSELSIVTRLGCGAVAGTVGQTVAYPLDVIRRRMQMVGWKDAASIVTGHGRSKAPLEYNGMVDAFRKTVRNEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >Ma02_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18670548:18678361:1 gene:Ma02_g08260 transcript:Ma02_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENVVGKNTGESAVTTIVNLAAEEAKLAREGVKAPGHAILSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIQYNGTIQGLKYIWKSEGFRGLFKGNGTNCARIIPNSAVKFFSYEQASSGILWLYRRHSGKEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALRTVYCEEGFRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKTNPYGLVEDSELSIVTRLGCGAVAGTVGQTVAYPLDVIRRRMQMVGWKDAASIVTGHGRSKAPLEYNGMVDAFRKTVRNEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >Ma11_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:74090:74269:1 gene:Ma11_g00180 transcript:Ma11_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNNISFSLTLSVSTNNSKYLDFFDPIYRNRLVNMLVNRILKHGKKSLAYQIIYRFLI >Ma02_p13940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22105586:22110191:1 gene:Ma02_g13940 transcript:Ma02_t13940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAKKGSGGSTGARKTAARTAKGTLKAKNQAEVSEDRPKVEEPRAPVEEVKEESKVEEVVVEKTVQERPVVLDSSQNDPESVDVEYEEDVKEVYSEEDKNERLELEDNEPEYEPEEDTAVDYDEKYPENEIIEDDVDEGQEGDEGDMVEEEEVDVGDEEIEDGGEDLEGEEEFENAEEDQIDAEEDHHEVVEEHRKRKEFEVFVGGLDKDANENDLKKVFSQVGEITEIRLMMNPITKKNKGFAFLRFATVEQAKRAVSELKNPVVRGKQCGVAPSQDSDTLFVGNICKSWTREHFKEKLKSYGVENMEDLTLVEDTNNAGMNRGFAFLEFSSRSEAMDAYRCLQKRDVVFGVDRTAKIAFADSFIEPDDEIMAQVKTVFIDGLPAVWDEDRVKDYLKKYGIIEKVELARNMPAAKRKDFGFVTFDSHDNAVACAEGINNAELGEGNDKVKVRARLSRPHQRGRVKHDPRGIFRVGRGAPRGGRVPYGRPPPRRFPSYAPRSIIARGMPIGGRGLRRPFGYRDRHSVMAVAERARRLPPPERSYDRRPPAPVAPYPKFSARRDYGRRDELPPPRNRAIAEYASRVPTERHLSYRDDYSPRGPGYMDIPARNASRPGDRRTFIDDGYERKLERPVTTYREGRSRDYDSISGSKRPYSDLDDTRYADVSLRQSRARLDYAVSGSGSQYGDAYSDRLGRSHMGYSGSRSSVSDHDSLYGNRQGMTYGGGSVSGSGAGGMYSSSFNGGYMSRGSDIGGGSSSSASFYSGRNVSGSGYVGGGGSSSYY >Ma02_p13940.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22105496:22110191:1 gene:Ma02_g13940 transcript:Ma02_t13940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAKKGSGGSTGARKTAARTAKGTLKAKNQAEVSEDRPKVEEPRAPVEEVKEESKVEEVVVEKTVQERPVVLDSSQNDPESVDVEYEEDVKEVYSEEDKNERLELEDNEPEYEPEEDTAVDYDEKYPENEIIEDDVDEGQEGDEGDMVEEEEVDVGDEEIEDGGEDLEGEEEFENAEEDQIDAEEDHHEVVEEHRKRKEFEVFVGGLDKDANENDLKKVFSQVGEITEIRLMMNPITKKNKGFAFLRFATVEQAKRAVSELKNPVVRGKQCGVAPSQDSDTLFVGNICKSWTREHFKEKLKSYGVENMEDLTLVEDTNNAGMNRGFAFLEFSSRSEAMDAYRCLQKRDVVFGVDRTAKIAFADSFIEPDDEIMAQVKTVFIDGLPAVWDEDRVKDYLKKYGIIEKVELARNMPAAKRKDFGFVTFDSHDNAVACAEGINNAELGEGNDKVKVRARLSRPHQRGRVKHDPRGIFRVGRGAPRGGRVPYGRPPPRRFPSYAPRSIIARGMPIGGRGLRRPFGYRDRHSVMAVAERARRLPPPERSYDRRPPAPVAPYPKFSARRDYGRRDELPPPRNRAIAEYASRVPTERHLSYRDDYSPRGPGYMDIPARNASRPGDRRTFIDDGYERKLERPVTTYREGRSRDYDSISGSKRPYSDLDDTRYADVSLRQSRARLDYAVSGSGSQYGDAYSDRLGRSHMGYSGSRSSVSDHDSLYGNRQGMTYGGGSVSGSGAGGMYSSSFNGGYMSRGSDIGGGSSSSASFYSGRNVSGSGYVGGGGSSSYY >Ma02_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22105586:22110191:1 gene:Ma02_g13940 transcript:Ma02_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAKKGSGGSTGARKTAARTAKGTLKAKNQAEVSEDRPKVEEPRAPVEEVKEESKVEEVVVEKTVQERPVVLDSSQNDPESVDVEYEEDVKEVYSEEDKNERLELEDNEPEYEPEEDTAVDYDEKYPENEIIEDDVDEGQEGDEGDMVEEEEVDVGDEEIEDGGEDLEGEEEFENAEEDQIDAEEDHHEVVEEHRKRKEFEVFVGGLDKDANENDLKKVFSQVGEITEIRLMMNPITKKNKGFAFLRFATVEQAKRAVSELKNPVVRGKQCGVAPSQDSDTLFVGNICKSWTREHFKEKLKSYGVENMEDLTLVEDTNNAGMNRGFAFLEFSSRSEAMDAYRCLQKRDVVFGVDRTAKIAFADSFIEPDDEIMAQVKTVFIDGLPAVWDEDRVKDYLKKYGIIEKVELARNMPAAKRKDFGFVTFDSHDNAVACAEGINNAELGEGNDKVKVRARLSRPHQRGRVKHDPRGIFRVGRGAPRGGRVPYGRPPPRRFPSYAPRSIIARGMPIGGRGLRRPFGYRDRHSVMAVAERARRLPPPERSYDRRPPAPVAPYPKFSARRDYGRRDELPPPRNRAIAEYASRVPTERHLSYRDDYSPRGPGYMDIPARNASRPGDRRTFIDDGYERKLERPVTTYREGRSRDYDSISGSKRPYSDLDDTRYADVSLRQSRARLDYAVSGSGSQYGDAYSDRLGRSHMGYSGSRSSVSDHDSLYGNRQGMTYGGGSVSGSGAGGMYSSSFNGGYMSRGSDIGGGSSSSASFYSGRNVSGSGYVGGGGSSSYY >Ma02_p13940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22105586:22110191:1 gene:Ma02_g13940 transcript:Ma02_t13940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAKKGSGGSTGARKTAARTAKGTLKAKNQAEVSEDRPKVEEPRAPVEEVKEESKVEEVVVEKTVQERPVVLDSSQNDPESVDVEYEEDVKEVYSEEDKNERLELEDNEPEYEPEEDTAVDYDEKYPENEIIEDDVDEGQEGDEGDMVEEEEVDVGDEEIEDGGEDLEGEEEFENAEEDQIDAEEDHHEVVEEHRKRKEFEVFVGGLDKDANENDLKKVFSQVGEITEIRLMMNPITKKNKGFAFLRFATVEQAKRAVSELKNPVVRGKQCGVAPSQDSDTLFVGNICKSWTREHFKEKLKSYGVENMEDLTLVEDTNNAGMNRGFAFLEFSSRSEAMDAYRCLQKRDVVFGVDRTAKIAFADSFIEPDDEIMAQVKTVFIDGLPAVWDEDRVKDYLKKYGIIEKVELARNMPAAKRKDFGFVTFDSHDNAVACAEGINNAELGEGNDKVKVRARLSRPHQRGRVKHDPRGIFRVGRGAPRGGRVPYGRPPPRRFPSYAPRSIIARGMPIGGRGLRRPFGYRDRHSVMAVAERARRLPPPERSYDRRPPAPVAPYPKFSARRDYGRRDELPPPRNRAIAEYASRVPTERHLSYRDDYSPRGPGYMDIPARNASRPGDRRTFIDDGYERKLERPVTTYREGRSRDYDSISGSKRPYSDLDDTRYADVSLRQSRARLDYAVSGSGSQYGDAYSDRLGRSHMGYSGSRSSVSDHDSLYGNRQGMTYGGGSVSGSGAGGMYSSSFNGGYMSRGSDIGGGSSSSASFYSGRNVSGSGYVGGGGSSSYY >Ma02_p13940.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22105496:22113490:1 gene:Ma02_g13940 transcript:Ma02_t13940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAKKGSGGSTGARKTAARTAKGTLKAKNQAEVSEDRPKVEEPRAPVEEVKEESKVEEVVVEKTVQERPVVLDSSQNDPESVDVEYEEDVKEVYSEEDKNERLELEDNEPEYEPEEDTAVDYDEKYPENEIIEDDVDEGQEGDEGDMVEEEEVDVGDEEIEDGGEDLEGEEEFENAEEDQIDAEEDHHEVVEEHRKRKEFEVFVGGLDKDANENDLKKVFSQVGEITEIRLMMNPITKKNKGFAFLRFATVEQAKRAVSELKNPVVRGKQCGVAPSQDSDTLFVGNICKSWTREHFKEKLKSYGVENMEDLTLVEDTNNAGMNRGFAFLEFSSRSEAMDAYRCLQKRDVVFGVDRTAKIAFADSFIEPDDEIMAQVKTVFIDGLPAVWDEDRVKDYLKKYGIIEKVELARNMPAAKRKDFGFVTFDSHDNAVACAEGINNAELGEGNDKVKVRARLSRPHQRGRVKHDPRGIFRVGRGAPRGGRVPYGRPPPRRFPSYAPRSIIARGMPIGGRGLRRPFGYRDRHSVMAVAERARRLPPPERSYDRRPPAPVAPYPKFSARRDYGRRDELPPPRNRAIAEYASRVPTERHLSYRDDYSPRGPGYMDIPARNASRPGDRRTFIDDGYERKLERPVTTYREGRSRDYDSISGSKRPYSDLDDTRYADVSLRQSRARLDYAVSGSGSQYGDAYSDRLGRSHMGYSGSRSSVSDHDSLYGNRQGMTYGGGSVSGSGAGGMYSSSFNGGYMSRGSDIGGGSSSSASFYSGRNVSGSGYVGGGGSSSYY >Ma02_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20309984:20312265:1 gene:Ma02_g10860 transcript:Ma02_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEQAPPRRRTPSPGRSRRLPDFLQSVNLKYVKLGYHYLITHLLTLLLIPLMAVILLEAAQTDPDELRQVWLHLQYNLVSVLVCSAVFVFGTTVYIMTRPRPVYLVDYACYRPPPELKAPFHRFMEHSQLCGGFNESALEFQRRILERSGLGQDTCLPVALHYLPPRPSMAAAREEAEQVMFGALDTLFNNTGVKPKDVGVLVVNCSLFNPTPSLSAMIVNRYKLRGNIKSFNLGGMGCSAGVLAVDLARDLLQVHRATYAVVVSTENITQNWYFGNRKAMLIPNCLFRVGAAALLLSNRAADRRRAKYKLVHIVRTHRGADDRAFRCVYQEQDEVGKVGVSLSKDLMAIAGEALKINITTLGPLVLPISEQLLFFATLVAKKLFNGKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLRPIHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGHRVWQIAFGSGFKCNSAVWQALRNVKPSHDGPWEDCIHGYPVEIIDGFPPREPQQQ >Ma02_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5540115:5542491:-1 gene:Ma02_g00530 transcript:Ma02_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDTVLVAGILLSFVVPLLLWRLQSSRRVRLPPGPAGVPILGSLPQIGPMAHASLANLVARYGPIMYLKMGTARVVVASSAGAARSFLKALDLQFANCPFVISGKHVTYDGQNFVFANYGPRWKLLRKLANLHFLGSKALADWVPVRRDEVARMLRGILESSRDSRPVVVPEAVVCANANIIGQVVISRRVFETQGEESNRFKDAITELLTGVGLFNIGDFVPAIAWMDLQGVKRHLRRTHEKIDALITAFVAEHEATKHEREGRPDVLDLVMANRVDAEGVSLSDVNIKGFISVSSISLSLNIYNRALADIPNLPYLRAICKEALRLHPSTPLSLPHYTFEACEVDGYHIPPNTRLIVNVWAIGRDPDVWEDPLEFKPERFLSGRNAKIEPQGNDFELIPFGAGRRICVGLHAGLLMVQYMLGSLVHSFNWKLADEDQKLDMEERFGLVLPKAVPLKAMASPRLVESAYI >Ma10_p29280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35928278:35932234:-1 gene:Ma10_g29280 transcript:Ma10_t29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRKRRWITACYHPFSVGVVALLFIVYKFFPSLFDLLVNSSPIIVCTSLLLGILLGLARPNVSENEEDNKLIDASSSLKNESVANDLVAKNEEKFKVRSRVGSKGATKRMTVKTIVLGGSKLNELDQTDATSVYSVEEDNNLIEDKHAARRLVEDLIEASEGIGCAEKITTVLGVNADKTKLDRCHDSCLDSSWHHINHKDASSDSEYDGTDISNSSVANAVPILDELDPLVSKDNSEDDSVAFSQNHVSDDGSTEDEAENQDDEDDEELQEEKDDGNKVVVTWTADDQKNLMDLGKSELERNRWLENLIAKREAKKATEKNLIDLDDNMEETSQAYAQLPSVSAPRRNPFDLPYDSDESIPGSAPSVLLPRQNPFDLSYEQIYDEENSNQEFVAVPQRDMFIRRHESFSVGASFFSDFKVEKRASRFKAYFGAEKNEPQETAYAGLHRESSGSSDSKLSSTSESDAVSSVIDQEHQKDLLLGSGSSTKHETIPIEQNSQSLEDVKSVDVQQVESQINIINDYATHPSDSMLMEETHQADESFGTANDDTSRDLVLNLADSFAERVEVIDEEYESSSSTPSEAEKNSPDLEQTSDGSSKGSTASSKTIVADSDVVNVETDHVHDSHIVEPVYDSSPTATERSHSNSALDEAFCIADKGGSPSSAAAERIISSGGGTEESLTSTQGTLWVVPPSLAFVDQNESVSREISIIKELDVIGDELSKVHEDFGGPILPVLPDPAARQSMLGSNLSSVEIETSEDR >Ma05_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3754066:3754821:1 gene:Ma05_g04880 transcript:Ma05_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEREGSGSAGAKRYKGVRRRKWGRWVSEIRLPNSRERIWLGSYESPEKAARAFDAAAVCLRGRRARLNFPDSPPPQITGGAWRLTHQQIQAAAARHAAAAPGPTQPPTPVDSPAASSPASDGFTAGSDDALDWSFMDPQLVAPLTVDGDFPAPFDDFMYDFSSSVPATPLDVADDHGGVDFGSNSFLWSF >Ma08_p30610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41815931:41821527:1 gene:Ma08_g30610 transcript:Ma08_t30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEERGKGAGFDGAMAAELVAGLRRSFASGRTRSHEWRAAQLKGIVRMIDEKESAIMAALHEDLAKPQMESYLHEISLAKAACTFALKEMKRWMKPEKVPTSLTTFPSSAEIISEPLGVVLVISAWNYPFLLSIDPVIGALVAGNAVVLKPSEVAPATSYFFAKVLPEYIDNSCVKIVEGSIPETTALLEQKWDKIFYTGNGKVGRIVMAAAAKHLTPVALELGGKCPALVDSSVDVKVAAKRIAVGKWGCNNGQACIAPDYILTTKSFAPTLVDAMKRTLEKFYGKDPLESADLSRIVNSNHFKRLMSLLDDENVSGTIVYGGQRDEQHLKIAPTLLLDVPHDSSIMKEEIFGPFLPIVTFDEVEDCIDFINSKSKPLAAYLFTKDRKIEDQFVKNVSAGGILVNDVALHLANPHLPFGGVGESGIGAYHGKFSFDAFSHKKAVLSRGFGGEAPARYPPYTPQKQRILRGLINGSIIALVLAILGFPRD >Ma08_p30610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41815932:41821530:1 gene:Ma08_g30610 transcript:Ma08_t30610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEERGKGAGFDGAMAAELVAGLRRSFASGRTRSHEWRAAQLKGIVRMIDEKESAIMAALHEDLAKPQMESYLHEISLAKAACTFALKEMKRWMKPEKVPTSLTTFPSSAEIISEPLGVVLVISAWNYPFLLSIDPVIGALVAGNAVVLKPSEVAPATSYFFAKVLPEYIDNSCVKIVEGSIPETTALLEQKWDKIFYTGNGKVGRIVMAAAAKHLTPVALELGGKCPALVDSSVDVKVAAKRIAVGKWGCNNGQACIAPDYILTTKSFAPTLVDAMKRTLEKFYGKDPLESADLSRIVNSNHFKRLMSLLDDENVSGTIVYGGQRDEQHLKIAPTLLLDVPHDSSIMKEEIFGPFLPIVTFDEVEDCIDFINSKSKPLAAYLFTKDRKIEDQFVKNVSAGGILVNDVALHLANPHLPFGGVGESGIGAYHGKFSFDAFSHKKAVLSRGFGGEAPARYPPYTPQKQRILRGLINGSIIALVLAILGFPRD >Ma08_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12770105:12771914:1 gene:Ma08_g14450 transcript:Ma08_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMALDSWLSRVKSAIFTGIESVRSTMNADAADSALDRKRATVGILAFEIAGLMSKLLHLWRSLSDAQLARLRNDTIALPGIRKIVSDDDSFLLGLACAELVESLRLVAESVSMLSQRCVDPALRGFSGGFKEFSDFGHDANRWVMGWKEMESKARKMDRYVASTAALYKEMDQLSETEHSLRKIVHCGGECNRSMSTSRLAMVAEIQQKIFWQKQQVKYLKQTSLWSCTFDAVVSLLGRSAFTVVTRIKHIFRVGSESYPLPRSLSGSAAVYPSSDTVSQPSKFLSGPPLLPSKHEQGGFFETGSSMLVPPPSTLGAAALALLYANLIIVLEKMIRSPRAVGADARNDLYGMLPASVRCQLRARLRGVGQAVARDVGLAAEWRAALARIAEWLGPVAHDTIRWQGELSFERRSAAAPRANVLLLQTLYFADRAKVEAAVTELLVGLNYLWRFEREMSALAFAADHGALQQ >Ma10_p29190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35841799:35846871:-1 gene:Ma10_g29190 transcript:Ma10_t29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLQIFQIPVKNAPSEKKHARTSSDISDPSTPRHIEDSRNIAIYTDVIAFTLFELETITKSFRPDYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNRDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTAAPLSWATRMSIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRRSVDKTRPSKEQSLVDWARPKLNDKRKLLQIIDSRLEDQYSARAAQKACSLAYYCLSHNPKARPLMSDVVETLEPLQASSGSEGSFQALGRGTVSDYRMHRRLAGGSVSCRPTPNTKCSPGALPACRVK >Ma04_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24599036:24599873:1 gene:Ma04_g22230 transcript:Ma04_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPISKGCFLFVLLALSIALTVSNVAADDCENVWCVANPKASRDAQQKDIDVLCNVMDCSPIKSGGACFEPNTILDHASFVFNTYFKDRACYPARCNFGGDATITKTNPSHGSCTFK >Ma10_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32403515:32407197:-1 gene:Ma10_g23500 transcript:Ma10_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLYTRSRRLKRLLSVTLGRRSREEASTEEDDSSVRASTLMEPLLDANPPLKPTWRCFSYGEIHSATNGFHKDNLVGRGGHAEVYRGVTADGQAVAVKRLMRASTDEQREKDFLTELGTVGHVRHPNVSALLGCCIDRDLHLIFEFSSRGSVSSNLHDENSPPMDWKLRHSIALGTARGLHYLHKGCQRRIIHRDIKASNILLTSNLEPQISDFGLAKWLPSEWTHRAVAPIEGTFGCLAPEYFMHGLVGEKTDVFAFGVFLLEMVSGRKPVDGSHKSLLSWARPFLKDDTIQMLVDPRLGEEYDMGQLRRLTFAASLCIRATSTLRPSMTEVLELLEGSEISQDQWKIPEEEDEQEFGGFDDLDDDDDDDDDCDTPSTSSTGSSQPQFS >Ma09_p21700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33572000:33575239:-1 gene:Ma09_g21700 transcript:Ma09_t21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQTDRSHPHPTPPTTNSAVTPQVPGISGFQVSPLIFPGMLPIQNPDYNEHGSGIYAIPYLPYTRPMAGFSPDTLIPLKYNIPTRPSSGGASDEHGQEARQQHGPQRQVAVRRFHFAFQLDLGLIIKLAAMVFLLSQDGSSQKLVLLIFFASLVYLYQTGALAPFIQWLQQAGAPRRLQAPIRLQNGPPVGRDGQNNPQPVENHGVDDQNPNQPAENQEQPDTNDNHPEREGHRNNFWGVVKEIQLFVVGFLTSLLPGFHNNN >Ma03_p30670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33204914:33206834:1 gene:Ma03_g30670 transcript:Ma03_t30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKESLCVFSLLLMLVGKKAAWVSAVAPTMADCEPRSCGNGVNVSYPFWLRGQQPSYCGFPPFMIICGNGSYSPVLEILGHKFYALNIFYHNQSVLLTAVEFFDDSCPLPYENFGFDSSIYPFSISSLNRNVYFLLNCSNELWGYESIACARHLAYFGGEYNMSTRLDLASLGCVLDIVPVVEYFNASNGDVAALLRRGWLLDWASPDCTECTAGGGRCGFNDTMGRFMCICPDGVRSSSCSKY >Ma02_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24752681:24754648:1 gene:Ma02_g18120 transcript:Ma02_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTRLCYTKSIITVNGQYPGPTIFARDGDRVIVDVVNHVRDNVTIHWHGVRQLRSGWADGPAYVTQCPIQSGQSYVYNFTIVGQRGTLFWHAHISWLRTTLHGAIIILPELGVPYPFIEPYKEVPVIFGEWWKADTEVVISQALENGGEPNISDAFTINGLPGPLYNCSVKDTFKLMVSPGKSYLLRLINAALNDELFFSIANHTVTVVEVDAGYVKPFEADTILISPGQTMNVLLDAKPNYPNAIFSMSARPYSTGSGAFDNTTVAAILKYRNPSNSSSAGFSKELALYIPVLPAFNDTSFAANFTGKLRSLATAQYPANVPQTVDRRFFFTVGLGTDPCPTNQTCQGPNGTKFSSTVNNISFTEPTTALLQAHFFGQSEGVYTPDFPAFPLMPFDYTGTPPNNTNVSHGTKLLVLPFNTSVELVMQDTSILGTESHPLHLHGYNFFVVGEGFGNFDPASDPAEFNLVDPVERNTVGVPDGGWVAIRFLADNPGVWFMHCHIEIHLSWGLTMAWLVLDGALPNQKLPPPPSDLPKC >Ma08_p33160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43606721:43607559:-1 gene:Ma08_g33160 transcript:Ma08_t33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGGFECLLVWSCCAGLFLYPISLCECKPRLSLLDVKPGEHLIGIPSLSADSSPAFGRELLSTIMLSSTLPAAPQRGRRLLLLDELAPVASPNSRGRSSYDADVIMILAVVLCALIGVVVASFVVRCVLRVARWAWLEPHAARPCLADLPVAAAAARVKEEQAATAGSGLIVYLGEPVGGSECAICLSEFAPGERVRVLPGCNHGFHVHCIDRWLASRLSCPTCRRSLFGKCSAASDGDHAVH >Ma07_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7822601:7823269:1 gene:Ma07_g10510 transcript:Ma07_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKSLVWDCGSSLYDSFELKSFMQQLDSAITSRTMSMPHLSGTSHPPPAQARKKSSKLFRSVHRLLRSVLHVPSMLKVQVRSHEHKDRAPHRKSGRLASIPEISEKEAAASPEIRASVRKTMSARFTSATAPPPPALS >Ma06_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22056975:22059606:-1 gene:Ma06_g23880 transcript:Ma06_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELYELSNGTITVKITNFGATITSILVPDANGNLADVVLGFDTVEPYQKGAGRYFGCIVGRVANRIKEGKFTLNGLDYSLHINNPPNSLHGGKIGFDKVLWEVAEYNNDEFPSITFKYHSKDGEEGYPGDLSVIAKYSLPSGTSLKLEMEAVPTNKATPVSLAQHTYWNLAGHNSGHILDHSIQIWASHVTPVDENLIPTGEIMPVTGTPFDFTSERKIDSRIHEVPVGYDHNYVLDCGEKRCGLKHAAKVKDPSSSRVLNLWTDAPGLQFYTGNFVTGVVGKGGAVYEKHAGLCLETQGFPNAINQPNFPSIVVQPGEKSKHTMLFEFSTV >Ma11_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25034768:25039391:1 gene:Ma11_g20620 transcript:Ma11_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLPDEVVKNRWLGFLIWQSITSAVIHLSSSLLLHRLGRGSSSSVAATLFAFLAFHLSLLLLSLAIFLLSTPHPDPSASLPDLAAATLRASLKSLVGGFSRPSFTADFAHRARRSLASGVFLLVCGVAGFLSVVAVCGNAEVVDGASLVGVGLRGLVFGLVYGLHYVYRRRWILKFPIIQRPFFYSFKIGLSQSLKRALKLSVQAYVSSVILIFFLPDQLKNKNMIGKFIIQQLRFYIGILTVSICWELSHHLLQDVHTRRCIFAPPQGSAAAETNPSEILLETLEQSSPRSLIQYLAYLDLCLVTESNAEPWRRGAFFEETGETYQRTINVCLRPIEQLASGLAEGLEGFSINRSDLLSKQLNSPVDIQVDSRLHEALNDFQICSWCARALGALTARSHLEDRYGVAQLTGCNKAVVSTLLSCLLAVEACVGKKTSVQLAHMLGPANIRWATVNTGKRDAVPALTTKKRGAALHAKAYAMADVLKTSIYQVVSAFQTDMQANAKASVLEKNWIAEGKPIYGTREILIQKLHLFLDYRAV >Ma02_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16252237:16254192:1 gene:Ma02_g04840 transcript:Ma02_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEMSEESEGCGHNPPQSEEISLGRASELITALISSTYCVRSFPLKWQLIRGKLDQLHSGLLAAADRGDSTNNSELMELLEAVTSTLKEAQVLANKSSDESYGGGKLLLKSDLEILSSKVEVQFTTLSDMYVSGAVAHSLAIILSKPEVGASREDMKIYLRDLISRLKISDSQMRIRALCALNDVLHEDEKYARIMAVEMSDGVSLLVKLLEQGETGVQEEAAEGISVIAGFESYRGALVVAGVIAQLIRVLETTGSQLARARAARALKKLTENSENAWSVSAQGGVSTLLKICSDAHSSGELIRSACDILKSLSSVDEIKRFMVEEGAVSVFVKLSGSKEESSKIKAIEFLTILASDDDMKHEVVNQEVVGSLVQVLDPSSAHTSKARQVALRAIESLCFSSPSSMNQLLSSGFLDRVLLLLRNGEICIQESALNAVSRLCGLSERSKKVMGDAGFMPELVKLLEAKSFQVREVAAEALCGMMSIQRNRRRFIQEDQNVNRILQLLNPEEEKPVAKKFLLSAVLSLTDNDGVRRKIIASGCMKYLQKLAETDVTDAKKIVKKLSGNRFRSILTRIWSS >Ma04_p25580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27320532:27333069:-1 gene:Ma04_g25580 transcript:Ma04_t25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPQFLQCGFEPFRRSPLATAAPQWRTLRRRSIRLRSRSPRSGVFAVATEPTTGGAASSSSSNSASSPRSASFGSVNGAASGRFGNVSEEIKKVRKQMEEDEQLATLMRGLRGQNLTDVQFADENIRLRLVEVPAVNSNEALPLVYDPDIIASYWGKRPRAISTRIIQLLSVAGGFLSHLAWDLINKKIAENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDVAMALIEEELGQPWCNIYSELTPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRKLGLFLRRFPQISIDIVGLVDEWAARFFEELDYINEGENGTRFAEMMREDLPQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTRLTDDQKYGIIEAIAHLIHRDYNEIVKDFVKLDFIPDGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYLAQRLLTDESPRLKEALRYMIYGKRGVFDAERFIDVMQAFENFITAAKSGGGENLNGNMADLGSLQSQPGLLVPVFPALVPQPAQPVKTRAALTFLLSEKGNFFREFILDEVVKAIDAVSREQLVQIAAVMGIGTAAPVFSMAPLRPAVLLPTITEEDRVILNNVEKVAKFLTSETSKASSHQGVEISNVIQELLPVLPSISARVLPEVLSRLTSRVLARLIRETFL >Ma08_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1836722:1839917:-1 gene:Ma08_g02320 transcript:Ma08_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKDVGVTEVVLAINYRPEVMLNFLKDFEDKLGIKITCSQETEPLGTAGPLALARDRLMDGSGEPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMDESGKVDRFVEKPKVFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAADQKLHAMVLPGFWMDIGQPKDYITGLRLYLESLRKKASSKLATGSHIVGNVLVHENAVIGEGCLIGPDVAIGPGCVIESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARIENMTILGEDVHVSDEIYSNGGVVLPHKEIKSSILKPEIVM >Ma02_p22890.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28113188:28124848:-1 gene:Ma02_g22890 transcript:Ma02_t22890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAKLSVKDDAKSSSSPPPPNFSMDGAHRGLASMMPEEKPLDVVGDPEIPEPADDVGFDQDPQSPKKGGEEGVAAAAEKGVWPGFSQRPDAPNCAFYMKTGTCKFGFNCRFNHPPKRRHRAKPEKQSEYVQTIKAAHKGSGSEKMGQTTCKEFGKVGEKEKKVAQKSFRPENAEKKQKGTVLKKLEQTEKKAVEKEEQTPSKKMDQEKVTLKKQWKVVQKAAREEHEESSLIMEVQTSCRVDEKEKEIAHSFMQLKCEKTEEKQKAFLLRTVEQTEIKAAEDKQSFPERIEHEDKKVDILSLPEEKQTLSGRSEQQDYKAAREKGKETTSEKGEQTEFKAGTEEGKETTLGKGGLIEHKVAMEKSKETTLEKGGQIECKFYTMPGGCKYGKSCKYVHSQKKMEGNPSKLNFLGLPIRLGAKECPYYMRTGNCKFSTNCRYHHPDPSVAMVGHDPHSGCQSSGSMQQSAFGASTMPVTPSRSQGTLNGPTSFVVASPACSPASNLHSQGFHSNSGCNGYQQVHIDEYPERSGQPECHYYMKNGFCPFKSVCKFDHPKSHLPTKSNGVSIPSCPPQ >Ma02_p22890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28113188:28124848:-1 gene:Ma02_g22890 transcript:Ma02_t22890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAKLSVKDDAKSSSSPPPPNFSMDGAHRGLASMMPEEKPLDVVGDPEIPEPADDVGFDQDPQSPKKGGEEGVAAAAEKGVWPGFSQRPDAPNCAFYMKTGTCKFGFNCRFNHPPKRRHRAKPEKQSEYVQTIKAAHKGSGSEKMGQTTCKEFGKVGEKEKKVAQKSFRPENAEKKQKGTVLKKLEQTEKKVGEKEKKVAQKNFKPEDAEKKQKGTVLKKVEQTEKKAVEKEEQTPSKKMDQEKVTLKKQWKVVQKAAREEHEESSLIMEVQTSCRVDEKEKEIAHSFMQLKCEKTEEKQKAFLLRTVEQTEIKAAEDKQSFPERIEHEDKKVDILSLPEEKQTLSGRSEQQDYKAAREKGKETTSEKGEQTEFKAGTEEGKETTLGKGGLIEHKVAMEKSKETTLEKGGQIECKFYTMPGGCKYGKSCKYVHSQKKMEGNPSKLNFLGLPIRLGAKECPYYMRTGNCKFSTNCRYHHPDPSVAMVGHDPHSGCQSSGSMQQSAFGASTMPVTPSRSQGTLNGPTSFVVASPACSPASNLHSQGFHSNSGCNGYQQVHIDEYPERSGQPECHYYMKNGFCPFKSVCKFDHPKSHLPTKSNGVSIPSCPPQ >Ma02_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28113188:28124848:-1 gene:Ma02_g22890 transcript:Ma02_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAKLSVKDDAKSSSSPPPPNFSMDGAHRGLASMMPEEKPLDVVGDPEIPEPADDVGFDQDPQSPKKGGEEGVAAAAEKGVWPGFSQRPDAPNCAFYMKTGTCKFGFNCRFNHPPKRRHRAKPEKQSEYVQTIKAAHKGSGSEKMGQTTCKEFGKVGEKEKKVAQKSFRPENAEKKQKGTVLKKLEQTEKKVGEKEKKVAQKNFKPEDAEKKQKGTVLKKVEQTEKKAVEKEEQTPSKKMDQEKVTLKKQWKVVQKAAREEHEESSLIMEVQTSCRVDEKEKEIAHSFMQLKCEKTEEKQKAFLLRTVEQTEIKAAEDKQSFPERIEHEDKKVDILSLPEEKQTLSGRSEQQDYKAAREKGKETTSEKGEQTEFKAGTEEGKETTLGKGGLIEHKVAMEKSKETTLEKGGQIECKFYTMPGGCKYGKSCKYVHSQKKMEGNPSKLNFLGLPIRLGAKECPYYMRTGNCKFSTNCRYHHPDPSVAMVGHDPHSGCQSSGSMQQSAFGASTMPVTPSRSQGTLNGPTSFVVASPACSPASNLHSQGFHSNSGCNGYQVHIDEYPERSGQPECHYYMKNGFCPFKSVCKFDHPKSHLPTKSNGVSIPSCPPQ >Ma02_p22890.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28115633:28124856:-1 gene:Ma02_g22890 transcript:Ma02_t22890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAKLSVKDDAKSSSSPPPPNFSMDGAHRGLASMMPEEKPLDVVGDPEIPEPADDVGFDQDPQSPKKGGEEGVAAAAEKGVWPGFSQRPDAPNCAFYMKTGTCKFGFNCRFNHPPKRRHRAKPEKQSEYVQTIKAAHKGSGSEKMGQTTCKEFGKVGEKEKKVAQKSFRPENAEKKQKGTVLKKLEQTEKKVGEKEKKVAQKNFKPEDAEKKQKGTVLKKVEQTEKKAVEKEEQTPSKKMDQEKVTLKKQWKVVQKAAREEHEESSLIMEVQTSCRVDEKEKEIAHSFMQLKCEKTEEKQKAFLLRTVEQTEIKAAEDKQSFPERIEHEDKKVDILSLPEEKQTLSGRSEQQDYKAAREKGKETTSEKGEQTEFKAGTEEGKETTLGKGGLIEHKVAMEKSKETTLEKGGQIECKEVVSMGNPANMFILKRKWKAIHQN >Ma02_p22890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28113188:28124850:-1 gene:Ma02_g22890 transcript:Ma02_t22890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAKLSVKDDAKSSSSPPPPNFSMDGAHRGLASMMPEEKPLDVVGDPEIPEPADDVGFDQDPQSPKKGGEEGVAAAAEKGVWPGFSQRPDAPNCAFYMKTGTCKFGFNCRFNHPPKRRHRAKPEKQSEYVQTIKAAHKGSGSEKMGQTTCKEFGKVGEKEKKVAQKSFRPENAEKKQKGTVLKKLEQTEKKVGEKEKKVAQKNFKPEDAEKKQKGTVLKKVEQTEKKAVEKEEQTPSKKMDQEKVTLKKQWKVVQKAAREEHEESSLIMEVDEKEKEIAHSFMQLKCEKTEEKQKAFLLRTVEQTEIKAAEDKQSFPERIEHEDKKVDILSLPEEKQTLSGRSEQQDYKAAREKGKETTSEKGEQTEFKAGTEEGKETTLGKGGLIEHKVAMEKSKETTLEKGGQIECKFYTMPGGCKYGKSCKYVHSQKKMEGNPSKLNFLGLPIRLGAKECPYYMRTGNCKFSTNCRYHHPDPSVAMVGHDPHSGCQSSGSMQQSAFGASTMPVTPSRSQGTLNGPTSFVVASPACSPASNLHSQGFHSNSGCNGYQQVHIDEYPERSGQPECHYYMKNGFCPFKSVCKFDHPKSHLPTKSNGVSIPSCPPQ >Ma02_p22890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28113188:28124848:-1 gene:Ma02_g22890 transcript:Ma02_t22890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAKLSVKDDAKSSSSPPPPNFSMDGAHRGLASMMPEEKPLDVVGDPEIPEPADDVGFDQDPQSPKKGGEEGVAAAAEKGVWPGFSQRPDAPNCAFYMKTGTCKFGFNCRFNHPPKRRHRAKPEKQSEYVQTIKAAHKGSGSEKMGQTTCKVGEKEKKVAQKSFRPENAEKKQKGTVLKKLEQTEKKVGEKEKKVAQKNFKPEDAEKKQKGTVLKKVEQTEKKAVEKEEQTPSKKMDQEKVTLKKQWKVVQKAAREEHEESSLIMEVQTSCRVDEKEKEIAHSFMQLKCEKTEEKQKAFLLRTVEQTEIKAAEDKQSFPERIEHEDKKVDILSLPEEKQTLSGRSEQQDYKAAREKGKETTSEKGEQTEFKAGTEEGKETTLGKGGLIEHKVAMEKSKETTLEKGGQIECKFYTMPGGCKYGKSCKYVHSQKKMEGNPSKLNFLGLPIRLGAKECPYYMRTGNCKFSTNCRYHHPDPSVAMVGHDPHSGCQSSGSMQQSAFGASTMPVTPSRSQGTLNGPTSFVVASPACSPASNLHSQGFHSNSGCNGYQQVHIDEYPERSGQPECHYYMKNGFCPFKSVCKFDHPKSHLPTKSNGVSIPSCPPQ >Ma04_p28480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29545568:29547229:1 gene:Ma04_g28480 transcript:Ma04_t28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDPPRLDRRHLLLHHHHRTAGHVLRHSLSSLLSSGVLPCLLLASLLLFAFHSTLLVATLRLSALVDRDPALLSLLRRLSSSPSAAPAPAPAPPARPRSAPFLRLTRAGDFFSESDPPASLRFSLNASRLLFLDPAVGLLPRPPKSSKSSVPLFPSSVSPFLFSFPDADDRGGGGRDRAVSQQDLGRGFDIDRRDATAMLYVLVLLSSTHSLAILGFVIAYTSALGIVFFSIAAFHVQRPATVVETIFSGARAGIRRLTGFVFLRWAARDMLIQFLSFWFFANVEDQAELFKLFVKVKLMPFTLSPFNPWSGLQDEALSGFFFVWALVDTVGSVMVVLMPWVVIMDNNLRRGVLDEVKEGFYFITLMPAQAIWIKFLEMMICGNLGTSAAMMVGGRLFAGLFHAVAEVYFMAVWLIFYFAARGKDGEMTGRRFGPRDLQRCIDELS >Ma06_p27470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29466412:29467242:-1 gene:Ma06_g27470 transcript:Ma06_t27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIPARDAAVAQADPFSEHGRAREMAAIVSALRRVVAGDTAVDAPSFSPSRGGGRGGVIGYKREPDELMGERAYKHHRAPAPVAEATPSATPLAEEGESRKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFTTAEAAARAYDEAALRFRGSRAKLNFPEDARLGPPQPGVAAARWPESQPWRGSWEQARLPGEAEHRPVTDSKSSMASNCAGSSSSTDQHMGYLPQASWIESNQHRPSSSAE >Ma03_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6431652:6436915:1 gene:Ma03_g08730 transcript:Ma03_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSRANSPSFYLRGAIRKPNLRSRDHEGAMDTGQVLVEIQPQEELRFTFELRKQSFCSVQLVNISNDYVAFKVKTTSPKRYCVQPNMGIILPRSTCNFTVTMQAPKETPCDMQLKDKFLVQSTVIPFGTTDEDIVPSFFFKENGKYIQENKLRVALISHLHPPPPENRTLRQEPAHDIPDLAEISIATNGILKHEPAEEVPILIETSISNSGVLKQELDHEREMSKETCYFSDQTLGGVADVTPSLVAEDIDELKLKLNNLEAKFNEAEKTITSMREENIAAIQDRDKFQLEIAALRRKYVVNVQSGFPFSFIVFVALVTMAFGYLLHP >Ma04_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3933118:3947498:-1 gene:Ma04_g05270 transcript:Ma04_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEGGGEGQSRELDETPTWAVASVCAVIILISILLEKGLHHLGQWFTERRKKAMFEALEKIKDELMILGFISLLLTFGQNYIIQICIPEEAADTMLPCLKEGKEETIEGEGHRRRLLWSSLEGFGSKHRMLVEASSSPHCPEGKVPLISLHGLHQLHIFIFFLAIFHVTISGLTMALGREKISSWKEWEIETSSPDYEFSNDPSRFRFSHETSFVRQHTSFWNRIPVSFYIVSFFRQFFISVRKADYLAMRQGFITVHLAPGSKFDFQKYIKRSLEDDFKVVVGISPLLWASAVLFLLLNVKGWLTLFWISVIPLITILSVGTKLQAIITRMALEIKERHAVIQGMPLVQLSDHHFWFGRPQFILFLIHFTLFQNAFQIIYFLWIWYEFGLDSCFQDNRTLMIARICLGVVVQILCSYITLPLYALVSQMGSHMKRSIFDDHTSKAIMKWHQAVKKKNPTTSPKGSPKGSPKGSPKAATVVPADSETSAGDIMLTPPQRDQDAQGTNAGVQWSAATATPTSTVASADLLTGSTEQQLHAVEHEKEDDYSFINLSDT >Ma11_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:259216:266680:1 gene:Ma11_g00360 transcript:Ma11_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKFIDKKKSATFHLLARDTASCPASSSADGEPTTDRVFVRVDNNPFPCPDFLGDDDDDYGRGRCDPDDDPKSIFADAPGDTDEEEVFVSSPPPRVSARATRFGKEGLLPDRMRNEILELGLPDDGYNYLVHLREIKNAGGGSSYFHNPKAKLDRLQLDVKAYDASRLRIDSEVRDDTDREMVHAVALNTRAVKVQKAVDPDVLRLLDDSDLSRFGSDVEDLEEDFVVKANLPEGDEEKTDEVEQEGEEVVYGRDDKAGRAEEVGVVKDGLLQQQEQEDAAAEPEQNVKRVLRSDEKPRVRRLLDEQFDLLTLREYDDDSDSDDVPYIDTERELLNSKLHDALKEFALDDLELQGKYGVPGSTKHGHQEEANGTEVDESAEVIRKCAEYAERYLNESEYDEMVFVEESSDESETWDCETIVSTYSNLDNHPGRIQAPENPERRLPRIFPGDSTAKNNVIALRGKAKLPVDYLPNKRRTAEKVKTSVVLSSEKPKRRPHSQESKEEKKERKAAIKEERREARKAKKELRGLYKFEAQRAQKVVAVSGPSSMRIM >Ma07_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9599443:9601568:-1 gene:Ma07_g12760 transcript:Ma07_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSKSIVKQASTCGKLITILSIDGGGIRGVIPATILSFLESELQKLDGDDVRIADYFDVIAGTSTGGLVTAMLAAPDENNRPLFAAKDMKSFYLEHSPKIFPQIGGFLAPVRRMFRSLWGPKYSGTYLHSLIREKLGGVRLHETLTNVIIPTFDIKQLHPTIFSSYEVKRKSSLDACLSDICIGTTAAPTYFPAHYFETKDSEGSSSREFHLIDGGIAANNPALVAVGEVTKEVHKKNPDHFNREAVDYRKFLLISLGTGSAKVEGKYRAKSASRWGVFGWLLGGGSTPLVDVLMQSCADIVDIHLSVVFKALRSESNYLRIEDDTLTGAVSSADISTEENLDDLVSVGERLLKKPVSRVNLETGVFEPVGNGEGTNEDALRRFARLLSDERRLRKLRSPASGGNHHRIQEYVTPKDRIRAVKTTRAIPTSS >Ma04_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:13781412:13781492:-1 gene:Ma04_g15830 transcript:Ma04_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFGLIDKMELAPLQEFIDSIIVPY >Ma10_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26488932:26495042:-1 gene:Ma10_g13860 transcript:Ma10_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTAPKQLLRPQIHSSAAPRRGKHPSSDRSMAPKPSIFGACKKSLSAFSASAVSFAAAAGGGFILLASSSPPPIDGGGGGGWYRGGGGDGGGFWSNLFSPLVALAKEEGGPGREWDPHGLPANIVVQLNKLSGLKRYKISDILLFDRRRSTTVAGTEDSFFEMVSLRNGGVYTKAQLQSELENLAASGMFEKVDLQGKTKPDGTLALTVSFAESTWQSADAFRCINVGLMPQTKQMEMDPDMTEREKLEYFRSQEREYRRRIERSRSCLLPVTVRREVMQMLRERGKVSARLLQRIRDRVQKWYQDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLDIQFLDKLGNLCEGNTHLAVIRRELPRQLRPGHVFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLREMDQKTAEVSTEWSIVPGRDGRPTLASVQPGGTVSFEHRNINGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVTNPRNRTFRTSCFNSRKLSPVFTGGPGVDEVPPVWIDRVGFKANITESFTRQSKFTYGLVMEEITTRDETTSICTHGARVLPSGGLSMDGPPTTLSGTGIDRMAFAQANITRDNTKFLNGATVGERDVFQLDQGLGIGSNFPFFNRHQLTLTRFIPLKNVEEGIGKSPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGACRNIIELATELRIPVKNTHVYLFAEHGNDLGSSKDVKGNPTEFFRRAGHGSSYGAGVKLGMVRAEYAMDHNSGTGAVFFRFGERF >Ma04_p36590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34888136:34893388:1 gene:Ma04_g36590 transcript:Ma04_t36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERGGSGSKAEIGAVDRKRINDVLDKHLEKSPPSASRGLNSKEKDRMLVPSTSSGKQPEPRSLLKSKCSDEESETDSEESDVSGSDMEDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDTFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMLEKFKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPSQRYVPRVFGFKLHKP >Ma04_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1469737:1471188:-1 gene:Ma04_g01660 transcript:Ma04_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAEEKIEIITNEEWLSSLKTRVAETKWEGWCTDGPTISKVPRIFQEVNPKAYEPKMVSLGPYHHRNIHLKAMEDLKWHYLQKFLGRNPGKSLEDYIEQIKKRELLARMAYSEKVDMSSDEFAQMMLLDCCFVIEIIHSWKPFVEIKETVPTFRTRLPMSMHQTVGKGTEAVQNPNTSTRYILPAIARDMLMLENQLPFFLLQTLFDSAYPDKSDLLKKHAFEFLGETFMNRKMKLASDNGDVYHLLHMLHFSISPPEKNRDDGEPFAFCWPLQSMKKSRHQPKDDLEGTDHQTRQLKWIPSATQLMEAGVHFRKKEKATNLLDITFHNGVMEIALLQIDDSTETFLRNLIAFEQCSKNVSLHVTAYAAFMDCIINTAADVALLQQHGIILSGLGDGKQVADLFNKLCKEVTLDYEKSYVSGIYKDVNKHWTNKYNQWRARLNHDYFSNPWAIISVFAAILLFGLAITQTIYSALSYVRPPN >Ma00_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22634793:22635841:-1 gene:Ma00_g02910 transcript:Ma00_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFSKYLCSSINNSDPVSENLHEHECGNGNQIAEQNNETFNPSPSPTNVHGFIGMVAGDNSKQPPTSAAPSRALTDFSFQGGKHHGTTATADLPSPNGLHSVGHSNQRDDVYNDNGHPFHRGIRCDGCGMYPIIGP >Ma08_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33375270:33376372:1 gene:Ma08_g19590 transcript:Ma08_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKLSTVADGEGKEMRFRGVRKRPWGRYAAEIRDPSKKTRVWLGTFDTAEEAARAYDNAARDFRGAKAKTNFAFSDACSSPSAVPAATGSPSSQSSTVESSGREVAAAAVPPLAVPLPPSLDLGLLYRGGTGGRFPFQSYPTAAPSAQQFFFLDAKSATNNRQLALCPPMFVACFQPPPAVAVQSDSDSSSVVDLHPDYRSPPPQAKTFPFAFDLNLPPPEEIA >Ma08_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:937800:938098:1 gene:Ma08_g01020 transcript:Ma08_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDRDTLSAGLHLSLSLAGCKNKEKHGDEVERKEPRPQDPVAVDSGNCRSSHRERRHHEVKGHG >Ma08_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15438996:15446446:1 gene:Ma08_g15370 transcript:Ma08_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCHHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLTNYVPLHAREVFDSDELKVPKIKSVPIKPKNEKLQKIQQGNHKLESECEMQYCDQNFHKIVEGDISNRSSSGSAISGSDSCLHFGTNDASDLTGSVQSNVCDSIAPSKKIFMTRPKLSVEKLTKDLYSILHEEQASNLSRSSEDDLLYESGTALGSFEIGYGGVLIKHPNSESVDEESEASSFPVDKSYIMNEGYSGLSYFPVNIESKGTSLLNSGTDTMKSTTEMAQDSAKRVKISTEKLNILQDRVSSLSSADLNVIINFESFMKYLTHDEQQLLMKYLPSIDNVKPPESLKSMFTSPQFLETLSYFQQLLQEGTFDLSMSVADAEQRRTLNRLVSLNCTKFQWLDQCQKVKDAPSKKIKGGNGISSRQRLPGLSISASLKRHHDRQNQSYSGMKSTMRSPKRVCRSGCTNPPSRCFTRPNSSLITREAGDMGDFVDHEGACFSPRRIFASPPDRSSMQFIADSSEGDVLLDVPSGVSFPEAELLYDPWEQKTSQIGSPTMSGVEASVLPSSSFANK >Ma08_p15370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15438996:15446446:1 gene:Ma08_g15370 transcript:Ma08_t15370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCHHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLTNYVPLHAREVFDSDELKVPKIKSVPIKPKNEKLQKIQQGNHKLESECEMQYCDQNFHKIVEGDISNRSSSGSAISGSDSCLHFGTNDASDLTGSVQSNVCDSIAPSKKIFMTRPKLSVEKLTKDLYSILHEEQASNLSRSSEDDLLYESGTALGSFEIGYGGVLIKHPNSESVDEESEASSFPVDKSYIMNEGYSEMAQDSAKRVKISTEKLNILQDRVSSLSSADLNVIINFESFMKYLTHDEQQLLMKYLPSIDNVKPPESLKSMFTSPQFLETLSYFQQLLQEGTFDLSMSVADAEQRRTLNRLVSLNCTKFQWLDQCQKVKDAPSKKIKGGNGISSRQRLPGLSISASLKRHHDRQNQSYSGMKSTMRSPKRVCRSGCTNPPSRCFTRPNSSLITREAGDMGDFVDHEGACFSPRRIFASPPDRSSMQFIADSSEGDVLLDVPSGVSFPEAELLYDPWEQKTSQIGSPTMSGVEASVLPSSSFANK >Ma08_p15370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15438996:15446446:1 gene:Ma08_g15370 transcript:Ma08_t15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCHHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLTNYVPLHAREVFDSDELKVPKIKSVPIKPKNEKLQKIQQGNHKLESECEMQYCDQNFHKIVEGDISNRSSSGSAISGSVQSNVCDSIAPSKKIFMTRPKLSVEKLTKDLYSILHEEQASNLSRSSEDDLLYESGTALGSFEIGYGGVLIKHPNSESVDEESEASSFPVDKSYIMNEGYSGLSYFPVNIESKGTSLLNSGTDTMKSTTEMAQDSAKRVKISTEKLNILQDRVSSLSSADLNVIINFESFMKYLTHDEQQLLMKYLPSIDNVKPPESLKSMFTSPQFLETLSYFQQLLQEGTFDLSMSVADAEQRRTLNRLVSLNCTKFQWLDQCQKVKDAPSKKIKGGNGISSRQRLPGLSISASLKRHHDRQNQSYSGMKSTMRSPKRVCRSGCTNPPSRCFTRPNSSLITREAGDMGDFVDHEGACFSPRRIFASPPDRSSMQFIADSSEGDVLLDVPSGVSFPEAELLYDPWEQKTSQIGSPTMSGVEASVLPSSSFANK >Ma11_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22233578:22238969:1 gene:Ma11_g16790 transcript:Ma11_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLLVKVLVLIGLFVVSGGRVIKMKHEVEGPVYNQTIVKILVEYASAVYMTDLTELFTWTCSRCNGLTKGFEMIELIVDVQNCLQAFVGVSPDLNSIIIAFRGTQEHSICNWIEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRHGILNAVQRAREAYGNIHIFVTGHSMGGALASFCALDLTIKHGIEDIQLVTFGQPRIGNAAFSNYFIRHVPHTVRVTHANDIVPHLPPYYSHFQRKTYHHFAREVWLQDIEVESLVYSVEKICDGSGEDPSCSRSVSGNSIADHLKYYDIDLQADSLGSCKIVMNTSTTRYHVDDSGNIMLSKDHLSPSFVELNSLVL >Ma01_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6977551:6979023:1 gene:Ma01_g09730 transcript:Ma01_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQSINIHSALTRQVMDASFSIFSRASMVGYRRQEPGRIMLTHGTVVCSAIQESSTAAVTDVKKQEVATKAEAPAAANDAPGKPKKPPVRPLPEMMEEEVIPSLKECLEAQEDVSEIEISFQDNRLEGSFLKKGIPYSFWAFFPNGVLTGPKGFSLSSYGSGVSTVEPFLIDEKRINAKLVVFWVKKRLAAQGILPVWTE >Ma06_p07530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5348039:5353142:1 gene:Ma06_g07530 transcript:Ma06_t07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFAVLSAEVAVAVTLLFKTPLRKLVVVGLDRLKRGRGPVMVKTVAGTVLVVLASSLYSIAKISSGSAELGALTPTDQVLMSRHLLEASLMGYSLFLALIIDRLHHYVRELRGLRKSMEAVLKQNRVLEEAKTGGSSEVKALDGMIASLNEQIKQLKSESEDRLQEAKAAEARAAALKKQSEDLLLEYDRLLEDNQNLRNQLNSIDNRLSFSDAKKIA >Ma04_p28600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29634194:29646457:1 gene:Ma04_g28600 transcript:Ma04_t28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAM10 family protein At4g22670 [Source:Projected from Arabidopsis thaliana (AT4G22670) UniProtKB/Swiss-Prot;Acc:Q93YR3] MDAAKVKELRLFVEQCKKNPTVLADPSIAFFRDYLESLGAKLPSTAYKTGASPHSCACEGKGPLVDEIDEDDFDAKDSPQVDHESDDEIIESDIELEGEIVEADNDPPQKMGDPSVEVTDENRDVSQEAKGRAMEAISEGRFEEAIEHLTEAILLNPTSAIMYATRASVYIKMKKPNAAIRDATAALEINPDSAKGYKTRGIAFSMLGKWEEAAKDLHVASKLDYDEEISSVLKKVEPNAHKIEEHRRKYDRLRKEQEDKKVERERQRRRAEAQAAYEKAKKQEQSSCRSSGCTPDECAGAMPGGFPGAMPGGFPGAMPGGFPGAMPGDFPGAVPGSVPGNIDMSKILNDPELMAAFSDPEIMAALQDVMNNPANFAKHQANPKVAPVIAKMMGKFAGPK >Ma05_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21439:27760:-1 gene:Ma05_g00040 transcript:Ma05_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLLSSDGKTLEAETAHGTVTRHFRLHQRGQETSMNSIASERILHSLSMVQVICVLINEKSCKDVALTSSLKN >Ma11_p06590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5337350:5340753:-1 gene:Ma11_g06590 transcript:Ma11_t06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) UniProtKB/TrEMBL;Acc:Q9LVZ5] MAMASRFSPVCLPSPSSLFRPDRHGSASMPPPLASTPHAADFAGACSMIYRHKQRFVRTIREASVAAAPTVEETKEYTLPTWAEFELGMAPVFWKTMNGLPPTSGENLTLFYNPVASNLVPNNEYGVAFNGGFNQPIMCGGEPRVMTKRNRGKADPPIFSIKIRVPKHAVNLIFSFTNGAEWDGPYRLKFQTMKMWRNKPLSFFNEGLAQELSVEGACERAIFPDANNFVASCAIGNIYTEGGNSCKLNLVQGCMDPDSPLYNPLADVDDGSCPLDSDSEEEQCASPL >Ma11_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5337350:5340753:-1 gene:Ma11_g06590 transcript:Ma11_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) UniProtKB/TrEMBL;Acc:Q9LVZ5] MAMASRFSPVCLPSPSSLFRPDRHGSASMPPPLASTPHDFAGACSMIYRHKQRFVRTIREASVAAAPTVEETKEYTLPTWAEFELGMAPVFWKTMNGLPPTSGENLTLFYNPVASNLVPNNEYGVAFNGGFNQPIMCGGEPRVMTKRNRGKADPPIFSIKIRVPKHAVNLIFSFTNGAEWDGPYRLKFQTMKMWRNKPLSFFNEGLAQELSVEGACERAIFPDANNFVASCAIGNIYTEGGNSCKLNLVQGCMDPDSPLYNPLADVDDGSCPLDSDSEEEQCASPL >Ma06_p28720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30328686:30331943:1 gene:Ma06_g28720 transcript:Ma06_t28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSLFLLFCFLPSRSLSLTQDGLHLLDAKSSLDDPDSSLSDWSPSDATPCNWTGVTCASPPLFPAVTALDLSDLGLVGPFPSPLCRLLDLSSLSLSLNSLNSSLSSSSFLGCYSLTRLDLSQNFFTGPLPAFLPSLLPRLSYLDLSDNNFSGPIPSSFGQFASLRSLSLVGNLLSGPVPAFLSNLSSLHELNLSYNLFTPTPLPPSLANLTSLRVLWLASCNLIGSIPPSLGRLSNLTDLDLSYNSISGDIPNSLAVLSSVVQIELYSNHLTGPVPSGLSNLTELRLFDASMNQLSGQIPEDVFLAPSLESFHLYENNLTGAIPSTITRCDNLAELRLFSNQLDGPLPADFGKNSPLKFVDLSDNLLSGEIPAGICGGDMLDQLLLLDNMFSGSLPESLGQCSTLTRVRLLNNHLSGEVPSAFWGLPHVWLLELAGNSFTGGISPAISGATNLSKLVISNNQFEGRIPEEMGALSNLYEFSAANNRLTGPLPEGLVNFASLGLLDLHNNSLSGELVSGVRWWKKLIRLNLANNEFTGSIPPELGDLPVLNYLDLSGNQLTGTIPLELQNLKLNDFNLSNNQLSGTLPPQFATRIYENSFLGNPGLCVDVNAPCAGQRRGGNSIRYGFPWLLRSIYILSASALVAAAAWFYWKNQSSKEVNRASEKPNWMLTSFHKLGFSEYEIAGCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLRETPGKTDVFQSVDDGFEAEVATLGKIRHKNIVKLWCCCTHKDCKLLVYEYMPHGSLGDLLHGSKGRLLDWPTRYKIAVDAAEGLSYLHHDCVPPIVHRDIKSNNILLDDEFGAKVADFGVAKAIVGKDPMSMSIVAGSCGYIAPEYAYTLRVNEKSDIYSYGVVILELVTGRRPVDPELGEKDLARWVQSTVEQRGVDHVLDPKLDVCYKDEMCKVLDIGLLCASILPISRPSMRTVIKMLFEVGSENKQLKPAEDRNQVSLLP >Ma03_p24670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28939225:28941769:1 gene:Ma03_g24670 transcript:Ma03_t24670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAVFIAQRDLKGHANELHLLICGFLTTCSLLCGVTLMFFTINLLSRKDHSVHRGQHVTTVFLLVLSCALLILTAAGFSTLLHKRSMFLAALLPGCLLLGTLLYFIFHAGDDHDQNSTGYASYKSELKHSLGLSSTVVSLAFSGLITTLIGTAKSHSDQALTINTKICIFQMFFAAMFGLLLMLLSSVPPSFKQQSTREFLTKLLKALSYSLLGSLALIAATAASAFLDVFLVLVLLPTPFIGVTLWFCIEWHSTQKQPHGPRTTTNVGHDLKLKLISKVATTTTSISFGGLMGAFSGFIGRKGSELQLKLCVLVMFFAFLSSFSVKLLTFRTPKPGTLMTVIKILSTCSVLLLLFFAIVVFFLEFLGG >Ma03_p24670.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28939225:28941769:1 gene:Ma03_g24670 transcript:Ma03_t24670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPSESRSSHQAERGEVARGHETCSYESKLKQILQFAFIDIIISLMAVFAVFIAQRDLKGHANELHLLICGFLTTCSLLCGVTLMFFTINLLSRKDHSVHRGQHVTTVFLLVLSCALLILTAAGFSTLLHKRSMFLAALLPGCLLLGTLLYFIFHAGDDHDQNSTGYASYKSELKHSLGLSSTVVSLAFSGLITTLIGTAKSHSDQALTINTKICIFQMFFAAMFGLLLMLLSSVPPSFKQQSTREFLTKLLKALSYSLLGSLALIAATAASAFLDVFLVLVLLPTPFIGVTLWFCIEWHSTQKQPHGPRTTTNVGHDLKLKLISKVATTTTSISFGGLMGAFSGFIGRKGSELQLKLCVLVMFFAFLSSFSVKLLTFRTPKPGTLMTVIKILSTCSVLLLLFFAIVVFFLEFLGG >Ma03_p24670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28939225:28941769:1 gene:Ma03_g24670 transcript:Ma03_t24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAVFIAQRDLKGHANELHLLICGFLTTCSLLCGVTLMFFTINLLSRKDHSVHRGQHVTTVFLLVLSCALLILTAAGFSTLLHKRSMFLAALLPGCLLLGTLLYFIFHAGDDHDQNSTGYASYKSELKHSLGLSSTVVSLAFSGLITTLIGTAKSHSDQALTINTKICIFQMFFAAMFGLLLMLLSSVPPSFKQQSTREFLTKLLKALSYSLLGSLALIAATAASAFLDVFLVLVLLPTPFIGVTLWFCIEWHSTQKQPHGPRTTTNVGHDLKLKLISKVATTTTSISFGGLMGAFSGFIGRKGSELQLKLCVLVMFFAFLSSFSVKLLTFRTPKPGTLMTVIKILSTCSVLLLLFFAIVVFFLEFLGG >Ma03_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28939225:28941769:1 gene:Ma03_g24670 transcript:Ma03_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPSESRSSHQQHETTVPSTTEIQLRSTVDGIFQNSWEAAERGEVARGHETCSYESKLKQILQFAFIDIIISLMAVFAVFIAQRDLKGHANELHLLICGFLTTCSLLCGVTLMFFTINLLSRKDHSVHRGQHVTTVFLLVLSCALLILTAAGFSTLLHKRSMFLAALLPGCLLLGTLLYFIFHAGDDHDQNSTGYASYKSELKHSLGLSSTVVSLAFSGLITTLIGTAKSHSDQALTINTKICIFQMFFAAMFGLLLMLLSSVPPSFKQQSTREFLTKLLKALSYSLLGSLALIAATAASAFLDVFLVLVLLPTPFIGVTLWFCIEWHSTQKQPHGPRTTTNVGHDLKLKLISKVATTTTSISFGGLMGAFSGFIGRKGSELQLKLCVLVMFFAFLSSFSVKLLTFRTPKPGTLMTVIKILSTCSVLLLLFFAIVVFFLEFLGG >Ma09_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32065931:32067115:-1 gene:Ma09_g21220 transcript:Ma09_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPSLVTAGFTAALLSAFPFPSPPNLFFFAAAASFSVVCLFRPPLSTFAPVSRRHRGPYHAVTMRRADSPPPSLSFSSPESLNDWIRPRLPSDALASWGASPGTKSLHNLWLEIFHGEASLLLLPHSQDETRGGEDGSSTLLRVVNVAAVRIRNSRGAVLVESHQLLSDGTIRHRYRPLSEKMMPGEPVEAAVARAVREELGKDAVKIVPGSYNMRVEERTSASYPGLPARYVLHSVDAEVEGLPEEGEFSTEENGEGSEAMEKAIFVRRHFWKWVTDDDGSIERAWG >Ma06_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11320521:11320757:-1 gene:Ma06_g16690 transcript:Ma06_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLYRAFVRYSNGEQSPMRNILFNESPSASYMRLPGDSGRLLSSEIHFFSSSLQPPPAPQSPPRRTAPRSRPT >Ma08_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10878935:10948176:1 gene:Ma08_g13780 transcript:Ma08_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRGAQQPPARQILRTQTAGNLGESMFDSEVVPSSLDDIAPILRVANEVEQEDRRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLEGRLQKSDARQMQTFYQQYYKRYIQELQNAADKADRAKLFKAYRTAAILFEVLKAVNLTQSVEVDHEILEAAHKVEEKKKALGPYNILPLDPDSASQAIMLYPEIQAAVRALRNTRGLPWPKGHTKKVDEDLLDWLQLMFGFQSVMLSYMQKDNVSNQREHLVLLLANVHIRQNPNSDQQPKLDDLALDHVMKRLFKNYKKWCKYLDRNSSLWLPNIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENIRPAYGGDEEAFLKKIVTPIYEIIAKEAKKSKSERSKHSQWRNYDDLNEYFWSVDCFHLGWPMRADADFFCSPQNSRDERNEESKLAKGQQWIGKVNFVEIRSFWHIFRSFDRMWSFFILSLQVMIILAWNGGSPSDIFDPAVFKKVLSIFISAAILKLGQAILDIMLSWKARKSMSLPVKLRYILKVISAVAWVIILPVTYAYTLESPTGLGRIMKGWVGNGQSQPSIYFLAVVIYLSPNMLTALLFLFPSLRRYLESSNYKIIMLMMWWSQPRLFVGRGMHEGPFSLFMYTLFWVLLILTKLVFSYYIEIKPLVSPTKDIMRIPIRTFQWHEFFPQAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRSLELLRSRFDSLPDQFNARLIPVNNSENKKKGLKASLSRRFGQMPNIAKEKQPYADKQMRARFAQIWNTIITSFRKEDLISNREMDLLLVPYWADRELDLPQWPPFLLAGKIPVAVHMAKDSKGNDDELLKRLQTDDYMQCAVHECYLSCKIIIRNLVKGAREVVVIRKIFDVINSHISSKKLISELQMKALPDLYDLFVKLIEYLMDNNAGDFKNVIRCFQDMLEVVTKDIMEGEFPSMLDSSNGGSNGSHEGIAPLDNQAPLFASTGAIIFPYPVSDFWTEKIKRLYLLLTVKESAMDVPTNLEARRRITFFSNSLFMDMPDAPKAHNMLSFSVLTPYYNEDVLFSLNNLEEPNEDGVSILFYLQKIYPEQWTNFLERVDCKTEEELKASEELEEELRLWAAYRGQTLTRTVRGMMYNRKALELQAFLDMAKEEDLMEGYKAAELMSEKHSKLERSLWAKCQAVADMKFTYVVSCQQYGIDKRSGHQRAQDILKLMRVYPSLRVAYIDEVEVTSTTESRKTYKDYYSVLVKASLSKTDDPSEQVQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKKHDGGRYPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGIVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEEGLATGRRFIHNQPLQVALASQSLVQLGFLMALPMMMEIGLEQGFRTALSEFILMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELLILLVVYQIFGQSYRSVVAYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWSKWISNRGGIGVSPEKSWESWWDKEQEHLKYSGTRGIITEIVLALRFFIYQYGLVYHLNITKKTKSILVYGLSWLVIFVVLLVMKTVSVGRRRFSASFQLVFRLIKFLIFLAFVSILITLIALPHMTIQDIVVCFLAFLPTGWGMLLIAQACKPLVKRIGLWSSVRALARGYEIMMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSSKNKE >Ma08_p13780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10878857:10948176:1 gene:Ma08_g13780 transcript:Ma08_t13780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRGAQQPPARQILRTQTAGNLGESMFDSEVVPSSLDDIAPILRVANEVEQEDRRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLEGRLQKSDARQMQTFYQQYYKRYIQELQNAADKADRAKLFKAYRTAAILFEVLKAVNLTQSVEVDHEILEAAHKVEEKKKALGPYNILPLDPDSASQAIMLYPEIQAAVRALRNTRGLPWPKGHTKKVDEDLLDWLQLMFGFQKDNVSNQREHLVLLLANVHIRQNPNSDQQPKLDDLALDHVMKRLFKNYKKWCKYLDRNSSLWLPNIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENIRPAYGGDEEAFLKKIVTPIYEIIAKEAKKSKSERSKHSQWRNYDDLNEYFWSVDCFHLGWPMRADADFFCSPQNSRDERNEESKLAKGQQWIGKVNFVEIRSFWHIFRSFDRMWSFFILSLQVMIILAWNGGSPSDIFDPAVFKKVLSIFISAAILKLGQAILDIMLSWKARKSMSLPVKLRYILKVISAVAWVIILPVTYAYTLESPTGLGRIMKGWVGNGQSQPSIYFLAVVIYLSPNMLTALLFLFPSLRRYLESSNYKIIMLMMWWSQPRLFVGRGMHEGPFSLFMYTLFWVLLILTKLVFSYYIEIKPLVSPTKDIMRIPIRTFQWHEFFPQAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRSLELLRSRFDSLPDQFNARLIPVNNSENKKKGLKASLSRRFGQMPNIAKEKQPYADKQMRARFAQIWNTIITSFRKEDLISNREMDLLLVPYWADRELDLPQWPPFLLAGKIPVAVHMAKDSKGNDDELLKRLQTDDYMQCAVHECYLSCKIIIRNLVKGAREVVVIRKIFDVINSHISSKKLISELQMKALPDLYDLFVKLIEYLMDNNAGDFKNVIRCFQDMLEVVTKDIMEGEFPSMLDSSNGGSNGSHEGIAPLDNQAPLFASTGAIIFPYPVSDFWTEKIKRLYLLLTVKESAMDVPTNLEARRRITFFSNSLFMDMPDAPKAHNMLSFSVLTPYYNEDVLFSLNNLEEPNEDGVSILFYLQKIYPEQWTNFLERVDCKTEEELKASEELEEELRLWAAYRGQTLTRTVRGMMYNRKALELQAFLDMAKEEDLMEGYKAAELMSEKHSKLERSLWAKCQAVADMKFTYVVSCQQYGIDKRSGHQRAQDILKLMRVYPSLRVAYIDEVEVTSTTESRKTYKDYYSVLVKASLSKTDDPSEQVQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKKHDGGRYPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGIVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEEGLATGRRFIHNQPLQVALASQSLVQLGFLMALPMMMEIGLEQGFRTALSEFILMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELLILLVVYQIFGQSYRSVVAYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWSKWISNRGGIGVSPEKSWESWWDKEQEHLKYSGTRGIITEIVLALRFFIYQYGLVYHLNITKKTKSILVYGLSWLVIFVVLLVMKTVSVGRRRFSASFQLVFRLIKFLIFLAFVSILITLIALPHMTIQDIVVCFLAFLPTGWGMLLIAQACKPLVKRIGLWSSVRALARGYEIMMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSSKNKE >Ma08_p13780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10878935:10948176:1 gene:Ma08_g13780 transcript:Ma08_t13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRGAQQPPARQILRTQTAGNLGESMFDSEVVPSSLDDIAPILRVANEVEQEDRRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLEGRLQKSDARQMQTFYQQYYKRYIQELQNAADKADRAKLFKAYRTAAILFEVLKAVNLTQSVEVDHEILEAAHKVEEKKKALGPYNILPLDPDSASQAIMLYPEIQAAVRALRNTRGLPWPKGHTKKVDEDLLDWLQLMFGFQSVMLSYMQKDNVSNQREHLVLLLANVHIRQNPNSDQQPKLDDLALDHVMKRLFKNYKKWCKYLDRNSSLWLPNIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENIRPAYGGDEEAFLKKIVTPIYEIIAKEAKKSKSERSKHSQWRNYDDLNEYFWSVDCFHLGWPMRADADFFCSPQNSRDERNEESKLAKGQQWIGKVNFVEIRSFWHIFRSFDRMWSFFILSLQVMIILAWNGGSPSDIFDPAVFKKVLSIFISAAILKLGQAILDIMLSWKARKSMSLPVKLRYILKVISAVAWVIILPVTYAYTLESPTGLGRIMKGWVGNGQSQPSIYFLAVVIYLSPNMLTALLFLFPSLRRYLESSNYKIIMLMMWWSQPRLFVGRGMHEGPFSLFMYTLFWVLLILTKLVFSYYIEIKPLVSPTKDIMRIPIRTFQWHEFFPQAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRSLELLRSRFDSLPDQFNARLIPVNNSENKKKGLKASLSRRFGQMPNIAKEKQPYADKQMRARFAQIWNTIITSFRKEDLISNREMDLLLVPYWADRELDLPQWPPFLLAGKIPVAVHMAKDSKGNDDELLKRLQTDDYMQCAVHECYLSCKIIIRNLVKGAREVVVIRKIFDVINSHISSKKLISELQMKALPDLYDLFVKLIEYLMDNNAGDFKNVIRCFQDMLEVVTKDIMEGEFPSMLDSSNGGSNGSHEGIAPLDNQAPLFASTGAIIFPYPVSDFWTEKIKRLYLLLTVKESAMDVPTNLEARRRITFFSNSLFMDMPDAPKAHNMLSFSVLTPYYNEDVLFSLNNLEEPNEDGVSILFYLQKIYPEQWTNFLERVDCKTEEELKASEELEEELRLWAAYRGQTLTRTVRGMMYNRKALELQAFLDMAKEEDLMEGYKAAELMSEKHSKLERSLWAKCQAVADMKFTYVVSCQQYGIDKRSGHQRAQDILKLMRVYPSLRVAYIDEVEVTSTTESRKTYKDYYSVLVKASLSKTDDPSEQVQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLKKHDGGRYPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGIVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLEEGLATGRRFIHNQPLQVALASQSLVQLGFLMALPMMMEIGLEQGFRTALSEFILMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRGTGRGFVVFHAKFADNYRLYSRSHFVKGIELLILLVVYQIFGQSYRSVVAYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWSKWISNRGGIGVSPEKSWESWWDKEQEHLKYSGTRGIITEIVLALRFFIYQYGLVYHLNITKKTKSILVYGLSWLVIFVVLLVMKTVSVGRRRFSASFQLVFRLIKFLIFLAFVSILITLIALPHMTIQDIVVCFLAFLPTGWGMLLIAQACKPLVKRIGLWSSVRALARGYEIMMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSSKNKE >Ma06_p33860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34323984:34328054:1 gene:Ma06_g33860 transcript:Ma06_t33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLVEDMMYPGDPNYMQIFPSGDEKNINYCGFYQPSMGECMMGEGDLVDLPPEKFAEAGDEDSDDDIDIEELEQRMWRDRMRLKRLKEHQQSKSREQGDAFKQCQSLEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAVPGSGSEINSGTASPHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGIPPPWWPTGSEEWWPQLGIPNDQGQPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKESATWLAVVKQEEDMYTKLHPDACPPPSSGSGVTGTFSFNSNSSEYDVEGVGVDEGKSEDVDYKLAADSDAFKLVASAGNGKLVRSFPMKEEIDVEFVQKRTAAEAELVMNQRVYTCDNVVCPHNNVRHGFLDRNARNSHQYFCKYQTTHPPGIGMTNNSFQVTENKPLVFPLPLNCQPNPSSIGSSLNPVNISDLDIPSDGQKSIDELMNFYDNNIDGSKNMNLGGATMLEEVGDLFEEVGSLVEQSQYGQESMVPFEQELTDQPIEVSGDFRIGSGFDMPGMDYTDAMHERIEDSQKQDRFNWFY >Ma03_p29030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32059464:32059685:1 gene:Ma03_g29030 transcript:Ma03_t29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHILTSFAITMQQVFAVQAGNSVLRTQMVELSNRLQSLNEILYCLKAKYCISSGPMITDNFIILHQEWPHDH >Ma03_p31340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33590805:33594915:1 gene:Ma03_g31340 transcript:Ma03_t31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQVSLSCKSLSTQFLTPSFFFFYVIGFINENISFRNSGRHWWWRIAGPPGVAGGCC >Ma06_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12392158:12406718:1 gene:Ma06_g18250 transcript:Ma06_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKRLFFRKPPDRLLEIAERVYVFDCCFSTETTGECEYKNYMGGAFAQLQGYHPDASFMVCNFRERDKRSQISDILSEYDIMVMDYPLQSKGCPLLPLVMIHHFLKSSESWLSMEGLHNVLLMHCEREGWLVLAFMLASLLLYGKQYTGEQKTLEMVYKQAPKEFLHLLSPLNPQPSHLRYLQYISRRGSMSDWPPRETTFTLECLIIKAIPRFDREGGCRPMVRIYRQDHLTPTSSSPKMLFATPRTKKHIHHYRQAVTTKINACCCIQGDIVIECLHLDEDLEHEEMMFRVMFNTAFVQSNILALTRDEIDLVWSAKDHFPKDFKIEVVFSNSDASISDTSTEMVVEGRKETQCVSKEAAEFFEAEAFSSSDWHDIKRDPYFQIHDAKRDPGRSPKDENYILSEGARCKLEMSNSDEVSKSMITQNFADVEKLGVNSEFPGMLGQAEIICETGNFKHDKEIVAERLVDLDIVVPIEDKMIVERSTPPQHRNGTSTSEAEEKIALENSDFNQERVGDIKVSAIPENMSHKLEAPSMAGMLKQESSIKRSAARYDEPSSETSCCGRHTGSLVIGDEVEPRDKNRLETLGIGFQTMSGLPTTSTKPKVNDEMSVLADYMVACEEKNIIEVSNYQHELKDIVVLAVEDDTTEMCDIKHDSAHIVTDKMINLHDIHCESEAQALKVKAESILEQRECKPNPEITVNRKKFILVDKAHNPGNQDIENKGKFKMEMHVGKDNTENGVSESEIDLKVVRQKALYDGQMLTPKESPCTISKKIPTCIRLSDSGVIKTRIKQQESVGYGLPPLSPAILSCSFAKGLSCPPMLLGESTKGLPLLDSHVISGASVKSAALPPPPPSPPSCPKANMKGALLPQPPSAPPHAKNLLPPPLLLPNRGGRTQGPPPSPPPPSPLDQNVGVYIPHPPHSAISVKCFSMMPPAPPLEPLLNSHSNASKPPEGAAPILSPPPSATHGGARPPLRAPGAHGGAPPPPPPPRARTPKQRASPHVRRLPSSTVSAMPVSQVDARGISPRHGLICPTSSVSSAPCRSSLKPLHWVKVTRAVQGSIWAELQKSEDASNASEFDVSELERLFPAVVPKNDISKTEGRHKFLGLKPDKVRLIDLRRANNTEIMLTKVKIPLSDLVSAALAMDDSILDVDQVENMIKFCPTKEEMELLKGYTGDKDQLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQVLDIRKSLNTIDSVCEQVCSSAKLKEIMKKILYLGNTLNQGTARGSAIGFHLDSLLKLTDTRSTNNKMTLMHYLCKALAIKSPHLLDFHEDITSLEAASKIQLKYLAEEMQAVVKGLEKVGFELQASENDGPISEVFLKTLKEFTAVAAAEVQSLTMLYTEVGRKADALALYFGEDPAQCSFEQVVTTLRNFISLFRRAHQDNCKQAELEKKAEKDWGIDKSKSSTPRSRAVKV >Ma06_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:509982:513297:-1 gene:Ma06_g00650 transcript:Ma06_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSNGLLGTIVMLSLMVCIAQAQLSPTFYVTTCPNLQAIVASVMTQVVRQEPRMGASLVRLFFHDCFVNGCDASVLLDDTPTMIGEKNAVTNANSLRGYEVIDDIKSRVEAACRSTVSCADILALASRDAVTLLGGPSWTVQLGRRDARTASIAAANASLPPVSDGLSNLVARFAAKGLSLRDLTALCGAHTVGAARCANFRPHVYNDSNVDPGFATLRKRTCPAAGGDDTLVGLDATSPTRFDVSYYRDLMARRGLLHSDQELFNGGPADGLVRLYSKNGEAFNRDFAAAMVKMGSISPLIGSAGEVRLNCRRAN >Ma05_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4889911:4891990:-1 gene:Ma05_g06620 transcript:Ma05_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWREPESKRVDDPSWLHPQSNSSSCFRSMKSGKAEKCIFFPGPIIVGAGPSGLAVAACLKSKRIQSLVLERSDCIASLWQLKTYDRLRLHLPKKFCQLPLMPFPSWFPTYPTKQQFIAYLDAYVAEFNIQAVFNETVVAAEYDAGIGFWRLRMASAKGEGKEEKHEYVCRWLVVATGENAEAVVPEMAGMEEFEGPIVHTSLYRSGDSYRDKRVLVVGCGNSGMEVSLDLCDHNAHPFMVVRDSVHILPREMLGRSTFGLSMWLMKWLSMKTVDRFLLLVARLMLGDTAKLGLERPQLGPLELKSLTGKTPVLNVGTLAKIKSGDIKVRPAVERFTRHGVEFVDGRSEEFDAVILATGYKSNVPCWLKEREFFSEKDGFPRRPFPNGWKGGNGLYAVGFTKRGLLGASLDAWRIAQDIELRYKATKK >Ma08_p28990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40763415:40776512:1 gene:Ma08_g28990 transcript:Ma08_t28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQSKYILALLTFITIGMIIGSLFQLAFIWRLEEPSGTSHWENDKEASLLRLGLVKPEILSWSPRVILFHNFLSMEECDYLRAIAKPRLRSSTVVDIKTGKGMKSDVRTSSGMFLSSEERKYPIIEAIEKRIAVFSQVPAENGELIQVLRYETSQLYRPHHDYFSDTFNLKRGGQRVATMLMYLSDDVEGGETYFPMAGSGECSCGGKVVKGLCVKPNKGDAVLFWSMGLDGETDPNSLHGGCAVLRGEKWSATKWMRQKASF >Ma02_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14343027:14344006:1 gene:Ma02_g02930 transcript:Ma02_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSDPLAVGRVIGEVIDSFHPSVRMTVTYNSSKLVCNGHEFYPSAVVSEPRVEVQCGDMRSFFTLVMTDPDAPGPSDPYLREHVHWIVTDIPGTPDASLGKEVVGYESPRPSIGIHRFVFVLFQQKRRQSVVAPPPSRDRFNPRRFAQENDLGLPVAAVYFNAQRETAARRR >Ma02_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29243733:29244630:-1 gene:Ma02_g24780 transcript:Ma02_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALPEKPSLGASTSAEEEELAHDGSGSAILHDASAHQIGPPEASDKHEEDEDDDDDSSEEFEFTFVVRNPDTETSVAADEIFSGGRIIPAYPLFNRDLLLPLSAADEPAAVEGMAVQIPLRQLLMEERGTELFSSVEPPTTEECRAPKPDPCKKSASTGSSLRWRLRDMMVGRSHSDGKEKFVFLEAAPPSPATKKIPSPSLKAARSAGGGKGAKNDGRVAATDVATAHRLYYGKGASEKAARGPRRSFLPYRQELLGLFAPANGLRRSHHPL >Ma04_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2476996:2478164:1 gene:Ma04_g03120 transcript:Ma04_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGEGMGDGSCNKKHIILVHGACHGAWSWHKVTTQLRSAGYQVTVPDLAASGVDERRFQDLRSFIHYSQPLLDILACLPPGERVILVGHSLGGLNIALAMDRFPEKIAAAVFVTALMPDSVNPPSYVVDKLKNEKTMLFWSDTQFGLVGDEDKGPVSLLFGPKFLSKLYTRSPPEDLTLARTLMRPSSFFLEDLGYMPPFSESGYGSVEKIYVVCAQDEILTEGFQRWMIENNPVKEVRELEDADHMPMFSTPKQLFQCLSDVADACA >Ma06_p30800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32026495:32032692:-1 gene:Ma06_g30800 transcript:Ma06_t30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSRFLSCSSILERATNVKDSFWLTSQHSITTSGPDLVNDGMDFNQKSGCLSNLTDKCIDLPPFSPTGSSSVRRKAEYALYKGKAALSVSPVLPTFREVDSGVSRVYKKGCVILTFWPAVGVRKYDWQKKQAFALSPTEVGSLIGLGPAESCEFFHDPSMKSSLEGQVKKSLSISPMKDKTGILLNFSVVNNIQKTNERFSVPVTKAEFTAIRTVLSYVLPHIMGWTQAASPQPPSTATHTPKEQIEERPDPSLEWGR >Ma06_p30800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32026495:32032692:-1 gene:Ma06_g30800 transcript:Ma06_t30800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSRFLSCSSILERATNVKDSFWLTSQHSITTSGPDLVNDGSSSVRRKAEYALYKGKAALSVSPVLPTFREVDSGVSRVYKKGCVILTFWPAVGVRKYDWQKKQAFALSPTEVGSLIGLGPAESCEFFHDPSMKSSLEGQVKKSLSISPMKDKTGILLNFSVVNNIQKTNERFSVPVTKAEFTAIRTVLSYVLPHIMGWTQAASPQPPSTATHTPKEQIEERPDPSLEWGR >Ma08_p10820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7935401:7937504:-1 gene:Ma08_g10820 transcript:Ma08_t10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGYLWGRRKGDELVSLKDLVAEEEEEGEMSEGGLKMPVIPPPQTPHEPMEFLSRSWSVSASEISKALLAGNKKRNFVVDRLPEMMIPETLVIAAAAAAPPQSTHKRLDRVNAMKPLGILSWQRFALMAVQPRSGINPAAIGKWFHHQEANRTKAKCKERARAEKARIHALVSVAGVAAAVAAVAAASNSGDQTSKMSAAMASATEILASHCVEIAEQTGVDHEHVAAAIRSAVDFKTAGDLMTLTAAAATALRGAAALKSRLQREARNNAAVIPYEKSQCCSPDIWCKEGELLKRTGKGTLHWKRVSIYVNRKSQVIVKLKSKHIGGALSKKKKSVVYGVYDDVAAWPERAGERCCFGLRTAQGSIEFECENSTTKLRWVDGVQNLLKQVSGDAEHVEHAMELLKLR >Ma08_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7935409:7937786:-1 gene:Ma08_g10820 transcript:Ma08_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGYLWGRRKGDELVSLKDLVAEEEEEGEMSEGGLKMPVIPPPQTPHEPMEFLSRSWSVSASEISKALLAGNKKRNFVVDRLPEMMIPETLVIAAAAAAPPQSTHKRPRSGINPAAIGKWFHHQEANRTKAKCKERARAEKARIHALVSVAGVAAAVAAVAAASNSGDQTSKMSAAMASATEILASHCVEIAEQTGVDHEHVAAAIRSAVDFKTAGDLMTLTAAAATALRGAAALKSRLQREARNNAAVIPYEKSQCCSPDIWCKEGELLKRTGKGTLHWKRVSIYVNRKSQVIVKLKSKHIGGALSKKKKSVVYGVYDDVAAWPERAGERCCFGLRTAQGSIEFECENSTTKLRWVDGVQNLLKQVSGDAEHVEHAMELLKLR >Ma03_p28260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31372828:31373723:-1 gene:Ma03_g28260 transcript:Ma03_t28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQLFQGSVCLYHKPLEVLFTGDHLASSEQSLVEIGEFYNRQSVSLQLRSVRKLLDIGFVWTLPGHGRRIAFRDNQEKISALEAFLANKEPPFAQH >Ma02_p21810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27351986:27353750:-1 gene:Ma02_g21810 transcript:Ma02_t21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLSKGCMKRTEHVDVTPKPLPPRSQERTVRIFCDDYDATDSSGDEVDRRGAVRRYVQEIRFEPRPAGGRKGKPARKRKKVDASGVSACGKAGPVFRGVRRRPSGKYAAEIRDPWRRVRVWLGTYDTAEEAAMVYDSAAVRLRGTDATTNFSAAPSSLTPHEKCPLGTDLTSTTGGFDYSRKSHNLSSLTSVLRDVFSSFADENGGLLTTDITIPDGVVGDFLPFEEAMPSLFDDPTETLTGGLFGSEPFKEDDCFQGDGDLFPIESLTAV >Ma04_p39700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36724055:36739413:1 gene:Ma04_g39700 transcript:Ma04_t39700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVARNFVVRHNDAQFPVECDTDFGFEVLQYQIFSLTSVPPEDQRILVEDGEHVVTDESDLESITDKLRLVSIQEEGEKARAAEVEKSDEELARMLQAEEEALLLQQYAATGDGREFEQRVRSYVQQVLMYEDPHRQDAARKTVPIDEVEEKALVSLAKDGILRPSKDETDHAFLQQLLFWFKQSFRWVNSPPCDKCGGGTNSIGMGNPDHSEIEFGGHRVELYSCNICSNITRFPRYNDPLKLLQTRRGRCGEWANCFTLYCRAFGYEARLILDFTDHVWTECFSHSLGRWMHLDPCEGVYDNPLLYEKGWNKELNYVIAIAKNGTYDVTKRYTRKWHEVLARRILATETVVASVLSSIRKECRNGYSPEILQVLENFDKKEAEELEREVYLQVDASVSLPGRQSGAIEWRRARLECGFSESNSLSCSSCPVRICVDDHVTGIYTAFALLCSQINVENLSPANFLEVLKMLKDSLMNLKTKSFRNRTSLLELNESQISDKMMLYIEGLLSAISLKRESENNGNVCVSLSGDPVQTSIALPVALDVVNEIMDNMKGNDDFPINVQFPKVNRLSSGSVLASSEQLPFGIATSAFDGILSTKWEEPNGAKGCWLIYGVSDDQMYELQSYDLLSANDAPERDPMDWIVEGSEDGGSSWNVLDEQNSQIFERRFQRKTFMVKKKCMSKAFRFRFLAARDVKANSRFQIGGIDLYAKPY >Ma04_p39700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36724055:36739413:1 gene:Ma04_g39700 transcript:Ma04_t39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MGNMLSLMSRISSPLLISSGWCPFKKKGRRQEPPRLRNPTKNWHAEEEALLLQQYAATGDGREFEQRVRSYVQQVLMYEDPHRQDAARKTVPIDEVEEKALVSLAKDGILRPSKDETDHAFLQQLLFWFKQSFRWVNSPPCDKCGGGTNSIGMGNPDHSEIEFGGHRVELYSCNICSNITRFPRYNDPLKLLQTRRGRCGEWANCFTLYCRAFGYEARLILDFTDHVWTECFSHSLGRWMHLDPCEGVYDNPLLYEKGWNKELNYVIAIAKNGTYDVTKRYTRKWHEVLARRILATETVVASVLSSIRKECRNGYSPEILQVLENFDKKEAEELEREVYLQVDASVSLPGRQSGAIEWRRARLECGFSESNSLSCSSCPVRICVDDHVTGIYTAFALLCSQINVENLSPANFLEVLKMLKDSLMNLKTKSFRNRTSLLELNESQISDKMMLYIEGLLSAISLKRESENNGNVCVSLSGDPVQTSIALPVALDVVNEIMDNMKGNDDFPINVQFPKVNRLSSGSVLASSEQLPFGIATSAFDGILSTKWEEPNGAKGCWLIYGVSDDQMYELQSYDLLSANDAPERDPMDWIVEGSEDGGSSWNVLDEQNSQIFERRFQRKTFMVKKKCMSKAFRFRFLAARDVKANSRFQIGGIDLYAKPY >Ma02_p02300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13437409:13489310:-1 gene:Ma02_g02300 transcript:Ma02_t02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPRSSPPLPLLHFALVFLFASSHLKGFAAGDASQGGESASTSPGCNVTSQDVKIKNWVNGDESASLDGLSARFGASLPTSVSKALRLPAILANPFNSCNKLSSKLSNSFVVAERGNCTYATKAEIAESSGAAGLMVINDDEDLTEMVCTKNETHLSITIPVVMIPKSAGDYLRRSMSSGGKVDILLYSPTRPILDISVVFLVLMAVGTIVSASFWDEFTAHEQNQPSAETNQEDSEKNTLKIKAVGAIAFVIVASAFLVVLFFFMSSSFVFALNVIFSLAGSQGMHFCIVSLISRASKRCRQMKINIPILGKVSVIAIVVLPFCIAFSIIWVGNRHSPHAWIGQDILGITLMITVLQVLELPNIKVASILLCCAFFYDIFWVFLSPLIFHQSVMIAVATGHKAGGESIPMLLKIPRFFDPWGGYQMIGFGDIILPGLLTVFSHRFDKLTKKGISNGYFLWLIFGYTFGLSVTYLVFYLMNGHGQPALLYLVPCTLGLTVVLGGLRGEIGDLWNYGETPSKVVPGGET >Ma10_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3881030:3881509:-1 gene:Ma10_g00970 transcript:Ma10_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCSSCCTESQREAALLLGQFASADSDCKALCAYCSKRCCPPSDRDASVPRYSTSGNVCLCSWKAGPALLFLINFLTICLFY >Ma05_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37070849:37071805:-1 gene:Ma05_g24980 transcript:Ma05_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRNKSDSHQGEDGGETRVSVVGGRCVRHHPSEGLRILIHHKEQATNVVIKAKMMMMMTRKLVSQACHLPKGLPEFDFLKSCFLCRRELSPCKDVYMYRGDQGFCSKECRSRQILLDERREGERTTGERSKISHRRRAISRIHGSDRNGRILALT >Ma05_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:581900:582466:1 gene:Ma05_g00960 transcript:Ma05_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:Projected from Arabidopsis thaliana (AT5G10400) UniProtKB/TrEMBL;Acc:Q0WRA9] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma01_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11996197:12007608:-1 gene:Ma01_g16560 transcript:Ma01_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSDSKTAREILCDALAGASAGAIAATFVCPLDVIKTRLQVHGLPEMSPSSSRRCIIVSSLKQILRNEGISGLYRGLTPTVTALLPNWAVYFTVYNQLKSLLHVDKSNELPFGANMLAASGAGAATAITTNPLWVVKTRLQTQGMRPEVVPYKSMLSALRRIALEEGRRGLYSGLLPSLAGVSHVAIQFPAYEQIKSYLARRDNTTVDKLNPGNVATASSLSKIIASTLTYPHEVIRARLQEQGHAHNNTNKYVGVMDCIRKVYQREGIPGFYRGYATNLLRTTPAAVITFTSYEMIHRRLLQIIPT >Ma04_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21887714:21888331:1 gene:Ma04_g19280 transcript:Ma04_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFADSHFQLMESYHYQPSDSCPPLATSHWVAEGEPTSSLGFITKERRRGGKRGGGVKLSTDPQSVAARERRHRISDRFKILKSLVPGGSKMDTVSMLEEAINYVKFLKSQIWLHQAALMLHPDDCSSLLSLSSPALSANANAIGTATINAGVDASCSTPCLMLPNDQMSSFSTAPPQQSFSAFPYCSFQEGEDEMFHHGSFIY >Ma08_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8390382:8392970:-1 gene:Ma08_g11340 transcript:Ma08_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSHVPPGFRFHPTDEELVDYYLRKKVASRRIDLDIIKDIDLYKIEPWDLQVKCKIGTEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYSKNSLIGMRKTLVFYRGRAPNGQKSDWIMHEYRLEANENAPPQEEGWVVCRVFIKRIAPVIRRASEHDSACWYDHHVSFTPELDSPKQVGAPPEMAYHHHHPLFSCKPELEVRHHHLPQFDSFLQLPQLESPNLPFSLVAQEDPMIQPSKQLQIFSTCNNSQGIIHHAAEQATDWRVFDKFVASQLSHGDVCRELNCSSSGSDFQKLEANVEHASASNSSGGQTELWK >Ma09_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5041031:5042225:1 gene:Ma09_g07690 transcript:Ma09_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGKSTLVHLCISISKITCLSTHGHQLYSALMNLLPVDNQSCYGESKSNYLTTDGSHEGLTGVIDCNKMALCMMFSGVVQVWSLHLFMDLCLQEQQYLIGNAILWSSLVKFLTTQSDSTWMEYVSFSYQSSWLLLCTSAHSYVLNFSFYRIICLAGFGNLSGDMVC >Ma06_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:182339:186924:1 gene:Ma06_g00250 transcript:Ma06_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCFIPLLTESSNVSSSLVFPLAKTYVTSISSLSLPLAAVSMPVDATAPPTGNGQTVCVTGAGGFIASWLVKLLLQKGYTVKGTVRNPDDPKNAHLTAMEGAADRLLLCKADLLDYGALREAIDGCHGVFHTASPVTDDPEEMVEPAVRGTRYVIHAAADAGTVRRVVFTSSIGAVTMDPGRGPDVVVDESCWSDLEHCKNTRNWYCYGKAVAEKAAWEVARETEVELAVVNPVLALGPLLQPQVNASIAHILKYLDGSATRYTNAVQGYVDVRDVAEAHVRVFEAEAAAGKRFICIERVLHREDVVRILSKLFPEYPVPNTCSDEVNPRKKPYKFSNQQLKDLGVQFRSVIQSLYDTGKSLQEKGHLPLPSPKII >Ma02_p13140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21662867:21663620:-1 gene:Ma02_g13140 transcript:Ma02_t13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGALAASVAAASSIALSAASRPSPSSSSEEGSGTSRQNRAAGTGSGRGGEDKFAPRFDGLRFIETLVTAHR >Ma07_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6016654:6026696:-1 gene:Ma07_g08070 transcript:Ma07_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALICDTEPWKDLKEHINVIEKTHLRDLMNDVDRCQSMMVEFDGIILDYSRQRARLDTTEKLFKLAEAADLRKKIDSMYNGEHINSTENRSVLHIALRAPRDKVICSDGKNVVPDVWDVLDKIKDFSDRVRSGSWVGATGKALKDVVAIGIGGSFLGPLFVHTALQTDPESADCAKGRQLRFLANVDPVDVARNLKGLNPETTLVVVVSKTFTTAETMLNARTMREWISSALGAQAVAKHMVAVSTNLTLVEKFGIDPANAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVEKFLKGASNIDNHFYSTSFERNLPVLLGLLSVWNVTFLGYPARAILPYAQALEKFAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGSIKSQQPIYLKGEVVSNHDELMSNFFAQPDALAYGKNPEQLLHENVPDHLIPHKTFSGNRPSLSLLLPSFDAYNIGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKVLATQVRKQLHLSRTEGGPVQGFNFSTTTLLTKYLEVEPGNPSDSNELPRL >Ma07_p08070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6016654:6026727:-1 gene:Ma07_g08070 transcript:Ma07_t08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALICDTEPWKDLKEHINVIEKTHLRDLMNDVDRCQSMMVEFDGIILDYSRQRARLDTTEKLFKLAEAADLRKKIDSMYNGEHINSTENRSVLHIALRAPRDKVICSDGKNVVPDVWDVLDKIKDFSDRVRSGSWVGATGKALKDVVAIGIGGSFLGPLFVHTALQTDPESADCAKGRQLRFLANVDPVDVARNLKGLNPETTLVVVVSKTFTTAETMLNARTMREWISSALGAQAVAKHMVAVSTNLTLVEKFGIDPANAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVEKFLKGASNIDNHFYSTSFERNLPVLLGLLSVWNVTFLGYPARAILPYAQALEKFAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGSIKSQQPIYLKGEVVSNHDELMSNFFAQPDALAYGKNPEQLLHENVPDHLIPHKTFSGNRPSLSLLLPSFDAYNIGQLLAIYEHRIAVEGFIWGINSFDQWGVELGKVLATQVRKQLHLSRTEGGPVQGFNFSTTTLLTKYLEVEPGNPSDSNELPRL >Ma04_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14603437:14626907:-1 gene:Ma04_g15950 transcript:Ma04_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLRHAGVGSSRVVNSFKGSSSSVEWLGREMLEMKLKDARLDADDEEDTESEIIDGISAEAGQIIAATVRGRNGQPKQAVSYIAEHVVGTGSFGVVFQAKCRETGEIMAIKKVLQDKRYKNRELQIMHMLDHPNIVALKHYFFSTTNKEEVYLNLVLEFVPETVNRIARQYNRMNQRMPLIYVKLFTYQICRALAYMHNAVGICHRDIKPQNLLVNPHTYQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDMWSTGCVMAELFLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKRLPPEAVDLVSRFLQYSPNLRCTALEACLHPFFDELRDPNTRLPNGRPLPPLFNFKSHEIRGIPPDVLERLIPEHARKQSLFMALHG >Ma01_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15809816:15812990:-1 gene:Ma01_g19900 transcript:Ma01_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREAAPTRPPNLAVLYREDCWSEGETSALVDAWGDRYIELNRGNLRQKHWQEVADAVNSRRGAGRRPPRTDIQCKNRIDTLKKKHKVEKARIAARAESQWPFFSRLDALVGSSPAPAPAAPKKPSASLSLALPLPFHRKGSSLSAATAVGPAEPRKKQSTAAATSFPVDSPFFRRAAAAKDKDEGSGSLSRSSSRSETGWKRGREGEGNGIRELARAIVRFAEIYERVEEAKQRQMMELEEQRMEFVKALELQKMEIIVDSQVQLAKIKRSRQSHTDSYL >Ma01_p19900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15811283:15812995:-1 gene:Ma01_g19900 transcript:Ma01_t19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREAAPTRPPNLAVLYREDCWSEGETSALVDAWGDRYIELNRGNLRQKHWQEVADAVNSRRGAGRRPPRTDIQCKNRIDTLKKKHKVEKARIAARAESQWPFFSRLDALVGSSPAPAPAAPKKPSASLSLALPLPFHRKGSSLSAATAVGPAEPRKKQSTAAATSFPVDSPFFRRAAAAKDKDEGSGSLSRSSSRSETGWKRGREGEGNGIRELARAIVRFAEIYERVEEAKQRQMMELEEQRMEFVKALELQKMEIIVDSQVQLAKIKRSRQSHTVVPTYATWGS >Ma09_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2555850:2557764:1 gene:Ma09_g03880 transcript:Ma09_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRCRQSQRGKYDCLLFDLDDTLYPLSSGIAIQCRNNIGDYLVEKLGIEENKVSALCNLLYKNYGTTMAGLKAIGYDFDYDDYHSFVHGRLPHENLKPDPVLRQLLLSLPIRKVVFTNADEVHAAKVLKKLRLEDCFEGIICFETLNPPSSSSVTETSSQIFDIVEHFARPDTGGIELPKTPILCKPSLEAMEYALRIANIDPQRTVRRIYVRSRRSLNSVADTIHLFTMRCRFSLMTACGTFNQGNALGCTLCWWALRTKSKAPTTLWKASTTSGKHCPGCGRRPRNLTALGTPARSQWKHRSLLSSSPSSSSIHPSIITVTRGKGLISINCSHNLWFDCVCPEINVFLQHIVGVFAVPFIGFHEIPPKKKNK >Ma09_p03880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2555850:2557676:1 gene:Ma09_g03880 transcript:Ma09_t03880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRCRQSQRGKYDCLLFDLDDTLYPLSSGIAIQCRNNIGDYLVEKLGIEENKVSALCNLLYKNYGTTMAGLKAIGYDFDYDDYHSFVHGRLPHENLKPDPVLRQLLLSLPIRKVVFTNADEVHAAKVLKKLRLEDCFEGIICFETLNPPSSSSVTETSSQIFDIVEHFARPDTGGIELPKTPILCKPSLEAMEYALRIANIDPQRTVFFDDSVRNVQSGKRIGLHTVLVGTAHKVKGADYALESIHNIREALPGLWEEAEKSDGIRYSGKVAMETSVTA >Ma09_p03880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2555850:2557676:1 gene:Ma09_g03880 transcript:Ma09_t03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRCRQSQRGKYDCLLFDLDDTLYPLSSGIAIQCRNNIGDYLVEKLGIEENKVSALCNLLYKNYGTTMAGLKAIGYDFDYDDYHSFVHGRLPHENLKPDPVLRQLLLSLPIRKVVFTNADEVHAAKVLKKLRLEDCFEGIICFETLNPPSSSSVTETSSQIFDIVEHFARPDTGGIELPKTPILCKPSLEAMEYALRIANIDPQRTVFFDDSVRNVQSGKRIGLHTVLVGTAHKVKGADYALESIHNIREALPGLWEEAEKSDGIRYSGKVAMETSVTA >Ma02_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18391211:18399688:-1 gene:Ma02_g07900 transcript:Ma02_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPVVVIDNGSGYTKMGFAGNVEPSFIIPTVVAVNESFSNQSKSISKGNWVAQHSASVMADLDFSIGEEALLYSRSSTTYGLSYPIHHGQVENWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNIPGLYIAVQPVLALAAGYTTSKCQMTGVVVDVGDGATHVVPVADGYVIGSSIRSIPIAGKDATQFIQQLMKERGEHIPPEDSFEVARKIKETYCYTCSDIVKEFNKHDKEHSKYTKQWIGTKPKTRARYSCDVGYERFLGPEIFFHPEIYNDNFTTPLPAIVDKCIQLSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKRIVDARMVTSNAQLIDDVKSQPIEVNVVSHPFQRYAVWFGGSVLASTPEFYEACHTKAEYEEYGASICRTNPVFKGMY >Ma09_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13439569:13444041:-1 gene:Ma09_g17840 transcript:Ma09_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMEALRWMRWELELYAAYGDFVALPFFVMLFLDRFLLEVCDSEHLKSFLFNFPALCFLLLIPSHWLCSLYLEQVFIFFQESLCSCLLHRVNHGLQSTRYLWIGPGDQVWPDQKINLHVCCCILRILHICTMFWETRQSDFDVSMPNHLATLVLIQLSYIFSFAHVGSVVFAIHDASDVFLEVGKMAKYNVSEWLANTSFLLFVASWILPWLAYYPFWILRSTSYEAILTQDNEKHKFEGQHSSILATCSVGDDIRSDSESEEEHED >Ma01_p15770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11451295:11453864:1 gene:Ma01_g15770 transcript:Ma01_t15770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQMTASFEASLSLEVRPWSPEVARSSWVSSPQSFDHPTNAWLPGAKLGYNKLTGQDWFLTSWRNPEDPSPGMFTMEFDPNGSDQFYLVRDRRHRYATSGLWNGDTFTGIPEIKSNHFVDYSQVTNTNVNEFSYRVRDTAYTHYLLIDFTGELRRQRWDNDAKVMLQFFSLPRDPCDVDGRCGPFGSCNNFTTAPCQCFQGFNPRSSNEWALGDYTGGCVRRTPLRCGERDGFLELPNTQPPANPVRMSTIGGREECRTACLRNCSCTAYAYQSECSIWQGDLLNLKSLGSSNGAESGAIYLRVDASELADNYHKDRKKTATIVVGAVSGVAVIAVVVLLLALRYRKGATVGASGGVQGPIIAFDYKLIRKATKGFSEKLGRGSFGSVFKGEIPDSGAIAVKRLESIRQGEKQFRMEVSTIGTIHHVNLVRLRGFCCEGDKRLLVYDYMPMGSLDSVLFADDREALDWKKRYRIALGIARGLAYLHERCRECIMHCDIKPENILLDMDMCPKIADFGMAKLLGREFSRVLTTVRGTIGYLAPEWITGSAITPKADVYSFGLMLHEIVSGSRNTETREEWNRFYFPLWAAIKLQEGDTLCLLDPRLKGKADEEELSRVCRIACWCIQDLECSRPSMGEVVQQLEGVLDVSIPPIPALLKKLVDDESSEDNHYYTTI >Ma01_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11451321:11453864:1 gene:Ma01_g15770 transcript:Ma01_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLALCSSEFFLLFTFSAHAGAADDSLFRGQSLSGGQTMVSRGGKVELGFFAPGNSSKYYIGIWYKVSKQPVVWVANREKPVASASSSELTLAEDGNLVLRLKDSKKRIWSSNSSSSLASNSTVAVLLDDGNLVLKDNITSDTLWQSFDHPTNAWLPGAKLGYNKLTGQDWFLTSWRNPEDPSPGMFTMEFDPNGSDQFYLVRDRRHRYATSGLWNGDTFTGIPEIKSNHFVDYSQVTNTNVNEFSYRVRDTAYTHYLLIDFTGELRRQRWDNDAKVMLQFFSLPRDPCDVDGRCGPFGSCNNFTTAPCQCFQGFNPRSSNEWALGDYTGGCVRRTPLRCGERDGFLELPNTQPPANPVRMSTIGGREECRTACLRNCSCTAYAYQSECSIWQGDLLNLKSLGSSNGAESGAIYLRVDASELADNYHKDRKKTATIVVGAVSGVAVIAVVVLLLALRYRKGATVGASGGVQGPIIAFDYKLIRKATKGFSEKLGRGSFGSVFKGEIPDSGAIAVKRLESIRQGEKQFRMEVSTIGTIHHVNLVRLRGFCCEGDKRLLVYDYMPMGSLDSVLFADDREALDWKKRYRIALGIARGLAYLHERCRECIMHCDIKPENILLDMDMCPKIADFGMAKLLGREFSRVLTTVRGTIGYLAPEWITGSAITPKADVYSFGLMLHEIVSGSRNTETREEWNRFYFPLWAAIKLQEGDTLCLLDPRLKGKADEEELSRVCRIACWCIQDLECSRPSMGEVVQQLEGVLDVSIPPIPALLKKLVDDESSEDNHYYTTI >Ma08_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15242031:15246163:1 gene:Ma08_g15240 transcript:Ma08_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKNSSSPGSDRRQWQRIFGALVEMVRTQQSQIETLANDRKFLERYIQIQHDRWASKAGFLEAHISQMKEEEKKGRRVQATKLDLMLGMKQREALRYKKQFDQAENDLEDFHAYVEALIAEIAELKEKLKNLEAGGVKSGAGYSKSAENSEGHKNSAVDLEGEIRKLKHSYKNLSLKKEAEISALLAEKNFVWNQLNKMESDYIVLLKTKQIEITKAAEDMQKLHSNLENLQSSIIEKGEIITRLEAERTRLELDLRRYTDEAEKTSNEKEKLHLIVENLQLLIKEKDETIEALKSNLAMVEQNVTGCCNRTSRFFIEKGSQRKPRAAVATPQGTRSKRGSGKSSSLCSEVNKMKKQRSAYEASPGSHASVSTKRLQRCSREKWKKSMSAGSPRLFSSSFKVPKLKSTSPRIS >Ma07_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4001076:4003847:1 gene:Ma07_g05520 transcript:Ma07_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLLDHLYCQEDNLELEDERIESVLPLADDRETERYVLLAAEAEDEEEWAEVLCALAAKEVEALGDLVPHGCGGDSYLPSARKAAAEWIARAAATYAFSALTAVLAVNYLDRCFLSCAAGGGLLRLRDGKPWMGRLAAMACLSLAAKVEETRDPFLLDLQVPATPEAAEEDEGEFVFESKTIRRMELLVLSALGWRMNPVTPLSFIHHVLPRLFSKAENADSPATAIAVRIQTVVRRCEAVLLSVIADRRWVQYPASVWASAALLRATVPVDGDVAAVDSQGIHHLVALLNAPKEKVEECYQLLVESVRAGVVGHKRKHSSSASCYCSSPRSPSGVIGSCFSCESPCDSWAAWPPSSPPSSPGAAPPFKRPSGNTTTKSFVVDGVETVSI >Ma06_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13381858:13383562:-1 gene:Ma06_g19520 transcript:Ma06_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEPRPSPRRELQGPRPTPLKVSKESYKIKKPPAPSHPVAPPPQPPQPTQALRPPVVIYAISPKIIHVEPGEFMTLVQRLTGPDSSAADLSLPSPTGALSPAARIATFESSAPPRAGDRARGYDTDPAEMEGWPTVDRTASFPGILSPVPWALPPISPKLFSPSFDPSVFSILQELSPVFGGRGGTFLGSPSNSFLSTPLVPSPGACWDLLSQLPDF >Ma08_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6475297:6479460:1 gene:Ma08_g08950 transcript:Ma08_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMICCESYFPYVELQMYHLLQSSLEKTVDHYQKFMKAEKYFDKHNEKEQNHEKGFACSEGNFKLLEIAERFIETDFAKLNIDGLEQLENDLNDAFKWTTSRKTPRRAASRVCER >Ma02_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17687516:17688708:1 gene:Ma02_g06720 transcript:Ma02_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKSHPNEYGVGVCASCLRERLLALIVAQNELSANRYHVRRRPDLSPLRHPHEFHRPVSPYISHRRSVGSDSSPGHLRLLHHYQRFFSTPQIGPTFAAASRGERLEEIDGGRRHRFSIFRTLFGHSRSDAAEPGLRAPKESASGSWFSALIRGRRKKKKTTQLSSGAEEEEEAPPWRVRRSCRPVGRGMSPAMEDEDEDHGSGYFSDDWRRPTPMPIRRFPATHRDHRSVSSVSGFSVCLSPLVSFGPESRRSHPAEAVFSGDLRSPANSIHHRNPAVLAPNRSRKLADLGRLK >Ma04_p25660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27372188:27376729:-1 gene:Ma04_g25660 transcript:Ma04_t25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGELNDGGLLDIQPSELRFAFELKKQSLCSFQLTNKTDQCVAFKVKTTNPKKYCVRPNAGIISPKSSCDVTVTMQAQKEAPHDMQCKDKFLLQSVITEHGAATTDITAEMFNREPGKLVEEFKLRVTYVMASPPSPVSEEQQEGSSPRSSTFEDGAQSLQTQDSAFAMILKLTEEKNNAIAQNQKLQQEVDLLNSEVNRHHMFFVVVGAVLAALIGYIIKKSYY >Ma04_p25660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27372188:27376729:-1 gene:Ma04_g25660 transcript:Ma04_t25660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGELNDGGLLDIQPSELRFAFELKKQSLCSFQLTNKTDQCVAFKVKTTNPKKYCVRPNAGIISPKSSCDVTVTMQAQKEAPHDMQCKDKFLLQSVITEHGAATTDITAEMFNREPGKLVEEFKLRVTYVMASPPSPVSEEQQEGSSPRSSTFEDGAQSLQTQDSASLLKEPSKENSSEAFAMILKLTEEKNNAIAQNQKLQQEVDLLNSEVNRHHMFFVVVGAVLAALIGYIIKKSYY >Ma04_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23734315:23735624:1 gene:Ma04_g21120 transcript:Ma04_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPSTPRMLHVDAIQTAPPGKVTAPGQARRISTAAPLGPEVLQSRFQAVWYYTKAGEESPLAIAAWIKESLCAALPGHPVLSGRLRRDDGWEVKFNDSGVRLVQATAETTMSEFLASKDRNGMEAHLAYWDDVDVQSPNFSALFYIQVTQFQGDGYAIGISCSLLLADPLFLTRFLNSWAQTHAQMRLSKSPMFHLSYFQRPDRSRHLKSAELESSPVHSPSSTTTMLFEADREAITRSYGQLAVACLREATRRLDVEAAPEFCLLISDHGGELAVEPCANPSEGSSGEALDVVWWDQLGVEEWTLVQGNKPVHVSCRIASPGDGRLVVVMIPPDVEGDPKVVVSVTLPDN >Ma03_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4844386:4845650:-1 gene:Ma03_g07050 transcript:Ma03_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPLCLTLIPNPSESMRSKRLASHSANKASSSSSSSHSSATAADSLLPTTEDPPSTNGGPDGRSAPQTPPASKPLPEPYAAASPAATSRRSERKRKPREFGGKSSPEIRQKKVWSEHDEVELLKGALAFRSRTDALPKQPTMAAFFASIKSSVGPHLTADQVGYKLKRLKSKFVHSASAGPAAGATAHDRRIYELSTDVWAEEVKHGDAEEDESGDVAAAADAEGEEEETGGDNDRFPFIREAAAAYWKVNGRCMSGVLLEKGLKLIDPSKGTALEEKLRKQCETEMELWMKRLDMLKEISELLLEAHKSSRL >Ma06_p03210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2389324:2390755:1 gene:Ma06_g03210 transcript:Ma06_t03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSEGLVELVLGKEDLKPVGGVWWRGDLKSDCDDRDFNMDEPTMEEKHSSLDMLNDESSLHILFRQALHAEDHALLQLDCLYTRDEKVYL >Ma05_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9414514:9415215:1 gene:Ma05_g13010 transcript:Ma05_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGTRGYLAPELAYTMRVTTQCDVYSFGVVTLELLIGEYGEVLISILSSSPINDSFVKDVLDRRLPVPEGQVADEVVAILSLALRSVDNHPESRPTMKQVSDKLCVVRTPPPSLRSIDALKFSDLMSVEI >Ma10_p31060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37303666:37311460:1 gene:Ma10_g31060 transcript:Ma10_t31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIPYQAFKPLLVIISIISLSLSLSPSSSSSSSSSRDAVKKQGRYLPSRGGETWDSAAKNAVLARFCKRNDESLRWQRGRDCGSLNIVFEPALGFVTKIETVGYPEWPKHSDDRMEAFTHRHTLNNAIQIKVVYVRIITSTPMQSTITMAFPAGEEDDRSLTVNGKAAVPVVLKRNRVDGAMGESVYVNTDCIRFSGSCLGFEIRVREACSALVVGAVGRKGDGRWVVQGREGSGGQETGVDGAVVDVYFVGRSMGRAVVLNRVVETRKTRKGLDCIPACDDEVATDDSEEPKKGIREEQSCDGVSSGYIKNGGEDELGELSWFSAGVRVGMGLGLAMCLGIGVGVGLVMRSYQAAAGTLRRKTHPGLLCLCEGVIRVSMVGHLSKVGRLQSSTILHTSVPSLTSLSLPLSPPLLSISSSFPATSSSSRYQMMGSKLCLQFAHPPLSFFLLFLLPSIVAVEQLQTYIVRVDADARPSVFPTQSHWYETVVLASALSTESSASSAPGGPLIHTYSSLFYGFSARLSPSAAAALASSPGVLAVLPELVRHPDTTRSPEFLGLLSSDRTGLLAESDFGSELVIAVVDTGITPGHRSFSDRGLGPAPARWRGECVSGPGFPASACNRKLVGARYFSGGYEATSGRMNESSELRSPRDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWAAGCFDSDILAAFDAAVADGVNVVSLSVGGVVVPYYLDAIAVGAFAAAEAGIFVSASAGNGGPGELTVTNVAPWVATVGAGSMDRDFPAYVKLGDGRVFPGVSVYGGPALSAGRLYPLVYPGAAQGAGDGYSSSLCLEGSLNPDTVKGRIVLCDRGVNSRAAKGEVVRKAGAVGMILANGMFDGEGLVADCHVLPAAAVGAIAGDEIRKYIAAATLKSPATATILFRGTRLGVRPAPVVASFSARGPSPQSPEILKPDVIAPGLNILAAWPDNVGPAGIPSDQRKTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIKSALMTTSYVKDNRGTTMLDESTGNSSDVFDYGSGHVHPQRAIDPGLVYDLTATDYVNFLCNLNYTQQNIKAITRRPADCRGARKAGHAGNLNYPSFSAVFVEDGAKRRMSTHFIRTVTNVGNGAAVYRAEVRAPEGSTVTVEPTELAFRRAGQKLSFLVRVKAGTAEKLAPGSSKVRSGALTWSDGRHSVNSPIVVTVQAPLS >Ma03_p27770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31041010:31042465:-1 gene:Ma03_g27770 transcript:Ma03_t27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTVSSPALVFLVVVASFLCTSTRAQHSSCDNSFSFFEEGIAGNVTHCRKLRTLGAELGWSYHNTTPNVTLNIVFSAIPSAAGGWVAWGLNPGNRPQMVGTRALIALRPANGTLAMNTYNLTRDTMLGCKLQPSPIEVQVSNMQANFSEETGAITMSATLSLDPSTYNISELKHVWQVGSSVVDQVPQKHRMRLKNFDSFEVIDLTTGRGFRDTGLTKQRVAHGVLSVFGWGILLPVGVIIKRYSRNITKNPEVWFMLHISFQISGYTVGVTAWAIGIALMSNSRHFNTFKGHRTIGIIIFCLATLQIMALWLKPKKKYEGGKIITDRPRKYWSIYHHLVGYTLITLSIVNIFKGFAILRPPPVWKWIYVGLLAAFSCVAFGLEVAIWVHKSRNNKKTSR >Ma04_p36760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34998014:34999693:-1 gene:Ma04_g36760 transcript:Ma04_t36760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHGRKPEPNIFASVWRFLRRCLFLVLALGPMPNHIAFIMDGNRRYAKQRNVKEGTGHGVGFTTLTSICQYCYEMGVKYVTVYAFSIDNFKRKPEEVQSLMDLMKEKIDELLEEDSIVQKYGFRINFWGSLDLLSEPVRLAAEKAMTHTADNTGPVLSICVAYTSTNEIMRAIKKSCARKSFRTQGYVNCDGESVCEDINGYVTVADLEENLDTADCPDPDIVIRTSGEARLSNFLLWQTSLSHLQNPNPLWPEFSLRNLVWAILEYQKIYPYLEARRRLAKKEN >Ma04_p36760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34998014:35000492:-1 gene:Ma04_g36760 transcript:Ma04_t36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLPRVSVPHRNLVIKVEKDLEMEKHGRKPEPNIFASVWRFLRRCLFLVLALGPMPNHIAFIMDGNRRYAKQRNVKEGTGHGVGFTTLTSICQYCYEMGVKYVTVYAFSIDNFKRKPEEVQSLMDLMKEKIDELLEEDSIVQKYGFRINFWGSLDLLSEPVRLAAEKAMTHTADNTGPVLSICVAYTSTNEIMRAIKKSCARKSFRTQGYVNCDGESVCEDINGYVTVADLEENLDTADCPDPDIVIRTSGEARLSNFLLWQTSLSHLQNPNPLWPEFSLRNLVWAILEYQKIYPYLEARRRLAKKEN >Ma06_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12806164:12807230:-1 gene:Ma06_g18730 transcript:Ma06_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHATAGCFPRVNVNPVSSLSSHTLAKPTLQVAPFPRLSGGSRGLPRPSFDPTCVQVRRRSYFPINAEERDSSTRDVPTSLDVIRSARPPTSATPRDLEPDPRHSNPKQTVSAPRPLHKVVYTNSFLFHHLHYVLEGYFL >Ma05_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37156855:37162004:1 gene:Ma05_g25130 transcript:Ma05_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCIMNQKGLDTKPHPLKLDDIQLGCNWENVTCPICLDFPHNGVLLQCSSYDKGCRPFMCDTDQAHSNCLERFKSAYGVPLVVKVTTTTDGVSMVCIQDISSNSTNPPACPLCRGDVTGWVVIDEVRVYLNMKKRCCEEKQCSYIGNFTELQKHAQLKHPHSRPSEIDPAQQLDWENFQQSSEIIDVLSTIHAEVPHGVVLGDYVIEYGDAETGDEHEDFPRSRGNWWTSCISCKVFHRGSRNQHRSRRSRRSGHHSSSDGSNNGEGSRRSSEIREYRFVEADDELARTGVGAAASIVIPTHYRYGRRRSHFYDL >Ma05_p25130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37156855:37162004:1 gene:Ma05_g25130 transcript:Ma05_t25130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCIMNQKGLDTKPHPLKLDDIQLGCNWENVTCPICLDFPHNGVLLQCSSYDKGCRPFMCDTDQAHSNCLERFKSAYGVPLVVKVTTTTDGVSMVCIQDISSNSTNPPACPLCRGDVTGWVVIDEVRVYLNMKKRCCEEKQCSYIGNFTELQKHAQLKHPHSRPSEIDPAQQLDWENFQQSSEIIDVLSTIHAEVPHGVVLGDYVIEYGDAETGDEHEDFPRSRGNWWTSCISCKVFHRGSRNQHRSRRSRRSGHHSSSDGSNNGEGSRRSSEIREYRFVEADDELARTGVGAAASIVIPTHYRYGRRRSHFYDL >Ma09_p24010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35680197:35680850:-1 gene:Ma09_g24010 transcript:Ma09_t24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEARGEAEEQAAKKGKGKKAFKCRYCDRVFATSQALGGHQNGHRRERDAARRAERAAELFYSMQTTPVPMLSFPSFLHSSQPLVHAARGHLRQPLCPPYHPVPPSAHYQHYHPPYSFDNNVEATSRIDPRYSFSVSPYGGHVLRDEESSRTANCQRSYNPKIAGNARAPQEMAQVTMQVPSASTNITLEFKQDSVCAEDGKNGNEDKIDLTLHL >Ma03_p27310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30747023:30750712:1 gene:Ma03_g27310 transcript:Ma03_t27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLREYQIRSQHKWGALTAFSSKPQITTRRDAALALTCAVVFVLLIVSCYAALYFRYFKVSAVFVCLGILLPTCLKISRHRWVARKRERRMLLPLSM >Ma01_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1435716:1436381:1 gene:Ma01_g02160 transcript:Ma01_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNILGDPSPVPNPAHKLWLRQDRLILQAIQASVAGSVAPLISSCVTAADAWSTLQTTLANHSRHSLRDGEVVIHTLNGLDTDYKELAAVIRARDSPVSFEDLYDKLTDYEMYLKRADKLPGSTVTAQVSHKSKRKSTRYSPNIIQGLANAPLDSVSSMQHPSYPPSHHFSQSGNSSHHPSLN >Ma10_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:12716784:12716951:1 gene:Ma10_g03250 transcript:Ma10_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIYIYIFFCGSRFGPYFWHPVIAGLGDDDVPFICTMDYIGAKYDFSLLALSHG >Ma00_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:82757:84508:1 gene:Ma00_g00080 transcript:Ma00_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDAASTARPLHVVFFPLMSPGHMIPMVDMARLFALRGVRSSVVTTPGNAHSVRPAIDAAAALGHPLALHLIPFPDPSATGLAPACENLSDVPAAQFDNFVNALFLFQAPVAALLRDLRPDALLSDSLFTWTADLAADLGVPRLIFHGAGAFPQFVICNLLGHFPFLESFTMDGLPHPIRLYKDGLPELMDNFAFLQLLGEAEAKSYGVVVNTYREMEPAYVDYYKKHHPQGLRAWCVGPVSLCCRAAEEERAKRGGLPATEANRILSWLDGKPAGSVVYLCFGSLCRLGVAQLRAIAKGLEASGRAFLWVVRRDAEGGPEAEEKWMPDGFEERVRGRGLLVRGWAPQLAVLGHAAVGWFVTHCGWNSLQEAVCAGVPMLTWPLFHEQFINQELVVEVMGAGLRVWEGLRRCRSPEQTPELVSAEEVEVAVRKATGGGEDAEAVRRRAKEYGEAARKAVEEGGSTHEDVTNLIKELEAVRLQKAGQGDAAQ >Ma06_p02630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2021868:2024547:-1 gene:Ma06_g02630 transcript:Ma06_t02630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKCLSLEPHACPVAFMDTVFLLRPEARSRFLRTAARALGCTYICLWSPLYHPSSDCFTSMDGWHHEDDSSGRPSSSSGSPSRRLFAAYLRSLCSIRCSCVPGWAFKSSLPYIELKDSDLMNSASMQVQRQFYQEAGIKTAAFLGCTSGEIEFGMTTSSDANMHANVDQVFSEDFIRQSQLEQEFIHQTQLEELFPPPDSSDSSSLRSFSVESPECSSLLLANTSTVAPHQIPMSVYDRHRTVLFPSTAVDDAAIARAMLAVISSNSSSAANRSWSGRRVGAFKAYATPAFAPRCDPTPSSHGQKMIKMLINLLKKMNDMRFEARTQDARPTSNQLHHMISERKRREKLNESFDALRMLLPPVSKDKASVLYNTRNYLNALKAQISELERRNRLLEMQVRRPDEKEEDGDSNERVRVQISRQATESTPEGEGVNLVITVRAGCNMIDLIHDVLQCLKRMGATTLLSVEAITGSPQKNDLIKASFTVRVKGADCDEETLEEAVTQAVAAVLERSATPTS >Ma06_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2021868:2024547:-1 gene:Ma06_g02630 transcript:Ma06_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKCLSLEPHACPVAFMDTVFLLRPEARSRFLRTAARALGCTYICLWSPLYHPSSDCFTSMDGWHHEDDSSGRPSSSSGSPSRRLFAAYLRSLCSIRCSCVPGWAFKSSLPYIELKDSDLMNSASMQVQRQFYQEAGIKTAAFLGCTSGEIEFGMTTSSDANMHANVDQVFSEDFIRQSQLEQEFIHQTQLEELFPPPDSSDSSSLRSFSVESPECSSLLLANTSTVAPHQIPMSVYDRHRTVLFPSTAVDDAAIARAMLAVISSNSSSAANRSWSGRRVGAFKAYATPAFAPRCDPTPSSHGQKMIKMLINLLKKMNDMRFEARTQDARPTSNQLHHMISERKRREKLNESFDALRMLLPPVSKKDKASVLYNTRNYLNALKAQISELERRNRLLEMQVRRPDEKEEDGDSNERVRVQISRQATESTPEGEGVNLVITVRAGCNMIDLIHDVLQCLKRMGATTLLSVEAITGSPQKNDLIKASFTVRVKGADCDEETLEEAVTQAVAAVLERSATPTS >Ma02_p17840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24594445:24596045:-1 gene:Ma02_g17840 transcript:Ma02_t17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCLWNRLPCGQQWRTVGQQQSGIPTPSLPVLCAARCPSVASIRQKNRAQWLPNIVLQQRRDSGQQLGTGFGPTVAPLRGPLWSAHLGHGSWTPGHLLSLPRAPLKGSRASPSLSSNPSTTMFHSFYCSSSTYGDSTFLPSVFCSAAVPHALLSDGNGCLPPFPSSSPPCTSSQSAYFLHRNSSIHSLPIHHHFPDSLSPPPPLPLPPLSSSPSSSACDYSDFNVDPARRVLSTGDLQGMNAPHENYIQEEGAVAGRVRPYSAEERKERIERYRSKRHQRNFHRKITYACRKTLADSRPRVKGRFARNGEPETETETEIEMKADTTAVKSFDCCGYDNYEANHGCSAGGDTGGDWWSQLQAALATDDEGESSYDEDLLASFTDVFSMNNLS >Ma01_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7113976:7115127:1 gene:Ma01_g09910 transcript:Ma01_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNEWNPSTDRYISANYDATTVMDAKPFNKEALQVEVKLLVDRNIPVIAFIGRLEEQKGSDILAAAIPEFIDENVPSYSSLHLQGTGKKKLEQQLALLENMFPDKVRAHLKFNVPLAHGIMAACHSFCGSSRMEFQNLDHCENFLQPPMCATTGGLVDTVKEGITGLHMRPFNVDCDVVDEDDIQKVVKMTKRVLEVYQTAAFAKMIQNCMDQDLSWKGPAKK >Ma05_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36319069:36327702:-1 gene:Ma05_g24100 transcript:Ma05_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLGRKSCPVQAKPRRILLDTDMDTDDFFALVYLLKQSRSQFDLKAVTISANAWTDAAHAVNHVYDILYMMGRDDIYVGVGGDGGILDDGNILADVGGYLPLIEQGLSTAGDCRYRQAIPVGVCGRLDINTNYGLRRSFLPQGERRYIPLQQPTAQQVMIDTVSAGRTTLFVIGSHTNVALFLMTNPHLKTNIEHIYSMGGGVRSKNPTGCCPPDAANPSCKPRQCGDRGNLFTAYTSNPYAEFNMFADPFAAYQVLHSGIPVILVPLDATNSIPVSKEFFDAFEQQQETLEAQYCFRSLQLTRDTWFGDQFYTSYFMWDSFLSGVAISIMQHADSYLGENEFAEMEYLNITVVTSNEPYGVNDGSNPLFDGRAVPKFNLQKAGVHSGHVQTGPQDPFCFVKGGDKGKCQDGYTKEVSNSEAVQVLVAQRARPNRDVHSPMNRQFFKSFLDVLNLHHQSGRFNLTTQFPYYREILYKPNFANQTRGRPVIFDMDMSAGDFLALIYLLKAPLEMIDLKGILVSGNGWATAATIDVVYDILHMMGRDDIPVGLGHVNALGTPTLGCKYVKAVPHGSGGLLDSDTLFGLARTLPRSPRRYTAEKSAVQFGAPRNDDRHVLGQASALQVWQSISKSLRPRHSKITVLTSGPLTNLASILDVDKRGKKVIQNVYVVGGQVIDGKDKAGNVFSVPTNKFAEFNMFLDPLAAKMVMESNLTITLIPLNAQQKVISFKRILQTLQLAEKTPESTFAHQLLSLLYQLQRKQPKLYHHMEIFLGELLGAVFLVDHSKLNPVMQIKPIRVLTGNLSQDGQITVDKCGKSVNILDSFDSEAYYNVFADLLGDKRQSAVIGSFDEQKKMWSKPQ >Ma08_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7922922:7926808:1 gene:Ma08_g10800 transcript:Ma08_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEVLGVPNSANQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDKYGEDALKEGMGGGSGGGGGFHSPFDIFEQFFGGSTFGGGSSRGRRQKQGEDVVHSLKVSLEDVYNGTSKKLSLSRSALCQKCKGKGSKSGASGRCYGCQGTGMRTVTRQIGLGMIQQMQHVCPECRGSGEVISDKDKCPQCKGNKVVQEKKVLEVHVEKGMQHGQRIVFQGEADEAPDTVTGDIIFVVQLKEHPKFRRKDDDLYIDHTLCLTEALCGFQFVLTHLDGRQLLIKSNPGEVVKPGQYKAIDDEGMPHHGRPFMKGRLYVQFNVEFPDTGVFSPDQCRALEKILPPRPSNHISNMEPDECEETTMYDVNIEEEMRRQRQQRQQEAYDEDEDAGPRVQCAQQ >Ma02_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15828430:15829918:1 gene:Ma02_g04360 transcript:Ma02_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFLLLRLVLLASALTLGACNAGCPSAGLKPNFYGSSCPNAEQIVQTIIYKEAEKNPILPAKLLRMFFHDCFVRGCDASLLLNSTSESTAEKDAPPNLSLAGFEVIDMVKEALEQACPGTVSCADIVALAARDSVSFPFGKSLWDVKTGRRDGNVSLLSEALVNIPRPTSNFTTLVRSFANKSLGVDDLVILSGAHTIGVGHCNLFAERLYNFTGKGDSDPSLDPAYAAFLRTKCSPTDNTTTVIMDPGSGLKFDSHYYVNLKQNKGLFQSDAVLLTDGAASKVVDEMVDFGAFIAAFRNSITKMGDVGVLTGKEGEIRKHCRFVN >Ma11_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24277521:24278993:1 gene:Ma11_g19400 transcript:Ma11_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDQQQPEQQQAYKHYCRICKKGFGCGRALGGHMRAHGILDDSVGGQADADDDTSGFGASEWDDKLNNSAAAGTKRMYALRTNPNRLKSCRICENCGKEFLSWKSFLEHGKCSSEEEGDDWFPSSPRSEAEDDLAGQKGCAGWSKGKRSRRTKVVLTEEEDLANCLVMLSAARVEPVVIIETEESCASASKEDDRRQQTMTIAATAETPKAPALAPPTLPSVPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLEALDEALPDEEVITHEKNASEMAAASMSMAIVPYENSAPLAIAPLKKKPKLHECSICHRVFTSGQALGGHKRCHWITSNSPDPGVKLQPVPDHANLHHQLTLRPMFDTSNSEPLDLNMPAPADDVAGVRRDIGSSLRLEMPAAIYLRSWIDRGNTNKNRAATSINKNNDDNHIHSKDNNTEMSSLNVDDEADSKVKLALSDLKDINMGGESSPWLQVGIGSSANEGSEA >Ma11_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2115841:2116765:-1 gene:Ma11_g02860 transcript:Ma11_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWVVISSSGHLEEKRREEKEKMSTNVQKRRSGSFLSLACGCTDSKSVSVPGSSGGKSTLTPPQVPRVGDFSSADTLTLTLTSETSSSYEEDDAEVKTESSASTPSFPELLRQLNELEQSVLAWGSHASSSVYGGKEEKRVNCRSSSQGGRRAEDGVVVVKETENPLGEFRRSLLHMIVEEEIVDGAGLRELLRRFLALNSPRHHGTILRAFADVWQCVFSGYEQTPDLLRRGYSSLRTRRHF >Ma10_p25900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33820384:33824557:1 gene:Ma10_g25900 transcript:Ma10_t25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANPRPDKVAQLHKEYTIPHGHHLLSHTRADNYFNYVHSGVPRHITIFEEDGWLELPEALSRPFIKGFREGRSSVNLYVNKELFLLIDFSSMVLINIRIRKMQSVAWMDEAGKSFLPYMALLQEGIPPTEFDAWILACGCGIQSASSLQLAENEVPISQQPFLYPVVSRLQRSSASFLAVQETFLSGMGPFATPASILDIYACNGRHRLEAFERQIEFTARERGNANVKYGWYGSTDNRITEALINGFGHSGRLSEVGVLGTGIYLTPQDRAFASIRLCEVGSGVQHMLLCRVIMGNVEQVRPGSKQYCPRKKYDMGVDNVLNPKCYIVWSTHLDKYIYPEYMVRFALPSRNKEYLCGLKDIRFHVEDHKAWYELAGSLEPFALLYVELHERIAPWARRLFLHYLMEFKRDIITRELLIMKMGSFVQELLPAPETATGRGNDHMASSIIPGNTFGPGMESTSAMMCRITFLAMATENRSTGMTQPGDSASRQ >Ma05_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10310521:10315627:-1 gene:Ma05_g14180 transcript:Ma05_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSDSEAGPNPKPVEELETESATNDEHQGEDEDEIEEEEEEEGDDEEAEEDETPAAQRENINRLFRRLSGGPVRLRVQDIIIRGNTKTKDALIEAEVLDAFRSACSMQELIQAAGLANTRLRQLDIFDSVSITLDSGPSELPGTANVVIDVVEARNPLTGEFGAYSKPEARSWSLEGSLKLKNLFGYGDIWDASGAYGFGQTSEISAGVSLPRFKAISTPLMTRVSLLSQDWLKFSSYKERLLGLSVGLISTGNHDLAYNLTWRNLSDPSHMSSKTIRRQLGHSLLSSLKYTYRIDHRDSNLRPTRGYAFLSTSQFGGLGPDSRILRFIRQEFDVRGAFPLGFYNAAVNFGVAAGAIMPWGRGFMDSTSPLPERFYMGGHSSPICSLGGPTSLLGFKLRGVGPTDERRAILTKHGEDEATTPGRIASPGGDAIGGNLAVTAFADLSFDLPLKLFRESGVHGHMFLNTGNVVDLTPAEFKNFSFRGFLETFRSSAGFGIIFPTRFFRMEINYCYILKQLQHDHGKTGIQFSFSTP >Ma00_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43713968:43716450:1 gene:Ma00_g05020 transcript:Ma00_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPVRQASSLEGDPQLVIDERKRKRMLSNRESARRSRIRKQQHLDDLINQEAQLKNQNGKIAMQINLETQKHTKVEAENAILRAQVSELTARLRSVNSVLHFFEGVSGMTIDTPKMADPLLKPWQLPSAAQPIMANADIYQACVSID >Ma08_p32350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43048652:43052184:-1 gene:Ma08_g32350 transcript:Ma08_t32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAPSPPEAYVSNQDKTQKEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLILSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVVCTDCKSIKLTYFIMIFGSVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKQENVEYGYKSASTSGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWRGVIVAYIVVALCYFPVALVGYWAFGNVVDDNILITLEKPRWLIAMANMMVVVHVIGSYQIYAMPVFDMIETVLVKKLHFPPGLTLRLIARSVYVAFTMFIGMTFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWITNWICIIFGVLLMILSPIGGLRQIIIDAKKYTFYS >Ma05_p27390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38709760:38714793:1 gene:Ma05_g27390 transcript:Ma05_t27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRAYYQQFEEQQTQSLIDQRIKEHLGQAAAFQHQVGATYNQHLMSMPGNRPPLPVLGPPILPMGAPSQGMLPGVRPPLLPPPVVGAPGYGPLHTMPTAPPSAVPPGAAASAPMQVSNLPRPPQLTPPISGAMSMPTTNGAAPAINPVMYQANPSSTTTGSFDSFNAASGSG >Ma01_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10800633:10803156:-1 gene:Ma01_g14800 transcript:Ma01_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANHRPTPALAHGTASLPLLVLTLVLVTPRCVDAQASQTPFGDSPYSGYNVKINPTIAALIIAFICGFFFLGFFSVYLRQCSSGSSSGTRPSGVVESGAVSRRTVRRGIDPEVLISFPTMAYSEAKEHKKGNDTLECAVCLSEFADEDTLRLLPRCSHVFHVDCIDIWLDTHVTCPVCRANLAEPAAADSIAAACESTPAEESGAMEEGDLEAGRPSVGYRRWHSTGHEGEEVDRYTLRLPEDIRREIFTAAGLRRAASVAEPRVRGVASGSRGYRRGWSGRWGFLLRTFSVRRRADGTAVEGSSKRVYPSVEAPLDLALGEGGGKSEPIKEGTKEKEAVVTESPSSSSSATPSAMERV >Ma05_p30520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40888102:40889224:-1 gene:Ma05_g30520 transcript:Ma05_t30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQVCAYTRCVARALRYLHDVAGVVHCDVKGQNVLLGRDRGVAKLADFGAALRIAGASGVGDGPNWVRGTPLWMAPEVARGELPTPASDVWSLGCTVIEMVTGAQPWPNMAAEDAVGALLKIGCGDEIPEFPARLSNMGRDFLDKCLRRNASERWTAEQLLRHPFLAEEVAIAEPSPRGVLEWATMELHHHHRDDDEYASCSYDHEDDEVMACARGRMRELASDGEVPGWRGDDWKLVRDSDEANSVNDMEEEILVEGICQECSSVRSVGEPSGVGLFDGIRCSASSCCCQCWRCCFRECGLGWQHGVGMLASWILIPLFHTIIFRSMLSFDETWL >Ma10_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:6203803:6205642:-1 gene:Ma10_g02000 transcript:Ma10_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVRKVPMQPSPSNAYHQVREDARARLRYQYLLQDYDDLLKETEEKKKNLQKAKQKKLRLLAEVKFLLGRYQSLLENPSQTTFHRLKKQLHKTSSPIAGIVKPARLHVPNEVSFKGKNHSAVEAAKPSTSTMLDLNQISLPSGEDVDFQSHMESLKPEMSERHSMDGGPNNRKFAVCRDEGSSSNRASKRKITWQDQVALKV >Ma07_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10418714:10420475:1 gene:Ma07_g13910 transcript:Ma07_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVLFFALTSFFDISFSQRYNALFNFGDSLSDTGNVVISSLPYGMTYFGRATGRASDGRLVIDFIAEGIGLPHLPPNTARNVSFHQGVNFAFIAAPALPFEFYHERRLSKGLWVNASVHQQVDRFEKLLPSVCGTPQDCKDFLSKSLVVFGEFGGNDYNTGIFGGLPVSEVRSTFVPRITQAIAEGVERLIGLGAVDLIVPSVLPVGCFPLYLTLYSSSNPEDYGPRSGCARKFNALSWYHNALLLRQLNRFRHKYPAVSIRYADYYAQVFDFALNPLKYGFKDGALRTCCGAAGMGKYNFNLRAKCDQNGSSVCPDPTTHVSWDGIHMTEAAHRIIAQGWLHGPYVNPPILSFINPH >Ma00_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:35764631:35766349:1 gene:Ma00_g04230 transcript:Ma00_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMMQAVVPLIPEIRRLTDASADSAQQGLDAGQDATGEIRYGAVHHVGSPARVPPAPSRAARRRPEPDTVSSDSKDSFIKGQFSQVNRRLDEFRRELQKSRDESNKGTSGGSPFVQEIQEKPVPLNFRVPALETYEGGSDPAEHVAAFRTQMALYGTSDALMCRTFPTTFRGPARAWFSRLRQSSIASFDQFAKEFEQNFLTSARPRPSIAALLALTQHEEETLAQFVTCFAAEIRGYSDTHPSLIMQAFLTGLKPSRFFWSLIEKPPATVLEMLHRANQYVAGEALAAGRRTVGKKSRTEQPRAATSSVDPQPRRRLDHPEQRLPRPPPLPLNEPRTDIFLQIREKGLLRPPNPMRATYKNRSKYCRFHRDHGHDTEDCHDLQNQIEELIRRGYLGRYLKEPREATPRPRMTVERQVDVIVGGPAAGGSSSSARKSYARSTVEKRPRPQLEPKISFGAEEWERSHHDDALVISIQIANAWVKRVMVDTGSSADVLYLDAFKRLGLPTEDLTPMSSALTGFTGDSISPLGTATLPVTIGEEPRTKTIMTTFMVVNLPSVYNVILGRPTPNA >Ma08_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8415136:8420612:1 gene:Ma08_g11390 transcript:Ma08_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKAKGNAAFAAGRFDDAIRHFSEAIDLAPTNHVLYSNRSAAYASLQRYEAALADARKTVELKPDWAKGYGRLGAAHLGLGDAGQAVSAYEKGLELDPANEALKVGLSDARATAARSRAPPPQGASPFGKIFQGPELWAKLTADPTTREYLQQPDFVKMIQDIQKNPNNINMYLSDPRMMQVIGVLLNVKMRGPTDEMASESPQPEQEKPQPEPAKKAPQPEPEPEPMEVPEEAKDGKERKAKAQKEKEAGNAAYKKKDFETAIQHYTRAMELDDGDISYLTNRAAVYLEMGKYEECIKDCDKAVEHGRELHSDFKMIARALTRKGTALVKLAKCSKDYEPAIETFQKALTEHRNPDTLKKLNDAERAKKELEQQEYFDPNIADEEREKGNELFKQQKYPEAVQHYTEALKRNPKDPRVYSNRAACYTKLGALPEGLKDAEKCIELDPSFSKGYTRKGAIQFFMKEYDKALETYQEGLKHDPNNQELMDGIRSCVEQINKTNRGEISEEELKERQAKAMQDPEIQNILTDPVMRQVLIDFQENPKAAQDHLKNPQVMHKIQKLVSAGIVQMR >Ma09_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6791408:6794627:-1 gene:Ma09_g09940 transcript:Ma09_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVEDDAEFLSLVEAAEAAAVGGSAKRQKLSSVASIPATEEGSYMAALRGSHSSLWQQQQQQLKLSQKKGNNSGGLRTGSNPPHSSSSTTGGACFKCGMAGHWARDCDAARGRERGEGSVSGGGFVGREDDGVPQKACPCGSGTCLVRTSNTAKNPGRKFYTCPLKLDNGGCNFFEWCDNQSSSLSSSRKPLNHQPSLSVPGLQCPCGAGSCLVLVTKAGKNVGQQYYRCPLDEGTGSCGFFKWCNGKDTTTTEHTFGSENPVTCENSSSKLFGDRSSSSCFKRGQAGQWSRECTKQSSDNYYMETGVKHLGSTASACFKCGKSGHWARECPSK >Ma04_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5677980:5683148:-1 gene:Ma04_g07830 transcript:Ma04_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSGKDLRTAGRRIARIAHHLLPLATPPPRPLPIQRSVASANDSYRRVHGEVSTREATWIPACDESGKEYTDIIYEKAVGEGIAKITINRPDRRNAFRPNTIKELIRAFNDARDDSTVGVIILTGKGNKAFCSGGDQALRSSDGYADFESFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAVFGQTGPKVGSFDAGYGSSIMSRLIGPKKAREMWFLCRFYDAYEAEKMGLVNIVVPLERLELETLKWCREILRNSPTAIRVLKSALNAVDDGHAGLQELGGNATLVFYGTEEASEGKIAYLNHRRPDFSKFPRRP >Ma09_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30157429:30171914:1 gene:Ma09_g20800 transcript:Ma09_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAESSESLWFASNPSKRWGEAFFLLYTPFWLTLCLGIVVPYKLYEKFTELEYLILGLVSAAPAFLIPLLIVGKADSGKCWRDRYWLKANLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHVTFLLTHSCFLFYHMASNITLRRLRHSIADLPQSIRLVTEAAWILALSYFIAYLETLAISNFPYYEFVDRESMYKVGSLFYAIYFIVSFPMFSRVDEIDDEPWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVPIPKLGQCSSQPGLAWFHMPQSFTQNQSM >Ma02_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17300853:17302719:-1 gene:Ma02_g06280 transcript:Ma02_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQVSDSLFCLIPADLCDRVF >Ma02_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24428486:24433106:-1 gene:Ma02_g17590 transcript:Ma02_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVSTISITSLFFSFLSMAILSSISPITSLSPDGKALLSLLATTSTSSSPGLLLSWDPSHPTPCSWQGVTCSPQGRVISLSLPNTFLNLTSIPPELSSLTSLQLLNLSSANISGSIPPSLGALASLRLLDLSSNSLSGPIPPQLGAMSSLQFLLLNSNRLSGLIPATLANLTSLQVLCLQDNLLNGSIPSQLGSLFSLQQFRIGGNPYLTGQLPPQLGLMTNLTTFGAAATGLSGTIPSEFGNLVNLQTLALYDTDISGSVPPELGSCSELRNLYLHMNKITGLIPPELGRLQKLTSLLLWGNLLTGTVPGELANCSALVVLDLSANKLSGEIPRELGRLAVLEQLRLSDNMLTGPIPEEVSNCSSLTTLQLDKNALSGSLPWQIGDLKSLQSLFLWGNSLTGAIPQSFGNCTELYALDLSKNRLTGAIPEEIFGLNKLSKLLLLGNSLTGRLPPSVANCQSLVRLRLGENQLSGEIPKEIGKLQNLVFLDLYTNHFSGKLPSEIANITVLELLDVHNNHITGEIPPQLGELMNLEQLDLSENSFTGEIPASFGNFSYLNKLILNNNLLTGLLPTSIKNLQKLTLLDMSGNSLSGPIPPEIGSLTSLTISLDLSSNKLVGELPQEMSGLMQLESLDLSSNMLGGGIEVLGLLTSLTSLNISVNNFSGPIPVTPFFRTLSSNSYFQNPDLCQSFDGYTCSSDLIRRTAIRSIKTVALVCVILGSVTLLFVALWILVNRNRKLAAEKALTISSSISDEFSYPWTFVPFQKLNFTVDNILQCLKDENVIGKGCSGIVYKAEMPNGELIAVKKLWKTKKEEELIDTFESEIQILGHIRHRNIVKLLGYCSNKCVKLLLYNYISNGNLQQLLQENRNLDWETRYRIALGSAQGLAYLHHDCIPAILHRDVKCNNILLDSKFEAYLADFGLAKLMSSPNFHHAMSRIAGSYGYIAPEYGYTTNITEKSDVYSFGVVLLEILSGRSAIEPMVGDGLHIVEWVKKKMASFEPAINILDPKLQGMPNQMIQEMLQTLGIAMFCVNSSPLERPTMKEVVAFLMEVKSPPEEWGKTAQQPLIKPVNHG >Ma05_p26870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38383375:38388034:-1 gene:Ma05_g26870 transcript:Ma05_t26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCGLECVLCLGCSRWAWKRFAYVGAYDSEAWPQATAEELEPAPRVCRVVLAIYEDDLANPNYAPAGGYRMDSSGVVKRVPYDEIPHGRCPPYLIYVDREHKEVVLAVRGLNLVRESDYKVLLDNRLGQQMFDGGFVHHGLLRAATWLLNRESDTLLDLWLELGSEYKLVFAGHSLGSGIAALMTIIVVNHRDQFGGIPRSQIRCYAIAPARCMSLNLAVKYADVINSVILQDDFLPRTPTPLEHIFGSIFCLPCLLCLVCMRDTFIPEKRKLKDPRRLYVPGRIYHIVERKFCRCGRYPPEVRTAIPVDGRFEHIVLSCSTTSDHAIVWIEREAQKALDLLKDMVPKTAPPEQKMSRNLSLEQEHKNALERAVTLDIPHAVVAATGDHSEVTGAAPSQGQEGTTSSNGSKSSGRTKWDELVDKLFTRNESGNLVLKKDMNTADG >Ma03_p03480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2342232:2345295:-1 gene:Ma03_g03480 transcript:Ma03_t03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-crystallin domain 32.1 [Source:Projected from Arabidopsis thaliana (AT1G06460) UniProtKB/TrEMBL;Acc:Q208N7] MENADQTVRRRISRIHDHVAASAASDEALPPSPHLFPMNCSGTLGTLIQRRDNRLLFARQTPASRGRFMQQVEISQETGSGGSQPNTLPNCFRSGGSASFQNPGEPLFSRKTMFDSTAPSSRTETPMKQGTMFSSYEAPLFARENSARIEKQQLRCGGRRSCRGIEWSPRMDVTESGPKYVVTVELPGVRATDVQVEVDDDSLRIMGKRLVSQWRVANGCEGWKPTYHQREILEGPYRVAWPLPKDVNKDGVSAELMDGFLRVTLPKL >Ma06_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5569190:5570611:-1 gene:Ma06_g07850 transcript:Ma06_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDPSAAAAKGQRKRKAGEHPGDGEKGSNSGRKRSNESSAADYAAILRGAIEFRDQTGLAPTKSNLAPFYEFVKGYLSDILSPGQVFNRLRHIRHKYNHSAADTGDDLVLKLAAKLWPEEEVKKDNKEGKNPKKEKKHNSIALEEDKNAEKGKKEEKNPRKAENEKKEEKSPKTHNLRKKGTDSKLKKKQILVAPEEEDEEMGNKIRGEEMKNKNGEEWGIEPESFPYLTHHVAEHWKTNGLPKASLEVGMKLINKSKARALEDKWKRHLEDEMKFEMNWAKTCRELFAMLLEMHKTMR >Ma03_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:515663:517814:-1 gene:Ma03_g00640 transcript:Ma03_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGSGGTAAATAKGGKKKGSTFVIDCAKPVEDKIMDIASLEKFLQERIKVAGGKAGALGDAVTVTRDKSKITVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSIYELRYFNIAENEGEEED >Ma01_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9336368:9337862:-1 gene:Ma01_g12730 transcript:Ma01_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVKGENLVVTKLKLERMLSMKGGKGETSYLKNSQAQARHARAMLHFLEATLSAMKLPLLEDGGTFAVADLGCSCGTNTLFLMGIIVKHVPDTVTDKRSPAYNRGRVFVHGASADTAAAYKQQFQADLASFLRARAVEMKVGGIMFLVCLGRTSIDPVDQGGAGFLFGAHFQDAWNDLVEEGLLDSEKRDSFNIPVYAACLREFEEVVKAEGSLSINKLQVVNGGSPLVVDHPEDASEVGRALANSCRSVAGVLVEAHIGERLSEELFGRLELRAARHARELMAQMQFFHIVASLSPSVPPHPAS >Ma08_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2432724:2435683:-1 gene:Ma08_g03300 transcript:Ma08_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPTSISRELVRYPFYINTRIDSGPPASVSLFLFFFDPKQRAEMDSEAQPKPSVSTKKTPSSSPVDHPPEPPAKAVAPADQIWTPEKPVRSTATRSDVARSSDVDDLLPGEEPLLFESSKAKPLIKLPEKYEMLCKFFDSMVTSIRLLPSSTFAKILTMSEILGERCFTYAHFAQSMYIMPEAIMIKKVVLHDETTCCVKSELQITLQVDAVAENINGESGSKYSILTKLFRERIVDFYNGHPQRSTRTKLFMTPEKSAKAGEEENTGRSVSSAAADDDDDDDDVLDIQPESLLPDCCTFFPIREEEQKAVEEEKEAGVANAIKRQKLIASLPNTFDMIRLIFCSKMTSAMTKQEPVNITSEVEEQLKLLLELVPDWTSEKIAYGGHIFCV >Ma01_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24167971:24183952:-1 gene:Ma01_g22270 transcript:Ma01_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNCKDSPISARSVPAASLLLRPERRNASGGQRLGDRSLLTGRIASLPIGSVLESDGQEGPESNESVGKAKINWSVMDDLLDADFGKHDYDWLLTPPATPHAASLVSIEKQLPAAAPKHSSTVRSSSTARASRLSASQTENGHSARPARSSSVTHASLSSCYISDHNKTLVLNTNSISVTSIPSTPIKRPVTPSTAKTLIPVSYPLQTRSFTLVKTRSTSGPPCIKPEPSHNSRPATPTSRSQFSTSANSNSSLVAAHSISRPSTATCLPISRATTSASTVQRSPSVGRLSASNGRIPHSASSSCPSSPNRQPQVPKGSAAFSSRPVSSWSRAPVSSPSFSRPSSPRPRAPVQPIDLPDFPIDVPPNLRTNLPERSLSASRARPGMALAVRANSNSEAVVPSSSKRKISVPFESRSKFPENTPKAPLHSNTLHSNSPEDQKPMGSEAGPCRTAKLASATESTGFGRTISKSSLDMAIKHMDIRQKSFGGIRGASVFPHSIQSMMSSGRTVGASKTTVPVTNDGILVENGGHKETSGAFNGAVSCNMNTGSRSSDWENLMTRERMDDADLHGSHKYDVILMKEDLKNTSWLLGADDKSDQGSVFDHRFEPPPELFDPL >Ma02_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22745089:22746478:-1 gene:Ma02_g14800 transcript:Ma02_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRINQKILKILMLQRKWVELQSSMIKDQSFMREGIIWKLMFVKVAMISRSTRREACRQSCYPWQKLRQDQLQRCRSLADSSSHVFFVSHSWSLVVSLMFNHLFGPPRSDRVGGLLLVVFIRQTVVKYCSSLRGLQMIRLVAISVFSLSSQLQRLLESNGGCGGYLIWFKSY >Ma03_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5953517:5960205:-1 gene:Ma03_g08270 transcript:Ma03_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSPLPSSCSTPFVHLSSDILSSELQFLTEETYKTGLLTTLPVLSKEEQDALAATPVHPAGLVALYASFLAGNLSEHVWNFTWPAAVAMLHPSLLPVAVVSFFSKFAILGGGPLIGIFMDSLPRIPSYHCLNLIQTVGQLLSAAMIMYALDTVRHSSVSSLLLQPWFLVLLAATATERLASLALGVTMERDWIVLLAGTNRPIALAQANAINSRVDLLCEVAGASLFGFLLSKYKLATCIKLSCALTLFTLPVLIVLGQLINRLSSGVLDRSMSPQTCDKPLTAFTLLNLRKIVEIGLTAIRYGWMEYKHQPVLPASVAYVLLCLNIALAPGAMMTAFLIHHGIAPSVIGAFGGLSALMGVGATFISANLVRKLGILKAGAAGLILQSLLLTIAVAVCWSGSISMPGPLHLFLSLIVLSRLGHMSYSIASIQILQTGVPAAKANLVGITEMSIASLAELVMLAVAIIAGNVRHFGSLALLSVSSVIAATWIFCQWLANPTEEQRSLFAFDPQL >Ma05_p29610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40220508:40247192:1 gene:Ma05_g29610 transcript:Ma05_t29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MYPSCVTAAYLLKLKIFEKPCCGGNIVTLFAGLDYPLKRSLVDLRVKKLAYACLQAIFHNRNHYKDKLLMPSTSSYWPTVALFETVAFLTNPELPWNCIVIDYLLERKVFSLLRCIILAGVQDVKSPELHVSASALEHVLISLVSHVGQQPCQCSNADPRWSFSLQILSIPFLWHHLPFFKEGLGRFYIHQMANFLPSHAGVLPDDILQEYPGHACLLGNLLEVAGVVLSDPSSTYHTAIDFLTVSTFLLEVLPSVDSSPVQKPVDDELTMDDEVLSPDLQKQISSSIDSCLLQHLVNALLKATCPTGYSDKTWPSNIEVEAISAVCTFLHVTFCTLPHELIMTLLAYRTELLPALWNYIKRCHENQRWSFSSKLTAHIPGDTPGWLLPLAVFCPLYKHMLKFVDTEEFYEQETPVKIKDIPSLVIIIKQALWQLLWTINGHVSSQNSSRSLPGDKKLSVELINRKARVAMSELLSQLQDWNSRRQFMSADDFHLQEARSKTFVSQALLGNTRASDILKQAPFLVPFTSRVEIFTSELVASRQRSGAHPGLTRLTFKIRRNRVLEDAFEQLSTLSEDDLRGPIRISFVNEFGVEEPGVDGGGIFKDFMENIIQAAFDVQFGLFKETPDHLLYPNPGSALVHKQHLQFFHFLGTLLGKAMYEGILVDIPFATFFLSKLKEKSNFLHDLPSLDPELYRHLLFLKHYKGDVSELELYFVAVNNEYGDQTEEELIPGGKDLRVTKDNAIAFIHLVANYRLNYQIRTQSLHFLRGFQQLVQKEWIEMFNEHEIQLFISGSLESMNVDDLRSNTQYTGGYHHEHQVIEMFWEVLESFSLEYQKKFLKFVTGCSRGPLLGFKYLEPKFCIQRAAPLDVTQEYLDRLPTSATCMNLLKLPPYPSKDQMRTKLIYSISADAGFDLS >Ma04_p09300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6637523:6647206:1 gene:Ma04_g09300 transcript:Ma04_t09300.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MACCRSAKSLTRLILSELSVGRQPRKGYFFRACIGEPAVKPRGLVNLNASRQVLLRRFSTEVSATEQINLIKQLRERTSAPIKDVKLSLVTCNWDLEAAQKDLRKRGVVLAAKKSSRTAAEGLLSVAQTEKKAVVVELNCETDFVARNDVFQYLASSLAKIALSAESSMVQTQEAFIFGPEYLENMKINLDHPKISGETTVQNAVTEVAAMVGENVKIRRGYALSTSSHGAVLSYLHTCPQPGLGRIAGLLTLEIEDGNASLDALRGVGSSLAMHIVASKPLFLSKELVPSEALESERDILKAQAESSGKSQMAVEKMVEGRMRKYYEEVVLLEQKFVMNDSVKVKSLLNDLSKEVGSCVKIGNFLRMEVGEGIQRLGEAPDAMAHAA >Ma04_p09300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6637450:6647206:1 gene:Ma04_g09300 transcript:Ma04_t09300.3 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MACCRSAKSLTRLILSELSVGRQPRKGYFFRACIGEPAVKPRGLVNLNASRQVLLRRFSTEVSATEQINLIKQLRERTSAPIKDVKLSLVTCNWDLEAAQKDLRKRGVVLAAKKSSRTAAEGLLSVAQTEKKAVVVELNCETDFVARNDVFQYLASSLAKIALSAESSMVQTQEAFIFGPEYLENMKINLDHPKISGETTVQNAVTEVAAMVGENVKIRRGYALSTSSHGAVLSYLHTCPQPGLGRIAGLLTLEIEDGNASLDALRGVGSSLAMHIVASKPLFLSKELVPSEALESERDILKAQAESSGKSQMAVEKMVEGRMRKYYEEVVLLEQKFVMNDSVKVKSLLNDLSKEVGSCVKIGNFLRMEVGEGIQRLGEAPDAMAHAA >Ma04_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6637450:6644690:1 gene:Ma04_g09300 transcript:Ma04_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MACCRSAKSLTRLILSELSVGRQPRKGYFFRACIGEPAVKPRGLVNLNASRQVLLRRFSTEVSATEQINLIKQLRERTSAPIKDVKLSLVTCNWDLEAAQKDLRKRGVVLAAKKSSRTAAEGLLSVAQTEKKAVVVELNCETDFVARNDVFQYLASSLAKIALSAESSMVQTQEAFIFGPEYLENMKINLDHPKISGETTVQNAVTEVAAMVGENVKIRRGYALSTSSHGAVLSYLHTCPQPGLGRIAGLLTLEIEDGNASLDALRGVGSSLAMHIVASKPLFLSKELVPSEALESERDILKAQAESSGKSQMAVEKMVEGRMRKYYEEVVLLEQKFVMNDSVKVKSLLNDLSKEVGSCVKIGNFLRMEVGEGIQR >Ma09_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13024566:13025881:-1 gene:Ma09_g17450 transcript:Ma09_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGATHTPGGADEGPSSSSATADPTPPPPPPPQSPQPPPPPLEQQQPLSRYESQKRRDWNTFLQYLRNHEPPLTLPMCGGAHVIEFLKYLDQFGKTKVHSAGCTFFGRPNPPASCACPLKQAWGSLDALIGRLRAAYEESGGRQDSNPFAARPVRIYLREVKESQAKARGIPYEKKKRKRARSAAAAAAVEGSSGGGGESSASAPAASGSSSGGGGAISSVVRERSSDTPGGSSSLS >Ma05_p30970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41120952:41124873:-1 gene:Ma05_g30970 transcript:Ma05_t30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGICGKRLGLEEIFGSPLPPPPSAKRSRCARYGSPVRHSNSDFGLGSEDKLSILLRMFPAMDRKVVETVLNTQDHKIDDAIKSLHALCLGDGSLSTEGVISDLQSNDSVLEGVMNSQTSGKKVEVSENDGADLQSGEAGKGTSWVDIFVKEMMNASDLGDVRGRAMKILEAFEGDVVAQTTAVVENESNLLKEQLHCLVRDNQILKRAVAIQHERNSENEEKIREVQHLKHAICQYQEQVRALEMHNYTLKIHLQKAQEANSIPGCFHPDMF >Ma00_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30201333:30202057:-1 gene:Ma00_g03630 transcript:Ma00_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLHLPFLLLLPLLSPPRAGAASSHHHHLRSLNFSLFQQETINKTGYIVVDGVAGAGVGQTTTPFGTIFVFNDGMTVTPNASSRVAGIAEGASITSSLDGLRSVSFAKITLRIRGLQGSISIVGGTHNIKPADHPVVGGTGDFMFVGGYVRSSPVDLEGVTVVYKIEFHLYWPPYAANGPSK >Ma03_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6535422:6539804:-1 gene:Ma03_g08890 transcript:Ma03_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGGFLCSLACLLSSIAVTAALGRQGDALDKLRKGATVTSSSDFDTFAFSDLTSRLYADSGSKENDRIVELPGQPAGVDFVQYGGYVTVDDRNGRALFYYFAEAAGKQSSSKPLLLWLNGGPGCSSFGIGAMQELGPFRVMSDGRTLYRNPYAWNSVANVLFLESPAGVGFSYSNTTSDYSKSGDKKTAEDAFVFLVNWMERFPEYKGRDFYIAGESYAGHYVPQLAYTILQNKNQSAAGAAINLKGIAIGNAVINDETDSRGIYDFFWTHALISDATVEAIHKYCNFSPNAVKEPQQCLDAASEADKVFEELDIYNIYAPLCFSSNLTSPPKKPSIEDFDPCTSIYVNAYLNDPEVQKALHANITRLNYSWSSCSRVISNWVDSPSTILPIIQQILAHGVQILVYSGDTDGRVPVTSTRYSLNVLNLPIKSPWRSWTINSEVGGYTVVYDRNLTFATVRGAGHEVPSYQPARALVLIDSFLHGLQLPA >Ma04_p23220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25400377:25401463:-1 gene:Ma04_g23220 transcript:Ma04_t23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRYKYLGKRACSDVPLRRRQRLVAMERYFEAVAVADGWRKGPWTAQEDKLLIEHVNLHGEGRWNSVSELSGLRRSGKSCRLRWVNYLRPDLKKGKITPEEENVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKSTPSKNIEKARARFLRRRQQQQQHQAVPPPPPPPQQQQDDMRTIMNQVAQITLAQDMQQEMAYMYPLPYALQQQGGAAHGCVVDGPAAAAAEDDSWGSLWNLDDVQHDVERVCRGCLQVQDQALSFY >Ma08_p09130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6600964:6604213:-1 gene:Ma08_g09130 transcript:Ma08_t09130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRLVSELDLQPDSSYNPGRLPRRAATGASSTSSGNPTCSGDFSGQPSVLLNPPSTLSVDAAQHSPAASLLLPATATATHSAPLFTSLPPPALPSLTVCGFSGLPLFPPETHRIALPASRAEGLQLVASSVAGVGASDDAAAGTDWVDGIIRDIVCSSAAGGEVSIPQIVNSVREIVHPCNPGLAALLEFRLRSLVSDPSPTQCLPSLSGSLAPDPSDKRRRDASMAPPLPETVPPANTASYLSSAAAVAGVGWEEPASRLQGAQQKPQPPPQSRPPRSSNSASSDEATAAAAAESAAAAAAAKEKREELQQRKQEAEGLHLLTLLLQCAEAVAADNLDEASLLLLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGLYSPLRTVPHRHRLASAFQVFNGISPFVKFSHFTANQVIQEAFELEDRVHIIDFDIMQGLQWPGLFHILASRPNGPPHVRLTGVGSSMEALEATGKRLSDFADTLGLPFDFVPVVEKVGNLNPERLGVSRQEALAVHWLRHSLYDVTGSDTNTLWLLQRLAPKVVTMVEQDLSQAGSFLARFVEAIHYYSALFDSLGASYGEDSQERHIVEQQLLSREIRNVLAVGGPARTGQVKFSNWREKLSQSGFRGVSLAGNAAAQATLLLGMFPSDGYTLVEENGTLKLGWKDLCLLTASAWRPMIQHPSATSTTTHLVDNR >Ma08_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6601155:6604213:-1 gene:Ma08_g09130 transcript:Ma08_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRLVSELDLQPDSSYNPGRLPRRAATGASSTSSGNPTCSGDFSGQPSVLLNPPSTLSVDAAQHSPAASLLLPATATATHSAPLFTSLPPPALPSLTVCGFSGLPLFPPETHRIALPASRAEGLQLVASSVAGVGASDDAAAGTDWVDGIIRDIVCSSAAGGEVSIPQIVNSVREIVHPCNPGLAALLEFRLRSLVSDPSPTQCLPSLSGSLAPDPSDKRRRDASMAPPLPETVPPANTASYLSSAAAVAGVGWEEPASRLQGAQQKPQPPPQSRPPRSSNSASSDEATAAAAAESAAAAAAAKEKREELQQRKQEAEGLHLLTLLLQCAEAVAADNLDEASLLLLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGLYSPLRTVPHRHRLASAFQVFNGISPFVKFSHFTANQVIQEAFELEDRVHIIDFDIMQGLQWPGLFHILASRPNGPPHVRLTGVGSSMEALEATGKRLSDFADTLGLPFDFVPVVEKVGNLNPERLGVSRQEALAVHWLRHSLYDVTGSDTNTLWLLQRLAPKVVTMVEQDLSQAGSFLARFVEAIHYYSALFDSLGASYGEDSQERHIVEQQLLSREIRNVLAVGGPARTGQVKFSNWREKLSQSGFRGVSLAGNAAAQATLLLGMFPSDGYTLVEENGTLKLGWKDLCLLTASAWRPMIQHPSATSTTTHLVDNRYEDIHSSLLGNDL >Ma08_p09130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6600964:6604213:-1 gene:Ma08_g09130 transcript:Ma08_t09130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRLVSELDLQPDSSYNPGRLPRRAATGASSTSSGNPTCSGDFSGQPSVLLNPPSTLSVDAAQHSPAASLLLPATATATHSAPLFTSLPPPALPSLTVCGFSGLPLFPPETHRIALPASRAEGLQLVASSVAGVGASDDAAAGTDWVDGIIRDIVCSSAAGGEVSIPQIVNSVREIVHPCNPGLAALLEFRLRSLVSDPSPTQCLPSLSGSLAPDPSDKRRRDASMAPPLPETVPPANTASYLSSAAAVAGVGWEEPASRLQGAQQKPQPPPQSRPPRSSNSASSDEATAAAAAESAAAAAAAKEKREELQQRKQEAEGLHLLTLLLQCAEAVAADNLDEASLLLLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGLYSPLRTVPHRHRLASAFQVFNGISPFVKFSHFTANQVIQEAFELEDRVHIIDFDIMQGLQWPGLFHILASRPNGPPHVRLTGVGSSMEALEATGKRLSDFADTLGLPFDFVPVVEKVGNLNPERLGVSRQEALAVHWLRHSLYDVTGSDTNTLWLLQRLAPKVVTMVEQDLSQAGSFLARFVEAIHYYSALFDSLGASYGEDSQERHIVEQQLLSREIRNVLAVGGPARTGQVKFSNWREKLSQSGFRGVSLAGNAAAQATLLLGMFPSDGYTLVEENGTLKLGWKDLCLLTASAWRPMIQHPSATSTTTHLVDNRRSRWERVDCMS >Ma08_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:13827705:13851806:1 gene:Ma08_g14620 transcript:Ma08_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAPIVMREALTLPSIGINPQFITFTHVTMESDKYVCVRETSPQNSVVIVDMNMPMQPLRRPITADSALMNPNSRILALKAQIPGTTQDHLQVFNIEAKTKIKSHQMPEQVVFWKWITPKMLGLATQTSVYHWSIEGEAEPLKMFDRAANLTNNQIINYRCDPTEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHASSFASFKVAGNENPSVLICFASKTMNAGQTTSKLHVIELGAQPGKPGFTKKQADLFFPPDFADDFPVSMQISQKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTTEAPNVGGFYAINRKGQVLLATVNEATLVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMDGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGFYLSSSEDPDIHFKYIEAAAKTGQLKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVAECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGMIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLHPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPPRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSVERAVEFAFRVEEDAVWSQVAKAQLRQGLVSDAIESFIRADDETQFLDVIRAAEDANVYHDLVKYLLMVRQKVKEPKVDGELIFAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDDALYEAAKIIFAFISNWAKLAITLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSDYYQNKGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRVCDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVIVKVANVELYYKAVHFYLQEHPDLINDVLHVLALRVDHTRVVDIMRKAGHLHLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESVDLHDNFDQIGLAQRIEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNLYKDAMETCSQSGDRELSEELLVFFIEQGKKECFASCLFICYELIRPDVALELAWMNNMIDFAFPYLLQFIREYTSKVDELVKYKIEAQNEVKSKEKEEKDLVAQQNMYAQLLPLALPAPSMPGMGGPNAGGPYTAPAPMAGMGMPPMPPFGMPPMGSY >Ma04_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3010385:3010864:-1 gene:Ma04_g03920 transcript:Ma04_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLWSPEEDEKLYNHINRCGVDCWSSSLLFLIITGLQCCGKSCRFRWSITCDQTSREAVSLSKKRMPNSLMFCDCWLSKIASQLPGRTYNEIKNFWNSCLKTKLR >Ma06_p35950.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35629041:35634993:-1 gene:Ma06_g35950 transcript:Ma06_t35950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSSSSRARSKSQSRSREKELLIDRLGEGGDMNGGEAGGGGKEMEEDRKEVRGAAADDEMVKEEEGRAKEKSVLMWGYLPGVSPHRSPLLYPVAVRMPDSAARDRWMDVSGGGCGFAMAISESGKLFTWGSTDDMGQSYVTSGKHEETPEVFHLPTEVPIVKAAAGWAHCVSVTANGEVYTWGWKECVPTGRIVKEQSYSGGTSEKEERHSGSLSDQVSPRLQGSRIGGVVSEFDTGCGEKNSKRRRLSSAKVEPENKSSSEETLSALPCLVTLDTGVRIASVAAGGRHTLALSVSDVGQVWGWGYGGEGQLGLGSRIRNVSSPHPIPCVESASFSRDQPSAATQGKQSLDGQAYKCMGSCVKAIACGGRHSAAVTDTGALLTFGWGLYGQCGQGSTNDQLSPKCVSSLLGVKIHEVAAGLWHTICTSVDGGVFSFGGNQFGQLGTGSDQAETLPKLLDAPCLENKSAKRVSCGARHTAVMTGDGQVFCWGWNKYGQLGLGDATDRNIPSLVPINNYQPKNVSCGWWHTLVLSESPT >Ma06_p35950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35628449:35634993:-1 gene:Ma06_g35950 transcript:Ma06_t35950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSSSSRARSKSQSRSREKELLIDRLGEGGDMNGGEAGGGGKEMEEDRKEVRGAAADDEMVKEEEGRAKEKSVLMWGYLPGVSPHRSPLLYPVAVRMPDSAARDRWMDVSGGGCGFAMAISESGKLFTWGSTDDMGQSYVTSGKHEETPEVFHLPTEVPIVKAAAGWAHCVSVTANGEVYTWGWKECVPTGRIVKEQSYSGGTSEKEERHSGSLSDQVSPRLQGSRIGGVVSEFDTGCGEKNSKRRRLSSAKVEPENKSSSEETLSALPCLVTLDTGVRIASVAAGGRHTLALSVSDVGQVWGWGYGGEGQLGLGSRIRNVSSPHPIPCVESASFSRDQPSAATQGKQSLDGQAYKCMGSCVKAIACGGRHSAAVTDTGALLTFGWGLYGQCGQGSTNDQLSPKCVSSLLGVKIHEVAAGLWHTICTSVDGGVFSFGGNQFGQLGTGSDQAETLPKLLDAPCLENKSAKRVSCGARHTAVMTDVI >Ma06_p35950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35628403:35634993:-1 gene:Ma06_g35950 transcript:Ma06_t35950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSSSSRARSKSQSRSREKELLIDRLGEGGDMNGGEAGGGGKEMEEDRKEVRGAAADDEMVKEEEGRAKEKSVLMWGYLPGVSPHRSPLLYPVAVRMPDSAARDRWMDVSGGGCGFAMAISESGKLFTWGSTDDMGQSYVTSGKHEETPEVFHLPTEVPIVKAAAGWAHCVSVTANGEVYTWGWKECVPTGRIVKEQSYSGGTSEKEERHSGSLSDQVSPRLQGSRIGGVVSEFDTGCGEKNSKRRRLSSAKVEPENKSSSEETLSALPCLVTLDTGVRIASVAAGGRHTLALSVSDVGQVWGWGYGGEGQLGLGSRIRNVSSPHPIPCVESASFSRDQPSAATQGKQSLDGQAYKCMGSCVKAIACGGRHSAAVTDTGALLTFGWGLYGQCGQGSTNDQLSPKCVSSLLGVKIHEVAAGLWHTICTSVDGGVFSFGGNQFGQLGTGSDQAETLPKLLDAPCLENKSAKRVSCGARHTAVMTGDGQVFCWGWNKYGQLGLGDATDRNIPSLVPINNYQPKNVSCGWWHTLVLSESPT >Ma06_p35950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35629041:35634993:-1 gene:Ma06_g35950 transcript:Ma06_t35950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSSSSRARSKSQSRSREKELLIDRLGEGGDMNGGEAGGGGKEMEEDRKEVRGAAADDEMVKEEEGRAKEKSVLMWGYLPGVSPHRSPLLYPVAVRMPDSAARDRWMDVSGGGCGFAMAISESGKLFTWGSTDDMGQSYVTSGKHEETPEVFHLPTEVPIVKAAAGWAHCVSVTANGEVYTWGWKECVPTGRIVKEQSYSGGTSEKEERHSGSLSDQVSPRLQGSRIGGVVSEFDTGCGEKNSKRRRLSSAKVEPENKSSSEETLSALPCLVTLDTGVRIASVAAGGRHTLALSDTGALLTFGWGLYGQCGQGSTNDQLSPKCVSSLLGVKIHEVAAGLWHTICTSVDGGVFSFGGNQFGQLGTGSDQAETLPKLLDAPCLENKSAKRVSCGARHTAVMTGDGQVFCWGWNKYGQLGLGDATDRNIPSLVPINNYQPKNVSCGWWHTLVLSESPT >Ma06_p35950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35629041:35634993:-1 gene:Ma06_g35950 transcript:Ma06_t35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADSSSSRARSKSQSRSREKELLIDRLGEGGDMNGGEAGGGGKEMEEDRKEVRGAAADDEMVKEEEGRAKEKSVLMWGYLPGVSPHRSPLLYPVAVRMPDSAARDRWMDVSGGGCGFAMAISESGKLFTWGSTDDMGQSYVTSGKHEETPEVFHLPTEVPIVKAAAGWAHCVSVTANGEVYTWGWKECVPTGRIVKEQSYSGGTSEKEERHSGSLSDQVSPRLQGSRIGGVVSEFDTGCGEKNSKRRRLSSAKVEPENKSSSEETLSALPCLVTLDTGVRIASVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIRNVSSPHPIPCVESASFSRDQPSAATQGKQSLDGQAYKCMGSCVKAIACGGRHSAAVTDTGALLTFGWGLYGQCGQGSTNDQLSPKCVSSLLGVKIHEVAAGLWHTICTSVDGGVFSFGGNQFGQLGTGSDQAETLPKLLDAPCLENKSAKRVSCGARHTAVMTGDGQVFCWGWNKYGQLGLGDATDRNIPSLVPINNYQPKNVSCGWWHTLVLSESPT >Ma06_p32710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33592543:33593476:-1 gene:Ma06_g32710 transcript:Ma06_t32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFSYTNIIIPRGYHPEKTSSRASLCHNSKISPKVSVSSNTSGTDTKVFEDQALGVICFRDEKGEIVCEGFDEGPRFDQRSLARKKFERNRVPNFLRMMMIQANEDAFY >Ma05_p29310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40017578:40017949:-1 gene:Ma05_g29310 transcript:Ma05_t29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPDQATASAPDDNGCPTPHGAQPFPAVEEASGDSETSLGPPSDDHAVFDGDDDDQESCCCLDTHVIDDDKEEKEEEEEEVGEGDEAVVTTKGGEEEEGVVDPVEDNRLFWETCLASGYP >Ma09_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41081:59287:1 gene:Ma09_g00030 transcript:Ma09_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPTARFSLGKQSSLAPERDDDRSAEVPLSGGPAAKVTQVPEEIGSGIRLMFLANEGDLDGIKEVLGSGADVNFRDIDGRTALHVAACQGFADIAQLLLRIGAEADPEDRWGSTPLADAIHYNNNEVIRLLEKNGAKVRVAPMHVKNVREVPEYEIDPSELDFTNSVDITKGTFRVVTWHGIQVAVKRFNEDIIADEDKVKAFRDELALLQQIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRAFLKREGAQTPASAVRYALDIARGMNYLHEHKPEAIIHRDLEPSNILLDDTGHLKVADFDVSKLLKVAKTAREDRPLTCLDACRYVAPEVFRNEEYDTKVDVFAFSLILQEMIEGCPPFCYMQDADVPKAYASNERPPFRTQKLYAHGLKELIERCWSQDPAERPTFNEIIDRLSIILNKIGQRRRWKVGLLNCCRNLEFWKKDGSSSSSHSSHSSGSTF >Ma09_p29830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40197583:40198482:1 gene:Ma09_g29830 transcript:Ma09_t29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALTPDHIKRLVYFHFRVVSFHFHVDPYLIYRLDSREFDADLSFCLDKDRKVGLKSYSSLKGCPIWHLSYWSADLLPGYRYYCDPVTICHRIRGGFSILLVVYYFKSFKCV >Ma02_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27963629:27965309:1 gene:Ma02_g22720 transcript:Ma02_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPLLGSYKMGKFDLSHRIVLAPLTRSRSYGNVPQPHAAVYYSQRATDGGLLITEATGVSDTAQGYPDTPGVWTREQVEAWKPIVDAVHAKGGVFFCQLWHVGRVSNTGYQPNGQDPISSTDKQVPTQVLHDGSIEEYSRPRRLRTEEIPRIVEDFRLAARNAIEAGFDGVEIHGANGYLIEQFMKDSANDRTDEYGGSLENRCRFALEVVEAVVGEIGADRVGMRLSPFLDYMDCWDSDPEALALYMVQALNKHGILYCHMIEPRMAVVNGRYHIPHRLLPMRKAFKGTFIVAGGYDRDEGNKVVDEGYTDLVAFGRLFLANPDLPKRFELNAAVNKYDRTTFYTSDPVVGYTDYPFMENSV >Ma08_p25550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38336575:38339161:1 gene:Ma08_g25550 transcript:Ma08_t25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALVREAPPPPVIGKVGRYTVFITPPRTPKPSEALEPQSASLGKVAPFPEKATYSPSPKLPETPRSQSASPGKVTPLPEKSVPSLFTVPASMPPVQVPPLQFDKPATKSSGSVFGFFWDAVAKVQDVHSSFDERLADWFGLDQSKYQWALNDYYENNGKEKKVGKPKEVVSKELAA >Ma10_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30476917:30478441:-1 gene:Ma10_g20230 transcript:Ma10_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSQEESSDSLGRYSSTLLPFMSDPSSSSSSAYVLGAVNSPPQEALSFLDCKAAASEHWAYSNASVLSFEQGDHTSGAGYLSLDHEDECDAWVAAMDQNYQLRPPDVKRRAAADRSTLIHERDSFEVESGYGSVRAPVKDKRQGQVRFGLVYPGAAAAVDGRQESIGRATVLQKRRHTDACDVPSPRKQCGSTGKTKDKSSPTKDPQSIAAKNRRERISERLKILQDLVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKNPDVGQVKEAVDAILSSQRERKSSSKQ >Ma11_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25097458:25098414:1 gene:Ma11_g20720 transcript:Ma11_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSANILLDDDFNPKLSDFGLAKLGPVGDRTHVSTRVMGTYGFCAPDYAMSGKLTLKSDVYSFGVLLLELITGRRAFDPSRARAERNLTIWAMIIRYRYHLVKDN >Ma11_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3419543:3420698:1 gene:Ma11_g04350 transcript:Ma11_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTLGSLGDSFSAGSLKAYLAEFIATLLFVFAGVGSAIAYGKLTSGAALDPAGLVAVALAHGLALFVGVSMAANISGGHLNPAVTFGLAVGGHITLLTGVFYWIAQLLGSTVACLLLKFVTGGMAVPTHGVAAGMSELEGVVMEVVITFALVYTVYATAADPKKGPLGTVAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFSGNWVYWVGPLIGGGLAGLIYGDIFIGSYEAVAAQDYP >Ma05_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35433590:35435429:1 gene:Ma05_g23390 transcript:Ma05_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDTFLFTSESVNEGHPDKLCDQVSDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSDEVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWVRPDGKTQVTVEYSNDHGAMVPVRVHTVLISTQHDETVSNDEIAADLKEHVIKPVIPEKYIDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVETYGTGKIPDKEILRIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPAA >Ma01_p09160.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6593925:6608822:1 gene:Ma01_g09160 transcript:Ma01_t09160.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFFAFYLSITLLLRCLASQQPNTDAAFVSEFFRRMGVAPSSTNGNSSQVCSWRGVSCDGQTERVVSLVAPGFGFAGPIPEITIGKLSVLRVLDLGDNNITALPSDFGELSGSLRSLNLSSNEIAGPLPTNIGNFKRMESLDLSRNRFSGEIPSEVRSLSSLRVLNLSKNSLESSIPNAILGCVSLVAVDLSSNRLSGSVPDGFGATFANLSTLDLSENEISGKMPDLSGLNSITYLNLSGNLLRDLDLGGIRGPLQVIDLSNNQFHGLISQVNTSSISTWSSLVYLDVSMNELTGEFFSGLGDLRSLKHLNLAFNKFSSQEFLHIEMPSALQYLNLSKTNLTGQIPTGISQLHDLKVFDVSQNHITGKIPELSTGNLRVIDLSVNNLTGEIPESLQQKLSSMERFNFSFNNLTYCAEKFSPETLISSFIGSQSDCPIAVNPDGVGFKGSKRRALKLGLAIAFSVFFLFAGLICLAVAWRRRGKSCAIKQPSFKEEQNVSGPFRFQTDSTTWVADVKLATSVPVIIFAKPLLNFTFADLLSATSHFDQGTLLAEGKFGPVYQGFLPGGINVAVKVLVHGSAVTDQEAAKELERLGQIKHPNLVPLAGYCLAGDQRIAIYDYMDNGNLQNLLHDLPLGVQSTEDWTSDTWEQDNADAQSITTEGMTTWRFRHNIALGTARALAFLHHGCSPQIVHRDVKASSIYLDSALEPRLADFGLSCLVGASMEGELSQGSPGYAPPEFSEPENASATTKSDVYGFGVVLFELLTGKKPIGDEYGGDKDTTLVGWARALVRRNELTRLVDPKIRETGPEKQMEEALRIAYLCTADSPSKRPSMQQIVGLLKDIEPVTI >Ma01_p09160.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6593925:6608822:1 gene:Ma01_g09160 transcript:Ma01_t09160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFFAFYLSITLLLRCLASQQPNTDAAFVSEFFRRMGVAPSSTNGNSSQVCSWRGVSCDGQTERVVSLVAPGFGFAGPIPEITIGKLSVLRVLDLGDNNITALPSDFGELSGSLRSLNLSSNEIAGPLPTNIGNFKRMESLDLSRNRFSGEIPSEVRSLSSLRVLNLSKNSLESSIPNAILGCVSLVAVDLSSNRLSGSVPDGFGATFANLSTLDLSENEISGKMPDLSGLNSITYLNLSGNLLRDLDLGGIRGPLQVIDLSNNQFHGLISQVNTSSISTWSSLVYLDVSMNELTGEFFSGLGDLRSLKHLNLAFNKFSSQEFLHIEMPSALQYLNLSKTNLTGQIPTGISQLHDLKVFDVSQNHITGKIPELSTGNLRVIDLSVNNLTGEIPESLQQKLSSMERFNFSFNNLTYCAEKFSPETLISSFIGSQSDCPIAVNPDGVGFKGSKRRALKLGLAIAFSVFFLFAGLICLAVAWRRRGKSCAIKQPSFKEEQNVSGPFRFQTDSTTWVADVKLATSVPVIIFAKPLLNFTFADLLSATSHFDQGTLLAEGKFGPVYQGFLPGGINVAVKVLVHGSAVTDQEAAKELERLGQIKHPNLVPLAGYCLAGDQRIAIYDYMDNGNLQNLLHDLPLGVQSTEDWTSDTWEQDNADAQSITTEGMTTWRFRHNIALGTARALAFLHHGCSPQIVHRDVKASSIYLDSALEPRLADFGLSCLVGASMEGELSQGSPGYAPPEFSEPENASATTKSDVYGFGVVLFELLTGKKPIGDEYGGDKDTTLVGWARALVRRNELTRLVDPKIRETGPEKQMEEALRIAYLCTADSPSKRPSMQQIVGLLKDIEPVTI >Ma01_p09160.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6593925:6608822:1 gene:Ma01_g09160 transcript:Ma01_t09160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFFAFYLSITLLLRCLASQQPNTDAAFVSEFFRRMGVAPSSTNGNSSQVCSWRGVSCDGQTERVVSLVAPGFGFAGPIPEITIGKLSVLRVLDLGDNNITALPSDFGELSGSLRSLNLSSNEIAGPLPTNIGNFKRMESLDLSRNRFSGEIPSEVRSLSSLRVLNLSKNSLESSIPNAILGCVSLVAVDLSSNRLSGSVPDGFGATFANLSTLDLSENEISGKMPDLSGLNSITYLNLSGNLLRDLDLGGIRGPLQVIDLSNNQFHGLISQVNTSSISTWSSLVYLDVSMNELTGEFFSGLGDLRSLKHLNLAFNKFSSQEFLHIEMPSALQYLNLSKTNLTGQIPTGISQLHDLKVFDVSQNHITGKIPELSTGNLRVIDLSVNNLTGEIPESLQQKLSSMERFNFSFNNLTYCAEKFSPETLISSFIGSQSDCPIAVNPDGVGFKGSKRRALKLGLAIAFSVFFLFAGLICLAVAWRRRGKSCAIKQPSFKEEQNVSGPFRFQTDSTTWVADVKLATSVPVIIFAKPLLNFTFADLLSATSHFDQGTLLAEGKFGPVYQGFLPGGINVAVKVLVHGSAVTDQEAAKELERLGQIKHPNLVPLAGYCLAGDQRIAIYDYMDNGNLQNLLHDLPLGVQSTEDWTSDTWEQDNADAQSITTEGMTTWRFRHNIALGTARALAFLHHGCSPQIVHRDVKASSIYLDSALEPRLADFGLSCLVGASMEGELSQGSPGYAPPEFSEPENASATTKSDVYGFGVVLFELLTGKKPIGDEYGGDKDTTLVGWARALVRRNELTRLVDPKIRETGPEKQMEEALRIAYLCTADSPSKRPSMQQIVGLLKDIEPVTI >Ma01_p09160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6593925:6608822:1 gene:Ma01_g09160 transcript:Ma01_t09160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFFAFYLSITLLLRCLASQQPNTDAAFVSEFFRRMGVAPSSTNGNSSQVCSWRGVSCDGQTERVVSLVAPGFGFAGPIPEITIGKLSVLRVLDLGDNNITALPSDFGELSGSLRSLNLSSNEIAGPLPTNIGNFKRMESLDLSRNRFSGEIPSEVRSLSSLRVLNLSKNSLESSIPNAILGCVSLVAVDLSSNRLSGSVPDGFGATFANLSTLDLSENEISGKMPDLSGLNSITYLNLSGNLLRDLDLGGIRGPLQVIDLSNNQFHGLISQVNTSSISTWSSLVYLDVSMNELTGEFFSGLGDLRSLKHLNLAFNKFSSQEFLHIEMPSALQYLNLSKTNLTGQIPTGISQLHDLKVFDVSQNHITGKIPELSTGNLRVIDLSVNNLTGEIPESLQQKLSSMERFNFSFNNLTYCAEKFSPETLISSFIGSQSDCPIAVNPDGVGFKGSKRRALKLGLAIAFSVFFLFAGLICLAVAWRRRGKSCAIKQPSFKEEQNVSGPFRFQTDSTTWVADVKLATSVPVIIFAKPLLNFTFADLLSATSHFDQGTLLAEGKFGPVYQGFLPGGINVAVKVLVHGSAVTDQEAAKELERLGQIKHPNLVPLAGYCLAGDQRIAIYDYMDNGNLQNLLHDLPLGVQSTEDWTSDTWEQDNADAQSITTEGMTTWRFRHNIALGTARALAFLHHGCSPQIVHRDVKASSIYLDSALEPRLADFGLSCLVGASMEGELSQGSPGYAPPEFSEPENASATTKSDVYGFGVVLFELLTGKKPIGDEYGGDKDTTLVGWARALVRRNELTRLVDPKIRETGPEKQMEEALRIAYLCTADSPSKRPSMQQIVGLLKDIEPVTI >Ma01_p09160.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6593925:6608822:1 gene:Ma01_g09160 transcript:Ma01_t09160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFFAFYLSITLLLRCLASQQPNTDAAFVSEFFRRMGVAPSSTNGNSSQVCSWRGVSCDGQTERVVSLVAPGFGFAGPIPEITIGKLSVLRVLDLGDNNITALPSDFGELSGSLRSLNLSSNEIAGPLPTNIGNFKRMESLDLSRNRFSGEIPSEVRSLSSLRVLNLSKNSLESSIPNAILGCVSLVAVDLSSNRLSGSVPDGFGATFANLSTLDLSENEISGKMPDLSGLNSITYLNLSGNLLRDLDLGGIRGPLQVIDLSNNQFHGLISQVNTSSISTWSSLVYLDVSMNELTGEFFSGLGDLRSLKHLNLAFNKFSSQEFLHIEMPSALQYLNLSKTNLTGQIPTGISQLHDLKVFDVSQNHITGKIPELSTGNLRVIDLSVNNLTGEIPESLQQKLSSMERFNFSFNNLTYCAEKFSPETLISSFIGSQSDCPIAVNPDGVGFKGSKRRALKLGLAIAFSVFFLFAGLICLAVAWRRRGKSCAIKQPSFKEEQNVSGPFRFQTDSTTWVADVKLATSVPVIIFAKPLLNFTFADLLSATSHFDQGTLLAEGKFGPVYQGFLPGGINVAVKVLVHGSAVTDQEAAKELERLGQIKHPNLVPLAGYCLAGDQRIAIYDYMDNGNLQNLLHDLPLGVQSTEDWTSDTWEQDNADAQSITTEGMTTWRFRHNIALGTARALAFLHHGCSPQIVHRDVKASSIYLDSALEPRLADFGLSCLVGASMEGELSQGSPGYAPPEFSEPENASATTKSDVYGFGVVLFELLTGKKPIGDEYGGDKDTTLVGWARALVRRNELTRLVDPKIRETGPEKQMEEALRIAYLCTADSPSKRPSMQQIVGLLKDIEPVTI >Ma01_p09160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6593925:6608822:1 gene:Ma01_g09160 transcript:Ma01_t09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFFAFYLSITLLLRCLASQQPNTDAAFVSEFFRRMGVAPSSTNGNSSQVCSWRGVSCDGQTERVVSLVAPGFGFAGPIPEITIGKLSVLRVLDLGDNNITALPSDFGELSGSLRSLNLSSNEIAGPLPTNIGNFKRMESLDLSRNRFSGEIPSEVRSLSSLRVLNLSKNSLESSIPNAILGCVSLVAVDLSSNRLSGSVPDGFGATFANLSTLDLSENEISGKMPDLSGLNSITYLNLSGNLLRDLDLGGIRGPLQVIDLSNNQFHGLISQVNTSSISTWSSLVYLDVSMNELTGEFFSGLGDLRSLKHLNLAFNKFSSQEFLHIEMPSALQYLNLSKTNLTGQIPTGISQLHDLKVFDVSQNHITGKIPELSTGNLRVIDLSVNNLTGEIPESLQQKLSSMERFNFSFNNLTYCAEKFSPETLISSFIGSQSDCPIAVNPDGVGFKGSKRRALKLGLAIAFSVFFLFAGLICLAVAWRRRGKSCAIKQPSFKEEQNVSGPFRFQTDSTTWVADVKLATSVPVIIFAKPLLNFTFADLLSATSHFDQGTLLAEGKFGPVYQGFLPGGINVAVKVLVHGSAVTDQEAAKELERLGQIKHPNLVPLAGYCLAGDQRIAIYDYMDNGNLQNLLHDLPLGVQSTEDWTSDTWEQDNADAQSITTEGMTTWRFRHNIALGTARALAFLHHGCSPQIVHRDVKASSIYLDSALEPRLADFGLSCLVGASMEGELSQGSPGYAPPEFSEPENASATTKSDVYGFGVVLFELLTGKKPIGDEYGGDKDTTLVGWARALVRRNELTRLVDPKIRETGPEKQMEEALRIAYLCTADSPSKRPSMQQIVGLLKDIEPVTI >Ma01_p09160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6593925:6608822:1 gene:Ma01_g09160 transcript:Ma01_t09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFFAFYLSITLLLRCLASQQPNTDAAFVSEFFRRMGVAPSSTNGNSSQVCSWRGVSCDGQTERVVSLVAPGFGFAGPIPEITIGKLSVLRVLDLGDNNITALPSDFGELSGSLRSLNLSSNEIAGPLPTNIGNFKRMESLDLSRNRFSGEIPSEVRSLSSLRVLNLSKNSLESSIPNAILGCVSLVAVDLSSNRLSGSVPDGFGATFANLSTLDLSENEISGKMPDLSGLNSITYLNLSGNLLRDLDLGGIRGPLQVIDLSNNQFHGLISQVNTSSISTWSSLVYLDVSMNELTGEFFSGLGDLRSLKHLNLAFNKFSSQEFLHIEMPSALQYLNLSKTNLTGQIPTGISQLHDLKVFDVSQNHITGKIPELSTGNLRVIDLSVNNLTGEIPESLQQKLSSMERFNFSFNNLTYCAEKFSPETLISSFIGSQSDCPIAVNPDGVGFKGSKRRALKLGLAIAFSVFFLFAGLICLAVAWRRRGKSCAIKQPSFKEEQNVSGPFRFQTDSTTWVADVKLATSVPVIIFAKPLLNFTFADLLSATSHFDQGTLLAEGKFGPVYQGFLPGGINVAVKVLVHGSAVTDQEAAKELERLGQIKHPNLVPLAGYCLAGDQRIAIYDYMDNGNLQNLLHDLPLGVQSTEDWTSDTWEQDNADAQSITTEGMTTWRFRHNIALGTARALAFLHHGCSPQIVHRDVKASSIYLDSALEPRLADFGLSCLVGASMEGELSQGSPGYAPPEFSEPENASATTKSDVYGFGVVLFELLTGKKPIGDEYGGDKDTTLVGWARALVRRNELTRLVDPKIRETGPEKQMEEALRIAYLCTADSPSKRPSMQQIVGLLKDIEPVTI >Ma01_p09160.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6593925:6608822:1 gene:Ma01_g09160 transcript:Ma01_t09160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFFAFYLSITLLLRCLASQQPNTDAAFVSEFFRRMGVAPSSTNGNSSQVCSWRGVSCDGQTERVVSLVAPGFGFAGPIPEITIGKLSVLRVLDLGDNNITALPSDFGELSGSLRSLNLSSNEIAGPLPTNIGNFKRMESLDLSRNRFSGEIPSEVRSLSSLRVLNLSKNSLESSIPNAILGCVSLVAVDLSSNRLSGSVPDGFGATFANLSTLDLSENEISGKMPDLSGLNSITYLNLSGNLLRDLDLGGIRGPLQVIDLSNNQFHGLISQVNTSSISTWSSLVYLDVSMNELTGEFFSGLGDLRSLKHLNLAFNKFSSQEFLHIEMPSALQYLNLSKTNLTGQIPTGISQLHDLKVFDVSQNHITGKIPELSTGNLRVIDLSVNNLTGEIPESLQQKLSSMERFNFSFNNLTYCAEKFSPETLISSFIGSQSDCPIAVNPDGVGFKGSKRRALKLGLAIAFSVFFLFAGLICLAVAWRRRGKSCAIKQPSFKEEQNVSGPFRFQTDSTTWVADVKLATSVPVIIFAKPLLNFTFADLLSATSHFDQGTLLAEGKFGPVYQGFLPGGINVAVKVLVHGSAVTDQEAAKELERLGQIKHPNLVPLAGYCLAGDQRIAIYDYMDNGNLQNLLHDLPLGVQSTEDWTSDTWEQDNADAQSITTEGMTTWRFRHNIALGTARALAFLHHGCSPQIVHRDVKASSIYLDSALEPRLADFGLSCLVGASMEGELSQGSPGYAPPEFSEPENASATTKSDVYGFGVVLFELLTGKKPIGDEYGGDKDTTLVGWARALVRRNELTRLVDPKIRETGPEKQMEEALRIAYLCTADSPSKRPSMQQIVGLLKDIEPVTI >Ma03_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25200019:25203320:1 gene:Ma03_g19940 transcript:Ma03_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGMDLRKVDSLSSIAEAEDLDFSRLLDRPRLKIERKRSFDERSVSELTVSGNLRQVDSYDSMCSLGTMRSVLDTPVSSDPNPFDPHPMVAEAWEALRRTIVFFRGQPVGTIAAYDHASEEVLNYDQVFVRDFFPSALAFLMNGEPEIVKNFLMKTLYLQGWEKRIDRFKLGEGVMPASFKVKHDPTRKTDNLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLALADSAECQKGMRLILALCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPMEIQALFFMALRCALTMLKQDTEGKEFIDRIVKRLHALSYHIRNYFWLDFQKLNVIYRYKTEEYSHTAVNKFNVIPDSIPDWIFDFMPTGGGYFIGNVSPARMDFRWFSLGNCIAILSSLATPEQSAAIMDLLEARWEELVGEMPLKVAYPALESHEWRIVTGCDPKNTRWSYHNGGTWPVTLWLLTAACIKTGRPQIARRAIDLAENRLSKDSWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMLRPPIKRSASWTI >Ma01_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19669346:19671934:1 gene:Ma01_g20860 transcript:Ma01_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAVNPKAYPLADAQLTITILDLIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >Ma02_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19835539:19836621:1 gene:Ma02_g10170 transcript:Ma02_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTSLPCSGQASTTGMMNKSILIPCLLSFLFLSPPSLADVGTAASYGPPYLPTACYGSDESQFPPNNLFAAAGDAIWDNDASCGRQYLVRCLSSATPGACTDGTVQVMVVDYAPSLVSAPSAVGATMVLSETAYGMISQSSANEINIEFTQV >Ma11_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22354930:22356318:-1 gene:Ma11_g16940 transcript:Ma11_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINSDSSGNSGAPKGGGGGGRPSRYESQKRRDWNTFRQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPQPPAPCPCPLKQAWGSLDALVGRLRAAFEENGGQPETNPFAARAVRLYLREVRDSQAKARGIAYEKKKKRKRPPLQPPQGHSPQSPLPMVPAAAPAMAYGRRAADLNLVDGGYTHHLHEHLMMPAMDSGRQLTAAAAEAHPGGIMPLSVLN >Ma04_p33740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33237057:33245898:-1 gene:Ma04_g33740 transcript:Ma04_t33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLPEAAQKVFTPETLRSAAKQSEGIHLVPLSLRRAIKKFLRDRDNSHMNRKVLLLSESFNRIKDANLQLAASAYRDLVDDPFRPVEHLAGRWKIQSAYGDIGLKYREDETVAYVASRMPAVYSACHRVLREVRRRLPDFSPSKVLDFGAGPGSALWAMREVWPRSLERINLVEPSKSMQRAAQSLLQDLKGLPIIHSYDSIQALNRNLDKHDRKHDLVISSYALGEIPSLSDRITIVRQLWDLTRDVLVLLEPGTPHGSKIITQMRSYILWMAKRKCRKNGESSNVASSDEKSIVQPKGLLKNGAFVVAPCPHDGRCPLENTSKYCHFVQRLERTSSQRAYKRSKGEPLRGFEDEKFCFVALRRGKRPQEAWPLDGMEFETLKERLAKRNPEDLIIDFEDQFATEDDAESPFEDALVPYASDIAETNMFHENENEEEEQTHADLGSGWGRIIFTPMRRGRQIQMDICRSTKRDGSVGAFERMVVTQAKNPTLHLQARRSLWGDLWPF >Ma00_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3491404:3492807:-1 gene:Ma00_g00920 transcript:Ma00_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTKVIHYMFLAKRYPIKLESNDSKIYKGSKACYLYFDTSWEKESWCKALRLASCPDRGKWNWFSQLGEDFQDYLSSLSIEYPSFLKPSTLYTETTNRTSRIDESSRVQFFLKKFSKKASKNALESRQSSISSSSCGERKIDKRLPSTAGASSSDGFVISSSEDKFSTADEKFVNDKGTLCWNLLLSRLFFDAKNSIEINNLIKACIQTTLSNTRRPSYLCEISCTGLDLGSVLPYIHRMRVFPVDLNQVLSMEIDIEYSGGIILDIETRLKVRELELQKDLIKTSLESNSTYELNSDFLEGIEHYGNQLKSPSNSATGMGNRNEVDKAGKSNVVQVKYLFFLIECCSMLNYRNVFLIWETKRSQCQAHCHFTPCILLYE >Ma04_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22318919:22320229:-1 gene:Ma04_g19700 transcript:Ma04_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFLFQCPISLELMEDPVTIATGVTYERKNIEKWLFTYKKLTCPATMQRLESFDLTPNHTVKRLISSFLEHAADEEPVTSPPCSYDAVDHDKLVSLLKSIQTGPFKASGLRKLKVLVEKNDELQKDLIRSGGIEVLGCVMSHVVVENSDFTAFRACEEALGVLSLLPLSDDATVELILKPDSLKPMMVIIQRGSAEARVHAMSILMKISKISNEWITKMVTDQDVDIVKSLLDLLSDEISTKLSSSSLDVLLEIVATSNKNRLKAIEAGAVCILLELLPDASRHNCEKVLLLLKRLCECAEGRSAFADHGLGVAAVSKKIMRVSEMATKLGVQIMWLMSSFHPREKLLEEMMVFGTVRKLLALLHIDGRSSSTNEKAIKMMKLHGAVWRQYHCFPSELKDFLRLNH >Ma03_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9867257:9879550:-1 gene:Ma03_g12820 transcript:Ma03_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIADRPFPSSSSSVDGLLLPPPPPPPLPPSLAAGASSVARYDGDDDDDEEGDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLSHSNARQCEVCKHTFSFSPVYADNAPSRLPFQEFVVGMAMKACHVLQFFLRLAFVLSVWLLMIPFITFWIWRLSFVRSLGEAQRLFLSHISVPVILTDCLHGFLLSAGIVFIFLGATSLRDYFRHLRELGGHDAERDDEGQERNGARAVRRLPGPVNRIPVGDGNAEDGGGAQGIAGAGQMIRRNAENVAARLEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLGVVVFLPFSLGRVVLYYVTWFFSSVSSPLMGKVMPLSLSVRSMANSTLKNTLTAAKLSTESNNEGLLGHMMEVVTGSQKMNATELDDISNSVGRSISADLFKGTVIGSSHLSDVTTLAVGYMFIFCFVIFYLGLLALIRYSRGERVIFGRLYSILTIAEAIPSLLRQSLAGMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTLRMLGTTIAQRVEFFSASPLTSSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLSMRLAPTMFPFDITIFDPFTEIPTDVLLFQICIPFAIEHFKLRTTIKSLLRHWFTAVGWALGLTDYLLPPPEEIGTQDAGNIELIRRDRLQNVRQGGAGRNDQPLIPRIVGEDHQGRRVIVGDADAAEESDVDDQADSEYGFVLRIVLLLVLAWMTLLIFNSAMIILPVYLGRSLFNAIPRLPITHGFKCNDIFAFSIGCYIIWTIVAGTRYSIDYIRTHRVPVLLLQIWKWCAIVLKSSALLSIWIFIIPVLIGLLFELLVIVPLRVPVDESPVFLLYQDWALGLIFLKIWTRLVILDQMAPLVDENWRRKFERVREDGFSRLRGLWVLREIMIPIISKLLTALCIPYVFAKGVFPVFGYPLIVNSAVYRFAWLGCLVFSLVCFCAKRFNAWFTNLHNSIRDDRYLVGRRLHNYGEKITSESESGPHVQELNSADPGLIHREQDADIGLRFRRGNQFPNQRGRQML >Ma05_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8677453:8680250:1 gene:Ma05_g11890 transcript:Ma05_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEYLRFVAFSGYVRLDLKRKSRPSVSLKFRTLSFGIFVAKEADWSSSLKELEMLGRQLVIRKEAAVNLREVAARTTLREVRQKGHIYVELRHVGKRIIFFCTLCLTPCYSDTVLFDHLQGNLHARRLTAAKATLFGATPWPFNDGVLFFDSSNEPNLLLSGSDSQNDMALVLSNSSGNDHEVTSRNTLDSPSRNCCGRKNSINCSKSSGSSDAKLRLSSNGHKESLRSSCSVLDMVSTCSWAENSLIIPGVLLKGEVSNLALKHLGVGQIAYRIQDKEGHGKITSIWCAWLGQGESDFSDELNISANCDFAIVNFPYAYGLGRKGAVEDEETPTSPGSFFEIDDSGHHRKRKRKSFSDQEDYSDGSNGCSESLSGDGSSLDIVVADDPQQLEKRLVSSKVVRRQLRKQKRLAAERICDICGQPMLPGKDVATLLNCRTGNLACSSRNTNGAFHPFHASCLIHWILLCESEMADQRNKTKVTRGRKGRSTLKNRISSIFCLECQGTGVAIKGEELEKPTIPLSEMFLYKLKAIEANKAWMKNPEILEKCSTGLHFPSGCVEKSQEKVMPLKLLHFFRADQ >Ma04_p36850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35050609:35055237:1 gene:Ma04_g36850 transcript:Ma04_t36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHRLRSWPDLWFAADNRLKHVLLKMGLGDQTLTLKDLPPRPVGGGVADPTATLSDEILLRILAAVPESLRLPASLVCKRWLRVVGRLRRSLTLLDWSIIGRRRLPLRFPDLTDLDLVPASFASPSAAAAAAALCKGCVLLTVGPVSVAVDIGVDLPVGECRFLEPAAIDRGLMTVARDCPGLRKLSLVAVASEAGLMSVAGGCNTLQELELHRCSDLSLRPISAFNNLQILRLVGSVEGLYRGPGVTDIGLTILAHGCKRLVKLELGGCEGSYDGISAIGRCCMMLEELTISDHRMDAGWMAALSFCVNLKKLRLQSCRRIDIDPGPLEHLGTCPTIETLQMQRCQLRDKRSLQALFMVCEAVRNLRFENCWGLDNDMFGLASICRRVKFLSLEGCSLLTTEGFESVALSWVDLQRLAVVSCNNIKDDEVSPSLSSLFSVLKEFKWRPDTKSVLAMSLVGTGMGRGGRFFKRI >Ma00_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45603943:45608586:-1 gene:Ma00_g05210 transcript:Ma00_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGTSDALMCRAFPTTLRGPARAWYSGLKSGTVASFDQLAKDFELNFLAYARPKPSMALLLGLNQKEDEPLSHFVNRFTTQIRGLPDAHPSLLMQAFMTGLRPSRFFWSLVERPPVAVPEMLQRASQFVAAETWMMGKREEHRKVKSEPPRQQQPTTSRRKLDRPDPRPPLPALNSSRTEIFLHEKGKGLLRDPHPMKNPRELADHSKYCRFHRQHGHDTEQCYELKRQIEELILRGHLGQYLRPSKEQSPRPEGPVERHIDVIAGGPASGGSSMSGRKAYARAAPDEASGREPEPEITFPTGAAERPHHDDALVISARVANAQVKRIMVDTGSSADILYFGAFQKLALARENLSTMCSALTGFTGDSISPLGAITLPLTLGTPPKSKTVMTTFLVVDLPTAYNAILGRPTLNKVRVVVSTYYRTVKFPTREGVGEVTGSPQESRRCYLTSVSLGKRARGESPLEDPREAKRPAPHPGPRGSTADIPLREARSDQTVKVGSELPERELEQLVGLLRENADIFAWSPSDMKGVDPKVAEHHLNIPPDVRPVKQKPRRHAPDRQRAIQEEVGRLLAAGFIEEAKYPQWLSNVVLVKKHNGSWRMCVDYTSLNSACPKDCYPLPRIDQLVDATAGHARLSFMDAYSGYNQIRMVPEDREHTAFLTDQGIYFYRVMPFGLKNAGATYQRTVNNMFAPQIGRNMEVYVDDMIMKSREAGTHLADLAEAFDMLRKFGMRLNPTKCAFGVTSGKFLGFIVHQRGIDANPEKVQAIIDMQSPRTVKDLQRLNGRLVALSRFLARSGDRCLPFFKALKDPKNFQWTSECEEALKQMKQHLATLPRLASVFPDEKLGLYLAASPYAVSSVLVKEISGAQLPIYYVSHVLSGPEERYPPIEKLTLALVLSARKLRPYFQAHSVEVVTDQPLRQILTKFDVAGRLLRWAVELGEHDISYVPRTAIKAQAVADFIVELARVGKDLKRTPEAWTLHVDGSANSGGAGAGLVLLAPDGRSFERSLRFGFKATNNEAEYEALLPGLRLALEMQVAAIHVLTDSQLMAEQLSGGYEARDATMAKYLARVRDLTTKFPYFTLSNIPREENARADALAKLASRRTPEAWSEIEELPAHAIEVATTAPGSTQTTWVQELLRFKRDGALPLDEVAARRLRRTHAWYTEESGRLYRRSFTYPLLRCLEPDEAQTVLAETHEGICGEHIGGRTLAHKILRQGYYWPTMCRDAKAYVQRCSPCQQHARAPRQPAVPLSPIDCAWPFAQWGLDLLGPFPPASGQRKYIIVGVDYFTKWVEYEPLATTTEHQMEKFVWKNLVTRFGLPRAIVTDNGPQFAGTRFQEFCAGHDIQLRFSSVAHPQTNGLAEVTNRSILDGLRKRVSAARSTWADELPSVLWSLRTTPKAATGESPYSLAFGTEAVLPPEMTVATLRTRNYDQEASNQGLRANLDVLEERRTDAHLKALSYQRAVARVYNRRVRPRSVRLGDLVLRRAEVSDPTRARGKLAPKWEGPYRVTGVVRPGTYRLTTMDGSSVPRTWNVQNLKKFFV >Ma07_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:771362:775484:-1 gene:Ma07_g00980 transcript:Ma07_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLFRRSSSISASASRRTPAALVSLESPLLIPTHSVAPFSCVAGGCLSFFRPFPAEKIGFRSARRREFHFLALPLRFRATDVARADYAFDNYEEDKDSSKRGSDELEIAKLGISQDIVTQLANRGITKLFPMQVLRGSNCLGVWIYRAVLEPAMRGHDMIGLAKTSTGKTLAFGIPIMDNIIRFQAKNGCGRNPLAMVLVPIRELARQVEKEFRESSRLYTICVYGGSPINQQMRALNYGVDVVVGTPGRIFNLLNRGALNLSDVQFVVLDETDQMLNVGFAEDVERILEKMPQKHQTMMFSATMPTWIRKLTQRFLKDPINIDLLYCAKVQVNIQHKMHPALLLFINVGESDLKLTEGITLYSIVSDNYAKPSILGPLIKEHAKGGKCIVFTQIKHDADRLAYAVGRRFGCEVLHGDISQSQRKRTLIYIPNVDLVIHYELPNTSELFVHRSGRTGQAGKKGIAILIHSYEQNRLVRGIEQDIGCRFIEASYSFYDVKLCELLDQLTQCVIYIDLF >Ma10_p06460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19184484:19186847:-1 gene:Ma10_g06460 transcript:Ma10_t06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASFMADGGDCMRRQDGGFMETLAAAVSSLPDSELLHSIADALVSAGGDGRPLRLLRRSLMLSLHLFLSLLSLFSLVLSHLSSPPRRPPPRASAPDPLSPTDGTSAGRALSRVLSAVSRVPVASRKYDLVRSLAERLLGDNLRYASGEGRAFQVINRAALSAAFARTLRRLEAAVAAEASLAPGDWSGGRIMGAVKSRLRRWAEGAAVAPGMVVGVGVSADKLAAEVMWLGQKMAESGAVADAVAMWGEAAGLAQLAVSAVPRLQVALVRVCAFMFKHANSKNFDEVKVREEEESHLAIFQMAMLKSWLPLICQACNGVDTPILSSRVRAEMVSMLEEMIEKLSWDQQEEVLSLWLHHFTECPDSDWPNLESCYMRWYSESLRFL >Ma11_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2087081:2087755:-1 gene:Ma11_g02810 transcript:Ma11_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1-like 5 [Source:Projected from Arabidopsis thaliana (AT4G23496) UniProtKB/Swiss-Prot;Acc:Q8LGD1] MSRGESFGGGKSSLSYLFESDEGTTSFEGSPKSTGQQKPPSDEDSKTKATDQSAAPNKPVSTNNYYRARGQNSGNFITGRPFTKVQSVPGGSSSLGYLFGDK >Ma10_p29810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36265189:36265326:-1 gene:Ma10_g29810 transcript:Ma10_t29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLYAVNYLLCSASFPFSKKKRKNSTVLINSLIVLDPFPTNIFS >Ma05_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2280562:2283360:-1 gene:Ma05_g03200 transcript:Ma05_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFLSSPPIAPKSTRIPPPLSLTLASAFSNSLRLHPRRSLTAAAALQAEKQDSVKLEPVHSPPPPSLPFRVGHGFDLHRLEPGLPLIIGGIAIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFVREAVKLMHEAGYELGNLDATLILQRPKLSPHKEAIRSNLCDLLGAHPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLIRK >Ma05_p03200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2279590:2283360:-1 gene:Ma05_g03200 transcript:Ma05_t03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFLSSPPIAPKSTRIPPPLSLTLASAFSNSLRLHPRRSLTAAAALQAEKQDSVKLEPVHSPPPPSLPFRVGHGFDLHRLEPGLPLIIGGIAIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFVREAVPCRCRQLNWRCRERPLAMRQWLIYTAVGDGLSDLWT >Ma10_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23322200:23324665:1 gene:Ma10_g09120 transcript:Ma10_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTSIGRMVYHHPWVCEHFDRRVWIDGSKFSSFDVMWVIKEFAGWIAGAPCEDIWLSYDRFGRSDRYFLIIDDFVIGLDEQDKLNQLEHFLLLVGEPGSIVMFIVDDDLDGSSYLCSRHGFLRYKIDTISKDNWVKLLMRHALSHPDQARVEEERMLDSFVHRIHDPIDLYPVLAKMFGSIFRYTETSRWQEEIDSLCRTEQIEHNQHFNLMFLHYLSPIIARSCLYQLLIPQDYISGYADLLHVLVAEGIPHKENMDIPPSVEWINNALGRFYFRMRVGRDSTIPQQCLHLHLLVDSRTSAFPTSLSAKVNNKLRTLSLHREEEMVLKQQPCQITDISATMFANLIHLRILHLGATRIQQLPHTVGKLLNLRYLNLSKSEIQVLPVSLCNLGNLRVLNLAWCEKLWRLPRRIHNLRSLQIMKLAFCARLQRLPKSITGLANLQELDLEGCHDLIELPENFHNLRKLTYLNIIKCRSLTRMTDELEQMHNLQMLFGYPINTISSIKDVISELQSLRGLEKLDLLNLQIVSKLKDASTPPMLLQDVLPKLKHLALHWKWDNMNDVEKASDVTSLQVLEGLQPNIYLSKLEIISYAGKEFPIWMNDVALFLYNLREIRLVNLRRCERLPQLGELVNLKIVEISGMDLITVATFHIRYPGRIIMLDKLIFSEMPQLEKWEEPGEGNVEWYIEELTLMQCPKFKIWEFYPIVRKLNIWLNNEMLWRCQSVVWQRLISRIDELTIVGCQELMCLPQVIRSNRWVIQLTIIRCNKLISIPDWLVEIGSLKSLFISGCTELSYIPPQLKTRSDLLLQHSGCPKLRF >Ma07_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1045337:1059384:-1 gene:Ma07_g01360 transcript:Ma07_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPDYEGGIGGKIRRRPLRRAAATPYDRPPTPARGLVVRPSEPGWLSKLVDPASRLITSSASKLFSCVLRKRLPAPSVDGALGEEGFQSGQEGAEAAFVELSAELQENKADCENNATNNSDSNKVMEFEQLIRQKTFTRDQFEHLTGILHSRTLDSDLPKPDKKFVEMTVPLPAKDIESSRPHEDITTPANVQVIDDQTASPVELAKAYMGSKFPSVSPSSLRWHRRHIFQEHKTVPCNSTYATKPFDLKGPRSVVRFSGSAEIPDSVTPTSHDISAMYGMSCSPYYKGEGSSKKGNAGLLPFQTPETTRQLGGRQVLKRRSSVLDDDFGSYGSIRRIRQKVATMTPSKKVRHLFLSGNRCLVPSTPFKKDVQDDSSLNQEPNCLDQQQGGNRISDSAVASVLPQSKQIENKIFQQLDKLVPLSKEKSPKIKDYPLDGSPANKHAFSKGISNSSFYKQETVNVLGENGPSTSISGVKSVPEADTMQKPAIKMSAPEDTQVNNMDALMDPSCQLKNGDELKKSPEKPVRSSVIRTEEFPPRTSASIPSSSSDFSKAADVKPLNDIVVNNGKGFTFPFASAPSISQPPSTPTIATPLVGKTVAQKGESVFPLFSFGSEDSNRLEFSSATTMGSSNATSGLKHSTSNATTSALKGSKSDRGEGQITGNLSRSVGLVDSSDKSTMNNSIVFSFGNSCNESFPNGSLSSSSTSASVMTLPGRTAGLIFSTTASALASSSSSSSSSVAQIFSTVPSLLFGSTSSMVSTTSVPQSLAESNATNLEGSPLSINCASPGATANFKFGGNSSTILTADYQFSRADSNPVLAASTVPSISSTVSAASTLFSGSNSNQSAVAPTSTFSGASNSNQSAVVPTSTLSSASNSSQSAVAPTSTFSGASNSNCNLSLTQSNSLVSSVVDNGTTMSGSVTGFIGIQATQAKSGTSPFSKSSGSQFGSCSSPTFGMNVSSSFSPSSSHFGEATTSSKPFSSSSMFSFSGGAGFSSLGASSSFAPAASSSIFGSTFQPSTTPAFDTVGSSPFSGLAFGAPTSGSSPFVFGSSSPPVFSFGSAGANSSSISSARTAFGSQNSAVGFSPGTPGNDQMNVKDSMAEDPNQSAVGTIPPFGQPGTSSSFPVFGAPATQSASSVFQFGGQQNSSLPPGPSPFQAAGSHEFPQGGSFSLGTGGGDKSGRRIVRVKRDRSRKK >Ma03_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2250797:2253116:1 gene:Ma03_g03350 transcript:Ma03_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHHSHHGSLGLHQLALHHHQQQQQREQRAQTHSRLGRLRAAGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKAAIDQLAELPAAWIPTAAVTADAAATSTSCAPPSSRSPATEHPSGESSKKLIPVSMPDFPTAFSFGGPKGTGGSISFLPPSLDSDHIADTIKSFFPMAAAGGTTISPSSIPSIGFHNYPSDLLSRTSGRTQDLRLSLQSFQDPIFHNAQSNQQHHSPTPAHRSLLAGSSHLGFDDASPGWAEQNQRVSEWNVAETSGGAGLYGFAFNVPPLQAVPLHSVLGPSQFLTQRGPLQSSNSPSVRGWADPVDPTSELHMQPVLHPSAASIGFTSEADFSGFHVPARIQGEEEHGDVPDKPPSATSASCQ >Ma09_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:416847:418050:1 gene:Ma09_g00580 transcript:Ma09_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDGCTIRPCLQWIKSPEAQANATVFLAKFYGRAGLANLIDAGPEHLRPAIFQSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPVTQISSDSAAAAVPAFKAYDIRHVAKKSDAAAVPGGLHSVAARSRTRFKRSAVGPAALSAGGLAVSGPGLFRRAESHDSEESRENGSAFSGETAERSHVSQGEPDAEPADVGLDLRLGLEPESRNGGGVAGGEPVVVPQMERRKAWAADACRVELGLSLVA >Ma05_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5185149:5187706:1 gene:Ma05_g07090 transcript:Ma05_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRRKASIVASGTLGMKHSSFSTGRKNGKYTVFVVILFSVFLFFSFTYNEDVKSSAEHPFGGEVKSADVSREDFPVAEPIEMENHQATRSMETATGNEAEKETTTVVLPQEDDVTVVDVADAHGEPAKPERQRVLLDVPESCDLFTGRWVHDDVSYPVYKEQECQFLTEQVTCMRNGRRDDSYQKWRWQPRDCSLPRFDARLMLERLRGKRLMFVGDSLNRNQWESMVCLVQSVVPREKKTLTKNGSLNVFRIEEYNATVEFYWAPFLVESNSDDPTVHSILNRIIMPKSIGRHGRNWKNVDYLVFNTYIWWLNTPTMKVLRGSFGKGSTEYDEIDRPVAYRQVLSTWANWVQKNVDPNRTTVFFMSMSPNHIKSTDWDDPDGIKCALETQPVANLSHPLHVGTDWRLFAVAEEVISSSMRRVPASFVKITAMSEYRKDAHTSVHTLRQGKLLTAEQQADPATYADCIHWCLPGLPDTWNEFLFARIASSPWRDH >Ma04_p28510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29563724:29565208:1 gene:Ma04_g28510 transcript:Ma04_t28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKGPWTTEEDQILVAYIKRYGHANWRALPRQAGLLRCGKSCRLRWMNYLRPDIKRGNLTKEEEETIIRLHERLGNRWSAIAARLPGRTDNEIKNVWHTHLKKLAGPNMASKESQRKTPDRSMVKKKKKVSLDTGHDTARLVSVSPEQSDSDFSSCATDSSTASAEIGDSTVGVKEESCPAEDLQEIDERFWLETLSMDDSAASMDITSLEIPPPPPPAVTDHFNLLTSSNGDDMDFWMEVFMRTGDLQELSQI >Ma05_p09010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6625055:6630508:1 gene:Ma05_g09010 transcript:Ma05_t09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDWDAVDMVCSLEFWRMAVCWTLSLLYSHLYLLLAPRLSALFPSLLGPTPPRFPRRRFAPGPSSPIQRPLGVITGASSGLGAAAARALAAEGYHVILAGRNPQTLTKTIQEIKKHDQNACVESFQVDISSIYSIMKFESSIKQWLEESNLHPSIQLLINNAGILAKSSRVTADGFDQMMETNYLGAFFLTNIMLPLLKNSPVPSRIVNVTSFTHRSVSHVDVNMGNLARENLHCLSTLGKYQFAQTYEYSKFCTLLFSYELHRQLYVMDPASNVSIMAADPGAVATNIMRELPPSLKRLAFLVLGFLQLLQSPEIGVDSIMDAALAPPEASGRYFFGAKGRTIKSSPVSYDARLAETLWLTSFKLFEECKARVVNQ >Ma11_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3914713:3922166:1 gene:Ma11_g05080 transcript:Ma11_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERMDPAPTSSGQATASSSTGPPDAAAGGGMPTEFPAGLRVLLVDDDATCLKIIEMMLRKCHYDVTTCSCAVTALSMLRERKGWFDLVLSDVYMPDMDGFKLLEHIGLEMDLPVIMMSADDHKDVVMKGVTHGAVDYLIKPVRIEPLKNIWQHVVRKKRFELKELEHSGSFEENQRESERHKRAMEECDNATSGCGENLKNIKRKKEGKEEDEQDVDEQADPSQTKKPRVVWSSELHQQFVTAVNQLGIDKAVPKKILELMKVAGLTRENVASHLQKYRLYLRRLSVPQHQDRLDGPFTSAQDATFRSVGTVDGFDLQALAVSGQLLPQNLSALSSGSRRVTNTGLRMPVFDQMGFLNSGNASSTRSASVQQMNRGLGYFSGFSNSIESRQLGQSHQLVEPYGNMCSQVGEEISGLLNLPSPQTTRSSLPGGISNEQVNRSLIMHMSQQGQQFSLSQQHENFHGQPFPRGQIFNGIGGHDSRLSSKFGYQMSPNDISSHISGRTANIAQTPMPGNHISASYSSVPEALYANNIHDSCLTESAASHYPLASSIGDTPTTGNVEALSNTSSLKGVTDLSNCNIYSELRQNKTQDWKLKTVNISYQSGQNLAPKQNNADFGSSLVAHQDSIATVQDESSRNTCTVRKEILSVTTEIENEKIECVAQRNSTILVENSIRLKSESMPDIKHQEVLYDNIISNDLMDVGKQQQEGIEQDDPEFFDAYSLENIPM >Ma07_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9174098:9176079:1 gene:Ma07_g12260 transcript:Ma07_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLANLVILYFNFLLVLKVAANDPISWCNQTPHPKVCSSMLENEIAESISQSDFRDVLLRATTARTVLALRQISSMDMTLLDKPAKAALADCLKLYNDTIIRLQHSMRSSSSIEDSQTWLSAAKANERTCRDGFVELGSTFPLASSSFVTNHLSESLSNMLAVNIATPRDKPPPKNRRLLSDGFPRWVKAADRKLIQTGVTIKPDLVVAKDGSGNYKTISEAVAASVNLRQTTSRFVIYVKAGVYSETVDIALNMVNLMMVGDGKDDTIVTGSKNVVDGTSTFNTATFRVDGEGFIGQDMGFENTAGPEKHQAVALRSSADRSVFYRCSFKGYQDTLYVHTNRQFYRNCDIYGTVDFIFGDAAVVFQNPKIYVRKPMDRQKNTVTAQGRDDFHENTGIVMHAAFVMAAPDLKPVQGSFQTFLGRPWQQYSRTVYLLSRLDDLVDPAGWLAWNKTMSVSNLYYAEFQSKGDRADTSKRVNWPGYHVLTTDQEVEPFTAGKFLTGDSWIRATGVPYASGLYG >Ma03_p30400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33043163:33045560:1 gene:Ma03_g30400 transcript:Ma03_t30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLKSRALPSHVHIHSYDSINDTLEVLIKKSVSFRDVVKSKQRSISFDGRDPEAAILQAFGPGNLLIKGSVSFNGRFLETKASVKSPKPTNSANPRSFRCSPLKKRSLESTLVGPDSPKHDAALKLQKVYRSFRTRRQLADCAVLVEQRWWKLLDFALLRRSSVSFFEIEKPESAVSRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLQFYYNCWLQCKSLQPFFYWLDVGEGKEVNLEEQCTRSKLQQQCIKYLGPTEREAFEVVIEDGKLMYRQSRQPLHTSDDGSKDVKWIFVLSTSKNLYVAQKRKGTFQHSSFLAGGATSAAGQLVVVNGDLKAVWPHSGHYRPTEENFQEFMSYLEENNVDLTYVKKSPTGEEEDEVYGPETISFIGTDISSAEEVNSSSYLSDLKLGGGEEEAKGSIDMQQNCKLHKKISVNDHEENEEESSNSNEQYIFRKKNLFAEEEGEDVQVFVPSELILRRINSKKGIESYQLGKQLSCRWTTGAGPRIGCVRDYPWELQFRALEQVNLSTTRFGTVKCFTPTTKDTTLTKKLQHQPRRPANVRRRNQSL >Ma05_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34641539:34642252:-1 gene:Ma05_g22880 transcript:Ma05_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSPTNRFSTPSTNCQIMTVIWHLISWPSIKSLICRVKVPMAGSGCSPMPLAATDISAMDDSSFKVGRLSVEARKEKIDRYMKKRAERNFSKKIKYACRKTLADSRPRVRGRFAKNDELGETAKSGSSNNDFDDEGEVSTLLFRCCLLRKMHSSKMSSDFRSNILQFIA >Ma02_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18050583:18054041:1 gene:Ma02_g07310 transcript:Ma02_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGAAAGPKQDDTQPHPVKDQLPDISYCITSPPPWPEAVLLGFQHYLVMLGTTVIIPTALVPQMGGGNDEKARVIQTLLFVAGLNTLLQTTFGTRLPAVIGGSYTFVVPTISIILAARYSTIVDPHQRFLHVMRGTQGALIVSSTIQIILGFSGLWRIVVRLLSPLSAAPLVALAGFGLYEFGFPGVAKCIEIGLPQLIILVIFSQYIPHLLHSKKHVFDRYAVLFSIAIVWLYAFILTVGGAYKHAAAKTQQHCRTDRSGLIASSPWIRVPYPFQWGAPTFNGGEAFAMMAAAFVALVESTGAFIAVSRYSSATPVPPSILGRGVGWQGIGILLDGIFGTANGSTVSVENAGLIALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGVAGLSLLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPAHTGARWFNDMVNVAFSSEALVAGFVAYFLDNTLHRNDTTARKDRGYHFWDKFRSYKTDPRSEEFYSLPFNLNKFFPAD >Ma04_p32180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32281015:32282896:-1 gene:Ma04_g32180 transcript:Ma04_t32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAEPAVMGRECLTAEMAFGGSSIVIKIRRRLPDFLQSVKLKYVKLGLHYAAVPTAYLLAPLILATVAATLRLDRLLSLDPATGLASVAVLMVLFGAYYLRRPRPVYLVDFACYRPEDEHKISKGRFLEMTECTHIFTGESLDFQTNITMRSGLGDETYLPPGVQARPPRLCMAEARMEAEAVMFRCLDALFEETGVDPERDVGVLIVNCSLFNPTPSLASMIVNHYKMREDVKSFNLGGMGCSAGLISIDLAKDLLQANPNAYAVVLSTENITLNWYFGNERSMLLSNCIFRMGGAAVLLSNRRADAGRAKYRLVHAVRTHKGADDGCYGCVYQREDDRGAVGVSLARELMAVAGDALKTNITTLGPLVLPPSELLKFLATLVARRVLRLRGVRPYIPNFRRAFEHFCVHAGGRGVLEEVQKNLRLGAADMEPSRSVLHRFGNTSSSSLWYELAYAEAQGRVRQGDRVLQIGFGSGFKCNSAVWRALRDVAVGVCGRCNPWADCVDIYPATGIDLRLESN >Ma04_p23320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25500884:25514126:1 gene:Ma04_g23320 transcript:Ma04_t23320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIHDYLLKRNLQATAKAFKEEGKVSAEPVAIDAPGGFLFEWWSVFWDIFISRTNEKHSQVAAAYIETQRAKAKEEHQRQLHMQQVQILQQQQAQFQRRNAIHPSFNGAMNATNITGISGPPTASIMAAKIYEERMKQPQSMDTAISSQFLDGNRVALLRSATNHPGQFIQSPVSGSAAMQHIQGQNQQTSDIKGDIGAMHKSLHKDPSSLYSQGIMQAKSGLGGAGTQGVSGLPLKGWPLAGIDQLCQNFGPQVNKPFLPNQSQFQLLSPNEQQQNLAQAQAQSNIDLSNYGMDHRKIRALPRSGLGGKDGQMNGNDASIGSPSESSSPKVRQDQSIIKASQIQQSSNQQTQELVQQQQLQQSTRKRKSTSSGAANSAGMPSANSPPSTPSIHTTGDGVSMASNLQNASTMSKSLMMYGADRTGGLASSNQMDDLEHFGDVGSLDDNVESFLSNDEGDGRDIFAALKSTAEHNAESLNGFTFGEYGCICTSNSKVVSCHFSSDGKRLASAGHDKKVVLWNMDTMQTMSSSQEHSHIITDVCFRPNSSQLATSSFDKTVRLWNAAESIHCLNTFTGHTSHVTSVDFHPKEMDILCSCDDNGEIRYWSSDKYMCKRVSKGATVQVRFQPRSGQFLAAAAENVVSIFDVETHTKTRTLQGHKKEVHAICWDANGKTLASVSQDLVKVWSLQTWECIHELNSTGNQFHSCIFHPRCPQILIIGGYQTIELWDIIGTKSSGIQAHEGVIAALAQSHSTGLVASASHDKSVKLWK >Ma04_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25500884:25514126:1 gene:Ma04_g23320 transcript:Ma04_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIHDYLLKRNLQATAKAFKEEGKVSAEPVAIDAPGGFLFEWWSVFWDIFISRTNEKHSQVAAAYIETQRAKAKEEHQRQLHMQQVQILQQQQAQFQRRNAIHPSFNGAMNATNITGISGPPTASIMAAKIYEERMKQPQSMDTAISSQFLDGNRVALLRSATNHPGQFIQSPVSGSAAMQHIQGQNQQTSDIKGDIGAMHKSLHKDPSSLYSQGIMQAKSGLGGAGTQGVSGLPLKGWPLAGIDQLCQNFGPQVNKPFLPNQSQFQLLSPNEQQQNLAQAQAQSNIDLSNYGMDHRKIRALPRSGLGGKDGQMNGNDASIGSPSESSSPKVRQDQSIIKASQIQQSSNQQTQELVQQQQLQQSTRKRKSTSSGAANSAGMPSANSPPSTPSIHTTGDGVSMASNLQNASTMSKSLMMYGADRTGGLASSNQMDDLEHFGDVGSLDDNVESFLSNDEGDGRDIFAALKSTAEHNAESLNGFTFGEYGCICTSNSKVVSCHFSSDGKRLASAGHDKKVVLWNMDTMQTMSSSQEHSHIITDVCFRPNSSQLATSSFDKTVRLWNAAESIHCLNTFTGHTSHVTSVDFHPKEMDILCSCDDNGEIRYWSSDKYMCKRVSKGATVQVRFQPRSGQFLAAAAENVVSIFDVETHTKTRTLQGHKKEVHAICWDANGKTLASVSQDLVKVWSLQTWECIHELNSTGNQFHSCIFHPRCPQILIIGGYQTIELWDIIGTKSSGIQAHEGVIAALAQSHSTGLVASASHDKSVKLWK >Ma04_p23320.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25500884:25514126:1 gene:Ma04_g23320 transcript:Ma04_t23320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIHDYLLKRNLQATAKAFKEEGKVSAEPVAIDAPGGFLFEWWSVFWDIFISRTNEKHSQVAAAYIETQRAKAKEEHQRQLHMQQVQILQQQQAQFQRRNAIHPSFNGAMNATNITGISGPPTASIMAAKIYEERMKQPQSMDTAISSQFLDGNRVALLRSATNHPGQFIQSPVSGSAAMQHIQGQNQQTSDIKGDIGAMHKSLHKDPSSLYSQGIMQAKSGLGGAGTQGVSGLPLKGWPLAGIDQLCQNFGPQVNKPFLPNQSQFQLLSPNEQQQNLAQAQAQSNIDLSNYGMDHRKIRALPRSGLGGKDGQMNGNDASIGSPSESSSPKVRQDQSIIKASQIQQSSNQQTQELVQQQQLQQSTRKRKSTSSGAANSAGMPSANSPPSTPSIHTTGDGVSMASNLQNASTMSKSLMMYGADRTGGLASSNQMDDLEHFGDVGSLDDNVESFLSNDEGDGRDIFAALKSTAEHNAESLNGFTFGEYGCICTSNSKVVSCHFSSDGKRLASAGHDKKVVLWNMDTMQTMSSSQEHSHIITDVCFRPNSSQLATSSFDKTVRLWNAAESIHCLNTFTGHTSHVTSVDFHPKEMDILCSCDDNGEIRYWSSDKYMCKRVSKGATVQVRFQPRSGQFLAAAAENVVSIFDVETHTKTRTLQGHKKEVHAICWDANGKTLASVSQDLVKVWSLQTWECIHELNSTGNQFHSCIFHPRCPQILIIGGYQTIELWDIIGTKSSGIQAHEGVIAALAQSHSTGLVASASHDKSVKLWK >Ma04_p23320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25500884:25514126:1 gene:Ma04_g23320 transcript:Ma04_t23320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIHDYLLKRNLQATAKAFKEEGKVSAEPVAIDAPGGFLFEWWSVFWDIFISRTNEKHSQVAAAYIETQRAKAKEEHQRQLHMQQVQILQQQQAQFQRRNAIHPSFNGAMNATNITGISGPPTASIMAAKIYEERMKQPQSMDTAISSQFLDGNRVALLRSATNHPGQFIQSPVSGSAAMQHIQGQNQQTSDIKGDIGAMHKSLHKDPSSLYSQGIMQAKSGLGGAGTQGVSGLPLKGWPLAGIDQLCQNFGPQVNKPFLPNQSQFQLLSPNEQQQNLAQAQAQSNIDLSNYGMDHRKIRALPRSGLGGKDGQMNGNDASIGSPSESSSPKVRQDQSIIKASQIQQSSNQQTQELVQQQQLQQSTRKRKSTSSGAANSAGMPSANSPPSTPSIHTTGDGVSMASNLQNASTMSKSLMMYGADRTGGLASSNQMDDLEHFGDVGSLDDNVESFLSNDEGDGRDIFAALKSTAEHNAESLNGFTFGEYGCICTSNSKVVSCHFSSDGKRLASAGHDKKVVLWNMDTMQTMSSSQEHSHIITDVCFRPNSSQLATSSFDKTVRLWNAAESIHCLNTFTGHTSHVTSVDFHPKEMDILCSCDDNGEIRYWSSDKYMCKRVSKGATVQVRFQPRSGQFLAAAAENVVSIFDVETHTKTRTLQGHKKEVHAICWDANGKTLASVSQDLVKVWSLQTWECIHELNSTGNQFHSCIFHPRCPQILIIGGYQTIELWDIIGTKSSGIQAHEGVIAALAQSHSTGLVASASHDKSVKLWK >Ma04_p30980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31443841:31446014:-1 gene:Ma04_g30980 transcript:Ma04_t30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPDSGTIRNKCAACFRQYNKVEHLVEHMRASFHSVHEPVCGICQKQCRYLESLREHLIGPLPKIECARIFSTRGCDLCLDIFQCPNALRIHRGTCQLSCAVPGLISRMSRLSFQGPSSSDHGTRMQGSKVIALGCTMVGGGSDGSLDLCARVFLIGEDENIIFQTYIKPLIPVTNYRYGTTGVRPEHLRDAMPLKQAQRRIQDLLSNGEPIWKIRSRGGNARILVGHGLDHDLDCLGVEYPEFLIRDTATYPPLLKTSKLSNSLKHLTQTYLGYDIQTGTQDPYDDCVAAMRLYVRMRSQNHPRDYSSGSGENRNNYPSWRQQELEKMTPEALLELSSSDYYCWCLDS >Ma07_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9479110:9484531:1 gene:Ma07_g12580 transcript:Ma07_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSSCSFLVFFRPRVHCISRGSSSFKEFRGFYYSEFAVNQRTSNGFRKAACFALNAAVQRSSEGNMLPGQSTIIEQGCLTANAMLRGDAVAHGTIASDMTPAVDEFSIGNGELDLDCPTEGFSPISEAIEDIRQGKFVTVVDDESRENEGDLIMAAPLVTPEAMAFIVKHGTGIVCVSMKTEDLERLELPLMVSNKENEEKLCTAFTVSVDAKEGTTTGVSARDRAKTVKMLASADSNPEDFNRPGHIFPLKYREGGVLRRAGHTEASVDLAMLAELPPVGVLCEIVDEDGSMARLPKLREFAKKENLKIISIADLIRYRRKRDKLVERASVARLPLKWGSVQAYCYRSLLDGMEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSARCDCGNQLELAMAMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELHLPVDSREYGIGALILRDLGVRTMILMTNNPAKYGGLSGYGFSISGRVPLLTPITKENKRYLETKREKMGHIYGYEFNGQLSNFIESKRTNEIDIT >Ma05_p03540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2576091:2582434:-1 gene:Ma05_g03540 transcript:Ma05_t03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKKLPLQSSATYNSAIGGPPAPGKKTIEEIYQKKTQLEHILLRPDTYIGSVEKHAQTLWVYENGEMVHRPVTYVPGLYKIFDEILVNAADNKQRDPSMDAVKVEIDVDANRISIYNNGDGVPVEIHQEEGVYVPELIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFIIETADGKKQKRYKQVFTDNMGKKTDPSITKCKAGENWTKVTFRPDLAKFNMTYLEEDVVALMKKRVVDLAGTLGKSVKVELNGQRLPVKTFADYVNLYLQSASKSRPEPLPRIAEKANDRWEICVSLSEGQFQQVSFVNGIATIKGGTHVEYVTNQITNHIMTIVNKKNKNANLKAHNVRSHLWVFVNVLIDNPAFDSQTKETLTTRQGSFGSKCELSQEFLKKVAKSGVVNTLLTWADFKQSKELKKTDGAKRQRITGIPKLEDANDAGGKNSDKCTLILTEGDSAKALAMAGISVVGRNYYGVFPLRGKLLNVREANHKQILDNAEIQNIKQILGLQHGKEYDSAKGLRYGHLMIMTDQDHDGSHIKGLLINFIHTFWPSLLKVPSFMVEFITPLLKATNNKNKTVLSFYSMPEYEAWKESLGGNANGWSIKYYKGLGTSKSEEGKDYFKAIDKHKKDFVWVDEQDGDAIELAFSKKKIEARKNWLRQFEPENYLDQREKLIKYSDFINKELILFSRADLQRSIPSMVDGLKPGQRKILFCAFKRNFVKQAKVAQFVGYVSEKSAYHHGEQSLATTIIGMAQDFVGSNNINLLQPEGQFGTRHQGGKDHASARYIFTCLSPITRFLFPKDDDILLDYLNEDGQSIEPTWYMPIIPMVLANGSEGIGTGWSSYIPNYNPKDIVANVRRLLNNEPMQPMDPWYRGFTGRIEKSATKEAGVTYTITGAIEEVNGTTLRITELPIRRWTQDYKEFLESMMTGNDKSKEPFIKDYREYNDDKTVHFEVTLTEDNLSIARQEGLEKKFKLTTSIGTTNMHLFDPKGVIKKYDNSEQILEEFFHLRYEFYEKRKKALLDNLEFQLLKLDNKVRFILGVVRGEIIVNNRKRADLFLELQQKGFAPMPKKTKGIDAAATEEEEEDEQEEESPEAGKRGVKASDYEYLMSMPIGTLTLEKVQELCAEKDKLEGEVDELRRTSPRSLWLKELDALEEELDKLERKDAEAEEIRMKNNAGAVPSRQAPKKPRKKASNKSVTGPEKTVTDAGQKKTNGGSKRAPVKKNTVIESDEDDDIDDLKDRLAAYNLDSSPDQTVMETDSVVVEQQGGQKKQASRTAAARKATHTVLSDNEDDEAQNYMPTIEEDKDKDFNLVEPKGRKVRGRKPANEKAKPATTTRKRGPAQSSKPVLSQKLITEVLKPAENGGNSPEKKMRKMRDSPFNKRSGSVLGRPTSSPSGSEDSNGLSPSGPAETTNVVAAARTRPKRENMTKAVYVLSDSEIEEDPPTDDSDFDDEDD >Ma11_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25330078:25332795:1 gene:Ma11_g21030 transcript:Ma11_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIVPSAAAVVVKTFTLRRRQNRRILCVGWDPEGILGPPQRGHIARLDLKRQLEKDAVARETFDRQLREEREQRRKRREARVVPETTEGLIEYFLDSEARDIEVEIGRLRPRLNKEFFDHLRVELGQLRFAVNRTTEMEDRLIELEAMQKVLQEGTEAYDKMQTDIVSAKERLMKILQSKDRKSTLLEMVERNELSRSILALLDENIASAISNNQKEAAAFMEDVRSTILKYMTV >Ma08_p29770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41249377:41255035:-1 gene:Ma08_g29770 transcript:Ma08_t29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSSMDDDWDFTISTSSVNTLVLFGKTGNGKSATGNSILGREAFLSKPSLYGVTNTCELQSTMSRDGRVVNVIDTPGLFDRSDESEATSKEIVRCVNLAKDGIHAILVVFSVRSRFSPDEEAAIESLKTFFGEKILDYMIVVFTGGDDLESHGQTLGDFIGYKKHRSLQKFLESCRHRIVLFDNKTKNETKRAEQVRQLLYLVDSVIASNGGKPFSDKLFDELKEGALKLHRKETVVESLEGYSEQQISALKEEIYKSYDDQLARITEMVENKLRQTVEKLEKQLAEEQAARLEAEKISQEARTRWDEEISKLRESLENARRDAEEFRMRAESNKKCIFL >Ma06_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11774102:11775099:-1 gene:Ma06_g17310 transcript:Ma06_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMLTGADYEETTAAAAFQFKPERVAAFGSTVVAEGSGPDADTAWVHAWTIAPDGIITQVREYFNTSLTITRVAADSASKSAASCSSSSSSGSAHGTPVWESSRPERAGKSFPGLVLAI >Ma01_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19799279:19799892:-1 gene:Ma01_g20930 transcript:Ma01_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSCINLVVDSSAESSSQSSFWDLFELDLYINHIMNEAGATVVLRGRESEHHDLPLQPLHLSLLSTNPKSLEVAKILPENLLDSFLWI >Ma06_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13993358:13995209:1 gene:Ma06_g19910 transcript:Ma06_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRLRMALGAVKDQTSIGLAKVSNSTTMSELDVTIVKATRHNEFPAEEKHVREILSLTCYSRAYIGACVSLLSRRLGKTRSWAVALKTLILIHRLLSEGDPAYEQEIFFATRRGTRMLNMSDFRDTSRSDAWDFSAFVRTYALYLDERLDYRMHGRRKRRGSRSNIYKEEEEEKAAAASTSKATPVREMKTERIFTRTQQLQQLLERFLACRPTGAAKHNRIVAVALYPLVKESFQIYLDLADIMSIFVDRFMELQVPDCIRVHDIFSRLSKQFDELEVFYGWSKSAGICRSSEYPEVERITTKKLDIMDEFIRDKAALTHTKRQRSLEADRDASDDAKPPEEEPEHNMNAVESSPEPEDDTEDTKEEHAVAEVKTDVADEKQEEEEAEADLLNLKEDAMTSEEHGDMLALALFDGNMADAAPKWEAFTNNDNSSDWETALVQTSSNLSGQKASFGGGFDMMLLDGMYNQAQAAVGHGHLGSGSGSSVVVHAPAPQPVLALPAPLEGGTTSGGRDPFAASLMVPPPSYVQMSEMERKQHLLVEEQQLWKQYSKDGMQGQLALAKLQQQFPPAAYPTGAYARMF >Ma07_p00490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:439798:449247:-1 gene:Ma07_g00490 transcript:Ma07_t00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRITLASSSLSFLVLLLVSISASGGGGDLDARQAERSALLQFKSSVLADPAGLLHGWGSSADHCSWPGVSCDGRSRVVSLNISAKGGSTPLPCSRSGPYRLSCGDPGRRMAGRLSAAVGSLSELRVLSLPFHGFDGEIPDEIWGLEKLEVIDLEGNSLSGGLPSRFPRRLRELSLASNLIKGEIPPSLSRCVDLETLDLSGNQINGTIPGFLGGFSKLEELYLAFNRLDGSIPDEIGDGCRNLQILDLSGNSLFGSIPSNLGNCTELRVLLLFSNLLDGLIPSDLGRLNKLQVLDVSRNSLSGFVPEDLGNCLELTVIVLLNLYDPIPEEVASTSVDIDEFNCFQGRLAENITVLPKLRVLWAPRAKFQGKIPSNWGTCENLEMVNLGQNLFTGPIPKAYGQCRNLRFLDLSSNSLTGWLEEDLPVPCMDFFNVSGNQLSASIPRFAYKECFLYQFPRDDLSSAYFSFFAYKSRTGLNLPIFESGGESAIYHNFGKNNFTGSLLSLPLATNGYANQTVYAFLANDNHLFGSLNGIIVEKCNKVNHLIIDLSNNMVRGGFTQEIGTTCKSLVVLDVANNQISGTIPATIGLLWNLVSLDLSRNQMQGEIPATIKQLKSLTYLSLSDNNLSGRIPSGIDQLQSLKVLDLSSNSLTGDIPSDLVKMSNLTTLLLNNNKLFGNIPSAFANVTSLTKFNVSYNNLSGPLPLNASTLRCDSVLGNPLLQSCHIYSLSVPSSDLQGSSQNSQPYSESPPNGSPNDSGGGGFSSIEIASIASASAIVSVLLALIVLYIYTRKCAPRSTTRSSGRKEVTVFVDIGVPLNYESVVRATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHISDTEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDVACALAYLHDTCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTEGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVQRLKEIQPPHFGHNQHT >Ma07_p00490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:439798:449247:-1 gene:Ma07_g00490 transcript:Ma07_t00490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRITLASSSLSFLVLLLVSISASGGGGDLDARQAERSALLQFKSSVLADPAGLLHGWGSSADHCSWPGVSCDGRSRVVSLNISAKGGSTPLPCSRSGPYRLSCGDPGRRMAGRLSAAVGSLSELRVLSLPFHGFDGEIPDEIWGLEKLEVIDLEGNSLSGGLPSRFPRRLRELSLASNLIKGEIPPSLSRCVDLETLDLSGNQINGTIPGFLGGFSKLEELYLAFNRLDGSIPDEIGDGCRNLQILDLSGNSLFGSIPSNLGNCTELRVLLLFSNLLDGLIPSDLGRLNKLQVLDVSRNSLSGFVPEDLGNCLELTVIVLLNLYDPIPEEVASTSVDIDEFNCFQGRLAENITVLPKLRVLWAPRAKFQGKIPSNWGTCENLEMVNLGQNLFTGPIPKAYGQCRNLRFLDLSSNSLTGWLEEDLPVPCMDFFNVSGNQLSASIPRFAYKECFLYQFPRDDLSSAYFSFFAYKSRTGLNLPIFESGGESAIYHNFGKNNFTGSLLSLPLATNGYANQTVYAFLANDNHLFGSLNGIIVEKCNKVNHLIIDLSNNMVRGGFTQEIGTTCKSLVVLDVANNQISGTIPATIGLLWNLVSLDLSRNQMQGEIPATIKQLKSLTYLSLSDNNLSGRIPSGIDQLQSLKVLDLSSNSLTGDIPSDLVKMSNLTTLLLNNNKLFGNIPSAFANVTSLTKFNVSYNNLSGPLPLNASTLRCDSVLGNPLLQSCHIYSLSVPSSDLQGSSQNSQPYSESPPNGSPNDSGGGGFSSIEIASIASASAIVSVLLALIVLYIYTRKCAPRSTTRSSGRKEVTVFVDIGVPLNYESVVRATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHISDTEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDVACALAYLHDTCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTEGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVQRLKEIQPPHFGHNQHT >Ma07_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:439798:449247:-1 gene:Ma07_g00490 transcript:Ma07_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRITLASSSLSFLVLLLVSISASGGGGDLDARQAERSALLQFKSSVLADPAGLLHGWGSSADHCSWPGVSCDGRSRVVSLNISAKGGSTPLPCSRSGPYRLSCGDPGRRMAGRLSAAVGSLSELRVLSLPFHGFDGEIPDEIWGLEKLEVIDLEGNSLSGGLPSRFPRRLRELSLASNLIKGEIPPSLSRCVDLETLDLSGNQINGTIPGFLGGFSKLEELYLAFNRLDGSIPDEIGDGCRNLQILDLSGNSLFGSIPSNLGNCTELRVLLLFSNLLDGLIPSDLGRLNKLQVLDVSRNSLSGFVPEDLGNCLELTVIVLLNLYDPIPEEVASTSVDIDEFNCFQGRLAENITVLPKLRVLWAPRAKFQGKIPSNWGTCENLEMVNLGQNLFTGPIPKAYGQCRNLRFLDLSSNSLTGWLEEDLPVPCMDFFNVSGNQLSASIPRFAYKECFLYQFPRDDLSSAYFSFFAYKSRTGLNLPIFESGGESAIYHNFGKNNFTGSLLSLPLATNGYANQTVYAFLANDNHLFGSLNGIIVEKCNKVNHLIIDLSNNMVRGGFTQEIGTTCKSLVVLDVANNQISGTIPATIGLLWNLVSLDLSRNQMQGEIPATIKQLKSLTYLSLSDNNLSGRIPSGIDQLQSLKVLDLSSNSLTGDIPSDLVKMSNLTTLLLNNNKLFGNIPSAFANVTSLTKFNVSYNNLSGPLPLNASTLRCDSVLGNPLLQSCHIYSLSVPSSDLQGSSQNSQPYSESPPNGSPNDSGGGGFSSIEIASIASASAIVSVLLALIVLYIYTRKCAPRSTTRSSGRKEVTVFVDIGVPLNYESVVRATGGFNASNCIGSGGFGATYKAEISPGVLVAIKRLAVGRFQGAQQFHAEIKTLGRWRHPNLVTLIGYHISDTEMFLIYNYLPGGNLERFIQERSRRPVDWRMLHKIALDVACALAYLHDTCVPRILHRDVKPSNILLDNEFNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVTWACMLLQKGRAREFFTEGLWDVAPHDDLVETLHLGVKCTVDSLSIRPTMKQVVQRLKEIQPPHFGHNQHT >Ma11_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5715204:5716985:-1 gene:Ma11_g07140 transcript:Ma11_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLLPAIAPVDISVGLGFTEAVRQGDRSQGKVFTPRRRRRWSLQSLYADSKYACVEFEPENTMGLPPPLSSLVTSAAAAAAEAAVSLEQKVYDVILKQSSLVKKKLALTTALDVKPDLAIPGSLSLLKEAYDTCGEVYMKFSCIFVGTLLLTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWESRLEDVFAGCPYDMLDAALSDTVSKYPVDIQPFRDMIEGMRIDLNMPRYQNFDELYLYCYYVAGTVGLMSVPVMGSAPESKATAESVYRAALALGIANQLTNIHGDVGEDARRGRIYLPQDELARFGLSDDEIFDGKVTDKWRSFMKNQIKRARMFFRAAEDGVSELNQASRWLVWASLLLHQQILDEIEANDYNNFTKRASASKAKKLMALPVALGRSLVTPSSLRQSSSAKTRLEGNLCHWIEPPTSEKKML >Ma10_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30291716:30293318:1 gene:Ma10_g19920 transcript:Ma10_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRAMQQQNASSASEEMRAPLAVADRKPPVFCPKPRRLSPLAAVAEPVLPFRWLSSHQTDFSDSKAGADLHGIFLAKGGEQNQVSSSPPFFCGSPPSRAANPVVHDARFGDDRPPAAFAPVPLIQSGPPLSPKQGCAHAKFGLMPAAVRIEGFDCLNRDRRSCSSITAVA >Ma10_p19370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29942721:29953612:1 gene:Ma10_g19370 transcript:Ma10_t19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVEKIGSYISRGVYTVSGPFHPFGGAVDIIVVQQQDGSFRSSPWYVRFGKFQGVLKTKEKVVKISVNGVEAGFSMYLDNKGEAFFLRDVEVGGQDFFLSPPTSGDETEGRLKNGQFKRTQSSSVADGQKELVTRLDDGNGQLVTRTNSRRSTIFGLVFGQKSTRDIEEAGNVERISSLERAEIAADLLEVKWSTNLMSGDRKTDDTRVKSSEKTEMNVSVFDKEQDPRTSLTNDDLHCEEKLNSHGEGNPDDTFSESIFSRNFSEEAIDGSPSCLRVSEETIEIHTFDTGDNADQKNSEFVFRNPIVEPNLNFDGTFGDSFVSHVEGQHRNNVLTQKSFEEITSHEEVVQIYALEISDTADRNKLISDLVTVQSSEADAPNLNSATYYDDTSQPHNESHVGSVAGFSLFESGERELTSSSYCGTIESSTISFNVSDDKPSVHLGLLSSGAEHCENEFLYDTDNMVQEASYLSETDALLGTEHLDGCNNQNLETSITSEYYLSEKSQCDYPRNGAFKDSDNDGFNTESEPNSSSDQISGGIPFLYNQQLQSCEHEVIFMDAMAEESYHDKETNFQQIDFVGIHSQMAERDAAQDTCFPSLKFNNPLISGASAESHSIPEIPNSCNSSNVTQKIENFDLDDNPKRSYFFEEINAAGDFSLISGPSEVAEHSVPCSESSEDVQFPFSDIHNFSAKEIDTELSNNNKVVHAEHLPTLTAEFHQEEQNLHLKSHKQSLEGMSDVLMSRSSPISIHGCKTSSGEIELSSISLPIICRHIRDLEGSDINHSLSCSLQSKADVCKLDVLTKEDSSSSDLVAEPQTELTQGYSSDEADTAISIKNEEEQKGTLTNATVELSLCKHLLFEGMGADAARQVFNCEKVNLEKFSTIGPSLVKNDKLVVRVGDQYFPFNAAAPIILGMICFGQEKLFEPQGMIPVDGAERKNQASRSITSSRGSWNLWPFLKRSKTISTAHMASENTKEMVVDLASKSTGNINHESDMPKANNSKKVQLLTPTSEELASLSLKEGKNVVSFTFWTPMLGLQQVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKDNGYQLLFLSARAISQAHITRQFLFNLKQDGKALPDAPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKALFPSDWNPFYAGFGNRDTDEISYLKVGIPISKVFIINPKGQVTVNRRVDTRSYTSLHELVNGIFPPMSSFEQEDYNSWNYWKLPLPEANYRLVM >Ma10_p19370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29942737:29953612:1 gene:Ma10_g19370 transcript:Ma10_t19370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVEKIGSYISRGVYTVSGPFHPFGGAVDIIVVQQQDGSFRSSPWYVRFGKFQGVLKTKEKVVKISVNGVEAGFSMYLDNKGEAFFLRDVEVGGQDFFLSPPTSGDETEGRLKNGQFKRTQSSSVADGQKELVTRLDDGNGQLVTRTNSRRSTIFGLVFGQKSTRDIEEAGNVERISSLERAEIAADLLEVKWSTNLMSGDRKTDDTRVKSSEKTEMNVSVFDKEQDPRTSLTNDDLHCEEKLNSHGEGNPDDTFSESIFSRNFSEEAIDGSPSCLRVSEETIEIHTFDTGDNADQKNSEFVFRNPIVEPNLNFDGTFGDSFVSHVEGQHRNNVLTQKSFEEITSHEEVVQIYALEISDTADRNKLISDLVTVQSSEADAPNLNSATYYDDTSQPHNESHVGSVAGFSLFESGERELTSSSYCGTIESSTISFNVSDDKPSVHLGLLSSGAEHCENEFLYDTDNMVQEASYLSETDALLGTEHLDGCNNQNLETSITSEYYLSEKSQCDYPRNGAFKDSDNDGFNTESEPNSSSDQISGGIPFLYNQQLQSCEHEVIFMDAMAEESYHDKETNFQQIDFVGIHSQMAERDAAQDTCFPSLKFNNPLISGASAESHSIPEIPNSCNSSNVTQKIENFDLDDNPKRSYFFEEINAAGDFSLISGPSEVAEHSVPCSESSEDVQFPFSDIHNFSAKEIDTELSNNNKVVHAEHLPTLTAEFHQEEQNLHLKSHKQSLEGMSDVLMSRSSPISIHGCKTSSGEIELSSISLPIICRHIRDLEGSDINHSLSCSLQSKADVCKLDVLTKEDSSSSDLVAEPQTELTQGYSSDEADTAISIKNEEEQKGTLTNATVELSLCKHLLFEGMGADAARQVFNCEKVNLEKFSTIGPSLVKNDKLVVRVGDQYFPFNAAAPIILGMICFGQEKLFEPQGMIPVDGAERKNQASRSITSSRGSWNLWPFLKRSKTISTAHMASENTKEMVVDLASKSTGNINHESDMPKANNSKKVQLLTPTSEELASLSLKEGKNVVSFTFWTPMLGLQQVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKDNGYQLLFLSARAISQAHITRQFLFNLKQDGKALPDAPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKALFPSDWNPFYAGFGNRDTDEISYLKVGIPISKVFIINPKGQVTVNRRVDTRSYTSLHELVNGIFPPMSSFEQEDYNSWNYWKLPLPEANYRLVM >Ma07_p23250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30959932:30964813:1 gene:Ma07_g23250 transcript:Ma07_t23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSGVHVAGLREIGFQFLRGDPDRLILRGMGRIRAVLLAVVFLAAEMPQLLGSPVTGPAFLWSPQHFGSSQHDNKEFVDYRTFSPKDLTKSVLSEGGWSNVVCTREKLNDNMDVAVVFVGRKLQTSDISSIKQPDPSLIDILKLSFTTSNFSMAFPYVAIDEQEMLENSLVKGFAENCGQGLGVNRIAYLDSCSLDGGNKKLEGLHSVHDILASRISGKMDLIVLCSGDSKESDRTPSEGEALSNVVDMLKQSGAKYTILYASRPYRTTQYPAHLAVRFLAESPQGQASANSTCDGVCQIKSSLLEGIFVAIVLLIILISGLCCMIGIDTPSRFETPQES >Ma10_p04580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15239749:15242141:1 gene:Ma10_g04580 transcript:Ma10_t04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILSHLGAARDVVVASATCRKWREACRKHLHTLSFKSDDWPRDITTRQLEILITQTIFQTIGLQCLSIHMDSAHEFSAAPVIAWLMYTRETLRSLSYNVRTTPNVNILEKCGRQKLETLDLDHNLITGVEPSYQRFTCLKSLSLRHINISAFDLSLLLAACPRIESLTLDALEIVTSDSQSLMELSSQTLKCLYAKSVGVDKIILEADNLEILNLNALNLDFFELIGKGTLRHLKIDDVSVTHLDIGESMDHLEVVDVSNFLIVWPKFYHMISRASKLRRLRLWGVVFDDEDEIIDSESIAISFPQLKHLSLSYEIRDGLLHYGLRGSSSLGNVAVLELGWTVISEHFGHWVFGMIERCPNLKKLVIHGVLNEAKTREERQMLANFTSFIVCLMRKYIHVDVQFEYE >Ma10_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15239750:15242141:1 gene:Ma10_g04580 transcript:Ma10_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILSHLGAARDVVVASATCRKWREACRKHLHTLSFKSDDWPRDITTRQLEILITQTIFQTIGLQCLSIHMDSAHEFSAAPVIAWLMYTRETLRSLSYNVRTTPNVNILEKCGRQKLETLDLDHNLITGVEPSYQRFTCLKSLSLRHINISAFDLSLLLAACPRIESLTLDALEIVTSDSQSLMELSSQTLKCLYAKSVGVDKIILEADNLEILNLNALNLDFFELIGKGTLRHLKIDDVSVTHLDIGESMDHLEVVDVSNFLIVWPKFYHMISRASKLRRLRLWGVVFDDEDEIIDSESIAISFPQLKHLSLSYEIRDGLLHYGLRGSSSLGNVAVLELGWTVISEHFGHWVFGMIERCPNLKKLVIHGVLNEAKTREERQMLANFTSFIVCLMRKYIHVDVQFEYE >Ma07_p29050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34877170:34878183:-1 gene:Ma07_g29050 transcript:Ma07_t29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESHASGGCKSTATASELRHPEQSLKCPRCDSSNTKFCYYNNYSLAQPRHYCKTCRRYWTKGGALRNVPVGGGCRKNKRSKSSTSRLSLDPMPRIPESGDGLKFLNSLPSLAADFQIGVRPFSGLHSLSTSGVLSSNQCMSLGDVISSPNALRMSSSSGTAATPMLGYNYPVSAVGVYSDTGGCSSSAGSGHTNSSIASSIESLSSINQDLHWKLQQQRLAMFYGGQAHKDSSSTSAFPPPFLENQQDPISFEAVERSKDEGLEGHASTKCCAGSGHHTSPPWFVESSPTMPITTITTDTTMSTMTTNNGNSNTGIWNGTPWPVWNDMPQFGTLP >Ma10_p30490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36775139:36778915:1 gene:Ma10_g30490 transcript:Ma10_t30490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVHKRLFCLLSCNKSTVHVSSYQLCSLFSFSTAEEHSSNHGSNFTLVDPLESCELSSKEAAKRAKDRICEKELSSSSPSIEFFKQSGWSDPLVMKLLQREPRLLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPCLLYLRDIQPRIDFWRSLLGSNERLIKASRGNMFLLTSSVARKVEPNISLLRECGISEQCITQMVVAVPSFFCRTNKCIDESIKHVEELGVSRDCKMFPDALLNVMTLSWSRFHATFATLMSFGWSQPDSLAAFRRYPVIWNYSKKNLCDKMTFLMKEAGCELTYIVGHPVLLTYSLEKRLRPRYEVMNFLYQNKLLDKGHDLLSVILLSEEKFRNKFLFLLRNEKFIAQYDSYVVAVQGKHDVIAEN >Ma10_p30490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36775139:36778915:1 gene:Ma10_g30490 transcript:Ma10_t30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVHKRLFCLLSCNKSTVHVSSYQLCSLFSFSTAEEHSSNHGSNFTLVDPLESCELSSKEAAKRAKDRICEKELSSSSPSIEFFKQSGWSDPLVMKLLQREPRLLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPCLLYLRDIQPRIDFWRSLLGSNERLIKASRGNMFLLTSSVARKVEPNISLLRECGISEQCITQMVVAVPSFFCRTNKCIDESIKHVEELGVSRDCKMFPDALLNVMTLSWSRFHATFATLMSFGWSQPDSLAAFRRYPVIWNYSKKNLCDKMTFLMKEAGCELTYIVGHPVLLTYSLEKRLRPRYEVMNFLYQNKLLDKGHDLLSVILLSEEKFRNKFLFLLRNEKFIAQYDSYVVAVQGKHDVIAEN >Ma10_p30490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36777242:36802451:1 gene:Ma10_g30490 transcript:Ma10_t30490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVHKRLFCLLSCNKSTVHVSSYQLCSLFSFSTAEEHSSNHGSNFTLVDPLESCELSSKEAAKRAKDRICEKELSSSSPSIEFFKQSGWSDPLVMKLLQREPRLLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPCLLYLRDIQPRIDFWRSLLGSNERLIKASRGNMFLLTSSVARKVEPNISLLRECGISEQCITQMVVAVPSFFCRTNKCIDESIKHVEELGVSRDCKMFPDALLNVMTLSWSRFHATFATLMSFGWSQPDSLAAFRRYPVIWNYSKKNLCDKMTFLMKEAGCELTYIVGHPVLLTYSLEKRLRPRYEVMNFLYQNKLLDKGHDLLSVILLSEEKFRNKFLFLLRNEKFIAQYDSYVVAVQGKHDVVAVT >Ma02_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27749059:27753961:-1 gene:Ma02_g22400 transcript:Ma02_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSASSDVARQSSAYASAASCDQTEALGSVSMDHLLRGFCAQPPFPLPLPRNAAGTTADVVWEIPDGAGSGYEDAAAGADGEVTLEEFLARTGAVREEDVGVSTGFVVDPAIGGRFCQQEQQLPLENPMLGFGSALESGGRRARKRVVLDDPVDKVVLRRQKRMIKNRESAARSRERKQAYTVELESLVTRLEEENVTLVREQEEQHKMRFQQLMVNIIPVNESRKPLPVLRRTHSMQW >Ma08_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7671574:7686518:1 gene:Ma08_g10510 transcript:Ma08_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPLLASLFLASFLLPYAVESLAQAFRRDPGHPQWHHGAFHDVQGTIRDDVRRMLHTRAEVPFQVPLEVNILLIGFNGDGGYRYKIDAHKLEDFLKSSFPTHRPSCLETGEPIDIEHHIIYNAIPAGQPELVALEKALKEAMVPAGIARESEYGREFPLFEVEATVVEPIFQRLYSFIFDVESGGYSATEMDRLVPAAIFIVNFDKVRMDPRNKEIDHDRFMYGPIGELTEEELKKQEGDYIYRYRYNGGGASQVWLSSGRFVVIDISAGPCTYGKIETEEGSVSYRSLPRLSNLIIPRGLVAASIDSTQAIFMGQLGALISTTIEHVIAPDIRFETVDLTTRLLVPIIVLQNHNRYNILQAGHNYSIDIKAIEREVKKMVHAGQEVIIIGGSHALHQHEKLAIAVSKAMRGHSLQETKKDGRFHVHTRTYLDGAILKEEMERSADVLAAGLLEVSDPSLSSKFFIRQHWMDEADSSQDSMIKHKPIWESYIPKHGRDKKSSGKKKQGNLYRTYGTRVIPVFVLSLADVDAGLLMEDESLVWTSKDVVIVLQHDNEKIPLSYVSETTRQLAFPSLAQQHILAGLASTIGGLSAPYERASHIHERPVINWLWASGCHPFGPFSNSSQISQMLQDVALRITIYAQVDSALRKIRDTSESVQSFAAEHLKTPLGEPVKGKKKKSGTELWVEKFYKKTTNLPEPFPHELVERLEEYLDKLEEKLVDLSSLLYDHQLEDAYQNSSDILQSTIFTQQYVERVLAAEREKMKCCSIQYIHPTQTSQAFIYGGILLAGFFVYFLVIFFSSPVR >Ma08_p07260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4972397:4978696:1 gene:Ma08_g07260 transcript:Ma08_t07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHSNEMIATMRLEEFKNEVILIAKLQHRNLVKLLACCIHGEEKLLVYEYMPDKSLDFFLFDPTQKAKLDLGKRFNIIKGIARALLYLHQDSRLRIIYLDLLLSNSLLSYELKPKQTIRFFLWCHLWYLLWCI >Ma09_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8811359:8813054:1 gene:Ma09_g13070 transcript:Ma09_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLMEAELFPSTPGKVKIERAHTINRQFNRCFASTSTMFLWALFLIALTASYLSFQSFVDTSSRYFNASWGGMHWEKQIRASATVRRPGGMSVLVTGAAGFVGTHVSLALRKRGDGVVGLDNFNSYYDPSLKKAREALLESHGVFVVEGDIDDARLLAKLFDVVPFTHVMHLAAQAGVRYAIENPASYVHSNIAGLVTLLEACKSADPQPAVVWASSSSVYGLNEKAPFSEADRTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTFIDDIVKGCVASLDTAEKSTGSGGRKGSPAQYRIYNLGNTSPVTVPALVGILERHLKVKAKKNVVEMPGNGDVPFTHANISLARAELGYKPTTNLETGLKKFVRWYLSYYGYSPRSGGSAAEATTGSAKSL >Ma04_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26968422:26970621:-1 gene:Ma04_g25150 transcript:Ma04_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:Projected from Arabidopsis thaliana (AT3G11980) UniProtKB/TrEMBL;Acc:A0A178VDD9] MSFVPYKETNGTKVDSTRGIGIAGFLEGKQLLVTGATGFLAKVLVEKILRIAPDVGKIYVLIKADDKEAAVKRLEFEIINTELFRCLREIHGKDYHEFMSSKLVPVIGNIREANVGIEPELADEISKEVDVIINSAANTAFDERYDVALDTNTIGPFRLMSFARRCEKLKLFLHVSTAYVNGQRQGRILEKPFGMGDTIKRETSPEFSAKATPILDIESEIRLAFSWAKTSWDAPLVQKMKDLGLERAKIHGWQDSYVFTKAMGEMVINCMRGDIPVVTIRPSIIESTYSEPFPGWMEGSRMMDPVVLQYGKGQLTGFLADPNAVLDVVPADMVVSAMVAAMAKHGSTSNPGMHIYQITSSVVNPLVIQDLAKLIFQHFSAFPCMDAKGRPIAVSPIKFFDDANEFSAYVSTDAVRRNERLAAAISNEKISRSLKSFCLRSVEQAKHLAKIYEPYTFYGGRFDNKNTQELMVEMSEEERRSFGFDVGSIDWEHYISDVHVPGLRRHVMKGRGRSTEPQLGAIP >Ma04_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:122262:130208:-1 gene:Ma04_g00090 transcript:Ma04_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPQVLPEEDDEEARSPSTFVSSLPRCYGVSSCDLDGFHAGSTNCNSAGDFSYSPICDFQRKSTLENSEEMDWLLEHRNSNGAAVELQGLKFDTNSANDKLTPKFCHSIQKPLVRVVGFGSGQLGSSVNGFNNVLVEQINSSMALDKSNLSNDSHGLQPRKRLLSPLNSTLRKQFHGDLLDINSVNTQLDFCGLSGNHSLFSSHDHKKPNVGVVEKNPDWPLSKCSNLHSLSDANVLTFDALSDGPVFDNKDRSHSRCLSVGGLNQNNKLRPFAGTIVVSPEKVLSTPFSLSPLGPRWSRGMKNPGVQRNILKDIESDFSVLKGIEGSNGGSRTEIMFLPEDVDFRASSTFEEPSILHDEMDTFTAFGRGHKGWNGGPKSVPAPHCMNHIRGSSMVPVRRSLVGSFEESLISGRFSSGKVCQSFNGFLAVLNITGGNFSPPSQKLPFSVMSVDNDSSLLYYASIDLAGALPSSKCRGPKLTRSLSNDSGVAKSRLRIPMKGRIQLVLSNPEMTPLHTFFCNYDLSDMPPGTKQTFLRQKATLASYESSTNLAKGTDNHNIEAACITMAKSSETGHGECYGCVRNDETQHCESATKSRSTKERSSELFSTEDFHGLPNRFNYVMDSRDNSGLNKSNRYKKIEEGTCCQIDMCRLASTKSVHSSLKVNDNTSGALRYALHLRFLCLSTRKSSKSLQRCKSDPDSVPITNNIETMGGRRFYLYNDLRVVFPQRHSDTDEGKLRVEHHFPADPKYFDLSN >Ma04_p00090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:122262:130208:-1 gene:Ma04_g00090 transcript:Ma04_t00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPQVLPEEDDEEARSPSTFVSSLPRCYGVSSCDLDGFHAGSTNCNSAGDFSYSPICDFQRKSTLENSEEMDWLLEHRNSNGAAVELQGLKFDTNSANDKLTPKFCHSIQKPLVRVVGFGSGQLGSSVNGFNNVLVEQINSSMALDKSNLSNDSHGLQPRKRLLSPLNSTLRKQFHGDLLDINSVNTQLDFCGLSGNHSLFSSHDHKKPNVGVVEKNPDWPLSKCSNLHSLSDANVLTFDALSDGPVFDNKDRSHSRCLSVGGLNQNNKLRPFAGTIVVSPEKVLSTPFSLSPLGPRWSRGMKNPGVQRNILKDIESDFSVLKGIEGSNGGSRTEIMFLPEDVDFRASSTFEEPSILHDEMDTFTAFGRGHKGWNGGPKSVPAPHCMNHIRGSSMVPVRRSLVGSFEESLISGRFSSGKVCQSFNGFLAVLNITGGNFSPPSQKLPFSVMSVDNDSSLLYYASIDLAGALPSSKCRGPKLTRSLSNDSGVAKSRLRIPMKGRIQLVLSNPEMTPLHTFFCNYDLSDMPPGTKTFLRQKATLASYESSTNLAKGTDNHNIEAACITMAKSSETGHGECYGCVRNDETQHCESATKSRSTKERSSELFSTEDFHGLPNRFNYVMDSRDNSGLNKSNRYKKIEEGTCCQIDMCRLASTKSVHSSLKVNDNTSGALRYALHLRFLCLSTRKSSKSLQRCKSDPDSVPITNNIETMGGRRFYLYNDLRVVFPQRHSDTDEGKLRVEHHFPADPKYFDLSN >Ma05_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4087234:4087794:-1 gene:Ma05_g05390 transcript:Ma05_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKHTATTTCRSVDGDMTVEEFQGVAEDVRRRQGRAHKPGRASTGHPLLPHGRFSGWKSARGIRYAEADGDGFIDDLEEFAHKCLGSKIVPYKMCQTTSRRSEREASGAAYEEICYFCVFQALHVCLPLGINKHERHFDRVLIPLSCNVYVFHKTKLTTNPHGALREKKTASEMDGWIHRVPCAL >Ma08_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6695299:6696471:-1 gene:Ma08_g09260 transcript:Ma08_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRDVGSSFAAACDTNACNSVGLCYRHGGHPYMKEI >Ma02_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19694765:19696334:1 gene:Ma02_g09940 transcript:Ma02_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGLTIVCDNGTGMVKAGFAGDDAPRSVFPSIVGQPRHTSVMIGMNNKNIYIGSEAQSKRGMLTLSYPIEHGIVNNWDNMEKIWHHTFHNELRVAPEEHPVLLTEAPLNPKANREKMTEIMFDTFDVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHAVPIYEGYALPHAISRVNLAGRHLTDALMTILTERGYSFTTTAEREIVRDIKEKLAYVALDYANELEEANSSSVLEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEADGIHLLTYNSIMKCDVDIRRDLYGSIVLSGGTSLFPGIDDRMTKEVSALAPKSMKIKVVAPPERKYSVWIGGSVLASISSFEQSWISKEEYQEDGPSIVHRKCF >Ma04_p25670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27377553:27380363:-1 gene:Ma04_g25670 transcript:Ma04_t25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNANTYSGSIRAAFSYCVQQVRSYDYHHYLCLLHLPPAMRKTAFALRAFNVETARAMDVASDPKIGLMRLLWWQDAIDKIFAKKNVEHPTAQALSSVISEHKISKHWLKRSVDARINDASKEGGVLPETIADLEQYAEDTTSTMLYMTLQAGGICSTSADHAASHIGKASGLLLLLKSLPYHASRQGRISYIPADVASRHGLLITAHGRSEIKMESGEALSDAVFEVASVASLHLQKARELAPAVPTEALPVLLPAVPAQVLLDTLRQRNFNVFDSRILGGVQGVSPLWYQLKLKWHAWRNKY >Ma05_p28320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39369733:39373328:1 gene:Ma05_g28320 transcript:Ma05_t28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGEGREIRRDGGHGRGSRRVAATEDEIDGMPVAKALPAENTDVNEEKSCSQPQEQKPRWKKFLAHVGPGFLVSLAYLDPGNLETDLQAGANHKYELLWVILIGLVFALIIQSLAANLGVTTGKHLAELCKAEYPRFVKYCLWVVAELAVIAADIPEVIGTAFALNILFHIPLWAGVLITGLSTLLLLGLQRYGVRKLELLISILVFIMAACYFGELSYVKPPASEVMKGLFVPKLNGKSATSDAIALLGALVMPHNLFLHSALVLSRKTPPSVKGINDACRYFLVESGFALFVALLINIAVVSVSGTVCAADNLSSDDSDRCSDLTLNSASFLLKNVLGKSSSIVYGIALLASGQSSTITGTYAGQYIMQGFLDIKMRMWLQNLMTRCVAIGPSLIVSIIGGSAGAGKLIIIASMILSFELPFALIPLLKFSSSQTKMGPHKNSIYVIVVSWVLGFGVIGINIYFLSTSFVDWIIHSSLPKAVTILVGIVVFPFMAVYILAIIYLTFRKDTAVTFIDKSDSSQIEMENGMRRSDGNRGTEVVPYRVDLADIPLPD >mito3_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:663612:663890:1 gene:mito3_g00060 transcript:mito3_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSLWKGSFLDAFLFRMKKNREQIDSRKIWSRRSSISPEFVDCSVLIYNGKTPVRCKITDGKVGHKFGEFAFTRSSKTNRVKGRKGINPV >Ma03_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11197595:11199013:-1 gene:Ma03_g14020 transcript:Ma03_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDEHGVKKGSWMPDEDKKLTDYIQKHGQGNWRRLPKLAGLDRCGKSCRLRWTNYLRPDIRRGKFTDEEEKLIIQLHSVLGNKWSTIATRLPGRTDNEIKNFWNTTLRKKLLQMGIDPVTHQPRTDLSLLSSSTSRLDSSLQLQVDAARLIELHLVQNLVRLLTCSSPAPNLDLIRLLGSAVPKNHHQVNDLFALTPQLSSLVNGSLGLPSLAPVGQQILSVNGGQTAAPSSADDSSSESMMTSKDCGTNSGTIPASVTAPSLVSSPENMSKNETISLEGSTNTPTSPPFEAWDALNLDDFGWKEIIDQMSPWLNAP >Ma10_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32992356:32993835:1 gene:Ma10_g24340 transcript:Ma10_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLLLFETCHLRDRLFLSSSVDAAAVTVGGTMSPDPHFMVEYLVNSCGFSPSEAAKVSKPLAHLRATEKPDAVLNFMRSQGFDGAGIRKVISADPRYLCYNVETNLAPKFQFLRDLGLSESDIVDAILKNNGILLYNIHRSLVPKLEMWESLLGSRELVLKHLKKTGWFFFSSVEKTLHPNLKFLRDECGIPEERVSLVLRSHPKLISQKPESLRALVARADELGMPRQSRMFMWTLDVFQKVSKEAFEAKAELMRSFGWSESEFSSAARKAPTFLCMSLDMMRRKMEFFINIVGYTPSFIASQPTILLYGLQKRVIPRFRVLEMLNTKGLWTRRGKFVNYVQLSNTKFREKIVLPYKEKVPELLDILRAGDEQ >Ma10_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21958090:21986196:1 gene:Ma10_g07610 transcript:Ma10_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATVQHLDLRHVGRGLKPLQPHAAVFHPTQALVAVAIGTYIIEFDALTGSKISSINIGSAVVRMSYSPTSGHAVISILEDCTIRSCDFDTEQTLVLHSPEKRTEHISADAEVHFALTPLQPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPIVHLACHPRLPVLYVAYADGLIRAYNIQTYAVHYTLQIDNTIKLIGASAFAFHPTLEWIFVGDRRGTLLAWDVSTERPNMIGITQAGSHPITSISWLPTLRLLVTVSKDGTLQVWKTRVIVNPNRQPMQANFFEHAAIENIDITQILSLQDGEAVYPLPRIRSLAVHPKLNLAALLFSDMAGEENVKNRAAYTRDGRKQLFAVLQSARGSNAAVLKEKLSALGSSGILADHQLQAQLQEHHFKGQSQLTISDIARKAFLHSHFMEGHAKRGPISRLPVITISDAKNQLRDVPVCQPFHLELNFFNKENRVVQYPVRAFYLDSFNLMAYNISSGADNLYKKLYSTIPGNVECSPKAMIYSSKQHLFLVVFELSGANGVIHEVVLYWEQTDPNSVNTKGTSLKGRDAALLGPSENQYAILDEDKTSLALYILPGGASQEAIEKNGALDEKSFTETRVASNQGPLQFTFKSEVDRIFSSPLESTILYAISGKHIALAKLLQGYRLSTDDGQYISTKTDGKKFIKLKPNETVIQVHWQATLRGHVAGILTSHRVLIASSDLDILSSSSAKFDKGFPSFRSLLWVGPALLFSSATAVSVLGWDSKVRTILSISMPYSVLIGALNDRLLLVNPTDINPRQKKGVEIRSCLVGLLEPLLIGFATMQQHFEQKLDLSEVLYQISSRFDSLRITPRSLDILAKGSPVCGDLAVSLSQAGPQFTQALRSSYATKALRFSTALSVLKDEFLRSRDYPQCPPTSYLFHRFRQLGYACIKYGQYDSAKETFEVISDYESMLDLFICHLNPSAMRHLAQKLEEAAIDSELRRSCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWDIKTPINMKDIPQWELAGEVMPYMRTDDGGIPVIVADHIGVYLGAIRGRGTVVEANEKSLVKVLSAVSGENKSPFESQLKQNKTSVIGNSKGDPMVDNLTKQLAGPTAPTDEQAKAEEEFKKALYGVVDGGSSDEDETTAKTKKIHIRIRDKPITAATVDVNKLKEATKQLGLGHPIRTKSLSGPPQDFSLISTQTTPDSNPNAPVTAGDMFGADTLSAQTSTQSNPMVTGMGVAAGPIPEDFFQNTISSLQVAAALPPPGQYLSRVDQNAQVMDRSKLASSQNVLADIGLPDGGVPPQESQQPQVSQQSATPLAPVGLPDGGVPPQSQNLPPRSPNTVQPVDLSFLEGSNSGDNATKTSPLPPSQPTAVRPGQVPRGAAASVCFKTGLAHLEQNQLSDALSCLDEAFLALAKDQSRGSDIKAQATICAQYKIAVAILQEIARLQKVQGPGALSAKDEMARLSRHLASLPLQAKHRINCIRTAIKRNMEVQNYAYAKQMLDLLLSKAPPSKQEELRGLTDMCVQRGLSNKSIDPFEDPSQFCAATLSRLSTIGHDVCDLCGSKFSALSTPGCIICGMGSIKRSDSLAGPVASPFG >Ma07_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27905021:27905221:1 gene:Ma07_g19990 transcript:Ma07_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVQLIGILIQQQGGNFTECSVSKFTCKPESWRVREREREQHPCPERFAKPWSGLKLRAAVFRS >Ma02_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26305416:26308011:1 gene:Ma02_g20270 transcript:Ma02_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHSCCYKQKLRKGLWSPEEDEKLIKHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIIELHAVLGNRWSKIAAHLPGRTDNEIKNLWNSCIKKKLRQRGIDPGTHKPLAEVDGGDDNTQSNSGKNSGTSDLQILVTPQLAMQEPTPSVSAIEKSLDESATSKCSATPTRELFLDQFLANHESSSSCRSSNSMGYFPFAQASFAPDYGGGRTTSSALPISSNPLLWFSQTSRHLDVTNGDAISTVAPSLSSTILSTSMVPAPSCAADDALTNWYGGDCNSSRRSSVEDSGRIVVQSTCSSDSCIFPWPDLTPDKDVQVQLGGETEDLKWSEYLHGAFVASATPQAPIQPLYGDIKTGNQFPMNCFSTWHQNQQLQQQLQASDIYGKDFQSVPLGFEHI >Ma04_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2850813:2857539:1 gene:Ma04_g03690 transcript:Ma04_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYPGGTNTNTADPSVQTALPATIDGQPWWCGPVFAAVGTSKSPSVGNEAGQSQPSDGVDDTGDASKERQNMGTRADGGLGEQRQNLLPTSSAMTSVMPEILSQHAELELGQSIACATYPFTDPYFAGVVASYGTQALMHPQLLRIPYSRMPLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKNVIKVRKPYLHESRHLHALRRARGCGGRFLNTKKTDGATKTETQQGSTPNSPLPTQSVGSSTSLTSDCSDNANPSSPMHETSVS >Ma08_p31800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42600413:42605610:-1 gene:Ma08_g31800 transcript:Ma08_t31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCMTLADPEKKKRKKKKEKRPNPFSFDYNRGPGAGLAVLTNPTGRDIGSQYELAQELGRGEFGVTYLCTDKATGHHYACKSISKKKLRTAVDIEDVRREVEIMRHFPSHPNIVSLKDTYEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIIEVIQVCHKHGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVFFKPGEHFSEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWSETEQGVAQAILRSSIDFKRDPWPKVSDSAKDLVRHMLDPDPKQRFTAQQVLDHSWLQNAKKAPNVNLGETVRARLQQFSVMNKFKKKALRVVAEYLSVEEAADIKDMFDKMDINKNGKINFEELKLGLHKLGHQISDADVQILMEAGDVDGSGTLNYGEFVAVSIHLRKIGNDEHLHKAFSYFDQNKSGYIEIEELKDSLVDDLGPNHEEVIDAIIRDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFSSLSSKLMKDGSLQLKVGAD >Ma03_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3893202:3895335:-1 gene:Ma03_g05680 transcript:Ma03_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYQYLLVFFVLPLAAVAAPSPSVSPVSACRSSFYPKLCRAVLSPLRFPSNQYEYGRYSVKQALKRARRTAALFDRYISGAAGGGRARRGVSGGALEDCRALASLNADYLKAVQAELGPREAALGAAAVGRVRALMSAVVTNQQTCYDGLEVSRTFPELRGALADETRLYGVSLGLVTTALDRSGNRGHGKSTETDERTGSTGGQRSPPADFPAIGRNLLEESGEVVPVNQSQSVKVTKDGSGNFTTVGDAVAFAPNNTAIEDGYFAIYIEEGVYSENVVVPKNKKNLILIGVGINRTIITSNRSVVDGWTTFASATFVVHGERFIAIDITFENTAGPEKHQAVAVRNSADLSSFYRCSFLGYQDTLYVHSLRQFYRDCDVYGTVDFIFGNAASVFQNCNIYARKPLPGQVNAVTAQGRTMPDQTTGISIHNCTVRAAPDLEAADRNFTKTFLGRPWKEYSRTVYMQSFIDGVIEPVGWLEWSGSFALTTLYYGEFDNHGPGANTSGRVQWPGYSLMNAMDALNFTVYNFTTADAWLSSTSIPYSGGLL >Ma10_p30300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36637383:36643862:1 gene:Ma10_g30300 transcript:Ma10_t30300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP4 [Source:Projected from Arabidopsis thaliana (AT1G03360) UniProtKB/TrEMBL;Acc:A0A178W3N1] MTTMLRELRLSLNQTQRVRLQSALQRLQDMASLASAVTVVADTIPVNHEDSILKGHGTSDLNGEVVATLCGVVERVNKLVYVRAQRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGMLLTVPAYLVKRRKQHFHHLENYGVDLILGCNGFIWVGEHVNSNGENDMMVDQENREGLVNKSEVGQATYEVQEQITPLEIRKHVCRVANSVRLLCALGFSLTVEVIVDTVEASISSNTDIKDILAAEFYVQTAEREVQRRVSLTRRKG >Ma10_p30300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36637648:36643862:1 gene:Ma10_g30300 transcript:Ma10_t30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP4 [Source:Projected from Arabidopsis thaliana (AT1G03360) UniProtKB/TrEMBL;Acc:A0A178W3N1] MLSSMNLPDGIQRRRTAVDELNMRSIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGMLLTVPAYLVKRRKQHFHHLENYGVDLILGCNGFIWVGEHVNSNGENDMMVDQENREGLVNKSEVGQATYEVQEQITPLEIRKHVCRVANSVRLLCALGFSLTVEVIVDTVEASISSNTDIKDILAAEFYVQTAEREVQRRVSLTRRKG >Ma02_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17292692:17296078:1 gene:Ma02_g06240 transcript:Ma02_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPIGLLLFSFFFFSVLLSCAAISSAAGATADDEISALLAIKSGLVDPLDALRDWRSPADPRDSMHCNWTGVGCNSFGSVEKLDLSHMNLSGLIADDVQRLRNLTTLNLCCNAFSSSFPKSLSGLSLLGELDVSANAFVGQFPSGLGSSPALTIVNASGNNFVGPLPEDLSNATSLEIIDLRGSFFQGSIPASYGSLRKLKFLGLSGNNLTGKIPVELSELTSLEKLIIGYNELEGSIPAEFGNLFNLRYLDLAVGNLDGAIPPEVGKLQQLTTLYLYKNDLEGEIPKEFGNLSALVMLDVSDNQISGPIPPELAQLKNLRLLNLMCNRLKGPVPPGFGDLRRLEVLELWNNSLTGPLPANLGRSSSLQWLDASSNSLSGEIPSSLCDGLNLTKLILFNNAFSGPIPVGLSTCFSLVRVRMQNNRLNGTIPGGLGKLPKLQRLELAGNDLEGEIPGDISMSTSLSFVDLSHNHLQLSIPSNIFSIPTLQSFMASDNLLVGGIPDQFQDCPTLAALDLSNNRLTGGIPASLASCQRIVSLDLHGNRMTGQIPVAIAMMPALAILDLSNNLLTGSIPENFGSSPALETLNLSYNNLSGPVPSNGILRTINPDELAGNSGLCGGVLAPCDSDADVGWPERRKSAHLTHIVAGWMTGISAVLAFCIVLLGAQHLYKKWYVNGSCCGGRFDVENGEWPWRLTAFQRLSFASSDILACVKEANVIGMGATGIVYKAELQRPHAAVAVKKLWRTGSPEPGSSNLRADIAGEVNVLGKLRHRNIVRLLGYMRNDTDTMILYEYMPHGSLWEALHGPQAGGRVLPDWVSRYNVAVGVAQGLAYLHHDCHPPIIHRDIKSNNILLDANLEARIADFGLAKMMARTNETVSVVAGSYGYIAPEYGYTTKVDQKSDIYSFGVVLMELVTGKRPIEPEFGESQDIVGWVRDNVRGDRGVEAVLDPSVGGQCKHVQEEMVLVLRIAVLCTAKLPKDRPSTRDVLTMLGEAKPRRKSSSSSSSSAGVGSTVVDKDKPVFSTSPHSGYL >Ma01_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13676457:13676993:1 gene:Ma01_g18430 transcript:Ma01_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSSACFLLALALLLYCHLPPADASVEETCEAAAGNDPNIHYDFCVKSLHENPGSCSADTKGLAIIAANLSYPRAAATKSKVKSLLQVATDRKAKQCLQTCLSTYSDLIPALTESISALEDDRLWDAKTYLSATTESPQDCEDGFEELRVSSPLSEENGEVGQLCTIALAITDMLG >Ma03_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2563762:2568071:1 gene:Ma03_g03920 transcript:Ma03_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTRSLLFRRRLPRHLVSLVRSSPLPPRSTDPNVPFGSIALLSTRLRSLSSSSSGFGESGGGGEGETKDPWGGSDQPQSSSSSSSGGLDWGEASSWSTGLTKEHFDGEAVGRQVSPTSELKAQAKAMDDEDEILRMLEKDNKESKAFVNGWRERLMETYHLLKQVREPGVRGSYLKDSEKAEMYRLHKENPEVYTVERLAKDYRIMRQRVHAILWLKEMEEEEEKKRGKPLDDSVEILLDNFPEFFNSHDREFHVASLPYKPDFKIMPEDWDGTTRDRDEVLYEISVKEDQMLYEEFVQKLNFNKKKVAGEVKFHKYSRRRPSDGWSFTVEKLGVRDKRGSGGGFKFVSLPDGSSRALNEMEKMYVKRETPKRRRRILPPFK >Ma01_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:525547:529226:1 gene:Ma01_g00710 transcript:Ma01_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSRRVSTRNTKSRMDEARSGIKVMADAKIHKHDSSAGLRRLTRNQSDSVAFERKKRSNCPPSDKEENGLIGRSPVKLRKTQSDLSNSPKTPVGNSLNIGGEEEAPTIGDDTEVAVVMEEEEEEEVETETEEGKKSFVDKEMDQPDDKPKTVQEEEEEEEVEEEIPALSQEEEKKQNLVSMSPMDIVEKKQNLVMDYRAMKPDPAVIPQFDAEEEMFQSTCIKHNRIQSIENLVMWRDVSRSAFVFGIGTFFLVSSSYAKDINFSLISASSYVGLVYLGFVFLCTSFMHRGETMRYGDGDERCVVGEDDAIWLLKMLLPYINELLLKFRGLFSGDPATTLKLAALLFVMARCGSTITVWSLAKLIFFGVFTIPKVCSSYSTQLAKLGKFWLDRLRDGWESCTHKRAAAAAIFALIWNISSAVARIWAFFMLVVAVKLYQQCTAEHSWNNREEEEVVEEEEGQEDSMAGQNQELGLHRQRGGPTRNEVERVAVKVDLGEEAGKRSK >Ma08_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15430972:15433195:-1 gene:Ma08_g15360 transcript:Ma08_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLVGEIISRFEKKGFYLKGLKMMNVDRSFAQTHYADLSAKPFFPGLVEYIISGPVVAMVWEGKNVVVTGRKIIGATNPSDSSPGTIRGDYAIVMGRNVIHGSDSIESARKEIALWFPEGIAQWQSNLHPWIYE >Ma08_p15360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15430972:15433162:-1 gene:Ma08_g15360 transcript:Ma08_t15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLVGEIISRFEKKGFYLKGLKMMNVDRSFAQTHYADLSAKPFFPGLVEYIISGPVVAMVWEGKNVVVTGRKIIGATNPSDSSPGTIRGDYAIVMGRNVIHGSDSIESARKEIALWFPEGIAQWQSNLHPWIYE >Ma06_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3981007:3987610:1 gene:Ma06_g05350 transcript:Ma06_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVTLYKRRLKVFTTALLIYLDYKAVQMIDNWYSGSRRDDLWEGTHERNAKRVLDLMIQLEGLWVKLGQYLSTRADALPDAYVRLLKRLQDSLPRRPLEEVRQTIEKELGKPMNDIFSHFVEEPLATASVAQVHRATLRDGQDVVVKVQHAGIKEVILEDLKNAKSITDWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRRVSENLRLKNELDGMNRVDVLIPEIIQSSEMVLLLQYMDGIRLNDNVSLEAYEVDKQKLVEEITRAYAHQIYVDGFFNGDPHPGNFLVCKEHPNRPILLDFGLTKSISSSMKQALAKMFLACAEEDHVALLAAFTMMGLKLRLDMPEQAMNLAKIFFRTAALPSQAFENVQSIADQRQKDRKVIQEKMKLNKKEAKHFNPIDAFPGDAVIFMRVLELLRGLSSTLNVRVVYLDIMRPFAEATLLGGIKTGPAVNNQWIYDSPVHSDLEAKLRQLLIALGSEKILGIQVCAYKDGKVIIDTAAGVMGRYDPRPVHPDTLFPVFSVTQGITAAMVHWLVDKGKLKLDEAIANIWPEFSGSKKDQIKVHHVLNHSSGLHNAMSDVMRSNPLLMCDWEKSLQLIASSVPETEPGSQQLYHHLSFGWLCGGVIEHASGKKFQQLLEEAFIHPLNIEGELYIGIPPGVESRLATLAVDTEDLQTLLEIEARQEMAPPSSHQGNVADTMSSLPVLFNNLNTRRAIIPAANAHCSARALARFYAALANGGSTLPPHSLLSKPPLGSHVHTPTFPPLKRPKKNWRVKWTQNKNSSLTDISIDDGGPKNTRTIFRSPTIHDAFMGVGDYSGMAIPNGRFGLGFRRFNTTTGDLRGFGHAGVGGSSAVCDTEHNFSIAVTVNKMGLGGVTGSILQLVCSELNIELNLPLPEEFSGFG >Ma06_p30980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32210446:32211921:1 gene:Ma06_g30980 transcript:Ma06_t30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIPSVSCLLLLFFLLRTSQAMVTVVVDGLAEWKSPVVHVGDSLVFKHQQVQNLYLFRNRRAFDLCSFDQSILIYDGKSSLFTWRPSRPGYYYLATRNSSQRSCEQVEKVPVRVVTPHPSPGFPSLPSPAPTSGGDISSSPSPSPSNSWTSVSSPRSGPSPSPSPAPVDFGPLQPKERLTPAATPSSSVTAGSVPFISSSPAVPLPVGETDTATILPFPTPGSETQVVGMASSCAAVQMSLLMVVMMLSLGLVVDTSLPFAAFL >Ma07_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30262088:30263086:-1 gene:Ma07_g22230 transcript:Ma07_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMTDQKHSIVEFFKEKGFDDESINRLMRRCNRLESTGRGRATESWDYLGSIGIQKRRLLYVVSKCPKILTMGLNQKLVTTVRCLATLGSKPGEVTSAITKFPHIVSCSVEEKLCPLLAFFHMLGISDKQLGKMLLLNPRLISCSVETKLTQITDFLASIGLNKEGLIVYPEFFRHGEKKSLEFRHKLLKQKNVHCSLSEMLSCNRKMFIAKYGLAAGFS >Ma04_p40090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37007821:37008632:1 gene:Ma04_g40090 transcript:Ma04_t40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLASGAAKKGTSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNATRDNKKTRIVPRHIQLAVRNDDELTKLLGSVTIASGGVMPNIHNLLLPKKTSKHSAAGDDES >Ma09_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3330821:3334967:-1 gene:Ma09_g05180 transcript:Ma09_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRIIKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYETTARSWTQRYAMG >Ma11_p03880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2967167:2970352:1 gene:Ma11_g03880 transcript:Ma11_t03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVWEVSKRAIEAYTGLSPATFFTVLAVAVALYYTVAGFLAPPPPPPPRQVEVDPLPPPVQLGEVTEEELRAYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEQNDLTGDISGLGPFELEALQDWEYKFMGKYAKVGTIKKTVPETEGSNDGTSEITEKTLEATDDSDKRDRHSAETKKDGQAESSTNVEHSEEPKEDGASGHGDESEQHAELKGHGSSDHGEEVGCGAEFKEVGTGEGTKE >Ma06_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3195333:3206563:1 gene:Ma06_g04420 transcript:Ma06_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDYLEGMNDPRLKPRLLRSLVRDRLPEESGPAPSPSELSSILASIKTHSLLSEHVPDLSDAKLIEAWRTAVDAWIERVLSLASSKMPDKLWVGVSLLGVSCEECSSARFMASYSLWFQKLLLGIQIPSSSSFVKVAVCASLSDFFTRLTGFSNLKKDATNLSGKVILLVLELLNESESDAVLEGVLDLLYVILMFFPPVHRHYDNVEAALVSKILSAKSNADLSRKIARCLALLPRIKGDEDSWSIMMQKIIIEIDMLLSNALEGLEGETKGSTVVRLLIPPGKDPPSRLGVQSRLREASELPTKMFHELIFPTISTLMHCCCLMLTNPFPTQVSVPVRPLVAMLGRVLTVDGSVRGSFMPFTTVMHQELICVELPALHLDTLDLLIAVVKGVRSQLLPHAANVARILTEYFRRATLPAIRIKLYSVIQLLLISMGVGMALYLAQELINNAFVDLIDNPGSNALLPRKHLSDDQSLLQSSLKKRKHASGSTRQHSNGIDRERTVISIKPATPLSVKIAALEALEALLTAGGSLRSECWRSDMDLLLINVAKNAYDVRSDYYKCLDANVGSTISRENLQLAALRALLASLLSPSHVRPPYLSEGLELFRQGKLETGTELAGFCAHALLALEVLIHPRALPLVDFQVSTSSALDEGFIKTFPGNTFRSSQKPSIPYFPMGNRGAAEEMDEDDDDDLLNGWLGAGEEEQPVQGLNISMGEKHVGVDSTRGHEIIEGTQQPSRKHEVEASCPSKEENMVESDKMEELNSGNNVMLRTDRTAPDEGEAAIRDVPGDEMAHENNDVSMSNTVAGEFSSNLGAPEELGTVSTATVVAVDASNSLDVSYKQKEPMLAYDSDSVSLDSLPDIVDADPDTD >Ma03_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7076036:7079459:-1 gene:Ma03_g09530 transcript:Ma03_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHYIPPSVLVCASIVLLLTVAPASVAADNSTYVPRDHILLDCGASGQATDANGRSWTADSGSKYAPFLNGGGFTAPLQDSSVPQVPYLTARVFTSPYTYSFPLGAGRKFIRLHFYPSNYSNHAASDAFFSVTAGPYTLLHNFSAYQTADALNFAYLTREFSVNVSTGGLNLTFTPSTGRANSYAFVNGIEIVSIPDFFSSARPVLLNGGTPIVYTVDQDQALETVYRLNVGGQAIPPTKDSSLFRTWGDDSPYIYGAAFGVTYSNDPNVSITYPTTVPNYIAPLDVYSTARSMGPDSQVNLNYNLTWILPVDAGFYYLVRLHFCEIQYPITKMNQRVFDIYINNQTAQLQADVIAWSGGIGIPVYEDYVVITTGSGQMDLWVELHPDTMSKPEYYDAILNGLEVFKLQNSNGSLAGLNPGPRPEPEVDLSRIFSHKNGKHKSKIPSVVGGVIGGFSILLTGVCLIGMCKRQKKKWKDAGTSDGPSGWLPLSLYGNSHSATSAKTNTGSYASSLPSNLCRHFSIAEIKAATKNFDESLLLGVGGFGKVYRGEIDGGTTMVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTRKPPLPWKQRLEICIGAARGLHYLHTGARHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQVSLAEWALHCQRKGMLDQIIDPYLKGKIAPQCFKKFAETAEKCVADIGTERPSMGDVLWNLEFALQLQESAEESGSIVDGISDEAIPLVIRKKEANDPLIESSTTTTTTTTASIGGRSINSEDSEGLTPSAVFSQIMNPKGR >Ma06_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10595912:10596742:1 gene:Ma06_g15640 transcript:Ma06_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHFASRAGRVEKGREASRAERANGLRAILRRRIVKLFSGVEGFGGEREGKERKKGFADRFAVTLTRVMFEFLLFVLIWEGVAESGYGVNQSSWGEGNGVKWERKLLSHGRIEQRLLDSSFPASS >Ma03_p27100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30587655:30590906:1 gene:Ma03_g27100 transcript:Ma03_t27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTFCESRITSGGSLCYAAPVAAEVAALSRLSEHLGSLLRTPGLAFCSDARITVRSAGGDPPHEVPVHRCVLSARSPFFRDKFAAGAVALELGEVVAGFEVGCEALEVVLQYVYTGRLEELPRGVAECVDETCRRHEACWPAVHFMLRVLHAASTFEINELVSLFQRRLLDILEKVAMNEVLSILFVANLNYKLCQRLFMKAIDMVVNSDISYITLQKKLPSNVVKQIKQSRSNLGLDGQENFKFPDKHVKSIYGALDSDDIELVKLLLEEGHTTLNDAKGLHYAVASCDSKITKELLDLGLADVNSRDHQNYTVLHVAAMRKDPEIIMSLLTMEARPLEITSDGRTALQISKRLTRSTDYYRSTEQGKPSPRERLCIEMLQRAEMIETFTEVTSVPVEMTSDNLHEKLLYLESRVWLAERLFPTEAKAAMNNANVDGTLKVHSSCLTHLCAGNKRSAEDVVKASFRMTEENLSRMEALTKTGTFLGQAIIFLSKTRKTNIQTHLLKPTRELVRQKQYIQVQLELFKHLVDQLYKSTSYTFFHRIYNPIFLAEFLFKLPPIPFSSNMIYNFWKSWFPEVK >Ma03_p27100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30587655:30593644:1 gene:Ma03_g27100 transcript:Ma03_t27100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTFCESRITSGGSLCYAAPVAAEVAALSRLSEHLGSLLRTPGLAFCSDARITVRSAGGDPPHEVPVHRCVLSARSPFFRDKFAAGAVALELGEVVAGFEVGCEALEVVLQYVYTGRLEELPRGVAECVDETCRRHEACWPAVHFMLRVLHAASTFEINELVSLFQRRLLDILEKVAMNEVLSILFVANLNYKLCQRLFMKAIDMVVNSDISYITLQKKLPSNVVKQIKQSRSNLGLDGQENFKFPDKHVKSIYGALDSDDIELVKLLLEEGHTTLNDAKGLHYAVASCDSKITKELLDLGLADVNSRDHQNYTVLHVAAMRKDPEIIMSLLTMEARPLEITSDGRTALQISKRLTRSTDYYRSTEQGKPSPRERLCIEMLQRAEMIETFTEVTSVPVEMTSDNLHEKLLYLESRVWLAERLFPTEAKAAMNNANVDGTLKVHSSCLTHLCAGNKRSAEDVVKASFRMTEENLSRMEALTKTVQLGMHFFPRCSKVIDKILGDDLSELSIVEHINTEERKNRYTEILEEINNAFTQDKTAFDRSVSCSTSSSKSARAVRSKAARK >mito4_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000015.1:24527:26749:-1 gene:mito4_g00010 transcript:mito4_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHKVTLTKGNGNLRKYLLLTLSRAEETAERKKVDKGVILKRINEGFQRLEVSTIIVAHGEDTSKGAYFQAGILLKIGVQRRSTPRTFRRIFPEFKGGQLDLKYFKAWASLCKYLLDAVKEDPAPLVWGNQSLQQIKDMALAQTRHKLIPLPGAKEIRPRSREVIHKLGSIDEWDQVYKVNEISDALLFSYNNVRSMYEDLQVQKTLYGGALHDRLAKYLEERGWPEEYSYAEILEKYIFLDWLSVNFLFNRPIKTKQLLLYGRPSTQKTLCLEMLGEVLNIYHASARKNDFTGAHDNYDLWVLDEFNITEDRNTALYLRQETMAAENNTLLRVLDGQKCRLDSKYGKIIYKKKNVTVITIMNSPPLSIRVRGPFHERFMRLRFDSNIPNLQSERLIATLYGCMLRRLRQKNTTWEMAKKIDLKYNEAQGEMEFQVPADRLTQQQLKRGEAIRESIEGLLSTGDKAALLVNKSNGDGVYTAMVAVETRYPYDFHKRGEYIHLAAQMLYYEIYNVEVDRAPAPVNQGESFSLLEFAFVPLRKLEEDCQNRKIVHSQNIEWKIQNTYRRQWRPLSVGILSKEAPVHNLQWLTVAEERERHKREFRKEDIAYFAAWPLQAYCKGFSTSNGMRMTYINSLFWDEFWIKEPFFRKGQTYLVEEQDDQPCNAEDKGYEFSGGSSPVPRENNEEESNEKVDKPIRGTIRQTVEVTFKVAGGTIRQREQEEGEDEPRTSEIWEPEDA >Ma01_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17450869:17460059:1 gene:Ma01_g20270 transcript:Ma01_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIFLGSKADVFYLEGQTWICKSELTSDVIIEVEDMSFQLHKFPLITRSGILQKMISEFHNNDGKDCILELHDIPGGAKAFELVAKFCYDVKIELNALNVVAIRCASEYLQMTEDHGEGNLIMQTENFLEEVLGDWNDSMKALQTCKDILPYAEELHIVTRCINSLAAKASVDPTLFGWPMIGQANTKNLGGTILWNGIGPGGKSRSPGADWWYKDVAFLDLPTYRRLILAMKSNGMKPDNIAGSLMHYAKMSLPGLSRQSGFQDSADCFTCTNSTAIQSESDQRVLLEEIVELLPMERGVASSKFLLGLLQMAMIIHASPSCRENLERRVAEQLEDAELEDILIPNLGYSIETLYDIDCMQRILDRFVSFNQPEDITSPGIVDEGELMANAVALTPVTMVAKLMDGYLAEVASDVNLKLPKFQSLAALIPDYARQSTDGIYHAIDIYLKSHPWLTDSEREQLCRLMNCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLRLRTTITGWFFSSDNVENSHARMLPKSSSGLNQAEITQEDVQSLSFEEMRSRVSDLEKECSSIREEIQKLERSKSAWSIFSRKLGFGMRTKRFFSSKLASTDR >Ma03_p12490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9626403:9629264:1 gene:Ma03_g12490 transcript:Ma03_t12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD1 [Source:Projected from Arabidopsis thaliana (AT4G15510) UniProtKB/TrEMBL;Acc:A0A178UV94] MAASIAVMGSISAPRLSSRTRRSAVPPVSASTSTRNENGSSPSCRHRSIAALTRRDVMASVLSSFVFSQLGSCEDTLAEPSVGFREYIDTFDGYTFLYPQNWIQVRGSGADIFFRDPFVLDENLSVELSSPSSSRYKSVKDLGTPQEAANKVLKQYLTEFMSTRLGVRRESNILSASSRIADDGRLYYQVEVNIKSYASNNELAVMPQDRIQRLEWDRRYLSVLGVENNQLYELRLQTPENVFLEEEKDLRDVMDSFRVVKAVN >Ma03_p30970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33382038:33385404:1 gene:Ma03_g30970 transcript:Ma03_t30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPGRLKSSASSSLSPSPTSQEEHQQRSLAAGMSRLTVEIDSFSLSLLELAANNDVDALRLFLDRDPSAVDEVGLWYGRRKGSNRMVLEQRTPLMVAATYGSLDVLKLILSLPSVDVNRVAGLDSTTALHCAASGGSLEAVDAVKMLLAAGADPALVDANGNRPADAIVVPPKLPDVKTGLEQLLGRSTNASGGSDNHHHPLRVMTSSPNSISPPLSLSPDEEGSPLSNSTSSPPMAKFPDLPPVVVSEKKEYPVDPSLPDIKNSIYSTDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTGCSRRVCFFAHTNEELRPLYVSTGSAVPSPRSSSAAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSANGIGHSSASWPQPNVPTLTLPASNLQSSRLRSSLSARDMPVDDLSVISEFDTQQLLSDLCYSRPSSSAGNRSIRTKALTPSNLDDLFSSEIASSPRYNSDQGSIFSPSHRTAVFNQFQQQQSLLSPINTNVFPPKAVDAQHLPAHSPLLQASLNVSSPGLMSPRSVEPVSPMSSRLAMLTQRERQQQSLRSLSSRDLGPISSPIVGSPVNSSWSKWASPSGVPDWGVNSEELGRLRRSSSFELRGNGEEPDLSWVHSLVRESPTEKVVSVATAPTGPTGGAECLNSNVEMDGHDQAAVLGAWLEQMQLDQIVT >Ma03_p30970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33382038:33385404:1 gene:Ma03_g30970 transcript:Ma03_t30970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSVSSGCLLPPAGSTPRIAGGSGGLEPISGLVPADRSMCGGPGRLKSSASSSLSPSPTSQEEHQQRSLAAGMSRLTVEIDSFSLSLLELAANNDVDALRLFLDRDPSAVDEVGLWYGRRKGSNRMVLEQRTPLMVAATYGSLDVLKLILSLPSVDVNRVAGLDSTTALHCAASGGSLEAVDAVKMLLAAGADPALVDANGNRPADAIVVPPKLPDVKTGLEQLLGRSTNASGGSDNHHHPLRVMTSSPNSISPPLSLSPDEEGSPLSNSTSSPPMAKFPDLPPVVVSEKKEYPVDPSLPDIKNSIYSTDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTGCSRRVCFFAHTNEELRPLYVSTGSAVPSPRSSSAAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSANGIGHSSASWPQPNVPTLTLPASNLQSSRLRSSLSARDMPVDDLSVISEFDTQQLLSDLCYSRPSSSAGNRSIRTKALTPSNLDDLFSSEIASSPRYNSDQGSIFSPSHRTAVFNQFQQQQSLLSPINTNVFPPKAVDAQHLPAHSPLLQASLNVSSPGLMSPRSVEPVSPMSSRLAMLTQRERQQQSLRSLSSRDLGPISSPIVGSPVNSSWSKWASPSGVPDWGVNSEELGRLRRSSSFELRGNGEEPDLSWVHSLVRESPTEKVVSVATAPTGPTGGAECLNSNVEMDGHDQAAVLGAWLEQMQLDQIVT >Ma09_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33066264:33068980:1 gene:Ma09_g21640 transcript:Ma09_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVMKNSYIGIANDVDMGRDIGDDHDVASSMEDVLLGLHNKDSLPDSQKISGHDNGGCENLIQSGTRVSVPPLQVILNDLESSDGDNSVIANHNDVNENCEVAKRNRYMKKKVKEEKELKISASEERLLKDDMPRTEDEIEKLVRVSPNCSFVWIKYMAYIPSLADIEKACSIAERALRTINICEEGEKLNIWVAYFNLENKYGSQPEEAVKKIFQRALQCCDPKKLYLALLGVYERNEQQDLADELLASMTKEFKHSCKVWLRYVWNFLKKYQDGIQSIVNWALTCLPHKKHTKFISQTALLEFKSGIPDRGQSMFESILREYPKIIFLINMLSFQEIRLGDEEVFHALFERATCLSLPPKKMKVTTPLSIIFSFGLILFNFTLLLQI >Ma04_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26543875:26545190:-1 gene:Ma04_g24540 transcript:Ma04_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANDRELYFHDDFSFYAERDLSLLSGEMPSGAAIGTLQPLSPIMSFDDYLKLDAFDPGELASPELVADGAVNSTNNLTPSTGCGAGTSPASPSSSVPSSSTEAAGEEDTSRRKKDHLKQEEEEIEMEQKLQSKADNDKSKKVSKPKKRGEKRRREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSPTCLVKKTVERSYQDPAIVVTTYEGKHTHHSPAPARGSTQSLASPPTASTSFRHHHHYHLMHQPNLHCFLQSPFQGFQFPDCDLLQDILPSFTQKSQP >Ma08_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38108107:38109341:-1 gene:Ma08_g25140 transcript:Ma08_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRQGVNRGRETTGSATNLGFWIRRAAYLASRTGSRSTRVQSVVFATDDKENVTPSRAARRRGRTRKSPLPEWYPRTPLRDITVIVNALERRRMRVRAAATARQRTSDPEPAAVEKGLLDSSSIPAAAGSSSVSATEQPPQICSSSNASSPREDPPDQPTEYEKNLEIYIGEMERLVTENLKRSPMPPAKRAKRTLISMR >Ma06_p36180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35731246:35731586:-1 gene:Ma06_g36180 transcript:Ma06_t36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVSRNRTIGLKNEISAPTHQRKFGRCDRVINPVLSTRRTTLSGERASLLVNACRAPVL >Ma02_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12271919:12272488:-1 gene:Ma02_g01860 transcript:Ma02_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLGPSKLSLHSTSDNSDVHRKLIIEFTFRAIEESTLVISQGRSINFHTHLVEPFKRAITIELLETLSEEVIPELVPPESHMFHELLVLLGKKITKFARVAVNEALDRGCTVTKVVADGEWKTTCKCMRTMDDHDLVEKRRPPGASEELVGSCGTCAVCLNEIPWNYTFYLPCSHVFHVDCILTWLSQ >Ma03_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10852526:10866394:1 gene:Ma03_g13780 transcript:Ma03_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVSKLYDINAELVEDRGFLETLKDLISDNNPMVVANAVAALAEIHENSSRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKASDAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVIRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATMETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKIPDAFVSRAKSATPRPDDDDYADGGETGYSESPSHAVDGAAAPSGAAAVSPAQSRQQPPATTAISAPAPPVPDLLGDLIGLDNAIVPVDQPMTPSEAPLPVLLPSSTGQGLQISAQLICHDGQIFYSLLFENNTQLVLDGFMIQFNKNTFGLAAAGPLQVPPLQPEASARTLLPMVLFQNVSPGPPSMLLQVAIKNNQQPVWYFNDKISLHVFFGEDGRMERANFLETWKSLPESNEVGKDLSNSIIHSVDATIEHLTASNVFFVAKRRNADKELLYLSAKIPRDIPFLIELTAVLGVPGVKCAVKTQSPEMAPLLFEAMETLLK >Ma01_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10180954:10182496:-1 gene:Ma01_g13930 transcript:Ma01_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKVVLNISVGESDDRLTRAAKILRKFSVLYSQVYCSVLWDSTQ >Ma10_p29330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35952704:35956048:1 gene:Ma10_g29330 transcript:Ma10_t29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAVLGAFMQVLFDKIITTVLHETRSLWGAHGELQNMTTTLPTIQALLEDAEEKQLKDKSVRYWLAKLKDVAYDMDELLDKYTAEVLQRKTEREAQSMQVCSCFANICWHGMLQFKLRHRIRAIRERFDKIARERESLGLQILDWTDQLQVTERPQTSSLVDDINVVGRETDKEKIIKILLADAGSTPNVSVIPIVGMGGLGKTTLAQLVYNDHRVKEHFQLRIWVCVSEIFDEMKLTKETLEATTSGYSCTTRNLNLLHEELVEKFKGKRFLLVLDDVWNEDPNKWYTYSNALRSGNRGSKIIVTTQNESVGRIMGGVSPYKLKQLSDSECWTLFRNYAFVNGNSRIYPNLEKIGRDIVQKLEGLPLGAKTLGSLLYSKTNEEDWKNILKSEIWELTPGKNNILPALRLSYKHLTPHLKQCFAFCSVFHKDYIFERSILVKIWMALGFIQPHGSKRLEDIGNSYFDELVTRSFFQSHNGNYVMHDAIHKLAQSLSVGECHKMEEDLGNNDPKKLHHLSFSCANSVPTSFVEFYKFKRLRTLLLLQGYKSKTGPIPDDLFTELSSLRVLVLHRRDINELPNSVGNLIQLRYLGLSGTDIKTLPQSIGKLYNLQVLNLKNCNLLVKIPDGITRLINLRHLEATTKLITKITGLGNLTCLQELDKFTVRKARGHKIKELKEMNELRGNLRIKKLENVFNGKQASEANLYAKEFLHTLKLEWSDERNVNCEGENLHEEVLEALQPHHDLKELTIMGYAGTKFPSWLGHPSFCYLQTIHMSNCRRCIVLPPLGQLPLLRYLDISGVHGLIRISEEFSGIADIQGFPSLVELLLDDMPDLVEWICSDYVSLFPCLTEVAIVDCPKLRELPSFRSLVSLKSLHIYNCPKLGTREDDRALLPSSLEDLRISSCSKLEGLPITLKFIGISDCINLQLLPARIQELSSLTTLTIVNCQQVQYLPEAGLPIELQELCIKECPLLKERCQERTGEDWHKIVHIPRIEIDELILRRLG >Ma09_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32266256:32269104:-1 gene:Ma09_g21350 transcript:Ma09_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALACVDAAVPPPGYGFAWGGALPAPGAFPGGAPTTAGGATSDWTSPWSTDHSAALYRINGWGAPYFCVNAAGDIAVRPHGAATLPHQEIDLMKVVKKASDPKSAGGIGLRLPLLVRLPDVLKHRLQSLHAAFDFAIRSNGYGSRYQGVYPVKCNQDRYIVEDIVEFGSPFGFGLEAGSKAELLLAMNCLTRASPEAFLICNGYKDEEYIALALVARSMDLNTVIVLEQEEELDTVVETSHRLGVRPVIGLRAKLRTKHSGHFGSTSGEKGKFGLTTTQILSVAQKLQRLEMLDCLQLLHFHIGSQIPSTTLLADGVGEAAQIYCELARLGAAMRVIDIGGGLGIDYDGSHSCGSDMSVGYGLEEYAGAVVRAVMSACDRKHVRHPIICSESGRALVSHHSVLIFEAVSSSTTKAEPLPSIGSNLAYFLDELADDARSDYHNLMAAALDGEYETCALYADQLKRRCIDHFKDGVLGLEHLAAVDGLCDLVSKELGVADPVKTYHVNLSLFTSMPDFWAIGQLFPIVPIHRLDQRPAIKGILSDLTCDSDGKVDRFIGGQSSLPLHELGGGDGLGGGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQADGSHCFAVTLAVPGPSCADVLRAMQHEPEVMFEALKHRAAECAAGDAVPRALALAFHSMPYLVCAANAAGVSASDGEGTDGMSSDSDGCAGCGEEEDEEWEFMRCLHV >Ma03_p33220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34644236:34645649:1 gene:Ma03_g33220 transcript:Ma03_t33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSPSPSSPSASFSTTPSPSPSSPPPTSPPTPRPPSSKPSPPTSPASWRPVLSLLYRKLHVAVYHSSLSGPSRDHLVRGPGPAGTGDCKLNEENGRHPREQLLARRPASRFDERTPVPVGSALPQRRLLGPSERRRPAVLPARGVPGEDQGRGASSAAVGAAGGGAAARVHGRVPDALRLELRAGELGVRRADDRVAAVRGTEDERGDAGGGGGRGAAAGGGARRRGGRRVGVGGEAADGSGGRKEGEEQGTGAAGGGHQDASQGWVFLGRLRLLRDAVDPKSHLGTSRLRIVVSRKGTMTLVQTVCNN >Ma07_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5042793:5049481:-1 gene:Ma07_g06970 transcript:Ma07_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREMNGGVGDVGGEDWTEEESVNGGNDVWSSSRPADHLVVMVHGILGSTADWKFAAQQFVAMLPDKVIVHCSESNMYKLTLDGVDIMGERLAEEIIEVVNKRLYLRKISFIAHSVGGLVARYAIGRLYRPLIMKSLENPLCDACDDSHRGTIYGLEAVNFITVATPHLGSRGNKQVPFLFGVTAIEKVASLVIHWIFRRTGKHLFLTDDDEGKPPLLQRMVDDCDDLQFMSSLQAFKRRVAYSNVGYDHIVGWRTSSIRRNSQLPKWEDSLSEKYPHVVYEEYSAGSRNDKCTDDSLINGDCDLLEEKLVTGLAHLSWEKVDVSFHNSSIHRFAAHSVIQVKDPFMHIEGADVIQHMIDHFLT >Ma09_p07700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5043546:5046917:-1 gene:Ma09_g07700 transcript:Ma09_t07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVQSLSSPNRGLLIRPDARHGRKFSSLSRALYSNATYLYASPSIEFCQHKVFPRLIHNARKSGRCAPVYASGKKGDSTSENEPFSWETLKKAVGGFTFRRELTVQDMLRERAQERQFDGNGGDGISGGGGGDGSGGPEDEGFAGQFDELLQVIMAVIVVVVLYVLMISGEEITRLVRDYIKYLFGAKASVRLTRAMKKWRKFYRSVARKGVVRKDWLEREIVATPTLWHNPKWLAFVVRTCYERYGEERYGEESD >Ma01_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7831425:7832795:1 gene:Ma01_g10890 transcript:Ma01_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSWLQDREVADLCIGKPPTRPIPLSATVGDALLALRNAGADDRLAVWAADRAAPERRACVGKVCMVDVICYLCAEENLDAPCAALGAPVSAFLFPKAAAPPVRRVEPSSSILEALDAIFDGVQSLVVPIRAAASRRKLAGGGGSAEFCWLTQEDFLRFFLNSIFLFSPVPALSVSDLGLVRPAALTVRHQDPALCALPLIRAALTEQTSVAVISNNGHLVGEISPSTLAHLDERVAAALAALSAGELTAYIDCSGTLPESATRSIKARLREKGLLGMLELLEADFSPPFSPTTSCSSSSSSDDESSPSAAPSNCRRPRRLRSVGSYSARMGRQSEEAIVCHPWSSLVAVMIQASTHRVGYVWVVDDDYFLVGMVTLSDMLKVFREQLA >Ma11_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21271854:21277520:-1 gene:Ma11_g15590 transcript:Ma11_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACRSLLSPVSIPMVPKNHLRTPYTNSVPMLLDQASQPMIHVPATQVVHHITASVLSKEQCDDLKTNHLNKVEKSVQATPDKWLTEAGRSCSEEREKHEFNQYLRYLECQLSYQPSLWYPFPPLDEEKQSLPVSVGPEETSTCVSGDSSAMQVENTKDCITPADVLALAKKAMIASKIAASLVEQSNILGIEVDKSNFLGFDEGSNDDTFFKEETTVRSRKLLERRSKKRKVSKNPNNFVHHGASSVTINQSKKIDKRLDSNDPLRLFLWGPETKQLLTVKEEKDLFVKIEDLMRLEEVKERLQSQSDREPTLAEWAQAVGMSCQDLQSCLSSGRRNREKMIYANFRLVVHVARQYEGKGLNIQDLLQEGSRGLMKSLEKFKPRAGCRFPTYAYWWIRQSIKKAIFKNSRTIRLPENAFARLKSIREARRLCIQEGLLPTNEEIAKRVGITVQKLEILLLNSRYPISIQRHPWLDQDVTFQETVADPKIEIPDLVIAKQMMRQHVRNLLNILKPRERVIVQYRFGIRCSEQKSLSEIGAMYGLSKERVRQLESQALGKLRKCLQSQGLEAYLDLLI >Ma02_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17793538:17797489:-1 gene:Ma02_g06950 transcript:Ma02_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLSAILQTLTPEAASVLTRSVEEAARRKHGQTTPLHVAATLVAASSGLLRRACVSSLSDTVHPLRCRALDLCFSVALDRLPCSSSSSGGGGGSGADSTAEPPMSNALKAALKRAQAHQRRGCPEQQQTPLLAVKVKLAQLVISILDDPSVSRVMREASFSSTAVKAVVEQSLSSSSSSSSTTAPASTFTSPASPAIFASSLGGGLSHNLSIHASPARNLYMNPRFYQHRHSSGATAGGGLEEPRREEVKRVMDILLRSEKRNPVLVGDSHPDAVMKEVLQKIESGDAPPPLQTAQVVSFAKQLATAAVASDLSWIPAWIRELGASIESEMSRGHGVVLDLGDLSWLVESPGGASIASAGSQTRQIVCEVGRVVVAEMGKLVKRFEDHGRLWLVGTATSVTYLRCQVYYPAMENDWDLQVLPIASRPRTFPKLGVIGNLSSSAAVAITRSQPPDGADSSGKILCSVCMESYKHQLARLVTEEIKKSPSKVEDNKALPKWLQLAKLSDGGGTKPSTSLLQAKEEEQELMGKQSTEELLKKWQDTCSRLHPSFSHTVLLGSPQPDSDLTLFRNLVHRRKIMLNASSEQPCSLPRSPVKTDLVLGNSRASNALLEKTHAERVKDFTECTQDGFSIQQRAKVTGISEKDAFKRLLKGLTEKVGWQQEAASAIATALMHSKPENWKRPGGGAKGDTWLLLIGPDKVGKRTMATALSETLFGTAPTIVRFGGTSTCSNGDDGESNMVSRGRTPMDRVSEAVRRNPFSVVVLEDIDQADGVVQGGIKRAMERGRLLDSYGREVSLGSVIFVLTSSWLPEELKSRHESLILLEEKILHSVGHGWQLELSAEKNPGKRCADWLGNGDQPTKLRKQSSCGVGLSLDLNLAVAMEDAAGEGSWNSSDLTTEHEHENGRLAVKCSTSSSASQWMELVENTIMFNPVDFSPLRRTVSDSISTKFATVMGDGCSIKVDEDAVDRIVAGVWLAGAAFDEWSERVLIPNLRQLKCNLQADDGVVVVRLSVVKGGPAKSPGDREWLPTSVAIAVDGLWTT >Ma02_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16636522:16641407:-1 gene:Ma02_g05300 transcript:Ma02_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLEGHSSILSPLPPPLSSGVADIWRSHRGGMGTIGHDEVAPWEKAEGRRKEERILVSVRVRPLNAKEIGRNDPSDWECRNNTTIVFKNAHSERTMYPTAYTFDKVFGCECDTRHVYDEGAKEVALSVVDGINASIFAYGQTSSGKTYTMTGITEYAMEDIYDYIKRHEERDFVLKFSAMEIYNELVRDLLSTDTSPLRLLDDAERGTVVEKLTEETLRDQWHLKELLCVCEAQRQVGETSLNEMSSRSHQILRLNFIDLAGSERASQSPSAGTRLKEGCHINRSLLTLGTVIRKLSKGRTGHIPYRDSKLTRILQPFLGGNGRTAIICTMSPARSHIEQSRNTLSFASCAKQIVTNAHVNVLMSDKALIKHLQKELARLENELRYRGAASITYHPDALSEKDAQIKKMEREIKELMQQRDLAQSRLEHLLRPMADYQFSRQWEESSQSELSYLHSACEDALSISDVSGVTYQIPDFDSSMFGRPEEGNNYNICLELSDTMNPPSKHIPQQGRDEITGAAYADSEDQCKEVQCIEIHALSTNRSDEFNLLLNDEDDSLLHLTDEKMLGDPTAESLGNAHWIPAKEQSMKIATRTTENFVKPRNDGLSSTLPSMQTLMNSRELGLTRSKSCNASMMSSSIVSWLQNVERDKSTQPDILKEFAEKPREDQRRLENDTLSIGESEDLEKSTSFEVLKTEDIKAVHEEVDTGAHDSYSGKIETEETTDQLTEDMIPETQATRSQTIEAVKTVEDAAMDAQEFQREQQEIIQLWHACEVPLVHRSCFFLLFKGDPADSFYMEVERRRLSFLRNSFALGNVGGIMHVHAVGSSRYIRREREMLCREMHKKLSSAEREALYAKWGIALNSRQRSLQLAQLLWTQTDLQHVRESASLVAELIGFEEHGQAMKEMFGLSFTPQKTHKRSSSWWHGKFPLL >Ma07_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7731529:7731777:1 gene:Ma07_g10380 transcript:Ma07_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYMDEKWKLSKKGSRREGGGLSWKGSASMREGRGNSSSSAAARAGQSFSSRCASLVKEQRARFYIMRRCVTMLICWRDYP >Ma08_p06820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4647483:4657180:-1 gene:Ma08_g06820 transcript:Ma08_t06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSALFFFSFSLCLLLLVERAAAQATTDPTEVLALNTILGRWGKKASSWNISGEPCSGVATDSTTFNDRTYNPLIKCDCTYNNGNTCHITQLKVYALDVVGTIPQELQNLTFLTKINFDQNYLTGPLPAFIGNLTSLQSLSVGINNLSGPIPKELGKLQNLNLLGMGTNYFSGSVPSEFGNLVNLQEWYMDSSGLSGELPENLSNLKNMQILWASDNNFTGRIPDYIGGWTNLTVLRFQGNSFQGPIPASLSSLTKLTDLRIGDIVNGSSSLAFISNLTSLSSLVLRNCKISDTIPSNFAKFTNLLKLDFSFNNITGQVPQSLFSLSSLAYLFLGNNSLDGTLPEQKSTSLINIDLSYNQLSGSFPSWVNQSNLQLNLVANNFVIDDSNSSVLPSGLNCLQRNIPCYRGSPIYYSFAINCGSSKTITASDNTKYEIDNANLTSASYYVTNPTKWGVSNVGIFAEAISPTYIVNSLSQFQNTLDSELFQAERLSPSSLRYYGIGLQNGNYTVKLQFADAVFPNPPTWKSVGRRIFDIYIQGVLKEKDFDIRKDAGGSSTKAVVKEFIAPVTNNFLEIHFFWAGKGTCCVPTQGFYGASISAISVSPSDFTPTVSNKPPSTDSNKTRTGLIVGISAAVAVLGLLTICGILTWRNRKRRLSEQNEVFTGLDVKPYTFSYAELRTATEDFNPSNVVGEGGFGPVFKGKLTDGRTVAVKQLSATSHQGKGQFLAEIATISAVQHRNLVKLHGCCVEEEKRLLVYEYLENKSLDQAIFGKSDLHLDWPKRFEVLLGVARGLTYLHEESRVRIVHRDVKASNILLDADLNPKISDFGLAKLYDDKMTHINTRVAGTIGYLAPEYAMRGHLTEKADVFAFGVLALEILSGRPNSDQNLDPEKVYLLEWAWTLHENRCDLEMVDKKLTSFDKGVVSRIIGIALLCTQASPVLRPPMSRVVAMLVGDTEVTDVTSRPSYLTEWQHKDVSSSYVTGYFDSSTQRSENTQVTFPSSESTAVNMESTPLTTQPYMNKAIEEGR >Ma09_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:893409:902266:-1 gene:Ma09_g01240 transcript:Ma09_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVGSAVEISEPLLPPEKTGELVHAEKIPPWREQITVRGLLVSTLLGALFCIITHKLNLTVGVIPSLNVAAGLLGFFFIKSWTELASRIGVSATPFTRQENTVIQTCVVACYGLAFSGGFGSYMLSMDQRTYELIGADYPGNRAEDVKNPSLSWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATALLINSFHTTTGAELAGKQVRCLGKYLSISFFWSCFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYVGCGLICPHIVNCSVLLGAIISWGFLWPFIATKAGDWYPNNLGSNDFKGLYGYKVFIAISLILGDGLYNLIKIVIITIKEFLNACSKQTSLPLVVAHQDDESSKLLMEERLRNETFVKDSIPSWFAASGYIGLAAISTATIPCIFPQMRWYLVLACYIVAPALAFCNSYGTGLTDWSLASTYGKIGLFVFASLVGSKGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCIIAPLTFWLYWTAFDVGAPDGVYKAPYAVIYREMAILGVEGFSALPKHCLELCCVFFVAALVINLMRDVTPKNVSSYIPIPMAMAVPFYIGAYFAIDMFVGTVILYVWERLNRKEAEDYAGAVASGLICGDGIWTIPSAILSIFRIDPPICMNFTPSS >Ma07_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1775372:1776940:-1 gene:Ma07_g02260 transcript:Ma07_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEGESSDGHSSAATMPTVFRQAIGAIKDQTSISLAKFSTRSSDIEVAILKATSHDEVPIDERNLADVLLLSAASPSSAATCLQILSRRISRTSNWVVALKSLVIVFRLLCNGGSQFIHEALAAGASSRRLLDLSAFRDHSAASSPWDYSAFVRTFAVYLDARLESALLGKLSNLRRRPFMPADVFANMRTPLIFGHIEHWQRLLDRAVGTRPTGPAKTNRLVQIALFLVVCETFSLYHDISNGLSMLLDNFFHLQPESRLQTLHACMKARKQFEELDSFYDLCKKIGVGRMSEYPCVQKISVSLLKALEDFLENAAPNSLGTSPITKPKNPLKLKQKLKDQQSDMTGGGSASTGETISDGETAMSDHQDWQIQATSTSSSSSNGEICMVNRLHLLDDKPKTSNDSSVMTASVNPVQGPRPVMPSKSSLSWDNAGQPESFHNPFLHSDDDRGLVMKSPSPPTLLPPPKFCGNKANKELVCEEKDGAQPSTSAAQGTDASMRQQHMWMQQQSNSISNRLSC >Ma08_p10310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7549041:7551787:1 gene:Ma08_g10310 transcript:Ma08_t10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGVRQETRLLVDEGLAAASCAYLPPFASTCLCKICHEEEEESSTSMETPCACSGTLKFAHRECIQRWCDQKGSNVCEICLQVFEPGYTVPEKKALVDVAVTIRESLEASRINYDPENPGSITVTDDAAGPGYTVCSPGSHGCTSCCRSVVITFTIMLLIRHLIAVIILGANHHTFGILTVFLLRASGILLPFYVVMWSISAVQQAQKQYQLEQLHRGVNLSVNGMEEDEVEHNTI >Ma06_p04530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3345294:3360337:-1 gene:Ma06_g04530 transcript:Ma06_t04530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRNVLDEDDLRRSGRFSKTGSSKWLLFSLPRCSTDVTVRRQGKCPSLMELCVAKIREDIKKYSSFSMLPRDISQQIFNELVESHGLTDVSLAAFQDCALQDIHLGEYPGVKDSWLSTVSSQGQSLLSLDISCSDVTDSGLSLLKNCHNVQCLKCNYCDQISDYGLSHISGLSNLTSLSLKKSNAITAEGMRVFTNLINLVNLDLEKCLKIHGGLKYLKDLKKLESLNIRYCNCITDEDMEPLSGLSNLKELQMSCCKVTDAGIAHLKGLYKIAHLNLEGCPVTAACLDIISGFGSLIFLNLSRCGISDDGSESFSGLQKLKVLNLGFNNISDACLVHLKDLINLESLNLDSCKIGDEGMLNLKGLLRLKCLELSDTEVGSNGLSHLSGLCNLESINLSFTSVTDGGLRKLSGLTSIKSLNLDARQITDAGLTAITSLTGLTHLDLFGARITDLGTNCFRYFKNLQSLEVCGGSITDAGVKNIKDLKSLTLLNLSQNCNLTDKTLELISGLTALASLNVSNSRITNAGLQHLKPLKNLRSLTLESCKVTATEIKKLQLAALPNLISVRPE >Ma06_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3345294:3360336:-1 gene:Ma06_g04530 transcript:Ma06_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRNVLDEDDLRRSGRFSKTGSSKWLLFSLPRCSTDVTVRRQGKCPSLMELCVAKIREDIKKYSSFSMLPRDISQQIFNELVESHGLTDVSLAAFQDCALQDIHLGEYPGVKDSWLSTVSSQGQSLLSLDISCSDVTDSGLSLLKNCHNVQCLKCNYCDQISDYGLSHISGLSNLTSLSLKKSNAITAEGMRVFTNLINLVNLDLEKCLKIHGGLKYLKDLKKLESLNIRYCNCITDEDMEPLSGLSNLKELQMSCCKVTDAGIAHLKGLYKIAHLNLEGCPVTAACLDIISGFGSLIFLNLSRCGISDDGSESFSGLQKLKVLNLGFNNISDACLVHLKDLINLESLNLDSCKIGDEGMLNLKGLLRLKCLELSDTEVGSNGLSHLSGLCNLESINLSFTSVTDGGLRKLSGLTSIKSLNLDARQITDAGLTAITSLTGLTHLDLFGARITDLGTNCFRYFKNLQSLEVCGGSITDAGVKNIKDLKSLTLLNLSQNCNLTDKTLELISGLTALASLNVSNSRITNAGLQHLKPLKNLRSLTLESCKVTATEIKKLQLAALPNLISVRPE >Ma06_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10864937:10868598:1 gene:Ma06_g16010 transcript:Ma06_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLALLLCFSAVALVWCRLPSEYSIVGYEPGPNATERSYELFEQWCRAHGKVYMHPAEKARRFEYFLANLRYVFDRNSRRSSSSSSHGHAVGLNRFADLSNEEFKATYMSKIGRKREVGMSRGGDMEKTRESCDAPASLDWRKKGVVTAVKDQGYCGSCWAFSSTGAMEGINAITTGDLISLSEQELIDCDKTNAGCQGGYMDYAFEWVVKNKGIDTESNYPYTGQNEACNTIKEKIKAVTIDGYQDVTPNEDALLCAVLKQPISVGIVGSSLDFQLYAGGIYDGDCSSNPDDIDHAVLIVGYGSQGDVDYWIVKNSWGTTWGMQGYIYIRMNTGLPYGVCAINAMASYPTKQSTSPPPFPTPAVPPPPPPPPPPSPSPVVCGDMSYCSSGETCCCIYEYFGYCLLYGCCSYENAVCCTGTDYCCPQDYPICGVLGICLQSNGNVMGVAAKKLKLAKHKLPWTKFEEAASF >Ma06_p25340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25632796:25638020:-1 gene:Ma06_g25340 transcript:Ma06_t25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSHRRKAGGDQFDSSDADSVSSVSTGFSELTLANDTEYVNSQEFELEKYIDALYEKRGSTREMALSMLVNAFEGNVLLAFVENKFITLLSQYINSVKRGSTKEACLASRAIGLLAITIGAGSSAHEIMEESVSRLSQALMSGSDSLKKSSVLDCLALVTFVGANDLFETELSLKTMWQVIYPKSGPNVGPVKKLPPTVLAAAISAWSFLFTTVSSWKINPDNWKEEISFLSTLLEDNDRSVRIAAGEAISIFFELGILDQNEQVDTDSINHEDLKHGVLAYMQSMKAKILFKANELSVEAGGKGTDKKNLNDQRDLFQKILDYVQTGECPEISLKISNKHGFLRASTWTEIIQLNFLKRFLGRGFLKHSQDNELLHDIFDFARDNSESLSSKEKKISRTEGDKGRTQKMNKDRKLAQERKRGHFIPREE >Ma06_p25340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25632796:25637981:-1 gene:Ma06_g25340 transcript:Ma06_t25340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSHRRKAGGDQFDSSDADSVSSVSTGFSELTLANDTEYVNSQEFELEKYIDALYEKRFITLLSQYINSVKRGSTKEACLASRAIGLLAITIGAGSSAHEIMEESVSRLSQALMSGSDSLKKSSVLDCLALVTFVGANDLFETELSLKTMWQVIYPKSGPNVGPVKKLPPTVLAAAISAWSFLFTTVSSWKINPDNWKEEISFLSTLLEDNDRSVRIAAGEAISIFFELGILDQNEQVDTDSINHEDLKHGVLAYMQSMKAKILFKANELSVEAGGKGTDKKNLNDQRDLFQKILDYVQTGECPEISLKISNKHGFLRASTWTEIIQLNFLKRFLGRGFLKHSQDNELLHDIFDFARDNSESLSSKEKKISRTEGDKGRTQKMNKDRKLAQERKRGHFIPREE >Ma03_p30340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33014292:33014417:-1 gene:Ma03_g30340 transcript:Ma03_t30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNHYKIKDESKKNSLLVNLIVNGFVFYGVWSFQVQINFM >Ma08_p18790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32403405:32403557:1 gene:Ma08_g18790 transcript:Ma08_t18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIPEVRLSSGGKPMPRIGMGTAVYPFATSEAMHVAILRSIELGYRHFDT >Ma11_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19679777:19683513:1 gene:Ma11_g14380 transcript:Ma11_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSTLSSSSRGRNKLLLSCILFASLFLLLLALPTVPKPVAHHHQLHVHSRLQATAAADHCDGTLYPDLCVSTLSTIPDLHAKSLPEVICASVNTTAAAVRNAAKNCTNFLHRRGYLDPRQRLAVTDCLDLFSQTLDELGAASAALAADPAAHVDDVQTVLSAAITNQYTCLDGFAYVGRGGGYRPAIERRLYHVSHLVSNSLAMVKRIRRRRASRPRRGALEGYGEVSEGFPAWVSAKDRKLLQAPTNATIPDLEVAKDGSGNFTTISDAVAAAPNNTDTRFVIYVKAGAYFENVEVGKSKTNLLLIGDGIGKTVVKASRSVVDGWTTFRSATVAVVGDGFLMRDITIENAAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFYRECNVYGTVDFIFGNAAVVLQNCNLYARKPLPNQQNIFTAQGREDPNQNTGISIQRCKVAAAADLIPVQANFSTYLGRPWKEYSRTVFMQSYLDSLISPAGWLEWNGSFALSTLYYGEYMNRGPGSNTSSRVAWPGFRVIGDAAEASNFTAAAFVQGDQWLGSTSVPFSLGLD >Ma04_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:242914:243573:-1 gene:Ma04_g00180 transcript:Ma04_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFQVKQRRTRVGRRPSSWQPLSHPPQGTRTEFVHLKEEERERERSGKMCPLRVLLILLSATLAGFFVLRNLKPQPELNEERQEEDSPEEPLSLTTKVGSAISTGFWTCVDMASGRYLWRILMTPSAAGKEKKAC >Ma04_p30850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31377568:31380080:-1 gene:Ma04_g30850 transcript:Ma04_t30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRRWDDSDENSDQSGDKRIKRVPSFSTVIKEAVTANKLQKAFFALEPFLRKVVQEEVGTLMHRIYSCPPRSIQMQIEATDQSSLKLIFKRPLSQPIFTGTKIEDIENNPLQILVVDTNSGVEAASALRHLLPIKLELVALEGDFPSGVQEDWTSDEFQNKIVKERTGKRPLVVGDVNVTLRDGAVIIPELIFTDNSSWGKSGMFRIGARVVPGSYDGPRIREAMTEPFKVKDHRGESYKKHYPPALDDAVWRLEKIGKGGKFHSKLAANNIKTVQDFLMLLSVDPDRLREILGQGMTDRAWEVTTNHAKTCIVGDKHYVHRGPNCNLVLNSVCEVVSIIAGNNTYGLQDLINRDDRVSALISCRLMTPRTFPRCKPSPSFNFPAGLREAISSRSVRTLA >Ma07_p21390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29503138:29523018:-1 gene:Ma07_g21390 transcript:Ma07_t21390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVRAAAAMISRAATRISRLAKPPGTSSSSSSSRFFDAAAVDPSRPRFDYRTLSRIRFQSSSERPLYQSSFLPAMFLMGAFGAGAVQISYADASEEDYNPHAVEDSWSGSGGADKIVRQVKQRLEELLRTKGMQRGSYPAFTVSAKGNKVTIKFIVPPACEISHLIVNIVKHLGEMAEHYGGGSEMLLRAWDRFAEAIIRVSYIEIEFIKQGSYSFKELEAVVSALKLAGERSNIKKSSGRNPNVFKRNDNYDAKQLASIEKSVSALEGMGVRVYGLDETSSFPWDGTISWENIAGYDEQKREIEDTILLALQSPEIYDEIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAQQAGIPLLYVPLEVIMSKYYGESERLLGNVFSLANELPSGAIIFLDEVDSFAVARDSEMHEATRRILSVILRQIDGFEQEKQVVVIAATNRKQDLDPALISRFDSLISFSLPDQKTREEIGAQYAKHLLKAELVLLASATEGMSGRDIRDVCQQAERHWASKRIRGQAPKDAEGSGKLPPIEEYIRSAEQRREAVLATTIGGGNNSSSFGWKPFALA >Ma07_p21390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29503138:29523018:-1 gene:Ma07_g21390 transcript:Ma07_t21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVRAAAAMISRAATRISRLAKPPGTSSSSSSSRFFDAAAVDPSRPRFDYRTLSRIRFQSSSERPLYQSSFLPAMFLMGAFGAGAVQISYADASEEDYNPHAVEDSWSGSGGADKIVRQVKQRLEELLRTKGMQRGSYPAFTVSAKGNKVTIKFIVPPACEISHLIVNIVKHLGEMAEHYGGGSEMLLRAWDSAAAWQITLSSSEKEEGDLCIMLFKTLLDSEYCEIEFIKQGSYSFKELEAVVSALKLAGERSNIKKSSGRNPNVFKRNDNYDAKQLASIEKSVSALEGMGVRVYGLDETSSFPWDGTISWENIAGYDEQKREIEDTILLALQSPEIYDEIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAQQAGIPLLYVPLEVIMSKYYGESERLLGNVFSLANELPSGAIIFLDEVDSFAVARDSEMHEATRRILSVILRQIDGFEQEKQVVVIAATNRKQDLDPALISRFDSLISFSLPDQKTREEIGAQYAKHLLKAELVLLASATEGMSGRDIRDVCQQAERHWASKRIRGQAPKDAEGSGKLPPIEEYIRSAEQRREAVLATTIGGGNNSSSFGWKPFALA >Ma01_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1169734:1170929:1 gene:Ma01_g01720 transcript:Ma01_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSQGGREEGRGGLANTFGCFRGEWGLRCRQGGDSSSASLPLAAACLVVVSRRRWRRQKRSAGRSAATEKAHLPTLLSFLRARQPHPPPPPPTVAAPPPRLFLPAPCPEIEKRSFVNTLEMMKLYLLSMYNRKSREAGGGGCDNFTMVIVVVAFVRRVEAGSGCLQVSFRQRERRPSLLLSISTSPVHL >Ma05_p29380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40077533:40079954:-1 gene:Ma05_g29380 transcript:Ma05_t29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNKLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEEAKFKLCKVRSVRFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLEANKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVQDATGHEFATRMGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAAGATATA >Ma06_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4255311:4256715:-1 gene:Ma06_g05730 transcript:Ma06_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPPAAPPRRPVPGQPWSHIETTHLIDAYAERWYALKRGQLKAQQWEEVAAAVAARCGIDEPSKTGTQCRHKIEKLRKRYRAERLRPGSSVWPFFSRMDRMECGPLPISARQPVPPRPASAPSTDEDEVEDEEEEEEEDERVGSNTRSINGILREPNWGPSRVPRNHVPATRRALEMEEGESEEEESEGEDAGGNEVTSQVAAVLRGFGDGLVRMEKRRMQLMREVERDWMQMETKRAEMLRESQRCLLDMIADALPSSKKAKKSHDL >Ma02_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23929923:23930130:-1 gene:Ma02_g16660 transcript:Ma02_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSVAFQWKCGSVDLIAWGSLLQVLEEPAKLVQRERCFSCIEQSD >Ma06_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3336097:3337568:-1 gene:Ma06_g04510 transcript:Ma06_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRRSSGPVLPFRRSISPGGGGTASAFASSSSSFTAHSQASFFHHHQHHRSASPTRVHFVGAAHPPTPSVRLSFDRSTSPGRSLAAPDKRSVAPAAAPARRTCLCSPSTHPGSFRCSLHKGLNLHHHGHVAASSPSNRLNARRSAMTNSLVRIGAVEGEWVKRALAALIRPSSHQQRRRAGFQPRPSRLSRMSKADDL >Ma05_p28400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39420846:39426546:1 gene:Ma05_g28400 transcript:Ma05_t28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVGGGGGEEMERDFEAKLRLQQPETTGDGGHARPAVQRTNSIVFRAPQEQFTINDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFIAKENKVSYVKLERIVLDQLDHPGIIRLCFTFQDTYSLYMALECCEGGELFDQITRKGHLSEDEARFYAAEVIDAMEYIHGVGLIHRDIKPENLLLTTDGHIKIADFGSVKPTKDSQITVLPNSANEKTCTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKFPEYFSHEARDLIDKLLDTDPSKRPGAGPDGYASLRKHSFFKGIDWKNMRKASAPKLALEKDTSVDYDSQDTSWNPIHVGGAPSHQQGIPDGSPVATSSFVPQSHISRLASIDSFDSKWQEFLEPGETIVMISNLKKVQKLTNKKVQLILTDKPKLLYVDPSKMTANVNIIWSDNAGDLSVQVASSSHFKICTPKKVISFEDAKQRAWQWKKAIEGLQHC >Ma04_p27780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28938575:28938736:1 gene:Ma04_g27780 transcript:Ma04_t27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHLSWCIHMLGGDTSKNNTATTKVCIKSILFVPAKMIRCLGPRHQRMFFFG >Ma05_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:259460:262825:1 gene:Ma05_g00420 transcript:Ma05_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEAFRAREIAERKMQNKDFSGARKIAQKAQRLFPVLENISQMLTVCEVHCSANVKVNGEMDWYGILQVEPTADYSSVRKQYRRLALLLHPDKNQFAGAEPAFKLIGEAHMTLSDQEKRHLYDIKRNATFKPALSGQLAPQMRKSSYAATSGFSAVNFNGLNLQQQQPSCFAAAQTFWTICSGCKIRYQYYQSILNKSICCQNFLKPFVAHDLNAEAVPSEENIGQSWIDSGNPQQQIPVEQTNNVHWHNHPESTSSHMGLKVSLSGGLEIKIEHGGGGPANVATDVKMNDKGGESSEVKFGKMNTKETNHGKQAAKPSTANSSQKRARVVAAMDSDGTSVEDIAIEVDGHQAKHLSSFFAPRRSGRLKKNINYNKVGNEDDFNFVSPPHCKMLRGDLLGGADGHETEISHANADRVTSGVDVTNFADDNMENNHKEDARSEEKQPCASKGVKIGDSKQDTVMKEKSGTRTEWNLNSTSNTLPEHGRVTYPDTEFWDFEELRHENAFAVDQIWAVYDNLDGMPRFYARIRHVYAPHFKLRLAWLEHNPLNEVEMAWSDGDLPVGCGNYILGSSQFTEDRLMFSHVVSSEKGKRRNSYTIYPRKGEVWALFKDWKIGWSFDAQNKLYDYEVVEVLSDFAAASGISVIPLVKIEGFVSLFMRAKEKRMAPYEIPPSEILRFSHNIPSYRLTGTEKESIPQGCLELDPASLPTNFSESFPSISFCSNTSRIGNLNEFSGLRFRPTTDEEEPGLSMENDISQSSSPNGVKCVGDAKQYQTTEIHHSDAWRNAQNGTDQSETANIVEDNLDARDINNNAAENENLSSMSSLSPLTYECPEADFHNFDQQKLIGNIQRGQIWAVYSDIDKYPKYYAQIKKVELEEYRVHVAWLEACPVLVEQVRWIEEGMPIACGTFKVERQSMIFDNVDIFSHLVQAKPAGKRNQYVILPSCGEIWAVYKNWNANWKHSDLENCEYDVVEICECTDAGMKVRLLMKVSGYRSIFKPEIEGKAVTMEIPNDKYITFSHKIPAFRLTNEIGGKLQGYWELDTASVPEILLFSDNERCRK >Ma06_p36190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35732892:35734169:-1 gene:Ma06_g36190 transcript:Ma06_t36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVNSEHVRSPCSSICSSPSGLSLLHHYHNKAALISLLPCSLPFSSFSSASSIHSPCAHLCPERMSCRPSRHQRRASQSVFVLPESFSISEAPPMEVGEKKTAVESSQPSSEPAAPSPPPPALPGQEFKEAVAEKYRD >Ma06_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:109657:113472:-1 gene:Ma06_g00150 transcript:Ma06_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAGFAAAVPIRGTVSATGSIGRRALLRPAGSRSLRFAISKRVDVELYRPVLQPQSSLRRLTRVISGEAEGNPSTEDTMLDEQTLQQDLEVAIKDEDYARAAQIRDRLRILHEDSKASVLSANARFYHAFKNGDLAAMHSIWAKGEHVYVIHPGAGTISGYEMVMGSWEIVCNADYEFPLQIDLKNVEVHVRGNVGYVTCMEVVKTKGSSWGKQVATNVFERINGQWFICIHHASHIDE >Ma02_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21009439:21012231:-1 gene:Ma02_g12180 transcript:Ma02_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPYQEKFLFLDPSPSPDPPHGYRPLNQIYLPLCCKLIASCGPIESPNGRGSRCPGGAETHRGTAVAPPLDPN >Ma08_p27890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40002211:40005865:1 gene:Ma08_g27890 transcript:Ma08_t27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCMNYLQHFCNDGFQLESGGFNLHDDLLPSLGATINHTTKLRKHIVSPYDPRYRLWELFLIFLVLYSAWICPFEFAFLRYLPSKIFLVDNIVDSFFAIDIVLTFFVAFMDHKSCLLVDDPKRIAVRYLSTWFIFDACSTYPIQTISFSYNRHGNSLSFKLLSMLRLWRLRRVGSLFARLEKDIRFNYFWTRCTKLFSVTIFAVHCSGCFNYMIADRYPDPKRTWIGAVIPNFREDDLWLRYVTAIYWSITTLTTTGYGDLHAENTREMLFDICYMFFNLGLMAYLIGNMTNLVVQGTSRTKKFRDTIQNASEFASRNKLPKYIEEQMLSHICLRFKTQELKQQEILDDLPKAIRSSIAEHLFLPIVQNVYLFQGVASNTLFQMVTEMKAEYFPPKEDVMLQNEAPTDLYVIVSGAVEMRTYADGIEKVHGSVTAGEIVGEIAVLCHMPQPFTVRTTELTQILRLNRTILFNIIHKSKQDATIVMSNLLQKLRVHERLYPGIKQNDSELLQQWQEKIAMNRNENHDQDENSYRVSNTLEEMDAGDLLCKAESNKDIRMDIQPPISCCNTKVDHADKRTVLHTAVWEGHNETAKNYGSREWRTTTDHGNNFKHHSSREWRPRFPYPNISEMLVASCSHGGHEFENDVKNLTSKRVTIHMHSQRANPARQLTAKMINLPGTVEELLRIGGEKFVGHHPEKVINQENAEIDDLSKVQDGDHLYLLEI >Ma09_p28800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39448695:39449699:-1 gene:Ma09_g28800 transcript:Ma09_t28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRALVTRIAALVAVLLLATPAAAKLTATYYQKTCPKAEQIVSEVVTTKQITTPITAAGALRLFFHDCFAGGCDASVLVSTNAFNRAERDADDNVSLPGDGFDVVIRAKTALELQCPGVVSCADVLALATRELVIMLGGPFYHVRLGRKDALNSTAASVEGQLPGPNMTVDQLISLFAQKSFTAQELVALSGAHTVGFSHCDQFASRIYGYDGRNQNAYDPAMNPQFAQALQKACANYTTDPTIAAFNDVMTPGKFDNLYYQNLLRGLGLLASDQALAAHPRTKPFVQLYAANQTAFFTDFAHAMEKLSVLGVKTGRKGEVRRRCDAFNNLST >Ma10_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30519475:30525970:-1 gene:Ma10_g20300 transcript:Ma10_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPSVSATPPSSTVSPPPASFSPPSTSDSPPPSPLPDPVAPPPTPPASSPEPPPPPSPPPPAASFPPPATASPPSSSPPPSASPPPPVAFPTPPVVTASPPPPITGSPPPSVAASPPPPTEVSPLPPTAVSPPPPTEVSPPPPIAASPPPPSSASSPPQTPNAPPPLSGSPPPPPSAGPPTRTPPPPSVEPPNAPPSPPLPPRSPSPPIPSAPAPKSPSTPARSPPAAPPSNSSAGTVPSPVQASPPLHSPTVPSDNSPNPISPSSNKSTPGSDVVSDNSPGGGGTKTGTAVAVGLVVVFVIFCFLGIVWYVRKRRKPVAGYVPGFVMPSPRSSSMISESPHPRSPSAPLVYHHKSASVASDAGIVNAQSCFSYEELYEITNGFSPQNILGEGGFGCVYKGCFSDGREVAVKQLKVGSGQGEREFKAEVEIISRIHHRHLVSLVGYCISENQRLLVYDFVPNDTLHSHLHGTGKPVIDWPTRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLAKLAMDACTHVSTRVIGTFGYLAPEYASSGKLTERSDVYSFGVVLLELITGRKPVDDTQPMGDESLVEWARPRLSHALETRDFGELPDPRLEKNYNETEMFRMIEAAAACIRHSAAMRPQMGKVVRVLDILTDVDLNNGVKPGQSEIFNVAETAQIRLLQRMAFGSHVFSSGYSQSDWSHQSDI >Ma07_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27932823:27934173:1 gene:Ma07_g20030 transcript:Ma07_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAMNKTLSNTTTENTSTVVDEVMAETTCKHHPDLPLLDQMANCECQQGSNPNALPALGLHGSSIAIDKNQKRGTNVETRPTRYIPTDIVESLLTRMNPKDAVRLSVVCKDWRAATRLFDPTTRRTPWLIIAKPMKTTCRLQSIVDKEVSFEIEFHGFPTERAYFSYCSHGWLASIPGILNPMHLVNPFSGKWLQLPAHALAPSFLCMSSAPTTRDCVLLARDYDNFLYVWRPGDESWTKFEGPFQHFSTIISFEGQFYAWDYDSGLLTVLQVLPFRLRELSVPCPISFTSHYILFVRLVECCGNILLVIVTKLSHGSLSIYLYRLDMENKAWIKMESLGDRALFVDYRFFNPAISVSASEVGCHANCVYINGVYDVMIYDMDNHMIESFSFRQGPRSYIGGPIWITPSLN >Ma01_p12260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8883739:8887191:-1 gene:Ma01_g12260 transcript:Ma01_t12260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPKQDISQPKMANGSSDFFSIPDDEFSELVWENGQIVMQCKSTRPRKSSFPSAFSQYGGLQEKDSRGSASSKVAHFSEVDRAVDDFAPSGHSGVSARGGDDDDMVPWINYPIDEVLASETLENDYCAEFMNEFTAFDLNPVSAHRNTVAASRCASSGVDVRNSGNVENQHASKAPAGCSESARTRTGHLFQLPQQCQSSVPNSKSTVVDNGARGSNVAQEEDRCGDMVDRRLQNQGVASSKQQQPNSTASLMNFSHFARPAALAKVSLQNVGGLRSTEKVNSVSRSNPITSAPIASTNGVKDISRASKLSALDSHKLDLGPVMKALREGGLVAQPDAICLDIASKNNNKTDECTKLPDNVPSSSLAASMALCRNDTRKDPDAVVASSSICSRNLTGAASNEPRHMEKRKEYEGDESDYHSDDLQDESVGTRRPANGRVARTKRSRAAEVHNLSERRRRNRINEKMRALQELIPNCNKVDKASMLEEAIEYLKTLQLQVQIMSMGNGLYMPPMMFPLAMPHIRAPTMAPFPPVGVGMGAGIGVAPGCPMIRIPPVHAPQFPCTPNSGQLNLRGIPGPVSPQMYGIQGHGIPVVIPGPPPFGTLPGFSMNSVADQVPGTMAVVAHPDPATAGTGDQQQRNSNVEPRTSTEEEPQIQASTEATKECF >Ma01_p12260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8883739:8887661:-1 gene:Ma01_g12260 transcript:Ma01_t12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTSSLLWENGQIVMQCKSTRPRKSSFPSAFSQYGGLQEKDSRGSASSKVAHFSEVDRAVDDFAPSGHSGVSARGGDDDDMVPWINYPIDEVLASETLENDYCAEFMNEFTAFDLNPVSAHRNTVAASRCASSGVDVRNSGNVENQHASKAPAGCSESARTRTGHLFQLPQQCQSSVPNSKSTVVDNGARGSNVAQEEDRCGDMVDRRLQNQGVASSKQQQPNSTASLMNFSHFARPAALAKVSLQNVGGLRSTEKVNSVSRSNPITSAPIASTNGVKDISRASKLSALDSHKLDLGPVMKALREGGLVAQPDAICLDIASKNNNKTDECTKLPDNVPSSSLAASMALCRNDTRKDPDAVVASSSICSRNLTGAASNEPRHMEKRKEYEGDESDYHSDDLQDESVGTRRPANGRVARTKRSRAAEVHNLSERRRRNRINEKMRALQELIPNCNKVDKASMLEEAIEYLKTLQLQVQIMSMGNGLYMPPMMFPLAMPHIRAPTMAPFPPVGVGMGAGIGVAPGCPMIRIPPVHAPQFPCTPNSGQLNLRGIPGPVSPQMYGIQGHGIPVVIPGPPPFGTLPGFSMNSVADQVPGTMAVVAHPDPATAGTGDQQQRNSNVEPRTSTEEEPQIQASTEATKECF >Ma01_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8883739:8887661:-1 gene:Ma01_g12260 transcript:Ma01_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPKQDISQPKMANGSSDFFSIPDDEFSELVWENGQIVMQCKSTRPRKSSFPSAFSQYGGLQEKDSRGSASSKVAHFSEVDRAVDDFAPSGHSGVSARGGDDDDMVPWINYPIDEVLASETLENDYCAEFMNEFTAFDLNPVSAHRNTVAASRCASSGVDVRNSGNVENQHASKAPAGCSESARTRTGHLFQLPQQCQSSVPNSKSTVVDNGARGSNVAQEEDRCGDMVDRRLQNQGVASSKQQQPNSTASLMNFSHFARPAALAKVSLQNVGGLRSTEKVNSVSRSNPITSAPIASTNGVKDISRASKLSALDSHKLDLGPVMKALREGGLVAQPDAICLDIASKNNNKTDECTKLPDNVPSSSLAASMALCRNDTRKDPDAVVASSSICSRNLTGAASNEPRHMEKRKEYEGDESDYHSDDLQDESVGTRRPANGRVARTKRSRAAEVHNLSERRRRNRINEKMRALQELIPNCNKVDKASMLEEAIEYLKTLQLQVQIMSMGNGLYMPPMMFPLAMPHIRAPTMAPFPPVGVGMGAGIGVAPGCPMIRIPPVHAPQFPCTPNSGQLNLRGIPGPVSPQMYGIQGHGIPVVIPGPPPFGTLPGFSMNSVADQVPGTMAVVAHPDPATAGTGDQQQRNSNVEPRTSTEEEPQIQASTEATKECF >Ma01_p12260.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8883739:8887744:-1 gene:Ma01_g12260 transcript:Ma01_t12260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPKQDISQPKMANGSSDFFSIPDDEFSELVWENGQIVMQCKSTRPRKSSFPSAFSQYGGLQEKDSRGSASSKVAHFSEVDRAVDDFAPSGHSGVSARGGDDDDMVPWINYPIDEVLASETLENDYCAEFMNEFTAFDLNPVSAHRNTVAASRCASSGVDVRNSGNVENQHASKAPAGCSESARTRTGHLFQLPQQCQSSVPNSKSTVVDNGARGSNVAQEEDRCGDMVDRRLQNQGVASSKQQQPNSTASLMNFSHFARPAALAKVSLQNVGGLRSTEKVNSVSRSNPITSAPIASTNGVKDISRASKLSALDSHKLDLGPVMKALREGGLVAQPDAICLDIASKNNNKTDECTKLPDNVPSSSLAASMALCRNDTRKDPDAVVASSSICSRNLTGAASNEPRHMEKRKEYEGDESDYHSDDLQDESVGTRRPANGRVARTKRSRAAEVHNLSERRRRNRINEKMRALQELIPNCNKVDKASMLEEAIEYLKTLQLQVQIMSMGNGLYMPPMMFPLAMPHIRAPTMAPFPPVGVGMGAGIGVAPGCPMIRIPPVHAPQFPCTPNSGQLNLRGIPGPVSPQMYGIQGHGIPVVIPGPPPFGTLPGFSMNSVADQVPGTMAVVAHPDPATAGTGDQQQRNSNVEPRTSTEEEPQIQASTEATKECF >Ma10_p29150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35816441:35818497:-1 gene:Ma10_g29150 transcript:Ma10_t29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIHHFMILLSLLGVLFAHQFEAQSAGASRSTARSLDVLLQDYAYRAFVQPRTGVPYDGTAPSNLTGIKIAALRLRSGSLKKRGVDKYKEFGIPDGVVVRPYIERLVLVYHNLGNWSSFYYPLPGYAYLTPVFGLLAYDAANLSSTNLPELDIVASKSPISINFTYVIPAPRGAIARCVQFGIDGSLDFRDLVSSNICSTYRQGHFVIVVNSSEIAPSPAPSRAPGPAPSRVGSKSNKSKVWKIVGGVVGGVVALIFLALLVYLMHQYKHNKKVARMEQHADAGVSVHTTRVGNTHVPVASGTRTQPMLENELVA >Ma03_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18835588:18836181:-1 gene:Ma03_g16390 transcript:Ma03_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMITNVRIEGKGEQAVVLSHGYGGSQSTWDHVVPHLSQRYRLLLFDWNFKGAIDASKYSSFTAFADALIALIDRLKLKGTVFLGHSMSGMIGCIASVKRPDLFSHLVLIAASPRQ >Ma01_p05060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3568249:3571940:-1 gene:Ma01_g05060 transcript:Ma01_t05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDPPPPADERSGTPPVAPALSIPRRIRAPPFRLLPSGPATTPPPPSSWLEIRLFYVRISPCAVDAVPPHLTLSHLRREMGDALEINGARVPASDTTAIPLRRDRLDRGAAEVTYVSTDSVRIAGAVDFEVCDDRGNLILCGSLQRLEVPWSNGVITVDNHHGSSDKDRKTGWGMDCYPAASAGASSFVQPKLGIVFPSIEVYVAGCSAAAPLILTQTLQVSPRRKAVKPGTLGSIPEDEETTEHEKKVNNDTLVQQRASSSLAAEEEAGGYDSDFGVAHSYHPEGWSSEEDGQLSWFNSGVRVGVGIGLGMCVGIGIGVGLLMSSYQATTRSFKRRFF >Ma01_p05600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3960046:3968351:-1 gene:Ma01_g05600 transcript:Ma01_t05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPATSLLLPQAASFLRQLPEPKTIPPRSLLRWNPLFGSKCLLTKSFPLPPFVGVRGPVSCSFGAVKEGEEVKQNPIGSGGGLLSLIIESLGVRKHPVLAAALLGLFLTAASPCAAVLASSSPFPCHSSSSLLDSTRLGDSSVLSLVMMGSAAVTLLAGFLLDPVNDWKEPKLQVSMQCLPRDLDWIGEITETSSLEGLDSGLTDYFTSQTYFRNQLDASGVSHPKERKLGLKWKDQIFFSRKVKFQGSCLHNKNRQLMKAVATSMPACLVPHECDTKLLDSNLDAQAIQIESTNDETSVIEDREKLRRMRISKANKGNVPWNKGRKHSAETLQRIRERTKLAMQDPKVKMKLMNLGHAQSEETRMKISAGVREGWRKRHEMLMVQEGCFFEWQNCIADSARRGCAGEGELQWDSYKILNEQLKRQWLESMEKRKTKLRTKESKRAPKSPEQRRKISEAIAAKWADAEYRARVCSAMAKHHGTAIGAERIRRKPSGETSVKITMRKKTMKPSISNYEDRSIKKVISKGRKNSTPLYKDPMVSFKFEIIKKIKEERAAREAKKQEVTERAKLLIAEAEKAAKALEMFALNNPLAQTSLLETRKLIAEATRSIENIETGMLTTQDYSDKSLDFGGPLNHFHSNPGVPSIEKFKERLVNGFHTPPSSRINHTDIDSDRLPLEPAVNGWEPSTVTQSTEKSTGFCLPVDTESVGKGSEKISNQTSQSVVGKAVKYENNSEKEDIRTSEGKDTVPSRNLKKKWVCGRLIEVDKSDEAEQDSISGNK >Ma10_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29418165:29418383:1 gene:Ma10_g18530 transcript:Ma10_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPTCHSLKAMTIKLNILQESACHPKEREQAKQQKPRP >Ma06_p34430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34661570:34666187:-1 gene:Ma06_g34430 transcript:Ma06_t34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRSRVRRGRNGSSSVAETIAWWRERNRQLKCSVDTEKRVRRPPAKGSKKGCMRGKGGPENPNCRYRGVRQRTWGKWVAEIREPNRGSRLWLGTFPTAFQAALAYDDAARAMYGTMARLNLPAMTRGLCGSTSTSYNSEDVDASVSCDFGIKTPRVDAGSETVDAPRTWADNIKHPKIELKEDVASDMWPSSAADAPETQSTEASVDLLLCQSPREDEFSVEEMLRMMGTDTDNGAPDQFGAVAADTGWQCMIPADVGLDLQSPDGTVLGPLWGTEQNPPLEFDCCDSLQRPLGDDWEYGPGEFGMLDADILSSPIN >Ma06_p34430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34661569:34666179:-1 gene:Ma06_g34430 transcript:Ma06_t34430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMTMVESDRRSRVRRGRNGSSSVAETIAWWRERNRQLKCSVDTEKRVRRPPAKGSKKGCMRGKGGPENPNCRYRGVRQRTWGKWVAEIREPNRGSRLWLGTFPTAFQAALAYDDAARAMYGTMARLNLPAMTRGLCGSTSTSYNSEDVDASVSCDFGIKTPRVDAGSETVDAPRTWADNIKHPKIELKEDVASDMWPSSAADAPETQSTEASVDLLLCQSPREDEFSVEEMLRMMGTDTDNGAPDQFGAVAADTGWQCMIPADVGLDLQSPDGTVLGPLWGTEQNPPLEFDCCDSLQRPLGDDWEYGPGEFGMLDADILSSPIN >Ma06_p34430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34661569:34666175:-1 gene:Ma06_g34430 transcript:Ma06_t34430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRSRVRRGRNGSSSVAETIAWWRERNRQLKCSVDTEKRVRRPPAKGSKKGCMRGKGGPENPNCRYRGVRQRTWGKWVAEIREPNRGSRLWLGTFPTAFQAALAYDDAARAMYGTMARLNLPAMTRGLCGSTSTSYNSEDVDASVSCDFGIKTPRVDAGSETVDAPRTWADNIKHPKIELKEDVASDMWPSSAADAPETQSTEASVDLLLCQSPREDEFSVEEMLRMMGTDTDNGAPDQFGAVAADTGWQCMIPADVGLDLQSPDGTVLGPLWGTEQNPPLEFDCCDSLQRPLGDDWEYGPGEFGMLDADILSSPIN >Ma01_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2991370:2993666:-1 gene:Ma01_g04450 transcript:Ma01_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFEDWDPLLDDNVNALHLSNAMDDCSGCSLYLIEAADGSLFDGSFLPYDIRDSISSFPLHLLPFCFSNERERLYSCYDHHTQSVLLKMLQGGLFEAFLRRWRKLSKQANHGYRRRSTPSGYCSQEEEAVPEDVPRGHTVVYVGERRRRFVVLVALLEHPLFRALLDQAREEFGFGDGGKLRMPCDEALFLSVLCRVSSRRRRGVWLCL >Ma09_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10487130:10488820:-1 gene:Ma09_g15170 transcript:Ma09_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGMGMRNWAYFDQTLKGDSGRHLMPSMAAECGAPKPPFLSNGAFHRRECFIPEQPDRMDITRNEWINLRENNMLHMLPLNNCSSAMGDAGVSHGAHSFSMMQSTPLPPPKGDKITAVDDELRKDVPQKRSQPRAQTRPHKASKPKRLKKVAVSKDESSSRMGHQGRNLRQSTALNINGIDLDVSNIPTPLCSCTGMPHQCYRWGAGGWQSACCTTGVSMHPLPMSTKRRGARIAGRKMSQGAFKKVLANLAAKGYNLSDPIDLKPYWAKHGTNKFVTIR >Ma09_p15170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10487130:10488820:-1 gene:Ma09_g15170 transcript:Ma09_t15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGMGMRNWAYFDQTLKGDSGRHLMPSMAAECGAPKPPFLSNGAFHRRECFIPEQPDRMDITRNEWINLRENNMLHMLPLNNCSSAMGDAGVSHGAHSFSMMQSTPLPPPKGDKITAVDDELRKDVPQKRSQPRAQTRPHKASKPKRLKKVAVSKDESSSRMGHQGRNLRQSTALNINGIDLDVSNIPTPLCSCTGMPHQCYRWGAGGWQSACCTTGVSMHPLPMSTKRRGARIAGRKMSQGAFKKVLANLAAKGYNLSDPIDLKPYWAKHGTNKFVTIR >Ma09_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8184177:8187600:1 gene:Ma09_g12100 transcript:Ma09_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] MDQLDMGYLEDEDSPAMKTFKAATTGLVAGTIWGTIVATWHDVPRVERNVALPGLIRTLKLCGNYGLTFAAVGGLYIGVEQLVQKQRMKRDFINGAVGAFVAGATVYGFRGRNIQAALTGGSALAFTSAVLDIGGRTTRIDNGKVYAPVTIEKRPADS >Ma02_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14760474:14761614:1 gene:Ma02_g03410 transcript:Ma02_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFIKDLKYADTHEWVKVDSSSAMIGITRYPQGKNFGAVESVKATRKVNSPVSGEAVEVNTEPNGSPGLMSSLDKLFSTSTLFKRNNAFT >Ma11_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:333915:339275:-1 gene:Ma11_g00490 transcript:Ma11_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVLLALAISSLLLAHAVVASAPLGGAGLDLDEEDLSFLEEEEGVAVSHEDKDSGDHADDSYSDTDESEYDDQDQDEAFDDLGHGSHNAVPTIDETDVVVLTDGNFSDFLEKHRHVMVEFYAPWCGHCQALAPEYAAAATALRGEDVVLAKVDATEENELSQRFEVQGFPTVLFLVDGVHRDYPGQRSRDAIVTWIKKKIGPGVENITTVDEAEKILTSESKVVLGFLDSLVGDESQEFSAASKLEDGVNFYQTVNPDVAKLFHIDPSAKRPSVVLLKKEAEKISYFDGQFSKSAIVDFVFANKLPLVTPFTRESAPEIFENPIKKQLLLFAVSNDTRKVMPAFQEAAKFFKGKLIFVYVEMDNEDVGKPVSDYFGVTGDDPQVVAYTGNEDAKKFILEGEVTLDAVKKFAEGFLEDTLKPFYKSDPLPETNDGDVKIIVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIESLVIAKMDGTTNEHPRAKADGFPTLLFFPAGNKSFEPITVDADRTVKAFYNFIKKHASIPFKLQRPASVLKTEASDGSASAGEKSGGADVKDEL >Ma03_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10768773:10776100:1 gene:Ma03_g13660 transcript:Ma03_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFPVLQHHLLFLRPRLHLSFIPFSPRLRRRLFFSAASVQCSSSLPSSSSSTASRDDIDEVAEPPGVPPPPGSGRETQQLIDVNPPRGTRDFPPEDMRLRNWLFQQFREVSRILSFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIIGVSRVRAEAELVNAIVLLFERLGITSSDVGIRVSSRKVLQAVLQMYAIPERLFAEVCVIVDKLGKITKEEVEKELMSAGVTSEAVKGIVEVLSLTSLDKLEAVLGSDVEAIADLKKFFSLAEHYGYSQWITFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDQLLSTFGSDDIPACGFGFGDAVIVELLKEKGLLPDLGRQVDDIVFPLDEELEGQASMVASALRRKGHSVDLVEDKRLKWVFKHAERVNASRLILVGNSEWQRGMVRVKVLSTREEYETKIDELN >Ma06_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11835248:11839736:1 gene:Ma06_g17410 transcript:Ma06_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGDLKKINEKIHEYQGSSSSSDSDDEKPSFQNSRKKRLFGRKDTVHTVLGGGKSADIILWRNKQLSGSILTGVTVIWLLFVWMGYHLLTFICHFLILVLAVSFLWSNGASFVNRSPPKFPEVVLPEDLFITIAQSVRYEINEALATFYYVACGKDLKRFLTVIAGLWILSVIGSWFSFLTLFYIGFLILYTGPVFYENYEDHVDTAAEKAIHAINKQYAVLDAKVLQKIPYGTFANKKQH >Ma09_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35455031:35457437:-1 gene:Ma09_g23640 transcript:Ma09_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNTTSKIIVLTLQLHAIFTALSLSLFVGETANRSPCGRRREPKIELDGALTPPKASPADEIEEHCKH >Ma09_p31000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40854778:40866180:-1 gene:Ma09_g31000 transcript:Ma09_t31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEGSLNFDFEGGLDVAAPSVAAVAASGPLAPSDPTAAAASAGASSPSGTADRMAVAGGNVSGRRSFRQTVCRHWLRGLCMKGDACGFLHQYDKDRMPVCRFFRQYGECREQDCVYKHTNEDIKECNMYKFGFCPNGPDCRYRHAKLPGPPPPVEEVLQKIQHLNSAYGSSNRFYHHRNNNNSYNQQPDKNQLSSTPGLPNQNTGVKPVSSFEPSDVKLPQSLVQQSEQQQQQQQQLPIPSLENQVPSISNALSNQTVRTASPLPQGQSRYFIVKSCNRENLEISVQQGMWATQRSNEAKLNEAFESTENVILIFSINKTRHFQGCGKMTSRIGGFVGGGNWKYSHGTAHYGRNFSVKWLKLCELSFNKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELMAMLVAAESKRDEEKAKGGGADEATDNPDIVLFEDNEEEESEEEESEEDDESGQAAHGRGRGRGMMWQPHMPLVRGGRPMLGVRGFPPIMMGADGFGYGDGFSTPDLFGPRIFPQFGGPRFSGDFSAGLVFSGRPPQPGAVFPMGNIGMMMGPGRAPFMGGMPMAGMGRANRPVGVPPFLHPPPAPPLNSRAAKRDHRRPVSDRNDRYETGSDQGNRSQVMAGAVGGADDDGAYWQGERASDHKYGPGKSFQNESEKSMDEIAPRRSRHSDGKWKRHVSEGETTLE >Ma11_p13240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17544109:17545485:-1 gene:Ma11_g13240 transcript:Ma11_t13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGKSIGSAAAKKSMSRSSNAGLQFPVGRIARFLAAMLLQCDSYPGGVSPDSPEGESCETDLTS >Ma11_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12876458:12878418:1 gene:Ma11_g11380 transcript:Ma11_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSTYAVAAILAVALILPAIALAARDVAGIKRGFVVQGRVFCDTCRAGFETPASTYIRGAKVSVECRSRITGAKTCSFDGTTDHTGTYNILIADEHDDHEICESVLVSSPESGCKTVLRGRERARVFLSRNNGIASDTRFANSLGFTKDTPLSICTQLLKTYEQYEV >Ma11_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8682050:8686483:-1 gene:Ma11_g09610 transcript:Ma11_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHSGHGGGGGDDSDSDEEGEVFIDDDDVIQEIPIDEEDLPDQDEGLGSDDDIEEADDSSYVFKGHSDELYTVACNPTDASLVATGGKDDRGFLWKIGAADASLELQGHQDTVSTVAFSFDGQLLATGGFDGLIHVWDASSGSLKCTLEGSGDGFEWLRWHPKGHLIIAGSEDTNVYMWNADKSICLNTFSGHASSVTCGDFTPDGKLICTGSDDASLRIWNPKTGESVHIVRGHPYHTDGLTCLSITSDSTIAITGSKDSSIHLVNITTGRVISSLIAHSKSVECIGLTPSSPWAATGSMDQKLIIWDLQRSSVRCACNHEEGVTCLAWLGASQFVATGCVNGKVCVWDSLSGNCVKIFSGHTDTVQSLALSADGNSLVSVSDDGTARVFVVSEFR >Ma09_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34408194:34412077:1 gene:Ma09_g22480 transcript:Ma09_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPELEEIRQRRMQELMAKHGVGNQHNGEQKNGQEEAKREAEERRQLMLSQILSTQARERLARIALVKPEKARGVEDVLLRAAQMGQLSEKVSEERLISLLEQINNQTSKQTKVTIQRRRSVLEDDD >Ma08_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7595326:7601936:-1 gene:Ma08_g10400 transcript:Ma08_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLLALLLSAILLSSKIRFGSAHEHHGEVAEGSCESSPDVRVAAEFRPGVITVDGHADDWTDIEASEFALLPALDFDEDKAYGGGRMSVRAVHDGINVFFMLQVDGEYAYLKGENNRCPSVALMFQVGENATYQNMGGCSDLPGTCTANSCRGHEVDIMHFSIGNAIPGRLYGGNLMDNINGTGKDSFGHLVDTYAWNPHCRYLDGIGPKANTSNARNDWQGAWWHSSITFHSGMVEEDSPYGKDGEKGTYFFEFSRPLRTMDRFQQDVQFTIGQASKVAMAFWYPTSGNPWSKSDHYSASCNWLPLDIALASPVSVTRASSGSWDAATAFAMLLSVISLCVAIFIGYRVSSTKDVPFTPIDRL >Ma02_p14180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22305878:22310638:-1 gene:Ma02_g14180 transcript:Ma02_t14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSSGNGGGGDGAGVGSVRRRNSGRHHHHHHHPLPFGPSPAPQPPQPQQPPEASANRYVFAAVTPYPPQYPGPNPPQYYQYGYYPPPPPAMPVPPFASFDHHHRGGGGVGPNGEYPTHHPGWVGGGRYPPYGPPPPPLTYVEHQKAVTIRNDINIKKETLRVEPDEQNAGRFLVAFTFDATVAGSITVFFFAKEGTDCDVTATKEDLLAPVTVSFKEGLGQRFRQPSGTGIDFSIFDEAELMKGGELGMYPLAVKAEASLSGNQGPVGENQKLEIPNSQITQAVFEKKENCEYNVRVVKQILWVNQTRYELQEIYGIGNSVDNDTDGNDPGKECVICLSEPRDTTVLPCRHMCMCSNCAKVLRFQTNRCPICRQPVERLLEIKVNNTAEQQQEPQC >Ma03_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10579727:10585190:1 gene:Ma03_g13470 transcript:Ma03_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKSPTICSTAMPYTGGDIKKSGEIGKMFELHVEKSRKSGPLANVPSRNRSFGGAASNSGPIMPNASGQSSYPGSLSYAAPGSGSSLVTGGSGRQKSNSGPLKHGDSVKKSSGPQSGGVTQMARQNSGPLLPTTGLITSGPISSGPLNSSGAPRKLSGPLESTKSMKLHNASIVHNQAVTNLSQENDQLFKGSFPKPILWSVILLFVMGFIAGGFILGAVHNPILLLLVVTILGVVVMLSFWNTCFGRRAIIGFIAQYPDADLRTAKDGQYVKVSGVVTCGNFPLESSYHKVPRCVYTSTGLYEYRGWNSKTANPQHRRFTWGLRTVERHVVDFYISDFQSGLRALVKAGYGSKVTPYVDESLIVDINPNNKDLSPEFLRWLQERSLSSDDREMRLKEGYVKEGSTVSVMGVVRKNDNVLMIVPPSEPISTGCQWAKCIAPANLNGIVLRCEDTSNVDVIPV >Ma07_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11017889:11019217:1 gene:Ma07_g14630 transcript:Ma07_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILSSLHGKCFQEQDILNLYHWVLLRSLKLRILSLGKQYLQTSFQQLRKGSEKLATRGIDRWGITSC >Ma08_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34933483:34934447:-1 gene:Ma08_g20870 transcript:Ma08_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLAKRLLHMIRAAYYMLRKGLSKHKLMMDLHLLLKRGKLARKAVGNIVTFHHHHDRHISADMSGRSMDPDLSLYDIKEVEFSCSNTPSYPSFLLSAKRKNRHHCHDLDFAAMARELEKLNAEISDAESSAVASPSPALMWSFGKSPAAVRQLRITDSPFPIREEDGEADGRVDREAEEFIKRFYEQLRLQQSVPPTPESQCRLGKPA >Ma03_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:20868726:20871470:-1 gene:Ma03_g16840 transcript:Ma03_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSVSAAKGLVGVAVSSHGASSASYADCLALPSGLALSSLAGLSLSSAPSSRFLQQRRWSHQLRRRAAPARAAAVETVEAATAEALVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDDVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKIEDIQQFRQWGSRTPGHPENFETPGVEVTTGPLGQGVANAVGLALAERHLAARFNKPDNEIVDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNTGYTDIRAAIKDAKAIKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRQNLGWPYEPFHVPEDVKSHWSRHVPEGAALEAEWNAKFAVYENKYKEDAAELKAIISGEFPAGWEKALPIYTVESPADATRNLSQQNLNALVKVLPGLLGGSADLASSNMTLLKMFGDFQKGTPKEQNVRFGVREHGMGAICNGIALHSPGLLPYCATFFVFTDYMRAAMRISALCEAGVIYV >Ma03_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6833349:6838544:1 gene:Ma03_g09280 transcript:Ma03_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACERDLVEGMESMAVDERSSRSAKVIDLLRRFLGVQQRRAEAYAKLRRGFTEYMSNGGELAYQQLCKEITVEFNDCSKEVLEMESLLLMPDLSRGDLADLLKAVQAQEKQKLHLTARIQILKKAGRPSERLVSHENCRFEKPTEHECVHVHEITEAAGTEDAEADAEYDGALKEAICGVQDAVTNINEHLEEVRYEIEALESE >Ma04_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4267166:4282931:1 gene:Ma04_g05720 transcript:Ma04_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYGRRKIGKSFFHMRYFVLETRLLAYYKRKPTDTTVPLKTLVIDGNCRVEDRGLKTHQGHMVYVLCVYNKKETNQQIMQMAAYNIQDALNWKEKIELVIDQQHGSVTSNGNRTSVSSDLGMDIDRNESSSSHERHPEDEEENNPTLLQGTTIGNGPPDSVLDWTRDQVSPRKHWRLVSCQNGLRIFEELVEVDLPRRYSKTMKAVGVVDATCEAIFGLVMTMDGTRFEWDCSFQHGSLVEEVDGHTAILYHRLQLEWFSRFVWPRDLCYVRYWRRNDDGSYVVLFRSRDHPNCGPQRGFVRAHIESGGFKISPLRSRDGRPRTQVQQLMQIDLKGWFVGYFPSFQQHCLLHMLNSVAGIRELFSQTDEIHTANRIPIMVSMTSDSVSSKKEQKTEEISFQPGVSLDQVFNDNRQPIILDEDSDEDEDNQVPEAEEEGSSIKTGNNIKQPDIEVETSDILDTSCFSGNLRWDDGEDSRNCWKIPDGNIFKVRSENFFKDKSKIPAGKYLMELVAVDWLKNTKRMDHVAQRTGCAAQVASEKGLFTLVINLQIPGSTHYSMVFYYVKKQLVPGSLLQRFADGDDEFRNSRLKLIPSVPKGSWIVRQSVGSNPCLLGKAVDCSYIRGEKYLELDVDIGSSAVANGVLGLVCGVLTSLVVDMAFLIQAVTSDELPERLIGAVRVSHVELSSALVTDLADSPSEE >Ma10_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3443968:3445952:-1 gene:Ma10_g00820 transcript:Ma10_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g56570 [Source:Projected from Arabidopsis thaliana (AT1G56570) UniProtKB/Swiss-Prot;Acc:Q9FXA9] MTALSLSPVTATNLIKNLCRQSSFALARRLFDEMPHRDVVSWTAMISGYASNGCHEDALSTFCRMIASRVCPNRYTISSVLTSCRGLQSSCAGVAVHGMAVRWGIDNGAYVENALLDLYASFGCIGDAEAVFKNMTDRTVVSWTTMIAGYTRISHGDTGLLLFKQMIQECTELNAFTCSIAIHACSSIGCATTGQQLHALALKTRHDSSLPVSNSLLNMYSRCMNISEAKKFFHEMPRKDLITWNAMIASLERSNSHEALLLFLEMGSQNMQPTSFTFSSVVAACANMAILNCGRQVHGAAIRRGHQRNLQVANALIDMYAKCGSIGNSRKVFDEITEKDLVTWTSLVIGCGMNGYGAEATEIFDEMISSGVQPDQVLLMSVISACSHAGLVDQGLRYFSLMNIEYNVPPNREIYGCVVDLLGRAGRITEAYQLIETMPFEPDETIWGALLGACKMHKNVYLGRLAAQKIFDMRPNESKTYVLLSNIYAAGGAWGDFAEMRRCLREQGNKKEAGMSWIEVRDEVRGFASGDRSSSPHIVLVYQTLEELIQHMNQDGCESDSHWVLHDFSGVT >Ma03_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14975683:14977109:-1 gene:Ma03_g15190 transcript:Ma03_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVCSQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTMGFQDSYALCRVFKKNVICTEVEEQAQCSIILGESSQGVVVAAEYETTSPDMPVGSSSCVEEEDKDDAWMQFITDDAWCSTLPSNEGGEEASCVAIVNS >Ma09_p31100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40937648:40938289:1 gene:Ma09_g31100 transcript:Ma09_t31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATSDSSGNSAAPDGGGRPSRYESQKRRDWNSFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHTAGCPFFGHPQPPAPCTCPLRQAWGSLDSLVGRLRAAFEEDGGQPEVNPFAARAVRLYLREVRDSQAKARGIAYEKKKRKRPPPSQQGFSPQAPVPAAATAAPLIGHGRSAADFIASSAYPHRLHGHLMLPPWIPAETMRR >Ma08_p28250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40250023:40253520:-1 gene:Ma08_g28250 transcript:Ma08_t28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIRGPSSLFLLYLFLCLASVVASQTLPNNDQKQILLRIKREWGGEPALDSWNVDTSTTYCQWQGVGCAADGSVVNITLSDQNGTRITRPIPASLCDLKNLTHLDLSYQRIHTRFPTSLYDCSSLRYLDLQQNGFVGAIPADIDRLSPRLTLLDLSGNNFTGDIPPSFGRLPAIQTLWLHANLFDGSFPAEIANLSRLQQLGLAYNSFAPMRIPSEFAKLTKLTFLWMAKANLQGEIPASFAQLTGLTQLDLTQNSLTGAIPAGIWGLPNLQYLYLYKNNLSGSIVIDGTIGALGLVEIDLSMNQLTGSIPDDFGKLKNLSLLYLYYNRLSGEIPASIGKLPSLSTLRLFSNGLTGVLPPELGKNSLLIDIEVDDNKISGELPDGLCDRGAFNSIVVFNNNLTGTIPPSLGKCSKLNNFQIHNNRFSGELPDGIWSAMNLTTVMVSNNTLSGTLPEKLPWNLTRLEIENNRFNGSFPSSADRLQVLLGSNNMFSGELPSSLAGLLSLQTLVLGGNMITGKIPDDISLLKSLNDLDLRHNRLTGEIPASIGSLPVLTTLDLSANHLSGPIPSEMGNLKFNYLNLSSNQLTGEIPAALQIRAYDQSFVSNPGLCASKAYVNVSTCRSGSGGLARGLRILFFVLGAVVFLMALAFATFVYGDLKKKRNGGDLAAWKLTSFQSLDISESSILRGIRDDNVVGGGGAGKVFKIDLGDRGVVAVKKIWNGRNLDSRLEKQFQSEVQILGSIRHKNIVKLLCCISGADTKLLVYEYMENGSLDRWLHRKRAWFGGEDRSRDEQLDWPTRLEIAVGAARGLCYMHHDCSPPIIHRDVKSSNILLDSEFNARVADFGLARMLVKPGEPDTVSVIAGSFGYIAPECGYSRRLNEKVDVYSFGVVLLELTTGREANNDGEQCNLAEWAWQQLREEAELSDAIDTAIRDSPYMDDMTTVFKLGLLCTETLPSRRPSMKEVLHILLRCHRPPGVGYSPIAEQDVAAPLIRANTGSRRQKPSHGGDHDDHIMACNV >Ma06_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20010157:20019573:-1 gene:Ma06_g22950 transcript:Ma06_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSTLALCFLLATWLAAAALGLGERLGAKECEDLGFTGLALCSDCNTLAEYVKDEELVSDCRKCCSEDSNDSISKVTFSGVILEVCMRKLVFYPEVVAFIEEEKDEFPYVKVQYAYASPPKLIMLDGEGNQKEIIRIDNWKREHIRQFLTEKVKHGVAAS >Ma02_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29047384:29056171:1 gene:Ma02_g24410 transcript:Ma02_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKFPTPRSISPSPKNLGRHFKSNSKISRIPFPLRTLLSILLLPKERKSPSTHPLICLSDPMAVPHNATTEGDPATEDIKNPAEASPTAVSSPSKHNKSLGVRVAHGRTYDSENGKSCHQCRQRTTDFGAPCRQIRKDKPCPIKFCYKCLLNRYGEKAEEVALMQDWSCPKCRGVCNCSCCMKKKGHLPTGILIHTAKATGFSSVHELLYKQRSDVLAAANGLRSLSAASPDVYKKGSVAHKRSHGKENHLDEPSVGGDDKKGNSHAKKETKRASKKLKRDSECGGENVIGLCSSNAKPKDDDTQKQDEKKPSVKNGNTEMQPNNDKPVHMNCINDQLKGLRHLPNSPEQPNDYTDNWLNTDAIKVSGKKKIHNVQGKPSRKVHKIKKHGNKYSNIIEEKVSAGLPNKKVKLKQLVKDRKSRKYGSNNPTERENLAIVIPQGAPLIEVAGVDWAAEDVGAALQFLEFCNAFSEVLDIKKGEPVNVLRELSRGCVGRRGVHSSIVKFHIKLLMFIQKDMGNESVSYSSSGDKWLQSAVNCINESECALKVPLECLNKDSLAYDSWDASDKLKLLNLLCDITLGTEELRNWIDKENKKYIERNKEAKETIIAAKKKGKDLKKKLKDDVAKAMLSLKEGSYSDAEHENLVSQIRAETEKAHAEMLEIMEQLPKDSDNTRQDAVRTEPLFLEGEGRVYWTLGGFCSNSKIILQDIRTWDSVMLEDKWFTYDEEEKNSVERHISPSRL >Ma08_p33710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43946604:43946978:-1 gene:Ma08_g33710 transcript:Ma08_t33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYILLLSALLALAASPAMAGDPGALQDFCVANNASDVFVNGLACKDPKLVKVEDFFFSGLDKPRNTTNKVGSNVTLVNVNRIPGLNTLGISMAR >Ma06_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1391459:1398371:-1 gene:Ma06_g01700 transcript:Ma06_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWWNSAEGGGGRTEICIVSNQDKWYLDHLDVPWQKFYVNEPLTNISTPEQQKLVIGGEVCMWGESIDASDIEQTIWPRAAAAAERLWTPLDKLAKDPRQATGRLARFRCLLNQRGVAAAPVAGPGRTAPLDPGSCYKQ >Ma05_p27140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38554119:38557026:-1 gene:Ma05_g27140 transcript:Ma05_t27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSSSEDDELVEDYLDFEDDIKASDVNHQHEEAATSVASSNMALVEQAIENELLAADDGDAKNQVPCLGMEFESDTVARAFYNAYALRLGFGIRVARSRSERRKGVEVMVMKRFVCLKEGHHKKKVTENGTKKKRKRLSIRDGCPAMMEVVRRGPEKWVVTKLVLEHTHMVVSPERVREIQLSRLSGKDREHQDFLKEMRRRLFGEGDAQVLLEYFKRMQAENAGFFYAMQVDNRNCLTNVLWADAKARLSYNYFGDAVTFDTTYRQNKNMIPFAAFTGLNHHGQTVVFGCALMIDKTESSFAWLFETWLTAMFGRHPLSITTDQGKALASAVAKVFPDTCHRLCRWRVLSRCKKKLSDVYRRHPTLHDELKTCINDSTTVETFEVYWRKILDKYNLRENSWLQILYNIRHRWVPAYLRDSFFAELSTTSRVESMNRFYRRNFVRESSLQMFIAKFDQEMDNGYEKEAQEDCASLSTQPILKTDSPMEKQAASIYTRTVFEKFQAELVEALNHYAVKIQDGSMIKYSVGRDGDAHNQYIVFFDEPEKKAYCSCCKYEVSGILCRHVLGLFLACGTILLPEHCILKRWTKKAKSDSVGHEIELEVQNYSQDSPILWYNDLLHYTMKFAERGATSSEAYKIAKDMLQKVFAQIISYEENVAEGMPHHVGKV >Ma03_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2396224:2397723:-1 gene:Ma03_g03560 transcript:Ma03_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTTTEQGPLRYGGCGIPLHRSPTEKPSFTLSQIKKAIPRHCFERSVLRSFSYVIRDLLIAVLFLYFAVAVIPKLPLGLALPAWPLYWAFQGCILTGVWVIAHECGHHAFSDYSFLDDVVGLVLHSALLVPYFSWKYSHRRHHSNTGSIERDEVFVPKPKAALPWFSKYLNNPPGRILTLAITLTLGWPLYLAFNVSGRCYPRFASHFDPYGPIYSDRERAQIFISDAGLMAALYVLYRVAACYGFWWLVRVYGVPLLIVNGWLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGMLNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDDTPLLKAMWREAQECIYVEPDQGSKLKGVFWYRKEL >Ma07_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1539500:1541883:-1 gene:Ma07_g01960 transcript:Ma07_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKATSKKADDKTQALKVAKAVKSGSTLKKKAKKIRTSVTFHRPKTLTRDRNPKYPRISAPPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKAAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Ma11_p09410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7669543:7670325:-1 gene:Ma11_g09410 transcript:Ma11_t09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTLLDNGEVVEEEERIGVVIDEVSNSSLAFVVESKVVTFQEEMPKKSYASIVKVMKDNTSASIPACVPSKLTPIKI >Ma08_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10126401:10128217:1 gene:Ma08_g12940 transcript:Ma08_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGGACRSFKPHLLMTMAQIGYTILYFITEASFNQGLNPHVYITYRHIVGALVMWPFAYFLERKLRPKLTWKLFLEICALSFLGVSLTLNMYFASLKYTSPTFVASMVNTIAAMTFVIAVLLRMEHLYLRSPRGVAKTVGTIVSLAGVTTMTLYKGPTMKNFWGPLIQIHGNGIHESWLKGSILTITSCITWSMWYIMQAITLKRYPAQLSLTTWMSFIGAAQSAIFTAFVEHKPAAWKIGLDVKLWSILYAGVVCSGLIIYIQLWCTEAKGPVFVTMFNPLSTIMVALLAYFVFGERLFLGSIIGGIVVIIGLYSVLWGKERDQEKETMSPEEASFVAPGKEIGGSQDTFKNMCGKADNTKGSEVV >Ma02_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24405151:24411512:-1 gene:Ma02_g17560 transcript:Ma02_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKASRSHTSKAKDCSKAAVEKVHVAGKKPSLVAVTSPVISEPVLVNTNSSGLSSEIRTASTSNTGAVTFPLSQSLQNQVNVGPHASSDATQVLEECAATKVQAAFRGFLSRRAFCALKGIIRLQALIRGHLVRRQAVATLHCTWGIVKFQALVRGQRARLSGIGLEVRTKYRRVKNVDNKKLDFSKVQLSSSRFLCQLLSALPVAKPLQMHYDPAEPNSVFSWLERWTSSYFWKPLPQPKKPLNVKSRVRCSSAVESESVRLKPNVHRNVPAKVDVMTEPERHKRHPRKMPSPPADSMVENPQSEIEKVKRSLRKVSSSTKEASEKPESENQKPACTPRKVTTSLSDVPQHSIEESSMKIKNEGVAPLDSNCEFDATINSVALDGPMNPEIVDSPAIKLHISEDICNEELSSKDNQSCNEIQKSSKRRVSFPSKPEPLAENALQNAPKLPSYMATTESAKAKLRGQVSPRFGSDSLERNNITRRHSLPSSMNGKLSSQSPRTHKLIQASCKDGIRNDRSFSSSRDGSERAIQVEWRR >Ma04_p00520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:452893:455211:-1 gene:Ma04_g00520 transcript:Ma04_t00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSKQLRRRRGRSPGHFVRSHSIPVRYVDTAVAQRRCWDDHHAIALSSSALGSPVLHRGDLSSDDEAMMKITNDFPTAVPQAGVAKELGRAKTWSEVIERRIPKTPTMTPPNEPEVINAWELMADLEDASPLLLPAVGVNRSFSFHTSRDVRRSSPDTDLSGRSSSPKPQWMQLSPEDSIVSDFDPEILSSFRKALDELSPQHQFCSIDRPAEPAKESADGREDPKSISIVRARIDEFQQKIDARKTSSKPDPAKVAPTYKCPPGGQGKVVLYLTSLRGIRKTYEDCWAVGMILKGYGVRVDERDVSLHAGFKDELIDILGPGYGGKGLPRVFADGNYLGGAEEVRHLHELGRLSKLLDCCETTPSMGKGGGGGDAACEGCGGVRFVPCEICSGSCKVYVEEEEELGGFHRCPECNENGLVRCRLCC >Ma06_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10203690:10204127:-1 gene:Ma06_g14980 transcript:Ma06_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVVHDHAICTPAYHDTRPLDAISAISSTLPNLRVTCTSSNNKRLTHFSTCKVYGTIIRSVLPKDHP >Ma09_p26210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37538313:37542154:1 gene:Ma09_g26210 transcript:Ma09_t26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGTIAKDITELIGRTPLVYLNNVTTGCVAHVAAKLEGMEPCSSVKDRIGYSMIADAEERGLIQPGKSVLIEPTSGNTGIGLAFIAAAKGYKLAITMPASMSLERRTILKAFGAELFLTDPSLGVKGAVKKAEELAAKTPNSYILQQFENPANPKIHYETTGPEIWKGTGGKVDALVSGIGTGGTITGAGRYLKEQNPDIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFVPVVLNVDLIDETIQISSDEAVETAKLLALKEGLLVGISSGAAAAAAIKLAQRPENEGKLIVVVFPSFGERYLSSVLFESIKKEAESMTFEP >Ma09_p26210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37538257:37542154:1 gene:Ma09_g26210 transcript:Ma09_t26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGTIAKDITELIGRTPLVYLNNVTTGCVAHVAAKLEGMEPCSSVKDRIGYSMIADAEERGLIQPGKSVLIEPTSGNTGIGLAFIAAAKGYKLAITMPASMSLERRTILKAFGAELFLTDPSLGVKGAVKKAEELAAKTPNSYILQQFENPANPKIHYETTGPEIWKGTGGKVDALVSGIGTGGTITGAGRYLKEQNPDIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFVPVVLNVDLIDETIQISSDEAVETAKLLALKEGLLVGISSGAAAAAAIKLAQRPENEGKLIVVVFPSFGERYLSSVLFESIKKEAESMTFEP >Ma05_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8193199:8193896:-1 gene:Ma05_g11340 transcript:Ma05_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPKRLVEKAKRGFPLMRAPSSSACTTVVAEKGHFVVYTVDDARFIVPLAFLKSRLFQELLRVSEEEFGLPGDGPITLACSAVSMEYILSLLGRRMSGDVERALLASIIDADRCRLVHPGCHQQQIIAS >Ma03_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10547060:10561232:-1 gene:Ma03_g13430 transcript:Ma03_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRHLSGGSMTPSPTPHVRQRDEERGSADDGSGSPGDWFDIPPKNAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQTRSRIRAHAQVIRAALLFKAAVERAKPGTPTIPVLPSCGFGIGEEQLTKMTRDHDFSALQNYGEVKGISNLLNTDLDRGISADDVDILHRRNLFGANTYPQKKGRSFWVFLWEACQDLTLVMLMVAAVLSLVLGIKTEGIKEGWYDGGSIAFAVILVIVVTAVSDYRQSLQFQNLNEEKRNIQLEVTRSSRRIKVSIFDLVVGDVVPLKIGDQVPADGVLITGHSLAIDESSMTGESKIVLKDKKTPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDAGEETPLQVRLNGVATFIGMVGLTIAAAVLVVLLARYFTGHTKNPDGSVQFIKGQTDTKTAINGVIKILTVAVIIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGGRKINPPDNAELLSSTASSLLIEGIAQNTTGSVFKAETGAFEVTGSPTEKAILSWGVKLGMTFNDARSESSIIHVFPFNSDKKRGGVAVHQAGDDIHVHWKGAAEIVLASCTSWLDANGSKQPLTANKVTGFKKLIEDMAAASLRCVAFAYRFYDLERVRNEEQRESWQLPEDDLVLLAIVGIKDPCRPGVKEAVDLCTHAGVKVRMVTGDNLQTAKAIALECAILEDANAREPTIIEGKTFRTKTDAERDAIAEKITVMGRSSPSDKLLLVQALRRRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAAIVINVIAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEQPTDHLMDRPPVGRWEPLITNVMWRNLIFQALYQVTILLVLNFGGRSILDLKNDDRAHADQVKNTLIFNTFVLCQIFNEFNARKPDEFNVFSGVTRNQFFMGIVGITIVLQVLIIEFLGKFTSTVRLSWKLWLVSIAIAFVSWPLALVGKLLPVPTTPLREYFGRCFRRSRKEDDAGSGARPPMNGS >Ma07_p25410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32470015:32472109:-1 gene:Ma07_g25410 transcript:Ma07_t25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRDDSSASSSSSGIQPRGLLVLFAIFILFCLPSLFSASFRGFRAVFVRNGWDTLNFVLVLFAVLCGVLGRRNSGDDTGKSPSASSPKDVWYNDHSAQLSSYLDHAAVGHSTRMRRMRSSSSYPDLRQEAAWGGASVAEGWQCFDDIHLYRRRSDSGSWESQRFGGSSGKAIPVASFVIRQSRSPVSRSPPSQPPPTPPTTAQRLPKRNLEKLPQNAVEKDAIFETRNSQSPSSPPTAQPRRRHGRGRSLEKFPETEVEGDANPGTRHRRSRTVEDLPDRKVEQHWNMGAQKPHTPHPTRRPPSPSPPSQPHEVKTNNKKRRGGGAKDIAAAIALFYKKRKRGSKTKRSHDDISLYMGASSSSPPRPRSSSVLHHLFSHKKSKNRGFHSSSTPPPHSPPSPPSLPSTRRYGKQSSPPPSPPPPPPTRQYKRQAPPPPAPPQGKLDKRKEKLNLSDFPLPPSSPLLPPPPPPPPPASSSQELFSDDEEAGNTDSERFGGTAANTGENGTEEGVAVFCPSPDVDNKSELFIARFRARLNLEKLNSIREKQRQRQQQQQEEEIMMIGSLFGDDLI >Ma08_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2811098:2819199:1 gene:Ma08_g03980 transcript:Ma08_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEKEDEKNEKIIRGLLKLPANRRCINCNSLGPQYVCINFWTFICINCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERAKEIYFKEWDPQHHSLPDNSNIDRLREFIKNVYVDGRYTGERSFDRPQMVKVNAEDSYDKRKVDSFRDIVSPSFDGRHYGEQPGSASLANDHKRSLGRFDIIDEKGRDNKPGYRCQDQKVVDQQFPDSPKIEERLPSHQPLSNSPKIKPVEVINIIPPAPIGQPNKSTGFQFPHSSAQVQRTASLPSRGSNVGISAEPKLVNSGNLIDFDADPIVPVARALDQYVPQQTTSFPAESGGWASFDDSSALKVTQVASAVSTPDSLLSQLSVSQTASTANAPSISIARAGSSPNQSNAGHWPMMHQHQPFVFHVPSVQTSKQPFSAPIFRAPNNQLKSNLHGVSVLTSQSSQVVNKSLHETTAGVSTQPSATEAKPTGRKELPADLFTTVYPSASAPFLGYQTPQRLMGYGTHYPTAVFPNLASLRGALPYMDGHSTIWHSTSNLPMQQWLPPQQISMLALHRPYMVEQGGGPVPRPPPGLPVMHRGTGGFSTQGAGYRSGTPNAFTPDSRNPFG >Ma01_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:212967:215314:-1 gene:Ma01_g00280 transcript:Ma01_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSFVPMASDENLTTSFVNRIPIGKPVVMSARGLFFPADSAFVERAAMFSHAGGHFSGIINSLASSQPVVAPSCADISRDVIGSQLQKITLTVSEAKDASLPLPLDHESTSGSPIKNQRNKASAHLTGGSQETRTGSAGAPGESCPSDLGAKKRKPIDPDKERGERARRWPRSSSRNAAEGIEAKQKQEPKHVDDGSEMPKEDYVHVRARRGQATNSHSIAERLRRERISERMKYLQNLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMKLAAVNAQHNYGMEELVAKEMHSCDDTLSAAGLPQEMMMMMIHPQLHPSSQQYVVPGGICSMMQGSWEEELSAAMKLTSGTCPPINAQDNGGKPCDDFTM >Ma06_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15373634:15378380:1 gene:Ma06_g21240 transcript:Ma06_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G46330) UniProtKB/TrEMBL;Acc:C0LGU8] MKTAVLISKLESNLSFRLRLPVLSLVYHLREEMALRQTLPLLLLLLCSLMAAEVVTSRRSISDVQVEALLAFKASISDDPLGALADWNTTIHHCNWSGLTCDPSTDAVISISLPQMHLRGRLSPYLGNISTLQFLDLTANYFFGPVPPELGLLPQLSEFGLSENLLSGLIPSEFGSLESLQWLDVSNNSFSGSIPDSICNCTSLLILILGSNNLTGTIPSCIGNLINLQFFTAYYNYLIGPLPDSFERLTNLQTLDLSVNQLSGTIPPALGNFSHLEVVQLYENSFVGVIPPELGQCSNLTLLNIYSNQLSGSIPPQLGELHKLKALRVHDNKLNSTIPASLSRCKSLVSLGLSENELTGRIPSEFGSLTSVQWLSLHVNRLTGEIPPSLMNLTNLRYLSLSENSLSGPIPPNIGSLHKLEFLVIHHNSLDGPIPVSITNCSHLFNVSVTNNKFTGGLPFGLGKLQNFTFFSVGSNLLSGSVPEDLFNCSKLKTLDLAVNKLTGSLSPEIGKLTNLGILQLQHNSLSGLIPPEIGNLSMLFKLQLHSNNFVGQVPTEISKLSSLQGLFLGKNSLEGEIPKQVFQLERLVLLDLQFNRFVGPIPDAMGNLQQLAYLYLNDNMINGSIPQAMKNLRRLLTLDLSHNRLSGSIPGAVMASMSNMQMYLNLSNNMFVGSLPMEIGGLEMVQEIDLSNNQLSGSIPASLKACKNLDSLDISANKFSGELPASIFPQLDLLTSLNLSNNELYGQLPSSISELKSLVSLDVSHNRFSGQIPESLANLTSLQTLNLSFNQFEGFIPKGGIFSNLSSSNLEGNPALCGSTSSSTCKKGSQKLTTKALIIVITLCSLFVFLLVLFVGLICFWKRDKNQFSHHATELSTELPLIPDLKRFTRSELEVATESFSEENVIGRSNLSTVYKGRLEGDEHFVAVKRLNLEQFPVESDKCFFTELKVLSRLKHRNLVKVLGYAWESGKMKALTLEFMENGNLESIIHSPQINFSRWTIYERLQVCISVANGLAYLHSGYDFPIVHCDLKPSNILLDRDWVAHVSDFGTARMLGVHLQDGSNQTSSAAFQGTIGYMAPEFAYMRRASTKVDVFSFGVVMMELFTKRRPTGLIEENGISLTLQQLVEKAIVTGLDSVLQIIDGDMNLASEIEEERVTGVLELALSCTSFSAEDRPDMNEVLLSLLRLIGDKN >Ma11_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:662514:664464:1 gene:Ma11_g00900 transcript:Ma11_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMALESWLSRVKSAISTGLDTVRTTVNADAASSILNRKKASSVGILAFEIAGFMSKLLHLWRSLSDAQIARLRNETIALPGIRKIVSDDESFLLGLACAELVESLRLVADSVSMLSQRCSDPALRGFCRSFREFSDFGHDANRWAMGWKEMDSKAKKMDRYVASTAALYKEMDELSEAEHSLRKIVHCGGGYNRIMSTSRLAMVAEIQQKIFWQKQQVKYLKQTSLWSCTFDAVVSLLARSVFTVVARIKHVFLVGSESYPLPRSLSGSAAVYPSSDTVSLPWKFSSGPLVLSSKHEQGGFFETSSTMLAPPPSTLGATALALHYANLIIVLEKMIRSPRAVGAEARDDLYGMLTASVRGQLRARLKGVGWGSARDSGLAAEWRAALARIAEWLGPVAHDTIRWQGERSFERRSAAAPRANVLLLQTLYFANRVKVETAVTELLVGLNYLWRFEREMSALALAADHGGLQH >Ma03_p29100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32140181:32142586:1 gene:Ma03_g29100 transcript:Ma03_t29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEPTVDGLLRGNKFLLVLDDVWDAQIWDDLLRNPLHGGAAGSRVLVTTRNVGIATQMKAALVHRMKQLPPEDGWSLLCKKATMNAEEERDAQDLKDTGMKIVEKCGGLPLAIKTIGGVLRDRGLNRSAWEEVLRSAAWSRTGLPDGVHAALYLSYQDLPSHLKQCFLYCALLREDRVFHMLPIVRLWIAEGFVEARGDVSLEETGEQYYRELHHRSLLQAQFSHSDDDHSKMHDLLRSLGHLLSRDESLFISDVQNEWRSGAAPMKLRRLSIVATETMDIQHLVSLTKRHESVRTLLVEGTRSNVDDIDDCLKNLVRLRVLHLTSNLMHTKIDILPHYIGNLIHLRYLNVSWTGITELPESICNLTNLQFLILTGCRQLTQIPRGIDGLVNLRTLDCQSTRLESLPYGIGRLKYLNELRGFVVNTATGTCPLEALGGLRELRYLSISLERTWLEAQSGRDTSGLKGNKKLKHLYFRCSSTPTSDGHTKKQIERIEKVLDVALHPPSFVVSLTLDNFFGLRYPSWMASASISSLLPNIRRLKLIDCNHWPLLPPLGKLPSLEFLEIVGADAVTTIGPEFFGCEVAATGHDRERNSKLPSSSSSSSPSPPLFPSLRQLQLWNMTNLEVWDWVAEGFAMRRLDKFVLHNCPKLKSLPEGLIRQATCLTTLYLTDVCALKSIRGFPSLKELSIIGKSDLEIVTDLPALELLKLVTFGSCLPRWLAAGPACFTSLQKLDVRGTTQLLRRCLQNGADWPMIKHFPIFSIDDQQGNYINYIKHSYTIETNLVEDAAAAEEEEDIINEL >Ma03_p28370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31488553:31496243:1 gene:Ma03_g28370 transcript:Ma03_t28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVGFQLLLLLAVEWGVLLTAAHPFPHREISALVALKRAAFEDPFSVLSDWNSLDASPCNWTGVICSANQDCVVSLNLSGSSLKGFLAPELRYLGCLQELYLNNNLLLGTIPMEIGMIKNLTVLDLSVNRLTGPMPPKLGDLTSIMKLDLHSNGLTGDIPPELGKLENLVELRLDRNRLEGQIPGSTNSNFSATLHGMYTSDKRRTGLCQSTKLRIGDFSFNFLVGQIPSCLKYLPRSNFQGNCFGDKYSVLQRSPQLCGSDKYQGLAKETDKQSTEGQKHQGPQQPEWLLILEVTTGVIVVVCIITGIATAVRSCKLKSFVRIPWKKTRNWNDETPILIDGELLKNVPGFSREELEIACEDFSNIIGSSPDSIVYKGTMKDGTEVAVISLCILEDQWTSCLEFSFHNKVADLARLNHENIAKLLGYCKESETFSRMLVLDYASNGTLYEHLHYGDGSQLSWLRRMKVILGVARGLRYLHTELQPPFVCCELSSNAVYLTEDFSPKLVDFESWKMIFSKSKKNDGYITDGLSLPGYIDSQEQQDMIIQENIFAFGVLLLEIISGRPPYCKERGCLVNWATEYLQHSEEIGKLADPELKNVKSEDLGVICSVISLCIEPDPTKRPSMQIICAVLENGIDLSAAALLKESPLAWAELALSS >Ma08_p10370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7574814:7575212:-1 gene:Ma08_g10370 transcript:Ma08_t10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKIAHATLKGPSVVKEICIGLTLGLFAGGLWKMYHWNEQRRTRAFYDMLEKGEISVVVAEE >Ma03_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2514772:2515449:-1 gene:Ma03_g03800 transcript:Ma03_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRSAVATSLPPIRAAISRMKQDMMRRELENCHLLAGIWCHGLTVRQLQALRGALPPAAKLVVAKNTLLEKAIAGTRWEPLRPCAKGMNAWLFVHSDEIPPALKPCRDFQRDFKLALNDFTGAVFEGRLYGPDNFQALETMPTRMESYAYLLGCLQTPAVSLLSILQAPEGDADQAAEGAAATSEK >Ma01_p23450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28030650:28032854:-1 gene:Ma01_g23450 transcript:Ma01_t23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQRIHFGGPSTTGLPASGEHPLRDETHDERPAAVSERYWQLFNDPGLSPPGDTPAGPSQVPPEAFHDLAHQVRTLTSMVQTIVPIVSQRAPPHATRPSQQREPPVRTHASLPELPVSPRNPTTRPGSREAEDTASCPKPEAPTADSTNALRAQLRLVSQKLDEVQQEVRKSKGELGADGHQGSPFTPEIQEQAISPHFRLPSLDAYDGAADPADHVAAFRTQMALYETSDALMCRAFPTTLRGPARAWYSGLKPGTIASFDQLAKDFELNFLAYARPKPSMALLLGLNQKEDEPLSHFVNRFMTQIRGLSDAHPSLLMQAFMTGLRPSRFFWSLVERPPAAVPEMLQRASQFVAAETWMAGKREDHKKVKSEPSRQLQPVASRRRTDRPESRSPLPALNSSRTEIFLHEKGKGLLKDPHPMRNPRELADRSRGHLGQYLRPNKEQSPRPEGPVERHVNVIAGGPASGGGSMSGRKAYARAAPDEASGHEPELEITFPTGASERPDHDDALVISARVANAQVRRIMVDTGSSTDILYFDAFQKLGLARENLSPMCSTLTGFTGDSISPLGAVTLPLTLGTPSRSKMVMTTFLVVDLPTAYNAILGRPTLNKVRVIVSTYYQTIKFPTRAGVGEVTGSPRESRRCYLTVVSLGKRARTEAPLEDPRETKKLAPHLEPRGSTVDVPLREARPDQAIKIGSELPERE >Ma04_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16031458:16042796:-1 gene:Ma04_g16610 transcript:Ma04_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MSSTLLEVTRSAHEDVERLERLIVRELQREPANNRDRLFQSHRVRHMIDVITSTTEKLIDIYEDKDNARRDEIAALGGQTASGTTNLFSAFYDRLKEIRDYHRRHPAARVVDATEDNEELLKEEPHIEFSGEEGFGRYLDMHELYNEYINSKFGETMEYTAFLDSFSQTQKVPCNLKLSRQYREYLEHVLEYLISFVERTQPLQDLDRLFAKVGTEFEQLWADGTVLGWENKGSEDGLIQSQEAVIDLDYYSSVEELMEVGPEKLKEALAARGLKTGGTLQQRAERLFLTKYTPLDQLDRKHFTKGSRNTQQNGAAEQMSSKKEIALMEAKLQRICELLKEVIEQTKENVEKKQALTYEEMEAEREEEVIQADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNQSYWGRRAFERHFKEWRHQHGMRCLNIPNTKNFNEITSIQEAKELWEKIQERQGLSKWRPDLEEEYEDREGNIYNKKTFSDLQRQGLI >Ma01_p11350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8220148:8235860:1 gene:Ma01_g11350 transcript:Ma01_t11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDKEDSRGYANIYLYWDLVWNPSDRDSGIYQKVYGTEFEVLKGDANHVEVSFRTQWDPSNRGKLVPLNIDKRFVMLQGSSGFYTYAIYEHLQGLPDVNLGETRVVFKLRKDKFHYMAIADNRQRIMPMPDDRGEGRSEKLGYPEAVRLTDPINATLRGEVDDKYQYSCDNKDSMVHGWISSDPSVGFWVITPSNEFKSGGPVKQDLTSHVGPTALSMFVSSHYSGVDTVPKFRNGEYWKKVFGPVFIYLNSAPDKSDPKLLWEDAKKQMQVEVGKWPYEFPASEDFHKSEKRGSVSGRLRVVDKYINKEYINGNSAFVGLASPGEAGSWQRESKGYQFWVRADVDGNFVIKNVRTGVYNLYAWVPGFIGDYKSSVNITVTSGNHINLGNLVYNPPRDGPTLWEIGIPDRSAAEFFVPDPDPKYTNRLYVHHPDRFRQYGLWERYADLYPAGDLNYTIGVSDYRKDWFYAQVTRKDGQGSYQATTWQIRFRLDSVRRNGPYKLRVALAASHLSELQVRFNNPRVQPAHFTTGLIGRDNSIARHGIHGLYWLFSIDVESSWLLKGDNIIFLTQTRGQSPFNGVMYDYIRMEAPAGTVVLDNGIVQLTLSKPEGSLTGVKDHGLDNIMEVMEKEDFRGAILTSFASSDDLRPAATDGGVTVHVEREYVVLDNGIVQLTLSNPGGFVTGVKYHGLDNVMEVNNREDNRGYWDLVWNPSDRHSGIFQKIHGTEFDVVQQDANQAEVSFRTHWDPSSRDELVPLNIDKRFVMLRGSSGFYTYAIYEHLQDLPDCNLGETRVAFKLRKDKFHYMAIADNRQRIMPMPEDRQPGRSQKLDYPEAVRLTNPINAALRGEVDDKYQYSSENKDSMVHGWISSDPSVGFWVITPSNEFKSGGPVKQELTSHVGPTSLAIFVSSHYTGDDIVPRVRNGEYWKKVFGPVFIYLNSAWTKSDPKLLWEDAKKQARVEEGSWPYKFPASTDFQKSEQRGSVSGRLLVVDEPGNNDSVNGNAAFVGLASPGEAGSWQRESKGYQFWVRADVEGNF >Ma06_p19130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13086662:13092731:-1 gene:Ma06_g19130 transcript:Ma06_t19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTHHQSNLSLGFHAVPLPPLTSRAPIADDSISLQIDPGLRDPSHNPRPIPLQFFDQQPDSARDGSVGANDGEESDEEDQKEEEVRILGYSMCIKRQRRGDSSSSCSSSSMLLSKRARVEPDLETRRDAVRSWGNQPLSAADPEIFEIMDKEKQRQVLGIELIASENFVCRGVLDALGSHLTNKYSEGYPGARYYGGNQYIDQIERLCHDRALAAFGLDPECWGVNVQPYSCTSANFAVYTGLLLPKDRIMGLDSPSGGHVSHGYYTPSGKKISAASIFFESLSYKVNPLTGYIDYDKLEEKAMDYRPKILICGGSTYPREWDYARVRQIADKCGAVLMCDMAHISGLVAAKESLSPFDYCDIVTSTTHKSLRGPRGGIIFFRKGKKQKRQGFCLGQTDENDRYDFEERINFAVFPSLQGGPHDNHIAALAIALKQVATPEYKAYIQQVKRNAQALASALLRRNCRLVTGGTDNHLLLWDLRTFGLTGKNFEKVCEACHISLNKTPIFGDNGAISPGGVRIGTPAMTTRGCLEGDFEMIAEFLLRAAQIASTIQKEHGKIQKEFLKGLESSRDVAELRNRVESFASQYAMPGYDV >Ma07_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29963071:29968518:-1 gene:Ma07_g21850 transcript:Ma07_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKNGWHTGSLRNIENVWKAEQKHEAEQRKLEELRKQIQDERENSEFLLLQGQAGLVPYAPVPHLWFLGATVRVSLDWLEKKIFPLPVFGFLVLRLGVSLIYDIEVPVGTQQGLGKYLTECVKTAVHGALFEDKPRSANDAWRKLHSARLLLIRQHEQEALARIRNNPIKMTMSLSDHQQHHSSRVHSRPKHTGRDSEFSIEDQKNRGNQQAEYNRHQGEPKTTEEESSPEG >Ma06_p31350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32490503:32492404:-1 gene:Ma06_g31350 transcript:Ma06_t31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVMATAMALVLAFLLAAGVGLSEGAVYKVGDATGWTIMGSPNYTAWALSKKFEVGDTIVFEYNKSFHNVLEVSKADYHSCNAASPMATFATGNDTITIKTKGHRYFICGIPGHCSGGQKVDIKISKSASSAAPSTSPTASPSPSPAASTPGGGSGGISTPAATPGANSGTRALPGLIAVALSVFASAAVSGGLAMNY >Ma10_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5323530:5324090:-1 gene:Ma10_g01840 transcript:Ma10_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERQIVHRKCLKRSKTIVRKKKKPIKVVYISNPMRVTTSAATFRALVQKLTGRDSLVADTNTVSASLVHESTDEPPVASAAASESPSSMLSSLTVSCTVEAYNSLVAPTVVFDEENFCGLMSSTLYHERQLAGLGSYDEV >Ma05_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7778496:7779299:1 gene:Ma05_g10660 transcript:Ma05_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G23140) UniProtKB/Swiss-Prot;Acc:Q9FN42] MDPKACVLDTPRCNPPLRRCCPTRHRPLWCPRLALSGTLRHSGRRAVVSSAGATRAYSVPLVIEQTKHGEMAYDVFSRLLKERIVCVNGAISDEIAAVVVAQLLFLESQNPSKTINLYVNSPGGAVTAGLAIYDTMQYISSPVSTLCLGQAASMGSLLLAAGSTGERRALPHSRIMIHQPSGGASGQATDIAIQAKEILKVRDRLNAIYARHTGQPIERIEQCMERDTFMSPEEAKEFGLLDEVIVHRPLACAPPAKHQELLLHGSR >Ma10_p18550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29424370:29438084:-1 gene:Ma10_g18550 transcript:Ma10_t18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIIFSAKGKLYEYATDSCMEKILERYERYCYAEKALTSSEPEFQGNWYQEYAKLKAKIEALHKSQRHLMGEQLDSLSLKELQQLEHQLDTSLKQIRSRKHKVMLDSITELQRKVKQLQEQNKGLEKELMEKQKLKVVAQQAQWEQQNPPSSFLVAESHPTLCIGTSYHGEGDAQAHVRNSSSLLPPWMHRHLNG >Ma05_p02630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1718239:1736297:-1 gene:Ma05_g02630 transcript:Ma05_t02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEQEDEEMLVPHQEFTEGPQPMEVIPTETASAPENQQVEDPPSFKFRWTIENFSRLNVKKLYSDIFYVGAYKWRVLIFPKGNNVDHLSIYLDVADSTTLPYGWSRYAQFSLAVVNQIHGKYTVRKETQHQFNSRESDWGFTSFMSLSELYDPSRGYLVNDTCVIEAEVTVRKMVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQHLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDNGKYLSPEADRRVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGFNNPPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARNEDLAEQIGREIFFDLVDHDKVRSFRIQKQLPFSHFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPQEEVQSVGQLREVSNKAHNAELKLFLEIELGPDLRPLPPPQKTKEDILLFFKLYDPEKEDLRFVGRLFVKALGKPTEILLKLNEMAGFSPNEEIELYEEIKFEPNVMCEHIDKRISFRSSQLEDGDIICYQRASALQNGDQYRYPDVPSFLEYVRNRQVVHFRSLEKPKEDDFSLELSKLSTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGLEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVAVHSIRLPKNSTVADVINDLKTKVELSHPDAELRLLEVFYHKIYKIFPPGEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTRDPNQNQMQVQNFGEPFFLVIREGETLADVKIRIQKKLQVPDEEFSKWKLAFISLGRPEYLQDSDIVSNRFQRRDIYGAWEQYLGLEHSDTAPKRAYTANQNRHTFEKPVKIYN >Ma06_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17173587:17174104:-1 gene:Ma06_g22140 transcript:Ma06_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVLASQLAAGLGVLAGAAIVKSAMESGPMAGGLPRCATCNGTGRVACLCSRWSDGDVGCRSCVGSGMMLCRSCGGSGSGRPLPIQVPMRSTRSP >Ma07_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31559193:31569401:1 gene:Ma07_g24110 transcript:Ma07_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNLPIVAFEHKRDAYGFAVRPQNVQRYREYANIYKEEEEERVERWKDFLDRVVKSDGTPTDDKPTEENSVLLHLDASRVSEKDSDPDEPSECYDTEEVGSQKETTQKERRVHRVQIWPQTRPSLTVIEQMMSLRVKKKNFLEGGEEGIKGSRKNLAPIKESKATEDSDDEFYDVERSDPVQELPSGDGVTVGMAGQGVPEDSDFPWKEELECLVHGGLPMALRGELWQAFVGVGARRLDGYYSSLLDQESKADGKEVDALLIEGDAVLKDDTESKPTLPQGIEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYALHNPSVGYCQVMNFFAGLLLLLMPEENAFWTLTGIIDDYFDGYYSEEMIESQVDQLALEDLVRERFPKLVNHLDYLGVQVAWITGPWFLSIFMNMLPWESVLRVWDVLLFDGNREMLFRTALALLELYGPAIVTTKDAGDAITLVQSLAGSTFDSSQLVLTACVGYQTVNEMRLQELRNKHRPSVLAAMEERSKGMHVWSDSKGLATKLYSFNRDNGLLVSESKSEENAGDTKAIGEVHFIDSDFTNFDGSTLTFDAELDSLPDLQEQATWLRVELCRLLEEKRSATLRAEELETALMEMVKQDNRRLLSAKVEQLEQEVIELRQALEDKQEQERAMLEVLMRVEQEQKVTEDARHFAEQDVVAQRHAVHVLQEKYEEAMFLFAQMEKRAVMAETMLEATLQYESSQLKALSSPRTPTADSSSVRTSQDSFQDVPARKINLLSRPFVLGWRDKNKFMYFQGAQINPEESGDRKPSNEGEQNVQNSEQRNESTSGAG >Ma02_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16886989:16905072:-1 gene:Ma02_g05630 transcript:Ma02_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MEAVAPGTSLAVFRTSCWRRGSRAGIPALRDFSGVRCRKRALRLRAAATSSDVGRIDASRGSEPVEVIGIGSRKDAVIDFCLNSPTVSASRLRFWTIQMRDSFKVQLLQRCHGTGMVQGNVEFLLSLHQHPPAVILVASSGHGLDHITAIELLNVVKSAGGLAVAILLKPFNFEGQRRQEEVKKLEIQLKDCSHFHIVVEADSLLKREVETLAEALETANNAVFLALSTISIMISETHLKFQNSPDGQMKELGPMEIEKILQSYGEAKVGFGAGYDIKSSIKQAIVHCPFLGGSIKDFNGPIIFTFASASGVNESDVRSAIITFRQIAESKSEIIISTVQEPHLESNLVLTTLLIVGSSQNVVSHKKGLLTSLALHFPFLSSLIGRGFSQPQNDVAVCASKPMVDASSPSDNGTISNLDSAKCAIDYLNQCPQEIQNDVSTGITSSEVESEAKSSEWSHELVHENSNETKNEQPGIQNDHPSIQNIGPGFDIAQLWAKECALHVTNKANEMETFCLPVGIKQTEIFPDHYNDPRIPDNLDDCDGNKESLNSQTVASRGAVMDTGLEAVLGIYNSAVTMIKGGNSNDCRNGGLLSARAASMLEAERESEKSWTPVIEIQFKGGSYRGRCQGGLPEGKGRLTFKDGSFYDGMWRNGKRCGLGTLYYSNGDVFQGSWRDDLMHGKGWLYFHSGDRWFANFWKGKANGEGRFYSKSGSIYFGNFKNGWRHDQGLCIDIDGLRWTEIWEEGILVSRTQLDNAITG >Ma03_p26490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30192722:30194759:-1 gene:Ma03_g26490 transcript:Ma03_t26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPAEHLCYIRCTYCNTLLAVGVPRRWLMDSVTVHCGHCNHLSFLNPRDVIQCLCPAVPQMGFQGPCTGLMMEPPSLRSSSSGEQFKKACLLTMFHSCFKHRAPSAYNHFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRNSANVSL >Ma04_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10687199:10688720:1 gene:Ma04_g14120 transcript:Ma04_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGSPPESHRRMSRSYSETTDSTAPFSECRSDRTGDLDGLLVCSDDDFSDEMVRGFILDLESPSVESQLRAVMVLRFLAKNSTENRLRIARAGAVAPLVALLSHPDPQMQEHGVTAILNLSLCDENKAPIAAAGAVCHLVRALRAGTPAARENAASALFRLAQLDDLRAVLAHSGAIPPLVALLETGSPRGKKDAATALYVLLATKDNVAPAVEAGVVHPLLDLMADPKSGMVDKAAFVLLCVLATPDGRAATVDEGGVPVLVDMLETGRGKQKKVAMLSLLQICQESDAHRRLVVREGAIPPLIALSQSSRDCEKKAEALVELLRQPTENGVSRRCGQLETMVA >Ma10_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:105990:108340:-1 gene:Ma10_g00010 transcript:Ma10_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRADGSENVIATARHIVRSLATTSEAADDMMRILSAFDRRLSAVPDLFSPSSCAAGEDEAPSSAVDDEAPFSAVDGEAPSSSSVDGEQSEVDARLKAAERVIFHWDPSNPDSLLWDSPDTAAEYLSAVDEVLALTATSRGDLLACAEVTLQISISRLGDEFRHLMIQNTDVLDAENLHDSIQRLFLSFRSPTSDAAAEDVEGSPLSEQCVVARATTIALSETQIPNLIRPEAISDLRQIADRMITAGSRNDLCRVYTSVRCNILADCLTVLGADTVSSKEVRRMEWKTLDKKMKNWMQALKLVVGVISAERQLCEQILAGSDDLKEECFDEVAKFCVMHLLKFGEAIAEGQRSPERLFGILGMYEVLADVLPDIGDLFLGDSKDFICEEVERVLLGMGDAVRGTLVEFGNAIQGETSKKALPGGEIHPLNRYVMNYIKLLVEYSTLLNQLLEDGSIDGRDSSEGGESMTPVAHRVLLLMSYLEVNLEEKSKLYEDAGMQYVFLMNNVLYIVQKVKESELMVLLGDNWVRKRQGQIRQYSMQYLRTSWTKVLSFLKDEGLVGSSHGLSKTVLKEKFKSFNFAFEEIYKTQSAWKVPDPQLRAELRISISEMILPAYRAFLARFGGYLEGAWHSTKYIKYTVEDLEKCLADFFEGLPVPSSHFRRKLSLP >Ma10_p13440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26243157:26246649:-1 gene:Ma10_g13440 transcript:Ma10_t13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLPSAAAILLYVSYISPSLTHSYHPKPPVFTQNRGGEATENKPPNKGAAFGGEEKRKQRNRVPSAVKRRREGKMMRGTNTLIGAVNFVTFLISIPILGGGIWLSAKANSTDCLRFLQWPLIVIGIAIMVISLMGFAGACYRLTWLLRIYLFVMFFVVVALLSFVVFAYAVTDRGHGQVVMDRAFLEYQLSDYSGWLKDRVSDPGYWAKISACLRDSRVCPKMARYGRDSTTGLLIPEPAVLFYQRHLSPIESGCCKPPTSCGFTYVNETYWTAQPGTVVNDIDCTRWSNEQHSLCYQCGSCKAGVLASIRHNWRKVSVINIVVLVILVIVYVIGCAAFRNAKRIDNDEPFGENRMSKARPSRFQF >Ma10_p13440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26243161:26246649:-1 gene:Ma10_g13440 transcript:Ma10_t13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLPSAAAILLYVSYISPSLTHSYHPKPPVFTQNRGGEATENKPPNKGAAFGGEEKRKQRNRVPSAVKRRREGKMMRGTNTLIGAVNFVTFLISIPILGGGIWLSAKANSTDCLRFLQWPLIVIGIAIMVISLMGFAGACYRLTWLLRIYLFVMFFVVVALLSFVVFAYAVTDRGHGQVVMDRAFLEYQLSDYSGWLKDRVSDPGYWAKISACLRDSRVCPKMARYGRDSTTGLLIPEPAVLFYQRHLSPIESGCCKPPTSCGFTYVNETYWTAQPGTVVNDIDCTRWSNEQHSLCYQCGSCKAGVLASIRHNWRKVSVINIVVLVILVIVYVIGCAAFRNAKRIDNDEPFGENRMSKARPSRFQF >Ma11_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26391105:26391985:-1 gene:Ma11_g22720 transcript:Ma11_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCQCGSGCGGCKMYPDLLTERDTTAQTMVMGVVPQKGNFEELDMAAEGSENGCKCGSNCTCDPCNCK >Ma09_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33087606:33089374:1 gene:Ma09_g21650 transcript:Ma09_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIPFPTTRDLSVSRRRKSLPIQTSFKLPSPLPSWPPGGEFAQGIIDLGGLEVCQVSTFTQVWATHEGGQDGLGATFFKPSTVPSGFSVLGYYAQPNNQPLFGWVLVGRDSSDGDTLAQPSDYTLVWSSESSNINQDGRGYFWLPTPPEGYHAVGLVVTNSSEKPSVEEVRCVRSDLTDEPESDAYIWSTDGFSVDSLRPATRGINALGVSVGTFIARANGAAISAALSCLKNRKANFSSMPNLRQVEALMQAYSPWIYFHPDEIYFPSSVSWFFDNGALLYQKGNQNPTPIDSGGSNLPQGDSNDGTYWIDLPADDGQKNKIMKGDISSTKLYLHIKPMLGATFTDVVIWIFYPFNGPAKAKVGLFNVSLGKIGEHVGDWEHLTLRISNFTGELRRLYFAEHSSGTWVDASQLDFQGGNKPVGYSSLHGHAMYSKPGLVLQGNSKLGIGIRNDTAKGNSIDSGRSFEVVAAEYMGSAVTEPAWLNYMREWGPKISYDISNELKKVEKLLPGKFRSRLESIINSLPDEVLREEGPTGPKEKRSWGMDEN >Ma04_p28950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29940158:29943490:1 gene:Ma04_g28950 transcript:Ma04_t28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLVLLFAICRLMSTVGLTVEPAELLPRLAVEGQLSLDPAEVAAAGADFGGLARAEPVAVMRPGSAGDVAGLVRAAYGSARGFPVSARGHGHCTGGQALAPGGVVIEMSRGRLEPRPRPAYSPSTGEYYVDVWGGDLWIDVLNWTLANGDLAPKSWTDYLYLSVGGTLSNAGISGQAFHHGPQSSNVYELDVVTGKGELITCSEEQNSELFHGVLGGLGQFGIITRARIALEQAPQRVRWIRVLYSDFGAFTRDQEHLISLHGALPSQRFDYVEGFVIVDEGLINNWRSSFFSPKNPVKISSVGSNGGVLYCLEMTKNYDDSTADTIDEVVEALLRQLSFIPATVFTTDLPYVDFLDRVHKAELRLRAKGLWEVPHPWLNLFVPASRIADFDRGVFRGILGNRTSGPILIYPMNKHKWDDRSSVVTPDEEVFYLVAFLRSALSDSDDPTQSLEYLSQQNQKILEFCDEVGIEIKQYLPHHPSRTEWARHFGPKWARFVRRKAQFDPKFILGTGQGIFQPSSSPLSLLFPS >Ma02_p06380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17396095:17397515:-1 gene:Ma02_g06380 transcript:Ma02_t06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMDMPQLTPEEDEKASMFALQLVLGSILPITVNAAMELELLEIIVKAGPGAKLSPADVVSRMPTENPEAVAMVDRILRLLAAYEVVSCSVETGHDGHPSCKYGAAPVCKYLTKNDDGVSLAALSLANHEKINMESCYSLKEAVLEGGVPFEKAHRMTLFERQRADPRYNKLLAEGMRGHSTIFTKKLVEIYRGFDDVKVLVDVGGGTGATIHKITSKHPHIKGINFDLPHVISNAPPYPGVEHVSGDMFERVPSGGDAIFIKWVLHDWPDEQCIKILRNCWKVLPEKGKVMVVEYILPVIPESNMIAQGIFTLDMVMMIQTGGKERTRKEFEALAKEAGFIGLKATYISMCVWLMEFTK >Ma03_p27150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30628275:30631104:1 gene:Ma03_g27150 transcript:Ma03_t27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLGKWGEFIRRKGAFFQSVKEACNAEIIIGGAKGPPDKARVCRFQSLTVFTLDGNQ >Ma05_p25320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37286634:37297424:-1 gene:Ma05_g25320 transcript:Ma05_t25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGEQVAAAVAAKEADKEKKRRRRQSRRQKQNLVVLPGGGCSSVDTSCGRPGNWTGIMGAGPISASDIAFNSLPPMHFNGDGNFGGSNSTFPPAGVIGEEISKSCPLPASSVPAKEVPAAMAAPLRNSKKYFAPHWSEQAVEEAIEKGRAFKATFRVNAHNRLEAYCTIDGLLVDVLINGVAAQNRAVEGDIVAVMLDPIAYWTKLKGLNVRSSPGASCGSDTLTEVSGVIDNNDAKNEQVDAHCNSSNNMLPVDNGDQNHENSGFSESVSSDLGNRYMTSDSRYNRCNHSRASTNSTKNGDCQQGEAARALERICAMISSNQSKRPTGRVLSIIRNSPRREAVIGFLALKLWIPEGEENGRQSNGQLSKKNKEPVFSAGLDYIQLMPTDSKFPKMVVTVRNLPGCAKERLMNGDVSIERELVAARIDEWNEESLCPKVKVIHILGRGGEIEPQISSILFENAIRAADFSPESLACLPNVPWTIPMEEHKSRKDLRNTCTFTIDPSSAIDLDDALSIEKVSDKIYRIGVHIADVSCFVLPDTASDTEAQVRSTSVYILQHKLPMLPPKLSEEVCSLLPGQDRLAFSIIWDIDDSGNIMSRWIGRSVIRSCCKLSYDIVQDIIDVGFEIDGLGLSGKSVPELHGQFELKDVVNSLRSLHEIINKLREIRFKDGALGLENPKLVILFDENGTPYDSFLKERKESCSLVEELMLLANKSVAEVISRAFPDCALLRRHPEPNLRKLKEFEAFCSKHGFELDASSSGKLHLSLSNIRKKLKNDPVLFDILISYASKPMQPATYFCSGDLRGRENDWAHYGLSIPLYTHFSSPLRRYPDILVHRTLSAVVEAEEVYSKQRESSVTANDQIYGDEIVNKCFTGLYFDKDAAESELGREALLSAAMKYKVPGSEVVSEVAAYCNERKRASKYAEESGEKLYLWTLLKNREVLLSGARVLGLGPRFMTVYINNFALERRIYYDEVEGLTVEWLETTGTLILDIPKIKPFQRRGFPGKFRVIEDVALLLSTSQLVLPEEDNEYTTVPSTSNLFSTVTAAQNNGTAPCSFPLVIRHLSTIPVALHAVGGHDGPVDIMARLYVCSYFK >Ma11_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3789675:3796388:1 gene:Ma11_g04850 transcript:Ma11_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFSIHPGILGGGETPAVGLASSCQGTSEASEVTGSSGGGGSDLTDLIKAQIANHPRYPSLLSAYIECQKVGAPPEVATLLEEIGRERYSSMGGGEIGADPELDEFMESYCHVLVRYKEELLKPFDEAASFLNDIEMQLTKLCKGSSSAAAAAAAAAAAVAAAATGNSPSEEMIGSSEELCCGDLETSEGQECGSRLADQELKEMLLKKYSGYLSNLRKEFLKKRKKGKLPKDARLMLLDWWNTHYRWPYPTEEEKAKLAEKTGLDQKQINNWFINQRKRHWKPSDDMRYALMEGVSGGSSGTMLYFDRGAIGP >Ma11_p04850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3789675:3796388:1 gene:Ma11_g04850 transcript:Ma11_t04850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFSIHPGILGGGETPAVGLASSCQGTSEASEVTGSSGGGGSDLTDLIKAQIANHPRYPSLLSAYIECQKVGAPPEVATLLEEIGRERYSSMGGGEIGADPELDEFMESYCHVLVRYKEELLKPFDEAASFLNDIEMQLTKLCKGSSSAAAAAAAAAAAVAAAATGNSPSEEMIGSSEELCCGDLETSEGQECGSRLADQELKEMLLKKYSGYLSNLRKEFLKKRKKGKLPKDARLMLLDWWNTHYRWPYPTEEEKAKLAEKTGLDQKQINNWFINQRKRHWKPSDDMRYALMEGVSGGSSGTMLYFDRGAIGP >Ma01_p07730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5591745:5595359:1 gene:Ma01_g07730 transcript:Ma01_t07730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTANMESHLSSAAAFVEGGIQDACDDACSICLEVFCESDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLDGVERERNVRLNNTRTTTIFHHPALGDFELQHLPVGGSDAELEERIIQHLAAAAAMGRAHHLARREAQGRSGSHGHPQYLVFSTNSNTPSVGSLSASSVTRVENESAPETVAANPLASVTTPVGESAEVTSVTPTHASQISLLTSGTSSDRFRAPAGQSSPVSHEPGPSDLQSFSESLKSRLNSVSMRYKESIVKSTRGWRERLFSRNSSVADIGSEVRREVNAGIATVSRMMERLDARDSRRTSSSSASPSAGVHSVMEPRNEGVISNHASTHPNNSTTSSSCIATSGLD >Ma01_p07730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5591745:5597009:1 gene:Ma01_g07730 transcript:Ma01_t07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTANMESHLSSAAAFVEGGIQDACDDACSICLEVFCESDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLDGVERERNVRLNNTRTTTIFHHPALGDFELQHLPVGGSDAELEERIIQHLAAAAAMGRAHHLARREAQGRSGSHGHPQYLVFSTNSNTPSVGSLSASSVTRVENESAPETVAANPLASVTTPVGESAEVTSVTPTHASQISLLTSGTSSDRFRAPAGQSSPVSHEPGPSDLQSFSESLKSRLNSVSMRYKESIVKSTRGWRERLFSRNSSVADIGSEVRREVNAGIATVSRMMERLDARDSRRTSSSSASPSAGVHSVMEPRNEGVISNHASTHPNNSTTSSSCIATSGLD >Ma01_p07730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5591745:5595361:1 gene:Ma01_g07730 transcript:Ma01_t07730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTANMESHLSSAAAFVEGGIQDACDDACSICLEVFCESDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLDGVERERNVRLNNTRTTTIFHHPALGDFELQHLPVGGSDAELEERIIQHLAAAAAMGRAHHLARREAQGRSGSHGHPQYLVFSTNSNTPSVGSLSASSVTRVENESAPETVAANPLASVTTPVGESAEVTSVTPTHASQISLLTSGTSSDRFRAPAGQSSPVSHEPGPSDLQSFSESLKSRLNSVSMRYKESIVKSTRGWRERLFSRNSSVADIGSEVRREVNAGIATVSRMMERLDARDSRRTSSSSASPSAGVHSVMEPRNEGVISNHASTHPNNSTTSSSCIATSGLD >Ma01_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5591745:5597278:1 gene:Ma01_g07730 transcript:Ma01_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTANMESHLSSAAAFVEGGIQDACDDACSICLEVFCESDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLDGVERERNVRLNNTRTTTIFHHPALGDFELQHLPVGGSDAELEERIIQHLAAAAAMGRAHHLARREAQGRSGSHGHPQYLVFSTNSNTPSVGSLSASSVTRVENESAPETVAANPLASVTTPVGESAEVTSVTPTHASQISLLTSGTSSDRFRAPAGQSSPVSHEPGPSDLQSFSESLKSRLNSVSMRYKESIVKSTRGWRERLFSRNSSVADIGSEVRREVNAGIATVSRMMERLDARDSRRTSSSSASPSAGVHSVMEPRNEGVISNHASTHPNNSTTSSSCIATSGLD >Ma02_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7839051:7840415:1 gene:Ma02_g01070 transcript:Ma02_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSGVLRRLSSRKLRSAPYTIPSRKFSEKEDGSKKTSVTLEKRDWEDATCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKMTTSHHDPLADNRTLGLNVPHLLACEKSDIMELACPLCRGQVKGWTVVDPARHYLNNKKRSCMQENCSFVGTYKELSKHVRSEHPSAKPREVDPVLEQKWRTLENERERQDVISTIRSSMPRSVVFGDYVIEMGDSDHDSDDEIGDGYGDVDLETRGFSRNILYLILRASTGLMRLHRDSGVLEDRGDDHEGPLNSDSADAYPLDGNDNVAPVITQDSGMDALRSERRHRRRRRSRGEFSRL >Ma01_p19990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16174268:16202659:-1 gene:Ma01_g19990 transcript:Ma01_t19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGEQAVLETLQRARELYRNRLQANTSVDELASLFAECAIAEAQPMVANNLSPPHTASPTPILLDSDETSILSMTGRKQIMLDAFADGSSFVCLQCGGLVSSLRRDEHMAYWCG >Ma01_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16174268:16202951:-1 gene:Ma01_g19990 transcript:Ma01_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMDTDDSGDRRGATAGGASEVFSPPPLPPTAVANGDLSAQNNAWGLLSLARQLVDQGKPSLALQAVLVAMRSGGGEQAVLETLQRARELYRNRLQANTSVDELASLFAECAIAEAQPMVANNLSPPHTASPTPILLDSDETSILSMTGRKQIMLDAFADGSSFVCLQCGGLVSSLRRDEHMAYWCG >Ma07_p26500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33274389:33275828:1 gene:Ma07_g26500 transcript:Ma07_t26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding STGKTRNASAFSWGKRLQIAIEAAQGLEYLHKGCKPPLIHRDVKTANILLSEQLEAKIADFGLSKAIQNDVTNVPTAVVGTPGYLDPDYYISGQLSEKSDVYGFGVILLELITAEPPILIGRQNAHIVQRVRERLANGNIEDVVDSKLQGEYDVNSVWKVADIAFRCTAQASHQRPTMTEVVAELKESLALECPRDTMGNSNIYAETNEASQNTAIEMERFVEFSPSAR >Ma05_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31948165:31948898:1 gene:Ma05_g20300 transcript:Ma05_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIPSRSVRLNLLIKASVSSAVPQVSSGSLAKTRMHRQSLGKPGAKHLISGAAGGARKEEKRKSIASAAGSAVAQAPAAEDMAIEANKLLRASSRANKSIHLIPVFTILCLLVLYFFSHEPSPTDMQAFGSSAVRLDSRGIMLLLRALLDGLVGGFRNGERQRGVGDPPKPSEAEGSGGAGPPPEDQEFLAVPGT >Ma05_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8216907:8222470:-1 gene:Ma05_g11390 transcript:Ma05_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQRNAVDPVRNQKKRVAKDDINPDRRLPSRPRRKTLTVPKFLFASLHRSLRFLSYLLRLNPLAALPALRFALRAILYAVLLSALPHHRRRCLALQPCGPGLTSVPWFLLGFRGNGAGKKNRKFAVMKKLVSSKTLKKYKEEVLNPKKKDLEKEKLPRNVPSVSSALFFKYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCIIDRVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITQHKYSIERLPEATIGGAPRI >Ma09_p26650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37823600:37830196:1 gene:Ma09_g26650 transcript:Ma09_t26650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKLGSKADAFQRQGQAWFCTTGLPSDIIVEVSEMSFHLHKFPLLSKSALLEKLIEESSDEEDGCVVKLCDMPGGDKAFELVAKFCYGVKFELTASNVVFLRCAAEYLQMTEEIAEGNLIAQTEIFLSQIVLRGWKDSIKVLQTCDNLLPHAENLQIIKRCIDSLAVKACTDPNLFGWPMMEHGAMQSPGGSVLWNGISTGARPRNCSSDWWYEEASSLSLPLYKRLISVMESRGIRQEVVAGSVTSYAKKYLPGISRRHSLAPVALTAAPSEEEQRRLLEEIVGLLPLQKGVTSTKILFGLLRTGMILRANPTCISNLEKRIGLQLDQANLEDLLLPNFSYSMETLYNIDCVQRILEHFLAMDQAADGASPNLVDDEQLIGSPSLAPITTVAKLIDGYLAEVAPDINLKLPKFQNLAGAVPDYARPLDDGLYRAIDIYLKAHPWLNEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLDVSRPLRSGLVGSGDGGGWASAVRENQVLKEGMDSMRMRVYELEKECTSMRQEIEKLGRGKSKWSSVSKKFGFKLKSQMCSAQEESVSDHQQTGSSTIEKLPAKLTKHKQQLSTDA >Ma09_p26650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37823767:37830196:1 gene:Ma09_g26650 transcript:Ma09_t26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKLGSKADAFQRQGQAWFCTTGLPSDIIVEVSEMSFHLHKFPLLSKSALLEKLIEESSDEEDGCVVKLCDMPGGDKAFELVAKFCYGVKFELTASNVVFLRCAAEYLQMTEEIAEGNLIAQTEIFLSQIVLRGWKDSIKVLQTCDNLLPHAENLQIIKRCIDSLAVKACTDPNLFGWPMMEHGAMQSPGGSVLWNGISTGARPRNCSSDWWYEEASSLSLPLYKRLISVMESRGIRQEVVAGSVTSYAKKYLPGISRRHSLAPVALTAAPSEEEQRRLLEEIVGLLPLQKGVTSTKILFGLLRTGMILRANPTCISNLEKRIGLQLDQANLEDLLLPNFSYSMETLYNIDCVQRILEHFLAMDQAADGASPNLVDDEQLIGSPSLAPITTVAKLIDGYLAEVAPDINLKLPKFQNLAGAVPDYARPLDDGLYRAIDIYLKAHPWLNEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLDVSRPLRSGLVGSGDGGGWASAVRENQVLKEGMDSMRMRVYELEKECTSMRQEIEKLGRGKSKWSSVSKKFGFKLKSQMCSAQEESVSDHQQTGSSTIEKLPAKLTKHKQQLSTDA >Ma09_p26650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37823767:37830196:1 gene:Ma09_g26650 transcript:Ma09_t26650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACKKLGSKADAFQRQGQAWFCTTGLPSDIIVEVSEMSFHLHKFPLLSKSALLEKLIEESSDEEDGCVVKLCDMPGGDKAFELVAKFCYGVKFELTASNVVFLRCAAEYLQMTEEIAEGNLIAQTEIFLSQIVLRGWKDSIKVLQTCDNLLPHAENLQIIKRCIDSLAVKACTDPNLFGWPMMEHGAMQSPGGSVLWNGISTGARPRNCSSDWWYEEASSLSLPLYKRLISVMESRGIRQEVVAGSVTSYAKKYLPGISRRHSLAPVALTAAPSEEEQRRLLEEIVGLLPLQKGVTSTKILFGLLRTGMILRANPTCISNLEKRIGLQLDQANLEDLLLPNFSYSMETLYNIDCVQRILEHFLAMDQAADGASPNLVDDEQLIGSPSLAPITTVAKLIDGYLAEVAPDINLKLPKFQNLAGAVPDYARPLDDGLYRAIDIYLKAHPWLNEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLDVSRPLRSGLVGSGDGGGWASAVRENQVLKEGMDSMRMRVYELEKECTSMRQEIEKLGRGKSKWSSVSKKFGFKLKSQMCSAQEESVSDHQQTGSSTIEKLPAKLTKHKQQLSTDA >Ma04_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4880640:4886751:1 gene:Ma04_g06710 transcript:Ma04_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acclimation of photosynthesis to environment [Source:Projected from Arabidopsis thaliana (AT5G38660) UniProtKB/TrEMBL;Acc:A0A219HZL6] MSIAGAAAAATCSLPRLRRAREVVITPSPRASSRLLSSDWRRSPAGRVLVPGPPLPTPRLRRARLLLVASAVDSTQPSSSSAKTVVPDNEFSVAKVSFGVIGLAVGGSLLSYGFCAYFSILPGSEWSALMLTYGFPLAIIGMALKYAELKPVPCITYSDAEVLRERCATPILKQVRSDVTRYRYGDEQHLDEALKRIFQYGQGGGIPRRSAPTLQTIREEVTEDGKYCLVLVFEAKALKLSDFEQRQAKFTSFFGPGIIAEIGKGEADLYEVRLISQTT >Ma08_p29740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41229165:41234036:-1 gene:Ma08_g29740 transcript:Ma08_t29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGFVCSSAATTARTATATKRMPTAAGMKAEAAPRKTVLVTGVSRGLGRALSLELARRGHAVIGCARSPDKVQALQHELMGVSPDASSSSSSSASPPSKHLIIDLDVRSDSGVQALAKLLMETKRFPDIIVNNAGTINKNNKIWEVPAEEFDMVMDTNVKGISNIIRHFLPLMIQRKHGTIVNMSSGWGRSAAAEVAPYCASKWAVEGLTKSVAKELPPGLAIVALSPGVVNTDMLASCFGSSASLYQTPETWAPNAATMILNLTTEDNGASLTV >Ma08_p29740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41229424:41234036:-1 gene:Ma08_g29740 transcript:Ma08_t29740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGFVCSSAATTARTATATKRMPTAAGMKAEAAPRKTVLVTGVSRGLGRALSLELARRGHAVIGCARSPDKVQALQHELMGVSPDASSSSSSSASPPSKHLIIDLDVRSDSGVQALAKLLMETKRFPDIIVNNAGTINKNNKIWEVPAEEFDMVMDTNVKGISNIIRHFLPLMIQRKHGTIVNMSSGWGRSAAAEVAPYCASKWAVEGLTKSVAKELPPGLAIVALSPGVVNTDMLASCFGSSASLYQTPETW >Ma04_p07600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5493639:5505187:-1 gene:Ma04_g07600 transcript:Ma04_t07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTARLVILFPMFSVAIADAAAAASSSYRVDEITVDSRDCYVGNTLLPMQDSCCYNLRRILCRNNVGISKVQSPQTETEFPSTATATSTAVQSPQTGVTSSAVNASTMGKSKGRYLMEADQCAGCVDGSLQKTSPEVPGKSPVDPSTAEVLGKSPVDPPKPQRPPGELHKLSILMMEHDRSLF >Ma10_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22340469:22340888:-1 gene:Ma10_g08060 transcript:Ma10_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIKAMSSAYEDFAAAAASVVREREASGGRRTAATDVALENFRQRWELFRASCDRAEEVMDMARRRITTESVVDAAASAAERPAEAGLTPVSVPRLEQALHAVNSLAADLRRGLGGAAVSPPPSSPSGVTSQAEKAD >Ma09_p19590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25091708:25092867:-1 gene:Ma09_g19590 transcript:Ma09_t19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEEQLLGDSFSYRWLINAESCASLGDSHRSFDAPDGGGCSFIEIDPGFISMRWTSDAINGFGFVLPTSTQRPAPQVHADKIFSNGLLLPLHVPGPPETLVARRSSLSRPRCAVREGANTSPTSSPLFHTASSSPNWMSSSCSSPYNNNQASSRGGKLSSRLVRNCAKLPKKILCEYFCFLLPLYKMVKDFRLTSSKSTSSCKYSARSSPRTSSALSGIEWCRSNADISIYDAILHCKKSIGQDQ >Ma07_p27970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34122686:34137880:-1 gene:Ma07_g27970 transcript:Ma07_t27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLFYRKPPDRLLEISERVYVFDCCFSTETLGEDAYKVYMNGIVSQLQDYFPDASFMVFNFREGDQRSQISDILTEYGMTVMDYPLQYEGCPLLPLEMIHHFLKSSESWLSLEGQQNVLLMHCERGGWPMLAFMLAGLLLYRKQYTGEQKTLEMVYKQAPKELLHVLSPLNPQPSHQRYLQYISRGGNAPEWPPKDMPLILNRLILRVIPNFDGEGGCRPMIRIYGQDPLVPNSRNAKILFATPKTKKHVRHYKQAEAAPVKLNTRCRVQGDVVVECINLDKDLEHEEIMFRVMFNTAFIQSNILMLSREEIDVVWNTKVHFSRDFKAEVIFSEFDAFESDSSTESLIEDGDETEGAFTETEEFFEAEEIFTNADWQNVNRDTEATTFRNATSVDDGSSIVEICNLTGEARSRFETSKAEEDSETRVSQKLTETDGKYIMIETCNMHGPAKTSSESDKCEHDDEFVMVKSVTSECMVQIEEKKMIECSTLRQDKKGTATSLGEENDLMKINNLKQETETVTGLVNMTHNFVDTEAPDTTERKWENGDYKHGSENISETRSNSIGEIPSLAKHLPERETDSSTYQDKVEQYSGSALRIPGVTEETMTKFPPIACSSTGKIISKESTYMDYTVTCEEKNIVEVSNSEHEVKDIIALDVEEKNIAETYNIEQDASGLASEKTMTSCTMTCKTQILTSTHDADNRPEKIKSRFNQENITTRKTLLDKGHNQGNQGLSQEVKLECKREPDKVVAQSKTQPKILNQKFGNGEEKQTLEISSYTMPEKPSSGTKRPDSLVSKTMVKQQESAADQQSSRQAKTVARWISPRKDSDATSVHLPSHPPSRYNSAPAALAISAAYQGYISGKVAVVSETPACADKAASSLQLSVQSSICHVLSPIEEAPLSALVVPPPACGEHSTPPKLQAHNTRTPPPPPPPPPPLSISTPTSSYFANGHFNPPPPPPPPPPLKIGGAKGTSAYSTHHLLLPTPPAPPPPPPPPPPSSSGVLYSSFLQSSQTSASQTKATVKALPPPPPPPPPPPPRNKIDLYASPSSPPSIPPPSLPSGASSRGPPPPPPLPPRLEGLVSKPPPPPPPPPPVLGPCSGAPPPPPPPPHLGPRSGAPPPPPPPGPPPPPGSRVSAPPPPPPPVLRGGAPPPPPPPGSRGNVPLPPPPPGPRGSAPPPPPPPGPRGSAPPPPPPPGPRGSAPPPPPPPGGRTPPPPPPPGARTPLPPAPPRAPGAPPPPPSSSQADARGLSPNGARGRGLTRSAALNSSNLAPRRSLLKPLHWVKVTRAVQGSLWAELQRSGDALSASEFDVSELESLFSAVVPKKDDSSKSDGRRKSLGSKSDKVHLIELRRANNTEIMLTKVKMPLPDLMSAALALDDSILDVDQVENLIKFCPTKEEMELLKGYTGDKEKLGKCEQYFLELMKVPRVESKLRVFSFKIQFVSQVSDLRKSLNTIDSACEQIRNSIKLKEIMKKILFLGNTLNQGTARGSAIGFRLDSILKLTDTRATNNKMTLMHYLCKVLALRSPHLLDFYEDLTSLEAASKIQLKSLAEEMQAIVKGLEKVELELNASEKDGPVSEIFRKTLKEFVVVAGADVQSLTTLYKEVGKNADALAIYFGEDPARCPFEQVISTLLNFVLMFRRAHQENCKQAELEKKKAEKEREMEKSKASTPSSKNDSKETSLSQQLLEAKTRTENTNRREKDVR >Ma06_p32780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33627155:33632867:-1 gene:Ma06_g32780 transcript:Ma06_t32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVAPEHICYVHCNFCNTILAVSVPGNKLFNMVTVRCGHCANLLSVHMGSVLHTLPLQDLQTYSIAPNGNPMEYGSSSKYAMTSLMYSMKNDRQQALPVRPPEKRQRVPSAYNRFIKEEIQRIKANNPNISHKEAFSAAAKNWAHFPHIHIGRNLDDNTQLRLEGGSGFARVPKGSEIMMKDINLE >Ma02_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14194787:14197729:1 gene:Ma02_g02790 transcript:Ma02_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSSPFLPLFLSPVAAPIAMRSEGDSLSGQNMGLCHRKVRSLPSPVLLFLSPLLLLLVGSLWLAGAADIPLGSSLSPLNSSSWSSPSGTYSLGFISDPQNTSRYLAAITYSGGIPVWTAGGGASVDSAASLQLRSDGNLRLVDGSGTVVWESGTAGKGVSAASLLDSGDFELKNSTAVVWDTFVNPTDTILQSQNFTVGQTLRSGEYSFSLLANGNLTLTWNGSTIYFNKGFNSTFTANKTLASPFLTLQSNGIVSLSDASLSSAVVISYSSDYGESGDMIRFVKLDSDGNLRTYSAVRGSGAAIQRWSAVADQCEVFGWCGNMGICSYNDTSPVCGCPSENFNFVDPNDHRKGCKRRTEIQDCPGNSTMLQLSHTQFLTYPPEISTEQFFVGITACRLNCLSGASCVASTALADGSGFCYLKVSNFVSGYQSAALPSTSFVKVCAPALPNSPSTLDEVHSESSKLKGWVVAVLIFGTFLGLILFEWGLWWCFCRNSTKYGPSSAHYALLEYASGAPVQFSYRELQKSTKRFKEKLGEGGFGAVYKGVLANRTMVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDSFLFSGDSSRKLTWATRFSVAIGTARGITYLHEECRDCIVHCDIKPENILLDENNNAKVSDFGLAKLVNPKDHRQRTLTSVRGTRGYLAPEWLANLPITSKSDVYSYGMVLLEIVSGRRNFDVSDDTGRKKFSVWAYEEFEKGNIRSIMDKRLAEQDVDMEQLERAVLVSFWCIQEQPSQRPSMGKVVQMLEGVLDIERPPAPKVMDVGLAVVTTSSVSTSVAVFATSASIQPLSSSSQSITNSSSVSRRNVQKQTSSLLSTDLSS >Ma09_p26180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37518533:37518911:-1 gene:Ma09_g26180 transcript:Ma09_t26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICGAKHLVADDLIPNVTLRETINSFLVISSRSSSAGSSDMGSATSKALSPVFSVASMSKQKASSRTIFVVFVHQIVVNILVPRSI >Ma02_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20644862:20650419:-1 gene:Ma02_g11520 transcript:Ma02_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFRHVSGTCTSAPMKTELEKIGMEAFVFDAVSDQLRSLHTLQRATHLLVSIPPIVGIGDPLLCLHGELQAAIGDGNLQWLGYLSSTSVYGDCGGAWVDEDYPPDPETESAKSRLAAEKGWLDLGHELGVTVNVFRLGGIYGPGRSALDTIIKGQSLSEGQKKRESRLFTARVHVADIYQAIKASIEILSSGRIYNVVDDDPAPRQEVFAFAQSLLEERWAGTVCKLDNNDMKPHKSLSGCEKRVSNARLKKELGVSLLYPMYRLGLRNILESWDSVPKEP >Ma02_p11520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20644862:20650621:-1 gene:Ma02_g11520 transcript:Ma02_t11520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIFGASCRLSRAGTVVVRSPPERSHRQLSAPMTVAVARSESGGGGNRLFVFGTGFVGRFVSDRFISQGWHVSGTCTSAPMKTELEKIGMEAFVFDAVSDQLRSLHTLQRATHLLVSIPPIVGIGDPLLCLHGELQAAIGDGNLQWLGYLSSTSVYGDCGGAWVDEDYPPDPETESAKSRLAAEKGWLDLGHELGVTVNVFRLGGIYGPGRSALDTIIKGQSLSEGQKKRESRLFTARVHVADIYQAIKASIEILSSGRIYNVVDDDPAPRQEVFAFAQSLLEERWAGTVCKLDNNDMKPHKSLSGCEKRVSNARLKKELGVSLLYPMYRLGLRNILESWDSVPKEP >Ma10_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23168296:23168857:-1 gene:Ma10_g08940 transcript:Ma10_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALAKFGPPVSAIARRSPTSLRLLVTAASRPSQVGMTCRHAIIAFSAGSVVEDAARSVNEEVTVAGGQANKTTESRGIAGASASQVAGIGNRAAEMAQDAWQSAKETTKKAANFVAGRAADTKESIKDDANAVKRAMNAEKNS >Ma09_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30179319:30179621:1 gene:Ma09_g20820 transcript:Ma09_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDGELTSEDMEHDSVQPRILLAASGSVAAIKFEMLCRCFFWSGRKQVRVVATKSSLHVFSTKLRTPRVCDPLYSDDDEWSQWKKLGDEVFAHGSSEMG >Ma04_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24064367:24066540:1 gene:Ma04_g21530 transcript:Ma04_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIIIIIIIIIIIIIIIIIIIIIIIIIIGHDRLLVAHSRPFLRLERDESAVALRVPISPLLLLPWSYSDAFESAAEMTDSAFLRLLFSVAIWCIAYAVVAVQMSIRLFNFNRSSLN >Ma04_p25830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27464873:27469364:1 gene:Ma04_g25830 transcript:Ma04_t25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTEGRVPSPGLAVAVAATTAEGSRSFQPPPAALAVGVVGEEKPAGEAGGMAAPVAPLAGLVVGPAGVGVEGTVKKKRGRPRKYGPDGSLLRPLNPMPISASAPTGLEYTSVAAVGAAMKRGRGRPLGSVSKSPTYGFELEDPLGEMVACSAGANFTPHVITVPTGEDVTMKIISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENGGTRSRSGGLSVSLASPDGRVVGGGVAGSLVAASPVQVVVGSFLPSYKMEQKIKKPRLETGSASTPTSAIQRSNTTMEEPLASGQGQQSSATMNPNPLTASPFSEENWSASLQPAPGATNTMDINTSLPGG >Ma11_p23260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26666780:26667203:1 gene:Ma11_g23260 transcript:Ma11_t23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWMQMIMPCQLTLVWQRSLMKTLDQTPSAEHWYMAPEIVPRKGP >Ma01_p21020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19879352:19881235:-1 gene:Ma01_g21020 transcript:Ma01_t21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKPPPPLFPPVHPTRRPKCLHTSASSPSPPPPPPPPASSSSSSLQRLINLSIPFSGTHSLPQTQHAIDTDTCNVLIKSDTHSGSHLRSLLVFTTMLQASIPPDLLTFPPLLKSVARLFLPDLGLSIHGCVVKTGSDSDVFVNTALVSMYCSLGRVGDARHVFAEMPERNSVTWNAMITGYVHNRRFREAHELFSRMLGSGLDLGEVTVVTALSACSHLGALSQGIWIHNYIESHGLRLNVFVGTALIDMYMKCGVIDEASKVFQAMRVKNVFSWNALITGYAMNGEGEAALEAFDEMIMEKVKPDGVTFLALLCACCHQGLIDKGRKLFVGMEEDFGLRPRIEHYGCMVDLLGRAGLLEEAHKLIATMPMKADAAVWRALLAGCRIHGDLQLRELAIRKLLDLEPENGENYILLANLLARDRRWTDVGKVRQMMGKKRMKKDPGCSLIEVDNRVHEFVVSDRFQRKGLEEIFTMLAAMKRELKLAGYAVDTEMASYDLEEEEKEMAVMHHSEKLALAFGLVRAQHGSTVRIVKNLRMCVDCHTFFKLVSEVYQRKIVVRDKNRFHHFGGGVCSCKDYW >Ma04_p32470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32407917:32410389:-1 gene:Ma04_g32470 transcript:Ma04_t32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCRRNGAVRQYIRSKVPRLKWTPELHQCFVHAIERLGGQDKATPKLVLQLMNTSGLTISHVKSHLQMYRSTRNNMGRQGMEIFHGRKHSCQGNDEGADEQDDLGSTQACDPTEEFQYQPMYSSLPLLKRARLDAQPNSKSGQCSQQICETVTSQYWIGNCMQTLAVDRGIKEEGISWQRDALQTEDMAADHPTKLKVLGYMVEESDPFKTTVLNRQCLRSTEEFMFTEVYENGHLSYKSPSLSNEFVESKETNGCSLSLSLSLHPSQRNNSSSSEGSCAFSSSSGRNFNDHSHYSVSHNRANLELSMSICDS >Ma03_p26200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30014645:30018262:-1 gene:Ma03_g26200 transcript:Ma03_t26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSVDYWRRFFRSANSDIFEVIEQAILVAASDYPQQFRSRRDQIVEKIFTALLPRCFGCDRVELRGAEGEEGHGSVRRVGEKESKVDSSNDGPEFSNRVVSNYSYDEAEALTEEIEEEGQIVGEVIRIKEILANHHEESDNFLFESLRRLQLMELSVDVLKATEIGRAVNGLRKHNSKQIRHLVRALIDGWKVLVDEWVRATAAIADNSPDSVNPSVVDDEDGLPSPPLDEGALFATQTTSIQLSEFFDGMDDDGNFRNNGEFHKRWEHGRRPTENHESLRKQQPMQQPFTREEKVEMKQDPEQSIFAEGVGHSRRQEPHRSATPEEKLRRQEPQMRQSKPHEICIGQAKSQNILPKQSNPVISESGPGRPVKIGFEQKVCNEAKFKQPPDTAALRRKPPMIPRDKSKYSEEAPVQAKLEATKRKLHEGYQQAENAKKQRTIQVMELHDIPKQAHNRHPILKSRNHLRNWANGRH >Ma06_p25360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25661500:25663183:-1 gene:Ma06_g25360 transcript:Ma06_t25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLQLLSLLVNHLNYVMEVLLQIRLNIDKSLASYSTWLSPVQIFHLPSINYRNSCIDHLLRIGLRSNEFCDILKGLLIMAFFFAKILHSISMLLLMLIGQGTLMTELLRPDTLFSLELFQSVGVQKNKRRLHDLQLKLNIVPSPPRLLNLIGSQICSRNSTSTPQLFLQYIVIILELPTYVLIQCSIPT >Ma08_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9153624:9155300:1 gene:Ma08_g12130 transcript:Ma08_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGRGEMLVALAVALCLSALGADAQLKVGYYSYGCPAAELIVKEEVEKALIDDPGVGADLLRMHFHDCFVRGCDGSVLIDSTEDNTAEKDAQINLTLEGFEIIDAIKEKLEAACKGVVSCADLLAFAARDSVVHYGGIHYRVPAGRKDGTVSKEGDTSILPSPALDLTELTKLFISKGLSQNDMITLSGAHTVGIAHCDAFTDRLYDTDETLDQKYAKALRKQCPPGSNNTVSMDPKSPRRFDNHYYRSLLKNRGLFISDQTLLSTQGTTTQVKRLASNYKRFQRKFAAAIVKMGEIGILTGSEGEVRANCRKIN >Ma03_p10030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7490790:7495411:1 gene:Ma03_g10030 transcript:Ma03_t10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVCYLLLVFLSSFAATVSMVACKQTYIVYMNAAHRTEAHPTHAHWYEAHLRSLSIDPARHLLYSYSAAVHGFAAVLHPEQLPLLRRHPAVLNVHPDPLLPLHTTRSPHFLGLSPWPPAPSSRSHSLDPAAAATDVVIGVLDTGVWPESPSFDDAGLPEVPSRWRGACEAGVDFPSSLCNRKLVGARSFGRGYRAAAGGGDADKPREEYASPRDRDGHGTHTASTAAGAPVANASLLGYASGVARGMAPGARVAVYKVCWANGCYGSDILAGIDKAIEDGVDVLSLSLGGGSAPFSRDPVAVGAFSAVQRGIFVACSAGNSGPSPSSLTNTAPWITTVGAGTLDRDFPATVQLGNGERYAGLSLCSGAGLEDQMVPIVYGKGVQVGSNSSKFCMPGTLDPEQVKGKVVFCDRGINARVEKGQVVKEAGGVGMILANAAVNGEELVADSHLLPTVAVGAKSGNLIRDFVRTSSNPTAMLSFRGTVLGVQPSPVVAAFSSRGPNTVVPQLLKPDLIGPGVNILAAWSGSVGPTGLAKDERRSAFNIMSGTSMSCPHISGVAALLKAAHPDWSPSAIKSALMTTAYTDDNTGSPLVDGAGGSPATPWAYGSGHVDPQKALSPGLIYDIGTGDYLAFLCSLEYSTDHIQAISKSTNKTCSRRLPNPGNLNYPSFSVVFGRRSRRFVKYNRVLTNVGVPGSVYNVKVGGPPGVKVTVKPTKLIFNQVGQKLRYKVTFTSTKAGDPVDMAFGWITWSSEQHQVRSPVSYRWLIS >Ma03_p10030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7490790:7495411:1 gene:Ma03_g10030 transcript:Ma03_t10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVCYLLLVFLSSFAATVSMVACKQTYIVYMNAAHRTEAHPTHAHWYEAHLRSLSIDPARHLLYSYSAAVHGFAAVLHPEQLPLLRRHPAVLNVHPDPLLPLHTTRSPHFLGLSPWPPAPSSRSHSLDPAAAATDVVIGVLDTGVWPESPSFDDAGLPEVPSRWRGACEAGVDFPSSLCNRKLVGARSFGRGYRAAAGGGDADKPREEYASPRDRDGHGTHTASTAAGAPVANASLLGYASGVARGMAPGARVAVYKVCWANGCYGSDILAGIDKAIEDGVDVLSLSLGGGSAPFSRDPVAVGAFSAVQRGIFVACSAGNSGPSPSSLTNTAPWITTVGAGTLDRDFPATVQLGNGERYAGLSLCSGAGLEDQMVPIVYGKGVQVGSNSSKFCMPGTLDPEQVKGKVVFCDRGINARVEKGQVVKEAGGVGMILANAAVNGEELVADSHLLPTVAVGAKSGNLIRDFVRTSSNPTAMLSFRGTVLGVQPSPVVAAFSSRGPNTVVPQLLKPDLIGPGVNILAAWSGSVGPTGLAKDERRSAFNIMSGTSMSCPHISGVAALLKAAHPDWSPSAIKSALMTTAYTDDNTGSPLVDGAGGSPATPWAYGSGHVDPQKALSPGLIYDIGTGDYLAFLCSLEYSTDHIQAISKSTNKTCSRRLPNPGNLNYPSFSVVFGRRSRRFVKYNRVLTNVGVPGSVYNVKVGGPPGVKVTVKPTKLIFNQVGQKLRYKVTFTSTKAGDPVDMAFGWITWSSEQHQVRSPVSYRWLIS >Ma05_p18890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25509599:25532425:-1 gene:Ma05_g18890 transcript:Ma05_t18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKPQALLLQSKKKKGPTRISLSTIVSCNVIVILIVLSLYATFRHWYHRSNDQLGTGLETFEHSEIFGESTRSDLPSYAIFSTAKGLITIELNKDSSRDVVDKFVDLCRKGYFKGMLFDHVIKNFVIQGAHSQRSGVAEDWIPKGKAHSRLGISPKHEAFVLGTRKPNKDSKEFELFITTAPIPDLNEKLMVFGRVIKGEDVVQEIEEVDTDEHYRPKSPVGIIDIALKQEA >Ma05_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25509599:25532424:-1 gene:Ma05_g18890 transcript:Ma05_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKPQALLLQSKKKKGPTRISLSTIVSCNVIVILIVLSLYATFRHWYHRSNDQLGTGLETFEHSEIFGESTRSDLPSYAIFSTAKGLITIELNKDSSRDVVDKFVDLCRKGYFKGMLFDHVIKNFVIQGAHSQRSGVAEDWIPKGKAHSRLGISPKHEAFVLGTRKPNKDSKEFELFITTAPIPDLNEKLMVFGRVIKGEDVVQEIEEVDTDEHYRPKSPVGIIDIALKQEA >Ma07_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6672596:6673192:-1 gene:Ma07_g08920 transcript:Ma07_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVCFGTSEARDTFVKIIYPGGHVEYHKRSVLASEIMSHNPGCWVTHPDVFHQPWAVVAPDTQLLPGQKFYVVPISTVRKLRRHFLRSSTLPPPPPLPSTMASSVDPSLMERSCLHRLRSPFHCLTHRAKSSKQEQAATELMVCGEGDSGRSRELAKEAVKENPRRQMSPKRSQGNASPGTPTGYWQPSLGSITEE >Ma03_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5879107:5880141:-1 gene:Ma03_g08170 transcript:Ma03_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGPGSDGSCYYSLLGIRRNASASDIRSAYRCLALKWHPDRWAKEPAAASGEAKRRFQRIQEAYSVLSDKGKRAMYDAGFYDPLDDDGDQDFSDFMQEMLAMMDGVKSEKPDTLEDLQRMLAEIVDGDSGSSGSGGHANGRRVPSDSSRRSRSGPIRR >Ma05_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34450790:34451740:1 gene:Ma05_g22680 transcript:Ma05_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLWPCSLVFFLVSTATVSSALSLPIPSLRFQPGPWRYAHATFYGDESASETMGGACGYGNLFSSGYGIATAALSSTLFNDGFACGTCYQIRCRGSPHCYGSFPIITVTGTNLCPPNWAQPSDNGGWCNPPRRHFDLSKPAFMQIAYWRAGIVPVMYRRVPCVRKGGIRFLLQGNEYWLLAFVMNVGGEGDVGSMWVKGSNTDWMRMSRNWGASFQAFSRLGGQSLSFKITSYTTRKTIIATDVAPSTWYLGMTYEADVNFA >Ma04_p31500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31787333:31794728:-1 gene:Ma04_g31500 transcript:Ma04_t31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPETPACYSGVTRQSAAFRLMKQMGWEEGEGLGKDKQGIKGHIRVKNKQDTAGIGLDKASNNWVFDTSQFDNILKKLKVQVAVPDEKETVETDDVHVESGNGTSKDDPVMKATRPQGRYKKRERGKIVNAYSTKDLQGILVSKGEENCQADADIDDETTFTIVDSDNHQEVSLKAEDAQWWGHNHGFVSGGFLGTKSHATKSCLQKDSRSLASNKRNTFAEEDQENLYKLVQEKATTGKQGLGIKNQPKKIAGCHWKGKKTSFSDNDGEHSDDSSGSTKRKRNEDVESGHNVQPKIKLKKLCRELLHQAPSQSMKLKQLKTCIEAHSTSLLSNFSSKRDALSYLKKKLEGSKTFIVEGKTVSLSA >Ma07_p28840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34753056:34758356:1 gene:Ma07_g28840 transcript:Ma07_t28840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEKQMAASPTISRSVTETVNGSHKFVIKGYSLAKGIGVGKHIASDTFTVGGFQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDYLKDDCLKINCTVGVVVSVVDSPRLHHIQVPESDFGMHFGVILDEQEGSDVVFDVAGEKFHAHKLVLAARSPIFHTQFFDGLDGESNEIIVTDMEPAVFKAILHFIYRDTLVDDDMLATPNLPESSISDTLVAKLLAAADRYSLGRLRLLCEAHLCREISVNSVASTLALADRCHAIELKAACLKFVAENLSAVMRSSGFEYLKDHCPSLQSELLKIIAGCDEECSSGGNNRSVWAELSDGEDSNGRRVY >Ma07_p28840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34753056:34758112:1 gene:Ma07_g28840 transcript:Ma07_t28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEKQMAASPTISRSVTETVNGSHKFVIKGYSLAKGIGVGKHIASDTFTVGGFQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDYLKDDCLKINCTVGVVVSVVDSPRLHHIQVPESDFGMHFGVILDEQEGSDVVFDVAGEKFHAHKLVLAARSPIFHTQFFDGLDGESNEIIVTDMEPAVFKAILHFIYRDTLVDDDMLATPNLPESSISDTLVAKLLAAADRYSLGRLRLLCEAHLCREISVNSVASTLALADRCHAIELKAACLKFVAENLSAVMRSSGFEYLKDHCPSLQSELLKIIAGCDEECSSGGNNRSVWAELSDGEDSNGRRVRPRTDTG >Ma07_p28840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34753056:34758368:1 gene:Ma07_g28840 transcript:Ma07_t28840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEKQMAASPTISRSVTETVNGSHKFVIKGYSLAKGIGVGKHIASDTFTVGGFQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDYLKDDCLKINCTVGVVVSVVDSPRLHHIQVPESDFGMHFGVILDEQEGSDVVFDVAGEKFHAHKLVLAARSPIFHTQFFDGLDGESNEIIVTDMEPAVFKAILHFIYRDTLVDDDMLATPNLPESSISDTLVAKLLAAADRYSLGRLRLLCEAHLCREISVNSVASTLALADRCHAIELKAACLKFVAENLSAVMRSSGFEYLKDHCPSLQSELLKIIAGCDEECSSGGNNRSVWAELSDGEDSNGRRVY >Ma08_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5750537:5760003:-1 gene:Ma08_g08270 transcript:Ma08_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGAAGPTSLRVSSSLSHRLPSQALASPLTVRFFSRSLPPRRIKISLPSSARRPVTVRSGGGGGGEGGGGGSGDGDGEDGSSGRKNRSEALLVLAEAGRTLESLPKDLAAAIEAGRIPGSIVQRFFELEKSPVFRWLLQFGGFKERLLADDLFLAKVAIECGVGIFTKTAAEWERRRENFMKELDFVFADVVMAIVADFMLVWLPAPTVSLRPPLQFNAGPIAKFFYNCPDNAFQVALAGTSYSFLQRVGAIMRNGSKLFIVGTSASLIGTGITNALINVRKAIDKDFGVEAEDVPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHNQKLALSALCFAVRTGNTFLGSLLWVDYARWVGVQKIRE >Ma08_p26170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38772449:38787770:1 gene:Ma08_g26170 transcript:Ma08_t26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETDYYDVLGVSPSATEAEIKKAYYTKARQVHPDKNPNDPRAAQNFQVLGEAYQVLSDPTQREAYDANGKSGISREAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFTEGEQVDARKLQEKMRVIQKEREEKLAEILKDRLHQYVQGNNDEFIRHAEAEVTRLSNAAYGVDILNTIGYIYVRQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAATGAIALMQLQEDLKKQLSAEGNYTEEELEEYMQNHKKVMIDSLWKLNVADIEATLSRVCQMVLQDNNVRREELRARAKGLKALGKIFQRVKLGNGSESETSFASGAQNKLSGNDECNDGSSPDASPKSPVEHLSYASLAATQSPYVEAPPFGDVNYDFPMPTAPPGAQRHA >Ma06_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12204243:12208761:-1 gene:Ma06_g17990 transcript:Ma06_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYLTALTTLFSYGLLFAFGQMRDFFRKLIDWSKSKGKDLKGYAPICLGLEDFYTRRLYLRIQDCFSRPIASAPDAWIDVVERYSNDHNKTLHRTSNTTKCLNLGSYNYLGFAAADEYCTPLVIESLKKYSASTCSVRVDGGTTNLHTELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGASVRVFQHNSPSHLEEVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCNLPEIIAVCKKYKAYTYLDEAHSIGAVGKSGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAASKEIIQYLKHTCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLASIRQNSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRRNVAVVTVAFPATPLLLARARICISASHTREDLMKGLDVISKVGDLVGIKYFPAEPPKHVEQDKKTL >Ma09_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34167372:34171213:-1 gene:Ma09_g22190 transcript:Ma09_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLSSLLRSSARRSPAPRSSAAACPTPRAAPRPSPAGYLLSRAVEYATSAAAPQPTPPPAKTPPGPSGKITDEFTGAGAIGKVCQVIGAVVDVRFNEGLPPILTALEVLDNQIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGEINTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQDESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAA >Ma02_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17202323:17206012:-1 gene:Ma02_g06170 transcript:Ma02_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREKGRFMSPPVLLVISLIGFVYYTTVFIFIDDWLGLGTGPGLLNALIFSWLAFMCLFSFFVTVLTDPGGVPPSFAPETEDPQKDGECLRYCDKCSSYKPPRAHHCRVCRRCVLKMDHHCVWIGNCVGYANYKPYIVCVLHAAMASIYSMVIFVTSILGKDHDFKGISNKIFYILCASVTIMLSLAFGSLLGWHIYLLTHNLTTIEYRAAVRAMWLAKKSGQKYHHQFDLGIYKNLIMILGPNILKWFCPAAAGHLKEGTQFPISKVDGQHPDS >Ma11_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17608948:17623037:-1 gene:Ma11_g13340 transcript:Ma11_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNKKASTEVVAAVAPMLSGKSGKKGKRDAEEAIEKAASAKKQKREVKEVVPQKDIKKPKKFLQEVPSKKKPESSSEDETSSESEEEVKVPPKKQGKPTKPPPKESSDSSEESSSDDEPSKPAAAPAKKVAVTVSKNGSASAAAQKGKSESSSESDSEEDEEDAPVTTKVIPAAKKQATPATGLKKQEESSDTSDSESDSDEDKAPSAKVSLPVKKQAAIEKQESSSEDEDDSSEESSDDEPSKNQTDKKVPQNKTKVDESSDESDESSDESDEEPQLKKRKVPDSVPSTAGKPATKAIKKESTSSDEDEDDSSEESSDGEHSKGQQAKKVKDVKMVDATPKSEKQIARKSEMKGPKTPVTSQTQTTGSRTIFVGNLSYNVEQDDVSEFFKVAGEVVDVRMARADDGSFKGFGHVEFATEEAVQKALEMNGQELFGRAVRLDVARERGSYTPNNGKDNYSHQKGGTGQSQTIFVRGFDKSLEEDQIRSSLEEHFGSCGELTRVSIPKDYESGASKGIAYMDFKDQDAFTQALELNGSELGGYTLTVDEAKPRGDNRDGGWSGGGGRDSAGRSGGRFGGRGSGGRFGGRGGRGDRGGGGRGRGGGGRGRGGTPNRQSMGKKTTFRDD >Ma11_p13340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17608948:17623036:-1 gene:Ma11_g13340 transcript:Ma11_t13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNKKASTEVVAAVAPMLSGKSGKKGKRDAEEAIEKAASAKKQKREVKEVVPQKDIKKPKKFLQEVPSKKKPESSSEDETSSESEEEVKVPPKKQGKPTKPPPKESSDSSEESSSDDEPSKPAAAPAKKVAVTVSKNGSASAAAQKGKSESSSESDSEEDEEDAPVTTKVIPAAKKQATPATGLKKQEESSDTSDSESDSDEDKAPSAKVSLPVKKQAAIEKQESSSEDEDDSSEESSDDEPSKNQTDKKVPQNKTKVDESSDESDESSDESDEEPQLKKRKVPDSVPSTAGKPATKAIKKESTSSDEDEDDSSEESSDGEHSKGQQAKKVKDVKMVDATPKSEKQIARKSEMKGPKTPVTSQTQTTGSRTIFVGNLSYNVEQDDVSEFFKVAGEVVDVRMARADDGSFKGFGHVEFATEEAVQKALEMNGQELFGRAVRLDVARERGSYTPNNGKDNYSHQKGGTGQSQTIFVRGFDKSLEEDQIRSSLEEHFGSCGELTRVSIPKDYESGASKGIAYMDFKDQDAFTQALELNGSELGGYTLTVDEAKPRGDNRDGGWSGGGGRDSAGRSGGRFGGRGSGGRFGGRGGRGDRGGGGRGRGGGGRGRGGTPNRQSMGTASTGKKTTFRDD >Ma06_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1309350:1310057:-1 gene:Ma06_g01620 transcript:Ma06_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSAASSHYCHTHKAFLFCNYTLLGAATSCVFLTLSLRLLPSACGLLLIALHALTAVAAASACATTPASTPRWHAAHMASTVLAAIFQGAVALLALTRAPDFLAELRSYVREEDGEVILKMVGSLGLAVFCLEWVALTLAFALRYHAHVESGDPARRSSKVGGEEELKNWPWPFQV >Ma02_p21970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27443329:27453261:-1 gene:Ma02_g21970 transcript:Ma02_t21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIGRRRQVVDDKYTRPQGLYQHPDIDQKKLRKLILESKLAPCYPGSEERAIDLEDCPICFLYYPGLNRSRCCMKGICTECFLQMKPPDATRPTQCPFCKTSNYAVEYRGVKTKEEKSMEEVEEQKVIEAQIRIRQQEIQDEAKKLKKIQDISSSSRIMNPVEVEYCDIGSSSISVPPLRCTTQTNDFVSSQASCSMPSGVLPSHSRQNRADNLDLDLDDIMVMEAVWLSIQEHGHQGYPAYLGSFLPGPSFSEECYNSHGIAPPEVSPYGGLACAAAALAERQHISVESAANMAGGATSVFDMVHRSGSLPAGNVSFAQNNHLGSWTAIPPDSGREILREQFGECSTDHWSDMAEAGTSYTGSNVMIDPGTAAIPFPNSAIVAPGHFVPDSFEEQMMLAMSVSLADSQGRMTPHGLAWL >Ma02_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27443329:27453261:-1 gene:Ma02_g21970 transcript:Ma02_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIGRRRQVVDDKYTRPQGLYQHPDIDQKKLRKLILESKLAPCYPGSEERAIDLEDCPICFLYYPGLNRSRCCMKGICTECFLQMKPPDATRPTQCPFCKTSNYAVEYRGVKTKEEKSMEEVEEQKVIEAQIRIRQQEIQDEAKKLKKIQDISSSSRIMNPVEVEYCDIVPPLRCTTQTNDFVSSQASCSMPSGVLPSHSRQNRADNLDLDLDDIMVMEAVWLSIQEHGHQGYPAYLGSFLPGPSFSEECYNSHGIAPPEVSPYGGLACAAAALAERQHISVESAANMAGGATSVFDMVHRSGSLPAGNVSFAQNNHLGSWTAIPPDSGREILREQFGECSTDHWSDMAEAGTSYTGSNVMIDPGTAAIPFPNSAIVAPGHFVPDSFEEQMMLAMSVSLADSQGRMTPHGLAWL >Ma03_p08600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6319957:6324845:1 gene:Ma03_g08600 transcript:Ma03_t08600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALDATYYDDMSLNPEVIDPSKNEDMVEVSEHVNDHVQHSPKPNISVASSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCLGIYPYYCKLKHESQCIFRPYNCPYAGSECTVVGDIPYLVTHLKDDHKVDMHNGSSFNHRYVKSNPHEVENATWMLTVFSCFGQFFCLHFEAFQLGTAPVYIAFLRFMGDDNEAKNYSYSLEVGGYGRKIIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Ma03_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6319929:6324845:1 gene:Ma03_g08600 transcript:Ma03_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDATYYDDMSLNPEVIDPSKNEDMVEVSEHVNDHVQHSPKPNISVASSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCLGIYPYYCKLKHESQCIFRPYNCPYAGSECTVVGDIPYLVTHLKDDHKVDMHNGSSFNHRYVKSNPHEVENATWMLTVFSCFGQFFCLHFEAFQLGTAPVYIAFLRFMGDDNEAKNYSYSLEVGGYGRKIIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Ma03_p08600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6320916:6324845:1 gene:Ma03_g08600 transcript:Ma03_t08600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDATYYDDMSLNPEVIDPSKNEDMVEVSEHVNDHVQHSPKPNISVASSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCLGIYPYYCKLKHESQCIFRPYNCPYAGSECTVVGDIPYLVTHLKDDHKVDMHNGSSFNHRYVKSNPHEVENATWMLTVFSCFGQFFCLHFEAFQLGTAPVYIAFLRFMGDDNEAKNYSYSLEVGGYGRKIIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Ma04_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9405256:9411243:1 gene:Ma04_g12460 transcript:Ma04_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMAMRGNEVLRAHLGMALVQVTYGGYHVLTKSMLNVGMNEVVFCVYRDLVAISILAPFAFLQHRRNVGLQVTRRIFASFILLGFTGIFANQLLFLLGLSYTNPTYASAIQPAIPVFTFILAAVLGIETINLVTNEGRMKILGTLVCVSGAILMVFYRGPAIIGSGVYDLSDHVAVGMKPHPEPIGWLASGLLGVGLEKWHLGVLCLIGNCFCMAAYLVLQAPVLIKYPASLSLTAYSYSFGALMMVLTGIFTTSGSKEWILTMPEIVSVLYAGIVASAMNYGIMTWSNKILGPSMVSLYNPLQPAMSTLLSTIFLGSAIYLGSIVGGILIIVGLYLVTWARHKEARSSTSIPYVDHHAPLLEEDESLMKKQEASSSHSEIP >Ma06_p34230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34567881:34569813:-1 gene:Ma06_g34230 transcript:Ma06_t34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFSGSAPPAKVIFGKYELGRLFGRGASAKVYHARHVSSGHGVAIKVFANPRHHADGGSFIREILALRRLRHPYIVRVHELLASRSKVYLVLELAKGGELFSRVEDRGRLPEDLCRRIFRQLISAVTYCHSLGVFHRDLKPENLLLDDAGDLKVSDFGLAALGRTSSEGNDGNHPLHTQCGTPAYVAPEILSRKKTGRYDGAKADTCASHCVPKQGVILFVLNAGYLPFNDPNLSSLYCKIYRGHHHRPRWTSLALRRLIVRLLDPNPATRISIDGIRLRPLSDSHRPSRQTRSWTESSRKGEGLVVKRESEKGLSAVVEGQNGEFILRVEIYQLTNGVAVVEVEKGIGAAGRLWKEKLRPANLGL >Ma04_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2876304:2882226:1 gene:Ma04_g03730 transcript:Ma04_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAPESLANSRGVVRVQAPPVDSVSCYCKVDSGLKTIIGARKFVPGAKLCLQPEIMPNGPRSRNSRRERSRNQEPLVPGLPDDLAIACLVRVPRVDHQNLRFVCKRWNRLLSGNYFYSLRKKLGMAEEWVYVIKRDRSRRISWHAFDPIHQLWRPLPPVPTDYSEAVGFGCAVLSGCYLYLFGGKDPSNGSMRHVVFYNARTNKWHRAPDMIRKRHFFGSCVINNCLYVAGGKCEGGQRTLHSAEVYDPSRNRWTSIAEMNTGMVPFFGVVYDGKWFLKGLNSQCQLVSQVYIPTANTWSTANSRIITGWHIPSILLNGRLYASDCRDGCKLRVFERTTDSWNKFMDSKHHLGNSQVFEAASFVSLNGKLGIIRNNMSISLVDVTDPGNSVETNSARAWESVVGKGKLKNIVTSLWSSIAGRSNFKGRIVHCQVLQA >Ma08_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1684039:1684983:1 gene:Ma08_g02080 transcript:Ma08_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTCSSRVPDRSGGGWTWEENKLFELALIDFEEDTPDRWEKVAACVPGKTVEEVNIHYQRLIDDLNAIEAGRSSYYPSFASNSEDCYAPKQPSCARGKRSAPRAPSEHERKKGVPWSEEEHKLFLMGLSTHGKGDWRNISRNFVTTRTPTQVASHAQKYFNRQNSGGKDKRRSSIHDITIASLSHDELLAAARLRTPTPQAQATSTTLPRTFSLQRNDAAGVSNAPVRANQFMQHQFGAAPYGMTMQVQNPQDAGLHGNVVCDDSSLFQMLSDQQIHGPDFGQY >Ma02_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18958772:18959086:-1 gene:Ma02_g08680 transcript:Ma02_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGTGKSKLFIDLSAMFSGEVVNSDKIQVYRGLDITTNKIPVVERSGSNSFIHALLLERYDSRRNPFGPDGEPQRRVTGEEVERLRHRCCFLWVHVEAAVLA >Ma08_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37783711:37800353:1 gene:Ma08_g24700 transcript:Ma08_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGETSYGGMLCYIFLLFALPWCFAHGLEANQSALLTVDASPQSGQKIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPNTPSNIDPWSIIGQESFIYVSTDRTSCFPRNEVALRMEVLCDNTGSVICPSDGVGIYNPGYWGMNIEQGKTYKLILYVRSLNSINMSVSLTSSDGLQKLASASILADASDVSNWTRVELLLESVETNMNSRLQLTTTNKGVIWFDQVSLMPSDTYKGHGFRKELISMIEDMKPRFIRFPGGCFVEGEWLRNAFRWRETIGPWEERPGHFGDVWMYWTDDGLGYLEFLQLAEDLGASPIWVFNNGISHNDEVDTTAILPFVQDVLDSIEFARGSRDSKWGSVRAGMGHPEPFQLEYVAIGNEDCGKKFYRGNYMKFYYAIKAAYPDIKIISNCDGSSRPLDHPADFYDFHVYTSARNLFSMTNHFDRTSRDGPKAFVSEYAVTGSDAGTGSLLASLGEAAFLIGLERNSDVVEMASYAPLFVNTHDRRWNPDAIVFNSWQQYGTPSYWMQLFFKESSGAIFHPTKIQASFSGAALVASTITWQDPADNSKNLKIKIVNFGSDTVNLKISVSGLEAGINSLGATTVLTSGDLMDENSFDKPNKVVPASSKLPNTGTEMDVAISPHSITSFDLPLIPMEYRSAI >Ma07_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11161327:11162072:1 gene:Ma07_g14850 transcript:Ma07_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSEFLISSSLGTVTVSTPSSMAALTWSTLAVSGNRNRRRNLPRLRSTRCQVSVFSSSSLFRSPLIWRNRPSSISTFTSSFLSPGMSALKTCASGVSFQSMWVPTKAETSLGNRMEGRAREEEEPKGKPSIGSQRSREKGSNRLLCLEPDPEPRGITDILDLSHEATMLVPMEENGSQTTVASR >Ma05_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19698229:19715713:-1 gene:Ma05_g17270 transcript:Ma05_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTQEELLAAHLEQQKIDPDEPIVEEDDDDEDDEDDDDKDDDVEGQAGDAAGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPETSAMAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVTAIMELTN >Ma05_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6785555:6786743:-1 gene:Ma05_g09380 transcript:Ma05_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLNGYRLGFAVSVPLAGYFIANPRPAASASSAGAESRVAVGRSDVPVSSGGARNLKDEIHIQEIEEALANIIHGTSTTRTTTTTMAINSIQEHSESSKDSWDEDGSLLPQLKQPSPQDPESSPMKLTHGELGVEKVDMEQEIAYVQERDRSNLMDHLRELEDQLRIKMMKANSLSLQVESLNEEYQSPRIQDSAHLGAIGELESMEMMINRLLIG >Ma04_p27380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28563886:28569591:-1 gene:Ma04_g27380 transcript:Ma04_t27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTFVRLSIGSLGLRFSVDALKARRGAVHAYSKCFCEIRLRGFPIQTAPVPLISSPEASPDPDSNVILFYLEESDVKALALPRCFRTSQAYLDIVVYMGRQSSHCGVTGRKQQIGSLRLEIGPEWGLEKPALLHNGWMHIGQNKREAEKHGPELHLKVKLDPDLRYVFKFEDETTLSPQIVQLQGTIKQPIFSCKFIQDRRTSPLDMISGHWTNSNTENHDAERRERKGWKVMIHDLSGSAVAAAFMATPFVPSMGCDRVARSNPGAWLILRPDPVSSSESWHPWGRLEAWRETGPRDTVCLRLHILPEGQDAGILVSDVAISSDKGGEFYIDMDRQMPVGIPVGKPQGSTGEFCSASLAPRVGRFVMNCKVQGEGQCSKPLVQLAVQHVTCVEDAAIFMALAAAVDLSIKACRPFGRKSKKKGIFHSFPTLQG >Ma10_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22738136:22742662:-1 gene:Ma10_g08330 transcript:Ma10_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSVHLPLGFGVSPLLRCLPRFSGLASCSWSRISSSPEGLPLDVPYSRQHGVFRAFRMSSGGPGTSPDVDAALGSAVALPAIQMPKICFCHSCGNPTKQVIPDGEKKIRPVCVVCGKIHYQNPKMVVGCLVEHNDKVLLCKRKIEPSYGLWTLPAGFLEIGESAAEGAARETLEEACAEVEVLAPFAQLDIPRIGQSYIIFRARLKTPHFSPGPESLKCALFALDDIPFDSLAFSSIIVTLKMYIEDTKSGNLKFHYCTINKRPGASPSDPRGFDVDQCLHS >Ma02_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20506292:20508605:1 gene:Ma02_g11230 transcript:Ma02_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSQKAKKKCLPTRKPHFFKVLLGDFAQRLRIPPKFLKHISSVGSKKAILQGPSGSKWHVELGKDMKDTFLTTGWPKFVKDHSLREYEFLVFQYDGDMRFTVLIFDTSACEREDAFAVRPRRKPRQCEGKRKRGRPPKHSPEVGCTIKSEAIEHGMSLELLKDDVQLPNLLQIQPFQQAESGLPYPAPACDVKVKREESELLINILRNTTKRSKGGRISTRRPLTEKERLRAEEAANSFTSAFPYIVMRMAPSQVYRPYMRIPKWFSREHLPPKRSNLVLRDPCGKSWIVTYIPSLRCQISRGWSVFARTNKLEEGDYCVLELIGPIELRVHIFRAVEVEETLQIAMTPTT >Ma06_p31100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32278152:32290680:1 gene:Ma06_g31100 transcript:Ma06_t31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRPDSDAALPPSPSPATPSPYLRDVSNYNNPKTRLRNPNPNPLPPSSPLPIFFTASKRTPSSSAYAYSRRRCASGAAPASLSEAARRRLKVLELEQSRSSRKNQERREKALKSFAGSISAWLNFLFKDPDSCGCHIPSWFGDRQDGVASNGKRESLDGGAGLGGRWWSPKRRWDCSLRGTSDDDAWRRESAIFSLLKVSLKDVCSLEDMMERMEHFMSKKSCREVLFMMSQVCKSIDEGRLKMKAHCPLVTDLGLKEKATRTLMSYNPMWLRVGLHIIFGGDSLLLNEEGKSEQEYLFLKMMIEAHFFSQVDIAKSYAYNKLVDGLYRPGYYEALGGIILKRFLLLVASLDKAKCECSLPAKYGIDGVDGGSPLLFDHSCHIKSTQQVINEFLSQVMHGEGNLLQHLLTLGFKVNYQQIPLSEYDFNVQNLFEDIQDGILISRAIQLLQCDASILSKVIAPPDTSKKKLHNCNIALQYLKVVGVSLFDADGTQILAEDIVNGDKELTLSLLWNIFLKLQVPLLIERASLVGEIIKLKISCMDDPDYGSVTIMDLLLEWIQVVCERYNIKVDGLSSLIDGEALCCVIYYYSDVNFHGGFSSKENLDENNECVVKRLNFGRTGSSGNILSVQRIITIMGNFPEVLHISDIIGDVASFDERSMIILIVFLASLLVCRKNLDRGKMYCLMRWDWGQDVGPSTTCSPLSENGLFTSLKSQKNKMSKYSCPLQNGELNKYDTEEWAVKIIQSQFRRFIERNKFLKIKNATCVLQAAIRAWLTVAFGRKSYAVIGCSFSSLFTGQYDRYMKFMIERHKFIHMKTSVKLIQSSVRSWIVWRRHRAEIAPLESRKFSFNREPTCAELESFQQQTMAVVLIQSAWRGFSLRKHLLMKRSATIKIQSHWRAWYTRTNFMNMVKSVAKIQVGIRGMLCMKSFNRFKFAAIVIQQYTRGQLARNRLLGASSLQSSKLHFGSSSVTKLSKIKHLELKIVLCAVVRIQRWWRQVIMCRSQTIAVILLQSFIRGWNARKATNKLRYSIITIQRWWRNILFHELRKKSAHIVQAHVRGWIARQATSREKHCIVLIQSYWRGYLVRKHSRQQLFDLRCKLKVSDANVEDDVQLINRLVAALSELFCCKSIRYLRHTCATLNNATEHSEKCCETMVNAGAIDILLKQVRMLNRGIPDQEVIKHVLSTLRNIVRHPLLLQVFIDTPHSGEIIFQEVLRNKNEGYFVACDLLKRLCSTQEGRETIHKLHGHVRRLHVLAQDLQRKADLQKRRVGQAGRSDITLRRLREAVNLLQLILDKRS >Ma00_p02080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15274415:15276517:1 gene:Ma00_g02080 transcript:Ma00_t02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIIFLLFYDQGTFFICLFRDKDTQSKDASLIEFENQG >Ma02_p01620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10590077:10608441:1 gene:Ma02_g01620 transcript:Ma02_t01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRDWVYENNGGTCVAIAGTDYCVIAADTRLSVGYSIYTRDYSKICKLADKCVMASSGFQGDLKALQKNLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYTFNILGGLDSDGKGCVYTYDAVGSYERVGYSAQGTGAGLIIPVLDNQLKSPSPLLLPAKDAVTPLSETEAVDLVKDVFASATERDIYTGDKVEIMVINASGIRQELMELRKD >Ma11_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16288307:16296991:1 gene:Ma11_g12380 transcript:Ma11_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMEGPVLDDVIRRLLGGGGRQVKLFEAEIRQLCVEAKKVFLSQPNLLELHAPIKICGDIHGQYIDLLRFFEIGGFPPHSSYLFLGDYVDRGKYSLETICLLLAYKIKYPDKVFLLRGNHEDAKINRVYGFYDECKRRFNIRLWKTFCDCFNCLPLAALIDEKILCMHGGLSPELENIDQIRDISRPTEIPDYGLLCDLLWSDPDSDVQGWGESDRGVSVTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAHRRLVTIFSAPNYCGEFDNVGALLSIDENLLCSFEILKVATPGSFDALAKIPNKPSKGGKV >Ma08_p21180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35150832:35152714:-1 gene:Ma08_g21180 transcript:Ma08_t21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAFLVPMKRTEHVVVTPKPPLASRPKRRPGDVPAAAPRPRTVRIFCDDYDATDSSGDEDECCHSRLRVRRYVQEIRFDARPARVGATPAAEAGKKRKAGAAAAACGDGSVQTFRGVRRRPWGKYAAEIRDPWRRVRVWLGTYDTAEEAAKVYDSAAIQLRGPDATTNFARPPAGAATTTHPSPPPPAKKNLSENYLTSVSGGYESAEESHNLYSPTSVLRGFSSSSSCSTSAAKANDTEEKPKPPATGIASSSPVEPGGFLQFEEETLFDGLLSFFDDESAPVGVLAEDLSAAFIHDSGLDLLEPSSTWPAGDDFFADIGDLFPIEPLPAAN >Ma03_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27163253:27166793:1 gene:Ma03_g22420 transcript:Ma03_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWLAFSLSPHELPSSQPHQSHSPSAAVSHFISGDDVSTNCYDFSADSTSEPPMGIPTLRPDLSFCILEAFDRHHHHQTQDWNMKSFGGPSELSVLVGSSSNQNTMEEDEPKLEDFLGGNSISDHDRKVLPAALTSDEYMFSTSSFQAQDASMVSSDAHGGGLMSDGNGGSSSNSIGPSVIKTWLRNQSIPQQQANDMAGGGGSSCSSSAMVANVIGTLTNSQGLSLSMSTGSQSSSALPLLVRGVSGGGESSSSDNKQKGTAGGADAQTGPMEAVPRKAVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEDKAARAYDLAALKYWGPTTTTNFPISNYENELEEMKHMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESNMLPVGGAAKRLKDVGEHAEPGAIGRRAEDSRLAPRFDGTGGYGASHHQGWPTTVAFHQPPPLQPPRWGAQFPYGPTRGWCKQEVATAHGLQDLHQLHSGTNTHNFFQPSSVLHHSLVSVDASSLEHSTGSNSVVYGGSYHGGGYVMPMSTVVTDQSGAANQAGCGNLIDEEGTADPYSSSRNAYYLAHQLSSGNAKAREYEQNNAWMHAPALAMASRSNGMAADHGAPPFTVWNDA >Ma07_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12636976:12637209:1 gene:Ma07_g16110 transcript:Ma07_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASPPARRGGRGMMHMREKKPLQCLVLKKLRKLKKIVPGCRDVGLEALLRRTADYISFLELKVIVLKRIFDIHGV >Ma07_p15630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11783132:11791552:-1 gene:Ma07_g15630 transcript:Ma07_t15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPPILRCRTNLKASSPENCLNAKRNHDAKLQLGYLDELKAEGSYEFQENCQIGELGRTTSCKRNVSKHSCRFQLEQDVQRLQQLLNEEMELHVVLENALEHAAVTLSDLSCLPNDAQELLSNIASLEMTVSRLEEEMISLHFQLIQERNERRLAEYRLKQLPSQPVKVCPSQNVKNDTIGSMLDQEEKLPEENLQHVPTESFRKQIPVKGLWNNPNQLSEEIVRCMKNIFISLADVSIVSSRLSSDIIRFPLSPVGLLSNSSHCSISESSISSWAHSPRVGLQCNNDLLAMGTTFDPYRAHGKLCWADIGNYSLATEVSWMSVEKKQLEYAAGALRRFRSLIEQLAEVNPVHLKGDEKLAFWINLYNALIMHAYLAYGVPRSDMKLFSLMQKAAYTVGGHSFSAACIEYGILKMKPPVHRPQTALFLALHKLKLSEEQKKFSIETFEPLVTFALSCGSYSSPAVKIYSPANVKEELQEAQRDYVRASVGVSSKGKLLVPKMLYCFARGIVDDANLAIWISQFLPQQQAAFVQQCMSQRRQRFLGSCNFRVMAFDSRFRYLFLPEKLT >Ma07_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11783132:11791552:-1 gene:Ma07_g15630 transcript:Ma07_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPPILRCRTNLKASSPENCLNAKRNHDAKLQLGYLDELKAEGSYEFQENCQIGELGRTTSCKRNVSKHSCRFQLEQDVQRLQQLLNEEMELHVVLENALEHAAVTLSDLSCLPNDAQELLSNIASLEMTVSRLEEEMISLHFQLIQERNERRLAEYRLKQLPSQPVKVCPSQNDTIGSMLDQEEKLPEENLQHVPTESFRKQIPVKGLWNNPNQLSEEIVRCMKNIFISLADVSIVSSRLSSDIIRFPLSPVGLLSNSSHCSISESSISSWAHSPRVGLQCNNDLLAMGTTFDPYRAHGKLCWADIGNYSLATEVSWMSVEKKQLEYAAGALRRFRSLIEQLAEVNPVHLKGDEKLAFWINLYNALIMHAYLAYGVPRSDMKLFSLMQKAAYTVGGHSFSAACIEYGILKMKPPVHRPQTALFLALHKLKLSEEQKKFSIETFEPLVTFALSCGSYSSPAVKIYSPANVKEELQEAQRDYVRASVGVSSKGKLLVPKMLYCFARGIVDDANLAIWISQFLPQQQAAFVQQCMSQRRQRFLGSCNFRVMAFDSRFRYLFLPEKLT >Ma07_p22360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30340637:30347848:1 gene:Ma07_g22360 transcript:Ma07_t22360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGAYASLMSGFLLGLSVFAQLHLSSVAGSALTVHKECGSAGIWYSWSPDGYEFFVGRKKVDDSVSLCQELVSYFASGCSVCKSHAKEWERLGKDYCGLDLPVFAVEESDACSSGALFFCCSLLCPCLRSKRKDISEDPVLGGDSGSLDSFALRSNSERIPGTPLRVPPSPSRFSLSPQPSIVEPSHLSLSEIVKLTHNFSPSQVIGKGGFGTVYKAELPDRQVIAIKRAKKEHIAALQAEFRNEVELLTKIEHRNLVRLLGYTHNGNEQIIITEYVPNGTLRDHLDGKYGKILDFSQRLEIAIDVAHGLTYLHLYAEKSIIHRDVKSSNILLTEGFRAKVADFGFARTGPTEADQTHIETRVKGTAGYVDPEYLKTYHLTPKSDVYSFGILLLEIFSARRPVEIKRTYEERITVRWAFSKYNEGNEREILDPRLREVVGDEVLQKIFALAFQCAAPTRRDRPAMREVVEQLWEIRKDYGRSRRRT >Ma07_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30340637:30347848:1 gene:Ma07_g22360 transcript:Ma07_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGAYASLMSGFLLGLSVFAQLHLSSVAGSALTVHKECGSAGIWYSWSPDGYEFFVGRKKVDDSVSLCQELVSYFASGCSVCKSHAKEWERLGKDYCGLDLPVFAVEESDACSSVDLLRLEGREFLQPSSDAVTVDELKRKKEQQYILSSAPDNVMLTISGALFFCCSLLCPCLRSKRKDISEDPVLGGDSGSLDSFALRSNSERIPGTPLRVPPSPSRFSLSPQPSIVEPSHLSLSEIVKLTHNFSPSQVIGKGGFGTVYKAELPDRQVIAIKRAKKEHIAALQAEFRNEVELLTKIEHRNLVRLLGYTHNGNEQIIITEYVPNGTLRDHLDGKYGKILDFSQRLEIAIDVAHGLTYLHLYAEKSIIHRDVKSSNILLTEGFRAKVADFGFARTGPTEADQTHIETRVKGTAGYVDPEYLKTYHLTPKSDVYSFGILLLEIFSARRPVEIKRTYEERITVRWAFSKYNEGNEREILDPRLREVVGDEVLQKIFALAFQCAAPTRRDRPAMREVVEQLWEIRKDYGRSRRRT >Ma07_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8392137:8394681:1 gene:Ma07_g11270 transcript:Ma07_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNMDLLAGKGRKRKAQEEAHTGPAFFLDELNQDLLERVLSCLPASSFFRLSSVCKRWRSVATSETFHIACSQILRREPWFLMVDHDLDQYIVFDTSERNWKSLNHQTHIPQSHSCKPIPVAASGGLVCYRTDSGNFLVFNLLTGSCRELPPGSPDGESQTLHAIAMYSSPTYPSSFKIILVLGKSPNLAFRIFDSTRSTWEDEVMLIQKGESSSESHIAGDEIIYFLSKAGDVVATNMQRSASKQYSSVLIMENGEQVIYFLSESGTVVACNLAQKTFFEYPRFLPIYFEYSIDVVECKGEMLVVVLSEFLETASLRVWKFSKESQSWQQVAAMPPSMSHEFYGQKMDINCTGCQEIIFICASSSECSRHIMFDMAVDEWVELPKCYVDGKAKEFTAAISFEPRPEATV >Ma02_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17913041:17917411:1 gene:Ma02_g07090 transcript:Ma02_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTAAAGDADEWIHDACLHPHLVAAVLLSFRRRSRLDSETECDEKPSTSTTPVPTALLEWGRKRSRMMRLPRTLSWTMGEEEEVAAAAAKRKGKRRASPQSPLEGYSSASASGGDDGARAPGSACLAAATPDDRLTKVVGNPRAPSVSSIPASSSIQRPPSKKMTKPELQAVERTLLEERAKLHKEMEDLRRAVEVLRADNCKMQMHLESPKIPVKVHVVLEDVQFPGICQHITPYSLPGRKDLLMIPDLNDPLPDC >Ma08_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3224138:3226390:-1 gene:Ma08_g04630 transcript:Ma08_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVLPEQVCYVNCSFCNTTLLVNVPGSNSSNVVAVRCELCANLLSVNLLEALLGKLPLQSLQNHNTATRHHRMDCESSSICTSLSAMNSVDHVQQQMQLIQPTEKRRVPSAYNRFIKEEIRRLKTKNPNISHKEAFSTAAKNWAHFPEIHCGLSIKGNKQV >Ma10_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27519349:27519768:-1 gene:Ma10_g15450 transcript:Ma10_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFVVAFAVAMTTSLALSVSSAEADERPAAAAPASLSRGIYSVIAQYNPTRGSMTCDRFPRVCRASGSPGPDCCRRQCVNVMSDNQNCGQCGTKCRFGQACCGGRCVNVMYDPKNCGGCKKRCKKGSFCQYGMCSYA >Ma05_p10430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7526267:7527710:1 gene:Ma05_g10430 transcript:Ma05_t10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKANVKKGPWSPEEDTKLKEFIEKYGTGGNWIALPHKAGLRRCGKSCRLRWLNYLRPNIKHGEFSEEEDRVICSIFAAIGSRWSIMASQLPGRTDNDIKNYWNTKLKKKLLGITPFQRKPHRRNNHHHQNQHFSPSPSSSSQGAGSESFPIISQVMPRSTPVISSDFFQVPYHQYQMKSSSNMNTVSIIKDLNYGYGGGEEQMSIHKESKLFLLGGGCQVETGLEYSLEEIKQLLISNSVCNNNNHNLYLDHPIANGKMENSKLQHAPDFRL >Ma04_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23427434:23430452:-1 gene:Ma04_g20650 transcript:Ma04_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFSRPFRKKGYIPLTTYLRTYRIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIKKRIHVRVEHVQPSRCEEDFRARVKKNDQLKAEAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Ma09_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6019488:6024079:1 gene:Ma09_g09060 transcript:Ma09_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVTKLLRSFLSRSAGLLWRRKEVVSSDTEDGDYEGGNRTPPSVAAAECYACTQPGAPAFHSTTCDRAHSPEWEASAGSSLIPIRPSQTNVLRSLRPGKSGASLLGGQVLDPRSEKVRRWNRLILLARAVAVAVDPLFFYVLSIGRRGAPCLYMNGGLLAIVTALRTAADAVHLVHVWLQFRVAYVSRESLVVGCGKLVWDARMVAVHYLRSLRGFWFDVFVILPVPQAVFWLVVPKLIKEDKIKLMMTILLLIFVFQFLPKVYHSICVMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLQQQCEQKNDCDLVSLACSKEVCYPFTLRSGMAASACEGNLMASTGMQNTSVCLSGNGPFPYGIYNWALPVVSSSSLAVKILYPIFWGLMTLSTFGNDLEPTSHWLEVIFSIVIVLSGLMLFTLLIGNIQVFLHAVMARKRKMQLRSRDMEWWMKRRQLPSRLRQRVRQYERQRWAATRGEEEMEMIKDFPEGLRRDIKRDLCVDLIKQVPLFHNLDDLILDNICERVKPLVFSKGEKVIREGDPVQRMVFVVRGHLKSSQCLSKGMVATCMLGPGNFLGDELLSWCLRRPFVDRLPASSATFECLEPAEAFGLDAPDLRYITEHFRYKFANERLKRTARFYSSNWRTWAAVNIQLAWRRYKTRTGGAANGPPEQRDGERRLRMYAAMFMSLRPQDHLE >Ma11_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26169892:26171324:-1 gene:Ma11_g22380 transcript:Ma11_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLGSSCIANDERWSLAGATAVVTGGTKGIGYAIVEELARFGAAIHTCSRNEAELNKCLKEWGAKNFKVTGSVCDVSCQADREKLMEDVKSTFGGKLSILVNNAGTGFVKPMVAITPDEYKFMMSTNLESAFHLTQLAHPLLKASGAGTIVNVSSLAGIVGIDNITIYGATKAALNQLTRSLACEWAKDNIRTNCVAPGSVRTPLMEPLLAIEEFVAKETYRIPLGRVAESEEVSAVVAFLCLPAACYINGQVICVDGGKSVNGNL >Ma05_p31490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41383316:41384761:-1 gene:Ma05_g31490 transcript:Ma05_t31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPVYLDPPNWNQQQQLQLPDAAGGGGEIPQLLPGLAAQRPEAGMAAAGSPRPMSMTERARLAKIPQPDQPLKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRNKPSGSSSKSTAADRQAGASSSSSTAAGGGGPIPPSIPQPGHFPFLASTMHALADYGASNLGLNFTGIPTIDAVDYQAGSSSSLGLQQWRLPHIQQFPFLGGLESAQAPSVQPLSGLHHFNGDSGNNRITSRVLPTVSGSSLISQLASVKMEDNSQGLNLARQYPGLPGNEHYWISGEGGSTGSGGWATDLSGFNSSSSGNIL >Ma08_p32910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43459430:43461601:-1 gene:Ma08_g32910 transcript:Ma08_t32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLLFALLLLLVLLGTKRAFSKKRRLPPSPPKLPLLGHLLQLGSLPHRSLSALSEKHGPLMLLHFGHVPTLVVSSADMAEEVMRTHDTSFASRPDLKPVRTILYDTHDVGFAPFGDYWRHTRKLCTIHLLSGKRVKSYRALRQEEVAFMMQKISRASLSSTSGFIDMSKVINSFVTDIVGRVVSGTSFRAADRCQLFSKLIDDNGVAFRELCVGELFPSLGFLDGILGLNPTAKKVAKEWDVALNEMIQDHVERLNRDEVQERDFVDELLDVVDKPEKDFCLTMKQMKAILWDMFSAGVEASFTALEWAMAELVRHPETLKKLQDEVRGLAAGKDMVKEDETHDMVYLKAVLKEVLRVHPPTPLLLPRESIEDCQIQGYHIPKKTRVLINAWAISRDPKHWDAPEEFRPERFMSSDLDFKGKNFDFTPFGSGRRICPGMQLAVATLEVALANLVHQFDWELPLGMTRDEFDMTESPGLTARKKEPLHLVAKPWGQIPLE >Ma04_p22160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24524547:24526119:-1 gene:Ma04_g22160 transcript:Ma04_t22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSVEVEQPPAKDYSDPPPAPLLDFGEVRLWSFYRALIAEFVATLLFLYVSIATVIGHKEQNAADQCSGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIVAQCLGGIVGVGIVKGIMKHQYNSLGGGANVVATGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQDKAWDDHWIFWVGPFIGALAAAAYHQYILRAAAIKALGSFRSNPSN >Ma02_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27717611:27723129:-1 gene:Ma02_g22360 transcript:Ma02_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFDEGEDNFFDAYDEIRTSIDSSSSDNSLITDQVLELQRTEYELWTKEPISVDDRRKRFFRGMGFDELVQSPVGCSMDTEDSTIGSSEEKMDAKRIMTTSDVVSNSLSSPDVGEPEDSFCCIKDLDSGRQFMVHEFGQDGFPSMFKELGSEKLMTLQEFEIFLGLSRSVQKLSRKDVVLFREKTICSHDTKKNNYLNWWRSFTKRRHRVGASNYNVSVKKTRLTRSMRTKVHRYRKNCMDFTALYMGQEVQGHKGLIRAMKFSPSGRYLASGGEDCVVRIWQIIEAEDSCKCVTTDGSSRFVGKVKGTKLVEGKASNVAPVFIPKRIFKIEESPLLELRGHTSDILDLSWSQSNFLLTSSKDKTVRLWKVGSDGCLKIFQHNNYVTCVQFNPVEDRLFISGSIDGKVRIWDILENRVIDWVEIGDMATAVCYRPDGKGFVVGSIKGNCRFYDCSAKTMQLDLQFSLCSKKKSSGKPITSLQFCPEDYKRIMITSADSRIRICNGVDIILILKGHRKAKNQLYASFSSDGRHVVSVGEDSNVYIWSYDVSGNLPCRGPKLIRSSEFFFSKGASIAVPWPGMGCNDREAIVNNSNHISSQPQKISEQVCRLKNPDCLSLGAWPFSDGSSRVSATWPEEKLSLQTKPRLLPEDCHQQYHHLHHDYWSLTSMASTWNSVIVTAGDDGAIRSFHNFGLPIRL >Ma07_p27130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33625726:33628005:1 gene:Ma07_g27130 transcript:Ma07_t27130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVERLTSGFERFKKEVYENDPTLFSQLAQGQSPKFLVFACADSRVCPSVVLSFQPGEAFIVRNIANMVPPYDQTRYSGVGAAVEYAVVHLKVEYIVVIGHSRCGGIKGLMSMKEDGTTSSDFIEEWMKICLPAMEKVKAEHSALPFTDQCTQCEKEAVNISLENLKTYPFVTEEVEKNTLKLIGAHYDFVAGSFGTWEI >Ma07_p27130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33625749:33628005:1 gene:Ma07_g27130 transcript:Ma07_t27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEMDPVERLTSGFERFKKEVYENDPTLFSQLAQGQSPKFLVFACADSRVCPSVVLSFQPGEAFIVRNIANMVPPYDQTRYSGVGAAVEYAVVHLKVEYIVVIGHSRCGGIKGLMSMKEDGTTSSDFIEEWMKICLPAMEKVKAEHSALPFTDQCTQCEKEAVNISLENLKTYPFVTEEVEKNTLKLIGAHYDFVAGSFGTWEI >Ma02_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26386112:26389689:1 gene:Ma02_g20380 transcript:Ma02_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGSMRKSFKDSLKVLEADIQHANTLASDFPTDYDGACLQMRMSYSSAAHLFLFLVQWTDCSLAGALGLLRIMIYKVHIDGSTTMSTHERKASIKEFYAVIFPSLLQLQKGISDMEDKKQKAVCLERYRRRDDNERKQFSEIDAEREEECGICMEMNSKIVLPNCSHVMCLKCYREWNSRSQSCPFCRDSLKRVDSGDLWVYVDHRDVVDMAMLMRDSIRRLIMYIKKLPVVVPESVIDAYDSHVR >Ma04_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17718304:17802878:-1 gene:Ma04_g17440 transcript:Ma04_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYVTLPIFIVLTAIGYLYYTTLFVLIDRWLGLSTAAGFANAAVFTALAVVVVVTYGIAVFKDPGRVPNSFSPDIEHSESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRTCKRCVLRMDHHCVWINNCVGHENYKAFLIFVFYGVIACIHSMVLLVGSASYALHNDQEHNAGFFNISYIICGILLLPLTIALIVLLGWHVYLILQNKTTIEYHEGVKAMWLAEKVGNVYRHPYDLGIYENLMWKEPLVTPKPCRGLGSTRRGLWQLAAVVALLGAVSPRVTTRREATGTRSGRGDRRLRQGRPTTDGGEGGNGGGVAGSSITNDRQGGEVEWLRLRCKGRWAAVEKEAALVVVAPS >Ma09_p28410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39185136:39189972:-1 gene:Ma09_g28410 transcript:Ma09_t28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCCCSAEAASLHHQPRCNDNYKRELISSPAQRMTPSPHSTTSLGSRRQLCLRPKEWEEETMASSSAAHQTLLLTLFLSFVSALSSSSETDLLLTFKSSMEDPTLALSDWSPNSTNHCNWTGITCSKTTSLVTSVDLHGLNLTGDISPSICQLRQLSDLNLANNFFNEAISLRLSECTRLVTLNLSNNLLWGTFPDQIVLLSSLSTLDLSRNRIEGQIPVRLGSLERLQVLNLGSNLFSGIIRPPVFRNLSELVLLDLSQNPSLASELPREIGGLAKLRWLKMQRSGLYGAIPESFIGLHELEVLDLSQNNLTGKIPLGFGLGFLNLTALDFSQNMLSGSFPADVCYGKSLKQLSLLENSFTGPIPYSIEKCLSLERFQVQDNGFSGELPSGLWSLPELKLVRAENNQFSGEMPDLAGVLSHLEQVQIDNNSFTGRIPGGLGMIRTMYRFSASLNGFSGDLPADIFDSPVLSIINLSHNSLTGSIPELRSCRKLVSLSLADNSLTGNIPPSLGHLPVLTYIDISSNRLSGEIPPELQNLKLALFNVSFNQLSGGVPTSLVSDLPASFLQGNPDLCGPGLPNPCNGPLKRRNSKTIGLFWAAIVVSIAVGFTVLIVGLYVVCRILRGKPRSGTWKSVFFYPLGITEEELLMALVEKNVIGEGAFGKVHILQLPGGEFVAVKRLLNSSNLSFRIVKAEIKTMAKARHRNVAKLLGFCYSKGTILLIFEYLKKGSLGDALHKPGFSLEWSFRLKLAIGSAHGLLYLHKDYVSQILHRNMKSNNILIGDGFEPKITDFGLDRIIGEISYRSSVASELGSYCYMPPEYGCSKKPTEQMDIYSFGVVLLELVTGRPAEQPEVRESLDVVKFVRRKVNMTNGALQVLDPKISSSAQQEMLEVLELALRCTSILPEKRPAIVEVVRSLQSLEPIAHPPMFSSELPSAE >Ma05_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24900709:24903616:-1 gene:Ma05_g18750 transcript:Ma05_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVWSPIRRIALLPPSRTTDYSRNLHPLLPFPKRPASHKARCFLTFESGRGVPPRPLAGANLCSSPFAPTLSRFTSVSSSHAVSGSGGGGDGVDGGSGGGGGDGGSSGGETVAKPVAGDLEDVPALGADVIVLHVGGMSCGGCAASVKRILESQPQVSSAIVNLEKEMAFIWTVPEAKVVQDWQQELGEKLAKHLTTCGFKSNLQDGGSGSSPA >Ma08_p26550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39080262:39090700:1 gene:Ma08_g26550 transcript:Ma08_t26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCRPPVLGLGAVGLCFPTKRCLIQSSKIPNSPLKPLSSRISFTSDLPGRARNQLNTRSLLSGANPSSTATSAPAQQGEEVEILPATNDNHGGVIVEMKDPMDSRTFAFSLRASLEIWRQQGKKGVWVKLPIELSNLVQPTVEEGFRYHHAEPSYVMLVYWIPDTENTLPVNATHRVGVGAFVMNDKREVLAVQEKSGKFRGSGVWKFPTGVVEPGEDISAGAVREVKEETGINTEFIEVLAFRQSHKSFFNKSDLFFICMLRPLSFDIRKQDSEIEAAEWIPIQQFAAQPFAQKHELLKYIINLGLAKAEKSYAGFSPICIKSAFTSRRSCLYLNTRDLNQPS >Ma08_p26550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39080262:39090704:1 gene:Ma08_g26550 transcript:Ma08_t26550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCRPPVLGLGAVGLCFPTKRCLIQSSKIPNSPLKPLSSRISFTSGRFCFVASFRFDLPGRARNQLNTRSLLSGANPSSTATSAPAQQGEEVEILPATNDNHGGVIVEMKDPMDSRTFAFSLRASLEIWRQQGKKGVWVKLPIELSNLVQPTVEEGFRYHHAEPSYVMLVYWIPDTENTLPVNATHRVGVGAFVMNDKREVLAVQEKSGKFRGSGVWKFPTGVVEPGEDISAGAVREVKEETGINTEFIEVLAFRQSHKSFFNKSDLFFICMLRPLSFDIRKQDSEIEAAEWIPIQQFAAQPFAQKHELLKYIINLGLAKAEKSYAGFSPICIKSAFTSRRSCLYLNTRDLNQPS >Ma10_p07830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22139325:22144103:1 gene:Ma10_g07830 transcript:Ma10_t07830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYARAISPERTKVWAEPPPKHHHWQQQGRKVPVVYYLCRNRHLDQPHSIEVPLCSPEGLYLRDVVDRLNVQRGKGMAAMYSWSCKRSYKNGFVWQDLSGDDLILPVQGTEYVLKGSELLDQTPPDRDNHSVSNVKTQNPKHRPQETPICYKGQEASCSSSSKAVVINEAKLPTPSPIQPPPPSIQEDELSPSTRGSGSSKNFSPEPGGRTGPSLVTVSPKPSDYRICKPFQAQDASTQTDNEEKRRKEGSNTRIRLPEIQHSESQNEQTMCLNQEPEIVKVESSPPPPTFASVPSSSCGKTNTLESLIREEANKRNNLRNMEAEDVFLPTGPKSKATNMLIHLITCGSISVKDRYSFGFVPTYRPRFGDNKFTSPMFANSIVPDGIDCLLESQRDIVASLTKKEVRSRSMLRTKRNCNMPYWRRDKEKTVDSAQSKCLPMNIKITSCKHSRAHQNESMMSPRLDIRKSSAGPDICNSSPLNSSNGGSKRTIDSSSIKGSSMRLESFRELKDKMIKIEERLTSGARIIIESRYKSDDSEDSSD >Ma10_p07830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22139325:22144103:1 gene:Ma10_g07830 transcript:Ma10_t07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYARAISPERTKVWAEPPPKHHHWQQQGRKVPVVYYLCRNRHLDQPHSIEVPLCSPEGLYLRDVVDRLNVQRGKGMAAMYSWSCKRSYKNGFVWQDLSGDDLILPVQGTEYVLKGSELLDQTPPDRDNHSVSNVKTQNPKHRPQETPICYKGQEASCSSSSKAVVINEAKLPTPSPIQPPPPSIQEDELSPSTRGSGSSKNFSPEPGGRTGPSLVTVSPKPSDYRICKPFQAQDASTQTDNEEKRRKEGSNTRIRLPEIQHSESQNEQTMCLNQEPEIVKVESSPPPPTFASVPSSSCGKTNTLESLIREEANKRNNLRNMEAEDVFLPTGPKSKATNMLIHLITCGSISVKDRYSFGFVPTYRPRFGDNKFTSPMFANSIVPDGIDCLLESQRDIVASLTKKEVRSRSMLRTKRYTEETGEEISNLKLSSSFDEARNCNMPYWRRDKEKTVDSAQSKCLPMNIKITSCKHSRAHQNESMMSPRLDIRKSSAGPDICNSSPLNSSNGGSKRTIDSSSIKGSSMRLTSGARIIIESRYKSDDSEDSSD >Ma10_p07830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22139325:22144103:1 gene:Ma10_g07830 transcript:Ma10_t07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYARAISPERTKVWAEPPPKHHHWQQQGRKVPVVYYLCRNRHLDQPHSIEVPLCSPEGLYLRDVVDRLNVQRGKGMAAMYSWSCKRSYKNGFVWQDLSGDDLILPVQGTEYVLKGSELLDQTPPDRDNHSVSNVKTQNPKHRPQETPICYKGQEASCSSSSKAVVINEAKLPTPSPIQPPPPSIQEDELSPSTRGSGSSKNFSPEPGGRTGPSLVTVSPKPSDYRICKPFQAQDASTQTDNEEKRRKEGSNTRIRLPEIQHSESQNEQTMCLNQEPEIVKVESSPPPPTFASVPSSSCGKTNTLESLIREEANKRNNLRNMEAEDVFLPTGPKSKATNMLIHLITCGSISVKDRYSFGFVPTYRPRFGDNKFTSPMFANSIVPDGIDCLLESQRDIVASLTKKEVRSRSMLRTKRYTEETGEEISNLKLSSSFDEARNCNMPYWRRDKEKTVDSAQSKCLPMNIKITSCKHSRAHQNESMMSPRLDIRKSSAGPDICNSSPLNSSNGGSKRTIDSSSIKGSSMRLESFRELKDKMIKIEERLTSGARIIIESRYKSDDSEDSSD >Ma01_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20968735:20971447:-1 gene:Ma01_g21320 transcript:Ma01_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGGGGLIDQKQFERQMGCMAGFLHLLDSHQILPRKRFPPSRAAGSTLPLERSDASKEKERQRRSPSVVARLMGLDALPDVAAAGRAELLRSVSESRVVSDPADCPFLDGGSFPKSKSQARRPLLPALQRKSFFDTAGVFTEPKRWESLPVESGKRRLMRGMDEAARNLKTLKQILEAFQLQGVLHSKPSDHQINDRSDHLHDDRYRCSHNSRNVVIEPASTPPQRPPSGPRPPLPPGPGVTRRNPKVESATRPVRCYRRADRTPTGSHEPENWATSSTAKQRSSIALASGKSSSPRRRISAVNPTKITPNQIGQSPVIGRLLPNQRPKQEVIFKRKTRSLAEDDTSGTTHSPLPVDRSPEDCRAGWQLLERCDRLLQSITAFTVTEQVAATAEQQPCPVSVINSPLLGEESSPCSPVSKRSDNSQGDQLTEREDDAIASYSGRGREAPEEEVDDADYVYVAEILRATGSHADTTDAYDLLEKRSSGSPECSKDTRLRRLLVFDAVAEILDRKRCLSPPPWESFVLPGSLSTVAPGGAAHLLPEVWAELRRAGRQAMAGDLSSVTCWAVRRDLFVETLDVWARPAAEVADAVFQIERQIFKDLVSATISDLANAMPRAIRSRSKRLF >Ma03_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14597157:14598847:1 gene:Ma03_g14800 transcript:Ma03_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLNCAQGVNMVNGRNQQQEKPTHEATAAAALRCPRCDSANTKFCYYNNYSKLQPRHYCRACRRHWTEGGTLRNVPVGGSRKKKRPRTARTAADGTADDHGNGSAISSNYKIPESEDSHSSSGFTDTSYQVILPRPTPSLPTSQYEDSHGTGEISMVESWAMSDPPFPTEYHGAAPEEPDILGSYHETGHPFSSFSSPSCSSSLYDYAGQYYFPADEGLFAMEISTTNPPASNLSHWDDIIDLVNLELKPPASDRVDHTLSY >Ma03_p14800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14597157:14598847:1 gene:Ma03_g14800 transcript:Ma03_t14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLNCAQGVNMVNGRNQQQEKPTHEATAAAALRCPRCDSANTKFCYYNNYSKLQPRHYCRACRRHWTEGGTLRNVPVGGSRKKKRPRTARTAADGTADDHGNGSAISSNYKIPESEDSHSSSGFTDTSYQVILPRPTPSLPTSQYEDSHGTGEISMVESWAMSDPPFPTEYHGAAPEEPDILGSYHETGHPFSSFSSPSCSSSLYDYAGQYYFPADEGLFAMEISTTNPPASNLSHWDDIIDLVNLELKPPASDRVDHTLSY >Ma06_p29660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31036147:31043229:1 gene:Ma06_g29660 transcript:Ma06_t29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKMFLNGATSAASLFTQRGRKGINQDAMLVWENFGDRSDTVFCGVFDGHGPNGHKVARKVRDILPLKLRASWEVYIGNDECRENNISSTRSMNSEETSSMNLNEESKASVGFEERVKHSETLTILKDSFLKAFRIVDKELRLHPDIDCFYSGTTAVTLVKQGQDLVIGNVGDSRAVLGTRDQHNTLVAVQLTVDLKPNLPREAERIRRCRGRVFALRGEPEVARVWLPNIDSPGLAMARALGDFCLKNFGLISVPEISYRRITEKDEFIVLATDGVWDVLSNREVVKIVSTVSARSSAARILVESAVRAWKLKYPTSKIDDCAVVCLFLGIDSSNDASIAKASEVGLPLDAIEIGHDKQEPAGPTCLNQSGTIRARTANYQDKEATKDHV >Ma00_p00420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1201665:1209943:1 gene:Ma00_g00420 transcript:Ma00_t00420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVKLHRESYLGGRLPAYDGRKSLYTAGPLPFTSREFQILLVDEDDGSGTERRQRTFRVVIKLAARVDLHHLDMFLSGRQADAPQEALQVLDIVLRELPTTRYFPVGRSFYSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLSRDVRARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVQYFQETYGFTIQHTNLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQERELDILQTVHHNAYHEDPYAREFGIKISEKLASVEARILPAPWLKYHDTGREKDCLPRIGQWNMMNKKMVNGGRVNNWTCINFARNVQERVAREFCHEVALMCQTSGMDFSLEPVLPPLSARPDQVERALKAQYHDAMSLLQPHGKELDLLIVILPDNNGSLYGDLKRICETELGLISQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLMDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQLHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKKATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHNDHHSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMVSGAVGRGAPTQRSTRVPGGAAVRPLPALKENVKKVMFYC >Ma00_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1199300:1209943:1 gene:Ma00_g00420 transcript:Ma00_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTELPSAGESSESQESSGRSGRAGPQRPLERAPAMQQQGRGAGRGWVQHGQQSRGFGSYYQGRGSGQPHGGSAPQQSRGPSPGYEGQGLAQPRGGIPHQHYGRRSSGSMTTGRVAGPSAAGPSRPLAPDLHQASSQASSSQQSEASSIQQQFQQLSIEGETASQTIQPVVPVAPSSKSLRFPLRPGKGSYGVKCVVKANHFFAELPDKDLHQYDVSIIPEVTSRGVNRAVMEQLVKLHRESYLGGRLPAYDGRKSLYTAGPLPFTSREFQILLVDEDDGSGTERRQRTFRVVIKLAARVDLHHLDMFLSGRQADAPQEALQVLDIVLRELPTTRYFPVGRSFYSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLSRDVRARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVQYFQETYGFTIQHTNLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQERELDILQTVHHNAYHEDPYAREFGIKISEKLASVEARILPAPWLKYHDTGREKDCLPRIGQWNMMNKKMVNGGRVNNWTCINFARNVQERVAREFCHEVALMCQTSGMDFSLEPVLPPLSARPDQVERALKAQYHDAMSLLQPHGKELDLLIVILPDNNGSLYGDLKRICETELGLISQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLMDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQLHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKKATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHNDHHSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMVSGAVGRGAPTQRSTRVPGGAAVRPLPALKENVKKVMFYC >Ma00_p00420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1199300:1209943:1 gene:Ma00_g00420 transcript:Ma00_t00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTELPSAGESSESQESSGRSGRAGPQRPLERAPAMQQQGRGAGRGWVQHGQQSRGFGSYYQGRGSGQPHGGSAPQQSRGPSPGYEGQGLAQPRGGIPHQHYGRRSSGSMTTGRVAGPSAAGPSRPLAPDLHQASSQASSSQQSEASSIQQQFQQLSIEGETASQTIQPVVPVAPSSKSLRFPLRPGKGSYGVKCVVKANHFFAELPDKDLHQYDVSIIPEVTSRGVNRAVMEQLVKLHRESYLGGRLPAYDGRKSLYTAGPLPFTSREFQILLVDEDDGSGTERRQRTFRVVIKLAARVDLHHLDMFLSGRQADAPQEALQVLDIVLRELPTTRYFPVGRSFYSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLSRDVRARPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVQYFQETYGFTIQHTNLPCLQVGNQQRPNYLPMEVRTPYYLLLWIMIFLINIPFRFIDYKSIYNKITKIICADFFIWFKVCKIVEGQRYSKRLNERQITALLKVTCQRPQERELDILQTVHHNAYHEDPYAREFGIKISEKLASVEARILPAPWLKYHDTGREKDCLPRIGQWNMMNKKMVNGGRVNNWTCINFARNVQERVAREFCHEVALMCQTSGMDFSLEPVLPPLSARPDQVERALKAQYHDAMSLLQPHGKELDLLIVILPDNNGSLYGDLKRICETELGLISQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLMDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQLHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKKATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHNDHHSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMVSGAVGRGAPTQRSTRVPGGAAVRPLPALKENVKKVMFYC >Ma08_p18710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32271122:32272954:1 gene:Ma08_g18710 transcript:Ma08_t18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPHADSVGPVAHGEREMPTSTPDRYWRLFNDLGMPPPLPAVGPPAVPPEAFFALAKQVQGIAEIMQTVVPLISEIRRLTNASADPTQQRSSTGQDATGETRDGAVHHESSPARASPAPSRAARRRPEPDSVSSDSADSFIKVQFSQVNRRLDEFRRELQKSRDESNEGTSGGSPFVQEIQEKPVPLNFRVPALETYDGGSDPAEHVAAFRTQMALYGTSDALMCRTFPTTFRGPARAWFSRLRQSSITSFDQFAKEFEQSFLTSAWPRPPIAALLALSQHGEEMLAQFVTRFATEIRGYPDTHPSLIMQAFLTGLKPSRFFWSLIEKPPATVLEMLHRANQYVAGEALAAGRRTVGKKPRIEQSRAATSSVDPQPHRRLDHPEQRLPRPPPLPLNTPRIEIFLQIREKGLLRPPNPMRATYKNRSKYCRFHRDHGHDTEDCHDLQNQIEELIRRGYLGRYLKEPREATPRPQMPVEKQVDVIIGRPAAGGGSSSARKSYARSSVEKRPRPELEPEISFGAEEGERSHHDDALVISIQIANARVKRVMVDTGSSADVLYLDAFKRLGLPTEDLIPKARPSRDSPETQSPRSAPPHSLLPLGRNQGPGQ >Ma05_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36880663:36886284:-1 gene:Ma05_g24670 transcript:Ma05_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVGAQVAPPIFFHHRQALPGPFHETPLLLKKRDFPWKNNPSFQHNQQQDSRQRLMGASLPDPSGNWNPKMWDWDSERFVAKPSSAASEILSLGSQPASAAAAVADKGDGGPKDSVLGRNLEEDDQNLALKLGGRAYSADEPTTRPSKRVRSGSPGSGCNYPMCQVDDCRADLSSAKDYHRRHKVCEMHSKTAKALVGKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDASSRLLLPRNQQNMTNGSLDIVNLFAMLAHLQGNNQVKPTSIHPLPDRDCLVQLISKLSASNNANPSARSSVPEGFDLNVSQVPAQASFGQSPKANGDENSPSKMNLLAVLSAALAASTPDAATSLSQGSSESSGNDKNKLQNVEPSSHSNSTNVCSYVGRLSNNCISQSRVDVPQQTVEQARKNLPLQLFGPADNDSPPELGSATKYLSSESSNPMEERSPSSSPPVTKKLFPLHSTMDMVKYSQASECQEDKATVDLSSSHGGIAPLVLFKESETRVVNGTIQNLPYRVGYKSSGSDHSPSSSNSDTQDRTGRIIFKLFGKDPGSFPETLRAQVLNWLSNSPSEMESYIRPGCVVLSIYLSMPSIAWNALEDNLLQRVTSLVQDSETEFWRSGRFLIRTNRQLVSHKDGKIRLSKTWRAWSAPELMCVSPVAVVGGQETSLALKGRNLTVPGTKIHCTYMGKYMSKEVLCSAYPGTIYDDSCVERFDFLGGSPNVYGRFFIEVENGFKGNSFPVIIADDSICQELRALESDFEEDVQTPDAIPEEEVHNSVRPRSREDALHFLNELGWLFQRTQASCSPLFADFSSTRLKYLLTFSVERDWCALIKTLLDILVERSLRNDTIKQESLKMLSEVELLNRAVKRKCRKMVDLLLHYCVSHGQDVTKVYLFTPNMSGPGGITPLHMAASMQDSEDMVDALTNDPQEIGLKCWNSLLDDNDQSPFMYAMLRNNLSYNRLVERKLADRANDQVTILVEGGEISIDGSWVGGSNRHGAQNSQLRSCAQCALVGTARLRRNARSKGLLQRPYVHSLLAIAAVCVCVCVFFRGAPQIGSIEPFKWENLDFGPR >Ma05_p24670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36880663:36886284:-1 gene:Ma05_g24670 transcript:Ma05_t24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVGAQVAPPIFFHHRQALPGPFHETPLLLKKRDFPWKNNPSFQHNQQQDSRQRLMGASLPDPSGNWNPKMWDWDSERFVAKPSSAASEILSLGSQPASAAAAVADKGDGGPKDSVLGRNLEEDDQNLALKLGGRAYSADEPTTRPSKRVRSGSPGSGCNYPMCQVDDCRADLSSAKDYHRRHKVCEMHSKTAKALVGKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDASSRLLLPRNQQNMTNGSLDIVNLFAMLAHLQGNNQVKPTSIHPLPDRDCLVQLISKLSASNNANPSARSSVPEGFDLNVSQVPAQASFGQSPKANGDENSPSKMNLLAVLSAALAASTPDAATSLSQGSSESSGNDKNKLQNVEPSSHSNSTNVCSYVGRLSNNCISQSRVDVPQQTVEQARKNLPLQLFGPADNDSPPELGSATKYLSSESSNPMEERSPSSSPPVTKKLFPLHSTMDMVKYSQASECQEDKATVDLSSSHGGIAPLVLFKESETRVVNGTIQNLPYRVGYKSSGSDHSPSSSNSDTQDRTGRIIFKLFGKDPGSFPETLRAQVLNWLSNSPSEMESYIRPGCVVLSIYLSMPSIAWNALEDNLLQRVTSLVQDSETEFWRSGRFLIRTNRQLVSHKDGKIRLSKTWRAWSAPELMCVSPVAVVGGQETSLALKGRNLTVPGTKIHCTYMGKYMSKEVLCSAYPGTIYDDSCVERFDFLGGSPNVYGRFFIEVENGFKGNSFPVIIADDSICQELRALESDFEEDVQTPDAIPEEEVHNSVRPRSREDALHFLNELGWLFQRTQASCSPLFADFSSTRLKYLLTFSVERDWCALIKTLLDILVERSLRNDTIKQESLKMLSEVELLNRAVKRKCRKMVDLLLHYCVSHGQDVTKVYLFTPNMSGPGGITPLHMAASMQDSEDMVDALTNDPQEIGLKCWNSLLDDNDQSPFMYAMLRNNLSYNRLVERKLADRANDQVTILVEGGEISIDGSWVGGSNRHGAQNSQLRSCAQCALVGTARLRRNARSKGLLQRPYVHSLLAIAAVCVCVCVFFRGAPQIGSIEPFKWENLDFGPR >Ma05_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:562055:563323:-1 gene:Ma05_g00910 transcript:Ma05_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHTTTLVNTSYFHDIYVTTRAYVLPASLSPLPLSWRGEPAQASHCLLPTSRRITSVTDPKLPPLYPCVLSPPHALPTPQPWPTNYDPFASSSLSSSLAFFRPLLFRPSGGGSTAMLSSNDNVLSYAPPVVADRRWKPIVELAPNCPRCHSSNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKSRRAKSTKPSTVSPVAGSITNSSPPLLPGPIRPDLMLNEMVSDSCMTRAATIDDSTAPADGSSIDLQALYAKYSNQRPQTEIGPATAESQLEEGDHEPVGSVGTSSESSSCNQVFSQPMEEEEEEEAIALLRQVDPPYNIEHSRLPLDLTGPVEWPMQPVTNYVSLDCPSGSGLNYEEFESVAVGGMHHQQSPLTDDWSLLDYSSFDAFYRC >Ma02_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26797922:26803989:-1 gene:Ma02_g21050 transcript:Ma02_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRPSISSSASAASASAKRPAATESASKMPAALAGQAKKRVALANISNQSKVARNPARPLGAKGANKINTTSSASTKKGSLSSYRDTRSGGSSAVTSAAIKPDTNKSSNKSSLSINNGPKITVPACLVPCSTLKSPGHSRDSVSLDETMSTCDSMRSPDFEYIDKGDCSVITSLERQANKNLHISEHAAEAGSKLSIDVPMMMEVDDIIDVDTNHDDPQFCTTLAGDIYRHLRIAETKKRPSTDFMEKIQKDINASMRSILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVSCMLIAAKYEEICAPQVEEFCYITDNTYFKDEVFQMEADVLKYLKYEMTAPTVKCFLRRFIRAAQGSDEVPALQLEFLASYVAEISLLEYSLLCYAPSLIAASAIFLARFILQPAKRPWNATLDHYTLYKPSDLSDCVKALHRLFCTSSGNNLPAIREKYSQHKYKFVAKKYCPASIPAEYFQDARN >Ma07_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4934160:4942955:1 gene:Ma07_g06850 transcript:Ma07_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLLNANPIVYKKKERRARNVPTVIDEYAVEPIDEQEIFDYIRDIKDPEHPYSLEELKVVTEDSIEANDKQSYVRVTFTPTIEHCSMATLIGLCLRVKLMRSLPSRYKVDIRVAPGRHATEAEVNKQLNDKERVAAALENPNLLELVDSCLESTYA >Ma01_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8319755:8322312:-1 gene:Ma01_g11480 transcript:Ma01_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIKSNWTKEEGGVCQVNAWFCIIRNMPYLRILTSCLLQAAASYLKTGSSVILISSIAGYLPQSSMAMYGVTKTALLELTKALISFLLFRSMLNCLAPGFVPTHFADFITKMMPSEKPERRRLCLRGPGLRKTWLLPQPFWHLMIHPTFLEKHLWLPEGCLPGYNPFPDFTL >Ma09_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:585047:589840:1 gene:Ma09_g00880 transcript:Ma09_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYAQTAPPMDMNKNTEWFLYPGVWTIYVLILLLSWLLVFSVFGCTPGMAWTLVNLFHFAVTYYFFHWKKGTPFAEDQGIYNNLTWWEQMENGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTIAVAILEVAKFPNMHKVRIFGING >Ma02_p05950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17055776:17057946:-1 gene:Ma02_g05950 transcript:Ma02_t05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTLTGVLRKAAGEFPSRRAISVPGRLDLSHERLHQLVDDAAARLAAAGVSPGDVIALAFPNTVELVIVFLAVIRARAVVAPLNSAYTEDEFVFYLSDLETKLLVTNAEGNAAAEAAAAQLGIPRAAASLRDASGTLELSLPDGATTADVAVTPLAGRVNDPSDVALFLHTSGTTSRPKGVPLTQLNLAASVTNIRSAYRLTESDSTVIVLPLFHVHGLVAALLSSLSAGASVALPAAGRFSASTFWADMRASGATWYTAVPTIHQILLDRHASRPEPVYPKLRFIRSCSASLAPVILEHLEAAFGAPVLEAYAMTEAAHQMASNPLPDDGPRKPGAVGRPTGLEMAILDEEGARRPPNVPGEVCIRGPNVTKGYKNNPEANKAAFAFGWFHTGDVGFLDADGYLHLVGRIKELINRGGTHPSPPIPIVPCIPLCNLSVLESLHLLLRREDIAHRSGRGAVGPPGHRARGGVRRAGRQVRRGDQLRGDTEGRGGGGRGRGGETLPEEPGSVQGAEAGVHHGLAAEDRHREDPTADRGRVLRASGQGTKGRRLKPSPITYGYQQP >Ma02_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17055776:17057946:-1 gene:Ma02_g05950 transcript:Ma02_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTLTGVLRKAAGEFPSRRAISVPGRLDLSHERLHQLVDDAAARLAAAGVSPGDVIALAFPNTVELVIVFLAVIRARAVVAPLNSAYTEDEFVFYLSDLETKLLVTNAEGNAAAEAAAAQLGIPRAAASLRDASGTLELSLPDGATTADVAVTPLAGRVNDPSDVALFLHTSGTTSRPKGVPLTQLNLAASVTNIRSAYRLTESDSTVIVLPLFHVHGLVAALLSSLSAGASVALPAAGRFSASTFWADMRASGATWYTAVPTIHQILLDRHASRPEPVYPKLRFIRSCSASLAPVILEHLEAAFGAPVLEAYAMTEAAHQMASNPLPDDGPRKPGAVGRPTGLEMAILDEEGARRPPNVPGEVCIRGPNVTKGYKNNPEANKAAFAFGWFHTGDVGFLDADGYLHLVGRIKELINRGGEKISPIEVDAVLLDHPDIAQGVAFGVPDDKYGEEINCAVIPREGAEVDEAEVVRHCRKNLAAFKVPKRVFITDSLPKTATGKIQRRIVAEFFVPPAKAPRAGA >Ma07_p09110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6843608:6847622:1 gene:Ma07_g09110 transcript:Ma07_t09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSGSVSVDVERISFGGKEHHVLTSHGPISVAVYGDLEKPALVTYPDVALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAPISSDEPVLSLDQLADQVAEVLDFFGLETVMCFGVTAGAYILTLFATKYREHVLGLILVSPLCKAPSWSEWLYMKVVSNFLYFYGMCGLVKEYLLQRYFSKEVRGSSQVPESDIVQACRSLLDEKQSANVWWFLQSINGRHDLTEALKKLQCRTLIFVGEDSPFHSEALHMSAKLDKRYSALVEVQGCGSVVTEEQPHAMLIPMEYFLMGYGLYRPSQLSYSPRSPLSPLCISPELLSPESMGVKLKPIKTRIPAEV >Ma09_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12374760:12380453:1 gene:Ma09_g16870 transcript:Ma09_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFRAMEITLRHAHFCLRCSELSSPSYPLLPRGSSFRRVRLIARRNLAVSVSKSSFPESEVAREDVLQMFLKDRQLNGDFISKVSDFLWSKENIEFAELETNTLKEDNQYHEELTRTREWVSGQSIAPANKKEVAKNWQNESEKRKKLNLLKYEALKRELLVLTIGVGAACSVYCLVVFSFQAAFSYASGVLFSCLYLQLLYNHADNLSREAIPEIFMQKKMKRIGIRSEDLKNVLEKTLSGTAVALSSPRLVIPAAIYGLWAFSQHFPNGYFDFELVPGMFGFFAYKAAALIQVYRDNEDLHLIFPDEEAESS >Ma09_p16870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12374760:12380453:1 gene:Ma09_g16870 transcript:Ma09_t16870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFRAMEITLRHAHFCLRCSELSSPSYPLLPRGSSFRRVRLIARRNLAVSVSKSSFPESEVAREDVLQMFLKDRQLNGDFISKVSDFLWSKENIEFAELETNTLKEDNQYHEEVVETDNNSGFLKLTRTREWVSGQSIAPANKKEVAKNWQNESEKRKKLNLLKYEALKRELLVLTIGVGAACSVYCLVVFSFQAAFSYASGVLFSCLYLQLLYNHADNLSREAIPEIFMQKKMKRIGIRSEDLKNVLEKTLSGTAVALSSPRLVIPAAIYGLWAFSQHFPNGYFDFELVPGMFGFFAYKAAALIQVYRDNEDLHLIFPDEEAESS >Ma09_p16870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12374760:12380453:1 gene:Ma09_g16870 transcript:Ma09_t16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFRAMEITLRHAHFCLRCSELSSPSYPLLPRGSSFRRVRLIARRNLAVSVSKSSFPESEVAREDVLQMFLKDRQLNGDFISKVSDFLWSKENIEFAELETNTLKEDNQYHEEVVETDNNSGFLKLTRTREWVSGQSIAPANKKEVAKNWQNESEKRKKLNLLKYEALKRELLVLTIGVGAACSVYCLVVFSFQAAFSYASGVLFSCLYLQLLYNHADNLSREAIPEIFMQKKMKRIGIRSEDLKNVLEKTLSGTAVALSSPRLVIPAAIYGLWAFSQHFPNGYFDFELVPGMFGFFAYKAAALIQVYRDNEDLHLIFPDEEAESS >Ma05_p10600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7706341:7713474:1 gene:Ma05_g10600 transcript:Ma05_t10600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRLPTLGIFPLRVSASSRRLARRRIRSLLKLSSCNARRFGSVAASTVQRFDWDDVVRIAEEVQEDDDPSDLRGYFEKVRHCNRGSELKSEFVPFLVEDQIVGYIHNGFLGHLRQFKEVFTVGLHNNDCHDESHVKFHSSLITPEERTRAVGDAIKCLGELIPGTRNELYPVTSSYGMPVFFSLERAAAPYFGIKAYGVHMNGYVEMDGQKFLWIGKRSVFKPTYPGMLDHLVAGGLPYGISCKENLLKECEEEAGIPRSVSNIATSVGAVSYMDIDGCRYKRDVLFCYDLKLPVEFTPKNEDGEVDSFRLVPVSHVANVIRRTEFFKPNCSLVIIDFLFRHGFISPDNQGYLKLLQSLRSEINEW >Ma05_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7706376:7713474:1 gene:Ma05_g10600 transcript:Ma05_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRLPTLGIFPLRVSASSRRLARRRIRSLLKLSSCNARRFGSVAASTVQRFDWDDVVRIAEEVQEDDDPSDLRGYFEKVRHCNRGSELKSEFVPFLVEDQIVGYIHNGFLGFLLSLQTCTRFLGHLRQFKEVFTVGLHNNDCHDESHVKFHSSLITPEERTRAVGDAIKCLGELIPGTRNELYPVTSSYGMPVFFSLERAAAPYFGIKAYGVHMNGYVEMDGQKFLWIGKRSVFKPTYPGMLDHLVAGGLPYGISCKENLLKECEEEAGIPRSVSNIATSVGAVSYMDIDGCRYKRDVLFCYDLKLPVEFTPKNEDGEVDSFRLVPVSHVANVIRRTEFFKPNCSLVIIDFLFRHGFISPDNQGYLKLLQSLRSGDCS >Ma05_p10600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7706341:7713474:1 gene:Ma05_g10600 transcript:Ma05_t10600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRLPTLGIFPLRVSASSRRLARRRIRSLLKLSSCNARRFGSVAASTVQRFDWDDVVRIAEEVQEDDDPSDLRGYFEKVRHCNRGSELKSEFVPFLVEDQIVGYIHNGFLGHLRQFKEVFTVGLHNNDCHDESHVKFHSSLITPEERTRAVGDAIKCLGELIPGTRNELYPVTSSYGMPVFFSLERAAAPYFGIKAYGVHMNGYVEMDGQKFLWIGKRSVFKPTYPGMLDHLVAGGLPYGISCKENLLKECEEEAGIPRSVSNIATSVGAVSYMDIDGCRYKRDVLFCYDLKLPVEFTPKNEDGEVDSFRLVPVSHVANVIRRTEFFKPNCSLVIIDFLFRHGFISPDNQGYLKLLQSLRSGDCS >Ma09_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27954425:27959292:-1 gene:Ma09_g20150 transcript:Ma09_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTAADEDPDEWVRDAFLDPHLVAAVILGFGRRSRLGLETEGDEKPSTSATPAFLGWGRKRSRVARFPRTATRTIGEEEDEGVTVAVEKRKGQRRASPQSPLEGYSSASGSGGGETGSLAVETPEDRRLTKAVGNPRTPSVSSIPVSASIRRPPSKKLTKPELQAVERSLLEEKANLHKEMEELRRAVEELRANNRKLQMHLKSLNIPERVCMAPEDYQLPGLCQQCITLSPGHKDFIIIPDLNDPLPDC >Ma10_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14760507:14761264:1 gene:Ma10_g04110 transcript:Ma10_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVQNPIIIDQNYCPGDRGCPDQSSGVRISGVTYNDIHGSSASEVAVNFDCSASNPCTGIGLQDIKLTYGNTAAESSCKHADGTASGFVVPPSCL >Ma10_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33154453:33157417:1 gene:Ma10_g24670 transcript:Ma10_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKNSPLSPSGKGMRSKSTKGSPSSLDSSDSRSLKIMPSTKMAPSPEKQNARVSDVQQQLRKLREELNKEKEEKSRAIEELTDMKRNVASQRNGGENKLKIEVLEKEVQKAKESERKLLESLVSQTKLLEQTKISLEEAKLENRSLRQSNRSLESSVNLGSRSSRKSEKHLLGNDPLPMIHAEEEMTVLKNELKLATEAEEKSKIAMDGLAIALKEVTTENNHLKRTLSVAQSELEKVRAEAEQLKSLLRSMEEKFQAASAESERLQFELEESVAAWNEKESSFINCVKMSEDEITNAKAENDKLFESQRVVREENANLRDILKHAVNEASIVKESLEIARKENSQLKDLLAEKESYLQSLKQEYECLKVSEAAATDSVQGLKSLFAATSTMDSSMLVSSIENESIMVSDSKASKFSSERWSNGNPRIQKGRRHSIAEPGTVYVEGGSPEQNGVICASLSNVSDLRDASSIVAYDEETLISAGFNHTDAKLKKKKTILRRFGDMLRRKHSYKQNSSSL >Ma04_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5039568:5043901:1 gene:Ma04_g06920 transcript:Ma04_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAVPVDPETTTTKNKKKEKRQNPFSISYLRGPAPTLVVLKEPTGRDIESRYDLGQELGRGEFGVTCLCTDKATGEHFACKSISKKKLRTAVDVEDVRREVEIMRHLPSHPNIVSLKDTYEDDTAVHLVMELCEGGELFDRIVARGHYTERAAAMVMRTIVEVVQNCHKYGVMHRDLKPENFLFGSKKENAPLKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLKRDYGPEVDVWSAGVILYILLCGVPPFWAESEQGIARAIIRSVIDFKRDPWPKISDTAKDLVKRMLDPDPKRRLTAQEVLDHPWLQNANKAPNVNLGETVRSRLQQFSVMNKLKKKALRVVAEHLSVEEVADIKNMFEKMDVNKKGQITLEELKYGLHKLGHHIPDADVIILMEAADADGNGSLDYGEFVAVSIHLKKIGNDEHLHKAFQYFDQNKSGYIEIEELRDCLADDLGPNHEEVINSIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFNSLSSKLMKDGSLQPKSEGS >Ma11_p00230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:123389:124154:-1 gene:Ma11_g00230 transcript:Ma11_t00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVDASSPKKDPPSPAVLAASAAAEAAASRCQSPSPSPSPSPERSASLLPLPASLPPPLPSSSATRRLAAPIWTHEEILALIGAYRDKWYALRRGNLRASHWQEVADDVADRCPPGSSPPKTYIQCRHKVEKLRKRYRSERRKSLQFDTSLPPSSWVYFRKMHATEHGGNVEVGETHRPSDCQSSVPPHPPSSDDGWRRE >Ma06_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12890497:12891291:-1 gene:Ma06_g18860 transcript:Ma06_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLRLLLVLILVLLLLYVLISWTSFSPVPAITSLTSLSDSCTKIPPSLATAIVHYATSNTTPQQTLEEISVTARVLRKKSPCNFLVFGLGHDSPMWSALNHGGRTVFLEEDGSWIRTVRGRFPALEAYHMTYRTKVSQAEGLLASGLNSTDCAVWNSKCPLALTELPAVFYEVEWDLIMVDAPTGYQADAPGRMGAIYTAGMAARGRSAGETDVFVHDVDRVVEDKFSTAFLCEGYLREQVGRLRHFTIPSRRTTPAIAFCP >Ma10_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25948783:25948917:-1 gene:Ma10_g13010 transcript:Ma10_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPGILLRIEAEQLALQLQCLQDQIHLAPASITAPTCQSPTFH >Ma06_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6266884:6267239:-1 gene:Ma06_g08950 transcript:Ma06_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKTNCFVSLALKSSPLPTISYLCLKFLRKEHATSRATCPLIALSYGRSSFKAFCFTSNLIHSFMQFNNIAKL >Ma03_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23198368:23201724:1 gene:Ma03_g17600 transcript:Ma03_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPTEGKFSNDQLLVGGSKCSVVMEISETFGFVASPIDISPDKSPSNGDLHDLEVTTTNLCNQRGLEFDEVKFETCLHDPLLESKLMPSPSCSSPAIREPLLEEVMKPSDGSEELIHCTPPDDENAMEVENMSQDQTVLSVPEVIAVDVKMELADPRKSSPALIRCSRSKLYRNSSSFSYRRLLPYLMNITKVDSGGTRVVSCKDYPVKVEKVVEETTSLPTKGKLSVDQHLVESSRCSVTVESCETLGFVESPINMSPNKSSSNGDLHDLEVTTSNLCNQSGLVEEIKSEDCLHDPILESKLLCNPSISSPAVHESLSEEELAGNVLARPFLPSKAEFSCNMTGPVSDVRGKSLPRTSLLGSIHSLERHALAPRKGILKKHTRSCKGICMCLDCVTFRIHANYAFDFSRKQMKDADEIILGLVKELAGLRNLVEKSIIPTYEGIRTCVLLQLNQELLKQACQRASRAEKIANSRSKQMFNDLHVHCRIPGPRVTFAGSVEERTSPGDQQE >Ma04_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25733862:25737869:-1 gene:Ma04_g23620 transcript:Ma04_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAADDRMEVVLALLDASRSRHGRARLAAEGALPAVLRCLSSSPALLLLPRLCITVNLCCERPNQDAFLEAGGLDRIASVLLSGHQISAEILQTVLQVLGNVALAGEAHKAAVWARFFPIWFREIAAMRNPVVCNSLCKVLNMCCSATGGRRRLGELCEEGRGLPILLDIVNTMSPSCRKEICLYFLLGKACIKDIYFNLVFQRLSSVNTLDDSFGANFTYKLFTKEQVFLLRLLSYHLIAWPDVLDTISSYFALGVLQLLKEAYSVVDASSQSISAGLTDDLAINVLWCSFYILRDICSWKDHSSSASEDPADSLLSAGLLQLLLRYLRELEPPNIVKNHAMQTSTDSKVCPYKGFRRDVVSVLCNFLHGRKQVQDEIRKQDGIPLLLKQCVVDDCDQSLRQWGNLSIRYLLEGNLENQYKVAELEQKEPVITPEIAQMGLRVEIDQESQCPKMVKAYQEQEDDPCQYYANGQLT >Ma08_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9893524:9896409:1 gene:Ma08_g12840 transcript:Ma08_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMEAGLCSARTPSSQEESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDDDIDCDNSFCSSSDMGDKDVDYSSLEFHKPTKPRVRHTRPWTSSAKSNGRGNHRDTHTNGHKPQMRLNLAKLGTPALWRYWRHFNLVNINPNPTKEQLIHGVQNHLLSQQLDEMQVIVGFIHTAKRLKTLYS >Ma10_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25463956:25465173:-1 gene:Ma10_g12300 transcript:Ma10_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGAKKNKEPPPTIQDATDKVSQVGVTVDEKIKKLDAELARYKEQINKTRPDPAQEAVKARAMRVLKRRRMYEG >Ma01_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4519486:4519947:1 gene:Ma01_g06320 transcript:Ma01_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILSEILLSGFMISSTLRRRTHLVQSFSVVFLYWFYVFS >Ma11_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21671721:21675383:-1 gene:Ma11_g16090 transcript:Ma11_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGAMRKSFKDSLKVLEADIQHANTLASDFPADYDGACLQMRMSYSPVAHLFLFLVQWADCSLAGALGLLRIMIYQVHVDGSTTMSTHERKASIKEFYAVIFPSLLQLQKGITDMEDKKQRAVCMERYRRRDEDEMKHFSEIDAEREEECGICMEMNSKFVLPNCSHAMCMKCYREWNSRSRSCPFCRDSLKRVDSGDLWIYVDNRDVIDMETVTQENIKRLVMYIKELPVVVSESVVFDVYDSHIR >Ma08_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33643925:33648476:1 gene:Ma08_g19760 transcript:Ma08_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLLGGKFSNKCKHAVRFIKARMGPIRNKKQAVVRCLKRDVAGLIAAGHEAIAFGRIDALIVEMNHASCYDMIEQFCQYILSQLPSLRKQRECPQEAMEAISTLIFAAARFPDLPELCDLRHAFVERYGSHMESSVKAEFVEKIQEKSFSKKKKLQLLQNIAEEFTLRWDPKTSCLQSHNAPKPKSTRPKEVAASHDVDNGASSSAKAPTKEESPSKRKYGSNPVSAVGKQGGEVEQKDIHVIPTTINRQSHDQVEKPTSTENTELYQTDNAVRPFVKHKRNHDGIRKNDEIKVDYLQSRTEKVQKEQHHSIEKHEVGSVKSQNVRLANVAPPYAKSIDSRFHVEETRGDGTVPPCTKLNWIENGHHVEGKIGDVRKHNRSQRHEASDRSVVEKPSVGPVNNNGRGRYVIPPYVKPGVIDFSTNGEQTLQNIGVDDGKSNGTQGVSRDEKPKPVCMRRKSQRPPVTEIKEGTIDDEKTVSFTPGDQRRHRDKRSAGTNDENFVEEKIAIRESRSRIGDEMDNAIDYSKLLLRSSNELRRHTSRHTAAMNDCQYDEEEMAVEKLLLHYSRKGRARDPIKERRTANHVDLDRFERPENSKIQLIQRRAVPPPERAISVPSEPVSPAEVKAPARSTSMQPDPSNPKVGRVHPKLPEFDQLAARLAATKKKT >Ma03_p01140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:884806:886020:-1 gene:Ma03_g01140 transcript:Ma03_t01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREGETSDSLGSYTGSLLPFLSDPGNSSSTAYVHGTVNSPPEEVLSFIDCRTTSSEHWAYSNAWVLSFEQGDDEGECAAWIDAMGQNCQLNRPDITRRTADSGPIQELESGYGSVRSPVKEKPCAAAAVDGLQESMEQKRPRTYACDMQSPKKQCGNARKTKDKSSPSEDPQSIAAKNRRERISERLKVLQGLVPDGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPDVGKAKEAIDAILSTHGDRNRRSKQ >Ma07_p22330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30318236:30324016:-1 gene:Ma07_g22330 transcript:Ma07_t22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSRSLCSGSSGHAYYQGNQDQISFLLGHLSPTCSSYPSSDFPLAGAEDGFGAVGSSLLHSRMKSPDQDLDSLDCEIEVSEEPPKLAPARTSSSKRNRAAEVHNLSEKRRRSRINEKMKALQSLIPNSSKVVNHVAFFYLLSLIQLTIAVENLTRYDSSWKILCSKQTDKASMLDEAIEYLKQLQLQVQMLSMRNSLSQHPMFLSGGLQPLQALPMGIDFGLGANRAVNAVGMLPLNQVSSVPNTFDLSPSSNQSASIPSVINITKPETPVAMEPSQSHHGSFLLPVSIEEILTQEMITQQQPDTRHSPRNLTGESSKTPPRFFNIENEVNSMAADAAQHPGGQASSSVGADHVAECMLGRVRLQDEPSEKEEFIQHLRR >Ma07_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30318236:30324014:-1 gene:Ma07_g22330 transcript:Ma07_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSRSLCSGSSGHAYYQGNQDQISFLLGHLSPTCSSYPSSDFPLAGAEDGFGAVGSSLLHSRMKSPDQDLDSLDCEIEVSEEPPKLAPARTSSSKRNRAAEVHNLSEKRRRSRINEKMKALQSLIPNSSKTDKASMLDEAIEYLKQLQLQVQMLSMRNSLSQHPMFLSGGLQPLQALPMGIDFGLGANRAVNAVGMLPLNQVSSVPNTFDLSPSSNQSASIPSVINITKPETPVAMEPSQSHHGSFLLPVSIEEILTQEMITQQQPDTRHSPRNLTGESSKTPPRFFNIENEVNSMAADAAQHPGGQASSSVGADHVAECMLGRVRLQDEPSEKEEFIQHLRR >Ma05_p10210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7348373:7351129:1 gene:Ma05_g10210 transcript:Ma05_t10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQKPLMLKDYLELEWNSESSGAGFRCVPRRANDDATVRYLLEADLRGGGGRKLPRTPSMSALTKISAVFNAVRLLPFAAAASSSPDSGRSRQEGSPSRSLSERLKGSFWRRKGKEGEENRAKVRDIVRLRSFEEETGDDRASFDFPSPVVSSCSSFSESDSYGSGFLPSSIASSETTDDAATTDTTGDVKKHSPRASPSRNTNGLNIIAEVAEASVAGHRWAAKATESQSEESPECHSEEKEQLSPLSVMDFPSEEDEEEEDDTTSPSFHHSLAKLERTKLQLLQKIRRFECLADLDPIDLDRHFASSDDRSESTDCVALSDVDDEEAAVRGLREKKAWGLLGELKNDYHVGRGTCVEKVLVDFFIQGLTSSGDDAVPGRPSWWRNSILRRDPAERPMLETSRDWIEGKGCRDLDDYHGEATLREMERNGRWICFEEEEEAADVEDLVLGSLMEELVVDLASD >Ma08_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17273978:17285364:1 gene:Ma08_g16200 transcript:Ma08_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMKTKARNPRKVHPRAPSGSAQPIVGTTDPKENSEYENVRHEQCGHYGKGSAEVNQILSEILSSKVAVACEHCREEPAAKRGGGKKGKHQKKREGAVKNSEIGPESHLIWVCLDCNRYFCGGAVSDSVPYGHARRHSKQERHACVVRLDNPTIGWCFSCSLAVCVELPHVVVDAGEVKLEDGRVEGGGIEPPTPEGSKGYKIRGLSNLGNTCFFNSVMQNLLSIDMLRHYMVNLDRPIGPLTMALKKLFDETRGGTDSKSVLSPKNLFGCISSKAPQFRGYQQQDSHELLRCLLDGLYVEERSSDKTQDSWNDQNMATSNLGSTVVDNIFGGQLSSTICCAECGHTSIVHEPFLDLSLPVPSKRITSKKAPPPPPKRSKPPLKERDKSRRFREKGSARGSVVMEQYRPEERVTSSVECSESSGNASKPEENADLILNDSDKSNHLSAAPGTEQNIASEAEDSSWMDYLAEPTMTPDALDLGSQTCGNSVLHFSESGQIFQSENNIPVESEVDNSPKELMVSSDSCGENPSRNDISSSCAYDSGVILLPYDVLDRTTNAMTGVTSQNPDNMSSSDNLMKEPSVQAASIVDSEQAEVDFDGFGDLFNEPEVTSELNRDIGMAEEMAVTLWANNSSESNQEEVDDSNAQVSIESCLVLFTSVELLSDEDAWYCEHCSEVLSNEMTTDRTSKSQTLATLGQSDIMKPCVDDAQGTSENVSSNLHVDCLNSTDSKELGTRETESTFADIELHPQRPDTDLKSLDIVGETEKMRCKNGEIIEIITGSNIMMPGSILCDQISKPIVVLEDQELVDSGFDNQTTSGKEDVPTSGQALGNTLTSSPGNTGASLSNSCRNDNLDVNHVPKKGSNYLSQTHPGRDRRVKKEEPTKRKVKRDATKRILINRTPPILTIHLKRFSQDGRGRLTKLRGHVFFQEMLDLRPYLDSRCKEEEKCTYRLLGVVEHSGSVSGGHYIAYVRGERNSGKAHQDKILPSWFYASDAHVREASLSEVLKCEAYILFYEKM >Ma03_p26080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29945981:29947104:1 gene:Ma03_g26080 transcript:Ma03_t26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFRGCRLGISYPELTKMQARAIFEAAISMSNQGVKVLPEIMVPLTGTPQEFEHQVSLIRTVAQQVFTEMGTSISYKVGTMIEVPRAALVADEAEFFSFGTNDLTQMTFGYSRDDVRKFLPIYLSKGILQNDPFEVLDQKGVGQLIKIAAERGRRARPDLKVSGIYVRSCSTEEEVLVGCMVLELKAKLTSQQCLFEFTQHRGGGLSRMYGARAEG >Ma11_p01580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1115608:1119325:-1 gene:Ma11_g01580 transcript:Ma11_t01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATQDFHPRTFSIKLWPPSASTRTMLVEKMTKNLSSESIFSRKYGLLSKEEAYENAKRIEDECFIAASEHFQKEPDGDGSSAVQFYARETSKLMLEVLKRGPERKEHGEVVAVDRPVKPIETVFDISGGRRAFIDADEARELLSPLTEQGNSYKKICLSNISFGIDAAHVAGPILASLKGQLTEVNLSDFIAGRPEDEALEVMKIFSSALEGCVLRYLNLSDNALGEKGVRAFGALLKSQNSLEELSLMNDGISEAAAKAVCELIPSTDKLKILHFHNNMTGDEGAIAISEVLKHSPLLEDFRCSSTRVASEGGIELAKALETCTHLKKLDIRDNIFGVDAGITLSKTLEKLVHITEIYISYLNLEDEGAIAIANALKQSVPFLEVMDIAGNEITTKAAPALAECIAVKKSLRTLILSENELKDQGAVLIGRALEEGHTQLKELDVSINMLRRVGARCLAQAVSNKPDFKLLNINGNAISDEGIDEVKEILTNGSNSVDVLGPLDENDVEEEGEDGEEEEGEGGAAAEGEGELESKLHHLKVEQD >Ma11_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1115608:1119341:-1 gene:Ma11_g01580 transcript:Ma11_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATQDFHPRTFSIKLWPPSASTRTMLVEKMTKNLSSESIFSRKYGLLSKEEAYENAKRIEDECFIAASEHFQKEPDGDGSSAVQFYARETSKLMLEVLKRGPERKEHGEVVAVDRPVKPIETVFDISGGRRAFIDADEARELLSPLTEQGNSYKKICLSNISFGIDAAHVAGPILASLKGQLTEVNLSDFIAGRPEDEALEVMKIFSSALEGCVLRYLNLSDNALGEKGVRAFGALLKSQNSLEELSLMNDGISEAAAKAVCELIPSTDKLKILHFHNNMTGDEGAIAISEVLKHSPLLEDFRCSSTRVASEGGIELAKALETCTHLKKLDIRDNIFGVDAGITLSKTLEKLVHITEIYISYLNLEDEGAIAIANALKQSVPFLEVMDIAGNEITTKAAPALAECIAVKKSLRTLILSENELKDQGAVLIGRALEEGHTQLKELDVSINMLRRVGARCLAQAVSNKPDFKLLNINGNAISDEGIDEVKEILTNGSNSVDVLGPLDENDVEEEGEDGEEEEGEGGAAAEGEGELESKLHHLKVEQD >Ma06_p25260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25522620:25535384:1 gene:Ma06_g25260 transcript:Ma06_t25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREFDLIIFGASGFTGKYVVREALKFLSAPGPLRSLALAGRSPSKLAAALQWAASPSPPPPLPLIPADVSHPDSLLALCRRTRLVLDCVGPFRLYGEPVVAACVEAGTDYLDISGEPEFMERMEAKYHEQAEKAGSLVVSACGFDSVPAEMGLMFHSLQWVPPAVPNRVEAYLSLESERRIVGNLGTYESAVLGVANVRQLQDLRKSRPKRARPMIPGPAPPKGPLVEHNKTLGLWALKLPSADAVVVRRTLAMLAENPHGLPGANESDEHAEKRKAYWSTVKPAHFGVKIGTKSILGIFRFMVTGLFIGLFGAFAVGRSLLLKFPEIFSLGWFRKTGPTEEEVRSALFNMWFVGHGYNDISLASQSGKKPDTEVITRVSGPEIGYLTTPITLLQCALIVLSERENLPKGGVLTPGIVFGPTDLQKRLQDNGISFDVISTRASLH >Ma05_p25830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37650655:37663498:-1 gene:Ma05_g25830 transcript:Ma05_t25830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDLLDEDDLRRSGRYSKSGSSKWLLLMLPRCSTDVTAGRQGKCPSLMELCVAKVREDIKRYTSFSMLPRDLSQQIFNELVESHCLTDGSLEAFWDCALQDIHLGEYPGVKDGWMGIVSSQGQSLLSLDISCSDVTDSGLFLLKNCSNIQSLKCNYCDQISDYGLEHISGLPNLTSLSFKKSHAITAEGIRSFTNLINLVNLDLERCLKIHGGLIYLKDLKKLESLNIRYCNCVTDADMEPLSGLTNLKELQMSCCKVTDVGIAHLRGLSKVAHLDLEGCPVTSSCLDVISGFTSLVFLNLSRCGISDDGSENFSGLQKLKVLNLGFNNITDACLVYLKESINLESLNLDSCKIGDEGLLNLKGLLQLKCLELSDTEIGSNGLSHLSGLHNLESINLSFTAVTDGGLRRLSGLTSIKSLNLDVRQITDVGLTAITGLTGLTHLDLFGARITDLGTNCFQHFKNLQSLEVCGGSITDAGVKNIKDLKSLTLLNLSQNCNLTDKTLELISGLTALVSLNVSNSRITNAGLQHLKPLKNLRSLTLESCKVTATEIKKLRLAALPNLLSVRPE >Ma05_p25830.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37650655:37663498:-1 gene:Ma05_g25830 transcript:Ma05_t25830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDLLDEDDLRRSGRYSKSGSSKWLLLMLPRCSTDVTAGRQGKCPSLMELCVAKVREDIKRYTSFSMLPRDLSQQIFNELVESHCLTDGSLEAFWDCALQDIHLGEYPGVKDGWMGIVSSQGQSLLSLDISCSDVTDSGLFLLKNCSNIQSLKCNYCDQISDYGLEHISGLPNLTSLSFKKSHAITAEGIRSFTNLINLVNLDLERCLKIHGGLIYLKDLKKLESLNIRYCNCVTDADMEPLSGLTNLKELQMSCCKVTDVGIAHLRGKMYCIFSVLALAVCYSMFCYSLSFETNCQGDSCFSILFQNAFVSTFKFLAGLSKVAHLDLEGCPVTSSCLDVISGFTSLVFLNLSRCGISDDGSENFSGLQKLKVLNLGFNNITDACLVYLKESINLESLNLDSCKIGDEGLLNLKGLLQLKCLELSDTEIGSNGLSHLSGLHNLESINLSFTAVTDGGLRRLSGLTSIKSLNLDVRQITDVGLTAITGLTGLTHLDLFGARITDLGTNCFQHFKNLQSLEVCGGSITDAGVKNIKDLKSLTLLNLSQNCNLTDKTLELISGLTALVSLNVSNSRITNAGLQHLKPLKNLRSLTLESCKVTATEIKKLRLAALPNLLSVRPE >Ma05_p25830.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37650655:37663142:-1 gene:Ma05_g25830 transcript:Ma05_t25830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDLLDEDDLRRSGRYSKSGSSKWLLLMLPRCSTDVTAGRQGKCPSLMELCVAKVREDIKRYTSFSMLPRDLSQQIFNELVESHCLTDGSLEAFWDCALQDIHLGEYPGVKDGWMGIVSSQGQSLLSLDISCSDVTDSGLFLLKNCSNIQSLKCNYCDQISDYGLEHISGLPNLTSLSFKKSHAITAEGIRSFTNLINLVNLDLERCLKIHGGLIYLKDLKKLESLNIRYCNCVTDADMEPLSGLTNLKELQMSCCKVTDVGIAHLRGLSKVAHLDLEGCPVTSSCLDVISGFTSLVFLNLSRCGISDDGSENFSGLQKLKVLNLGFNNITDACLVYLKESINLESLNLDSCKIGDEGLLNLKGLLQLKCLELSDTEIGSNGLSHLSGLHNLESINLSFTAVTDGGLRRLSGLTSIKSLNLDVRQITDVGLTAITGLTGLTHLDLFGARITDLGTNCFQHFKNLQSLEVCGGSITDAGVKNIKDLKSLTLLNLSQNCNLTDKTLELISGLTALVSLNVSNSRITNAGLQHLKPLKNLRSLTLESCKVTATEIKKLRLAALPNLLSVRPE >Ma05_p25830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37650655:37663498:-1 gene:Ma05_g25830 transcript:Ma05_t25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDLLDEDDLRRSGRYSKSGSSKWLLLMLPRCSTDVTAGRQGKCPSLMELCVAKVREDIKRYTSFSMLPRDLSQQIFNELVESHCLTDGSLEAFWDCALQDIHLGEYPGVKDGWMGIVSSQGQSLLSLDISCSDVTDSGLFLLKNCSNIQSLKCNYCDQISDYGLEHISGLPNLTSLSFKKSHAITAEGIRSFTNLINLVNLDLERCLKIHGGLIYLKDLKKLESLNIRYCNCVTDADMEPLSGLTNLKELQMSCCKVTDVGIAHLRGLSKVAHLDLEGCPVTSSCLDVISGFTSLVFLNLSRCGISDDGSENFSGLQKLKVLNLGFNNITDACLVYLKESINLESLNLDSCKIGDEGLLNLKGLLQLKCLELSDTEIGSNGLSHLSGLHNLESINLSFTAVTDGGLRRLSGLTSIKSLNLDVRQITDVGLTAITGLTGLTHLDLFGARITDLGTNCFQHFKNLQSLEVCGGSITDAGVKNIKDLKSLTLLNLSQNCNLTDKTLELISGLTALVSLNVSNSRITNAGLQHLKPLKNLRSLTLESCKVTATEIKKLRLAALPNLLSVRPE >Ma05_p25830.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37650655:37663498:-1 gene:Ma05_g25830 transcript:Ma05_t25830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDLLDEDDLRRSGRYSKSGSSKWLLLMLPRCSTDVTAGRQGKCPSLMELCVAKVREDIKRYTSFSMLPRDLSQQIFNELVESHCLTDGSLEAFWDCALQDIHLGEYPGVKDGWMGIVSSQGQSLLSLDISCSDVTDSGLFLLKNCSNIQSLKCNYCDQISDYGLEHISGLPNLTSLSFKKSHAITAEGIRSFTNLINLVNLDLERCLKIHGGLIYLKDLKKLESLNIRYCNCVTDADMEPLSGLTNLKELQMSCCKVTDVGIAHLRGLSKVAHLDLEGCPVTSSCLDVISGFTSLVFLNLSRCGISDDGSENFSGLQKLKVLNLGFNNITDACLVYLKESINLESLNLDSCKIGDEGLLNLKGLLQLKCLELSDTEIGSNGLSHLSGLHNLESINLSFTAVTDGGLRRLSGLTSIKSLNLDVRQITDVGLTAITGLTGLTHLDLFGARITDLGTNCFQHFKNLQSLEVCGGSITDAGVKNIKDLKSLTLLNLSQNCNLTDKTLELISGLTALVSLNVSNSRITNAGLQHLKPLKNLRSLTLESCKVTATEIKKLRLAALPNLLSVRPE >Ma05_p25830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37650655:37663498:-1 gene:Ma05_g25830 transcript:Ma05_t25830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDLLDEDDLRRSGRYSKSGSSKWLLLMLPRCSTDVTAGRQGKCPSLMELCVAKVREDIKRYTSFSMLPRDLSQQIFNELVESHCLTDGSLEAFWDCALQDIHLGEYPGVKDGWMGIVSSQGQSLLSLDISCSDVTDSGLFLLKNCSNIQSLKCNYCDQISDYGLEHISGLPNLTSLSFKKSHAITAEGIRSFTNLINLVNLDLERCLKIHGGLIYLKDLKKLESLNIRYCNCVTDADMEPLSGLTNLKELQMSCCKVTDVGIAHLRGLSKVAHLDLEGCPVTSSCLDVISGFTSLVFLNLSRCGISDDGSENFSGLQKLKVLNLGFNNITDACLVYLKESINLESLNLDSCKIGDEGLLNLKGLLQLKCLELSDTEIGSNGLSHLSGLHNLESINLSFTAVTDGGLRRLSGLTSIKSLNLDVRQITDVGLTAITGLTGLTHLDLFGARITDLGTNCFQHFKNLQSLEVCGGSITDAGVKNIKDLKSLTLLNLSQNCNLTDKTLELISGLTALVSLNVSNSRITNAGLQHLKPLKNLRSLTLESCKVTATEIKKLRLAALPNLLSVRPE >Ma05_p25830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37650655:37663127:-1 gene:Ma05_g25830 transcript:Ma05_t25830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDLLDEDDLRRSGRYSKSGSSKWLLLMLPRCSTDVTAGRQGKCPSLMELCVAKVREDIKRYTSFSMLPRDLSQQIFNELVESHCLTDGSLEAFWDCALQDIHLGEYPGVKDGWMGIVSSQGQSLLSLDISCSDVTDSGLFLLKNCSNIQSLKCNYCDQISDYGLEHISGLPNLTSLSFKKSHAITAEGIRSFTNLINLVNLDLERCLKIHGGLIYLKDLKKLESLNIRYCNCVTDADMEPLSGLTNLKELQMSCCKVTDVGIAHLRGLSKVAHLDLEGCPVTSSCLDVISGFTSLVFLNLSRCGISDDGSENFSGLQKLKVLNLGFNNITDACLVYLKESINLESLNLDSCKIGDEGLLNLKGLLQLKCLELSDTEIGSNGLSHLSGLHNLESINLSFTAVTDGGLRRLSGLTSIKSLNLDVRQITDVGLTAITGLTGLTHLDLFGARITDLGTNCFQHFKNLQSLEVCGGSITDAGVKNIKDLKSLTLLNLSQNCNLTDKTLELISGLTALVSLNVSNSRITNAGLQHLKPLKNLRSLTLESCKVTATEIKKLRLAALPNLLSVRPE >Ma03_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23417741:23424655:1 gene:Ma03_g17820 transcript:Ma03_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKLAFFPPSPPSYEVVTEPESGVVTLSRFPHRENVEVLRLPTRRGTEIVALYVRNPMATSTLLYSHGNAADLGQMYELFVELSIHLRVNLLGYDYSGYGQSSGKPSEQNTYADIETTYKCLIENYGAKEEEIILYGQSVGSGPTVDLASRLPHLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKISLVHCPVLVIHGTSDDVVDFSHGKKLWELCNEKYEPLWLKGGRHCDLELFPEYIRHLKKFISTVEKSPSQRRTWRKGAEQFEPSRKSTDCFEPSRKSIDRREKSRSTTEKSRNKDQRSTNVEKLEKVKVSFDQLEKSRRSLDCFDKSRKNIDQLDRGRKSVDRLDRIWAG >Ma07_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:251404:255031:-1 gene:Ma07_g00280 transcript:Ma07_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASSLITLFFFLHVAASGVLGDSPYRFFTWNITYGDIWPMGVKQQGILINGQFPGPQIEAVTNDNVIVNVFNSLPEPFLISWNGIQQRKSSWQDGVYGTNCPIPPGRNFTYVMQFKDQIGSYFYFPSLAFHKAAGGFGGIRVLSRPLIPVPFPPPAGDFTLLIGDWFKANHSTLKYVLDSGRDLPFPDGVLINGRGWNGNTFTVQQGRTYRFRISNVGLTASLNIRIQGHAMKLVEVEGSHTLQNTYSSLDLHLGQSCSVLVTADQPAMDYYIVASTRFTSKVLSTTAILRYSNSGGTTAGPPPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSAPFINGKQRYAVNSVSFIPADTPLKVADFYKIPGVFSLGSISDNPTFGGGYLQTSVMAANFRDYVEIVFENYENTMQSWHIDGYSFWIVGMDGGQWSAASRKSYNLRDAVARCTVQVYPKSWSAIYMPLDNVGMWNVRSENWARQYLGQQLYLRVYSPANSWRDENPIPRNALLCGRASGRRTRPL >Ma03_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5176806:5180370:1 gene:Ma03_g07370 transcript:Ma03_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTDLVNLNLSNTTDNIIAEYIWIGGSGMDMRSKARTLTGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDCYTPAGEPIPTNKRFNAAKIFSHPAVTAEVPWYGIEQEYTLLQKDVKWPLGWPVGGFPGPQGPYYCAAGADKAFGRDIVDAHYKACLYAGVNISGINGEVMPGQWEFQVGPAVGISAGDELWIARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTQSMRSDGGYEVIKKAIEKLGKRHKEHIAAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRETERNGKGYFEDRRPASNMDPYIVTSMIAETTILWEP >Ma08_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15232918:15236312:1 gene:Ma08_g15210 transcript:Ma08_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQVRASHILIKHEGSRRKASWKDPDGRVISATTRDAAVGQLLTLREDIVSGKARFQDVAARYSDCSSAKRGGDLGRFGRGQMQKPFEEATYALKVGELSDVVDTDSGVHIILRTG >Ma05_p31610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41452538:41452704:-1 gene:Ma05_g31610 transcript:Ma05_t31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSSRFSGHLGCMQQAPFLVREGV >Ma07_p04270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3196589:3198136:1 gene:Ma07_g04270 transcript:Ma07_t04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLWATAASFSPSSAGLRCALAGRRKHADRLVSDLRGASADDPSAADRLIRKFLAASSKPAALHALSSFLSLSSPFAPPLYERISEASWFSWKPKLAATVVALLEKQGRCAEAETLTLDAVSRSKTHRDLALFYCDLIECFSEQGLEQPVLETYARLREVPFAGRRPYESMIKALCLMGMPGEAEAKLKEMASSGCKPSPFEFRSVIQSYGRSGLLSEMRRVVGSMEDAGLPIDTVCVNVVLSCYGHHGELPEMASWMTKMREKGIVFSIRTFNCVLNSCPRVVSIASDAGSLPLSMEELLQKLENESSSRTEALLVQELTSSSVLADISEWSPSGSKLDLHGLHVAAAYIILLKWIQELRRRFQEEDVIPLEISVICGSGKHSERRGRSPIKDLVSEMMFRKSSPMRIDSKNPGRFVARGKAVWEWMC >Ma11_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26521779:26523416:-1 gene:Ma11_g22980 transcript:Ma11_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLKLSRSRVVSILPLPRAVRERERGQLVQLTVQQAQTLKLVHATRHHPIAAFPNPVGRQLALELPSLLVSPGMMKPGGVIVSSSPGREKLPAPPGLLSRKASGSRSRGRSASAARSSPMFVSRSGSLGRSSSAAATAAEEGEPSSPKVTCIGQVRIRSKRSAKPKTPKCKKARSKSSLMPCRCFHGALLCSLFAVRKRPTGGKSGGGGRRSLWRGWARIRTGGSWAYKQRNPEPVRRPPPTEFVGDGKATPDREEVEDVEETAAPEQEETRVFVPPKNALLLMRCRSAPHNRASSLATARFAGPPLPAPEPPAAEQQEEATEASGRGEEQHQQEEGDAEDDVEARGSESQRPLVLPRSKSEPARRTSAKLTLPEASYCFWTSSNSSGGRRRRPSPSPEERGSLADA >Ma09_p29590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40009033:40016043:-1 gene:Ma09_g29590 transcript:Ma09_t29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPAGGSPCVFITPRMDDALHLAKFNHDFMVDLKASTRSLNKLRPQNLDYLLVLDLEGRVEILEFPVLMIDAKNMDFIDSFHRFVRPAVMSEQRINEYIEGKYGKLGVDRVWHDTAIPFKDVLHEFELWMKKHHLWVKEQGGLLNRAAFVTCGNWDLKTKIPEQCKVSKIKTPSYFMEWINLKDIYLNFYKRRATGMMTMLRELEIPLLGSHHLGIDDTKNIARVLQRMLADGVILQITAKRSSSVPGDVKFLFTNRIR >Ma09_p29590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40008901:40016125:-1 gene:Ma09_g29590 transcript:Ma09_t29590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVARVSTRRVFSRHLHSPFPSPLTAHAFIPLPSLTSPCLPARNRAVTACLSSSAPAVIDAQTAGRWKPMCLYHTQGKCTKMDDALHLAKFNHDFMVDLKASTRSLNKLRPQNLDYLLVLDLEGRVEILEFPVLMIDAKNMDFIDSFHRFVRPAVMSEQRINEYIEGKYGKLGVDRVWHDTAIPFKDVLHEFELWMKKHHLWVKEQGGLLNRAAFVTCGNWDLKTKIPEQCKVSKIKTPSYFMEWINLKDIYLNFYKRRATGMMTMLRELEIPLLGSHHLGIDDTKNIARVLQRMLADGVILQITAKRSSSVPGDVKFLFTNRIR >Ma01_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4047606:4050824:1 gene:Ma01_g05730 transcript:Ma01_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKASAIFPLLLLLPLLHLLSSGFAAAGQQDCPPFSCGQLRDVKYPFRRKDDPTICGNTTYQLSCDANRSTIRIGSADYFVTQISYLSSYFTWIRLVDPNLANGSCGLPAQPLSPTNLSTAGLSCGAYYWASFVNCSETIQNDTRYHRLSCLSRNDTLVYVIVAPEADELGYLHPWCEYLSMIPVAYDASLFDDPPSSAIDAFSILQKGFDCYIPGRRGVLSHWYSPFCTACYALALNFLCFLCYAVPFMVGRLVIAPIIVCVFFAHKLWVSRASVDTVEKFLRAQQTLTPTRYGYTDIVAITRHFRQKLGQGGFGSVFKGELAGGLLVAVKLLGNSKCNGDEFISEVSTIGRIHHVNVVRLVGYCAEGSKRALVYEYMPNGSLDRYIFASKPTTARTFTSEKLIDIAMGVARGIHYLHRGCDMQILHFDIKPHNILLDRNFTPKISDFGLAKLYPKGNSLVSVSAARGTVGYIAPELISRSFGIISHKSDVYSFGMLLMEMAGRRRNVDPRAENSSQVYYPSWIYDKLARQQEIQFDDTSEIEELEKKLAVVGLWCIQIKPSERPTMAKVLEMLEADASSLPMPPKPFFSSVDSTSETKTCLISSSAELSIISE >Ma07_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6214929:6215473:-1 gene:Ma07_g08340 transcript:Ma07_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRSATTFRRSGSSGLVWDERLFSEKKKEKEEEEEKEEEGAEEIRELKHSKGVGSTRRTMGRNRSTGDGRHAFRAGVLPPNVDPPSPKVPRCLCCGFFGKRGSARPSKPRSQ >Ma08_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37419102:37420061:-1 gene:Ma08_g24110 transcript:Ma08_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKQDDERKDVIPRFQHHCLRQHQQLLLLHKRECLSDEVDSSRSSAEVKKAKADVVEQTAERGLVVVNTGGDGGGGDGATIEVAKRRRGRPLGSKNKPKTSVVITREAEAPAAMRPHVLEIPAGHDVAQSLAHFARRRNLGICVLAGTGSVANVALRQPHFGGAPPPPQAATIGFRGRFEILSISATFLPPAMAAVSPRIGGEISISLAGPQGQVVGGTVAGPLIAAGTVVVVSAAFSNPTFHRLPVEDNVSISVSISGGGQGGEAEEHEPHVHHQHQQQRCHDGTAAESCGMSIYGDHLMSDVIWPPSAHPPPPPPF >Ma03_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3997083:3998611:-1 gene:Ma03_g05810 transcript:Ma03_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDTQSPSRSQSQSVSRSLSPPSSPPPVSVVSPSPGPSPHHHHNGQVAEAVTVAAPPHHALPLALPIQQPRPSPAAGGGGGGGREDCWSEGATSILIDAWGERFLELSRGNLKQKHWQEVADAITSRDGYTKAPKTDVQCKNRIDTLKKKYKIEKSKVSATGGGATSSWPFFHRLDLLLGPNHKPAPPPPGSDIPAGIPIRPPARLPQLIPQRPRTSQPARKKARSSPPSVSSKSADSSGDSSDGFPPPPPRAANGKRQRREPEEEEEVGGGRMAGLRELTQAILRFGQVYERVENSKLRQVLEIEKQRMEFTRELELQRMEFLMKTQMELSQLNNNHHHHGSNSRKKKRLDDAGGSSSNHRYRNNSSNSNNTENNG >Ma08_p25640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38399807:38414797:1 gene:Ma08_g25640 transcript:Ma08_t25640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMDSKVQDLEPPTSNSVVRMGSRDRSGMEDPDGTVSSVAQCIEQLRRSSTTAQEKENSLKQLLDLIETRDNSFGAVGSHSQAVPALVALLRSGSLGVKMLAASVLGSLCKEEELRIKVLLGGCIPPLLALLKSSSVEGRTEAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWDQLKNFPRNENMVDSLLTGALKNLSKTTEGFWSETIKSGGVDILIKLVASGQTSTLANVCYLLGCLIMEDTSVCSQVLAAESTKQLLKLLGPSNDSPIRAEAAGALKSLSAQCKEARHVIVNSNGIPSLINATIAPSKEFMQGESAQALQENAMCALANISGGLSSVIFSLGESLESCSSPAQIADTLGALASALMIYDLNADSMRASDPFVIEEILVKQFKPKFPFLVQERAIEALASLYGNSILSKKLTNSDAKRLLVGLITMTTNEAQDELVKSLLILCNKECTLWHALLGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSSKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSVLLTSDQPESKIYVLDALKSLLLVAPLNDILREGSAANDAIETMIKILSSSREETQAKSASTLAALFHRRKDLRETHVAVRTLWSVIKLLNVESEKVLMEASCCLAAIFLSIKQNKEVAAVGRDAFAPLMLLANSSVLEVAEQATRALANLLLDHEVSVQAIPEEIILPATRVLQHGTMDGKTHAAAAVARLLQGHSVDQALADSVNRSGTVLALTALLESASIESAATSEVLDALVMLLRSKGSAEHIKPPWAILAEHPHTIIPLVSCIADGTPLLQDKSIEILSRLCHDQQSALGAVVLETSGCVPSIAKRVIGSNSFKVKIGGGALLICAAKEQSQKLMEALNEPNLCTHLIHSLVGMLHSTNSSIHQRDGQGNMDISISRHSKGKMRNSEAECSTAIISSNMVAIWLLSVFAAHDNRSKATIMEAGAVEIITDKISQYTFPSIQSDSKEDNIAWVCALLLAVLFLDRDIIRSNATMHSIPVLASFLRSEDTVNRYFAAQALASLVCNGSRGTLLAVANSGAASGLISLLGCADSDIADLLELADEFFLVQNPEQVALEKLFRVDDIRNGATSRKAIPILVDLLKPIPDRPGAPFLALGHLKQLAVDCPSNKLVMVESGALEALTKYLSLGPQDATEEAATDLMGILFGTAEIRRHESAFGAVNQLVAVLRLGGRNSRYSAAKALESLFLADNIRNGESARQAVQPLVEILNTGLEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSNCTAELKGDAAELCCVLFGNTRIRSTMAAARCVEPLVSLLVSESSPAQHSVVRALDKVLDDEQLAELVAAHGAVVPLVGLLFGKNYSLHETVARTLVKLGRDRPACKLEMVKSGVIESMLSILNEAPDFLCVAFAELLRILTNNASIARGPSAAKVVEPLFLLLTRPEIGPDGQHSVLQVLINILEHPQCRSDYHLTPQQALEPVIALLDSPTQAVQQLAAELLSNLLLEEHLQKDAVTEQAIGPLVQLLGSGVPIIQQRVIKALVNIVLIWPNTIAKEGGVYELSKVILQVEPPLPHAIWESAANILSSILQYSSEFFLEVPVAVLVQLLHSGTESTVVGALNALLVLESDDSTSAAAMAESGAIEALLELLRNHQCEETAARLLEALLNNVKIRETKSAKSAISPLSMYLLDPQTQSQQGRLLAALSLGDLFQSEGLARSADAVSACRALVNLLEDQPSEETKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLINSSNPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWASGSVNEEYLKALNALLSNFPRLRATEPATLSIPHLVTSLKTGSEAAQEASLDSLFLLRQAWSACPAEVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLKQSVGNPSVFCKLTLGNNPPRLTKVVSTGPTPEWDEAFAWAFDSPPKGQKLHISCKNKSKLGKSSFGKVTIQIDRVVMLGSVSGEYTLLPESKSGPRDLEIEFQWSNK >Ma08_p25640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38399807:38414797:1 gene:Ma08_g25640 transcript:Ma08_t25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMDSKVQDLEPPTSNSVVRMGSRDRSGMEDPDGTVSSVAQCIEQLRRSSTTAQEKENSLKQLLDLIETRDNSFGAVGSHSQAVPALVALLRSGSLGVKMLAASVLGSLCKEEELRIKVLLGGCIPPLLALLKSSSVEGRTEAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWDQLKNFPRNENMVDSLLTGALKNLSKTTEGFWSETIKSGGVDILIKLVASGQTSTLANVCYLLGCLIMEDTSVCSQVLAAESTKQLLKLLGPSNDSPIRAEAAGALKSLSAQCKEARHVIVNSNGIPSLINATIAPSKEFMQGESAQALQENAMCALANISGGLSSVIFSLGESLESCSSPAQIADTLGALASALMIYDLNADSMRASDPFVIEEILVKQFKPKFPFLVQERAIEALASLYGNSILSKKLTNSDAKRLLVGLITMTTNEAQDELVKSLLILCNKECTLWHALLGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSSKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSVLLTSDQPESKIYVLDALKSLLLVAPLNDILREGSAANDAIETMIKILSSSREETQAKSASTLAALFHRRKDLRETHVAVRTLWSVIKLLNVESEKVLMEASCCLAAIFLSIKQNKEVAAVGRDAFAPLMLLANSSVLEVAEQATRALANLLLDHEVSVQAIPEEIILPATRVLQHGTMDGKTHAAAAVARLLQGHSVDQALADSVNRSGTVLALTALLESASIESAATSEVLDALVMLLRSKGSAEHIKPPWAILAEHPHTIIPLVSCIADGTPLLQDKSIEILSRLCHDQQSALGAVVLETSGCVPSIAKRVIGSNSFKVKIGGGALLICAAKEQSQKLMEALNEPNLCTHLIHSLVGMLHSTNSSIHQRDGQGNMDISISRHSKGKMRNSEAECSTAIISSNMVAIWLLSVFAAHDNRSKATIMEAGAVEIITDKISQYTFPSIQSDSKEDNIAWVCALLLAVLFLDRDIIRSNATMHSIPVLASFLRSEDTVNRYFAAQALASLVCNGSRGTLLAVANSGAASGLISLLGCADSDIADLLELADEFFLVQNPEQVALEKLFRVDDIRNGATSRKAIPILVDLLKPIPDRPGAPFLALGHLKQLAVDCPSNKLVMVESGALEALTKYLSLGPQDATEEAATDLMGILFGTAEIRRHESAFGAVNQLVAVLRLGGRNSRYSAAKALESLFLADNIRNGESARQAVQPLVEILNTGLEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSNCTAELKGDAAELCCVLFGNTRIRSTMAAARCVEPLVSLLVSESSPAQHSVVRALDKVLDDEQLAELVAAHGAVVPLVGLLFGKNYSLHETVARTLVKLGRDRPACKLEMVKSGVIESMLSILNEAPDFLCVAFAELLRILTNNASIARGPSAAKVVEPLFLLLTRPEIGPDGQHSVLQVLINILEHPQCRSDYHLTPQQALEPVIALLDSPTQAVQQLAAELLSNLLLEEHLQKDAVTEQAIGPLVQLLGSGVPIIQQRVIKALVNIVLIWPNTIAKEGGVYELSKVILQVEPPLPHAIWESAANILSSILQYSSEFFLEVPVAVLVQLLHSGTESTVVGALNALLVLESDDSTSAAAMAESGAIEALLELLRNHQCEETAARLLEALLNNVKIRETKSAKSAISPLSMYLLDPQTQSQQGRLLAALSLGDLFQSEGLARSADAVSACRALVNLLEDQPSEETKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLINSSNPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWASGSVNEEYLKALNALLSNFPRLRATEPATLSIPHLVTSLKTGSEAAQEASLDSLFLLRQAWSACPAEVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLKQSVGNPSVFCKLTLGNNPPRLTKVVSTGPTPEWDEAFAWAFDSPPKGQKLHISCKNKSKLGKSSFGKVTIQIDRVVMLGSVSGEYTLLPESKSGPRDLEIEFQWSNK >Ma03_p28070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31241742:31244184:-1 gene:Ma03_g28070 transcript:Ma03_t28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTNHIVRMLNLNQPPNPGGGISSGAGANEEVYKILIMDAFCRGVLSPLIRVNDLRKHGVTLYFGIDKERQKVPDVPAIYFVRATPDNVERIAADAGRGLYDSFHLNFSSSLPRPLLEDLARRTLEKDAVHRISKVYDQYLEFVSLENGFFSLAQPKTYVQINDPSAGEREIEDILEKIVSGLFCVLATLGVVPVIRCARGGPAEMVASALDARLRDHLVTRNNLFMEGAGLTSSFQRPILCLFDRNFELSVGIQHDWNYRPLIHDVLGIKLNRVTMPAEKSAVKSYELDDSDPFWVANSWSPFQKVAEEIEIQLSKYKQDADEVNRRTAGKDGAEFEGTYHIGDTKHLANAINSLPELTERKMIIDKHTNIATVLLGEIKERSLDAYCTLETDMLTKGSVDRSALLSLLRGKGTKADKVRLAIAYLLSLETTPQAEVESIETALRESEVDTRAFHYVKKIKSLNAQLTASASSSSRSNIVDWAEKLYGQSISAVTAGMKNLLSGGRELALTRTVEDLMEGKPNPEVDSYLIFDPRAPKTGSGVQLKGPFKEAIVFMIGGGNYVEYRSLMELAQRSQPVKHVIYGTTELLNGTEFVEQLTELGQKMGLGNSGVTPPQ >Ma09_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10719755:10722308:1 gene:Ma09_g15390 transcript:Ma09_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLLLSSLPQIHTYPKKPHHHSAAMDSPGSYIRPIRCFRPPTLPVPTDGINPIAVSGTSYVPDPPHWKKAAEALSCAHFDEVHRMITQFSTLSSVDLEGTTLTVAQVAAVSRRLTVSVVLDADTARERVDRSAAWVADNIERGTDTYGVTTGFGATSHRRTKKTADLQTELIRFLNAGVVGKEFLPSSYAKAAMLVRTNTLMQGYSGIRWELLEAIAKLMNCNMIPKLPLRGTITASGDLVPLSYIAGLLTGRHNSRVVTPEGDEITSVEALKRVGIGAPFELQAKEGLALVNGTAVGSAVAATVCYDANILALLSVILSAMFCEAMQGKPEFTDPLTHELKHHPGQIESAAIMEFLLDGSDYMKEAKLRHEREPLTKPKQDRYALRTSPQWLGPQIEVIRTATHSIEREINSVNDNPLIDVARDIALHGGNFQGTPIGVSMDNLRVALAAIGKLVFAQFSELVCDYYNDGLPSNLSAGADPSLDYGLKGAEIAMAAYCSELQYLANPVTTHVQSAEQHNQDINSLGLISARKSAEAVEILKLMMATYMIALCQAIDLRHLEENLREVVKHVLLQSARKSLYVAEDGRLTEARFCEKELIQVAERQPVFSYIDDPANPSYALMLQLREVLVEKALEGTEEGMAAFKRIPKFQEELGSALNEEIAKARERFGRGDFIVGSRIKKCRTYPVYNFVREEVGTELLTGEKKVSPGEYIEKVYEAMEEGKIGEVVVKCVGEWRGSAGPFTPRPEASSPALRNPENWSWFEQVRSPSATSGRGYWNL >Ma04_p25620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27342220:27345374:-1 gene:Ma04_g25620 transcript:Ma04_t25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRDFGFGEFGFFLNLLIEDRLCWFPGKLEEVWQRVVGDKDGEKADGGGRAAGHADERTSRSKPHRRSVSDENIRLRLVEVPAVNSNEALPLVYDPDIIASYWGKRPRAVSTRIIQLLSVAGGFLSHLAWDLINKKIAENEVAWAIELREIVPSFPDDVVMALIEEELGQPWCKIYSELTPSPIAAASLGQVYKGCLKETGELVAVKVQRPFVLETVTIDLFIIRKLGLFLRRFPQAIDQLLGLLLLKG >Ma04_p34040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33388326:33391590:1 gene:Ma04_g34040 transcript:Ma04_t34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MAEEKQRSLLLDSASRFPLPHGARFSYGTAGFRSEGSILASTVYRAGVLAALRSLKTGSAIGLMITASHNPVSDNGVKIADPDGGMMVQRWEPFADALANAPDSEHLLHLVVRFVEEENIPFGGVQSAEVLLGRDTRPSGEALLEAAKHGINAIIGAVAIDMGVLTTPQLHWMVRSRNKGMVASESDYLAQVSKSFRCLMDLVPRERIADSLDTELVVDGANGVGGDKLEQLKKMVTGLDISVKNTGKKGEGMLNESCGADYVQKEKVVPSGFGPDYVGVRCASLDGDADRLVYFLIPSASSKNIDLIDGDKILSLFAVFIKEQLDVLYKGSDSNNKPPVRLGIVQTAYANGASTAYLKRLGLEVVFTPTGVKYLHKKAAEYDIGIYFEANGHGTVLFSENFLSGLECWSNELASASSVGSEQHKAALRLLAVSQLINQAVGDALSGLLLVEAVLQYMGWSIKRWNELYQDLPSRQLKVKVADRNAVVTANAETQVVKPSGLQEFIDAESGKHPHGRCFIRPSGTEDIIRVYAEASTQEAADSLARSIVQLVDRVLGSGNSHQ >Ma11_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25270205:25273353:-1 gene:Ma11_g20900 transcript:Ma11_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGENDCGVTKPEGVRVTGNRRALRDIKNLVGAPLHPCAVTKRASTDKSNLGHKNPTFVPRRPRTRKFAATLANKSQADGHHEHIGNERKHDPQIPSLLSSSSLDTCTATDTNEHGLSEELPMPMAEETEEMDISDPEEVEMENLAVEMIPDIDSCDSKDPLAAVDYVEDIYSFYRQIEVTSCVGPDYMSNQFDINEKMRAILIDWLIEVHYKFELMDETLFLTINIIDRFLERQTVLRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDRAYTRNEVLAMEKLILKTLQFNMSVPTPYVFMRRFLKAAQSDIQLELLSFFIMELCLVEYKMLKFRPSLLAAAAIYTAQCTLKGFKHWNKTCELHTAYPEDRLLECSMLMVGFHLKAGTGKLTGVHRKYSTFKYGCAAKSEPALFLLNAGL >Ma04_p32090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32217091:32219380:1 gene:Ma04_g32090 transcript:Ma04_t32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTKMASHSAPALFTSLLLLMLLLARAHGYSSNRTTGSMVPAIIAFGDSIVDPGNNDVLATTIRCDFPPYGQDFIDHQATGRFSNGLIPTDLIASGLGVKELLPPYLGVDLSPEDILTGVSFASGATGFDPITPEIVSVFSMTDELKLFAEYKEKLYAIAGEERGAEIVSEALYVVCAGTDDIANTYFTTPFRRPHYDIPSYVNLLISGASDFIKQLHGMGARKIGFVGLPPIGCVPSQRTVGGGILRQCEETRNQAAQLYNSKIEQEIRRLSGEFGDGTKLIYIDIYDVLLDLIRRPGYYGFKVSTKGCCGTGTIEVTLLCNSKTATVCSDVTDYVFWDSYHPTEKAYKIIVGSIFDNYIQFLV >Ma06_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12005416:12010620:1 gene:Ma06_g17700 transcript:Ma06_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTESWILMATGRTPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPTFDRDRMWLNGKEIPLSGDRFQSCLREIRKLADDVEDEKKGIRIRKDDWQKLHVHIASYNNFPTAAGLASSAAGLACFVFTLAKLMNVKEDCGKLSSIARQGSGSACRSMYGGFVKWTMGKDACGSDSIAVQLASESHWNDLVIIIAVVSSKQKETSSTTGMRDSVETSPLLQHRAQTVVPGRILQMEEAIRNRDFASFARLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVERWNRSEGTPQAAYTFDAGPNAVLIAPNRKSAGLLLQRLLFCFPPPADNELTSYVIGDKSILHEAGLQSMKDVEALPPPPVSKDKYPSQKFPGKVSYFICTRLGSGPRVLTDESLALLSPTTGLPK >Ma00_p02470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17875316:17876452:1 gene:Ma00_g02470 transcript:Ma00_t02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNAERETAAAKEGGGERKRKRGAEKPLAKWRTQGEQKTYSSKLVEALRRVRRSSPAASTDHSRSRAVRAAADRALALAARGQTRWSRAILSGRTLKLRVRALARAGGPKSLGGVATVFASRPAEKTKPQALERKARVLGRLVPGCRKLPLATILEEASDYIAALEMQVRAMSTIANILSAAGSQPM >Ma00_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17875316:17876452:1 gene:Ma00_g02470 transcript:Ma00_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNAERETAAAKEGGGERKRKRGAEKPLAKWRTQGEQKTYSSKLVEALRRVRRSSPAASTDHSRSRAVRAAADRALALAARGQTRWSRAILSGRTLKLRVRALARAGGPKSLGGVATVFASRPAEKTKPQALERKARVLGRLVPGCRKLPLATILEEASDYIAALEMQVRAMSTIANILSAAGSQPM >Ma03_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:891125:893650:-1 gene:Ma03_g01160 transcript:Ma03_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKLGLPLLLGFCVGVLTCVVVMSVSTIEQQLSLFYGVWVHPAEEISRDPQISDAGISPNSSVVVRSKQNSPSPMLNNAASTGLIQQNTLRLEHGKGAERDRGKDADERVQRHSSSTTKLSSPKVEIIESKPPDGTMKGMKAREKPVCDLSNYRTDVCEMDGDVRVHGKSSSVVLVTDHQSQDPGVQPSWRIKPYARKFDKAAMEHIGEVSVRSSTSIGDVPRCTVNHSVAAIVFAIGGYSGNYYHDFTDVLIPLFITSRQFDGEVQFLIATQKFWWIGKYKPVLRQLSRHEIIFLDDDDQVRCFRHVVVGLHSHKPMSIDPARAPNGYSMVDFTKLMRSAYSLERDSPIRLGESPTAKPRLLLISRNGTRRFVNFEEIVRAAEKLDYEVVVAEAGTRTNVASFTRVVNSCDVMVGVHGAGLTNFVFLPTNAVIIQIVPYGNLQNISRSCFKDSTEDAQLHYLEYCIGVEESSLTEQYPRDHPVFRDPKSIHRLGWKKMADVYLDHQDVKLDMERFKPLLLKARHLLHHRHHHHHQRQ >Ma08_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10806955:10809660:1 gene:Ma08_g13670 transcript:Ma08_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYLFSILIKSKTNKAMLYVLIISDTNMPFFFFEERET >Ma04_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24104863:24106964:-1 gene:Ma04_g21570 transcript:Ma04_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKKGGSRGRRQKGKVQRMLPESTPRGPPLVVEEAASTSLWPLKKMTSPDRFPCSPSCSSNSTTPASSPRPLASAMPIFPFACEDSLPAPLLPALGASRFPRQEQSEQQMISFDRSRRHQTGACPPSPSFMTEGAAAMDREQQLQQQRYQERLLKHWSEALNLSPRGNLAMMSHLARRRSLASLYPGIFQSFMLPPPPAPAKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEAAALAYDREAFKLRGENARLNFPNLFLGKGGGGGSRDGASFSSSSSSAPATPEEAKQHQQDESRQPSQLESRFNTPPATAETTVDGGMSSVADSPSGIHEPANLGAMVTTPQPTEMVWGDADEAWFSTWGPGSSVWDDVDGANSLLLQSRLTTIPESDMDYSDPTASATTKAYQDTDTPASPSSAPPHPPSWFMWKE >Ma01_p20660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19276302:19276874:-1 gene:Ma01_g20660 transcript:Ma01_t20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMRNPKIMKKAQKEVMEKLKGKNRIQETDVVELNYLKSIVKETVRLHPPTTLIPRMCRKTCEVLGYEIEADTLVLVNAWAINRDPQYWEEAESFRPERFESKSIDFRGGNFEYLPFGAGRRICPGMEFGLATVHLSLAQLLLYFDWKLPDGRKPEELDMSETYGLTITRKTELKLFATPCILIPSTV >Ma02_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22571869:22575438:-1 gene:Ma02_g14540 transcript:Ma02_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAAIPSSLVQESFAELEKQRELITCCTLLWKELSDHFSTLERGLEIKSEALRSKRQSLDASTRRTLGSLRRRELSIDAAVDLALSKLDERRVAAVQALAAAAADGDELGLPEKLRSLCTKMDFDGFFDLVVAKRKDVELLRSELPVALADCIDPSKFVMNAISGVFPVDKRLVKSPNDLGWACVLILESLVPVLADPELGTARLLVTRTTRERAKEIAEEWKEGLEQHGGVENTKPSDAHTFLQLVVTFGIVEKDDKALYQRLVVSFSWRKQMPKLAISLGLEDKMEDIIEELISNGHQLDAINFAYEAGLQDKFPPVPLLKSFLKDSKKATSTSDDRNNCGQTANNTCRKEQSVIRAAIKCIQEHKLEAEFPLEGLQKRLEQLEKAKVEKKRPSGGGPANKRTRASNGGPMPPAKAGRLTSNACVSSFPAAPAFVRSPSSHATYPAAAPYPYDSPAGLGVYGSRSPPALRDSYGYPAEVGSAALGASYPSPPMSYPVYGNYNNGLGGYNNALTPVYQQAYYR >Ma03_p30790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33273704:33276674:-1 gene:Ma03_g30790 transcript:Ma03_t30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRTAPNSQVGSDRVVRVQAPLVDSVSCYCKVDAGLKTVAGARKFVPGAKLCLQPEIVPHGPRIRNSRRERSRTQAPLLPGLPDDLAIACLIRVPRVEHLNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVYVIKRARDGKISWNAFDPNHQLWRPLPPVPADYSEALGFGCAVLSGCYLYLFGGKDPSKGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVVNNCLYVAGGECEGNQRTLRSAEVYDPNKNRWTSIAEMSTGMVPLIGVVYEGNWFLKGLDSDGQDVSEVYAPTTNTWSTVSGGIVTGCRNPSISLNGRLYASDCRDGCKLRVYEGATDSWNKFMDSEHHLGNSKAFEAASFVSLNGKLGIIRNNMSISLIDVTNPVSSIETNSARVWEAIAGKGQLKNFVSSLWSSLAGRSWLKDHIVHCQVLQA >Ma06_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5319356:5324024:-1 gene:Ma06_g07500 transcript:Ma06_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHSSAARRTAVLAGHLLRGSAPADGLSSALIEPAACLHYSPPELSEQPPSFDTASLRRVLDGHDTEGRDWLFRLMEESSLFCPRRRGNRTFVAPDYNQTMEQQRAVTMRRIEYLLANGVFEGWLTGSGPEALMRKFAFFECIGIFDHSLAIKLGVHFFLWGGAVQIFGTKHHHDKWLKAAENYQVQGCFVMTELGHGSNVRGLETIATYDANAGEFVINTPCESAQKYWIGGAANDATHAVIFSQLLINGTNQGVHVFIAQIRDAEGNVCPNVRVADCGHKIGLNGVDNGRIWFDNFRVPRENLLNSVADVLPDGRYVSPIKDPDQRFAALLAPLSSGRVNIAALAVCISKVGLSIAVRYALTRRAFSISASVPEVLLLDYPSHQRRLLPLIAKTCAMSSTTNFLKRLFTKRTRESNKSIHIYCSAFKATLSWHTMRVLQECREACGGQGLKTENRVGILKGEYDVQSTFEGDNNVLMQQVSKALLAEYIAAHKRKSPFKGLGLEHMNDPCPIIPSNLNSCTLRSSKFQLDLFCLRERDLFGRYANEVSQYQAQGETKEKAVLLSYQLAGDLARAFAERSILQIFIDDEMAQPAGPLKDILGLLRSMYALICTEEDASFLRYGYLSLDNAAVARKEVMKLCYELRPHALSVVSSFGIPDAFLSPIAFDWIQANSWSSLNEE >Ma08_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8067055:8067307:-1 gene:Ma08_g10930 transcript:Ma08_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDALRHHLAKEKSTLDLNHDY >Ma05_p24850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36990248:36999951:1 gene:Ma05_g24850 transcript:Ma05_t24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MGNQTYKIIIGVSVGIAVGILIGCGALIVIRLYKKRSYGQHQSRDLSIASVPIRVNGVNTSIDSSATLSGSGTNSGFQYMAKRNANTKDLFASLSGIPRYSYKDIQKATKNFTTVLGQGSFGPVYKAAMPTGELVAVKVLSSNSSQGEKEFQTEVLLLSRLHHRNLVNLVGYCVDKSQRMLIYEFMTNGNLASFLYSDNPRILSWEERLQIASDVSHGIEYLHEGAIPPVIHRDLKSANILLDKSMRAKVADFGLSKEETFDDRKSGLKGTYGYMDPDYMSTSKFTKKSDIYSFGIILFELITAINPQQGLMDYINLAVIGEDGKADWEEIVDKKLVGRSNPEEVRLLADIAYKCLHKTPRRRPSIADLTLAISSIRQRRLINSDTLPSSGSDDASRIMRRIESQQVELSNVTSLRSHMSLKV >Ma10_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29651882:29660472:-1 gene:Ma10_g18890 transcript:Ma10_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLAPTSKGGAGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIVYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVTNTQLDLETVKAICSEYRIHNADISLRYDATADDLIDVIEGSRVYMPCIYAVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLEKIWEYLDLVRIYTKPKGLNPDYEDPVILSSKRTTVEDFCNRIHKDMVKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >Ma07_p25870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32834259:32838117:-1 gene:Ma07_g25870 transcript:Ma07_t25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMHICCVRTEQEDSRSNGGSSKRGKQKEGASGISCNMFRGSWVYDQSYPLYDSSTCPFLDPEFDCQRYGRPDKSYLKYRWKPDACELPRFNGLDLLRRWKGKKIMFVGDSISVNQWQSLVCMLHAAVPDAKTTYKKNDTLSTITFTDYGVSVIRYQSTYLVDIVEEPIGRVLRLDSIQSGAAWLGIDMLVFNTWHWWSHNGKKQPWDYVRDGDQVLKDMDRLVAFNKGLTTWAKWVDANINPAATKVFFQGISPTHYKGAEWGDKNAKNCYRQTQPVNGSTYPGGPVPAQGVVTSVLGAMSKPVYLLDITLLSQLRIDAHPSAYSGDHPGMDCSHWCLAGVPDTWNQILYAALL >Ma04_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2488629:2489964:1 gene:Ma04_g03150 transcript:Ma04_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNNFNALTYIAEYIMNIRRSCNKKHIILVHGACHGAWSWYKVTTLLRSAGYQVTVPDLAASGVDERRLEDLRTFTDYSQPLLDILACLPPGERVILVGHSLGGLNIALAMDRFPEKIAAAVFVTAFMPDSVNPPSYVMDKHKEEKPMLNWGDTQFGLVGDEDKGPASVLFGTEFLSKLYTRSPPEDLTLARTLVRPSSAFLEDRASMPPFSPSGYGSVEKIYVVCAQDEIIREGFQRWMIENNPVKEVRVLEDADHMPMFSTPKQLFQCLSDVADAYA >Ma11_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26826334:26827391:-1 gene:Ma11_g23510 transcript:Ma11_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSSLKFLGSWADSHTHRVRLALKLKGLVFEYQEEDSANPSSALLLHNPIYKKVPALLHDGRPVVESLVILQYIDETWTDNPIMHADPFERAIVRFWCHFADDKLGPAVGLVFSSAGEGQKAAVDQVHENLKLLERELRDGAFKGRRFFGGDNIGLLDIVLGCGSYWLAVFEEVMEVKLVDPDTFPLFHAWLRDFEEQKEVKETIPPIDKLLEYARGIRQMMLSLSTDTTTATTIGAPATGSTTSNNDAAVEEIGLLQESLVL >Ma08_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15752450:15754170:-1 gene:Ma08_g15560 transcript:Ma08_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTASRYAHHLSHRPGLHLRHHHDPESDDQNDGGDSSNNGHSQFRSSDREGSATDQQGLEPVAAGSGPGDVVARRPRGRPQGSKNKPKPPVIITQESANTLRAHILEVGAGCDIVECIATYARRRLRGICVLSGSGTVSNVTVRQPSTAGSVVTLHGHFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGTLFAAGPVIVIAASFTNVAYERLPLEEEEPPQMQMQPPDPQGSGGDGGSGTSGAGGNTFSDPSGLPFYSLPLNMANSQLPVDAHGWPAGAPGRSLF >Ma09_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35274509:35275077:-1 gene:Ma09_g23440 transcript:Ma09_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVLLCSEEEKVLGTQQAPGSCPHCGGAVMATDVESARRLCFLPLSRSVKRKYSCTHCSRRLVAYP >Ma03_p27290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30718210:30725831:1 gene:Ma03_g27290 transcript:Ma03_t27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase DDM1 [Source:Projected from Arabidopsis thaliana (AT5G66750) UniProtKB/Swiss-Prot;Acc:Q9XFH4] MRRMEGEENGDKVDGAADSPTSVLEEEQGICNANAVVTASEEEEKPQIGGHGESVVAEEVTALPLEFEAKNGDTSLITDAMEKEEEKLLDARMNEAKVKQEMSRDIDDHELRFSKLDELLTQTQLYSEFLLEKMDDITFHNNSENTAEVEDEAKGKKTGRGRKRKPASQYNNRKAKTAVRAMLTRSHEAMAPEDAGLTEEDKAEKEQSELVPLITGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYMVIAPLSTLSNWVNEVSRFVPSMTAIIYHGSKKERLDIRRKHMPKEIGPKFPLIITSYEVAMNDAKFLAQYKWKYVVVDEGHRLKNSKCILLRELRRLPIENKLLLTGTPLQNNLAELWSLLNFILPDIFASNEEFESWFDFSGRANSESQLEENEEKRRVQVVTKLHSILRPFLLRRMKENVERMLPRKKEIILYANMTDHQKHIQDHLVDKTFGNQLEKEADNVTWMSGMKGKLNNLIIQLRKNCNHPDLFESAINGSYFYPPIEQLIGQCGKFQLLDRLLGLLLAQKHKVLIFSQWTKVLDIIDYYLSEKGLEVCRIDGRVKLDERKRQIDAFNDLNSNVSIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKRAFGKLKLEHVVIAKGHFQLERMKPNTLEESELLALLRDEEDPEDKLVQTDITDEDLLRVLDRSDLTGPVDGDAASRNSLPLKGPGWEVVIPTKSGGGVLSSLSS >Ma02_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2546993:2548679:1 gene:Ma02_g00260 transcript:Ma02_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPVAPAESSAFWQSVQRAQAAVQSLYLILGSLPDAVASSENPAAALLNDEAVAREVSIRLRRHGSGAGDDNLCRWLYDTFQSNDPDLQLVVLTFTPTIAGVYLLRAISREPLAGFEAVLLALYAYETVIRGGDPETVNLPNLANPSVYHEAKAPPKKGAVELDTAVVSPALEPYGTVRSTKRARIVGVALELYYSKISSMPLSSKLAFCEFCMVWAGQDIGTKAEGERSVSGPAGIAPAPAAGEVKAGRIPLPWELFQPIVRIVGHCLLGPANPEELKTTAYAVVERLHWRATHDMNPQEILATRSLLRLGKMGDDTIAEPQISTNSDDYSEEALKMNARLT >Ma02_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27497499:27498395:-1 gene:Ma02_g22050 transcript:Ma02_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPVASLLLFLNFCMYVVVTAIGGWAINVAINRGFIIGPELALPAHFAPVYFPIGNFATGFFVVFALIAGTVGAASAIAGFNHIRFWNYDSMQPAASSAVTAWLLTLLAMGLACKEIVLEGRNARLRTMEAFLIILSVTQLVYILVIHGGSSTRQASARS >Ma10_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30487617:30489325:1 gene:Ma10_g20250 transcript:Ma10_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTERDALYRVIQGFVGRSWNGSDLYPDPCGWTQIQGVSCDLFDGLWYVTAISIGPILDNSLECAEDAEFSPLVFQLKHLKSLSIFSCFSSRRQTIIPTSNWENLAENLETLELRSNRGYVGEIPADLGQLRNLQSLVLVENSLVGKLPMELGNLIHLKRLMLSGNQLSGTIPASLCNNLAELLILDLSRNSLTGSLPSSLCNARSLLKLDLSNNQLHGSLPPELGNLRHLTLLDLRNNSLSGASSKSLLGTESIQDLLLSYNPWGGSIVEFDWENLRNLTTLDLSHMGLTGTIPEAIASLKRLRYLALDNNHLSGSVFSELAALPSLNALYLNGNNLTGELRFPERFYRRMGKKFASWDNPNLCYNAVGVATGNVPHGVAQCKQDREPSTYDDSISNGRVDNGNLDKNSGPVPCYWLPALPSSISGFWLGILTQEVVIIMFLLIMLL >Ma05_p29210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39970454:39983296:-1 gene:Ma05_g29210 transcript:Ma05_t29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAWAAGLPLRSAMVRRLTPQSPISTSTLPPPLKPSAALTEDEVAEIRSLVPRLCDADRHDAAVRLLTTALLTDTPIDVLPIAVLAERVSSLPDMTIISNMPKYVGTDNLPP >Ma07_p05210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3795182:3796545:-1 gene:Ma07_g05210 transcript:Ma07_t05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGHSLRNSSELKLSPPLPWQTHHHTPVRTFKIFKEAFLLPKTNPSLILSVFLIYFLAAFLYLAAFQLSTSSLLIDLTKKLNSLVTADPSSPTYFQLLAAILHDTKELTSVEVVFIIIGMIVTYVVKTITVYALAMTYVKKLLTLKELLCNVKRIMKGPIITQFLVSLLSYGYAVLLMVVVLVLWFISDGSTFLLILVGLLAFVAVVWYLYLATLFFLSITVSVVERDCYGVRAVARATELIKGNKWAGVGIIIIPIIVAIPIAAVYVTASVFVPLGLWSQLVAELVYMLAIEVLSFFVCAAFAVYYYECRRSHGEVTPDMVGNAVYTALPTADAV >Ma09_p28040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38882678:38883109:1 gene:Ma09_g28040 transcript:Ma09_t28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRIQGAEAETTMDSPDWTSLPLDVLTKIVEKLPVPHRVCFRATCKDWCSALLPVVIPSPWLLSVGKNSDTCNFLSLPTRRSFTYSLLPELHGVLYVGSQAGWLAVFNKNLDVSLINPLTAARICLPSFLTLPNFELVGGSC >Ma06_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6813880:6823455:-1 gene:Ma06_g09810 transcript:Ma06_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGVGVAHMEDPKPLISSADDRGGDRIVDRFADLCKGLALGGNTEREAMNVFKEGKNLFFASVTSFGSRSPEEIGRYWSAFVLYCVRRLSTGEAQEGQKEGRITLCQILRACDLAIVDFFNELPLFPLKAGHILTDLYGSDWDKRLELKELQENVTQLIDLSKFYDKAFGEFFSTSNMRRKDQDSAASGSVGNVQDFYRFGWLLFLALRTHVFTHCKNLVICTKGLVSILAILILHVPIGFRNFSVKDMSLFVKQSNGRVNLLASLSEIYHTSEDDLKRSMEVTYSLIIDILKKNPCCASGCKTENLDHIDTEGLKVFEDLLEEKSLQSSLEILEKDYSDLLNAKYELDERIFINTEDSLLDAKNMSGGTTRLFSTKSNLDDLASPARWAASLLTPHSPPLLNGSLNCNSKIAPTPVSTAMTTAKWLREFISPLHSKPSAELEHFLTSCDQDITSTVTRRANIILEAIFPSSSFGERSISGSLQSVNMTEDVAWAQERKMEALKLYYKVLREICLAESRFLNHNNLTSLLSNERFHRCMLACSAELVLATHKTVILMFPAVLDRTGLTAFDMSRVIETFIRYEETLPRELKRHLNSLEERLLESMAWERGSSLYNSLIVARPALAAEINSLGLLAEPMPSLDAIGMHHDNSIADLLPELSQKAVTCPDNRGDARSPKRPCTENRSLFVQCNSTPTRKEHMLTFNYLKFKTPPLQSKFASPSQPNPAGGEICAETVIRVFCNKILKLAAIRIKSLGEKLQLSQQILEYVYRMFQQILCQRTSLFFNRHIDQIILCSLYGVAKVCRVDLTFKSIVNYYKTQPQCKPHVVKNIFVAVPSANRNGRMVQEYVNIITFYNQIFIPSVKPLLVELVPAGVAAEDKNHADSQVPGSPKLSSFPNLPDMSPKKVSAAHNVYVSPLRQTKMDALISPSSRSFYACIGESTHAYRSPSSDLTAINNRLNGGDGRNLKGRINFDIVSDSVVARILGKNSSASSDARATTNLPMKRDEPDP >Ma08_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:980929:990461:1 gene:Ma08_g01070 transcript:Ma08_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQKFSKGYPSGFGPDYHHVGDTMGESEGFGSCGRAGSEDSSAPKRKCISLNADRCDKFDIPFELFSLSKMSRSEKKDLEVRLRRELEQVRMFQKKMLSSNATGTNGVAFSSSSDGYVKKGDPLGQNGSNLKCVNSGKFEMAKKVQHTNIISNPYSILMKQCETLLKRLMSHQYGWVFNTPVDVVKLNIPDYSQVIKHPMDLGTIKTRIGLGSYSSPWDFVSDVRLTFTNAKTYNPPGNDVHIMADTMSKFFETRWKPIEKKLVAADAAASKEAETPKPVLRPNKRKSPPHNNNIILPEDVRPKMTIEEKQSLSRRLASLGDMPEHMVNFLRRNNAVSHTSEDEIELDLDAMSDDLLFELRKLLEEYCLGERLRVQAKAETYEVEILNESGLSNSSMHACKGNEPAEEDVDIVGNDPPVSSYPPVVIEKDAMLRSGKCSSSNSSSSDSGSSSSDSDSGSSSESESDNKVTSPKKDMKKSILSGQALDQEISDLSNPRDGNRTSEGTNQQEQVAHPKPESVVIEQNREGDNAPSERQFSPEKLYRAAILKSRFADTILKAREKTLDQGESRDPEKLRREREELERQKREERARLQAEAKAAEEARRLVEAEAAAQAAAEAKRKRELEREAARQALLQMEKTVEINESSVFLKDLEILRTAPGEHLPSSVGETSPDRSPEGISGFQLGGSNPLEQLGLYMKADDEEDEEVEPNSATANDAEEGEID >Ma03_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25405173:25420541:-1 gene:Ma03_g20250 transcript:Ma03_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLRIASPKRCLFSTEVYKQGVLGTLFQLCNFSSKGGGKSKSNGSGSGAIMWLGRSHAPREVPVVSTGSFALDIALGIGGLPKGRVVEIYGSEASGKTTLALHVIAESQKNGGYCAFVDAEHALDPSLAESIGVKTENLLLSQPDCGEQALNLVDTLIRSGAVDVVVVDSVAALVPKSELDGDMGDVHMALQARLMSQALRKLSHSLSLSQTILLFVNQVRSKLSTFGGFKGPTEVTCGGNALKFYASVRLNTKIAGLIKKGEEVLGTQIMVKIVKNKHAPPFKEVQLELEFGKGISRDSEVIELGCKHQFITKTGVAYYCLNGQNFHGKDALKRYFAENEVVREELMIKLKEKLTQADTNNKSYKDEISSKDTQEEIISMQTTDEEVVAEVEAQSICT >Ma03_p20250.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25405173:25420569:-1 gene:Ma03_g20250 transcript:Ma03_t20250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLRIASPKRCLFSTEVYKQGVLGTLFQLCNFSSKGGKSKSNGSGSGEDNISKKDLALQQALDQITAQFGTGAIMWLGRSHAPREVPVVSTGSFALDIALGIGGLPKGRVVEIYGSEASGKTTLALHVIAESQKNGGYCAFVDAEHALDPSLAESIGVKTENLLLSQPDCGEQALNLVDTLIRSGAVDVVVVDSVAALVPKSELDGDMGDVHMALQARLMSQALRKLSHSLSLSQTILLFVNQVRSKLSTFGGFKGPTEVTCGGNALKFYASVRLNTKIAGLIKKGEEVLGTQIMVKIVKNKHAPPFKEVQLELEFGKGISRDSEVIELGCKHQFITKTGVAYYCLNGQNFHGKDALKRYFAENEVVREELMIKLKEKLTQADTNNKSYKDEISSKDTQEEIISMQTTDEEVVAEVEAQSICT >Ma03_p20250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25405173:25420541:-1 gene:Ma03_g20250 transcript:Ma03_t20250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLRIASPKRCLFSTEVYKQGVLGTLFQLCNFSSKGGKSKSNGSGSGAIMWLGRSHAPREVPVVSTGSFALDIALGIGGLPKGRVVEIYGSEASGKTTLALHVIAESQKNGGYCAFVDAEHALDPSLAESIGVKTENLLLSQPDCGEQALNLVDTLIRSGAVDVVVVDSVAALVPKSELDGDMGDVHMALQARLMSQALRKLSHSLSLSQTILLFVNQVRSKLSTFGGFKGPTEVTCGGNALKFYASVRLNTKIAGLIKKGEEVLGTQIMVKIVKNKHAPPFKEVQLELEFGKGISRDSEVIELGCKHQFITKTGVAYYCLNGQNFHGKDALKRYFAENEVVREELMIKLKEKLTQADTNNKSYKDEISSKDTQEEIISMQTTDEEVVAEVEAQSICT >Ma03_p20250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25405173:25420541:-1 gene:Ma03_g20250 transcript:Ma03_t20250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLRIASPKRCLFSTEVYKQGVLGTLFQLCNFSSKGGGKSKSNGSGSGEDNISKKDLALQQALDQITAQFGTGAIMWLGRSHAPREVPVVSTGSFALDIALGIGGLPKGRVVEIYGSEASGKTTLALHVIAESQKNGGYCAFVDAEHALDPSLAESIGVKTENLLLSQPDCGEQALNLVDTLIRSGAVDVVVVDSVAALVPKSELDGDMGDVHMALQARLMSQALRKLSHSLSLSQTILLFVNQVRSKLSTFGGFKGPTEVTCGGNALKFYASVRLNTKIAGLIKKGEEVLGTQIMVKIVKNKHAPPFKEVQLELEFGKGISRDSEVIELGCKHQFITKTGVAYYCLNGQNFHGKDALKRYFAENEVVREELMIKLKEKLTQADTNNKSYKDEISSKDTQEEIISMQTTDEEVVAEVEAQSICT >Ma02_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:1279484:1279703:1 gene:Ma02_g00110 transcript:Ma02_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLDTLVGFRRVSGKSSIIFSFIECFCGQLQRHHR >Ma01_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6172732:6181240:-1 gene:Ma01_g08600 transcript:Ma01_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVGAHGGSAARQHYYCHQCDRTVALVPNAAEISCPICHGGFLEEVEPPNPNPNPNPFFPFAPSSDAFFLSPSLPFFLSSSSSSSPAATSFDLRNPGDLAGLLGPDLVVTRSGPVAPSAAHGAAPFNPMVFLQDYFQQLLSGGANIQVVIEGGPSGGIGNLGDYFIGPGLEQLIQQLAENDPNRYGTPPAAKSAITGLPDIKISVELLASDEAQCSVCMETFKLGDEAKQMPCKHIFHKDCILPWLELHNSCPVCRHELPTDDPDYEQRRGAPAALSARPTAGIRDPVSVGGSAGAFAEGGAPSPRTVERRFRISLPWPFRIFGAQTEGSDAAAGGNDGNAGGNGTDANSGRQGDGRSETRQEDLD >Ma03_p31240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33517705:33518994:-1 gene:Ma03_g31240 transcript:Ma03_t31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSTNPCLLSFAGFASTAVVLYCTVSTIFSPPPVLDVPSSHPVFISSSSPFHDHNDRDAPDATFYDDASVSYAFDRSLTDWDAKRREWLRLHPNFDGNGRERVVMVTGSQPGPCRNREGDHLLLRFFKNKVDYCRRHGIDLFYNTALLHPAMRSLWAKLPAIRAAMLAHPEAEWVWWVDSDAVFTDMDFELPLHRYRDHNLVVHGWPHLVYDARSWVSLNSGVFLIRNCQWSLDFMKVWAVMSPLSPDYDRWGQVLAAELKDKLFNVSDDQSALVYLLLKHRDQWGDKVFLESDYDLEAYWVAIVDRLENMTAKYAEVERRVDGLRRRHAEVVSGGYGRLREEQLATEEGAVSGPNGWHRPFMTHFTGCQPCNGAHNKMYTWKSCWEGMQRALHFADDQVLRDYGFRHADPLSGDVEPLPFDYPSTA >Ma11_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:45754:47222:-1 gene:Ma11_g00110 transcript:Ma11_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAIAHTVELSLVYISWLINCTISFFFFFCFLHIHKIKLKRNQSI >Ma06_p24130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22506572:22508112:1 gene:Ma06_g24130 transcript:Ma06_t24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAICCLPASLPCIRPRHRTRILGLAPLVRLPLARSTVRHLGVSLSHVPLVEDHGGRDWGAIYFEVATTARGGGRRVVMMMANGRGSPGNGAAQGPTVMQTTLDFLRATLSPMDYSTRQEVVQRMKVVLSKIYDEVLDDPTKKEKAATMNIFGSEHLYEIRLSLTQIFIALKDYVMAKEVCTVALAKMSGNDARPRLLMAIINMMLMVDNLLWTTKGFDDPAMEKLMSDAAEHWKEYKKLESMGLGSEPPATQ >Ma01_p15210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11016809:11020440:1 gene:Ma01_g15210 transcript:Ma01_t15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSQKEQDIQMMLAAAVHLGARNCDFQMERYVYKRRNDGVHIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHPIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTISFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIPLGRKWEVMVDLFFYRDPEEAKEQAEEEAPVAPDFGAVPDYGAMVPNDQWTTEQWMPEVGAVPAAVPPVAGVEWTAGQAPVAADGWDAAAAPAPVPPPPVDVVAAPAGVPSGWEADQPTTAPSGWE >Ma08_p25420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38263637:38265816:1 gene:Ma08_g25420 transcript:Ma08_t25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIPNGMLAMLATSMKAAQNWVSRNVSEYIYGRVHIRYFSIGNEPFSETDNGSFLQTTFPALQNIQGALIKAGLSSQVKVTIPLNADVYQSSSRRPSDGDFRADIHDLVLTIVKFLSDNAAPFTVNIYPFISLYGDPNFPVDYAFFEGKSVPVIDGTTNYTNMFDANHDTLIWALKKNGYGDLPIIVGEIGWPTDGDMNANTQYAQRFNQGFMNHILINEDEKSIRPGNFERHRMFSISTRNGASSSLQSASTIQGWLQVQAMLVPMLTARALVIWMYEATLNMHSIATTRRMTKTERNKYQQYQITKQDGDNEN >Ma04_p15450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11732245:11736275:1 gene:Ma04_g15450 transcript:Ma04_t15450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKDRVLITGATGYLGRRLVKASLALGHPTFLLFRPDTASDLARCQMLMELKMEGAQLLQGSLDDRDSLISALKQVDVVVSTIAGDQILQQLKLVEAIKEAGTIKRYLPSEFGMDVDRMHHAMPPGDKVFSEKRIVRRAIEEASIPHTYISANCFAGIFLAGLAQLAIFMPPRDHVNVYGGGDKRCIWVAEEDVAMYAMLSVDDPRTLNKVVYLRPPDNILSQMEVIEIWERVIGRKLSKTFISEDEWLSQMDKMPPFEQSAMAHFCHIFYRGELDFEVEGPHGVDGSELYPHYKYVTAQEYLKRFV >Ma04_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11730001:11736275:1 gene:Ma04_g15450 transcript:Ma04_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKDRVLITGATGYLGRRLVKASLALGHPTFLLFRPDTASDLARCQMLMELKMEGAQLLQGSLDDRDSLISALKQVDVVVSTIAGDQILQQLKLVEAIKEAGTIKRYLPSEFGMDVDRMHHAMPPGDKVFSEKRIVRRAIEEASIPHTYISANCFAGIFLAGLAQLAIFMPPRDHVNVYGGGDKRCIWVAEEDVAMYAMLSVDDPRTLNKVVYLRPPDNILSQMEVIEIWERVIGRKLSKTFISEDEWLSQMDKMPPFEQSAMAHFCHIFYRGELDFEVEGPHGVDGSELYPHYKYVTAQEYLKRFV >Ma02_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15871191:15876792:1 gene:Ma02_g04430 transcript:Ma02_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPMFLLAPDLSVSRLCFGTMTLGEQNTVPQSFRLLDAAFEAGINFLDSAEMYPVPQRRETQGRSEEYIGRWMRARNVPRDRIVLATKVTGPSGQMTWIRGGPKSLDANNILEAINDSLLRLSTDYIDLYQIHWPDRYVPMFGETDYDPRQQYGSVAIEEQLDALGKAVDSGKIRYIGLSNETPYGVMKFLHISNYIQSSPKIITVQNSYNLLCRNFDCGLAECCHHESISLLAYSPMAMGILSGKYFSAGGGPSDARMNLFQGRYSEGESRYNLSNVTLKAAVEDYINIARKFGLSPASLAIAFVLIHPLVASAVFGATKVWQLREVVEACNVHLSSEMTAEINKVHARYPNPCP >Ma03_p25470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29499766:29507327:1 gene:Ma03_g25470 transcript:Ma03_t25470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGSSAARVSGTDRFYNPPAIRRKLELQKKQQQQQQQKEVMPRIRPSVEATATAAEVKVVEDRFDRDDASLKPSVSTSSSPSPSPTPPPASPTPVGNLDRLLESTTPIVPARYFSKATARGWRNGDEMESQPYFFLGDLWESFKEWSAYGAGVPLVLNGNDSVVQYYVPYLSAIQLYVDTGAATLRSGEGSSGSEENHIRETSSSLGTTGHIGQGGYVNDKNDVCPKLTLPAFEYFEKDPPYGREPLADKISVLANTFPDLKTYKSCDMLPCSWMSVAWYPIYRIPTGPTLKDLDACFLTFHSLATPRNNASPPSEVLDSCTNRNVKSCNDRPVKLCLPVFGLASYKFRGSIWTSSGLHEQQLASSLLQAADNWLRRLQVDHPDFRFFLSHSNTFRR >Ma03_p25470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29499766:29507327:1 gene:Ma03_g25470 transcript:Ma03_t25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGSSAARVSGTDRFYNPPAIRRKLELQKKQQQQQQQKEVMPRIRPSVEATATAAEVKVVEDRFDRDDASLKPSVSTSSSPSPSPTPPPASPTPVGNLDRLLESTTPIVPARYFSKATARGWRNGDEMESQPYFFLGDLWESFKEWSAYGAGVPLVLNGNDSVVQYYVPYLSAIQLYVDTGAATLRSGRSAEGNDGNNYLDTSSEGSSGSEENHIRETSSSLGTTGHIGQGGYVNDKNDVCPKLTLPAFEYFEKDPPYGREPLADKISVLANTFPDLKTYKSCDMLPCSWMSVAWYPIYRIPTGPTLKDLDACFLTFHSLATPRNNASPPSEVLDSCTNRNVKSCNDRPVKLCLPVFGLASYKFRGSIWTSSGLHEQQLASSLLQAADNWLRRLQVDHPDFRFFLSHSNTFRR >Ma07_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9021560:9024521:-1 gene:Ma07_g12070 transcript:Ma07_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPIKYLTRLLVAITSLSLLASFTDAHEDRQVYIAYMGNQPSSQYYSTDSHLTLLDRVLDGGSSAKERLVYSYTRSFNGLAARLTHEEKEKLAGEHGVISVFPSRKLKPHTTRSWDFLGLTRDLQRKQSTGTDIIVGMLDTGIWPEAEAFSDEGFGPPPSKWKGVCQNFTCNNKIVGARFYIAPDASIPVERSPRDFNGHGSHTASTVAGGEVRKASLYGIAKGTARGGAPTARIAVYKICWSDGCDSHHILAAFDDAIADGVDIISVSLGGSLAVDYFEDELAIGSFHAVAKGILTSASAGNYGPYRETVTNVAPWMLVVAASSIDRRIVDKVVLGNNKTISGISINSFPSQKKFYPLVLGDESICLEETPNTTFEGKIILCDGLYEAGAVSSGAKGALAVISDLDSARTYSLPAVGISERQGKTIRNYIERASRRPLSRIKKSRAIFNPGAPVVAFFSSRGPNPITPNILKPDISAPGTDILATWSPKGSVSNDVNDTRSVKYNIISGTSMACPHATAVAAYVKSFHPGWSPAAIKSALMTTATPMSPSRNPEAELAYGAGQLNPKKATSPGLVYDATARDFVNMLCVQGYSTRLIRLVTGDNSSSCPRNVNVTTVDMNYPTMTRYVARGKEYRAEFSRTVTNVGNPNSTYTAKVASGSGLDIEVNPRKLHFGELNEKQSFVVRVAGKPLSLNSVASASIVWSDGKHEVRSPIVIHTEHELWS >Ma01_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6746772:6751469:1 gene:Ma01_g09330 transcript:Ma01_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMRDGLSSLGRVEELLSMIQKLNDCVTGNLGDAVRQWSTVSCVLAATENKECLNQFIQLNGLSFLNRWLQRALTLSAEASGTVVEELISSSLTLFERLSSDFKRVIDSGTGIVIELLLDHKNIPIKEKARLLYDKWNLSRSDHVSCYDHNRNGASQNDQHGASENVRTSENCVNLVNPVVDIPPCSTGTVEENCEAEPSATEFQVSNATGCSDSTLLNSTYMEGALTSNQVLSTSLNLVGSNAVLVDVNSSGSYLVSNSCQENLSVTEESLVCVAVGEPSTGTCSQDGQERDGQHHASVSKVNTDSVKEMDVDIRESQSCKFNPTETCSNSSSFAFSASKTPSVDAAEQTILCKLDSNTGDSCASKSMENLPEAETLNYEREKCVITAKSNPAANLTGGFQNISSPANFLSSAGDPQLSCQREEATSSVIRDPDCEVNLKTSKSHFASSTDFLRVVGSKANDKTSQKFELGFDYLDDALEVARQVAIAVQREVVDYKERSCSSPEVNFGENTGSHSLDSEEEKQDQSVTEEVGGSSSSVGKDHSGDSSPEKVSEITQNISVPENSEQDIESLKPKVPAQELVGKTITNGCTFDLNMDLCSDELECLMKPIMKIPVNVSAPIAVIASSKGTPGFPFTPIRLGSESGWKGSAATSAFRPASPRRTPDGERTFSSSKQKSNIFEIDLNVVERVDEVADELLSVKQVPASSSLPYEDSCVKVKSRRTEKLNLDLNRLGDEDAPTCLSSPWKLHVQNGGRHPSPASSSSSRQPLLRDFDLNDNLCFPDTVGSHDIHKSSSKASESRGGPTPHGPVIRIMGSRIAVERKDNTNQVQHSFQPNGLHMEHTAVANAPVPFINMPTPAYGYHGLPTGPTMSVPPVYYSPESISYMVDTRGATVIPHVFVSGGLGVPSARPHFLFGATSTHSDVTGFASFRSGFDLNGRMTPLEGGTCEGGGFKQLFPQGHSSWLEEQAKAGAHPKRKEADSGWDSYPIGYKKMTSGP >Ma10_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25839639:25843083:-1 gene:Ma10_g12830 transcript:Ma10_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSIDYWRKFFRSANSDIFGVIEQAVAVAASDYPQEFKSRRDQIVEKFFTALLPRCLGCDRVEPRGAEGDGSGRKDGEKERGSKVDSSNDGPEELNRAVSNNSYDEAEALTEEVQEESQTVGEVLRIKEILEHNHDESDSLLYELLRRLQSMQLSVEVLKATEIGKAVNGLRKHNLKQIRHLVRALIDGWKVLVDEWVNATAAIADSSPDSLNPCMVDEEGGIPCPSLDEGVFLAAQTTSIQNSKFFDGMDEDGNYTNNGHEQLRKLQPRLQPVVPEEKGEMRRQELRKPLVLEEKKQMRRQEQQSASQVAKGNMGREEPIMRRTKPQELCVVQEKPQVMINRQNNRVIPDSGPGRSSTLSSEQKNGNETNHSKQQEVAALQRKPRIILQDKSKYSEEASVRAKLEVSKRKLHEGYQQADNAKRQRTIQVMELQDIPRQAHNSGQSVMKSRNLIRSSANSRHQFS >Ma06_p26960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28978702:28979610:1 gene:Ma06_g26960 transcript:Ma06_t26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLQSSGGCKSTATVRPPEKGLKCPRCDSSNTKFCYYNNYSLSQPRHFCRTCRRYWTKGGALRNVPVGGGCRKNNKSKSPASSFLPLDSFSGIPGIGSSLKFLDGVPTSTAMDFHIGLPPVSRLQYAPAAPGVFSSNQFITFRDISHSATFSSAATPAVGAYNEIGGNSSSAANSGNIVSSVESLSSINNDLHWKLQQQRLDMLLGGEAHKESRIYGPDQQKLNSSRVAERPTDDVCEGSGSSNAYVSTWLLDSSYSMPPSTSIINSITNSSTKSNCNINASYWDGIPAWSDMPQFTTMP >Ma07_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1837767:1840427:-1 gene:Ma07_g02310 transcript:Ma07_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENSWMSFFSSCWGKGSDSSATLPGKAQCSSGSPGGLSSSDTSNSFSQEDLSLTLAGSNLHAFTIAELRAVTRNFSVTNFIGSGGFGPVYKGFIDDKLRPGLKAQQVAVKSLDLDGQQGHREWLAEVIFLGQLRHPHLVKLIGYCCEDEHRMLVYEFVPRGSLENHLFKRLVSSLPWSTRLKIAVGAAKGLAFLHEAEKPVIYRDFKASNILIDTDHTAKLADFGLAKDGPQGDNTHVTTRVMGTHGYAAPEYVLTGHLTAKSDVYSFGVVLLELLAGRRCVDKNRPNRQKNLVDWARPYLTNADKLRRVMDPGLDGLYSTKGAQKAAAIAYKCLSHNPKSRPEMRTVVEMLEPLLNLNDVPFGGAFVYVAPKEEEEEKEKEKEKVEIQEKNHNNHDERHKQRFPNSVIHSEVSLHKDGKSLYRNSHFRKSQRQNQERGA >Ma08_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4267555:4269924:1 gene:Ma08_g06310 transcript:Ma08_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSTRFLTAVAFLAVGVVFAPDVLGSGPESPAAAVSAAKLCHLLAFATAWGAALWVTFIGGIIMFKYLPRHQFGNLQRKMFPAYFMVVSVCAAVSVAAFAYLHPWRSASSIERYQLGFLLSALGFDLSNLLVFTPMTIEMMKKRHKIERDLNIGQEVGGAKNKEAAKTNPQLAAMNKKFGMIHGLSSLANITAFGSLAMHSWYLAGKLHF >Ma08_p33310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43662956:43663345:-1 gene:Ma08_g33310 transcript:Ma08_t33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLQRHGDALPGRCHRQLPPGVQGGCQGCRRLHLGQFLGRLIPQPAPRERRVGRGRLRHRGREQRPLGRPCSLLECLQHAGAESLPERGNTLLHARLLPSNRNRHRSLRLTVGAVMRHLLPVLPQRR >Ma05_p16380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16299759:16300458:-1 gene:Ma05_g16380 transcript:Ma05_t16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKLFLAAALLLCIITGVRAGRGASCSPSDITISQTRTGGIVEGKPEYEVMVSNNCKCLQSQVLLQCYGLSSVEPVNRLAIRPVDEERCIVGDGRPISRRTPVKFKYAWMTPQDFPVISTRIQNCH >Ma05_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16299759:16300458:-1 gene:Ma05_g16380 transcript:Ma05_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKLFLAAALLLCIITGGRGASCSPSDITISQTRTGGIVEGKPEYEVMVSNNCKCLQSQVLLQCYGLSSVEPVNRLAIRPVDEERCIVGDGRPISRRTPVKFKYAWMTPQDFPVISTRIQNCH >Ma02_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15957709:15959651:-1 gene:Ma02_g04590 transcript:Ma02_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEGGRGEDAESGFVAYFKRTLWFSFCTFAVSSGSLLQILPGDKDLAAHANTAILKICILFNMFTIISSIILMFFSFIISYTQRRMITRFQLRVGTSIFISSVGLLVLTNYLFMLILKKPYMLAMVPLLLLLGITLVVLLRGGTLRHEVHGDDHALSQAQESKLLNLSSYVTAITSGGIISTVIAYMKNFTSSAYHFRLESSVVLLFINNIIGFFAMLLLLFTLMLSYSADRREGLIAIASFSTYASFVLSTLLTLMVASESLAFPHVLSTMLFAVGGVLYYHLRRRETGTQEDEVVQLEDIWKNIGTFAFLLLMVVRLLHLGSEAFAIKSFMFLCVSAFLCIQSQMLMAVLRPVGRGRLNIKIIFGLVGALLVGAMLSVISVIFI >Ma08_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35417348:35418909:1 gene:Ma08_g21570 transcript:Ma08_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMSTASSYWCYRCSRLVRVWPQHAVVCPDCDGGFLEEVGDSPSSVASESRRSRLYSTAAARPRQPSEPSFRPNRRASSRRSPFNPVVVLRGPSDGGRDEDHSTTTSFELYYDDGTASGLRPLPESISDFLLSSGFDSLLEQFAHIEINGIAHGRGREHPPASKVAIESMPTIEIMDGHIEKDCRCAVCTDAFELGTEAREMPCKHIYHQDCILPWLSLHNSCPVCRHEMPTDVQGQGATAAEGGEQAAPAAGDEEEMVGLTIWRLPGGGFAVGRFSGSRRAEEQEFPVVYTEMDGGFNDNGAPRRISWDSRGSRSRESGRIRRAIRNFFSFFGLSRSTSSRHERASASRRHSEVGAPI >Ma07_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11678129:11679520:1 gene:Ma07_g15520 transcript:Ma07_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRCDSRSWVRGRVIGSGSFGTVSLALDESSGEVFAVKSVPLHSSNPAPTLALDNEIRLLKSLRSPYVVEYLGDDTTREARAGACRNLHMEYMPCGTAADLETARKKKGNCPMDELEVRAYVRCVACALRYLHDVAGVVHCDVKGRNVLLGRAPGVAKLADFGAAVRISDGGERNSVRGTPLWMAPEVARGERPRPASDVWSLGCTVIEMATGAQPWPDYAPKDAEAAMFFVGYGDKLPEFPPWLSELGRDFLDKCLRRNATERWTAEQLLRHPFLAEADIETPRGVLEWANSEFRDDADDCSRENYSTDYRSVADSIDLMACGGERVRELASSVGIIGWDSDGWEEVRSAEELNWAGDGRTELVVGGWRGEGGRTCSEYSNCCSVGMADEDRAGSAAAAPLCGSCNGGSVGLHGTDDLNRKVFFGWCGLLLLLHVKVDVPMHSSFIIATFSVVSENGLFL >Ma02_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21925894:21926992:-1 gene:Ma02_g13610 transcript:Ma02_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAPEDSEKRTTTVCSCYAPIKRQHSKSCEGSESKRVITPMKGHANGQGPPADPNLPPPPPPPLPPPLKSNLKKPTTVGEHQMMMMRDERRKVSWPDAHGRDLAHVQVFHSSVVEEGEFAAAGKSCVCTIQ >Ma11_p03720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2772645:2773202:-1 gene:Ma11_g03720 transcript:Ma11_t03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAYVTDNTGGPILDELHHPADLFAVGAGHVNPRQAIDPGLVYDLTQEDYVPYLCGLGYNDSAVSALTRKPVRCSSLKSISQGELNYPSISVKLRANSSKSVSYTRTVTNVGKPTSIYAVKVDMPKGVTASVTPTTLSFKKVNQKKSFSISFRRSGGRSGRVSGQLRWVSRKHVVRSPISILLK >Ma07_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9558878:9562017:1 gene:Ma07_g12690 transcript:Ma07_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAPPRSLPSLLRRPFVPTISPLLLLSSRRLPNKSLLASRSPKSVPGLNRSIGRPLRATASGEEASTTVVADYDTPKRVVGPSVLVNSSSAEEDVPDEGGEQISEASDDLLPKLNLKVLSSPSASDEETSTTVVADDDAPKPVVGPSFLMNSSYAEEDVPDEGGEQISEAADDLLSKLNLKVLSSPSASDEETSTTVVADDDTPKPVVGPSFLMNSSSAEEDVPDEGGEQISEVADDLLSKLNLKMDLEDTYTILFYGAGTLVTLLILSAIISSIDSVPLFSKAMEVFGLGFTVWFSFRYLIYKENRDELVSKLDDLKQKIVGPSDN >Ma08_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2443717:2444248:-1 gene:Ma08_g03320 transcript:Ma08_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERVVTVEYLEPTMSRELLGKFPDNSAFDFDYSQSGIWSPLLPRGIDDARKKLLAGSPVTLRRVKAKLTYKKRKQKRPSAIRKSLDFSPVPSPKLGWKRVLRAAAKRFKVHARSPLQMMLPTL >Ma04_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23523374:23526129:1 gene:Ma04_g20810 transcript:Ma04_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKNAAIAFLAPLPSVLFYLSFLHHYRHRSSPSPPPSDHLWGWCFHHPLLLANVLFFANVDVLFWLIGLLLSNHWLIDLYWTVIPVMLVHYFASHPLAKADAARSAVVTVLTWVWSVRLTHNYFRRERWQWGAREDWRFNEMRKEYGRPWWWISFFAVYLSQQVFLIGICLPMYAIHSSDKPWNIWDSLATVACLSGIVIAYFADTQLYEFVSENETLSKHGAPSVPNLDTGIWRYSRHPNYFGEQLWWWSLFVYAWNVGQGWMFIGPLVNSLCLAYVTVLVERRMLKKDHRAEAYREYQRRTSVWIPWFKRTSGVPKAKSS >Ma10_p00060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:754437:778641:1 gene:Ma10_g00060 transcript:Ma10_t00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEEILLSSLRSSGVSLPSGLSASSSSIKGLSPDALVSVCAQSLRLIRGAASASLPTSIPDAAAERFKVCADVAAVIKSIGYKDDLSFHQFLYPTNEESYKLLRFLVERLSESILNTEWPNKMYESQDIHDFPCTSGRSDKELREISDIDVTEVNTHGLLGASAVPGPLEESDDAGSLYGHRKCDIEIHCKEGNVGYVSEQPRNSTEVCANKEDTVQEDSECIVELEQTLASLRLEKLKLRNETECLKDQEKSLKEKTQSKVFEIQGLEAEYELLKAAVKMAFDDQHSIELCLKELNEQAEARRGNLVKLEMQWNALKGTAEVRPLLLEQSMHAQKPELQERLLKLKEIKQVTEATVSEIHRREVEHAELIVELDKLPKVPSRKYYIDRVTEITKNSWKQDTDLHRILKEIREVQLESNSIQERLHRTYAVVEETVFRDAKNDPVGRQAYRLLTSIHDIFEQIVEKIRATDKARKEGAEQEKKLAAISSRNFDIQKLQADLDSIRKENELLEQQLYHN >Ma10_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:754437:778641:1 gene:Ma10_g00060 transcript:Ma10_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEEILLSSLRSSGVSLPSGLSASSSSIKGLSPDALVSVCAQSLRLIRGAASASLPTSIPDAAAERFKVCADVAAVIKSIGYKDDLSFHQFLYPTNEESYKLLRFLVERLSESILNTGASDDTTTSHASDSTLASGDSSNDTSKLLTEWPNKMYESQDIHDFPCTSGRSDKELREISDIDVTEVNTHGLLGASAVPGPLEESDDAGSLYGHRKCDIEIHCKEGNVGYVSEQPRNSTEVCANKEDTVQEDSECIVELEQTLASLRLEKLKLRNETECLKDQEKSLKEKTQSKVFEIQGLEAEYELLKAAVKMAFDDQHSIELCLKELNEQAEARRGNLVKLEMQWEVEHAELIVELDKLPKVPSRKYYIDRVTEITKNSWKQDTDLHRILKEIREVQLESNSIQERLHRTYAVVEETVFRDAKNDPVGRQAYRLLTSIHDIFEQIVEKIRATDKARKEGAEQEKKLAAISSRNFDIQKLQADLDSIRKENELLEQQLYHN >Ma10_p00060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:754437:778641:1 gene:Ma10_g00060 transcript:Ma10_t00060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEEILLSSLRSSGVSLPSGLSASSSSIKGLSPDALVSVCAQSLRLIRGAASASLPTSIPDAAAERFKVCADVAAVIKSIGYKDDLSFHQFLYPTNEESYKLLRFLVERLSESILNTGASDDTTTSHASDSTLASGDSSNDTSKLLTEWPNKMYESQDIHDFPCTSGRSDKELREISDIDVTEVNTHGLLGASAVPGPLEESDDAGSLYGHRKCDIEIHCKEGNVGYVSEQPRNSTEVCANKEDTVQEDSECIVELEQTLASLRLEKLKGLEAEYELLKAAVKMAFDDQHSIELCLKELNEQAEARRGNLVKLEMQWNALKGTAEVRPLLLEQSMHAQKPELQERLLKLKEIKQVTEATVSEIHRREVEHAELIVELDKLPKVPSRKYYIDRVTEITKNSWKQDTDLHRILKEIREVQLESNSIQERLHRTYAVVEETVFRDAKNDPVGRQAYRLLTSIHDIFEQIVEKIRATDKARKEGAEQEKKLAAISSRNFDIQKLQADLDSIRKENELLEQQLYHN >Ma10_p00060.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:754437:778641:1 gene:Ma10_g00060 transcript:Ma10_t00060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAEEILLSSLRSSGVSLPSGLSASSSSIKGLSPDALVSVCAQSLRLIRGAASASLPTSIPDAAAERFKVCADVAAVIKSIGYKDDLSFHQFLYPTNEESYKLLRFLVERLSESILNTGASDDTTTSHASDSTLASGDSSNDTSKLLTEWPNKMYESQDIHDFPCTSGRSDKELREISDIDVTEVNTHGLLGASAVPGPLEESDDAGSLYGHRKCDIEIHCKEGNVGYVSEQPRNSTEVCANKEDTVQEDSECIVELEQTLASLRLEKLKLRNETECLKDQEKSLKEKTQSKVFEIQGLEAEYELLKAAVKMAFDDQHSIELCLKELNEQAEARRGNLVKLEMQWNALKGTAEVRPLLLEQSMHAQKPELQERLLKLKEIKQVTEATVSEIHRREVEHAELIVELDKLPKVPSRKYYIDRVTEITKNSWKQDTDLHRILKEIREVQLESNSIQERLHRTYAVVEETVFRDAKNDPVGRQAYRLLTSIHDIFEQIVEKIRATDKARKEGAEQEKKLAAISSRNFDIQKLQADLDSIRKENELLEQQLYHN >Ma05_p00490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:289238:293935:1 gene:Ma05_g00490 transcript:Ma05_t00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAAPSDPSPEASPQGGRDRESSDQDGNIKGEISTAHHSRRPNLTTLQIPARTLEIPLPSVRSNVLSSPGSVRAGLPPRPSSTRAKSSIRSLFPQRSLKTKNSTPEGDRTVLLIPRTPSSEGPQEQASTSRQFFITKVLPSTKALSSGSTNRTHSLPVTPVANCGPSAVQERHAIDISNLQKSNVQTQIRRSFSVPGNAKNGSLRRMDSIGLVRVISATPRPVAVDNAIENDDIEAVNVTEDEGQDIPEEEAVCRICLVELAEGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVRNLPVTLLRLQNRQTVNRRQPNATQRQEAAPYRVWQDVPVLVMVSMLAYFCFLEQLLVTEMGSRALAISLPFSCVLGLLSSMIASTMVTVSKSYIWAYASFQFAIVILFAHIFYNVLRVSPVLSVLLSSFTGFGIAISTNASLVEYLRWRARRNLRSAQQQSDNRQQQESRNLPEVENGGNVRQHESENQIQNPDPSQQG >Ma05_p00490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:289238:294854:1 gene:Ma05_g00490 transcript:Ma05_t00490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAAPSDPSPEASPQGGRDRESSDQDGNIKGEISTAHHSRRPNLTTLQIPARTLEIPLPSVRSNVLSSPGSVRAGLPPRPSSTRAKSSIRSLFPQRSLKTKNSTPEGDRTVLLIPRTPSSEGPQEQASTSRQFFITKVLPSTKALSSGSTNRTHSLPVTPVANCGPSAVQERHAIDISNLQKSNVQTQIRRSFSVPGNAKNGSLRRMDSIGLVRVISATPRPVAVDNAIENDDIEAVNVTEDEGQDIPEEEAVCRICLVELAEGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVRNLPVTLLRLQNRQTVNRRQPNATQRQEAAPYRVWQDVPVLVMVSMLAYFCFLEQLLVTEMGSRALAISLPFSCVLGLLSSMIASTMVSKSYIWAYASFQFAIVILFAHIFYNVLRVSPVLSVLLSSFTGFGIAISTNASLVEYLRWRARRNLRSAQQQSDNRQQQESRNLPEVENGGNVRQHESENQIQNPDPSQQG >Ma05_p00490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:289238:294854:1 gene:Ma05_g00490 transcript:Ma05_t00490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAAPSDPSPEASPQGGRDREDGNIKGEISTAHHSRRPNLTTLQIPARTLEIPLPSVRSNVLSSPGSVRAGLPPRPSSTRAKSSIRSLFPQRSLKTKNSTPEGDRTVLLIPRTPSSEGPQEQASTSRQFFITKVLPSTKALSSGSTNRTHSLPVTPVANCGPSAVQERHAIDISNLQKSNVQTQIRRSFSVPGNAKNGSLRRMDSIGLVRVISATPRPVAVDNAIENDDIEAVNVTEDEGQDIPEEEAVCRICLVELAEGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVRNLPVTLLRLQNRQTVNRRQPNATQRQEAAPYRVWQDVPVLVMVSMLAYFCFLEQLLVTEMGSRALAISLPFSCVLGLLSSMIASTMVTVSKSYIWAYASFQFAIVILFAHIFYNVLRVSPVLSVLLSSFTGFGIAISTNASLVEYLRWRARRNLRSAQQQSDNRQQQESRNLPEVENGGNVRQHESENQIQNPDPSQQG >Ma05_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:289238:294854:1 gene:Ma05_g00490 transcript:Ma05_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAAPSDPSPEASPQGGRDRESSDQDGNIKGEISTAHHSRRPNLTTLQIPARTLEIPLPSVRSNVLSSPGSVRAGLPPRPSSTRAKSSIRSLFPQRSLKTKNSTPEGDRTVLLIPRTPSSEGPQEQASTSRQFFITKVLPSTKALSSGSTNRTHSLPVTPVANCGPSAVQERHAIDISNLQKSNVQTQIRRSFSVPGNAKNGSLRRMDSIGLVRVISATPRPVAVDNAIENDDIEAVNVTEDEGQDIPEEEAVCRICLVELAEGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVRNLPVTLLRLQNRQTVNRRQPNATQRQEAAPYRVWQDVPVLVMVSMLAYFCFLEQLLVTEMGSRALAISLPFSCVLGLLSSMIASTMVTVSKSYIWAYASFQFAIVILFAHIFYNVLRVSPVLSVLLSSFTGFGIAISTNASLVEYLRWRARRNLRSAQQQSDNRQQQESRNLPEVENGGNVRQHESENQIQNPDPSQQG >Ma02_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23165149:23166800:1 gene:Ma02_g15460 transcript:Ma02_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESSSVPSTPLLKAELDIVIPTIRNLDFLEMWRPFFQPYHLVIVQDGDPSKPIKVPEGFDYELYNRNDINRILGPKASCISFKDSTCRCFGYMISKKKYIYTIDDDCFVVKDPSGKEINALQQHIKNLLTLPLPTSSTPCMIPIVKVQTLFMDILSASAKGLQQLSLMAFGSTYPTMMLPPSLSSRVRGTRGMSMQSSQYQREHYFPCAE >Ma08_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:632944:634148:1 gene:Ma08_g00650 transcript:Ma08_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPATLVLLIAALCTDSLSAEALPHRIPHITVLGAVYCDTCAYNNFSKNSYFLRGAEVQIDCKFVANSTSREAMSITADRTTDRFGVYKLEIPPVDGFECREGREIKSMCRASLSKSSSRRCDVAGFRSSTAHVAVKDRESNVCYFNLNALSYRPARRNVPRCGAKEAAMSSFATSSFIFWPILPPFGIPWPSLPFPFSPLPFLIPPSLPFPFPHISLPDPSSLPFPIPSWLLPFLKPPYFPFQFPPIPFLTPTPTPTPPYSSGFQPPALVPSSKP >Ma07_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11537746:11541452:1 gene:Ma07_g15360 transcript:Ma07_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYPVVSDEYLKAVEKAKRKLRGFIAEKNCAPLMLRLAWHSAGTYDVVSKTGGPFGTIRNQAELAHGANNGLDIAVRLLEPIKAQFPILTYADFYQLAGVVAVEITGGPEIPFHPGREDKPEPPVEGRLPDATKGCDHLRDVFGHMGLSDQDIVALSGGHTLGRCHKERSGFEGAWTPNPLIFDNSYFQELVSGEKEGLLQLPSDKALLTDPVFRPFVEKYAADEEAFFADYAEAHQKLSELGFAEA >Ma10_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19781347:19781519:1 gene:Ma10_g06560 transcript:Ma10_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLVIFVLRSEQGCSHRFYCLRLCSIHYTKKQQMTECHQ >Ma11_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21412142:21413141:-1 gene:Ma11_g15740 transcript:Ma11_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLGWGTTEDGWRKGPWTVQEDQLLIEHVTLHGEGQWNSVSKLSGLRRSGKSCRLRWVNYLRPDLKRGSITPQEENTIQELHALWGNRWSAIARSLPGRTDNEIKNYWRTHFKKDNTPRKKAERARARLLVRQQQERQKHKQQQQEAEDMGGTSTMKQVEEDAMRAEEVQEMAYVLQGGGFQGYMSDEDGSWGCLWNLDDDDDASEYTEGGSTSQVKD >Ma04_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11749384:11749750:1 gene:Ma04_g15480 transcript:Ma04_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHVQLDTRRSFRRRISHFHASEGTSPVDYCMKQCIDAVASYERTLGCKEKLAQLISSLHQCLCLSCIMALQFSCKISATSI >Ma09_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8110134:8116146:1 gene:Ma09_g11980 transcript:Ma09_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEPGIMPAREPFSSGMQKSPAQAPPAIQSMRLAFTPDGTAIYKPITSTSPPPPAPAPAPYQGGGGGGGTTGSGVEGPSPMMAPHGLNITMGEPVKRKRGRPRKYGPDGTMALALIPTSAAASGAPGGGGFSLSPTGTTNRASSADPSKKARGRPPGSGKKQQMAALGSAGIGFTPHVITVKTGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQVVVGSFIAGKKEPKQVNNPLEPMSAPGKLAPVPAPAPSSPTSRGTLSESSGGPGSPLNQSMGTCNNNNQQGLSNMPWK >Ma09_p23090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35010816:35014103:1 gene:Ma09_g23090 transcript:Ma09_t23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKCNGTAALLLSSLLLLLELVLGLNHDGVLLLHFKHSIVSDPIAALRDWNYDDATPCSWNGVMCMGFPDATTTLNWTATSFNGDGQASTASRVIGLVLPNSQLLGRIPPELGLVEHLRHLDLTGNALNGTLPSSIFDASELHVLSLANNEISGELPQLDGRTSSLQLLNLSDNALVGTVPAGLSLLPNLTVVALANNYLSGELPGGGLGGIEYLDLSSNLINGYLPPDFGGQSLRYLNLSYNRIDGVIPPELASKIPDNAIVDLAFNNLTGGIPQTGAFASQEPAAFAGNPDLCGKPLKNLCTIPSTLSNPPETPSAPKSPPAFAAIPKNAAEGTSPSGSGQAQGGLRPAVIIAIAVGDVVGIGVLFAVLYYVYHVKKRKRLQQQQQQMKGVGAVGMREEQPPASSESKGFGGLSCCLTKKGEEEEDSEETSDSSASETEAEWEGPHEKGAKGEAGGRTPPQLKQQEATLVAVDGETDLEMDTLLTASAYILGATGSGIVYKAVLADGTALAVRRIGESSAIDKLKYFDALVRSIAKFRHPNVLRLRGYYWGADEKLLIHDHASNGSLANVSFTKKPGSSPFHLSWESRLRIVRGVARGLAYLHERKGVHGNVKPSNILLDADMEPKIGDFGLDRLTSGDGGHRLGTSARQFGSQRSVQSQSSLPDLSPPVAGASPCGSSSAAPYQAPESLQNLKPNAKWDVYSFGVVLLELLAGRVLSEAELGQWNGGFAGEERSRVVRVADPGLRGEVEGKEEALLSCFKLGFACCAINPQRRPSMKEAVQVLEKIVSTTSSSSSSSSS >Ma04_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4645434:4645723:-1 gene:Ma04_g06290 transcript:Ma04_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLVTEGSTEPFSKEWLAWIEGGYVYLSG >Ma08_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4258440:4262592:1 gene:Ma08_g06290 transcript:Ma08_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGDALTEVLIPLAAVIGIGFAVLQWILVSRIRLSSGPVEKNGRPENLVGGEPEEDEEEGVDGPASVIKCAEIQSAISIGATSFLITEYKYLAVFMVIFGVIIFLFLGSVKGFSKDSQPCTYSKDRMCKPAVANAAFSTLAFLLGSLTSVLSGFLGMKIATYANARTTVEARKGVGRAFIAAFRSGAVMGFLLAANGLLVLYISINLFKIYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVGSISSFGIDHNFSAMSYPLLISSMGIIVCLFTTLVATDFFEIKSVKQIEPSLKWQLVISTVLMTGGIAIVSFVALPSKFTLFNFGEDKTVKNWHLFFCVSVGLWAGLIIGYVTEYFTSNAYRPVQAVADSCRTGAATNVIFGLALGYKSVIVPIFAIAIAIYVSFSLASMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSRKIRERTDALDSAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGIDFVNVLSPKVFIGLMVGAMLPYWFSAMTMRSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASLRKMIPPGALVMLTPLIAGTFFGVETLAGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGVSEHAKTLGPKGSDPHKAAVVGDTIGDPLKDTSGPSLNILVKLMAVESLVLAPFFAAHGGLLFKWFR >Ma10_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19670633:19673385:1 gene:Ma10_g06540 transcript:Ma10_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAACMTWELAESGKDFITTVVNGSDLVPTFSTASIDDLRSEVTASSWLNDLRDQIQRTRILNVIYRSASALGSHLPSISSARAKVAGAGALLRPVSSKTQVMVKRAQYVAQAVARSQSSFSSWTCMGARRRAIGTVTKEKEPILPSAPQRNSEKISVDYCDNEMVNEPQFDSSKDSDDEETEKEELIQQDQVGGASAVDQLTDVLQANKQQISAVAFETHQFYPPGRIMHMVVLQTSESDPTENVIMDEKNVGIYESPRYLYSKIRLSRTMINDHYMPMYKMMMELLIEKLAKDDDDDQRKTLI >Ma05_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7441761:7442838:1 gene:Ma05_g10320 transcript:Ma05_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRRWEEMPVDCLVAVFRRLGLDDLSVAVPFVCKSWLRASMDPGCWKVLNFHHLDFLPWSSFARRFMARHALRSFSFSGFMKVAVARSHGSAVELRFPPVFGASLQDLVYASIKCPRLRILSLPDLTLADEAHIPEMVGKWKDLERLEMGTKPSTFSTMVSEISRNCGNFTALRVSGSIKKEDAWAVVNSLPELKHLELSKSYLTKAELMVIMNGCRKLERLSVRNCLGFEADEEVLRTGSGIKAFEHEGSKLLDDSGYETDEPDYHDYFLLPHCSCHI >Ma04_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4821300:4823974:1 gene:Ma04_g06600 transcript:Ma04_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAISCPGAAYDSINDSLEAVLNRSIGLGDNVRSTLQSIGFNGRDYCLPAILEAFGLAKSLIKGSFHLEPKISTKSPALEPDNSTKSDDLEGLKFTSLVKRSRESTSIRPDNPKHEAAVKLQKVYKSFRTRRQLADCAVLVEQHWWKLLDFALLKQSSVSFFDIEKPESAMSRWSRATIRAAKVGKGLSKDENAQKLALQHWLEAIDPRHRYGHNLQFYYDRWLQCDSMQPFFYWLDVGEGKEVNLEERCTRSKLQQQCIKYLGPKEREAYEVIVEDGKFMYRQSRQLLDTSNGQKDAKWIFVLSTSKKLYVGQKRKGKFQHSSFLAGGATSAAGRLVVEDGVLKAVWPHSGHYRPTEENFQELMSFLEENSVDLTDVKRSPTEEDDEPCSNLSRLSRGTTTDMASGTSSFEAFKELHHKFRKQIIAEDNEESEDEVHENTFVPSESILRRMNTKKAIRSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQFRALEQVNLSPRIPEMFRFASPWILKCPTTQEASVLKVLQQQPHKPGNGR >mito3_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:860037:860660:-1 gene:mito3_g00140 transcript:mito3_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase chain 6 [Source:Projected from Arabidopsis thaliana (ATMG00270) UniProtKB/TrEMBL;Acc:G1C2Y0] MILSVSSSLALVSGLMVVRAKNPVHSVSFPIPVFRNTSGLLILLGLDFSAMIFPVVYIGAIAVSFLFVVMMFNIQIAEIHEEVLRYLPVSGIIGLILWWEMFFILDNETIPLLPTYRNTTSLRYTVHAGKVHCCTNLETLGNLLYTNYSVWFLVPSLILLVAMIGAIVLTMHRTTKVKRQDVFQRNALDSRRTIMRRTTDLYQTTID >Ma07_p26250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33124047:33132687:-1 gene:Ma07_g26250 transcript:Ma07_t26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSREEEAVAAADTEVNAVEVGKEEDHHKPVLRRDPSFSRWCDVNGILRSNAAADAGSPETSSAAEESEEFELPLLHQGRSEEADAETEDQMRSQSFRQRSMCSGNANDSATKYAPLDIENGVHVSDSIAKLQSLEQSKESPISAVIVLKTLFYILGWYTFSTCLTVYNKTLLGDHLGKFPAPLLMNTVHFSMQAILSNAIVYIQSRISESNRNTMSWKDYFIRVIPTAIATALDVNLSNESLVFISVTFATMCKSASPIFLLVFAFVFRLETPSFKLLGIILIISAGVLLTVAKETEFEFWGFLFVMLSTVMSGFRWCMTQILLQKEAYGLKNPIALMSYVTPVMALITAVLSLLLDPWQKFKGNNYFNSSKHIMQSCLLMLLGGALAFFMVLTEYILVSATSAVTVTVAGIVKEALTIMVAVFYFHDQFTWLKGFGLFTIMVGVSLFNWYKYHKLMKGQQTENEGTYSRSIDRAAKYVILDDMELQDA >Ma11_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2443643:2445985:1 gene:Ma11_g03290 transcript:Ma11_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYGAASVVCAVVGGVVLLRIVLSLKSLVYCWGRWWRWVDERTQAYQSFEIPRYSESGQENPLYRRAAAYVAALPSLEDAAAATLFSSGRKPNDFFLLLGPGQSAADSFLGDRVSWTNAPAGGAGGPRLVLRLRRQDRTRVLRPYLQHVESVADDLELRRKEVRLFTSYAGVGDGGGPRWRSAPFTHPATLDTVAMDLDLKARVRADLESFLKGRAYYHRVGRVWRRSYLLYGPPGTGKSTFVAAMAKFLCYDIYDVDLSRVSAGGDGVGADLKALLLSTTPRSVILVEDLDRHLKGKGVGEEGESQLTRILNFMDGIFSCCGEERVMVFTMTSDGGGMEGVEPAVLRPGRLDVHIHFPLCDFTAFKTLASSYLGLKDHKLYPQVEEVFQGGARISPAEVGEIMIANRASPSRALKSVISALHQSSSAAGRRLSESGSGRRWDDAAAGSDCGNGGGSGNGGLGFGKESIKEFKKLYGLIKMRSGSKKEGVMPVEVAAAAAAAGAPLDKLDKDNSSH >Ma01_p00460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:332511:333060:1 gene:Ma01_g00460 transcript:Ma01_t00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQEKTSAGATQTPPAGGGGGGGVKETKVVETTDYRTSAGQGQQEQRPVQVVHENPTEGNDARGKAGQREL >Ma10_p26890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34367408:34372680:-1 gene:Ma10_g26890 transcript:Ma10_t26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIFEAESLTNPHRASGGAPKFLRKLVEGIEDTVGIGKGSSKLYATIDLEKARVGRTRMITNEPVSPRWYESFHIYCAHMAANVIFTVKFDNPIGASLIGRAYLPVMEILDGEEVDRWLEICDADRNPVGDAKIHVKVQYFDISKDRNWARGVRSAKYPGVPYTFFSQRQGCKVTLYQDAHVPDDFIPQIPLADGRYYEPHRCWEDIFDAISNAQHLIYITGWSVYTEIRLIRDSKRPKPGGDVTLGELLKRRASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFRDTDVHCVLCPRNPDDGGSFVKDLQIATMFTHHQKIVIVDHEMPNKSLQQRRIVSFVGGLDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFGEASIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRRQGGKDVLVQLQDLSDIIIPPSPVMFPEDREIWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSYAWKADGIKPEEIDALHLIPKELSLKIISKIEAGERFTVYIVVPMWPEGMPESASVQAILDWQRRTMEMMYTDIVQALQAKGIEANPKDYLTFFCLGNREVKKSGEYEPLEHPQPDTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGSRDSEIAMGAYQPYHLSTREPARGQIHGFRLALWYEHLGMLDDVFLHPESLQCVQKVNRIADKYWDLYSSETLDRDLPGHLLTYPIGVTSDGMVTELPGMEFFPDTRARVLGAKSDYLPPILTT >Ma08_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:22017682:22022748:1 gene:Ma08_g16980 transcript:Ma08_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSGLLLVFMILTGHLRLGSCDTDPQDAAALRSMLSEWKNAPPTWGQSSDPCGSPWEGVFCDASRVTSLRLSTMGIKGTLSSDISQLTELQSLDLSYNGDLGGLLTPNIGNLKKLTTLILAGCSFNGKIPEELGNLKLLSFLALNSNKFNGRIPASLGLLSNLYWLDLADNQLIGSLPISVNATPGLDRLLNTKHFHFNKNQLSGEIPEQLFSSEMTLIHILFDSNKFTGPIPSSVQLVKTLEVLRLDNNALGGPVPSDINNLTSINELNLANNQLTGSLPNLTGMNNLNYVDLSNNSFSPSEAPGWFTTIESLTTLVIESGGLFGQIPQGLFSLPQLQQVLLDNNRFNGTLNMGSIISPQLQLVNFRNNSIDEVVLTSSFTKTLILYGNPLCSSSAENTKSYCLLQQQAETPYSTSLANCGGSTSCSSEQKLNPRSCSCAYPYQGLMVFRAPSFRDLTNATLFQELETSLRTKLGLAPSSVYISDLFLNSDNYIQLNLALFPSSGMYFNRSEIQRIGFYLSNQTYKPPDIFGPYYFLAFPYTFSGGKSGKTTISTGAIAGIAAGCIILVIGLVCVGIYAYLQKQKAKRAIEQSRPFASWGAGGKDDGSAPELKGARWFPFDEIKKCTNNFSDANEIGSGGYGKVYRGILSSGQMVAIKRAQQGSMQGALEFKTEIELLSRVHHKNLVDLVGFCFEQGEQMLVYEYIPNGTLRENLTGRSGRQLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILVDESLNAKVADFGLSKLVSDTGKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITARQPIEKGKYIVREIRNAVDNYDEEYYGLREMMDPVIRDVGNLTGLRRFVRLAIRCVEESAADRPTMNEVVKEIETILQSNGLNTQPSSASSSATEFGKAMGAPRHPYHDNMKSRNEESSSAFEYSGAYSARIEPK >Ma11_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26236332:26238276:1 gene:Ma11_g22460 transcript:Ma11_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVPQFLQTHGLRVVEVTGKKAIGFVDAITLIGKEDGIKGYWKRNLPQVIRIIPYSAVQLFSYEVCKKLLRRNDGELSVVGRLVAGVCAGMTSTLVSFYKQSIHVLVCLYVSFLVAVCDQVPRLI >Ma09_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4080362:4082311:-1 gene:Ma09_g06370 transcript:Ma09_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNNMYPNWPNLAPLLTTPPPPPAPRSQPSWVDEFLDFSSAKRGHHRRSVSDSIAFLEQPLAGEGGDFDRLDDDQLMCIFSDDVPPSSLSGAVPASSSSTPSDHSSMNDDKLAEQQPKNESEEAQSACKAEPQPAAGTDTAVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRIAFLDHQRSLLTLGNSHLKQRIAALAQDGIFKDAHQEALKKEIERLRQVYHQQNLKKMAPPSSEPAMSAEKELLS >Ma11_p10380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9777061:9778636:1 gene:Ma11_g10380 transcript:Ma11_t10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGDASRTLRTSNLPSWIVLRSLLSCKGKNDVRGKKKSKKNGCSESLGRMRENSSLVRPEMATPEVGKKLPAASTCNACSRSLRSPCKDIGGGDISTSFASYSSASINASAISSSSSSLGGSFRGMHLRRFSGCYECHLSVDPINGPSGDPSMRAITCTSPGCGEIFLRPESLELHRAIRHAVSELGPEDTSRNIIEIIFQSSWLKNQTPVCKIERILKVHNTQKAIARFEDYRDSIKAKANKLTKKHPRCVADGNELLRFHCATFACSLGINGSTNLCQSIKHCNVCSIIRDGFKVEEQGRIQTMATSGRAHDMARISSDHEKRAMLVCRVIAGRVKKNQDAVEEFDSMAGPAVTYSNLDQLFVFNPNAILPCFVVIYTSF >Ma09_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2852467:2861341:-1 gene:Ma09_g04340 transcript:Ma09_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPWDRKEYAFKDQRKHERGDALGGGGGGGSSSASRWKDPYHGGPRDLLRASPRRPFSSHCRQGGGYHQVHPDDSVGHGCTPSRSDRFWSEDDGYRPASVRHGGCYRTSSSGSSRENRGSFRRSPCWDSGDFSRQHRHDPHATALRSVAAPISSTSQIPLKEQNDKTGGVDDGLGTGHIFDHRDHSLGSIPWKKWSRPGSLGFTKTSRSESEEACLEGVLPSGKENPIQSLVTLTLPPDEVAPRKKPRLGWGQGLAKYEKQKVEGSVETSVGGSKGSLSDDSQKVTSISGCLSPTTPCSATCSSSPGTEDKLCSRTVNDYDGMNQNSDLPGSAFLSFCEEISNNLDHLEANPIRSLDSLLTDLFQSVDAFSGDSTFSRDSALNKLLKLKGSISNGLEKIECEIDLLEKELKSLNCDTKTDSYQSSVKLANDSALEACIQPLAGLSDESNPSKDQKVETIEVAFVEEHVPCGSLVKHDTVIKDIYIINPETLSSKFHLAIEKLSESPLLIKDEKLKVTELQQIVDSDCGERIMVASEDGNRNCGDGDCSSVHVSFDEATQGKDSNLITSIIDSNMNAAKCASKVFGTAFSTNPLLSDIWGLVNFTSCRKNDLKIKEKLATRKCQLRFKERVLILKFKALHHLWKEDLRLLSIKKVRTKSSKRFELMSRSSQNGSQKQRSSTRSRFASPGNLTLVPTTEIVNFTSKLLSDAQIKLCRNNLKMPTLLLDDKERKYNKFVTQNGLIKDPPSFEKERAMINPWSEDEKDIFMEMLARYGKDFTKISSSLNHKTTADCIEFYYKNHKSESFKEVKKWLDLRKQQQQCLPANTYLVASGKKWNHEMNASSLDMLGAASAVVAHDHCSSKSEKYAGSAVYGTCNDMKVSYGSSYLEGENSVDVSGQERDFVAADVLAGICGALSSETMSSCVTSFIHPAERINRITMDQLLTPEVTQNLDEEEACSDEGCELGSADWTDEEKSIFIQALGTYDKDFTKISSCMRTRSREQCKIFFSKARKCLGLDVIRQGTVLGGTPLSDANGGRSDTDDACVAEMDSAICSTQSCSKVDVDVSQSVANTSYEGIAHAAGNPFHAETDRSNEQDGDVFPGPNLVGGDEKVNNKKVSIFHDDKLASQGGNLQSNTHPKESIAAGLGCAEAIQLCEVADSADRETIVGGNTNVVSPNVSILTIGKTEPVVEACLEVESTKSTSSTVCNVDTTGGSPAEGLKVVVKTEASLSSKVGLSKKNTTNINLTANGKGLLCCGPDSNASAAALFSGTVANVCHLAFDPRYQQQIQLDLQQRKPKQPQAILLKQENVHHVPLNSLLPDPSSICFGGTLNVSSETTLNFEQGNKWHQNLLKRGIYQQYMPRKLSVNQVDRNMHILRGYPLQALSQDVTREVDLTAGEKPSLLEAECKTNVVPQSNQFFMSDKHWNENNLLPSNSGILRSSRSENQSEVEIRTCIKNASSEIEEHRTGDVKLFGKILSHTSPLPQSSSSSHESNPRTSPELDGSSTTNCASIRRDNHRLVPNIGSGQVGLEALPVRTYGFWDGKRRQTGFSSLPETASMLAKYQGSLTGVSLYSAKDGMPSGNGVLTDYQQSYVQHLSSNGKRVENISELQKRNGGMEMVSGFQQQGRVAPLGAKNMMGGGILVGGGGGVSDPVAALKMHYAARASTLNNNIEAWRADMGDR >Ma09_p04340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2852467:2861341:-1 gene:Ma09_g04340 transcript:Ma09_t04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPWDRKEYAFKDQRKHERGDALGGGGGGGSSSASRWKDPYHGGPRDLLRASPRRPFSSHCRQGGGYHQVHPDDSVGHGCTPSRSDRFWSEDDGYRPASVRHGGCYRTSSSGSSRENRGSFRRSPCWDSGDFSRQHRHDPHATALRSVAAPISSTSQIPLKEQNDKTGGVDDGLGTGHIFDHRDHSLGSIPWKKWSRPGSLGFTKTSRSESEEACLEGVLPSGKENPIQSLVTLTLPPDEVAPRKKPRLGWGQGLAKYEKQKVEGSVETSVGGSKGSLSDDSQKVTSISGCLSPTTPCSATCSSSPGTEDKLCSRTVNDYDGMNQNSDLPGSAFLSFCEEISNNLDHLEANPIRSLDSLLTDLFQSVDAFSGDSTFSRDSALNKLLKLKGSISNGLEKIECEIDLLEKELKSLNCDTKTDSYQSSVKLANDSALEACIQPLAGLSDESNPSKDQKVETIEVAFVEEHVPCGSLVKHDTVIKDIYIINPETLSSKFHLAIEKLSESPLLIKDEKLKVTELQQIVDSDCGERIMVASEDGNRNCGDGDCSSVHVSFDEATQGKDSNLITSIIDSNMNAAKCASKVFGTAFSTNPLLSDIWGLVNFTSCRKNDLKIKEKLATRKCQLRFKERVLILKFKALHHLWKEDLRLLSIKKVRTKSSKRFELMSRSSQNGSQKQRSSTRSRFASPEIVNFTSKLLSDAQIKLCRNNLKMPTLLLDDKERKYNKFVTQNGLIKDPPSFEKERAMINPWSEDEKDIFMEMLARYGKDFTKISSSLNHKTTADCIEFYYKNHKSESFKEVKKWLDLRKQQQQCLPANTYLVASGKKWNHEMNASSLDMLGAASAVVAHDHCSSKSEKYAGSAVYGTCNDMKVSYGSSYLEGENSVDVSGQERDFVAADVLAGICGALSSETMSSCVTSFIHPAERINRITMDQLLTPEVTQNLDEEEACSDEGCELGSADWTDEEKSIFIQALGTYDKDFTKISSCMRTRSREQCKIFFSKARKCLGLDVIRQGTVLGGTPLSDANGGRSDTDDACVAEMDSAICSTQSCSKVDVDVSQSVANTSYEGIAHAAGNPFHAETDRSNEQDGDVFPGPNLVGGDEKVNNKKVSIFHDDKLASQGGNLQSNTHPKESIAAGLGCAEAIQLCEVADSADRETIVGGNTNVVSPNVSILTIGKTEPVVEACLEVESTKSTSSTVCNVDTTGGSPAEGLKVVVKTEASLSSKVGLSKKNTTNINLTANGKGLLCCGPDSNASAAALFSGTVANVCHLAFDPRYQQQIQLDLQQRKPKQPQAILLKQENVHHVPLNSLLPDPSSICFGGTLNVSSETTLNFEQGNKWHQNLLKRGIYQQYMPRKLSVNQVDRNMHILRGYPLQALSQDVTREVDLTAGEKPSLLEAECKTNVVPQSNQFFMSDKHWNENNLLPSNSGILRSSRSENQSEVEIRTCIKNASSEIEEHRTGDVKLFGKILSHTSPLPQSSSSSHESNPRTSPELDGSSTTNCASIRRDNHRLVPNIGSGQVGLEALPVRTYGFWDGKRRQTGFSSLPETASMLAKYQGSLTGVSLYSAKDGMPSGNGVLTDYQQSYVQHLSSNGKRVENISELQKRNGGMEMVSGFQQQGRVAPLGAKNMMGGGILVGGGGGVSDPVAALKMHYAARASTLNNNIEAWRADMGDR >Ma09_p04340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2852467:2861341:-1 gene:Ma09_g04340 transcript:Ma09_t04340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPWDRKEYAFKDQRKHERGDALGGGGGGGSSSASRWKDPYHGGPRDLLRASPRRPFSSHCRQGGGYHQVHPDDSVGHGCTPSRSDRFWSEDDGYRPASVRHGGCYRTSSSGSSRENRGSFRRSPCWDSGDFSRQHRHDPHATALRSVAAPISSTSQIPLKEQNDKTGGVDDGLGTGHIFDHRDHSLGSIPWKKWSRPGSLGFTKTSRSESEEACLEGVLPSGKENPIQSLVTLTLPPDEVAPRKKPRLGWGQGLAKYEKQKVEGSVETSVGGSKGSLSDDSQKVTSISGCLSPTTPCSATCSSSPGTEDKLCSRTVNDYDGMNQNSDLPGSAFLSFCEEISNNLDHLEANPIRSLDSLLTDLFQSVDAFSGDSTFSRDSALNKLLKLKGSISNGLEKIECEIDLLEKELKSLNCDTKTDSYQSSVKLANDSALEACIQPLAGLSDESNPSKDQKVETIEVAFVEEHVPCGSLVKHDTVIKDIYIINPETLSSKFHLAIEKLSESPLLIKDEKLKVTELQQIVDSDCGERIMVASEDGNRNCGDGDCSSVHVSFDEATQGKDSNLITSIIDSNMNAAKCASKVFGTAFSTNPLLSDIWGLVNFTSCRKNDLKIKEKLATRKCQLRFKERVLILKFKALHHLWKEDLRLLSIKKVRTKSSKRFELMSRSSQNGSQKQRSSTRSRFASPVGNLTLVPTTEIVNFTSKLLSDAQIKLCRNNLKMPTLLLDDKERKYNKFVTQNGLIKDPPSFEKERAMINPWSEDEKDIFMEMLARYGKDFTKISSSLNHKTTADCIEFYYKNHKSESFKEVKKWLDLRKQQQQCLPANTYLVASGKKWNHEMNASSLDMLGAASAVVAHDHCSSKSEKYAGSAVYGTCNDMKVSYGSSYLEGENSVDVSGQERDFVAADVLAGICGALSSETMSSCVTSFIHPAERINRITMDQLLTPEVTQNLDEEEACSDEGCELGSADWTDEEKSIFIQALGTYDKDFTKISSCMRTRSREQCKIFFSKARKCLGLDVIRQGTVLGGTPLSDANGGRSDTDDACVAEMDSAICSTQSCSKVDVDVSQSVANTSYEGIAHAAGNPFHAETDRSNEQDGDVFPGPNLVGGDEKVNNKKVSIFHDDKLASQGGNLQSNTHPKESIAAGLGCAEAIQLCEVADSADRETIVGGNTNVVSPNVSILTIGKTEPVVEACLEVESTKSTSSTVCNVDTTGGSPAEGLKVVVKTEASLSSKVGLSKKNTTNINLTANGKGLLCCGPDSNASAAALFSGTVANVCHLAFDPRYQQQIQLDLQQRKPKQPQAILLKQENVHHVPLNSLLPDPSSICFGGTLNVSSETTLNFEQGNKWHQNLLKRGIYQQYMPRKLSVNQVDRNMHILRGYPLQALSQDVTREVDLTAGEKPSLLEAECKTNVVPQSNQFFMSDKHWNENNLLPSNSGILRSSRSENQSEVEIRTCIKNASSEIEEHRTGDVKLFGKILSHTSPLPQSSSSSHESNPRTSPELDGSSTTNCASIRRDNHRLVPNIGSGQVGLEALPVRTYGFWDGKRRQTGFSSLPETASMLAKYQGSLTGVSLYSAKDGMPSGNGVLTDYQQSYVQHLSSNGKRVENISELQKRNGGMEMVSGFQQQGRVAPLGAKNMMGGGILVGGGGGVSDPVAALKMHYAARASTLNNNIEAWRADMGDR >Ma08_p29000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40777578:40778359:-1 gene:Ma08_g29000 transcript:Ma08_t29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRPHIPAFGSWDYCDDLPITQYFESAMQAGLVRGRPFGEDADFLKVTADTVKPTHHHHHHHHQRKVKKPGERQYQQGQQRKQGKASDVTAQATPRRPRAAKAVDEDLYKIPPELLYQKPKRKRLLKGLWSGCLGLHCTA >Ma08_p29000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40777578:40778184:-1 gene:Ma08_g29000 transcript:Ma08_t29000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRPHIPAFGSWDYCDDLPITQYFESAMQAGLVRGRPFGEDADFLKVTADTVKPTHHHHHHHHQRKVKKPGERQYQQGQQRKQGKASDVTAQATPRRPRAAKAVDEDLYKIPPELLYQKPKRKRLLKGLWSGCLGLHCTA >Ma05_p26370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38010608:38013804:1 gene:Ma05_g26370 transcript:Ma05_t26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDRVDQLEVRPSMESFRFLDSTPDSSTARRRLHRELQMVGSLEKKRGDLLLKMVDDLEYNMKLSRSEIRRGRLYEKYAEKREAKLRDEWATKGAQRRAKMKVIESQLEESLARMTARKQDSAIRHGHQAVKSFEKEDLHEQIQFSQTLLDDGSLRSTGSNAHSTRHQTLVAPIPKHSKGHHPDSSRRKTKAVKLSAQSLPDLSYLRKESMNPPARLSNDSIPSESTACAQSKSTTGFTSELQDPNFALSKFLKEQSQNTITRRANGADNATSITELRASKDFETESKEDESEVMLNQQINQEPERRKIEERASIFHLGSDSEETRSNQASGMCDIKSENNDTFRSIGEEDNVLAVASKFSSNLRPSHSCVEVASYSFESARVRKKWGVTEKPNLATNTSEKSLKDVAKQFKKLLSFGKKGKSAESGVKILAHASVAGGDDDMDNHHEAAKIPSDDLKKPRNGYSAPAYVGGLHEGKIFPEQVQSPSCSISTPPANMKLREDQEVEGFPKATCSVFSFFSFHGRGSESRFK >Ma05_p26370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38010608:38013804:1 gene:Ma05_g26370 transcript:Ma05_t26370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDRVDQLEVRPSMESFRFLDSTPDSSTARRRLHRELQMVGSLEKKRGDLLLKMVDDLEYNMKLSRSEIRRGRLYEKYAEKREAKLRDEWATKGAQRRAKMKVIESQLEESLARMTARKQDSAIRHGHQEAVKSFEKEDLHEQIQFSQTLLDDGSLRSTGSNAHSTRHQTLVAPIPKHSKGHHPDSSRRKTKAVKLSAQSLPDLSYLRKESMNPPARLSNDSIPSESTACAQSKSTTGFTSELQDPNFALSKFLKEQSQNTITRRANGADNATSITELRASKDFETESKEDESEVMLNQQINQEPERRKIEERASIFHLGSDSEETRSNQASGMCDIKSENNDTFRSIGEEDNVLAVASKFSSNLRPSHSCVEVASYSFESARVRKKWGVTEKPNLATNTSEKSLKDVAKQFKKLLSFGKKGKSAESGVKILAHASVAGGDDDMDNHHEAAKIPSDDLKKPRNGYSAPAYVGGLHEGKIFPEQVQSPSCSISTPPANMKLREDQEVEGFPKATCSVFSFFSFHGRGSESRFK >Ma06_p29620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30949704:30952002:-1 gene:Ma06_g29620 transcript:Ma06_t29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAAISVVLFLALLNSATSNGDEKEPEFGYKQGTKTGPDNWGRIRNDWITCGKGKSQSPIDLRDKMVRRLPRLRRFRTSYVPADAAIKNRGHDIAVMWNGHEAGGITINGTEYKLKQLHWHSPSEHSINRRRFSLEMHMVHQSADNKTAVVGFLYKTGPPDPFIHKLERSIEKVKDKQDKEEELGAVDPSHASRRMGQRYYRYMGSLTTPPCSEGVIWTILRKVKTVSKKQLRLLRRAVDDDYENNSRPRQPINGRLIGLYRPPKHEN >Ma05_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36023349:36025747:1 gene:Ma05_g23850 transcript:Ma05_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 (Cell division cycle 45)-like protein [Source:Projected from Arabidopsis thaliana (AT3G25100) UniProtKB/TrEMBL;Acc:Q9LSG6] MVRELRVESFYARLRDAALASSTSPLLVFPSASDADSLCALKIVTHVLSSDSIRYSVYPVSSFSDVDKFVGLNLRSSNQPLSLLFINWGCHRDLRRFLSLGPEVHVFIIDSHRPVHLHNLSEQNEQVVVLYTQEDEHQADLAYDFDVSALANASDLNSDDEIDANSDDEDSDDHNDQEVEGGNRKRRRVSKDSEPDPIKLFGKLKSEYYKLGTFHGKPSGCLLFELAHSLRKNTNELLWLACVSLTDQFVHERLTNERYQAGVMELEQHINSSGNLDAVTSVTLKDGTKIQAPENSRIAYEDEPRLMLLREWNLFDSMLCSSYIATKLKTWSDNGLKKLKLLLARMGFPLVDCQKKFQYMSMEVKQKMKDEFERFLPEFGLTEFYYRSFLRIHGYCTKVSAADVVYGVTALLESFAAEKDSSAAEQFWVAYSALSLNNIDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSYRKFRWVRLEDPVDTLRLGHPQALTKFCYFLMDALKERGAKMKPLVCACLGKQPDKVLIVGVCVKPRLGAIQGNSFGIAFGTAAEEIGAEFSHELFESSWIILDTVVLSSFMVRLTEKI >Ma10_p30320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36649340:36650339:-1 gene:Ma10_g30320 transcript:Ma10_t30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIASRSAALSKLVERLLVSPGRPVAAATTRLFSTGTLRDYDDDNRDVDLERRPDSPAAAHRRRADSFPSLQEVFDPFNPTRSLSQVLNLMDQMLDNPIFAAAGPSGAAGGLRRGWDAREDEHALHLRVDMPGLGKEHVKVWAEHNTLVIKGESDKEAGEEGEESTGRRYSSRIDLPPESYRLDQIRAEMKNGVLKVVVPKVKEEERKDVFQVNIE >Ma08_p26100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38717198:38726572:-1 gene:Ma08_g26100 transcript:Ma08_t26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEPLYPIAVLIDELKNEDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVGYAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDLVGWFAPLVKRLATGEWFTSRVSSCGLFHIAYPSAPDQLKVELRSIYGQLCQDDTPMVRRAAASNLGKFAATVEPSHLKTDIMSMFEDLTQDDQDSVRLLAVEGCAALGKLLESQECIAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEATRIDLVPAYVRLLRDNEAEVRIAAAGKVTKFCQILSPELAIQHIIPCVKELSSDSSQHVRSALASVIMGMAPILGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGSLCMQWLEDKVFSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGADITCQKLLPVVISLSKDRVPNIKFNVAKVLQSLVPIVGQSGVEATIRPCLVELSEDPDVDVRYFAGQALQACDQVMVSG >Ma07_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7793862:7797215:-1 gene:Ma07_g10480 transcript:Ma07_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHASPGGASSSSSSLFTTLSFSFAIAVSLGLLSALLVVSYICCRRRPQNPGSAPGPIPAEGVLQRHIIFVAEDDDGEDSEGGGRASGLDQAAISSYPKFPFSAAKGGDTVCSICLCEYREGEMLRMMPDCHHYFHLLCIDVWLRLNASCPVCRTSPLPTPVSTPISTPLSELVPLSQFAADRRRRS >Ma10_p30870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37098110:37103917:1 gene:Ma10_g30870 transcript:Ma10_t30870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPMLQPQPPLPPIMKHSRTNLGDLKSLITKRLGQERAQRYFSYLNDLLSQKLSKREFSKLCILTLGHENLPFHNQLISSILQNASRAKAPPPVHHDKFAQMPTGIVLNGDVLPRLPHKIRSRTDNHRVKDCPSPLGPNGRAEVSAQLSSIPYNTAALGQHDDLNSSDLQRLIQQQQCGPHKQPTKRARIDETPVHDQGAVHSPVLAEVVSVDQREDIEHWNKMASLKGPLQAPLGIPFCSASVGGARTPLSSGSANIDRFHRSYDSSELYHTEVLKKRMEKIAKALGLEGVTMDCANLLNNGLDVYLKRLIGSCIELVGVRTRHESTKQPFSNLLPFAQPENGICVGSQMHGNVGLEGTHVLSMQDFKVAMEVKPQQLGEDWPMLLEKIYVRSFEE >Ma10_p30870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37098110:37103917:1 gene:Ma10_g30870 transcript:Ma10_t30870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPMLQPQPPLPPIMKHSRTNLGDLKSLITKRLGQERAQRYFSYLNDLLSQKLSKREFSKLCILTLGHENLPFHNQLISSILQNASRAKAPPPVHHDKFAQMPTGIVLNGDVLPRLPHKIRSRTDNHRVKDCPSPLGPNGRAEVSAQLSSIPYNTAALGQHDDLNSSDLQRLIQQQQCGPHKQPTKRARIDETPVHDQGAVHSPVLAEVVSVDQREDIEHWNKMASLKGPLQAPLGIPFCSASVGGARTPLSSGSANIDRFHRSYDSSELYHTEVLKKRMEKIAKALGLEGVTMDCANLLNNGLDVYLKRLIGSCIELVGVRTRHESTKQPFSNLLPFAQPENGICVGSQMHGNVGLEGTHVLSMQDFKVAMEVKPQQLGEDWPMLLEKIYVRSFEE >Ma10_p30870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37098110:37103917:1 gene:Ma10_g30870 transcript:Ma10_t30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPMLQPQPPLPPIMKHSRTNLGDLKSLITKRLGQERAQRYFSYLNDLLSQKLSKREFSKLCILTLGHENLPFHNQLISSILQNASRAKAPPPVHHDKFAQMPTGIVLNGDVLPRLPHKIRSRTDNHRVKDCPSPLGPNGRAEVSAQLSSIPYNTAALGQHDDLNSSDLQRLIQQQQCGPHKQPTKRARIDETPVHDQGAVHSPVLAEVVSVDQREDIEHWNKMASLKGPLQAPLGIPFCSASVGGARTPLSSGSANIDRFHRSYDSSELYHTEVLKKRMEKIAKALGLEGVTMDCANLLNNGLDVYLKRLIGSCIELVGVRTRHESTKQPFSNLLPFAQPENGICVGSQMHGNVGLEGTHVLSMQDFKVAMEVKPQQLGEDWPMLLEKIYVRSFEE >Ma10_p30870.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37098089:37103917:1 gene:Ma10_g30870 transcript:Ma10_t30870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPMLQPQPPLPPIMKHSRTNLGDLKSLITKRLGQERAQRYFSYLNDLLSQKLSKREFSKLCILTLGHENLPFHNQLISSILQNASRAKAPPPVHHDKFAQMPTGIVLNGDVLPRLPHKIRSRTDNHRVKDCPSPLGPNGRAEVSAQLSSIPYNTAALGQHDDLNSSDLQRLIQQQQCGPHKQPTKRARIDETPVHDQGAVHSPVLAEVVSVDQREDIEHWNKMASLKGPLQAPLGIPFCSASVGGARTPLSSGSANIDRFHRSYDSSELYHTEVLKKRMEKIAKALGLEGVTMDCANLLNNGLDVYLKRLIGSCIELVGVRTRHESTKQPFSNLLPFAQPENGICVGSQMHGNVGLEGTHVLSMQDFKVAMEVKPQQLGEDWPMLLEKIYVRSFEE >Ma06_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9416440:9416747:-1 gene:Ma06_g13760 transcript:Ma06_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASALRGSRQSFLSNHVLCTSVRASPPP >Ma04_p28520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29566600:29571041:-1 gene:Ma04_g28520 transcript:Ma04_t28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAEALNGVRMELVDAAFPLLKGVVATTDAVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEAYAAPNCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSTQYPDVSHATVKTPSGEKPVRQLVSDDDWLKGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCNAGEWTIVQGLSIDEFSRKKLDATAEELSEEKALAYSCLS >Ma06_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:652017:657041:1 gene:Ma06_g00800 transcript:Ma06_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRRTSWISARYQTLFLGVACLLFILYKYFPSLFSLILYSYPLIICTTIFLGILSRYRKPDAPKKEDDRKTRRSSSLKIQSVENSLRAKKEESFKLKAHVGSRRTFKKMTIRTIVSGDTIHNAPSKGIEDNQVNQIDAATVASSSSVDRKSLTEDEEHFKESLGEGLQNASEHIGPPTYSGEKNITVLDVNADKTKLDHYVDSCLGSPWYHVDHQDASLGSEFDHQDPSVVNATPILGELDPHISKGISEDNSASFSQNHVMDEGTEAENQDDNGAQEEKDDRNKVGVTWSADDKRKLMDLGDSEVERNRRLENLIARRRARKAMEKNIIDLDNNMETSESHGQLPPISINASRRNLFSLPYDLDESIPGSAPSILLPRRNPFDLPYEQVDDEENWGRNEFVTIPQRDILFRRHESFTIGASFFLGGFEQDWYSSRFGSCVGEEKNEPQDTVHADLRELLGEVSDSEMNSTLESDSASSVANQEHQKDLCRESESPVKHQVGHSEQDSQSSEDIDSMNAEQIVCQMNLNNDQEKNEPQDTVHADLRGLLGEVSDSEMSSTLESDSVSTVANQEHQKDLCRESESPVKHQVGHSEQDSQSSEDTDSMNAEQIVCQMNLNNDHETQFTEHINQATEDSATESNWEREEDLCQESDFPKMHKVKPDEQDGKSSKDAESMDVEQVECQMNMKNDHETQLSTEHIHQNTEDYGAECDWEHQKDSCQENDSPEKHKAKPTEQDSRPSEDVGQVECQMNVNNDHGVHLINHIYQATADFGAQSNQEHQQDLHQESEPPKKHKAKPAERDSKSLKDIEPVDIKQVESQTNINNDHHETHLSTEHFHQETEDFGAESNQEYLKELHQESESPKKCKAEPTEQDSQFSEDTEPVDVEPVECQMNMNNDHETHLSTKHIHQYTVNSGAESIQEHQTNLGPDSESPKRHKAEPAEQDSQSSVDVEKVKCQMNMNNDNEIHSSAKHIHQATEVFGAESSHEHQKDSHLEGESFETHKAEPGEQGSQFSDDIEQVVCQMNMNDDRETHLMTKRIHQTTEDLGDVKGDISKKLISWSPISYAEREVADEKCESNSSILSEVERNSPKTSTREQTVNIEQINSGSCRGLTVSTESIAIDSDVANVEAEKVHDSHVEESLSISSPTATRSSHSNSSVVDEKCKSSSSIPSEAEKNSPKISTHEQTVDIEQINRGSCKVLTVLTESIASDSDVGNVEAKKVHDNDAVEPVSKSSPTTTRKSHSNSSVTDENCESRSSIPSEADINSGSHEGLTVSPESIAVDSDIVNVEAEKVHDSHIVDPVSNASPLATRKSHSNSSVADEKCDSSSSIPSTAEKNSPKISTHEKTVDLEQISSGSCKGLTVFTESIAVDSDDVNVKAEKVHDSHIVEPISNSSQTATRKSHPDSSLVDEKCESNSSIPSESENNSHQMSNHEQTVDIEQISSGYCKGLAILTESFAVDSDVVNVEAEKIHDSHIMEPDSNSSPTATRKSHSNSLLDEAYYTADKEGSTYDQSLASGTETSLSVVGSIMKTAETDMLSGGKTEDILSLSFKDEDDSVSRGLSIIKETNVI >Ma09_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33760088:33768134:-1 gene:Ma09_g21800 transcript:Ma09_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLWLYMILFIEKCRYADTVLTHTLFAACFRLCKRGSGYYLATHGGFKTDLALGSSRESNSPLEKTRQDWTECTQDELSNHQRAKTSAISDVDALKRLFKGLVDKVSRQQEAASAIAVVVMQLKSESRKRAGGGARGDSWLLFTGPGKVGKWKMANALSELVFGTGPTTVCFGGAASRTGGDDGGQNVKSRGRTSMDRVVEAVPRNPFSVIVLEDVDQADGLVRGRVERSMEQGRLVDSYGREVSLGSVFFILTSSWLPKELKRTHDSLIQCEEKILQSAGHGWRLQLSAQRTPGTRCFDWLRDSDRSVKLRRQSSSGGGLSLDLNLAVSREDEAGEGSRSLSDFTMEHKHKGSLAARCSMSPSALGLMELVDKAIVFRLVDFAPLRRTVSAIMGYGSSLKIDDDVIDRVVGGIWVGRAAFDEWAEGVLTPCLRQLKCRLRADDVVAVVRLSVVKGGGAATNGDQDWLPATVAIAVDELQKTPWT >Ma05_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10341417:10342505:1 gene:Ma05_g14240 transcript:Ma05_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAPSLPPLPISNPPAASAAPVAPSSAAPVATPAFRLFLSRLSDSVGRSLSNRRPWSELADRSAFSRPDSLSDASYRLRKNLTYFRVNYAAVVAAVLAISLITNPFSLVVLLALLAAWCLLYLFRPSDPPLVILGRTFSDRETLGSLVLITVFVVFLTSVGSLLISALVAGAAIVGAHGAFRVPEDLFLDEQETGAASSLLSFLGGAASAGPAVVAAARV >Ma06_p29050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30549052:30551516:1 gene:Ma06_g29050 transcript:Ma06_t29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAPAESLGGQVKKRAFVTFLAGDGDYVKGVVGLAKGLRKVKSAYPLVVAVLPDVPEAHRRLLQAQGCTVREIEPVYPPENQVQFAMAYYVINYSKLRIWNFVEYSKIIYLDADIQVYDNIDHLFDMPDGYFYAVMDCFCEKTWSHSRQYSIGYCQQCPDKVAWPAEMGSPPPLYFNAGMFVSEPARPTYDGLLETLMTTPPTPFAEQDFLNMYFEKIYKPIPLIYNLVLAMLWRHPENVELENVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVAKWWGIYNDKSLDFAAEDAVPEGETCLPSSIMVAMSENNINYISAPSAA >Ma05_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10020672:10021672:-1 gene:Ma05_g13790 transcript:Ma05_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWRHQMSPHQSTTYLMCLPCFDSSSCSISSPLHFYIIPTLAASMENSEQVLSESCNSYRWLMCIKSSLDSLGDSHRSFDTQDGSSFIEVDPECISLRWAIDANGFGFDLPRSQSSDHILFSKGLLQLPVLDKSESLSSSRSLLSLPNHCRSISSSLISSTLFQSAHYTPSSRSLASRNAKLRHCAGCLKKVIWRYMCFLMHLCEKVKALRLTSSRSEKSCTNLAGSSRRTSDSCSSRECCNSYADISIHDAILHCKKSNEQDK >Ma05_p28670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39605842:39607852:1 gene:Ma05_g28670 transcript:Ma05_t28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRKKSRWAADLSLSLPPSPSASASRSSSSSSSTSSSAGHQGGDDRFTELPDIIRLHILSLLPLKYAIRTDALSSRWRGLWRLRWPPPAALEFSPPTDLGDAAGDEFVAGVDRCLSARGRGRRIDSLSLALPPSRRYDFDIKRWLEYAASCGVEDLRLVVSHPSPAATSARPGRRARRHDRAAVPSAFFFSICECSNLARLTLSGLHLSSPSSNIKQLSSLEVLSLHAAHVTDAALRRIVAACPFLRSLDLSLCRKLRRIVISAASSRLTSLTIIDCVRALEVTISATSLRRFRFSGNYLTTYSFDSPNRLEDVYISSGSPVSSLPWSNWVKALTELSNVKVLTLCNLSLQCVMAEGTKAIGEFRNFRNLRELQLLMGMMTDDNLMDVFGFFRLCECPRLEKLFIELPTNMRDPFIEKYLVVSEEVPPDVDFEYLKIIKLNSFKGHSNEMRLVRFFLEKAGSLESLVVVAPNELMGEEYNKNIMDGCPSFLHFFQLQLSRFTKASGSAQITLSEHDDHKFRPTHWEVYSKV >Ma05_p28670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39605842:39608766:1 gene:Ma05_g28670 transcript:Ma05_t28670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRKKSRWAADLSLSLPPSPSASASRSSSSSSSTSSSAGHQGGDDRFTELPDIIRLHILSLLPLKYAIRTDALSSRWRGLWRLRWPPPAALEFSPPTDLGDAAGDEFVAGVDRCLSARGRGRRIDSLSLALPPSRRYDFDIKRWLEYAASCGVEDLRLVVSHPSPAATSARPGRRARRHDRAAVPSAFFFSICECSNLARLTLSGLHLSSPSSNIKQLSSLEVLSLHAAHVTDAALRRIVAACPFLRSLDLSLCRKLRRIVISAASSRLTSLTIIDCVRALEVTISATSLRRFRFSGNYLTTYSFDSPNRLEDVYISSGSPVSSLPWSNWVKALTELSNVKVLTLCNLSLQCVMAEGTKAIGEFRNFRNLRELQLLMGMMTDDNLMDVFGFFRLCECPRLEKLFIELPTNMRDPFIEKYLVVSEEVPPDVDFEYLKIIKLNSFKGHSNEMRLVRFFLEKAGSLESLVVVAPNELMGEEYNKNIMDGCPSFLHFFQLQLSRFTKASGSAQITLSEHDDHKFRPTHWEVYSKV >Ma11_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3606739:3608041:1 gene:Ma11_g04630 transcript:Ma11_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSRSCWIVFIAVLAMASSTSASLHLGFYRKTCPSAEAIVRRTVSEAVANNPGLAAGLIRMHFHDCFVRGCDASLLLNSSPGNTAEKDAPPNNPSMRGFEVIDAAKAAVEARCPSTVSCADIIAFAARDSAYLAGGIDYPVPAGRRDGRVSLDSEALTNIPLPNLTAAGLRDSFAKKGLSVDEMVTLSGAHSIGRAHCTAFAPRLYNFNATHTQDPSMDPAFAAYLKSRCSPSTVDFTSKDPTTVPLDAVTPRRLDNQYYKNLAKRRGLLFSDQTLQASRLTARLVRLDAKLGSVWAAKFAAAMVRMGHIEVLTGSQGEIRKMCGVVNNRP >Ma03_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5994925:5999944:1 gene:Ma03_g08310 transcript:Ma03_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVRNNCFDLAEKCYYRMTSKGFSLSSFTYSRLICGLCQANNLLFVEKLWRDMDKIGCVPDIWAYNIYLNCLCKQNKMEDSLDVLQSMIQKGREPDVVTYTTIINGFCNARHFSAALEIWDEMLKRGFKLDVINCGTLVCGLSANGKVDEAYELMLEMIKMNIELNTRLYNAIIGGFCRAGQFAKAQVIVSFMQRNGCKPDLVTCNILLNHYCDRFMLNEAGNLMKRMEMSGISPDRCSYNQLLKGLCKGNQLEKAYDFITSYMEVKGLCDAVSCNTVINAFCKVGKMGTATKLFEEMGHKGIHADAITYSTLINGFFKIGDAFRAQELFHLMLKARVVPTVSVYNVMVHHLCKVGDIEGARRYFLDMTEKRISPDIVSYSSLINGLLKGSRINEAMKLYDDMCKNGVTPDELTYKLLIGGLLKGGKFILACRIWDQMMEKGFTLDRALSERLIAAIKSKDTNREEGEKLRS >Ma04_p08020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5768177:5772390:1 gene:Ma04_g08020 transcript:Ma04_t08020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSVLRSASIRGGAARVAAEAVAASRPQLRCLPKLRPAAASRFLRSPVEASFCVESLMPMHSATATALLTSMLNVSRRGYGWLSEAGNDDV >Ma04_p08020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5768177:5772994:1 gene:Ma04_g08020 transcript:Ma04_t08020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSVLRSASIRGGAARVAAEAVAASRPQLRCLPKLRPAAASRFLRSPVEASFCVESLMPMHSATATALLTSMLNVSRRGYGWLSEGL >Ma04_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5768177:5772315:1 gene:Ma04_g08020 transcript:Ma04_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSVLRSASIRGGAARVAAEAVAASRPQLRCLPKLRPAAASRFLRSPVEASFCVESLMPMHSATATALLTSMLNVSRRGYGWLSEGCDETR >Ma05_p30820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41046006:41048709:-1 gene:Ma05_g30820 transcript:Ma05_t30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDRISVLERLSSPMAEAEGIARGGLLVHHHHHHPRGPSVTLGQLFKRVGEAHSGGGVGDEDASQPHHVVAMGEFDGAGGGGLASRPLPIVLTFTNLSYSVKTARNMFLFRKSRLAMDPAAGLATPDAFSGSKTLLDSISGEAREGEIFAVLGASGSGKSTLIDALANRIARESLQGSITLNDEKLDDQLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSASKKKSRVQALIDQLGLRTSAKTIIGDEIHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVTKVLQRIAHSGSIVIMSVHQPSYRILCLLDRLLFLSRGQTVYSGPPDGLRGFFSDFGHPIPANENPTEFALDLIRELEGTPTGARSLVDFNKAHQSVRLAVAAADKPSLSLNDAISASISRGKLVSGATEGAPSAPSVQNYANPFWIEVGVLTKRSFTNSKRMPELFGVRLGAVLVTGFILATIFWRLDNSPRGVQERLGFFAIAMSTMFYTCADALPVFLQERNIFMRETAHNAYRRSSYVLSHTIVGFPPLVLLSIAFASTTFFAVGLAGSMQGFVFFVLIVLASFWAGSGFVTFLSGVVTHIMLGCAVVLSVLAYFLLFSGFFIDRTRIPDYWIWFHYLSLVKYPYEAVMQNEFDDPRKCFVRGVQMFDNTPFGGLPEAIKLNVLKSISSSLGVSITSQTCITTGTNILEQQSVTQLSKWDCLWIAVAWGFFFRFLFYISLLLGSRNKRR >Ma06_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8510399:8512079:-1 gene:Ma06_g12240 transcript:Ma06_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) UniProtKB/TrEMBL;Acc:F4HPB8] MKVSIEEHLQQLRSRATELLLREDWNEYINLYSRFISLCRSHLLAASSGAASDSVHKTLCLALSNRAEGRFRSRDLPGALEDCDDALDLDPAHLKSLLCKAKVLLDLDRYSSASECLKLALASQPSGGAADVVRGLSYRCRKLEAQSRTGSFDLSDWILNGFSEKCPDLAEYIGPVEIRRSTNGGRGLFATKNVEAGTPLVVTRAVVVGRGILPESGDRHGESARMVMWKDFVDKILDVAEKCSRTLCLIYQLSAGTGQGELDVPDIDLFKPEAAEELFVLKSKKPDVGRILKVLDVNCLREEGFSAGIHGKHSNYIGVGLWILPSFVNHSCSPNARRLHVGDRVVVHASRDVKAGEEITFPYFNVLLPLDDRRAMAKGWGFECSCERCRLEESVGFRQEMRELEMVMKSGTDLDGVAVRLEEGMSRWTVKGKAKGFLRASFWPAYSGVYESERLTRRCGRRIPAVSMAVQSVSEAVGGDERILRALLRRLKRGGGDAMEMDKAMRLGRGTYGKIMKKAAMKALCEVALVHYAN >Ma03_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26935451:26943839:1 gene:Ma03_g22100 transcript:Ma03_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSPTRSPGPRPAASQQETSPRAGPLPPTIYTCTSNGTLYLGNMSGWILIRFGHLLEVSLLLVRLIFLSADRKRPDAAGSPYASHPIMMHHRSTAHAAAYVPSPSRRSLRRRKGLRPSLGGFVLVLCLSTVFSVSVASGSCVDGSLRDICSGSTSFCFPSTLRGFGSLKEDSCKEPGFDAREGCASSEWRSGSVAAYKMVSGGAVSCRLVDTTGGIDGGLSSGGKNSGGDDVASCMAPLVPDVWIRTLSMMTAGLDDHDKDIYSGLFNGSSSVTVEISPPFLDWGENSLYTPSMAFLTVKNAYKNGVLDVYGPFSTDPQYYAYNFEKLQLAPGESASIAFIFLPRWVGSSSAHLVLQTSLGGFIIQARGVAVESPYKIEPLVGIRIPSGKSLIRNLSIYNPFDDVLHVEEVSAWVSLAGQTEQSVHVVCQMDALQQSSTELDYFLTDNKLFRVENSKLVLRWLHIRPQKQWDLSAHKTEPILEMRLWPYTEGKIFGAICLKMWSSTKDRMNAVVLPLELEVHSNTNFSYLNGSISLDIESLETCNKRETVIISLRNDGEDLLSLINVSETTRSSKFFKVRYKEGLLLFPRTLTKIALVSYSSPVIPQKNVPNMPTENMECKLLIVTNDTANPVIKIPCLDVVYANSNSEHGSGIIVTDGSYISGLSHDEEEKYTNARIGSLQSLADASFAIKPKLPEASEADELILTNWKSHGTVTEVSVLEEDELLFPVVPVGSHFSKWISIHNPSQQPVIMQLVLNSGEVIDQCKSADELYEHTLSSRFTKIDSLETRIGFSTSDSAITEAFVHPSESALFGPVIFRPSNRCTWRSSALIRNNLSGVEWLPIRALGGSHLLILLEGSEPVRKLEFNFQLPINMSAAELLSHIENTSSLCSHRLSKEIYAKNIGELPLEVKKLQISGTDCALDGFRVQKCKGFTLEPGESVRLLISYEADFSTNVVHRDLELALATGIFVVPMKASLPVYMLNLCRKTFFQTVHWKASLLVFAAVSTLILLLICIVPHFFFLDTEEYYVKVDKSANTTSKAGKTSHLRSAKISRSYSEDENRKAEIVNEYHLCHNIALDSPKKTEEKQGFIHQKDITFSPPTVTAKPAEVFDNYNMLEVPQSGALTIRVVKEKGRRRKRRTIGSGFAAKLEVSSSQSGNSTPSSPLSPSASTPKQAWPLSPKSGITLFAGVSSEQKQQKKHDAVDVIGIRVSETEEHRKQSPVTAKSAGNQTTSSPSVNSSGSVWFAHCVTAPSALATNSPIAPSARAPGPKSGKDKAIKMEENDGVRKEFTYDIWGNHFADSFLVRQKEYTTNLLDASEGDSQSFFARDPRSLMMMSSTRSVSPGQKSPVDDVTCLDQIN >Ma06_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21445747:21446632:1 gene:Ma06_g23440 transcript:Ma06_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVSAVLSFATLLLLTAPLVRSQPAAAAPAPAGPLNLTAILVKGGNFNSFIRLLQQTRVDEQLNSQLNNSFNGLTVFAPTDNAFGGLKAGTLNSLNQQEQVELVLYHVLPRYYSLTIFQTASNPLPTQASGKTGVFKLNVTTTTSNQANVSTGVVETAINTPLYSEFPLAVYPVEKVLLPYEIFGPQPPAAAPAPSTKKPNSPPSSSAGEGADSPSEGSTRSGASLKGRGVGWSFIAAVGVLGIAAGNLL >Ma09_p31360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41114912:41117716:-1 gene:Ma09_g31360 transcript:Ma09_t31360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MAAPQPLQRKLPPRQVYKSVDSKCSAQFLEARVGRNALLAVIPDPVTCFSTAKYSQMQLFRVHSDSNLVIVDWVTSGRHESGERWEFDLYKSTNHIYLDGDQPLFLDSVLLDKRSGTSIAEQMQEYQVMAMVIISGPKLKDLRCQLQEEVKQMMSRHLRSPNTNTSHHVRSEPRHGPTRPLLIASCSTFGPQALGMVVRIAAVTTESVYMFLRHHLTTLKPFLGASPYCQAGR >Ma09_p31360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41114912:41117732:-1 gene:Ma09_g31360 transcript:Ma09_t31360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MVGGKSTVTRCFSCYPLKLIFPNKVGSSKSDAVWIYALSYGGGIVSGDQISLEISVGDGCTAALTTQASTKVYKSVDSKCSAQFLEVLLDKRSGTSIAEQMQEYQVMAMVIISGPKLKDLRCQLQEEVKQMMSRHLRSPNTNTSHHVRSEPRHGPTRPLLIASCSTFGPQALGMVVRIAAVTTESVYMFLRHHLTTLKPFLGASPYCQAGR >Ma09_p31360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41114912:41117716:-1 gene:Ma09_g31360 transcript:Ma09_t31360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MAAPQPLQRKLPPRYTSLWIQSVRHNFLSGRHESGERWEFDLYKSTNHIYLDGDQPLFLDSVLLDKRSGTSIAEQMQEYQVMAMVIISGPKLKDLRCQLQEEVKQMMSRHLRSPNTNTSHHVRSEPRHGPTRPLLIASCSTFGPQALGMVVRIAAVTTESVYMFLRHHLTTLKPFLGASPYCQAGR >Ma09_p31360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41115453:41117732:-1 gene:Ma09_g31360 transcript:Ma09_t31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MVGGKSTVTRCFSCYPLKLIFPNKVGSSKSDAVWIYALSYGGGIVSGDQISLEISVGDGCTAALTTQASTKVYKSVDSKCSAQFLEARVGRNALLAVIPDPVTCFSTAKYSQMQLFRVHSDSNLVIVDWVTSGRHESGERWEFDLYKSTNHIYLDGDQPLFLDSVLLDKRSGTSIAEQMQEYQVMAMVIISGKKLSR >Ma03_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18829280:18830795:-1 gene:Ma03_g16380 transcript:Ma03_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRRRGEKVAGRGTAATVGAGWGAAAAVVADQEAALAVEEKERVVLCFPLRYLNSDDYEGGFERAEIDNVLSDIEFNFHAWAENFIVLVIGADDPISVDKLGRSFKSMRSEIALALAKTIFLGDMRHTLDKVEVPCTIIQVSNDFVAPVSVGRYMQSRIKGMATLEMIDSDGHFPQLTVPHKLLEILDRVMVSNKPCMVENVPSFHA >Ma08_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10400773:10401583:1 gene:Ma08_g13090 transcript:Ma08_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGASSSSAAAENPWTPPYCSVVAVDTSAFCYRVCSICERTLSDTASNCPVCSRRIPNAGSKHVYRLLVSVGTVDRVMVVVCFDRVARVLMGCSADDWTDFLGAHPSAREKVGELLQGEMLRMTLSRSRKGNAEHLRVASVVPLRAGFRPVIDRLRRLYGVEAGAPSSGHRGC >Ma02_p14630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22625279:22629119:-1 gene:Ma02_g14630 transcript:Ma02_t14630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGSYIACLLTLFVVLVLVPNTNELQSSEAWSLLRIQRLLNYPSVLSNWSTNTDFCNADPNPYLTVVCYEDSITQLHISGSENSPPLPRSFSIDSFFTTLTRLPNLKVLSLTSLGLWGPLPAKISRLSSLEIVNMSSNYLYGAIIQQVFSLDNLQTLILDHNMFSGRVPDAISKLHLLAVLSLRNNTFSGPLPKSFSVLESLRVLVLSSNSLSGDLPDLSRLTNLQVLNLENNYLGPNFPRLGRKVASIMLSKNMFGGGFPAKLSSYYLLEQLDVSFNRFVGPFLPSLLSLPSIRYLNIAGNRFTGMLFRNMTCNDDLMFVDLSSNLLSGKLPTCLTSDSKNKVFLYSSNCLRIKDHSQHPSSFCQTQALAAGILPHKEMRVSGGRTAMAVGAAGGIVVSVSLVGLAVFSVLRRINIKRAMKKPPRRIVEHASNGYPPKLLADARYIYQTMKLGALGIPSYRSFSLEELEAATNNFETSSLMGKGSHGQLYRGKLKDGSLLAIRCLKLKKSQNSQNFNHHIELISKLRLFLIFEYVTNGTLRSNISVEGHKLTWMQRISTAIGVAKGIQFLHGGIIPGLFANDLKITNILLDQNLIAKISSYNLPVLAENMKAMVLAGSSSSGSNELGERHVERHLIP >Ma02_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22625279:22629119:-1 gene:Ma02_g14630 transcript:Ma02_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGSYIACLLTLFVVLVLVPNTNELQSSEAWSLLRIQRLLNYPSVLSNWSTNTDFCNADPNPYLTVVCYEDSITQLHISGSENSPPLPRSFSIDSFFTTLTRLPNLKVLSLTSLGLWGPLPAKISRLSSLEIVNMSSNYLYGAIIQQVFSLDNLQTLILDHNMFSGRVPDAISKLHLLAVLSLRNNTFSGPLPKSFSVLESLRVLVLSSNSLSGDLPDLSRLTNLQVLNLENNYLGPNFPRLGRKVASIMLSKNMFGGGFPAKLSSYYLLEQLDVSFNRFVGPFLPSLLSLPSIRYLNIAGNRFTGMLFRNMTCNDDLMFVDLSSNLLSGKLPTCLTSDSKNKVFLYSSNCLRIKDHSQHPSSFCQTQALAAGILPHKEMRVSGGRTAMAVGAAGGIVVSVSLVGLAVFSVLRRINIKRAMKKPPRRIVEHASNGYPPKLLADARYIYQTMKLGALGIPSYRSFSLEELEAATNNFETSSLMGKGSHGQLYRGKLKDGSLLAIRCLKLKKSQNSQNFNHHIELISKLRYHHLVSALGHCFEYHPDDSSVSRLFLIFEYVTNGTLRSNISVEGHKLTWMQRISTAIGVAKGIQFLHGGIIPGLFANDLKITNILLDQNLIAKISSYNLPVLAENMKAMVLAGSSSSGSNELGERHVERHLIP >Ma02_p14630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22625279:22629119:-1 gene:Ma02_g14630 transcript:Ma02_t14630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGSYIACLLTLFVVLVLVPNTNELQSSEAWSLLRIQRLLNYPSVLSNWSTNTDFCNADPNPYLTVVCYEDSITQLHISGSENSPPLPRSFSIDSFFTTLTRLPNLKVLSLTSLGLWGPLPAKISRLSSLEIVNMSSNYLYGAIIQQVFSLDNLQTLILDHNMFSGRVPDAISKLHLLAVLSLRNNTFSGPLPKSFSVLESLRVLVLSSNSLSGDLPDLSRLTNLQVLNLENNYLGPNFPRLGRKVASIMLSKNMFGGGFPAKLSSYYLLEQLDVSFNRFVGPFLPSLLSLPSIRYLNIAGNRFTGMLFRNMTCNDDLMFVDLSSNLLSGKLPTCLTSDSKNKVFLYSSNCLRIKDHSQHPSSFCQTQALAAGILPHKEMRVSGGRTAMAVGAAGGIVVSVSLVGLAVFSVLRRINIKRAMKKPPRRIVEHASNGYPPKLLADARYIYQTMKLGALGIPSYRSFSLEELEAATNNFETSSLMGKGSHGQLYRGKLKDGSLLAIRCLKLKKSQNSQNFNHHIELISKLRYHHLVSALGHCFEYHPDDSSVSRLFLIFEYVTNGTLRSNISVEGHKLTWMQRISTAIGVAKGIQFLHGGIIPGLFANDLKITNILLDQNLIAKISSYNLPVLAENMKAMVLAGSSSSGSNELGERHVERHLIP >Ma02_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16316973:16318857:1 gene:Ma02_g04940 transcript:Ma02_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAKKWDSRPLNVIEDICQCYGHLRLLEDQQVNGISVMSALQLELEQARSYIIELESKQRSAKKKLNHFLKSLAVEKASWRNREHEQVRSIIEAVKCNLSKERKNRKWLEVVNAKLVDELAEVKLLTKRYLLDFEEERKVCELMEEVHDELAKEIGEGKAEVEALKRESVKLQEAMDEERRMLQMAEVWREDRVQMKLVDAKLMLEEKYLQLCNLQADMEAFLKVQGGIDKDMAMMKEAEMLKDVLSSIKVEGIEFYYQPPASGGMSVFEELQPIEETNGRDIGQCYGYSPASHASRIHTVSPETDLFLQNSVNFANGTVNGCSDVEDDSGWETVSQHVGQASCNSPEGSDPSVNCICEESYGSVRGTYWDEQGDNVKINSGISEICSTTTRQHRKKLSSISRFWRSSSATKGDSKNVSVELSNGRFSNCRTPNATLSSDAKSDEVGQSSPSVGLCSPDLLDPRVTPGTKGCTEWPRGTQKNIEDMRPRDHARLILGCYLVPETSTQVS >Ma08_p03290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2428918:2430119:1 gene:Ma08_g03290 transcript:Ma08_t03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKLWRDKRERDMYDSFADLYAIIKTTDKLEKAYVRDLVSSAEYEAECLKLIAQFRTLHSALRGAVPSLDRFAEAYRLDAPAGINRLLVSGVPATVEHRAAGSSSAAASASAVAECVQHFITAMDSVKLNMVAVDQVHPLLSDLSTSLAKLGNGLLPPDFEGRTKVRDWLARLAKMGAADELTDQQARQLHFDLESSYNSFMAALPNAGS >Ma10_p15580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27631166:27640302:-1 gene:Ma10_g15580 transcript:Ma10_t15580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MTLAEGQQIPIDTVIEAWNFGLEQGIGFVPELCKGYLARNFAWVTSLNSFPDIPYDLLHSCIEHPLLTLDSERHLCEALLIWLSDNRRSSQCSSDDSKFDILRKVRISLLPLEFAAGLRRDFSQLGEEAICTILDLMKDSFSIILAALVGDKLDDFRIRLTEYSEKISLAGCVHITALFLFLVVLPSDLDMTAKKRMVLSELDNCTANNRYVLGKSLMTMSYKSVREMDISKCPKLYFGSAVKWLLLAFPSLSILRASHCSQLKIEDFYCLLQKFPLITEVDMTVDTSPFLPAKVSVVSTSSDKYRVASTTPYAMLEENSLLLNVAKTSLENPAMSNISKLTLEGRNDINDLDLLKISALCGSLSYLNIKGCTMVTDMGISKLISKCLHIKSLILSYTSFGQSSVGVLCSDLLLTSNLTEVSDHKYSCTMAFRLQQLHIDGCKGIDQNSISQLMCRMYMLKILMLRGTSVTDDALYDFLGSFLESLDVSETMVSMQALTSVVRRNTGIRCLKATGCTKLNRPDTYDLASAVTANHKGYLFELSQHCILEEVAFGWGFSILSVEELVPLSRLRCITIGLGASPGHHVLCLLPKMCPLLESVILIFQVISDRIFRSIQESLKNLKVLQLCCCLGDLTSFACKISMLQLRILRLEWVTPWMTNNDLAILTKNCPNVVEFSLSGCKLLDSASQEIISNGWPGLTFIHLEECGKITLDGVSFLFNCIAIEDFLLRHNGKGIERNFIYEAASKLPLLRKLALDLCDACEGGFDSPSHAERCFLSTVMISRCKSQKCAFDSQTMEAFRSVHKETIVIEWDCKEARTTVVKERI >Ma10_p15580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27631166:27640729:-1 gene:Ma10_g15580 transcript:Ma10_t15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MASATTDGDDVVLELIDPSAVQNELRPEKVTSISTSGVENWNIGLLLQSPSVKVRANRNRLVEQSAYFRGLLCGSFSESSLAHVSIRWNLEALVHVLQFIHGFHLHITSNNFLLILEAALYFGVERLLLECESWFQQMTLAEGQQIPIDTVIEAWNFGLEQGIGFVPELCKGYLARNFAWVTSLNSFPDIPYDLLHSCIEHPLLTLDSERHLCEALLIWLSDNRRSSQCSSDDSKFDILRKVRISLLPLEFAAGDKLDDFRIRLTEYSEKISLAGCVHITALFLFLVVLPSDLDMTAKKRMVLSELDNCTANNRYVLGKSLMTMSYKSVREMDISKCPKLYFGSAVKWLLLAFPSLSILRASHCSQLKIEDFYCLLQKFPLITEVDMTVDTSPFLPAKVSVVSTSSDKYRVASTTPYAMLEENSLLLNVAKTSLENPAMSNISKLTLEGRNDINDLDLLKISALCGSLSYLNIKGCTMVTDMGISKLISKCLHIKSLILSYTSFGQSSVGVLCSDLLLTSNLTEVSDHKYSCTMAFRLQQLHIDGCKGIDQNSISQLMCRMYMLKILMLRGTSVTDDALYDFLGSFLESLDVSETMVSMQALTSVVRRNTGIRCLKATGCTKLNRPDTYDLASAVTANHKGYLFELSQHCILEEVAFGWGFSILSVEELVPLSRLRCITIGLGASPGHHVLCLLPKMCPLLESVILIFQVISDRIFRSIQESLKNLKVLQLCCCLGDLTSFACKISMLQLRILRLEWVTPWMTNNDLAILTKNCPNVVEFSLSGCKLLDSASQEIISNGWPGLTFIHLEECGKITLDGVSFLFNCIAIEDFLLRHNGKGIERNFIYEAASKLPLLRKLALDLCDACEGGFDSPSHAERCFLSTVMISRCKSQKCAFDSQTMEAFRSVHKETIVIEWDCKEARTTVVKERI >Ma10_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27631166:27640729:-1 gene:Ma10_g15580 transcript:Ma10_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MASATTDGDDVVLELIDPSAVQNELRPEKVTSISTSGVENWNIGLLLQSPSVKVRANRNRLVEQSAYFRGLLCGSFSESSLAHVSIRWNLEALVHVLQFIHGFHLHITSNNFLLILEAALYFGVERLLLECESWFQQMTLAEGQQIPIDTVIEAWNFGLEQGIGFVPELCKGYLARNFAWVTSLNSFPDIPYDLLHSCIEHPLLTLDSERHLCEALLIWLSDNRRSSQCSSDDSKFDILRKVRISLLPLEFAAGLRRDFSQLGEEAICTILDLMKDSFSIILAALVGDKLDDFRIRLTEYSEKISLAGCVHITALFLFLVVLPSDLDMTAKKRMVLSELDNCTANNRYVLGKSLMTMSYKSVREMDISKCPKLYFGSAVKWLLLAFPSLSILRASHCSQLKIEDFYCLLQKFPLITEVDMTVDTSPFLPAKVSVVSTSSDKYRVASTTPYAMLEENSLLLNVAKTSLENPAMSNISKLTLEGRNDINDLDLLKISALCGSLSYLNIKGCTMVTDMGISKLISKCLHIKSLILSYTSFGQSSVGVLCSDLLLTSNLTEVSDHKYSCTMAFRLQQLHIDGCKGIDQNSISQLMCRMYMLKILMLRGTSVTDDALYDFLGSFLESLDVSETMVSMQALTSVVRRNTGIRCLKATGCTKLNRPDTYDLASAVTANHKGYLFELSQHCILEEVAFGWGFSILSVEELVPLSRLRCITIGLGASPGHHVLCLLPKMCPLLESVILIFQVISDRIFRSIQESLKNLKVLQLCCCLGDLTSFACKISMLQLRILRLEWVTPWMTNNDLAILTKNCPNVVEFSLSGCKLLDSASQEIISNGWPGLTFIHLEECGKITLDGVSFLFNCIAIEDFLLRHNGKGIERNFIYEAASKLPLLRKLALDLCDACEGGFDSPSHAERCFLSTVMISRCKSQKCAFDSQTMEAFRSVHKETIVIEWDCKEARTTVVKERI >Ma04_p06880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5008902:5027721:-1 gene:Ma04_g06880 transcript:Ma04_t06880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQNGIETARLVWSRLPSSEEGERTEIELPSHSRGAESLDYEVIENYAYREAQAQRGKLWVSYYVVLKWLFSLIIGIGTGLAAVFINLAVENFSGWKYAATFSIIQHSYFVGFLVYILFNLGLVFSSVYIVTKFAPAAAGSGIPEIKGYLNGVDTPGILLFRTLIGKVFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSKRYHLSSKWVRVFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSFQELLPMAIIGVIGGLLGALFNQLTLFITSWRRKYLHKKGNRIKILEVCIISLVTSVISFGLPLLRRCSACPDSEMSPGIECPRPPGTDGNFVNFYCAKDSEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLVIFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMLVVKFYRKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNKGLYEEQAQLRGIPLLESRPKLYMRNMTAKEASKNQKVVSFPRVVKVGNIVSVLRSNKHNGYPVVDQGQNGETLVIGLILRSHLLVLLQSKMDFQNSPFPCDMRGINRHNFSDFVKPVSSKGMSIEEIHLTEDELELYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLLEERDHSATTELQSTSVRSQHPLLDSLLNQE >Ma04_p06880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5008902:5027721:-1 gene:Ma04_g06880 transcript:Ma04_t06880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQNGIETARLVWSRLPSSEEGERTEIELPSHSRGAESLDYEVIENYAYREAQAQRGKLWVSYYVVLKWLFSLIIGIGTGLAAVFINLAVENFSGWKYAATFSIIQHSYFVGFLVYILFNLGLVFSSVYIVTKFAPAAAGSGIPEIKGYLNGVDTPGILLFRTLIGKVFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSKRYHLSSKWVRVFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSFQELLPMAIIGVIGGLLGALFNQLTLFITSWRRKYLHKKGNRIKILEVCIISLVTSVISFGLPLLRRCSACPDSEMSPGIECPRPPGTDGNFVNFYCAKDSEYNDLATIFFNTQVMLAEYNNSYYIKHFYGSWFLVFDIMTFLLQDDAIRNLFSAKTFHEYSAQSLVIFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMLVVKFYRKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNKGLYEEQAQLRGIPLLESRPKLYMRNMTAKEASKNQKVVSFPRVVKVGNIVSVLRSNKHNGYPVVDQGQNGETLVIGLILRSHLLVLLQSKMDFQNSPFPCDMRGINRHNFSDFVKPVSSKGMSIEEIHLTEDELELYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLLEERDHSATTELQSTSVRSQHPLLDSLLNQE >Ma04_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5008902:5027681:-1 gene:Ma04_g06880 transcript:Ma04_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQNGIETARLVWSRLPSSEEGERTEIELPSHSRGAESLDYEVIENYAYREAQAQRGKLWVSYYVVLKWLFSLIIGIGTGLAAVFINLAVENFSGWKYAATFSIIQHSYFVGFLVYILFNLGLVFSSVYIVTKFAPAAAGSGIPEIKGYLNGVDTPGILLFRTLIGKVFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSKRYHLSSKWVRVFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSFQELLPMAIIGVIGGLLGALFNQLTLFITSWRRKYLHKKGNRIKILEVCIISLVTSVISFGLPLLRRCSACPDSEMSPGIECPRPPGTDGNFVNFYCAKDSEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLVIFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMLVVKFYRKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNKGLYEEQAQLRGIPLLESRPKLYMRNMTAKEASKNQKVVSFPRVVKVGNIVSVLRSNKHNGYPVVDQGQNGETLVIGLILRSHLLVLLQSKMDFQNSPFPCDMRGINRHNFSDFVKPVSSKGMSIEEIHLTEDELELYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLLEERDHSATTELQSTSHPLLDSLLNQE >Ma06_p26840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28772817:28782476:1 gene:Ma06_g26840 transcript:Ma06_t26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIVGGVVGGVVGGVGDVVGGILGGSKGVQVKGTVVLMPKNVLDFNDLAGNVIDGLFDILGQNVTFQLVSATVGDPNNGNRGVVGSPASLQYLGRLPSLAAGESRFSVTFQWEENKGIPGAVIVKNKHATQFFLKTLTLDNFPGKGRIHFVCNSWVYPASKYKYDRVFFANTTYLPGDTPAPLKPYRQDELLNLRGQDVTGELKEWDRVYDYAYYNDLGSPDQGANLVRPILGGSAEYPYPRRGKTGRAPTKTDPKTESRLPLLNLNIYVPRDERFGHLKMGDFLTYAIKAISTGLLPTLQAIFDITPNEFDSFEEVLSLYENGLPVPQIPLLDELRQRIPFEMIRELLRTEKGQNFLKLPKPHVIQVDKNAWRTDEEFGREMLAGVDPLIVSRLDNFPPISQLDSNKYGNQHSTITATHIEHNLEGLTVDEALRSYRLFILDHHDALMPYLGRINSGSNKIYATRTLLFLKEDSTLKPLAIELSLPHPDGEQFGAVSKVYTPAETGVEGSIWQLAKAYVGVNDSGVHQLISHWLNTHAVLEPFVIATNRHLSVVHPISKLLTPHYRDTMNINALARQTLINAGGILETTVFPGKYAMEMSAVIYRNWNFVEQALPTELIKRGVAVQEGDGLRLLIKDYPYAVDGLAIWNAIHTWVTEYCSIYYPSDDAVKADTELYLNRWAME >Ma11_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9383986:9388101:-1 gene:Ma11_g10120 transcript:Ma11_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLSSSFTALSAPRGPTLSYCPAPLPPTSFPARVVHRRNLSSGARISIRHRPRHLLVSGAAAATTELSSADEGKKLMSSESQRPVFPFSAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTVVRSLVDLLPEIKVVVGDPFNSDPEDPESMSMDVRDRAARGEPLPVAATRITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERAGFDQDPKGFRETYRAEQEKLQQQIASARSSLASVQIDHDLRVKISKVCAELNVDGLRGDIVSNRAAKALAALKGRDKVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Ma11_p10120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9383986:9388122:-1 gene:Ma11_g10120 transcript:Ma11_t10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLSSSFTALSAPRARVVHRRNLSSGARISIRHRPRHLLVSGAAAATTELSSADEGKKLMSSESQRPVFPFSAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTVVRSLVDLLPEIKVVVGDPFNSDPEDPESMSMDVRDRAARGEPLPVAATRITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERAGFDQDPKGFRETYRAEQEKLQQQIASARSSLASVQIDHDLRVKISKVCAELNVDGLRGDIVSNRAAKALAALKGRDKVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Ma02_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25319043:25321673:-1 gene:Ma02_g19010 transcript:Ma02_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDEGDEGDEY >Ma05_p12000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8727847:8737339:1 gene:Ma05_g12000 transcript:Ma05_t12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIHTTTSKMIALVTGANKGIGLEICRQLLSKGSMVILTARDEQRGHAAIANLQASGASDSDVLFHQLDVADSASVASLADFVRDQFGKLDVLVNNAAVSGLALSPEILSSFEQVRDRLTDYANSITETYDMVEECLYINYYGTKAVTEALIPLLQSSQSPRIVNLSSFYGRLRYIPGDRIKEEMRNVDVLSEDRLNELLQSFLNDFKERKLEENGWPTRICAYKVSKVAVSAYTRILAKKYPNICINCVHPGVVKTDINWNTGELPVEEGAQGPVFVALLPDGSPSGQFYDMKEVSSFEYKEEAEEEEVAVLAFRKRIALVTGANKGIGLETCRQLLSKGATVILTARDEQRGLAAVRNLQASGASDVLFHQLDVADSASVSSLAGFIHDQFGKLDVLVNNAAVHGVGLDPQILGSSVQVYIPDSKIKEEMRNVDVLSEDRLDELLQSFLNDFKGGKLQENGWPTRTSAYMVSKVAVSAYTRILAKKYPKYCINCVNPGFVKTDINYNFGELPVEAHRLREKNGKSNCTSHQDTVLLSHIQFMKLPNSLKLDCQAAKLRTWRDMDIEVHVTLAATVITTKAKLDQNNSPDFPFLFFYQPSDMRGGICSPRQNWIAVVTGANKGIGLEVCRQLAFNGVKVILTARDETKGMEALEKMRDSELSDIIFHQLDVTDASSIASLADFIRTQFGKLDILVNNAAVGALTVDMDALKASKPTDDENTQDTGDIPDWLKPHVVQSFEMAETCLQTNYYGAKAVIKAFIPLLQSSLSGRIINVSSTLGQLRVISNERLQEELSDVDCLTEERIDQLSTLFLKDFKDDLLGSNGWPTMASAYKVSKVLINAYTRILAKTHPALCINCVNPGFVKTDLNWNSGILTVEEGAKGPVLLALGYHDSATGLFFDQTEASSF >Ma03_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:727385:728732:1 gene:Ma03_g00920 transcript:Ma03_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLPLTEDDWVEVLRSLAAKERESELELASDDGGGCYLRSARKDAVEWVSRAAARHAFSPLTAVLAVNYLDRCFLSRAAGGGLRLQDDKPWMGRLAAVACLSLAAKVEETRVPLLIDLQAEEGKYVFEPRTIRRMELLVLAALRWRMNPVTPLSFIHHLLPRLRSKYKNANTDPSAATGIATARRCEAALLSAIADWRWVQFPSSVWAAAALLQASAVAAQESHCLISLLNVPMAKVEECHQLMLESASAAITGHKRKHSSSVFHYCSSPPSPIGVVGSCFGSESSSDSQAMRPPSPAAHPLQKQINGSERGRC >Ma00_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:80753:82327:-1 gene:Ma00_g00070 transcript:Ma00_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEAPPAPLRVFFIPFFATGHMIPLVDIARLFAARGVDSTVLVTPANAALIQATVDAAADSGLPIRTLIYPFPSSESGLPPGVENISALPPSESYKIDVATPFVRPAHDRLLRLHRPDAVVADVHFPWTTFVARDLGVPRFTFQALGLFPVCMMNSLFTNLPHLAVAGDDVPFLVPNLPHPIHMSGSLGVVVNSFVEMESAYAEYYYKTCNMRSWFVGPVALAAAGQGSSLATRGGDDPIAAANRARCLLWLDAKEPKSAAGHPFLWVVRDGSDEWMPEGFERRVEGRGLVVRGWAPQVAILAHAAVGGFVTHCGWNSVLEGVSAGLPMVTWPLSTEQFMNEKLVVGVLRVGVRAAEGPGSTLEEERAVVGAAELARAVGWVMDSGEEAERMRRRAREYGETARAAVKEGGSSHKGLSDLIEEIRAWHSNKDVACDAATLKD >Ma05_p12510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9065148:9065357:1 gene:Ma05_g12510 transcript:Ma05_t12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGACRKLDLKVDDNNYVGLVAPISITAVSTMANTMLI >Ma03_p13980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11130675:11131211:1 gene:Ma03_g13980 transcript:Ma03_t13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSICILLAVAVLLHHHLLPGVEASVEKACRDAANSSPNIKYDFCVAALRSYPGSRSADKKGLAVIAASLTKDKATSVSAKVKSLLAKASDKKKKQCLESCESVYEDVLSDLDTSIPAIKEGRLGDAKTYLSAAVDAPSTCEEGFEELQVPSPLTKEDSDLTQICIIALAFTNMLG >Ma07_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4634155:4637187:-1 gene:Ma07_g06430 transcript:Ma07_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRSRVSTQGSEGNAEGEGGRGGEEETSGAGGSSTPRDMSLYEILGVERTVSQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRAVYDETGYAGDDALVGEAAENLQEFFRTVYKRVTEADIEEFEANYRGSDSEKKDLKDLYEKFKGNMNRLFCSMLCSDPKLDSHRYKDIIDEAIAEGELKTSRTYQKWAKKVSESEPPTNPVVRRQKTKKQEGADIVAIISQRRSQRKQQFDSILSSIVAKCDARTEPEPTEEEFQKARQRLESKQTKNRKR >Ma07_p27410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33805259:33811334:1 gene:Ma07_g27410 transcript:Ma07_t27410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRKPWWAPSCLSVVFVRVAKRNRQSRVVSLKLEP >Ma07_p27410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33805259:33811334:1 gene:Ma07_g27410 transcript:Ma07_t27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRKPWWAPSCLSVVFVRVAKRNRQSRVVSLKLEP >Ma06_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10760980:10761276:1 gene:Ma06_g15870 transcript:Ma06_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHARRPTKVRVIVTKCVQADAAQFKSVVQCLTGKDSVVAETSESLGGRAGRRSHEVERSCGGGRKRSISAEKEAGECGIVLLPSLDELFELLSD >Ma03_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1388612:1398629:1 gene:Ma03_g02040 transcript:Ma03_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQQALMQQALLLQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRQSAALAIITLNGRQLFGQPLKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFCVYTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWATKGANANEDKQISDSKSVVDPTNGSVEDGQDNTNDDGPENNPQYTTVYVGNLAHEVTQLDLHRHFHTLGAGVIEEVRVQRDKGFGFVRYSNHSEAALAIQVGNGRMLCGKPIKCSWGSKPTPPGTASTPLPPPAAAFPGLSAADFFGYDRSLALAGAGANQALIHVQGQHALKQAAMGMGAGASQVIYDGGFQNVSAAQQLMYY >Ma03_p02040.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1388612:1398629:1 gene:Ma03_g02040 transcript:Ma03_t02040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQQALMQQALLLQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRQSAALAIITLNGRQLFGQPLKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFCVYTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWATKGANANEDKQISDSKSVVDPTNGSVDGQDNTNDDGPENNPQYTTVYVGNLAHEVTQLDLHRHFHTLGAGVIEEVRVQRDKGFGFVRYSNHSEAALAIQVGNGRMLCGKPIKCSWGSKPTPPGTASTPLPPPAAAFPGLSAADFFGYDRSLALAGAGANQALIHVQGQHALKQAAMGMGAGASQVIYDGGFQNVSAAQQLMYY >Ma03_p02040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1388612:1398629:1 gene:Ma03_g02040 transcript:Ma03_t02040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQQALMQQALLLQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRQSAALAIITLNGRQLFGQPLKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFCVYTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWATKGANANEDKQISDSKSVVDPTNGSVEDGQDNTNDDGPENNPQYTTVYVGNLAHEYYCQVTQLDLHRHFHTLGAGVIEEVRVQRDKGFGFVRYSNHSEAALAIQVGNGRMLCGKPIKCSWGSKPTPPGTASTPLPPPAAAFPGLSAADFFGYDRSLALAGAGANQALIHVQGQHALKQAAMGMGAGASQVIYDGGFQNVSAAQQLMYY >Ma03_p02040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1388612:1398629:1 gene:Ma03_g02040 transcript:Ma03_t02040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQRLKQQQQALMQQALLLQQQQSLYPHPGLLAAPQIEPILSGNLPPGFDPSTCRSVYVGNVHLQVTEALLQEVFQSTGLVEGCKLIRKEKSSFGFVDYYDRQSAALAIITLNGRQLFGQPLKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFCVYTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWATKGANANEDKQISDSKSVVDPTNGSVDGQDNTNDDGPENNPQYTTVYVGNLAHEYYCQVTQLDLHRHFHTLGAGVIEEVRVQRDKGFGFVRYSNHSEAALAIQVGNGRMLCGKPIKCSWGSKPTPPGTASTPLPPPAAAFPGLSAADFFGYDRSLALAGAGANQALIHVQGQHALKQAAMGMGAGASQVIYDGGFQNVSAAQQLMYY >Ma08_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33519046:33519647:1 gene:Ma08_g19700 transcript:Ma08_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSLPLLLLLFLFAAVAPASSDEPKEKMTHLHFYFHVYYGGPNATTVTVVSPPGSSTFGSIAVGNNILKEGPESSSMLIGRTYDLTVQASIESPSAYLYMLNFVFTSGEYNGSSISIVGKEVVGETMERTIVGGTGKFRMAWGYTISRLISSTGTTELFLVYEHDSYIYHH >Ma01_p20140.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.10 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.11 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17082993:-1 gene:Ma01_g20140 transcript:Ma01_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma01_p20140.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17058626:17086216:-1 gene:Ma01_g20140 transcript:Ma01_t20140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSGDSARSEEGNSGAAWWPSHFIENLQSVSLDPRKESTCRVGKAELFSATASQLLWSTGTFSGSIPNGFYSVIPDKKLKELFDTIPSPDDLHSLGIEGFKADIILVDAEKDKKLSMLKQLSAAMVKGLHNNPALLIRKIAGLVFDFYKRPNSERSPAKAAVEDAPHWMDNKGIQLLGQIKHGSCRPRAILFKVLADSVGLDSKLVVGLPSDGSVECADSYKHMSVVVVLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGFPDKLDAEDLEQEENPQSLHQSRVDASSNLNGRSLRNIILRSKTFMKGKLSVSHSESNIANALVRCSQKKVVREQQHTSSSSPEHPLYKAGGWSMLSGDRQPFREFADGVDASRSDGGSTSDVHRIRRSISITPEIGDDIVRAVRAMNETLKQNRLLRDHGDEGSCLYATNDKNQLNEPPKNVCFSVPKDEISFGNSGAYNSSRKQTGSSQKAMSLPSSPHEYIGKNSKRTGDSPRTEHMVSTWNKVLQSSPFLNKPLLPFKEWNINFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMTDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGTPAVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILTCLLDCEYTLS >Ma10_p29610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36141938:36150416:1 gene:Ma10_g29610 transcript:Ma10_t29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARSSSPSSLSKLLLRPHSFSSLRSFSSSAADDQRVLTIETSVPFTGHRIDPPSRAVDTTPAEILSFFRDMAVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKRDGIITSYRDHCTFLGRGGTLLEAFAELMGRRDGCAKGKGGSMHFYRKEASYYGGHGIVGAQIPLGCGVAFAQKYSKDGSVTFALYGDGAANQGQLFEALNMAALLDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACIFAKEYALANGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILSHELASASELKDIEKEVRKEVDDAVAQAKECPMPDPSELFSNVYVKGFGAETFGPDRKEVRSVLP >Ma02_p00950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7622154:7629622:1 gene:Ma02_g00950 transcript:Ma02_t00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGILRGGDIPVIGSVSSCQVTNEASQVIGGGGGSDLTDMIKAQIANHPLYPSLLSAYIECRKVGAPPEVAQLLEEIGSEGHSSTGGGEIGADPELDEFMESYCLALVRYKEDLSKPFDEAASFLNDIEMQLTNLCKASSAAATTTATGNSPSDEVIGTSEEDQSCEDLESSEGQEYGSRVADSDLREMLQKKYSGYLSNLRKEFLKKRKKGKLPKDARLILLDWWNTHCRWPYPTEEEKAKLAEKTGLEQKQINNWFINQRKRHWKPSEDVRFALMEGVSDGSSGTMLCFGSSTTGT >Ma02_p00950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7621838:7629462:1 gene:Ma02_g00950 transcript:Ma02_t00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPEPSDLAHPPLFARLVAERRKMEDLYSIHPGILRGGDIPVIGSVSSCQVTNEASQVIGGGGGSDLTDMIKAQIANHPLYPSLLSAYIECRKVGAPPEVAQLLEEIGSEGHSSTGGGEIGADPELDEFMESYCLALVRYKEDLSKPFDEAASFLNDIEMQLTNLCKASSAAATTTATGNSPSDEVIGTSEEDQSCEDLESSEGQEYGSRVADSDLREMLQKKYSGYLSNLRKEFLKKRKKGKLPKDARKRRRQN >Ma02_p00950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7622154:7629622:1 gene:Ma02_g00950 transcript:Ma02_t00950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGILRGGDIPVIGSVSSCQVTNEASQVIGGGGGSDLTDMIKAQIANHPLYPSLLSAYIECRKVGAPPEVAQLLEEIGSEGHSSTGGGEIGADPELDEFMESYCLALVRYKEDLSKPFDEAASFLNDIEMQLTNLCKASSAAATTTATGNSPSDEVIGTSEEDQSCEDLESSEGQEYGSRVADSDLREMLQKKYSGYLSNLRKEFLKKRKKGKLPKDARLILLDWWNTHCRWPYPTEEEKAKLAEKTGLEQKQINNWFINQRKRHWKPSEDVRFALMEGVSDGSSGTMLCFGSSTTGT >Ma02_p00950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7621838:7629622:1 gene:Ma02_g00950 transcript:Ma02_t00950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPEPSDLAHPPLFARLVAERRKMEDLYSIHPGILRGGDIPVIGSVSSCQVTNEASQVIGGGGGSDLTDMIKAQIANHPLYPSLLSAYIECRKVGAPPEVAQLLEEIGSEGHSSTGGGEIGADPELDEFMESYCLALVRYKEDLSKPFDEAASFLNDIEMQLTNLCKASSAAATTTATGNSPSDEVIGTSEEDQSCEDLESSEGQEYGSRVADSDLREMLQKKYSGYLSNLRKEFLKKRKKGKLPKDARLILLDWWNTHCRWPYPTEEEKAKLAEKTGLEQKQINNWFINQRKRHWKPSEDVRFALMEGVSDGSSGTMLCFGSSTTGT >Ma02_p00950.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7622009:7629622:1 gene:Ma02_g00950 transcript:Ma02_t00950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGILRGGDIPVIGSVSSCQVTNEASQVIGGGGGSDLTDMIKAQIANHPLYPSLLSAYIECRKVGAPPEVAQLLEEIGSEGHSSTGGGEIGADPELDEFMESYCLALVRYKEDLSKPFDEAASFLNDIEMQLTNLCKASSAAATTTATGNSPSDEVIGTSEEDQSCEDLESSEGQEYGSRVADSDLREMLQKKYSGYLSNLRKEFLKKRKKGKLPKDARLILLDWWNTHCRWPYPTEEEKAKLAEKTGLEQKQINNWFINQRKRHWKPSEDVRFALMEGVSDGSSGTMLCFGSSTTGT >Ma09_p28900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39527307:39542072:-1 gene:Ma09_g28900 transcript:Ma09_t28900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGGEALARSPEPHSPLRRRPPVAAEGDDGRGGVRRGEDQGAGGSVWADFSRRASAPVHRRVRESPLSSDAVFKQSHAGLLNLCIVVLIAVNGRLIIENLMKYGLLIRAGFWFSSKSLRDWPLLICCVTLPVFPLGAFTTEKMAQHKFISEPLVICLHVVLTMASILYPVYVIIRFDSAVLSGFTLMFFAIIVWSKLVSYAHTNYDRRVLSKSIHKDDIHSHFPELDDLKWVSFKSLVYFMVAPTLCYQLNYPRTTSIRKGWVIHQIVKLVIFTGLMGFIIEQYINPIIKNSQHPLRGNLLYAIERVLKLSIPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTIDEYWRMWNMPVHKWMIRHIYFPCLQNGLPKGVALMIAFFLSAIFHEICVAVPCQIFKFWAFIGIMFQIPLIVLTKYLQNKFKNTTVGNMIFWLFFCILGQPMCILLYYHDVMNRKVKL >Ma09_p28900.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39527307:39542072:-1 gene:Ma09_g28900 transcript:Ma09_t28900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGGEALARSPEPHSPLRRRPPVAAEGDDGRGGVRRGEDQGAGGSVWADFSRRASAPVHRRVRESPLSSDAVFKQSHAGLLNLCIVVLIAVNGRLIIENLMKYGLLIRAGFWFSSKSLRDWPLLICCVTLPVFPLGAFTTEKMAQHKFISEPLVICLHVVLTMASILYPVYVIIRFDSAVLSGFTLMFFAIIVWSKLVSYAHTNYDRRVLSKSIHKDDIHSHFPELDDLKWVSFKSLVYFMVAPTLCYQLNYPRTTSIRKGWVIHQIVKLVIFTGLMGFIIEQYINPIIKNSQHPLRGNLLYAIERVLKLSIPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTIDEPVHKWMIRHIYFPCLQNGLPKGVALMIAFFLSAIFHEICVAVPCQIFKFWAFIGIMFQIPLIVLTKYLQNKFKNTTVGNMIFWLFFCILGQPMCILLYYHDVMNRKVKL >Ma09_p28900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39527307:39542072:-1 gene:Ma09_g28900 transcript:Ma09_t28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGGEALARSPEPHSPLRRRPPVAAEGDDGRGGVRRGEDQGAGGSVWADFSRRASAPVHRRVRESPLSSDAVFKQYGLLIRAGFWFSSKSLRDWPLLICCVTLPVFPLGAFTTEKMAQHKFISEPLVICLHVVLTMASILYPVYVIIRFDSAVLSGFTLMFFAIIVWSKLVSYAHTNYDRRVLSKSIHKDDIHSHFPELDDLKWVSFKSLVYFMVAPTLCYQLNYPRTTSIRKGWVIHQIVKLVIFTGLMGFIIEQYINPIIKNSQHPLRGNLLYAIERVLKLSIPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTIDEYWRMWNMPVHKWMIRHIYFPCLQNGLPKGVALMIAFFLSAIFHEICVAVPCQIFKFWAFIGIMFQIPLIVLTKYLQNKFKNTTVGNMIFWLFFCILGQPMCILLYYHDVMNRKVKL >Ma09_p28900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39527307:39542072:-1 gene:Ma09_g28900 transcript:Ma09_t28900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGGEALARSPEPHSPLRRRPPVAAEGDDGRGGVRRGEDQGAGGSVWADFSRRASAPVHRRVRESPLSSDAVFKQSHAGLLNLCIVVLIAVNGRLIIENLMKYGLLIRAGFWFSSKSLRDWPLLICCVTLPVFPLGAFTTEKMAQHKFISEPLVICLHVVLTMASILYPVYVIIRFDSAVLSGFTLMFFAIIVWSKLVSYAHTNYDRRVLSKSIHKDDIHSHFPELDDLKWVSFKSLVYFMVAPTLCYQLNYPRTTSIRKGWVIHQIVKLVIFTGLMGFIIEQYINPIIKNSQHPLRGNLLYAIERVLKLSIPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTIDEYWRMWNMGVALMIAFFLSAIFHEICVAVPCQIFKFWAFIGIMFQIPLIVLTKYLQNKFKNTTVGNMIFWLFFCILGQPMCILLYYHDVMNRKVKL >Ma00_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12514160:12515145:1 gene:Ma00_g01830 transcript:Ma00_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPGRFYGKSLPRPRFYADVKMNDERVDPPVPVMDPLLSWANEAHWSMGGKSFKRHRLQGRIEGSIKKLRDQQERAQRRTIISSSPLIAKSRVSGQKPLGLGSLDSGSADSGEEEAGQKGSQARIFPSPNGSVDRKRTKVRRHKKEFDGNGAEHLKGLSGEAGGVASRTRRRGQVAEELEIGEEDALPAATDGKGNTEKKKRKEVDSALPRRTSPRKKRSI >Ma10_p10640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24490114:24493063:1 gene:Ma10_g10640 transcript:Ma10_t10640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARRQTGTVIWFSGAKGFGFIAPDGGGEDLFVHQSAIRAEGFRALSEGDAVEFSVDQADDGRSKAVDVTGPNGAAIFLGGGGRGGSGGRGRAGGGGYGYGGGYGGSGYGRGWRSGGRGGRMGGGGGGGGGYGGAGGGGYGGGGGGGVGPCYNCGRNGHLARDCRQGGGSGGGGGGGGGKYGGYGGGGGAAAGGGGGGCYNCGEHGHFARDCPNVN >Ma10_p10640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24490114:24492836:1 gene:Ma10_g10640 transcript:Ma10_t10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARRQTGTVIWFSGAKGFGFIAPDGGGEDLFVHQSAIRAEGFRALSEGDAVEFSVDQADDGRSKAVDVTGPNGAAIFLGGGGRGGSGGRGRAGGGGYGYGGGYGGSGYGRGWRSGGRGGRMGGGGGGGGGYGGAGGGGYGGGGGGGVGPCYNCGRNGHLARDCRQGGGSGGGGGGGGGKYGGYGGGGGAAAGGGGGGCYNCGEHGHFARDCPNVN >Ma10_p10640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24490114:24493063:1 gene:Ma10_g10640 transcript:Ma10_t10640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARRQTGTVIWFSGAKGFGFIAPDGGGEDLFVHQSAIRAEGFRALSEGDAVEFSVDQADDGRSKAVDVTGPNGAAIFLGGGGRGGSGGRGRAGGGGYGYGGGYGGSGYGRGWRSGGRGGRMGGGGGGGGGYGGAGGGGYGGGGGGGVGPCYNCGRNGHLARDCRQGGGSGGGGGGGGGKYGGYGGGGGAAAGGGGGGCYNCGEHGHFARDCPNVN >Ma10_p10640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24490114:24491565:1 gene:Ma10_g10640 transcript:Ma10_t10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARRQTGTVIWFSGAKGFGFIAPDGGGEDLFVHQSAIRAEGFRALSEGDAVEFSVDQADDGRSKAVDVTGPNGAAIFLGGGGRGGSGGRGRAGGGGYGYGGGYGGSGYGRGWRSGGRGGRMGGGGGGGGGYGGAGGGGYGGGGGGGVGPCYNCGRNGHLARDCRQGGGSGGGGGGGGGKYGGYGGGGGAAAGGGGGGCYNCGEHGHFARDCPNVN >Ma10_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25384809:25385836:1 gene:Ma10_g12160 transcript:Ma10_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGFSELIATLTTFNELDQTKCTNLLRSEYKDAFAEKHGMKLGLMSGFVKVTVSGLQNQPIIDSVIDRDDIVYRDYIDISIAVGTQKVYIWFELSGMLIG >Ma11_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12879007:12883594:1 gene:Ma11_g11390 transcript:Ma11_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKPSNGDALLLSRERVKCIRQAIDSRYALSAAHLSYIQSLCSIGTALRQFVEAALLIEPSYSTSEVNKSPSHSFCVTPSPSQFAEHVSSPSQSGSPLSSPRLSNMSYMRATGASAMTVTIDSSATHFVSEDSLTSSLLPPPPLLEIGSSWDYFDPANAVANCELHKGEPSGSINFCRRQLKEAEVVPLSEEQVMISCEEKQLIGNKVCLEGFDVEVKSIILAHKIDNIRCMHSGSLSVKGSIKTMIKIASSDISGSNIENSDLQREIYAEREDTSEFITHRAKCFLSSMRDIEHRFLRAAEAGTEMSRMLETDKIRLGISADRRGKSPSSQITSVFNRIWCKGEPLRKHGDSMQNLRKVITWNHSVSSLSSSSSKIPLTSATKHDEENTQSDFTEEFCMISGSHSSTLDRLYAWERKLYDDIKSIEYIRKVYDQKCKQLGHQCARDLDAGLIDKTRAVVKDLHSRLGVAIWSVESISKRIEKLRDDELQPQLVELMQGLIRMWKAMLECHHAQFITITLAYLTKSSTAAALGEPYRQALSHLINEMGYFSHTFSIWVSAYKSYVEALSTWLQKCVLHPQERRKGRRVIFPPHQALSPPIFVLCNGWLHGLESLPSKDLCDSIEEIMSILHDSFEQPTEAEQAGKLANEPENSRGFDGKQVRDYGRPSNLDELKTGLTRLFDRLTKFSETSLKVHEDVKQGIEIASIAYANIVV >Ma10_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17471799:17475884:1 gene:Ma10_g06060 transcript:Ma10_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSQPNTGLFVGLNKGHIVTKRELPPRPCSRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSDKKK >Ma10_p06060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17471821:17475884:1 gene:Ma10_g06060 transcript:Ma10_t06060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSQPNTGLFVGLNKGHIVTKRELPPRPCSRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSDKKK >Ma06_p02280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1771561:1776967:-1 gene:Ma06_g02280 transcript:Ma06_t02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFGGCSRKRNKKTSVAPTVVFTPVQPVTHVPVHVGGHPPTLQSLVQMWPSPLVILSPTVPWAAQPSIPSTSEHSSVQNDPDKERMADMASAGVTTILGDASNAKWLPRVDMLFDSETDAYDFYNTYAENEGFIVRRSKSTVSTKNIITKRTFVCSREGFREKKKGEKEAKCPRPVTRIGCPACMTIRLTPNGKYRVTEFVPNHNHQLAMVATYDKLRAKKLRRNARVARADLVDDTVRLPEFESEDEAYEFYSAYAGRMGFRVRKTSATISAENVVTRRMFVCSREGFREQKKGEKRVKKPRKEFRTGCPACMVIRISSTGKYRVTEFVTFHNHQLEAPSSAEILTSETAENGADHVLNTVNESADDDAQKHINSPQCSTFVPPGYKNYLRSKRMKAIQMGDAGATLEYLQRMQEDSSSFYYAIQVDKDDNLTNVFWADAKSVMDFCYFGDVVCFDTTYKVLDYDRPFALFTGINHHKQTVIFGAALLYDESVESLKWLLESFKTAMSGKQPKTILTDRCAVLSEAIAAVLPATNHRYCVWHIYQNAIVQLSQVFHGSRTLGYDFRRCLFDCEDEEEFLKEWEAMCGKYDLIDNKWMATLFEEREKWALVYGRETFYADMKSAQQKESMNTELKKYLCNKTELLGFFEHYERILSEKRCAELQADINANQSNQKPPPMRMLRQAANVYTPAAYKMFEREFELYMDCILYGCNEVGTISEYKVMIEEKAMDHLVKSDSFDGSVTCSCKKFEFLGIQCCHVLKVLDTQNIKELPPQYILKRWRKDAKTRGLSEDSIFSFDCFPQSSLAKRYSSLCRIFSIAAALAAKTIDSYAFLESHSEVLSNQLNQVLQSRSLEMPAMIPAPCDQLQNPVESMVAESLR >Ma06_p02280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1771081:1777947:-1 gene:Ma06_g02280 transcript:Ma06_t02280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNYWSGWKDSLQQSKTEKMYAFGGCSRKRNKKTSVAPTVVFTPVQPVTHVPVHVGGHPPTLQSLVQMWPSPLVILSPTVPWAAQPSIPSTSEHSSVQNDPDKERMADMASAGVTTILGDASNAKWLPRVDMLFDSETDAYDFYNTYAENEGFIVRRSKSTVSTKNIITKRTFVCSREGFREKKKGEKEAKCPRPVTRIGCPACMTIRLTPNGKYRVTEFVPNHNHQLAMVATYDKLRAKKLRRNARVARADLVDDTVRLPEFESEDEAYEFYSAYAGRMGFRVRKTSATISAENVVTRRMFVCSREGFREQKKGEKRVKKPRKEFRTGCPACMVIRISSTGKYRVTEFVTFHNHQLEAPSSAEILTSETAENGADHVLNTVNESADDDAQKHINSPQCSTFVPPGYKNYLRSKRMKAIQMGDAGATLEYLQRMQEDSSSFYYAIQVDKDDNLTNVFWADAKSVMDFCYFGDVVCFDTTYKVLDYDRPFALFTGINHHKQTVIFGAALLYDESVESLKWLLESFKTAMSGKQPKTILTDRCAVLSEAIAAVLPATNHRYCVWHIYQNAIVQLSQVFHGSRTLGYDFRRCLFDCEDEEEFLKEWEAMCGKYDLIDNKWMATLFEEREKWALVYGRETFYADMKSAQQKESMNTELKKYLCNKTELLGFFEHYERILSEKRCAELQADINANQSNQKPPPMRMLRQAANVYTPAAYKMFEREFELYMDCILYGCNEVGTISEYKVMIEEKAMDHLVKSDSFDGSVTCSCKKFEFLGIQCCHVLKVLDTQNIKELPPQYILKRWRKDAKTRGLSEDSIFSFDCFPQSSLAKRYSSLCRIFSIAAALAAKTIDSYAFLESHSEVLSNQLNQVLQSRSLEMPAMIPAPCDQLQNPVESMVAESLR >Ma06_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1771561:1777947:-1 gene:Ma06_g02280 transcript:Ma06_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNYWSGWKDSLQQSKTEKMYAFGGCSRKRNKKTSVAPTVVFTPVQPVTHVPVHVGGHPPTLQSLVQMWPSPLVILSPTVPWAAQPSIPSTSEHSSVQNDPDKERMADMASAGVTTILGDASNAKWLPRVDMLFDSETDAYDFYNTYAENEGFIVRRSKSTVSTKNIITKRTFVCSREGFREKKKGEKEAKCPRPVTRIGCPACMTIRLTPNGKYRVTEFVPNHNHQLAMVATYDKLRAKKLRRNARVARADLVDDTVRLPEFESEDEAYEFYSAYAGRMGFRVRKTSATISAENVVTRRMFVCSREGFREQKKGEKRVKKPRKEFRTGCPACMVIRISSTGKYRVTEFVTFHNHQLEAPSSAEILTSETAENGADHVLNTVNESADDDAQKHINSPQCSTFVPPGYKNYLRSKRMKAIQMGDAGATLEYLQRMQEDSSSFYYAIQVDKDDNLTNVFWADAKSVMDFCYFGDVVCFDTTYKVLDYDRPFALFTGINHHKQTVIFGAALLYDESVESLKWLLESFKTAMSGKQPKTILTDRCAVLSEAIAAVLPATNHRYCVWHIYQNAIVQLSQVFHGSRTLGYDFRRCLFDCEDEEEFLKEWEAMCGKYDLIDNKWMATLFEEREKWALVYGRETFYADMKSAQQKESMNTELKKYLCNKTELLGFFEHYERILSEKRCAELQADINANQSNQKPPPMRMLRQAANVYTPAAYKMFEREFELYMDCILYGCNEVGTISEYKVMIEEKAMDHLVKSDSFDGSVTCSCKKFEFLGIQCCHVLKVLDTQNIKELPPQYILKRWRKDAKTRGLSEDSIFSFDCFPQSSLAKRYSSLCRIFSIAAALAAKTIDSYAFLESHSEVLSNQLNQVLQSRSLEMPAMIPAPCDQLQNPVESMVAESLR >Ma06_p02280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1771561:1775539:-1 gene:Ma06_g02280 transcript:Ma06_t02280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADMASAGVTTILGDASNAKWLPRVDMLFDSETDAYDFYNTYAENEGFIVRRSKSTVSTKNIITKRTFVCSREGFREKKKGEKEAKCPRPVTRIGCPACMTIRLTPNGKYRVTEFVPNHNHQLAMVATYDKLRAKKLRRNARVARADLVDDTVRLPEFESEDEAYEFYSAYAGRMGFRVRKTSATISAENVVTRRMFVCSREGFREQKKGEKRVKKPRKEFRTGCPACMVIRISSTGKYRVTEFVTFHNHQLEAPSSAEILTSETAENGADHVLNTVNESADDDAQKHINSPQCSTFVPPGYKNYLRSKRMKAIQMGDAGATLEYLQRMQEDSSSFYYAIQVDKDDNLTNVFWADAKSVMDFCYFGDVVCFDTTYKVLDYDRPFALFTGINHHKQTVIFGAALLYDESVESLKWLLESFKTAMSGKQPKTILTDRCAVLSEAIAAVLPATNHRYCVWHIYQNAIVQLSQVFHGSRTLGYDFRRCLFDCEDEEEFLKEWEAMCGKYDLIDNKWMATLFEEREKWALVYGRETFYADMKSAQQKESMNTELKKYLCNKTELLGFFEHYERILSEKRCAELQADINANQSNQKPPPMRMLRQAANVYTPAAYKMFEREFELYMDCILYGCNEVGTISEYKVMIEEKAMDHLVKSDSFDGSVTCSCKKFEFLGIQCCHVLKVLDTQNIKELPPQYILKRWRKDAKTRGLSEDSIFSFDCFPQSSLAKRYSSLCRIFSIAAALAAKTIDSYAFLESHSEVLSNQLNQVLQSRSLEMPAMIPAPCDQLQNPVESMVAESLR >Ma10_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29992707:29995454:1 gene:Ma10_g19440 transcript:Ma10_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGGASGRGARAEKVQRIFERFDANGDGGLNREEMAALVVAVNPRVKFSDEQISAILDEVFRTYADFIASPHVGLTLAGLLRTYDDGAGDVDRDFDALGLRLSTDAGEGDDSAAGAASSDAGVVAESSSSAGATGTGILDDRLLASASAPRSQPPRAAAPPWAVSPNNGIIFESSWSLLDDLEILVKRLRSKQLQRSATAGAGVGDNNNNSHFDSFSEAGWSREIGGPSDSSERRVPWDETSRDYLSFVKEVAVLRGRADGARSREEAFDNHMVLGRGLYEHQLFRESLISFRRSCELQPTDARSHFRTGNSLYAIGRHAEAKEEFLLALEAAEAGGAQSSDILPQIHVNLGIAMEAEGMILGACEHYREAAILCPTHFRALKLLGSALFGVGEYRAAEKALEEAIFLRQDYADAHCDLGSTLHAMGEDERAIQEFQKAIDLRPGHVDALYNLGGLFMDAGRFPRASEMFTRVLSVRPNHWRAQLNKAVALLGAGESDEAKRALKEAFKMTRRVEVYDAIAHLKILQKKKKPKRNGTVGEGAYLVIEPSKFKRAGKKTTLRQDLAVALDIRAFQRVARLSRCDVDLLKKEMNETDVPISYSGGGEPEKSIRKAALEVILRRLLRFLKPETFQGAVKAINEKVLSVLDATGSGRVDLGMFFAVLAPICSGPTDKRKRAVFDSLIWRPNNEGGVNIRRSDAITYIKLLRAVYIPSHGVSDMLEVHGESDPSMVSYAEFLEMFNDPDWGFGILGTLVKLEAGDRIRHGRHTCSICQYPVIGSRFTEIKLRFSLCNRCYSEGKVPSAFKKEEYTFKEYGSESEALKDKCTCFTLHSTSLQVDT >Ma02_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26017563:26018837:1 gene:Ma02_g19900 transcript:Ma02_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYSKGRRKGEDESLLVGDITSSSTGQSPPSTDAGEGQGVNGGGNRDRDFDRFAEKLFMYMSHKDANHEAAAKELKKSMKESMEEVSGESTIRRWDEPPLILGAQMGLPEFVGSILLVCPQAAAYLDTKGRSVLQVAIENGNLEIVETIREMTQGNCPILPSWLLSTVEKNTKSTILHFASTKGPGDDQAAVQMQDELIWFEMVRDMVPQELVHYRNDAEKTAQEMFSESHKEMAKACKEQLVGMGQTCSGLLAAVVFASTLSIPGEKDPATGNPVYFDRLPFRIFSHTFVIGLSSAATSLVLFLSLLVAPYKEQQFRRAIPIKYFFACLSFGIALLAFLVAFTCNIYLQTYVGQRSETKDLIILLLELIVFPIVCCLFLFSRGSYFLRSFGSLCR >Ma07_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1198824:1203049:1 gene:Ma07_g01540 transcript:Ma07_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAVVPTSLVQESFAELEKQRELITCCTLLWKELSDHFSTLERGIEIKSEALRSKRESLDGSTRRTLDSLRRRELSIDGAVDLALAKLDERRTAAVQALAASSAEANELDLAGKLRSFCTKMDFGAFFDLVVAKRKEVELLRSQLPAALEDCIDPAKFVIDAISEVFPVDKRPVKSPNDLGWACVLILESLVPVLADPELGSARPLVTRSIRERAREMATGWKEGLEQHGGIESVKPPDAHTFLQHVVTFGVIEKDDKNLYRRLVVSFAWRRQMPKLAISLGLEDSMEDIIEELISTGHQLDAINFAYEAGLQDKFPPIPLLKSFLEDSKKATSTSEDRNNYGQTANTTCRKELSVIRAAMKCIQEHKLEAEFPLDSLQKRLENLEKAKVEKKKPSGAGPANKRTRANNGGPMPPAKAGRLTNNACVSSFPAGPAYVRSPSAHTTYPAAALYPYDRPSGHGIYGSRSPPALREPYGYPTEEAAPVALGASYPTPPMTYPAYGAYHNGLGGYNNGLAPGYQQAYYR >Ma11_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3709275:3739358:-1 gene:Ma11_g04780 transcript:Ma11_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGLILGFLFALFASPAAADAIHGCGGFIEASSPLVKSRKSSDAKLDYSHIRVELCTVDGLVKERTQCAPNGYYFIPVYDKGSFVLRVKGPDGWSWKPDNVNVIVDQDGCNANADINFLLTGFTLSGRLIGAVGGESCPIKDGGPSGVKVELLSISDDLIASSLTSAIGGYSFTNIIPGNYRLHVTHPNLEVEVRGSPEVNIGFGNAVVDDVFFVRGYDLQGFVVAQGNPIVGVHMYLYSDDVLEVHCPEGAGNGPRHKSALCHAVSDEEGRFLFKSLPCGVYELLPYYKGENTIFDVSPSSAIVSIEHYHKQLPQKFQVTGFSIGGRVIDDFGAGVDSAKILVDGQLKTITDAQGYYKLDQVTSKHYSIAVLKDHYKFNRLENYLVLPNMAKIEDIKAYYYDICGVVRTISPDSKAMVTLSHGPENVKPQRKLIDENGSFCFEVPPGEYRLSALAVDSENSGLLFSPSYVDVKVNSPLLNVEFFQTQVNVHGNVFCKEKCSPNLSVSLVRVIGESVQERKTIALTHESCEFTFMKVFPGKYRLEVKHISSLAMPEEDTWCWNENFIDLDVGTQDMTGIVFVQRGYWINLISSHDTDAYILLPDSSRLDITIKKGPQKICIETPGEHELHFVNSCISFGSSLLKFNSLDPTPIYLTGKKYLLKGEIHIDSDLVRDAVDLSEHIVLDVFDRDGTSDTVSTRFSSDKSGQRNIAVYEYSIWSDLGEDLIFSPRDTSAGQEKKILFYPRQRQVSVSVDGCQASIPPISGRVGLYIEGSVSPALDGVNIRITAMGSSSYVSLQKGDLAFETETGIYGSFTAGPLYDDISYKVEASKPGYHLKQVGPSSFTCEQLSQIVVHIHDKKENGELFPSVLLSLSGEDGYRNNSISSAGGTFTFVDLFPGSFYLRPLLKEYSFSPAAVAIELESGESKVVKFLATRVAYSAMGSVSLLSGQPKEGVYVEARSESKGYYEEAATDNMGNFRLRGLLPDTTYMVKIVAKDYLGVKTLERASPESIAVMVGSEDVRGLDFVVFEQPDIAILSGHVEGNDIDDLQPHLSVEIRLASDPSKVESVFPLPLSFYFEVRDLPRGKHLVQLRSRFPSSSHRFQSEILEVDLEKQPQIHAGPLRYNVKEENHKQEPTPAPVFPLIVGVSVIALFISIPRLKDLYQLAVGMVSLGSSTVSTKKEPRKQVLKRRLH >Ma04_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4201583:4211741:1 gene:Ma04_g05630 transcript:Ma04_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKTTNVQSPDDESLVAVKTDPLNGDTREQDQVPVFKEYGLAELRAATKGFSPETIVSESGEKAPNVVYRGKLEGGRLVAVKRFSKQSWADAQQFVAEAAGVGKLRHKRLVNLIGCCAVGDERLLVSEFMPNDTLSKHLFHWDKHPLPWQMRVRVAYYIAQALDHCNTENRRIYHDLNAYRVLFDEDGDPRLSSFGLIKNSRDGKSYSTNLAYTPPEFMRTGRVIPESVTYSYGTVLLDLLSGKHIPPSHALDLIRGKNMLLIMDSSLEGQYANEDATKLVELASTCLQFEARDRPNSKSLLSALEPLQNQKEVPSHVLMGITKATQVLPTMLAPLGKACVNMDLTAVHDLLLKTGYKDEEGAENELSFQEWTQRVQEMLNTKKFGDIAFRDKDFKSAIEYYSQLVAMMSVPSATVFARRGLSYLMNGQPELALRDAMQAQVCMPEWPVAFYLQALSLSKLGMETDAQDMLNDGVALELKRQSSWRG >Ma04_p36110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34612862:34620872:1 gene:Ma04_g36110 transcript:Ma04_t36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKYKGREREREVPVGKRSLFFFSCLLVVVLMGSCWSYFRQESHPAEKRSYQSQPQQRRLSLSLNQAAAEGGGREVPAFSQFSFAELKAATDGFSPQNIVSESGDKAPNVVYKGRLQNRRRIVVKKFARTAWPDPKQFAEEAWGAGKLRHRRLANLIGYCCDGEERLLVAEYMPNDTLAKHLFHWENQSIEWAMRLKIAFCIAEALEYCSNQGWPLYHDLNAYRVLFDEGGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKRIPPSHALDMIRGKNILVLMDSHLEGNFSTEEATTLVDLASQCLQFEPRDRPNTKKLVATLGPLQTKSEVPSHTMLGAEMHGEAPPAPQQPFSRMGEACSRMDLTAIHEILVMTHYRDDEVTNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKTAIECYSQFLDVGTMASPTVYARRSLCHLMCDQADAALRDAMQAQCLYPDWPTAFYMQAVALAKLNMQSDSLDMLQEATMLEEKRQKSGRGP >Ma09_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8144002:8149411:1 gene:Ma09_g12040 transcript:Ma09_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKKRKLEEEGGSGGAFAMEFSKQLRFLLDPLRKDQLVDLLVKLGNQYPSVAEEIKGLASEDPVHRKLFVRGLSWETTSETLCAVFSVHGEIEEGAVIVDKATGKSRGYGFITYKHMESTQKALQEPSKLIDGRLAVCNLACEGLSSTSVTSDLALRKIYIGGLSPDISSENLLSFFSRHGEIEEGSVAYDKETNKSRGFGFVTFRTVEAAKKAIDDPNKTLGGRNITVKLADSPKSKIMQSQVPAAMVPITIPVPVGYAQTGKAQIGSSATVGYASYPPALAAYPVAYPNAQIQYPTAPQVSYPQTAKRESVGLPAVASTGITGFPYYVTKP >Ma09_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8814126:8815221:-1 gene:Ma09_g13080 transcript:Ma09_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRSASQLLKEQASCESGQLTVFNNVAFDQVIKECDEHHLQLESLFRKLMEQKLDVQTTRNGDHLGAFIHHHSLLRNKRCLMAYMQNRAEIVRSLRWQLGPVLPEEIKAKLSYSEGVYFADHSKAIASYMSEMDIDLTVDMVPPKSPSVRVMVLDDIGEVCLGDQSISLTKHSLHSLSRTDAEPFISQGLMEELLD >Ma06_p35170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35126475:35126812:1 gene:Ma06_g35170 transcript:Ma06_t35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTLDIDSDQDYISMVMASHGYLYISMKPKYSAFSLQCSVFQS >Ma02_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19566186:19566542:1 gene:Ma02_g09680 transcript:Ma02_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSYLPTGVSYCFVRVPRSKASDNRLNRKTPGGSWVANGKPCDIPLRYRGSVIAGIRRSLKFFKDSDDLRKKNKKDSSLGLKWIMHEYSLDPSLYETIPSYATEEIILCRITDMLA >Ma03_p33250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34659785:34671431:-1 gene:Ma03_g33250 transcript:Ma03_t33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLEVEKGREATDGRPSVGPAYRSAFAKDGFPPPIPGVDSCWDVFRLSAERHPGNRMLGRREVVDGKAGEYVWITYKEVYDTVIKVGASIRSRGVDQGGRCGIYGANCPEWVVSMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVQIAFVEEKKIAEVLKTLQNSSKFLRTIVSFGKVTLEQREAVEKSGLAIFEWDEFLLLGCNNHFDLPVKKKDDICTIMYTSGTTGDPKGVMISNNSIITLISGVDRLLHCVNEQLHEDEVYMSYLPLAHIFDRVIEELFIFHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYTGLQTKISSGGMLKQTLFNVSYKYKLHNMMRGSKHDEAATICDKFVFNKVKHGLGGNVRLILSGAAPLATHVEQFLRVVTCAHVLQGYGLTETCAGTFVSLPNDLSMLGTVGPPVPNVDVRLESVPEMGYDALSEVPRGEICIKGNTLFSGYYKREDLTEEVMVDGWFHTGDVGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYALVSGIDSIWVYGNSFESFLIAIINPNKQALEHWAELTGITGDFATLCENPKAKEYILGELTKIGKEKKLKGFELIKAVHLDPEPFDMERDLLTPTYKKKRPQLLKHYQSVIDELYKSSK >Ma04_p32520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32449205:32453155:-1 gene:Ma04_g32520 transcript:Ma04_t32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGDVSVNILMKRLSFACLLLFIRPLRSNSQNQSCISGDLNALQGFSRGLDSGIPGWSMNASASGCCSWDGVSCDDSVGSGRRVIGLDLQNKSLKGSLDDSLADLEYLSWLNLSSNSLQGTVPSGLFRLRQLKRLDLSMNRLSGPIPMDSYLPSLEVLNVSHNAFTGSHPILAGSTKLVVFDISSNKFSGGVDTSVCNFSSGIQVLRLLANSFSGDLPVGFGSCASLEELSVDSNDISGNLPNDLFELSSLRRLYLQENQLSGWLSSRIGNLSNLEQLDLSFNSFSGDIPNSFSSLRNLKYLSLQSNSFSGQMPYSLSNLPPLRVLNLKNNSLSGGITLNCTVMNHLSSLDLSSNDFSGPIPYNLSQCVELKTLNLARNNLSGEVPISFKKLASLTYLSLSSNSLSNISSALIILQDVQSLTGLALTRNFLGSERIPMDGIQGFQNIQLLAIASCGLFGSIPPWLSNFTKLNVLDLSWNHLEGTIPSWIGYLDHLFYLDLSNNSLSGEIPTSLAQMKSLISGSTSQLGPRTDDFPFYVKRNISDKALQYNQVSSFPPSLILCQNMLVGPILPGFGSLTRLLALDLSRNRLAGPIPDEISDISDLEFLDLSHNNLTGSIPSSLSKLNFLSSFSVAYNNLSGPIPTGAQFSTFSSSDFEGNPGLCGFHLNPCNSGTPEVLQQSSHRRQNYKGVIIGLAAGIGLGACFLMAFIYFLLSKAHPIRQDDDSRVVADSGGNLEEAESRLVFQFQNMNNCDLSIGDILRSTDHFDQSNIIGCGGFGLVYKATLPDGRKVAIKRLSGEYFQMEREFQAEIETLSQAQHKNLVLLQGYCKIGTDRLLIYSYMQNGSLDYWLHEKPDGGSMLHWEKRLGIAKGAARGLAYLHQSCDPHILHRDIKSSNILLDENFEAHLADFGLARLILPYDTHITTDLVGTLGYIPPEYGQSSVATFKGDVYSFGVVLLELLTGKRPVDMCKPRGGRELVSWVLQMKKEKRAAEVFDPHIYDETLEFQLMAMLEIACFCISDSPKLRPLTEQLVVWLDDIGTYDRMPK >Ma02_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17692912:17694343:-1 gene:Ma02_g06740 transcript:Ma02_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLGTTLLALSLFLFNVAVSHGALQFGFYTGKCKGTDVETAIRGIVAARFAKDPTILPALLRMQFHDCFVRGCDASILLDVPNSEKQAGANQSVRGYELIDEIKAALEKACSGVVSCADIIIAATRDAVVLGGGRRYEVQTGRRDGTISRASDVNLPGPSISVSDAIGLFNAKGLTAEDTVLLLGGHTVGVTHCSFILSRLYNFNGSGKPDPTMDPALVATLRRRCPQNLVVDSPVNLDQNASSANTVDDSFYKQIVAKRGVLQIDQRIALDAATGATVALLAGGRMDFSTRFGSSMVKLGAVEVLTGTQGEIRRSCRAPNKRTQSLGGDPFVIEPFVQEQLQSSAVVPR >Ma04_p21230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23788660:23794055:-1 gene:Ma04_g21230 transcript:Ma04_t21230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEGGALIVVILLFSLGSEFCLSSNGAICCAGGLAKSQSATDRILGPPKKWNIGQLSSQKKRQWSSSPELIAMENDVSNSHSLAMNNSSCPTIINDDAKLTEQNANNIPFINQGAIAWNQTRREWVGDRSKRPHRAPREPTISWCATYEDLLSTNQPFSQPIPLSEMIDFLVDIWQEEGLYD >Ma04_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23788660:23794053:-1 gene:Ma04_g21230 transcript:Ma04_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCAGGLAKSQSATDRILGPPKKWNIGQLSSQKKRQWSSSPELIAMENDVSNSHSLAMNNSSCPTIINDDAKLTEQNANNIPFINQGAIAWNQTRREWVGDRSKRPHRAPREPTISWCATYEDLLSTNQPFSQPIPLSEMIDFLVDIWQEEGLYD >Ma04_p21230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23788660:23794054:-1 gene:Ma04_g21230 transcript:Ma04_t21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCSCCAGGLAKSQSATDRILGPPKKWNIGQLSSQKKRQWSSSPELIAMENDVSNSHSLAMNNSSCPTIINDDAKLTEQNANNIPFINQGAIAWNQTRREWVGDRSKRPHRAPREPTISWCATYEDLLSTNQPFSQPIPLSEMIDFLVDIWQEEGLYD >Ma03_p33350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34734858:34736506:1 gene:Ma03_g33350 transcript:Ma03_t33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSADTIRTAVGIMGNATALVLFFSPAPTFCRIWKSRSVEQFPATPYLATLLNCMMWVLYGLPMVHPHSTLVLTINGSGLVIELIYVLVFLGCSHGDQRLRVLLVLLLEIAFVGAVGALILTLVHSHERRSLIAGVLCVIFGAAMYAAPLAAMRQVIRTRSVEFMPLPLSLASFVNGLCWTTYATIRFDLFITIPNGLGVAFAVAQLALHAVYHGSTARQKKGGRREAEIGLVKPNGRSLEEEVAKGIRVESGVISVEETNKSSMGTNKNLEV >Ma03_p32050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34040266:34041223:-1 gene:Ma03_g32050 transcript:Ma03_t32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKSVGPPLRPSQATPALPAATFPETRRRTLIIKEPQKPGPRLAEVAGSTAAGCAAICCCPCGLANLIVVVPAGLVRRWRKRWPRGAKTKSSIWRPEVDAFDDDDDDLSLYLGGFPLASSGSEERWLAKSLSPEFAELEKEMVAKFYGTGFWRSLSQRSQ >Ma06_p32510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33433520:33433740:-1 gene:Ma06_g32510 transcript:Ma06_t32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAMARTVKDVSPHEFVKSYSAYLKRSGKIRVAVALDSCVV >Ma09_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17095652:17098175:-1 gene:Ma09_g18240 transcript:Ma09_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKEVSGGLGLEWRVTVPEGSSVKMEPEPSWISRTWNRLVELGSKLRSKVSTFGKKVWKIGADSPRKVIHGAKVGAALTLVSLFYYLRPLYNGFGSSAMWAVMTVVVVFEYTVGGCLYKGINRAIATLSAGGLAVGIDWVASKSGESWEPVILSASVFLLASAATFSRFLPTVKARFDYGVTIFILTFSLVAVSGYRVEELINLAVQRIATIAIGIAIALSICILVYPVWSGQELHLLVSRNMEKLADSLEGCVEDYFMKSEITDAKESSATRSEGYKCVLNSKASEDSQANLARWEPAHGRFGFRHPWKQYLKVAAALRNCAYCVEALHGCINSEAKAPECMKKHLRDACMKLSSDSSKVLKELSSSIKLMKRSKSIDALVGEMKNAVHELQNAFASLPNHLTQTTASSPIESTEGKKNSNISIADGVALMEAMPLMTIASLLIEISARIQGVVDAVGTLATLARFEGIRNEKSSSKVQAEEQEDKALQEV >Ma07_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31798654:31799332:-1 gene:Ma07_g24420 transcript:Ma07_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCICEETSGPMIDQYTLSFSYTCSKNYEVCMKISRTGNKKNVVCFRSSAGKMILMRPLDQTPEEGKRDGGYSFVLLQNKKLKWLVSMFRRTILMKLLYHDDVTVR >Ma04_p26920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28214920:28215844:-1 gene:Ma04_g26920 transcript:Ma04_t26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERQLPPKKAARKPKERPFRGIRMRKWGKWVAEIREPNKRSRIWLGSYCTPVAAARAYDTALFYLRGRAARLNFPDDISVSDDADHEAIAMSAASIRKKAAEVGAKVDALQMSGGGAAVFCCQEDKRRQAEKRYKNPDLNQTPGPESSDGEWSSSLQ >Ma04_p23660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25762457:25763352:-1 gene:Ma04_g23660 transcript:Ma04_t23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERPWDLQGHAGESSRAFPSSQLAEQMTRSYDQGANAPQPEMEPRVRAGASRRHPSYRGIRYRSGKWVSEIREPRKSTRIWLGTYTTAEMAAVAYDVAAHALRGTDAQLNFPDQIASRPSPLSSSPTHIRVAAAEAAASLMPSSGAGDESDGAAAAAAAAVDTVASQQQPGSYIDEEEIFDMPQLLVNMAEGMLMSPPRLSPHGSDDSPEVSEGESLWSYR >Ma03_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4537094:4538011:-1 gene:Ma03_g06590 transcript:Ma03_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSTSAALGVLLLFCCSTWAAATDYTVGDSTGWTSGFDYSKWTTGKTFVTGDTLTFNYIAGSHTVDQVSATDYSSCSASNALSTDSNGQTTVTLSKAGTYYFICGVTGHCSNGMKVAVPVTASSTSSPSPPSSSTITPPSAGTTPSTTTPSTNKSSPRTVSLPYVVTLTGLMLLKLLLL >Ma04_p13510.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10208306:10212549:1 gene:Ma04_g13510 transcript:Ma04_t13510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDDKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLTLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAKEKKGMRRAEKERMKEGDTADEEQKKLKKEAKKKGVTSTKEVTSSKATAAKKKAGGGSDEDCSASPLGSRNVAANAAADDDDVQWQTDTSLEAAQQRIQEQLSSVTAEMVMLSTSESIPEKKTEKVNKGVTNGSVKHEEKNGAGQNAVLPNSYDTLLGKIRNNLNKGSTPSQLQTFLGSLTASRPEVMAALFEALFEGVGKGFSKEVGKKKKYIAAAVHGEDSQVLLLHAIEAYCGKCSPEAVKEVALVVKVLYDDDILEEETIIKWYEEGTAGGKNSQVFKNVKPFVEWLQSAESESDEE >Ma04_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10209134:10212549:1 gene:Ma04_g13510 transcript:Ma04_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDDKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLTLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAKEKKGMRRAEKERMKEGDTADEEQKKLKKEAKKKGVTSTKEVTSSKATAAKKKAGGGSDEDCSASPLGSRNVAANAAADDDDVQWQTDTSLEAAQQRIQEQLSSVTAEMVMLSTSESIPEKKTEKVNKGVTNGSVKHEEKNGAGQNAVLPNSYDTLLGKIRNNLNKGSTPSQLQTFLGSLTASRPEVMAALFEALFEGVGKGFSKEVGKKKKYIAAAVHGEDSQVLLLHAIEAYCGKCSPEAVKEVALVVKVLYDDDILEEETIIKWYEEGTAGGKNSQVFKNVKPFVEWLQSAESESDEE >Ma04_p13510.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10208306:10212549:1 gene:Ma04_g13510 transcript:Ma04_t13510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDDKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLTLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAKEKKGMRRAEKERMKEGDTADEEQKKLKKEAKKKGVTSTKEVTSSKATAAKKKAGGGSDEDCSASPLGSRNVAANAAADDDDVQWQTDTSLEAAQQRIQEQLSSVTAEMVMLSTSESIPEKKTEKVNKGVTNGSVKHEEKNGAGQNAVLPNSYDTLLGKIRNNLNKGSTPSQLQTFLGSLTASRPEVMAALFEALFEGVGKGFSKEVGKKKKYIAAAVHGEDSQVLLLHAIEAYCGKCSPEAVKEVALVVKVLYDDDILEEETIIKWYEEGTAGGKNSQVFKNVKPFVEWLQSAESESDEE >Ma04_p13510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10208306:10212549:1 gene:Ma04_g13510 transcript:Ma04_t13510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDDKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLTLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAKEKKGMRRAEKERMKEGDTADEEQKKLKKEAKKKGVTSTKEVTSSKATAAKKKAGGGSDEDCSASPLGSRNVAANAAADDDDVQWQTDTSLEAAQQRIQEQLSSVTAEMVMLSTSESIPEKKTEKVNKGVTNGSVKHEEKNGAGQNAVLPNSYDTLLGKIRNNLNKGSTPSQLQTFLGSLTASRPEVMAALFEALFEGVGKGFSKEVGKKKKYIAAAVHGEDSQVLLLHAIEAYCGKCSPEAVKEVALVVKVLYDDDILEEETIIKWYEEGTAGGKNSQVFKNVKPFVEWLQSAESESDEE >Ma04_p13510.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10208306:10212549:1 gene:Ma04_g13510 transcript:Ma04_t13510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDDKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLTLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAKEKKGMRRAEKERMKEGDTADEEQKKLKKEAKKKGVTSTKEVTSSKATAAKKKAGGGSDEDCSASPLGSRNVAANAAADDDDVQWQTDTSLEAAQQRIQEQLSSVTAEMVMLSTSESIPEKKTEKVNKGVTNGSVKHEEKNGAGQNAVLPNSYDTLLGKIRNNLNKGSTPSQLQTFLGSLTASRPEVMAALFEALFEGVGKGFSKEVGKKKKYIAAAVHGEDSQVLLLHAIEAYCGKCSPEAVKEVALVVKVLYDDDILEEETIIKWYEEGTAGGKNSQVFKNVKPFVEWLQSAESESDEE >Ma04_p13510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10208306:10212549:1 gene:Ma04_g13510 transcript:Ma04_t13510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDDKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLTLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAKEKKGMRRAEKERMKEGDTADEEQKKLKKEAKKKGVTSTKEVTSSKATAAKKKAGGGSDEDCSASPLGSRNVAANAAADDDDVQWQTDTSLEAAQQRIQEQLSSVTAEMVMLSTSESIPEKKTEKVNKGVTNGSVKHEEKNGAGQNAVLPNSYDTLLGKIRNNLNKGSTPSQLQTFLGSLTASRPEVMAALFEALFEGVGKGFSKEVGKKKKYIAAAVHGEDSQVLLLHAIEAYCGKCSPEAVKEVALVVKVLYDDDILEEETIIKWYEEGTAGGKNSQVFKNVKPFVEWLQSAESESDEE >Ma07_p04630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3398148:3407562:-1 gene:Ma07_g04630 transcript:Ma07_t04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPFNRLVKLAARAFYDDVTIKGENQPKTGRGDNRGMAVIVLDALSRRQWVREEDLAKALKLHTKQLRRALRFFEEEKLVTREHRKESAKGAKIFNAAIAATGDGPQGTKEGDEKMKMHMHSYCCLDYAQIYDVVRYRMHRMKKKIKDELDSRNTIQEYICPNCGRRYSAFDALQLVSMDDEYFHCENCNGELVAESDKLAAEEMGDGDDNARRRRREKLKDMLQKMEEQLKPLALQLARVKDLPAPEFGNLQAWEARAHAAAQASGDSNASDSSKNPQAQGYTGTPMPFLGETRVEVALSGVEAKEEIELDTKPTSMKVLPPWMIKQGMNLTKEQRGEVKPDVKVEQSSSSVDEKKSNMDKEDEKSLQDEYLKAYYAALVKRQEEQEASKKVQQEAELPINVSEAPFERLVGMKSKREDDNDDVEWEEEAPSTGNSGQSYRLADLNVEADASDHDDDEDGIDWEEG >Ma07_p04630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3398185:3407562:-1 gene:Ma07_g04630 transcript:Ma07_t04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPFNRLVKLAARAFYDDVTIKGENQPKTGRGDNRGMAVIVLDALSRRQWVREEDLAKALKLHTKQLRRALRFFEEEKLVTREHRKESAKGAKIFNAAIAATGDGPQGTKEGDEKMKMHMHSYCCLDYAQIYDVVRYRMHRMKKKIKDELDSRNTIQEYICPNCGRRYSAFDALQLVSMDDEYFHCENCNGELVAESDKLAAEEMGDGDDNARRRRREKLKDMLQKMEEQLKPLALQLARVKDLPAPEFGNLQAWEARAHAAAQASGDSNASDSSKNPQAQGYTGTPMPFLGETRVEVALSGVEAKEEIELDTKPTSMKVLPPWMIKQGMNLTKEQRGEVKPDVKVEQSSSSVDEKKSNMDKEDEKSLQDEYLKAYYAALVKRQEEQEASKKVQQEAELPINVSEAPFERLVGMKSKREDDNDDVEWEEEAPSTGNSGQSYRLADLNVEADASDHDDDEDGIDWEEG >Ma07_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26777953:26779767:1 gene:Ma07_g18840 transcript:Ma07_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRFPVKKARVSSQMDHKRVQVGGQDLIDDLPDELLVHIFSFIPAIRDRCCCGAVSKKWSLLQASMPRSDYISRGFLLPRPTQEISRSFRGSEANDTRLVAMAIGIDARGVLTNLSVIGTLPDSSPPPPSGHRSISDVGLSIVAGACKSLKSLSLFKCPKITGWGIGSVGHCIGLERLELVDAMSVTDDGLVILAIRCLNLSSLSLVSCPNVGNHSLQAFAKYSRKLKSVTLASCPLITDSGIVSLVANRSGLETVKIASMKLSDIVLQAITCYSRKIQILLLDNVWGVSDMGYCWIGLARELKCLVLKACVGLSDRCMARVSSASFAGIRKLAINNCSLLSDWGLFELTSSARELENVLLHSCKSFTYRGLMVAIGNCSQSLKTLALIKCDFLDEQRDQANSFPLAQHCPLLETVKVDQCRGIGDDFILWIGASCKQVTDVSFTRMDSITDRGIRCFLSQLKGSNKMARVDLTGCTRVGNWSVWAITRECKASLKRLVLRGCGRVNDRGAAVIARRCAKLVELDLGGCDISDEGVKKLARDEPIDLEVISLAGCTRITDRSLLVLKKYMGPGLERVNVAGCTGVSKAVINWLKLYIDEVDY >Ma08_p33620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43894953:43900168:1 gene:Ma08_g33620 transcript:Ma08_t33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSFVFQRTSCSGEQRNQLYEDIPGIRNIKIYTYKELKNATGDFSLANKVGEGGFGSVYKGRLKDGKIVAVKVLSSESRQGAREFLTEMTVISDVVHENLVSLYGCCVEGTHRILVYNYLENNSLAQTLLGSGHSTIKFNWRTRVRICIGVARGLAFLHEEVQPRIVHRDIKASNILLDKDLTPKISDFGLARFLPPNTTHVSTRVAGTIGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVTGRCNTNTRLPYEDQFLLERIWSLYERGEMTYIIDTDLADDLDVDEACKFLKVGLLCTQDAAKLRPSMSDVVRMLTGEKDVDLEEITKPGLLGDFLDLRSQKRTDDIHVPSLISSGHDSSPLLSENTTLASMTST >Ma09_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9292587:9293511:1 gene:Ma09_g13720 transcript:Ma09_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESSTQVRHLVDDFYFYALSDTDQELFPISDEKYADELQLQEVLMSAVVASCSVRIRSPAVRTIKERGESSSSAPVLCKICMDTAPAAEMFRSSNCSHSFCRDCLSRYIGAKVQENILMVKCPEIECKGVLRPELCQDIVPADVFSRWETALCESMVLASQRFYCPFKDCSALMIDDGQETVMQAECPSCQRLFCAQCKVGWHSGLSCEEFKMLGTDERGREDLMLMKIAKDKRWKRCPRCKFFVEKTQGCMHIRCRCGFEFCYGCGSKYVMTHACNSA >Ma08_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16763371:16765312:-1 gene:Ma08_g16020 transcript:Ma08_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLILLIHELKNIPVEKLGDCGKSSLMVEIEWRGSGRKVGFLRRGSKKNCTSKQHMRPDGTVIWNEEFNCICKLKRMNSHSYKSWIVNLQVQESDNNSNGKSTVYGETKIDIAEYASPNHKKTISIPIRCKIKDQTAEIVLKVELHVVELNIKGSMDFARPRALSSRALSCVGLRRNYGTEFSSNRMIHPSLGNSSSEDEHEFSYSGIATANILLGAELPGKLEDHEEEEQNLQTSVKRQPSLVRLLSNKTRLSFKATKHPKGEPLLNKSCSDVGGDDIDLERHQQSSTESALLQREEKPRDRGFEDEGTFEVGVWERRRLSSRDEGMELVADVFLASIDQRSQKAAGESACTVLAVVIADWLHHNPKALPLRCQFDELIHQGSLEWRKLCADEAHKEKFSDQHFDLDTVLEAKVRPLTENKTMSYVGFFGLDDTPDSLHFLQGTMSFDNIWDELLRSSPSEERIYIASWNDHFFVLRIEGDAIYLVDTFGERLFEGCNQAYILKFDRQSMIYKRSVEAGDSDECRQDVRQGGGAYTDGEAVCEGVICCKEYIKGFLAALPLRELQQDVNRGVMEEAVLHRHLQIEFHYVAPLIEE >Ma08_p15410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15480061:15494427:-1 gene:Ma08_g15410 transcript:Ma08_t15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPSAPSAAAAGPDRLPSPHPGGSVSSEAAERRLREAEERLREAIEELQRHHHASRDDSFGDGKPCCDHADESCIAHAIGNLCQSFLLSYGVRVGIGILLRAFKLARRQSYSSILDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRKFRKKETQFNAILAGSVAGLSILALDDPSRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSHWRHGDSLLFSLACAQVMYAFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRECCRGGPMDLVSLSAYLSNKKEFSSMNLMADPSIVPCSVIHPDTESCLVHNGTAASETFRKTFPLYFSLTFVPFVVLRLQKFLESPAWTSWRAVLGAVRSTSFLSAFVGLFQKRKLEERNWPFMCFQELWILYGTFQ >Ma08_p15410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15479576:15494427:-1 gene:Ma08_g15410 transcript:Ma08_t15410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPSAPSAAAAGPDRLPSPHPGGSVSSEAAERRLREAEERLREAIEELQRHHHASRDDSFGDGKPCCDHADESCIAHAIGNLCQSFLLSYGVRVGIGILLRAFKLARRQSYSSILDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRKFRKKETQFNAILAGSVAGLSILALDDPSRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSHWRHGDSLLFSLACAQVMYAFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRECCRGGPMDLVSLSAYLSNKKEFSSMNLMADPSIVPCSVIHPDTESCLVHNGTAASETFRKTFPLYFSLTFVPFVVLRLQKFLESPAWTSWRAVLGAVRSTSFLSAFVGLFQKRKLEERNWPFMCFQELWILYGTFQ >Ma08_p15410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15479576:15494427:-1 gene:Ma08_g15410 transcript:Ma08_t15410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPSAPSAAAAGPDRLPSPHPGGSVSSEAAERRLREAEERLREAIEELQRHHHASRDDSFGDGKPCCDHADESCIAHAIGNLCQSFLLSYGVRVGIGILLRAFKLARRQSYSSILDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRKFRKKETQFNAILAGSVAGLSILALDDPSRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSHWRHGDSLLFSLACAQVMYAFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRECCRGGPMDLVSLSAYLSNKKEFSSMNLMADPSIVPCSVIHPDTESCLVHNGTAASETFRKTFPLYFSLTFVPFVVLRLQKFLESPAWTSWRAVLGAVRSTSFLSAFVGLFQAVVCLHRKVASKDHKLVYWISGAIAALSVLIEKKARRAELALYVLPRAVDSLWYISVNRHFLPHIKNAEVALFCLCMGGIMFYLENEPDTMAPFLRGLIRRFLASRISNPSPSPNANTSYSYLQTLGPIKNPEPQESKDGEDTAPAKYNLESIPGL >Ma08_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15479576:15494427:-1 gene:Ma08_g15410 transcript:Ma08_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPSAPSAAAAGPDRLPSPHPGGSVSSEAAERRLREAEERLREAIEELQRHHHASRDDSFGDGKPCCDHADESCIAHAIGNLCQSFLLSYGVRVGIGILLRAFKLARRQSYSSILDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRKFRKKETQFNAILAGSVAGLSILALDDPSRRRTLALYLLARLAQCAYNSAKSKNKFHLWGSHWRHGDSLLFSLACAQVMYAFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRECCRGGPMDLVSLSAYLSNKKEFSSMNLMADPSIVPCSVIHPDTESCLVHNGTAASETFRKTFPLYFSLTFVPFVVLRLQKFLESPAWTSWRAVLGAVRSTSFLSAFVGLFQAVVCLHRKVASKDHKLVYWISGAIAALSVLIEKKARRAELALYVLPRAVDSLWYISVNRHFLPHIKNAEHNITGMAGCSFLFVHGGYHVLPGK >Ma09_p25720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37105650:37110503:1 gene:Ma09_g25720 transcript:Ma09_t25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFPIPTNALQDSPAIISQSLLNSMPRQRQQQQLNRHALRLLLLLLLLLLSSSVDGASSSEIDGDARALLALKAAVDPGGRLPFSRASDHCRWPGVSCSPDGRVDRLLLSSYGLDGVIANGTLGRLDQLRVLRLENNSLAGPLPADLSLLLGLRGLYLGSNLFTGPFPASLLSLRGILALDLSNNRLAGPLSPGLAALDGLVTLRLEANRFNGSLPAFNQSSLKNFNVSDNDLSGAVPATVVLASFDSSVFADNPGLCGALVRRECSSSTFFPWGGSSPTGPWPTVPAGPNRGTLLPVSPSRSRVSHKKDVAAIGSLIGAISLIGIFTASLVLIRKKRKKQQRKTHTPEKNAVANSVHNISEINIGSHNEDTESTSNEPEAAADLATAISEERVKRLGKNGCLVFCADEEPVYNLEQLMRASAEMLGRGSLGPTYKAVLGSRLAVTVKRLDKTKLGAVAQEGFEQHMDTVGRLRHHNLVPLRAYFRANEQRLLVYDYHPNGSLHSLIHGSRSIRTKPLHWTSCLKIADDVVQGLAYIHQTSRLAHGNIKSSNVLLGSDFEACLTDNCLAFLLEPLENQHDIGCRSPETQNPYQQLTPSSDIYAFGVLLLELLTGKPPSQHPVLMASELPVWVRSSREDGANNEGLTMIIDIAVACIRPPESRPTTWQILKMIQEVKEADTIDNDDDSVFIS >Ma09_p25710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37091397:37104244:1 gene:Ma09_g25710 transcript:Ma09_t25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTWIWKRRSTEKNIEKEKALELERSLEDLNEQLSSVRTESNAKDDLLAKQAKVTEEAIAGWEKAEAQALYLKQQLDDALFQKKSAEERVIETDVALKECMQQLRVVKQDQQLFINNAAFKISREQEKIGMLEQRLIGTNKRLTEFVIENGNLNRIIEVKEQLLKELSESISKSESKLTEVITRLDSSEKFNASLKYEVCILQKEIEIRNEEREFNRRSADAAHRQHLESIKKIAKLETECQKLRVMVRKRLPGPAALAKMRNEVEILSEYAIETRKKRTEIGLENFYDTSSKDMTSLVVRLRAIQDENKILKESLTKKNNELQASRIMFARTASKLSQVETQLEELSKGQACFELAKSSPVSHDLPLSSISENGGNEDNVSCAESWASALISELEHFKCGKLTSPSCKSVGISELSLMDDFVEMEKLAVITVDKHFESSFSTLRDNNECVATKESCTEPDLSEETDKELVAIKDLSHFGETNNETQVKELSLENYPIWLQDILRVILKKHHIMQKSLNAILDDVRAALGDWDGSIEPKYSNTLHCNDKLPQQPKNTSSDTFDGAISTHLVNSKNSIQLCQSVLEKPVLKLIELVEGIIQRNIKSKNGRHGLSIDNEGASLANRYIARAFLWEGSELTTILENFVAVCNDLLHGKVDLQQFTAEVTSTLDWIINHCFSLQDVSDMKETVRKHMNADSSYSNDNELKALTYTTKDIDKLDTHEESSISEERNIPSSSTNALYILSRMEDIESKLRDENERLKHEIMGMESIKNDLEVMLKTSSAKNEELIAQIHESEESISNLQAELARLKESKVKIEDQIISQNLTNEDLETQLTVSKAELNEVRQKFSALEVELEQKSNCCEELEATCLELQLQLESASSKETSKDMRPEEKKIQAECDIVAASEKLAACQETILNLGKQLKALASPKDASLFDKVICSPAASKSNHWPKSLDNMKSEGYSKNEEGKSPNKKQIVCTEAPNPPFSASENPNADLLYEHKIHINHPPAAASDYPNANLSLNKMNESPIKCITQPSPEKSLGELSGLTDSSKQKGGPDVGMLMVVPKRQGGLSFLRKLLLRRKRSSFKKLALPLGA >Ma09_p25710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37091397:37104245:1 gene:Ma09_g25710 transcript:Ma09_t25710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEEIYREEHRGWEKAEAQALYLKQQLDDALFQKKSAEERVIETDVALKECMQQLRVVKQDQQLFINNAAFKISREQEKIGMLEQRLIGTNKRLTEFVIENGNLNRIIEVKEQLLKELSESISKSESKLTEVITRLDSSEKFNASLKYEVCILQKEIEIRNEEREFNRRSADAAHRQHLESIKKIAKLETECQKLRVMVRKRLPGPAALAKMRNEVEILSEYAIETRKKRTEIGLENFYDTSSKDMTSLVVRLRAIQDENKILKESLTKKNNELQASRIMFARTASKLSQVETQLEELSKGQACFELAKSSPVSHDLPLSSISENGGNEDNVSCAESWASALISELEHFKCGKLTSPSCKSVGISELSLMDDFVEMEKLAVITVDKHFESSFSTLRDNNECVATKESCTEPDLSEETDKELVAIKDLSHFGETNNETQVKELSLENYPIWLQDILRVILKKHHIMQKSLNAILDDVRAALGDWDGSIEPKYSNTLHCNDKLPQQPKNTSSDTFDGAISTHLVNSKNSIQLCQSVLEKPVLKLIELVEGIIQRNIKSKNGRHGLSIDNEGASLANRYIARAFLWEGSELTTILENFVAVCNDLLHGKVDLQQFTAEVTSTLDWIINHCFSLQDVSDMKETVRKHMNADSSYSNDNELKALTYTTKDIDKLDTHEESSISEERNIPSSSTNALYILSRMEDIESKLRDENERLKHEIMGMESIKNDLEVMLKTSSAKNEELIAQIHESEESISNLQAELARLKESKVKIEDQIISQNLTNEDLETQLTVSKAELNEVRQKFSALEVELEQKSNCCEELEATCLELQLQLESASSKETSKDMRPEEKKIQAECDIVAASEKLAACQETILNLGKQLKALASPKDASLFDKVICSPAASKSNHWPKSLDNMKSEGYSKNEEGKSPNKKQIVCTEAPNPPFSASENPNADLLYEHKIHINHPPAAASDYPNANLSLNKMNESPIKCITQPSPEKSLGELSGLTDSSKQKGGPDVGMLMVVPKRQGGLSFLRKLLLRRKRSSFKKLALPLGA >Ma09_p25710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37091355:37104244:1 gene:Ma09_g25710 transcript:Ma09_t25710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKTWIWKRRSTEKNIEKEKALELERSLEDLNEQLSSVRTESNAKDDLLAKQAKVTEEAIAGWEKAEAQALYLKQQLDDALFQKKSAEERVIETDVALKECMQQLRVVKQDQQLFINNAAFKISREQEKIGMLEQRLIGTNKRLTEFVIENGNLNRIIEVKEQLLKELSESISKSESKLTEVITRLDSSEKFNASLKYEVCILQKEIEIRNEEREFNRRSADAAHRQHLESIKKIAKLETECQKLRVMVRKRLPGPAALAKMRNEVEILSEYAIETRKKRTEIGLENFYDTSSKDMTSLVVRLRAIQDENKILKESLTKKNNELQASRIMFARTASKLSQVETQLEELSKGQACFELAKSSPVSHDLPLSSISENGGNEDNVSCAESWASALISELEHFKCGKLTSPSCKSVGISELSLMDDFVEMEKLAVITVDKHFESSFSTLRDNNECVATKESCTEPDLSEETDKELVAIKDLSHFGETNNETQVKELSLENYPIWLQDILRVILKKHHIMQKSLNAILDDVRAALGDWDGSIEPKYSNTLHCNDKLPQQPKNTSSDTFDGAISTHLVNSKNSIQLCQSVLEKPVLKLIELVEGIIQRNIKSKNGRHGLSIDNEGASLANRYIARAFLWEGSELTTILENFVAVCNDLLHGKVDLQQFTAEVTSTLDWIINHCFSLQDVSDMKETVRKHMNADSSYSNDNELKALTYTTKDIDKLDTHEESSISEERNIPSSSTNALYILSRMEDIESKLRDENERLKHEIMGMESIKNDLEVMLKTSSAKNEELIAQIHESEESISNLQAELARLKESKVKIEDQIISQNLTNEDLETQLTVSKAELNEVRQKFSALEVELEQKSNCCEELEATCLELQLQLESASSKETSKDMRPEEKKIQAECDIVAASEKLAACQETILNLGKQLKALASPKDASLFDKVICSPAASKSNHWPKSLDNMKSEGYSKNEEGKSPNKKQIVCTEAPNPPFSASENPNADLLYEHKIHINHPPAAASDYPNANLSLNKMNESPIKCITQPSPEKSLGELSGLTDSSKQKGGPDVGMLMVVPKRQGGLSFLRKLLLRRKRSSFKKLALPLGA >Ma09_p25710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37091397:37104245:1 gene:Ma09_g25710 transcript:Ma09_t25710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEEIYREEHRGWEKAEAQALYLKQQLDDALFQKKSAEERVIETDVALKECMQQLRVVKQDQQLFINNAAFKISREQEKIGMLEQRLIGTNKRLTEFVIENGNLNRIIEVKEQLLKELSESISKSESKLTEVITRLDSSEKFNASLKYEVCILQKEIEIRNEEREFNRRSADAAHRQHLESIKKIAKLETECQKLRVMVRKRLPGPAALAKMRNEVEILSEYAIETRKKRTEIGLENFYDTSSKDMTSLVVRLRAIQDENKILKESLTKKNNELQASRIMFARTASKLSQVETQLEELSKGQACFELAKSSPVSHDLPLSSISENGGNEDNVSCAESWASALISELEHFKCGKLTSPSCKSVGISELSLMDDFVEMEKLAVITVDKHFESSFSTLRDNNECVATKESCTEPDLSEETDKELVAIKDLSHFGETNNETQVKELSLENYPIWLQDILRVILKKHHIMQKSLNAILDDVRAALGDWDGSIEPKYSNTLHCNDKLPQQPKNTSSDTFDGAISTHLVNSKNSIQLCQSVLEKPVLKLIELVEGIIQRNIKSKNGRHGLSIDNEGASLANRYIARAFLWEGSELTTILENFVAVCNDLLHGKVDLQQFTAEVTSTLDWIINHCFSLQDVSDMKETVRKHMNADSSYSNDNELKALTYTTKDIDKLDTHEESSISEERNIPSSSTNALYILSRMEDIESKLRDENERLKHEIMGMESIKNDLEVMLKTSSAKNEELIAQIHESEESISNLQAELARLKESKVKIEDQIISQNLTNEDLETQLTVSKAELNEVRQKFSALEVELEQKSNCCEELEATCLELQLQLESASSKETSKDMRPEEKKIQAECDIVAASEKLAACQETILNLGKQLKALASPKDASLFDKVICSPAASKSNHWPKSLDNMKSEGYSKNEEGKSPNKKQIVCTEAPNPPFSASENPNADLLYEHKIHINHPPAAASDYPNANLSLNKMNESPIKCITQPSPEKSLGELSGLTDSSKQKGGPDVGMLMVVPKRQGGLSFLRKLLLRRKRSSFKKLALPLGA >Ma11_p01010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:745331:750802:1 gene:Ma11_g01010 transcript:Ma11_t01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPSRFQAQADAINLICGAKTQSNPENTVGVLTMAGNGVRVLVTPTSDLGKILSCIHGLVVGGEANLTAAIQVAQLALKHRQNKRQQQRIIVFAGSPIKYDNKTLEAIGRKLKKNSVALDVVNFGESDDGKPEKLEALITAVNNNDSSHIVHVPAGQNALSDVLISTPIVTGDGDEGSGFVAAASVAAAGGGGLSGFDFGVDPNVDPELALALRISMEEERARQEAAAKRAAEQAAEQEKVRELASGSRDDTMAEPVSNSTLMVDDKGHNLTSQDDEAALLEQALAMSMDVAKSGTAPVVDTDMSDATVDDQELAYALQMSVQDSARDMSSQSEMSKVLEDQSFVSSILNSLPGVDPNDPSLKDLLASLQGESEPQQKQKEDKPEEDHDD >Ma11_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:745331:750802:1 gene:Ma11_g01010 transcript:Ma11_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYAPSRFQAQADAINLICGAKTQSNPENTVGVLTMAGNGVRVLVTPTSDLGKILSCIHGLVVGGEANLTAAIQVAQLALKHRQNKRQQQRIIVFAGSPIKYDNKTLEAIGRKLKKNSVALDVVNFGESDDGKPEKLEALITAVNNNDSSHIVHVPAGQNALSDVLISTPIVTGDGDEGSGFVAAASVAAAGGGGLSGFDFGVDPNVDPELALALRISMEEERARQEAAAKRAAEQAAEQEKVRELASGSRDDTMAEPVSNSTLMVDDKGHNLTSQDDEAALLEQALAMSMDVAKSGTAPVVDTDMSDATVDDQELAYALQMSVQDSARDMSSQSEMSKVLEDQSFVSSILNSLPGVDPNDPSLKDLLASLQGESEPQQKQKEDKPEEDHDD >Ma05_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6988717:6990083:-1 gene:Ma05_g09690 transcript:Ma05_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSLEDACSEIEKQSPPPRPRPPPPAPPKGLRRLGSGASVVLEPEISIEAESRKLPSSQYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDVAAQRFRGRDAVTNFKPLVETRDEDTAELSFLNSHSKAEIVDMLRKHTYLDELQQSKRSSGADKRRNHPSRGGVTGWFGGEHREHLFDKAVTPSDVGKLNRLVIPKQHAEKHFPLNQTGAAACKGVLLNFEDACGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLRAGDVVTFQRSTGPEKQLFIGWKTRTAGAHTADGVQATKPPVEVVRLFGVNIVKIPAAVSGDVSDRSGVGWAAKRTRDMDLISSLELFKKQCREEGS >Ma05_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6002875:6008067:1 gene:Ma05_g08090 transcript:Ma05_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRATSNVYSWWWASHIRTKQSKWLDEDLHGQSLLEISLKILLLLWFLLLVGCDCLLKLQCELGNFVEDMHKAYKALAHRYDRITGELQKANQTIAIAFPDQVDFEMQDDEDGGSPKAITSIDLSKFRKPTEVPQFIFRQKTKKDRPTPKKQHHRKLSTQISKEKAQEEIDRLQKEILVLQTEKEFTKSSYRSTLARYLDIEKQITEMHEEFCCLQNSFSASAIAEDGEARAMMVASAIKSCEDSLVNIQEQRKVTSKEARIESEKIKDAKQKLKSLKGESGESEIETTETSDQNMEQNSTSVNTEDSVLKEERMELQSVCLKVKEQFEMSSETSVMELAEKIDELVDKVISLEHIVSSQNGQIERLRSETAELNRHLQCMEEDKVILTGDSNTFTETFKEAEDALQRIQHLENCLNIDDDALQTQFLDACHSLNNISEKLQSPKHQEHALSQEVETLVSNKEKLAGIEAHGQITNESDKLQEDTDNVHVGEVQTQLEETDDIPDLQNLLLNGLEGSQNVLLTEYTSILHNYKDTKNRLSEIEKQTQEYHLETMAEVNELKNANAIKDEEIQLLKEILNSFQTCLSVNAPKDMEMSGYQVAGYLANTKVEFHEIETGSFHEGDINEVQSSSLLEDKFRADIDTLLEENLDFWLRFSTSYHQIQKFQTTFKNLKSDIEKNQEGNAAVMAPAEEAVNQESLLVCKSLRELNTELQVWLEKNALLNGELKCRFSSLCSIQDEISRVLKESESEEMHLTPYQAAKFQGEVFSMQLENNKVAKELQAGLDHVRGLQMEVGRTLSKLNENFKLSGSRNHQQHNQFRQLITKTIPLRAFLFGTKPKKPSMFSCMNPALQKQYSDLRYTFPR >Ma04_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2005491:2006704:1 gene:Ma04_g02310 transcript:Ma04_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLVLPNVGLKDHVVLPAKKKEEEEVEVVRKRNGFFLGSKEAEESSESSSIGAASSSSVHEDDEEEVESNRKEGAFGSLDSLEESLPIKRGLSNFFSGKSKSFASLSDAANASAKDMLKAENPFNKRRRLLMMSKMRRASYTALTCPPFPPLLSPDLTVEEADKEEEAEEDEGTNSGTSSSSFSHHGSSNNNIKMKVFRSPRSYSLSDLQHV >Ma03_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9959803:9960114:1 gene:Ma03_g12950 transcript:Ma03_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQSATSSRSMTSLLKVAPVTTWPPTLSLSSLPSTPLLKAMSKTKAPLNSIFGEVREGEIFTMLGASGSNKSTLIDALVNRIKQESLQDSITLNGENLEG >Ma03_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9529919:9530537:1 gene:Ma03_g12340 transcript:Ma03_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPELFSVVVDEEAPHHHFHDACFLCKKPIAGNEDIFMYRGGTPFCSRECRREQMDMDEALEKDRVASYSDSPSASSTQRAFSAKRKPSLIMASSCARRNASGPTQRSLLSADG >Ma10_p21850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31439507:31445187:-1 gene:Ma10_g21850 transcript:Ma10_t21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGFGAKVRVVRCPKCEKLLPELADFLVYRCGGCGTTLQAKKAVPGMGISPEKSDAENIKYLEVLEHNTEKKGIASNASTETDHEVGRAESRIRESLPHGKSMTEGEDSGLMNKLITSKLDSIPMENGTDTRNVTAFGKPEIESGRDTKLHVDEPRLPQPTLARRDEERDQAPRDTTVDVQPVPLLDEGPTDRHRNPSHVYGNGEGRSRQTMDDASKVGYLEQDRAKLLRMLDELRDQVQQTCEASDKKKTSAPVDRMAGSSSCYGQHDHATWFPESSSSSYLNQSRCFPIPHDHNTAMLNFYSNVPIQSDIAGYGDPFVHKRVPFHLSSEYPQRQVDSFLYGQFEPDPVMPYYHDGFCHQPACSCLHCYQRPFSLPARAPPTVLGHQRTPYPVNNHEFYAVDGPSIFGTRSSNLRVGNAPLHRLEPRSHCRIKFSKNNARSCRPIDGAAPFTICSSCFELLQLPEKSLPLKKNKFKLRCGSCFKLMTIQYDGSRIVISAPTPDSHMSSVNNNSSLNSPVNGALSTDEKLVLPYIFTINDHEMIEKGHGQHLSESEKMHGFSLSSSTSGHADSPESVISQKDVPTSPGVPLEAQVISRVSSLPLREHFGYSLSDEAADGSGNGSGSKRSDHVRNLSLSGNFRQNSTKDVLVATERGLSEDEDLPAGLSQDSWDMASKDETQPRIIKAGDSFFAGLIKKSFRPFNQSVGHGRFKVSINNHQIPDRLVKKAEKQAGPIYHGDYWYDYRAGFWGVMGHPCLGIIPPFIEEFNYPMPKNCAGGNTGVLVNGRELHQKDLDLLIGRGLPVTRDCSYIIEISGKVWDESSGEEIDSLGKLAPTIEKVKHGFGMRVPKVFA >Ma10_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31440853:31445187:-1 gene:Ma10_g21850 transcript:Ma10_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGFGAKVRVVRCPKCEKLLPELADFLVYRCGGCGTTLQAKKAVPGMGISPEKSDAENIKYLEVLEHNTEKKGIASNASTETDHEVGRAESRIRESLPHGKSMTEGEDSGLMNKLITSKLDSIPMENGTDTRNVTAFGKPEIESGRDTKLHVDEPRLPQPTLARRDEERDQAPRDTTVDVQPVPLLDEGPTDRHRNPSHVYGNGEGRSRQTMDDASKVGYLEQDRAKLLRMLDELRDQVQQTCEASDKKKTSAPVDRMAGSSSCYGQHDHATWFPESSSSSYLNQSRCFPIPHDHNTAMLNFYSNVPIQSDIAGYGDPFVHKRVPFHLSSEYPQRQVDSFLYGQFEPDPVMPYYHDGFCHQPACSCLHCYQRPFSLPARAPPTVLGHQRTPYPVNNHEFYAVDGPSIFGTRSSNLRVGNAPLHRLEPRSHCRIKFSKNNARSCRPIDGAAPFTICSSCFELLQLPEKSLPLKKNKFKLRCGSCFKLMTIQYDGSRIVISAPTPDSHMSSVNNNSSLNSPVNGALSTDEKLVLPYIFTINDHEMIEKGHGQHLSESEKMHGFSLSSSTSGHADSPESVISQKDVPTSPGVPLEAQVISRVSSLPLREHFGYSLSDEAADGSGNGSGSKRSDHVRNLSLSGNFRQNSTKDVLVATERGLSEDEDLPAGLSQDSWDMASKDETQPRIIKAGDSFFAGLIKKSFRPFNQSVGHGRFKVSINNHQIPDRLVKKAEKQAGPIYHGDYWYDYRAGFWGVMGHPCLGIIPPFIEEFNYPMPKNCAGGNTGVLVNGRELHQKDLDLLIGRGLPVTRDCSYIIEISGKVWDESSGEEIDSLGKLAPT >Ma00_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29350138:29350335:1 gene:Ma00_g03460 transcript:Ma00_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSFKRSCGVESTAAAPAWAVIRREVTRGVVARLRGVGVTPSTTWFARIGGCFFQVGRKIMRLF >Ma08_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35542189:35543231:1 gene:Ma08_g21730 transcript:Ma08_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGLASLWGYDESNEELKHKLICAAAEREELRISTRNDLHKANETIGRLMDLLEERTHERDEARRKLQLLLNLMIQPTTVQLPILPLHLPLDIPRMGQSRGSSPADTVDSPQLSSIDMGDPCDGMGISRQLKHSASEAMSSATVDRLAMKRPLPERGRFQQAVLGAGPLLLNLIFPGPFPQSQVASASVTAHDSPGPLEYNPEGLIPCPLSCTR >Ma08_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1955724:1956959:1 gene:Ma08_g02580 transcript:Ma08_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQVCLGRSNLCINFRDHHTHKHLETLLSIEV >Ma10_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20515938:20517350:-1 gene:Ma10_g06910 transcript:Ma10_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYGKTSSRIYWEEDERGSQSPIQHHHHQQSVKGQSPEAELPRVSLSRLSSATVSSVSPLSPGPGSPWALSPMRRFSSPSPTPSLMYHCLVSLQRHDGNVYCVAVSGGTIYTGSDSDRVRVWKQPDCVDRGSIQTGHGRIRCILAHGSTLVTTHKDHRVRIWAVPPVPDRLRCKKVATLPPRISSLFPFRKHRYQRHIDTISCLALHHAEGLLYTGSLDRTVKAWRLTERACVDSFVAHDDQVSDIVVNELDGCLFTSSIDGSVKLWRRVFGDSSHALMMVLRFQPSPVNALALSHSRDTCFLYSGSSDGYVNIWEKEAVSGRYNHGGFLQGHRYAVLSLVAVNRVLLSGSEDTTIRVWRREKGSGFHRCLAVMEGHRGPVRCLAASVEVEGKGIGMGLLVYSASLDRVVKAWRIKVLAEDDDDEAVTAVANDECGGSGVGKEATAEYEMNPVLSPTWVELKLQKSYPF >Ma11_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7044524:7047440:-1 gene:Ma11_g08900 transcript:Ma11_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWARPEDVFSISLASYLDGELAITSFILLVLLLDGQKITGILRSFDKFASVVVEGACEQVIVGDLYCDIPLGLYVIRGDNIVLVGELDLEGENLPIDPINVSVEEIRRAQQVERDAMDVKGCVRKRMEFLYVD >Ma02_p08220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18631011:18641853:1 gene:Ma02_g08220 transcript:Ma02_t08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRSQRVLMPTLKRCNAEVAAGDGDGEGGGRRRKRRRESFPLEVLGDVAAAGFPYVALGLGRWLGGEDVAGGAAVASSLCSEVSCCSGEMDSESRNGRRREREQPREAPPPSESRPPVVRTSRGRAQVLPARFNDSVLIDPWKKEKPKPKALESDSEVKEPMGPQKENCRNKHSRFSSVIPNPVALFDEEERYRACRNISSKKYSLSRSTLTSLHESLEAREKRLPLAPTVEDPLGYLYDRMSVKEKLHKKTSEQRKDYCHLGGFAFGDIVWAKPGKNYPVWPAMVIDPMQQAPEHVVDSCISGAVCVMFFGYFGSGNDTEYAWVNQGRVYPFIDHIDRFQGQTELYRCKPSDLRTAIEEAFLADHGFLGVQVDGMSSSGEPAYLSKIKEATGSNHDQECQSEIKPFRSKQYCQICKKIWHHKDEKRWSSDYFCPKCKSKLNFELSDTEKNHSQVRRDHNSGKDTKLVFDNVTVWCFGMEGIYLPEQHVVSCRCSSCKGKKFMLTEWERHTGSKTKNWRSSVKVRSTNMALGKWLDHYNSNMVSMNHAKRPSAKVRKQKLLAFLQEAYDPVYAKWTTERCAICRWVEDWDYNKIIICNRCQIAVHQECYGVRAKQDFTSWICRACETPQQKRECCLCPVKGGALKPTDVETLWVHVTCAWFHPQVSFANDETMEPAVGILDIPSESFVKVCVICRQMHGSCTQCYKCSTYYHAMCASRGGYRMELHCLEKNGKQITKKVSYCAHHRAPNPDTVLIIHTPEGVFSSKKLLQNNEKQTGSRLIRKGILHDSDFSIQNSETPSAARCRIYRRMATKRKQEEAIAHRLMGPSHHSLDVVQSLNAPREEKDPELFSTFRERLYHLQSTEKSRVCFGRSGIHGWGLFALRNIQEGEMVIEYRGEQVRRSVADLREARYRLEGKGCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGNDESRIVLIAKTNVSAGEELTYDYLFDPDEGDECKVPCLCKAPNCRKFMN >Ma06_p29730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31129473:31132505:-1 gene:Ma06_g29730 transcript:Ma06_t29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein kinase S.2 [Source:Projected from Arabidopsis thaliana (AT2G32800) UniProtKB/Swiss-Prot;Acc:O48837] MPLVDRLCFVFPAHADSDAEVHPIEPSAAEKPPRGRTGRGRNISARARGVLRWLWGRRWCRCVPDDADPRDSSHFVDIAGAHLTPAKSKVGGSSPRIFSYSELYIGTHGFSDKEVLGSGGFGRVYRAVLPGDGTVVAVKCVSGRGDRFEKAFAAELVAVAQLRHRNLVRLRGWCVHDDQLLLVYDYMPNRSLDRLLFLPATGSAPPLDWARRRHIVFGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSEFNARLGDFGLARWVEHGDEFDTSMRSVSAENYQFRLTETSRIGGTIGYLPPESFQKRGAAAAKSDVFSFGIVVLEVATGRRAVDLTFPDDQIFMLDWLRRLSDEGRLLDAGDHKLPDGSYPLAEMRRLIHLGLLCSLYDPQSRPTMKWVMEILSESSSAKLPALPSFQSHPRYIAFSSSSTATTTTTATTSNYVTAANTTMFLTADNSGASSGGSSDSRRTQKPIPSIDTPREITYREIVALTNNFSESQMVAELDFGTGYHGYLDNRFHVLVKRLGMRTCPALRARFSDELHNLARLRHRHLVQLRGWCIEKGEMLVVYDFSTTSLLSHYLFHRKNSVLAWHHRYNIIKCLASAILYLHEEWEEQVIHRNITSSAISLDHDMNPRLGSFALAEFLSRNGHGHHAPASGHGSSARGIFGYMSPEYIRTGEATTMADVYSFGVVVLEVVSGMMAVDFRRPEVLLVKNVWNFESSQRPLAELADRNLDGAFHHEELMRLVKLGIACTRSNPESRPSMRQIVSILDGNDELLRMTSHRKEGEEDWDEKNASSLSMVRRIQALGIQ >Ma11_p15330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21010007:21018135:1 gene:Ma11_g15330 transcript:Ma11_t15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYNPMDARKGVGSASQRSTQFPPSSTGANVRPPEASVLGVATPVLNYSIQTGEEFALEFMRERAISKKPMIQTATGDQNMATGYTDMTGILIPHMGSESASDVLAPATGDSWQFKEVEKQNFSETDRKVHYESSWSMPRASSGEGSSRTTLHGYSLSEYPDVLSKRMKFLCSFGGKIIPRPSDGKLRYVGGDTRIIRISRDISWDELMQKTIAIYNRPHTVKYQLPGEDLDALISVSCDEDLQNMMEEYTVLEGADGSHKLRMFLFSSDDTDDVHYSLGSMEGDSEIQYVAAVNGIDLGSGKSSHGHGLTSTSISDLDQLLNLNVEAERANPYMVATQSVGYVTAPVASATTFTPTLQESSSTAYDSHSQSFEGQRYQFVESEHYAYNPINPPDRYQNADSRDSIVLPMPSDYQYQSNYTDIPGQQSFYQSMLQDPYNGVSPFDRETVKKNEKLAVDSFSQKKVEREHINSHNNEPAKTIDQHDASASSSMHAEIPYTAVAPDAVTSVQPPKNKGKQLEPAPVLSSTNTVNAGHGSEMNEDDQYSSGALMSGFSDYETDVINVNYGNPPSRPFRVYQSERLPREQAGFLNRLSKSDDSINSHYLINEACPTGAQESIAEAVDTLVEGESGAKPPCSNNATLEKYKKLENTINQDYKFEPVSVLQVSETVSSSPQPMSALINQDMQDPNGASISSVVQAGSDHTDASDERNYKQGRKIQMPESQHAPPKSAYNKPTVVEDRILPESNMSRQMEKATNVGEIDVTKINIREPHNVGAFINAQEGPSVLADIPWEDISNKDIYNGNVQHAPAFSWVESTVGAVSREETSAPTPERILIDINDRFPPNLLSDIFYKAGIADNLSNINLLRKDDAGVSVNMQNHEPKRWSFFRNLAQDEFGRKDFSLMDQDHISYSSVLPKVEEGVCSPYQFAPLENERVDFGHIDSQIDFSEEMQESSNTIADNTNILHEVYIPSQIPHPLGIEKGEGLQVENPYAKLGETLKTHISENDESKFEGGEAAEPVLDASVDDFDLSNLQIIENEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEKERLTIEFWREAEILSQLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLLRKDKYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPATCDPEWRKLMELCWAPDPAQRPSFTQIAGRLRSMSVASQAKPAK >Ma11_p15330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21010007:21018135:1 gene:Ma11_g15330 transcript:Ma11_t15330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYNPMDARKGVGSASQRSTQFPPSSTGANVRPPEASVLGVATPVLNYSIQTGEEFALEFMRERAISKKPMIQTATGDQNMATGYTDMTGILIPHMGSESASDVLAPATGDSWQFKEVEKQNFSETDRKVHYESSWSMPRASSGEGSSRTTLHGYSLSEYPDVLSKRMKFLCSFGGKIIPRPSDGKLRYVGGDTRIIRISRDISWDELMQKTIAIYNRPHTVKYQLPGEDLDALISVSCDEDLQNMMEEYTVLEGADGSHKLRMFLFSSDDTDDVHYSLGSMEGDSEIQYVAAVNGIDLGSGKSSHGHGLTSTSISDLDQLLNLNVEAERANPYMVATQSVGYVTAPVASATTFTPTLQESSSTAYDSHSQSFEGQRYQFVESEHYAYNPINPPDRYQNADSRDSIVLPMPSDYQYQSNYTDIPGQQSFYQSMLQDPYNGVSPFDRETVKKNEKLAVDSFSQKKVEREHINSHNNEPAKTIDQHDASASSSMHAEIPYTAVAPDAVTSVQPPKNKGKQLEPAPVLSSTNTVNAGHGSEMNEDDQYSSGALMSGFSDYETDVINVNYGNPPSRPFRVYQSERLPREQAGFLNRLSKSDDSINSHYLINEACPTGAQESIAEAVDTLVEGESGAKPPCSNNATLEKYKKLENTINQDYKFEPVSVLQVSETVSSSPQPMSALINQDMQDPNGASISSVVQAGSDHTDASDERNYKQGRKIQMPESQHAPPKSAYNKPTVVEDRILPESNMSRQMEKATNVGEIDVTKINIREPHNVGAFINAQEGPSVLADIPWEDISNKDIYNGNVQHAPAFSWVESTVGAVSREETSAPTPERILIDINDRFPPNLLSDIFYKAGIADNLSNINLLRKDDAGVSVNMQNHEPKRWSFFRNLAQDEFGRKDFSLMDQDHISYSSVLPKVEEGVCSPYQFAPLENERVDFGHIDSQIDFSEEMQESSNTIADNTNILHEVYIPSQIPHPLGIEKGEGLQESKFEGGEAAEPVLDASVDDFDLSNLQIIENEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEKERLTIEFWREAEILSQLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLLRKDKYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPATCDPEWRKLMELCWAPDPAQRPSFTQIAGRLRSMSVASQAKPAK >Ma11_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21010007:21018135:1 gene:Ma11_g15330 transcript:Ma11_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYNPMDARKGVGSASQRSTQFPPSSTGANVRPPEASVLGVATPVLNYSIQTGEEFALEFMRERAISKKPMIQTATGDQNMATGYTDMTGILIPHMGSESASDVLAPATGDSWQFKEVEKQNFSETDRKVHYESSWSMPRASSGEGSSRTTLHGYSLSEYPDVLSKRMKFLCSFGGKIIPRPSDGKLRYVGGDTRIIRISRDISWDELMQKTIAIYNRPHTVKYQLPGEDLDALISVSCDEDLQNMMEEYTVLEGADGSHKLRMFLFSSDDTDDVHYSLGSMEGDSEIQYVAAVNGIDLGSGKSSHGHGLTSTSISDLDQLLNLNVEAERANPYMVATQSVGYVTAPVASATTFTPTLQESSSTAYDSHSQSFEGQRYQFVESEHYAYNPINPPDRYQNADSRDSIVLPMPSDYQYQSNYTDIPGQQSFYQSMLQDPYNGVSPFDRETVKKNEKLAVDSFSQKKVEREHINSHNNEPAKTIDQHDASASSSMHAEIPYTAVAPDAVTSVQPPKNKGKQLEPAPVLSSTNTVNAGHGSEMNEDDQYSSGALMSGFSDYETDVINVNYGNPPSRPFRVYQSERLPREQAGFLNRLSKSDDSINSHYLINEACPTGAQESIAEAVDTLVEGESGAKPPCSNNATLEKYKKLENTINQDYKFEPVSVLQVSETVSSSPQPMSALINQDMQDPNGASISSVVQAGSDHTDASDERNYKQGRKIQMPESQHAPPKSAYNKPTVVEDRILPESNMSRQMEKATNVGEIDVTKINIREPHNVGAFINAQEGPSVLADIPWEDISNKDIYNGNVQHAPAFSWVESTVGAVSREETSAPTPERILIDINDRFPPNLLSDIFYKAGIADNLSNINLLRKDDAGVSVNMQNHEPKRWSFFRNLAQDEFGRKDFSLMDQDHISYSSVLPKVEEGVCSPYQFAPLENERVDFGHIDSQIDFSEEMQESSNTIADNTNILHEVYIPSQIPHPLGIEKGEGLQVENPYAKLGETLKTHISENDESKFEGGEAAEPVLDASVDDFDLSNLQIIENEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEKERLTIEFWREAEILSQLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLLRKDKYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPATCDPEWRKLMELCWAPDPAQRPSFTQIAGRLRSMSVASQAKPAK >Ma02_p11680.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20743048:20751144:1 gene:Ma02_g11680 transcript:Ma02_t11680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSDQPCCSAMFWVYLMICVGLVMFAGIMSGLTLGLMSLSLVDLEVLVKAGKPKDQLNAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDALVPAWGAILISVTLILTFGEIIPQAVCSRYGLSVGAKTAGLVRVLLLIFFPVAYPISKLLDWVLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDLTQKTAKDAMTTILETFSLDINSKLDMHTLGLIMSKGHSRVPIYSGSPTNIIGLILVKNLITCRPEDEVPIRNVTIRKIPRVYDDLPLYDMLNEFQKGHSHMSVVVKRIKDNDTLIEKSKTSMSENRMNQSRNHNEVHVIHDFPGDGSQSFMAEHLNSIANGSPVSSSIQGFHSPVKRSNMEKHGDSRSQIKKSERVRHDNILDVNSDTLPSYSLDEEVVGIITMEDVMEELLQEEILDETDEYVDVHNKIKINMLPTRRSSPRSSGTVTISQFPRRTPSASPLSPYHDASVLRSPVSQYAQVPGSTPTILNSPGKSLPSSPAHHIVLGQNSPSYRVSRKSYEKLEKNGGSNV >Ma02_p11680.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20743048:20751115:1 gene:Ma02_g11680 transcript:Ma02_t11680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSDQPCCSAMFWVYLMICVGLVMFAGIMSGLTLGLMSLSLVDLEVLVKAGKPKDQLNAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDALVPAWGAILISVTLILTFGEIIPQAVCSRYGLSVGAKTAGLVRVLLLIFFPVAYPISKLLDWVLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDLTQKTAKDAMTTILETFSLDINSKLDMHTLGLIMSKGHSRVPIYSGSPTNIIGLILVKNLITCRPEDEVPIRNVTIRKIPRVYDDLPLYDMLNEFQKGHSHMSVVVKRIKDNDTLIEKSKTSMSENRMNQSRNHNEVHVIHDFPGDGSQSFMAEHLNSIANGSPVSSSIQGFHSPVKRSNMEKHGDSRSQIKKSERVRHDNILDVNSDTLPSYSLDEEVVGIITMEDVMEELLQEEILDETDEYVDVHNKIKINMLPTRRSSPRSSGTVTISQFPRRTPSASPLSPYHDASVLRSPVSQYAQVPGSTPTILNSPGKSLPSSPAHHIVSRKSYEKLEKNGGSNV >Ma02_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20743048:20751144:1 gene:Ma02_g11680 transcript:Ma02_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSDQPCCSAMFWVYLMICVGLVMFAGIMSGLTLGLMSLSLVDLEVLVKAGKPKDQLNAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDALVPAWGAILISVTLILTFGEAGKGGELTHDETTIITGALDLTQKTAKDAMTTILETFSLDINSKLDMHTLGLIMSKGHSRVPIYSGSPTNIIGLILVKNLITCRPEDEVPIRNVTIRKIPRVYDDLPLYDMLNEFQKGHSHMSVVVKRIKDNDTLIEKSKTSMSENRMNQSRNHNEVHVIHDFPGDGSQSFMAEHLNSIANGSPVSSSIQGFHSPVKRSNMEKHGDSRSQIKKSERVRHDNILDVNSDTLPSYSLDEEVVGIITMEDVMEELLQEEILDETDEYVDVHNKIKINMLPTRRSSPRSSGTVTISQFPRRTPSASPLSPYHDASVLRSPVSQYAQVPGSTPTILNSPGKSLPSSPAHHIVLGQNSPSYRVSRKSYEKLEKNGGSNV >Ma02_p11680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20743048:20751144:1 gene:Ma02_g11680 transcript:Ma02_t11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSDQPCCSAMFWVYLMICVGLVMFAGIMSGLTLGLMSLSLVDLEVLVKAGKPKDQLNAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDALVPAWGAILISVTLILTFGEIIPQAVCSRYGLSVGAKTAGLVRVLLLIFFPVAYPISKLLDWVLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDLTQKTAKDAMTTILETFSLDINSKLDMHTLGLIMSKGHSRVPIYSGSPTNIIGLILVKNLITCRPEDEVPIRNVTIRKIPRVYDDLPLYDMLNEFQKGHSHMSVVVKRIKDNDTLIEKSKTSMSENRMNQSRNHNEVHGDGSQSFMAEHLNSIANGSPVSSSIQGFHSPVKRSNMEKHGDSRSQIKKSERVRHDNILDVNSDTLPSYSLDEEVVGIITMEDVMEELLQEEILDETDEYVDVHNKIKINMLPTRRSSPRSSGTVTISQFPRRTPSASPLSPYHDASVLRSPVSQYAQVPGSTPTILNSPGKSLPSSPAHHIVLGQNSPSYRVSRKSYEKLEKNGGSNV >Ma02_p11680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20743048:20751144:1 gene:Ma02_g11680 transcript:Ma02_t11680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSDQPCCSAMFWVYLMICVGLVMFAGIMSGLTLGLMSLSLVDLEVLVKAGKPKDQLNAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDALVPAWGAILISVTLILTFGEIIPQAVCSRYGLSVGAKTAGLVRVLLLIFFPVAYPISKLLDWVLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDLTQKTAKDAMTTILETFSLDINSKLDMHTLGLIMSKGHSRVPIYSGSPTNIIGLILVKNLITCRPEDEVPIRNVTIRKIPRVYDDLPLYDMLNEFQKGHSHMSVVVKRIKDNDTLIEKSKTSMSENRMNQRDGSQSFMAEHLNSIANGSPVSSSIQGFHSPVKRSNMEKHGDSRSQIKKSERVRHDNILDVNSDTLPSYSLDEEVVGIITMEDVMEELLQEEILDETDEYVDVHNKIKINMLPTRRSSPRSSGTVTISQFPRRTPSASPLSPYHDASVLRSPVSQYAQVPGSTPTILNSPGKSLPSSPAHHIVLGQNSPSYRVSRKSYEKLEKNGGSNV >Ma02_p11680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20743048:20751144:1 gene:Ma02_g11680 transcript:Ma02_t11680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSDQPCCSAMFWVYLMICVGLVMFAGIMSGLTLGLMSLSLVDLEVLVKAGKPKDQLNAAKILPVVKNQHLLLCTLLIGNSLAMEALPIFLDALVPAWGAILISVTLILTFGEIIPQAVCSRYGLSVGAKTAGLVRVLLLIFFPVAYPISKLLDWVLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDLTQKTAKDAMTTILETFSLDINSKLDMHTLGLIMSKGHSRVPIYSGSPTNIIGLILVKNLITCRPEDEVPIRNVTIRKIPRVYDDLPLYDMLNEFQKGHSHMSVVVKRIKDNDTLIEKSKTSMSENRMNQMIHDFPGDGSQSFMAEHLNSIANGSPVSSSIQGFHSPVKRSNMEKHGDSRSQIKKSERVRHDNILDVNSDTLPSYSLDEEVVGIITMEDVMEELLQEEILDETDEYVDVHNKIKINMLPTRRSSPRSSGTVTISQFPRRTPSASPLSPYHDASVLRSPVSQYAQVPGSTPTILNSPGKSLPSSPAHHIVLGQNSPSYRVSRKSYEKLEKNGGSNV >Ma10_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23646372:23649108:-1 gene:Ma10_g09530 transcript:Ma10_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSPHNLSSSIASSASPPPPPPLQFPLLSSPSVLPSLIDRSSSHGVRLSSPSSSRRWASLRRRPRVRPSALLYGGGGRPVDTQTFIVTATVLAAVALSLFLGLKGDPVPCERCGGNGGTKCVFCNDGKMKQETGLVDCRVCKGAGLILCKKCGGSGYSRRL >Ma04_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4717384:4719585:-1 gene:Ma04_g06400 transcript:Ma04_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGKPSLHVESSAAEGSHFKLPVDSEQKATEFWLFSFAKPHMRAFHLSWFSFFCCFVSTFAAPPLLPLIRDNLNLTTTDIGNAGIASVSGAVFARLAMGTACDLIGPRLASASLTLLTAPAVYFTSVINSASSYLLVRFFTGFSLASFVSTQFWMSSMFSPPVVGVANGIAGGWGNLGGGATQLIMPLVYDLIHHIGSTNFTAWRIAFFIPGFMQTISAIAVIALGQDLPNGNYRKLEKAGDKHKDSFRKVFYHAVTNYRGWILALTYGYCFGVELTIDNIVAEYFYDKFNVNLRTAGIIAASFGLANIISRPGGGLLSDWMSRRYGMRGRLWGLWVVQIVGGVLCIVLGRMNNLSASIIVMVLFSFFVQAACGLTFGVVPFVSRRSLGMISGMTGGGGNVGAVITQLIFFKGSKYSKETGITLMGVMILCCTLPITLIYFPQWGGLFCGPRPKATAEDYYAAEWSEDEKERGYHSASVKFAENSVREGGRRRDSSPAVPADDTPSHA >Ma04_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7407305:7410272:-1 gene:Ma04_g10400 transcript:Ma04_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEPKNILITGAAGFIASHVANRLVRNYPKYKIVVLDKLDYCSNLKNLNPSRSCPNFRFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTTNNIYGTHVLLEACKVTGQVRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGQPLPIHGDGSNVRSYLYCEDVAEAYEVVLHKGEVGHVYNIGTKKERRVIDVAVDICKLFSLDTDTVIKFVENRPFNDQRYFLDDQKLKNLGWSEKTIWEEGLKKTMEWYMNNPDWWGDVSGALLPHPRMLMMPGIERHIDGSEETKDMVYQEMSTSNQNGMVVPSIRTSLKKPHLKFLIYGRTGWIGGLLGKLCEKQDIPYEYGRGRLEERSQLIRDIQNVKPSHVFNAAGVTGRPNVDWCESHKQETIRTNVVGTLTLADVCRENGLLLMNYATGCIFEYDARHPEGSGIGYKEEDKPNFTGSFYSKTKAMVEELLKEFDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRSCRGIWNFTNPGVVSHNEILEMYKSYIDPSFKWTNFTLEEQAKVIVAPRSNNEMDASKLKREFPELLSIKDSIIKYVFEPNKKVLSN >Ma00_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17126582:17129817:-1 gene:Ma00_g02330 transcript:Ma00_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARRMEWERGPGGGGGGVRNDEEGEGRSGSGGGGDGAGGEGVLYVKVMTDEQMEVLRRQIAVYATICEQLVEMHKAITAHHDSLAGMRLGGLYNDSLMASGGHKITARQRWTPTSMQLQILETMFNQGNGTPSKQNIKQITTELSQHGQISESNVYNWFQNRRARSKRKKMAALPSNTESEAEADEESPDEKKPRPDEFHHENLPVSISNHPIYDEQMNAEVHLLASEINQAQGRCRLNESLKSSGGLDHMSYESVLSTPRLDHLMDKFDMPTSFSPFHSGERYDVMG >Ma05_p32020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41701752:41709381:1 gene:Ma05_g32020 transcript:Ma05_t32020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKCKKKKIRCFGNKKRMIAAETVTCALPEPTQSGPSLQSAPPSFRNRTKPAQSGHRILNSRVRALSAPSTLVLADQYALASLEYDDQEECKGRGISVKDERFSNPLPLPLPSPHTFSLRNLESFNLNNTSGPIVSSGPLPLPPLGGGGLRNFSYEEISAACQNFSVGRCMSEGLSTTIYKATFGDDSMSLKRSEATVTRLLPSCQGLKEFLNEVNVLASLQHPYLCKLLGFHAQEGSDERMLIYERLYHGSIDRLLHGGLDGRSMDWPTRMKVALCAAKGLAFLHEEGPFQAMYYEFSTSNIQVDKDFSAKLSGYGCASYNPEADVSDSSIATANLSVETLEQGLFTPKSNVWSFGRVLLELLTGRKNLDNRYPKEERNIVKWSRPFLADDCRLSLIMDPRMKGRFPPKSARTVADVALKCLRKDPSKRPTMRFIVEALKDVPDMKCPSRYPLQEPSVIAGKRMCKSPSLTGIIPPQPPPSFSISPPSKSQLLLSPRTSISIPYPPLKCTTTRAVEDNRISSIRSCSPAMQRLEGF >Ma05_p32020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41701752:41709381:1 gene:Ma05_g32020 transcript:Ma05_t32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKCKKKKIRCFGNKKRMIAAETVTCALPEPTQSGPSLQSAPPSFRNRTKPAQSGHRILNSRVRALSAPSTLVLADQYALASLEYDDQEECKGRGISVKDERFSNPLPLPLPSPHTFSLRNLESFNLNNTSGPIVSSGPLPLPPLGGGGLRNFSYEEISAACQNFSVGRCMSEGLSTTIYKATFGDDSMSLKRSEATVTRLLPSCQGLKEFLNEVNVLASLQHPYLCKLLGFHAQEGSDERMLIYERLYHGSIDRLLHGGLDGRSMDWPTRMKVALCAAKGLAFLHEEGPFQAMYYEFSTSNIQVDKDFSAKLSGYGCASYNPEADVSDSSIATANLSVETLEQGLFTPKSNVWSFGRVLLELLTGRKNLDNRYPKEERNIVKWSRPFLADDCRLSLIMDPRMKGRFPPKSARTVADVALKCLRKDPSKRPTMRFIVEALKDVPDMKCPSRYPLQEPSVIAGKRMCKSPSLTGIIPPQPPPSFSISPPSKSQLLLSPRTSISIPYPPLKCTTTRAVEDNRISSIRSCSPAMQRLEGF >Ma05_p32020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41701863:41709381:1 gene:Ma05_g32020 transcript:Ma05_t32020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKCKKKKIRCFGNKKRMIAAETVTCALPEPTQSGPSLQSAPPSFRNRTKPAQSGHRILNSRVRALSAPSTLVLADQYALASLEYDDQEECKGRGISVKDERFSNPLPLPLPSPHTFSLRNLESFNLNNTSGPIVSSGPLPLPPLGGGGLRNFSYEEISAACQNFSVGRCMSEGLSTTIYKATFGDDSMSLKRSEATVTRLLPSCQGLKEFLNEVNVLASLQHPYLCKLLGFHAQEGSDERMLIYERLYHGSIDRLLHGGLDGRSMDWPTRMKVALCAAKGLAFLHEEGPFQAMYYEFSTSNIQVDKDFSAKLSGYGCASYNPEADVSDSSIATANLSVETLEQGLFTPKSNVWSFGRVLLELLTGRKNLDNRYPKEERNIVKWSRPFLADDCRLSLIMDPRMKGRFPPKSARTVADVALKCLRKDPSKRPTMRFIVEALKDVPDMKCPSRYPLQEPSVIAGKRMCKSPSLTGIIPPQPPPSFSISPPSKSQLLLSPRTSISIPYPPLKCTTTRAVEDNRISSIRSCSPAMQRLEGF >Ma01_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28037609:28050573:-1 gene:Ma01_g23460 transcript:Ma01_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDTEGLSIICAGIGYADEDENGAIIGYAKGEYCLDNLKDLQRFLRRDDPRQRDVFKQICKWNTVSRNLVPLIEYYQSDRNLVINAVKILVFLTMPIDHSSDGIAQQIEYLWDLKAALTRPVTIAVIVCLLEDPLDHLECKTFTDDDWKLVQLVLTLFRNVLAIQDITLQQKSSGSSTQFFCLTDRFLELMFQEYVLDLILVLTQHVDGCSGYLQQDNLLLLETFYYIFLGREPELIAKVSKRSSKVKEDITTTVDSLRTIMDEEEEKRRIIRLRNLERHSQFSGTFTRLGVDGSKTLFKRNPTSASENGMVKVHNVQRGPLKRIAWDHDNLPLAKENITELLYEFLNQFLSGGYNVLMQSIHDDISKECQSIQKTDVMMFFGVAKFVLAFQHQKILISKEPNMEEPISDPSHEDEFANNLSFHGNICGPVAETINEAMFNLVISKWLQAFDSLKETNDYKSLSAAGSLFKNMIRMLDLVLRMLPEDSKETQTARVLLYKLFYDQTDQGLTHFLQNMFRSFNTHKQPKSDLADLLEIMHVVLRLMEKLQARGTLRVARKSRKGRKKKTNTEANNLGEHVNPKHIVAQTEETGGHSNNLSKEQLRELSLADKVGDNQEGTFMSNDAAVPDASVHNIRHSGDDMVALGGDVVNTNFIDPVHEPTDSSSDDQLPETNEVDFNISKLVATFANNYVVHNLCWLLKYYKSNSVSTNHHIITMLQRICDDLDISPMLYQLSVLRVFYNILADQKSSASKEYASIVNFLTKFTRKMLKLLKDRPLLFVEMLFWKTRKECHCISADVLMSGLAKRDSHTDEVVLTNDIGYKQKNIADSLGDDEFVIPYDLNNQRDENPFDVFHEDDLYKTKQHASEKNVMRSISNDDDEMDVSKGTSSRFQRTKDFKWQKSYIFDQKQETMIKHLYDKYKDDKKCSRLIAEALDPEGKITAVQIYCKLKQLGLQTTRSKKLACVDVPLPAGDDPTEEAGTAFTITPKGHENDSYLKTSIRRGKRVQAFSKDQELEIKILFERFKDHKNCSHMIAKALDADKTYTAAQVSRKLKQLGLLAPKKLTSPEIEKHSRDDQNKEKGLQLEETLFAIKKSHRKRKSLTKEDESSSPPQNIELPVEDDSGVLRTTSKNRRKRRNKSPVKEATPEIISRHDSDEEVLATLFKRTERQPDQQSADHETDGVGLDDDMDAEQQSHEDEIGATTATFTSGFDDNMDEPSKVGDGATEAELMDYFDSEGETNATHPASRIGHKRNLKLVMDEDEE >Ma10_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15484694:15502987:1 gene:Ma10_g04850 transcript:Ma10_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGESGDTAAELERRVMATVKASEERGDPPLLRAVEAARCVQEAGLGLPNPELAHVLVSNLCFANNTPSMWKLLDQAMSCRVVSPIHTLALLKPRVIPRRWAQPEAYRLYLDLVSRYAVSSLSIEAGGSCRDKIAKSIDAALQLSYTYGVQQMDFGHAIVLFILNIITSLMDCTIEDCGPQLVSADKHGCAYASGGEQTMQVDVKENSNERRNEHHDKLRKTNALMAIEVAEKVSSNKKAKAFLHLIRINMPELFNGLLQRLQTIDANRSKSESLLSVSHILDKLFTNINSAVNGEFLLNKHQLLGALADAGSYSLNPCNSHGAGRGACWIPVDIFMENAMDGKHLYAISAVEILTELTKTLQVINQASWQETFQTLWLSALRLVQRDREPIEGPIPHLDARLCMLLSIVPLAVAAVVKEENETPYPFSNGFLRSNSFGNEGNKFAVRRHALISSLKILGQFSALLSPPPSVVNVANSAATKAAVFVYNFKAGNSNLNDCSIKAVGNMLHLIVEACIARNLIDTSAYFWPGYVVPSVSSKDPTSFQDSSWSTFLEGAPLTDSLKNSLMVTPASSLVEVEKMYHIAVNGSEEEKLAAAKILCAASLVCGWNVQEHVVFFVVKLLSLPMPPDSSASAAENYLIGHMPVLSAILFGVSGVDIVHILSLHGMIPEVAAALMPLCEVFGSLSPLSSHKSRTSEETSVYSVFSCAFLFLLRLWKFYKPPQELCQAGRGSIKMELTLDYLLLMRNSRIALQNSSAMNRTGNNMGSFNASPRRPVYIDSFPKLRAWYFQNQACIASILSGLCNKDPVHQTANKILNMIYRKMSKNGPVSGNPSSNSSSSISGSPVNMTEDSLQRPMLPGWEILGAIPFVLEAVLTACAHGRLSSRDLTTGLRDLVDFLPASIATIISYFSAEITRGIWKPVPMNGTDWPSPAPTLLSIESEVKEILASAGVHINSCYPRGLPPMLPLPMAALVSLTITFKLEKSSEYIHGVVGQALENCATGCTWPSMPIIGALWTQKVRRWHDFIVLSCSRSPFSREKDAVVQLIRSCFSSFLGPSVAGGSHMTADRGVNGLLGRYMSDQGVRLPVAPGFLYLRTCRNFPDIHFVNKVIFKLVIEWAHKLGTKWSSNGPTRLKSSRISLVSAISGVQHVATLGASLLFVAGGVQFVQIMYEETLPTLLLSAGAEKLGGTETVSNILQGYVMAYMLIICGAFVWGVGNTSPAYTSVFSSMRARVIGIHMDFVAGAMEGNIILGCDPAIWKAYVSCFVGLLVNFAPAWVLEAKQEALHKLASGLRGWQEFDMALSLLELGGPSAVTAVVESVL >Ma04_p39810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36834065:36834982:-1 gene:Ma04_g39810 transcript:Ma04_t39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDKMWDDVVAGPQPERGLGKLRKVSAKPLVIKEGESSGNKYQRSLSMPQTPTTPTTPTASSPTPRQGNVWRSVFNPGSNLATKTLGANLFDKPQPNSPTVYDWLYSGETKSTHR >Ma00_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:851122:854794:-1 gene:Ma00_g00290 transcript:Ma00_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKPAMRKPEFTKVNQLRPGTSGHTLTVKVVSSKTVLQKGRAAGSQVRQMRLAECLVGDETGMIVFTARNEQVDLMKPDTTVIIRNAKIDMFKGSMRLAVDKWGRIEVTDPANFTVKEDNNLSLIEYELVTVVEE >Ma00_p00290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:851122:854842:-1 gene:Ma00_g00290 transcript:Ma00_t00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKPAMRKPEFTKVNQLRPGTSGHTLTVKVVSSKTVLQKGRAAGSQVRQMRLAECLVGDETGMIVFTARNEQVDLMKPDTTVIIRNAKIDMFKGSMRLAVDKWGRIEVTDPANFTVKEDNNLSLIEYELVTVVEE >Ma09_p02430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1715915:1717641:1 gene:Ma09_g02430 transcript:Ma09_t02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLGGSVLAVLFLLACSAMAMAAYNVVDFGAKPDGQTDSAKAFLAAWEATCGARKPATMVVPAGRFLVSQALFKGPCKNAGMKMVIQGTLVAPPGYSDITQWITLKYVEGLSVYGGTLDGRGQALWACKKARRSCPYGATSLTIGQSKNILLSGIRLLNSEVFQMSIFSSTGVTVRGATITAPGDSPNTDGIHVQMSSSVTIRGSTMRTGDDCISLGPGSAHVWIENIKCGPGHGISIGSLGNAAQEAGVQNITVRSVAFTGTQNGLRIKTWGRPSNGYVKGITFEHAVMSNVQNPIVVDQNYCPSNINCPGQSSGIKISQVNFHDVRGSSATQVAMKLDCSPSNPCSEVSLKDIKLTYRNKQAQSYCRNVKGTASGVMNPPSCL >Ma04_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4687087:4692330:-1 gene:Ma04_g06370 transcript:Ma04_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRENIFSDNRIYSVTDFGAKGDGVTDDTKAFLDTWNATCQSSVSSILLIPAGKTFLLNPIVFQGPCQFYVRVQTLALLFCSRALVAGLRLINSPQMHLVVGFSSAIHITGVTITAPGDSLNTDGIHIQQSRHVIISDSTIGTGDDCISIGTGSFHVNVSWVTCGPGHGISVGSLGMDNSRAEVSDIQVGHCNIFSTMNGVRIKTWQGGYGYAKTIIFENINFTAVMNPIIIDQHYCAAGVCAEKSSAVQVTDVRFIEVRGTSSSQVAINLNCSQNVACTGITIQNVEIQPAQQGGQASSYCFNAHGTVTGVAMPALEKLADRENEIDHHMLEKRVGVSALLHEGREDVVVVPAVSELQLPGLDAKLEPSTCATLHVSVASMATWSHLLNRQTNSRKMKRWRLATAALSKAIAEAFSRRSSASVSGSLPKARPHMLSKQA >Ma06_p26600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28435394:28436763:-1 gene:Ma06_g26600 transcript:Ma06_t26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRATLTGKYETDKDDGKASTILTINTNVGDAKLKASVTNALVVNTAKDSPPKFSLTLEKPDSFSVVYSRKHDANRQSDDPPDVQFKFMNAIKVMEKTVELEYTHGMPEKKTAVGGSVALNPRNKVAVSHVLGTRDCKVKYKYEHGERVRTAVEPSYEVAANAWGLDVSSKFEGGDAVRASYRTAAKTVQLEWSRNSMVNGTFKISSSFNVMDPKINPRFMAESTWSYDF >Ma04_p38800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36190241:36191780:-1 gene:Ma04_g38800 transcript:Ma04_t38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPKPMHHGRRLFELLEEQQEPFLLDVYLLEHGYSDRATRANDAAAFMCWPGSACRRLRRFGTYGFKRKRGGILRLLLDKVVYNKVVRKAWRWDGAVVGSGRWSIFGTLFEMKGKSNVVEFHRLSCSGGTEVDREDQCRAPSSSTQLSPVSVLELHSDEVEEEVSSISAHNWAAVEELLYGRCHDPKRANTKKHLYEPHHFVLDCLREVEGRLSTPHECSGPEKRGKNRDEEVLSYDNHGAALANLSRLIDSDFSKSGREWNHHQHEMTEVGTQIEHLIFEEIREETLVGILDCHCTLQRC >Ma03_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2461852:2462170:1 gene:Ma03_g03680 transcript:Ma03_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVNYPTVVQKVTSQFHLRSQFSQDLQARNYNFCSLLDVPAVTTVWRKGLHALLSISLWVVFLLRFQRLLLHPLNV >Ma10_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17705263:17709945:-1 gene:Ma10_g06200 transcript:Ma10_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKTKAELQNQLKDLKNELSLLRVAKVTGGAPNKLSKIKVVRLSIARVLTVTSQKQKAALRDAYKKKKLIPLDLRPKKTRAIRRRLTKRQGSLKTERQKKKEMYFPTRKYAIKA >Ma01_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10332913:10334033:-1 gene:Ma01_g14110 transcript:Ma01_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYPVGKMVCKVLRMCKGWKRHGVATTMADSPPPTCSYAGTEAKHLTFFSGSPVEAVPPEPPFSKHNPEAVISPSPQAEMVQPKEQSRVRLAREPDRPDESRTPPDHLDASPYPTHKSSARVRFGPGPSPRPNQHEPVPANPESAYRYVTSPLPRWEEGERRREYFGGEYHYFPTPIREGIYRIATDENRLTAILSEENPNACSIV >Ma08_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6923759:6924034:-1 gene:Ma08_g09560 transcript:Ma08_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGLGVAVGCAVVTCAIAAGLVRRRARSWLRWGRAVAVVEGFEEGCATPVGRLRQVVDAMAVEMYAGLASDGGSKLKMLLTFVETLPDG >Ma08_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18100908:18102794:-1 gene:Ma08_g16390 transcript:Ma08_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRQPSAEVVGKAFIEQYYYVLRHHPEMVHQFYKESSKLGRPDDRGDVTSVTTIDGIKEKIMATRLGVPEIRKVDSQDSHNGGVLVHVTGRLIREDDVQRGFFQVFFLAPQETGYFVLNDILRYAGDIDGQGSAIDREETVPLPIDDVIATAYVVCDSMSNGESWTVQEKEPGNEICDSVNNGESSTVPERESSNEVLDVASDGCRVDTTLVQQMYKRTYAEVMKPKKGGAVKESAAAASKAVPTPTSDTPISTSGAAGNNTSVCIKNIPFGATPAHLEEHFKRFGPIKPGGIQVRTTKCQERPSCYGFVEFEMADSARNAIKASPIIISGRQVYIEQKKIFGSGVNDNRGRFPPGRGDGHLSAGARQHGNRSHFGGRAGNSRNY >Ma06_p30280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31586559:31590506:1 gene:Ma06_g30280 transcript:Ma06_t30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWLGFSLSPQELPPPQAQRIHPSPGIISDDEVSADCYGLSPDSSSTPPLGIPTLRPDGSFGILEALNRPCHHSQDWSMKSLEYKGSSSELSMLVGSSSNQNTMDDQQPKLEDFLGGHSFAQHNQKLPSIAGNYDNPSDYMYSHGCNSGGLLSSNGGCSSSSIGLSVIKTWLRNQPAPPSQVEGNGSDEAGCSNMNSSVGGAVAGNVGGTLTSSQSLSLSMSTGSQSSSPLPLLAAAVSGGGESSSSENKQKDGNGSGLDAQSGAMEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESSTLPIGGAAKRLKEISDHAEASVDGRMTDDGSITSHLTDTISSYGSHHHGWPTIAFQQAQSLSFHYPYGQPRGWCKQEQDSVVAAAHSLQDLQHLNLGSNTHNFFQPSMIHNLMSLESSSSVDHSTGSNAVIYNGNVGGSNGNYNVDGTGGYVMPVSTMVVDQKDQGSSSYSESEGKQMAYENMLAAGDPCAGRSIYYLPQQSTSSNMVKENGYEQINGYNNWMPATVQAPSAGGNNVTVCHGAPLFTVWNDS >Ma07_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8884873:8889251:1 gene:Ma07_g11980 transcript:Ma07_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQKGVSEKQVEVVLMIPGEEASAAAAASSGNAIRHLKVEPFEDFSKDESANKFKSPGRTGRLSPEITKSSLSPKKPPRPPRAETLVRGRSISKPKSRFVEQSVPPAPISADDRCPPIYERLPGSPNSKALGAPKTPSHAGDEEEEEEEDEAIYKKQQFADGGTPQRKWKVRVLIEWAILILAMGCLVTSLTVRRLHRVVIWGLEIWKWCLMVIVICCGRLVTYWLITLLVFVVERNFLLRKKVLYFVYGLKNSVRVCVWLGLVLLTWSLLFSHGVQRSPKTTKALHYVSRTLASLLIGSVLWLVKTLLVKILASNFHLNTFFDRIQESIFHQYVLQTLSGPPLMELAEKVGHVKSTSHLSLRSTGKGKGKGKGAEELGMIDVGKLQKMKHEKVSAWTMKGLINVISSSGLSTISNTIECFDEEGSEQMDKEITSEWEAKAAAYRIFKNVAKPGYKYIDEEDLLRFLSKEEVTYVLPLFEGAVEMGKIKKSALRNWVMIVEEMNILTTTFLRYDNEKIFYPNAVLLTKPISNFYRSPDMSDSIKFSVDVSTAIESIGALKSKIKAYIDNKPNYWHPNHSIIVENIVDINKMNMTLNVRHTMNFQNIVEKNNRRSDLVLELKKIFEELSIRYHLLPQEVHFSYTGFDPLPVSIGQSI >Ma07_p11980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8884873:8889257:1 gene:Ma07_g11980 transcript:Ma07_t11980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQKGVSEKQVEVVLMIPGEEASAAAAASSGNAIRHLKVEPFEDFSKDESANKFKSPGRTGRLSPEITKSSLSPKKPPRPPRAETLVRGRSISKPKSRFVEQSVPPAPISADDRCPPIYERLPGSPNSKALGAPKTPSHAGDEEEEEEEDEAIYKKQQFADGGTPQRKWKVRVLIEWAILILAMGCLVTSLTVRRLHRVVIWGLEIWKWCLMVIVICCGRLVTYWLITLLVFVVERNFLLRKKVLYFVYGLKNSVRVCVWLGLVLLTWSLLFSHGVQRSPKTTKALHYVSRTLASLLIGSVLWLVKTLLVKILASNFHLNTFFDRIQESIFHQYVLQTLSGPPLMELAEKVGHVKSTSHLSLRSTGKGKGKGKGAEELGMIDVGKLQKMKHEKVSAWTMKGLINVISSSGLSTISNTIECFDEEGSEQMDKEITSEWEAKAAAYRIFKNVAKPGYKYIDEEDLLRFLSKEEVTYVLPLFEGAVEMGKIKKSALRNWVVKAYLDRKSLAHSLNDTKTAVKQLHKLASVMVIVVIIIVMLLLLGFATTQVLVFISSQLLLVVFMFGNTCKTVFEAIIFVFVMHPFDVGDRCVVDGVQMIVEEMNILTTTFLRYDNEKIFYPNAVLLTKPISNFYRSPDMSDSIKFSVDVSTAIESIGALKSKIKAYIDNKPNYWHPNHSIIVENIVDINKMNMTLNVRHTMNFQNIVEKNNRRSDLVLELKKIFEELSIRYHLLPQEVHFSYTGFDPLPVSIGQSI >Ma11_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14992466:14994772:1 gene:Ma11_g11800 transcript:Ma11_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLHTVSLSWVGASLLAATLSLFLFLRWTAAKKPGQLPTPPRLPIIGNLHLLGSLAHHSLARLSEKYGPVMLLHFGRVPTVVVSSVAGAQEALKTRDLAFSGRPLSSLSDRLFYGSQDLAFAQYGGKWRQMRRVCVLHLLSPKQVRSFRSVREEEVARLVDCIRAASGAAVNMSAMIIALTCDILCKVAFGSKYTEEGGSQIHSLMSELSAVMGMFPLRDHIPWLGWIDWLNGMDGRVKKIAMTIDSFIEKVLEQHTSQRGGNNNDKEDSSMDDLVDILISLDDKEDLDVMSLGRDSIKAIILDMFAAGTETTYVAMEWAMAELMKHPAEMKRAQEEVRRVVGPRGNLGEEAAKEMQYLKAVIKETLRLHPPFPILFPRQTVEDTQLLGYHVPQGTTLFINAWALGRDVGTWEKPEEFRPERFIDDVVDFKGQDFQLIPFGAGRRGCPGIDFAVATMELALASLLYHFDWELPEGMRVEEMDMSESSGITVRKKSSLIIVGKTVSQ >Ma04_p01990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1736150:1757519:-1 gene:Ma04_g01990 transcript:Ma04_t01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIETSVGNMNRDAFMPDNMENGCDVKDLTFPDAHALLHVTDRRKIHSKTTGSFRSGSESAEDNSFYEDQIIEYYPKNVLEIESGSIHNKFSDNTPRCASTISSNGEINGRSCGRKSLTERESEAIIVIKQLEDQIKALEIEKATVQRNLDDVLDMATEQNASFKEKYEKLHQEVLLAREEARVSHERLYPAEVKFDLSVRMSMEVQDLTVQVEHSKNFVDNTVSVIKDIFNNYSVLADIFLELKSFAQEDISQLKSIITGLSQMERCIVKRSYELEQENNILRKQSVDYQSQVQQLMAEVGNQEKAINELGLQHDLEKDELLSQVLSLKKEVSCLSSSSLIREKETMRKELDKLKAKLKDTDSKLKNAVQDKIKLESEKAQAEREIKRLQSQNVVLERDMWKRDSLAVRRHEPKLGDFSRQKSVNVVEQTLQEDYQKLELCAFDMEAEISSLKEALISAFGEKEEALARNEFLNSEVEALSDKLLTADSEIKSLKEEVAAMAERLVESESFSKELESSINSLSREKEEMGLQFTDALLEMEAEKSIWSVNEKALFEANAKMKIQNDEILKLSEDLLETKRDMDSYREQCKELRERLIFLEENAQEEKECSMAKSLEITQLKNELKQNMADIVEWNDVKSSFEALSSECQHTSEILPKLREYLIFLSREGNDPSSQVMNGDQELNLKDQLLIVTKERDHLLSKNGELKTALFESEILKAKTDSDELAKRISNMEVKMHSDNLSFNKERTKLRMQLRSLQATLDAYRGRYTEVVDEMTLMNKKYEEASTKLKKQLAQYGVEILSLKKQLASKE >Ma04_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1736150:1761447:-1 gene:Ma04_g01990 transcript:Ma04_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIYVAVRARPLSPEESKSNPWRISGNSIYLPSQSTKFEFDRIFGEDCKTVDVYEARTKEIVASAVSGFNGTVFAYGQTNSGKTHTMRGSVAEPGIIPLAVHDLFLSIQEDIDREFLVRMSYMEIYNEEINDLLAPEHRKLQIHENLERGIYVAGLREEIVTCAEQVLDLMEFGESHRHIGETNMNLHSSRSHTIFRMIVESRERIEDGNMVDSCDAVRVSVLNLVDLAGSERAAKTGAEGMRLKEGSHINKSLMTLGTVIKKLSEGAEGQGIHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFSSRALRVTNCACVNEILTDAALLRRQRKEIEELRSKLLSSHSEHWEEEILNLRNTLLQSELEKERIQLELEEEKKAKAHREKRLIEQAQKIANLSSLVLCSEREDKSTYLSKNKRRVTWCPVPSSRKCVDEVHVPDSAAGEHTRHDSDIGLPIPFEELMQEIETSVGNMNRDAFMPDNMENGCDVKDLTFPDAHALLHVTDRRKIHSKTTGSFRSGSESAEDNSFYEDQIIEYYPKNVLEIESGSIHNKFSDNTPRCASTISSNGEINGRSCGRKSLTERESEAIIVIKQLEDQIKALEIEKATVQRNLDDVLDMATEQNASFKEKYEKLHQEVLLAREEARVSHERLYPAEVKFDLSVRMSMEVQDLTVQVEHSKNFVDNTVSVIKDIFNNYSVLADIFLELKSFAQEDISQLKSIITGLSQMERCIVKRSYELEQENNILRKQSVDYQSQVQQLMAEVGNQEKAINELGLQHDLEKDELLSQVLSLKKEVSCLSSSSLIREKETMRKELDKLKAKLKDTDSKLKNAVQDKIKLESEKAQAEREIKRLQSQNVVLERDMWKRDSLAVRRHEPKLGDFSRQKSVNVVEQTLQEDYQKLELCAFDMEAEISSLKEALISAFGEKEEALARNEFLNSEVEALSDKLLTADSEIKSLKEEVAAMAERLVESESFSKELESSINSLSREKEEMGLQFTDALLEMEAEKSIWSVNEKALFEANAKMKIQNDEILKLSEDLLETKRDMDSYREQCKELRERLIFLEENAQEEKECSMAKSLEITQLKNELKQNMADIVEWNDVKSSFEALSSECQHTSEILPKLREYLIFLSREGNDPSSQVMNGDQELNLKDQLLIVTKERDHLLSKNGELKTALFESEILKAKTDSDELAKRISNMEVKMHSDNLSFNKERTKLRMQLRSLQATLDAYRGRYTEVVDEMTLMNKKYEEASTKLKKQLAQYGVEILSLKKQLASKE >Ma04_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10061184:10062123:-1 gene:Ma04_g13310 transcript:Ma04_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIISEILLSGFMISSTLRRRTHLVQSFSVVFLYWFYVFS >Ma10_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22022265:22027005:1 gene:Ma10_g07660 transcript:Ma10_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHTHTHINKLTFELSCQATHYGYGRVLRKLHMFSQSLSIPGEGSICSALRFLRTPKKERDHMGCSSLSLCLSALSVTFIEAYYLPPPTHSFSFHKPPLPPAIPLHQLAHSSMGFPSVCYTVILPRPVAFVVNLLDRIKLAVSMALFYLGLATSYEDYFAFPLQLPDLPSPLSLPSPPSAIKTRLPVVRFSTLRPSSHHGGEAICAVCLGALEAKHEVRELGNCSHAFHKACIDKWVDIGQLTCPLCRAQLLPNGSEEEEEEQEEEEDDAAFAEPSRG >Ma10_p07660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22024321:22027005:1 gene:Ma10_g07660 transcript:Ma10_t07660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHTHTHINKLTFELSCQATHYGYGRVLRKLHMFSQSLSIPGEGSICSALRFLRTPKKERDHMGCSSLSLCLSALSVTFIEAYYLPPPTHSFSFHKPPLPPAIPLHQLAHSSMGFPSVCYTVILPRPVAFVVNLLDRIKLAVSMALFYLGLATSYEDYFAFPLQLPDLPSPLSLPSPPSAIKTRLPVVRFSTLRPSSHHGGEAICAVCLGALEAKHEVRELGNCSHAFHKACIDKWVDIGQLTCPLCRAQLLPNGSEEEEEEQEEEEDDAAFAEPSRG >Ma10_p07660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22022265:22027005:1 gene:Ma10_g07660 transcript:Ma10_t07660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHTHTHINKLTFELSCQATHYGYGRVLRKLHMFSQSLSIPGEGSICSALRFLRTPKKERDHMGCSSLSLCLSALSVTFIEAYYLPPPTHSFSFHKPPLPPAIPLHQLAHSSMGFPSVCYTVILPRPVAFVVNLLDRIKLAVSMALFYLGLATSYEDYFAFPLQLPDLPSPLSLPSPPSAIKTRLPVVRFSTLRPSSHHGGEAICAVCLGALEAKHEVRELGNCSHAFHKACIDKWVDIGQLTCPLCRAQLLPNGSEEEEEEQEEEEDDAAFAEPSRG >Ma02_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24354259:24355402:1 gene:Ma02_g17470 transcript:Ma02_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSTASAAFQAAMTRFPEPEQNLRCPRCDSTDTKFCYYNNHNISQPRHFCKSCRRYWTKGGMLRNIPVGGGTRKNSKRSGSSSSSSSAAYSCKRPNPSRPPSHLADLPKTEPVSVLYPPLDPDRHLLDMTGSFSSLLASDGHLETILESFHPVCGGDVTVLPNSASAIRSGIHIQGMELQGSVSNSNTPAAAVENSERLEGAPGCWAASWTDLAIYNPGSNMQ >Ma04_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22156668:22159647:-1 gene:Ma04_g19540 transcript:Ma04_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEPGVVVRIPLPSSYRLRCDRLLRKGSILDVILTRIRLPRLVPSQGAALFNLGNTCFLNAVLQCLTHTVPLVQKIRRMDHPCSCCGDIGGFCSFCALKGHINRSLFLSGYVISPTDFAKNLNKISPHFQLGQQEDAHEFLHSLLDNLHTCCLGHCTTDQPSSLDEDSLVKHVFGGRLRSQLRCCNCGHCSDTFEPLLDLSLEIDDVDNIVDALASFTRSEKIDDPDINFTCEGCKAQVSLEKQLKLDHTPQVLTLHLKRFKNNGVFAYKLRNPVEYPLELDLTPCLSCPVDEVHSKYDLYAVLLHIGSLEFGHYFCCIRSSPSTWHCINDSKVFRVSETDVLAQDAYLLFYVKQRSSCWFSNLMDEIKTLMATDSSPSSVLGHAQRHDRSSLVYEDGCSSSSVTSERHEDADPCNDSSPPGPVNNDAFIREGEGRQIETPLGTFKLRNHKNSCDEDLSGPSSCTSCSRS >Ma10_p02830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9718056:9728797:1 gene:Ma10_g02830 transcript:Ma10_t02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAMQPVSVLFLFLPLLAFGSGDIYIVTVEGEPVVSYNGGVDGFSATAVDLVERMDITSESVTSYALHLEKRHDSLLDSLFEVGTYKKLYSYHHLINGFAIHMSPEQAEVVSKAPGVKYVEKDMKIKKLTTHTPQFLGLPTEVWPTGGGFNRAGEDIVIGFVDSGIYPKHPSFSTHNTEPYGPLPRYRGKCEVDSETKRAFCNGKIIGAQHFAKAAIAAGAFNPLIDFSSPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVSAIEQAVLDGVDVLNLSVGPNSPPTTTKATFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAAIDDRRYKNHLTLGNGKKLPGLGLSPATHGNKSFNLVSANDVMLDSSLMTYNPLDCQRPELLNRNKVEGNILLCGFSFNFISGTASIKKVSETAKSLGAAGFIVAVENAYPGTKFDPVPVDTPGILIADVSKTKELIDYYNCSTKRDWAGRPISFQATASIADGLAPILHKSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYIGEGFAMVSGTSMAAPHISGIAALIRQKNPQWSPSAIKSALMTTASTLDRRDRPILAQQYSENGVMTLVQATPFDYGSGAVDPKAALDPGLILDSTYGDYVKFLCSVPDLDPGEILNITSSACNETKGHPSDLNTPSITISRLAGTQTVKRTVTNVADSETYIITTRMSPEIALEASPPAMTVLSGASREITVTLTVRSVTGGYSFGEILLKGDRGHKVRIPVVAMGFSS >Ma10_p02830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9717539:9728797:1 gene:Ma10_g02830 transcript:Ma10_t02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAMQPVSVLFLFLPLLAFGSGDIYIVTVEGEPVVSYNGGVDGFSATAVDLVERMDITSESVTSYALHLEKRHDSLLDSLFEVGTYKKLYSYHHLINGFAIHMSPEQAEVVSKAPGVKYVEKDMKIKKLTTHTPQFLGLPTEVWPTGGGFNRAGEDIVIGFVDSGIYPKHPSFSTHNTEPYGPLPRYRGKCEVDSETKRAFCNGKIIGAQHFAKAAIAAGAFNPLIDFSSPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVSAIEQAVLDGVDVLNLSVGPNSPPTTTKATFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAAIDDRRYKNHLTLGNGKKLPGLGLSPATHGNKSFNLVSANDVMLDSSLMTYNPLDCQRPELLNRNKVEGNILLCGFSFNFISGTASIKKVSETAKSLGAAGFIVAVENAYPGTKFDPVPVDTPGILIADVSKTKELIDYYNCSTKRDWAGRPISFQATASIADGLAPILHKSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYIGEGFAMVSGTSMAAPHISGIAALIRQKNPQWSPSAIKSALMTTASTLDRRDRPILAQQYSENGVMTLVQATPFDYGSGAVDPKAALDPGLILDSTYGDYVKFLCSVPDLDPGEILNITSSACNETKGHPSDLNTPSITISRLAGTQTVKRTVTNVADSETYIITTRMSPEIALEASPPAMTVLSGASREITVTLTVRSVTGGYSFGEILLKGDRGHKVRIPVVAMGFSS >Ma10_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9717328:9728797:1 gene:Ma10_g02830 transcript:Ma10_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAMQPVSVLFLFLPLLAFGSGDIYIVTVEGEPVVSYNGGVDGFSATAVDLVERMDITSESVTSYALHLEKRHDSLLDSLFEVGTYKKLYSYHHLINGFAIHMSPEQAEVVSKAPGVKYVEKDMKIKKLTTHTPQFLGLPTEVWPTGGGFNRAGEDIVIGFVDSGIYPKHPSFSTHNTEPYGPLPRYRGKCEVDSETKRAFCNGKIIGAQHFAKAAIAAGAFNPLIDFSSPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVSAIEQAVLDGVDVLNLSVGPNSPPTTTKATFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAAIDDRRYKNHLTLGNGKKLPGLGLSPATHGNKSFNLVSANDVMLDSSLMTYNPLDCQRPELLNRNKVEGNILLCGFSFNFISGTASIKKVSETAKSLGAAGFIVAVENAYPGTKFDPVPVDTPGILIADVSKTKELIDYYNCSTKRDWAGRPISFQATASIADGLAPILHKSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYIGEGFAMVSGTSMAAPHISGIAALIRQKNPQWSPSAIKSALMTTASTLDRRDRPILAQQYSENGVMTLVQATPFDYGSGAVDPKAALDPGLILDSTYGDYVKFLCSVPDLDPGEILNITSSACNETKGHPSDLNTPSITISRLAGTQTVKRTVTNVADSETYIITTRMSPEIALEASPPAMTVLSGASREITVTLTVRSVTGGYSFGEILLKGDRGHKVRIPVVAMGFSS >Ma04_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1227025:1230363:-1 gene:Ma04_g01350 transcript:Ma04_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPTEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNHLSFLSPRPLVQSPSPTDYEMGLQGPCTECLRGQPLPPPPSSSSEQMIQKPTYVVKPPEKKHRMPSAYNRFMKEEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTIASISGNSKLPSVPQVESSCPTIESSKVYKQMEQKD >Ma10_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21530273:21540920:1 gene:Ma10_g07180 transcript:Ma10_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYVMYAREEEAIRCIQAVHNYILEGKTLKACFGTTKYCHAWLRNMICSNPDCLYLHDIGSQDDSFTKDEVISAYTRSRVPQIASNSSQRRSGIVLPPPADDSSNSITASARNYIKSPSNIASTQVKGSPPSSSAGKLTVLPAGASWGLRSSNCRPPTASAACSQVPYAKQKVETVGNSCLPPTSTESTNHPSAWNEVVPTSKVPEGQILTITKLPSLLMESTKQYCSWHDDVDMASKVTESRDSPQISDASQPDEPLSPSPRVVTSSDCSSRFSSWDDGLNMTSKVGEENQMAHSDDGFRQLEYLTPVIEKKCQASVSDVSTVDVSGVTHISQLSSNFVHCLPVSAPEDKETSIHVNGDNSKPTNSTSSKGFDRQFGRSDFDRATQGSSTINEATHSLCSSFSSVRLDSHDRLGRLNVNQNLISDSDSLTPVIPLCNVSDPAPWSKASQLLDKHGGNESKDQSTEPLKEKLISAVNSKDRVILPCDDKVFLGNVDRPSSSPYANHSHNSGNCSSSTSSNTDAQNKQTPRADRNLEMCSFPLGEYALSNGCDDQSSSPRESFRCPEMNCNEEKVKSSGRVDDIAYNNKYATVNLKGESSIISDILSLDVDPWDDSSNTINSFASLLGETEKQERSFKLLSSWKSNNSNQSRFSFARQESQGNIVQPIRSEVYAQKFCSSSHDSYESGLRNGILFNTFEAPNTNIMSNPVISPDKAASTSRSKISAPPGFSAPNRVPPGFSSQDRFYETHEAALSENHLVGSPAGNRYQSHIAGNPGDVELVDPAILAVGKGRMSLGIDNLGLGLKSTFPVQSSPSDNDLRLHLLKQQSLSSYQNLSIPDCVGDRFLPLRDAYITSQLSAENHSSLSPIVQMSLQQLRNSQLLNKQWDGFNDLWTGSDMGMSEVMRNERFGLSNFYSSNEDKKLHFPNGDLYNREFRM >Ma10_p07180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21528740:21540920:1 gene:Ma10_g07180 transcript:Ma10_t07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSDDGDRTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHQINDMAEKEETEGRCPACRTPYDKERIVRMAANCKRLVAEINAEKKQKSQKSKLKTSLEAKKHLSGVRVVQRNLVYIIGLPANLCDRSILERKEYFGQYGKIIKVSISRSASAPSHQASSNGTFSVYVMYAREEEAIRCIQAVHNYILEGKTLKACFGTTKYCHAWLRNMICSNPDCLYLHDIGSQDDSFTKDEVISAYTRSRVPQIASNSSQRRSGIVLPPPADDSSNSITASARNYIKSPSNIASTQVKGSPPSSSAGKLTVLPAGASWGLRSSNCRPPTASAACSQVPYAKQKVETVGNSCLPPTSTESTNHPSAWNEVVPTSKVPEGQILTITKLPSLLMESTKQYCSWHDDVDMASKVTESRDSPQISDASQPDEPLSPSPRVVTSSDCSSRFSSWDDGLNMTSKVGEENQMAHSDDGFRQLEYLTPVIEKKCQASVSDVSTVDVSGVTHISQLSSNFVHCLPVSAPEDKETSIHVNGDNSKPTNSTSSKGFDRQFGRSDFDRATQGSSTINEATHSLCSSFSSVRLDSHDRLGRLNVNQNLISDSDSLTPVIPLCNVSDPAPWSKASQLLDKHGGNESKDQSTEPLKEKLISAVNSKDRVILPCDDKVFLGNVDRPSSSPYANHSHNSGNCSSSTSSNTDAQNKQTPRADRNLEMCSFPLGEYALSNGCDDQSSSPRESFRCPEMNCNEEKVKSSGRVDDIAYNNKYATVNLKGESSIISDILSLDVDPWDDSSNTINSFASLLGETEKQERSFKLLSSWKSNNSNQSRFSFARQESQGNIVQPIRSEVYAQKFCSSSHDSYESGLRNGILFNTFEAPNTNIMSNPVISPDKAASTSRSKISAPPGFSAPNRVPPGFSSQDRFYETHEAALSENHLVGSPAGNRYQSHIAGNPGDVELVDPAILAVGKGRMSLGIDNLGLGLKSTFPVQSSPSDNDLRLHLLKQQSLSSYQNLSIPDCVGDRFLPLRDAYITSQLSAENHSSLSPIVQMSLQQLRNSQLLNKQWDGFNDLWTGSDMGMSEVMRNERFGLSNFYSSNEDKKLHFPNGDLYNREFRM >Ma03_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6715719:6717103:1 gene:Ma03_g09100 transcript:Ma03_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRSCRVLPPVTCYALCRLLSSLCLILLDSPRANAYQNYTVGDTLGWYDRLQVPQVNYQKWVSGKNFSLGDFLIFNTDKGHTVVQTYNVTTYKRCNYNDAETDDTIEWSAGAPKFSKEKVSIAVPLLKEGMTYFFSGNNDGRQCRHGQHFKINVTHGQGLPESLEHQAEAPAQAAPEKGGVVPGEAVPSVPSSFSNPVQAGSVEASSAAGDSLARMLRNQQQRGWRFHLGLSLVGVLLVHG >Ma06_p35020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35053859:35062948:-1 gene:Ma06_g35020 transcript:Ma06_t35020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNQQTKISKHTPSAYISDVKGLLSTGVLDGLSVTYKKDEGKIHGIISFAGYRCGCSSCNYNKVLSALEFEKHAGVTSKNQNDHIFLDNGISIYKLVRNLKNRHIKLGSLDKVIEKEFQIQPNKEHFENWKASFQVNINQKETNKDVGETQLNHLETRTADHSVQGLFGVAARSGTNSARDVTMKSNSSRPLEEYSHSLVSSVTPSSKYLWSTIEDNAHIGSNFISNFRSLGSTENSGDLTPNVCMKPSFPVPPSNGITHVASSLNENSIYPQLGMVGIINSVLPMKTSPLGLAKEISHTTPGFTVESIFLRPAEYEFGSDPIMTMETSSFQTVIEASAHLASNVASNVCSSNSGLEDQNYPDPVMDELLSLTQPTDVVSEITLNQSSSGPANENDVDPAPTIFTEPGSSVPAVQESAHLFSGLSSSLCKDSDLSAHDACIKQLQSLCILDDQSRLAKRMLTLPDLPYLSNSNGNQLKLNVTAQGGSKQRDCSLHQLIFKENGLPNGSKLAYCVKGEKIKTGYKFRNGLICDCCNVEMSPSQFEVHAGFHKRRQPYRHIYTSDGITLHELSIALFNSRTLTSSCSEMSCTICGTGGELVSCDGCTKSFHTGAISSDKLRSRLILKAETDQLLCCTLCKDSSFILNVFDAKTIIFCAQCEREYHIGCLKHHGVCDLKEVPMECNWFCCEDCDVIHASLRKLVNNGENFVPYWLLSMLKRNSSLGEFADDREADIHWQILSGKYVRDDLLFHKIIGLFHEAFDPIVEGGQDLLSAMVHAEDVAGKLLGGVYCTIITVKSVIISAGLFRVFGRNVAELPLVVTHEKYRGKGYFRVLFSLVERVLYHLEVDHLIVPASKEVQSLWKDKLGFVEMSEERLQAHLKDYPLVMFETTTMLEKAVPRSF >Ma06_p35020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35053859:35062540:-1 gene:Ma06_g35020 transcript:Ma06_t35020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSSRPLEEYSHSLVSSVTPSSKYLWSTIEDNAHIGSNFISNFRSLGSTENSGDLTPNVCMKPSFPVPPSNGITHVASSLNENSIYPQLGMVGIINSVLPMKTSPLGLAKEISHTTPGFTVESIFLRPAEYEFGSDPIMTMETSSFQTVIEASAHLASNVASNVCSSNSGLEDQNYPDPVMDELLSLTQPTDVVSEITLNQSSSGPANENDVDPAPTIFTEPGSSVPAVQESAHLFSGLSSSLCKDSDLSAHDACIKQLQSLCILDDQSRLAKRMLTLPDLPYLSNSNGNQLKLNVTAQGGSKQRDCSLHQLIFKENGLPNGSKLAYCVKGEKIKTGYKFRNGLICDCCNVEMSPSQFEVHAGFHKRRQPYRHIYTSDGITLHELSIALFNSRTLTSSCSEMSCTICGTGGELVSCDGCTKSFHTVCLELQSVPDSGWYCSCCMDLRVRSGSAIPSSGAISSDKLRSRLILKAETDQLLCCTLCKDSSFILNVFDAKTIIFCAQCEREYHIGCLKHHGVCDLKEVPMECNWFCCEDCDVIHASLRKLVNNGENFVPYWLLSMLKRNSSLGEFADDREADIHWQILSGKYVRDDLLFHKIIGLFHEAFDPIVEGGQDLLSAMVHAEDVAGKLLGGVYCTIITVKSVIISAGLFRVFGRNVAELPLVVTHEKYRGKGYFRVLFSLVERVLYHLEVDHLIVPASKEVQSLWKDKLGFVEMSEERLQAHLKDYPLVMFETTTMLEKAVPRSF >Ma06_p35020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35053859:35062948:-1 gene:Ma06_g35020 transcript:Ma06_t35020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNQQTKISKHTPSAYISDVKGLLSTGVLDGLSVTYKKDEGKIHGIISFAGYRCGCSSCNYNKVLSALEFEKHAGVTSKNQNDHIFLDNGISIYKLVRNLKNRHIKLGSLDKVIEKEFQIQPNKEHFENWKASFQVNINQKETNKDVGETQLNHLETRTADHSVQGLFGVAARSGTNSARDVTMKSNSSRPLEEYSHSLVSSVTPSSKYLWSTIEDNAHIGSNFISNFRSLGSTENSGDLTPNVCMKPSFPVPPSNGITHVASSLNENSIYPQLGFTVESIFLRPAEYEFGSDPIMTMETSSFQTVIEASAHLASNVASNVCSSNSGLEDQNYPDPVMDELLSLTQPTDVVSEITLNQSSSGPANENDVDPAPTIFTEPGSSVPAVQESAHLFSGLSSSLCKDSDLSAHDACIKQLQSLCILDDQSRLAKRMLTLPDLPYLSNSNGNQLKLNVTAQGGSKQRDCSLHQLIFKENGLPNGSKLAYCVKGEKIKTGYKFRNGLICDCCNVEMSPSQFEVHAGFHKRRQPYRHIYTSDGITLHELSIALFNSRTLTSSCSEMSCTICGTGGELVSCDGCTKSFHTVCLELQSVPDSGWYCSCCMDLRVRSGSAIPSSGAISSDKLRSRLILKAETDQLLCCTLCKDSSFILNVFDAKTIIFCAQCEREYHIGCLKHHGVCDLKEVPMECNWFCCEDCDVIHASLRKLVNNGENFVPYWLLSMLKRNSSLGEFADDREADIHWQILSGKYVRDDLLFHKIIGLFHEAFDPIVEGGQDLLSAMVHAEDVAGKLLGGVYCTIITVKSVIISAGLFRVFGRNVAELPLVVTHEKYRGKGYFRVLFSLVERVLYHLEVDHLIVPASKEVQSLWKDKLGFVEMSEERLQAHLKDYPLVMFETTTMLEKAVPRSF >Ma06_p35020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35053859:35062948:-1 gene:Ma06_g35020 transcript:Ma06_t35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNQQTKISKHTPSAYISDVKGLLSTGVLDGLSVTYKKDEGKIHGIISFAGYRCGCSSCNYNKVLSALEFEKHAGVTSKNQNDHIFLDNGISIYKLVRNLKNRHIKLGSLDKVIEKEFQIQPNKEHFENWKASFQVNINQKETNKDVGETQLNHLETRTADHSVQGLFGVAARSGTNSARDVTMKSNSSRPLEEYSHSLVSSVTPSSKYLWSTIEDNAHIGSNFISNFRSLGSTENSGDLTPNVCMKPSFPVPPSNGITHVASSLNENSIYPQLGMVGIINSVLPMKTSPLGLAKEISHTTPGFTVESIFLRPAEYEFGSDPIMTMETSSFQTVIEASAHLASNVASNVCSSNSGLEDQNYPDPVMDELLSLTQPTDVVSEITLNQSSSGPANENDVDPAPTIFTEPGSSVPAVQESAHLFSGLSSSLCKDSDLSAHDACIKQLQSLCILDDQSRLAKRMLTLPDLPYLSNSNGNQLKLNVTAQGGSKQRDCSLHQLIFKENGLPNGSKLAYCVKGEKIKTGYKFRNGLICDCCNVEMSPSQFEVHAGFHKRRQPYRHIYTSDGITLHELSIALFNSRTLTSSCSEMSCTICGTGGELVSCDGCTKSFHTVCLELQSVPDSGWYCSCCMDLRVRSGSAIPSSGAISSDKLRSRLILKAETDQLLCCTLCKDSSFILNVFDAKTIIFCAQCEREYHIGCLKHHGVCDLKEVPMECNWFCCEDCDVIHASLRKLVNNGENFVPYWLLSMLKRNSSLGEFADDREADIHWQILSGKYVRDDLLFHKIIGLFHEAFDPIVEGGQDLLSAMVHAEDVAGKLLGGVYCTIITVKSVIISAGLFRVFGRNVAELPLVVTHEKYRGKGYFRVLFSLVERVLYHLEVDHLIVPASKEVQSLWKDKLGFVEMSEERLQAHLKDYPLVMFETTTMLEKAVPRSF >Ma08_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17481369:17483334:1 gene:Ma08_g16220 transcript:Ma08_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSLFCFHTLNYFSSLFFIQIIGIIDQPVLRERWVGIDGRTTTMNGREVSTRDCNKLSQAYLYTTSPHLFSGDAEKAFCRVRDKVKVPLYGCDCYAYALLASGYVDLVIESGLKPYDFLSLVPVIEGAGGSITDWKGHMLYWKVSPESCPTSFNVVAAGDPKVHRQALEELHWQ >Ma10_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15818650:15827412:1 gene:Ma10_g05080 transcript:Ma10_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNRLRNLDAYPKINEDFYHRTLSGSLITIVSSVVMLFLFLSEIRMYLYSATETKLIVDNSRGEMLRINFDVTFPSLPCSLLSVDTMDISGERHYDIRHDIVKIRMDHLGNVIESKQDGIGAPKIERPLQRHGGRLDHNESYCGSCYGAEVSDDDCCNSCEEVRAAYQKKGWSLTNPDLIDQCKREGFIGKIKEEEGEGCNINGFLEVSRVAGNFHFAPGKSFHGSYSFLQDLLGIQTDNYNISHTINKLSFGKEFPGVVNPLDGVKWIHKTTSGMYQYFIKVVPTIYIDIRGHKIHSNQFSVTEHFRDADVYPKPPPGVYFIYDFSPIKVIFTEENASLLHLFTNACAIIGGVFTVAGIIDAFVYHGHRAIKKKMELGKHT >Ma03_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17842559:17844813:-1 gene:Ma03_g16110 transcript:Ma03_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPSPGYSDMGMDHSFFNQWDLTALDHYSTPVALGRDLDQSPSSESYTSYPSSHPQASTVRPNKLIKTSSWKSCATEQNSAPEASCPRILSFGNPESPICQYGSQAATGKTKEETDGSIPKGSKRNYDTMFGDGTKSGNTGVRSASHNQEHIMAERKRREKLSQRFIELSAVVPGLKKMDKASVLSDAIKYLKQLQENVKALEDQVAKRNVESAVLVKKYQLCADDDSSSCTENFNERQSGESLPEIEARVCEKTILIRIHCENRKGVLVKALCEIEKLHLSVMNTSVMPFAGSSLDITVMTQTEEEFSMTAKDIVKKLNSAFREFM >Ma03_p16110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17842559:17844819:-1 gene:Ma03_g16110 transcript:Ma03_t16110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPSPGYSDMGMDHSFFNQWDLTALDHYSTPVALGRDLDQSPSSESYTSYPSSHPQASTVRPNKLIKTSSWKSCATEQNSAPEASCPRILSFGNPESPICQYGSQAATGKTKEETDGSIPKGSKRNYDTMFGDGTKSGNTGVRSASHNQEHIMAERKRREKLSQRFIELSAVVPGLKKMDKASVLSDAIKYLKQLQENVKALEDQVAKRNVESAVLVKKYQLCADDDSSSCTENFNERQSGESLPEIEARVCEKTILIRIHCENRKGVLVKALCEIEKLHLSVMNTSVMPFAGSSLDITVMTQTEEEFSMTAKDIVKKLNSAFREFM >Ma11_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22625488:22628313:-1 gene:Ma11_g17280 transcript:Ma11_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSSPPLLNDELSKRTAVFGLHMWVVVGICVGAAFVLLLFLISLWLASRHGPPTPSPRPIPTASIPIVSKEIQEIRVDPSFRSSAARPLGEILRKPLPESDPPSSASIERQALLTPALEEESLIEQQKIHIEIGKGHRIMYPERSGGGGGVEGRSVDHALIRAPEVSHLGWGHWYTLRELEVATNMFADENVIGEGGYGIVYLGLLQDNTQVAVKNLLNNRGQAEREFTVEVEAIGRVRHKNLVRLLGYCVEGAHRILVYEYVDNGNLDQWLHGDVGLCSPLTWEIRMNIILGTAKGLMYLHEGLEPKVIHRDIKSSNILLDKYWNPKVSDFGLAKLLGSERNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIISGRNPVDYNRPTGEVNLIEWIKTMVSNRNSEGVLDPKLLEKPSSRALKRALLVALRCIDPDSQKRPKMGHVIHMLEVNDFPYRDERQANQTYRSSPLEKAKLLEIVESGDSSGHECNTNVNRSSRWRKQDD >Ma04_p22810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25046180:25051924:1 gene:Ma04_g22810 transcript:Ma04_t22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAARWRSEKNRSKAVFKLQFHATQVPLLASEAMMVSLVPADVAKPTTRSEKVAAVDGTCNWANPVYETVKLVRNPKTGKMDDKVYRFLLSAAGSSKAEVLGEVAVNLADYAEVFKASSVSLPLKASNTGAILHITIQKVKGDGDGDGREGDQDGETIVKRQRRTLQSQLSKFDNEESIKAPNGVNGINIVDGSHINSQAQVKFSSSREILVHTADSNGNLQKCHSFDAISASGSDTSSGIYTPRENSIKHNNTRNHPTSFLSSLTNGDTPKKPMSSSGDWSGNSAPDGSADASTASLGDAGLNETSHDSEDSIEKLRNDIVILTRKLELSDLELQTLRKQIIKENKRGQEISRELNSLKDERDALKEECDELKFSEKKTKVDRTLSTLSQHDAEDHLSLLEEIKQELDHEKNLNVHLRLQLKMTQEANAELLLAVKDLDGLLEQRNRETLCMKCNKMDIETETDKELEELKLGDGIPHLQKPESKQQLLETISQNDTEEQYALDALLNERDDMKMTYPLENKIIDLNNEVEFYRKDREDLEMQMEQLALDYEILKQENHDITTKLEQMQLREQLRMQYECSAHLSIISDLESHAECLEKELQKQTEAFEEDIATITNAKVEQEKRAIIAEEELRKTKWNNSITVERLQEEFRSLSAHMSSTFQTNENIVKQTLKEAADLHSQKSNLEELLKKAHEDLALVQDQYRMKFKQLVGLIDFKSKEADKLLLELQDKKRELQKYKMSEEARQRNSLEEMQSLKTEMEKLKSEKSLLFEQNEEKEKEMELLRTSIKGSEMSLQDKNLEIDLLKNEIAVLREEVNKSLEEMNKLRQIKDEKDTMIAMLESEATTLTMQHGDLKHALDEIELGKQNLRKSVSHLRGVLLEEQTTTSREEKVDDYYTSTTTNDEKHFRQSWKYASKDEANCSIDFLQQSKEDKEHLYDADGTDKEMLISRRAGTGLDEANQYEQKRTADVLSEMAVLKRQNESMESELKEMQGRYSEMSLKFAEVEGERQQLMITIRTLKNSFKN >Ma04_p22810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25046162:25051924:1 gene:Ma04_g22810 transcript:Ma04_t22810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAARWRSEKNRSKAVFKLQFHATQVPLLASEAMMVSLVPADVAKPTTRSEKVAAVDGTCNWANPVYETVKLVRNPKTGKMDDKVYRFLLSAAGSSKAEVLGEVAVNLADYAEVFKASSVSLPLKASNTGAILHITIQKVKGDGDGDGREGDQDGETIVKRQRRTLQSQLSKFDNEESIKAPNGVNGINIVDGSHINSQAQVKFSSSREILVHTADSNGNLQKCHSFDAISASGSDTSSGIYTPRENSIKHNNTRNHPTSFLSSLTNGDTPKKPMSSSGDWSGNSAPDGSADASTASLGDAGLNETSHDSEDSIEKLRNDIVILTRKLELSDLELQTLRKQIIKENKRGQEISRELNSLKDERDALKEECDELKFSEKKTKVDRTLSTLSQHDAEDHLSLLEEIKQELDHEKNLNVHLRLQLKMTQEANAELLLAVKDLDGLLEQRNRETLCMKCNKMDIETETDKELEELKLGDGIPHLQKPESKQQLLETISQNDTEEQYALDALLNERDDMKMTYPLENKIIDLNNEVEFYRKDREDLEMQMEQLALDYEILKQENHDITTKLEQMQLREQLRMQYECSAHLSIISDLESHAECLEKELQKQTEAFEEDIATITNAKVEQEKRAIIAEEELRKTKWNNSITVERLQEEFRSLSAHMSSTFQTNENIVKQTLKEAADLHSQKSNLEELLKKAHEDLALVQDQYRMKFKQLVGLIDFKSKEADKLLLELQDKKRELQKYKMSEEARQRNSLEEMQSLKTEMEKLKSEKSLLFEQNEEKEKEMELLRTSIKGSEMSLQDKNLEIDLLKNEIAVLREEVNKSLEEMNKLRQIKDEKDTMIAMLESEATTLTMQHGDLKHALDEIELGKQNLRKSVSHLRGVLLEEQTTTSREEKVDDYYTSTTTNDEKHFRQSWKYASKDEANCSIDFLQQSKEDKEHLYDADGTDKEMLISRRAGTGLDEANQYEQKRTADVLSEMAVLKRQNESMESELKEMQGRYSEMSLKFAEVEGERQQLMITIRTLKNSFKN >Ma00_p01130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4278033:4382245:-1 gene:Ma00_g01130 transcript:Ma00_t01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLGQHRQGLTATMAATARMEGSIALFLSHYGRRRWNNSQITLHSTAYKHNLSPSFNRQAWLPLQLLRWSLRFRPSSPSSFVLLLEVCAFAVSSSSNRCLLESSQDSGGNTVYQCRASEIVVERLRDWIETEQCVSVCGVDRNAVGISSDALLEPHFTQQLCSAACHQNCPNIIDLHLDLAAAEGIFLPDLCEAQRVNPHRAMVEILSSGAAPGPVAGGAALPVASPPLPV >Ma07_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3437375:3442359:1 gene:Ma07_g04680 transcript:Ma07_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVCLTTKPRFTKEIFGNHLTYSAGLPSLSVLSRPISVSASLDQKQHEGRRGFLKLLLGNIGLSLPTIVGARKAYADDQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRIQRVRVQLPGLSQELLQKLREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGLGGPGGPGFPLAFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDVRGRTEILKVHGSNKKFEADVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKPAISSKDIDDSIDRIVAGMEGTIMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISKQQLFARIVGGLGGRAAEEVIFGESEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDSGAQSGDVIMRMMARNSMSEKLAEDIDAAVKRISDSAYEIALSHIRNNREAIDKIVEVLLEKETMTGDEFRAILSEFVEIPVENRVPAATPAAVSA >Ma05_p20620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32312338:32318674:-1 gene:Ma05_g20620 transcript:Ma05_t20620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCCHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLTNYVPLHAREAFDSDELKVPKIKNISFKPKEHKLQKKKQSNNILESECEIQYCDQNFHKIAEGDTSNRSSSGSAISGSDSCVQFGTTDASDVTVCFSGSVQSNVWDSLVPSKRRTFVTRPKPSPVEKLTKDLCSILHEEQASNLSITSEDDLLYESLTPFDSSEIGYGGVLIKHPNSKSVEEESEASSLPVDKSYLRNESYTGSSFPVNIEGKGTSFLNSGTGTMKSTTQLAQENVKRGKISHEKLKILRDRESPLTSADLNIIVKYDVFMKYLTYEERQQLMKYLPSIDTVKPPESLKNMFASPQFLETLSYFQQLLQEGVFDLSLSGANAEECRNLKRLVLLNCSNLQWLECYQKIKDAPSKKTRGGNGTSPRRKLTGLSNFSSLKRHHDKQNRDYPELSTVRSSKKLCKSGRMSPPRSSTQLESSIVSKVTDDTVDIADHEGGCSSPRRVLASPDDRSSMLASVQFIADSPECDLLLDVPSGASFAEAELLYHPWKQKTNRNGSWTESGVEASDHPSSSFTNK >Ma05_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32312338:32318671:-1 gene:Ma05_g20620 transcript:Ma05_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCCHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLTNYVPLHAREAFDSDELKVPKIKNISFKPKEHKLQKKKQSNNILESECEIQYCDQNFHKIAEGDTSNRSSSGSAISGSDSCVQFGTTDASDVTGSVQSNVWDSLVPSKRRTFVTRPKPSPVEKLTKDLCSILHEEQASNLSITSEDDLLYESLTPFDSSEIGYGGVLIKHPNSKSVEEESEASSLPVDKSYLRNESYTGSSFPVNIEGKGTSFLNSGTGTMKSTTQLAQENVKRGKISHEKLKILRDRESPLTSADLNIIVKYDVFMKYLTYEERQQLMKYLPSIDTVKPPESLKNMFASPQFLETLSYFQQLLQEGVFDLSLSGANAEECRNLKRLVLLNCSNLQWLECYQKIKDAPSKKTRGGNGTSPRRKLTGLSNFSSLKRHHDKQNRDYPELSTVRSSKKLCKSGRMSPPRSSTQLESSIVSKVTDDTVDIADHEGGCSSPRRVLASPDDRSSMLASVQFIADSPECDLLLDVPSGASFAEAELLYHPWKQKTNRNGSWTESGVEASDHPSSSFTNK >Ma09_p22090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34089952:34097937:-1 gene:Ma09_g22090 transcript:Ma09_t22090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSARSVVFYAVLAIGFLVSGVLTPVVLDPSASPYPGLSFPVASTGEISAAEKWSGRLLSTDLVPVEKSPPGVLRAGSRSLMSLDENKHGRFFLALPNGTIYFMNKSTKPQWKLLIGQPLSYSWRTPSINDPDYIVFSDSEGELYEYSKVAGIKKHDWTVEEYVQKAPVVKGSVITTGTKTSTFYVVDADSGELIYHDKEPFSWATVGMPTAEEQYISSKLESGNATCITIIRTDYFLDSYDLNNHLWSVMISRISAHNVGPGLPPARNEEMGIPSMSGRNIPVYFTSEAGQPPKLKAMLPPSCYESHNGMRSGQGDRQSYECQSANNCSLEICISSNRDTDQMFERLDDGLISLYVSQVLRTVPSGCQPGNCISARPKFHTTHSNFVNSETRPRGNMKLPNHGQEISNASHNSLDGQINHSVDEHSDAQQTNVLSLQSIYEGYGWLLIITLPIFFVLCYLWLRKLFKYDKECNDLKERQSVLAKKRKSRKAPNMKNVAISGNHDSHLLSMRENTKTNGHNQNGSSFMKLNDDSDGRWIGRLFVSNSEIGHGSNGTVVFEGFYDARPVAVKRLLRAHHDVACKEIQNLIASDRHPNIVRWYGVEQDLDFVYISLERCICSLSDLIHICSDSSYSVSVENPISDSSIEHKFQLGMVKNIGKDVNLWRPNGLPSIQLLKLMRDIVSGVAHLHELGIIHRDLKPQNVLISNDRYLYAKLSDMGISKRLLEDMSSLSCNATGYGSSGWQAPEQILHERQTRAVDLFSLGCILFFCITKGKHPFGKFFERDANIINNCMDLFLVDHIPEAEHLLCQLLQPDPKMRPNAVEVLHQPLFWSSETRLSFLRDVSDRVELEDRGSDILKALENIAPLAFGGKWDEKLDAAFITDMGRYRKYRFNCVRDLLRVIRNKLNHYRELPKELQETLGPVPEGFDMYFRSLFPKLLIEVYKVVCRYCKEEDSLGKYFRSSLL >Ma09_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34089952:34097937:-1 gene:Ma09_g22090 transcript:Ma09_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSARSVVFYAVLAIGFLVSGVLTPVVLDPSASPYPGLSFPVASTGEISAAEKWSGRLLSTDLVPVEKSPPGVLRAGSRSLMSLDENKHGRFFLALPNGTIYFMNKSTKPQWKLLIGELYEYSKVAGIKKHDWTVEEYVQKAPVVKGSVITTGTKTSTFYVVDADSGELIYHDKEPFSWATVGMPTAEEQYISSKLESGNATCITIIRTDYFLDSYDLNNHLWSVMISRISAHNVGPGLPPARNEEMGIPSMSGRNIPVYFTSEAGQPPKLKAMLPPSCYESHNGMRSGQGDRQSYECQSANNCSLEICISSNRDTDQMFERLDDGLISLYVSQVLRTVPSGCQPGNCISARPKFHTTHSNFVNSETRPRGNMKLPNHGQEISNASHNSLDGQINHSVDEHSDAQQTNVLSLQSIYEGYGWLLIITLPIFFVLCYLWLRKLFKYDKECNDLKERQSVLAKKRKSRKAPNMKNVAISGNHDSHLLSMRENTKTNGHNQNGSSFMKLNDDSDGRWIGRLFVSNSEIGHGSNGTVVFEGFYDARPVAVKRLLRAHHDVACKEIQNLIASDRHPNIVRWYGVEQDLDFVYISLERCICSLSDLIHICSDSSYSVSVENPISDSSIEHKFQLGMVKNIGKDVNLWRPNGLPSIQLLKLMRDIVSGVAHLHELGIIHRDLKPQNVLISNDRYLYAKLSDMGISKRLLEDMSSLSCNATGYGSSGWQAPEQILHERQTRAVDLFSLGCILFFCITKGKHPFGKFFERDANIINNCMDLFLVDHIPEAEHLLCQLLQPDPKMRPNAVEVLHQPLFWSSETRLSFLRDVSDRVELEDRGSDILKALENIAPLAFGGKWDEKLDAAFITDMGRYRKYRFNCVRDLLRVIRNKLNHYRELPKELQETLGPVPEGFDMYFRSLFPKLLIEVYKVVCRYCKEEDSLGKYFRSSLL >Ma06_p32100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33083832:33084978:-1 gene:Ma06_g32100 transcript:Ma06_t32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSSSGCSALLGFVLAAALFQCSHGGSTLAGLVEQQPLAMTYHKGPLLTGPLSVNLIFYGKFTASQKAIVSDFLASLSLVPHKDSVEPSVATWWNTLAKYYATSRTPLPKLKLGKQIVDAGYSLGKSLRDADIAKLAARGAPRNAINVVLTAEDVAVERFCMSRCGSHAASPRSKDGGRFAYVWVGNSAAQCPGQCAWPFHQPIYGPQAPPLVAPNGDVGVDGMVINVASMLVGAATNPFGDGFFQGPKEAPLEAATACPGVYAKGAYPGYPGDLPVDSVTGASYNAHGAHSRKYLVPALFDPSTSTCSTLV >Ma01_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26035748:26108020:1 gene:Ma01_g22860 transcript:Ma01_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPVPFETLFPGESYPSLHQNPNPLPLPLPLRVSYTSHSPEAPPWEALARVWLSSLPMSHQPSVAEIDAWIESNRASLPDDLQSVPRLHLHHRILSLHDPSRSLNQVDLSNEAEFPYRFQRTDLWKPVYRWLESLDKDVLVNAKEISEWLAANPEVTERLFSKHSRYHMMHYIQRMHLKLLKKRGKLPKGLQLSAARASVKSVNGGVMTREITLQRKLPNSTRENGTVLHKNKEAFLRYELLTDLQNQLTSVLLKQKHAMNSKNSYPSCLVEQMPGNATTSQSSDKGSKDACCQIAPGDASLNQGVVNIHVTELSKTTILSEIKSGQKRKQSPIIVTPAWSYSEASSEASEIHQPVSSQGEKASKINIWKGDAHSSSLASSYRKSIVMCLQGREKGTSWPSTCCLGGYAGRNQERWISFLQGWRSLGKQFEGPAVWLSRRNCSSWIPTWCAYTSSVAVAQPNDRQGIQKVLDVRFHPEGLPQLVCSSNQAPNELLLYNLLSGKAVQLSGHNCQIQAVEFAARGASIVSCGSNLLKVWDCTTGSCLFNLGLIGGDRASVGHKEKIIAMTVNPWQSCLVVTSGAKGDDKLLLWDALRGELDADLNSNLRTRDQVHPLVVAMEFCSENILVCGSDCEYSGSAIVQLWDIESAESCLSFTANDSYITSLKVNPAGNTIITGAGDGTIGLFDVRTCGAINHLSVGSGFEVTSVSFSNCGTYFTASSTSNNTLVWDTRILPLNHGQIAHDSNDMRFLRPLHCLNHGKQMPTAEYAGQLPGHVDKGNQGVNDSRWLHREPILVTVSGDGSIAMWNVSLGQPCIRHIFGHTRCINTIAVAPNDEYLCTGGDDQKIVLYHNKNGSTGSNWRLSHPLEDI >Ma05_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1927402:1928512:1 gene:Ma05_g02850 transcript:Ma05_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKRFVLLLAAVMAVRVVSGQITTACTAALITSFTPCLNYITGSTNGGGSPTEDCCKALGAVVSSSKDCACLILTGNVPFSLPINRTLSISLPRMCDSMSVPLECTGTSATLPTPGSPVANGPSLPPLPPFLPAPPPPPPQVAPPPAASPVSTGTPADQGLNEGQRPLLLPSSAIKLTHRAFSIAVVTLPLLGTMLL >Ma09_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8506453:8507414:-1 gene:Ma09_g12650 transcript:Ma09_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRSSTAGLRASAASSPATSVPVSSSLLRLPSARHLRLPSLKLSRSRTHRGAAGAAMMDTAASSYANALAEVAKSNGTLEATVADMEKVDRLFADPAVQSFFANPTVAPEKKRDILVEISGSSELQPHTVNFLNILVDMSRIDIIAEIVKEFDACYNHITGTELAVVTSVVDMGEDDVAQIAQTVKRLTGAKKVRIKAVLDPSLIAGFTIRYGSSGSKFIDMSVKKQLDEIASQLDFSSITLA >Ma11_p06690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5379991:5389785:1 gene:Ma11_g06690 transcript:Ma11_t06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein k [Source:Projected from Arabidopsis thaliana (AT4G36720) UniProtKB/Swiss-Prot;Acc:Q6NLY8] MALLASTIPTEVGLRLLLCPLTSNIVTRTACCTIGIGLPVYSTFKAIENKNRNEQEKWLLYWAVYGSFSLVEVLSDKFLYWCPFYYHIKFAFLVWLQLPSGYGSKYLYAKHLRPFLLKHQAKVDQLLNFLSHEIEKFVSNHQGEIQLVKAVVLRCAMTANQMVKDITNPGQPHGQSTIGGPNTQMSVQSQDSGSDTDSDTAN >Ma09_p31290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41064481:41064981:1 gene:Ma09_g31290 transcript:Ma09_t31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYAQQQAPPPPPPPQAYPPPPSSYPPPEQAPTYVAPPPAGYPTRDGGLSSAPVPIETKSRGDGFWKGW >Ma08_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30408:31080:1 gene:Ma08_g00040 transcript:Ma08_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVLMRMYCVYYVCVLCVYVCVLCMFCIYVVCVMSCVYMCVLCILCVCVVTSCVCMCLYYVCCVCV >Ma09_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5959547:5959852:-1 gene:Ma09_g08960 transcript:Ma09_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELGRVHCSCVAVLLLLVSAAAAGRDVEEAHLRQLNGTIGQMHVAEEWSLGSEIGRRILQSLQTSISYGALQKDRVPLQAPQPGNPYTRGCQAQYYCKS >Ma11_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26853816:26854874:-1 gene:Ma11_g23560 transcript:Ma11_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLRRHGLLSFVLLVVAADCAISASSIRVDVIRRPSSTTSSIPAFREAPAFRNGDECASPSAAGRVEIAMTLDANYLRGTMAAVLSILQHTSCPESVTFHFLAARLEAEVLASIRSAFPYLDFRVYRFDSARVRGRISRSIRHALDQPLNYARIYLADILPPEVRRVIYLDSDIIVVDDIRHLWEVELGDHVVAAPEYCHANFTKYFTDAFWSDATLSSTFDGRQPCYFNTGVMVMDVEKWRSGGYTKMVEDWMAVQKQKRIYHLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNIEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCAVDYLWAPYDLYRSSLPTLEE >Ma10_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20085546:20090680:-1 gene:Ma10_g06650 transcript:Ma10_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAKPTDTEMAEAGGQPPPPQAALPQQGLESVQATPSHGGRFVQYNIFGNIFEVTSKYQPPIMPIGKGAYGIVCSALNSETQEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPSPIRQAFNDVYIAYELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHPRQSFPEKFPHVHPAAIDLVEKMLTFDPRQRITVEEALAHPYLASLHDISDEPVCMMPFSFDFEQHALSEEQMKELIYREALAFNPEYQQ >Ma06_p17830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12101499:12104201:-1 gene:Ma06_g17830 transcript:Ma06_t17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLFCSRGSQELVCRGSMSSPLLARKGRQQQRYDNQLRLVAGEKDKDDFVPPTARWSSIVFLRCIPYKLNNIDGDNQSCDLLDRVEVLMVTSPGRLDFVFPKGGWENDETAGEAACREALEEAGVRGTLNDTALGVWEFRSKSTQKACSLEGTCKGYMFALEVTEELDCYPEKDSRDRKWVPVGEAYKLCRYDWMRKALDSFKDLLRGRRIPTVPELSEPPSLCIVKPSAADHAIALC >Ma06_p17830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12101499:12104186:-1 gene:Ma06_g17830 transcript:Ma06_t17830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLARKGRQQQRCIPYKLNNIDGDNQSCDLLDRVEVLMVTSPGRLDFVFPKGGWENDETAGEAACREALEEAGVRGTLNDTALGVWEFRSKSTQKACSLEGTCKGYMFALEVTEELDCYPEKDSRDRKWVPVGEAYKLCRYDWMRKALDSFKDLLRGRRIPTVPELSEPPSLCIVKPSAADHAIALC >Ma06_p17830.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12101499:12103414:-1 gene:Ma06_g17830 transcript:Ma06_t17830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLEAVVATWLLQIYHVMRLCDVLMWILLHLNQVSRCIPYKLNNIDGDNQSCDLLDRVEVLMVTSPGRLDFVFPKGGWENDETAGEAACREALEEAGVRGTLNDTALGVWEFRSKSTQKACSLEGTCKGYMFALEVTEELDCYPEKDSRDRKWVPVGEAYKLCRYDWMRKALDSFKDLLRGRRIPTVPELSEPPSLCIVKPSAADHAIALC >Ma06_p17830.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12101499:12104194:-1 gene:Ma06_g17830 transcript:Ma06_t17830.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLARKGRQQQRYDNQLRLVAGEKDKDDFVPPTARWSSIVFLRCIPYKLNNIDGDNQSCDLLDRVEVLMVTSPGRLDFVFPKGGWENDETAGEAACREALEEAGVRGTLNDTALGVWEFRSKSTQKACSLEGTCKGYMFALEVTEELDCYPEKDSRDRKWVPVGEAYKLCRYDWMRKALDSFKDLLRGRRIPTVPELSEPPSLCIVKPSAADHAIALC >Ma06_p17830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12101499:12103494:-1 gene:Ma06_g17830 transcript:Ma06_t17830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLEAVVATWLLQIYHVMRLCDVLMWILLHLNQVSRCIPYKLNNIDGDNQSCDLLDRVEVLMVTSPGRLDFVFPKGGWENDETAGEAACREALEEAGVRGTLNDTALGVWEFRSKSTQKACSLEGTCKGYMFALEVTEELDCYPEKDSRDRKWVPVGEAYKLCRYDWMRKALDSFKDLLRGRRIPTVPELSEPPSLCIVKPSAADHAIALC >Ma06_p17830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12101499:12104189:-1 gene:Ma06_g17830 transcript:Ma06_t17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLFCSRGSQELVCRGSMSSPLLARKGRQQQRYDNQLRLVAGCIPYKLNNIDGDNQSCDLLDRVEVLMVTSPGRLDFVFPKGGWENDETAGEAACREALEEAGVRGTLNDTALGVWEFRSKSTQKACSLEGTCKGYMFALEVTEELDCYPEKDSRDRKWVPVGEAYKLCRYDWMRKALDSFKDLLRGRRIPTVPELSEPPSLCIVKPSAADHAIALC >Ma06_p17830.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12101499:12104193:-1 gene:Ma06_g17830 transcript:Ma06_t17830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLFCSRGSQELVCRGSMSSPLLARKGRQQQRCIPYKLNNIDGDNQSCDLLDRVEVLMVTSPGRLDFVFPKGGWENDETAGEAACREALEEAGVRGTLNDTALGVWEFRSKSTQKACSLEGTCKGYMFALEVTEELDCYPEKDSRDRKWVPVGEAYKLCRYDWMRKALDSFKDLLRGRRIPTVPELSEPPSLCIVKPSAADHAIALC >Ma06_p17830.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12101499:12104280:-1 gene:Ma06_g17830 transcript:Ma06_t17830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLARKGRQQQRYDNQLRLVAGCIPYKLNNIDGDNQSCDLLDRVEVLMVTSPGRLDFVFPKGGWENDETAGEAACREALEEAGVRGTLNDTALGVWEFRSKSTQKACSLEGTCKGYMFALEVTEELDCYPEKDSRDRKWVPVGEAYKLCRYDWMRKALDSFKDLLRGRRIPTVPELSEPPSLCIVKPSAADHAIALC >Ma10_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23723536:23730596:1 gene:Ma10_g09640 transcript:Ma10_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVENKRYVLKKIRLARQTDRTRRSAHQEMELISKVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEVIKKANGHLFPEEKLCKWLVQLLMALDYLHKNHILHRDVKCSNIFLTRDQSIRLGDFGLAKILNSDDLACSVVGTPCYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPSSYSGAFRGLIRSMLRKSPEHRPSAAELLKHPHLQPYVLQVNLKSSPTRNMLPIHQVTSNHIRKIRFQDDEDNFMYRNREKRNSLGNERILNLNKTVEQDSLSSTQTIKDFPIYLNQRIKHLSLGSSQVGESVIDKGIHEKRSSTLKTPRYAPKTFTTPMMQVESSKALHPGPKSEPLASRTPADRTGQTTRRASLPLLTSETRPKCNLNILHRVESPDVSVNSPRIDRIAEFPLASSEDPLFSFHKLSSAHGSFSATPNSGDRSITKDKCTIQIFRTEGDNGSDSSDRNPTAADASSRGSSESRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYEELGVLLKPFGPEKVSPRETAIWLTKSFKETIP >Ma08_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37425912:37426861:1 gene:Ma08_g24120 transcript:Ma08_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQATYWWTGSLAFRPSEIAAAIALSVLKETQTIELEKALSCCSHVVKDGVLRCYEMIQDLMAARKEPLEIASPSVSSLPQSPVGVLDAACLSYKIDGTVSGSHATCDYDSPASKRRKINRSSIS >Ma02_p25110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29471708:29471833:-1 gene:Ma02_g25110 transcript:Ma02_t25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACMSTHAWHISCIHLDDEWGQIFKCKNEIYLFIGTQIVA >Ma03_p02440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1668386:1670855:-1 gene:Ma03_g02440 transcript:Ma03_t02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLCDELVQEILRRLPPSSAPSVSLVSKRWLCLLRSSTTSLSLRIPPHQTTPIISTSDNSPSLPSILSHYPFLTDLTVVDEDNPADDLLLLIAAAACSSRLAHLRFLPASPLSPTALLSSSAALAGLTTLQIASLLPLSFRWLSFLPSLKSFSLVRSRPKRPPSFRQEAQQPPPAADDEDDDGDRSALMLLPLESLSLSGICASDRGLSWLWRRCAGLRWLQLRACEGTGDGPSSPVFPLCLPGLLVLELRTCRAIADRVLLHAADHCRALTSLLLYDGGSRDALHHFIRRRGAALRTLDLRLPLDLHNDHLFAIAADDRGDIGKPGGHRLAALRLHSCCLVTGDGLRSLARSPAGAAIEELALVNCDVVEKEPGLLTCLSQSMQRLRRVDLSHNEGLADKEVGSMLASCRNLVEMRVRGCRALTGAALSSLLKHCGKQVEVVDITWCPGIVSDAVEMLVMNASCRLRQLMVEESKVNEAAAVWLSQKGIKIG >Ma03_p02440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1668385:1670855:-1 gene:Ma03_g02440 transcript:Ma03_t02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVLCDELVQEILRRLPPSSAPSVSLVSKRWLCLLRSSTTSLSLRIPPHQTTPIISTSDNSPSLPSILSHYPFLTDLTVVDEDNPADDLLLLIAAAACSSRLAHLRFLPASPLSPTALLSSSAALAGLTTLQIASLLPLSFRWLSFLPSLKSFSLVRSRPKRPPSFRQEAQQPPPAADDEDDDGDRSALMLLPLESLSLSGICASDRGLSWLWRRCAGLRWLQLRACEGTGDGPSSPVFPLCLPGLLVLELRTCRAIADRVLLHAADHCRALTSLLLYDGGSRDALHHFIRRRGAALRTLDLRLPLDLHNDHLFAIAADDRGDIGKPGGHRLAALRLHSCCLVTGDGLRSLARSPAGAAIEELALVNCDVVEKEPGLLTCLSQSMQRLRRVDLSHNEGLADKEVGSMLASCRNLVEMRVRGCRALTGAALSSLLKHCGKQVEVVDITWCPGIVSDAVEMLVMNASCRLRQLMVEESKVNEAAAVWLSQKGIKIG >Ma07_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10091242:10091515:1 gene:Ma07_g13380 transcript:Ma07_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQLMLKWVGGKKITSMLLSFLHSADASNPKLIHWLSKGEIRCKHLVH >Ma02_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23363388:23363573:1 gene:Ma02_g15800 transcript:Ma02_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSNLTAMWASPKTCDLDHLSSRSFLAPPDSSRPRPRRPRSPACRLPPLRTAVSATTSTP >Ma07_p10540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7852830:7860811:1 gene:Ma07_g10540 transcript:Ma07_t10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVAKRGGMLGSDDLVRDSGAVRRSMAGFMWRSSLMGFRITFLGTAQEFKWVNGLLEESPLPEIPVLVLPPKPPSGDVRDFEGFSGGFGSGFGPTLASSSSLELDSDGRELVKVSRLGKMKGGVGVSDAKGVMALKSHCEAERRRRERINRHLATLRSMVPSTEKLDKAALLAEVINHMKELKTNAVEISKCYSIPSDIDEVRVEVEDVMKDGNFLVKASLCCEDRPELFADLRLTLQSLQLKTVRAEISTLGGRIKNVLVMTSDRNYNNAERQLCMTSIRQALKSILDSVNSQADFLPRPSFSNKRRRISLFESSSSLS >Ma02_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16652866:16654273:-1 gene:Ma02_g05320 transcript:Ma02_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAATFLLPVHLKQHSLCVDLKRSPLLFFSKPKRRRQSTAPVARLFGPSIFEASKLKVQFLGVDEENHPGKLPRTYTLTHSDITAKITLAISHTINLAQLQGWYNRLQRDEVVAEWKKVQGKMSLHVHCHISGGHFLLDLIANLRYYIFNKELPVVLKAFVHGDETLFNNYPELEEAMVWVYFHSNLPEFNQVECWGPLRDAASTGPRKAGEAPSSSWLCRPLRCKADCDCCFPARSLIPWQHDFQEVCRESAGQPQQ >Ma02_p10780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20234896:20267741:1 gene:Ma02_g10780 transcript:Ma02_t10780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHRFLFYGFIFLRSISLSFGESLTCLAVYREGGAPAVFQSPKCPRWTLLADDDRRRPPPNCQAALHQGRRRSQEDRIVCALGMRIPFIGRTGIKELDVGLVAVFDGHNGAEASDMASKLLVEYFLLHLYFLLDGIYSVVLKKSNDKLTYGENSMVFEIVSLEKTENWHFPDPERSNWIPARIFDRTFHMEILKESLLRTIQDIDATFSKETLQKNLESGSTATVVLIVDGDVLAANVGDSKALLCTEGLRHHNRKGNLSRINRQRRSKNAIFPVGQNGQLELATNGGPNYFVKELTVDHHADREDERSRIEAAGGYVVEWAGVVRVNGELAVSRAIGDMAFKNYGVVSTPEMTDWQQITRNDSYLIAASDGVFEKLTMQEVCDLLWYEKLKANVKAEYIHSVTYTLADLLVNTAFERGTMDNMAIVVIPLKSSGTFVENVFDADETSDLSLLELQKKLANDAINTRLVPMEYYNNIASKFDRFLVETEQRRLGCFYLSENLNEDMDYVFQGPKESQKGGEHGLYQSLLDSDMSYHSGGPLERYKDQKLCWHFGIHDGDRGQCTSPDVFAKFLGLLDSIPYSDIRSDSSESFAYKIPNFRYVLKRRFDRGSYGEVWLAFHWNCSQDSDIYNSSHKNLYHFASSLHMDTSKCNMSASSKTSNRHCSTDQRDSNLFILKRIMVERGTNAYLSGLREKYFGELFSNASTSLGGSITETPTTFSVDMQSDFSDLLQKNMSDNDEVDDIFDSTNTYARNYGAMPISYEEGLKHIARYVESFESESKELWLVFSNEGMSLSKLIYTAEESKSFTNNERDEKVRNVRVLRPSSWWHWLRTTEAGQNEMKDLIWQLLLALKACHDRNVTHRDIKPENMIVCLEDVDTGRCLSEIPNGVRQNHLKMRIIDFGSAIDDFTMKHLYGSGPTRSEQTFEYTPPEALLNASWFQGPKSVTLKYDMWSVGVVMLELILGSPHVFEINDRTRALLDQHLEGWSEHTKELAYKLRSYMELCILIPGISPQHYPTGVKKGHVGVSPASWKCSEESFSLQVKSRDPLKLGFQDVWALRLVRQLLVWHPEDRLSVDEALRHPYFQPHY >Ma02_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20234896:20267741:1 gene:Ma02_g10780 transcript:Ma02_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHRFLFYGFIFLRSISLSFGESLTCLAVYREGGAPAVFQSPKCPRWTLLADDDRRRPPPNCQAALHQGRRRSQEDRIVCALGMRIPFIGRTGIKELDVGLVAVFDGHNGAEASDMASKLLVEYFLLHLYFLLDGIYSVVLKKSNDKLTYGENSMVFEIVSLEKTENWHFPDPERSNWIPARIFDRTFHMEILKESLLRTIQDIDATFSKETLQKNLESGSTATVVLIVDGDVLAANVGDSKALLCTEGLRHHNRKGNLSRINRQRRSKNAIFPVGQNGQLELATNGGPNYFVKELTVDHHADREDERSRIEAAGGYVVEWAGVVRVNGELAVSRAIGDMAFKNYGVVSTPEMTDWQQITRNDSYLIAASDGVFEKLTMQEVCDLLWYEKLKANVKAEYIHSVTYTLADLLVNTAFERGTMDNMAIVVIPLKSSGTFVENVFDADETSDLSLLELQKKLANDAINTRLVPMEYYNNIASKFDRFLVETEQRRLGCFYLSENLNEDMDYVFQGPKESQKGGEHGLYQSLLDSDMSYHSGGPLERYKDQKLCWHFGIHDGDRGQCTSPDVFAKFLGLLDSIPYSDIRSDSSESFAYKIPNFRYVLKRRFDRGSYGEVWLAFHWNCSQDSDIYNSSHKNLYHFASSLHMDTSKCNMSASSKTSNRHCSTDQRDSNLFILKRIMVERGTNAYLSGLREKYFGELFSNASTSLGGSITETPTTFSVDMQSDFSDLLQKNMSDNDEVDDIFDSTNTYARNYGAMPISYEEGLKHIARYVESFESESKELWLVFSNEGMSLSKLIYTAEESKSFTNNERDEKVRNVRVLRPSSWWHWLRTTEAGQNEMKDLIWQLLLALKACHDRNVTHRDIKPENMIVCLEDVDTGRCLSEIPNGVRQNHLKMRIIDFGSAIDDFTMKHLYGSGPTRSEQTFEYTPPEALLNASWFQGPKSVTLKYDMWSVGVVMLELILGSPHVFEINDRTRALLDQHLEGWSEHTKELAYKLRSYMELCILIPGISPQHYPTGVKKGHEDRLSVDEALRHPYFQPHY >Ma02_p10780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20235123:20267741:1 gene:Ma02_g10780 transcript:Ma02_t10780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLVEYFLLHLYFLLDGIYSVVLKKSNDKLTYGENSMVFEIVSLEKTENWHFPDPERSNWIPARIFDRTFHMEILKESLLRTIQDIDATFSKETLQKNLESGSTATVVLIVDGDVLAANVGDSKALLCTEGLRHHNRKGNLSRINRQRRSKNAIFPVGQNGQLELATNGGPNYFVKELTVDHHADREDERSRIEAAGGYVVEWAGVVRVNGELAVSRAIGDMAFKNYGVVSTPEMTDWQQITRNDSYLIAASDGVFEKLTMQEVCDLLWYEKLKANVKAEYIHSVTYTLADLLVNTAFERGTMDNMAIVVIPLKSSGTFVENVFDADETSDLSLLELQKKLANDAINTRLVPMEYYNNIASKFDRFLVETEQRRLGCFYLSENLNEDMDYVFQGPKESQKGGEHGLYQSLLDSDMSYHSGGPLERYKDQKLCWHFGIHDGDRGQCTSPDVFAKFLGLLDSIPYSDIRSDSSESFAYKIPNFRYVLKRRFDRGSYGEVWLAFHWNCSQDSDIYNSSHKNLYHFASSLHMDTSKCNMSASSKTSNRHCSTDQRDSNLFILKRIMVERGTNAYLSGLREKYFGELFSNASTSLGGSITETPTTFSVDMQSDFSDLLQKNMSDNDEVDDIFDSTNTYARNYGAMPISYEEGLKHIARYVESFESESKELWLVFSNEGMSLSKLIYTAEESKSFTNNERDEKVRNVRVLRPSSWWHWLRTTEAGQNEMKDLIWQLLLALKACHDRNVTHRDIKPENMIVCLEDVDTGRCLSEIPNGVRQNHLKMRIIDFGSAIDDFTMKHLYGSGPTRSEQTFEYTPPEALLNASWFQGPKSVTLKYDMWSVGVVMLELILGSPHVFEINDRTRALLDQHLEGWSEHTKELAYKLRSYMELCILIPGISPQHYPTGVKKGHVGVSPASWKCSEESFSLQVKSRDPLKLGFQDVWALRLVRQLLVWHPEDRLSVDEALRHPYFQPHY >Ma02_p10780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20234896:20267741:1 gene:Ma02_g10780 transcript:Ma02_t10780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHRFLFYGFIFLRSISLSFGESLTCLAVYREGGAPAVFQSPKCPRWTLLADDDRRRPPPNCQAALHQGRRRSQEDRIVCALGMRIPFIGRTGIKELDVGLVAVFDGHNGAEASDMASKLLVEYFLLHLYFLLDGIYSVVLKKSNDKLTYGENSMVFEIVSLEKTENWHFPDPERSNWIPARIFDRTFHMEILKESLLRTIQDIDATFSKETLQKNLESGSTATVVLIVDGDVLAANVGDSKALLCTEGLRHHNRKGNLSRINRQRRSKNAIFPVGQNGQLELATNGGPNYFVKELTVDHHADREDERSRIEAAGGYVVEWAGVVRVNGELAVSRAIGDMAFKNYGVVSTPEMTDWQQITRNDSYLIAASDGVFEKLTMQEVCDLLWYEKLKANVKAEYIHSVTYTLADLLVNTAFERGTMDNMAIVVIPLKSSGTFVENVFDADETSDLSLLELQKKLANDAINTRLVPMEYYNNIASKFDRFLVETEQRRLGCFYLSENLNEDMDYVFQGPKESQKGGEHGLYQSLLDSDMSYHSGGPLERYKDQKLCWHFGIHDGDRGQCTSPDVFAKFLGLLDSIPYSDIRSDSSESFAYKIPNFRYVLKRRFDRGSYGEVWLAFHWNCSQDSDIYNSSHKNLYHFASSLHMDTSKCNMSASSKTSNRHCSTDQRDSNLFILKRIMVERGTNAYLSGLREKYFGELFSNASTSLGGSITETPTTFSVDMQSDFSDLLQKNMSDNDEVDDIFDSTNTYARNYGAMPISYEEGLKHIARYVESFESESKELWLVFSNEGMSLSKLIYTAEESKSFTNNERDEKVRNVRVLRPSSWWHWLRTTEAGQNEMKDLIWQLLLALKACHDRNVTHRDIKPENMIVCLEDVDTGRCLSEIPNGVRQNHLKMRIIDFGSAIDDFTMKHLYGSGPTRSEQTFEYTPPEALLNASWFQGPKSVTLKYDMWSVGVVMLELILGSPHVFEINDRTRALLDQHLEGWSEHTKELAYKLRSYMELCILIPGISPQHYPTGVKKGHVGVSPASWKCSEESFSLQVKSRDPLKLGFQDVWALRLVRQLLVWHPEDRLSVDEALRHPYFQPHY >Ma06_p35070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35089554:35089766:-1 gene:Ma06_g35070 transcript:Ma06_t35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTKTNVSCCLVCLYYMLLFMALYCFCFPVHIDKYNNNNNVPLSYWANRYLFRRSFITLPAPYVYPPTR >Ma08_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16333659:16342505:-1 gene:Ma08_g15940 transcript:Ma08_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITHASSACCCCGVSAAAAVPKAFLTASIVFQPSILFKRTFTCSSSRLLQASLCPVSSSPIPFLIRNSSTTPGSPLPRPRLQSSPRPSSNLLRSSGGRSGSSNIRKKKISRPQRQKPVVVVKRTSEECVPSLEEASISVRTLYQNGDPLGRRELGKCVVRWISQGMRSMASDFASAEVQGEFSEFRHRMGLPTIGGTPADGGAGGAAIGGLAFVIQAQPYLYAVPMPKGLEALCFKACTHYPTLFDHFQRELRDVLQDLQCQAIFSDWRATESWKLLKDIANSAQHRAAVRKTPQSRPIHSGMGMELEKAKAMQAKIEDFVKHMSELLRIERDSELEFTQEELNAVPMPNGKQDTPKPTEYLVSHGQAQQEQCDTLCNLNAISSSIGLGGMHLVLFKVEGNHRLPPTTLSPGDTVCVRTCNSRGEGATSCMQGFVNNLGEDGCSIIVALESRHGDPTFSKLFGKNVRIDRIQGLADALTYERNCEALMLLQKNGLQKKNPSILIVATLFGDKEDIMWLQQNNIVEWGQANLDGLIEKGKFDESQRKAIALGLNKKRPILVVQGPPGTGKTGLLKELITLAVQQGERVLVTAPTNAAVDNMVEKLSDVGLNIVRVGNPARISTIVASKSLGHIVDDKLAVFKKEFERKKSDLRKDLRLCLNDDSLAAGIRQLLKQLGKTLKKKEKDTIKEVLSSAEVVLATNTGAADPLIRRLGAFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKAMEGGLGISLMESASNMHEGMLTTKLTLQYRMHDAIASWASKEMYDGLLQSSPLVSSHLLVDSPFVKATWITQCPLLLLDTRMPYGSLYIGCEEHLDPAGTGSFYNEGEADIVIQHIFNLIYSGVLPSTIAVQSPYVAQVQLLRDRLDNYPEASGVEIATIDSFQGREADAVIISMVRSNTLGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRRFGRVRHAEPGSFEGPGLSIDPLLPSIN >Ma05_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35076462:35079102:1 gene:Ma05_g23060 transcript:Ma05_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATKIPTKIPRTLVARYLSSASTLADQLPEAPSQPPAPTLDLSDTRQLFASVPTATLLRSLANLSTLAAGPIVDLGAAALRAAAAAPEGRLLPAAVLGVARATVHRHFCAGEGFEEAGRAVEAMWAEQGLRSILDYGMEDAEDSAACDRNLDGFLRTVEMASSLPPISASVCVKITAICPISLLERASDLLRWKQKDPTFQLPWSTHSFPILCDSSPLYLTPSVPDPLTETQELDLRLAQQRLSKICHRCAEAGIPLVIDAEYASLQPAVDYFTYSAAIQFNHGDQPMVYGTIQAYLRDSRERMVNAVEAAERQGIPLGIKLVRGAYLTRETNLALSLGVPSPIHGSIQETHQCFDNCAAFLLERVRRGSGAILLATHNVRSGQAAAAKAEELGIGKEDQKLQFAQLLGMADGLSLGLKNAGFQVSKYVPFGPVEQVIPYLLRRAEENRGLLSTSTVDRQLIRKELMRRLATAVVGRT >Ma07_p25350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32431033:32437220:1 gene:Ma07_g25350 transcript:Ma07_t25350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEKSSRLPNCDAYFEAIQSKKKLPYALQECLTSAFAQIPVSSFPEVPGGKVIEIPGDMPIVDAVRILSEHNIMTAPVTHSNGENSIDWRERYLGIIDYAAIILWVLENAELAAIALSASSATTAGVGAGAVGALGAAVLGATGPAAVAGLTIAAVGAAIAGGVATEKGMGKDAPSAVDDLGEDFYKTLLEEEPFKSTTVKSIMESYRWAPFLPVGLDSSMLTVLLLLSKYRLRSVPVVESGKPHVTNFITQTAVVQGLQQCSGRDWFDCIAAYPLSDLGLPFMSSEEVISIKSDDLILEAFKCMKDNRIGGLPVVEGPNHKIVGRVSIRDIRFLLLKPGLFSNFSYLFQAVDCHGFPEDFRFGQSRFQESCGGTSNLCT >Ma07_p25350.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32431033:32437200:1 gene:Ma07_g25350 transcript:Ma07_t25350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFCICTDSSFIIPRSTRRKRFYTSGAVIEIPGDMPIVDAVRILSEHNIMTAPVTHSNGENSIDWRERYLGIIDYAAIILWVLENAELAAIALSASSATTAGVGAGAVGALGAAVLGATGPAAVAGLTIAAVGAAIAGGVATEKGMGKDAPSAVDDLGEDFYKTLLEEEPFKSTTVKSIMESYRWAPFLPVGLDSSMLTVLLLLSKYRLRSVPVVESGKPHVTNFITQTAVVQGLQQCSGRDWFDCIAAYPLSDLGLPFMSSEEVISIKSDDLILEAFKCMKDNRIGGLPVVEGPNHKIVGRVSIRDIRFLLLKPGLFSNFRQLTVMDFLRTLDLVSQDSRNHAVAPVTCAPDACLGSIIDSLASKSVHRIYVVEGDEKEVVGVITLRDVISCFIYEPPYHFDTYFGCAVKELQSR >Ma07_p25350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32431033:32437200:1 gene:Ma07_g25350 transcript:Ma07_t25350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEKSSRLPNCDAYFEAIQSKKKLPYALQECLTSAFAQIPVSSFPEVPGGKVIEIPGDMPIVDAVRILSEHNIMTAPVTHSNGENSIDWRERYLGIIDYAAIILWVLENAELAAIALSASSATTAGVGAGAVGALGAAVLGATGPAAVAGLTIAAVGAAIAGGVATEKGMGKDAPSAVDDLGEDFYKTLLEEEPFKSTTVKSIMESYRWAPFLPVGLDSSMLTVLLLLSKYRLRSVPVVESGKPHVTNFITQTAVVQGLQQCSGRDWFDCIAAYPLSDLGLPFMSSEEVISIKSDDLILEAFKCMKDNRIGGLPVVEGPNHKIVGRVSIRDIRFLLLKPGLFSNFRQLTVMDFLRTLDLVSQDSRNHAVAPVTCAPDACLGSIIDSLASKSVHRIYVVEGDEKEVVGVITLRDVISCFIYEPPYHFDTYFGCAVKELQSR >Ma07_p25350.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32431033:32437200:1 gene:Ma07_g25350 transcript:Ma07_t25350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEKSSRLPNCDAYFEAIQSKKKLPYALQECLTSAFAQIPVSSFPEVPGGKVIEIPGDMPIVDAVRILSEHNIMTAPVTHSNGENSIDWRERYLGIIDYAAIILWVLENAELAAIALSASSATTAGVGAGAVGALGAAVLGATGPAAVAGLTIAAVGAAIAGGVATEKGMGKDAPSAVDDLGEDFYKTLLEEEPFKSTTVKSIMESYRWAPFLPVGLDSSMLTVLLLLSKYRLRSVPVVESGKPHVTNFITQTAVVQGLQQCSGRDWFDCIAAYPLSDLGLPFMSSEEVISIKSDDLILEAFKCMKDNRIGGLPVVEGPNHKIVGRVSIRDIRFLLLKPGLFSNFRQLTVMDFLRTLDLVSQDSRNHAVAPVTCAPDACLGSIIDSLASKSVHRIYVVEGDEKEVVGVITLRDVISCFIYEPPYHFDTYFGCAVKELQSR >Ma07_p25350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32431033:32437200:1 gene:Ma07_g25350 transcript:Ma07_t25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEKSSRLPNCDAYFEAIQSKKKLPYALQECLTSAFAQIPVSSFPEVPGGKVIEIPGDMPIVDAVRILSEHNIMTAPVTHSNGENSIDWRERYLGIIDYAAIILWVLENAELAAIALSASSATTAGVGAGAVGALGAAVLGATGPAAVAGLTIAAVGAAIAGGVATEKGMGKDAPSAVDDLGEDFYKTLLEEEPFKSTTVKSIMESYRWAPFLPVGLDSSMLTVLLLLSKYRLRSVPVVESGKPHVTNFITQTAVVQGLQQCSGRDWFDCIAAYPLSDLGLPFMSSEEVISIKSDDLILEAFKCMKDNRIGGLPVVEGPNHKIVGRVSIRDIRFLLLKPGLFSNFRQLTVMDFLRTLDLVSQDSRNHAVAPVTCAPDACLGSIIDSLASKSVHRIYVVEGDEKEVVGVITLRDVISCFIYEPPYHFDTYFGCAVKELQSR >Ma07_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30244047:30246363:-1 gene:Ma07_g22190 transcript:Ma07_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFAAEPRVTPWHARVASFNTAFTINVVPESNVATGEGLTFLIAPSLDDPPPGSHGPYLGLTNASLDGNNSNHFVAIEFDTAKQSFDSDDNHVGLDINGVDSNITGSLTPLGIKIVNGTNYVVWIDYDGVHRHVWVYMAVEGSDKPVAPVLNASIDISDYVLQRSYLGFSASTGSHFELNCVLAWNLTVENLPDDGGGLSIGAIIGVAVGVGCVLVVMTLTTGILVVFCRRKRAAGNERDMLRKKLNGLPGTPREFEYKELKVATGNFDERRKLGQGAFGEVYKGVLPGSSMEVAVKRFSRDKTSGQDDFLAELTIINCLRHRNLVPLLGWCHKNGVLLLVYEFMPNGSLDQYLYGDGGLVWSRRYNIVAGVASALHYIHHEYNQMVVHRDLKPSNIMLDATFDARLGDFGLARALDTDKTSFTELGVVGTRGYIANECCITHKFTRESDMYAFGTVVLEVVCGRRPLYDVSGFHLLVDWVWKLHREGRLLEAVDPRLGGEYPAEDAERLLLLGLACSQPHPGARPKAQAIVQIVSRSAPPPAVPKFKPAFVWPPPHWPLFEGNHDDHNWTSGATLTSRTATFSNSGVSSAGVV >Ma07_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10527573:10528222:-1 gene:Ma07_g14100 transcript:Ma07_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLRLQDMFLNQNYLGCTHTRSWRIKHLQHQGDRHIWQVFFYEVMCLHCLLFF >Ma08_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36716298:36720511:1 gene:Ma08_g23330 transcript:Ma08_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMAKTKPGKKDLDSYSIKGTNKVVKVGDCVLMRPAESEKPPYVARVEKIEADHRNNVRVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCTVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLDHFLCSDCVSENDAKRSMNGFPTSPVSEAKAEPKRRKR >Ma01_p10300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7423473:7427183:-1 gene:Ma01_g10300 transcript:Ma01_t10300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAESALPASKKRVAGRQLSKDDPDPDEDAPEAEMGTFKKASEEVMATRRILKVRRNQPPAAAASNPFAGIRLVAPVDSSAEATNAVEQPETGGCTKPVGEQNDTNEDSLITDAGKGSEISDSINEIEKTKESSEKLDVKPEPSSAPGDDKVKNDEIEEKPQPVDASKDAKEGGVKTDSGGIDETNGVDLKETEKEAKETTEEAGDKTENEEKESEKEVEENDQKDPAEPDAPLNSFQQLSSSQNAFSGLAGTGFSTSSFAFGSFAKEGSKFGTSFASPFAFKSESSLFSFGTGSANNGDSSLPSLGAAPDASKSVKLLEVPVETGEENERAVFTADAIMYEYLDGGWKERGKGELKINISASDVEKARLVMRSKGNYRLILNANLYPDMSLTDMDKRGITFACINSTGEGKDGLTTVALKFKDSSIVQEFRESVVAHKGQKAPISEPNESSKESDD >Ma01_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7423473:7427190:-1 gene:Ma01_g10300 transcript:Ma01_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAESALPASKKRVAGRQLSKDDPDPDEDAPEAEMGTFKKASEEVMATRRILKVRRNQPPAAAASNPFAGIRLVAPVDSSAEATNAVEQPETGGCTKPVGEQNDTNEDSLITDAGKGSEISDSINEIEKTKESSEKLDVKPEPSSAPGDDKVKNDEIEEKPQPVDASKDAKEGGVKTDSGGIDETNGVDLKETEKEAKETTEEAGDKTENEEKESEKEVEENDQKDPAEPDAPLNSFQQLSSSQNAFSGLAGTGFSTSSFAFGSFAKEGSKFGTSFASPFAFKSESSLFSFGTGSANNGDSSLPSLGAAPDASKSVKLLEVPVETGEENERAVFTADAIMYEYLDGGWKERGKGELKINISASDVEKARLVMRSKGNYRLILNANLYPDMSLTDMDKRGITFACINSTGEGKDGLTTVALKFKDSSIVQEFRESVVAHKGQKAPISEPNESSKESDD >Ma02_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26497254:26497443:1 gene:Ma02_g20580 transcript:Ma02_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKIQVYLKLLLGLFGFNLLLHVTETRNHSS >Ma06_p00710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:555708:560028:1 gene:Ma06_g00710 transcript:Ma06_t00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSTLRSVKGSRPIHTKSTPTSSTALSPSSLSSTSSSLLRNHHNKKRGGKQQRPKNVGWSVYLIVSSRLPRTYVGVTTNFLRRLKQHNGILKGGAKACSAGRPWTLACIIRGFKDRSEACEFESKWKSVSRRVPRKTKQDCAANPLLEHRRAALNCVRGLFDCSYLQIEWQSSLS >Ma04_p18380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20260079:20261206:1 gene:Ma04_g18380 transcript:Ma04_t18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGEEGRGRGGVMFSLRPRFFVEEGYDEARHFLDSCFLCKRPLSRNRDVFMYRGDTPFCSVECRQEQIEMDEAREQKLKLSLKNSSTKEQQNASKTSAINSPPKSQKIHVKAGTVVAS >Ma10_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16423308:16424749:-1 gene:Ma10_g05340 transcript:Ma10_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTLIGYLNLLTLLASIPIIGGGLWLARSSATCESSLQTPLLVLGFVVLLVSLAGFVGACFNVAWALWLYLLVMLFLIAALLGLTAFGFAVTGGGGAVDVPGRVYHEYRLDHYSGWLRHRITEPGYWKAAMACVVGSKTCAKMAPWTPLDYLQRDLSPIQSGCCKPPTSCTYAAGSAMAAQDEDCYRWNNAADVLCYGCDSCKAGVLEQVRRDWHKLSVLNVVILMFLIGIYSVGCCAFRNARRAESEFPYGENRMSKVHPRWDYFWWRWWRDRREQLY >Ma11_p09730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9005172:9009174:-1 gene:Ma11_g09730 transcript:Ma11_t09730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAAAAVLCCADEAALCWSCDEKVHAANKLAGKHQRVPLLPTNPNSCSSQIPNCDICQEKAGYFFCLEDRALLCRQCDVVIHTASPYVSSHQRFLITGLQVALQHHLTNGSNKNSSSGGNGDSNSTRSDGPHRSQVTADSIVNQKLQAATSGYVTEEGLRPPWPWNEILGGFQFDPCHGLSEPGSPDQTG >Ma11_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9005172:9009160:-1 gene:Ma11_g09730 transcript:Ma11_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAAAAVLCCADEAALCWSCDEKVHAANKLAGKHQRVPLLPTNPNSCSSQIPNCDICQEKAGYFFCLEDRALLCRQCDVVIHTASPYVSSHQRFLITGLQVALQHHLTNGSNKNSSSGGNGDSNSTRSDGPHRSQVTADSIVNQKLQAATSGYVTEEGLRPPWPWNEILGGFQFDPCHGLSEPGSPDQTG >Ma09_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28910068:28910925:1 gene:Ma09_g20250 transcript:Ma09_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYLQSQSYDEFSPEDSYRLPFDVNDSDEMLLFDTLAEATPSNPVLAGEGRPTGEPCYRGVRKRPWGKFAAEIRDSTRGGARVWLGTFDTAEAAALAYDQAAFSMRGRLAVLNFPVEQVQESLQELEWDKDNCSPIMALKKKHSLRRRRRPAVSGKTKVAQSRIQSVLELEDLGTDYLEELLRVSELA >Ma03_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:215283:215648:1 gene:Ma03_g00160 transcript:Ma03_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF096 [Source:Projected from Arabidopsis thaliana (AT5G43410) UniProtKB/Swiss-Prot;Acc:Q9LSX0] MADSGAAGGGDKDGEQTRYRGVRRRPWGKYAAEIRDSTQHGARVWLGTFDTAEEAARAYDRAALSMRGSLAVLNFPADARAYAAGSSRGGGKQVIELECLDDKVLDEMLEFAEKEKGCKKK >Ma00_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46041376:46042818:1 gene:Ma00_g05340 transcript:Ma00_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGEVRVLEQSRIFPTMGSAAAPPSLPLTFFDVLWSTSGPFRRLFFYDFPHPAAVFADSVLPKLKSSLSLALARFYPLAGNLRCSVSHDDVSEIGWTEGESLSFALANYDSGFHELSGDHARDVSKLQRLAPRPIWSGAAKPLLAVQVTVFPDQGFTIGVWVHHVACDDSSFTRFIKSWASACRAGEIVEPAAPLFDRTAIPNPLQLRSVNFLPGYENSGTREASTLASNLVTATFALGQEHIRRLKCWVMAKAGERNTTFHCSTLVVTCAHVWFCLLKTLGDAGDETAHFTFTADARDRLRSPVPETYFGNCIVPCFVEVKVSDLVGEDGIFAASEAIGKAIEDLKHGALKGVHGLCERWYHVTQKLPMTLTGSPKFKAYDTDFGWGRPVKVETVLQKTRAMYLQDSRTGDGVEIGLSFEQHQMDAFERHFLSGLKLLPE >Ma00_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1487137:1487685:-1 gene:Ma00_g00470 transcript:Ma00_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFPPLHVKPNLPLWRTPSRPPSLPASRRSSPSPNRPGPSPFPGSPKPSVSSRSPSPMPPSSSLAPSHHPTATPSPPTSTQASPSSTRATPPPPRSIASYVVASTSASHSTSLPPPTAAGTPRSCGGGGTPWPSGEAPPAAISSPSPATSSGPSPRSFPPAASSPTSAGRLTPSRPLPA >Ma11_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26869032:26871713:1 gene:Ma11_g23590 transcript:Ma11_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMRPFKRRVLLPFALLSSRFPFPHSVESSSFLLLFLPVCGRGAARGIEGYPRQVPRSSAGSRGVLSRIWKRENIWCRETCLLGNPSTYEVILGKPFLCLSKIPYHQQALVCIVFFMHSPQDRFISGHACFSITDYTATLLDSIYESYKDVDGLLYMSTVAERFLVK >Ma03_p31230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33515282:33516704:-1 gene:Ma03_g31230 transcript:Ma03_t31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGTEPSSPSSARTSKGLMTRRSSKPSLFSDGLVFAGGAGVALLVFWVVSTFFSAVTVVDPSAFPFSVSSSAAFPDLHDRDPPGATFYDDPSVSYTIDRPLTGWDAKRRDWLRLHPAFGGYGRERVLMVTGSQPGPCRNPVGDHLLLRFFKNKVDYCRRHGIELFYNTALLHPAMNTFWAKLPMVRAAMLAHPEADWVWWVDSDAAFTDMDFELPLDRYRDHNLVVHGWPRLVYEARSWVSLNAGVFLIRNCQWSLDFMAVWAATGPMSPDYDRWGQLQKAEFKDKLFNESDDQSALVYLLLKHKDQWGDKIFLESDYYFEGYWVEIVGRLENMTAKYAAVERQVQGLRRRHAEVANGAYGRLRDRHLSGEDGAVSGPNGWRRPFMTHFTGCQPCSGDHNKMYSGESCWEGMQRALHFADDQVLRDYGFRHADPLSGDVEPLPFDYPSAA >Ma06_p28500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30166528:30169056:1 gene:Ma06_g28500 transcript:Ma06_t28500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKDTRDSTAAISTVPLTKTPSKQYGEDEGEEDRFHNALLELKDLRSQLYHAADYCETAFSKAEQKKMILEGTKSYICEAIVAVVDHLGNVSSKLEQSLLANTAVVLTEQRIDCLKQRLLTCQQYAISLELSSMQLSLKFPRHHEHYVSAETVTQHIEKSSDLSRPGGSETPTKKDHMAAMAHSLESGATDHFFPASNQTILGVELAKAAPVVKGPSVLSRTRNPSLKFKPEDLYMLGGVYQKKKPMQVNNILSFLRVSKRKT >Ma06_p28500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30166528:30169056:1 gene:Ma06_g28500 transcript:Ma06_t28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKDTRDSTAAISTVPLTKTPSKQYGEDEGEEDRFHNALLELKDLRSQLYHAADYCETAFSKAEQKKMILEGTKSYICEAIVAVVDHLGNVSSKLEQSLLANTAVVLTEQRIDCLKQRLLTCQQYAISLELSSMQLSLKFPRHHEHYVSAVTQHIEKSSDLSRPGGSETPTKKDHMAAMAHSLESGATDHFFPASNQTILGVELAKAAPVVKGPSVLSRTRNPSLKFKPEDLYMLGGVYQKKKPMQVNNILSFLRVSKRKT >Ma06_p28500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30166528:30168649:1 gene:Ma06_g28500 transcript:Ma06_t28500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKDTRDSTAAISTVPLTKTPSKQYGEDEGEEDRFHNALLELKDLRSQLYHAADYCETAFSKAEQKKMILEGTKSYICEAIVAVVDHLGNVSSKLEQSLLANTAVVLTEQRIDCLKQRLLTCQQYAISLELSSMQLSLKFPRHHEHYVSAETVTQHIEKSSDLSRPGGSETPTKKDHMAAMAHSLESGATDHFFPASNQTILGMDILLKC >Ma05_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33667758:33668460:-1 gene:Ma05_g21910 transcript:Ma05_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNMMELVKRGRNGLPLLRMASLFSTQQAVDPAAASLNQQGSHATQLIGRTPMVYLNEVTEGCHAQIAAKLDFLQPSFSVKDRCDMLPCLSAYWEDADGVPEQSD >Ma06_p30890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32111976:32117889:-1 gene:Ma06_g30890 transcript:Ma06_t30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEANGSSAAATTAGGSLQSICYERGSLRLLDQRKLPLETVYLDVKDSTDGWTAIRDMVVRGAPAIAIAAALSLAVEVWNLDFNGTSEDASSLLIKKLEYLVSSRPTAVNLSDAVEKLRSLVLKTVETATEAKTVFQAYIDAAETMLIDDVASNKAIGFHGAKHLRSNLDSLEKISVLTHCNTGSLATAGYGTALGVIRALRSEGFLEKAFCTETRPFNQGSRLTAFELVHDRIPATLIADSAVAALMKAGCVNAVIVGADRIAANGDTANKIGTYNVALSAFHHGIQFYVAAPITSIDLSISSGEQIVIEERSAKELLHSDGGRGKQVAASGISVWNPAFDVTPARLITAIITEKGVIIKKASDEAFDIKGFIENAK >Ma08_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2941222:2941500:-1 gene:Ma08_g04190 transcript:Ma08_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSLENQSRTQVQVTSSPVVILLKQPTLSSYSPLLTMGSQLLELDHLLQLPLEEFIEGIKGMQDDQNHSKDHQSNLPYQSRPNKVQGGLLS >Ma03_p26860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30435049:30436983:1 gene:Ma03_g26860 transcript:Ma03_t26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVFPEQACYVNCNFCNTPLVVNVPGNNLLNVVTVRCGLCANLLSVDLEALLGKLPHQSFQNHNPGSLHPHMDCGSSSRCTRLSAMNSVDCVQQQTHPIQPTEKRRVPSAYNKFIKEEIRRLKAKDPDISHREAFSTAAKNWAHFPEIHFGPSVKGSKQV >Ma05_p29900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40452739:40462598:1 gene:Ma05_g29900 transcript:Ma05_t29900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRFPRGKEKPRSLIVPLLFAISAAALALLWVAFYSAVPASLFFSIASPRAADEEGPTGLERKYLYWGNRIDCPGKHCNSCAGLGHQESSLRCALEEALFLHRVFVMPSRMCINPIHNKKGILYQPRNASADEMWAASSCAMDSLYDLSLISSKVTVILDNSKMWSRIVSAGVKLGSKGVAHVEGVSRVDLKENSQYFNVLLINRTASPLSWFMECKERTNHSSVLLPHSFLPMMAARRLRDAADKIKNILGDYDAIHVRRGDIIKTRKDRFGVERSLHPHLDRDTRPEFIQRRIAKWIPPGRTLYIASNERSPGFFSPLSSSYRLAYSSNFSDILDPLVDNNYQLFMLERLIWAGARTFVKTYKENENDLSLTDDPKKNTKNWQIPVYTIDGEES >Ma05_p29900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40452739:40462598:1 gene:Ma05_g29900 transcript:Ma05_t29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRFPRGKEKPRSLIVPLLFAISAAALALLWVAFYSAVPASLFFSIASPRAADEEGPTGLERKYLYWGNRIDCPGKHCNSCAGLGHQESSLRCALEEALFLHRWAASSCAMDSLYDLSLISSKVTVILDNSKMWSRIVSAGVKLGSKGVAHVEGVSRVDLKENSQYFNVLLINRTASPLSWFMECKERTNHSSVLLPHSFLPMMAARRLRDAADKIKNILGDYDAIHVRRGDIIKTRKDRFGVERSLHPHLDRDTRPEFIQRRIAKWIPPGRTLYIASNERSPGFFSPLSSSYRLAYSSNFSDILDPLVDNNYQLFMLERLIWAGARTFVKTYKENENDLSLTDDPKKNTKNWQIPVYTIDGEES >Ma10_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28991845:28993289:1 gene:Ma10_g17700 transcript:Ma10_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERWARGRNRSGHQNPSFSSTLLDAIYRSIDESDGGGATHAPIIVPKRPPPLLRPPVDRRTAGAADERAATRRRPFVPISTSSSSEKSSYGGFSSSSEPDSAATRLRPIRTAGPPIHSAPPPPAAASFDRHEEDEKKKKKKTSSIRGRLRDMKSSRSAAPASPGARLAGFLGSLLSAVSGTPRRPTSTASGCDDSACSTASSHSRSCLVKKPSTRERAPPAEGGKRSVRFYPVSVIVDEDLRPCGHKSVYEADRAAETSSRRPSSAAMEARRRVEELLRGMEEEEEEETSDSSSDLFELENLTVIGMAGGAVHSDELPVYETTHLDTNRSFSQSQRFLQI >Ma11_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4836805:4839510:1 gene:Ma11_g06060 transcript:Ma11_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTRSSKLRSRRHLVYGREREERMRETRRGSMSPLAFVALLQLLSLPPLASGNRLNPSVLQKNSPHCYDIPVPFPFGINGTGTFRPGFEITCSNTQGNPAMLSFGANLQFQLLNISLEGGYVRIVGRAITWFCYNTTTGEDVPFSLAIDLKGTPFTYSDTMNKFTAIGCDAMAMIQGSGPHNYTGGCVSFCATRDSVISGSCSSVGCCQASVPRGLKSLKLNFSSIRTMTGSKKDTSGACSKAFIVDKDWFKFSTEDLEANDNDDNRPVVLEWSIGNQTCEEVQRRKPPDYACVDEHSRCYNSGNGIGYRCNCSQGYAGNPYVSGGCRDIDECIDPRMNNCVWRCINKEGDFDCICPPGSSGDGRKQGSGCRKVALLEIGLGLGLALLVMLLLVGVCAYWGVKRRKTRKLKQKYFLQNGGLLLQQQISSRQAAARIFSSEELESATENFNETRVLGHGGYGTVYRGVLSDGRVVAIKKSKLVDQSQIEQFINEVVILSQVNHKNVVKLLGCCLETQVPLLVYEYISNGTLSHHVHGSRVPMSWENRLRIAVETAGALAYLHSASAKPIIHRDVKSANILLDEDYTAKVSDFGASRLIPYDQTHLTTLVQGTLGYLDPEYFRTSILTEKSDVYSFGVVLMELLMREKPISPGRPEEERNLAFRFVTLLEEKRLLSVVDRRTVEEAGERQLHAAAQLARRCLNLRGEDRPTMKEVTVELNALGRLLMQHSARERRKKGRSLGVPWEFSGGGTEEEYGSSAVFSESSSFMGR >Ma10_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29615240:29615815:1 gene:Ma10_g18830 transcript:Ma10_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRHNYHETATASLASRHCAPPRRIPSFPSKIPPFLRSSRLPSPSSPPALLPQRGGCVGAVGAQERQRAGGSLLLEVHSHVLEIFLSKIPPSLCPLSPMRGVGVDSVGEQERHRAVRVLHTKGLDLSCRNWGFPPPRCMPSLRSLCPRSRLPSAQVAVSFVSSCPVLYLLVENQRHQMVLQNVLYDQINE >Ma04_p34430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33624607:33628628:1 gene:Ma04_g34430 transcript:Ma04_t34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGHSEQKTHTGRRTLGRCKSKTIEIIDIDKGKADVIIIDAPESSHQGSGCSRFNNCSSPVTVIRIDDEEGDIGDTPYNAGQDFSTNRAFSVFGNCTMDTKNDNDDCRMFLKEGRFCSSMTGKTTMHPVFGSPRNRYGIYPNYPESSSSESDSSECDTSESDNSDCEIMEDSSGLIREQWERAAFIKKKSRTVQVGSEDQASASGSSADPGFPLAEPTQNMVDLEDIINENCSEHLKGLFGKCGPSCSNSGTNLTSKTKGSEPNGDPFVGSTSRKDCSADSDSSTGISSEGPSFEKGNIISESSLPRAQFLGTIDLNKVSTPDVQMQSNIHSKVDEFGLMERAEEVSFGKSSFTDAFRVETSYQDQGGTCPGKPSEAWDGECLFSDSVGFQNQKEDVLYNQSLHETPLNHEVSGEAKENSSKEQMHPLNDPLHDDLRMKDGSGCFDCDIDAIHGDSTLETNPETGASIQDLSLSKSDVIGDLGKQNKYMIMQNVPQIQSDITGEREKHKESEEYKRANAEEWESRKREIQIQAEEAQRLRKRRKAESLRLLDMEKRQKQRVEEIRESQRKDEETIHLKELFRAEVRRELDKMEGRFRDMASLLRGLGIHVEGGPFPLSHEVNAAYKQALLRFHPDRASRTDIRQQVEAEETFKMISRLKEKLLPVA >Ma03_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1030827:1035178:1 gene:Ma03_g01400 transcript:Ma03_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSSSGEGRTRSSLTIIIVVGLCCFFYVLGAWQRSGFGRGDSIALQVTQQTECTISPNLNFETHHGGSGSLSDLGDSVVKTFEACHDRYTDYTPCQDQKRAMTFPRENMIYRERHCPLDKEKLYCLIPAPKGYVAPFPWPKSRDYVPYANVPYKSLTVEKAVQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGSIKLPYPSRAFDMAHCSRCLIPWGANDGIYMMEVDRVLRPGGYWVLSGPPINWKSNYQAWQRTKEDLSEEQEKIEEIAKLLCWEKISEKGEIAIWRKRINAESCPGRQDENPINVCKATNPDDVWYKKMESCVNQYPEVSSAEEVAGGELKPFPQRLKAIPPRIASGSVPDFSVKSYQGDVKLWQKHVKAYKKINKLLDTGRYRNIMDMNAGLGSFAAAIESPKLWVMNVVPTIAEMSTLGVIYDRGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYRNKCKMEDILLEMDRILRPEGAVIFRDDVDVLIKVKKIVGGMRWNIKLVDHEDGPLVPEKILVAVKQYWVGGNKSKKDKH >Ma04_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4680931:4681446:1 gene:Ma04_g06350 transcript:Ma04_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIAMSQRVCRSIHHRLLPILKEASARYRVVDLQDLLLRLTFGNICGLAFGKDPETLALDLLENASAIAFDNAAEASLHRFIFLEFVWRFNKWQQVGTEATLTCSVAHVDGYLSAIIKARKLELRDGRNYVIVLSPFCRRAFTPTLFSSMRWSRSASFFSWSRRILEPQR >Ma06_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1699070:1704110:-1 gene:Ma06_g02130 transcript:Ma06_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFIRDILWHLRDQKLMVFGLIFIVSSLIKVDSYNKISDHVGKSSQRLVGCWPFSEAYLTSSYFAQQKSLFDSDCHDYLAGEYSSGDFCKGMASEISLLQLSDLQRRLHGEGSHRHLISTLKFMNRSYIRSLLDSHDCKAVIIERLPIGVFADLFELQHLVHRKVFVDAAVFGDTNLELPSALSNRSIVEVHMNIGHENPLRGYETVLELPLHARYPPLDDSGYAEVQLGHPDLFLHCRSKEAQSEPCLWRLTEVNAGPAEAVMWRVPSGNDAHRGIVSSVTFVSALVCALSIVVSAITSRNKDVRRSR >Ma10_p17740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29003863:29004599:-1 gene:Ma10_g17740 transcript:Ma10_t17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLRSREREMGVVILDGSTVRAFVGDEEVFNRSVDERFAALDLNGDGVLSRAELRRALETFRLLETHFGVDVVTPPAEVAALYNSIFDQFDLDRSGTVDRDEFRSEMRRILLAIADGLGDFPLQIVLEDDDQGSNLLQQAVDLEAAKTKAAASGK >Ma02_p17820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24577152:24580654:1 gene:Ma02_g17820 transcript:Ma02_t17820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESPREENVYLAKLAEQAERYEEMVEFMEKVVKTINKEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVALIKEYRGKVEAELSKICDGILKLLDSHLVPSATSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEIKETPKKESGEGQ >Ma02_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24577152:24580654:1 gene:Ma02_g17820 transcript:Ma02_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESPREENVYLAKLAEQAERYEEMVEFMEKVVKTINKEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVALIKEYRGKVEAELSKICDGILKLLDSHLVPSATSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEIKETPKKESGEGQ >Ma02_p17820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24576954:24580654:1 gene:Ma02_g17820 transcript:Ma02_t17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESPREENVYLAKLAEQAERYEEMVEFMEKVVKTINKEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVALIKEYRGKVEAELSKICDGILKLLDSHLVPSATSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEIKETPKKESGEGQ >Ma02_p17820.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24576938:24580654:1 gene:Ma02_g17820 transcript:Ma02_t17820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESPREENVYLAKLAEQAERYEEMVEFMEKVVKTINKEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVALIKEYRGKVEAELSKICDGILKLLDSHLVPSATSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEIKETPKKESGEGQ >Ma02_p17820.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24577148:24580654:1 gene:Ma02_g17820 transcript:Ma02_t17820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESPREENVYLAKLAEQAERYEEMVEFMEKVVKTINKEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVALIKEYRGKVEAELSKICDGILKLLDSHLVPSATSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLVAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEIKETPKKESGEGQ >Ma04_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9481722:9481940:1 gene:Ma04_g12580 transcript:Ma04_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGNLEELIDENLAIVSSSVGMEYYVRILSFVDKDQLELKFVILMHNKVCFASLMILVWSHDLGLVKIFSV >Ma05_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5892603:5895102:1 gene:Ma05_g07970 transcript:Ma05_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGQIAPLSCTRGEAVEEGKGWDDSSGSGERCGFCAICLEKIALPEMALVKGCEHAYCVMCILRWATYRERPSCPQCKHPFEFLSVHRSLDGSIQDYMFEESVCLLLRASWFVPLAVQAQREEVQEDGFDELEDFLQNEYEDELDDLDESYFASRSSIRIGNRRWGDNGYVRTGRKEARPVNLQSFDDEDAGPSRTPKKKEAAKDSTGRRAKRALKREAADKAAAAKHQQHLQRLGRK >Ma07_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24206863:24207333:1 gene:Ma07_g18060 transcript:Ma07_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWAILEGLKRRVSGMLGAWVDELPSILWEMRMTPMSASGESPFSLAFGTEAVLPPEMVFLTLCTITFEQGDSEEGLRANLDLLEERRAEAHLRTLSYKKATARIYNRKVCPWSIKARDLILWKNEVRDPTRVRGKLAPNWEGPYRVYDVVREGTY >Ma04_p09200.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6565549:6571873:1 gene:Ma04_g09200 transcript:Ma04_t09200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRTTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDLDGKHGWRVELSHNSSSSRGRDRHGGSDMKCYECGEPGHFARECRLRIGPGGLGSGRRRSRSRSRSPRYRRSPSYGRRSHSPRGRSPRQRSPSPRRRSYSRSPSNDNRHSESPHTNGYRRSRS >Ma04_p09200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6565462:6571373:1 gene:Ma04_g09200 transcript:Ma04_t09200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRTTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDLDGKHGWRVELSHNSSSSRGRDRHGGSDMKCYECGEPGHFARECRLRIGPGGLGSGRRRSRSRSRSPRYRRSPSYGRRSHSPRGRSPRQRSPSPRRRSYSRSPSNDNRHSESPHTNGYRRSRS >Ma04_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6565553:6571373:1 gene:Ma04_g09200 transcript:Ma04_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRTTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDLDGKHGWRVELSHNSSSSRGRDRHGGSDMKCYECGEPGHFARECRLRIGPGGLGSGRRRSRSRSRSPRYRRSPSYGRRSHSPRGRSPRQRSPSPRRRSYSRSPSNDNRHSESPHTNGYRRSRS >Ma04_p09200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6565447:6571373:1 gene:Ma04_g09200 transcript:Ma04_t09200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRTTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDLDGKHGWRVELSHNSSSSRGRDRHGGSDMKCYECGEPGHFARECRLRIGPGGLGSGRRRSRSRSRSPRYRRSPSYGRRSHSPRGRSPRQRSPSPRRRSYSRSPSNDNRHSESPHTNGYRRSRS >Ma06_p29080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30570153:30571580:1 gene:Ma06_g29080 transcript:Ma06_t29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHVFFLPFLAPGHMIPMVDLARVFAGRGVRSTIVTTAANVPLIQPTLDLANADASLRHPIQILVLSFPCLESGISQGYENLFAFNNSEITPEFATAINMLEAPFKQLLRAHRPDSIVADIFYAWASDVAKEFGIPRLVFHGSNTFFTVVSGALRRLKLHESEEAFDVPGLPHRLRMTWSQLPGFITKPDDFIERLDDGYRTSYGMLVNSFYELESDYIDLVKKGTETKLWHVGPLSLHNQLAKEKAARGNTASISSDECLTWLDSKKPRSVLYVCFGSLGQCTTTQLHEIALGLEASDHPFIWVVRYDGELSEWLPERFEERVTGEGKGLLIRGWAPQLLILNHKAVGGFVTHCGWNSCLEGVSAGVPMVTWPLFAEQFFNEKLVVEVLRVGIAVGATVCSNHKEKRGLVKGEAIKKAVDELMGSDEEAENRRKRAEKLKELANKAVEEGGSSHMDVSSLLDDMVNLKASRGI >Ma02_p14500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22544832:22549312:1 gene:Ma02_g14500 transcript:Ma02_t14500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYMLNGAEALTFPKGRTPTGLGFRGSSLNGICLPKMGVVSVGGGSMKAKSLVPACGLSSSRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPADLYDARLKVVDVGGGTGFTTLGIVKHIYPKNVTILDQSPHQLEKARQKEELKECTIIEGDAEELPFPTDSVDRYISAGSIEYWPDPQRGIKEAYRVLKLGGLACIIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRESGDSPLQLGPKVEDVKKPVNPFAFLLRFILGTVAATYYVLVPIYMWIKDQIVPKGQPI >Ma02_p14500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22544825:22549312:1 gene:Ma02_g14500 transcript:Ma02_t14500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYMLNGAEALTFPKGRTPTGLGFRGSSLNGICLPKMGVVSVGGGSMKAKSLVPACGLSSSRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPADLYDARLKVVDVGGGTGFTTLGIVKHIYPKNVTILDQSPHQLEKARQKEELKECTIIEGDAEELPFPTDSVDRYISAGSIEYWPDPQRGIKEAYRVLKLGGLACIIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRESGDSPLQLGPKVEDVKKPVNPFAFLLRFILGTVAATYYVLVPIYMWIKDQIVPKGQPI >Ma02_p14500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22544834:22549312:1 gene:Ma02_g14500 transcript:Ma02_t14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYMLNGAEALTFPKGRTPTGLGFRGSSLNGICLPKMGVVSVGGGSMKAKSLVPACGLSSSRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPADLYDARLKVVDVGGGTGFTTLGIVKHIYPKNVTILDQSPHQLEKARQKEELKECTIIEGDAEELPFPTDSVDRYISAGSIEYWPDPQRGIKEAYRVLKLGGLACIIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRESGDSPLQLGPKVEDVKKPVNPFAFLLRFILGTVAATYYVLVPIYMWIKDQIVPKGQPI >Ma09_p18370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17835248:17838344:-1 gene:Ma09_g18370 transcript:Ma09_t18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVWQMLLLLQLLWQASASTARIAREGCRERCGDVEVPYPFGMGDGCFLEGFDVTCNSSFKPPKLFPGNGNVEALSISVDGLMQINHFIAHDCYDRNGVRTRRNQPSIDLSQRPYKFSDARNKFVGIGCDTEAYVVDVDKKFSTGCASLCNNMSYVINGTCSGIGCCETSVPKGLRAFDIWLRSYYNHSGCWSFSPCSYAFLADHSFKFNASTFFSYDKVETLPVTLEWAIGNKTCEEARTDKDFACVAEQSECDDSTNGQGYRCNCSRGYEGNPYLEDGCKDVNECEDEATNQCLHQCQNTQGSYSCICQKGMKGDGRKDGTGCSSKLPYLQMGLGLGFCILFLVASISWLYWITRKRRLLKLREKFFEQNGGLLLRQQITPLGGAANALRIFTSEELQRATDNYDESRIIGKGGFGTVYKGVLLDHRVVAIKRSKISDEGQIEQFINEVVVLSNIIHRNVVTLLGCCLETEVPLLVYEFMSNGTLSQHLHDEGHTASLSLDSRLRIAAESAEALAYLHSSAVTPIIHRDVKSSNILLDENYTAKVSDFGTSRLVPFDRSCLISLVRGTFGYLDPEYFHTGQFTDKSDVYSFGVVLVELLTGERAITGRRSAQGNGNLASYFVVCMNENRLMEILENRVVQEGSMEKLVAVAELAKRCLLLRGEERPTMKEVAMVLDGIRTFQKHPWVKQSNETYEPLLGQPLLAHPTLLCSASGTLSECDSSYSAMVPLNIMR >Ma10_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28706130:28709193:1 gene:Ma10_g17240 transcript:Ma10_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLCGATRRSRLVFSSASASWGLLTRCPLSPCFGDARSLETLAFEEIQASEKPVNSTAFVLHGLLGSGRNWRSFSRDLAAQLQKSSPSQVWRMVLVDMRNHGRSAGLKGLDLPHDMVNAAKDLANLVKSHGWAWPDVVIGHSMGGKVALEFAASVARGDYGELAVLPKQLWVLDSIPGEVNSDETNGEVEKVLLTLQSLPSPLPSRKWVVDHMLNLGFSKMLSNWIGSNLKNEGEHVVWAFDLQACIEMFDSYREKSYWPLLEHPPKGLEIAIVQAENSDRWTQHVIERLDYLSRKQEGPEEGKISLHVLPKSGHWVHVDNPKGLLEIVASNFYSCT >Ma04_p37480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35375342:35378322:-1 gene:Ma04_g37480 transcript:Ma04_t37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAVTIRTRKFMTNRLLYRKQFVIDVLHPGRANVSKAELKEKLAKLYEVRDPDTIFVFKFRTHFGGGKSTGFGLIYDTVENAKKYEPKYRLIRNGLAKKVEKSRKQMKERKNRAKKVRGVKKTKAGDAAKAGKKK >Ma00_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40208096:40213638:1 gene:Ma00_g04650 transcript:Ma00_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein [Source:Projected from Arabidopsis thaliana (AT5G21170) UniProtKB/TrEMBL;Acc:Q2V357] MGNAAAKEGENGHGGPMEGSDRDAAVPSGPQQAVGQMACSPPESPRNSRSPRMSAPQIPVAPLQRAADTPPVFSQTWMNHSDGPFDPPIENGIATLITWGHGGKEVLVEGSWDNWTSRKALQTSGKDHSILLVLPSGIYHYKFIVDGELRFIPDLPHMAYETGHTVNILDVSDYIPEIVEGVSEFEAPPSPNSSYGGSFPVDEDYAKEPPMLPPQLHLTVLGSREAEASSTKPQHVVLNHLFIEKGWASQSLVALGLTHRFQSKYVTVVLYKPMRRQ >Ma05_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22758793:22766707:-1 gene:Ma05_g18120 transcript:Ma05_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLREALRQLCLEFGWSYAVFWSATGFGSGMHLTWEDGYCDRKLGVSKLQVSDLLLKEHAVVESTEDNCFSERGCQADDRIVDLVDKIMASQVHIVGDGLTGQAASVGKHLWIHKDTLDGFGSTSKGFADINCQIVAGIQTIVVVPVLPVGVIQLGSTQMVLENIDFINHVKNLVLKLNCGLGAHPSDNTQKTLRQKSQMYSSLGPLFDCRSTTACTTVDNILPITANGFSHELIRFLPPESFAASFSALPSQMNKKMQPSASEIMSGKQNVNTTTHTIGELFMHGTQDISPKPDIECTQQVMPVNTGSKCQNEPSVSNLFLLSNSLKVLEEELMFTSAIGTLESANNLSTSLQDTKGFPLSVKSESSNNADKLGNSSSIQHGVTEHSNLCAKFSVSGAPQILDQRSCSLGKPGISEVSNKDQPFCINEVSNPVSDAGALKENSDLVQASCFFSSKPDVRVSCPEMPADIFEYEFCYSNAVQRDQRCKRVNYKVNGICPGESRQCVQDLDECKLLYTSKDASPFPVDFTSSSDLFGLFDIDKKTNCSNGSLDDVLVHENSASAFNVGTEIPVLDSSSNQILYSGLFSIDDSDQLLDAVISKINSGAKHSSEDSTSCKTSLSNVHSSHYAGNPSHGEVLLSKHRKDDFIGFSPVLAKNEPSYSGYGKSSCNLEKDGDYSQKIGLHKSLISSWVENCQNVKYDCVSDSNSKKVAEVGKLSRKRPRPDESPRPRPKDRQMIQDRIKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKVAGEPKISGEEGGLLLKDNFDGGATWAFEVGTQPMICPIIVEDLNPPRQLLVEMLCEERGFFLEIADFIRGLGLTILKGVMEARKRKVWARFAVEANRDVTRMEIFVSLMQLLQPTASSSTGPPSVGNINMPHAVLHQTSIPAQVL >Ma05_p18120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22758793:22766691:-1 gene:Ma05_g18120 transcript:Ma05_t18120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLREALRQLCLEFGWSYAVFWSATGFGSGIRHLTWEDGYCDRKLGVSKLQVSDLLLKEHAVVESTEDNCFSERGCQADDRIVDLVDKIMASQVHIVGDGLTGQAASVGKHLWIHKDTLDGFGSTSKGFADINCQIVAGIQTIVVVPVLPVGVIQLGSTQMVLENIDFINHVKNLVLKLNCGLGAHPSDNTQKTLRQKSQMYSSLGPLFDCRSTTACTTVDNILPITANGFSHELIRFLPPESFAASFSALPSQMNKKMQPSASEIMSGKQNVNTTTHTIGELFMHGTQDISPKPDIECTQQVMPVNTGSKCQNEPSVSNLFLLSNSLKVLEEELMFTSAIGTLESANNLSTSLQDTKGFPLSVKSESSNNADKLGNSSSIQHGVTEHSNLCAKFSVSGAPQILDQRSCSLGKPGISEVSNKDQPFCINEVSNPVSDAGALKENSDLVQASCFFSSKPDVRVSCPEMPADIFEYEFCYSNAVQRDQRCKRVNYKVNGICPGESRQCVQDLDECKLLYTSKDASPFPVDFTSSSDLFGLFDIDKKTNCSNGSLDDVLVHENSASAFNVGTEIPVLDSSSNQILYSGLFSIDDSDQLLDAVISKINSGAKHSSEDSTSCKTSLSNVHSSHYAGNPSHGEVLLSKHRKDDFIGFSPVLAKNEPSYSGYGKSSCNLEKDGDYSQKIGLHKSLISSWVENCQNVKYDCVSDSNSKKVAEVGKLSRKRPRPDESPRPRPKDRQMIQDRIKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKVAGEPKISGEEGGLLLKDNFDGGATWAFEVGTQPMICPIIVEDLNPPRQLLVEMLCEERGFFLEIADFIRGLGLTILKGVMEARKRKVWARFAVEANRDVTRMEIFVSLMQLLQPTASSSTGPPSVGNINMPHAVLHQTSIPAQVL >Ma10_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33432926:33436825:1 gene:Ma10_g25150 transcript:Ma10_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G25290) UniProtKB/Swiss-Prot;Acc:F4ICF4] MAAFPPRPWVPASFSSGGASPSHLIAVASSLRLGHLFRERCAAHLHLLLCSPSSSSHEGYHLGNLPQKQDFWCRKRYSLKTFAFLEKLSDAFLASCSSCSYFFSENESGKGYMDKGRAQMTNSQGKPSDGRKWTNVLLAVNVLVYAAQIATQGKLLLWGAKVNSLINKGQLWRLVTSSLLHANIGHLMINSYSLNSIGPTVEQLSGPRRFLAIYFTSAIASSLMSYHFSQSPAVGASGAIFGLVGSYAVFVSRHRNLVGGGKKDLLHVAHVITLNMVIGFLSKGIDNWGHLGGLLGGAAVSWFLGPAWKYITQSSDGRLVFADKAPIFRFINKGRRLP >Ma06_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1832454:1834004:-1 gene:Ma06_g02360 transcript:Ma06_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAADASLELRLFAARSVTRSLKEAPAMEKGDGRKAKLEEYVTRLEEEKRKIEAFQRELPHSMHLLTDVIDGLKKELGQCKMGESCARVLGEFMPKKSKFEEKGAVNPEADCKEKRNWMSSAQLWSDNSNRSNYEENENEKEVLGERGEELSRPRKKESLHLESKSLSGGSAFVPFKAPPALSASTKKQEKPSITLPDLLLQASAIDNGNLTPAAVAEGRLVGDPVSEGIGRAPALATANDRTSSQTQQQQPRKVRRCWSPDLHRRFLVALRLLGGAHVATPRQIRGLMEEDGLTNDEVKSHLQKYRLRMTSSSNAKNRPAAIGGGGWVHEEQHSASLQQSVPQSGSPESPLRLPVASTTGDSCEEEDRKSESHNCV >Ma06_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1660053:1660568:-1 gene:Ma06_g02050 transcript:Ma06_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGFAPETSHSGSGSGGADLDDLSEADVWSACHGDNGEGRNQDRRTSGDSSSRSVDQDAGGLSLVLRDTHVVTATAQTLPSEPERERVATSAPVAVPASSLSSSKREEDEPAGEWLPPHVYLERLHANAASPSVLEGRGRTLKGRDLTMLRDSVWRRTGFLDYIDADENK >Ma01_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21549853:21550787:-1 gene:Ma01_g21640 transcript:Ma01_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLILVNPTEEAAAAATSTISSTFAHPNKSSSKNRPILCRLWCMLSPNKQHDKGAAAEAEIYEPPRHTLTLSEGARPPPELERVFDYLDENGDGKISPAELHKCMRAAGEEISPEDARSAVESSDSDGDGLLGMEDFVKLVEAEGDEEKGRNLRDAFGMYATEGQGCITPSSLRRMLKRLGESRSVDECARMIQTFDLNGDGVLSFDEFKIMML >Ma11_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1250566:1254341:1 gene:Ma11_g01760 transcript:Ma11_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESWPSPWTSVAALLLLFISIWICKRNHQRTIRRTSKKLPPGGRSWPLIGHGLEWYMAVASSHPPKFVEDQVRRYGRIFRCNLFGKQAVVSADPYFNRFIIQHEEKLFQSCYPKSFRDLVGRNGVIVVHGQQQRKLHGIAMDMMRAEKLNLNSHFLADMQIVMLRALSTLQDNHVVVLQDLCRKVAINLMVNQLLGVSTESEIGEMACLFSDFVDGCLSVPINLPGFTYYTAMKARKTITMKIKKMIEITRQQTRSAGENGVLGRLVEEENLPDDVISDFIINLLFAGNETTAKTMLFALYFLTHCPNALEQVQDEQMSIRRRRGSDMLSREDYEAMPFTLCVIDETLRLGGIAIWLLREAKVDIEYQDLSIPKGFFVVPFLSAVHRDESIYPEALSFHPWRWMDQENKDKRNWRTSPFYTPFGGGARCCPGAELARLQIAFFLHHIVTKLRWVQVKEDRMSFFPSARLVNGFQICITKNPDEVVG >Ma03_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26325484:26331317:-1 gene:Ma03_g21340 transcript:Ma03_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALSLPPFPAQNSRECPINPLSLRSSLQIIDFSRETQANREADANTFLAKARQRKESMELSSSYLSRGAASFFTQANALHRKNLTFQKLNLKTNIEIVAFPIFLCAVIFVIQNVVEDEMNKDGHRYGCQGGVCSSLFEWPALLQVPGPESRAVTSDHPTPAGLPDESCKVSQSCPALVLLTGGNQSFAQILAQSLLLSSSSALNLLDFPSSLSTVILGTDTSTGNTQFMEPAFVSDRPLNLIQPQCTSNARSPISIKIANRSIELAYDFLNSDENSFNLNIGYNSTCNDGNGYLVRVPHSVNLASNAYLKFHDGPVVMAVLYLKEMPKTGTQSRFDISSLLSALFFTWVIELLFPVILTYLVHERQQKLNIMMEMHGLRDGPYWLISYVYFFSLSSLYITCFVIFGSLIGLKFFRLSDYSVQIVFYFFYINLQIAMAFLASPFFSAVKTATVTGYMYVFGSGLLGPYLLQFFIEDTSFPRAWIIMMELIPGFSLYRGLYELSQHSFKGDQMGTSGMQWGDLNDWQNGMKDVLIIMFVEWLVFLLVAFYLDQVLGGIRKVPLFWLNYFQKKSPSSQRNRSLRRRGFGVPVETESSVVSQEVSCITFQSYCKLD >Ma09_p26580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37808996:37809896:1 gene:Ma09_g26580 transcript:Ma09_t26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVLLDFWASPFGQRCRIALAEKGVDYEYREENVLGDKSPLLLQSNPVHKKIPVLIHDGKPVCESLIIVQYIDEAWPDRAPLLPADPYSRAQARFWADFVDVKFNECGSRLWKLKGEAQAAAKEEFIGILKLLEGELGDKKYFGGDAFGFVDVALAPFVSWFYSYETCAGFSIEEAAPKVAAWGKRCMERESVANALSHPDKIYEIVGVLKKKFGVE >Ma02_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25900398:25902885:-1 gene:Ma02_g19700 transcript:Ma02_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKLSAVLKALSRSNTAAATATTNAQSLASGKLVHAKSVSLGLQSNSLLCRALVGFYLSFHLLHPALKVLESNTSDDVSPWNALLSVCSKRQLHAQALCLFQKLLLLSPRLKPNAFTYPSAIKACAGLGAARNGEVFHAGLMKSGFAADVVISSSLVYMYAKCDRFSSAIQLFDEMTHKDAACWNTVMSCYYQSGQASKALELFEEMNRCGFEPDCVTYTTAFSACGRLQDLERGRKMHEMLNESGFELDAFISSAIVDMYAKCGCLESARDVFERIPSKSVVSWNSIIGGYSSAGDSHSSLILFRRMTKEGFKPTSTTISCLLMACSRSSNSRHGKFIHGYIVRNCMEADIFVVSSLVDFYFKCGIARHAESVFEKMPKSNVVSWNVMISGYVTVGCFFKALELFHDMRVYGVSPDAITFISVLSACAQLAALEQGREIHKQISNHGLECNEKVMCTLVDMYAKCGAISEAREVFDRLATKDILSWTSMIMAYGSHGQASEAFKLFHELQKVKAKLDHVTFLAVLVACSHGGLVDEGRLYFDQMTNEYGIKPHIEHYSCLIDLLGRSGRLNEAYSVLKKSTPEIRADAGLLGSLFSACSLHRNLELGEEVAKLLVEVDPDDHSTYVTLANMYASVGRWDDVRKVRATVKERGLKKNPGCSWIEIEKRIHQFFVKDDSHPQAELIHEWLGYLSLHMKREKSDSLMSMTATVD >Ma00_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:20208926:20210904:1 gene:Ma00_g02750 transcript:Ma00_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGVGAGKEEKRRRKKPWVKIEVTGGGGILILGSVLIAAAVGAGTAFVARRAQRRRSSTTAKPADDEENDSASKPLTSKNPDVGGTEDTILEDDTHNDGCGSIEQTLEESEFVLVNGTGEGSELILEKPDVVGEEISSTEPRLDVSQQPTEQPLHESEITFAHEEEDDDGVSSMESKYGMNYHQVEFAHDDEEKEDEAPLKEGAQLNMTELDEASTDSQFDQVIGEDEPDSVLAEKIRGEVEALLTESRIETTEFSAVKEIDEQEEEEEASSTAIIEETKPQLEMSQQQTEQAMDEPKIVLVVHEEIEDKKAMSSESESESGMNDHQTELAYDNEAKEENESGLHQTQPGMNECYSDDVRGESMLQQIYKGSELSTMKDINEMEEVSSTGSQDIAGSSAEEAEMREEGSDSTGVSSTESNADAIWPTEVIEQEKILQAVEVVADTGDQSETSAETKNMIHVEEAEEEQQKEIILVKQVTVDNVMATELTTDKEKLPIMDWFSMNSPTRLFLLLVVMALFLGFLSFIDHFIKLSYRLYQILSPARENRQEL >Ma04_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1880906:1885306:-1 gene:Ma04_g02140 transcript:Ma04_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLHSLLLAFFFAFFASHRASRSLVLAQKSPASVDVGVVLDLGTETGKRSRTSISMAIDDFYALHGDHATRVVLHVRDSDKDAVGAAAAAVDLLKNVRVKAIIGPMTSTEAAFLIQLGDRTHVPVLSFSATNPALCPAHTPYFVRTTTNDSSQVAAIASVVQHFGWREAVLVYADTEYGTGIVPFLTDALQSVDARVPYRGVIPSEATDAQLDGVLRELKAAEARVFIVHMLPYLALRLFRRAKKLGMMSRGYVWIATDGVTSVLELLDRQDVLEAMQGLIGVRHYVNRSKEVTNFTARFRWRFRQDNPTVKPADPSVIQLWAYDTAWAVAMAVEKLHPARSAFKNSRSGNDSTDLSRLGVSQTGLALRNAIFDTRFRGLAGEFQLVDGQLQSSAFEIVNVDGEGETTVAFWTPENGISEHLNSTASAGLKSVVWPGDSTEVPKGWEIPTDGKRLRIAVPVKHGFDQFVRVETDAMTNRTSVTGFCIDVFQAVIDSLPYAVTFDYIPVANSSKSYDNFVYQVFLKNFDAVVGDTTIIANRSQFVDFTMPYTDSGVSMVVRVKDAKSKDLWIFLEPLPIDLWLGSLAFFVFTGLMVWVIERQENPEFAGKPLDQLGTIFYFAFSILVFTHKEKLTSNLTRFAVIMCTFVVLILTSSYTASLTSILTVQQLQPTVTDVNQLLSTGAYIGHQDGSYAVGLLKRMGFQDHKFKNYSTPDQYAEALSKGSANGGVDAVFDEIPYLKLFLSQHCADFTMVGPIYKTDGFGFVFPRGSQLVPDVSRAILNVTEGEKMAAIEKKWFGDRTNCTPQSNSLSSSSLAFWSFGGLFLITGAVSGLALLIGLAKFIYHEWDGLRTAASEKTSLWKKIVAVLKHYHDVDGPRPCLTLKMDDYGELDDEDLNKIARPGDAAGLHGSVGSQSPVSVSDRSDFSFASPEEGMSSTEPSSPYHYATVEMAEIREAA >Ma02_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25772356:25782802:1 gene:Ma02_g19540 transcript:Ma02_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIGRDASEFATTTAYMRLADEYDNCFLGLSPDIAVVTNVELDHVDIFQDEEAVLKSFKKFVKQVKAGGHLIFCGDRSKCGQMPIQYRFIQRVKRGACALLIEQRQEAVASNCTSAISAMSRHGCTVTTYGLSTDNDWSASSITPNLLGGQDYMLCYKKCKIARISLLLPGVYNVLNSLAVIATMVTLVNGKNSIHEAICFVRNHLSKFKGVSRRFELIGKINGCHIYDDYAHHPTEVRAVLQAAREKFLKQAIWVVFQPHTFSRLSAFMDDYSTAFKDADHVVITKVYGARERNIWNTDGEALANVIGGRSTEYIHELEAILYGYFSRMFKGCGSPHDLSSVSIKKGKPRPEHPEVV >Ma04_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2134305:2136339:1 gene:Ma04_g02500 transcript:Ma04_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHSFCLLPPTLLFLLLTSPARFANSATCPYDLDSASHLISPACYANASAASAATNCCWYVYTAYTFAAVLHANRSGTAFLPPAVASACSASFAAVLVSRGLVNASLLSSTSCDLGSSLLAAAGRPCQFPTVRGIRSAADFTLASRLCASPTAGDLSPDVGTACPACQNAVISATFALLNATHSKEFVPCGVAATIAVWTPFQPSPPRFASYARCMLQVLENVGGLGTDNLIPSPPPPSSVQAPVSTSSSSSASRSIKIAVGSASAGLVSIAAIVFLAFKIRRSTAVTSNMKDEKEPPPSSTAASPLPTDGLYIFTKAELRAATNGFDDRLLLGEGGAGKVYLGRLPSEQPVAIKRIHQEQKVAEFYAEVAILAKLRHRNLATLVGYCFGDREHALVYEYMAGGNLARALSSGELTWWRRVRVAVDVAEGLAYLHGLPDGAVIHRDVKPTNVLLTDAGLGKLSDFGVSRVVPPGGNHVSTELMGTLGYVDPESFPAGHVSEATDVYSFGVVLLELVTGMRAVVPTPTGGAESIIHAARASAGWSSDGAGPSVVDPRLGEGWDRATVGAVFELACRCVRPRREERPTMREAAAELAAALADLEARTGGAEEAATSPPQPPPNESPAPPSASTGSPPSSVHAWSI >Ma06_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4315177:4315663:1 gene:Ma06_g05860 transcript:Ma06_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNATPRSLEGDMTVDEFKEWLKRFDTDRDGRISREELKRAIRSIRGRFSGWKSKRGIKYSDADGNGFIDEDEFDNLVDFAQKSLGLKIVSF >Ma06_p09390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6592717:6595251:1 gene:Ma06_g09390 transcript:Ma06_t09390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANGHRRKAGSHQFDSSCTGSVSSISTRFSELTLDDDETECVDLQDFDLEKGSTREKALSGAIDAFEGLDLLKFVENNNGNETTSSFKKNKEQSTLKNSSPSGLPSLFWSL >Ma06_p09390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6592717:6595251:1 gene:Ma06_g09390 transcript:Ma06_t09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFYDIADPFVFRCFLRISPPGYLRHSIPAVLLALSRLLFRLESLVLTFQGFRHGKRGSTREKALSGAIDAFEGLDLLKFVENNNGNETTSSFKKNKEQSTLKNSSPSGLPSLFWSL >Ma06_p31600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32682179:32683477:1 gene:Ma06_g31600 transcript:Ma06_t31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITVHLGAALINTYARCGSIKESRRVFDGIPERDLISWTAIICGYGMHRLAEDAELLFRSDGVTFMGLLMGFSHKGLVQKGQQYYRRMIQQYGFKSTLEHHSSMVDKLGRAGRLDEAEEFIGNMDVEPDASVWCGLLNACKIYGNVDIGERVIEQILRIDPGYAGRYVLMSNIYAFMRCWDGVARMRLLMKENRISKPPGWSLIEIGGQMHIFLAFDKSHPRSNEIYNFLKDLEKRMRIEHYVPEIKCVLSKLDEEHKDDMLCGHSERLAIAFGILGTGDGEVLRVIKNLRVCVDCHTATQVHKIVKQEIVGRDAKRFHHFKDGACSCGDYW >Ma06_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17896935:17899337:1 gene:Ma06_g22320 transcript:Ma06_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHGSSGSSINNIGMWDWQDEDCCLARDSRLGLSHCPWDDDNQKNESLLNVLVNQTPIRDCGLDVIDAGKTKRGLEEHKEASQVKRRRVLRFPSVADGATADNGQPSSAPVRSKGDSMVVDGWPENLQWNPIWSSGDSFLFSNEVLDQSSDEWLENYFNDSDMYCSSNEMSSSNNERIEPNDQVDVADFCNVEPDTRTIVIPKTPKASTVKIFTGRRSHINSPIKLTTSVAYPFSLVKPSEVQGHLTLKDINQRIHAPPPSRSIDKKDNNPSIIYPTSAFSGKPVVVKTKILTQGGKGSITILRTKG >Ma06_p22320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17896892:17899337:1 gene:Ma06_g22320 transcript:Ma06_t22320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHGSSGSSINNIGMWDWQDEDCCLARDSRLGLSHCPWDDDNQKNESLLNVLVNQTPIRDCGLDVIDAGKTKRGLEEHKEASQVKRRRVLRFPSVADGATADNGQPSSAPGDSMVVDGWPENLQWNPIWSSGDSFLFSNEVLDQSSDEWLENYFNDSDMYCSSNEMSSSNNERIEPNDQVDVADFCNVEPDTRTIVIPKTPKASTVKIFTGRRSHINSPIKLTTSVAYPFSLVKPSEVQGHLTLKDINQRIHAPPPSRSIDKKDNNPSIIYPTSAFSGKPVVVKTKILTQGGKGSITILRTKG >Ma10_p27660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34840998:34842026:-1 gene:Ma10_g27660 transcript:Ma10_t27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGHADVERNHSMELHPRAWSRFLAQGGNKGGPCVPGKANACSGIPANYGTQLLHCCQKRCCDVLNDNANCGTCNKRCGSAQSCCHGKCAATANDPRNCGACGNKCGSGQLCCSGKCAVVSSDQHNCGACSKRCGSGQVCCEGKCITTASDNKNCGARGKSCSRAGQVCCNGKCTLVASDAQNCGACGNRCGSGQSCCNGSCTAVTNDANNCGACGKRCGSGHLCCGGKCAAAGSQNCGACGNRCSAGQSCCNGSCTTVTNDAKNCGACGKRCGSGQLCCGGKCAAADSQNCGACGRRCGYWQLCCQGTCTNVASDDRNCGQCGKVCRNNDRCEYGICGYA >Ma01_p13380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9786107:9790047:-1 gene:Ma01_g13380 transcript:Ma01_t13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MFDMATLRNLKIKTATCKRILKELQSYEKEVDREAAKTADMKEKGADPYDLKQQENVLAESRMMIPDCHKRLEASLADLKGILAEIKESNQQGVEIEEADTIIADVEAFFQAADA >Ma01_p13380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9786107:9790219:-1 gene:Ma01_g13380 transcript:Ma01_t13380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MATLRNLKIKTATCKRILKELQSYEKEVDREAAKTADMKEKGADPYDLKQQENVLAESRMMIPDCHKRLEASLADLKGILAEIKESNQQGVEIEEADTIIADVEAFFQAADA >Ma01_p13380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9786107:9790217:-1 gene:Ma01_g13380 transcript:Ma01_t13380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MATLRNLKIKTATCKRILKELQSYEKEVDREAAKTADMKEKGADPYDLKQQENVLAESRMMIPDCHKRLEASLADLKGILAEIKESNQQGVEIEEADTIIADVEAFFQAADA >Ma06_p30620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31850494:31853593:1 gene:Ma06_g30620 transcript:Ma06_t30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRSKPAKGKEKGFAWKLPVVKTEDLGKLGPGLSFGAGCGVGVGIGLFGGAGLGAGFPGLQFGIGAGAGCGIGLGFGYGMGKGVSFDENGKHTNVGKLFEGNPPSRGQIGGLIDEAVVTAKNLVKATSREIEKWR >Ma11_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21201274:21203355:-1 gene:Ma11_g15500 transcript:Ma11_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYVLVFLMAAVSIEGTRMRRLHPSGETDLVVGLPGQPSVNFKHYAGYVSVSEHRSLFYWFFEAMEAPATKPLVLWLNGGPGCSSVAGGAISEVGPFLVTDEGSGLRFNPYAWNKVANLLFLDSPVGVGFSYSDSSSDAKNLNDQITAEDTHAFLINWFLKHPDFKSQELYLVGESYAGHYAPQLAEVIDERNKGAKDSYINLKGLMIGNPLIDVEEELRIATIDFAWKNGYISDNLYQAATTACTPVPGSNCPELVYEVEDSFSDIMFGIYSPTCSKFNTIKNEIGSGLATEATGYDPCSTDNAEVYLSREDVQKALHANLTRLPYPYSFCSMDVHNAYNQTVPTVLPLLRKLIDAGYRMWIYSGDTDGRVTIPVLRRSINKMNLTEKAWDKWGGWKKWYYEAQVAGWMVEYTEGLTFITVRGAGHMVPAFSPGRGLALISNFLKGEPMPFKDTQSKDTMH >Ma11_p18660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23677749:23684140:1 gene:Ma11_g18660 transcript:Ma11_t18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARDSCLARVTAGVAIGGAVGGAVGAVYGTYEAIRYKVPGLLKIRYIGQTTLGSAAVFGLFLGAGSLIHCGKSY >Ma04_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1277548:1280974:1 gene:Ma04_g01410 transcript:Ma04_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEMRYLEVEDSPVMKTIKGRSIPTAISAGSALAFTSAMLDIGGRTARVDNGKECFPYTTEKRSTAD >Ma03_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24660158:24673049:1 gene:Ma03_g19330 transcript:Ma03_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDAEECRPWRRRLSSMAVSWSALFRVSFLFLLAAAIVTAFITLPVEKILKDFLVWIKQNLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPIGFVADSIGATIGATAAFLLGRTIGRPYVISKLKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVSIGEYMIASWLGMMPITFALVYVGTTLKDLSDVTHGWSEVSTTRWVLIISGFAVSAMLMVCVTRVAKASLDKALAENTEVENILVTPPLPVLFGPPSDLHQPLVIKIDPSSDNHEK >Ma02_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17013023:17014228:-1 gene:Ma02_g05840 transcript:Ma02_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFHETLARPPQELSCPESTTGFPPKPGYRRRHPKNPDEILRDFLSSHPAHSFCATFSGGAALACLDPQAPPPSLLCQRFFCSFDEVYCMFVGSISNLSSLVREYGLCGKMSNEALLVIEAYRTLRDRGPYPADKVVKDLAGSFAFVVYDNMTGAVFAALSADGGVPLYWGIAADGSVVIGDDVEIMKGSCAKSYAPFPTGCMFHSEGGLRSFEHPMNRMKAMPRVDSEGALCGASFMVDASSKIAAIPRVGSAVNWASSWALCI >Ma04_p34030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33384571:33388030:1 gene:Ma04_g34030 transcript:Ma04_t34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHCLLRRELQALCKKNRIPANMTNVAMADALQSLFAVGGMESIEEALQNQSPKNVQASSAYLPRSSRRISARRAAASTASDDPKEQPASPLPRARRVTAMDSETGRLFSEEVDRDEEQKEGMMEITPMAKPSTKKQPRGTTTARYTRRRATKKEDGEAAEEGLIEAAKTPAPRNGRRTMARKEAESPAVVDEGTEDTVVSSRTTTRRARQSSKSIPVDVTATTLRRSSRARARVSVPDMESLAQDVEEQAEKGIEIKKSVDTEGDSMIPPPCKKSSDMAAGNVTDLKEIQDKTVIDGDNFEQDCNPVVADSNLSQHHHSSEVKEDGVVPETDGVDDVKDGDSSIASCKKTSDLAEENATDLREAEGIQDNTLIGSENCEQDCRPVVVDIDLSQRQHSSEINEDGIVSETEGLDDVKDGDSLIASCKNTSDLATETEGIQDETIIDGENCERDCNLVVVDTDPSQHQQSPEVEEDGIVPETEGLNEVNESEIAAQQHDVETGIETMDLVDRDGAFLLLSDEKPSDLAAGNASHLCSMDSQEEILPSEICEQGCNVADDITNLVASLLLSDEKSSDLAAANASHLCSMDSQEKLEKESGGDQEEILPSEICEQDCNVSVVDADLPLHQLVADPKEPEGFDVESSPKMEGGCVGDQMANQADDTNLPLHQLAVEPKEPEGFNAELSPQKKGGREEEQTANQADRESSVLEGTPLISSLGNPDITGDAADEQSQREEEETEDEMQTYSAPKNDDETSDGDETVAEVVSFSLPQQKRTSLHRSNTVDLPAESLPEVGDLIGDSEVSPDAVTVEVVEAAKLSQVGDAEDSVGALMATAIIVEVEKSENQKESDADEEKKSFGQLTMTEIGCAEAKVESDAEKQSLPVVDLQNMSLRKLKLLYKEKKSNAKATNKVEGTRLALAELNENVL >Ma07_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7126328:7157372:1 gene:Ma07_g09550 transcript:Ma07_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRFRPAQGHLCPVCSIPHFPFCPIPPPPLTHAFDRYHTAADEFHRFPPGLAPPFPHEPPPWDHREPLPIPYAPEPWGVNRPFERNPLRPVTHPPQRFLQDEFLGGETSYKRMRVEDSLMGTFHTPSSHDRYEFAPGRTSSDNERRLNLIRDHGKPNPVEGPPRSSGEFLPDRFVRDGMLPPDTFVFNGPQNQYRDVVVDHGFDGPRISSVGRSGQILPFESTFGSQNQFLRPTPLEEHRNFPGALSSQGDDQFVSRERLDFERVGPNDSRLGEYRNAHYEREDFGRGPEVGNVHSDISFQRYDSCKDGGSMSSSEYNAAHVKDKQRFSVMGHRVIYCTPDYVSCSKLDGYDQHDFQELHPSKNSPYPLPKQPQYSEQGSHHLAQDTVGNELKGSYPAFGDPTGNIFDLTNQWTEAPESKVPDANDIHRLLKPVNYTLVEQSSAKNHVVQGGLRPLPGMNNSNGMIEEMHRQIHTPGLYPSVSPPPLSAGHREPFLNHIPATSSVPSVPPPTLFPVLASASGTTSLPPNQIFPDPHALPRGSGYAEQPPHPTEIVSEDLHPIQQAPLKQYPEAVPNVSANRPFNIKSTIVDVCDLLKQPHRASRPDHIVVILRGLPEHFHAYRSSMLKAFKKTLEEGIFTFIIVDDRNLRVADFAQFWAVAKRSGYEVYLLEAPYKDPTGCAARNVHGFTQEDIQKMAEKWEEAPLLYLRLDIQSLFRGDDLNEHSIQEVDMDINDSDCDDDGTKLQDEESLKPSEPKSVDYVPDKDLSKVGEKWDSEEEEEGQTRIKELGSSKWSKDLEEDIENSENVRGNINALSGLIQAYSKSGKSVHWGDQADKSGFSIGAMKKQISLIIGPGSGYNLASNPMVEDQDSVGATESSSMSESKRRFSEKLRAEHESFRTIFNKRRQRIGGFFNMEDD >Ma06_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4790120:4792483:-1 gene:Ma06_g06630 transcript:Ma06_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLMGALFLSSMVVFAFPDDARAEHAGTTRHYSFDIRMANVSRLCATKSILTVNGEFPGPRIVAREGDRVVVKVSNHVPHNVTIHWHGVRQLRSGWADGPAYVTQCPIQTGHNYVYNFTVVGQRGTLFWHAHVSWLRATLYGPIIILPKHGVPYPFAKPYKEVPIIFGEWWKADTEAIISQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRLINAAVNDELFFSIANHSVTVIEVDAVYVKPFDAETIVISPGQTTNVLLHAKPTYPSATFFMMARPYATGSGTFDNSTVAAVLEYRKPYSSSAAGFDKNLPLYKPTLPAFNDTSSAANFTGKLRSLATALFPANVPQTVDRRFFFTVGLGTRPCPKNQTCQGPNGTMFAAAVNNISFVSPTTALLQAHFTGKSSGVYTPDFPVVPLMPFNYTGAPPNNTMVSNGTKLVVLPFNTSVELVMQDTSILGAESHPLHLHGYNFFVVGQGFGNYDPVNDPAKFNLVDPVERNTIGVTAGGWVAIRFLADNPGVWFMHCHIEAHMSWGLRMAWLVLNGRLPNQTLPPPPSDLPKC >Ma09_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25534615:25535751:-1 gene:Ma09_g19640 transcript:Ma09_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSGRRDGLYIIGEEKQKDAFTYPSIFALSSSSSSPSTSTAFRWHVRPCDGGAAGDGRRESDFFTEKEHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDPAAQEKGLLLSFEDRTGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDIVSFGRGVGESTRDQHYIDWKPRPVNGDIPMLPLNPLTGVSLARPLGPWGGQFFIPPRAGHDHHRPGFGYNGMNWGSTGGGQLLFFRSSMARPPQIAAQRDSGSGPPMVLSSPPLVRNQAEAKRVRLFGVNLDCPESKGDEHLVPSARSPSAAQLQPASTLPFHPLPHGSMEFSEASSSTNKDLHLPLDLGL >Ma08_p30340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41639856:41645900:-1 gene:Ma08_g30340 transcript:Ma08_t30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGSDTGSTETYMMPGVGTIPNATMIPPLAGTEFMGNELHPEYTFDRRPNYPAANHYGYLCPAYESPGEWGDHHGIFGLDGQDLHYMGLQAESLPYVYYSPGYVYAQSPFNQYTSYVPGAVTGLDGSFLGTQQYLSSPSYQPPISSPAYIPVIVQPSSDFVTSRSMDPFLFGASTSVATRPTNVSTKVPTQASVSVAAASQLATSMSPSVMLDTSQPLHKNLAAFKPSEGSQFNIQPSNQSSSHESMMHVSGTTRHQSHGTVAGPSSRGLTNRQPNVFGWAGQDEQRRRLQSKDDVTDGGRHPYVLGEPNKESKNRLKDQSTSSGVELAGLSQISASDVQGGCIIISPDDYNKDDFPVNYPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLNSAYEDAQRKSAGKSRKCPVFLFYSVNASGQFCGLAEMVGPVDFDQDMDFWQQDKWSGSFPVKWHVIKDVSNASLRHIILENNENKPVTNSRDTQEIPYSTGINMLSIFKTSLLRTSILDDFMFYEVRQKKMMEDKIRHFGRSYTASLHVPAVVARSGPDGKADHPPRVGENHSSAVVQISQAVEYQTDDAAAQPLKSREKMQDDSASRPPKIDGKHSGVAVDKSQRTDGKQFSPAVSLLPFKADGNSSTDTKDLHFITGGMQSSEIQFPMGNAKSEPAVNSAKLDEKQHSLVTNQLPKSEIKPPSFAVSPREDGMEAKQKIQRSPKPDGNLISPNDVNSVGKTGPSGGQAKTSVHSESSDFEPYYLSGDGKDTNAIIKPGMRSVDPKQGTTMGLEVIPPDVVKVGSMQIKVDLGESPSRVMSVRAKSTEFKGPRLHKKGMSPDGQRPRK >Ma02_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5999184:6000450:1 gene:Ma02_g00670 transcript:Ma02_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNTDSHDQAGIVYNGSLVPLLKLLDSKNGCLQHIVAFALYGIAENEDNVSDFIKVGGVQKLQDGEFIIQATKDRVAKTMKRLEEKINGPVLKHLLYLMRVGEKAVQRRIALALAHLCSPEDQRTIFIDDDGLDLLLELLGSTNLKQQQDASVALYKVAKKIPDSILLMQLLHPPHLRFAKIGIP >Ma04_p29370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30326899:30329882:1 gene:Ma04_g29370 transcript:Ma04_t29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAALQRPLSLLLAAERRRSTSRLISRFLSRYRSPYTGKSSSSPFSIPFSFPRSFSSFIERRLLLHPHTAWPPLRAALFSSASIRRRGGEQPDESEVEECMIEWEEEEEAEPVLGDGGDGGGVVLGGVRWGERVLSVAREVLDLHFGEDLVMYALKVSPRGYVYVRLDKLTNKYGCPSIEEIESFNSLYKKRLDEMLESGEMPLDLALEVSSPGAERLLKVPEDLNRFKEMPVRVQYLEEEEAAESKRHQQKVGVFLIELIDADARLCVWKLADVRENRAESGKGRPLSRKQRDWRLQLPFKAVKKVTLYSD >mito3_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:276649:276819:-1 gene:mito3_g00030 transcript:mito3_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSFSVLVIFVSSLLYLVIPGDDEDLDISFYMNTTATHTGVLRQIHSTEGKRWD >Ma04_p27670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28857405:28857679:1 gene:Ma04_g27670 transcript:Ma04_t27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQNELLRERKKNRKWLNAKSKSDEGTSCGEHYLARRTQTSPLQVSRLLLNSSGTVMNIRNNLVTWP >Ma04_p35610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34298195:34302696:1 gene:Ma04_g35610 transcript:Ma04_t35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRKKKRTPATGQFEAEPGEMQEIEAAALVSRMIPPWSKQITLRGLAASLAIGVMYTVIVMKLNLTTGLVPTLNVSAALLAFAVLRSWTKLLHKIGVLSTPFTRQENTVVQTCAVACYNVAVGGGFGSYLLGLNKKTYEQAGVDTEGNVPGSYKDPGIGWMTAFLFTVSFVGLLALVPLRKIMIIDYKLTFPSGTATAILINGFHTPQGDNVAKKQVHGFAKYFAASFLWSTFQWFCSGGDGCGFSQFPVFGLKAWKQTFFFDFSLTYIGAGMICSHIVNLSLLLGAVVSWGIMWPLISELRGEWYSSSLPESSMSGLQGYKVFLSIALIVGDGLYNFLKILAFTARNMHARATRRMIKSEADQDNPILNDLQRNEVYIEESIPVWLAYSGYVLFTVVSIVVIPFMFPEVKWYYVVIAYMLAPALGFCNAYGAGLTDMNMAYNYGKVSLFILAAWAGKDSGVVAGLVGCGLIKSVVSISADLMHDFKTGHLTVTSPRSMLLSQAIGTAMGCIIAPLTFFLFYNIFDVGNPNGNWKAPYALIYRNMAILSVEGFSALPHHCLQLCYGFFGFAVVANMMRDTLPAKYSGWVPLPVAMAVPFLVGASFTIDMCVGSLIVFTWHKLNRKKGALMVPAVASGFICGDGLWMLPSSLLALAKVDPPICVKFVAIE >Ma05_p25410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37366652:37367446:1 gene:Ma05_g25410 transcript:Ma05_t25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQEPQRLREKEEEDKAPPPPSSSASLSDEFSFATSLHPPLNTAPNTLKSHRSTSTVALDMAAADDLFFHGHLLPLHLMAPRPSDFSAESFSLPKLNYQRDDTHKNSGENKERVKASSMTLSSFFGLGKPRKGGDDREREEDTRRRRKRGFDMSRLLKKYTRVMEHLFFSKGEKKKRDQRRSLCTFSGHSNHRGEREWWRKKGQLSAPASTMASPRNSGLLSASAIAFSSPNDSSMEELQSAIQAAIAHCKKSVAMEEERCKS >Ma00_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15269271:15270677:1 gene:Ma00_g02070 transcript:Ma00_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALILSINIIALDGRSDGSIVDYDIRKDDRAICDYRGHRLEVCSLKWSELFGRYLASGGKDKLVHIWDTRMAVANHHPRQHQLLHKISNHTSTVRALDWCPTRSNLLASGGGRNDHCIKFWNAVNGVSLNSIDTGSEVCALLWDKNNSELLTSHGFPNNQLTLWNYTSMMRKAELFGHSSRVLYLAGSPLGGVVASATEDETLKFWNVFETPKPPKPEANTVPFAQFNVIR >Ma03_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24870362:24872889:-1 gene:Ma03_g19580 transcript:Ma03_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGNLKVFVGACTPHPNYRPLNSILPSSKVHFGHFLNGASDLKIAHKWLHMESLATNTANHSQVCLGIGRNNLSPTINQDADGNLLNVVNMSFFDRLGLAWKLLFPTTKARRNTNARIAKQRLKMILFSDRCSISDEAKQKIVGNIIEALSKFVEIDSQDKVQLNMSTDTDLGTVYSVTVPVQRVLPEHQDSEEDYRGKISSTEYKDTGETSATVDVTFDFFLPDEN >Ma03_p25900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29825999:29827204:1 gene:Ma03_g25900 transcript:Ma03_t25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPANLHTYASLPSKKSGASSCSSSSNSRRRFSGTNLIVCSSSLDKQRRRVGGGAPAVASPLQVAEELAARFGLEMAVADLERIYREYNRGDGPPAFSDPGSPGRPLRVAYQGVRGSYCQEAAAAAFNSSTAAEDSGRLSFLPCSDMENAFAALEDGSADRAVAPMENSLDGPIHRNLDLLIRHPGVRIVGELILPVDHCLLALPGARRSALRRVVSHPQALAHCRERLGCLGLEIEEASNAASAAEWVAENGIRDTAVIGSKMAAREFGLQVLERNFQDQPPGANVNRYLQLALGSSKGNGKKTTVAFSLERGPTDLFRALWAFESRGVRVSRVDHRPNRANPIRVVEKATYMDYMFFLDLEGPESDPRVKAGIRLLHEFAGFVRVLGSYDCTYRTR >Ma09_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2434051:2445617:1 gene:Ma09_g03690 transcript:Ma09_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:Projected from Arabidopsis thaliana (AT4G26900) UniProtKB/TrEMBL;Acc:A0A178UW68] MDAPALSSSRGVSIHVRPSPPLTLRRALSPTKPYSRIKTKPFSICASLSSDSTVTLLDYGAGNVRSLRNAIRYLGFDIKDVQKPEDILSANRLVFPGVGAFAAAMEVLEQSGMAEALCTYIESDRPFLGICLGLQLLFESSEENGPVKGLGLIPGVVGRFDSSNGLAVPHIGWNAVQITKDSGILDDIGRHHVYFVHSYRVIPSDANWDWVSSVCNYGDSFISSITRGNVHAVQFHPEKSGDVGLSILRKFLDPSSSSKKLAHGKASQLAKRVIACLDVRANDKGDLVVTKGDQYDVREHSDEHQVRNLGKPVELAGQYYKDGADEISFLNITGFRDFPLGDLPMLQVLRYTSENVFVPLTVGGGIRDFTDGNGRYYSSLEVASEYFRSGADKISIGSDAVFAAEEYLKTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYIRNPNDVKFKTIKVSSPGPSGEEYAWYQCTINGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGQGFDIDLIKLISDAVTIPVIASSGAGAVQHFSDVFQKTSASAALAAGIFHRKEVPISSVKKHLLQEGIEVRM >Ma05_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9413786:9414576:1 gene:Ma05_g13000 transcript:Ma05_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNNDLCGVVQGLPPCVSLGTPTTDDRSKRHKIVIVAIIASVVFFLLLFIFIGAFRKREKDTVPVDNSHTKGGAFCVLNFVGRDVFNDIIEATEDFDAKYCIGSGAYGSVYRAELASGELLAVKKIHLPDTEGTCDEQPFQTEIQTLTQIRHRNIVKLYGFCSSPRRKFLVYEYMERGSLGSVLRSETAAELDWAKRQQHPT >Ma04_p26240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27763911:27769069:1 gene:Ma04_g26240 transcript:Ma04_t26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVLSDPLISSEETKKSKPKKPQYGSPEEEKKNKKKKKQHVENELSDLELDNGTGETTASEKKKVKKEKKRKVPDDEDKSDTTSDSTEVGEKKTATANGAPAKKPKLMKDEGETDSGAADDPNALSNFRISKVLRETLNSKGIKALFPIQAMTFDLILDGFDLVGRARTGQGKTLAFVLPILESLTNGVHKASRKTGYGRSPTVLVLLPTRELANQVHSDFEVYGGAVGLSSCCLYGGSPYRAQEISLKRGVDIVVGTPGRIKDHIERGTLDLKTLKFRVLDEADEMLNMGFVDDVELILGKVEDVSKVQTLLFSATLPDWVKKISTRFLKKDKKTADLVGNEKLKASASVRHLVLPCTRSARPQIIPDVIRCYSHGGRTIVFTETKESASELAGLLPGARALHGDVMQAQREVILSGFRSGRFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAILLYEPKHSFSLSRIERESGVKFEHISAPQPTDIAESAGSEAVDAISNVSDSVIPVFRSQAEHLLSSSGLSAVDILAKALAKAAGFTDIKKRSLLSSMENYVTLLLQTGRPIYSPSFAFSTFRRFLPDDKIEGVKGLSLTADGTGAVFDVPADDVDAFLEGQENAHSVNIEVLEKLPPLQDRDQSRGGNSGRGRFGGGGGRFSGGRGGGGNGSYRRTGGFSNGGGRGRGYGGANRFNNRRK >Ma02_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20140773:20147196:-1 gene:Ma02_g10650 transcript:Ma02_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEPTGDERQQLQAEEGVVAGEEENKEAEWCYQWPVFRFDRAPRRLYHFRQQFRAGESPSGNFLKGVKWSPDGSCFLTSSDDNTLRLFYLPEDAFTDRAECTGAEIDGPDSYVSSLVVGEAETVYDYCWFPYMSASDPATCVFASTTRDHPIHLWDANSGQLRCTYRAYDAMDEITAALSVSFNTSGSKLFAGYNKFLRVFDVHRPGREFQQHSLLKGNDGPSGIVSSIAFSPTHIGMVAIGSYSQTTAIYSEDNMELLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTIGIVYQLYRSSEHTNQRISFDIEPCGRHLGTGGEDGLVHIYDLQTGDWAASFQAASDTVNGFSFHPSLPLAASSSGNRRFDLLDNVEEKLSLSGDQNCASVWSFSGSLELGHDAAITDEALDSGDVSQENGRGKHPVGSLD >Ma02_p10650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20141003:20147196:-1 gene:Ma02_g10650 transcript:Ma02_t10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEPTGDERQQLQAEEGVVAGEEENKEAEWCYQWPVFRFDRAPRRLYHFRQQFRAGESPSGNFLKGVKWSPDGSCFLTSSDDNTLRLFYLPEDAFTDRAECTGAEIDGPDSYVSSLVVGEAETVYDYCWFPYMSASDPATCVFASTTRDHPIHLWDANSGQLRCTYRAYDAMDEITAALSVSFNTSGSKLFAGYNKFLRVFDVHRPGREFQQHSLLKGNDGPSGIVSSIAFSPTHIGMVAIGSYSQTTAIYSEDNMELLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTIGIVYQLYRSSEHTNQRISFDIEPCGRHLGTGGEDGLVHIYDLQTGDWAASFQAASDTVNGFSFHPSLPLAASSSGNRRFDLLDNVEEKLSLSGDQNCASVWSFSGSLELGHDAAITDEALDSGDVSQENGRGKHPVGSLD >Ma06_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25063520:25080790:1 gene:Ma06_g24950 transcript:Ma06_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MFRRTARVALRFSPFLPRYPPTTLNPIPSPTSHLLRPLSSSADSDAELRKYAGYFVLLVGCGAATYYSFPFSADAKHKKAQIFRYAPLSDDLHTVSNWSGTHEVHTRVFLQPETLADLEAAVRDAQQNRRRIRPVGSGLSPNGLGLAKSGMVNLALMDKVLEVDREAKRVTVQAGIRVSQLVDALKEHCLTLQNFASIREQQIGGILQVGAHGTGAWLPPIDEQVISMKLVTPMKGTIVVSKEKNPELFFLARCGLGGLGVVAEVTIQCVDRHELVEHTFVSNASEIRKNHKKWLAENKHIKYLWIPYTDAVVVVRCNPLSKWKNPKFKPKYGKDEALKHIRDLYRDSLRKYRTDVGNDEQDINQLSFTELRDKLLALDPLNKDHVMKVNQAEAEYWKKSEGYRVGWSDEILGFDCGGQQWVSETCFPAGTLKMPNMKDLDYIEELKQLIEQEDIPAPAPIEQRWTACSKSLMSPASSSEEDDIFSWVGIIMYLPTIDARQRKDITEEFFHYRYLTQTRLWDEYSAYEHWAKIEVPKDKEELAALQERLRKRFPVDTYNKVRGELDPNRILSNAVLEKLFPWKETARVSC >Ma03_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9997080:10007061:1 gene:Ma03_g12990 transcript:Ma03_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSTSAPAAQDDVLRPASPPPPHPSESCGELVEPPVLDLTQAVPFSSGNPRIEETRGIMYLYPEDATSSSILPVGRKPLVCVIAVPNHMTYADFCQFCGAFIQRMFDMRIVRTNGVEDQYSVIIRFDDQTSADEFYQHFNGRSFSSLEGDICSVHYTVDVQYTGSIEHAQSSLTSSAELPTCPVCLERLDQDTGGILTTICNHTFHCSCISKWTDSSCPVCRYCQQQPEKSTCSVCGTSENVWICLICGFVGCGSYKEGHAINHWKETQHCYSLELETQRVWDYIGDNYVHRLIQSKTDGKLVELNFHCAYADDKHGSCECSEESGFNEALLNSKLEAIIEEYNDLLTSQLDNQRKHYESVLLEIKEETEEKISESVEKAIGLKLHKLQMKLDKCIEEKRFFEDINENLMKNQEMWKAKLQQLEESWDI >Ma03_p12990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9997080:10007389:1 gene:Ma03_g12990 transcript:Ma03_t12990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MSTSAPAAQDDVLRPASPPPPHPSESCGELVEPPVLDLTQAVPFSSGNPRIEETRGIMYLYPEDATSSSILPVGRKPLVCVIAVPNHMTYADFCQFCGAFIQRMFDMRIVRTNGVEDQYSVIIRFDDQTSADEFYQHFNGRSFSSLEGDICSVHYTVDVQYTGSIEHAQSSLTSSAELPTCPVCLERLDQDTGGILTTICNHTFHCSCISKWTDSSCPVCRYCQQQPEKSTCSVCGTSENVWICLICGFVGCGSYKEGHAINHWKETQHCYSLELETQRVWDYIGDNYVHRLIQSKTDGKLVELNFHCAYADDKHGSCECSEESGFNEALLNSKLEAIIEEYNDLLTSQLDNQRKHYESVLLEIKEETEEKISESVEKAIGLKLHKLQMKLDKCIEEKRFFEDINENLMKNQEMWKAKLQQLEEREQAAMNLRDEKIQGLEEQLGYLIRHIETQKVIDEPPDGSVASEIKGGTIIPVQSASSSSGSNKQGRRNRRRN >Ma11_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25812612:25817014:-1 gene:Ma11_g21820 transcript:Ma11_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTQRGNKGVVVVANRGAWIAEEDQKLVEYVRIHGDKNWRTLPAKAGLNRCGKSCRLRWLNYLRPGIKRGNISEEEEDLIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNAHLGKRPLTIEDLNLKLNKRLEGTGGLTRPLPTRILASRRDLVATAMDPGGGGGGGAAAEQLHSEFDLERLLSLITNLDGSSSTGNDVGTEADGSGSTLEFEFDDLDKFMNYEDDIGSYLLN >Ma01_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11595982:11599542:1 gene:Ma01_g15920 transcript:Ma01_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWEALLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYCEDMIPTVGFNMRKLTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRDNLPTSRSELHDLLSKPSLSGIPLLVLGNKIDKPETMSKQGFTDEMGLRSIADREVCCFMISCKNSTNIDSVIHWLVKHSKSTN >Ma11_p16460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21992945:21994752:1 gene:Ma11_g16460 transcript:Ma11_t16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTSDRQAASPGQAEFIEFSDDNLLEIQGPGASTQQGRSNGDRGTNDHGSWSNSASKGDCFPDSPGGDSTKWTKNSTEDMQSKRLEMIPNLNSSSFSETKMIKLNLEFTLGTPHSI >Ma08_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8190670:8194095:-1 gene:Ma08_g11100 transcript:Ma08_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSSSRTLEVTPTWAVAVVCLVLVLISIIIEHVIDLVGKWLKKRHRKALYESLEKIKSELMLMGFISLLLTVGQSLISKICVPKSVGDSWHPCKPGDESAATSADGHSQDSSTTGRRLLQDSVSAGVFRRILAGGGGSDKCAAKGKVPLISTDGIHQLHIFIFVLAVSHILYCISTMALGRLKMRRWKAWELETKTAEYQFSHDPARFRFARETSFGRRHLSFWSKSPILIWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSSTKFNFQKYIKRSLDEDFKVVVGISPTIWFFALIFLLTNTHGWHSNLWLPFIPLFVILLVGTKLQVIITRMAVNIMERGDVVKGVPIVQPADDLFWFNRPRLLLFLIHFVLFQNAFQLGFLAWSWYEFGYPSCFHQNIEDIIIRISLGILIQVLCSYVTLPLYALVTQMGSNMKPTIFDERVATALRKWHQLAKKHLKENRRSGSVSPLSTSRTATPRHGWSPVHLLHYNRSELDSVQNSPGRYLTDDDPDVEGRQEELMEGQPEVEENHGEARLPVAHGVDAHATSFSFDQRRS >Ma08_p11100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8190670:8194140:-1 gene:Ma08_g11100 transcript:Ma08_t11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSSSRTLEVTPTWAVAVVCLVLVLISIIIEHVIDLVGKWLKKRHRKALYESLEKIKSELMLMGFISLLLTVGQSLISKICVPKSVGDSWHPCKPGDESAATSADGHSQDSSTTGRRLLQDSVSAGVFRRILAGGGGSDKCAAKGKVPLISTDGIHQLHIFIFVLAVSHILYCISTMALGRLKMRRWKAWELETKTAEYQFSHDPARFRFARETSFGRRHLSFWSKSPILIWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSSTKFNFQKYIKRSLDEDFKVVVGISPTIWFFALIFLLTNTHGWHSNLWLPFIPLFVILLVGTKLQVIITRMAVNIMERGDVVKGVPIVQPADDLFWFNRPRLLLFLIHFVLFQNAFQLGFLAWSWYEFGYPSCFHQNIEDIIIRISLGILIQVLCSYVTLPLYALVTQMGSNMKPTIFDERVATALRKWHQLAKKHLKENRRSGSVSPLSTSRTATPRHGWSPVHLLHYNRSELDSVQNSPGRYLTDDDPDVEGRQEELMEGQPEVEENHGEARLPVAHGVDAHATSFSFDQRRS >Ma01_p13970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10202592:10208137:-1 gene:Ma01_g13970 transcript:Ma01_t13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SIS3 [Source:Projected from Arabidopsis thaliana (AT3G47990) UniProtKB/Swiss-Prot;Acc:Q8GYT9] MAMRGVDFKWYDGFFLSMLATSVIIVSINWKRYHLCTHPLHIWIVVDYTTVFIFRLLMFVDNGLAAGMGLDLGSQQRYARFCGRVVVLSVLILLLYPFLWVWTVIGTLWFTSSRSCLPEEGQKWGFLIWLLFSYCGLVCIACMSAGKWLTRRHAHLLRAQQGIPISEYGVLVDMIRVPDWAFEAAGQEMRGMGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKGVPTDCSECPICLEEFRVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALSNLQADSERPSPSEVTTATRYVRSQPAGQSYLLRLQGLLRPVRGENAGGGSSVDSFGDVESGVHMVVSQVPPNIIVPVVSCNVERVPST >Ma01_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10202592:10208140:-1 gene:Ma01_g13970 transcript:Ma01_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SIS3 [Source:Projected from Arabidopsis thaliana (AT3G47990) UniProtKB/Swiss-Prot;Acc:Q8GYT9] MAMRGVDFKWYDGFFLSMLATSVIIVSINWKRYHLCTHPLHIWIVVDYTTVFIFRLLMFVDNGLAAGMGLDLGSQQRYARFCGRVVVLSVLILLLYPFLWVWTVIGTLWFTSSRSCLPEEGQKWGFLIWLLFSYCGLVCIACMSAGKWLTRRHAHLLRAQQGIPISEYGVLVDMIRVPDWAFEAAGQEMRGMGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKGVPTDCSECPICLEEFRVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALSNLQADSERPSPSEVTTATRYVRSQPAGQSYLLRLQGLLRPVRGENAGGGSSVDSFGDVESGVHMVVSQVPPNIIVPVVSCNVERVPST >Ma06_p25800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26310665:26315367:1 gene:Ma06_g25800 transcript:Ma06_t25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNADGVFPGTEFARRVPVPPPRPFLDTFRTNLKETFFPDDPLRQFRNEHGPRRVILGLKYFLPILEWAPSYSFGLFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLGNEVSPTKDPALYLHLAFTATFFAGLFQAALGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGMLGLQHFTTATDLVSVVKSVFSQVHQWRWESAVLGCCFLFFLLLTRFFSKRRPKFFWVSAAAPLTSVILGSVLVYLTHAENHGVQVIGYLKKGLNPPSATSLTFMPPYIMVALKTGIVTGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNMVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVVMAIAVMITLLFLTPLFHYTPLVVLSAIIIAAMLGLIDYEAAIHLWHVDKVDFCVCLGAYLGVVFVSVEIGLVIAVFISILRVLLFVARPRTTVLGNIPNSMAYRRVDQYPVAQSVPGVLILRIDAPIYFANASYLRERISRWIEEENESSKGETSLQYLILDMGAVGSIDTSGISMLEEVKKIIDRNCSIKLVLANPGSEVMKKLSSSKILEAIGHEWIFLTVADAVAACNFMLHTCKPSDTTSCENVV >Ma10_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27858872:27859530:1 gene:Ma10_g15950 transcript:Ma10_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIRCIVPCSWSTRGVISTRKLRRHIQVVFRSVSRPPASGEGEGQNPTVKNKRHHGHFLKLPSLTQSEEEDLPWQMQRVKSGPKKSRQTTSSPSGNQSNRKGHVKVVLVSHINFFIVYR >Ma03_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19361455:19365473:-1 gene:Ma03_g16680 transcript:Ma03_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYQRVSPDILPLGNGRKPILRTWKEDDADHGSRSTSHSPLEAKPIRARSVSGSAGPSPTRDHQFPRTSFPSDSPTSAAHSESQPPPPSASAKSYSRHHETINGVGGDVILQWGHNKRSRGPRAESRASGDETSSHSKQMLKVPRRSAAAMPPPHFAGSYARGAHLRSSVPVRDANKGVEERSGGTARSDKRSPSSPPNKVLRSAADGSMNPPEPKRQPSDQEAASPVGAAAIGEKLNLDQFEWPKIFISLSRKEKEDDFLAMKGTKLPQRPKKRAKNIDKTLQYCFPGMWLSDLTRGRYEVREKKCAKKRRRGLKGMESMESDSE >Ma03_p16680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19358601:19365473:-1 gene:Ma03_g16680 transcript:Ma03_t16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYQRVSPDILPLGNGRKPILRTWKEDDADHGSRSTSHSPLEAKPIRARSVSGSAGPSPTRDHQFPRTSFPSDSPTSAAHSESQPPPPSASAKSYSRHHETINGVGGDVILQWGHNKRSRGPRAESRASGDETSSHSKQMLKVPRRSAAAMPPPHFAGSYARGAHLRSSVPVRDANKGVEERSGGTARSDKRSPSSPPNKVLRSAADGSMNPPEPKRQPSDQEAASPVGAAAIGEKLNLDQFEWPKIFISLSRKEKEDDFLAMKGTKLPQRPKKRAKNIDKTLQYCFPGMWLSDLTRGRYEVREKKCAKKLLLCAEAEGIEGDGEHGERLRVNAVEACMDAAPGRVDLFFLLTLRRVVGVGSSLFLTLVLGSVCNPPCIL >Ma08_p11220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8277338:8298511:1 gene:Ma08_g11220 transcript:Ma08_t11220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGTVIEFLGQVPLLQRLPSSSLRRIAEVVQVKRYEPGEYVVREGEPGLGLYFIWEGQAEVSGSDTSEKENRSELQLKQYDYFGYGTGGSTHQANVVALSKLICLVLPDGYNNLLQPKSIWNAEGIPEDFSLVEHILHLEPLDVDIFRGFTLPDAPSFGQVFGGQLIGQALAAASKTVDCLKLAHSLHVNFIVAGDVHSPIIYQVHRARDGKSFATRRVDARQHGIVMFTLLCSFQKEEAGFEHQEVLMPNVPGPEMLLSMEELRERRITDPRLPIHYRNTVARRKFVPWPIEIKFCEAGDSANQSQPRMKYWFKARGNLSDDPALHRVWFHRPVRADDWLLYVIESPYASGGRGFVTGQMFNRKGELIVSLTQECLIRKHIPQNQNPKAKL >Ma08_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8277338:8298515:1 gene:Ma08_g11220 transcript:Ma08_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGTVIEFLGQVPLLQRLPSSSLRRIAEVVQVKRYEPGEYVVREGEPGLGLYFIWEGQAEVSGSDTSEKENRSELQLKQYDYFGYGTGGSTHQANVVALSKLICLVLPDGYNNLLQPKSIWNAEGIPEDFSLVEHILHLEPLDVDIFRGFTLPDAPSFGQVFGGQLIGQALAAASKTVDCLKLAHSLHVNFIVAGDVHSPIIYQVHRARDGKSFATRRVDARQHGIVMFTLLCSFQKEEAGFEHQEVLMPNVPGPEMLLSMEELRERRITDPRLPIHYRNTVARRKFVPWPIEIKFCEAGDSANQSQPRMKYWFKARGNLSDDPALHRCVVAYASDIVFSGVSLNPHRRKGLRAASLSLDHSVWFHRPVRADDWLLYVIESPYASGGRGFVTAYCVIDPRVSNSKAYSAKPKSESKALNAISELIID >Ma08_p11220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8277338:8298516:1 gene:Ma08_g11220 transcript:Ma08_t11220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGTVIEFLGQVPLLQRLPSSSLRRIAEVVQVKRYEPGEYVVREGEPGLGLYFIWEGQAEVSGSDTSEKENRSELQLKQYDYFGYGTGGSTHQANVVALSKLICLVLPDGYNNLLQPKSIWNAEGIPEDFSLVEHILHLEPLDVDIFRGFTLPDAPSFGQVFGGQLIGQALAAASKTVDCLKLAHSLHVNFIVAGDVHSPIIYQVHRARDGKSFATRRVDARQHGIVMFTLLCSFQKEEAGFEHQEVLMPNVPGPEMLLSMEELRERRITDPRLPIHYRNTVARRKFVPWPIEIKFCEAGDSANQSQPRMKYWFKARGNLSDDPALHRVWFHRPVRADDWLLYVIESPYASGGRGFVTAYCVIDPRVSNSKAYSAKPKSESKALNAISELIID >Ma08_p11220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8277338:8298510:1 gene:Ma08_g11220 transcript:Ma08_t11220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGTVIEFLGQVPLLQRLPSSSLRRIAEVVQVKRYEPGEYVVREGEPGLGLYFIWEGQAEVSGSDTSEKENRSELQLKQYDYFGYGTGGSTHQANVVALSKLICLVLPDGYNNLLQPKSIWNAEGIPEDFSLVEHILHLEPLDVDIFRGFTLPDAPSFGQVFGGQLIGQALAAASKTVDCLKLAHSLHVNFIVAGDVHSPIIYQVHRARDGKSFATRRVDARQHGIVMFTLLCSFQKEEAGFEHQEVLMPNVPGPEMLLSMEELRERRITDPRLPIHYRNTVARRKFVPWPIEIKFCEAGDSANQSQPRMKYWFKARGNLSDDPALHRCVVAYASDIVFSGVSLNPHRRKGLRAASLSLDHSVWFHRPVRADDWLLYVIESPYASGGRGFVTGQMFNRKGELIVSLTQECLIRKHIPQNQNPKAKL >Ma02_p02070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13068419:13068610:1 gene:Ma02_g02070 transcript:Ma02_t02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIFDQRQHSYPSQYICLHSLFFFFFLDFKIIITTGTRSDLVGFWKQNRTLAPSISHHSING >Ma01_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7453409:7454315:-1 gene:Ma01_g10350 transcript:Ma01_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALSSFSPSSVLLSTRRGPINCGRWHITAMGTQSRDNLDHMQRASKPQQSQPLLKRRAAPSSPIGLWDRFPTARTIQQMMDTMERVMEDPLAYGGASLPSLSGEDSVGSYRRRRTPWEIKEGAGEYRMRFDMPGMTKKDVKVWVEERLLVIEAEKLPAKEGEAEEWSATSYGRYSSRIALPDNVLVEQIKAEVKDGVLYITIPKASTSTKVLDIDVR >Ma01_p12250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8869343:8871737:-1 gene:Ma01_g12250 transcript:Ma01_t12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPDEDEKLVKHITEFGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEEDLIVELHAALGNRWSQIAARLPGRTDNEIKNYWNSCIKKKLRQRGIDPSSHMPLCETDGREEREAMNSDKTSGFISVVASNQIESEDPATPSKEFLVDQLDRCAPLVGPSAPQNPPLWFSHHTRLQDLFPSISSSILSSSGEVKPMTSLPQVSYSLPEFHYLDTGNSTNNSANTADSSNEFELQSSGGNLLDSGIFPWSELLPNKGAQIHFDGEPQDLKWSEYLNGTIAPTAAAHSHGQGLSSWHENQQPQPSDPYLKN >Ma07_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11773005:11773915:1 gene:Ma07_g15580 transcript:Ma07_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRENCYSTLVLFRFLREVVVFLSLMVNWLFFPCYDWWPTSSAEDEAEGGKGAEDRARHRAAAQAVRETLHVSTFGEVAGEEEEAGAVATACAVCLSEVSRWDKVWELRNCRHVFHQGCLDRWLDHDEHLSCPLCREPLLARRPPSLPPPPLEASWAVERLLYLFGDDLLPPPSS >Ma06_p04600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3413502:3430220:1 gene:Ma06_g04600 transcript:Ma06_t04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MDRRPLPPPAVAVDISSPSPSPRRPKKRQQLKLGGSGGPPPTLVVIDDDLTPQKADATRTPSFVAETPLSPGFAFDSDPSVVKCSLSRAKFGNASSDKFAGITGLICLESDNESELGAHLVNVSKQDTTSALSTPPEHAGTDLRFHRAVDLKVSGAEKDHDTLCFPIDDAVSDSYYKNNKDIIQDGRHGVIDDCIDATSSFVQIVGASPLHGCCMAKEALLQTGNHSDDQDCMFDKEDFTGDQNRKGVIVDARKNHKTEADERKKKLKEEKRHLLEERKRQKQQEKLKKEALKSEAAEAKKLEKEKQKWEKGKFALKSILAEIDVKVIENGSVGGHLLTRFAEKGLSFRVTSNPVERSIMWKMNVPDHMAKLSSLGSEVPYILLVSEAEEFCDLVITESFFDSIHRVQSQYPTFTICYLINKLTSYIKKCEQNQYKNPSNASCWKCPPVEEILSKLTTHYSNVHSRQCIDEAELAEHVVGLTCSLANCQFRKKLTWLSVHANGSIVPKDFIDKNLTKKSVWLKALIAIPKVQPRHAVAIWKKYPTMRSLLNVYMDPNKSVHEKEFLLKDLMVTGIVGTEDRRLGEICSKRVYRVLMAQTGGIKTDDVEEGADFFHC >Ma06_p04600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3413502:3427741:1 gene:Ma06_g04600 transcript:Ma06_t04600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MDRRPLPPPAVAVDISSPSPSPRRPKKRQQLKLGGSGGPPPTLVVIDDDLTPQKADATRTPSFVAETPLSPGFAFDSDPSVVKCSLSRAKFGNASSDKFAGITGLICLESDNESELGAHLVNVSKQDTTSALSTPPEHAGTDLRFHRAVDLKVSGAEKDHDTLCFPIDDAVSDSYYKNNKDIIQDGRHGVIDDCIDATSSFVQIVGASPLHGCCMAKEALLQTGNHSDDQDCMFDKEDFTGDQNRKGVIVDARKNHKTEADERKKKLKEEKRHLLEERKRQKQQEKLKKEALKSEAAEAKKLEKEKQKWEKGKFALKSILAEIDVKVIENGSVGGHLLTRFAEKGLSFRVTSNPVERSIMWKMNVPDHMAKLSSLGSEVPYILLVSEAEEFCDLVITESFFDSIHRVQSQYPTFTICYLINKLTSYIKKCEQNQYKNPSNASCWKCPPVEEILSKLTTHYSNVHSRQCIDEAELAEHVVGLTCSLANCQFRKKLTWLSVHANGSIVPKDFIDKNLTKKSVWLKALIAIPKVQPRHAVAIWKKYPTMRSLLNVYMDPNKSVHEKEFLLKDLMVTGIVGTEDRRLGEICSKRVYRVLMAQTGGIKTDDVEEGADFFHC >Ma06_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3413502:3427538:1 gene:Ma06_g04600 transcript:Ma06_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair nuclease, XPF-type/Helicase domain containing protein [Source: Projected from Oryza sativa (Os04g0648700)] MDRRPLPPPAVAVDISSPSPSPRRPKKRQQLKLGGSGGPPPTLVVIDDDLTPQKADATRTPSFVAETPLSPGFAFDSDPSVVKCSLSRAKFGNASSDKFAGITGLICLESDNESELGAHLVNVSKQDTTSALSTPPEHAGTDLRFHRAVDLKVSGAEKDHDTLCFPIDDAVSDSYYKNNKDIIQDGRHGVIDDCIDATSSFVQIVGASPLHGCCMAKEALLQTGNHSDDQDCMFDKEDFTGDQNRKGVIVDARKNHKTEADERKKKLKEEKRHLLEERKRQKQQEKLKKEALKSEAAEAKKLEKEKQKWEKGKFALKSILAEIDVKVIENGSVGGHLLTRFAEKGLSFRVTSNPVERSIMWKMNVPDHMAKLSSLGSEVPYILLVSEAEEFCDLVITESFFDSIHRVQSQYPTFTICYLINKLTSYIKKCEQNQYKNPSNASCWKCPPVEEILSKLTTHYSNVHSRQCIDEAELAEHVVGLTCSLANCQFRKKLTWLSVHANGSIVPKDFIDKNLTKKSVWLKALIAIPKVQPRHAVAIWKKYPTMRSLLNVYMDPNKSVHEKEFLLKDLMVTGIVGTEDRRLGEICSKRVYRVLMAQTGGIKTDDVEEGADFFHC >Ma04_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23016504:23016737:1 gene:Ma04_g20320 transcript:Ma04_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDTSVLGVESHKLHLHGDNFIIEQGFDNYDLMNDPAKLNLVDLVERNTIDIPTSGWVVNRFLADNPGLSRLECIFS >Ma05_p15040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11058890:11065397:-1 gene:Ma05_g15040 transcript:Ma05_t15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQAVARRPLPPIPARAAAAAAAAPRTAFSPQRFRPTSIGGSGARLPTLVASAVTTQREAGATYTVATPLARVSVDSLQYESGVLGAITERTRPAVGEERPNGALTPMEYLTNVLSSRVYDVAIESPLQLAPKLSERLGVNLWLKREDLQPVFSFKLRGAYNMMVKLSRKQLDKGVICSSAGNHAQGVALAAQKLHCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQTYAKQCAEQEGHTFIHPFDHPDVITGQGTIGMEIIRQLTGPLHAIFVPVGGGGLIAGIAAYVKRVCPEVKIIGVEPSDANAMALSLHHGQRIMVEHVGGFADGVAVKVVGEETFRLCRELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALSLAGAEAYCKYYGLKGTNVVAITSGANMNFDRLRLVTELADVGRKREAVLATILPEEHGSFKTFCKLVGSMNITEFKYRYDSRREDALVLYSVGLHTDTELGAMVNRMKSAQLRTINLTNDDLAKDHLRYFMGGWSNVQDELLCRFIFPERPGALMKFLDAFSPCWNITLFHYRAQGETGANVLVGIQVPKEDMQEFKHQAQDLGYEYSYEMTDESYWLLMQ >Ma06_p14560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9961064:9976662:-1 gene:Ma06_g14560 transcript:Ma06_t14560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMETLQDLIEEAKVRTVCWAICVFAISYFLSHTSKSMWTNVPISVLILVAFRVLSYDVELRWRVRPVPKQTYLSHLEKKQLRLEDSRLSIVVPTSRWKRKFDSPLVEAAVEEFINKILQDFVIDLWYSSISPDKEAPELIRSFVLDVLGEISGRVKQINLVDLLTRDLIDLVGNQLDLYRKNQSEIGVNVMITLSSEERDEKLKRHLMASKELHPALFSPESEYKVLQRIVGGVLSLALKPREAQCPLVRCLCRELLTCLVVQPVMKFASPGYINELIEYVFLNNKDSSNMEVTSDRSLRHSGQNTQSCQLGLVQSGGEKLTDGSEHSHPYVSQKDSLNHIPPRAADWAMVLEATTKRRTEVLAPENLENMWTKGRNYQKKTANLIKTGTPQRSVNTTVQAGSAGKELATYMSESIKGIDENYMVHLTQGVVNNEHHGSYDPEKRQSMELGNIDGNEKHASKSNNNIQLKRSSSTPDMDAIFKTKSDDGTSFKEKCHIDIAKHKEAQSSDVVSHGEGSLHLPKIKCRVVGAYFEKLGSKSFAVYSIAVTDLENKTWFVKRRYRNFERLHRHLKDIPNYSLHLPPKRFLSSSIDDYFVHQRCILLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSCGKSTSLVKTLAVNVDDAMDDVFRQFKGSSDGLTLKVAGTSPSHATSPSVADKVLSSSWNPNEISKQISGFSSMETSHSLSEDEAHDDDRSTAANNGWHSDNELNSKSFPPRVFNRIKEYSSLESQRIQESDKFDRIGSDVSKNSLASDILEDPVGMPPEWAPPNVSVPMLNLVDKIFQLNRRGWLRRQVYWISKQILQLIMEDAIDDWILRQIHWLRRDDVIAQGIRWVQDVLWPNGTFIIRLGSSQGELDDFNIDQKPSQSTSGSYGDKVTRPSSFEAQLEAARRADDVKKMLLGGAPTALVSLIGSNQYRRCARDIYYFSQSTICIKQLAYSMLEMVLVSVFPELSDVMLDIHDKARKQS >Ma06_p14560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9960718:9976662:-1 gene:Ma06_g14560 transcript:Ma06_t14560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMETLQDLIEEAKVRTVCWAICVFAISYFLSHTSKSMWTNVPISVLILVAFRVLSYDVELRWRVRPVPKQTYLSHLEKKQLRLEDSRLSIVVPTSRWKRKFDSPLVEAAVEEFINKILQDFVIDLWYSSISPDKEAPELIRSFVLDVLGEISGRVKQINLVDLLTRDLIDLVGNQLDLYRKNQSEIGVNVMITLSSEERDEKLKRHLMASKELHPALFSPESEYKVLQRIVGGVLSLALKPREAQCPLVRCLCRELLTCLVVQPVMKFASPGYINELIEYVFLNNKDSSNMEVTSDRSLRHSGQNTQSCQLGLVQSGGEKLTDGSEHSHPYVSQKDSLNHIPPRAADWAMVLEATTKRRTEVLAPENLENMWTKGRNYQKKTANLIKTGTPQRSVNTTVQAGSAGKELATYMSESIKGIDENYMVHLTQGVVNNEHHGSYDPEKRQSMELGNIDGNEKHASKSNNNIQLKRSSSTPDMDAIFKTKSDDGTSFKEKCHIDIAKHKEAQSSDVVSHGEGSLHLPKIKCRVVGAYFEKLGSKSFAVYSIAVTDLENKTWFVKRRYRNFERLHRHLKDIPNYSLHLPPKRFLSSSIDDYFVHQRCILLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSCGKSTSLVKTLAVNVDDAMDDVFRQFKGSSDGLTLKVAGTSPSHATSPSVADKVLSSSWNPNEISKQISGFSSMETSHSLSEDEAHDDDRSTAANNGWHSDNELNSKSFPPRVFNRIKEYSSLESQRIQESDKFDRIGSDVSKNSLASDILEDPVGMPPEWAPPNVSVPMLNLVDKIFQLNRRGWLRRQVYWISKQILQLIMEDAIDDWILRQIHWLRRDDVIAQGIRWVQDVLWPNGTFIIRLGSSQGELDDFNIDQKPSQSTSGSYGDKVTRPSSFEAQLEAARRADDVKKMLLGGAPTALVSLIGSNQYRRCARDIYYFSQSTICIKQLAYSMLEMVLVSVFPELSDVMLDIHDKARKQS >Ma06_p14560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9960873:9976662:-1 gene:Ma06_g14560 transcript:Ma06_t14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNVPISVLILVAFRVLSYDVELRWRVRPVPKQTYLSHLEKKQLRLEDSRLSIVVPTSRWKRKFDSPLVEAAVEEFINKILQDFVIDLWYSSISPDKEAPELIRSFVLDVLGEISGRVKQINLVDLLTRDLIDLVGNQLDLYRKNQSEIGVNVMITLSSEERDEKLKRHLMASKELHPALFSPESEYKVLQRIVGGVLSLALKPREAQCPLVRCLCRELLTCLVVQPVMKFASPGYINELIEYVFLNNKDSSNMEVTSDRSLRHSGQNTQSCQLGLVQSGGEKLTDGSEHSHPYVSQKDSLNHIPPRAADWAMVLEATTKRRTEVLAPENLENMWTKGRNYQKKTANLIKTGTPQRSVNTTVQAGSAGKELATYMSESIKGIDENYMVHLTQGVVNNEHHGSYDPEKRQSMELGNIDGNEKHASKSNNNIQLKRSSSTPDMDAIFKTKSDDGTSFKEKCHIDIAKHKEAQSSDVVSHGEGSLHLPKIKCRVVGAYFEKLGSKSFAVYSIAVTDLENKTWFVKRRYRNFERLHRHLKDIPNYSLHLPPKRFLSSSIDDYFVHQRCILLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSCGKSTSLVKTLAVNVDDAMDDVFRQFKGSSDGLTLKVAGTSPSHATSPSVADKVLSSSWNPNEISKQISGFSSMETSHSLSEDEAHDDDRSTAANNGWHSDNELNSKSFPPRVFNRIKEYSSLESQRIQESDKFDRIGSDVSKNSLASDILEDPVGMPPEWAPPNVSVPMLNLVDKIFQLNRRGWLRRQVYWISKQILQLIMEDAIDDWILRQIHWLRRDDVIAQGIRWVQDVLWPNGTFIIRLGSSQGELDDFNIDQKPSQSTSGSYGDKVTRPSSFEAQLEAARRADDVKKMLLGGAPTALVSLIGSNQYRRCARDIYYFSQSTICIKQLAYSMLEMVLVSVFPELSDVMLDIHDKARKQS >Ma06_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9964302:9976662:-1 gene:Ma06_g14560 transcript:Ma06_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMETLQDLIEEAKVRTVCWAICVFAISYFLSHTSKSMWTNVPISVLILVAFRVLSYDVELRWRVRPVPKQTYLSHLEKKQLRLEDSRLSIVVPTSRWKRKFDSPLVEAAVEEFINKILQDFVIDLWYSSISPDKEAPELIRSFVLDVLGEISGRVKQINLVDLLTRDLIDLVGNQLDLYRKNQSEIGVNVMITLSSEERDEKLKRHLMASKELHPALFSPESEYKVLQRIVGGVLSLALKPREAQCPLVRCLCRELLTCLVVQPVMKFASPGYINELIEYVFLNNKDSSNMEVTSDRSLRHSGQNTQSCQLGLVQSGGEKLTDGSEHSHPYVSQKDSLNHIPPRAADWAMVLEATTKRRTEVLAPENLENMWTKGRNYQKKTANLIKTGTPQRSVNTTVQAGSAGKELATYMSESIKGIDENYMVHLTQGVVNNEHHGSYDPEKRQSMELGNIDGNEKHASKSNNNIQLKRSSSTPDMDAIFKTKSDDGTSFKEKCHIDIAKHKEAQSSDVVSHGEGSLHLPKIKCRVVGAYFEKLGSKSFAVYSIAVTDLENKTWFVKRRYRNFERLHRHLKDIPNYSLHLPPKRFLSSSIDDYFVHQRCILLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSCGKSTSLVKTLAVNVDDAMDDVFRQFKGSSDGLTLKVAGTSPSHATSPSVADKVLSSSWNPNEISKQISGFSSMETSHSLSEDEAHDDDRSTAANNGWHSDNELNSKSFPPRVFNRIKEYSSLESQRIQESDKFDRIGSDVSKNSLASDILEDPVGMPPEWAPPNVSVPMLNLVDKIFQLNRRGWLRCVLHEDRYTGFQNRSCN >Ma03_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4053666:4059490:-1 gene:Ma03_g05900 transcript:Ma03_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETVPTAPPLLAPPVVVVAPSPKSPPKYPDLCGRRRLQLELQILNREVGFIEEELQSLEGIQPVSRCCKEVNDFVGTKPDPLIPINKRRHKSCCLWRWIRSKLCFNFSWICCFSECCSCPRSCSCCQCECPSSQCCEASPGRPCCSCAEVPCICSRACCSCSKLSCCNIGTCCSCLKFPCSRDRKCSSCSKTPCSCEGTCFSCSKVPCTCSRTCCSCSEVPCSCGRTCCSCLKIPGSCRRTCCSCSKIPCTCGQTCCSCSNACSCAGTCFRCSKDPCTCGRTCCSCSNPCSCAGTCCSCTKNPCSCGKTCCSCSDVSCCKPKCHCLNASSCRPRCCRWWSSCFGPWRCGWRPSCSCSYCDQPCCGGCKTSCSNSCCTQPLCGGWRPSCPSSCCAKKWCCGWNPTCSRPHCDCSRCCGPRLSCFGPCCFSNRCRSSRTCCALPKLSCPDYSCGCVWSCTRCTDVCLLPKCTKPCCTTGCLC >Ma05_p28360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39388907:39396367:1 gene:Ma05_g28360 transcript:Ma05_t28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ6 MRASLSTFLMRRAVGRNVLRGVNFLPTRCLCSDVAAGGGGGGANSSHAVERDAGHEERDGVTTKQYDVAIIGGGMVGLALASALSSMPLTKHLKVAIVDPNPALSLRNYYDKSEMPDSRVSTITPATISLFKDVGAWEHVQQQRHAFFDQMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLSLQDADSRKTIIPAKLTSMTLPPKSPSSGSSIGHTTMDKLSGMAKSDETFEQIQLRRLAKLELSDGQHIYSKLVVGADGAKSHVREMAGIKTSGWNYSQSGVICTVEHTLENHCAWQRFLPSGPIALLPIGDKFSNIVWTMGSDDSTRHKLMSVDDFVEAVNYALDHGYGPHPQSSSLDHYIESLPWITGPGTLSTREHFEVPPKVINVVSERMAFPLSLMHAHNYASSQVVLIGDAAHAVHPLAGQGVNLGFGDASALAKVIAEGLSLGADIADLALLKKYEKERKAANITMMAILDGFQKAYSVDFGPINLVRAAAFHGAQYIAPLKKTIISYAMGDKKWPLFS >Ma05_p28360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39389138:39396367:1 gene:Ma05_g28360 transcript:Ma05_t28360.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ6 MMWLSLVVAWLDWLLQVHCVASMPLTKHLKVAIVDPNPALSLRNYYDKSEMPDSRVSTITPATISLFKDVGAWEHVQQQRHAFFDQMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLSLQQDADSRKTIIPAKLTSMTLPPKSPSSGSSIGHTTMDKLSGMAKSDETFEQIQLRRLAKLELSDGQHIYSKLVVGADGAKSHVREMAGIKTSGWNYSQSGVICTVEHTLENHCAWQRFLPSGPIALLPIGDKFSNIVWTMGSDDSTRHKLMSVDDFVEAVNYALDHGYGPHPQSSSLDHYIESLPWITGPGTLSTREHFEVPPKVINVVSERMAFPLSLMHAHNYASSQVVLIGDAAHAVHPLAGQGVNLGFGDASALAKVIAEGLSLGADIADLALLKKYEKERKAANITMMAILDGFQKAYSVDFGPINLVRAAAFHGAQYIAPLKKTIISYAMGDKKWPLFS >Ma09_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34497469:34497894:1 gene:Ma09_g22600 transcript:Ma09_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILASSITQSLADISNPSSTWLVFLLPISTMTPILWPLIDCRRCLFLIHPRRLVSLRHCSTLILQLYNPSANHPAEVPIDLDFDENLGEDTIREMIWKEILYYHPEANAYDPLLVLISASTLACKYKNLLFSCGHSLVSS >Ma03_p10810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8158848:8166147:-1 gene:Ma03_g10810 transcript:Ma03_t10810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKENGEERDDRLSDLEKDVKHGKEGESDYEPARDSLSSQGEAPTNDENKVKRASRVPKKLTKKEPAENRPRTSRGGANHQEHGRLQFKTLNTSQKKSQKPSRAAVSPKIPSNKKLENTNVSSKPSSEVSEEMDDKTIEEVKEIDVLDEAPICDQSNGTDDETVDTEENVLDDDRASAYQKMEEMESRIEKLEEELREVAALEISLYSVIPEHGSSAHKVHTPARRLSRLYIHACKYWTQDKKATVARNTVSGLVLIAKSCGNDVSRLTFWLSNTVVLREIICQTFGNLSNSNATLRTVESNGGARKTDEKQSPLKRKINSGIKQGKNFGFMQLIDDWQDTSTFTSALEKIESWIFSRIVESVWWQTLTPCMQSPLEDIYTPKSFGKLLGPALGDQQQGSFSINLWKSAFHDAFSRLCPVRSGGHECGCLPVLAKKVMEQCVSRLDVAMFNAILRESAHEIPTDPVSDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDLFGMDAEDSAKDDQDGDADDRKEEIAESKSFHLLNQLSDLLMLPKDMLLARAVRKEVCPSIGLPLIIRILCNFTPDEFCPDPVPGIVLEELNTESILERRLSDKELIGGFPSAAAPVLYSPPLPAEVAEKVADIGRQAELDRRASMVQRKGYTSDQDLDELDAPLASIVDRTPPVSPSPTSIPHQQSSPANSRYKLLRQVWCV >Ma03_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8158848:8166201:-1 gene:Ma03_g10810 transcript:Ma03_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKENGEERDDRLSDLEKDVKHGKEGESDYEPARDSLSSQGEAPTNDENKVKRASRVPKKLTKKEPAENRPRTSRGGANHQEHGRLQFKTLNTSQKKSQKPSRAAVSPKIPSNKKLENTNVSSKPSSEVSEEMDDKTIEEVKEIDVLDEAPICDQSNGTDDETVDTEENVLDDDRASAYQKMEEMESRIEKLEEELREVAALEISLYSVIPEHGSSAHKVHTPARRLSRLYIHACKYWTQDKKATVARNTVSGLVLIAKSCGNDVSRLTFWLSNTVVLREIICQTFGNLSNSNATLRTVESNGGARKTDEKQSPLKRKINSGIKQGKNFGFMQLIDDWQDTSTFTSALEKIESWIFSRIVESVWWQTLTPCMQSPLEDIYTPKSFGKLLGPALGDQQQGSFSINLWKSAFHDAFSRLCPVRSGGHECGCLPVLAKKVMEQCVSRLDVAMFNAILRESAHEIPTDPVSDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDLFGMDAEDSAKDDQDGDADDRKEEIAESKSFHLLNQLSDLLMLPKDMLLARAVRKEVCPSIGLPLIIRILCNFTPDEFCPDPVPGIVLEELNTESILERRLSDKELIGGFPSAAAPVLYSPPLPAEVAEKVADIGRQAELDRRASMVQRKGYTSDQDLDELDAPLASIVDRTPPVSPSPTSIPHQQSSPANSRYKLLRQVWCV >Ma08_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18180708:18182002:-1 gene:Ma08_g16400 transcript:Ma08_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDSANNGESSTVQGKEPSNEVSDSANNGESSTVQGKEPSNEVSDSMNNGESSTVQEKQLDNEVSNVVSDSPHQQMPKRTYASVIKSAKDVPVNVATAEWVPVVAAPSKPVPAPTPEEPISSSVDERSICVKNIPLQATPALLEEQFKRFGPIKPGGSQVRGHHKWQESHSCFGFVEFQTSDSARRAIEASPIVMSGRSVKIEPKKILNPGVDRYGGRFPPGGVDGQQSGLRQHGNRGYVGGRAGNRTRLDTAGTGSGSHHKWN >Ma03_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14599383:14602568:-1 gene:Ma03_g14810 transcript:Ma03_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKGSAGDGGGAAAKPPPPAETVTVACPDHLIIADLPVAKSLGAVTSSAAAVRTVGRRSRRNLGERVHFCVRCDFPIALYGRLVPCEHAFCLTCARSDASCYLCDERIQKIQSIKMMEGIFICAAPHCLKSFLKKSEFESHIHETHPNLLQSNTKKEGSTEEEARASSTDTHKQSFLQETSTARAPPKSGFSPGTNSQQQDRDERAYRHQSSDHPPSMVPPNLKPVPFQSPHQRQPGDVQADNNPPQGFDNPNSWINQPQSFMSQSGHPNQQVSDQLLSEKHAGNPSQSSFSDYPPLQPPLPPNYQLPLNANQAVVPPATFSYPLSAEGSQQYYSAPYEIPRPELMPAGGPAQGSVLGYSPAPAGIASFAGSVPRPWGAGQMVVPLDRPFMLTQGLPEAYMNLTDSQGRIQSLQGDGSQLAGGWLLNHSQIGQESQLQGVSAVNSDNKGVLAQLPQPVALQASLPPPPPPPLPLPMSQQLNAGNFSSFCTANQDGQRYG >Ma04_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9582784:9586993:1 gene:Ma04_g12690 transcript:Ma04_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSTSSTLPKNIMAERERRKKFNKTLYDLRSVVPNITKMNKTSVILDAINYIQELQEQERSLVEEISELESPKQITAPPHGIKDEDLLHAQMQRRRAASMSPIEAMEVSVAEMGNGISLVSITCNKKWNAIVMVLELIESLDLRIITANITSSFGKVFLSLVIQLQQLSEGGLIVPVEGIGHTPELVNPHGSGIGGIVGECRGRRRRRRVLKP >Ma05_p19610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28135342:28136257:-1 gene:Ma05_g19610 transcript:Ma05_t19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Iron sulfur subunit of succinate dehydrogenase (Truncated) and ribosomal protein S14 precursor [Source: Projected from Oryza sativa (Os09g0370300)] MAMDVEEEEGIDELVQAWGASKAASFVRGVEESPLQVVHKNFPTLRGHPKAREHFELAALLPEKPTKEFKIYRWNPDEPRRKPFLQSFFVDLRTCGPMIKAEQDPSLRYRRSCREGICGSCSMNIDGNNTVACLKPVDADTSTATMITPLPHMFVIKDLVVDLTNFYQQHKCGHPILLQRMMKTIHLSLILHLKHGLHRLIEPWLKTKKPPEKGRKYLQSPRERKKLDGLYECILCACCSTACPA >Ma02_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25069489:25071673:1 gene:Ma02_g18610 transcript:Ma02_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMAFLANASNLVTYLAEFMHLSPSHSATTVTNFMGTAFLLALLGGFLSDAYFTAYHTYLISALLEFLGLIILTVQAKSPALKPPPCRISDTSLAPCREVSGGDAVMLFAGLYLTALGVGGIKGSLPAHGAEQFDEDTVRGRNARSTFFNYFVFCLSCGGLIAVTLVVWVEDNLGWQWGLGISTITIFLSIPVFLAGSAIYRNKIPAGSPLATIAKVLVAATMNRGCNQNVRNAVVDMIPISPVRTAEVEEGKAEEKSKEDIMDAEGPNGELKCLNRAVEGTPTHRALVCTVEEVEDVKIVVKVLPVFLFTITLSCCLAQLSTFSIQQAATTNTRVGGLSVPPASLATFPIVFIMVLAPLYDHVIVPFARRVTKSEAGITQLQRIGFGLALSVVAMAVAALVEVKRKRVARDSGLLDSTEPLPISFFWLAFQYLFLGSADLFTLAGMLEFFFSEAPAGMRSLATSLSWASLAMGYYLSSVLVTIVNGVTGSGHHEPWLSGRSLNHYHLERFYWLMCALSSVNFLLFVFWARRYRYRFNAR >Ma02_p18610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25069361:25071673:1 gene:Ma02_g18610 transcript:Ma02_t18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIDSERWVGYVDWRNQPALRSRHGGMVAASFVLVVEIMANMAFLANASNLVTYLAEFMHLSPSHSATTVTNFMGTAFLLALLGGFLSDAYFTAYHTYLISALLEFLGLIILTVQAKSPALKPPPCRISDTSLAPCREVSGGDAVMLFAGLYLTALGVGGIKGSLPAHGAEQFDEDTVRGRNARSTFFNYFVFCLSCGGLIAVTLVVWVEDNLGWQWGLGISTITIFLSIPVFLAGSAIYRNKIPAGSPLATIAKVLVAATMNRGCNQNVRNAVVDMIPISPVRTAEVEEGKAEEKSKEDIMDAEGPNGELKCLNRAVEGTPTHRALVCTVEEVEDVKIVVKVLPVFLFTITLSCCLAQLSTFSIQQAATTNTRVGGLSVPPASLATFPIVFIMVLAPLYDHVIVPFARRVTKSEAGITQLQRIGFGLALSVVAMAVAALVEVKRKRVARDSGLLDSTEPLPISFFWLAFQYLFLGSADLFTLAGMLEFFFSEAPAGMRSLATSLSWASLAMGYYLSSVLVTIVNGVTGSGHHEPWLSGRSLNHYHLERFYWLMCALSSVNFLLFVFWARRYRYRFNAR >Ma05_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7598086:7599109:-1 gene:Ma05_g10560 transcript:Ma05_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGEGLQVLHYEVGQKYEPHYDYFVDEFNTRNGGQRLATLLMYLSDVEEGGETVFPSAKVFSSSLPRYTELSECGKKGLSIKPKMGDALLFWSTRPDATLDPSSLHGGCPVIRGNKWSSTKWMHIREFRA >Ma04_p33810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33275827:33276363:1 gene:Ma04_g33810 transcript:Ma04_t33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLCTFEQPSNPGRVNWRGRRCSSAEGESWGVRAVAEDTSGIVGTTWPPRSYACTFCRRVFRSAQALGGHMNVHRRERARLRQSCTSWSSAHASAAFPPPPPAPPEFSAVGGGVFLVYSIGAGTGEVFVPSMSSSAFVSSSPYHRNGSAASCGTSKEGGDEGLVVEELDLELRLGR >Ma05_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3786322:3789067:1 gene:Ma05_g04950 transcript:Ma05_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPVPRAPQPAAAVVVAPRPKSPPKYPDVCGRRRLQLELHILNREIGFIEEELQSLEGIQPVSRCCKEVNEFVEMKPDPLMPINKRRHKSCCLWRWLRSKLCLDFSWICCLSWYILGLERSNCSCPQNCSCCDYGCPCSECCEGLTTKNATAAPNFLAVGEKHAAAAQKSRAAAVAQACHASDLSAVAGMLPPPVLAAASGGLPASNHGVPVHVVISHHAPIHVATNHCAVAGGLLAVLNNGAVAAVDHNGAVPEDLHASDHAVSEIGAVV >Ma02_p14440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22511906:22518487:-1 gene:Ma02_g14440 transcript:Ma02_t14440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVTELSRDGERDCVLMHAPNGKTDQKSPCKPSTVAGSDEAIDNNQDDANSQGHAVPHIKNLSIDQDTTEKNFGDPKSSNQKSASSNSDNATGSDHTVPQPFAPTTEKHVSGGNRAFVAKPAISGDKHPNVDIQRANIHQKAQSNLMLTSRKPLHPDNIMHPDDEDSCSVASSNLKARTVAVAPTFRSSERAERRKEFYSKLEEKHQALEAEKLQCEARTKEEQEAALKQLRKSLTFKATPMPSFYNEGPPPKVELKKVPPTRAKSPKFGRRKSYGDASNVAEGENHSGVRVRLQRHSVGACKDAANKLHSSPKNRNATKAKDGAKPTRENSTPHADKAAAQAATITTEPSQADTLQP >Ma02_p14440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22511906:22518487:-1 gene:Ma02_g14440 transcript:Ma02_t14440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVTELSRDGERDCVLMHAPNGKTDQKSPCKPSTVAGSDEAIDNNQDDANSQGHAVPHIKNLSIDQDTTEKNFGDPKSSNQKSASSNSDNATGSDHTVPQPFAPTTEKHVSGGNRAFVAKPAISGDKHPNVDIQRANIHQKAQSNLMLTSRKPLHPDNIMHPDDEDSCSVASSSTLSIRNLKARTVAVAPTFRSSERAERRKEFYSKLEEKHQALEAEKLQCEARTKEEQEAALKQLRKSLTFKATPMPSFYNEGPPPKVELKKVPPTRAKSPKFGRRKSYGDASNVAEGENHSGVRVRLQRHSVGACKDAANKLHSSPKNRNATKAKDGAKPTRENSTPHADKAAAQAATITTEPSQADTLQP >Ma02_p14440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22511900:22518486:-1 gene:Ma02_g14440 transcript:Ma02_t14440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVTELSRDGERDCVLMHAPNGKTDQKSPCKPSTVAGSDEAIDNNQDDANSQGHAVPHIKNLSIDQDTTEKNFGDPKSSNQKSASSNSDNATGSDHTVPQPFAPTTEKHVSGGNRAFVAKPAISGDKHPNVDIQRANIHQKAQSNLMLTSRKPLHPDNIMHPDDEDSCSVASSTLSIRNLKARTVAVAPTFRSSERAERRKEFYSKLEEKHQALEAEKLQCEARTKEEQEAALKQLRKSLTFKATPMPSFYNEGPPPKVELKKVPPTRAKSPKFGRRKSYGDASNVAEGENHSGVRVRLQRHSVGACKDAANKLHSSPKNRNATKAKDGAKPTRENSTPHADKAAAQAATITTEPSQADTLQP >Ma02_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22511906:22518489:-1 gene:Ma02_g14440 transcript:Ma02_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVTELSRDGERDCVLMHAPNGKTDQKSPCKPSTVAGSDEAIDNNQDDANSQGHAVPHIKNLSIDQDTTEKNFGDPKSSNQKSASSNSDNATGSDHTVPQPFAPTTEKHVSGGNRAFVAKPAISGDKHPNVDIQRANIHQKAQSNLMLTSRKPLHPDNIMHPDDEDSCSVASSSTLSIRNLKARTVAVAPTFRSSERAERRKEFYSKLEEKHQALEAEKLQCEARTKEEQEAALKQLRKSLTFKATPMPSFYNEGPPPKVELKKVPPTRAKSPKFGRRKSYGDASNVAEGENHSGVRVRLQRHSVGACKDAANKLHSSPKNRNATKAKDGAKPTRENSTPHADKAAAQAATITTEPSQADTLQP >Ma03_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2330850:2333144:1 gene:Ma03_g03460 transcript:Ma03_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLCSFWDDQHSPFLDYPSVSSASHTLHRLAFLSPSSVGRSQMDCTSLVRVAASREKTKGRCASSEGHTWTKELHRILCMQGGEDDMSYAKNSEAPAAAITSSKPLLMESIRSMKLFVSEACIRIADLGCATGYNTLSTMELVVQNLRLRYEKECDHVPEFEAFFCDLPSNDFNSLFRSLQVDSSCSSSRKPYYPAGVPGSFYDRLFPKEKLHLVVSLNALHWLSKIPAVVLDKQSKAWNKGRAWIDGGRKDVVEAYAKQSEEDLKMFLRCRREEMMEGGMLFIVMAGRPQFQEPENQLGDPDSRAKHPFTNSMDQAWQDLLNEGLIDEDTRDMFNIPAYMRSVEEVKTAFDRCSGFKIQQLEFQKIIEHSKEKQQEWIRDPDSYGRAKTNLVRATLKPIVEAHVGDIAEELFERFQTRISKDIDMLHKTCFYGVVVVCAIRMPD >Ma01_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10499468:10501015:1 gene:Ma01_g14370 transcript:Ma01_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRGSSNKHEDMKLRRGPWTLEEDTLLVHYIAFHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLSPEEQLLILELQSKWGNRWSRIAQYLPGRTDNEIKNYWRTQTQKQARQLKIDANSTVFRDAVRCCWTPRLLEQTISPQPAQTPHADTAATAIDHPPRDLVQELFRPSTHCRFESRSSYELSGAEVRNPSTSSAQVSGLPESSWKPVAELSGIEFSPFHSGSSDDNAHSLGACRLPPMTASADSYATSGCTATYNNCMNSVGDSLWSMDELYGMLNTWMG >Ma11_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25667105:25674173:1 gene:Ma11_g21560 transcript:Ma11_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRQWMFGALQLVMRLRAVLISHIYKKGIVLSSQSRQSLTSGEIINYMSVDIQRITDLMWFSNIIWMLPVQLALAIYVLHKNLGVGALAAFAATTMIMVCNIPLTRAQKRYQSRIMEAKDARMKATAEVLRNMKILKLQAWDLPYLHKLEGLRNTEHNWLWMSLRLKAISSFISWGAPMFISVVTFGTCIIIGIPLTAGRVLSALATFGMLQEPIFTLPNMLSVLAQGKVSGDRIAKYLLEDEMRADVVEIVPRNEAEVDVEIDRGTFSWNQDSVCPTLENIHLKVRRGMKVAICGTVGSGKSSLLSCILGEIPKLGGSVKVSGSKAYVSQSPWILSGNVRENIVFGIPFDNEKYENIIEVCALKKDFELFANGDLTQIGERGINMSGGQKQRIQLARAVYQDADIYLLDDPFSALDAHTGTQLFKDCLMGVLRDKTVLYVTHQVEFLPVADLILVMKDGKVAQAGLFNELLRQNIGFEVLVGAHSDALELILNAETSSKSLLAAEKNILEASKASSNDSDAEKTLNTSFQNIKKQESEHDICQDMADRGRLTQEEEREKGSISKDVYWSYLTAVRGGALVPIIVIAHIFFQVLQVASNYWMAWTSPPSTTTESTVGLKFLFLVYILLSVGCSLCVLIRTTLLVKAGLLTSQNFFQKMLHSTLRAPMSFFDSTPSGRILSRASMDQSVLDLDLPWRLGWCAFSIIQILGTIAVMSQVAWPVFAILIPVTAICIWYQQYYIPTARELARLSEIQKAPILHHFVESLSGAVTIRAFGQKDRFSNTNLSLIDNHSRPWFHNISALEWLSFRLNLLSNFVFAFSLIVLVNMPEGFLNPSIAGLAVTYGLTLNSQLATIIWNICNVDNKIISVERIMQYSRIPSEAPLLIEGCRPTTNWPQVGTICFKNIEVRYAEHLPSVLKNITCIVPGRKKVGVVGRTGSGKSTLIQALFRIVEPREGTIEIDDVDICKIGLHDLRSRLSIIPQDPIMFEGTVRGNLDPLKEYSDSRIWEVLDKCQLGDMIRKNSKKLDSTVIENGENWSVGQRQLLCLGRALLKRSNILVLDEATASVDTATDGIIQETLREEFKDCTILTIAHRIHTVIDSDLILVLSEGKILEYDKPSMLLEREDSAFSKLIKEYSMRSQSFNNATQSM >Ma02_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12886721:12887946:-1 gene:Ma02_g01990 transcript:Ma02_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKSRGGKDKVVFVMGATGTGKSRLAVDVAIHFGGEIVNSDKMQVYDGLDVVTNKVTDEERMGVPHHLLGGLPPDADFSASDFRRAATLQVESIARRGRLPIVAGGSNSYIEELVEGAGREFWRRYECCFLWVDVQLPVLHKFVAERVDRMVERGLVEEVRGLFDPDVADYSRGVRRAIGVPEMDRYLRAEAAEADEATKARLLEAAIDEIKANTCKLTCCQLQKIHRLCTLSGWNVHRVDATDVFRRAGKEVDEAWASLVAGPSVEIVAEFLHQAPAAEPKAAAREAQQFAAVNNKKGASLMNGAVGRDMVVKVASSLVGATV >Ma00_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3266702:3267262:1 gene:Ma00_g00870 transcript:Ma00_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGNRGCMHLVSCKLEERERHPITSSEKHVLYPIEINDVAPDTKDKDSILESELFFMLGRHF >Ma09_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8772330:8780555:-1 gene:Ma09_g13040 transcript:Ma09_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKYPIRAEDYQLFEVVGQGVSASVYRALCAPLDEVVAIKIVDFERNNSDLSNIYREAQTMILIDHPNVLKAHCSFVNDHTLWVVMPYMEGGSCLHIMKSVFPNGFEEPMIATVLREVLKGLEYLHNHGHIHRDVKAGNILVDARGSVKLGDFGVSACLFDSGDRQRSRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPIKVLLMTLQNAPPGLDYERDRKFSRSFRDMIAMCLVKDPSKRPSAHKLLKQPFFKQARSQDYIVRKILDGLPTLGDRHQALKAKEEDLLAQKKMLDSEKEELSQSEYKRGISAWNFDVEDLKAQASLIPENEEIRDSNERHMLEIDELQERISEATPCFSSKDGDDDAKKVMSHESTLLSTDQPGCNQRSKSDGLNNEFKTAGSSDGNVLQKNLHFNHEGNSSSESCRSDFDEKRKDNLFSQSFHERRHSVNLCSAELIPLSKGESLKKQNQLLNIGNCNGEFQTVVDTPIDVVHKPSKSAGADDVDDKSKHPLVQRKGRFKVLSDKIDLDKALTPICLQKSHSMQVFSQLPSPSIQSSVEAASNLLGYSVYQHLHSILQANILQRDNILSLMKQLSPGELSSTSNPASRSTEGVSMLTSSTIFTEKSLMEAATKEKELIQELCELQWRLICTQDEVKRLRFRNTQSSASHPPHN >Ma02_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18928313:18931238:-1 gene:Ma02_g08650 transcript:Ma02_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQGVFTDAIASTGSPDLSLHIGPPSIITAATSAVDGGFRACVDLPLSSIMCPSEAQEPLRPVDDSSRTVNGTPFCVYSQSPFSSFDPKSGFTHQVSSSSPSSSANGCSNDIYLVSSHLHPHSGGQYPSVSSYHRTVTTLLPPWITGLGSDPLRCYHHLPCGVGSLEASHSMMRTRFIPRYQTKRSTRAPRMRWTSSLHARFVHAVDLLGGHERATPKSILELMNVKDLTLAHVKSHLQMYRTIKSTDKTAASSGQSDGSVEEGLAPGYSDQQLLEHKGSVAPKQDSDSRWSNSSTGVWTQISTTDTAEHGSISLSNQIQDTYGRSNGTSGSYQDLKNPLLEFTLGRPDWHTK >Ma09_p27640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38589852:38597492:-1 gene:Ma09_g27640 transcript:Ma09_t27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKIKQYANVLEKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGAFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPMEGQQRPRTTILIKFAEEVLRREARLG >Ma05_p29810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40391019:40393467:1 gene:Ma05_g29810 transcript:Ma05_t29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNANESKMAVPLNTWVLISNFKLAYNMLRRPDGTFDRHLAEFLDRKVPANATPVNGVISFDVLIGRTSKLLSRIYRPAPSTSAAVPLLADLYQPPSADPFPVIIFFHGGSFAHSSSNSAIYDSLCRRFVSLCGAVVVSVNYRRSPEYKYPCAYDDGWAALKWASAEPWLQSGKDAKFRVFLAGDSSGGNIAHHVAVRAAESGIEVSGNILLNPMFGGNCRTESEKRLDGKYFVTIRDRDWYWKAYLPEGADRDHPACNPFGPNAAKLDGLPFTKSLIIVAGLDLVQDWQLAYADGLKKAGHSVKLVYREQATIGFYLLPNTDHFYQVMDEIKNFVMTNVQ >Ma06_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12273174:12275524:1 gene:Ma06_g18100 transcript:Ma06_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAVAAAGAVITPSKSSHPPFSAAYRLPFPLPKPLHSLSTRHPHVRPISASADRRQLPSAAAPTADATTAPLLRNFAPDEPRKGADILVEALEREGVTDLFAYPGGASMEIHQALTRSPSITNHLLRHEQGEVFAASGYARSTGRPGVCIATSGPGATNLVSGLADALLDSVPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLNVDDIPRIIKEAFFVATTGRPGPVLVDIPKDIQQQLAIPVWDPPLRLPGYISRLPRLPARPLLDQILRLVSESRRPVLYVGGGCLNSSEELHRFADLTGIPIASTLMGLGAYPTDAELSLKMLGMHGTVYANYAIDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGMNALMEETEIYRKFDFSTWREELDKQKKIYPLNYKTFGDQIPPQYAIQVLDELTNGEAIITTGVGQHQMWAAQYYSYKRARQWLTSAGLGAMGFGLPAAAGAAVGNPGVTVVDIDGDGSFQMNAQELAMIRIENLPVKIMVLNNQHLGMVVQWEDRFYHRNRAHTYLGNPANETEVFPDFLKISEGYGIPAARVTKKSEVREAIRKMLETSGPYLLDVIVPHEEHVLPMIPSGGAFKDMILDGDGRTPY >Ma01_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2430532:2431770:-1 gene:Ma01_g03640 transcript:Ma01_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWSDLPMELLGSILERLPVADRIRFAAVCSSWRSASGAHLGCPPPPQSPWLMLPFNPTFTPTGSAAAFFSLADRKAYRLPLPDPPVSDRLVVGSSHGWLVTADADSELQLLNPLMGEQVRLPSITTFPFVDAVRDERGRVTRYSLCFGDDIPPEPFEPDRLRYFFYEKAVLSSPPSRSSCSSSWGGYTVMLIHNPLFGLAFARAGDESWTVIDTPSLYWVDAICSSSGQFLTLESMGRVETWELDGGPLPASTLVAPRLGIHDCSKYLVELPRGQLLQVYRWKDPVQSNCKWEPRPLYVECVTTRVKVFRLKVDDAKGCVWVENEGENLQEFALFLGKNSSMCVKVRECPELRGNCIYLTDDGSWSYEKCHEVVPDVGVFDLKEKSLKPCGGYNFQWIWPPPAWVIPTVT >Ma07_p28810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34718713:34728419:1 gene:Ma07_g28810 transcript:Ma07_t28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAETSRREKRKEARLAKQHNRFVSWIQHQSGKNKKKKKKSSLDSEPQSEMVASERKIKKMKMSYSLKKGKSKTKFQEFLELETGKGVLSGEEDLEMEKRLSKKLKVKDTKLRGPDDGINFLIGGSPSEPYSMFDDDTCGEDEVDDDVIEEDVSSMKKKHKKKKKSSDASIELSEHEVAGGEDEVDDDDVIEENVSSMKKKHKNKKKLCHASMEQSECEVAAVEKGTPEKVNTSHVDVGQKKRKKKNSLAASQEHPVVDGDAKKLDVLDTEETHSVEPATVPSVKYMPPQVRARLGIEFDELLEIRRRVKRLLNQLNDSNVESITKEVATIFRSLSRSDGCQIIGQAFLESSTKNESFSAAFAAFVAGMACSVGIDFSAKLIASLAQSFEDEYSKDNCMFLKNYAKILCDMCIFGVCSSDLIYDLLSVLSKRLTELDVSVIDTILDYCGMKLRVDDPAAMKDFIFNIQNRVNEFRSHSDGTRDGKRSISKMDFMLERICDIKNNKIRLKEVPAHHTRLKKWLQKLRGEDILLRGLKWSKLLDTEKKGQWWISGDVASGINDVEDMQTTIIKEVVEAQKFVQLAAAQRMNTDIRRAIFCIIMSGEDYLDAFEKLLRLDLTGKQDREIMRVLVECCLQEKVFNKYYTVLASKLCSHDKNNKFSLQYCLWDHFKELESMELNRSMNLARFIAEMLSSFSLSLAILKTVNLTNPMNLTPKRVMHFRMLFEAVFNNSDALVWNIFTRIGAIPELEELRNSLVYFIKQYVVTVSSEKSVAGKFKIAKKALNNVAGVLM >Ma06_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7816400:7821586:-1 gene:Ma06_g11110 transcript:Ma06_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYQQMPLITRSYLTAAVATTLGCSIEILSPYSLYLNPKLVLQQYEIWRLVTNFLYFGKMDFDFLFHMFFLARYCKLLEDNSFRGRTADFFFMLLFGATVLTGIVLIGGMIPYVSETFAKFFFLSNSLTFMMVYVWSKHNPFIPMSFLGLFTFTAAYLPWVLLGFSVLVGSNAWVDLLGMIAGHTYYFLEDVYPQMTGRRLLKTPWFIKALFADDNVVLAADARFAPPAQNLHQD >Ma07_p21940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30046055:30046621:1 gene:Ma07_g21940 transcript:Ma07_t21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCSNRNKKENIVQGIIEEDKLVTFNMPYYAPSMEEVKAVIHWEDLFDLEQAQIFETNWDPFDDSDDDSAAFDSIASGKNVAGYVRAAFQPLIEEHFGDAILDELFSIYTANVSRHLRQQKSKHYLFVISLKKKEEKKEEADGNAAAAAW >Ma00_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4269262:4271220:-1 gene:Ma00_g01120 transcript:Ma00_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGDGEFWLPSEFLCDDFFVEEGRMRRAEAAFVLRQRLGSKVEPHAAAAVADTASIEEYMATITRQMAQASIVVTEKAKTRPTAGTPHSVLCPYLASTRRNPNDPSLVCSMPSLKLERQKNDVWDLLCEAAAQVTWLRHNDAGFLPKANDSKLLGLPKNASLEIPRIPNYTQGGYYSSPALPQHQQLQSAQFRYLKQQQWSSASEGHGKARGSRPQGLFSSAWPASPTLPQPVRPCHGMKSVLPNGSAAKRLSAGTGVFLPRIPVSKAEPRKTTDCSTVIVPAKVVRALKELGVQSRFEDGFLHNHNARGGRSNDLFSHQNLNHQVFPSTSMVAREIRLPHEWSY >Ma07_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10512804:10515567:1 gene:Ma07_g14070 transcript:Ma07_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKPATDIPATPPSFSSSSSSSGNCSPEMESMSIPRLIEFLQSSFRKADFEKAESALISREASLKAEIERSFSEELTMKEVEKIELEARIEGLERDLGVLSTRCADLEQRIKKGEEGFGVERAELERKLREEKERYSLLEARFQDADGGKLGPGGEDEKHRRACASLEEEISRLWEACRLAGEREQRAQETIATMLALQKEKGGLNSCWRKCRELEDENRGLRGTEIKIEEEKGKTKAWVLPHVENASDPKKNPATVDKENSLPGEVIEISDSDDETLTKDCEVKEHLVEKAVDVKNESVAGQSPKVMRSDKRRNLVLQEDDNSHMDGVLSVPTPKRKRISRVVTSDSENDDDVDDNVPISKLKLRQVEGVVEENKESSHVVEDMVPSRRRLVLLREVYRSDSSVKGKSPGNPSTPSAKNRTSRNKVTECRKLGYSDSDDDEEDKVNGRNEVDDIGSESDGESLGGFIVSSSDNPESEPSSENSSSKEEEGSDLDLDNVLANIRREKDTKVWEFEADMLSSFSKDPELCMKAVCALYRQQTSDEQSAKETLLTNRRGFSKIDAQRGSRVAEFLTDGDPFGPLKKSVKDLENYDRKAIDYCHKLANRYSKQLFTIYQNKEDPFFHPS >Ma06_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17425549:17427182:1 gene:Ma06_g22180 transcript:Ma06_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDVVEFDFFAMEKTRSRAMDQSTSAPGAKLSAISRMNPQLLRNVISSVGRTPIPPPLSLLVLNPSDLSARRNDSVTAPLTIFYNGTVAVFDLAQNKAEAIMKMAEISGKGLLDKLDEDLLPMARKKSLQRFFQKRKERLTAAGPYERIQATGSAKNTC >Ma07_p27350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33778624:33782911:1 gene:Ma07_g27350 transcript:Ma07_t27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECTKIVINRIQKLEPENAMKLIGYLLLKHTDREIMEFAFGPDSQILSLVNQAKAYLTLLQKSNLSCPMQHLDDQKLHYMSFSPTISRPFSSPSSFSIPAPLSDSHLLSDQMLISQNLDVPPSSYTDLIGGLYNQAEQLSLVDHLHPSCPDYLRNKCFSEAAFERGLSSRINMRLHPGWLESPPRACHYYSKGYCKNGINCRFFHGQTNPDGFSDTHNPSLNEFGKEDQVSAPGSFSKLESEIIELLKSKRGMPVSIASLPTMYLEKYGKMLRADGYLTESQRHGKAGFSLTKLLNQLNNSIRIIDRPHGQHSVVLAEDACMYMEYRSDRIDQGAVIASSHQIYLTFPAESTFTGEDVFNYFNQYGPVHDVRIPRQEKRMFGFVSFLYPETVKNILAKGHPHYISGTRVLVKPYKEKSKLTDKKYAEKMGSPDCFPSQLFAVDQNTELILGISKSTGSPQRNLSKDHDLAIELERRHLFELQLTPKQPRQQSCFSLGMEELKVLEVTTLNFQKVHLLLLG >Ma07_p27350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33778624:33782911:1 gene:Ma07_g27350 transcript:Ma07_t27350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECTKIVINRIQKLEPENAMKLIGYLLLKHTDREIMEFAFGPDSQILSLVNQAKAYLTLLQKSNLSCPMQHLDDQKLHYMSFSPTISRPFSSPSSFSIPAPLSDSHLLSDQMLISQNLDVPPSSYTDLIGGLYNQAEQLSLVDHLHPSCPDYLRNKCFSEAAFERGLSSRINMRLHPGWLESPPRACHYYSKGYCKNGINCRFFHGQTNPDGFSDTHNPSLNEFGKEDQVSAPGSFSKLESEIIELLKSKRGMPVSIASLPTMYLEKYGKMLRADGYLTESQRHGKAGFSLTKLLNQLNNSIRIIDRPHGQHSVVLAEDACMYMEYRSDRIDQGAVIASSHQIYLTFPAESTFTGEDVFNYFNQYGPVHDVRIPRQEKRMFGFVSFLYPETVKNILAKGHPHYISGTRVLVKPYKEKSKLTDKKYAEKMGSPDCFPSQLFAVDQNTELILGISKSTGSPQRNLSKDHDLAIELERRHLFELQLTPKQPRQQSCFSLGMEELKVLEDDFDCHSTVFKSGPRNDCISKQTYNNCNDINSDHIELPESPFASPRLGSSISTVT >Ma07_p27350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33778624:33782096:1 gene:Ma07_g27350 transcript:Ma07_t27350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECTKIVINRIQKLEPENAMKLIGYLLLKHTDREIMEFAFGPDSQILSLVNQAKAYLTLLQKSNLSCPMQHLDDQKLHYMSFSPTISRPFSSPSSFSIPAPLSDSHLLSDQMLISQNLDVPPSSYTDLIGGLYNQAEQLSLVDHLHPSCPDYLRNKCFSEAAFERGLSSRINMRLHPGWLESPPRACHYYSKGYCKNGINCRFFHGQTNPDGFSDTHNPSLNEFGKEDQVSAPGSFSKLESEIIELLKSKRGMPVSIASLPTMYLEKYGKMLRADGYLTESQRHGKAGFSLTKLLNQLNNSIRIIDRPHGQHSVVLAEDACMYMEYRSDRIDQGAVIASSHQIYLTFPAESTFTGEDVFNYFNQYGPVHDVRIPRQEKRMFGFVSFLYPETVKNILAKGHPHYISGTRVLVKPYKEKSKLTDKKYAEKMGSPDCFPSQLFAVDQNTELSRSRYI >Ma03_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22595309:22595609:-1 gene:Ma03_g17350 transcript:Ma03_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDSPGSKLSSGCGRDSERRANLQCCIGIACFIHDSSSSSREIIMSLESIYLKVEYV >Ma10_p20070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30366378:30373701:1 gene:Ma10_g20070 transcript:Ma10_t20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAIPATKLAYFDNMWAVQSTATLLSHLQTEDGRRALILDSTIFHPQGGGQPADTGFISGAASGFKFVVEDARLKDGLVYHYGSFENPQDDCVSIAKEGEEVHLYINPQRRDLNSRLHSAGHLLDICMRKVGISHLEPGKGYHFSDGPFVEYKGIIPPDLLQIKSKELEKEANALILTGGKVSASVLSYNEAAEWCGGVLPSYIPTDSAPRIVKLGDHPGCPCGGTHVADIADIRNLTVTQIRTKKGLTKVFYSISP >Ma11_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16729686:16737619:-1 gene:Ma11_g12850 transcript:Ma11_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRVDNEEAVTRCKERRQWMKAAVAERNAFAAAHSAYAVALKNTGAALSEFGQGEAHDPGPSSSTATTAAAVPGPSGGVPPAVQPPIDPLPPPPPPLPEFSPSPIQRSISMPDLPKKFPSKAQPNASIREDDDEGEEEADEEEEEDPDGGLEHRRRPAGAAAAASSPSPSPSPAPPPPPPQSSPPPPPPPMPELKAMETWDYFFSSMDDNMQGPSLTPADEIRPAREDASEDRFKTSAPPPPVTDHHVVDGNDEPVTPEKVVLETPLPPKVPRKPKQGAHAHHQHAASAPALDAKRGKMVPVDRPSNNLLKVLTDLDDLFLKASESTHEVSKMLEATRMHYHSNFADSRGHIDHSARVMRVITWNRSFKGMADADGGKDDFDNDEWETHATVLDKILAWEKKLYDEVKAGELMKIEYQRKVALLNRQKKRGVSSEALERTKAAVSHLHTRYIVDMQSMDSTVSEIERLRDKQLYPKLVELVEGMAQMWYAMYMHHQAQLKIVEGLKSLDVTNSPRETSEHHHKHTFQLLEIAKEWHTQFNKLVSHQKDYVESLNSWLKLTLIPIESSLKEKVSSPQRPAHAPIQSLLHAWHEYLGKLPDELAKSAILSFSAVINTIVTLQQEERKQKEKCEEAHKEYSKKNRAFDDWFQKYSQRRMGSSVGEPDGGEGSNQKDPVEERRFMVESLKSKFDEEVEAHRRLCKQVREKSLSSLKTHLPELFRAMSDFANACSKMYASLKMITEQDPPTN >Ma02_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:1295444:1296631:1 gene:Ma02_g00140 transcript:Ma02_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERAAIAPAEVLYHSRRDDAHHRVYMHRSEEAMLVTNNQEDRAFIQEQSFDQLIRSGMRYIHLGILQTRVQTLHRQEEGTLALLVFRDNRWADDRSIIATMEVDLTRGSQLVYVVPDTMMTVGDFYRNIQLSILTRGYDTWQNGEANLLITRGKVGRLSNTPNVAFAYEISGVVDYLTSHGVRALPGRRYSTAEIRGRDWMIRPTQVSIPMQPAELRSRNLIDGRISISFDNYKAASTSSRIRYNNADDETFSDEEEIRSHTIAVNIQLDDSEDEAEELRENLNSYFRDTHITGKEVEMPYPRKHQKELIAAGLEEELIMEYPQLAKLSQQVYSSSAVSNYRPPTDSTMGPVNYPPAVNIESTSQRPEYEGHSRQSRFKAKNFSEAWNLPSAFQ >Ma07_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6002328:6015287:1 gene:Ma07_g08060 transcript:Ma07_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFGDPFNRNNPAVQARTKAQNRANVLQLKLIGQSHPTGLTTNLLKLFEPRAPLEYKPPVEKRKCPPYTGMAQFVSQFAEPSDPEYAPPVIKGETPTERRARIRKLRLEEGARKAAEELEKYDPSKDPHVTGDPYKTLFVARLNYETTEHRIKREFETYGPIKRVRLITDKVVNKPRGYAFIEYMHTRDMKTAYKQADGRKLDNRRVLVDVERGRTVPNWRPRRLGGGLGSTRIGGEEANQKHSGRDQQQIASGSSRSAEPRSEDVRHLDRDREKSRERGRDRERDMDRSGERARDRDPREERHHHHRDQGRNRERERDREREKDRGRERDRGRGRDKERDRGQEYDRERERERERPRDRDRDRERDYGRASHERDRGYSIDKDLKYGHTESKQGRERSVAKERDLEQGEHEYGQGWYDERSKHGRERDYKQFDQSQQYEHYDRVHPQHISEAKYERDQSNRHEGDYYYDNALQTDGHHHQYEHPDYEAREEGEAVGDEYGYHP >Ma07_p14260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10696319:10700617:1 gene:Ma07_g14260 transcript:Ma07_t14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNWLRCMGFFLGLPFALASVVITMFITVFTFIFMPLAWTLSCCSSCCCPYHKMREVVKILATIHLYVVGWITCDPLWSSEPVIENRQDYQHHYPPQDDVVRMAPQLSPPLPITTIGSKNSSSPKSLTVSAGLTKRSFTYQELVIATNNFSAVNLLGEGGFSHVYKGTLSNGTEAAIKRLKDTTSKQTDVEFMKEADILSLVHHRHLVSLIGCCISEGNWLLVCEYVPNKTLKFHLHDREQPTLAWKDRFRIALDSAKGLAYLHEDCQPRVIHRDIKAANILLDHSFRAKIADFGIAKHFSDEKTHISTVVKGTHGYLSPEYVSSGKLTDKSDVFSYGVLLLELITGRRPVHLADWARPLLKQALEDGKYDVLVDPFLESYYNPIELGRMVACAYACLCHSASLRPSMSQILKSSIEDSNRN >Ma07_p14260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10696319:10700617:1 gene:Ma07_g14260 transcript:Ma07_t14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNWLRCMGFFLGLPFALASVVITMFITVFTFIFMPLAWTLSCCSSCCCPYHKMREVVKILATIHLYVVGWITCDPLWSSEPVIENRQDYQHHYPPQDDVVRMAPQLSPPLPITTIGSKNSSSPKSLTVSAGLTKRSFTYQELVIATNNFSAVNLLGEGGFSHVYKGTLSNGTEAAIKRLKDTTSKQTDVEFMKEADILSLVHHRHLVSLIGCCISEGNWLLVCEYVPNKTLKFHLHDREQPTLAWKDRFRIALDSAKGLAYLHEDCQPRVIHRDIKAANILLDHSFRAKIADFGIAKHFSDEKTHISTVVKGTHGYLSPEYVSSGKLTDKSDVFSYGVLLLELITGRRPVHLADWARPLLKQALEDGKYDVLVDPFLESYYNPIELGRMVACAYACLCHSASLRPSMSQIVRALEGLISLGEYTIQVQPSMLSANILYHEILKSSIEDSNRN >Ma06_p29770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31169305:31171058:1 gene:Ma06_g29770 transcript:Ma06_t29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BLUS1 [Source:Projected from Arabidopsis thaliana (AT4G14480) UniProtKB/Swiss-Prot;Acc:O23304] MYPLDAKCYRLLYEVGSGVSAVVYKATCLPMDCSVVAIKSIDLERSRANLDDVRREAKAMALLSHPNVLRAHCSFTVDRHLWVVMPFMAAGSLQSIISSSFPDGLPETSIAVVLREILHALSYLHGQGHIHRDIKAGNILVDSDGSVKLADFGVSASIYESHPSSSVSLYMSSSSSSFFNDMAGTPYWMAPEVIHSHMGYGIKADIWSFGITALELAHGRPPLSHLPLSKSLVMKITNRLRLEEHGRDSTGGNCKKKKFSKAFKDMVAACLCRDPSKRPSADKLLRHPFFKNCKSTDYFVKNVLQAVPAVEDRCIERRVVDCNSNDREGSTSPLVKSRRVSGWNFNEDVFELDPVYPVESDDKCVCFGGEEPIDQPTAHPHSHVVDDDKNGNEDDEKENHHRHHHHSRAEEKEVAKKASEAPLSVLKQTLVPNLVSLLSSLDLQRGMVMDVLSHCGCKEGQQESLREQQLWGYVRSMQQTVDDLKLQLLREMKVNAELQERLGPLNRKMNEETGHTSS >Ma04_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4029192:4041384:-1 gene:Ma04_g05420 transcript:Ma04_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTSLGLVALFTSLTLLITMNLQFEHLKIDVQHHEPFVSTERPWGGALQGLPRGIVESTSDLKLKPLWMSSSFESKETGGSYSALLAMAVGISQKENVDSVVRKFLQENCSIILFHYDGNVDGWRDLEWNSKAIHIVAYNQTKWWFAKRFLHPDIVFFYDYLFLWDEDLGVENFHPGRYLQVMSSEGLEISQPALDPDLSSDIHHRITVRNRMKKVHRRIYNRRGSLRCSNESKEPPCTGWVEGMAPVFSRAAWQCVWHLIQNDLVHGWGLDMKLGYCAQGDRTKKVGVIDSEFIVHKGIPSLGGPSANKARNRRSLDSRTHIRRQSTAELKIFKARWNKAVTEDRKLLVASEVFTKRKG >Ma09_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8605593:8629963:1 gene:Ma09_g12770 transcript:Ma09_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAATKEATVAGAGETMSDAEGYASEKSGREVEYFGWVYHLGVNSIGHEYCHLRYLVLKGTYVAMYKREPGNHRGIKPIRKGVVNHTLVVEELGRHNFNNGEFYVLRFYNRLDQTKKGEIACGSAGEARKWIEAFEQAKQQAEYNLLRGSSRHKLSIDNELNLEGHRPRVRRYAKDLRKKLIKIGKGPEMLIRRSSGLGSHLRRETYIEADVEDVIETHKWRCVRTVNGIRIFEDVTNLKGARGLLLKSVGVIEANADTIFEVVLSPDRHKRYEWDMLTGDLELVDSVDGHYDVVYGTYEPTQLNWWRSKKDFVFSRQWFRGQDESYTILQFPAVNKKRPQRSGYQRTKINPSTWEIRRLNTSDSSTAKCQVTLMLEVPAKSWGIWRRNQYSNFDRTIPYALLCQVAGLKEYFGANPALTSYSPSTIVDSPISDSSNAHTEFKDSEVDEEFFDAIASSDSLEDEDSDDEIELPKAGNMKLKNVSWAILALKRSKAVLERSELDANSPSIIIDPSQFHGSMRQGKGETDTNCWSSPSGQGFMIRGKNYLKDYSKIVGGDPLLKLIGVDWFRADNSIDKVALHPKSFIQSEAGKRLPFILIINLEIPSKPNYSLVLYYAAEKPINKESLLGRFLDGTNMFRDSRFKLIPRIVEGYWMVKRAVGSKACLLGKAVTCKYLRQDNFLEIDVDIGSSSVARSIISLVLGYITSIVVDLGILIEAKEEEELPEYILGTVRLNRVRVESAVPFWDES >Ma04_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25255292:25259039:-1 gene:Ma04_g23050 transcript:Ma04_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPATPLGGRSPFDGRRRRRDSCDASRSSTPSVSSGRNPVSIAARAISSCFGSPEARSPSPTDDSEDFKAPYVTPSLSTQGSSHGSLQGSRRRSMGMHTDGSSHSRHQPGAMRLTITEIMMATKNFSPSLMIGQGGSGTVYKAQLADGTVVAVKRAKKNANNSRMDAEFQNEIQTLACIEHLNLVRLHGFLEHQDERLVVVEYVPNGTLREHLDCQRGKILELATRLEIAIDVAHAITYLHMYSDNPIIHRDIKSSNILLTDNLRAKVADFGFARLFMVESDATHVSTQVKGTAGYLDPEYLRTYQLTVKSDVYSFGVLLVELVSGRRPIEPRRELNERLTANWAMKKFMKGSGIQTLDPNLQPSLATNFVVEKILELALLCLAPTKKSRPSMRRCAEILWTVRKDYREILSSDLHFPPSGQRNH >Ma07_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10166409:10169275:1 gene:Ma07_g13510 transcript:Ma07_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAESQQQEKTPSKASAVEKRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYNELQKCKYFSERRTATYISSLARALIYLHGKHVIHRDIKPENLLIGLQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGMPPFEAKEHSDTYRRIVKVDLKFPSKPVVSPGAKDLISQMLVKDSTQRLPLHKLLEHPWIVQNADPSGVYRG >Ma03_p31010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33410549:33410749:1 gene:Ma03_g31010 transcript:Ma03_t31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLFALGFSVVPLTLYVPPIRSLNRFLAAMEAFAEEAAAYSQRAYPMLRLGLRRIFAVASRSFR >Ma04_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25562643:25562813:-1 gene:Ma04_g23380 transcript:Ma04_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSSIMPMNCSRLCVNEQFHVQYLSICVVTAIELKTVTLKTQFEVVDWSRHFSY >Ma05_p07080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5175575:5179841:1 gene:Ma05_g07080 transcript:Ma05_t07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFSVPPVVFPSSAGNPNVPQQRRAPGAAPFQPPRPSNPGPANPSLPFMSFDVGSAPASSSFSAPVFAPAAGEGFSVSGSFDDEPPLLEELGINTRQIWRKTISILNPFRVNPDLHEDADLSGPFLFLMAFGLFQLLAGKFHFGIILGWVTVAAFFLYIVFNMLAGRNGNLDLYRCLSLIGYCMLPMVIFSALSLFVPHGGVVIFLMAAVFVLWSARVCTALLVELASCGDEHRGLIAYACWLVYMLFSLLILF >Ma05_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5175575:5179841:1 gene:Ma05_g07080 transcript:Ma05_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFSVPPVVFPSSAGNPNVPQQRRAPGAAPFQPPRPSNPGPANPSLPFMSFDVGSAPASSSFSAPVFAPAAGEGFSVSGSFDDEPPLLEELGINTRQIWRKTISILNPFRVNPDLHEDADLSGPFLFLMAFGLFQLLAGKFHFGIILGWVTVAAFFLYIVFNMLAGRNGNLDLYRCLSLIGYCMLPMVIFSALSLFVPHGGVVIFLMAAVFVLWSARVCTALLVELASCGDEHRGLIAYACWLVYMLFSLLILF >Ma06_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13794686:13796370:-1 gene:Ma06_g19700 transcript:Ma06_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGRRRFVVRHPVVVDIGCSCRRPKLPSFFSFSSKPKLSRTPSLLSPSTTTSHWETSLTATTTATVANSSSSPSRYGGSTSSPSSAFCPPESPKWAAAREHWKPNKKKNKCDASGTPRKGVVESSVAVVKESSDPYLDFRDSMLQMIVEMEIYAWEDVRELLHRFLALNAPRHHHLILRAFAEIWNGLVSPTSPSPRPSASASASASAPRRSRRR >Ma09_p17670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13214359:13214944:1 gene:Ma09_g17670 transcript:Ma09_t17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCNPLSFLFLFLAWFDRDRRSTHQDVDGDDHIQSNASPPLERSHQSFPLG >Ma07_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11754724:11756934:-1 gene:Ma07_g15570 transcript:Ma07_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDVKTHPANRLLRGLTMTTAYPPAAASPFTIFYAGKVRVYNAIPPEKQAQAIMLIATAVAGATKVSGDRTPAAAEKAPAIVGPSAAAAAAALGPVLTRSLSLQSSTAGGQPQPQLMPTASDALCKLQDELPMARRHSLQRFLEKRRDRLANRAPYAAEKSSDMEVASEERPQLT >Ma03_p23640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28166543:28167296:-1 gene:Ma03_g23640 transcript:Ma03_t23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma03_p25840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29793477:29795444:1 gene:Ma03_g25840 transcript:Ma03_t25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAGFFSRKSFKDVDCTDDMVGALRGLMFLRPSHIQEEILGLGKASSRSPRVIILVPTAELASQVGVWCHHHHLFLSLSFFLSEPPIVELDLYHLVLMVWLKYHKLPNTTSLINLSVLFDINEKEFIVFCLVLKAQIKLETNYCKCMYNTVQIMCIPRSCSCDILRSCIILCRSLLFLVDCSGADGEEKNPDTALKNKKYVLLQLLEEWQFLKQLFSAIRLKFAERSRMC >Ma06_p02520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1958991:1962269:-1 gene:Ma06_g02520 transcript:Ma06_t02520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGHESERWVGYVDWRNRPALRSKHGGMVAASFVLVVEIMENMAFLANASNLVTYLAEFMHLSPSVSATTVTNFMGTAFLLALLGGFLSDAVFTTYHIYLISALLEFLGLVILTVQAKSPTLKPPACRIPAASHAPCQEVSGGKAAILFAGLYLTALGVGGVKGSLPAHGAEQFDENTARGRKARSTFFNYFVFCLSCGGLVAVTLVVWVEDNKGWQWGFGISTLTILLSVPVFLAGSATYKNKIPTRSPVTTIAKVLVAAILNRRCRHNPINAVIDMAPSPVKTIEVDAKEEVRDDEGPNAELKCLNRAVEGKPMHGALLCTAKEVEDVKVVVKVLPIFLSTVMLSCCLAQLSTFSIQQAATMDTRVGGLTVPPASLPVFPVVFIMLLAPLYDHAIVPFARRVTGSETGISHLQRIGFGLALSIVAMAVAASVEAKRKRVARAASLLDSAEPLPISFFWLALQYLFLGSADLFTLAGTLEFFFSEAPAGMRSLATSLSWASLAMGYYLSSLLVAVVNDVTGRGNRQAWMAGRSLNHYHLERFYWLMSALSSFNFLHFLFWANRYRYRSTGSKL >Ma06_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1958991:1962278:-1 gene:Ma06_g02520 transcript:Ma06_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVILTVQAKSPTLKPPACRIPAASHAPCQEVSGGKAAILFAGLYLTALGVGGVKGSLPAHGAEQFDENTARGRKARSTFFNYFVFCLSCGGLVAVTLVVWVEDNKGWQWGFGISTLTILLSVPVFLAGSATYKNKIPTRSPVTTIAKVLVAAILNRRCRHNPINAVIDMAPSPVKTIEVDAKEEVRDDEGPNAELKCLNRAVEGKPMHGALLCTAKEVEDVKVVVKVLPIFLSTVMLSCCLAQLSTFSIQQAATMDTRVGGLTVPPASLPVFPVVFIMLLAPLYDHAIVPFARRVTGSETGISHLQRIGFGLALSIVAMAVAASVEAKRKRVARAASLLDSAEPLPISFFWLALQYLFLGSADLFTLAGTLEFFFSEAPAGMRSLATSLSWASLAMGYYLSSLLVAVVNDVTGRGNRQAWMAGRSLNHYHLERFYWLMSALSSFNFLHFLFWANRYRYRSTGSKL >Ma06_p02520.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1958991:1962269:-1 gene:Ma06_g02520 transcript:Ma06_t02520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGHESERWVGYVDWRNRPALRSKHGGMVAASFVLVVEIMENMAFLANASNLVTYLAEFMHLSPSVSATTVTNFMGTAFLLALLGGFLSDAVFTTYHIYLISALLEFLGLVILTVQAKSPTLKPPACRIPAASHAPCQEVSGGKAAILFAGLYLTALGVGGVKGSLPAHGAEQFDENTARGRKARSTFFNYFVFCLSCGGLVAVTLVVWVEDNKGWQWGFGISTLTILLSVPVFLAGSATYKNKIPTRSPVTTIAKVLVAAILNRRCRHNPINAVIDMAPSPVKTIEVDAKEEVRDDEGPNAELKCLNRAVEGKPMHGALLCTAKEVEDVKQAATMDTRVGGLTVPPASLPVFPVVFIMLLAPLYDHAIVPFARRVTGSETGISHLQRIGFGLALSIVAMAVAASVEAKRKRVARAASLLDSAEPLPISFFWLALQYLFLGSADLFTLAGTLEFFFSEAPAGMRSLATSLSWASLAMGYYLSSLLVAVVNDVTGRGNRQAWMAGRSLNHYHLERFYWLMSALSSFNFLHFLFWANRYRYRSTGSKL >Ma06_p02520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1958991:1962271:-1 gene:Ma06_g02520 transcript:Ma06_t02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMAFLANASNLVTYLAEFMHLSPSVSATTVTNFMGTAFLLALLGGFLSDAVFTTYHIYLISALLEFLGLVILTVQAKSPTLKPPACRIPAASHAPCQEVSGGKAAILFAGLYLTALGVGGVKGSLPAHGAEQFDENTARGRKARSTFFNYFVFCLSCGGLVAVTLVVWVEDNKGWQWGFGISTLTILLSVPVFLAGSATYKNKIPTRSPVTTIAKVLVAAILNRRCRHNPINAVIDMAPSPVKTIEVDAKEEVRDDEGPNAELKCLNRAVEGKPMHGALLCTAKEVEDVKVVVKVLPIFLSTVMLSCCLAQLSTFSIQQAATMDTRVGGLTVPPASLPVFPVVFIMLLAPLYDHAIVPFARRVTGSETGISHLQRIGFGLALSIVAMAVAASVEAKRKRVARAASLLDSAEPLPISFFWLALQYLFLGSADLFTLAGTLEFFFSEAPAGMRSLATSLSWASLAMGYYLSSLLVAVVNDVTGRGNRQAWMAGRSLNHYHLERFYWLMSALSSFNFLHFLFWANRYRYRSTGSKL >Ma06_p18540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12672923:12673567:1 gene:Ma06_g18540 transcript:Ma06_t18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHPLPSFGYWDYCDELLSAHYFESAVQAGVIRGHYFGEDSDLFYHRKVIKKVGNAGGEKQQHGKEQQRKLVKVSDMKLQTTPRRPRALKAVDEDLYKIPPELLYQKPKRVSPAPVLPLIPASIQPQFNFWVLM >Ma06_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12672923:12673567:1 gene:Ma06_g18540 transcript:Ma06_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHPLPSFGYWDYCDELLSAHYFESAVQAGVIRGHYFGEDSDLFYHRKVIKKVGNAGGEKQQHGKEQQRKLVKVSDMKLQTTPRRPRALKAVDEDLYKIPPELLYQKPKRKRSLRKLWSGCMGINSVA >Ma03_p15660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16699692:16716625:-1 gene:Ma03_g15660 transcript:Ma03_t15660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan water dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10760) UniProtKB/Swiss-Prot;Acc:Q9SAC6] MSNTVGHTLPQQALYRPSIKENQSKAHQGVSANFLCGVPLGSKVENAVSYSSRSLLSTGSLGKKLIKGIPPKQNRSIVTMTPRAVLAADPASELRRKFKLDTYSELEVVVHVPTSGSPVQIEFQVTNSSGYLVLHWGAIHNRRNNWSLPSRHPDGTKVYKNRALRTPFKKSGSTSSVKMEIDDPEIQAVEFLIFDESENKWFKHNGQNFHVQLLKQGYQNQNVLASVNPNVSLPEELVQIQAYLRWERKGRQTYTPDQEKDEYEAARTELLEELSRGASVEELRSKLTKNTTAGADKSVKVETIKSGIPDDLVQVQAYIRWEKAGKPYYPPEKQLMEFEEARKELQHELDKGTSLAELREKINQGNIQTKVSEQLKTKKYFSIERIQRKRRDIMNILNKTVVEIAEEKVSHLQKAPTALEHWSKTISENDGGSILNKKKYKLEDKELQVLVTKPLVRTKVFMATDQRGPLILHWALSRKSGEWMVPPSSAMPSGSVLLDKSCETPFTEASLGDMFYQVIEIEIDSDDYAGMPFVLRSDEKWMKNNGLDFYIELDTEITKSKRDAGDGKGTAKSLLDRIAELEDEAQRSLMHRFNIAADLVEQARDAGQLGLVGLLIWMRFMAMRQLIWNKNYNVKPREISRAQDRLTDLLQNVYKDFPQHREILRMIMSSVGRGGEGDVGQRIRDEILVIQRNNDCKGGLMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWETLNRNGITKERLLSYDRAIHSEPNFRRDQKEGLLRDLGNYMRTLKAVHSGADLESAIATCMGYKSEGQGFMVGVQINPIRAVFEQDLMEFILEHVEDKMVEPLLEALLEARVELRPLLLNSHERLKDLIFLDIALDSTVRTAVERAYEELNNAESEKIMYLITLVLENLALSTDDNEDLIYCLKGWNHALEMSKQKDDQWALFAKSCLDRTRLALSSKAEYYHQILQPSAEYLGSLLGVEPWAASIFTEEIIRAGSAASLSALLNRLDPVLRKVAHLGSWQVISPVEVTGYVDVVDELLAVQNKSYTRPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDANILAEFQRNEGKLFRLQPTSADIVYSEIDKSELDDISSAKDGHDQSSPSVTLVRKHFSGRYAISADEFTSETVGAKSRNISFLKGKVPSWVGVPTSVALPFGVFEKVLSDDINQEVASKLQMLKEKLVLGEFGALVEIREIILQLAAPRVLVQELKEKMEGSGMPWPGDEGENRWEQAWLAIKRVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIISADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNDLDTPKVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYVSDPLIMDKNFCSSILSSIAQAGHAIEELYGSPQDIEGVVKDGKIYVVQTRPQM >Ma03_p15660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16699692:16716625:-1 gene:Ma03_g15660 transcript:Ma03_t15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan water dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10760) UniProtKB/Swiss-Prot;Acc:Q9SAC6] MSNTVGHTLPQQALYRPSIKENQSKAHQGVSANFLCGVPLGSKVENAVSYSSRSLLSTGSLGKKLIKGIPPKQNRSIVTMTPRAVLAADPASELRRKFKLDTYSELEVVVHVPTSGSPVQIEFQVTNSSGYLVLHWGAIHNRRNNWSLPSRHPDGTKVYKNRALRTPFKKSGSTSSVKMEIDDPEIQAVEFLIFDESENKWFKHNGQNFHVQLLKQGYQNQNVLASVNPNVSLPEELVQIQAYLRWERKGRQTYTPDQEKMEFEEARKELQHELDKGTSLAELREKINQGNIQTKVSEQLKTKKYFSIERIQRKRRDIMNILNKTVVEIAEEKVSHLQKAPTALEHWSKTISENDGGSILNKKKYKLEDKELQVLVTKPLVRTKVFMATDQRGPLILHWALSRKSGEWMVPPSSAMPSGSVLLDKSCETPFTEASLGDMFYQVIEIEIDSDDYAGMPFVLRSDEKWMKNNGLDFYIELDTEITKSKRDAGDGKGTAKSLLDRIAELEDEAQRSLMHRFNIAADLVEQARDAGQLGLVGLLIWMRFMAMRQLIWNKNYNVKPREISRAQDRLTDLLQNVYKDFPQHREILRMIMSSVGRGGEGDVGQRIRDEILVIQRNNDCKGGLMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWETLNRNGITKERLLSYDRAIHSEPNFRRDQKEGLLRDLGNYMRTLKAVHSGADLESAIATCMGYKSEGQGFMVGVQINPIRGLPSGFSDLMEFILEHVEDKMVEPLLEALLEARVELRPLLLNSHERLKDLIFLDIALDSTVRTAVERAYEELNNAESEKIMYLITLVLENLALSTDDNEDLIYCLKGWNHALEMSKQKDDQWALFAKSCLDRTRLALSSKAEYYHQILQPSAEYLGSLLGVEPWAASIFTEEIIRAGSAASLSALLNRLDPVLRKVAHLGSWQVISPVEVTGYVDVVDELLAVQNKSYTRPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDANILAEFQRNEGKLFRLQPTSADIVYSEIDKSELDDISSAKDGHDQSSPSVTLVRKHFSGRYAISADEFTSETVGAKSRNISFLKGKVPSWVGVPTSVALPFGVFEKVLSDDINQEVASKLQMLKEKLVLGEFGALVEIREIILQLAAPRVLVQELKEKMEGSGMPWPGDEGENRWEQAWLAIKRVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIISADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNDLDTPKVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYVSDPLIMDKNFCSSILSSIAQAGHAIEELYGSPQDIEGVVKDGKIYVVQTRPQM >Ma03_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16699692:16716625:-1 gene:Ma03_g15660 transcript:Ma03_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan water dikinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10760) UniProtKB/Swiss-Prot;Acc:Q9SAC6] MSNTVGHTLPQQALYRPSIKENQSKAHQGVSANFLCGVPLGSKVENAVSYSSRSLLSTGSLGKKLIKGIPPKQNRSIVTMTPRAVLAADPASELRRKFKLDTYSELEVVVHVPTSGSPVQIEFQVTNSSGYLVLHWGAIHNRRNNWSLPSRHPDGTKVYKNRALRTPFKKSGSTSSVKMEIDDPEIQAVEFLIFDESENKWFKHNGQNFHVQLLKQGYQNQNVLASVNPNVSLPEELVQIQAYLRWERKGRQTYTPDQEKDEYEAARTELLEELSRGASVEELRSKLTKNTTAGADKSVKVETIKSGIPDDLVQVQAYIRWEKAGKPYYPPEKQLMEFEEARKELQHELDKGTSLAELREKINQGNIQTKVSEQLKTKKYFSIERIQRKRRDIMNILNKTVVEIAEEKVSHLQKAPTALEHWSKTISENDGGSILNKKKYKLEDKELQVLVTKPLVRTKVFMATDQRGPLILHWALSRKSGEWMVPPSSAMPSGSVLLDKSCETPFTEASLGDMFYQVIEIEIDSDDYAGMPFVLRSDEKWMKNNGLDFYIELDTEITKSKRDAGDGKGTAKSLLDRIAELEDEAQRSLMHRFNIAADLVEQARDAGQLGLVGLLIWMRFMAMRQLIWNKNYNVKPREISRAQDRLTDLLQNVYKDFPQHREILRMIMSSVGRGGEGDVGQRIRDEILVIQRNNDCKGGLMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWETLNRNGITKERLLSYDRAIHSEPNFRRDQKEGLLRDLGNYMRTLKAVHSGADLESAIATCMGYKSEGQGFMVGVQINPIRGLPSGFSDLMEFILEHVEDKMVEPLLEALLEARVELRPLLLNSHERLKDLIFLDIALDSTVRTAVERAYEELNNAESEKIMYLITLVLENLALSTDDNEDLIYCLKGWNHALEMSKQKDDQWALFAKSCLDRTRLALSSKAEYYHQILQPSAEYLGSLLGVEPWAASIFTEEIIRAGSAASLSALLNRLDPVLRKVAHLGSWQVISPVEVTGYVDVVDELLAVQNKSYTRPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDANILAEFQRNEGKLFRLQPTSADIVYSEIDKSELDDISSAKDGHDQSSPSVTLVRKHFSGRYAISADEFTSETVGAKSRNISFLKGKVPSWVGVPTSVALPFGVFEKVLSDDINQEVASKLQMLKEKLVLGEFGALVEIREIILQLAAPRVLVQELKEKMEGSGMPWPGDEGENRWEQAWLAIKRVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIISADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNDLDTPKVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYVSDPLIMDKNFCSSILSSIAQAGHAIEELYGSPQDIEGVVKDGKIYVVQTRPQM >Ma09_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8200422:8204022:1 gene:Ma09_g12150 transcript:Ma09_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTASSQPHGTQNEDVHAAIAKAVELRSLHAALLQRSNLGSPAVLGPHVGASPSLLRRSNPLSTAEDYPVFAPSYEEEPLRAYHSIHPENRSLSGTWRAMKPAEGKQDESVNIVRNEHSSPYSERNICFTTEHFSKRSSCVNHNLQASLVADALNSSSSRTSPGYETIATCNACKPPTINREYEIEHKNLKAVTSIVGSLHDSEPPTHAHTKHRGPILSWLFPRLKKKPKPEMSPNPVESEDMAQLLKDWGLLSLESVKKEVFKANKNRDAALAEVSEMRSSLGELQQKLVNLETYCEELKKALKQAKHAKNSLVLDRPSLPMRTKFNGSIKDNTMTVSHEVMAEGFLQMVSEARLSVKQFCEMLIHQIEETDCELMEKLKSLLQPHQMAPPSNKHSKALLHHVEALINQSLYQDFENCVFQKNGSPKFLDPQQDRQENFSSFISLRNLSWNEVLRKGTKYYSEDFSRFCDQKMSCIVSLLNSSRAWPEQLLHCFFVAAKCIWLLHLLAFSFGPPLMILRVEENQNFDPLYMEDIPVDRKTAQIPGRVKIMVMPGFYIQDTVLKCRVLCRYQ >Ma03_p27010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30512731:30514039:1 gene:Ma03_g27010 transcript:Ma03_t27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPPLWWVELLLSLQLVLLLPPPAVAESGDEACLSNLRRGLTDTNGSLRNWTRSNFAAPCNGFTSYLQGVTCNNGRVYKLSLTSLALGGAISPFVANCNNFQSLDLSSNQLEGPIPPELSALLNLAVLNLSSNHLTGSIPPQLALCAYLNVIDLHANLLSGPIPDQLGLLARLSTFDVSYNRLSGPIPVLLANRSGPAVGLPRFNASSFVGNWDLYGYPLPPIRPRGLSVLAIIGIGLGSGLLSLVLSFTAVCIWLRVTEQAAMTPGEEGKISHLMPDY >Ma04_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24940969:24941795:1 gene:Ma04_g22670 transcript:Ma04_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01918) UniProtKB/Swiss-Prot;Acc:Q2V4B2] MASPMSLRHCSTNPSLGVVCRLHGHSKLGHAAPGLPEQLLIGRRQATAAAAVAVALHAKEAISGSSVASALEFRFTAPEQTPEEADAVVKIHARDLVRIKALIDSQSWREAQIALRESSSYLKQDLYTIIQAKPGSQRPQLRKLYSHLFNDVSSLDYAARDKDARRVQECYDNIVATLNEIFVLI >Ma04_p31100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31512447:31526116:1 gene:Ma04_g31100 transcript:Ma04_t31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G16700) UniProtKB/Swiss-Prot;Acc:Q84V22] MNFRVPPRWPLPVHHHRFLLHGLPLRRRFHPTTILRNFIAVRSSSFSAGSSTAGGGGREGNFLLLPGATMATILMLGFLHARRMYDDKKVEDLKVKGMEQEFSPDAKAAFLRFVPLRSVSRLLGYLMSVDIPVPLRPFIYKAWSRAFHSDLDEVALPLENYGSLQEFFARSLKEGSRPVDLDQKSLVSPVDGKILRLGELKGSNAMIEQVKGFSYSASSLLGANSSLHEAANEDRNNQYPEQSLTEDSSRKSWWHISFASPKVRNPATCPKKGIFYCVIYLKPGDYHRIHSPVDWQVLLRRHFAGRLYPTNERAARTIRNLYIENERVVLEGQWKEGFLAVAAIGATNVGSVKLLIEPELKTNRPLKKLLPSGTPDERVYEPEGVGLMIKKGEEVAAFNMGSTVVLVFQAPISESPEKIKAGPEFKFCVRNGDRIRVGEAIGRWGDP >Ma03_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:20867827:20868779:-1 gene:Ma03_g16830 transcript:Ma03_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAMRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLISFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSVLALSRQKLPQLAGTSIEGVEKGGYIISDNSSGNKPDLILIGTGSELEIAAKAADELRKEGKAVRVVSLVCWELFDEQSDEFKESVFPAAVTARVSIEAGATLGWGKYIGSKGKAIGIDRFGASAPAGRIYKEFGITAESIIAAAKSL >Ma08_p27850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39985044:39992700:-1 gene:Ma08_g27850 transcript:Ma08_t27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQQHQHQGGAGAAKRKPVFVKVDQLKPGTSGHTLTAKVLTSETVLHKGRASAAQLRPTRISECLIGDETGTIVFTARNEQVDLMKPGATVILRNAKIDMFKGSMRLAVDKWGRIEVTAPASFTVKEDNNLSLIEYELVNVVEE >Ma05_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33033120:33040038:1 gene:Ma05_g21380 transcript:Ma05_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWPTPTDGDSRAPSCSKTQSDRIFSSSVRCEEDCVSFGVPRLEDPDAEEVKEFVERQAALTDSVLARCEERERLRRQITALFDHPRYSTPFKRGGKYFYYHNTGLQAQSVIYVQKDLDGEAEVLLDPNILSEDGTVALSMASVSKDGRYLAYGLSKSGSDWVTIKVMRIDDKTPESDTISWVKFSSVSWTLDGKGFFYGRYPPPEEGVELDAGTETKINLNHELYYHFLGTHQSEDILCWRDPEYPKYIFGISVTNDGKFALLHITEGCDPVNKLYYCDLCSLPNGLEGFRGSNEMLPFVKLVDNFEARYSAVANDDSEFTFLTNKGAPRCKLVRVDLKEPDLWTDILPEHERDVLESAYAVNGNQILVCYLRDVKHILQIRDMSTGDLLHCLPLDVGSVSGISARRENSEIFISFTSFLSPGIIYRCNLATEVQEMKIFQEISIPGFDRTEFDVKQVFVSSKDATRLPMFIVSKKNLKLDGSNPTLLYGYGGFNISLKPSFHVSRVVLARNLGFVFCLANIRGGGEYGEEWHKAGSLSKKQNCFHDFIAAAEFLVSNNYTNPKHLCIEGSSNGGLLVAACMNQRPDLFGCVLAHVGVMDMLRFHKFTIGHAWTSDYGCSDNEEEFHWLIKYSPLHNIKRPWEKGSGKSCQYPPTMLLTADHDDRVVPLHSLKLLATMQYILCNGVENSPQTNPIIARIDRKAGHGAGRPTQKLIDEAADRYSFMAKVLGVSWID >Ma05_p21380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33033057:33040044:1 gene:Ma05_g21380 transcript:Ma05_t21380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSAAASESLPPLPYPPARRDESVVDDYHGVRVADPYRWLEDPDAEEVKEFVERQAALTDSVLARCEERERLRRQITALFDHPRYSTPFKRGGKYFYYHNTGLQAQSVIYVQKDLDGEAEVLLDPNILSEDGTVALSMASVSKDGRYLAYGLSKSGSDWVTIKVMRIDDKTPESDTISWVKFSSVSWTLDGKGFFYGRYPPPEEGVELDAGTETKINLNHELYYHFLGTHQSEDILCWRDPEYPKYIFGISVTNDGKFALLHITEGCDPVNKLYYCDLCSLPNGLEGFRGSNEMLPFVKLVDNFEARYSAVANDDSEFTFLTNKGAPRCKLVRVDLKEPDLWTDILPEHERDVLESAYAVNGNQILVCYLRDVKHILQIRDMSTGDLLHCLPLDVGSVSGISARRENSEIFISFTSFLSPGIIYRCNLATEVQEMKIFQEISIPGFDRTEFDVKQVFVSSKDATRLPMFIVSKKNLKLDGSNPTLLYGYGGFNISLKPSFHVSRVVLARNLGFVFCLANIRGGGEYGEEWHKAGSLSKKQNCFHDFIAAAEFLVSNNYTNPKHLCIEGSSNGGLLVAACMNQRPDLFGCVLAHVGVMDMLRFHKFTIGHAWTSDYGCSDNEEEFHWLIKYSPLHNIKRPWEKGSGKSCQYPPTMLLTADHDDRVVPLHSLKLLATMQYILCNGVENSPQTNPIIARIDRKAGHGAGRPTQKLIDEAADRYSFMAKVLGVSWID >Ma09_p30560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40637013:40638256:1 gene:Ma09_g30560 transcript:Ma09_t30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGVWRMVMVLCVAASAVGVSCSKFDELVQPSWATDHIIYEGELLKLKLDNSSGAGFASKSKYLYGKVTAELKLVEGDSAGTVTAFYMSSDGASHNEFDFEFLGNRTGEPYLVQTNLYINGVGNREQRMDLWFDPTADFHAYSVLWNPRQVVFLVDDTPVRVFSNQEHRGIVFPRDQPMGVYSSIWNADDWATEGGRVKTDWSHAPFVATFRGLRIDGCEWAPTAAGPAELQRCSASAWGKEGRYWWKEKEMEALSVHQSHQLIWARANHLVYDYCSDPGRFATQPPECGKK >Ma09_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2329437:2331242:-1 gene:Ma09_g03510 transcript:Ma09_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKKDKEWNRSWNGKPPRAKTKRYACGGLREACATDNYKYVLVAFVNQFGGNQDLAGHCDPNTNDSTFLSNDIILCQRDYNVKVMLSLGGAIGKYRLVSKEEAREVAHYIWNNFLGGSSSNRPLGNAVLDEVDFDIEGGSRDHWDDLPRCLKAYSTPERKVYLSATPQCPMPDYFLQPAIDTGLFDYLWVQFYNNYCRYSGNVATFEQIWNRWTSMNVSKVFLGDPASHQAAGGGFVRPAELITQVLPIVKRSEKYGGIMLLNRYHNVLYGYSSEVKNYVCSDRLSSSLSMLVRPFMVV >Ma03_p08860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6509309:6525755:1 gene:Ma03_g08860 transcript:Ma03_t08860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGRRGDGRKGLGFGGGGMEGENELEEGEAYSGQEDDSCIDPDALSYIDEKIQNVLGHFQKDFEAGVSAENLGAKFGGYGSFLPAYQRSPSILCQTKSPQKVPNQNVTKSPYNPSVEVTYQNPSVMMSSSFPKNNTVAVPPSDESCKRDMSINKPNIQEPTSQHGFKKTVSGTDHKTLKVRIKVGPDNDLARIYSGLGLDISPSSSSEDSPSRSGGISPEFQVMPDESPKTIIQVMTCLMVPGGFLLSPLQDSLFQLTEEDSSFIKQCQGGKSYHELPQTSTGSADFTLHLAEVKCQMENHSKSTKQKAKPREIKSSEGKADLTTSWEIDTETQVRQELASNSLNMSSSSFSKNAGKKREKQIVGSLTKNDTNMSDHSRETKKASLKDGSTFPGLMNDKHFELFESTTNNAAGNSGNEVMLSNEQHNSKASMLQKAFEEQSTNNHKDEKSNLQSEGRSKVEKYFVMTNTHSSGSKRKNEQITEPDDHVKPSSPPYKEKTLQRKDQISDGKKKVKLSQANSESFGNYLKDSISGNSSAMPKEKKKTSHAKVGHADKKSKVLNSRTDSSGAGIRESSGYVNWDAKTELLENGVGSLDFRSKGKQKAIKAKHEKEPIMSTHIFNEKPIDRNAEKTQIPGASVSEKTQIPGAFVSGQILAPSSTYNAPAMGATVAPQPPVFINEHWVCCDICQKWRLLPYGTDPDHLPKKWQCSLLNWLPRMNSCDISEEETTNALRALYLVPAPENSASLNVHHDVVSTNVSWASGVHLGQNLEPGFPNVPTVAKKKSGLKCDLDLPHSTSSQFSNSVKKDQHTSVKFRSSNDANQYPPFELNSSNKGVPGDASRSSDFNAEKQKPKQKDKHKKRGSYSDGGDHSGKIEKHSKSKSKREVDQDDLRAPKKPKKESLQYPSKDCSEHDVGDKVFVETDIGGSSNKVIANNEPRWNSFPLSKGSKCDLNGNYSSSKKLGDEVQSITNGESKQHFVASDVDKLSIMDISTKKKKGKERQGSQHGEEVHVTTKHVLENEVIVQRAPGPAEPVRDKKAELTMSVGKGSKTTKLNDRMDTKGNMTKMILPASGEHLTSGMDNEVPYVVEKKHRSSQSEGNIASQRALDFDSSKRDVMFTQPPVAEAANSSSSKVSGSRKSRSNLQETKGSPVESVSSSPLRIPSFEKLSHKSILEQRNGATNSGFPALGKPRICSDSEFDGGSGRSVKGKKPFSVQQQSLETHKAANSGILDSLEGTSDYLRKERNKSSDGKSEERLHVKLSAQNDSSPAELGKHSYRDDIQDMGKVNGHQLVNDSSQRKSGKNSSGFKEKHRGSKSVLDKSRLKVSGSYNEHKDLHSLKNGSNGRREASFVSGEHCVCPDDLRNEEGNFQGEDEKEFLGKKDPNSKYMTGRRGNSSTSAVQEDMDGVPSSFSNPQRDLDSKIPVGTRCVKPDFQVGPSFHNEKALNHPYLDRINCPEPPSGLGKSQLKLSCDKLDTQPRGRHMVTSPLKASRSDDVADAVNSDTSKVVKQHRLQDCHNGLHNNNLRHATPFVSDSSSPLRKENCAAVLKEARDLKHSANRLKSEGLELESTGLYFEAALKFLHVAALMEPVNFDSAKQAEAAQMYFETAKLCEFVAHEYEKVKIMAAVSLAYKCVEVAYLKTTYCKSPNATKDRHELQSAFQILPPGYQLCESPSSSSSDVDNLNNQAILGKNASAKGVSSPQVAGNHVIAARHHHQVVRLLHYTNYLNCAFEATRKSEAAFAAASVSPRKDRTGCLSSVRKVLDFNFHNVEELLRFVRLSLECIGR >Ma03_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6509309:6525755:1 gene:Ma03_g08860 transcript:Ma03_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGRRGDGRKGLGFGGGGMEGENELEEGEAYSGQEDDSCIDPDALSYIDEKIQNVLGHFQKDFEAGVSAENLGAKFGGYGSFLPAYQRSPSILCQTKSPQKVPNQNVTKSPYNPSVEVTYQNPSVMMSSSFPKNNTVAVPPSDESCKRDMSINKPNIQEPTSQHGFKKTVSGTDHKTLKVRIKVGPDNDLARIYSGLGLDISPSSSSEDSPSRSGGISPEFQVMPDESPKTIIQVMTCLMVPGGFLLSPLQDSLFQLTEEDSSFIKQCQGGKSYHELPQTSTGSADFTLHLAEVKCQMENHSKSTKQKAKPREIKSSEGKADLTTSWEIDTETQVRQELASNSLNMSSSSFSKNAGKKREKQIVGSLTKNDTNMSDHSRETKKASLKDGSTFPGLMNDKHFELFESTTNNAAGNSGNEVMLSNEQHNSKASMLQKAFEEQSTNNHKDEKSNLQSEGRSKVEKYFVMTNTHSSGSKRKNEQITEPDDHVKPSSPPYKEKTLQRKDQISDGKKKVKLSQANSESFGNYLKDSISGNSSAMPKEKKKTSHAKVGHADKKSKVLNSRTDSSGAGIRESSGYVNWDAKTELLENGVGSLDFRSKGKQKAIKAKHEKEPIMSTHIFNEKPIDRNAEKTQIPGASVSEKTQIPGAFVSGQILAPSSTYNAPAMGATVAPQPPVFINEHWVCCDICQKWRLLPYGTDPDHLPKKWQCSLLNWLPRMNSCDISEEETTNALRALYLVPAPENSASLNVHHDVVSTNVSWASGVHLGQNLEPGFPNVPTVAKKKSGLKCDLDLPHSTSSQFSNSVKKDQHTSVKFRSSNDANQYPPFELNSSNKGVPGDASRSSDFNAEKQKPKQKDKHKKRGSYSDGGDHSGKIEKHSKSKSKREVDQDDLRAPKKPKKESLQYPSKDCSEHDVGDKVFVETDIGGSSNKVIANNEPRWNSFPLSKGSKCDLNGNYSSSKKLGDEVQSITNGESKQHFVASDVDKLSIMDISTKKKKGKERQGSQHGEEVHVTTKHVLENEVIVQRAPGPAEPVRDKKAELTMSVGKGSKTTKLNDRMDTKGNMTKMILPASGEHLTSGMDNEVPYVVEKKHRSSQSEGNIASQRALDFDSSKRDVMFTQPPVAEAANSSSSKVSGSRKSRSNLQETKGSPVESVSSSPLRIPSFEKLSHKSILEQRNGATNSGFPALGKPRICSDSEFDGGSGRSVKGKKPFSVQQQSLETHKAANSGILDSLEGTSDYLRKERNKSSDGKSEERLHVKLSAQNDSSPAELGKHSYRDDIQDMGKVNGHQLVNDSSQRKSGKNSSGFKEKHRGSKSVLDKSRLKVSGSYNEHKDLHSLKNGSNGRREASFVSGEHCVCPDDLRNEEGNFQGEDEKEFLGKKDPNSKYMTGRRGNSSTSAVQEDMDGVPSSFSNPQRDLDSKIPVGTRCVKPDFQVGPSFHNEKALNHPYLDRINCPEPPSGLGKSQLKLSCDKLDTQPRGRHMVTSPLKASRSDDVADAVNSDTSKVVKQHRLQDCHNGLHNNNLRHATPFVSDSSSPLRKENCAAVLKEARDLKHSANRLKSEGLELESTGLYFEAALKFLHVAALMEPVNFDSAKQAEAAQMYFETAKLCEFVAHEYEKVKIMAAVSLAYKCVEVAYLKTTYCKSPNATKDRHELQSAFQILPPGYQLCESPSSSSSDVDNLNNQAILGKNASAKGVSSPQVAGNHVIAARHHHQVVRLLHYTNYLNCAFEATRKSEAAFAAASVSPRKDRTGCLSSVRKVLDFNFHNVEELLRFVRLSLECIGR >Ma03_p08860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6509309:6525754:1 gene:Ma03_g08860 transcript:Ma03_t08860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGRRGDGRKGLGFGGGGMEGENELEEGEAYSGQEDDSCIDPDALSYIDEKIQNVLGHFQKDFEAGVSAENLGAKFGGYGSFLPAYQRSPSILCQTKSPQKVPNQNVTKSPYNPSVEVTYQNPSVMMSSSFPKNNTVAVPPSDESCKRDMSINKPNIQEPTSQHGFKKTVSGTDHKTLKVRIKVGPDNDLARIYSGLGLDISPSSSSEDSPSRSGGISPEFQVMPDESPKTIIQVMTCLMVPGGFLLSPLQDSLFQLTEEDSSFIKQCQGGKSYHELPQTSTGSADFTLHLAEVKCQMENHSKSTKQKAKPREIKSSEGKADLTTSWEIDTETQVRQELASNSLNMSSSSFSKNAGKKREKQIVGSLTKNDTNMSDHSRETKKASLKDGSTFPGLMNDKHFELFESTTNNAAGNSGNEVMLSNEQHNSKASMLQKAFEEQSTNNHKDEKSNLQSEGRSKVEKYFVMTNTHSSGSKRKNEQITEPDDHVKPSSPPYKEKTLQRKDQISDGKKKVKLSQANSESFGNYLKDSISGNSSAMPKEKKKTSHAKVGHADKKSKVLNSRTDSSGAGIRESSGYVNWDAKTELLENGVGSLDFRSKGKQKAIKAKHEKEPIMSTHIFNEKPIDRNAEKTQIPGASVSEKTQIPGAFVSGQILAPSSTYNAPAMGATVAPQPPVFINEHWVCCDICQKWRLLPYGTDPDHLPKKWQCSLLNWLPRMNSCDISEEETTNALRALYLVPAPENSASLNVHHDVVSTNVSWASGVHLGQNLEPGFPNVPTVAKKKSGLKCDLDLPHSTSSQFSNSVKKDQHTSVKFRSSNDANQYPPFELNSSNKGVPGDASRSSDFNAEKQKPKQKDKHKKRGSYSDGGDHSGKIEKHSKSKSKREVDQDDLRAPKKPKKESLQYPSKDCSEHDVGDKVFVETDIGGSSNKVIANNEPRWNSFPLSKGSKCDLNGNYSSSKKLGDEVQSITNGESKQHFVASDVDKLSIMDISTKKKKGKERQGSQHGEEVHVTTKHVLENEVIVQRAPGPAEPVRDKKAELTMSVGKGSKTTKLNDRMDTKGNMTKMILPASGEHLTSGMDNEVPYVVEKKHRSSQSEGNIASQRALDFDSSKRDVMFTQPPVAEAANSSSSKVSGSRKSRSNLQETKGSPVESVSSSPLRIPSFEKLSHKSILEQRNGATNSGFPALGKPRICSDSEFDGGSGRSVKGKKPFSVQQQSLETHKAANSGILDSLEGTSDYLRKERNKSSDGKSEERLHVKLSAQNDSSPAELGKHSYRDDIQDMGKVNGHQLVNDSSQRKSGKNSSGFKEKHRGSKSVLDKSRLKVSGSYNEHKDLHSLKNGSNGRREASFVSGEHCVCPDDLRNEEGNFQGEDEKEFLGKKDPNSKYMTGRRGNSSTSAVQEDMDGVPSSFSNPQRDLDSKIPVGTRCVKPDFQVGPSFHNEKALNHPYLDRINCPEPPSGLGKSQLKLSCDKLDTQPRGRHMVTSPLKASRSDDVADAVNSDTSKVVKQHRLQDCHNGLHNNNLRHATPFVSDSSSPLRKENCAAVLKEARDLKHSANRLKSEGLELESTGLYFEAALKFLHVAALMEPVNFDSAKQAEAAQMYFETAKLCEFVAHEYEKVKIMAAVSLAYKCVEVAYLKTTYCKSPNATKDRHELQSAFQILPPGESPSSSSSDVDNLNNQAILGKNASAKGVSSPQVAGNHVIAARHHHQVVRLLHYTNYLNCAFEATRKSEAAFAAASVSPRKDRTGCLSSVRKVLDFNFHNVEELLRFVRLSLECIGR >Ma07_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5179807:5180622:1 gene:Ma07_g07120 transcript:Ma07_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFRCRSNDVDDVVCPPLAPSSSSAKKWLLLPSLLLSCADSSSHAPNDVLPKRPSAASSRTPKPEPRSRPQVSAPPPSPATAVAPSGPFPSLVELPPGHPSRRVVEIIFGSRWCSGEGRAAGADAFSGTIEMLFRVRNPARSVARFEEYRAAVRSRAARSDDARCAADGNEMMRFQCRPPASAGEVFDGGVAWSPAEGIRTFAGSGGAHESGGGGGAGRRAMLVCRVIAGRVRAESDPESAADSVSLGEGELLVFDPRAVLPCFLIIYKL >Ma11_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5449479:5450721:1 gene:Ma11_g06800 transcript:Ma11_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDPQAPVYVPASHDITPFGSYYYSSSRTCYFVFNQSMQVTPYFELCPYPPPPPPPPPPPHGLVYSTYCACWKGFSWSEIHSIPLQLPVADDRIPSTHLSKEEEEESLEPDTKVNEEVQCEAAAEARKGSFKRFGCGGSFGWRRKASVPRARSRRKESELKGDENVAVEVDGGKTTVMIKNLPNKFTKEKLLGILDQHCYEENQKIMEEGNEKSLELGKGDISSTTLSEFDFLYLPIDFNTGNNMGYAFVNFTSAVAAWRLYSSLQNYDWKPHGSRKICVVTYARIQGLPALQKHFKNSTFVCHSDDFLPVRFIPARSGYCRTEQQHIGKRLPVSKP >Ma08_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33215039:33220688:-1 gene:Ma08_g19450 transcript:Ma08_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGLSSSVYKRMNSKDFVGDPDRADDVSDRLLNLMDQNIGNPSWKPSLPHVCIATVSSFLFGYHLGVVNEPLESISLDLGFTGNTLAEGLVVSMCLGGALIGCLFSGLISDGIGRRRSFQLSALPMIIGASLSASTTSLVGMLLGRFLAGTGMGLGPAVASLYVTEVSPSSVRGTYGSLIQIATCLGLIAALFIGAPVKEIVGWWRVCFWVSTVPAAFLALCMEFCAESPHWLYKRGRVAEAEVEFERLLGGPHVKLAMAELSRSERGDDGESIKYSELFYGRHFRVVFIGTMLFAFQQLSGINAVFYFSSAVFRSAGVPSDIANTCVGFAYLSGSIVAMLLMDIVGRKLLLVGSFLGMAAAMGLQAIAASLSDQGSWHVYLSVGGMLLSVLAFSLGAGPVPSLLLPEIFPNKIRAKGVALCMSVHWVVNFFVGLFFLRLLELLGAKILYSIFASFCLMGAIFVRKNVVETKGKTLQEIEVALLSAV >Ma04_p08180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5896114:5904223:1 gene:Ma04_g08180 transcript:Ma04_t08180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFVHILFSDIERFSQDSEASVSGCHEKCLNNSNWSSQGDLSVSYKTSANIYHLESERRLINHQRNYGIIGSRQNSYFIHQVILFSLCGLRVGGRVMSTCSRCFYMYLRQICIEARGFISRVKRMLQGSSDDIGWLQRSEQFPPMEDGTTHFMELLHDVRNGIHRLPNTLVYLLIPGLFSNHGPLYFVNTKQCFSKMGLTCHIAKIHSEASVERNSWELKQYIEELYWGSRKRVMLLGHSKGGVDAAAALSMYWSDLKDKVAGLALVQSPYGGSPIVSDILREGQVAYKEARRIMEFIICKIIKGDMRSLEDLTYEKRREFISKHRLPVEQVPLVSFHTEARVAPGVIATMSHIAHAELPWLPLPRFLYVGDEFASGIISGRKVPVVIPVAAAMAVCALHLKLRYGEASDGLVTRRDAEVPGSVVVRLERKLDHAWMVYPSQKKDQLEADASDMCEALLTMLVEIGRKKQGEDPVANCI >Ma04_p08180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5896114:5904223:1 gene:Ma04_g08180 transcript:Ma04_t08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFVRILELASYLSHRLIQFIDILFSDIERFSQDSEASVSGCHEKCLNNSNWSSQGDLSVSYKTSANIYHLESERRLINHQRNYGIIGSRQNSYFIHQVILFSLCGLRVGGRVMSTCSRCFYMYLRQICIEARGFISRVKRMLQGSSDDIGWLQRSEQFPPMEDGTTHFMELLHDVRNGIHRLPNTLVYLLIPGLFSNHGPLYFVNTKQCFSKMGLTCHIAKIHSEASVERNSWELKQYIEELYWGSRKRVMLLGHSKGGVDAAAALSMYWSDLKDKVAGLALVQSPYGGSPIVSDILREGQVAYKEARRIMEFIICKIIKGDMRSLEDLTYEKRREFISKHRLPVEQVPLVSFHTEARVAPGVIATMSHIAHAELPWLPLPRFLYVGDEFASGIISGRKVPVVIPVAAAMAVCALHLKLRYGEASDGLVTRRDAEVPGSVVVRLERKLDHAWMVYPSQKKDQLEADASDMCEALLTMLVEIGRKKQGEDPVANCI >Ma04_p08180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5899597:5904223:1 gene:Ma04_g08180 transcript:Ma04_t08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSRCFYMYLRQICIEARGFISRVKRMLQGSSDDIGWLQRSEQFPPMEDGTTHFMELLHDVRNGIHRLPNTLVYLLIPGLFSNHGPLYFVNTKQCFSKMGLTCHIAKIHSEASVERNSWELKQYIEELYWGSRKRVMLLGHSKGGVDAAAALSMYWSDLKDKVAGLALVQSPYGGSPIVSDILREGQVAYKEARRIMEFIICKIIKGDMRSLEDLTYEKRREFISKHRLPVEQVPLVSFHTEARVAPGVIATMSHIAHAELPWLPLPRFLYVGDEFASGIISGRKVPVVIPVAAAMAVCALHLKLRYGEASDGLVTRRDAEVPGSVVVRLERKLDHAWMVYPSQKKDQLEADASDMCEALLTMLVEIGRKKQGEDPVANCI >Ma05_p28110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39208549:39210198:1 gene:Ma05_g28110 transcript:Ma05_t28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQLPSIPVLVFSFLFLLLLIKKRGFTGCRNESHPPRRPPGPWNLPFVGCMHHLVGQLPFRAFRELARKHGPLMLLRLGQVDVVVVSSREAAEEILKNQSVTFASRPELLSTKFVFYGPTGIVWAPYGPYWRQLLKLCCMELFSAGRIRSFSPIRTEETLDLMRDIARSGGTTVNLTEKLFGLSNAIVCRAAFGKRRKHRERFIPVIKDALALSSGFRVADMFPSLKFIDILSGAVFRLRRLRRQLDEILEDIIKEHEGKASASSGDKAGEVDDLVDVLLRLKDDPQLEVPLTMENVKGVIVDMFLGGTETSSTVVEWAMSELMRNPEIMERAQKEVRELAAQRRNRVEESDISELNYMKLIIKETLRLHPPAPLLPRLCRETCEVMGYRIDAGTRVFVNLWANGRDARYWDDAETFKPERFEGSAMDFKGVDFEYLPFGAGPRICPGMGFGMATVELALTHLLLHFDWELPHGMRPEELDMSETMGLATPRKTELMLIATVPVPLPVAT >Ma02_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18682177:18688127:1 gene:Ma02_g08280 transcript:Ma02_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLTPARRKEPRYPSPDGPSPPRFSPRPNLPAPAAAGSVPRPVLSNVGRVLGRPMENVRATYSFGRELGRGQFGITYLVTHRETREQFACKSIATRKLVHEEDLEDVRREIQIMHHLTGHRNIVELKGAYEDRHSVNLVMELCEGGELFDRIITKGHYSERAAAALCREIVNVVHVCHSMGVMHRDLKPENFLFLNKKEDSPLKATDFGLSVFFKPGEIFKDLVGSAFYIAPEVLRQHYGAEADICSAGVMLYILLCGVPPFFAETEEGIFDAILHGHIDFTSDPWPSISSSAKELVKLMLRPDPKERLTAAEILNHPWMREDGASDKPLDLTVMNRMKQFMAMNKLKKVALKVVAESLSEEEIMGLKEMFKSMDTDNNGTITFEELKAGLPKLGNLGIKISESEIRQLMEAADVDGNGSIDYIEFITATMHMNRMEREDHLYKAFECFDKDKSGYITVEELEQALKKYNMGDEKTIKEILAEVDIDKDGRINYDEFVTMMKKDTSEAIETRCRKM >Ma11_p13810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18965054:18968801:1 gene:Ma11_g13810 transcript:Ma11_t13810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDHEFRDREADVEVSRRKNYYRDRLRDGARDRDRDHGGRDMRDRASTWQRGIRKMEAVNGSCRSPLSSNSSGGSGKSQKRIRLSARDVEREAGELSSGSGSDDTEAPLSEVRENGTQNYETGDPSMSTGKRKFSPIVWDKDENKQSTVVMSRVKSSNLENVTLPPPPPLPPNFIPPRSITIVQPSVGDTLPLDVDVHVDSAREHLLNNSQETTLLDDYEKELSPARSISISRWADGNYTFHDAEDEYFEDAVPKRRKTVLSDSEGQKSQETPTPELGEIIMREDSVVALSKLSDSEGEDGDDDQGVEVDRNDYMVANGNESNADTNDQLSETDSESEDIRAKMSEPRQPPQRCINMLQGCRSVDEFERLNKINEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMETMKQPFNQSEVKCLMLQLFSGVKYLHDNWVLHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRSPELLLGAKEYSTAIDMWSLGCIMAELLAKEPLFSGKTEFDQLDKIFRMLGTPSEKIWPGFSKLPGAKVNFVKQPYNKLREKFPPISFSGHPTLSEAGIDLLNKLLAYDPEKRITAEAALNHQWFYEVPLPKSKDFMPTFPAQHAQDRRLRRIMKSPDPLSEQRKKELQQAELGVSSLFG >Ma11_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18965054:18968801:1 gene:Ma11_g13810 transcript:Ma11_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDHEFRDREADVEVSRRKNYYRDRLRDGARDRDRDHGGRDMRDRASTWQRGIRKMEAVNGSCRSPLSSNSSGGSGKSQKRIRLSARDVEREAGELSSGSGSDDTEAPLSEVRENGTQNYETGDPSMSTGKRKFSPIVWDKDENKQSTVVMSRVKSSNLENVTLPPPPPLPPNFIPPRSITIVQPSVGDTLPLDVDVHVDSAREHLLNNSQETTLLDDYEKELSPARSISISRWADGNYTFHDAEDEYFEDAVPKRRKTVLSDSEGQKSQETPTPELGEIIMREDSVVALSKLSDSEGEDGDDDQGVEVDRNDYMVANGNESNADTNDQLSETDSESEDIRAKMSEPRQPPQRCINMLQGCRSVDEFERLNKINEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMETMKQPFNQSEVKCLMLQLFSGVKYLHDNWVLHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRSPELLLGAKEYSTAIDMWSLGCIMAELLAKEPLFSGKTEFDQLDKIFRMLGTPSEKIWPGFSKLPGAKVNFVKQPYNKLREKFPPISFSGHPTLSEAGIDLLNKLLAYDPEKRITAEAALNHQWFYEVPLPKSKDFMPTFPAQHAQDRRLRRIMKSPDPLSEQRKKELQQAELGVSSLFG >Ma11_p13810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18965054:18968801:1 gene:Ma11_g13810 transcript:Ma11_t13810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRASTWQRGIRKMEAVNGSCRSPLSSNSSGGSGKSQKRIRLSARDVEREAGELSSGSGSDDTEAPLSEVRENGTQNYETGDPSMSTGKRKFSPIVWDKDENKQSTVVMSRVKSSNLENVTLPPPPPLPPNFIPPRSITIVQPSVGDTLPLDVDVHVDSAREHLLNNSQETTLLDDYEKELSPARSISISRWADGNYTFHDAEDEYFEDAVPKRRKTVLSDSEGQKSQETPTPELGEIIMREDSVVALSKLSDSEGEDGDDDQGVEVDRNDYMVANGNESNADTNDQLSETDSESEDIRAKMSEPRQPPQRCINMLQGCRSVDEFERLNKINEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMETMKQPFNQSEVKCLMLQLFSGVKYLHDNWVLHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRSPELLLGAKEYSTAIDMWSLGCIMAELLAKEPLFSGKTEFDQLDKIFRMLGTPSEKIWPGFSKLPGAKVNFVKQPYNKLREKFPPISFSGHPTLSEAGIDLLNKLLAYDPEKRITAEAALNHQWFYEVPLPKSKDFMPTFPAQHAQDRRLRRIMKSPDPLSEQRKKELQQAELGVSSLFG >Ma11_p13810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18965054:18968801:1 gene:Ma11_g13810 transcript:Ma11_t13810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRASTWQRGIRKMEAVNGSCRSPLSSNSSGGSGKSQKRIRLSARDVEREAGELSSGSGSDDTEAPLSEVRENGTQNYETGDPSMSTGKRKFSPIVWDKDENKQSTVVMSRVKSSNLENVTLPPPPPLPPNFIPPRSITIVQPSVGDTLPLDVDVHVDSAREHLLNNSQETTLLDDYEKELSPARSISISRWADGNYTFHDAEDEYFEDAVPKRRKTVLSDSEGQKSQETPTPELGEIIMREDSVVALSKLSDSEGEDGDDDQGVEVDRNDYMVANGNESNADTNDQLSETDSESEDIRAKMSEPRQPPQRCINMLQGCRSVDEFERLNKINEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMETMKQPFNQSEVKCLMLQLFSGVKYLHDNWVLHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTHLVVTLWYRSPELLLGAKEYSTAIDMWSLGCIMAELLAKEPLFSGKTEFDQLDKIFRMLGTPSEKIWPGFSKLPGAKVNFVKQPYNKLREKFPPISFSGHPTLSEAGIDLLNKLLAYDPEKRITAEAALNHQWFYEVPLPKSKDFMPTFPAQHAQDRRLRRIMKSPDPLSEQRKKELQQAELGVSSLFG >Ma04_p21930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24351452:24360287:-1 gene:Ma04_g21930 transcript:Ma04_t21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MAVGGAAAARLALLTPPFSGRSTLLQPSTCRVLIQKPRRRRRTSLRPISSSLVEEGQQLCFSDPEAALVEALLGIQGRGRAASSGQLQDVELAVETLENLGGVPDPTSSSLIEGRWQLIFTTRPGTASPIQRTFVGVDFFKIFQEVYLRTDDPRVSNIVKFSDAVGELKVEVPYPVPFRLLGDEAKGWLDTTYLSHTGNIRISRGNKGTTFVLQKKIEPRQRLLSAISVGTRVKEMIDELISSNQEEVKANSEILEGEWQLLWASQSENESWSSVVASGLKGLQILKKDGMVENQINLLPGLRICANGTLSETSESNLFMVQMNDGVVSFGALKLPLKMEVIFHLELLYIDNKIRISRSNKDMTLVHLHVTRPC >Ma04_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24351452:24360287:-1 gene:Ma04_g21930 transcript:Ma04_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MAVGGAAAARLALLTPPFSGRSTLLQPSTCRVLIQKPRRRRRTSLRPISSSLVEEGQQLCFSDPEAALVEALLGIQGRGRAASSGQLQDVELAVETLENLGGVPDPTSSSLIEGRWQLIFTTRPGTASPIQRTFVGVDFFKIFQEVYLRTDDPRVSNIVKFSDAVGELKVEAAATIKDGKRILFQFDRAAFSLKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSHTGNIRISRGNKGTTFVLQKKIEPRQRLLSAISVGTRVKEMIDELISSNQEEVKANSEILEGEWQLLWASQSENESWSSVVASGLKGLQILKKDGMVENQINLLPGLRICANGTLSETSESNLFMVQMNDGVVSFGALKLPLKMEVIFHLELLYIDNKIRISRSNKDMTLVHLHVTRPC >Ma06_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14141480:14146203:1 gene:Ma06_g20090 transcript:Ma06_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKTSNWGMLRAVLSILQWWAFNVTVIIMNKWIFQNLDFKFPLTVSCIHFICSAVGAYTVIKLLKLKPLIEVDPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKVFEWRIWASLVPIVGGILLTSLSELSFDISGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAILLEGAGVFNWFYTHESVSSAMFIIFGSGVSAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISVMNAFGCAVTLLGCTFYGYVRHKLSQQTVVPGTPRTPRTPRSLMELLPLVSDKQDKI >Ma08_p24500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37646115:37648216:1 gene:Ma08_g24500 transcript:Ma08_t24500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRVLLVFLLLPLAAAAAPPASVSPAAACRSSFYPKLCIALLSPLRFPSNQYEYGRYSVKQALKQARRTATILDRYLSGSSGGARAHRAVGGGGALEDCRELAGLNAEYLAAVQAELGRGARMLDAAGVGRVRALMSAVVTNQQTCYDGLEASREFPELQGALADQTQLYGVSLGLVTTALGRRAVPGVGTNAGSDSRTGTTGDQSSPPKGFPAIGRILVEGDREIVPVNASQSVTVAKDGSGNFTTVGDAVLSAPNDTSADGGYFVIYIGEGVYHENVIVPINKKNLILIGSGINRTIITSNRNVADRWTTFNSATFAVNAERFIAVGITFENSAGPGKYQAVAVRNSGDLSIFYRCSFLGYQDTLYVHILRQFYRDCDIYGTVDFIFGNAASVFQDCNIYARRPLRGQSNVVTAQGRTMPDQATGISIHNCTVSAAPDLAADPRFARTFLGRPWKEYSRTVYMQSFIDGVVDPAGWLEWNGSFALSTLYYGEYANYGPGANTSCRVKWPGYSLMNSMDALNFTVYNFTAAVPWLSSTSVPHSGGLL >Ma08_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37646115:37648216:1 gene:Ma08_g24500 transcript:Ma08_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRVLLVFLLLPLAAAAAPPASVSPAAACRSSFYPKLCIALLSPLRFPSNQYEYGRYSVKQALKQARRTATILDRYLSGSSGGARAHRAVGGGGALEDCRELAGLNAEYLAAVQAELGRGARMLDAAGVGRVRALMSAVVTNQQTCYDGLEASREFPELQGALADQTQLYGVSLGLVTTALGRRAVPGVGTNAGSDSRTGTTGDQSSPPKGFPAIGRILVEGDREIVPVNASQSVTVAKDGSGNFTTVGDAVLSAPNDTSADGGYFVIYIGEGVYHENVIVPINKKNLILIGSGINRTIITSNRNVADRWTTFNSATFVNAERFIAVGITFENSAGPGKYQAVAVRNSGDLSIFYRCSFLGYQDTLYVHILRQFYRDCDIYGTVDFIFGNAASVFQDCNIYARRPLRGQSNVVTAQGRTMPDQATGISIHNCTVSAAPDLAADPRFARTFLGRPWKEYSRTVYMQSFIDGVVDPAGWLEWNGSFALSTLYYGEYANYGPGANTSCRVKWPGYSLMNSMDALNFTVYNFTAAVPWLSSTSVPHSGGLL >Ma05_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8067254:8067949:1 gene:Ma05_g11120 transcript:Ma05_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVGFFAASLAAVSSAALAAASPDSRASSSSSKEGPGSGTSREGGAGSGSRKVAEDKFAPRFDGLRFIETLVTAHR >Ma10_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24359007:24366813:1 gene:Ma10_g10410 transcript:Ma10_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVGAESAAPGAGTAEKALSFISRGWREVRDSAGADLRLMRARASSFKSLADREFENLLSSSAAVLSTPPRPSPPEVEFVKRIQPKLSEIRRAYSSPEFSRRVLEKWSPKATIRIDLSAIRNAIVSEVDEVGAVLDVGKVKGQSWRMVRWKGVEEEEQGREWEPIRILKTGLKELERKSQSTSNELVEKLKSSLGSFVKEPQESKEVPPLDLPELLAYFVKQSGPFFNQLGIRQDICDKIVEALCSRRKDQFMYHSLPAKDTSLPGNENSDELDLRIASVLESTGHHYEGGFWTDLVKHETTDQKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQDVTLVVPWLCKSDQELVYPNNLSFCSPEEQEAYIRNWLEERIGFKADFKISFYPGKFSKERRSIVPAGDTTQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNYVVGVVHTNYLEYIKREKNGAIQAFFVKHINNWVTRAYCHKVLRLSGATQELPKSVICNVHGVNPKFLKVGEKIAAEREQGHQAFSKGAYFLGKMVWAKGYRELIDLLAKHKNDLEGFNLDVYGNGEDSREVQSAARKLDLNLNFFKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNDFFRAFPNCLTYKTSEDFVAKVKEAMANEPQPLTPEQRYNLSWEAATQRFMEYSELDKVLNKQESTSVNADNLKISKSISMPTLSSAVDGGLAFAHYCLTGNEILRLSTGAIPGTRNYSKQHCMDLHLLPPQVQNPVYGW >Ma10_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27877564:27878040:1 gene:Ma10_g15990 transcript:Ma10_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHVLVFLVLCTCFASSVSSYCDDDYDSDSSAPVVDTAAAPAPASSAGSYIAVVDLPKGEKPQHFTIRILASILGSEEAATKALIRVYDYAFSGFEARLSPREASALMSTYSLLETTIVLHCSYGHDKLLI >Ma08_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34019515:34022105:-1 gene:Ma08_g20190 transcript:Ma08_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPPCFRGYGTRFVLPCVFVPLVLVSLLLLSVDSNGPTPWFSSFSFSLPLHGGGGAHKEKDLESQRGFGAQVSFDRSGHASDVSVPPVAAPSPVQGPAVLLFEHNTTEDAIPLPRGRGKRYTKLQRLELGLGRARAAIKKAFRNAGNRTALPDKDYVPQGPLYRNAYAFHRSYLEMEKLFKVYVYEEGEPPLFHDGPCRSIYSSEGRFINSMEMDSRYRTRNPDLAHVFFLPFSVAKMVKFIYKPESFDISPIKKTIADYIDVVAERYPYWNRSLGADHFMLSCHDWGPHSSKAVPNLYGNSVRVLCNANTSEGFDPSKDVSLPEINIKTDVMADMMGGPSASHRPILAFFAGGDHGPVRPLLLEAWKDKDPDVQVHEYLPKGVSYYDTMRKSKYCLCPSGYEVASPRIVEAIYLGCVPVTINDHYVLPFSDVLDWKAFSVQISVEDIPNIKKILMGISPTQYIRLQRRGAMVQRHFVVNSPPRRFDVFHMILHSIWLRRLNIRMHRPQVSD >Ma11_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22735770:22738481:-1 gene:Ma11_g17450 transcript:Ma11_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRPCFAMPTPASPPSTWPTTAFGLADGPAEDLYSIFVNRVRRERPPELLEEIRGWDYSKPAYLDALKHLTDLKEEGKIKTLALTNFDTEWLHTILENGIQIVSNQVLGHILFQI >Ma08_p25510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38320535:38322938:-1 gene:Ma08_g25510 transcript:Ma08_t25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLWFIGSTGWPPIDHPPGLRRSRKDLLISLNDCIAPKGLRHNGDMRLNTERSFL >Ma10_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27508170:27509539:-1 gene:Ma10_g15400 transcript:Ma10_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPVIALYITICCLAFVMSKIIISFLLYKRWARKRRIIEDSLSALTCLLAGGRMVVFRSPAAQSLSSKALMKKTMKLSNKDIIGSGGFGTVYRLPVDEKTAFAVKRLNKGNKDTDRGFERELQAMGDIKHRNIVSLHGYYIAAQFNLLIYELMPNGSLDTLLHGKSSKQKPLDWPARYRIAVGAARGISYLHHDCIPHIIHRDIKSSNILLDRNMEARVSDFGLATLMHPDQTHVSTIVAGTFGYLAPEYFDTGRATTKGDVYSFGVVLLELLTGKRPTDESFIEEGAKLVTWVKDVVKDERQEQAVDSTLSCFPTEEVKEAFRIAEKCLESDPSKRPAMAEVLKMLEQISSLIN >Ma06_p36800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36064551:36068027:1 gene:Ma06_g36800 transcript:Ma06_t36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAKRSQAPRRQTSEIPKLSENLDPNLLSTPCRRSTKSPATNSARPKKSASKIPARIPSSSPSPAKDNSLVAPKRSSVTPLKSLDPEKCHQEVARLKENTSKSEPLAVEDMEVTDSRARAMKQLLLEEAMSGLPESGAGRVTYLVNAFERLLSISKEPKGEDGGEVKRKVLNWALPGLHQPPKAKVSENSCSPVLCSTDFPHIEDSEGDSAEHSSVNKKDKRLSNGINGSDEGRRNRRNSTGFSGRSRIKKFKVKSLQPFKLRTEQRGRFKEEQFIKKVNEMLLEEERKRTPIAKGLPWTTDEPEILIKPPIKEPTEPIELILHSDVRAVERSEFDLHVAERMSFVEQIKLDRERQQKLEEEEEIRRLRKELVPKAQPMPYFDRPFIPRKSAKPQTVPKEPRFHIHHLKEP >Ma06_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12959320:12969093:1 gene:Ma06_g18930 transcript:Ma06_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPPDRTKVVMRHLPPAISQSVLMEQIDGRFAGRYDWVCFRPGKNSQKDQIHSRAYLNFNNPEDVVEFAEFFDGHIFVNEKGAQFKALVEYAPSQQVPNIWSKKDGREGTISKDPEYMEFLELISKPVEHLPSAEVQLERREAERAGAKETPIVTPLMDFVRRKRAAKVGVQGLSSGRKVNRRGSGVSSGNSSSSKRGPQKRKGTTSTYVLRNNMKKGSAKEKPTYILMSRREEQQPQVDKSVSVASAMGKEALEDELASGAVECGKSKLMLLKGKEKEGSDPSRGVPQRQVFMPLVKRSPTSSSTHQASERITKRMLSREGRSYLSASHPELQIHEINSKKDKRPPLPPNASLNVKDYISHNRSLDSVSDGDSNSHAAAYERSICHGDRKSDMSFVRSEDMKIHRGGRVSLSAVENGYHRHVGRRGLARDIKEVDGSLSVTEGKNSKRGSTGYSSHERQVWVQKS >Ma02_p23500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28467660:28479006:1 gene:Ma02_g23500 transcript:Ma02_t23500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNRIQRACTVLGDHGGEGSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDAGTEYAEFLHAPRKKLTDFAAVRKEIQDETDRITGKSKQISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEDQPESIVQDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLGREVDPAGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIAARRKEQEYFATSPDYGHLAHKMGSEYLAKLLSQHLESVIRARIPSIIALINKTISELDAELDWLGRPIGVDSGAQLYTILEMCRAFDRIFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLHNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEASVDAVHFVLKDLVRKSIGETEELKRFPTLQADISAAANEALERFRDDSRKTVLRLVDMEASYLTVEFFRKLSHEPEKGSNPTSTPATDRYGDNHLRKIGSNVSAYVGMVYDTLKNTIPKAIVHSQVREAKRSLLNHFYAQVGSREKKQLGAMLDEDPTLMEKRDAIAKRLQLYTSARDEIDSVAWK >Ma02_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28467660:28478900:1 gene:Ma02_g23500 transcript:Ma02_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNRIQRACTVLGDHGGEGSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDAGTEYAEFLHAPRKKLTDFAAVRKEIQDETDRITGKSKQISNVPIHLSIYSPHEDQPESIVQDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLGREVDPAGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIAARRKEQEYFATSPDYGHLAHKMGSEYLAKLLSQHLESVIRARIPSIIALINKTISELDAELDWLGRPIGVDSGAQLYTILEMCRAFDRIFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLHNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEASVDAVHFVLKDLVRKSIGETEELKRFPTLQADISAAANEALERFRDDSRKTVLRLVDMEASYLTVEFFRKLSHEPEKGSNPTSTPATDRYGDNHLRKIGSNVSAYVGMVYDTLKNTIPKAIVHSQVREAKRSLLNHFYAQVGSREKKQLGAMLDEDPTLMEKRDAIAKRLQLYTSARDEIDSVAWK >Ma02_p23500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28467660:28478900:1 gene:Ma02_g23500 transcript:Ma02_t23500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNRIQRACTVLGDHGGEGSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDAGTEYAEFLHAPRKKLTDFAAVRKEIQDETDRITGKSKQISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEDQPESIVQDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLGREVDPAGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIAARRKEQEYFATSPDYGHLAHKMGSEYLAKLLSQHLESVIRARIPSIIALINKTISELDAELDWLGRPIGVDSGAQLYTILEMCRAFDRIFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLHNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEASVDAVHFVLKDLVRKSIGETEELKRFPTLQADISAAANEALERFRDDSRKTVLRLVDMEASYLTVEFFRKLSHEPEKGSNPTSTPATDRYGDNHLRKIGSNVSAYVGMVYDTLKNTIPKAIVHSQVREAKRSLLNHFYAQVGSREKKQLGAMLDEDPTLMEKRDAIAKRLQLYTSARDEIDSVAWK >Ma10_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23122118:23125093:-1 gene:Ma10_g08870 transcript:Ma10_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRPAVQPVEAPSPVNAAENPPRLRMKDIQGMPGTAGGLALRLSQFGLAVAALGVMESTSNFGFVTVFCYLIAAAITQSLWSISLAFLDIYALLVKRCLRNPRALCIFTIGDGIISTLTFSAACASAGITILLRGNGSICSHEHCTSFETAIAIAFISWFVICPSFLLNFWQLASS >Ma04_p22550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24843606:24849256:1 gene:Ma04_g22550 transcript:Ma04_t22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDSDDGSGPPSATVHIRCSSGSKFSVQTPLDATVDAFKAVVAGSCDVPVEEQRMIYKGWVLNDDQTLASYGVESDHTIHLIRGSARSAVSVNTEVHNQGPSRTSDRNSASGFFGTGIPEFDQMQQLTQNCNVTREIMNLPAIQNLINNPDIIRSLFMDNPQMREIIDRNPDLAHVLNDSSTLRQTLEAVRNPELMREVMRNSDRVMSNIESSPEGFNMLRRMYETVQEPFFNAATMGGDLGGNVGSNAFSALLINQVTGQDSERSSNLTTSSEPTTGSGPNTNPLPNPWGSNAVGAQPVSTRSDPGSTTGIPGLGGLGSLDMEHMVGRLQDSSQLSQVLQNPAMMQTMQNLLSDPQYVNQMLDLNPNMRGLLESNTQLREMLQNPDFLCQLMSPETMQQLLSFRQSMFSHVGRQQSSLEQGQTGGGVGTTNNTGLDFLINMFGGLGTGGPGVPNTSNGHGFYFHPGSATGRALRDSAVSAPGNGFL >Ma04_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24843060:24849256:1 gene:Ma04_g22550 transcript:Ma04_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDSDDGSGPPSATVHIRCSSGSKFSVQTPLDATVDAFKAVVAGSCDVPVEEQRMIYKGWVLNDDQTLASYGVESDHTIHLIRGSARSAVSVNTEVHNQGPSRTSDRNSASGFFGTGIPEFDQMQQLTQNCNVTREIMNLPAIQNLINNPDIIRSLFMDNPQMREIIDRNPDLAHVLNDSSTLRQTLEAVRNPELMREVMRNSDRVMSNIESSPEGFNMLRRMYETVQEPFFNAATMGGDLGGNVGSNAFSALLINQVTGQDSERSSNLTTSSEPTTGSGPNTNPLPNPWGSNAVGAQPVSTRSDPGSTTGIPGLGGLGSLDMEHMVGRLQDSSQLSQVLQNPAMMQTMQNLLSDPQYVNQMLDLNPNMRGLLESNTQLREMLQNPDFLCQLMSPETMQQLLSFRQSMFSHVGRQQSSLEQGQTGGGVGTTNNTGLDFLINMFGGLGTGGPGVPNTSNVPPEELYATQLSQLQEMGFFDTQENIRALTATAGNVHAAVERLLGNSGQ >Ma03_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7540518:7541664:-1 gene:Ma03_g10090 transcript:Ma03_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQASEAATAVIQHPGGRVERLYWPTSAAAVMKSNPGYYVALVTLYVSEEKQDGSGGNVRITRVRLLKPKDMLLLGQVYRLITSQEVTKALNQRKHEKLKKTQAELIRKQQQGEQQQRRNDQGCDAVPQETQEVSEDAHQATKQERDRPKSSTQTATRGRHWRPSLGSISEVVS >Ma10_p14470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26912910:26916293:1 gene:Ma10_g14470 transcript:Ma10_t14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIFICFFLFFLRPSVSHRYNALYNFGDSMSDTGNVRIGRLPYGMTFFGRATGRCSDGRLVIDFIAQDLGFPLLPPSSEFLDHDFKQGANFAVVAATTLGFEFFNERGLSKGLWVNASIYIQVERFEKLLPTICGAPQDCKDFLSKSLFIVGEFGGNDYSTALFFGRRIDEVSTFVPHVVSAISDGVERLIGLGAVDIVVPGLLPVGCFPLYLTNYHTPDPEDYGPKTGCGRKYNALSWLHNAMLRKALDHLHSKYPSVSIRYADYYSQIIDFTLNPLKYGFTAGALRTCCGNGGGIYNYDQGRRCNDKGYTVCENVSTHVSWDGIHMTEAAHRVIATGWLHGPYVDPPILSSSSSQS >Ma05_p03840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2849168:2866815:-1 gene:Ma05_g03840 transcript:Ma05_t03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGLVMGSLVGIALMAGWSRVMKSRSIKRVAKAVDIKLLGFLGRDDLKKLCGDSYPEWVSFPLFEQVKWLNKLLGKLWPFIADAATLVIKDTVEPLLDDYRPPGISSLKFSKLSLGNVPPKIEGIRVQSLKKGQLIMDIDFRWGGDLSIILAVEALVASLPIQLKDLQVFTVIRVIFQLSEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAIPGLSDMIDDTVTSIVTDMLEWPHRIVVPLGGVDVDTSELELKPQGKLTVTIVKANNLKNQEFVGKSDPYVVLFVRPVFKVKTKVVDDNLSPVWNETFELIAEDKETQSVTFEVYDEDKLQQDKRMGIAKLSLNDLEPKTLKEIELSLLTSLDTLKVKDKKDRGTLTIKVLYHPFTKEEQLVALEEEKRTVEERRKLKEAGVIGSTMDAFGGAASLVGSGVGIVGTGLESGVGMVGTGIGTGVGMVGSGLGAGVGIVGTGLGAFGSGLSRAGKFMGKSVTNQFSSSKRNFSGPFPAVQGDGNAS >Ma05_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2849168:2866784:-1 gene:Ma05_g03840 transcript:Ma05_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGLVMGSLVGIALMAGWSRVMKSRSIKRVAKAVDIKLLGFLGRDDLKKLCGDSYPEWVSFPLFEQVKWLNKLLGKLWPFIADAATLVIKDTVEPLLDDYRPPGISSLKFSKLSLGNVPPKIEGIRVQSLKKGQLIMDIDFRWGGDLSIILAVEALVASLPIQLKDLQVFTVIRVIFQLSEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAIPGLSDMIDDTVTSIVTDMLEWPHRIVVPLGGVDVDTSELELKPQGKLTVTIVKANNLKNQEFVGKSDPYVVLFVRPVFKVKTKVVDDNLSPVWNETFELIAEDKETQSVTFEVYDEDKLQQDKRMGIAKLSLNDLEPKTLKEIELSLLTSLDTLKVKDKKDRGTLTIKVLYHPFTKEEQLVALEEEKRTVEERRKLKEAGVIGSTMDAFGGAASLVGSGVGIVGTGLESGVGMVGTGIGTGVGMVGSGLGAGVGIVGTGLGAFGSGLSRAGKFMGKSVTNQFSSSKRNFSGPFPAVQGDGNAS >Ma10_p07960.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22306225:22310310:1 gene:Ma10_g07960 transcript:Ma10_t07960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEVSCSSSWTREQEKAFENALATHPEDCSDWWEKIAANVPGKSIEDVKNHYELLLEDINGIESGRVPLPCYPSSSDGDDHANDGVSGKKGGLSHGDPSHSGKASKSDQERKKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGNIDISTQPPITGQTSSPVAATGSSINQSPQPYGTAIGQPVGGPMMPAVGTPINLPVPGAPHMAYGVRAVVPGAQVNIPPSTYPMPPTSCNR >Ma10_p07960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22306836:22310310:1 gene:Ma10_g07960 transcript:Ma10_t07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEVSCSSSWTREQEKAFENALATHPEDCSDWWEKIAANVPGKSIEDVKNHYELLLEDINGIESGRVPLPCYPSSSDGDDHANDGVSGKKGGLSHGDPSHSGKASKSDQERKKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGNIDISTQPPITGQTSSPVAATGSSINQSPQPYGTAIGQPVGGPMMPAVGTPINLPVPGAPHMAYGVRAVVPGAQVNIPPSTYPMPPTSCNR >Ma10_p07960.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22306600:22310310:1 gene:Ma10_g07960 transcript:Ma10_t07960.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEVSCSSSWTREQEKAFENALATHPEDCSDWWEKIAANVPGKSIEDVKNHYELLLEDINGIESGRVPLPCYPSSSDGDDHANDGVSGKKGGLSHGDPSHSGKASKSDQERKKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGNIDISTQPPITGQTSSPVAATGSSINQSPQPYGTAIGQPVGGPMMPAVGTPINLPVPGAPHMAYGVRAVVPGAQVNIPPSTYPMPPTSCNR >Ma10_p07960.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22306600:22310310:1 gene:Ma10_g07960 transcript:Ma10_t07960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEVSCSSSWTREQEKAFENALATHPEDCSDWWEKIAANVPGKSIEDVKNHYELLLEDINGIESGRVPLPCYPSSSDGDDHANDGVSGKKGGLSHGDPSHSGKASKSDQERKKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGNIDISTQPPITGQTSSPVAATGSSINQSPQPYGTAIGQPVGGPMMPAVGTPINLPVPGAPHMAYGVRAVVPGAQVNIPPSTYPMPPTSCNR >Ma10_p07960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22306225:22310310:1 gene:Ma10_g07960 transcript:Ma10_t07960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEVSCSSSWTREQEKAFENALATHPEDCSDWWEKIAANVPGKSIEDVKNHYELLLEDINGIESGRVPLPCYPSSSDGDDHANDGVSGKKGGLSHGDPSHSGKASKSDQERKKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGNIDISTQPPITGQTSSPVAATGSSINQSPQPYGTAIGQPVGGPMMPAVGTPINLPVPGAPHMAYGVRAVVPGAQVNIPPSTYPMPPTSCNR >Ma10_p07960.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22306836:22310310:1 gene:Ma10_g07960 transcript:Ma10_t07960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEVSCSSSWTREQEKAFENALATHPEDCSDWWEKIAANVPGKSIEDVKNHYELLLEDINGIESGRVPLPCYPSSSDGDDHANDGVSGKKGGLSHGDPSHSGKASKSDQERKKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGNIDISTQPPITGQTSSPVAATGSSINQSPQPYGTAIGQPVGGPMMPAVGTPINLPVPGAPHMAYGVRAVVPGAQVNIPPSTYPMPPTSCNR >Ma10_p07960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22306438:22310310:1 gene:Ma10_g07960 transcript:Ma10_t07960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEVSCSSSWTREQEKAFENALATHPEDCSDWWEKIAANVPGKSIEDVKNHYELLLEDINGIESGRVPLPCYPSSSDGDDHANDGVSGKKGGLSHGDPSHSGKASKSDQERKKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGNIDISTQPPITGQTSSPVAATGSSINQSPQPYGTAIGQPVGGPMMPAVGTPINLPVPGAPHMAYGVRAVVPGAQVNIPPSTYPMPPTSCNR >Ma05_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2464941:2482556:-1 gene:Ma05_g03410 transcript:Ma05_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLINANPVVYERKERQVRSVPTIIDEDAEELIDQQEIFDYIRDIQDPEHPYTLEELKVVTEDSIEVNDKKSHVSVTFTPTVEHCSLATTIGLCLHVKLMRSLPSRYKVDIRVAPGTHATEAAVNKQLNDKERVVAALENPNLMYMIDRCLEPAFYY >Ma09_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5678656:5684676:1 gene:Ma09_g08560 transcript:Ma09_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MAAASTTVVALLSPFHPPPGSSRQTSKLIVVFTSRHSWRSLPPLRRLVASPRFGPVHCSSSGDNSSDAGDNSGFCIIEGPETLQDFVQMQLQEIQHNIRSRRNKIFLLMEEVRRLRIQQRIKSAECSGDSCEDNEMPDIPSTIPFLPHMTPKTLRQLYLTSFSFAFGIIVFGGLLAPTLELKLGLGGTSYEDFIRNMHLPLQLSQVDPIVASFSGGAVGVISALMLLEANNVEQQEKKRCKYCHGTGYLVCARCSASGVFLSIEPNSIPDGCNSPFQPPSTQRCTNCSGTGKVMCPTCLCTGMAMASEHDPRIDPFD >Ma00_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:6456742:6456849:-1 gene:Ma00_g01350 transcript:Ma00_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSPVAASISDFTQFSPYCTMYHLRTQEKLPTPA >Ma03_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17338404:17341911:1 gene:Ma03_g15840 transcript:Ma03_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVENSDAVFPAGTVEGVEFARRVPLPPPRPFLDTLRANLKETLFPDDPFQQFKNETGSRRFLVGLKYFFPVFQWAPRYNLSLLRSDLVAGFTIASLAIPQGISYAKLANLPPVLGLYSSFVPPMVYAVMGSSKDLAVGTVAVPSLLIASMLGKDVPPSQNPTLYVHLVFTATFFAGVFQASLGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGMLGLQHFTTATDLISVMESVFTQTHQWRWESVVLGSCFLFFLLLTRFLSKKGPKFFWISAAAPLTSVILGSLVVYLTHAENHGVQVIGYLKKGLNPPSLSNLVLSAPHMMVALKTGIITGIIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIAGSLTSCYLTTGPFSRSAVNYNAGCKTALSNVVMAVTVMVTLLFLTPLFHYTPLVVLSAIIISAIAGLIDYEAALHLWQVDKIDFCVCMGAYLGVVFGSIEIGLVIAVAVSMLRILLFVARPRTTVLGNIPNSSTYRRMDQYSAAQSVPGVLIIRIDAPIYFANVSYLRERITRWTNEEEDMLKSKAETSLHYVILDMGAVGSIDSSGTGMLKEVKESLGRRGLQLVLANPGSEAMKKMDKSKVLETIGQEWIFLTVGEAAAACNFMLCTCKSGMVDEAERENIV >Ma04_p32150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32258024:32270022:1 gene:Ma04_g32150 transcript:Ma04_t32150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGALLEMPNGDSPASCRGEDWARQGMAGLNIDAETAKRRSEVIEWLNGLFPGLNMPLEASEEELRARLSDGALLCGIMRRFSPGYSEEIRNETYASRSESRSENIRRFISAVEQMGLPGFNVSDLEQGPVSSVVYCLWSLKDHLLSDLGEDKDMNPPVKSVGEARMSWKALETTRTDPLGALRGDSILNGQNSVVLGEERRHSFQGSRLQHVLPSSAMSEPSSPQFHHGGHKFHEVFQLKQGHYYDLPPAKLSETMKSNSLDNAPTQSLLSIINGIVDESIESKNGEIPQRLPCWLRKVVQEIERRISTQAEHIRNQNNLIKAREEKYQSRIRVLETLATGTKEETQIAMNQLHLLKTEKRKIEERNKLGEEDMARLTKEKEKTDQIISELKQELEIIKRTYEEQFQQMETKAKEYQTKLEQKLKDAKSYLAESQRRIEELGTISESKFQNWNQRELVLQSFIDLQLQSVQELRSSSNSIKHEVRITQKKWCEEFTRFGKQLKLLTDAAENYHTVLAENRRLYNEVQELRGNIRVYCRIRPFLPGENVKQTTTEYIGDNGELLIANPSKQGKDVQRMFKFNKVFGPAATQEEVFLDIQPLVRSVLDGYNVCIFAYGQTGSGKTYTMTGPHSATEKEWGVNYRALNDLFHISWNRRDAYVYEVCVQMVEIYNEQVRDLLASDGTQKKLGILSNSLPNGLAVPDASMLPVKSTSDVLELMHIGHSNRAVGATALNERSSRSHSIVTVHVRGMDLKTGATLRGSLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVIYALSQKSAHVPYRNSKLTQVLQSSLGGHAKTLMFVQINPDIGSYSETSSTLKFAERVSGVELGAAKSQKEGKDIRDLMEQIASLKDIVARKDEEIEQLQQLKDIRLRHNSNSLRHSSSSPCGISLLGGTIQQEQKSSNERVVANEKLGSDHENFSEQSGDHSESGSQLSADDRRHQKEILGQSKLIKVIADQSSADPEHLGNGDADSEEYLSDGDLSMGTETDGSTGSLVEFNGLSEQVKSLEITKEKLPKNPTPAPKPPSRKTGQVPASRTRLRDTIKSPSTSKNTTQVAVPSSKLPKRWQ >Ma04_p32150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32258024:32268154:1 gene:Ma04_g32150 transcript:Ma04_t32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGALLEMPNGDSPASCRGEDWARQGMAGLNIDAETAKRRSEVIEWLNGLFPGLNMPLEASEEELRARLSDGALLCGIMRRFSPGYSEEIRNETYASRSESRSENIRRFISAVEQMGLPGFNVSDLEQGPVSSVVYCLWSLKDHLLSDLGEDKDMNPPVKSVGEARMSWKALETTRTDPLGALRGDSILNGQNSVVLGEERRHSFQGSRLQHVLPSSAMSEPSSPQFHHGGHKFHEVFQLKQGHYYDLPPAKLSETMKSNSLDNAPTQSLLSIINGIVDESIESKNGEIPQRLPCWLRKVVQEIERRISTQAEHIRNQNNLIKAREEKYQSRIRVLETLATGTKEETQIAMNQLHLLKTEKRKIEERNKLGEEDMARLTKEKEKTDQIISELKQELEIIKRTYEEQFQQMETKAKEYQTKLEQKLKDAKSYLAESQRRIEELGTISESKFQNWNQRELVLQSFIDLQLQSVQELRSSSNSIKHEVRITQKKWCEEFTRFGKQLKLLTDAAENYHTVLAENRRLYNEVQELRGNIRVYCRIRPFLPGENVKQTTTEYIGDNGELLIANPSKQGKDVQRMFKFNKVFGPAATQEEVFLDIQPLVRSVLDGYNVCIFAYGQTGSGKTYTMTGPHSATEKEWGVNYRALNDLFHISWNRRDAYVYEVCVQMVEIYNEQVRDLLASDGTQKKLGILSNSLPNGLAVPDASMLPVKSTSDVLELMHIGHSNRAVGATALNERSSRSHSIVTVHVRGMDLKTGATLRGSLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVIYALSQKSAHVPYRNSKLTQVLQSSLGGHAKTLMFVQINPDIGSYSETSSTLKFAERVSGVELGAAKSQKEGKDIRDLMEQIASLKDIVARKDEEIEQLQQLKDIRLRHNSNSLRHSSSSPCGISLLGGTIQQEQKSSNERVVANEKLGSDHENFSEQSGDHSESGSQLSADDRRHQKEILGQSKLIKVIADQSSADPEHLGNGDADSEEYLSDGDLSMGTETDGSTGSLVEFNGLSEQVKSLEITKEKLPKNPTPAPKPPSRKTGQVPASRTRLRDTIKSPSTSKNTTQVAVPSSKLPKRWQ >Ma07_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2013490:2014343:-1 gene:Ma07_g02520 transcript:Ma07_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFEGCWACPVLWTCNTLPMALPPLNLGEPAALSSVRGSFQMIKMIGRKRGLPLLQLLALLLLLSCLVHPLGAVPAHRSLSFGTKDTPVLEDTDQVTSRDMRMMEEEISKMEIASNDYPGSGANNRHDPKSPGRV >Ma07_p02520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2013490:2014343:-1 gene:Ma07_g02520 transcript:Ma07_t02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFEGCWACPVLWTCNTLPMALPPLNLGEPAALSSVRGSFQMIKMIGRKRGLPLLQLLALLLLLSCLVHPLGAVPAHIGSLSFGTKDTPVLEDTDQVTSRDMRMMEEEISKMEIASNDYPGSGANNRHDPKSPGRV >Ma06_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13036780:13037811:-1 gene:Ma06_g19050 transcript:Ma06_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSSSLPPGFQFFPSDEELVLHFLHRKAGLLPCHPDIIPTIDLHHCDPWDLGGKALQGGNRHWYFFTHTTQSRATATGYWHAVGTDHETIASSCVDVGVKKTLRYYLGEAPEGVKTNWLMHEYHLLDDGVLHSTGDGGTRKKVSDSAQAMQEPRLVHSTTTIAVQESNRWVVCRVYESTGGSQSSFHDDGGSELSCLDEVFLSLDDIDEISLPN >Ma08_p26920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39325801:39329936:-1 gene:Ma08_g26920 transcript:Ma08_t26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLATITPILSLRRLQAPRSNCVAAAATRLSGAREAALFGLKFACCRATAVWDRRPKPARALMVEEGRTAATARIVTVVGDGSVSPLKNTPWEQVMRHTSTRLKWVDEGIEMVVFSDKATQYSDTRHENLVRELLCSDMLVHVAINDQESIEWLHKNSDSVPNIICFESSPDLRNKLGGSFVHKRGEENMFNRLVNIAKKGNRSESAEVLKTVSGAWERYNADDIRFCLLVIINSYIRPVSVLKNLRAKGLSTLNCMIKNCGSQMLNCLFDPNCRKALQCLNSCSPTDQVCNYRCIASYESPYLEAFSLCVLQKNNCLELDAEIPSKPIVTPLYMFRGELLSHEVAEDLFIGWLDNLEWSWRVIAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVRTQEGTLVWRRRKYRVRRASVPGTFYFSVLDNGVVSKEFWTIIDVCDDLSWGLFQYNGAAQVAGLSYTGAVLVSPDGNFKPELGGQRLVSALEKCSIMDWELYRVDNCSCNNPPLGIPEGSRLHSKIELRNQRWVSQQLG >Ma11_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15150925:15151757:1 gene:Ma11_g11920 transcript:Ma11_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGAEKEGIARIVGDPAAETRVSTPPPPPLLQARRLHNFSFPTLSWGGQRLLRCSKLPDPAARHWSLRTRSAACNAPAVGRPGINGSCYKALVVKKADPPRKVVRLRSDESKKGEMTKFSISLSRAEIEDDFFAIKGTKPPRRPKTRAKIIQRELDSLFPGLWLSEITRETYKIVE >Ma11_p12230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16126082:16132327:1 gene:Ma11_g12230 transcript:Ma11_t12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGMERVAVPDPSTATVATLCSLIESHLGVPATVQTLSLDHNLLLPSKAQSPALDPATPLSSLPIGHGSIVYLSYPADLRRAAAAPTPPPFTPAGSFGRHKMTIDDLIARQVRVARQESPHCDAASFDRDAAHAFQLYVADTLAFAVKRAGFLYGHVDQASHSVAIDFVYEPPQQGSEDAAALFRDPDEEALVEAIAAGLGMRRVGFIFTQAVGRKAGTAEYTMSGREVLQAAEMQAEGGIPEWVTAVVKLDVAEDGAADVHFEAFQMSDICVRLFKEGWFVTEFGEDDDPRVSRMKKDVVVGGKDTREVDNDFFLVPVKISDHQGPLSSSFPIENRITRVTLKALKNHLDRTKHLPFVRRISDFHLLLLLARFLDVNADVPTLAECVQKQSSVPEGYQLLIESMAAAS >Ma06_p33310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33982125:33991662:-1 gene:Ma06_g33310 transcript:Ma06_t33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein-tyrosine kinase CEPR1 [Source:Projected from Arabidopsis thaliana (AT5G49660) UniProtKB/Swiss-Prot;Acc:Q9FGL5] MHHRFLLLLLLPLFLCLAPRGTPTTAEELRILLQFKASLQTAANSTAFRSWDASNPTCSFDGIRCDSNGSVSEIDLTSAGISGEIPFDSLCRLPSLSALSLGYNGLHGAISDDLWNCTGLRRLDLAFNHLAGAVPDLAPLDKLQVLNLSDNAITGAFPWSSLAGLTDLEVLSVGDNPFDPSPFPKVILGLTKLNRLFLSDSNIHGEIPPSIGNLTELVDVEISDNFLTGGIPPEIAKLSGLWLLEMYNNSFTGTIPAGFGNLSRLAYFDASENQLEGDLSELRRLTNLISLQLFQNDLSGEVPPEFGDFRYLTDLSLYTNRFNGTLPAKLGSWTEFNYIDVSTNFFTGGIPPDMCKKGTMIKLLMLENRFTGEIPASYANCSSLIRFRVNKNSLTGEIPAGLWSLPNLNILDLAINQFEGPIGVGIGNAKSLYQLYLDDNQFSGQLPLELGEAESIVGIDLSHNEFSGEIPASIGGLRNLVSLDFESNTFSGAIPDAIGSCLSLSSVNLAKNNLSGPIPTSLGELTRLNSLDLSDNQLSGKIPASLSTLKLSSLDLSNNRLTGAVPAGLDIAAYSRSLVGNPGLCIDGVGANNLSSLRRCSAAQSGSSDGLRTILTCIFSIAAVFLALLGLYIVLKKRRADACGGSGGDRAVVKDLSWDMKSFRILTFDEQEIVDAIKPYNLIGKGGSGEVYRVELASGEVVAVKQIWCDPVGGAKERSTAAMLVARGRRRPAAREFEAEVGTLSAVRHVNVVKLYCSITSEECHLLVYEHLPKGSLWDRLHGSTEAGKMELGWEERYEIAVGAARGLEYLHHGWDRPILHRDVKSSNILLDDCLKPRIADFGLAKVLHSAAAGGAEEASSAHVIAGTHGYIAPEYAYTWKVNEKSDVYSFGVVLMELVTGRQPIEAEYGEDKDIVYWATRRMSSRESVAAVVDGRIQEPAREEAVKVLRVAALCTARLPAMRPSMRTVVQMLEEATSGRALAAIISKGDKAEHGVYMAEGKRVNEKPVMYHQPRATVSPIPSTFTITMAIVAATALAVSFLLVLLALSLGASVQESQFSFLSLLKQSLQGPSMARWDFNGSSPCNFPGIACDDNEYVVEIDLSSWLLTGGFPPAVCESLPGLRVLRLGFNEIRNGFPVDLFGCSFLEELNCSHAKIAGAVPDLSPLQSLRSIDLSNNKFTGEFPISVTNITGLEVININENPGFDVWRLPENITALTRLRVLILSTTSMRGDIPPWIGNMTSLTDLELSGNFLVGRIPPTIGKLANLQLLELYYNKLTGEIPNELGNLTRLIDIDVSDNHLVGSIPDSISSLPGLQVLQVYTNNLTGKIPRVLGNSTALTILSIYGNSLTGELPPNLGQFSNLIVLEVSENRLSGELPRHVCAGGQLLYFLVLRNNFSGGLPETYAECKSLLRFRVNDNQLGGWVPEGLFGLPHASIIDLGFNRFEGVISKSIGNAKNLTALFLPNNRISGALPPEIASATSLVKIDLSNNLLSGPIPAEMGNLIGLNQLSLQGNRLDSSIPESLSSLRSLNVLNLSNNLLTGEIPDSLCDLLPNSLDFSNNRLWGPIPLPLIKEGLIDSVSGNPHLCVPFHVNLSNPIFALCPKPNLRRRLNNMWIIGVSAMLSIAGVLLLVKRWFGRKNITIEQDGFSSSSSSSYDVTSFHKLSFEQHEIVEALIDKNIIGHGGSGTVYKIELSNGELVAVKKLWSRKTKDRSPDQLYLDRELRTEVETLGSIRHKNIVKLYCCFSGIDSKLLVYEYMPNGNLWDALHQGKSFLNWPTRHKIAVGVAQGLAYLHHDLLFPIVHRDIKTSNILLDADFEPKVADFGIAKVLQARGDRDTSTTVIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELVTGKKPIEPEFGESRDIIHWVSSKMCTKEGAMEVLDKQISWNPMKEEMVQVLRIAIRCTCSNPTLRPTMNEVVQLLIEAQPCKPDATGSSFKLKD >Ma07_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8729238:8729441:-1 gene:Ma07_g11730 transcript:Ma07_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNPIYSTATAYLVLPIKLALYTFSFSYHTRLDHELMMPSYTACQSLRAPTSVAVVELCLTVSSRL >Ma01_p15970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11613384:11615890:1 gene:Ma01_g15970 transcript:Ma01_t15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDLYDLIVEASLRKTRLRKLRHLRLRRPRPLLLLLTSYKFKPEQLRKM >Ma08_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1248269:1249982:-1 gene:Ma08_g01400 transcript:Ma08_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >Ma08_p01400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1248269:1249982:-1 gene:Ma08_g01400 transcript:Ma08_t01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >Ma02_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19109301:19110257:-1 gene:Ma02_g08880 transcript:Ma02_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSTKLRSSAAADLHALELKKVIQRNPELAAFAKEYPESILPAIKSGLDDLDRCLGKVLSGVSIIHAAIDHYEEEEKRKHQQHRRNKKKKKADRKEKHAYSKTVAKLQELECAGHPFAGLNVKEPQHALSKLRETMDRLRDRKKEIKAALRSTKRWRKFREVVFTAAFIGLLVCSVVLAATVAAPVAITAGTAGATAIKAVEPWFNSLWDGGETALRDEKEVVKMMLDEELSVHELDSIRSLVVKLWTDVETLMKKVEFVLQQEDEEAMEVGMTELKKKMEATDVKGCIKFLKEKVDACKKEIQPSKSKFLKITRD >Ma06_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:382513:383782:1 gene:Ma06_g00470 transcript:Ma06_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMKRAEEKRPLRRSPTEKPPFTLSQIKKAIPPHCFDRSLLRSFSYVVLDLLFAALFVYFAVAIIPKLPPGLVLAAWPLYWILQGCVLTGVWVIAHECGHHAFSDNSLLDDVVGLVLHSVLLVPYFSWKYSHRRHHSNTGSIDRDEVFVPKPKSAVAWFTKYLNNPPGRVLTLAITLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIFSDRERSQVVISDAGLMAVSYVLYRIAAGYGFWWLVRVYGVPLLIVNGWLVLITYLQHTHPSLPHYDSGEWDWLRGALATVDRDYGLLNKVFHNITDTHVAHHLFSTMPHYHAMEATQAIKPVLGEYYQFDGTPLLKAMWREAQECIYVEPDEGSRKKGVFWYRNKL >Ma09_p30790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40731596:40733633:-1 gene:Ma09_g30790 transcript:Ma09_t30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKRRPSRDRVEGANGEINLVQMEKKHLEEPDSQNGKISFYTVGKSEILEEFWDNDVVLGEEAGEDEATKLVKIVEDSWNIEVVLGEDAGQEEAVEESSRRGSGRNTSRLNGKEGLVVEDTNVVLEEDAGEKETVEGCFESTEVIGEHAGLTEEDGDVRSGAVAEGEERRPSRRERTEEGTTNSSSSSSTALRSVERPRSPFLAIRRSLGWLMASRLRAKVGPCDAGSGTATGVDAEGAGIYGSQSRDDFSRYDVEQYFEHMGMLAAEGSHDKMEALLDQKIHPVDILLMAAASEGDKPKMEELLRAGAKYDVRDGEGRIALERAASDEIKQLILQLSVRRL >Ma02_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5934314:5936947:1 gene:Ma02_g00600 transcript:Ma02_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDLQRNLDLMEKYEQEKRRGDNSSDRVASHTKNVVDVSEGLSGNNHSPSVASNEGIGVTFTEKSSSLPLDRRGYMDELAIHGSEGAIVISFSEDDSGKKATDDDNIFMQLIFGGQKTNLPLECVHSDKSTNDSESDCSWEEGLVKEISEIPNSFADITASPKSDLKKNQSSLAESSYEVAGVDWEEGVCQNPDTSSQFLDKLVKDVSRGMLQEEADIQEAIRRSPEDFKEQNSSTNSSAVTKLMRSVKDQISDGVILHKLVTNTCVHLGNDAEESPSCNDEQLDNRCKEDDLQIPDSSKNNLDSYNLSANLLMVAQVGWNNLLMLVIKSKLNLVQECPWRNCVRSRPNTSTKITDDGSEVVVGSSNRDSRHLSRSSVSPERGYNNSLSGNSSLMEEIIDTGMKSQQNIAEKDNTISDHIGYSTQILNNQMEVSEASLDKEISLLRQERVNLGNEQRMLERDAECVNNEMFAECHNVNAHMQLLITFLKNCRNCFKCLVCLILLHLQNRSSVCLYRNDKPYILREGVSESSMYCIVPSLFQHAPATHLHRMRAWLRSRQTNPYGIASWK >Ma06_p37650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36631003:36638263:-1 gene:Ma06_g37650 transcript:Ma06_t37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGDKFRLGRKIGSGSFGEIYLGINIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGSMKFFFFFDCLEQVFLLFLFIIANFFFFFVLAAGIPNVRWYGIEGEYNVLVMDLLGPSLEDLFNFCGRKFSLKTVLMLADQMINRVEFVHSKYFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKVATSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIHEGFQFDYVFDWTVLKSQQSQNASAPPRPIISGVGPSSGLVPATANDKHSGGEEGRISGWPAADSPHLARVPPPAVHAGTLAKQKTPLGNEPSVNKEAAFSSSTFLGQSSGSSRRAVVSSSQDMWGSGADQSCTHTTEQSPRTICKVSSSEGNSPFDSADPRCISSGRNLANTKNYEFTLKGIRGLSFDHDKRIRY >Ma06_p37650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36630996:36638263:-1 gene:Ma06_g37650 transcript:Ma06_t37650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGDKFRLGRKIGSGSFGEIYLGINIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGSMKFFFFFDCLEQVFLLFLFIIANFFFFFVLAAGIPNVRWYGIEGEYNVLVMDLLGPSLEDLFNFCGRKFSLKTVLMLADQMINRVEFVHSKYFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKVATSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIHEGFQFDYVFDWTVLKSQQSQNASAPPRPIISGVGPSSGLVPATANDKHSGGEEGRISGWPAADSPHLARVPPPAVHAGTLAKQKTPLGNEPSVNKEAAFDFSGAIKRIFKASCCF >Ma06_p37650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36631028:36645436:-1 gene:Ma06_g37650 transcript:Ma06_t37650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGDKFRLGRKIGSGSFGEIYLGINIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGTGIPNVRWYGIEGEYNVLVMDLLGPSLEDLFNFCGRKFSLKTVLMLADQMINRVEFVHSKYFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKVATSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIHEGFQFDYVFDWTVLKSQQSQNASAPPRPIISGVGPSSGLVPATANDKHSGGEEGRISGWPAADSPHLARVPPPAVHAGTLAKQKTPLGNEPSVNKEAAFSSSTFLGQSSGSSRRAVVSSSQDMWGSGADQSCTHTTEQSPRTICKVSSSEGNSPFDSADPRCISSGRNLANTKNYEFTLKGIRGLSFDHDKRIRY >Ma08_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34785911:34788375:-1 gene:Ma08_g20690 transcript:Ma08_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEASNGEEGKRVGAKRNGERLVRKQGCSRRTRRRVHAASTAIQRLFVACKSVFKGPGTVPEPADVEMLKLLLDKMRPEDVGLSTDILFFKSKSSSSGTPRITYATIHKCDNFSMCIFFLPPTAVIPLHNHPEMTVFSKLLLGSMHIKSYDWIDPDKSSISASSAKMRPAKLVVDSDFTAPCNTSILYPTTGGNIHTFTAITPCAVLDVLGPPYSKEDNRDITYYRDHPYTKYLDDATDQSGEEKHDLGWLEEIDISKDLEMDGVKYLGPPVIDG >Ma07_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1875524:1876774:1 gene:Ma07_g02360 transcript:Ma07_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPGEWWRRVTAAAKDKKSLCLTTMTKARGDHLAGGPRRAEVEAEVIRATTHDERSVDYKSARRVFGWANAAPSSFLHPTMWIIARRAERTRSWAVALKSLLLAHGLLRCSDDAPPTARLGRLPFDLSDFRDRSSSSSGFSAFVCAYFRFLDYRSHFYAQKPVKGATFTTIPAARPSDEEETEPDADLSELERLQALLDHQLQIRPYADGMRVRLVLEAMDWVAIEIFEVYSSICDRISHFLAGIVGSKSSKTTRSPASEDRKRRGTLGLRVLRRAAAQNSQIAAYFDLCRSLGVLNAAEPPAVQSISEEDMAELEQLLVDDFPVTEAEEEAEAGEREDKEAGGDSGTVITRSWVVFDEGEAHSAKEEMEGHFWNPDLCCSPEPSSVWASVVKPRRGGLRKGKADSIELIGLIYP >Ma09_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36040072:36049936:1 gene:Ma09_g24370 transcript:Ma09_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEGGSGGHSRELDETPTWAVASVCAVIILISILLEKGLHHLGEWFAERHRKAMFEALEKIKAELMILGFISLLLTFGQNYIVQICIPEEAADTMLPCLKEVETEEGETHHRRLLWGTPDRINLKHRMLVESSGNPHCSKGKVPLISLHGLHQLHIFIFFLAIFHVFYSALTMAFGRAKIHGWKEWEKETLSLDYEFSNDPSRFRFSHETSFVRQHTSFWNRIPISFYMVSFFRQFFISVGKADYLAMRHGFITVHLAPGSKFDFQKYIERSLEDDFKVVVGISPILWASAVLFLLVNVEGGLLLFWISVIPLITILAVGTKLQAIITRMALEIKERHAVIQGIPLVQLSDHHFWFGRPQFILFLIHFTLFQNAFQLIYLIWIWYEFGLHSCFQDNSKLMIARICLGVAVQVLCSYITLPLYALVSQMGSHMKRSIFDEQTSKALKKWHQAVKKKNPKGSGNSPSRSPNTSPKGSPKATTVHPIPHFKATGISPSPSRRRHLSDQGLQYATPEVLSAPAVTATPNPTFAASTDLLTGSAEQKKPQAVGDSKEDDDYSFINLSEP >Ma06_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21997016:21999555:-1 gene:Ma06_g23820 transcript:Ma06_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVPEKFRNLWNAEEIRVVILASLLLQIFLISLSLLRKRHRNMLLSFLLWISYLGADYVAILALGNLLSEQTEASDANRNGQLRAFWAPFLLLHLGGPDTITSYSLEDNELWLRHLLGLVLEVVVAILVFLESLPSPHLWKAAIVVFAAGILKYVERTWALWSASMDRLRQSMFTDPDPGPNYVRFKQEYRSRERAGLQPKIEILKERPSLLPQGEVTEIRQEHIILWGYRFFRTSKRLVVDLMLSFQDRIDSQNFFLQRTAKEAFQVVEVELSFLHDILHTKATHVHTVGGRVRRVFSLTMAAVALVLFHLSGKHGFNEADVMITYVLLVAALGLEAVAIVVLLVSDWTIVALQERNKFERPYITCLSELLDKLAGAIVKFRGRISKNCESWWSNSTRHYSLIRICLRRPIHKQIQRIMESLRLKELWDIWQYVEHDEISDDLKELIFQQLKDKASCAEGETTSYKRMQACRGEWILREMGYPELEWSVKKEFDESILLWHIATYLCYQTDPTAVKVTEEVNRHSRKKQLIKWLRHCIVPCLRCRTQQASGTGASKANPDHREPSKRISEYMMYLVVFEPFMLPAGIGKIRFQDTCAEAMKFFNDKKSDIEEAERVLNREHLTKAEASPNPTPSIEQGASATPPRDQRKRRHLSPTREKAASGALLKVQTTFKPIEVKGDRSKSVLFDACTLAKEIIGDDTKEKKSKGHLELEEAWKKKWTIINGVWVEMLCFAAGKCNQYFHAKQLSQGGELLTHVWLLMIHMGIARQYRIETGHARALLLVDE >Ma01_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9040527:9043298:-1 gene:Ma01_g12440 transcript:Ma01_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRPSEAAPAPPNLDFRAPGDDAWYEVRLAVEEDVEGEAALHVMYCNFSGAFDELYPADRFASLRELEEFAGRFRPTSVQLQDEECRMVVEGTEFCASHTFGDRDVRFYDAVVESVRRSKHRSVNGEAICNCTFEVLWKHGPLAGESTPINVQDICIMEQKWPQNPTLDHFLDIARNRFDGNDKTSKQAIDPERSPLKPMFGEKNYYAFWIDNLEKDLSPMTMNDFIYEQTSLSSQVELSYSVLPVMSCSGMVLLRTEKDAKKLLDFLLDPAHIVVSSRGRPWFVRDDWCRKFEGAMPRYEVKRSNRVQQASSKLKLAYRGTKEYERAKELQGLRCEFHNHLECIYRRLESEERILLTGRE >Ma06_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10559252:10565320:1 gene:Ma06_g15580 transcript:Ma06_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDSKPPRRDFPAFPFEPYPIQTDFMSSLYDFLDKGGGIAMLESPTGTGKTLSLICGAMQWVVDQRRKQRQPKPRRGPPTLNADPGEANHGGDDDEPQWMRDFDVTAADKKEIPRRRNSFALGYFDRKASIAKPMGGKKIPVEPVDDEEEYLVEEYESEEEGMGNGVGRPKRKGGRGSNWSSSDEEADEEEEEEEVTPQVYFSSRTHSQLSQFVKEFKRTAFASELNVICLASRKNLCNNSEVLKLGNTNQINERCLELQKNQKDAKMKVLNDKGKARCTKIASGCPMLRKQKLQNLFKSEVRDRGAMDIEDLVQLGSKIGSCPYYGARNMVRSSDIVVLPYQSLLLRSARESLGLNLRRNIIIIDEAHNLADSFTSMYNAKITQMQLKQVLCNLEFYLDKFRGRLGAGNRRYIQTLIMLIRSFLQLLLQFHGIDSCRNEQELKGKLGDTSMTINEFLFSLNIDNINFVKLHRYMKESKIIYKVSGYGTKLAGLQRDSIHSDSQGNDTEGSILSGFQALADIIISLANNDRDGRILLSGLKLADQREEKYIKFVMLSGERIFSEILDQSYAVILAGGTLQPIEETRVRLFPSLSLEQVHFYTCNHIVPPESILPISVPRGPSGINFDFSYNSRSSLNMIEELGRLLCNLVAIVPEGVVVFFSSFDYERRVYDAWKASGLLSKILKKKIVYREPRSSNDIDLVLKKYKETIACCDRTPKSSGISGAVLLAVVGGKISEGINLSDGMGRCIIMVGLPYPSPDVELIERVKHIEGLGEAPLQRCSEPFSGIPYDDCKSGFEVLRSCKQRGKEYYENLCMKAVNQSIGRAIRHINDYAAVLLVDSRYACNSSNRSPSHPTNKLPLWIKQRFVSATQGYGEVHRLLHQFFQFNRKKMPK >Ma08_p25490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38302349:38309580:-1 gene:Ma08_g25490 transcript:Ma08_t25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQFDTKMNDLLSADGQEFFTSYDEVYESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNRPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVVIEELPSNVADLI >Ma10_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27409152:27414235:-1 gene:Ma10_g15200 transcript:Ma10_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLVPLSVVMVLIGVLRYFVSKLMRSHQAPDLKVVKEGQVILRARNLRAAAGFIPAKSFRARKIYYTNEENGLLHVPKGQAQNAQAQMFSDPNLAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTHRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMSGFGFDPTKSLGAEKDSIDIIQHDWALPKLEKRAEDVLKKLVK >Ma05_p26130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37854975:37856102:-1 gene:Ma05_g26130 transcript:Ma05_t26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSSSFSPTRRASSLLLS >Ma07_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9687774:9689928:1 gene:Ma07_g12840 transcript:Ma07_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRVRSSVDLELQIGSKPELQKRSTPTILTPGMMIFTCFMFLPLMVLLLGANRNPSFEWLLGVEITAGKGSKYGSSASFSEPPNDKLLGGLLSAGFDEASCLSRYQSALYRKQSNHTPSPYLVSRLRRYEALHRRCGPNTELYQKAIEQLKSNRSTEPTECNYVVWVPSDGLGNRIITIVSAFLYAVLTDKVLLVDLSDDLRDLFCEPFPGTSWILPSDFPVNNLESLFLNAPLRFRDVLGKKLDATDGSLPSYLYLHLTHDQRFSDHMFFCEEAQPLLQRTPWLLLRSNQYFVPALFRASQYEKELSLLFPMKSVVFHHLGRYLLHPANPVWGYITRYYDAYLGNAKEMVGLQIRIFEAAPISSDQMIAQILNCSIHEGLLPEINLTEPAQPITQRTQPKAVLLTTLYSGYFEKLRNMYYQYATTTGEAIAVYQPSHEEQQHTEKQNHNKKALMEMYLLSCSGALITSGYSTFGYVAQALGGLRPWILLRPDKGSSSCLRATSMEPCFHSPQGYQCIAERSSSNEAVGHVRECEDVPGGLKLVD >Ma11_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3255240:3292693:-1 gene:Ma11_g04160 transcript:Ma11_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSSTVAGEIRLLLQSVNDSNFDSIYRELCQFVDYGSEVSTLLLRRCLDQIIVKDGETSQLKRDLVTAVVRYLLDRPNFSTNLCEALDGMPISEGFLGDISNTLGFSVTEKIGIGLALSDCENPDLRMRGQNFCIAQIEELCANPSSILNSDQIQDIVMFLYRTEGLSKHMDSFTKILSLLQLKGCSFFLSAPLLTNDINAANNLRHLDLFSGCSDNDFDAVLAEIEKEMSMADIVTELGYGCTADALHCKEILSQFQPLNEVTVSKLIGTIVRTHSGLEDPLNIHATFCSALGSSLTSDSSSLNSWDFNVLVDTIKQLAPKTNWVLVMEKLDHEGFLLPDETAFSHLMSIYRSACQDPFPLHAICGSVWKNAEGQLSLLRYAVSAPTDVFTFAHCSRQLMYGDLAHFKHGNQAWCCLDLLDVLCQLAERGHASLVRLILDFPLSQCPEVLLIGVAHINTAYNLIQYEVSSSVFPVILKDSSRNNIFHHLWCINPNLVLRGFIETQIDSNNLLRIVDICQDLKILSSVLATTPFAFSIRLAAIASRKDHMNLENWLNENLSVYKDVFFEDCLKFLKEVLGDGTNDVSDGSLPQSRPAVLNAYRETCSVFFKVLQAHSGQLVSHQLFEEMKKLHISSPPKTQNAGTVGGAVSEGISDDIESEANAYFHQMFAGQLSVEAMVQMLARYKESSDKREQMVFECIIANLFEEYKFFPKYPDRQLKLVAVLFGSLIKHQLVTHLALGIALRGVLDALRKSVDSKMFMFGTKALEQFMDRLVEWPQYCNHILQISHLRGTHAELVSFIERELTRISSSQSESNSVNAMPVDQQQGSGTAATESVEASEASWHLMSSTSTQLGQQFSSLQLQQRHQGFLGERTKASTMSVSYTKPLLSHTGQSSFLSGSVESVTNQKPLQTTSSHHTASVTTTVSSSPGFLRARSATPAGMLRQPSYSTGFGAALNIETLVAAAERRDTPIEAPSSETQDKILFMINNISTSNMDAKAKEFGEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKSLNKEIVKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALRAREIDPKALIIEAYEKGLMIAVIPFTSKILEPCQSSVAYLPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLGVDMKEVKPTALLKDKIREVEGNPDFSNKDITAAQPPFVEVNSGLLPALNHVELQAEVNNSPHPTSHPNALTQYAAAPHLASNSVLEDDKMGVINMPERVPSAQGLTQVTPSPSPSPSPSPSPSPSPFSLSQLLTIIPNSDSYININPKLSSMGSHLQFHRIIQVAMDRAIREIVSPVIQRSVTIASRTTKELVLKDYAMETDDGVISRSAHLMVGTLAGSLAHVTCKEPLRVALSTHLRSLLQTLSVTTDRIDQIVQILTTDHLDLGCAVIENVASEKAVELIDGEIGPAFAAIRKQREAAGSAYFDAVTYAQGSFSRMPEALRPKPGRLSLSQQRVYDDFIKNIWQNQSGQNSTAVSLGVPGMGGSVNNSSLSRVYGSSSAPTSSNVYSTSQVVPFSSVAPPLDLISEETERGSAQLFSVSPTYGGSNDSLVQHGGEMNSVVAPAVTSPDLHMVDPAVVSKDLTAAVPPSPTPSIDRLGTVLPESLLSTGDALEKYQQFAQKLEAMISTDARDIEIQGVVAEVPDIILKCESRDEAALAVAQKVFKSLYENASNALHIASHLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKEITVGLIRSELLNLAEYNIHLAKLIDGGRNKAATEFAISLVQTLVVQEPGVSMSELYNLIDALTKLAMKPGSPESLQQLVEIARSNVSSPNVAANKEEKTRQFKDKKVLSGRSLTNKEELDSNEPALADPAGFRDQVAAYFADWCRLCELPAANESTYTHYISQLQQNGLLKGDDITDRFFRILTELSVTYCQVVHEQPQQLSFFSIDSYAKLVVLILKYSSVDQGATKAVLLPKILSVTIRVIQKDAEEKKLSFNPRPYFRLFINWLLDLTSPDVVDSANFQVLTSFANAFHALQPLKVPGWSFAWLELVSHRSFMPKLLTCNSPKGWPFFQRLLVDLFKFMEPYLRNAELSEPVQFLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISQPPRILSDVDGALKAKQIKAEIDDYLKTAEGSSFLSELKQRLLLPQSEANLAGTRYNVPLINSLVLYIGMQAIQLLQSKSTAQHPSTQQVNHSPPMDLFLVSTPMDIFQSLINNLDSEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAEAKQDIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYDFWNRSFTRSAPEIEKLFESVSRSCGGPKTVNDGMVSAGIRDGGH >Ma11_p04160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3255240:3292693:-1 gene:Ma11_g04160 transcript:Ma11_t04160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSSTVAGEIRLLLQSVNDSNFDSIYRELCQFVDYGSEVSTLLLRRCLDQIIVKDGETSQLKRDLVTAVVRYLLDRPNFSTNLCEALDGMPISEGFLGDISNTLGFSVTEKIGIGLALSDCENPDLRMRGQNFCIAQIEELCANPSSILNSDQIQDIVMFLYRTEGLSKHMDSFTKILSLLQLKGCSFFLSAPLLTNDINAANNLRHLDLFSGCSDNDFDAVLAEIEKEMSMADIVTELGYGCTADALHCKEILSQFQPLNEVTVSKLIGTIVRTHSGLEDPLNIHATFCSALGSSLTSDSSSLNSWDFNVLVDTIKQLAPKTNWVLVMEKLDHEGFLLPDETAFSHLMSIYRSACQDPFPLHAICGSVWKNAEGQLSLLRYAVSAPTDVFTFAHCSRQLMYGDLAHFKHGNQAWCCLDLLDVLCQLAERGHASLVRLILDFPLSQCPEVLLIGVAHINTAYNLIQYEVSSSVFPVILKDSSRNNIFHHLWCINPNLVLRGFIETQIDSNNLLRIVDICQDLKILSSVLATTPFAFSIRLAAIASRKDHMNLENWLNENLSVYKDVFFEDCLKFLKEVLGDGTNDVSDGSLPQSRPAVLNAYRETCSVFFKVLQAHSGQLVSHQLFEEMKKLHISSPPKTQNAGTVGGAVSEGISDDIESEANAYFHQMFAGQLSVEAMVQMLARYKESSDKREQMVFECIIANLFEEYKFFPKYPDRQLKLVAVLFGSLIKHQLVTHLALGIALRGVLDALRKSVDSKMFMFGTKALEQFMDRLVEWPQYCNHILQISHLRGTHAELVSFIERELTRISSSQSESNSVNAMPVDQQQGSGTAATESVELGQQFSSLQLQQRHQGFLGERTKASTMSVSYTKPLLSHTGQSSFLSGSVESVTNQKPLQTTSSHHTASVTTTVSSSPGFLRARSATPAGMLRQPSYSTGFGAALNIETLVAAAERRDTPIEAPSSETQDKILFMINNISTSNMDAKAKEFGEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKSLNKEIVKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALRAREIDPKALIIEAYEKGLMIAVIPFTSKILEPCQSSVAYLPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLGVDMKEVKPTALLKDKIREVEGNPDFSNKDITAAQPPFVEVNSGLLPALNHVELQAEVNNSPHPTSHPNALTQYAAAPHLASNSVLEDDKMGVINMPERVPSAQGLTQVTPSPSPSPSPSPSPSPSPFSLSQLLTIIPNSDSYININPKLSSMGSHLQFHRIIQVAMDRAIREIVSPVIQRSVTIASRTTKELVLKDYAMETDDGVISRSAHLMVGTLAGSLAHVTCKEPLRVALSTHLRSLLQTLSVTTDRIDQIVQILTTDHLDLGCAVIENVASEKAVELIDGEIGPAFAAIRKQREAAGSAYFDAVTYAQGSFSRMPEALRPKPGRLSLSQQRVYDDFIKNIWQNQSGQNSTAVSLGVPGMGGSVNNSSLSRVYGSSSAPTSSNVYSTSQVVPFSSVAPPLDLISEETERGSAQLFSVSPTYGGSNDSLVQHGGEMNSVVAPAVTSPDLHMVDPAVVSKDLTAAVPPSPTPSIDRLGTVLPESLLSTGDALEKYQQFAQKLEAMISTDARDIEIQGVVAEVPDIILKCESRDEAALAVAQKVFKSLYENASNALHIASHLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKEITVGLIRSELLNLAEYNIHLAKLIDGGRNKAATEFAISLVQTLVVQEPGVSMSELYNLIDALTKLAMKPGSPESLQQLVEIARSNVSSPNVAANKEEKTRQFKDKKVLSGRSLTNKEELDSNEPALADPAGFRDQVAAYFADWCRLCELPAANESTYTHYISQLQQNGLLKGDDITDRFFRILTELSVTYCQVVHEQPQQLSFFSIDSYAKLVVLILKYSSVDQGATKAVLLPKILSVTIRVIQKDAEEKKLSFNPRPYFRLFINWLLDLTSPDVVDSANFQVLTSFANAFHALQPLKVPGWSFAWLELVSHRSFMPKLLTCNSPKGWPFFQRLLVDLFKFMEPYLRNAELSEPVQFLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISQPPRILSDVDGALKAKQIKAEIDDYLKTAEGSSFLSELKQRLLLPQSEANLAGTRYNVPLINSLVLYIGMQAIQLLQSKSTAQHPSTQQVNHSPPMDLFLVSTPMDIFQSLINNLDSEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAEAKQDIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYDFWNRSFTRSAPEIEKLFESVSRSCGGPKTVNDGMVSAGIRDGGH >Ma09_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2772290:2778357:1 gene:Ma09_g04210 transcript:Ma09_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLRCIQSAAAILAESKGTRRCSTAVSARSPKGDQALGLPSRPKKVNRGSCILPPLHAAPEPIGSTSAISPESLTGSLESVEVLDLTGKAIRITDLWRDRKAVIAFARHFGCVFCRKRADLLASKKELMDSAGVALVLVGPGSTEQAKAFVEQTKFKGEVYADPTHSSYDALNFAYGVSTTFSPSAGMKIVQLYLEGYRQDWGLSFKKDTVARGGWQQGGIIVAGPGVSNILYIHKDKEAGDDPDVEEVIEACCS >Ma09_p04210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2772290:2778357:1 gene:Ma09_g04210 transcript:Ma09_t04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLRCIQSAAAILAESKGTRRCSTAVSARSPKGDQALGLPSRPKKVNRGSCILPPLHAAPEPIGSTSAISPESLTGSLESVEVLDLTGKAIRITDLWRDRKAVIAFARHFGCVFCRKRADLLASKKELMDSAGVALVLVGPGSTEQAKAFVEQTKFKGEVYADPTHSSYDALNFAYGVSTTFSPSAGMKIVQLYLEGYRQDWGLSFKKDTVARGGWQQGGIIVAGPGVSNILYIHKDKEAGDDPDVEEVIEACCS >Ma07_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4910116:4933929:1 gene:Ma07_g06840 transcript:Ma07_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSLTGALQYLEAQRHAQPELADWYSAFADLYQRKLWHQLTLKLEQFVRLADLAFDLSLSALLGDNVFNFGELLAHPIINSLTGTNVEWLYHILHAFNSGNLLRYQELCRVHNIALCAQPALVENEKKLLEKINILCLMEIISSRPSEDRTIPLSVIAERTKLSIEDVEYLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIPQISSLRDRLDTWVGKVRQALLSVEAETPDLVACA >Ma06_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8785770:8792960:-1 gene:Ma06_g12720 transcript:Ma06_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSLIPASVLRNLTDKQYEKRKKAAVTIQDIVGKLAYEQEVKKILAVINILATEFTDNPQPDRRKGGLKGLAAVAVGLKEKAPAYLEEIVPPVLNRISDEDSTVRYIASETLYNVAKAVRGRIIIYFDKIFDALCKLSDDSDTIVQSGAHLLDNLLKDIATESDQFSLEEFVPLLRERMDIINPHVRQFLLGWITVLNNVPDMDMLCFLPDYLDGLFNMLSDSSPDVRQQAHCALSEFLQEIKNTPTSDYGRMAKVLVQRAGSPDDYTRLTSFTWMNEFVKHGADHLIPCYSDILGAVLPCISDKEKEIREIARETNKELRTIIASPAEGVNIGAVLTIARSGLTSQASTTRVAALHWIATLLDRHWNEVISFLNDIFSSLLAALSDPSDEVVILVLEVHACLAEDSQNLGHLIDHLVQTFRNDHALLEKRGALIVRRLCVRLDAEQVYREFSSKIEREDDLDFASNMVQALNFTLLTSSELAELRILLKQSLVESSSMDLFVSLYSPWCHSPVATISLCLLAQAYNHASSVIQLLEEEDITAKFSEQLGKLVYLLETPVFASLRLQLVEPDKHVWLLKTLYGLLMLLPQQSAAFKIFRTRLKTVPSYIFNNEQLKHPCNQVSEITDASGDQVDANVYDKINFPSKLQQFQQTLRRHRFSLQSQKSASSSKSQVSTSFYGFAFPLVARSLVGLLVLGVI >Ma01_p02690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1790550:1794342:-1 gene:Ma01_g02690 transcript:Ma01_t02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCATSRLGGSGEEDDPVALCRERKRLLKAAVERRYALAAAHAAYVRSLNAVASAIDLFVVRHSAPTAILVTLPDADVDPSPPSSSSAFLPHTPREANAESLECPGSLPLSPSSDSSSDDDVEGVEATGVAEEQEDGRGGEMGFGYFFSAAAPLPPSPSPEVFGWDFFNPFDGMQTTVEAVAMVGSLDRSSDEDLRLVREEEGIPELEEVEDRKTTEESEKVVALGAEDKGHCDGRVQVEVAGSSSGGGEEKGLSVAEMQGSGRELLQALRDVEDHFIRAHDSGKEVSRMLEANMVLLQSGLEEIKENSSKMIQAITWHRSPSSLSSSYWSHLASSSSSTTWSESKSDLFDDYGGMESGSHSQTLGRLYAWEKKLYEEVKAGDQIRQAYEKKCLQLRNQNAKGVESRSVDKTRAAVRDLYSRIWVALRAVESIAERIQKLRDEELQPQIIELLQGLMGSWKVMLESHEAQKQIMFEVNLFTCPSYGKYSNDSQRLATLTLVAELHHWRRYFRGYVSAQKAYVEALDGWLSKFILPDMEFYSRTRSSLSHMASGPPLVVICHQWSTSLGKLRDSAVTYSMRSFARTVRFLSVKQVEEQQQKKKVDALTKELERRVLAFQKAEDKVLDLKLSENEPEHLAGKKEMLDMLRKKLEMEKEKHRNCMRATQEVTLNGFKIGLASAFESLTEFAKDSLKLYDELLSRNEKAKAAIETTGKPSFTEGSSSELEVNSR >Ma08_p21520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35386109:35387070:1 gene:Ma08_g21520 transcript:Ma08_t21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARYWMRMTSGPRLSTQIPGLIVGSACNESWEEKAFAEDTAGHLGGCVWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRAKLRESASLSEEAAEEQRQPQGSCSSHHQKDLDRGHPVLSFPFFIYHSGGRKGVLASFHDYASGSTTCAGTKA >Ma08_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35386113:35387418:1 gene:Ma08_g21520 transcript:Ma08_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARYWMRMTSGPRLSTQIPGLIVGSACNESWEEKAFAEDTAGHLGGCVWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRAKLRESASLSEEAAEEQRQPQVSPLALGAAANPNPSSGVLASPVSPRVPAPVTTRRIWIEDTLFSPSLSSSTIREDVKEYSLLSTTTPLDLLLVPELKLRAEDLGSKEFGLRRWRDIQVCDEEANRKKRRRCDHPTPAFFLRASSAEQQQDRFEVLNPITVEDLDLELRLGDAPLKIK >Ma03_p12400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9559590:9560460:1 gene:Ma03_g12400 transcript:Ma03_t12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADGRSRGTVKWFNSTKGFGFISPDDGGEDVFVHQSSIKAEGFRTLAEGEVVEFLITEGDDGRAKAVDVTGPDGSNVQGGGGGGGGRRDGYGGGRGSGARGGVYGGGYGFNGGGWGGGWRGRGGGGFGGGGACYNCGESGHIAKDCYQGGSGGGGGGGGVCFSCGEMGHLARDCYQASGGGGGGVRYGGGGSGTDRSCFNCGEMGHFARECPAKN >Ma07_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7785754:7788995:-1 gene:Ma07_g10460 transcript:Ma07_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAFGFSDYTDFCYLIASMGLQTFVFVVGDWVTATLSLAAACSSAGVTVLFVKDVNFCSKYHEFSCGRYQISTAMAFITCLLPAKTSLLMFWILASV >Ma08_p26690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39190388:39193974:1 gene:Ma08_g26690 transcript:Ma08_t26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin [Source: Projected from Oryza sativa (Os02g0227200)] MEVGSGSYRRREPFWASAMKPTVIASPNENKISDSQSTVREAAYSGFKTAAISGAIAAVPTLVGCRVIPWAKANLNYTAQALIISAASVAGFFITADKTILQNARGNSIGKYDKST >Ma04_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10588875:10590953:-1 gene:Ma04_g14010 transcript:Ma04_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLKQVWVPGPLIVGAGPSGLATAACLKDRGVPSLILEKETCIAPSWKLRTYERLRLHLPRQYCELPFMAFPPDLPTYPTKQQFVSYLDAYVEHFDIKPLFGVEVGHAEYDPSTGFWRVQTNDLEFICRWLVVAAGENAEAVWPDIRGISKFRGRVLHTSCYVKGDDHRGEKVLVVGCGNSGMEVALDLCYNDAKVSMVVRDKLHILPRELLGISTFGLSMFLLKWIPVEAADAFLLFCARLILGDTGKYGIKRPKVGPLELKSTTGKTPVLDIGTLAKIKSGQIKVVPDVKQFTDTGVDFVDGTHEDFDSIILATGYRSSVTSWLKDEEFFSQKNGFPGTSFPNSWRGNNGLYATGFTRRGLLGAAMDAQKIAQDISNHWSCRS >Ma03_p16470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19042416:19050003:-1 gene:Ma03_g16470 transcript:Ma03_t16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRSRRRGGAGLGGGAAVSKKGAAGDSAYADVFGGPPRFAAPYATRLDDYAEIFGDLASTCSIPFLDIPPAVDGCDRGAGFDYSEVFGGLDFGEFAAPYEELFVAPKREEALTTNGRTLEEATCNQQEMEEFKFPPRHSNGDHMACQEGDVPSSNSNPSDSVSMQFNVLYNKTIQESKEGAMNGKMHTTQLHDDPELSSVIDTGKPFRIFGDDGPRSMLNDGIDDGKHQNKSQAMFSCNNSRSSENYSRADQRHSTNKYPVLENGHANASYHSLSLSSSISSGDVWSSDITYLTVSDINLRTQPVRVPPPSRPPPRLFGKQGHPKPRISSSPKIGVEGASLAKPVANEEYFHVHQEDVKDSSSSFFDVEVDASSAAAASAAAMKEAMELAQAKLRSAKELMEKKRDNLQNSKKLDHHESVKHKERKLGQPFTGQKGLENMLVVLETKNVMGAAKQTPLYEENKEDVVLTEEDGHTRLGSSKLHGKSADKAEKWNTNKEFYELVNSEKYKMTEEVTDREGSMKKTNIMTLVCEVKQNESEKDALAFEIESNKKLRKDDVAQVACGSEDNAKPEAHNPYVEEVDLPEVHNLHDREVTKTLHAGESSLFAGSEKKVEPSDNSKLHYSCDDKGVKGKLEASVEALEGENSFRFVEINGSKEEKGKSEAAKPQGGKYEKEINIDNIDPEPSKGGEKLIAASVTDAQEESNIHVPHGSCILTGETANGTSKCTDSKKRREGMQCVAEIVSQLEGTIIVHEPENREGLHVKQPSHLSTENELKIKVDQEAGYLEEDSKKWKSTVGAATCKEEEKLIKATKGDCWQDCNGNKANAGQPELEQRDKKENATPESCNLENSKGQKIYGRDLRDSGNEDIIEIQVEPHFMNKVMSMNAIPVICIRPLSMTSDVQPANLSEKGDNVSYLSLVAMESQPVASQDIILDIKEREEQQEKVEEESEQMEHTRKLEEEQEKERIQEEEKKRLLEEAKEMERKLEEEKVRARLLEEANNKDRRLKEEKERTKLLEEAKERERKLEEEKEQAKLLEEAKERQRKLEEEKERARLLEEEKERERKVEEERTRVLKEANERERKLEEEKKRMRLLEEAKEKERKLEEERTKILEEAKDRERKLEEEKERARLLEEEKEREREREKDRLAVERATREAHDRAFTDARERAERIAAERVTSEAWQRAHTREKAEKATSEALEKSLTEKAAREARLRAERAAVERATAEARERAVERALAEKAAADARERAERCNATSRDRTRKENVTEEHLRARDKDATQDSHFRSTGSSYQANSDSDDQAGAGESALRCKARLERHNRIAERAAKALAEKNMRDILAQREQAERNRLAEYLDADIKRWSSGKEGNLRALLSTLQYILSPESGWQPIPLTDVITASAVKKAYRKATLCVHPDKLQQRGANIQQKYVCEKVFDLLKEAWNRFNSEER >Ma03_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19042416:19050003:-1 gene:Ma03_g16470 transcript:Ma03_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRSRRRGGAGLGGGAAVSKKGAAGDSAYADVFGGPPRFAAPYATRLDDYAEIFGDLASTCSIPFLDIPPAVDGCDRGAGFDYSEVFGGLDFGEFAAPYEELFVAPKREEALTTNGRTLEEATCNQQEMEEFKFPPRHSNGDHMACQEGDVPSSNSNPSDSVSMQFNVLYNKTIQESKEGAMNGKMHTTQLHDDPELSSVIDTGKPFRIFGDDGPRSMLNDGIDDGKHQNKSQAMFSCNNSRSSENYSRADQRHSTNKYPVLENGHANASYHSLSLSSSISSGDVWSSDITYLTVSDINLRTQPVRVPPPSRPPPRLFGKQGHPKPRISSSPKIGVEGASLAKPVANEEYFHVHQEDVKDSSSSFFDVEVDASSAAAASAAAMKEAMELAQAKLRSAKELMEKKRDNLQNSKKLDHHESVKHKERKLGQPFTGQKGLENMLVVLETKNVMGAAKQTPLYEENKEDVVLTEEDGHTRLGSSKLHGKSADKAEKWNTNKEFYELVNSEKYKMTEEVTDREGSMKKTNIMTLVCEVKQNESEKDALAFEIESNKKLRKDDVAQVACGSEDNAKPEAHNPYVEEVDLPEVHNLHDREVTKTLHAGESSLFAGSEKKVEPSDNSKLHYSCDDKGVKGKLEASVEALEGENSFRFVEINGSKEEKGKSEAAKPQGGKYEKEINIDNIDPEPSKGGEKLIAASVTDAQEESNIHVPHGSCILTGETANGTSKCTDSKKRREGMQCVAEIVSQLEGTIIVHEPENREGLHVKQPSHLSTENELKIKVDQEAGYLEEDSKKWKSTVGAATCKEEEKLIKATKGDCWQDCNGNKANAGQPELEQRDKKENATPESCNLENSKGQKIYGRDLRDSGNEDIIEIQVEPHFMNKVMSMNAIPVICIRPLSMTSDVQPANLSEKGDNVSYLSLVAMESQPVASQDIILDIKEREEQQEKVEEESEQMEHTRKLEEEQEKERIQEEEKKRLLEEAKEMERKLEEEKVRARLLEEANNKDRRLKEEKERTKLLEEAKERERKLEEEKEQAKLLEEAKERQRKLEEEKERARLLEEEKERERKVEEERTRVLKEANERERKLEEEKKRMRLLEEAKEKERKLEEERTKILEEAKDRERKLEEEKERARLLEEEKEREREREKDRLAVERATREAHDRAFTDARERAERIAAERVTSEAWQRAHTREKAEKATSEALEKSLTEKAAREARLRAERAAVERATAEARERAVERALAEKAAADARERAERCNATSRDRTRKENVTEEHLRARDKDATQDSHFRSTGSSYQANSDSDDQGAGESALRCKARLERHNRIAERAAKALAEKNMRDILAQREQAERNRLAEYLDADIKRWSSGKEGNLRALLSTLQYILSPESGWQPIPLTDVITASAVKKAYRKATLCVHPDKLQQRGANIQQKYVCEKVFDLLKEAWNRFNSEER >Ma03_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9746703:9747594:-1 gene:Ma03_g12640 transcript:Ma03_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERIGRRKWRSLKKRLALMGCCVSSWGFRASNPTIITDEIYPERPEEEVVVGMGGATSAEEMNLAAALAAERNYRAASVAEVGRVEGKRTEAPMPVSLMSEFHAPSESIVLVCTGLQARPTHINIRTI >Ma04_p29790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30621404:30624368:1 gene:Ma04_g29790 transcript:Ma04_t29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAKPMSASSSSRSTGITSPYNELFWCERL >Ma01_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8842891:8843250:1 gene:Ma01_g12180 transcript:Ma01_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSPGGTAWNSMSPASPSPMSRQRRGTSTCGSWASSFPPGTLDCASSATCRFLTSPAPSNPTSSASSTPSTGSFPRPNPPASPSPAPPPSASPSTSPISPASSPSSSPTASPRSVSP >Ma11_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19076620:19079975:-1 gene:Ma11_g13940 transcript:Ma11_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSLTGTTELDIGTFWSAIGKCAVMSRCFPYPPPGYEKKAKNVARSDYVYLLAKEKHNEKEHKKENRDTEKREGKKEGTKTKEKTNTKKREIEEKGTRTRKRRIGTRVDAGHIMIGSRNRFNQTELSVTPVVSSKEALMDPVLKLQSLWKELQVLESFLLLCILCLGETMGWTADKLPSLIQSHTEASGSANAVKKERNTANLVPDFIYLGQIGNGGNEQPVDSRVSLQQRSDGPYITTAVEKENCK >Ma04_p23770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25878622:25882768:1 gene:Ma04_g23770 transcript:Ma04_t23770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASINGFPLELGVRGVGGGTLDAECVEVNPNGRYIRYKEILGRGAFKTVYKAFDEIDGIEVAWNQVCLDEVLQSPDNLERLYSEVYLLKSLMHENIIKFYDSWVDHQNKTINIITELFTSGSLRQYRKKHKHVDMKAIKSWARQILRGLEYLHNHKPPILHRDLKCDNIFVNGNHGEVKIGDLGLAIVMQQPRARSVIGTPEFMAPELYEEEYDELVDIYSFGMCMLEMITLEYPYSECKNPAQIYKKVTSGIKPAALAKVKDPQARQFIEKCLVSAAKRLPAKELLKDPFLQINSTKEPPTDPIHVPNNIQNMDNPISRPLSMEIDSDYKSIPTSTCTENFNLTALTPALEFQRTNRNNEFKLKGEKIDDNSVSLILRIADTYGRVKNIHFLFYLDSDTALAVAAEMVEQLDLSDYDVVFIADFIDFLIMKFVPGWIPSTNHSSSENISPCKRYDAYDNNELHSECPSNTLKSSADSGRSYDHAELSQLNLGISGQLAEVDDGISYKKMYEAMATAGCNLLWSGVDGADKGSHMSANSVVSGITKSLSEYTTDVDSKGDRGVGEIAEELPLSGNVNNLEPSIYHVGEHHSSSYLLKASSSLSLTDKDQCELRAELDLIEAQYQRCFYELSRMREEAMENARKRWFARKSGG >Ma04_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25878622:25882768:1 gene:Ma04_g23770 transcript:Ma04_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASINGFPLELGVRGVGGGTLDAECVEVNPNGRYIRYKEILGRGAFKTVYKAFDEIDGIEVAWNQVCLDEVLQSPDNLERYRKKHKHVDMKAIKSWARQILRGLEYLHNHKPPILHRDLKCDNIFVNGNHGEVKIGDLGLAIVMQQPRARSVIGTPEFMAPELYEEEYDELVDIYSFGMCMLEMITLEYPYSECKNPAQIYKKVTSGIKPAALAKVKDPQARQFIEKCLVSAAKRLPAKELLKDPFLQINSTKEPPTDPIHVPNNIQNMDNPISRPLSMEIDSDYKSIPTSTCTENFNLTALTPALEFQRTNRNNEFKLKGEKIDDNSVSLILRIADTYGRVKNIHFLFYLDSDTALAVAAEMVEQLDLSDYDVVFIADFIDFLIMKFVPGWIPSTNHSSSENISPCKRYDAYDNNELHSECPSNTLKSSADSGRSYDHAELSQLNLGISGQLAEVDDGISYKKMYEAMATAGCNLLWSGVDGADKGSHMSANSVVSGITKSLSEYTTDVDSKGDRGVGEIAEELPLSGNVNNLEPSIYHVGEHHSSSYLLKASSSLSLTDKDQCELRAELDLIEAQYQRCFYELSRMREEAMENARKRWFARKSGG >Ma05_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10093280:10094111:-1 gene:Ma05_g13880 transcript:Ma05_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEDKPVRSPAAAMEEEVPAGLTAEEYAEIRPRIESHHRYRVGQGQCSSLLAQRVRAPAATVWSVIRRFDQPQAYKHFIRSCVVKGGGEIRPGCLRDLCVVSGLPASTSTERLDLLDEDLRVTGFSIVGGEHRLRNYRSVTTVDDLRGCGEEIWAVVLESYAVDVPDGNTEDDTRFFADTVVRLNLQKLATVAEAMAAAEPSPSQPPAEKGN >Ma03_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1078296:1080800:1 gene:Ma03_g01550 transcript:Ma03_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQSVIVPEELAVTTVMAVVADPAAHRSALLQPRSSALGVRCARYKRFLSQLDMGSGGGGGARISAWVDSMRASSPTHVKAAAMLSASFAASHDEDYNNRVKQHPSALSVFEDIVAASKGKQIVVFLDYDGTLSPIVDDPDRAFISNAMKETVRDVARHFPTAIVSGRRRDKVFSFVRLRELYYAGSHGMDIKGPTKRTKHTKAKTKAVLFQPATEFLPMIDEVYKALMEKTKLIQGSRVENNIFTLSVHFRCVDEKIWSSLAELVRSVLKDYPKLRLTMGRKVLEIRPSIKWDKGKALEFMLESLGLADSGNVFPVYIGDDRTDEDAFKVLHGRRQGLGILVSEVIRETSARCSLRQPAEVQKFLRRLVEWKRSASLPRRTEARKK >Ma05_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5692907:5699928:1 gene:Ma05_g07770 transcript:Ma05_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERGPTSDDQRVHWKNYYKNLLLLAYQSFGVVYGDLSTSPLYVYKSSFSGKMYKYQNEQTVFGLFSLIFWTLTLIPLLKYVVIVLSADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSTYYRNGPRSVITSPLKRFLERHKKLRTCLLLIVLFGACMVIGDGVLTPAISVLSSISGLQVRAKELHDGEVVLVACLVLVGLFSLQHRGTQRVAFMFAPIVIIWLLCIGVIGLYNTIHWNPKIYHALSPLYVIKFFQQTGKDGWISLGGVLLSITGTEAMFADLGHFTQASIRVAFVGVIYPCLVLQYMGQAAFLSKNFNNISTSFYASIPQPFFWPVFVVSTLAAIVASQAVISATFSIVKQCLALGCFPRVKVVHTSRWIYGRIYIPEINWILMVLCLAVTIGFRDTTLIGNAYGIACMTVMFVTTWLIALVMVLVWQKNIIFSLLLLLFFGSIEAVYLSSSLMKVPQGGWAPLVLSFVFMVVMYVWHYGTRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPAIFSHFITNLPAFHQVLVFVCVKSVPVPFVPPDERYLIGRIGPRAYRMYRCIVRYGYKDVQKDENFENLLALSIAKFIQMEAEEASSGSYDTSPEGRMAVIRTSDTTGTTLVMRDADQLAGESTMIRSSKSETLQSLQSLYEQESPSVSRRRRVRFELPETEYIDPQVKEELLALVEAKQAGVAYILGHSYIKARKTSSFMKKFIINVAYSFLRKNCRGPAVALSIPHISLIEVGMIYYV >Ma05_p07770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5692921:5699928:1 gene:Ma05_g07770 transcript:Ma05_t07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERGPTSDDQRVGSRGVHWKNYYKNLLLLAYQSFGVVYGDLSTSPLYVYKSSFSGKMYKYQNEQTVFGLFSLIFWTLTLIPLLKYVVIVLSADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSTYYRNGPRSVITSPLKRFLERHKKLRTCLLLIVLFGACMVIGDGVLTPAISVLSSISGLQVRAKELHDGEVVLVACLVLVGLFSLQHRGTQRVAFMFAPIVIIWLLCIGVIGLYNTIHWNPKIYHALSPLYVIKFFQQTGKDGWISLGGVLLSITGTEAMFADLGHFTQASIRVAFVGVIYPCLVLQYMGQAAFLSKNFNNISTSFYASIPQPFFWPVFVVSTLAAIVASQAVISATFSIVKQCLALGCFPRVKVVHTSRWIYGRIYIPEINWILMVLCLAVTIGFRDTTLIGNAYGIACMTVMFVTTWLIALVMVLVWQKNIIFSLLLLLFFGSIEAVYLSSSLMKVPQGGWAPLVLSFVFMVVMYVWHYGTRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPAIFSHFITNLPAFHQVLVFVCVKSVPVPFVPPDERYLIGRIGPRAYRMYRCIVRYGYKDVQKDENFENLLALSIAKFIQMEAEEASSGSYDTSPEGRMAVIRTSDTTGTTLVMRDADQLAGESTMIRSSKSETLQSLQSLYEQESPSVSRRRRVRFELPETEYIDPQVKEELLALVEAKQAGVAYILGHSYIKARKTSSFMKKFIINVAYSFLRKNCRGPAVALSIPHISLIEVGMIYYV >Ma11_p02960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2180341:2183879:1 gene:Ma11_g02960 transcript:Ma11_t02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLITNHNLSSADAAAALRSRLKPFRAAYDSCQRTRRWRSPKAAIIHTIHLPMRSLEFNRTSVDDIKCLRLITAIKTPYLPDGRFDLEAYDSLMHMQIVNGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGSSVKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSMQGMVSHFETVLSMGPTIIYNVPSRTGQDIPPAVIQQVSLNPNMAGVKECMGNDRIKDYVNKGITIWSGNDDECHDARWASGATGVISVASNLIPGLMHEIMFRGRNPSLKAKVMPLIKWLFREPNPIGLNTALAQLGVIRPVFRLPYVPLPLERRLEFLGIVEALGRENFVGEKDVQVLDDDDFVLLGRY >Ma04_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20500004:20500300:-1 gene:Ma04_g18520 transcript:Ma04_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCIPRARSNRPVTAHMSLLERIREVVFKLIMLSAMLKKNTGTGAQREKLRKASICASKPPDSYRSEAVEDCIEYVKRSAGVEKSSSGVGNDDDVVV >Ma01_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9599828:9600327:1 gene:Ma01_g13120 transcript:Ma01_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKQNKVGQTAVLKQILKRCSSLGREGKKEEEDEGLPVDVPRGHFVVYVGDNRSRFIVPISYLARPEFQSLLRQAEDEFGFHHHMGLTIPCDEVAFRSLTSALT >Ma08_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14809267:14809462:1 gene:Ma08_g14860 transcript:Ma08_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVSWIVGTSIGSGILALLEKTSPAGFIPSAISIIL >Ma10_p31270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37460870:37463946:-1 gene:Ma10_g31270 transcript:Ma10_t31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL28 [Source:Projected from Arabidopsis thaliana (AT1G24030) UniProtKB/Swiss-Prot;Acc:Q84M95] MPFNIVSAWNKRRRSKSHDHMDPWIYEPVDYWQLKGQKPSFGRRNCSSVFTLREMEEATSSFNEENLLGKGGFGRVYKGILRNGEVVAIKKMDLPPYKQTDGEREFRVEVDILSRLEHPNLVTLIGYCADGKDRFLVYEYMPNGNLQDYLNGIRETKMDWALRLKAALGAARALAYLHSSSAAGIPVVHRDFKSTNILLNEYFEAKISDFGLAKLMPNGEDIYATTKLLGTFGYFDPEYALTGKLTLQSDVYAYGVVLLELLTGRTAVELNRGPCEQNLLLQVRRLLNDRKKLRKVVDPDMAKSSYTMDSISMFVSLAARCVRMESSGRPSMAECVKELQLIICANTRV >Ma02_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26785794:26786294:-1 gene:Ma02_g21030 transcript:Ma02_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSPGSGLNPPRSNAFGPISVDVWHLLDGVPFGSSSLLPVPRPPSALLSEASALISTLVDWKETPESHVFIADLPGLKKEEVKVDVEGGRILQISGKKRDDEEDKTGTWHRVERTGGCFLRRFLLPENAKAEQARAAMADGVLTVTVPKEDVNKPHVKSIDISG >Ma09_p06430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4108265:4122398:-1 gene:Ma09_g06430 transcript:Ma09_t06430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDGKQERPPPAEGGDKPPKRKMKTPYQLEILENTYAVEAYPSEALRAELSVKTGLSDRQLQMWFCHRRLKDRKVQSARKRRREAESLPPTPPPPVLPPQSDMLSSESGGLGLSSSPYGSSGESRRAVTRTAAAVSRIGTEMSAVGRRYYDALLPPPPTHLAHLAVMECQILASVEAQLGEPLRPDGPVLGVEFDPLPPGAFGVPIETPTQEQQPVWPHGGHMFERHDTKMASSFLSSMEHRLPSSSKGKRKTAVGASHTVRLQMGPRALHEYQFIPKQPSVRSEALDRVSLSHYLESSSDAPNTKMTSLPSGGRYLHVNDHEGPSYTFQGQNLSADLLTQEGRQQAFPSVSVEYDNSLDGNSFPDPATDAQFGMGEVPGLENPYLSSYRRLDRKRKSDEVRIAKEVEAHEKRIRKEIEKQDILRRKREEQMQREMERHERERRKEEERVMRERQREEEKFQREQRRENERREKFLLKESCRAEKLRQKEELRREKEAARLKAARERATARRIAKEYMELIEDERLELLELAAANKGFSSIFALDSETLQQLDSFRSKLTAFPPSSVRLKRPFEIQPWADSDEKIANLLMVWKFLITFADVLGLWPFTLDEFVQSLHDYDSRLLGEVHVALLKSIIKDVEDVARTPAYTLGASQSCTVNPGGGHPQIVEGAHAWGFNIHSWQRHLNYLTWPEILRQFALSAGFGPQLKKRNVERVHFRNENEGNDGENIISTLRNGLAAENAVAILKVRGFTHRRKSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVRSPYRKDPADADAVISAAREKIQVFHSAISDSEEAEKDAEDVDDAERDEDSEGDAAAEPEVDDGGSDAKVDKHDHLTSELEHSRTLNLLGKEKGGAIVNAGKGPQMPSENTKTLSTSGVPQLPDINLNCREAGNGDMEDTEIDESNFGEPWVQGLSEGDYSELTVEERLNALVALVGVATEGNLIRAILEERLEAANALKKQMWAEAQLDKRRFREEYSNRLQGTAFGGYKVEATLTYGAGEESQTPLDGVDKGNNGQQFTSPDVLPVQHYGYAIEKSRSQLKSFIGYKAEQLHVYRSLPLGQDRRQNRYWQFTTSSSPNDPGSGRIFFESKDCHWLLIDSEEAFDALLATLDTRGIRESHLHSMLQRIETTFKEAIRRNKKAFKSSLLVRDHVKTVLTRTASSPDCSVELDSPSSTLCCLASDGLENSASFRIELGQNEFEKSAALRRYQDLFRWMWKECYNPCILYAMKYGKKRCSELLHTCDSCFQSFLAEEKHCPSCHKTFKTFHNPDAIFAEHVALCEQKRKSDPGWKLQVSDSSLPIGIRLLKAQLSMIEVSIPAEALQAFWTEGYRKSWGVKLHSSSSAEELFQILTLLEGAIRRDFLSSNFETTTELLSYNTPGVYTDNGASHSGSVPVLPWMPDTSAAVALRLLDLDSSISYMLHRKLESHKEKGDCTKLQSRYVVVHKMQEVEPMDTAGYDDHEGRWRDSVSGCRGRGRGSDGKRGRGRGQGGRRLRGSGSSSRSELRTENIDSFEKATRKYTRRGRGRGRGCGRGRGRGRRSSRPRQSSENWVDTVDKGSLLGSFIIANTSTDQARIEVSPESAGEEWAIGETGTAYVEDDASAGSESEENGQASGEDYDDQVIYSARDDHAASKPVGLIDDESEGDRERDEEGDEDDVDYDGNDLDDHMDEDEIGDTAERNTDEDDGASSFSTEYSD >Ma09_p06430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4108265:4122398:-1 gene:Ma09_g06430 transcript:Ma09_t06430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDGKQERPPPAEGGDKPPKRKMKTPYQLEILENTYAVEAYPSEALRAELSVKTGLSDRQLQMWFCHRRLKDRKVQSARKRRREAESLPPTPPPPVLPPQSDMLSSESGGLGLSSSPYGSSGESRRAVTRTAAAVSRIGTEMSAVGRRYYDALLPPPPTHLAHLAVMECQILASVEAQLGEPLRPDGPVLGVEFDPLPPGAFGVPIETPTQEQQPVWPHGGHMFERHDTKMASSFLSSMEHRLPSSSKGKRKTAVGASHTVRLQMGPRALHEYQFIPKQPSVRSEALDRVSLSHYLESSSDAPNTKMTSLPSGGRYLHVNDHEGPSYTFQGQNLSADLLTQEGRQQAFPSVSVEYDNSLDGNSFPDPATDAQFGMGEVPGLENPYLSSYRRLDRKRKSDEVRIAKEVEAHEKRIRKEIEKQDILRRKREMERHERERRKEEERVMRERQREEEKFQREQRRENERREKFLLKESCRAEKLRQKEELRREKEAARLKAARERATARRIAKEYMELIEDERLELLELAAANKGFSSIFALDSETLQQLDSFRSKLTAFPPSSVRLKRPFEIQPWADSDEKIANLLMVWKFLITFADVLGLWPFTLDEFVQSLHDYDSRLLGEVHVALLKSIIKDVEDVARTPAYTLGASQSCTVNPGGGHPQIVEGAHAWGFNIHSWQRHLNYLTWPEILRQFALSAGFGPQLKKRNVERVHFRNENEGNDGENIISTLRNGLAAENAVAILKVRGFTHRRKSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVRSPYRKDPADADAVISAAREKIQVFHSAISDSEEAEKDAEDVDDAERDEDSEGDAAAEPEVDDGGSDAKVDKHDHLTSELEHSRTLNLLGKEKGGAIVNAGKGPQMPSENTKTLSTSGVPQLPDINLNCREAGNGDMEDTEIDESNFGEPWVQGLSEGDYSELTVEERLNALVALVGVATEGNLIRAILEERLEAANALKKQMWAEAQLDKRRFREEYSNRLQGTAFGGYKVEATLTYGAGEESQTPLDGVDKGNNGNLDAISNEQFLETNQVNLGNMSIGQQFTSPDVLPVQHYGYAIEKSRSQLKSFIGYKAEQLHVYRSLPLGQDRRQNRYWQFTTSSSPNDPGSGRIFFESKDCHWLLIDSEEAFDALLATLDTRGIRESHLHSMLQRIETTFKEAIRRNKKAFKSSLLVRDHVKTVLTRTASSPDCSVELDSPSSTLCCLASDGLENSASFRIELGQNEFEKSAALRRYQDLFRWMWKECYNPCILYAMKYGKKRCSELLHTCDSCFQSFLAEEKHCPSCHKTFKTFHNPDAIFAEHVALCEQKRKSDPGWKLQVSDSSLPIGIRLLKAQLSMIEVSIPAEALQAFWTEGYRKSWGVKLHSSSSAEELFQILTLLEGAIRRDFLSSNFETTTELLSYNTPGVYTDNGASHSGSVPVLPWMPDTSAAVALRLLDLDSSISYMLHRKLESHKEKGDCTKLQSRYVVVHKMQEVEPMDTAGYDDHEGRWRDSVSGCRGRGRGSDGKRGRGRGQGGRRLRGSGSSSRSELRTENIDSFEKATRKYTRRGRGRGRGCGRGRGRGRRSSRPRQSSENWVDTVDKGSLLGSFIIANTSTDQARIEVSPESAGEEWAIGETGTAYVEDDASAGSESEENGQASGEDYDDQVIYSARDDHAASKPVGLIDDESEGDRERDEEGDEDDVDYDGNDLDDHMDEDEIGDTAERNTDEDDGASSFSTEYSD >Ma09_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4108265:4122398:-1 gene:Ma09_g06430 transcript:Ma09_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDGKQERPPPAEGGDKPPKRKMKTPYQLEILENTYAVEAYPSEALRAELSVKTGLSDRQLQMWFCHRRLKDRKVQSARKRRREAESLPPTPPPPVLPPQSDMLSSESGGLGLSSSPYGSSGESRRAVTRTAAAVSRIGTEMSAVGRRYYDALLPPPPTHLAHLAVMECQILASVEAQLGEPLRPDGPVLGVEFDPLPPGAFGVPIETPTQEQQPVWPHGGHMFERHDTKMASSFLSSMEHRLPSSSKGKRKTAVGASHTVRLQMGPRALHEYQFIPKQPSVRSEALDRVSLSHYLESSSDAPNTKMTSLPSGGRYLHVNDHEGPSYTFQGQNLSADLLTQEGRQQAFPSVSVEYDNSLDGNSFPDPATDAQFGMGEVPGLENPYLSSYRRLDRKRKSDEVRIAKEVEAHEKRIRKEIEKQDILRRKREEQMQREMERHERERRKEEERVMRERQREEEKFQREQRRENERREKFLLKESCRAEKLRQKEELRREKEAARLKAARERATARRIAKEYMELIEDERLELLELAAANKGFSSIFALDSETLQQLDSFRSKLTAFPPSSVRLKRPFEIQPWADSDEKIANLLMVWKFLITFADVLGLWPFTLDEFVQSLHDYDSRLLGEVHVALLKSIIKDVEDVARTPAYTLGASQSCTVNPGGGHPQIVEGAHAWGFNIHSWQRHLNYLTWPEILRQFALSAGFGPQLKKRNVERVHFRNENEGNDGENIISTLRNGLAAENAVAILKVRGFTHRRKSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVRSPYRKDPADADAVISAAREKIQVFHSAISDSEEAEKDAEDVDDAERDEDSEGDAAAEPEVDDGGSDAKVDKHDHLTSELEHSRTLNLLGKEKGGAIVNAGKGPQMPSENTKTLSTSGVPQLPDINLNCREAGNGDMEDTEIDESNFGEPWVQGLSEGDYSELTVEERLNALVALVGVATEGNLIRAILEERLEAANALKKQMWAEAQLDKRRFREEYSNRLQGTAFGGYKVEATLTYGAGEESQTPLDGVDKGNNGNLDAISNEQFLETNQVNLGNMSIGQQFTSPDVLPVQHYGYAIEKSRSQLKSFIGYKAEQLHVYRSLPLGQDRRQNRYWQFTTSSSPNDPGSGRIFFESKDCHWLLIDSEEAFDALLATLDTRGIRESHLHSMLQRIETTFKEAIRRNKKAFKSSLLVRDHVKTVLTRTASSPDCSVELDSPSSTLCCLASDGLENSASFRIELGQNEFEKSAALRRYQDLFRWMWKECYNPCILYAMKYGKKRCSELLHTCDSCFQSFLAEEKHCPSCHKTFKTFHNPDAIFAEHVALCEQKRKSDPGWKLQVSDSSLPIGIRLLKAQLSMIEVSIPAEALQAFWTEGYRKSWGVKLHSSSSAEELFQILTLLEGAIRRDFLSSNFETTTELLSYNTPGVYTDNGASHSGSVPVLPWMPDTSAAVALRLLDLDSSISYMLHRKLESHKEKGDCTKLQSRYVVVHKMQEVEPMDTAGYDDHEGRWRDSVSGCRGRGRGSDGKRGRGRGQGGRRLRGSGSSSRSELRTENIDSFEKATRKYTRRGRGRGRGCGRGRGRGRRSSRPRQSSENWVDTVDKGSLLGSFIIANTSTDQARIEVSPESAGEEWAIGETGTAYVEDDASAGSESEENGQASGEDYDDQVIYSARDDHAASKPVGLIDDESEGDRERDEEGDEDDVDYDGNDLDDHMDEDEIGDTAERNTDEDDGASSFSTEYSD >Ma09_p06430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4108265:4122398:-1 gene:Ma09_g06430 transcript:Ma09_t06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDGKQERPPPAEGGDKPPKRKMKTPYQLEILENTYAVEAYPSEALRAELSVKTGLSDRQLQMWFCHRRLKDRKVQSARKRRREAESLPPTPPPPVLPPQSDMLSSESGGLGLSSSPYGSSGESRRAVTRTAAAVSRIGTEMSAVGRRYYDALLPPPPTHLAHLAVMECQILASVEAQLGEPLRPDGPVLGVEFDPLPPGAFGVPIETPTQEQQPVWPHGGHMFERHDTKMASSFLSSMEHRLPSSSKGKRKTAVGASHTVRLQMGPRALHEYQFIPKQPSVRSEALDRVSLSHYLESSSDAPNTKMTSLPSGGRYLHVNDHEGPSYTFQGQNLSADLLTQEGRQQAFPSVSVEYDNSLDGNSFPDPATDAQFGMGEVPGLENPYLSSYRRLDRKRKSDEVRIAKEVEAHEKRIRKEIEKQDILRRKREEQMQREMERHERERRKEEERVMRERQREEEKFQREQRRENERREKFLLKESCRAEKLRQKEELRREKEAARLKAARERATARRIAKEYMELIEDERLELLELAAANKGFSSIFALDSETLQQLDSFRSKLTAFPPSSVRLKRPFEIQPWADSDEKIANLLMVWKFLITFADVLGLWPFTLDEFVQSLHDYDSRLLGEVHVALLKSIIKDVEDVARTPAYTLGASQSCTVNPGGGHPQIVEGAHAWGFNIHSWQRHLNYLTWPEILRQFALSAGFGPQLKKRNVERVHFRNENEGNDGENIISTLRNGLAAENAVAILKVRGFTHRRKSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVRSPYRKDPADADAVISAAREKIQVFHSAISDSEEAEKDAEDVDDAERDEDSEGDAAAEPEVDDGGSDAKVDKHDHLTSELEHSRTLNLLGKEKGGAIVNAGKGPQMPSENTKTLSTSGVPQLPDINLNCREAGNGDMEDTEIDESNFGEPWVQGLSEGDYSELTVEERLNALVALVGVATEGNLIRAILEERLEAANALKKQMWAEAQLDKRRFREEYSNRLQGTAFGGYKVEATLTYGAGEESQTPLDGVDKGQQFTSPDVLPVQHYGYAIEKSRSQLKSFIGYKAEQLHVYRSLPLGQDRRQNRYWQFTTSSSPNDPGSGRIFFESKDCHWLLIDSEEAFDALLATLDTRGIRESHLHSMLQRIETTFKEAIRRNKKAFKSSLLVRDHVKTVLTRTASSPDCSVELDSPSSTLCCLASDGLENSASFRIELGQNEFEKSAALRRYQDLFRWMWKECYNPCILYAMKYGKKRCSELLHTCDSCFQSFLAEEKHCPSCHKTFKTFHNPDAIFAEHVALCEQKRKSDPGWKLQVSDSSLPIGIRLLKAQLSMIEVSIPAEALQAFWTEGYRKSWGVKLHSSSSAEELFQILTLLEGAIRRDFLSSNFETTTELLSYNTPGVYTDNGASHSGSVPVLPWMPDTSAAVALRLLDLDSSISYMLHRKLESHKEKGDCTKLQSRYVVVHKMQEVEPMDTAGYDDHEGRWRDSVSGCRGRGRGSDGKRGRGRGQGGRRLRGSGSSSRSELRTENIDSFEKATRKYTRRGRGRGRGCGRGRGRGRRSSRPRQSSENWVDTVDKGSLLGSFIIANTSTDQARIEVSPESAGEEWAIGETGTAYVEDDASAGSESEENGQASGEDYDDQVIYSARDDHAASKPVGLIDDESEGDRERDEEGDEDDVDYDGNDLDDHMDEDEIGDTAERNTDEDDGASSFSTEYSD >Ma08_p33250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43640745:43644169:-1 gene:Ma08_g33250 transcript:Ma08_t33250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLLNENASSSLDGSLDVSFSEDGRSGTFMIGDERFPASLLDLPCIVESYKTYDDNVLIKTADVGQMIMVRDEGDAAVEGVEYKHGLTPPMRDARRRRFRREPDLNPEVVQRVEKDLLNIMSGGTVENVETVVPEAGGQRKKNAPVAAPKPDEVHVKSAGGEPERSDSDDSLEPES >Ma08_p33250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43640745:43644169:-1 gene:Ma08_g33250 transcript:Ma08_t33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLLNENASSSLDGSLDVSFSEDGRSGTFMIGDERFPASLLDLPCIVESYKTYDDNVLIKTADVGQMIMVRDEGDAAVEGVEYKHGLTPPMRDARRRRFRREPDLNPEVVQRVEKDLLNIMSGGTVESLHVETVVPEAGGQRKKNAPVAAPKPDEVHVKSAGGEPERSDSDDSLEPES >Ma08_p34080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44159865:44160405:1 gene:Ma08_g34080 transcript:Ma08_t34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELSVALLLLKADATVTIIHSHAHDSKSIIREADIVIAAAGQAKMIEGDWIKSGAAVIDVGTNAIDDPSRISGYRVVGDVDFEEASKVAGWLTPFPGGVGPMTVAMLIKNTLDGVKRKITQ >Ma08_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36828250:36829831:1 gene:Ma08_g23420 transcript:Ma08_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATEAEGEEVAKLHLPAEIDWEMLDKSRFFVLGAALFSAVSAALYPAVVLKTRLQVCHPAPPSACAAAAAILRREGLLGFYRGFATSLAGTVPARTLYMAALEVTKSTVGTATIQLGVSETTASAVASAVAGLSAAVAAQVVWTPIDVISQRLMVQGHHRLTSKYLGGIDAFRKIVASDGIRGLYRGFGMSILTYAPSNAVWWASYSLSQKLIWSGIGYYLCRLRFGFEEQEYGNSSGGAVKPEYRTVVVVQGLSAAMAGGAAALVTMPLDTIKTRIQVMEGGKEGPMTIGRTVRSLIREGGWSACYRGLGPRWASMSMSATTMITTYEFLKRLSTKKQEGFDI >Ma05_p21440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33103124:33104257:1 gene:Ma05_g21440 transcript:Ma05_t21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase 11 [Source: Projected from Oryza sativa (Os03g0132900)] MGVWETTAIVSLAFLLSCVGNVHGEGSVSSIITKSLFEEMLKHRNDASCPAKGFYTYDAFVKAASSFPHFGTYGQNETRKRELAAFFAQTSHETTGGWETAPDGPSSYGYCYKEEKDPKKDYCDKKATQWPCAPGKRYYGRGPIQISYNYNYGQAGKAIGKDLLNDPDLVSKDPVISFKTAIWFWMTPQLPKPSCHDVMTGKWKPSKEDEAAGRHPGFGVTTNIINGALECGQHSKASTDRIEYFKRYCMLLGVTTGEHLGCGKQKPFA >Ma06_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:963945:965675:1 gene:Ma06_g01200 transcript:Ma06_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQPLLLFLQVFTLVLPSVVPDAPASSAGLELLDPTLPSELPAQTPKCTVAVLDHAFAVGVASLPMVSINYTQPPDCSAPWTRVVLEVAMAASGPARKHRVAAVWLDGVEILRTSTPPLLTAADAFWRVQKDVTRYAAILNRLAGGGTVSMIVDNSAVDLAAVLAANVSFHFYRGELSASSKHTGHPSVWGLYREPADLVIPISRERGSYGSGFWFQISGNPQAVAAPVTVPRNTYRAVLEIFVSYHADDESWYMNPLRFTYNAGDVAASTANGGFRQLYATVDGRFVGGHVPYAVIYSSSINPYFWSPVTAIGTFDIPSHDIDLTPFLELLLDGRPHELAIGVKDAQKYWLLSANLHLWVDRWSDAVQAGIVEYTTPTLKVNRNAQWHNQDGHSEVDAEGQLRFVGWVSTSKGNMTTTVRQKLRFKSQVSVHNRGAVRQADVVNREKTTVTTKSGQRTVGKVQLLMEAPLQVQTSVVRVAGGPTFENTRLSHQLQETAYVKELSEVSMSTLSDRQEAEGSAMVHEEEAQWGIASTRSYYKYTDENSCYLRTVNAQEGAIKVDMASRSCSAISDA >Ma09_p30100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40398082:40405149:-1 gene:Ma09_g30100 transcript:Ma09_t30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAHDLVLFLALASLGSRSSLRVIAGDCPLDFSWSNYTLASSACSNQNERGNCCRYINAFVAISIARFANATGRLGVPPAFTEVCLSSISETFDLYGIPADATAFCGLGPKIRVSYQCQGRTTVLEMMQSPNFSDVIETCNVPLSLDNSCKRCLNSGIVYLHHLIAIDDNVTLSVCRDAVFVTLANQGGKFSAVDMATCFFGVQGLTVLPVSSSESSTPTSPNSTSSPSSVSAQAPRQHLNAIPINKVHHTYHLTLIPGIGIGVIGLTILLLLILIMLIRKKSRELKNADTPIENSWDAFSLPSTQVRRCQEGPSTMFRRFTYVEIKKATENFSTVIGKGGFGTVYKAQFADGSIAAAKRMNKVSKQGEEEFCREIELLARLHHRHLVALKGFCAERNERFLVYEYMENGSVKDHLHSSGRNRLSWRTRLQIAIDVANALEYLHFFCDPPLCHRDIKSSNILLDEKFVAKVADFGLVHASRNGAICFEPVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRRAIQENRNLVEWSQQFMATDSRLSELVDPAVRDSFDFEQLHMVVGVVRWCTHREGRARPSIKQVLRVFSEHLDPAQNGFSGNEGSDGYGSGGTSKAIIHKNEVVPYSGDGRCLQSSSSTSRSYCSRSILLESGSPQSPPGMISL >Ma07_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7789286:7791807:-1 gene:Ma07_g10470 transcript:Ma07_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPSFIPSVSSTPSSSFSSPTASSSSPSTTIVMAETPPSPPQREQKKTKTFELTAHSIFYVKPTAVHALKLLLNQCRPSQPSHYILRDVSLTARPGELLAVVGPSGAGKSTLLDILAARTAPTAGSLRLNSSPLHPASFRRLSAHVPQHDASLPLLTVAETFAFAARLLLPRHASASASAVIASLLADLRLSHLAHTRLSGNLSGGERRRVSIGLSLLRDPAVLLLDEPTSGLDSSSAHLVLQSLRSVAASRSTTIILSIHQPSSRLLSSIDSLLLLSKGSVIHHGSLSSLDRFLLSAGFSVPSQLNPLEFAMEVLHQLPHPTTTLKAQRASSTKLIEPKIQEEEEEEDITVHYSSSRVREILTLYGRCWKLVFRTKQLLLANTIEALIVGFLLGTIYINLSFDDEGIGKRLGLFAFTLTFLLSSTTETLPIFVGERPILLRDTSSGLYRLSSHLVAGTLVFVPYLLAISLLYATSVYFITGLCASWAAFTDFVLIVWALVLTANSFVLFVSSLAPDYIAGTSLVTVSLAGFFLFSGYFIAKESMPEYWVFVHYLSPYKYGLDALLANEYSCQANRCFEWAGKEMGGGCLATGRDVLARRGLREGERWANLQVLFGFFAFYRVLYWIVLRRRASMSKK >Ma06_p38110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36978669:36981800:-1 gene:Ma06_g38110 transcript:Ma06_t38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSSDIPAISKSVLGTSWLDPCWNPSGTSSSGGGSFLQTGPGTLPPSLSHFPADSAFIERAAARFSCFGATSSGGMAADPFDASMTERKKGRWLDVERGQAEFSGGGHEHGASSTSGAENSSSKNLGANKRRSIEDASMDQTHGVPQISTETKNRQKSSTVKPHGKQSKDFSEDYIHLRARHGQATNSHSLAERVRREKISQRMKLLQELVPGCSKVTGKALMLDEIINYVQSLQQQVEFLSMKLATVNPRLDMNIEALLSKDLLRSHGGSSSAVGFSPHVVDPQLHLSQQGLMQTGIFGDLNTANSFRRTIDSQLSLYKGRTTQMPVSCDEELQNVMQMATLCSNHFNNREFGDKS >Ma07_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6925274:6926735:-1 gene:Ma07_g09210 transcript:Ma07_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCNGFVVLCFLSLLACAAINGQLSPTFYSSTCPNLQSIVRSGMTQAVNREQRLGASILRLFFHDCFVNGCDGSILLDDTATFTGEKNAMPNANSVRGFEVIDTIKSSVEAACPSTVSCADILALAARDGVVLLGGPTWTVPLGRRDATTASQSAANSNLPGPGFSLSQLISSFANKNLNARDMTALSGGHTIGLARCISFRPHIYNDTNIDPSFASQRQQSCPSSGGDNNLAPLDLQTPTTFDNKYFQNLVAHRGLLHSDQELFNNGSQDALVRQYSTNAAAFASDFAAAMVKMGNISPLTGPSGEIRLNCRKVN >Ma04_p28930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29919181:29923900:1 gene:Ma04_g28930 transcript:Ma04_t28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKEENRIFVGGLSWDTTERRLEGEFGRFGKVIEAQVMLERDTGRPRGFGFVTFSDPRAVDTAISEMHGHELDGRVISVNKAQPKMSTDDTAYGYNGGGYTAGSRAGYRGDDGPPPAGRSDECFKCGSLGHWARECPLAGGGSDGRFSSRSKFGRGGGRGDRGRGPDRYSDRYSDDRYDGGRYGDRDHFGNRDSRYSGGRDRYANDRYGPPGDRFSGDRYGGGPDRYPQNGYVRERSYERDGPHGGGTYDREGGPRGSGYDRDGPRGGGSDRYGSGGPARYDGGGSYRDRPRPYDRPSRGGRPPSFDVRY >Ma04_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2984647:2992890:1 gene:Ma04_g03870 transcript:Ma04_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRKSMLENEFFTEYGEASRYHIQEVIGKGSYGVVGAAIDTHTGEKVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVAFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPIFPGKNVVHQLDLMTDLFGTPSAESIARIRNEKARRYLSNMRKKPPIPFTQKFPGVDPLALRLLERLLAFDPKDRPTAEETLSDPYFRGLANVDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGGDQASFMYPSGVDRFKRQFAHLEEHSSKGERSTPLLRQHASLPRERIGANKDSNPDQQNEFEGRSVESLVRSALESPTRSQQGEGPDHASVTDGLSKSKYSARSLLKSESISASKCVVVKQKKDQEEESATETMDRAVDGLSHKFTQLHS >Ma08_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32829036:32834679:-1 gene:Ma08_g19040 transcript:Ma08_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRLASTWRMTVNERKFVETALASDLRVDGRRPFDYRRLTIKFGREDGSSEVQLGQTHVIGFVTSQLVQPYRDRPNEGTLSIFTEFSPMADPSFEAGRPGESAIELGRVVDRGLRESRAVDMESLCVVAGKSVWSIRVDLHILDNGGNLIDAANIAALAALSTFRRPECTLGGENGQDIIVHDPEVMEPLTLIIHHLPVAVTFAFFSEGNIMVIDPTHKEEVVMGGRMTATVNSNGDVCAVQKAGGEGVTPNMIMQCLRIASVKAADITSKIKNAVETYNTERTLRKVKRHSSTVTQQVSVPDVIMKDKQAENIIRHQEEMIQHEPDRSSNCDDEMTVDTRTCIKTESNRKDRCTDPFVGGPSNWDPYLNKLSSNLVGKSQDLLGPLTISKEQQEESKSNKMAVENSPEHATISSNSETLGVSGPRQQTDVAKSLKDAVKSKARKKSKTSATTS >Ma05_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:495934:501308:1 gene:Ma05_g00800 transcript:Ma05_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G02980) UniProtKB/Swiss-Prot;Acc:P33487] MSGVVDRGSLRFVFLLVAAIAADASAHCSGSGSPLVRNISNIPQSDFGRGGLAHATIAGAVSHGMKEVEVWLQTFSPGTHTPIHRHSCEEVFVVLKGKGTLLLGSSSFKYPGTPQEFHIYANSTFAVPVNDPHQVLNTDETEDLQLLVVISRPPVKVFIYEDWNMPHTAAKLKFPYYWDEECIHVKDEL >Ma07_p14420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10873474:10874955:-1 gene:Ma07_g14420 transcript:Ma07_t14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIWKSKVLPKIKKVFGSGGSKKAAVAEAIKSFDESKEEISKELEEKKTELQPKVVEIYEASPAEIKSLVKKPTESGLKKNSAAVKKFLEELVKIEFPGSKPVSEAATKYGPAYVSGPVTFILDKVSGLLPAEEVAATPEATAEADSSSKEATAEVIEEIKKEEAEKVEETPPPATETPPPAPAPEQEPETAPEPAKA >Ma03_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10674419:10675211:1 gene:Ma03_g13570 transcript:Ma03_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYAKRPKGTNPLIWIVAIVCAILAIAVIVTGIVVFAIYVIYQPKMPYIKVAYAHLDQLGYEPSGMLEIRMALKVVAENDNKKAVASFSDATFVLRFHGIDVAVLQTDPFDVAKNSSAELDYLFQSSPIPLDKEGMEAMRVALNRGVVPFDFGGHARTRWRVGIFFSVRFWARIACQLNFVYRNGSTVDLDCGSKSR >Ma01_p19220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14698621:14706609:-1 gene:Ma01_g19220 transcript:Ma01_t19220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSDTQCRHSGSTQSDESALDMERNLCNHLHSYSTSPIQPIASGGQHSECAAAYFSWPTSTLLHGAAEGRANYFGNLQKGVLPAHLGRLPKGQQATTLLDLMTIRAFHSKILRRYSLGTAIGFRIRKGTFTNIPAILVFVARKVHRKWLSHSQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDVACITTTVKGLGEIGNVKVTDLQSPINSLIGRQVVKVGRSSGLTTGIVVAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILSGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQDALREQRFALAAAINSTVDDSSPTACTFPNEKMDEIYEPLGINPQHFPPEGASGSEIRPSFTGVEFQVDTIEVVKNVEEHQFIPNFISMSPVRRTQEDSPERKNLSALGNSSDEDLCVSLYLGDREHKRQRSDPKLNTDLPQ >Ma01_p19220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14698621:14706609:-1 gene:Ma01_g19220 transcript:Ma01_t19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSDTQCRHSGSTQSDESALDMERNLCNHLHSYSTSPIQPIASGGQHSECAAAYFSWPTSTLLHGAAEGRANYFGNLQKGVLPAHLGRLPKGQQATTLLDLMTIRAFHSKILRRYSLGTAIGFRIRKGTFTNIPAILVFVARKVHRKWLSHSQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDVACITTTVKGLGEIGNVKVTDLQSPINSLIGRQVVKVGRSSGLTTGIVVAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILSGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQDALREQRFALAAAINSTVDDSSPTACTFPNEKMDEIYEPLGINPQHFPPEGASGSEIRPSFTGVEFQVDTIEVVKNVEEHQFIPNFISMSPVRRTQEDSPERKNLSALGNSSDEDLCVSLYLGDREHKRQRSDPKLNTDLPQ >Ma01_p19220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14698621:14706609:-1 gene:Ma01_g19220 transcript:Ma01_t19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSDTQCRHSGSTQSDESALDMERNLCNHLHSYSTSPIQPIASGGQHSECAAAYFSWPTSTLLHGAAEGRANYFGNLQKGVLPAHLGRLPKGQQATTLLDLMTIRAFHSKILRRYSLGTAIGFRIRKGTFTNIPAILVFVARKVHRKWLSHSQCLPSALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDVACITTTVKGLGEIGNVKVTDLQSPINSLIGRQVVKVGRSSGLTTGIVVAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILSGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQDALREQRFALAAAINSTVDDSSPTACTFPNEKMDEIYEPLGINPQHFPPEGASGSEIRPSFTGVEFQVDTIEVVKNVEEHQFIPNFISMSPVRRTQEDSPERKNLSALGNSSDEDLCVSLYLGDREHKRQRSDPKLNTDLPQ >Ma03_p27630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30942969:30944500:-1 gene:Ma03_g27630 transcript:Ma03_t27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRRHLQDRGEDIPANSSAFPNPRLRDAYIALQSWKLAILSDPLNLTADWVGPGLGLLADLALLHINSNRFCGTLPRSLRRLALLHELDVSNNRLAGPFPDVVLRLPSLKYLDLRFNEFEGAVPPQLFDKDLDAIFINHNRFAFDIPDNIGNSPVSVIVLAHNNFRGCLPASLGNMSSTLNEIILMNSGLSSCFPPEIGLLTGLTVLDVSFNKLVGPLPDSLGRMLNLEQLDVAHNLLSGGIPASICRLPRLKNFTYSYNFFAEEPPQCFAVESFDDRRNCLPGRPKQRTQRQCGSFLSHHHVDCSAFGCKPFVPALPPPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPPPPSPPPLSPPPPSPPPPLLPAISFTACLLQTISTATFPQLSSTTGAFSTASDLFTSAAAQLTATSDLFTSSTAQLTPTSDLFTSSTA >Ma05_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3769635:3769856:-1 gene:Ma05_g04930 transcript:Ma05_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSLCCRRTLPNRTREGPLSPSDPPSKLEFQFFPWNKS >Ma10_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24615096:24618177:1 gene:Ma10_g10940 transcript:Ma10_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPLVVPNPSPCTEAASSSAVAAFLPFRPLCSKESAFRGTRIPIETRGFRSQRASSFRWITRSRRPPVVKSIATQDPLVDLPLTAENVESVLDEVRPYLIADGGNVALHEIDGNIVRLKLQGACGSCPSSVMTMKMGIERRLMEKIPEIVAVEPITDQETGLQLNEENIEKVLDEIRPYLAGTGGGELELVKIEEPIVKVRLTGQAAGIMTVRVAVTQKLREKIPAIAAVQLLS >Ma09_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3473274:3474330:1 gene:Ma09_g05410 transcript:Ma09_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGDKYRSYLVGEGEKDTHWRHGAPPTYDLVNKLFEEGRTTEWPKGSLEETVQNAIKTWEMELSHKTRLGDFKSISPAKFKFFVNGRKGLTGEETLALGSYNALLQTSLPPEFQYYKADAETFESSHDVFQMAFPRGFAWEVLRVYSGPPAIAFKYRHWGYMEGPYKGHAPTGELVEFTGVAVLKVDEQLRAEEVEIYYDPGELFAGLLKGEVISGVDSVDTTASKLQPCPFLKGDP >Ma01_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:305010:306966:1 gene:Ma01_g00430 transcript:Ma01_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTEGGNASSGHGDGTTAHMPHVLMVSFPAQGHLNPLLRFAKRIAAKGLLVTLCSTHDIGHRISSSTANSALGVPVPIGRGFIRFEFYSDDLPVDDPRRGDLDVLMAALRATGPPAISDLIRRHADAGRPVSCIINNPFVPWALDVAADMGIPSGVLWVQSCAVFITYYGYYHSLAKFPTEDDPHVTVNLPGLPPLEEGEIPTFLHPSSPYKMLKKVILEQFHNISKASWVFANSFQELERDAIDAISHRLPIIPVGPLVEPDQNSQRSDIRSDIFEVADCTEWLESQAPRSVVYVSVGSVVVLSKEETAELAWGLSDCGRPFLWVVRGDKHALLPEGFLESVGDRGLVVGWSPQDRVLTHPAVACFVTHCGWNSTLEALTAGVPLVTYPQWGDQVPNSKFLVEAYGVGVHLRAPATREDVERCVAAVTQGQLAEAIGKRAAEWREAATKAVAEGGSSDRHIQALVDEISKTASSGHGHVNPTV >Ma03_p22600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27331768:27350919:1 gene:Ma03_g22600 transcript:Ma03_t22600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSEIDGCDGIPDEVSRSDFPEDFVFGVATSAYQVEGARREDGKGDSIWDIFSEEKGNIKDGSNGEIAVDQYHRYKEDVELMAKLGFGAYRFSISWSRIFPDGLGTKISENGVAYYNNLINFLLEKGIQPYVTLYHWDLPYFLHESIGGWLSEKIVHYFSLYAEACFTKFGDRVKHWITINEPLQTAVNGYGCGIFAPGRRENSSVEPYLAAHHQILAHAAAVSVYRKKFKAAQGGEIGIAVDCEWAEAYSDKLEDKIAADRRLDFQLGWFLDPIYYGDYPLVMRERLGDRLPKFSEAEKELLQNAIDFVGLNHYTTRFIAHVENPGDIHFYQVQQMERIEKWQCGEAIGERAASDWLYVVPWGIRKVLNYIAIHYHNPPIYVTENGMDQEETETAILEEVLDDKLRVEYFKSYLAAVAQAIRDGADVRGYFAWSFLDNFEWAQGYTKRFGLVYVDYKNGLARYPKSSALWFSHFLKSSEEDVGKQVNQA >Ma03_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27331768:27352061:1 gene:Ma03_g22600 transcript:Ma03_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSEIDGCDGIPDEVSRSDFPEDFVFGVATSAYQVEGARREDGKGDSIWDIFSEEKGNIKDGSNGEIAVDQYHRYKEDVELMAKLGFGAYRFSISWSRIFPDGLGTKISENGVAYYNNLINFLLEKGIQPYVTLYHWDLPYFLHESIGGWLSEKIVHYFSLYAEACFTKFGDRVKHWITINEPLQTAVNGYGCGIFAPGRRENSSVEPYLAAHHQILAHAAAVSVYRKKFKAAQGGEIGIAVDCEWAEAYSDKLEDKIAADRRLDFQLGWFLDPIYYGDYPLVMRERLGDRLPKFSEAEKELLQNAIDFVGLNHYTTRFIAHVENPGDIHFYQVQQMERIEKWQCGEAIGERAASDWLYVVPWGIRKVLNYIAIHYHNPPIYVTENGMDQEETETAILEEVLDDKLRVEYFKSYLAAVAQAIRDGADVRGYFAWSFLDNFEWAQGYTKRFGLVYVDYKNGLARYPKSSALWFSHFLKSSEEDVGKQVNQA >Ma05_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23151350:23153573:-1 gene:Ma05_g18270 transcript:Ma05_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFDHAGALGLLVLTVALLFLVHYDYQGLVRVAWQRLPAGGGRGSWVPGAAETDGVVCDWFEGQWVWDERYPLYESDGCPFLDDGFRCSENGRPDRMYTKWRWQPAGCDLPRFDAKKMLEKLRNRRLVFVGDSIGRNQWESLLCMLSAAVSNKSSIYEVNGNPITKHMGFLVFKFWDYNCTVEYYRSPFLVPQGHAPAGAPKKVKTTLKLDCMDWTSYRWKDADILVFNTGHWWNHEKTTRG >Ma03_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24801113:24806172:-1 gene:Ma03_g19480 transcript:Ma03_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTRLLRRPAAALLVARSCRHVKRFGHQILLPGGSVCSEPAREFVRFIPRASLYQIWSRSFSSENGDLVAAVVPYMGESITDGTLATFLKKPGDRVNVDEPIAQVETDKVTIDVNSPETGIIQEFIAKEGDTVTPGTKVAVISKSSSGDTHVAPSDDKVVKDAQPPSPPTQTPPPTTAVEKIDRQMPKGEIPAKEKPKASSPLPAKTSPLEPQLPPKERERRVPMPRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSEYKDAFAEKHGVKMGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNANGLNFAEIEKEINTLAKKANNGTISIDEMAGGTFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVDGNIVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDL >Ma03_p19480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24801113:24806172:-1 gene:Ma03_g19480 transcript:Ma03_t19480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTRLLRRPAAALLVARSCRHVKRFGHQILPGGSVCSEPAREFVRFIPRASLYQIWSRSFSSENGDLVAAVVPYMGESITDGTLATFLKKPGDRVNVDEPIAQVETDKVTIDVNSPETGIIQEFIAKEGDTVTPGTKVAVISKSSSGDTHVAPSDDKVVKDAQPPSPPTQTPPPTTAVEKIDRQMPKGEIPAKEKPKASSPLPAKTSPLEPQLPPKERERRVPMPRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSEYKDAFAEKHGVKMGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNANGLNFAEIEKEINTLAKKANNGTISIDEMAGGTFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVDGNIVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDL >Ma02_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21693007:21694723:1 gene:Ma02_g13180 transcript:Ma02_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVFVLSFLLFLLSGAMAATFTLTNNCEYTVWPGVLSSAGTAPLSITGFALQQGESRSLDVPAAWSGRFWGRTLCAADASGKFSCGTGDCGSGAVECSGSGAAPPATLAEFTLDGSGGMDFYDVSLVDGYNLPMLVVPQGGSGGACSSTGCLVDLNGVCPSDLKVVLPTSEGGSESVACRSACETFGSPQYCCSGDYGNPNTCKPSSYSQFFKNACPRAYSYAYDDATSTFTCASANYLITFCPSTTSQKSSDSNPEAAGIPSSNNSTMIYSSEEVSHATPTVPRVAVALALAVVALCLGF >Ma08_p23510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36879211:36882911:1 gene:Ma08_g23510 transcript:Ma08_t23510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTTKLDTGESLHSTFASRYVRASLPRFRIPEQSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDRLIMAAVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGEDETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEEKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPAKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLTEKNQETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPQVKSINVSGHKYGLVYAGVGWVVWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFIRLGFEGFRNIMENCMENAKVLKAGIEGTETFDIVSKDVGVPLVAFSLKDSGKYTVFDVSETLRRFGWIVPAYTMPADAEHVAVLRVVIREDFSRSLAERLVTDIKKVLTDLENRWTKATMITHVKAEENPDGGGAVVPKKSVKQTYEEIARYWKRLVDRKKTSGVC >Ma08_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36879434:36882911:1 gene:Ma08_g23510 transcript:Ma08_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSAVASDADDSVAYTFASRYVREALPRFRIPEQSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDRLIMAAVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGEDETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEEKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPAKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLTEKNQETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPQVKSINVSGHKYGLVYAGVGWVVWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFIRLGFEGFRNIMENCMENAKVLKAGIEGTETFDIVSKDVGVPLVAFSLKDSGKYTVFDVSETLRRFGWIVPAYTMPADAEHVAVLRVVIREDFSRSLAERLVTDIKKVLTDLENRWTKATMITHVKAEENPDGGGAVVPKKSVKQTYEEIARYWKRLVDRKKTSGVC >Ma05_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10196810:10204687:1 gene:Ma05_g14020 transcript:Ma05_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTVKVGNVSLSASEQDIREFFSFSGDIEYIEMQSGDERSQVAYVTFKKSDGAEMALLLSGATIVDMTVIITPATDYQLPAAASIPYMSKDGAASNKETSIQKAENVISTMLAKGLILGKGALESAKSFDEKHQLSSTAMTKVSNLDHKIGLTEKISTGTSAVNEKVLEMDHRYQVSEKARSAITAAEQKISNVGSAAMENKYVFAGVSWMIGAINKVTKTASEVGTKAVEKATAEQQQNKSSPEDAQDRVHSPETSVASPPDHPKKPEPVQGLIL >Ma04_p13520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10213862:10223244:1 gene:Ma04_g13520 transcript:Ma04_t13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGRRLFLALALALALLFLLVPGLDALRTLGDGSGADVPDAAVELNASNFDSVLKESPLKFAVVEFFASWCPACRNYKPQYEKVAKLFNGPNAVHPGIILMARVDCAMKTNADLCGKFSVGHYPMLFWGPPHKFAFGRWDPKEDKDEIQLVDEWRTADHLLSYINKRIGSSFGLDDEKYENEDTLPRNASDPEEIARAIYDVEEATAQAFEIIFQNKMIKSNTQVPLIKFLQLLVVHHPSKRCRRGSAEMLVNFDDLWPSDPISLSSQETTILQQVDASKYYHICGKEVPHGYWIFCRGSKKGTRGFSCGLWVLFHSLSVRVGDGESNLAFIAICEFIQNFFICDECRNHFHEISSSVSVPFNKTRDLAMWLWRTHNKVNQRLMKEEKTLGTGDPRFPKITWPPKQLCPSCYISYSRESNGTENIEWDEDEVFQFLVRYYGRTIASSKEATLSSSDDAASTYDIASSTNAMTVPVGAALAIAVASCAFGALACFWRTQQKNRKYLHQLHSLKKI >Ma04_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10213864:10223244:1 gene:Ma04_g13520 transcript:Ma04_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGRRLFLALALALALLFLLVPGLDALRTLGDGSGADVPDAAVELNASNFDSVLKESPLKFAVVEFFASWCPACRNYKPQYEKVAKLFNGPNAVHPGIILMARVDCAMKTNADLCGKFSVGHYPMLFWGPPHKFAFGRWDPKEDKDEIQLVDEWRTADHLLSYINKRIGSSFGLDDEKYENEDTLPRNASDPEEIARAIYDVEEATAQAFEIIFQNKMIKSNTQVPLIKFLQLLVVHHPSKRCRRGSAEMLVNFDDLWPSDPISLSSQETTILQQVDASKYYHICGKEVPHGYWIFCRGSKKGTRGFSCGLWVLFHSLSVRVGDGESNLAFIAICEFIQNFFICDECRNHFHEISSSVSVPFNKTRDLAMWLWRTHNKVNQRLMKEEKTLGTGDPRFPKITWPPKQLCPSCYISYSRESNGTENIEWDEDEVFQFLVRYYGRTIASSKEATLSSSDDAASTYDIASSTNAMTVPVGAALAIAVASCAFGALACFWRTQQKNRKHRKGWN >Ma10_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31883463:31887958:-1 gene:Ma10_g22560 transcript:Ma10_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGLKWDGLLKWSLAHADGTRPPRNLSEEDRKWFMEAMQAQTVDVVKRMKEITSVMKTPEDVLEAQGVKPEDIVEMLDELQEHVESIDMANDLHSIGGLVPLLGYLKNSDSGIRAKAADVVTTIVQNNPRSQQLVMEASGLEPLMSNFTLDPDLAVRTKALGAISSLIRNNKAGIAAFRLANGYAGLRDALSSDNVRFQRKALNLIQYLLKENNLDCNIVTELGLPRLMVHLVSSDDSNVREAALGGLLELARDRTSGSSTVLAEEDKLKQILENRIENISSMSAEDLGAAREERQLVDSLWSTCYNEPSSLREKGLMVLPGEEAPQPPPDVAGKFFEPPLRAWAASKQLQKGGSNSAEDKKQAKPLLLGLGPVGSSLSSNQEQQNPN >Ma04_p39980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36929419:36929972:-1 gene:Ma04_g39980 transcript:Ma04_t39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRHGAERLLEAPAHSAGRSGAADLPNLAEDDIWAAFEGDNDEGGGQDRRTSRARSRVWVDGHVGGLSLNFEDSYHGTAAVAARSLPLDRLRVAASAPVNVPAWSRSLRSSSSVPPPEEEAEEEEEETGSEWLPPHEYLARVQGKTMGTSVLEGAGRTLKGRDMSRVRDAVWSQTGYFG >Ma09_p00980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:682845:685924:-1 gene:Ma09_g00980 transcript:Ma09_t00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKQIFVLSGQSNMAGRGGVRHHRWDGVVPTECRPNPSILRFSARCHWEEAHDPLHRDIDTAKICGVGPGMAFANALLPCLSDEAVLGLVPCAIGGTAIKEWERGSRLYEEMVRRARKAAELGGGGEIKAVLWYQGESDTTSHGAAEAYAANIEKLIRDVRLDLSLPSLPFIQVAIASGDKHYIEKIREAQLGISMPDVLNVDAMGLPLNEDNLHLTTEAQVLLGNMLAEAYAEHYLTTIDGL >Ma09_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10005849:10007269:-1 gene:Ma09_g14660 transcript:Ma09_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNTEKLFFKCTRWQVEETTDLVNCPYHYFCDSAYEGDYPPAVDLSVLLFAVSCFLSATVFTLVELRCRRSSVDVSNGRRWKRRYLLPSGPIALPLLVLIFANGRRIGTIFPLTHVGPALLQLAYVSALAFRNRAATDIKYAVLEASTVSGILHASLHLDSVLLPYYTGLAALRQSTLSGLCASCVCRREALVLGGSLIGYRGWSKTTVSTATALCSRMACRIYGEEKLSSVIRMTLEATSWLLVAADSLDLMVVAVAQGSRFRMMVYGGLCALILLNFLRLVVHLSARAAAKMHHTEKKVEFLL >Ma05_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4380456:4381982:-1 gene:Ma05_g05770 transcript:Ma05_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLPVVPRARLIVPSPVRFRRARAPQAALRTPSPPSAAVDSVKATNAEFFQSDSRPIMLFDGVCNLCNGGVRFVRGNDPNRRIRYQALQSESGRKLLQRCGRSPDDISSVVFVEKDSSYIKSEAVLRIMEHLDLPFPQLAFFLKIVPLFVRDFAYDNVANSRYSLFGRSESGSCEI >Ma05_p05770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4379241:4381982:-1 gene:Ma05_g05770 transcript:Ma05_t05770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLPVVPRARLIVPSPVRFRRARAPQAALRTPSPPSAAVDSVKATNAEFFQSDSRPIMLFDGVCNLCNGGVRFVRGNDPNRRIRYQALQSESGRKLLQRCGRSPDDISSVVFVEKDSSYIKSEAVLRIMEHLDLPFPQLAFFLKIVPLFVRDFAYDNVANSRYSLFGRSESGSCEI >Ma08_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15528443:15529610:-1 gene:Ma08_g15440 transcript:Ma08_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGLIPLMKNKRLSTKCLEIHNGLLPDIFTGNIIIDGFCRQGRMKMENNIFMEMYTNGVAPDVITYSTFISAYCRALVLNQIFSYTIYGSTASAPAL >Ma08_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35949496:35953029:1 gene:Ma08_g22330 transcript:Ma08_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTMATQGVGGRQQSQVQSLARQGSIYSLTLNEVQSHLGEPLHSMNLDELLRSVFPSEEHQSSGADAGGGHGAQDPRLHREGSVTMPRVLSKKTIDEVWRHIQQGQKEAEEGVRDYGRQSTLGEMTLEAFLSKAGIVTEVADRSRSSEIGNVGFMPRSQWLQQYHQRQHQQAQQSIAGAYGGRQLPQPLAIVDAVYHEGEGANSSPFNPQTPRRKRGPVEDMAKKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENQRLRQQRELEAMIHHIPQPEPKHQLRRTSSALF >Ma09_p26460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37741486:37742381:1 gene:Ma09_g26460 transcript:Ma09_t26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGCDPELRLSLGGRDDDEGSSSNEQCKSARLSRVESMLRSSTQHQQQVTTVFCNGRVYLCDATEIQARAIISMARREMDDTMTKKNQQQPTAPPPPQAVPQILNPGLSMKRSLQRFLQKRKARISDVSPYTQRHKLFLPIESQQA >Ma02_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27414353:27417509:1 gene:Ma02_g21930 transcript:Ma02_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPDGDPGFFRGFPNGLGFSSGSDGSSSSVVLEDQERSKLVGAPWRLEGGGALDAKTAMAMKSHCEAERRRRERINGHLAVLRSMVPCADKLDKAALLAEVIGHVKKLKRNAVEISKGYTIPSDTDEVRVEVQGDAMSTGGFMVKACLCCEDRPWILADLRQTLHSLHLQTIRAEISTLGGRIKNVFVMRSAKNSRNIDRHHFVASVHQALKSILERINSQVDFLPRATFANKRQKISLLESSSSAS >Ma08_p19390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33164503:33167184:-1 gene:Ma08_g19390 transcript:Ma08_t19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53520) UniProtKB/Swiss-Prot;Acc:Q9C8L2] MAGVVAFSARPPSCTSHCRPKLHSVLQWRGLHCGSSKFPSTAPAPLLLAHPLGRTRPDSTPRASVGSADYVVEPGTSVKFPKELQVPGCSSSLVLLGTGYREKVFAIIGVKVYSAGFYADLSIRNTFDSWKGKSSTELLEDSSLFSSIFHAPLEKSLNIMLVRDVDGKTFWTALDDVISPRIKKPTADDESTLSTFQNTFQGRDLKRGTMIVLTWVEPSKMLISISSSGFPSNVDAEIKSMNVNLALYDGFFGDNPVSPTLKASVADGLRMLHG >Ma04_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24159651:24178808:-1 gene:Ma04_g21660 transcript:Ma04_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRMSSPRTREPKKTTRPERPKVKPRTPISLQSVKSLPHDFRFTSGSPSASEDKKVDSGSKLAVLIENDEKEKGAEDATGEEDSPYSSKASSREERPPEEATDVEGNDDSPYSSKTNSREERPDEEEKGEVIMSKLSTSRMPQISPSRFESNWGDTSSYVAKKKHQAWCQLSNGDWALGTILSSSGSESVISLPHGGVISLNTETLLPSNPEILDGVDDLMQLSYLNEPSVLYNLQFRYSRDMIYTRAGPVLVAINPFKEVNLYGNEYIEAYKHKSMNSPHVYVIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILQTNPILEAFGNARTLRNDNSSRFGKLIEIHFSVTGKISGASIQTFLLEKSRVVQCAVGERSYHIFYHLCAGAPQSLRTKLNLRKADEYKYLKQSNCYTISSVDDAERFHVVKKAMDVVHISKEDQESVFAMLAAVLWLGNISFTVIDNENHVEVVADEGAQTVAKLIGCTLSELKLALSTRKMKVGNDNIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLGIGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSSPCFRGERGKAFTVHHYAGEVVYDTTGFLEKNRDLLHMDSIQLLASCTCHLPQAFASKMLFQSENAASNPYRSGGADSQKLSVASKFKGQLFQLMQRLGNTTPHFIRCIKPNNSQLPETYEQGLVLQQLRCCGVLEVVRISRSGYPTRMSHQKFARRYGFLLLENVASRDPLSVSVAILQQFNILPEMYQVGYTKLFFRTGQIGALEDTRNRTLHGILRVQSCFRGHQARRYVKERKKGIVALQSFIRGEKTRQTYVVLLQRHRAAIVLQRNMRCRSVRRDFVSVRNASIVIQSVIRGWLVRRCSGNISLLNATEYIGVTKGGESEQVSVKATVLAELQRRILKAEAALRDKEEENDILHQRLQQYESRWSEYEQKMRSMEEVWQKQMMSLQSSLSVAKKSLAIDDVERSSDASVDHSWGSAEHVRTKGREENGTPRLVSRVLDREMSAGLSVISRLAEEFDQRTQVFADDAKFLVEVKSGQSDASLNPDKELRRLKQNFELWKKDFSSRLRETKVIINKLGTDDAGSDKGKRKWWVRLNSARII >Ma09_p31500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41191182:41195500:-1 gene:Ma09_g31500 transcript:Ma09_t31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 724 [Source:Projected from Arabidopsis thaliana (AT4G15780) TAIR;Acc:AT4G15780] MAEEGKEGWFIYGFVARGTVVLAEYTEYTGNFPAIAAQCLQKLPSSNKLSTYACDAHTFIFLVHNGYAYCVVTKDSVVKNVSIAFLERLKADFTKRYGGGKADTATAKSLNKEFGPVIKGHMQYIIDHAEEVEKLLKVKVQVSEVKNIMLENIDKTLERGEKLTDLEAKASDLRNEAQGFKKQGTRIRKKMWLQNMKIKLVVLGILLFLVVIIWVSVCRGFDCTKQNS >Ma01_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6061387:6089775:-1 gene:Ma01_g08480 transcript:Ma01_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRGPDQSARGRLLRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVESQNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVQRSDTREMQSFYRDYYKKYIQALQSAADKADRAQLTKAYQTAAVLFEVLKAVNQTQALEVEPEILETHNEIEENIKTLGPYNILPLDPDSANQAIMRYPEIQAAVNALRNIRGLPWPKGHNKKPNEDLLDWLQIMFGFQEDNVSNQREHLILLLANVHIRQIPKPDQQPKLDERALTEVMKKLFKNYKKWCKYLNRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENIKPAYGGDNEAFLKKVVTPIYHTIAKEAERSKREKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCQSPESMHHKKNEDNNQSSSDRWIGKINFVEIRSFWHIFRSFDRMWIFFILCLQAMTVIAWNDGSPSAIFDAEVFKKVLSIFITAAIMKLGQAVLDVIFSWKARRRMSFPVKLRYILKVISAAAWVIILSVTYAYSWKNPTGLARTIKSWLGDGQNQPSLYILAVVIYLSPNMLAALLFLFPFLRRFLERSNYKIITLMMWWSQPRLYVGRGMHESSWSLFKYTMFWVLLIVTKLAFSYYIEIKPLVNPTKDIMRERITTFKWHEFFPKANNNIGVVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFRSLPGAFNSRLIPPEKSEASKRKGFRASLSSKIEESPVSGSKDSARFAQMWNKIITSFRDEDLISNKEMDLLLVPYTADRDLNDLNIVQWPPFLLASKIPIALDMAKDSYGKDSELKKRITGDTYMNCAVKECYASFKSIINGLVDDDSREKEVVNNIFSKVDELVHKGSLQELNMSHLPSLCNKFIELIKFLMTNNEADRDQVIILFQDMLEVVTRDIMEDDLPGYLDSNHGGPYRRHEGITPLDQQVQLFAKAGTIKFPLPKSDAWTEKIKRLHLLLTVKESGSDVPANIDAKRRISFFCNSLFMNMPNAPKVRNMLAFSVLTPYYKEDVLFSLKGIEEPNEDGVSILFYLQKIYPDEWTNFLERVGCKTEEELRERYDEFEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDEDLMDGYKAIELTSEENSKVGRSLWAQCQAVADMKFTYVVSCQQYGIQKRSGDSRAQDILRLMTTYPSLRVAYIDEVEEPSTDRNKKNEKVYYSALVKASLAKAGDSTEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQEHYLEEALKMRNLMQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLVTVLTVYVFLYGRLYLVLSGLDEALATGRKFMHNQPLQVALASQSFVQLGFLMALPMVMESGLERGFRNALSEFILMQLQLASVFFTFLLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGLELLILLVVYEIFGQSYRGPVAYIFITASMWFMVGTWLFSPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVLPEKSWESWWEKEQEHLRYTGKRGIIAEIVLALRFLIYQYGLVYHLNITKHTRSVLVYGISWLVILGILFIMKAVSVGRRRFSAEFQLVFRLIKGLIFIAFVSVLIILIAIAHMTVQDILVCFLAFMPTGWSLLLIAQACKPLVPSNFWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPKKDRSSKNKE >Ma04_p38010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35676110:35681112:1 gene:Ma04_g38010 transcript:Ma04_t38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSMFFENHGVGRLLVSQTPQTTQFPWLVGSQPIYGEPLSQMKPITGDHISVEEQLPAVSRQVNHVIDLSKVRGSAFKIPEKGGNGTVSFSIFLDSKDLIKEQKIQQHLMPFSLQPSLPENPNCFEQGFGQSMVCPSSSYVDQFYGLYATYGTQAMNGRMLLPMDMTTEGPIYVNAKQFHAILRRRKARAKAQKENKSIKVRKPYLHESRHLHAMRRMRGCGGRFLNSKKECSGQGGNGGCKVKDGLPPRPATFARSEILQSDSLNLNSASGGSSASGSEVTSVYAQEDVDDFHIAEHLCPSVFQSHLIMMNGGQGTSNHSKWGAAADSCCDLLKV >Ma04_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10756947:10760398:1 gene:Ma04_g14200 transcript:Ma04_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLCWHPVHRCFGWCGARGGAGADGLLWHTDLKPHASGDFSIAVVQANNSLEDQGQVLASPSTTYFGVFDGHGGPEASRFVNNRIFSHLHEIASEQGGLSVEVIRKAFNATEEEFLQLVKRSWLSRPNIASVGSCCLVGAITDNVLYVANLGDSRAVLGKQGSDGRSVVAERLSRDHNVAEEDVRKELAELHPDDSRIVLCNRGVWRIKGIIQVSRSIGDVYLKKPSFSRDPLFQQCAAPIPLKRPVMTSEPSIRTRKLTQQDLFLIFASDGLWEQLSDAAAVDIVFKSPRAGIAKRLVRAALTEAAKKSEIKYDDIKHMEKGVRRHYHDDITVIVIYLDHFEGKASKLQGSTFDCTSAPVDIFSLNAATT >Ma09_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19202818:19212686:1 gene:Ma09_g18840 transcript:Ma09_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVEVIGVVGGGQMGSGIAQLAAVAGFDVWLHDTEPSALQRAQKSIVDSIRHLVSKGQISQFAGTSSLNRLRYTSNLEDLRTSDVIIEAIVESEAVKKKLFLELDKIVKESTILASNTSSISITRLASSTSRPSKVVGMHFMNPPPIMKLVEIVRGADTSDEVFMTIKTLAERLGKTVICSQDFPGFIVNRILMPMINEAFYALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSILKVLYEGLGDSKYSPCPLLVQYVDAGRLGRKHGTGVYNYQNKTPAGHPLSAL >Ma05_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7907880:7914663:-1 gene:Ma05_g10850 transcript:Ma05_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANCDSAEAGGGPPAVTVHVRCTDGSKISVRTALDSTVGAFKAVVAGSSDVPAEQQRLIYKGRILKDDQTLVSYGVESDHTIHLVRAAAPSATSANTAVGNQGASVTSNSNSASGFGGSLFPGMSVNGDAGSETASLFGTGIPELDQMQQQLAQNPNIMTEIMNMPAIQNIINNPDIIQNLFMNNPQLREIIDRNPDLAHVLNDPSTLRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGDLGGDAGSNPFAALLGSQAAAQGRERSINSTTGSEPTTGSAPNTDPLPNPWGTNTGGPQPVNTSSNPASTPGAPVLNGLGSLDLENMVGRMQDSSVLSQVLQNPAMMQMMQNLLSDPQYVNQVLNFNPNMRSLLESNGQLREMLQNPDFLRQLMSPETMQQLLSIQQSLFSHNGQQQSRLEQEQTAGGTGTLNNTGLEFLMNMFGGLGTGGLVPAEELYATQLSQLQEMGFFDTQENIRALTATAGNVHAAVERLLGNPGQ >Ma05_p10850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7907880:7914663:-1 gene:Ma05_g10850 transcript:Ma05_t10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANCDSAEAGGGPPAVTVHVRCTDGSKISVRTALDSTVGAFKAVVAGSSDVPAEQQRLIYKGRILKDDQTLVSYGVESDHTIHLVRAAAPSATSANTAVGNQGASVTSNSNSASGFGGSLFPGMSVNGDAGSETASLFGTGIPELDQMQQQLAQNPNIMTEIMNMPAIQNIINNPDIIQNLFMNNPQLREIIDRNPDLAHVLNDPSTLRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGDLGGDAGSNPFAALLGSQAAAQGRERSINSTTGSEPTTGSAPNTDPLPNPWGTNTGGPQPVNTSSNPASTPGAPVLNGLGSLDLENMVGRMQDSSVLSQVLQNPAMMQMMQNLLSDPQYVNQVLNFNPNMRSLLESNGQLREMLQNPDFLRQLMSPETMQQLLSIQQSLFSHNGQQQSRLEQEQTAGGTGTLNNTGLEFLMNMFGGLGTGGLGVPPTSNVPAEELYATQLSQLQEMGFFDTQENIRALTATAGNVHAAVERLLGNPGQ >Ma06_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12201766:12203278:-1 gene:Ma06_g17980 transcript:Ma06_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYHEHNNPSFVEFAHLPCTPTEIPFLPQQLEDVTTSASSCFLHCYSQLPVADATGFGSSGSLDIAGLKPPSVANHVGHAPMVGEPSDGVAPQPQGSGEKKRKIDKDETSLIAGRAKEGKICKQRRLRETDDKKPKADDTKASKTCGESPAGYIHVRARRGEATDSHSLAERVRREKISERMKVLQSLVPGCEKVTGKALMLDEIINYVQSLQNQVEFLSMKLASLNPMLYFDADSDEYMSQPEKMMKLQRSVGSMPLPMSSSTVQANQLQPIALENGEVMRQSAQFFLQGQGTTPFPQGGSSYMMQAMGDQREDTNSSSFQ >Ma07_p28200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34330166:34332211:-1 gene:Ma07_g28200 transcript:Ma07_t28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEAGVERERKRLLSGKDRLPRDLLLRFVGNGCGDETMEATEGDSDEIELTLGLSLGGCFGADPKEKKLFRSSSTASFSSLSCVPEFQVVAAAVLTRTSSLPVETEEDRRKRKQMQSLKRLEAKRKRLVRKNSIRSGAAKPGEKMEEDADGGKGSTAAEQTMIDNVELTSLGGSHSGGSRNGFSPTGLPARSAVSKRAAAAPVAVPGCFPLVSQGSIGSQGSISSSKRDDTRAARGFGMAGYSNHAETKSGKATVGGDEGIAKKKVAAQANWMKETERRIMEEMPFVSTRGDGPNGRRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVANPLRHIVVNSFPFS >Ma08_p30400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41678639:41679487:-1 gene:Ma08_g30400 transcript:Ma08_t30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDKAASQLTLEEYAGFFRNSHLCHHLSHDQLNQIVYMHGFLKLHRLQKAFIVDALNSLELMRPDRSTVQGQVATPVGVELSLEEAKQDIAALGWQECPLGSIITVGTAADAVEAAVPVSVSTSLSHSKPSSAAGGGAQHKSSGKKKRKRMSAAVASQPSLPPQM >Ma03_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22270552:22271622:-1 gene:Ma03_g16990 transcript:Ma03_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPTAVAKGVYSVWALPPEDVRDRLKSLMGALRSEFGGPKFEPHITVVGAISLGPDDALRRFRSACTALSPYPARVSAVSRGAFFYQCVFLLIDPSPEVVETSALSCGHFGYENATPYMPHLSLLYGDLTEEEKERARQRVEALDKEILSLSFEVSALALYKTDTEDKSLESWEQVELCHLSVDK >Ma09_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12548554:12550770:1 gene:Ma09_g17080 transcript:Ma09_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGREEGEALLLAPLLVFIAFLGLTAVGAEDPYIYFTWKVTYGTISPLGIPQQAILINGEFPGPNINSTTNNNIVVNVFNNLDEPFLFTWNGIQQRKNSWQEGTAGSNCPIPPGQNYTYHFQVKDQIGSFVYFPSLGMHRAAGGFGGLRVNSRLLIPVPFADPADDYTVLIGDWYTKSHKILAKLLDSGRTIGRPAGVLINGRSGKDSTGKDDPPLFTMEAGKTYRYRICNVGMKVTLNFRIQSHSMKLVEMDGSHTMQNVYESLDIHVGQCLSALVEATQAPKDYYMVASSRFTKYTLTASGLIRYAGSNTPPSQDLPAAPVGWAWSFNQWRSFRWNLTASAARPNPQGSYHYGSIDITRTIKLVNSVGHVNGKRRYALNAVSHQDTATPLKLAEYFGIADKVFKYNIIGDDPPASTAPIKIAPNVMNATFRNFIEIIFENPERSMQAYHLDGYSFFPVGMGHGKWTPQSRKTYNLLDTVSRHTIQVYQRSWSAIMLTFDNAGMWNLRSELWERRYLGQQLYISVLSPARSLRDEYNMPEKALICGDVVNLPRPPSYV >Ma05_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34607798:34608199:1 gene:Ma05_g22840 transcript:Ma05_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGVGRAPLALLLCFSCVVASAFGREVGGEKGREKVLWPTKGGGFFPGFGRGFGLGGGYGGGGLGGGSGLGGGGGLGGGGLGGGNGLGGGSGLGGGGGLGGGSGLGGGGGLGGGGGLGRWWWPWRWRRSRW >Ma07_p26400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33219778:33221595:1 gene:Ma07_g26400 transcript:Ma07_t26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPEYFHGGFYRGGNPQCGPEKKAGGCGGGGEDQFSLEDLLDFSNEEEDETGGTLAAAGNSTDSSTVTAVDSCSNSFSGLEPHFSNDLVCRSLADASRSGDLCEPQYEELAELEWLSNFVEESFSSEDLHKLHPISGVSSTTTGSSSTTTAAAATRAEVSAHTQVAAGGRVNHVAPFRPEAIVPGKARSKRSRAAPCSWSSRLLVLSPSLATASSPESELIVPASAAAASAGGGGGKKAVKPASKKKDLTAGTAVAAGDGRKCLHCQTDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKELQQQQLFHAGGVASFYDGPMPVAAAADDDDDFLIHGPDFRHLI >Ma07_p26400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33219778:33221595:1 gene:Ma07_g26400 transcript:Ma07_t26400.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPEYFHGGFYRGGNPQCGPEKKAGGCGGGGEDQFSLEDLLDFSNEEEDETGGTLAAAGNSTDSSTVTAVDSCSNSFSGLEPHFSNDLVCRSLADASRSGDLCEPYEELAELEWLSNFVEESFSSEDLHKLHPISGVSSTTTGSSSTTTAAAATRAEVSAHTQVAAGGRVNHVAPFRPEAIVPGKARSKRSRAAPCSWSSRLLVLSPSLATASSPESELIVPASAAAASAGGGGGKKAVKPASKKKDLTAGTAVAAGDGRKCLHCQTDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKELQQQQLFHAGGVASFYDGPMPVAAAADDDDDFLIHGPDFRHLI >Ma07_p26040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33004879:33013493:-1 gene:Ma07_g26040 transcript:Ma07_t26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHNKLSKFISSIRTHVHRGNTEKLENKNDTEKNVERILQLITAEESDTVSFNSVNKSELTSLIKDIHKGYQALYGCYDELTEKLKKKFRQKEDNGNFSVNSTSDSSDSSDSPDSESELSTKNSGKNHEGEAKADISLEHYTNLQEQLEGAIRRNHELEAEAASMVAKITNLEGVDVLGETEDMNRILENQIHIMQENIKTLQSENRDLEQKLEASVKQHHELNQSICTMHEQIEILISEKMEALSKLQESEKYIEEHISEISHLKDKIMTMESDNMSLKQESEKQAQELAYLNQKIDDIDKEKEAILSENFELVSKIKGTEKALADQRDEANLNLKSATDDLSSKITQLLSGNEMLKLELEAANRNGHELTSRLRDAQEENGALNSEIDDLKTKSELLNNENTRLLNAIHVSNKQLKDKEAENSDLASRLKEAMQLAEEGQQKVELLSLEIEEVKRKSSQAYEVLEMELQAKEQEETKLKQILEATSDEKLVLISENEELSAKAKLFEGEITDLKSQRDQLEIEKSELRVRVENLDAELEATKVQLINAENKLEAAGQQIEKLTMENSELFSKSEIEGIQIKDLQHLLEHLKEENSTLNENKRLLQESEKIIEDLTVQIEQLKTDNGQLQNQVNDSSHEVELANHKLSELTKQIGVLEEEICTLISKLEQAEASIRKQADKLEAFTEENSTLLQKNMDMHERNSDLDSKLEDQMKAVRDGCLEILNLANNFDDEVTQKVTVQERLLLFLKSSLNDLHEECKQLKYRFHESCQKLEVAEAVGEERMKEINKLVESVKELQVNHNVSEAERAVIIKEVAGLKGQLETQSCLFKQNLKITETEYREKEAKHMKMIAELQCNVKKLENETRIMSAELTGTIEAVGSGVSDFCQGLDELESEFKQKHCGIERQLAWITVDAEIMKTRLRQKLYEKQEMNEKLRDMAVRLKESEEGMAALKHKAEGLRDRLGEKEKEMEKVSWRSIETDRRLEELETAVREKEEEIVARNKEKLEAIKQLSQMIDYQHEKYNQLGEYLVRLKPSNNRRRL >Ma07_p26040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33004879:33013493:-1 gene:Ma07_g26040 transcript:Ma07_t26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHNKLSKFISSIRTHVHRGNTEKLENKNDTEKNVERILQLITAEESDTVSFNSVNKSELTSLIKDIHKGYQALYGCYDELTEKLKKKFRQKEDNGNFSVNSTSDSSDSSDSPDSESELSTKNSGKNHEGEAKADISLEHYTNLQEQLEGAIRRNHELEAEAASMVAKITNLEGVDVLGETEDMNRILENQIHIMQENIKTLQSENRDLEQKLEASVKQHHELNQSICTMHEQIEILISEKMEALSKLQESEKYIEEHISEISHLKDKIMTMESDNMSLKQESEKQAQELAYLNQKIDDIDKEKEAILSENFELVSKIKGTEKALADQRDEANLNLKSATDDLSSKITQLLSGNEMLKLELEAANRNGHELTSRLRDAQEENGALNSEIDDLKTKSELLNNENTRLLNAIHVSNKQLKDKEAENSDLASRLKEAMQLAEEGQQKVELLSLEIEEVKRKSSQAYEVLEMELQAKEQEETKLKQILEATSDEKLVLISENEELSAKAKLFEGEITDLKSQRDQLEIEKSELRVRVENLDAELEATKVQLINAENKLEAAGQQIEKLTMENSELFSKSEIEGIQIKDLQHLLEHLKEENSTLNENKRLLQESEKIIEDLTVQIEQLKTDNGQLQNQVNDSSHEVELANHKLSELTKQIGVLEEEICTLISKLEQAEASIRKQADKLEAFTEENSTLLQKNMDMHERNSDLDSKLEDQMKAVRDGCLEILNLANNFDDEVTQKVTVQERLLLFLKSSLNDLHEECKQLKYRFHESCQKLEVAEAVGEERMKEINKLVESVKELQVNHNVSEAERAVIIKEVAGLKGQLETQSCLFKQNLKITETEYREKEAKHMKMIAELQCNVKKLENETRIMSAELTGTIEAVGSGVSDFCQGLDELESEFKQKHCGIERQLAWITVDAEIMKTRLRQKLYEKQEMNEKLRDMAVRLKESEEGMAALKHKAEGLRDRLGEKEKEMEKVSWRSIETDRRLEELETAVREKEEEIVARNKEKLEAIKQLSQMIDYQHEKYNQLGEYLVRLKPSNNRRRL >Ma06_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13288137:13289309:1 gene:Ma06_g19400 transcript:Ma06_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMVSTLTRVVAGEDGASLPSLPSSGEQNGGGRQMQGRESFVHEEVPRFCITFGSSPGGSSSSSASAAGSRREQARAEQGGRKRFRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAESAARAYDEAALRFRGNRAKLNFPEDAGVRQAPAAAPSAAPAALLESRPFDAMRDYWEYSRLLQGAEDGRGMPPPALLDETVSCRANNPASPLTAAAAASGHPLSPLLYPPASEQQMDYLQLPPWTESSHYPPSSSSG >Ma04_p33670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33174427:33175936:-1 gene:Ma04_g33670 transcript:Ma04_t33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFLHYSVSSACLAHKPHLCRQRLLSSVAHVTMFRRYRIPLCCWCGNTDDLELGPAQARVADSPDAEKEAEEEKGVPARRFGCAEIESFAGKFATAAVVGEGGCSTVYLARLPDSSLAALKLHRPSERLHRAFRQELDVLLRLRHPHIVRLLGYCDDGEEEGVLVFEYAPNGSLHEKLHGGGEVLPWARRMAIAYQVAQALDYLHEGCDPQVVHGDVKAANVLLDRRLEAKLCDFGSARVGFSAAVAPPRSARAMVVGSPGYVDPHYLRSGMVSKKSDVYSFGVLLLELLTGAEAFDAERERRLTAELGPVLRDPDGRAAEVVDARLSGVYDAGEAKAAAVVAAMCVRDNPSLRPSMAEVVRMLRASASIATVESKSNGKSDS >Ma03_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24619998:24620897:-1 gene:Ma03_g19290 transcript:Ma03_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCTRSSRCLLPYFVIGFSLCSSLLFSIAMAAMVGGLKESEGSQNSAEIEELARFAVDEHNKKENALLEFARVVKAKEQVVTGTLHHLTVEAIDAGKKKLYEAKVWVKPWLHFKELQDFKHVADSGSLTAADLGAKRGNAVSQTH >Ma06_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8956417:8958009:-1 gene:Ma06_g13020 transcript:Ma06_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDRFSGLGNGTQVDGKVLQTFQKSFLQVENILDQNRQLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSLSFTRSMEASSEGDSEGKPGHKRNRPG >Ma06_p13020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8956417:8958005:-1 gene:Ma06_g13020 transcript:Ma06_t13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDRFSGLGNGTQVDGKVLQTFQKSFLQVENILDQNRQLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSLSFTRSMEASSEGDSEGKPGHKRNRPG >Ma06_p13020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8956417:8958004:-1 gene:Ma06_g13020 transcript:Ma06_t13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDRFSGLGNGTQVDGKVLQTFQKSFLQVENILDQNRQLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSLSFTRSMEASSEGDSEGKPGHKRNRPG >Ma04_p33240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32981920:32982699:1 gene:Ma04_g33240 transcript:Ma04_t33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPPSLLVLVLVAHVCLGSYLHFSYAQQCSPNGQITGVSGDCNTDNNADCCVNGQMYDTYSCSPTVTGETQAHMTINSFAEGGDGGGPSKCDGSYHSDNELVVALSTGWYDGGSRCSKNIKINANGQTMLAKVVDECDSLHGCDQEHAFQPPCAPNIVDASRAVWEALGIPESQIGDYDITWSDE >Ma05_p16930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19126778:19133111:-1 gene:Ma05_g16930 transcript:Ma05_t16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVLSAHDLISKDGQGSVSPYVELRFDGQKFRTTIKEKDVNPVWNESFYFNVSDPSTLSDCPLEAHVYHFNRATNSGSFIGKVRLAGTSFVPYSDAVDLHYPLEKRGIFSRVKGELGLKVFLTDDPSIRSSVPQPAFDPILGSPASSHAQQPQAEVTGSSLNPFQESRPEPLRTFHHLAREQQQQPPQPYYSAAGQAVDQSVRYATDEMKSEPPRVVRMFSSSSSQQPVDFQLKETSPSLGGGRIIGGRMIPGDKAGAFDLVEKMEYLFVRVVKARELPAKDITGSLDPFVEVRLGNYKGTTRHFEKNQSPEWNQVFAFARERVQASFMEVVLKDKDLVKDDFVGLVRFDLNEVPSRVPPDSPLAPEWYRLEDKKGEKIKGELMLAVWYGTQADESFAYATHSDAVPAVDAHTLSNYIRAKVYHAPRLWYVRVNVIEAHDIFVSDKKRFPDVLCKARIGGQLMKTKAIQSRTPNFLWNEEFMFVAAEPFEEDLILSVEDRVAHNKDEEIGRVHVPLAAVEKRADDRIIRSKWWNLKRPVAVDVDQLKEDKFSSKIHVRICLDGGYHVLDESTQYSSDLRPTAKQLWKPPIGLLELGVLNATGLHPMKTREGKGTCDSYCVAKYGHKWVRTRTIVDNMSPRFNEQYTWDVYDHATVLTVGVFDNCQLSEKDSSTGGNKDVKIGKVRIRLSTLETGRIYTNTYPLLVLHNSGVKKMGELHLAIRFSVTSMVNSMYIYSKPLLPKMHYIRPLPIIQQEMLRHQAVQIVAARLSRMEPPLRKEVVEYMSDAHSHLWSMRRSKANFFRLMSVFSGLFAVAKWFGDVCSWKNPVTTILVHILFIMLVCFPELILPTIFLYMFLIGVWNYRFRPRYPPHMNTKISSVEAVDPDELDEEFDTYPTSRNPEIVRMRYDRLRSVAGRIQTVVGDIATQGERLHLLLTWRDPRATAMFLVFCLCAAMVLYVTPLQVVAAAAGFYLMRHPRFRHKLPSVPVNFFRRLPARTDSLL >Ma05_p16930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19126778:19133340:-1 gene:Ma05_g16930 transcript:Ma05_t16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVLSAHDLISKDGQGSVSPYVELRFDGQKFRTTIKEKDVNPVWNESFYFNVSDPSTLSDCPLEAHVYHFNRATNSGSFIGKVRLAGTSFVPYSDAVDLHYPLEKRGIFSRVKGELGLKVFLTDDPSIRSSVPQPAFDPILGSPASSHAQQPQAEVTGSSLNPFQESRPEPLRTFHHLAREQQQQPPQPYYSAAGQAVDQSVRYATDEMKSEPPRVVRMFSSSSSQQPVDFQLKETSPSLGGGRIIGGRMIPGDKAGAFDLVEKMEYLFVRVVKARELPAKDITGSLDPFVEVRLGNYKGTTRHFEKNQSPEWNQVFAFARERVQASFMEVVLKDKDLVKDDFVGLVRFDLNEVPSRVPPDSPLAPEWYRLEDKKGEKIKGELMLAVWYGTQADESFAYATHSDAVPAVDAHTLSNYIRAKVYHAPRLWYVRVNVIEAHDIFVSDKKRFPDVLCKARIGGQLMKTKAIQSRTPNFLWNEEFMFVAAEPFEEDLILSVEDRVAHNKDEEIGRVHVPLAAVEKRADDRIIRSKWWNLKRPVAVDVDQLKEDKFSSKIHVRICLDGGYHVLDESTQYSSDLRPTAKQLWKPPIGLLELGVLNATGLHPMKTREGKGTCDSYCVAKYGHKWVRTRTIVDNMSPRFNEQYTWDVYDHATVLTVGVFDNCQLSEKDSSTGGNKDVKIGKVRIRLSTLETGRIYTNTYPLLVLHNSGVKKMGELHLAIRFSVTSMVNSMYIYSKPLLPKMHYIRPLPIIQQEMLRHQAVQIVAARLSRMEPPLRKEVVEYMSDAHSHLWSMRRSKANFFRLMSVFSGLFAVAKWFGDVCSWKNPVTTILVHILFIMLVCFPELILPTIFLYMFLIGVWNYRFRPRYPPHMNTKISSVEAVDPDELDEEFDTYPTSRNPEIVRMRYDRLRSVAGRIQTVVGDIATQGERLHLLLTWRDPRATAMFLVFCLCAAMVLYVTPLQVVAAAAGFYLMRHPRFRHKLPSVPVNFFRRLPARTDSLL >Ma06_p30220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31552059:31559996:1 gene:Ma06_g30220 transcript:Ma06_t30220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDSIEAEENLFAVSDAKLHGAMCKQLSKIVYKVLGIFPVLEAARPRSKSGIQALCSLHVAIDKAKGLLQHCSECSKLYLAITADSILVKFEKARGALEQSLRRVEDIVPQAIGCRIIEIIRELEVTVFTLDQSEKQVGDEVISLLQKEKRFSSNSNDGEELEVFHQAALKLGIMSSRAALTERRALKRLIERARAEEDKRKESIVAYLLHLMRKYSKLFRNEAAQDTDSQGSAPCSPTVLGSIEDVGKNGQVFERQISKVSSFDFKKNGVKSSNMPIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFKDGHNTCPKTQQQLPHLSLTPNYCVKGLIASWCEQNGVSVPEGPPESLDLNYWRLALSEHDATYSRSMGSSGSCQMKNVQPVPMGESGVVEKLKVDQSATVDNGSCGDDEVDEFERYENLLAVFNEGGTVEKRCIVVEQIRFLLKDDEAARIYMGANGFMEALVQFLRSAVHEGNTKAQDSGAMALFNLAVNNNRNKEMLLSAGVIPLLEEMIQNSDAYEAAAALYLNLSCLDEAKPIIGSSQAVPFLIQLLQARHHRRSCKHDALYALYNLSTHPANIASLLAAGIINCLHSFTAPSGSTGNIWSEKALAILINLASSVEGKKEIVSTAGIIGAIAGILDTAAPADQEQAASCLLILCDDDKCIHMVLQEGVIPALVSISANGTPRGREMAQKLLKLFREQRQRELSPMQEQLHQVYRTMSIGGASAPEVRPLSKSRSRRLGRALTSMWKNRNSSWNHC >Ma06_p30220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31552071:31559996:1 gene:Ma06_g30220 transcript:Ma06_t30220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDSIEAEENLFAVSDAKLHGAMCKQLSKIVYKVLGIFPVLEAARPRSKSGIQALCSLHVAIDKAKGLLQHCSECSKLYLIIEIIRELEVTVFTLDQSEKQVGDEVISLLQKEKRFSSNSNDGEELEVFHQAALKLGIMSSRAALTERRALKRLIERARAEEDKRKESIVAYLLHLMRKYSKLFRNEAAQDTDSQGSAPCSPTVLGSIEDVGKNGQVFERQISKVSSFDFKKNGVKSSNMPIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFKDGHNTCPKTQQQLPHLSLTPNYCVKGLIASWCEQNGVSVPEGPPESLDLNYWRLALSEHDATYSRSMGSSGSCQMKNVQPVPMGESGVVEKLKVDQSATVDNGSCGDDEVDEFERYENLLAVFNEGGTVEKRCIVVEQIRFLLKDDEAARIYMGANGFMEALVQFLRSAVHEGNTKAQDSGAMALFNLAVNNNRNKEMLLSAGVIPLLEEMIQNSDAYEAAAALYLNLSCLDEAKPIIGSSQAVPFLIQLLQARHHRRSCKHDALYALYNLSTHPANIASLLAAGIINCLHSFTAPSGSTGNIWSEKALAILINLASSVEGKKEIVSTAGIIGAIAGILDTAAPADQEQAASCLLILCDDDKCIHMVLQEGVIPALVSISANGTPRGREMAQKLLKLFREQRQRELSPMQEQLHQVYRTMSIGGASAPEVRPLSKSRSRRLGRALTSMWKNRNSSWNHC >Ma06_p30220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31551995:31559996:1 gene:Ma06_g30220 transcript:Ma06_t30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDSIEAEENLFAVSDAKLHGAMCKQLSKIVYKVLGIFPVLEAARPRSKSGIQALCSLHVAIDKAKGLLQHCSECSKLYLAITADSILVKFEKARGALEQSLRRVEDIVPQAIGCRIIEIIRELEVTVFTLDQSEKQVGDEVISLLQKEKRFSSNSNDGEELEVFHQAALKLGIMSSRAALTERRALKRLIERARAEEDKRKESIVAYLLHLMRKYSKLFRNEAAQDTDSQGSAPCSPTVLGSIEDVGKNGQVFERQISKVSSFDFKKNGVKSSNMPIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFKDGHNTCPKTQQQLPHLSLTPNYCVKGLIASWCEQNGVSVPEGPPESLDLNYWRLALSEHDATYSRSMGSSGSCQMKNVQPVPMGESGVVEKLKVDQSATVDNGSCGDDEVDEFERYENLLAVFNEGGTVEKRCIVVEQIRFLLKDDEAARIYMGANGFMEALVQFLRSAVHEGNTKAQDSGAMALFNLAVNNNRNKEMLLSAGVIPLLEEMIQNSDAYEAAAALYLNLSCLDEAKPIIGSSQAVPFLIQLLQARHHRRSCKHDALYALYNLSTHPANIASLLAAGIINCLHSFTAPSGSTGNIWSEKALAILINLASSVEGKKEIVSTAGIIGAIAGILDTAAPADQEQAASCLLILCDDDKCIHMVLQEGVIPALVSISANGTPRGREMAQKLLKLFREQRQRELSPMQEQLHQVYRTMSIGGASAPEVRPLSKSRSRRLGRALTSMWKNRNSSWNHC >Ma08_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4114495:4116528:1 gene:Ma08_g06080 transcript:Ma08_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGHLSLSMSITVAVLLLGLPNSDGRSHYHKHKNSHKDHGGNQISVPPETAPAEPPGSSNDGGDNSSSEPCVFDVRSFGALGDGSSDDTQAFRAAWKAACAAESSVLLVPSDGVFMITSTIFSGPCKPGFVLQIDGVLMPPDGPDCWPASDSKKQWLVFYRVDGMTLKGEGTIEGNGEEWWNLPCKPHRGPKGSTLPGPCDSPALIRFFMSYNLTVRGLRVENSPQFHVKFDGCEGVHIEDLSIRSPALSPNTDGIHIENTKSVAIYNSVISNGDDCISIGPGCSNVDIANVTCGPSHGISIGSLGVHNSQACVSNITVRNARIRNSDNGVRIKTWQGGTGSVSGISFDNIYMENVRNCIIIDQYYCLTKQCLNQTSAVWVTDVAYANIKGTYDVRSPPIHFACSDAVACTNITLSDVELLPYEGVLVDDPFCWNAYGIMQTLTIPPMSCLQEGQPQSLQENPVLGC >Ma09_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13251766:13252531:1 gene:Ma09_g17720 transcript:Ma09_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVEVGVEVKSSPDKFWTAIHASTKLIPRILPPHHKSIRIIEGDGNSVGTIRLLKYAQGVPLITFAKQAAEELDDADITDSEIVSFYKTFKTTLKVEARGDSSLVKYYIEYEKVYEEVPDPHLTQEMAVKHYNELFDLS >Ma01_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2596447:2599653:1 gene:Ma01_g03950 transcript:Ma01_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein homolog [Source:Projected from Arabidopsis thaliana (AT1G61620) UniProtKB/TrEMBL;Acc:A0A178WN49] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGRDSIKPFDACCLCLKPLVDPLCCQKGHVFCKECILECLLAQKKDIKRKLAAHAAQQKQEKEEEEEKLMSQKARELDAFDQQNHGAVPQYSDRSQVRDKNGFHGANSVKVTSYEEEALRNMKAFWLPSATPDAPVKVAAPSIDTICPEGKEKLKLKSLFPICFTEESNEQKNKSKALEISYICPSCKVTLTNTLSLVAMSTCGHVFCKKCSDKFLAADKVCLVCNKGCKERNLVCLAKGGTGFAGHGNHLEATEFKHLGSGTGLGLWKPATKS >Ma10_p10470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24400605:24402537:1 gene:Ma10_g10470 transcript:Ma10_t10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCPSPTFITVVSPTPSQHFPHLCSDHPHLTKDQTSLPVQRIFWNNSSICSREGILYLSYLYLLQHHICFQNCSPAISSNTCKQRDKKRRIEGNIMMRRKQERLEQGLQVKVPSPFRCPISLDVMRSPVSLCTGVTYDRASIQRWLESGNTTCPATMLPLPSTDLVPNLTLQRLIHVWSSSAASAAASPTPLVSRRIAGGIIRELRSASSSTSDDDLVPQLRKLADFFSADNVDDTDKNDLVNAGGCASVLVSLLLGRDFKLESLVEVARILALILTSDFVEESNKKIAVSHLCTDLDRSVSALIRVLRDGNGLESRIDAAIVLDAIIAASDAGTKVLIAEEVLIRELVRLIEPSDEKGAAMDRRAVEAGLGCLARISTAKRARPRVVRAGVVPALARVLMANSAVAPAATAEKALRVMEAAAGCAEGRAAICEDGAAAATAVVARMVKAGREGAESAVAVLWSVCHAFRDRRAVEAVAAAEGGATKMLLLMQSGCSPAARQMAADLLKIYRVNSKSRLAGYDTKTTHITPF >Ma03_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25667102:25679483:-1 gene:Ma03_g20510 transcript:Ma03_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MMSDDPFARHRAFISASVSAPSFPTFNSPSSSSSRSPSLSPNPNPNPLLRPSPSSSSAAAAAAAASASSAASKSRLISPPSFSHNGRVALALAPAAAFLLDLGGAPVLTVLSVGLILTYLLDSLRLKSAAFFAVWASLIASQLAFFFSASVYYAPLLAGLALLLCAETTFLIGVWASLQFRWIKIESPSILPALERLLFACIPIIVPALFTWATVSALGMVNAAYYLMAYSCLFYWLFALPRSSAFKSQKHEAGESQILGPFEGCLHTLYLLFVPLLFRVGSHHATVFSSFSSVCDLLLLFFIPFLFQLYASMKGALWWVTRDAHQMHQIRVVNGAVAMVVVVICLEVRVVFHSFGRYLHAPPPLNYLLVTVTMLGGASGVGAYAVGMVGDAFSSAAFTVLSVLVSAAGAIVIGFPVLLLPLPMISGFYIARFFTKKSLTSYFAFVALASLMVLWFVVHNYWDLNIWIAGMSLKSFCKLIVASIIMAMAVPGLALLPLKLRFLTEIGLTGHALLLCYIEDRFFNYTVIYYFGFDEDVMYPSYMVLTTTLLGLALVRRLSVDQRIGPKAVWILTCLYLSKLAMLFITSKSVLWMTAILLLAVSPPLLLYKDKSKGASKMKSWQGYAHASVVALSAWLCRETIFEALQWWNGRPPSDGLLLGSFILLAGIACIPIVALHFSHVQLAKRILVLVVSMGLLFVLMQPPISLSGALHSDLIKSAYQSSDDISIYGFVATRPTWPSWLLIVTILLTLAAVTSIIPIKYIVELRAFYAVGVGITLGIYICAEYFFEAIILYPLLVSTIVCASVLIVFTHLPSASSTRLLPWVFALLVALFPVTYLLEGQLRAKNIEEGEEAERFNTLLAVEGARMSLLGLYAMIFMLIALEIKFELASLLREKALGRGAPTSQFDHKSGFPPKSRLIQQRRPSAAPSFTIKRLATEAAWMPAVGNVSTVMCFIICLILNIHLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTLAISGYLVLTALYRIWEEAWRGNMGWGLEIGGPGWFFAVKNAALLMLTLPNHILFNRFMWDYVKQTDSVLLLTLPLNLPSIVITDIVTVRVIGLLGVIYSLSQFLISRRIRIAGMKYI >Ma08_p25800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38528157:38535397:-1 gene:Ma08_g25800 transcript:Ma08_t25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEGATGNESDAPRVLGEDDLPPCCRKARASAPESEATCHETVVSGWFSKPLSDTDGELVYFNNPMWPGEAHSLKVEKILYQGKSEFQEILVFESSMYGKVLALDGIVQLTERDECAYQEMIAHLPLCSIPSPKTVLVLGGGDGGVLREIARHSSVEHIDICEIDKMVIDVCKKFFPDLSVGFEDPRVHLHIDDAVKFIRDTPEGMYDAIIVDSSDPIGPARELVEKPFFEIIARALRPGGVLCNQAESMWLHTHLIQEMLSICRETFSTVHYAWASVPTYPSGVIGFLLCSTNGPPVNFLNPINPIDKQEVVKYKELKFYNSEIHKAAFALPAFAKRELSSFLSTPLRQADC >Ma10_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28964249:28965577:1 gene:Ma10_g17650 transcript:Ma10_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCSKEGLNRGAWTANEDKILVSYISTHGTTKWGSLPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISDDEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLVKRLQGGSSQLPSNPSPATAQSKGKDTAVATPPPVTGSKVIQTKALRCTTAFFGQDTACMPPRLAPEESDGCSKISPFGSSLDVVAAFPSSSDAWKERDDGVQVENPESKEADDAANMGFYPDDLMSLDGALIGNWMEKDQFQQDNMLDVKLLASILEADEQGYWS >Ma05_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:635278:639081:1 gene:Ma05_g01070 transcript:Ma05_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEVVRNIGSGNFGVAKLMRHKETKELVAMKYIERGYRIDENVAREIVNHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICDAGRFSEDEARYFFQQLICGVSYCHFRQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKQADIWSCGVTLYVMLVGAYPFEDQRDPKNFRKAIGRIMSVQYKIPDHVHISQDCKQLISRIFVANPMKRLTIREIKSHPWFLKNLPRELTETAQALYYRRDNNAPPTYSTQSVDEIMNILTEARAHRKLSRPILGCPQDESEEEEAKDEKEEDDEYDNTVKAVGEFGFN >Ma11_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5088228:5095285:-1 gene:Ma11_g06300 transcript:Ma11_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVRPQFVLFGDSITQQSFRPGGWGAALADTYSRKADVIVRGYGGYNTRWALFLLNHLFSLNCTAPPAAVTIFFGANDAALLGRTSERQYVPLEEYKENLRKIVKHLKECSAMILVVLITPPPVDEQGRTEYARSVYGEKAMELPERTNDNTGAYARQCVELAKELHIPCIDLWSKMQETTGWQKKFLSDGLHLTEEGNSVVHEEVVQVLTDAHLRAEAMPYDFPHHSEIDSDHPEKAFEPKS >Ma09_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4510610:4512546:-1 gene:Ma09_g07010 transcript:Ma09_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELHVVMFPYLAFGHISPFLQLARKISSCAASGGVRVTFLSAAANVPRIKSLLPASTSISVVPLYLPAVPGLPPGVESTADLPPTSPAAELLKLAVDGTRPQVESLLRELRPHLAVFDFGMQWLPEVAEPLGVRTLFFSVFAAVSTAYLTVPARRLHGPAPTLGDIMSPPPGFPSAASSIRSVPAYQAADFLYIFKSFGGGACVYERVVKCIESCSAIVAKTCTEMEGSYIDYVEGQFGKPMLLAGPVVPVPPEGELEARWAKWLGGFAEGTVVFSSFGSETFLTDEGERELLLGLEMTGMPFLVVLNRPKSCTNGEQEEVRKRLPEGFEERVKGRGMVHSGWVQQQHILRHKSVGCFVCHAGLSSVMEGVAAGCQLAMMPQKGDQYLNAALFARDLGIGVEVERKGEDGSFTRESVCAAVRKVMAAEAEARKKHAKWREFLLKKELQDGFTARLVERLRELAKA >Ma06_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5189811:5195727:-1 gene:Ma06_g07280 transcript:Ma06_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLGRSIKRAPLGFGTKVSLVFFLGLAFVVTWWTFSSPSASAVSSQRSSFDDIEALPATPAPRKGKEQSRKKAHQKKPPDSLPKNENSKRTAVGSKNDKKEKEKKKGGGGGEIEQVAAAKNETSKPEGQQDEEESEEKVDVEGLEEVTDSENATDEDMEEGVDANAEDDAGEDNLKNRSKKKKKLGPLFDPKARYTWKLCSGKTGHNYIPCVDMEGGHRHHERSCPRTPITCLVSLPKDYKPPEAWPERESKIWFKNLAHPKLSEAIKTQSWLNLSGDYLFIPSEESEFKGGAQHYVSSIEEMVPDIEWGKNIRVVLDIGCTGAGFGAVLLKKDVATLSLGLMSDQTDMAQLALERGIPAVVGNLRSRRLPFPSSVFDAIHCGECGIPWHSNGGRLLLEINRVLRPGGYFITSTKHGDIDAEEGMTALLSSVCWNILAHKADEVSETNIRIYQRPTSNDVYEIRATKEPPFCRENENQDAAWYTPVKACLHKVAAAIEQRGTDWPEEWPKRLETFPEWLADSEDKLTKDHEHWKAIIDKSYLAGLGIDWSNIRNVMDMKAINGGFAAALASQKVWVMNVVPVHAPNTLPIIFERGLLGIYHDWCEPFSTYPRSYDLLHADHLFSRLKNRCKQPIVIVVEMDRILRPGGWVIIRDKLEILNPLETILRSLHWDIRMTYGKDKEGLLCAQKTTWRP >Ma05_p10240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7366353:7369459:1 gene:Ma05_g10240 transcript:Ma05_t10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVAMFSAVSVVVLAVHWVYRWRNPRCSTGNLPPGSMGLPLLGETMQFFSPNTTFDVSPFIKNRIKRYGPVFRTSLVGLPVVVSTDPELNHFVFQQEGRLFESWYPETFTEIFGRSNVSSLHGFMYKYLKSLVLKLFGPESLKELLLRDVETAACANLSSWSRLSSIELKEATSNMIFDLTAKKLISYESSSSSESLRKNFVAFLRGLISYPVDLPGTAYHRCMQGRKNVLKVLKNMLKERRNSPWKQHGDFFDCVIEELNKERSLITETIALDLMFALLFASFETTSLALTLAIKFLTDHPNVLEKLTEEHDTILKNREDPMTGVTWMEYRSMTFTFQVINETARLANIAPGIFRKALKDIQFNGYTIPAGWRIMVCPPAVHLNPEIYEDPLTFDPSRWKERPELNGGSKHFMAFGGGMRFCVGAEFAKLQMAIFLHRLVTKYSWRAIRGGNIVRTPGLGFPNGYHIQLFPKA >Ma09_p01920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1425439:1425546:1 gene:Ma09_g01920 transcript:Ma09_t01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKLSPVLSLLTPLLLFISYCFSFQKLSINLHIL >Ma06_p02230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1749127:1757260:-1 gene:Ma06_g02230 transcript:Ma06_t02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDAQKHQDDGAPRNGRSGGNGFFSVRSLSNYMRIVSSGASNVASNVRSAGASIVSSISNRHDDAGRDQVYWAGFDKLECEGGHLRQVLLLGYRSGFQVWDVELADDVRQLVSRHDGPVSFLQMQKKLIPSKYREDKFADVRPLLIVVEDSSVTLDGNDPDGCGSPCNKSNGHHDLGSDNLLSTYLRFYSLRTHDYVHVLKFRAAVFSVRCSSRVIVVCQATQIHCLDAATLEREYTVLTYPIVPACPGSGGIGYGPLAIGTRWLAYSGNPVAFSSTGRVSPQHMYPPTGVSVPHSNGSLVANFAKESSKQLAAGLVTLGDMGYKKLSKYYSDFLVDNNGSGKQGNSSLKLNGTMNGQQSETENAGMVIIRDIISKSVIAQFRAHSSPISALCFDPSGMLLVTASIHGHNINIFGIVPSPRGGSTESDIKGTCIHLYRLQRGITNAIIQDISFSDDSKSIMISSSRGTSHLFALPAFGATMKPHLSEGKFANSCYESGHLRYSSSSSKHSHQSLFASGTPLTLSAVSRIRNGSNGLKGAVSGAAAAATGKVSPLYGAIASAFHNCKGSGLQIDISSVRTQYYLLVFAPSGCIIQYVLRQWIGENYGISVSGSSNASYQSIQEADGRLDVEALQKWDVCHKRNRRDRSDNVDIYGDHGNDQSAKIFQKGLRKGTSIYPAGSVVDMKGKLSGEETHHAYLSEVELHVHEACTPLWAKSEVCFQVMIDENIKEHYSSNIHGEIEIEKITSCTIETRSKDLIPVFDYLQTPMFQQPRTTASVVNKLGSIPRQKSGLLESGRLSHRSSSSSLDCISDNATMAELPNDISGNDWSRSFANANKGFVHNTTNSQNIRGQLKFVNGSDDLKLEAQLEPVDNMKNLKIQDPLSGYDNGIC >Ma06_p37010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36209340:36212752:-1 gene:Ma06_g37010 transcript:Ma06_t37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) UniProtKB/TrEMBL;Acc:F4K470] MRGSAIYARLPSPPLPIKPPYFSRFISFFPSRSVAVSPRLSSPVSTPFTTFCSKAASESGSLVGEPKEMTITKTVWVSDRKLVIKDRTVLSGVPENVISSSAVSSGPVDGIFLGTQFSEPSCRHVVSLGTLRDVRFLASFRFKLWWMAQKMGDQGRDIPHETQFLLLESKDGSQLTAMDGSGSSSDEIVYTVFLPLVEGPFRACLQGNSRDELELCLESGDDDTKSASFSHVLFVGAASSDPFAAISGAIDAVKSRLKSFRHRSDKKLPGVVDYFGWCTWDAFYQDVTQEGVEAGLRSLTTGGAPPRFVIIDDGWQSVGSDQSSEEPEKPSPLLRLTGIKENGKFQSKEDPAAGIKTIVQTAKDKYGLKYVYVWHAITGYWGGVRPGVEGMEDYNSKMQYPKVSPGVVENEPGMKTDVLTMQGLGLVHPKSVYKFYNELHRYLAAAGIDGVKVDVQCILETLGAGHGGRVELTRQYHRALDASIAKNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDSVSHTIHIASVAYNSIFLGEIMLPDWDMFHSLHPAAEYHASARAISGGPIYVSDAPGKHNFELLKKLVLPDGSILRARLPGRPTRDCLFSDPARDGVSLLKIWNMNKYTGVLGVFNCQGAAWSSTEKKNMFHQTTSEALTCAVRGSDVHLVSEAATDRDWKGDCVAFRHRDGELVLLPFRAAMPVSLKVLEHEIFTVSPIKDLAPGIRFAPIGLADMFNAGAAVEGLTYHVLSAASMLESGSGFGGSESNEAPPPANRGPDALAIICMEVKGCGRFGAYSSVRPRRCSLGSVDVEFAYDSSSGFLALHLEAMPKGDQRVHNVVVEV >Ma02_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21863962:21892050:-1 gene:Ma02_g13490 transcript:Ma02_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDDDEEDYEEIGGKNRLLGFMFGNVDNSGDLDVDYLDEDAKEHLSALADKLGPSLTDIDLIKSSPAPSDASSEQDYDEKAEDAINYEDIDEQYDGPEVEATTEEDHLLPKKEYFSSSSLLVSLDHKASVFDEENYDEDEETVKEHEEVEDKTETQIIASSAEQLDLILVKEVPSDDNLASAVSSDEDVDIFELGDLEEPVVEQEKIESHGDTSLPVLCIEDGMVILRFSEIFGRHEPARKPERKVHQKRPIERVRTLDVADIVEEDEEAFLRSSSQNLSNAKNSGSTHVDFDDFVEEPVSGVDEKHKDLFLSAQPMKDDIDLNMFLRKSSVCPDLYPFDQLDWENDIVWGNSPEASHGCSESCVATEHDVEANNDAKSEEFLQRDAEVAEQDVNMPKDFILVESFGSRNFSISRYNQSYEANYPSRSDVSELVSRRDILCSPEAMTQNRFEEVCKSGALGRLNKLSLLNKELLEGSWLDNVIWDSDEDIPKPKLILDLQDDQMLFEILDNNARDHLRSHAGAMMINRSSQSFLEDSFDLHSQGMASAGQFNISNDKYYSNRKTSQQTKSHAKKRTLLSLKVMHSVPALKLQTMKPKLSYKEIANFHRPKSLWYPHNNAIAAKAQGPPCFHGSMKVVLISLGGKAIKLHVNAEETLSAVKLRASKKLDLKPSEKFKVFYSGRELEDHMTVAAQDVRPNSVLHLVRTRIYLWPKAQKLPGENRPLRPPGAFKKKSELSVKDGHVFLLEYCEERPLLLGNVGMGARLCTYYQKTAPGDQTASSLRNGNNRLGTVLTLDPADKSPFLGDIGSGCSQTCLETNMYRAPVFPHKLSSTDFLLVRSAKGMLSLRRIDKLYVVGQQEPHMEVLSPGAKTVQNYLVNRMLVYVYREFRATEKPGILPHIRADELFAQFPGLTDAFVRKRLKHCADIKKGSNGQLLWVRKVDFRIPSEEELRRILSPENVCSYETMNQLPDEAIALAGASHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPTGRGLGFSYVRVTSKPPISSAISKKKAAAARGSSTVTGTDADLRRLSMDAAREVLLKFNVPEEQIDKLTRWHRIAMVRKLSSEQAAAGVKVDATTLNKFARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAADGDENDSDSEAISDLDSFAGDLENLLDAEEFDEEDDGNTDMRGEKADGVKGLKMRRCPSQTQTEEEIEDDKAEAAMIHRLLEDDGAEIKKKKKKPAGMEAIGSHLGPENTDFTKKTSTGVGQTIIASHADGSYISKDIMLRETKEVEKLHPEMNLPGKIKPKKANGVSEDISTGLLKRKSVAPKEGIKVFKEKKQSDKPVRESFVCGACGQLGHMRTNKNCPKYREEPETSELESATAKPSHPDVAGQFQVKTPSKKSISNALAKVSEVEASENVEKAGLKMQGKIIPVKFKCGPADKPSEKTLSGAHSFNKHITDADIESKSTGKINKIIISNKKKTEDVQQEKPKHAVVIRPPVDTEKDQPKKKIIIKQPKVNTNIEPPRQPSRQFYDAEMDYDFRKTKKIAELSSYEEQKKPENKLFAGEAIKRYQGHGRRSVEEEEKRRSREKARRMLEDERRMQEETQRLSESRRYEEAIRKEELRKAKKKKKTVKPDFRDEYLSEHRPYRNDRRIPERDRAAKRRAMVEPGQLDYAPVAKRRRGGEVEFSNILGSIVESLRDNYEVSYLFLKPVTKKEAPDYLDIIEQPMDLSTIRDKVRNMEYRTREDFRHDIWQITFNAHKYNDGRNPGIPPLADQLLELCDYYLQQRHEELSDAEAGIDTRT >Ma02_p13490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21863962:21892050:-1 gene:Ma02_g13490 transcript:Ma02_t13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDDDEEDYEEIGGKNRLLGFMFGNVDNSGDLDVDYLDEDAKEHLSALADKLGPSLTDIDLIKSSPAPSDASSEQDYDEKAEDAINYEDIDEQYDGPEVEATTEEDHLLPKKEYFSSSSLLVSLDHKASVFDEENYDEDEETVKEHEEVEDKTETQIIASSAEQLDLILVKEVPSDDNLASAVSSDEDVDIFELGDLEEPVVEQEKIESHGDTSLPVLCIEDGMVILRFSEIFGRHEPARKPERKVHQKRPIERVRTLDVADIVEEDEEAFLRSSSQNLSNAKNSGSTHVDFDDFVEEPVSGVDEKHKDLFLSAQPMKDDIDLNMFLRKSSVCPDLYPFDQLDWENDIVWGNSPEASHGCSESCVATEHDVEANNDAKSEEFLQRDAEVAEQDVNMPKDFILVESFGSRNFSISRYNQSYEANYPSRSDVSELVSRRDILCSPEAMTQNRFEEVCKSGALGRLNKLSLLNKELLEGSWLDNVIWDSDEDIPKPKLILDLQDDQMLFEILDNNARDHLRSHAGAMMINRSSQSFLEDSFDLHSQGMASAGQFNISNDKYYSNRKTSQQTKSHAKKRTLLSLKVMHSVPALKLQTMKPKLSYKEIANFHRPKSLWYPHNNAIAAKAQGPPCFHGSMKVVLISLGGKAIKLHVNAEETLSAVKLRASKKLDLKPSEKFKVFYSGRELEDHMTVAAQDVRPNSVLHLVRTRIYLWPKAQKLPGENRPLRPPGAFKKKSELSVKDGHVFLLEYCEERPLLLGNVGMGARLCTYYQKTAPGDQTASSLRNGNNRLGTVLTLDPADKSPFLGDIGSGCSQTCLETNMYRAPVFPHKLSSTDFLLVRSAKGMLSLRRIDKLYVVGQQEPHMEVLSPGAKTVQNYLVNRMLVYVYREFRATEKPGILPHIRADELFAQFPGLTDAFVRKRLKHCADIKKGSNGQLLWVRKVDFRIPSEEELRRILSPENVCSYESMQSGLYRLKQLGISRLTHPVGLSAAMNQLPDEAIALAGASHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPTGRGLGFSYVRVTSKPPISSAISKKKAAAARGSSTVTGTDADLRRLSMDAAREVLLKFNVPEEQIDKLTRWHRIAMVRKLSSEQAAAGVKVDATTLNKFARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAADGDENDSDSEAISDLDSFAGDLENLLDAEEFDEEDDGNTDMRGEKADGVKGLKMRRCPSQTQTEEEIEDDKAEAAMIHRLLEDDGAEIKKKKKKPAGMEAIGSHLGPENTDFTKKTSTGVGQTIIASHADGSYISKDIMLRETKEVEKLHPEMNLPGKIKPKKANGVSEDISTGLLKRKSVAPKEGIKVFKEKKQSDKPVRESFVCGACGQLGHMRTNKNCPKYREEPETSELESATAKPSHPDVAGQFQVKTPSKKSISNALAKVSEVEASENVEKAGLKMQGKIIPVKFKCGPADKPSEKTLSGAHSFNKHITDADIESKSTGKINKIIISNKKKTEDVQQEKPKHAVVIRPPVDTEKDQPKKKIIIKQPKVNTNIEPPRQPSRQFYDAEMDYDFRKTKKIAELSSYEEQKKPENKLFAGEAIKRYQGHGRRSVEEEEKRRSREKARRMLEDERRMQEETQRLSESRRYEEAIRKEELRKAKKKKKTVKPDFRDEYLSEHRPYRNDRRIPERDRAAKRRAMVEPGQLDYAPVAKRRRGGEVEFSNILGSIVESLRDNYEVSYLFLKPVTKKEAPDYLDIIEQPMDLSTIRDKVRNMEYRTREDFRHDIWQITFNAHKYNDGRNPGIPPLADQLLELCDYYLQQRHEELSDAEAGIDTRT >Ma08_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1393519:1395008:1 gene:Ma08_g01630 transcript:Ma08_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGGATPAKVIFGKYELGRVLGRGASAKVYYARDIAYGRSVAIKVFPKPSRPSSSGSASSSSSDSFIREISALRLLRHPHIVRLHEVLASRSSVYLVLELAKGGELISRVHDRGRLPDDLSRRLFHQLLSAVAYSHSRGVFHRDLKPENLLLDDAGDLKVSDFGFSAVSSFSEDYLLHTQCGTPGYVAPEILLSRKGTTGYDGAKADIWSCGVILFVLNAGYLPFNDRNLVSLYRKIHRGHHRCPRWTPPDLRRLISRLLDPNPATRISVDGILRDPWFARGVDPEQLATMMRPQGSDAGLDDKPHRRRGGELNAFDLISFASGLDLSGLFVDAAWDRERFASVEPVDRILDRVEEVGREAGLVVRREGEKGSAAVIEGHKGEFVLTLQVHRLTGGTAVVEVEVGSGAYGSFWNEKLRPMLSGPLRVGGLG >Ma06_p28440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30126585:30127915:-1 gene:Ma06_g28440 transcript:Ma06_t28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRFRFGFGSGSSGGSRKGRKSSSKRPKEPQRGLGVAQLEKIRLQSQMNGYVSAFDFSFPSDLNMEDSKVKAAAPSSPSSSGSPTLALHPNMTVGHGNNDKRCLNYVEHWSDASARSQLNEASIYPYHYHAHPVALPLFRQSIEDAFTAQDSAQKRRRHDRSLSLGSNGQISDPNQTQELDLELKLSL >Ma05_p27860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38990193:38994802:-1 gene:Ma05_g27860 transcript:Ma05_t27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDAEAPLVAGNGSGEKDLDAALHCLEGFLSLLGFPDSSSRARLAASCVAFLLLGVAVPSAAICLSRCSRPGCGEYEVQQFELCVLVSEASLAAVSLACISRNLLKYGIRRFLFVDQHHGQVERFQKEYVCKIQELFRLLLWWILPCFLVMTAREIVRFIYIFRESAWTSVMVLVASILSWVYMTVILLSACLLFNLVCNLQIIHFEDYGKLLERDVDALTCLEEHLRLRYYLSKISHRFRIFLLLLFLFVTVSQFVTLFQTTGYNGKINFANAGGLAVSSVVQVVVVVLCLNAASKISHRAQGIASLASRWHAYVTCCSTGCQMRATNSSGNLEAISASSSLMDFSESDLESFENVAMQNNVQLASLISSYHKRQALVIYLQSNPGGITIFGWTVDRTLLNTIFFLELTLVLFVLSKTIVLPSM >Ma09_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7332541:7334682:-1 gene:Ma09_g10770 transcript:Ma09_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEETGGPAAPLLPQPTPPQSAPPCYGVPVAAAAFQDPYFPDPPAYVLLPVYPRRRRQRCGCFSCCGSLISSSSTLLSAAFFLVLLSSAFFLWPSDPVVTVTRFHLEDIRVTPPPLAAIGISLRVDLRVRNPDFFSLDHRSIVVSIGYRGRPLGSVTANGGHIKARGVSHVHAKLKIDGIRVWNDAIYLIEDLARRSLPLDTVTEVDGRMRLFFVDVPVQGKISCLVSVNPETRELINQDCYPEVSYLTSVTK >Ma09_p10770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7332523:7334682:-1 gene:Ma09_g10770 transcript:Ma09_t10770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEETGGPAAPLLPQPTPPQSAPPCYGVPVAAAAFQDPYFPDPPAYVLLPVYPRRRRQRCGCFSCCGSLISSSSTLLSAAFFLVLLSSAFFLWPSDPVVTVTRFHLEDIRVTPPPLAAIGISLRVDLRVRNPDFFSLDHRSIVVSIGYRGRPLGSVTANGGHIKARGVSHVHAKLKIDGIRVWNDAIYLIEDLARRSLPLDTVTEVDGRMRLFFVDVPVQGKISCLVSVNPETRELINQDCYPE >Ma04_p10890.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7685590:7689291:-1 gene:Ma04_g10890 transcript:Ma04_t10890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCNILFVVPPPRKKVAVYSVGASSRSEPCFDFEEDRMATTFDRWEKDPFFAAAEEVQDSADRLESVYRQWTYTRESASKSAGRDEPVSGELKRELHTALGTAKWQLEEFEKAVRSNDEALSVGEDTRARHSEFMLAIGSRISALENSLRETNFKAGEGSLTWVRLDEGENDELAQFLSYTSLEDRKEIPIASAIDVKVGNDLIRTNGEALIDCSKDSRHSKQLSSLHREDQKVHNIHRSASCAGDIGACAITIPSEGEDTSERSSDDRSNLPPPRVLSLSGLSSALDSTSRMRWYKNGFKKWSAQDRHDVMESIPLRNYEPSQGINGCYERSKSCLSSRGQDVYNKHLYGYLGAFQRLLRRSQYQIQYGHHIKTILWATLVVLLIVIFVLHLNK >Ma04_p10890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7685590:7689291:-1 gene:Ma04_g10890 transcript:Ma04_t10890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCNILFVVPPPRKKVAVYSVGASSRSEPCFDFEEDRMATTFDRWEKDPFFAAAEEVQDSADRLESVYRQWTYTRESASKSAGRDEPVSGELKRELHTALGTAKWQLEEFEKAVRSNDEALSVGEDTRARHSEFMLAIGSRISALENSLRETNFKAGEGSLTWVRLDEGENDELAQFLSYTSLEDRKEIPIASAIDVKVGNDLIRTNGEALIDCSKDSRHSKQLSSLHREDQKVHNIHRSASCAGDIGACAITIPSEGEDTSERSSDDRSNLPPPRVLSLSGLSSALDSTSRMRWYKNGFKKWSAQDRHDVMESIPLRNYEPSQGINGCYERSKSCLSSRGQDVYNKHLYGYLGAFQRLLRRSQYQIQYGHHIKTILWATLVVLLIVIFVLHLNK >Ma04_p10890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7685589:7689291:-1 gene:Ma04_g10890 transcript:Ma04_t10890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCNILFVVPPPRKKVAVYSVGASSRSEPCFDFEEDRMATTFDRWEKDPFFAAAEEVQDSADRLESVYRQWTYTRESASKSAGRDEPVSGELKRELHTALGTAKWQLEEFEKAVRSNDEALSVGEDTRARHSEFMLAIGSRISALENSLRETNFKAGEGSLTWVRLDEGENDELAQFLSYTSLEDRKEIPIASAIDVKVGNDLIRTNGEALIDCSKDSRHSKQLSSLHREDQKVHNIHRSASCAGDIGACAITIPSEGEDTSERSSDDRSNLPPPRVLSLSGLSSALDSTSRMRWYKNGFKKWSAQDRHDVMESIPLRNYEPSQGINGCYERSKSCLSSRGQDVYNKHLYGYLGAFQRLLRRSQYQIQYGHHIKTILWATLVVLLIVIFVLHLNK >Ma04_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7685591:7689291:-1 gene:Ma04_g10890 transcript:Ma04_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCNILFVVPPPRKKVAVYSVGASSRSEPCFDFEEDRMATTFDRWEKDPFFAAAEEVQDSADRLESVYRQWTYTRESASKSAGRDEPVSGELKRELHTALGTAKWQLEEFEKAVRSNDEALSVGEDTRARHSEFMLAIGSRISALENSLRETNFKAGEGSLTWVRLDEGENDELAQFLSYTSLEDRKEIPIASAIDVKVGNDLIRTNGEALIDCSKDSRHSKQLSSLHREDQKVHNIHRSASCAGDIGACAITIPSEGEDTSERSSDDRSNLPPPRVLSLSGLSSALDSTSRMRWYKNGFKKWSAQDRHDVMESIPLRNYEPSQGINGCYERSKSCLSSRGQDVYNKHLYGYLGAFQRLLRRSQYQIQYGHHIKTILWATLVVLLIVIFVLHLNK >Ma04_p10890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7685590:7689291:-1 gene:Ma04_g10890 transcript:Ma04_t10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCNILFVVPPPRKKVAVYSVGASSRSEPCFDFEEDRMATTFDRWEKDPFFAAAEEVQDSADRLESVYRQWTYTRESASKSAGRDEPVSGELKRELHTALGTAKWQLEEFEKAVRSNDEALSVGEDTRARHSEFMLAIGSRISALENSLRETNFKAGEGSLTWVRLDEGENDELAQFLSYTSLEDRKEIPIASAIDVKVGNDLIRTNGEALIDCSKDSRHSKQLSSLHREDQKVHNIHRSASCAGDIGACAITIPSEGEDTSERSSDDRSNLPPPRVLSLSGLSSALDSTSRMRWYKNGFKKWSAQDRHDVMESIPLRNYEPSQGINGCYERSKSCLSSRGQDVYNKHLYGYLGAFQRLLRRSQYQIQYGHHIKTILWATLVVLLIVIFVLHLNK >Ma07_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24931301:24935015:1 gene:Ma07_g18290 transcript:Ma07_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRTDKLIRRTAMAGTVTAAYFLITADYGPQDHALLAITRAIKSMESSLKRFVFGSGDGTRENEEDVHSK >Ma03_p07710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5444228:5445238:-1 gene:Ma03_g07710 transcript:Ma03_t07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQIHNFMKVSVDSFSELPFIRAVPAQQNTPDTSPVIRLFGIDFAMEPDASQDGPSNDPPSSTTETSNTPSAANADSGESARKFECHYCCRKFPTSQALGGHQNAHKRERQHAKRAHIHSALATQQYHHPSFFPDDHVYGYLNYRHLGSIPSAARFAHPPPLHCPSWTSTCPIMNPSACFHGSLGSTSQPIKSSTLPELWRMPVHDGKPSFHGDYPPAQPLFGGRDTKEMVVEGATTLVSPKDQGGFDSGSKNGLSLDLRL >Ma03_p29290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32234549:32238695:1 gene:Ma03_g29290 transcript:Ma03_t29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLDFSDWWAKEIRSGTPVVVTMQNPNYSVLEVDGPGAAAFRPADKGRGRNAKQVTWVLLLKAHRAVGCVAWLAAGLWSLLGALKKRVFFGQGFSTESDKPGKGRLLFRFLRGFLVLSIAMLALEMIAYWKGWHFKKPNLQLPESLHMPETTEIQGWMHNAYLCWLAFRSNYIAYPIQVLSNFCIVLFMIQSLDRMILCLGCFWIKLKKIKPTIDSESFKADDVESSGSEYPMVLIQIPMCNEREVYEQSISAACQIDWPRDRVLVQVLDDSNDETIMLLIRAEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFTPNPDFLKKTIPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKISVWKKANLILLFFLLRKLILPFYSFTLFCVILPLSMFVPEAELPLWVICYIPVIMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMVVGLFQLGSSYEWIVTKKAGRSSESDLLVAAERCSKPVGHLQLHRGVSMSELMELNKLKDQQEEAPPPPPAKRGNQLYKKELALALLLLTAAVRSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQMV >Ma03_p29290.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32234549:32238695:1 gene:Ma03_g29290 transcript:Ma03_t29290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLDFSDWWAKEIRSGTPVVVTMQNPNYSVLEVDGPGAAAFRPADKGRGRNAKQVTWVLLLKAHRAVGCVAWLAAGLWSLLGALKKRVFFGQGFSTESDKPGKGRLLFRFLRGFLVLSIAMLALEMIAYWKGWHFKKPNLQLPESLHMPETTEIQGWMHNAYLCWLAFRSNYIAYPIQVLSNFCIVLFMIQSLDRMILCLGCFWIKLKKIKPTIDSESFKADDVESSGSEYPMVLIQIPMCNEREVYEQSISAACQIDWPRDRVLVQVLDDSNDETIMLLIRAEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFTPNPDFLKKTIPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKISVWKKANLILLFFLLRKLILPFYSFTLFCVILPLSMFVPEAELPLWVICYIPVIMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMVVGLFQLGSSYEWIVTKKAGRSSESDLLVAAERCSKPVGHLQLHRGVSMSELMELNKLKDQQEEAPPPPPAKRGNQLYKKELALALLLLTAAVRSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQMV >Ma03_p29290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32234549:32238695:1 gene:Ma03_g29290 transcript:Ma03_t29290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLDFSDWWAKEIRSGTPVVVTMQNPNYSVLEVDGPGAAAFRPADKGRGRNAKQVTWVLLLKAHRAVGCVAWLAAGLWSLLGALKKRVFFGQGFSTESDKPGKGRLLFRFLRGFLVLSIAMLALEMIAYWKGWHFKKPNLQLPESLHMPETTEIQGWMHNAYLCWLAFRSNYIAYPIQVLSNFCIVLFMIQSLDRMILCLGCFWIKLKKIKPTIDSESFKADDVESSGSEYPMVLIQIPMCNEREVYEQSISAACQIDWPRDRVLVQVLDDSNDETIMLLIRAEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFTPNPDFLKKTIPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKISVWKKANLILLFFLLRKLILPFYSFTLFCVILPLSMFVPEAELPLWVICYIPVIMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMVVGLFQLGSSYEWIVTKKAGRSSESDLLVAAERCSKPVGHLQLHRGVSMSELMELNKLKDQQEEAPPPPPAKRGNQLYKKELALALLLLTAAVRSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQMV >Ma03_p29290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32234549:32238695:1 gene:Ma03_g29290 transcript:Ma03_t29290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLDFSDWWAKEIRSGTPVVVTMQNPNYSVLEVDGPGAAAFRPADKGRGRNAKQVTWVLLLKAHRAVGCVAWLAAGLWSLLGALKKRVFFGQGFSTESDKPGKGRLLFRFLRGFLVLSIAMLALEMIAYWKGWHFKKPNLQLPESLHMPETTEIQGWMHNAYLCWLAFRSNYIAYPIQVLSNFCIVLFMIQSLDRMILCLGCFWIKLKKIKPTIDSESFKADDVESSGSEYPMVLIQIPMCNEREVYEQSISAACQIDWPRDRVLVQVLDDSNDETIMLLIRAEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFTPNPDFLKKTIPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKISVWKKANLILLFFLLRKLILPFYSFTLFCVILPLSMFVPEAELPLWVICYIPVIMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMVVGLFQLGSSYEWIVTKKAGRSSESDLLVAAERCSKPVGHLQLHRGVSMSELMELNKLKDQQEEAPPPPPAKRGNQLYKKELALALLLLTAAVRSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQMV >Ma03_p29290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32234549:32238695:1 gene:Ma03_g29290 transcript:Ma03_t29290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLDFSDWWAKEIRSGTPVVVTMQNPNYSVLEVDGPGAAAFRPADKGRGRNAKQVTWVLLLKAHRAVGCVAWLAAGLWSLLGALKKRVFFGQGFSTESDKPGKGRLLFRFLRGFLVLSIAMLALEMIAYWKGWHFKKPNLQLPESLHMPETTEIQGWMHNAYLCWLAFRSNYIAYPIQVLSNFCIVLFMIQSLDRMILCLGCFWIKLKKIKPTIDSESFKADDVESSGSEYPMVLIQIPMCNEREVYEQSISAACQIDWPRDRVLVQVLDDSNDETIMLLIRAEVSKWSQRGVNIVYRHRLVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFTPNPDFLKKTIPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAILKSKISVWKKANLILLFFLLRKLILPFYSFTLFCVILPLSMFVPEAELPLWVICYIPVIMSFLNILPAPRSFPFIVPYLLFENTMSVTKFNAMVVGLFQLGSSYEWIVTKKAGRSSESDLLVAAERCSKPVGHLQLHRGVSMSELMELNKLKDQQEEAPPPPPAKRGNQLYKKELALALLLLTAAVRSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQMV >Ma06_p03300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2431601:2433202:1 gene:Ma06_g03300 transcript:Ma06_t03300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSVALSYSAVNFPIHVVEEPNKHRIHFRSRPSSTSSLAPKPASTSSTSGKPEPVSSPSGNGYNGPFLDLSNTVGVLGGVSAASTLRFLEKLVQWSSSDGQETPPFVVCNNPVLNRELALHQSGWDCCTQSAGSIVVENLRRERVFLERAGARCIAMPCHVAHAWRDEIAEGCSVPLLDAGDCVAKELKAANLKPVEMGSNARIGILAMDALIVARLYQQKLENQGFEVLLPDKATMEHIVIPAREAFDREDMEGARNLLRISIQVLLVRAVSSIILVSDYMHGLLPADDPLLGKCVDPMDALVRATVLWATSTEEDKQ >Ma06_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2431511:2433202:1 gene:Ma06_g03300 transcript:Ma06_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSVALSYSAVNFPIHVVEEPNKHRIHFRSRPSSTSSLAPKPASTSSTSGKPEPVSSPSGNGYNGPFLDLSNTVGVLGGVSAASTLRFLEKLVQWSSSDGQETPPFVVCNNPVLNRELALHQSGWDCCTQSAGSIVVENLRRERVFLERAGARCIAMPCHVAHAWRDEIAEGCSVPLLDAGDCVAKELKAANLKPVEMGSNARIGILAMDALIVARLYQQKLENQGFEVLLPDKATMEHIVIPAREAFDREDMEGARNLLRISIQVLLVRAVSSIILVSDYMHGLLPADDPLLGKCVDPMDALVRATVLWATSTEEDKQ >Ma03_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2352733:2361128:1 gene:Ma03_g03500 transcript:Ma03_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKLFVTASVPVLKVLLVTGVGSFLATGQVGILCHEARKHLNNVVFYVFNPALVSTNLSRTITMESLVLLWFMPINILLTFIIGFAFGWVVIQITNAPSHLKGLVLGCCAAGNLGNMLLIIVPAICKERGSPFGASDVCTTYGLAYASLSMAIGAIFLWSFVYNIVRISSGATEGKATAYSDTQEQQIIQETEKLVSQSCLDRTLPIEHTYLPGDECVLTLSASEIPQNELKVSFSDKARQLLSNILKIIDLKKLFAPSTVGVIIGFIIGVVPQIRKAVIGETAPLRVIQDSADLLGEGAIPTLTLIMGGNLIKGLRGSGIRFSLILGVVIVRYIMLPLVGILVVKGAINLGLLHQDPLYHFILLLQYALPPAMNIGTITQLFGAGESECSVIFLWTYSLASVSLTLWSTYFMWLVSTD >Ma09_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36553404:36559276:-1 gene:Ma09_g24930 transcript:Ma09_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSSQRLVSADSPQMLSISPRSQGSGRWAPKRAAFGRPPVASSSRSCSLGSSLVSSPFVCGSVHGDFNGLKIRLPSLKLPVVSTGRRPTRGVVTMVIPFLRGNAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELMMAEFLYLQYEDVEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMSYVKPPIFTLCVGNAWGEAALLLAAGSKGNRAALPSSTIMIKQPIARFQGQATDVELARKEIRNVKAELVGLYSKHIGKSREQIEEDIRRPKYFSPSEAVEYGIIDKVLYNERGREDRSVVSDLKKAQLI >Ma05_p14190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10316001:10320094:1 gene:Ma05_g14190 transcript:Ma05_t14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFQKQLLSSLVSDIKNYDGRDPLRPWLHGIRRMKESLPPRILKEKLPRFLQKCAQTFESDRRYRNDARYLRVWIELMDYVDDAKVLLRKMEKNGIGLKRAMFYLAYALYYEKQKKFTEAEKMYHMGVQNLAEPAGELQKSYEQFLRRMELYKKRKAKVVSNKRCLTLKGITEKKEIVDDKVQIVDAEDASQKPHHIEGSSKPRCKVRGSAVLGRSSKHRDYPVVDWEKQIPHDTDDTVVVKFVDSAIVGRSEAEDACHHGLVDPTINMKEAMNAIGSMFREPIEPEIMVKRRSSKPKAIPHTNGFEVFIDDDFGDGPNFGSCPTRNEQCGVSDLPNLRSNKHGEAFFPEALKNQRKTEVQKPFLREFKILADDDEVDEVTDRQIEVAKPPIANASICSKQHDPASSSYGNSKMIIPGLHEETIVHRFVGSTVLGEPKVENACHHGLVDPTINLKEAMDDINSMFGKPLDFFNGDKPKKKPGFVCSDKQPACDGFAVSCEGFSILADDDMEDPENNAPPENFCENGDLFEPTIFTKEAMAEINEMFGKPLDF >Ma05_p14190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10316001:10320094:1 gene:Ma05_g14190 transcript:Ma05_t14190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFQKQLLSSLVSDIKNYDGRDPLRPWLHGIRRMKESLPPRILKEKLPRFLQKCAQTFESDRRYRNDARYLRVWIELMDYVDDAKVLLRKMEKNGIGLKRAMFYLAYALYYEKQKKFTEAEKMYHMGVQNLAEPAGELQKSYEQFLRRMELYKKRKAKEVVSNKRCLTLKGITEKKEIVDDKVQIVDAEDASQKPHHIEGSSKPRCKVRGSAVLGRSSKHRDYPVVDWEKQIPHDTDDTVVVKFVDSAIVGRSEAEDACHHGLVDPTINMKEAMNAIGSMFREPIEPEIMVKRRSSKPKAIPHTNGFEVFIDDDFGDGPNFGSCPTRNEQCGVSDLPNLRSNKHGEAFFPEALKNQRKTEVQKPFLREFKILADDDEVDEVTDRQIEVAKPPIANASICSKQHDPASSSYGNSKMIIPGLHEETIVHRFVGSTVLGEPKVENACHHGLVDPTINLKEAMDDINSMFGKPLDFFNGDKPKKKPGFVCSDKQPACDGFAVSCEGFSILADDDMEDPENNAPPENFCENGDLFEPTIFTKEAMAEINEMFGKPLDF >Ma05_p14190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10316001:10320094:1 gene:Ma05_g14190 transcript:Ma05_t14190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFQKQLLSSLVSDIKNYDGRDPLRPWLHGIRRMKESLPPRILKEKLPRFLQKCAQTFESDRRYRNDARYLRVWIELMDYVDDAKVLLRKMEKNGIGLKRAMFYLAYALYYEKQKKFTEAEKMYHMGVQNLAEPAGELQKSYEQFLRRMELYKKRKAKVVSNKRCLTLKGTHMSKESITDQEGITEKKEIVDDKVQIVDAEDASQKPHHIEGSSKPRCKVRGSAVLGRSSKHRDYPVVDWEKQIPHDTDDTVVVKFVDSAIVGRSEAEDACHHGLVDPTINMKEAMNAIGSMFREPIEPEIMVKRRSSKPKAIPHTNGFEVFIDDDFGDGPNFGSCPTRNEQCGVSDLPNLRSNKHGEAFFPEALKNQRKTEVQKPFLREFKILADDDEVDEVTDRQIEVAKPPIANASICSKQHDPASSSYGNSKMIIPGLHEETIVHRFVGSTVLGEPKVENACHHGLVDPTINLKEAMDDINSMFGKPLDFFNGDKPKKKPGFVCSDKQPACDGFAVSCEGFSILADDDMEDPENNAPPENFCENGDLFEPTIFTKEAMAEINEMFGKPLDF >Ma05_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10316001:10320094:1 gene:Ma05_g14190 transcript:Ma05_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFQKQLLSSLVSDIKNYDGRDPLRPWLHGIRRMKESLPPRILKEKLPRFLQKCAQTFESDRRYRNDARYLRVWIELMDYVDDAKVLLRKMEKNGIGLKRAMFYLAYALYYEKQKKFTEAEKMYHMGVQNLAEPAGELQKSYEQFLRRMELYKKRKAKEVVSNKRCLTLKGTHMSKESITDQEGITEKKEIVDDKVQIVDAEDASQKPHHIEGSSKPRCKVRGSAVLGRSSKHRDYPVVDWEKQIPHDTDDTVVVKFVDSAIVGRSEAEDACHHGLVDPTINMKEAMNAIGSMFREPIEPEIMVKRRSSKPKAIPHTNGFEVFIDDDFGDGPNFGSCPTRNEQCGVSDLPNLRSNKHGEAFFPEALKNQRKTEVQKPFLREFKILADDDEVDEVTDRQIEVAKPPIANASICSKQHDPASSSYGNSKMIIPGLHEETIVHRFVGSTVLGEPKVENACHHGLVDPTINLKEAMDDINSMFGKPLDFFNGDKPKKKPGFVCSDKQPACDGFAVSCEGFSILADDDMEDPENNAPPENFCENGDLFEPTIFTKEAMAEINEMFGKPLDF >Ma09_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8763710:8771606:1 gene:Ma09_g13030 transcript:Ma09_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MLFSTNLLAIVGAGEQPSLSPRKLCLFNTLTGSALRELNFLTSIVAVRLNRKRLIVVLRDKTYIYDLNSLVILETIDTVPNNKGLCAFSPGSESCYLALPASTTKGSVLIYNTVALQSVCQIDAHRSPLVAMIFSSSGTYLATASQQGTIIRVHLVSQATESYSFRRGTYPSTIYSLSFGPCADVPEVLVATSSSGSLHAFLLGPAIKQRRKPNRVIGSLIPDTLSDAFDHAYHHILHNVVPACVKSHVRIHSIDNISSAPGVSGFRASIFIITRNGYFREYSLNVTKSNECSWSLEREVNLLDTVSNDPN >Ma09_p13030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8762250:8771606:1 gene:Ma09_g13030 transcript:Ma09_t13030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MASSSRASPSASPVLCASFNQDNSWFSVGTKDGFKLFDARTGRLCFERAVGAFNIVEMLFSTNLLAIVGAGEQPSLSPRKLCLFNTLTGSALRELNFLTSIVAVRLNRKRLIVVLRDKTYIYDLNSLVILETIDTVPNNKGLCAFSPGSESCYLALPASTTKGSVLIYNTVALQSVCQIDAHRSPLVAMIFSSSGTYLATASQQGTIIRVHLVSQATESYSFRRGTYPSTIYSLSFGPCADVPEVLVATSSSGSLHAFLLGPAIKQRRKPNRVIGSLIPDTLSDAFDHAYHHILHNVVPACVKSHVRIHSIDNISSAPGVSGFRASIFIITRNGYFREYSLNVTKSNECSWSLEREVNLLDTVSNDPN >Ma09_p13030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8762251:8771606:1 gene:Ma09_g13030 transcript:Ma09_t13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MGSSFLMHGLVDCALKEVIFFKSRGRQALRFLIDAVRHQQLIQYISFSLMAVGAFNIVEMLFSTNLLAIVGAGEQPSLSPRKLCLFNTLTGSALRELNFLTSIVAVRLNRKRLIVVLRDKTYIYDLNSLVILETIDTVPNNKGLCAFSPGSESCYLALPASTTKGSVLIYNTVALQSVCQIDAHRSPLVAMIFSSSGTYLATASQQGTIIRVHLVSQATESYSFRRGTYPSTIYSLSFGPCADVPEVLVATSSSGSLHAFLLGPAIKQRRKPNRVIGSLIPDTLSDAFDHAYHHILHNVVPACVKSHVRIHSIDNISSAPGVSGFRASIFIITRNGYFREYSLNVTKSNECSWSLEREVNLLDTVSNDPN >Ma01_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10900602:10902486:-1 gene:Ma01_g15000 transcript:Ma01_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFFTPDYVLQMQTPHEEEEEERQLLRATPCSNLQDLRAVGPMLGRRSMSFSGIENGEGMNGEDELSDDGMQTGEKKRRLNMEQVRMLEKNFELGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDLLKRQFEAMRSENEALQSQNKKLQAEIMALKGRETSELINLNKETEASCSNRSENSSEINLDISRTSVTESPLHPHQSLPFFQSIRPADIDQLLQSSSRPDMQCPKIENGVTEGSFSNLLCSMEDQSASFWSWSDHHNFH >Ma03_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1324239:1325684:1 gene:Ma03_g01940 transcript:Ma03_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFFFVLFFLLVSALFLETTALPELPNLTTLSFEEGYTQLFGDSNLMLHRDGMAVHLSLDQRTGAGFASQDLYLHGVFSASIKLPSDYAAGVVVAFYMSNGDVFEKTHDELDFEFLGNIRGREWRVQTNVYGNGSTAVGREERYGLWFDPTEDYHRYSILWSNRRIIFYIDNIPIREVVRSQAMGGDFPSKPMSLYATIWDGSNWATSGGRYKVNYKFAPYVAEFADLVLHGCAVDPSDRRRACQASDAELYDAITMSADQRTTMETLRKKHMTYSYCHDRIRYPAPPPECVLGPEAESFLASGEAKFNYRRRRSKHYGRSSVDAVLLA >Ma09_p03520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2330575:2334959:1 gene:Ma09_g03520 transcript:Ma09_t03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKNHEREVTLVIPWLSLKDQTLVYPNKITFCSLEEHEAYVYWWLKEKADILSEFRIAFYPGKVVRLSAATQDLPRSIICNVHGVNPKFLEVGITRHQLKQGAQTAFSKGVYFIGKMIWSKGYTELLQLLSEHQNEFSDLQVDLHGNGEDFDEIQDSFSKLTLDVRIYSGRDHVDPLFHKYKVFVNPSTTDVVCTTTAEALAMGKIVICASHPSNEFFKQFPNCHMYNTSHEFVKLTLEALAEQPVPLTDDLRHALSWEAATERFVEAAELNEVFQEKALPSAKPFMLMSSDYWMKRLVEASAYLHNTVSGIEAARCAFGAIPQTLLPDEQLWKELGLGFPEQKQCFRR >Ma09_p03520.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2330575:2334959:1 gene:Ma09_g03520 transcript:Ma09_t03520.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKNHEREVTLVIPWLSLKDQTLVYPNKITFCSLEEHEAYVYWWLKEKADILSEFRIAFYPGKFSKDKRSILPVGDITEMIPDEVAEIAILEEPEHLNWYHHGRRWKTKFQRVIGVVHTNYLEYVKREKNGQIQAFLLKYVNSWVIQIYCHKVVRLSAATQDLPRSIICNVHGVNPKFLEVGITRHQLKQGAQTAFSKGVYFIGKMIWSKGYTELLQLLSEHQNEFSDLQVDLHGNGEDFDEIQDSFSKLTLDVRIYSGRDHVDPLFHKYKVFVNPSTTDVVCTTTAEALAMGKIVICASHPSNEFFKQFPNCHMYNTSHEFVKLTLEALAEQPVPLTDDLRHALSWEAATERFVEAAELNEVFQEKALPSAKPFMLMSSDYWMKRLVEASAYLHNTVSGIEAARCAFGAIPQTLLPDEQLWKELGLGFPEQKQCFRR >Ma09_p03520.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2330565:2334959:1 gene:Ma09_g03520 transcript:Ma09_t03520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKNHEREVTLVIPWLSLKDQTLVYPNKITFCSLEEHEAYVYWWLKEKADILSEFRIAFYPGKFSKDKRSILPVGDITEMIPDEVAEIAILEEPEHLNWYHHGRRWKTKFQRVIGVVHTNYLEYVKREKNGQIQAFLLKYVNSWVIQIYCHKVVRLSAATQDLPRSIICNVHGVNPKFLEVGITRHQLKQGAQTAFSKGVYFIGKMIWSKGYTELLQLLSEHQNEFSDLQVDLHGNGEDFDEIQDSFSKLTLDVRIYSGRDHVDPLFHKYKVFVNPSTTDVVCTTTAEALAMGKIVICASHPSNEFFKQFPNCHMYNTSHEFVKLTLEALAEQPVPLTDDLRHALSWEAATERFVEAAELNEVFQEKALPSAKPFMLMSSDYWMKRLVEASAYLHNTVSGIEAARCAFGAIPQTLLPDEQLWKELGLGFPEQKQCFRR >Ma09_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2330575:2334959:1 gene:Ma09_g03520 transcript:Ma09_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKNHEREFSKDKRSILPVGDITEMIPDEVAEIAILEEPEHLNWYHHGRRWKTKFQRVIGVVHTNYLEYVKREKNGQIQAFLLKYVNSWVIQIYCHKVVRLSAATQDLPRSIICNVHGVNPKFLEVGITRHQLKQGAQTAFSKGVYFIGKMIWSKGYTELLQLLSEHQNEFSDLQVDLHGNGEDFDEIQDSFSKLTLDVRIYSGRDHVDPLFHKYKVFVNPSTTDVVCTTTAEALAMGKIVICASHPSNEFFKQFPNCHMYNTSHEFVKLTLEALAEQPVPLTDDLRHALSWEAATERFVEAAELNEVFQEKALPSAKPFMLMSSDYWMKRLVEASAYLHNTVSGIEAARCAFGAIPQTLLPDEQLWKELGLGFPEQKQCFRR >Ma09_p03520.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2330575:2334959:1 gene:Ma09_g03520 transcript:Ma09_t03520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKNHEREVTLFSKDKRSILPVGDITEMIPDEVAEIAILEEPEHLNWYHHGRRWKTKFQRVIGVVHTNYLEYVKREKNGQIQAFLLKYVNSWVIQIYCHKVVRLSAATQDLPRSIICNVHGVNPKFLEVGITRHQLKQGAQTAFSKGVYFIGKMIWSKGYTELLQLLSEHQNEFSDLQVDLHGNGEDFDEIQDSFSKLTLDVRIYSGRDHVDPLFHKYKVFVNPSTTDVVCTTTAEALAMGKIVICASHPSNEFFKQFPNCHMYNTSHEFVKLTLEALAEQPVPLTDDLRHALSWEAATERFVEAAELNEVFQEKALPSAKPFMLMSSDYWMKRLVEASAYLHNTVSGIEAARCAFGAIPQTLLPDEQLWKELGLGFPEQKQCFRR >Ma09_p03520.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2330575:2334959:1 gene:Ma09_g03520 transcript:Ma09_t03520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKNHEREVTLVIPWLSLKDQTLVYPNKITFCSLEEHEAYVYWWLKEKADILSEFRIAFYPGKFSKDKRSILPVGDITEMIPDEVAEIAILEEPEHLNWYHHGRRWKTKFQRVIGVVHTNYLEYVKREKNGQIQAFLLKYVNSWVIQIYCHKVVRLSAATQDLPRSIICNVHGVNPKFLEVGITRHQLKQGAQTAFSKGVYFIGKMIWSKGYTELLQLLSEHQNEFSDLQVDLHGNGEDFDEIQDSFSKLTLDVRIYSGRDHVDPLFHKYKVFVNPSTTDVVCTTTAEALAMGKIVICASHPSNEFFKQFPNCHMYNTSHEFVKLTLEALAEQPVPLTDDLRHALSWEAATERFVEAAELNEVFQEKALPSAKPFMLMSSDYWMKRLVEASAYLHNTVSGIEAARCAFGAIPQTLLPDEQLWKELGLGFPEQKQCFRR >Ma09_p03520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2330575:2334959:1 gene:Ma09_g03520 transcript:Ma09_t03520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKNHEREVTLVIPWLSLKDQTLVYPNKITFCSLEEHEAYVYWWLKEKADILSEFRIAFYPGKFSKDKRSILPVGDITEMIPDEVAEIAILEEPEHLNWYHHGRRWKTKFQRVIGVVHTNYLEYVKREKNGQIQAFLLKYVNSWVIQIYCHKVVRLSAATQDLPRSIICNVHGVNPKFLEVGITRHQLKQGAQTAFSKGVYFIGKMIWSKGYTELLQLLSEHQNEFSDLQVDLHGNGEDFDEIQDSFSKLTLDVRIYSGRDHVDPLFHKYKVFVNPSTTDVVCTTTAEALAMGKIVICASHPSNEFFKQFPNCHMYNTSHEFVKLTLEALAEQPVPLTDDLRHALSWEAATERFVEAAELNEVFQEKALPSAKPFMLMSSDYWMKRLVEASAYLHNTVSGIEAARCAFGAIPQTLLPDEQLWKELGLGFPEQKQCFRR >Ma05_p29430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40114438:40121690:-1 gene:Ma05_g29430 transcript:Ma05_t29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGAGKDTEDGDEVVHVYCSICLNAVKCGGDRSTAKLQCGHEFHLDCIGSAFNAKGVMQCPNCRKVEEGNWFYANGSHSTPELTMDEWIQDEDLYNLNYAESPFGGHWFPIGSLARIPSFEEGESSPAVSFWDLSGYHANFSENRATVSVAHPCPFMAYMPPLQPSSSSNNHSFDSNSSDPGYHHQWSHFPRPREFQTLYMMAPSNIHYHGWEHCHASYSPNAHINSAESLHFARLATNGLPATDSVFHPFVLSHGIVSRAGATGSSAPSLVPPYLRTHGNGLEHYQLQHSQITHGTGMPLSGSLWSFNPAEQCGPFLVSPTVQTNVDAENITSTRLYAWERQCFAPHPFFPIDREPSCWGPFLQANGSGVSDSSPPQMVYFPLNSSERLPAQAGHNRPMPPPRMLPLL >Ma08_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3337023:3339276:-1 gene:Ma08_g04810 transcript:Ma08_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGSGPYFDPDFDFLVERINPRVCIDNESCEDCTLVKVDSANRNGILLEMVQLLTDLDLVISKSYISSDGGWLMDVFHIGDRLGNKLTDPDLIRYIQQSLVSGQKGARSADEVTTCLGKLVGPGQLASEHAAVEITATDRPGLLSEIAAVLAELHCHVACAQAWTHNSCAAVILYVIDEPTRRPIADADRLAHVEQQVDSVVGAHHEPGERRRVKVSGPMPGRVHMERRLHQLMYEEGDYETGPPPPPANGDQFADANLEARRDNVLFLWSPSSSSSTVLRTRASIDSWKERGYTVVNIQSRDRPKLLFDTVCTLTDMKYVVYHAAVGSRGPLAVQEYYVRRMDGCTLDTESERQKVSRCLVAAVERRACHGLRLDISTRDRRGLLSDITRVLRENGLSLTMAECATREERAVGTFYVTDASGGGNVDPKSMEAVREELGESVTLVVRAGGWGCAKSNCSTSSSTTLSPSLSTSSVDGDRSRIMTSLGSSLGSLLWSHIERLSSNFGSIRS >Ma03_p26140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29987479:29991967:-1 gene:Ma03_g26140 transcript:Ma03_t26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLPFSCHLPALLSSDLQKASPLLPTQLFAGTDLPHHRHRHGFLTPRRRSCVCASLSGTGEYFSQRPPTPLLDTVNYPIHMKNLSVKELKQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDKILWDVGHQSYPHKILTGRRDKMPTLRRTNGLSGFTKRSESDYDSFGTGHSSTSISAALGMAVGRDLKGRKNNVIAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTASLDGPIPPVGALSSALSRLQSSRPLRELREVAKGVTKQIGGSMHQIAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVSILKEVKDTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVTKFDPATGKQLKSISQTQSYTNYFAEALIAEAEVDKDIVAIHAAMGGGTGLNYFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVIHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMIVMAPSDEAELFHMVATAAAINDRPSCFRYPRGNGIGVPLPQGNKGVPLEIGKGRILIEGERVALLGYGTAVQSCVAAASLLEQRGLRVTVADARFCKPLDHALIRNLSKSHQVLITVEEGSIGGFGSHVAQFMALNGLLDGTIKWRPLVLPDRYIEHGSPNDQLAEAGLTPSHVAATVLNILGQTREALEIMS >Ma08_p29830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41288408:41297904:-1 gene:Ma08_g29830 transcript:Ma08_t29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGTVESPEVARPAAVALDFTDAEVASSRARIPRRIRRRLLEGKSSGPSSVEEIEAKLRDADLRRQQFHEWLSSKARPKPRSPSWSSGEDDPGQRLEAKLFAAEQKRLSLLTKSQMRLARLGELRQAAKSGVRMRFEREREELGTRVESRVQQAEANRMRLIKAHLQRRAAIQERTTRSLLQRIIRENKYKECALSAIFQKRAAAEKKRMGLLEAEKKRAHARVVQARRIAKTVYHRRETERRRMKEQLESRLQKAKRQRAEYLKQRGSPRSTARLNLIRHGDFLSRKLARCWRRFVRLRRTTFALAKAFQVLELNEESIKSMPFEQVALLIESTTSLKTTKALLERLESRFSLLLSSGPSGVENIDHLLKHLASPNRKVPTNRTPGERGGTKRGAVRESRSVETTMSRYPVRVVLCAYMILGHPNAVFSGQGERETALRESAISFLQEFELLIKVILGGPKSARLSSQSFSDVSLDLHKESSNSLPREQSFRCQLRTFDSAWHSYLYRFVVWKVKDARSLEEDLVRAACQLELSMLQTCKMTAEGQPLDLSHDMRAIQKQVIEDQKLLREKVRHLSGNAGIERMESALSDTRFKFFEAKENGSPLATPLAHISSTSASKSLGKQLVSVSHEHNVEIKGRSNRVVRSLFGISSSMQPRVGTEVQNVDVQSSCTVGTQSSPTENELLVNEIMHWGHGSFSSNPDTIKSEEIGIKIKETMEKAFWDGILDSLKTGRPDYGRILGLVKEVRDELCDLAPQSWKQDILNSIDLDILSQVLDSGSQDIDYFGNILENVLVMLQKLSSPANEDDMRKAHQKMLNSLTDIARSSDKQSNSFVVASIKGLRFVLEQIQTLKKEVSVARIKLMEPLIKGSAGLEYLQKAFTDSYGSPLEAANSLPATLRWLSPLSNSLEEEWNEHIDLCSIFLANHGLPVTAVRTGGGLSASSKQHDGLFNASAGVDELPECNGEMVDKLVRIGLLKLASAIEGLTIETIPETLKLNVLRLRTVQSQFQKIIVIATSILVLRQVLLSEKSVASSELEAVILKTVKGLSELLKSSPDVGVEDIIEVVVRSSSSYSNTSSETKLQSRKEMMAGMLTKSLQNDNAVFAKVSRSIYLAARGVVLGGSGARGRKLADAALKRVGATMLSDQVVNVGNVLIMMAIVTGRVHDPWYRVLV >Ma08_p29830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41288408:41297904:-1 gene:Ma08_g29830 transcript:Ma08_t29830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGTVESPEVARPAAVALDFTDAEVASSRARIPRRIRRRLLEGKSSGPSSVEEIEAKLRDADLRRQQFHEWLSSKARPKPRSPSWSSGEDDPGQRLEAKLFAAEQKRLGELRQAAKSGVRMRFEREREELGTRVESRVQQAEANRMRLIKAHLQRRAAIQERTTRSLLQRIIRENKYKECALSAIFQKRAAAEKKRMGLLEAEKKRAHARVVQARRIAKTVYHRRETERRRMKEQLESRLQKAKRQRAEYLKQRGSPRSTARLNLIRHGDFLSRKLARCWRRFVRLRRTTFALAKAFQVLELNEESIKSMPFEQVALLIESTTSLKTTKALLERLESRFSLLLSSGPSGVENIDHLLKHLASPNRKVPTNRTPGERGGTKRGAVRESRSVETTMSRYPVRVVLCAYMILGHPNAVFSGQGERETALRESAISFLQEFELLIKVILGGPKSARLSSQSFSDVSLDLHKESSNSLPREQSFRCQLRTFDSAWHSYLYRFVVWKVKDARSLEEDLVRAACQLELSMLQTCKMTAEGQPLDLSHDMRAIQKQVIEDQKLLREKVRHLSGNAGIERMESALSDTRFKFFEAKENGSPLATPLAHISSTSASKSLGKQLVSVSHEHNVEIKGRSNRVVRSLFGISSSMQPRVGTEVQNVDVQSSCTVGTQSSPTENELLVNEIMHWGHGSFSSNPDTIKSEEIGIKIKETMEKAFWDGILDSLKTGRPDYGRILGLVKEVRDELCDLAPQSWKQDILNSIDLDILSQVLDSGSQDIDYFGNILENVLVMLQKLSSPANEDDMRKAHQKMLNSLTDIARSSDKQSNSFVVASIKGLRFVLEQIQTLKKEVSVARIKLMEPLIKGSAGLEYLQKAFTDSYGSPLEAANSLPATLRWLSPLSNSLEEEWNEHIDLCSIFLANHGLPVTAVRTGGGLSASSKQHDGLFNASAGVDELPECNGEMVDKLVRIGLLKLASAIEGLTIETIPETLKLNVLRLRTVQSQFQKIIVIATSILVLRQVLLSEKSVASSELEAVILKTVKGLSELLKSSPDVGVEDIIEVVVRSSSSYSNTSSETKLQSRKEMMAGMLTKSLQNDNAVFAKVSRSIYLAARGVVLGGSGARGRKLADAALKRVGATMLSDQVVNVGNVLIMMAIVTGRVHDPWYRVLV >Ma08_p29830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41288408:41297904:-1 gene:Ma08_g29830 transcript:Ma08_t29830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGTVESPEVARPAAVALDFTDAEVASSRARIPRRIRRRLLEGKSSGPSSVEEIEAKLRDADLRRQQFHEWLSSKARPKPRSPSWSSGEDDPGQRLEAKLFAAEQKRLSLLTKSQMRLARLGELRQAAKSGVRMRFEREREELGTRVESRVQQAEANRMRLIKAHLQRRAAIQERTTRSLLQRIIRENKYKECALSAIFQKRAAAEKKRMGLLEAEKKRAHARVVQARRIAKTVYHRRETERRRMKEQLESRLQKAKRQRAEYLKQRGSPRSTARLNLIRHGDFLSRKLARCWRRFVRLRRTTFALAKAFQVLELNEESIKSMPFEQVALLIESTTSLKTTKALLERLESRFSLLLSSGPSGVENIDHLLKHLASPNRKVPTNRTPGERGGTKRGAVRESRSVETTMSRYPVRVVLCAYMILGHPNAVFSGQGERETALRESAISFLQEFELLIKVILGGPKSARLSSQSFSDVSLDLHKESSNSLPREQSFRCQLRTFDSAWHSYLYRFVVWKVKDARSLEEDLVRAACQLELSMLQTCKMTAEGQPLDLSHDMRAIQKQVIEDQKLLREKVRHLSGNAGIERMESALSDTRFKFFEAKENGSPLATPLAHISSTSASKSLGKQLVSVSHEHNVEIKGRSNRVVRSLFGISSSMQPRVGTEVQNVDVQSSCTVGTQSSPTENELLVNEIMHWGHGSFSSNPDTIKSEEIGIKIKETMEKAFWDGILDSLKTGRPDYGRILGLVKEVRDELCDLAPQSWKQDILNSIDLDILSQVLDSGSQDIDYFGNILENVLVMLQKLSSPANEDDMRKAHQKMLNSLTDIARSSDKQSNSFVVASIKGLRFVLEQIQTLKKEVSVARIKLMEPLIKGSAGLEYLQKAFTDSYGSPLEAANSLPATLRWLSPLSNSLEEEWNEHIDLCSIFLANHGLPVTAVRTGGGLSASSKQHDGLFNASGVDELPECNGEMVDKLVRIGLLKLASAIEGLTIETIPETLKLNVLRLRTVQSQFQKIIVIATSILVLRQVLLSEKSVASSELEAVILKTVKGLSELLKSSPDVGVEDIIEVVVRSSSSYSNTSSETKLQSRKEMMAGMLTKSLQNDNAVFAKVSRSIYLAARGVVLGGSGARGRKLADAALKRVGATMLSDQVVNVGNVLIMMAIVTGRVHDPWYRVLV >Ma04_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1431049:1431791:-1 gene:Ma04_g01600 transcript:Ma04_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDTKKRGREESDARGEAWDLSSKKKKEKKKNNNDAVDDTNVFRPPGLFEFPWQKGERLLVPEPEGWDLRSVFFSSLVDGCSAAIGVPGDRLSPTAPRPFCLLEDPTDGELDGGVDCIWSSVLRHPLSTVYSKGYNA >Ma03_p25270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29378102:29380783:1 gene:Ma03_g25270 transcript:Ma03_t25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSSIESIRKWIVEHKLRAVGCLWLSGIGSSIAYNWSRHNMKPSVKIIHARLHAQALTLAALAGAALVEYYDHQSKPGSNVVKYPDQFLAHPQKD >Ma10_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29251145:29253094:-1 gene:Ma10_g18230 transcript:Ma10_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSSLPLLSSLLIPLFLLSALLSWRWTKSVRKNLPPSPPSFPVIGHLHLIAKLPPHRALAAIAAARGPVVLLRLGYRPVLLVSSAPAAEECFTAHDVAFANRPNLLVPEMLGFVCTTMGFTPHGPHWRDLRRIYAVHLLSSAALRSSSDSRTRAVRSLAKALFLQPGDSEPDGPRRVEMKSRFFNLAYDVIMTMVSTALKEGSAEERQRFREIVQETSAVSGAANVADFFPALRRLGWRGPERKLARLQRTRDALIGELIERHRVRRPRSGSNGEAAAAIGNGDKGRATVIDVMLSLQESDPGTYTDVTIKGLIAELLAAGTDTSAVTMEWAMCLLLIHPEVLHTARAELDAKIGLGRMVEEEDIPNLPYLNCIIYETLRLYPAVPLLVPHESSQDCTVGGYDVPRGTMLLTNAWAIHRDPNTWDEPEEFKPERFQCEGGKEEAGLRMLPFGSGRRKCPGEALAMRVIGLALATLIHCFEWEKLPGEEVDMTERPGLSMPKVKPLEVVCTPRHTMLHALSQL >Ma10_p29180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35836636:35837448:-1 gene:Ma10_g29180 transcript:Ma10_t29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNSAVPSLELPRLATCGNGADSSAAGRKENAAVLTVWRKSLLFSCNGFTVFDAEGNLAFRVDVYGSGTPEDLVLMDAAGKPLLTVRRKKLSLGEKWLMYGGEDTVNPIYVVKRRVTLLPSKPTAAIAHVAQCRGGGPGYEVEGSYSRRSCTIYDKRRLVVAEVRPKEAVGGVAFGGDVFRLVVHSDLNACLAMGILITLDQMFR >Ma03_p22480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27223228:27227165:-1 gene:Ma03_g22480 transcript:Ma03_t22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAPSSNDSFVDRKKKPSLHCLPSSYTDDDDDDDERDFDTRPSSPLSILDGLPAVDFLQRYLLGAELGRGEFGVTRRCTDNETGEALACKIISKRKIRSMEDMVDVRREVEIMKTLSEHPNIVSLREAYEDGESVYLIMEVCEGGELFDRIVAKGHYSERAAANVAKTIVEVVQLCHKHGVIHRDLKPENFLFANNSEDSPLKAIDFGLSVFFKPGQRFTEVVGSPYYIAPEVLKRSYGPEVDVWSAGVILYILLCGVPPFWAENDEGIIQSILNSSIDFEREPWPKISRNAKDLVQLMLDPNPSTRLTAQQVLDHPWLQHANKAPNVSLGETVRTRLQQFSVMNRFKKKALRVVAEQLPIEEVANIKKIFYMMDKDKNGTLTLEELKEGLHIIGNPVPEPDVKMLLEAADVDGNGTLDCEEFMTVSVHLKKISSEEQLTKAFNYFDKDGSGYIEMDELREALVEGDAPTEQVIWEIISDVDTDKDGRISYKEFELMMKSGSDWRNGSRQYSRQLFNNLTKKLFKDSSLKEN >Ma03_p32840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34466251:34471123:-1 gene:Ma03_g32840 transcript:Ma03_t32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMVPEEVKMLMTLADGDEVPYSEENKDSEDVSLDRSFTSSTQQIKPCGNELKHPNLCYCSVGQREANSKEFILRAAETRICCFRNISGDIARKAKEKNKFLPSFQSFRPLNLATYAVCRAKHTAAIGYPLMTRYRWNNPHQKDMMCYHQKAQGKHK >Ma01_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5955935:5961671:1 gene:Ma01_g08310 transcript:Ma01_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRGYTEGDDDNDGPEEMSRGGGGEGESLESAAATEGEGEAEGSSSPDSSPPRLPAKSSAPRVDDTTLALAAAESARAIHRPLDPAQHAVAFNPTYDQLWAPIHGPAHPFAKDGVAQGMRNHKLGFVEDAAIQPFLFDEQYNTFHKYGYATDPSGLSYVGDLETLTKNQALSVYNMPQQEQKRRRLQMKAPDAEEADPSAAGPEVENPSSDEWLLKNRKSPWSGKNEVVQGELTEEQKKYAEEHAEKKAEKERGEGRAGNKAEHSDKSTFHGKEERDYQGRSWIEPPKDAKPKNDHCYIPKRWVHTWSGHTKGVAAIRFFPKHGHLLLSAGMDSKVKIWDVFNSGKCMRTYMGHSKAVRDISFSIDGTKFLSAGYDKNIKYWDTETGKVISTFSTGKVPYVVKLNPDEDKQNILLAGMSDKKIVQWDMNSGTITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIAAGYACQVNFSPDGRFVISGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGMIKYWD >Ma01_p08310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5955935:5957772:1 gene:Ma01_g08310 transcript:Ma01_t08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRGYTEGDDDNDGPEEMSRGGGGEGESLESAAATEGEGEAEGSSSPDSSPPRLPAKSSAPRVDDTTLALAAAESARAIHRPLDPAQHAVAFNPTYDQLWAPIHGPAHPFAKDGVAQGMRNHKLGFVEDAAIQPFLFDEQYNTFHKYGYATDPSGLSYVGDLETLTKNQALSVYNMPQQEQKRRRLQMKAPDAEEADPSAAGPEVENPSSDEWLLKNRKSPWSGKNEVVQGELTEEQKKYAEEHAEKKAEKERGEGRAGNKAEHSDKSTFHGKEERDYQGRSWIEPPKDAKPKNDHCYIPKRWVHTWSGHTKGVAAIRFFPKHGHLLLSAGMDSKVKIWDVFNSGKCMRTYMGHSKAVRDISFSIDGTKFLSAGYDKNIKYWDTETGKVISTFSTGKVPYVVKLNPDEDKQNILLAGMSDKKIVQWDMNSGTITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIAAGYACQVNFSPDGRFVISGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGMIKYW >Ma10_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14225180:14228696:-1 gene:Ma10_g03610 transcript:Ma10_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPWIAVVLLLSVVVGSRGFYLPGVAPADFQKKDPLPVKVNKLTSTKTQLPYSFYSLPYCRPDTILDSAENLGEVLRGDRIENSPYVFEMREPQMCKIVCKVTLNDKDVKDLKEKIENEYRVNMILDNLPLVVPIRRLDQVAPMVYQLGFYVGAKGQPTGNKDVKYYIHNHLSFLVRYHKDTQMDLARIVGFEVKPFSVKHEYEGQWNGNKTRLSTCDPHAKRSVLNSDSPQEVSANNDIIFTYDIEFEESTVKWASRWDTYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETEEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGIQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKLFKGTEWKKITLKTAFTFPGIVFAIFFVLNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAPEDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFVLTSIWLHQFYYIFGFLFLVFLILIVTCAEITIVLCYFQLCGEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGTIGFCACFWFTRLIYSSVKVD >Ma11_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6353805:6356560:1 gene:Ma11_g07980 transcript:Ma11_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKASHPLPLLSPTSSEASPPPPASLRLALSLPKVQTRRGRVVAASGGHVITGVVFEPFEELKHSELCLVPTAPDQSLARQKYADDSEAAINLQINVEYNASYVYHAMFAYFDRDNVALKGLAKFFKESSEEERGHAQKLMEYQNKRGGKVKLLPIISPLTEFDHPEKGDALHAMELALSIEKLTNEKLLSLHKVAQKCNDAQMSDFIETEFLGEQVEAIKKIAEYVAQLRRVGKGHGVWHFDQMLLDEGAAAA >Ma01_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11649203:11654283:-1 gene:Ma01_g16050 transcript:Ma01_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDATAMVIDHTSYAVSETEGDHTLISSDDFIKSDVILGDRMIEGLVLFRGIGHSLHAANSLVLKVLSASPAAYSANPSAKLSRPSSLTGSATSLVSIVQVVMCFIKRLRKLVLLLKISNEKKDLARNNARVLITGSLDMFRNRFFKSRVQQAGSSIKHEKSGNEQFVSEISKWVFHERGHLKAVNLHHHKVGETSEPSMYRINDDLEYSVEIYEWSGSSWEPYLADDVQVQFYMMSPYLLKTLSTDQKGLYSTSFKVPDVYGVFQFKLDYQKLGYTSLSLSK >Ma09_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6846011:6848894:-1 gene:Ma09_g10040 transcript:Ma09_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MEGDQNASTADCAEAVDIGGDAEECPVKQRCQQQREALPGEPRCVICGRYGEYICDLTDDDICSIECKTILLSRHEKAHNPAPKLTHHVKIPIKDECFYVRDNDRGGSGGLTSIQIDSLRSKIGLCIKGVKGASVPAPVLSFSSCDLPEKLENNLETAGYVIPTPIQMQAIPAALDNRNLLVSADTGSGKTASFLVPVISRCSGIRLRCVTELRNPLAMVLAPTRELCIQVEKEAKILGKGLPFKTALVVGGDPLAGQVYRIQNGVELIVGTPGRLIDLLTKHDIELNEVSVLVLDEVDCIMQRGFRDQVMQIVQALSQPQVLMFSATVSREVERMASSIAKHIICISAGNPSTPSSSVKQVIIWVESKKKKQKLFEILMSKQHFKPPVVVFVGSRLGADLLSEAICTATGIKALSIHGEKTMKERRESLQLFLTGEVSILVSTGVLGRGVDLLKVHQVIMFDMPNSMEEYVHQVGRASRMGEEGTAIAFVNEEDKKLFRELVQNLKSIGAAIPRELANSKYLGAYPSSHQKKRKFGS >Ma09_p30040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40364334:40372054:1 gene:Ma09_g30040 transcript:Ma09_t30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSRDSRSRYRSYQQRSHSTEQSSPWGHNYSQDYDPSPPPPPPPSWSYASPPQAYPPPPPPQQQQQQPQHSAVSRPRTLDRRYSRISDNYNSLDQVTEALSQAGLESSNLIVGVDFTKSNEWTGKHSFRGRSLHHIGDSPNPYEQAMSIIGRTLSAFDEDNLIPCFGFGDASTHDQDIFSFYPDERPCNGFSEALERYKELVPHLRLAGPTSFAPIIEMAMTIVEESGGQYHVLLIIADGQVTRSVDTEYGQFSSQEHKTVEAIVKASEFPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNMPQARKETAFALAALMEIPSQYKATLELGILGRRSAKSPERVPLPPPVGGYGRSSVGSNSFKSTSFQQSAPSYSGYETASALASASASASASSTTPSAPSSSYDNQICPICLTNPKDMAFGCGHQTCCECGPSLQTCPICRSEIHTRIKLF >Ma02_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:2480221:2485435:1 gene:Ma02_g00240 transcript:Ma02_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHDGHNSRTCPDRGLMLFGVRLTGGTIRKSASMGNLPLASGSIRKSASMGNLLLASGSGGGASPPDGHEPAAAADGYASEDLGQGPSLSARERKKGTPWTEEEHRRFLLGLKKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQTNMNRRKRRSSLFDMVPDEPVEPQSIPVNCQETETQNKNIHQVAPVLDEECKPVEDANNKVAGGAKEQQPEIAHCSYPLPLPAYFPPFVPFSFCVWPGYAADTSERQAYEIIRPTAIHTKNPIKVDDVVGMSKLSLKEQLGETASAPLTLDLLGGSNRQSAFHSNPPTRAHS >Ma03_p12980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9985040:9989051:-1 gene:Ma03_g12980 transcript:Ma03_t12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKDEIGEVSEETEKLLSCDATMNRSVNTVAIDDVNPITDFVPIIRSGEWSDIGTRSYMEDTHICISDLAKKFGCRNLKGEAISFYGVFDGHGGKDAAHFVRDNLPMVIVEDSDFPLELEKVIVRSYLETDLQFAKTCSPPLTPTSGTTALSAMILGRSLLVANAGDCRAVLSQRGVAIEMSKDHKPSCANERKRIESLGGFIVDDAYLNGELAVTRALGDWGFEGLKDIDQPNGLLIAEPELKKIMLTKEDEFLLIGSDGIWDVFSNQNAVDFARRQLQEHNDATTCCKELVQEAMKRGAMDNLTIVMVCFHMDPPPARTVQRRRRTISTKGFHTLSDLLKEKVASPTSLE >Ma03_p12980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9985040:9989051:-1 gene:Ma03_g12980 transcript:Ma03_t12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKDEIGEVSEETEKLLSCDATVGKSSSFDNRPMNRSVNTVAIDDVNPITDFVPIIRSGEWSDIGTRSYMEDTHICISDLAKKFGCRNLKGEAISFYGVFDGHGGKDAAHFVRDNLPMVIVEDSDFPLELEKVIVRSYLETDLQFAKTCSPPLTPTSGTTALSAMILGRSLLVANAGDCRAVLSQRGVAIEMSKDHKPSCANERKRIESLGGFIVDDAYLNGELAVTRALGDWGFEGLKDIDQPNGLLIAEPELKKIMLTKEDEFLLIGSDGIWDVFSNQNAVDFARRQLQEHNDATTCCKELVQEAMKRGAMDNLTIVMVCFHMDPPPARTVQRRRRTISTKGFHTLSDLLKEKVASPTSLE >Ma08_p16060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16933904:16934014:-1 gene:Ma08_g16060 transcript:Ma08_t16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSSYKEFGGELPLQKNIERLLSYKVCLNYFFYKG >Ma07_p20130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28065234:28066293:1 gene:Ma07_g20130 transcript:Ma07_t20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAFLASDIISVSVIVSEEMYPSKVGGPASAPPVTGVPMAHNAFGGAETQPVWSTGLCDCMDDFGNCCMTCWCPCVTFGRVAEIVDRGTTSCGASGALYALIMALTGCQCIYSWFYRTKMRAYYNLPDSPCCDCCVHFCCETCALCQEYRELKNRGFNMALGWHLNVEREAGGGMTQPPMMQGGMMR >Ma03_p25040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29180482:29188675:-1 gene:Ma03_g25040 transcript:Ma03_t25040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPELLDFAVDDEEDGTLFPAWEFGASDLPTPCPLPATVPPPILPSPRAAAGEGSEPVGKRDPRLLCSNFLEGRVPCSCPAADEVEPAEWGDKPMAGAVGGDRKRARKARATPVAALRCQVPGCEADIGELKGYHRRHRVCLRCACAPCVVLDGQSKRYCQQCGKFHMLSDFDEGKRSCRRKLERHNKIRRRRHTDGVSMMGKEKDPHGSLQMNVSCVDKSMTEMLFGVACHTEEKVINNKLMERKVLHESDDGCDTKFNLLSGFTTIRGNSFLSFAASSEPQNEEKDDNPKSPISFTLCNSKSTYSSTCPTGRVSFKLYDWNPAEFPRQLRHQIFKWLESMPVELEGYIRPGCTFMTIFIAMPDFMWEKLSQDVAGCIENLIYAPESLLVRRGNIHIYLCDTIVQILKDQKPLMSTRMEVQVPRLHYVYPTLFEAGRPVEFIACGTNLNRAKLRFLVSFAGKYLELSSCLAISHHVTKPCNINDTDHICDDEHEMFQINIPQTDSDVFGPAFLEVENELGVSNFVPILFGSKLICSEFERISRAIFDSCCSDGIYRTMNIDATSYSDNSFVSKQIGIPALLLDIAWVLQEPGSEKSEQLLSSTSIHRLVNLLKFLLQIRSLILMEVVLHYVDGINSLSLHEVNNTLDGDWLLFLNYINQAREVLSQRTTQHMRSESGSRNLSPCPHFSRSSRENDTKDSMLSANQEFVREDEDSVELTHSPSNQENHEAISLVAVARKRTSICCQLQIDDRWKKGSWGSMYVALAVGVGAFICFVACLALFHSQKAGGLRYP >Ma03_p25040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29180482:29188675:-1 gene:Ma03_g25040 transcript:Ma03_t25040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPELLDFAVDDEEDGTLFPAWEFGASDLPTPCPLPATVPPPILPSPRAAAGEGSEPVGKRDPRLLCSNFLEGRVPCSCPAADEVEPAEWGDKPMAGAVGGDRKRARKARATPVAALRCQVPGCEADIGELKGYHRRHRVCLRCACAPCVVLDGQSKRYCQQCGKFHMLSDFDEGKRSCRRKLERHNKIRRRRHTDGVSMMGKEKDPHGSLQMNVSCVDKSMTGRVSFKLYDWNPAEFPRQLRHQIFKWLESMPVELEGYIRPGCTFMTIFIAMPDFMWEKLSQDVAGCIENLIYAPESLLVRRGNIHIYLCDTIVQILKDQKPLMSTRMEVQVPRLHYVYPTLFEAGRPVEFIACGTNLNRAKLRFLVSFAGKYLELSSCLAISHHVTKPCNINDTDHICDDEHEMFQINIPQTDSDVFGPAFLEVENELGVSNFVPILFGSKLICSEFERISRAIFDSCCSDGIYRTMNIDATSYSDNSFVSKQIGIPALLLDIAWVLQEPGSEKSEQLLSSTSIHRLVNLLKFLLQIRSLILMEVVLHYVDGINSLSLHEVNNTLDGDWLLFLNYINQAREVLSQRTTQHMRSESGSRNLSPCPHFSRSSRENDTKDSMLSANQEFVREDEDSVELTHSPSNQENHEAISLVAVARKRTSICCQLQIDDRWKKGSWGSMYVALAVGVGAFICFVACLALFHSQKAGGLRYP >Ma03_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29180482:29188675:-1 gene:Ma03_g25040 transcript:Ma03_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPELLDFAVDDEEDGTLFPAWEFGASDLPTPCPLPATVPPPILPSPRAAAGEGSEPVGKRDPRLLCSNFLEGRVPCSCPAADEVEPAEWGDKPMAGAVGGDRKRARKARATPVAALRCQVPGCEADIGELKGYHRRHRVCLRCACAPCVVLDGQSKRYCQQCGKFHMLSDFDEGKRSCRRKLERHNKIRRRRHTDGVSMMGKEKDPHGSLQMNVSCVDKSMTEMLFGVACHTEEKVINNKLMERKVLHESDDGEPQNEEKDDNPKSPISFTLCNSKSTYSSTCPTGRVSFKLYDWNPAEFPRQLRHQIFKWLESMPVELEGYIRPGCTFMTIFIAMPDFMWEKLSQDVAGCIENLIYAPESLLVRRGNIHIYLCDTIVQILKDQKPLMSTRMEVQVPRLHYVYPTLFEAGRPVEFIACGTNLNRAKLRFLVSFAGKYLELSSCLAISHHVTKPCNINDTDHICDDEHEMFQINIPQTDSDVFGPAFLEVENELGVSNFVPILFGSKLICSEFERISRAIFDSCCSDGIYRTMNIDATSYSDNSFVSKQIGIPALLLDIAWVLQEPGSEKSEQLLSSTSIHRLVNLLKFLLQIRSLILMEVVLHYVDGINSLSLHEVNNTLDGDWLLFLNYINQAREVLSQRTTQHMRSESGSRNLSPCPHFSRSSRENDTKDSMLSANQEFVREDEDSVELTHSPSNQENHEAISLVAVARKRTSICCQLQIDDRWKKGSWGSMYVALAVGVGAFICFVACLALFHSQKAGGLRYP >Ma11_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4217883:4219643:-1 gene:Ma11_g05480 transcript:Ma11_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKENSGTAVIEGRVEDVQELRRSHPTVIPARYVRDGNERPSPALSPGLPSMDVPVIDLSRLGSCSSKTPERESEMAKLAAACEGWGFFQVINHGVEHELLEKMEKLAKEFFMLPLEEKEKYPMPPGGIQGYGHAFVFSEQQKLDWCNMFALGLAPAFMRKPELWPTNPPSFSETLEKYSNSIRLLCETLLGFIAESLGLRRSFFNEMFGEAVQAVRMNYYPPCSRPDLVLGLTPHSDGSALTVLQQETASVGLQILKDGAWLPVHPIANALVVNVGDTLEVLTNGKYKSVEHRAVTNRESDRLSMVTFYAPSYEIELGPVPELVNDKACLYRRYNHGEYSRLYITNKLEGKKRLEFAKIQTSL >Ma10_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31637942:31639932:1 gene:Ma10_g22170 transcript:Ma10_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTPLLRVLVLVLFLFDSAVAQPFLFDPINPLLNAGPAQKKPNYETSFTGIWELIRNSSGVSAMHLVITKENKAILFDSTNSGPSLLPLPAGNCRPDPTNKTNGEDCWAHAAEYDFVADQLRPLKVLTNTWCSSGGFAADGTLVQSGGFHEGATAVRYLKTCPMCDWIEYPAALSVNRWYATQQILPDGSFIVVGGRREFSYEFIPAPGQSNPSNYKLPFLIETTDDEENNLYPFLHLSTDGNLFIFANNRSILLDPRTHTILREFPPLKDGSHNYPASAASALLPLRLRSSTTAPIPAEVIICGGASHKSAALAAEKVFIPALRRCSRLTITKPNARWHTEVMPTPRVMADMLLLPTADVLIINGATKGTSGWGWAESPNLEPLLYQPSSRRNERFKTLTPTTIPRMYHSTSAVLPDTSILVAGSNPNNRYNYTAQYPTEFRLERFYPPYLDPALAVHRPRIVKELAPSSVTYGTSFQVVFDVADLGLESGDLKVTMYAPPFTTHGFSMNQRLLILSTVELSPAGLGRWTVAVTAPPSGVVAPPGYYLLYVVNRAVPGEGVWVQLM >Ma07_p04780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3496161:3502507:1 gene:Ma07_g04780 transcript:Ma07_t04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFKYTPSILSGVAMTTFPQKTCHIVVCEARGPRPRFPRVWKTRKRIGTISKSQKLVECIKGLSNVKEEVYGALDSFVAWELEFPLIVVKKTLKTFEIEREWKRIIQVVKWMFSKGQGKTMGTYYTLLNALAEDGRLEEAEELWMKIFSENLENLPRVFFMKMMSIYYDQGMYEKMFEVFADMEELGIRPDMSIVNKLGDVFQKLGMLDKYDKLKSKYPPPTWEYRYIKGKRVRIHANQIQGSNVEIS >Ma04_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17856154:17856381:-1 gene:Ma04_g17510 transcript:Ma04_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQPFTHYSSSWYYGVSLQGFIDPLAKMEFIENLLGEAKKLAWIQWRMAYPEEYQLLMANADGTGGTQDILSQY >Ma03_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26209910:26212934:-1 gene:Ma03_g21190 transcript:Ma03_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MWESESDGRGGGRDLEPDKHDGLKTDGFERRDQSWFVSSDIPSDLLVKVGGVSFHLHKYPLLSRSGRMNRIIYESSRESEPSLVELDDLPGGPEAFELAAKFCYGIAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCESLSPWAENLQIVRRCSESIAWKACANPRGIRWAYTGRPARASSPRWNGDSKESSPSRSQPVPPDWWFEDVSILRIDHFVRVVTAIKVKGMRFELIGAAIMHYASKWLPGLTKEGAQGGEEPWSHQGGLHLIVAGSGSKDDLSSGQIREQRMVIESLISIIPPQKDCVTCSFLLRLLRLANMLKVAPALVTELEKRVGMQLEQATLPDLLIPSFHKSETLYDVDLVQRLLEHFLVQEQTESSSPGREPYPDKHSYEANQRASGPNAKTRVARLLDSYLTEVSRDRNLSLTKFQVLAEALPESTRTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASSSLKEAVESHYQPVISARRQLLEGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQNDMDNLQRQFEKMSSTKPSKQSSSPWSTGWKKLSKLTKMTSEANEIGAPMPGTAATEATKKGPRRWRNSIS >Ma03_p21190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26209910:26212934:-1 gene:Ma03_g21190 transcript:Ma03_t21190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MWESESDGRGGGRDLEPDKHDGLKTDGFERRDQSWFVSSDIPSDLLVKVGGVSFHLHKYPLLSRSGRMNRIIYESSRESEPSLVELDDLPGGPEAFELAAKFCYGIAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCESLSPWAENLQIVRRCSESIAWKACANPRGIRWAYTGRPARASSPRWNGDSKESSPSRSQPVPPDWWFEDVSILRIDHFVRVVTAIKVKGMRFELIGAAIMHYASKWLPGLTKEGAQGGEEPWSHQGGLHLIVAGSGSKDDLSSGQIREQRMVIESLISIIPPQKDCVTCSFLLRLLRLANMLKVAPALVTELEKRVGMQLEQATLPDLLIPSFHKSETLYDVDLVQRLLEHFLVQEQTESSSPGREPYPDKHSYEANQRASGPNAKTRVARLLDSYLTEVSRDRNLSLTKFQVLAEALPESTRTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASSSLKEAVESHYQPVISARRQLLEGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQNDMDNLQRQFEKMSSTKPSKQSSSPWSTGWKKLSKLTKMTSEANEIGAPMPGTAATEATKKGPRRWRNSIS >Ma03_p21190.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26209800:26212829:-1 gene:Ma03_g21190 transcript:Ma03_t21190.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MWESESDGRGGGRDLEPDKHDGLKTDGFERRDQSWFVSSDIPSDLLVKVGGVSFHLHKYPLLSRSGRMNRIIYESSRESEPSLVELDDLPGGPEAFELAAKFCYGIAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCESLSPWAENLQIVRRCSESIAWKACANPRGIRWAYTGRPARASSPRWNGDSKESSPSRSQPVPPDWWFEDVSILRIDHFVRVVTAIKVKGMRFELIGAAIMHYASKWLPGLTKEGAQGGEEPWSHQGGLHLIVAGSGSKDDLSSGQIREQRMVIESLISIIPPQKDCVTCSFLLRLLRLANMLKVAPALVTELEKRVGMQLEQATLPDLLIPSFHKSETLYDVDLVQRLLEHFLVQEQTESSSPGREPYPDKHSYEANQRASGPNAKTRVARLLDSYLTEVSRDRNLSLTKFQVLAEALPESTRTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASSSLKEAVESHYQPVISARRQLLEGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQNDMDNLQRQFEKMSSTKPSKQSSSPWSTGWKKLSKLTKMTSEANEIGAPMPGTAATEATKKGPRRWRNSIS >Ma03_p21190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26209910:26212934:-1 gene:Ma03_g21190 transcript:Ma03_t21190.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MWESESDGRGGGRDLEPDKHDGLKTDGFERRDQSWFVSSDIPSDLLVKVGGVSFHLHKYPLLSRSGRMNRIIYESSRESEPSLVELDDLPGGPEAFELAAKFCYGIAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCESLSPWAENLQIVRRCSESIAWKACANPRGIRWAYTGRPARASSPRWNGDSKESSPSRSQPVPPDWWFEDVSILRIDHFVRVVTAIKVKGMRFELIGAAIMHYASKWLPGLTKEGAQGGEEPWSHQGGLHLIVAGSGSKDDLSSGQIREQRMVIESLISIIPPQKDCVTCSFLLRLLRLANMLKVAPALVTELEKRVGMQLEQATLPDLLIPSFHKSETLYDVDLVQRLLEHFLVQEQTESSSPGREPYPDKHSYEANQRASGPNAKTRVARLLDSYLTEVSRDRNLSLTKFQVLAEALPESTRTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASSSLKEAVESHYQPVISARRQLLEGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQNDMDNLQRQFEKMSSTKPSKQSSSPWSTGWKKLSKLTKMTSEANEIGAPMPGTAATEATKKGPRRWRNSIS >Ma03_p21190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26209910:26212783:-1 gene:Ma03_g21190 transcript:Ma03_t21190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MWESESDGRGGGRDLEPDKHDGLKTDGFERRDQSWFVSSDIPSDLLVKVGGVSFHLHKYPLLSRSGRMNRIIYESSRESEPSLVELDDLPGGPEAFELAAKFCYGIAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCESLSPWAENLQIVRRCSESIAWKACANPRGIRWAYTGRPARASSPRWNGDSKESSPSRSQPVPPDWWFEDVSILRIDHFVRVVTAIKVKGMRFELIGAAIMHYASKWLPGLTKEGAQGGEEPWSHQGGLHLIVAGSGSKDDLSSGQIREQRMVIESLISIIPPQKDCVTCSFLLRLLRLANMLKVAPALVTELEKRVGMQLEQATLPDLLIPSFHKSETLYDVDLVQRLLEHFLVQEQTESSSPGREPYPDKHSYEANQRASGPNAKTRVARLLDSYLTEVSRDRNLSLTKFQVLAEALPESTRTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASSSLKEAVESHYQPVISARRQLLEGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQNDMDNLQRQFEKMSSTKPSKQSSSPWSTGWKKLSKLTKMTSEANEIGAPMPGTAATEATKKGPRRWRNSIS >Ma03_p21190.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26209909:26212808:-1 gene:Ma03_g21190 transcript:Ma03_t21190.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MWESESDGRGGGRDLEPDKHDGLKTDGFERRDQSWFVSSDIPSDLLVKVGGVSFHLHKYPLLSRSGRMNRIIYESSRESEPSLVELDDLPGGPEAFELAAKFCYGIAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCESLSPWAENLQIVRRCSESIAWKACANPRGIRWAYTGRPARASSPRWNGDSKESSPSRSQPVPPDWWFEDVSILRIDHFVRVVTAIKVKGMRFELIGAAIMHYASKWLPGLTKEGAQGGEEPWSHQGGLHLIVAGSGSKDDLSSGQIREQRMVIESLISIIPPQKDCVTCSFLLRLLRLANMLKVAPALVTELEKRVGMQLEQATLPDLLIPSFHKSETLYDVDLVQRLLEHFLVQEQTESSSPGREPYPDKHSYEANQRASGPNAKTRVARLLDSYLTEVSRDRNLSLTKFQVLAEALPESTRTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASSSLKEAVESHYQPVISARRQLLEGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQNDMDNLQRQFEKMSSTKPSKQSSSPWSTGWKKLSKLTKMTSEANEIGAPMPGTAATEATKKGPRRWRNSIS >Ma03_p21190.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26209910:26212803:-1 gene:Ma03_g21190 transcript:Ma03_t21190.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein 3 [Source:Projected from Arabidopsis thaliana (AT5G64330) UniProtKB/Swiss-Prot;Acc:Q9FMF5] MWESESDGRGGGRDLEPDKHDGLKTDGFERRDQSWFVSSDIPSDLLVKVGGVSFHLHKYPLLSRSGRMNRIIYESSRESEPSLVELDDLPGGPEAFELAAKFCYGIAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCESLSPWAENLQIVRRCSESIAWKACANPRGIRWAYTGRPARASSPRWNGDSKESSPSRSQPVPPDWWFEDVSILRIDHFVRVVTAIKVKGMRFELIGAAIMHYASKWLPGLTKEGAQGGEEPWSHQGGLHLIVAGSGSKDDLSSGQIREQRMVIESLISIIPPQKDCVTCSFLLRLLRLANMLKVAPALVTELEKRVGMQLEQATLPDLLIPSFHKSETLYDVDLVQRLLEHFLVQEQTESSSPGREPYPDKHSYEANQRASGPNAKTRVARLLDSYLTEVSRDRNLSLTKFQVLAEALPESTRTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIASSSLKEAVESHYQPVISARRQLLEGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQNDMDNLQRQFEKMSSTKPSKQSSSPWSTGWKKLSKLTKMTSEANEIGAPMPGTAATEATKKGPRRWRNSIS >Ma07_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3733396:3739621:-1 gene:Ma07_g05130 transcript:Ma07_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRSEFDLGASAAPPFGIREYAFADAGNLEHCAKYLNQTLVTFGFPASLDLFATDPVSIARTCNCIHSLLQQRQRDIEFRDASNEQRQRLQSDISRLEAKVERLEAQLAAKDRELASLTRTEAKKTASLKAQIDKLQQERDEYQKMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKMIVDAYEVKKQELMAENSDLRALLRSMQMDMRDFLNAPSGLSQQTSTVNERQDADSPQSPLGGRTDVFDLPFHMARDQIEESLRTKMASIKSRMVQLQDAQKGAELTCEATERELELEAQLVEARSIIQEQASIMSKHLAKNDKPRRLSSHFDAEREVTHSTSAEEV >Ma10_p24920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33290809:33292356:-1 gene:Ma10_g24920 transcript:Ma10_t24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDDCASSTLLCVEDSSCILGFDNEDDADDQEEHDLNRFYVRKRCELYGDLRMGLPSQADECLVSLVRRETEHMPRDDYAERLRSGALDLSIRRDAIGWILKVHAHYKFGPLSAYLSVNYFDRFFSNYELPKGKAWMTQLLSVACLSLAAKMEETEVPLSMDLQERETKYDFEAKTIQRMELLVLSTLKWRMQAVTPFSYVEFFLHRFSGGNAPTKVEIFRSVELILSTITGTEFLAFRPSEIAAAITLKVLGETQDVCIEKAVSCCIQVAKEKVLRCYEVIKDMESMRDRPPRNARISLSSVPQSPIGVLDAACLSYKSDDFRHATCNHSSSASKRRKI >Ma08_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6557345:6558012:-1 gene:Ma08_g09070 transcript:Ma08_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHHQAPRKDSNGSAPKKEKVVKYKECRKNHAASIGGYAVDGCREFMASGEEGTSAALVCAACNCHRSFHKREVDTDPVCDSSSNSTSPR >Ma10_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14359295:14364796:-1 gene:Ma10_g03760 transcript:Ma10_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGTGKHTPGKYIFLLSCIILLALVLLADFLWASSSSSSSSRSFPYRVLRPPSSSSFHYWSPYLDLSMALSAPDAKQSEGNSKGIKLSVKVLNATFADIPAPQLEWEEMPEAPVPRLDGAAIQIKDLFYVFAGYGTIDYVHSHVDIYNFKNNSWGGRFDMPREMANSHLGMATDGRYIYAVTGQYGPQCRSPTNRNFVLDTETKKWNELPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLDHWSLAVKDGMALEKEWTTEIPIPRGGPHRSCVVANDQLIVIGGQEGDFMAKPGSPIYKCSRRHEVQYADVYMLDDGKRWKQLPSMPLPNSHIEFAWVVVNNSIIVVGGTTLKHPITKKMILLGEVFQFNLDTLKWSVVGRMPFRVKTTLAAFWNGWLYFTSGQRDTGPDDPSPRKVVGSLYRTKLSL >Ma04_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22154000:22155487:-1 gene:Ma04_g19530 transcript:Ma04_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRNNHYHHHQQQQNLGVNRLSKNIRKSPLHQPNYYSNQNPPQLQQQQPPPPPPPQVYNISKNDFRSIVQQLTGTPSRDSSPAANSRPQHRPPQPRSPSTRLQKIRPPPLAPIARPPHPPLRPVAAAAQPPPRYPPPVPYRNPNSNLLPVPIPHPNSNPSSSFPRPSPSAGAAWAESPVSAYMRYLESSLLGSDTSRHPQPQPPMPPFPSPGLLPSPRPLVLPSPRGITNSSSLPLPPTPSASPLPLPSPSAFLNLSPYPLLSPGFLYPPTPNFAFSPLAQSGILGAGPGAGPQPPPSPLGFLFPQSPSGFLPILSPRWRDML >Ma10_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31749003:31752155:-1 gene:Ma10_g22320 transcript:Ma10_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSHRFLSHFAPFASSPNRPPPPHPPLFLRHAASGAPLGVRAAGLRPLLADLSEAVVEPEDGSGGGGTAGPVELPPSSGPAIFAVDDNPTPLQVSTSVLLTGAISVFLFRSLRRRAKRAKELKVRSSGVKEAKNQKAEALDRLKAVGAAPLEAGKPPSPAQALLGGIAAGVIALILYKFTTTIEAALNRQAISDSFSVRQITITIRTIINGLCYLATFVFGVNSIGLMLYAGQLALGSITENPKTSPTSEKDDGQLSQRASAESTANVIEPSSSDTPENSDDTKSP >Ma07_p26940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33508573:33509279:1 gene:Ma07_g26940 transcript:Ma07_t26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDVSCKSMAVGDSVSEDMAWSLVWGVGGLLLLVWALRTLNWAWWTPRRLERALRAQGLNGTPYRFPKGDLKENVRLAEEALSTPMPLTHNIVPHVLPFLHRAIDEYGKICFTWFGPVPRVTIMDPELVREVLSNKFGHFGKPKGNLLGRFLVRGLVSYEGEKWVKHRRIMNPAFHVEKLKVTRCPCWR >Ma07_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29138418:29139735:1 gene:Ma07_g21030 transcript:Ma07_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRGSNDERSSRPDSDLWTKQGRREEANFSSLFMWNSLSRVIGDGCHYGHRPGQRRKEPPGLVLKDGTWSEQDASILVPGKIVSIKLGDIIPVDTRLLEGDPSEIDQSALTAESLPVTKNPGDEVYSGSACKQDEEQPLCIVLVCELWAAQVHHGLNFWAASPTLTSIGNLCICSIATVIVIEIIVMYPIQHRAFRDGIENLLVLLTGGIPIAMPTVLSVTTAIRSHKLSRHGAITKRMAPIQNLLGLQ >Ma03_p07820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5549162:5551297:-1 gene:Ma03_g07820 transcript:Ma03_t07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MGEAVENVYGTNAHGDCHRAKDGGDACKWYEEEIDDDLKWCFALNSVLHRGTSKFQDIALIDTKHFGKALVIDGKMQSAEMDEFIYHECLIHPSLLCHPNPKTVFIMGGGEGSAAREVLKHKTIQRAVMCDIDEEVVDFCRRYLTVNCEAFASDKLCLVINDARAELEKREEKYDIIVGDLADPVEGGPCYQLYTKSFYEQVLKPKLHHHGIFVTQAGPAGVLTHKEVFSSIYNTLKHVFKHVLAYTAHVPSFADTWGWVMASDQPIMLDAQQIDERIGKRINGELLYLNGESLVSSTTMNKSVYTSLLKETHIYTEESARFIYGHGRACFA >Ma03_p07820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5549162:5551295:-1 gene:Ma03_g07820 transcript:Ma03_t07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MGEAVENVYGTNAHGDCHRAKDGGDACKWYEEEIDDDLKWCFALNSVLHRGTSKFQDIALIDTKHFGKALVIDGKMQSAEMDEFIYHECLIHPSLLCHPNPKTVFIMGGGEGSAAREVLKHKTIQRAVMCDIDEEVVDFCRRYLTVNCEAFASDKLCLVINDARAELEKREEKYDIIVGDLADPVEGGPCYQLYTKSFYEQVLKPKLHHHGIFVTQAGPAGVLTHKEVFSSIYNTLKHVFKHVLAYTAHVPSFADTWGWASDQPIMLDAQQIDERIGKRINGELLYLNGESLVSSTTMNKSVYTSLLKETHIYTEESARFIYGHGRACFA >Ma03_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27990183:27992273:-1 gene:Ma03_g23440 transcript:Ma03_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVGIGGVGKTTLAQQAYNHERVKDYFQHEVWVCVSDNFNVERLTKEIIESITENKCDLSNLDTLQVVLKKNLTSKRFLLVLDDVWNEDSLKWERFCAPLRYGEPGSKILITTRSKMIAEMVGNPIPLGGLDETSYWKLFKKCAFGSEDEGEFPQLEAIAKKIAGRLKGLPLAAKTVGGLLKAQMTEKHWRNIAGSEIWQLLQDEEGVLPVLQLSYRCLPPHLKRCFIFFSLFPEDCRFYEPDLIRLWMAEGYVAQDNMTPEAVGSGYFRELVNRSFFQEAHWGSAYVMHDLIHDLAQFISEGEFCRIDDDESKEIPNTIRHLSATITDKTKLIEFSCYEKLRTLMINYKSHWYGIGVEGSLFLRFERLKNIRVLILQRCGLRELPETIGGSIHLRYLDISHNFYFRRLPESLCGLYNLRVLDLWGCELQGFPHGMSKLINLMHLNAEDKIISEINDVGKLTSLQGLCSFKVLKDKGHEVAQLSGLKYLHGELRITSLENVESKQEANKANLNNKQYLGALALEWTSDDGSSLNGNELVVSEEVLEGLQPHQALKRLAIRGYNGVRSPSWLQAQLLANLITLEIKNCKAWEDLSYIGQLPNLKKLYVKGMPAVKQISHELSTENKFLPNLEELVLKDMMALEELPSLGRLPCLKDLRIEGMSAVTKVGHGFFGCRGQGKCFPCLEVLNFSYMPAWAE >Ma09_p01740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1284886:1289273:1 gene:Ma09_g01740 transcript:Ma09_t01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MALVALPNLTPSRRYRSSFLPPTTMSSSFSKTTGMHAAFPYRSKNLPPAPLRTVVRSSAKIVRCPPLDRHAAKHSRLRFARKLKTLLLSKPRHFLPLRVLSRCRPYLGLPPSRSLLSMVLRYPALFRLLRSPFPASLLSVALTPAAEALAARESHVRSRLDSALAAKLHRLLMLAPRRSLLLSKLVHLAPDLGLPPNFRSRLCNSHPDRFRTADTSYGRALQLVAWDPALAVPFPSFRPPPSEDDNRRPIIDRPPRFKHLPLRRGLHLKRRHRDYLIRLRELPDVSPYAVAGDEQELGRRMSAEEAEKRACAVVREVLGMTVEKRTLVDHLTHFRKDFGLPNRLRAMLVRHPEMFYVSVKGVRHSVFLVEAYDDKGKLLVEDELLAEKERLMELVREGKRMRRERRRDVGYGADDGDDDEEEDEDSNELDEDDDFANLFEAGIGDDWEEISNWAGDDLVEDEVEEFWVKKAAAMGIVEGSGGLEAW >Ma09_p01740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1284868:1289273:1 gene:Ma09_g01740 transcript:Ma09_t01740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MCPSSRHQATDVLAIDLCGTSTAMALVALPNLTPSRRYRSSFLPPTTMSSSFSKTTGMHAAFPYRSKNLPPAPLRTVVRSSAKIVRCPPLDRHAAKHSRLRFARKLKTLLLSKPRHFLPLRVLSRCRPYLGLPPSRSLLSMVLRYPALFRLLRSPFPASLLSVALTPAAEALAARESHVRSRLDSALAAKLHRLLMLAPRRSLLLSKLVHLAPDLGLPPNFRSRLCNSHPDRFRTADTSYGRALQLVAWDPALAVPFPSFRPPPSEDDNRRPIIDRPPRFKHLPLRRGLHLKRRHRDYLIRLRELPDVSPYAVAGDEQELGRRMSAEEAEKRACAVVREVLGMTVEKRTLVDHLTHFRKDFGLPNRLRAMLVRHPEMFYVSVKGVRHSVFLVEAYDDKGKLLVEDELLAEKERLMELVREGKRMRRERRRDVGYGADDGDDDEEEDEDSNELDEDDDFANLFEAGIGDDWEEISNWAGDDLVEDEVEEFWVKKAAAMGIVEGSGGLEAW >Ma09_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1284886:1289273:1 gene:Ma09_g01740 transcript:Ma09_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MALVALPNLTPSRRYRSSFLPPTTMSSSFSKTTGMHAAFPYRSKNLPPAPLRTVVRSSAKIVRCPPLDRHAAKHSRLRFARKLKTLLLSKPRHFLPLRVLSRCRPYLGLPPSRSLLSMVLRYPALFRLLRSPFPASLLSVALTPAAEALAARESHVRSRLDSALAAKLHRLLMLAPRRSLLLSKLVHLAPDLGLPPNFRSRLCNSHPDRFRTADTSYGRALQLVAWDPALAVPFPSFRPPPSEDDNRRPIIDRPPRFKHLPLRRGLHLKRRHRDYLIRLRELPDVSPYAVAGDEQELGRRMSAEEAEKRACAVVREVLGMTVEKRTLVDHLTHFRKDFGLPNRLRAMLVRHPEMFYVSVKGVRHSVFLVEAYDDKGKLLVEDELLAEKERLMELVREGKRMRRERRRDVGYGADDGDDDEEEDEDSNELDEDDDFANLFEAGIGDDWEEISNWAGDDLVEDEVEEFWVKKAAAMGIVEGSGGLEAW >Ma04_p33510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33081436:33082822:1 gene:Ma04_g33510 transcript:Ma04_t33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGVAGRSGVLSWDFWKLRNWASSHSASSSYSTAQPNADAAAASFSSTVAACFPCSDREMMAGGNRDQRALQYHHHHLTCLKLGKRQYYVEESGAAGAAMKRERPAAAVPRCQVDGCGKVLADEKEYHKRHKVCELHSKAPKVVVRGVEQRFCQQCSRFHVVAEFDDSKRSCRRRLAGHNERRRKNSTDPIARNPFLGTTAQPYHLSCCLFAMTGDIIPCISTSPGCALSLLSSKVSPWISSSEFSSRSSAALNELIAENRAAGLARRLLANRGGWHPVVSAEQPLFWPCPHHQASISQTQVRLDDGWIRLQDAGDRVMLDLMNAQLLLWDAAGEERVTGGRGVL >Ma00_p00340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:920390:923430:1 gene:Ma00_g00340 transcript:Ma00_t00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAGNKDKDKKKPVSRSARAGLQFPVGRIHRQLKSRIQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Ma00_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:920504:923430:1 gene:Ma00_g00340 transcript:Ma00_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAGNKDKDKKKPVSRSARAGLQFPVGRIHRQLKSRIQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Ma02_p00620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:5965109:5965422:1 gene:Ma02_g00620 transcript:Ma02_t00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCHSCCTESQREAALLLGQFASADSDCKALCAVRPLIGMLQSPDIQLKAMFVFALGIPFNV >Ma05_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6762193:6762925:-1 gene:Ma05_g09330 transcript:Ma05_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTYLVVALLASVLLVVSFCNAQFQENPGLLLPSQGDGMEVGKKKPWPCCDMCKCTRSMPPQCQCYDVLVGGCHRNCKSCFCTRSNPPSCRCTDVIYEDCGKRCHPEA >Ma02_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25273649:25275067:1 gene:Ma02_g18920 transcript:Ma02_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKGARASPLRSSKLLDLIRTNPSVDEPRPATGEGAARFLTSTGTFPRNVSPCHPATGSSPFPNSPWVQQLPPDLPTAAAITTGLVGSLVCQEGHVYSLAAAGDLLYAGSDSRNIRVWKGWQEFSSFRSSSGLVKAIVIAGNRVFTGHQDGKIRVWRTSSKDSSVNKRVGTLPTLADFLMSSIKPSNYVEARRHRTAVWLRHFDAVSCLSLEEDAGILYSGSWDKTVKVWRMSDSKCLESFNAHDDAVNAVAAGFDGLVFTGSADGTVKAWRREVAAGVKVGGAFATRHVAVQTLLRQDSAVTAVVTAAWFVYCGSSDGVVNHWQREGRGGSLASGGALRGHRMAVLCLAAAGSIVASGSADKTVRVWRRDESSGAHGAVAVLSGHAGPIKCLAVEAEAEEQGQEDRAAGRRYVVYSGSLDKSIKIWRVAERRWSPEAARGAPRHVRASAGYPSRRSPLHACAGRSRTIP >Ma03_p32180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34103455:34104799:-1 gene:Ma03_g32180 transcript:Ma03_t32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTVVALTPTTASSRTRSASAASGDRSEARGGGDSCYFPGCRKDTNCHCDICLASIHATRDLVPSLSSKPKARAAASPVPFLTDSPPPLPRPALAVTPPPTPPIRPSPRSRPAEKRATVKKQRSRSLGYPVIRFLVGLFSLWAADSGFSAVVLKSFSPKMTPEAVAQAGEKSRVLGHDLKGRLELLQQQVEKLVGGRVSNCSSTDSYWEMNQLQGGHFLFQWRCVIYKSMAEKVSVWGSPLRTTGLLASGASPRSITILSGRITEWPDDGKLLSTMRTSNSSSWRYEKWRSAALHLDANTWVLEYERNAVLEGPGLIPAARELLRLRVWKKVTNLGLRQSLSRLLRGEEEEMTSPT >Ma10_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15947562:15951121:1 gene:Ma10_g05200 transcript:Ma10_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTGFFASESPAVREEMESLDLSDATAPSSLPFFVEPPSYADVVLKSTFEPQNAVTIPGSGSYPPRAAASDYLRIVVSDPETMQETSNSPVLGGTTYVTYPITTWVRAVGHGGPSVFCVQRRFRDVVTLAARLAEAYRGYFIPQRPDKSLVDGQVMQKHEFVEQRRWELEKYLRRLAEHPVIGRSDELRVFLQAQGKLPLPAATDVASRMLDGAVRLPKQLFGDAAAGRVAPEDVVQPAKEGRDLLRIFKELKQAVANDWGGVKPLVVEEDREFLERKEKMQVLEQQLCTASQQAEALVKAQQDIGETMGEMGLAFIKLTKFETEEAIFSSQKTLSADAKCVATAAVKASRLYRELNAQTVKHLDTLHEYLGVMLAVQSAFSDRTSALLTAQTLMTDLSTLHAKVEKLEVASSKIFGGDRSGLRKVEELNETIRVTEDAKSCALGEYERIKENNRNELERLDREKHDDFLSMLKGFLINQVEYSEKVAIAWAAVVEETCGYARESN >Ma08_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6867605:6870401:-1 gene:Ma08_g09500 transcript:Ma08_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIVVKLLLLDSHQWGLSTKEWIIFSLITFDSQPTMEETILLGDDLMLGLPSPIIPPEIASHVLEGVDLCDGVLRNLFLLNKCLRLEINNIEPFCQDEIVLYQQCAEKRVSHIYLRQRLLHSEHKLGLSMPFTAAKERAAELQLEVTSLERHMILASGIEIMERFHQRWSLHGRLEDPKRRLEALNWGIVKRKPGNIQGEPKGDAVRKKWIFW >Ma01_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6828947:6829222:-1 gene:Ma01_g09460 transcript:Ma01_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWWAIWSKCLGLQGKRCIWTPSCRIKCL >Ma11_p17410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22697377:22702940:1 gene:Ma11_g17410 transcript:Ma11_t17410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILSHIGTARDVVVASATCRKWREACRKHLHTLSFRSDDWPRDITTRQLEILITQTIFQTMGLQCLSIHMDNAHEFAAAPVIAWLMYTRETLRSLSYNVRTTPNVNILEKCGRQKLEALDLDHNSITGVEPSYQRLTCLKSLSLRHVSISALDLSLLLAACPRIESLTLDALEIVTSDSQSSMELSSQTLKCLYAKSIGVDKIILEADNLESLHLNALNLDFFELIGKGTLKHLKIDDVSVTHLDIGESMDHLQVVDVSNFMIMWPKFYQMISRASKLRKLRLWGVVFDDEDEVIDSESIAVSFPQLKHLSLSYEIRDGLLHYGLQGSSPLENVDVLELGWTVISEHFGHWVFGIIERCPNLKKLVIHGALSETKTREERQMLANFTSFIVCLMRKYIQVDVQFEYE >Ma11_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22697378:22702940:1 gene:Ma11_g17410 transcript:Ma11_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILSHIGTARDVVVASATCRKWREACRKHLHTLSFRSDDWPRDITTRQLEILITQTIFQTMGLQCLSIHMDNAHEFAAAPVIAWLMYTRETLRSLSYNVRTTPNVNILEKCGRQKLEALDLDHNSITGVEPSYQRLTCLKSLSLRHVSISALDLSLLLAACPRIESLTLDALEIVTSDSQSSMELSSQTLKCLYAKSIGVDKIILEADNLESLHLNALNLDFFELIGKGTLKHLKIDDVSVTHLDIGESMDHLQVVDVSNFMIMWPKFYQMISRASKLRKLRLWGVVFDDEDEVIDSESIAVSFPQLKHLSLSYEIRDGLLHYGLQGSSPLENVDVLELGWTVISEHFGHWVFGIIERCPNLKKLVIHGALSETKTREERQMLANFTSFIVCLMRKYIQVDVQFEYE >Ma07_p27590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33911246:33913884:-1 gene:Ma07_g27590 transcript:Ma07_t27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTYLTALTTFFGYILLIAFGVLRDLVRKLLDWFKSDDLKGYAPICPPFEDFYTRRIYHRIQDCFGRPIASAADAWIDVVERHSNDNDKTLRRTSTTSRCLNLGSYNYLGFAAGDRYCTPRVVESLKKYASGTCSARADAGTTKLHIELEELVARFVGKPAAITFGMGYVTNSSIIPALVGEEGLIVSDSFNHNSIINGARASGATVQVFRHNSPSHLEEVLREQIVKGQPGTHTPWKKILVIVEGIYSMEGEFCKLPEIVAICKKYKAYVYLDEAHSIGAVGKSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASEEIIQHLKHGCPAHLYATSMSPPAVQQAISAIKVVLGEDGSNRGAKKLARIRESSNYFRSELKKMGFVVLGDNDSPVMAIMLYNLAKLPAFSRACLRQNVAVVIVGYPATPVLLARARICISASHTREDLIKGLKVIGEVGGLVGAKCLPAEPEKAKKMD >Ma09_p28730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39388488:39392015:-1 gene:Ma09_g28730 transcript:Ma09_t28730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPFDATTSRDNSGAQMQKKNKIDMMEAVRISSLSTDYVSKNGSLMKEQKDMHHQPNRTGVHQEDPSNLATAAGNSSSSTTRRRANEIPMEMEEDHEPKSERKSLTVATVNPKRKQVKDITESDLVHVRARHGQATSNHSLAERVRREKINERMKLLQGLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMKLAAINPRLDIDREGVLPKYLLQPCNSPSAAAGFSSDIIHPQLHLPHQGLIQSALSGPRNPPDLLGRSIDTQMTAEDGYNMQVSNAWDEELHNVVQMRCSSSTNLYTHRIQQ >Ma09_p28730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39388488:39392015:-1 gene:Ma09_g28730 transcript:Ma09_t28730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPFDATTSRDNSGAQMQKKNKIDMMEAVRISSLSTDYVSKNGSLMKEQKDMHHQPNRTGVHQEDPSNLATAAGNSSSSTTRRRANEIPMEMEEDHEPKSERKSLTVATVNPKRKQVKDITESDLVHVRARHGQATSNHSLAERVRREKINERMKLLQGLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMKLAAINPRLDIDREGVLPKYLLQPCNSPSAAAGFSSDIIHPQLHLPHQGLIQSALSGPRNPPDLLGRSIDTQMTAEDGYNMQVSNAWDEELHNVVQMRCSSSTNLYTHRIQQ >Ma09_p28730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39388488:39391433:-1 gene:Ma09_g28730 transcript:Ma09_t28730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPFDATTSRDNSGAQMQKKNKIDMMEAVRISSLSTDYVSKNGSLMKEQKDMHHQPNRTGVHQEDPSNLATAAGNSSSSTTRRRANEIPMEMEEDHEPKSERKSLTVATVNPKRKQVKDITESDLVHVRARHGQATSNHSLAERVRREKINERMKLLQGLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMKLAAINPRLDIDREGVLPKYLLQPCNSPSAAAGFSSDIIHPQLHLPHQGLIQSALSGPRNPPDLLGRSIDTQMTAEDGYNMQVSNAWDEELHNVVQMRCSSSTNLYTHRIQQ >Ma09_p28730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39388488:39392023:-1 gene:Ma09_g28730 transcript:Ma09_t28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPFDATTSRDNSGAQMQKKNKIDMMEAVRISSLSTDYVSKNGSLMKEQKDMHHQPNRTGVHQEDPSNLATAAGNSSSSTTRRRANEIPMEMEEDHEPKSERKSLTVATVNPKRKQVKDITESDLVHVRARHGQATSNHSLAERVRREKINERMKLLQGLVPGCSKVTGKAVMLDEIINYVQSLQQQVEFLSMKLAAINPRLDIDREGVLPKYLLQPCNSPSAAAGFSSDIIHPQLHLPHQGLIQSALSGPRNPPDLLGRSIDTQMTAEDGYNMQVSNAWDEELHNVVQMRCSSSTNLYTHRIQQ >Ma02_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20920533:20926429:1 gene:Ma02_g12060 transcript:Ma02_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEYLDKMQLRQSYRNLWHTDLISTIQADFPFCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYIPCSGKCGESRCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQVACIFSIVAMIVGSGEIQEASQILSCMSDIVYWTVCACMQTQHKIEMDKRDGKFGPLPVMAVPPFQQMSRIDQPIPPPVGYAPQPAYGQPYGYPPPGSYPPAGYPK >Ma10_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30038282:30045166:1 gene:Ma10_g19520 transcript:Ma10_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSRSPSYRRRRSPSPRYSSRRSRRDRSRSPYSYRRKSRSPSPRWRKSRSPSLRRRISRSPSPRRHKRQRSRSMSTSPVNKSESPSLVSIEQKNALERQRQEEEKKRRQKEAELRILEEETARRIEEAIRKKVEESLNSEEIKLEIQRRIEEGHKKLLDEVAAQLEKEKEAALIEARQKAEQERKEREELDKMLEENQRRVEESQRREALEQQQKELERCLELEHLQKEKEEAMRRKKMWEEEEKANQMKLLGKNKSRPKLSFAIGLK >Ma10_p11460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24992575:24999190:1 gene:Ma10_g11460 transcript:Ma10_t11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKPQALLLQSKKKKGPTRINPSTVITCNIIVILIVLSLYATYRNWFNRSSNQLGKGLENFEHSVTSGQSTGTHLPSYAIFNTAKGSITIELYKDASRDVVNKFVNLCQKGYFKGMLFNHVIKNFVIQGGHSQKLEGAGDWILKGKAHSQLATSPKHEAFMLGTLKPNKDSKEFELFITTAPIPDLSDKLIIFGRVIKGEDVVQEIEEVDTDEHYRPKSPVGIVDIALKQEA >Ma10_p11460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24992563:24999190:1 gene:Ma10_g11460 transcript:Ma10_t11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKPQALLLQSKKKKGPTRINPSTVITCNIIVILIVLSLYATYRNWFNRSSNQLGKGLENFEHSVTSGQSTGTHLPSYAIFNTAKGSITIELYKDASRDVVNKFVNLCQKGYFKGMLFNHVIKNFVIQGGHSQKLEGAGDWILKGKAHSQLATSPKHEAFMLGTLKPNKDSKEFELFITTAPIPDLSDKLIIFGRVIKGEDVVQEIEEVDTDEHYRPKSPVGIVDIALKQEA >Ma04_p38340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35910549:35911775:-1 gene:Ma04_g38340 transcript:Ma04_t38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSPKRGKIHKGKSVADEGTAMSEQAMNDRQWENLPTNCLVDIFQKLSLHDLTLGVPFVCKSWYEASLDPSCWKILDFKTIDPRPGSEFTEKFKHEYRLDKYQFRGFLNFLIRRSCKLAILLIHPPSQRIPINDLVYILKECPKLDIYNPPLSVKNPGLMRKFILTVHETILRRASYEFM >Ma06_p29510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30865954:30872127:-1 gene:Ma06_g29510 transcript:Ma06_t29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRKLCLAAVVQFVTFVVALDLARQCGAASPSSSPDAKAMWDLARALSGLPSSWKSGSDPCDPEWEGVTCSAGRISGINLGSRGVSGTLPVSLSALTSLSSLRLHRNRLSGPVPLLSSLSSLQTLFLDGNAFDSLPDTFFAGLSALQEISLDDLPLAPWSISHNLSAAVGLADFSASNSSLSGTLPDFLGSLLNLRVLRLSYNQLTGSIPSSLAGSGIQQLLLNNQQDRDKLSGSIDVLGAMPQLTMVWLQSNSFTGPIPDLSNVTALESFNVRDNALTGVVPPSLTSCLTLKNATLSNNLLQGPFPQFASKFATLDVGTGNQFCTSAAAPGPCDPRVTALLAVAEGFGYPAVLAKSWKGNEPCGANWLGVTCDAQKSVTVLNFASQHFGGVISPAFANFTSLRQLHLSNNDLTGSIPDSLTQLPQLQVLDVTNNNLSGKMPSFSSSVTLKLEGNPKLGTDSGSSDGSSSGMFGSSPGQFGGSKAGGMIAGIVVAMVVLVGCLAALFLHRRKKKQEEKLGHVPTGTPPNEPELINIGSNRMNANGRGLDPLYTQSGADRASAYVGEAQGMYMSIQNLRKATNNFSEENILGRGGFGVVYKGDHNGTLIAVKRNQCDVMGKKGNEEFKAEIDVLRKVRHRNLVALLGYCDDGQERLLVYEYMSGGTLGEHLFEWQSRNEPPLTWKQRLTIVLDVARGIEYLHSLAQESFIHRDLKPSNILLDKDLRAKVSDFGLVKLAADNQKSMMTRLAGTFGYLAPEYATTGKVSTKIDVYAFGVILMELITGRKVLDESLPPEDCHLVAIFRRGISHEKKTFLNAMVDQVLELDEEDQQSLAEVADLAWHCTGREPYQRPDMSHAVNKLSPLVDQWKPTTNCTDEDDGDNSSMSLTQRLERWQRNNTNSATDSFGFTFK >Ma07_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7457052:7463468:1 gene:Ma07_g09960 transcript:Ma07_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWSELTVELLGMIYDELSIFDYIRSKAICKQWNSVYKLEHHRPPKPEAPWLMLPGDNNSTAKFFSIVEKKIYNIPCPEPMIRTRIYIGSCHGWLTTVDSLCNMYLLNPLTGAQIPLPCVTTLQNLAFARAGNKAWTSISSPYCYTDIIHHNARFYTINYQRIVEAWELDELAIRHSIINSDLPSHIFLGCICTYYLVESLNNNLMLVYKFQNEWSPTDNPKNIICMVFSLDEHALKWIRVKSLHEQTLFLGKNQSMCLSTIDFPELKQNCIYYTDDMLELCGSYKYTKRHIGIFYLEDEMIGPIDHLGHHLNWPPSLWLTPNSRRLSHTVGTLHDYWRGDLCGVTQRLS >Ma04_p15050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11397753:11398071:-1 gene:Ma04_g15050 transcript:Ma04_t15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRSPLKVWLHTGGTTTKKWKGQEKEIVETRTSIVNSNCRCSYFDFLHLFGSTR >Ma01_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13745369:13747372:1 gene:Ma01_g18490 transcript:Ma01_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSAAPDLSLQIGSDDATPPSWRKPDETMELGFRRRDVCESPTTTTYIYPIIASAANANAAAFELSLANTNGAVSVDHRHHHPPLPEVCHQDQSWMKPITGIPIYQHPPSFPLVAPHQQQHLCGPSSSTHGFTPFMASQSLSRSRYLPSRFPGRRSMRAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQGFNGYDDDEISPFIHKRKKLQTVPVQSACFL >Ma04_p31840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32049817:32066706:1 gene:Ma04_g31840 transcript:Ma04_t31840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMWGKSSSKDAKKKTVKENFIDTLHRFISSTEQKGSSKSRRIQRRSSDITTEKGYTSRAESRSTSPSKHVSRCQSFAGRPNAQPLPLPEMPSCITRTPSEVSISKPILEKRGKPQLHLPLPKPHRIMKGPDAANLDGDLATASVSSNCSIDSDDPGDSQLHSPVGNDFENNNRTVIDHHYSAVHKDRISATQRSPKEMSKPTTLFLGNQITSASPKWGVPNSYRSNIQIPRNGALGSAPDSSMSSPSRSPMRVLCPEQVPTSAFWATKPHTDVTFVGSGQCSSPGSGQTSGHNSMGGDMLGQFWQHSRGSPECSPIPSPRMTSPGPSSRIHSGTVSPLHPRAGGTAPESPTSHRLPLPPINVSRSSPFLPNNSTTATSPISRSPGRTDNPTSPGSRWKKGKLIGRGTFGHVYVGFNSESGEMCAMKEVTLFMDDAKSKESVKHLGQEIFLLSRLRHPNIVQYYGCEMIEDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNLNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPTIPDHLSDDGKDFIRRCLQREPSKRPTAAELLQHPFVKNAASLEKSVINSEPLDLSSGVLGGANTKLLLKFQTVGHARNLSSLDMEGTSIHRIRAGISTIAMSDARARYISCPVSPIGSPLLSSRSPQHINGRMSPSPISSPRTASGASTPLTGGYGAIPFGQSKQGARDHDGSTCLSKCTNDICASGIIYHESKLNYLPGTQQGSPKIWERMASEADILSSQFGRFRHVELQIQVNDFDSHLNLAASLS >Ma04_p31840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32049817:32066706:1 gene:Ma04_g31840 transcript:Ma04_t31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMWGKSSSKDAKKKTVKENFIDTLHRFISSTEQKGSSKSRRIQRRSSDITTEKGYTSRAESRSTSPSKHVSRCQSFAGRPNAQPLPLPEMPSCITRTPSEVSISKPILEKRGKPQLHLPLPKPHRIMKGPDAANLDGDLATASVSSNCSIDSDDPGDSQLHSPVGNDFENNNRTVIDHHYSAVHKDRISATQRSPKEMSKPTTLFLGNQITSASPKWGVPNSYRSNIQIPRNGALGSAPDSSMSSPSRSPMRVLCPEQVPTSAFWATKPHTDVTFVGSGQCSSPGSGQTSGHNSMGGDMLGQFWQHSRGSPECSPIPSPRMTSPGPSSRIHSGTVSPLHPRAGGTAPESPTSHRLPLPPINVSRSSPFLPNNSTTATSPISRSPGRTDNPTSPGSRWKKGKLIGRGTFGHVYVGFNSESGEMCAMKEVTLFMDDAKSKESVKHLGQEIFLLSRLRHPNIVQYYGCEMIEDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNLNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPTIPDHLSDDGKDFIRRCLQREPSKRPTAAELLQHPFVKNAASLEKSVINSEPLDLSSGVLGGANTKLLLKFQTVGHARNLSSLDMEGTSIHRIRAGISTIAMSDARARYISCPVSPIGSPLLSSRSPQHINGRMSPSPISSPRTASGASTPLTGGYGAIPFGQSKQGARDHDGSTCLSKCTNDICASGIIYHESKLNYLPGTQQGSPKIWERMASEADILSSQFGRFRHDSGE >Ma03_p33700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34982290:34995002:-1 gene:Ma03_g33700 transcript:Ma03_t33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALARSSGRLMIPNATTSSAHHRYRSCWLSMNGCGGAGGSDKAVGICETRTLASVSAPELAVPQLKLAVSVLEANPPQSDSGIIRLQVPIRQRADALEWLQAQSQHQVLPRCFFSSRGQSLDLTSLSLVGNGGGLASTAKADGDEQELVSVAGVGSAVFFQGSCPFALQDWQSIKRFLCKDCHLIRAYGAMRFDARTVVAPEWEDFGSFYFIVPQVEFDQLQESSMLALTIAWDNNLLWTWQKAMDELKATLQQISSCFGRLRKLDPKTAIISFQHFPSKGSWDISVKRALEIINGRESELVKVVLARCSKYIIDTTMDPLVLLASLKAESQNAYQFYIQPPGAPAFIGNTPEQLFHRKCFHVTSEALAGTRARGVTKAEDLQIGQDLLFSPKDNIEFVIVRESIRRKLQIICDEVHIEPSKALRKLPRIQHLSAQLSGRLRDEDSEFDILTSLHPSPAVCGLPTEEARRFIKENEMFDRGMYAGPVGWFGGRESEFAVGIRSALVKNGVSILVFAGAGIVEGTIPSLEWEELELKESQFTKLFQYQNPMVCHQKAKSTTSTAN >Ma03_p06090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4208567:4216635:-1 gene:Ma03_g06090 transcript:Ma03_t06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESGSYINPAKRQSWRSVVMLAYQSLGVVYGDLSTSPLYVYKSTFADDIEHSESNDEIYGVLSFVFWTLTLIPLLKYVLVVLRADDNGEGGTFALYSLLVRHARVGFIPNGQVADEELLAYNKKDDHLGLLNGDPSAPTGGAMTAPWMRRLLEKHQISQRVLLVLALLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHKYVEVPVACLILVCLFALQQYGTHRLGFLFAPIVVIWLMCISVIGAYNIFYWNPHVYQALSPYYMYKFLKKTKRGGWMSLGGVLLCITGSEAMYADLGHFSQSSIKIAFTFVVYPSLLLAYMGQAAYLSQHHVIQNHYRIGFYVSVPERLRWPVLAIAILAAVVGSQSVITGTFSIIKQCTALGCFPRVKIVHTSSKIGGQVYIPEINWILMLLCLAVTIGFRDTKHLGNAQGLAVIIVMLVTTCLMSLVIVLCWHKNIFLAICFVVFFGTIEVLFFSASLIKFLEGAWVPIALSFVLMTVMCIWHYGTRKKYEFDVQNKVPINWLLSLGPALGFVRVRGIGLIHTELISGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVLAEERFLVGRVGPKEYRSYRVIVRYGYHDIHKDDLEFEKDLVSSIAEFIQSAGHEQNGHVEATENGDEKMMVVSAGFRFHEERAVEPVEAPGPSNSKAMPSSPIPPKKTVRFVLPRSPKLEKGTREELQELMEAREAGMAFILGHSYMRAKSGSSLIKRLVINFGYEFLRRNCRGPMYAVSIPHASTLEVGMIYNV >Ma10_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32005259:32005788:-1 gene:Ma10_g22770 transcript:Ma10_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPFLSAEFRDALLHRWPLLVYAATWTAMITATVAVAAFSPELAFVWAVSPSSAFARPCGAASVRLPLDGPPGEVVCVPAYLFDRSGMDVLIPPLFAVVVVAASVCVTRAIGLWEDEEEETSS >Ma04_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2114945:2115825:1 gene:Ma04_g02470 transcript:Ma04_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVHPNAAAPAREPPRIASCCGGDDAWGKDSAAVLTVWRKSLLFSCSGFTVFDAKGDLVFRVETYGSGTAGELVLMDAAGKPLLTLRRKRLSLGENWLIYDGEDAGNPLYSVRKHVNLRRCKALAHVTPCGSDGCGGYEVEGSYSRRSCTVFDDRGRAVAEVQQKEAVGGVAFGGEVFRLVVQPDMNACLAMAVLIVLDQMFGSRS >Ma11_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27125252:27136190:1 gene:Ma11_g23960 transcript:Ma11_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRPLLPRHPTSSSNDPPPSFDHESHPPPSPKQLVESSDRAPAAAWKPGNRFLSTRPRSGLDEERRPLVGPRGGGVMPPAEMFGDQDRFLIPLQRLSSGGGSSSFGGSLFTVDGNVLSGTTATVEGSGSLLAAVDGGMDLRDESGDRNWAQRARESYYLQSSLATRLTSQAFLAGQPLLLLENSQEICGVSADSETVSYRLWVNGCLSYSDKIVDGFYNIMGMDPYLWAMCNEPEVGKKLPSLMALQVVDPSESSMEVVLVDKYADLSLKQLENRALELYHASETMLELVEKLARLVSGFMGGAFKSEQGDLHRLWKSYSKELRKRQKCVVIPIGNLSIGLCRHRAILFKELADYIGIPCRIAHGCKYCSSVHPSSCLVKVEDAKQSFREYVVDLVGEPGRFYNPDSSINGNLLSSLPSPFQGPHLLGCKNLYTSETTWNQMLVQEQTGMIARNCYDPSEIEASRQLKVKAIGSKGEKKGNPPTVPKYLNLEPSLAMDWVEISWDKLEIKERIGAGSFGTVYRAEWHGSDVAVKVLADQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKQPYLSIVTEYLPRGSLYRLINRTAAGEILDRRRRLRMALDVAKGVNYLHCLNPPIVHWDLKTPNLLVDKNWSVKVCDFGLSRFKANTYISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWSGLNPAQVVGAVAFQNRRLAIPQDTCPMLAALMESCWADDPRQRPSFSNIVDTLKKLLKPPVPLEQMASP >Ma08_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:626867:628535:-1 gene:Ma08_g00640 transcript:Ma08_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKLDAGALTSIEKIRKLQEDLTDDMVGLARQLKESSLLMVQSLQDTEKSFLQWITPEVFNFRYCNHSFRFFGRSLLEYRSACW >Ma08_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34072868:34078761:-1 gene:Ma08_g20220 transcript:Ma08_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPPAILLIAAWAVTALAASDSAGADAGFPAKLRLERALPTRGVGLEHLRARDRARHGRSLLGASSSPASAAAGVVDFPVEGSANPFTVGLYFTRVKLGNPAKEFYVQIDTGSDILWVTCNPCNGCPTSSGLNIQLEFFDPDKSLSSSRISCSDDRCTSALETGEALCSTSDSSSSPCSYSFQYGDGSGTNGFYVSDTIYFDTVLGNEQIVNSSATIVFGCSNSQSGDLMKSDRAVDGIFGFGQHELSVISQLSSIGVAPKVFSHCLKGSENGGGILVLGEIVEPGIVYTPLVPSQSHYNLNLESIAVNGQTLSIEPSVFATSNTQGTIIDSGTTLTYLAEQAYDPFVNAIISSLSSSVRSIPSRGNECFVTLSSVDESFPSVTLKFAGGASMPLKPEDYLLQQGSVDNSIIWCIGWQKNQGSGITILGDLVLKDKIFVYDLANQRIGWTNYDCSLSVNVSASSSGKNQYLNAGQLDVNGSSQIAFANLLWTSIAIVLVYILALCSLRQ >Ma05_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1700208:1710769:1 gene:Ma05_g02610 transcript:Ma05_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPPKPLQPNRASLSFLFLFLLSLVVYVSLSPSRKTPSPSSSSSSSSRNAPADSFSAPHRLFLSLHPGANATIAAHLRALTLRPHLAGTPAAARTASYVISHLRAAGLRVALADYYPLLSYPASASLALLRPDGALVKPLPLAEPADLEAAAVPPYHAYSPSGSAVAPPVYVNYGREDDYSALDRLGVDVRGCVAVVRRGGGYRGAVVARAAARGVAAVLMFKAADHGGVPQDSVYASGRGGGDGDIEDARWASDAARVGRRVAFGGEERGWTGDAFKRSNVLFDEDRKLAKIQNVFGIIRGSEEPDRYIILGNHRDAWTFGAVDPNSGTAALLDVARRYGSLLRSGWRPRRTIILCSWDAEEFGMIGSTEWVEQNLGRLSSKAVVYLNVDCAVQGDGFFASATPQLDKLIVEVTKQIQDPDFEARTVYESWIVNNGGISKIERLSRADSDFSAFLHLAGVPSVDFYYGDAVSMFCLLRLTSTFLRHLLLIEVFPGYHTVFDSYKWMVEHGDPSFHRHVAIAEIWGLLGLRLADDLVLPFDYPSYSAQLHEHATALSALLDGGGSVQVQPINSSIDELTVAIREAVEESKKLQEVDTTEDFAALRRRAFNDRLMLAERGFLEAEGLKGRPCFRHLLYSPPEDSESKLSFFPGIADAISQARKYAGEEEQLGIQHEIWRVARAIQRAAAALRGELI >Ma06_p23750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21912643:21921947:1 gene:Ma06_g23750 transcript:Ma06_t23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] ANMPSLLYKKYKFSLFVACPSLRKVPHVLVVHGESGATEDHLKKVKPANWVLHKPPLPIAYGTHHSKFMLLVYHSGVRVVVHTANLIYVDWNNKTQGLWMQDFPWKDNNSCKDSFFENDLVDYLKMLKLPEFTAKLPAVGNVSINASFFRKFDYSSAMVRLIASVPGYHTGANLNKWGHMKLRSVLGNCIFDKEFCKSPLIYQFSSLGSLDEKWLSELTVSMSSGVSSDKSPLGIGKPHIIWPTVEDVRCSIEGYAAGNAIPSPQKNVEKDFLKKYWTKWKANHVGRCRAMPHIKTYTRYNGQNLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPAYVKDLGSWFSCAGNDGTEQIAHENSSSSSKPWSLATLCLNDDASEVIQLPVPYQLPPQPYTSEDVPWSWDRRYTKKDVYGQVWPRHVQLYAKQDT >Ma03_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9494175:9495616:1 gene:Ma03_g12280 transcript:Ma03_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVSSSQSIPLRSINLHICNERMTSFGLILRTSIAIFELILRN >Ma01_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6427960:6447256:1 gene:Ma01_g08970 transcript:Ma01_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKARKQRLSATLAAPLPLLECRLPEQENGFQWSKRGFPSHGVGTLLLSPTSSFSALNVMYSSLNSPSQLTGWGSSGGDPCGNDWKGIKCSGSSVTEIKLSGLGLTGTMGYQLSSLTSVTYFDLSKNNLQGDVPYQLPPNAIHINLAGNALTGGVPYSISQMTDLNYLNLANNQLSGQLTDVFGKLHSLSLLDLSFNHFSGNLPQSFGSLSSLKTLNIQNNQFSGSLGVLAPLSLEDLNIQNNHFTGWIPNKLRSIDNLKVDGNSWSSGPAPPGMSKAADRTGGSSSTNKHSGMKAAAIAVIVIAVLVAILIMMALLKRRSSASSCYIDEQHSQNRSFTPLVDDEFAGSFFHVVNWLKDSSSSVDMKAFENPSLDLKTPLADTQKPLSDNQFAKRSNSRRSTDHISPTIYSLADLQAATLSFSASRLLGQGNIGRTYKAKSADGKVLAVKKIEALSLSGRSSYNFKELVSGISKLHHPNIAELLGYCSEPGYQLLVYELQRNGSLHGFLHLSDDYSKPLTWETRVRIALGTACALEYLHEVCSPSAIHKNIKSANILLDAELNPRLADCGLTVFFEDTNDNLGPGYNAPECTKPSAYTIKSDVYSFGVVMLELLTGRKPYDSSKPRLEQSLVRWAAPQLHDIDALARMVDPALRGLYPPKSLSRFADVVALCIQSEPEFRPAMSEVVQALVRCVQRPSFNMRMGGDMSTSRRSDDSDYGYN >Ma11_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26117711:26121660:-1 gene:Ma11_g22310 transcript:Ma11_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSQASLLLQKQLKDLMKNPVDGFSAGLVDDNNIFEWSVTIMGPPDTLYDGGYFNALMSFPSNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDERDAFKKKVRHVVRRSQEML >Ma10_p15730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27726348:27727637:1 gene:Ma10_g15730 transcript:Ma10_t15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACMKAMFSLEIASLLVACAYAHDFIRFGYGGATGPEKWGSLSSEFKLCSAGKHQSPINIVKDDVVYNPNLKGLDRDYVPTNATFIDNGFNVELRYEGGGAGKMTVDGKNYSLLQMHWHSPSEHTINGERFPVELHLVHKTDCGDITVVSILYRYGHPDAFLIQMRKEIDELAMGARAQVPVGIVRTRWWKRHSRKYYRYVGSLTTPPCTENVIWSILGKVREMSEEQASALKAPLEEEYRSNSRPLQPLNGQTVQLYDERRQRPSC >Ma07_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:938661:939890:-1 gene:Ma07_g01240 transcript:Ma07_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSELLPPQPGVDLSLTLAQSSTSGAGSGSGSVHDRDARDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWSSHLYLPPPATVAVPPHHLNPYSFPIASHSCKPAAACTSHGLSDGYGAPRLMADRGGLAFPPPPMSCSAWCNETIDLLNWQRASHPHRPSSPHLPSPPPPTPPVSSHGDDKAMLDLSLKL >Ma06_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2880821:2882213:1 gene:Ma06_g04010 transcript:Ma06_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKWKREERMMQIDLSLEAAEDEERAVEEGEDGGRLVEEEEEDKEEDSHREENQKPVDMIKHELCMLQSELDRVKEENKMLRAVVDRSRKSYYELRMRFRDILQQEQLKVSLSLGAESSQDPKMVVADRGIDSDDATELSLSLSLQTHADPHERVDARAEKGKGSKSSAPLQDAAMITSHSINPATRRTRVSMTDGCQWRKYGQKVAKGNPCPRAYYRCTVTPGCPVRKQVQRCLEDMSILVTTYEGTHDHPLPVAATALASTAAEAAANHMPMNLADSSSSIVGSIPNRVPSASFSSYLANSSPNLPTMDGLATSTSYSSSIFGGGISHGYPHWSNFGSKEWGKYQ >Ma08_p31310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42260444:42278062:1 gene:Ma08_g31310 transcript:Ma08_t31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLSLIPASVLRNLADKLYEKRKNAALEIEGIVKQLAVAGEHEKISAVISLLTTEFAYSPQANQRKGGLIGLAAATVGLAAEAAQHLEQIVPPVLNSFADQDGRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDPNVQSAAHLLDRLIKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPNVDYGRMAEILVQRAGSSDEFTRLTAITWINEFVKLGGEQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIRADPADGFNIDAILSIARRELTSEWEATRIEALHWISALLARYRSEVISYLNHAFDSLLNALSDSSNEVVLLALEVHACIAEDHKNFHRLVGLLVRNFQNDRVLLERRGALIVRRLCVLLDAERVYRQFSLNLEQEQDMDFASVMVQALNLILLTSSELAELRGLVKLSLVQSSGQELFVSLYSSWCHSPMATISLCLLAQAYNHASSVIQSLREEDINAKFLVQLDKLIRLLETPIFAYLRLQLLEPAKYTWLLKTLYGLLMMLPQQSAAFKILRTRLKTVPSYSCIEQLKGTSSENPYSQILQFSEDNRNQYAANVANVYNAIDFPSRLQQFEQMQHKHRMHSKSKLQSRNSTPSIASQEINISEESRPPSPLPEIMRPPKTWNNPRQLKP >Ma06_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9402382:9405983:-1 gene:Ma06_g13720 transcript:Ma06_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDREEALKAKETAERKFNNKDVKGAKKLALKAQNLFPSLEGISQMITTLDVYLAAGKKINGESDWYAILSVDATADEETLKKHYRQLALQLHPDKNKSVGAEGAFKLISEAWNVFSDKNRKMAYDQMRNVDGLESRASQPHRDCSVHNTVNGFYSSSNTTSGKRARKRKTGSAPSAAPPQPHPINLNTFWTSCNCCRMQYEYLRTYLNHNLLCPNCHQAFMAIEIGIPGNAANSSISWSARQHEHNSNYKYTIKNGYSSGFSTSTFSGTGHTELQHGGNLDFYNHHKFQWSSFTGSSGTSSTTDSAFQPANLIHKKFGKKRRKHEAQAAARREESFRTDYHVYKSADDGSRNYNDGHTLTSYDQDRCISKAARPAKRRNNGDQSGDCYRTDETENMSTSIEDTINPEVERSNGAVEDLLRTRITARQNNYIREYSQVNIWKMLTEKAKAAIGIKLEEWNLAQANKLEEKEKLRRQNGQEASAIDANTGKHDSSIRESSAHGLDVNTAKKVVKHVSIDVPDPDFYDFDKDRLEMTFEGDQVWATYDSEDGMPRLYAMVQKVLSLNPFNIRMSFLNTKSNSELGPVNWVASGFDKTCGDFRVGRYQISDTVNIFSHRVRWEKGPRGVIRIVPKKGDIWALYRNWSPDWNELTPDDVIYKYEMVEVLDDYTDDHGVSVIPLVKVAGFKAVFHRHMDPLEVKRITREEMFRFSHQVPSYLLTGEEAHNALKGCLELDPAATPVELLQVITEVKEDLGMEINKQQSGN >Ma10_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23532842:23537192:-1 gene:Ma10_g09320 transcript:Ma10_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASANSVLPPPFLPSACSSLSSSHALKRFLRVKAASVGSGSDANQSPLTSSAKGKNPLAAVLELPRSLWWQTMQPLGDFGFGRTSVWEGAVGLFMVSGAVLFALAIAWLRGVQLRSRFRKYQVVFQFSQACGICVGTPVRIRGVNVGSVVRVDSTVRSIDAVAEIEALAEGVQPLLAEVRDSTLLKDVENLTKSLAEATVDLRTVRSAILTPENAELTRQSIFTLIFTLKNIESITSDISGFTGDVAARRNLKMLIKSLSRLL >Ma10_p09320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23532842:23537192:-1 gene:Ma10_g09320 transcript:Ma10_t09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASANSVLPPPFLPSACSSLSSSHALKRFLRVKAASVGSGSDANQSPLTSSAKGKNPLAAVLELPRSLWWQTMQPLGDFGFGRTSVWEGAVGLFMVSGAVLFALAIAWLRGVQLRSRFRKYQVVFQFSQACGICVGTPVRIRGVNVGSVVRVDSTVRSIDAVAEVEDDKIILPRNSLVEVNQSGLLMETLIDITPRDPLPESSVGPLDADCVKEGLIVCDKEKIRGQQGVSLDALVGVFTRLGQEMDEIGISRSYRLAEKVASVVEEAQPLLAKIEALAEGVQPLLAEVRDSTLLKDVENLTKSLAEATVDLRTVRSAILTPENAELTRQSIFTLIFTLKNIESITSDISGFTGDVAARRNLKMLIKSLSRLL >Ma05_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15864861:15870103:-1 gene:Ma05_g16250 transcript:Ma05_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDHEMAAAVGRLVLAYDGAIAGLALAAFAAVSWVKYLAASAALVRIRRATSVPISGLRSLLSSDDSSDEDGVLVVVRGLVQPSSTVEALGVWPLSKFRDVLTPRGSGERAVAVLSTQTCLYNEWRGMFGWSSDLNALLMRSWKERRSSSLELVPFILADGAKSSNSGYIHVNLDGSAHPLPLTTVYHELHPVQLTPCTFFQAIFGSGYPVALLHEEKILPVGKEITAIGICRPRDGALEIKSCKNLPFFLSDMTKDELEAELNSNTRILLWSGILIGTLSFGILGYALVRNWRKWKEWRTRRREIQDLHDESLIESSMEAEDAPEGELCVICLSTRRRSAFIPCGHLVCCSRCALHVERDSSPKCPVCRQDVRSSIRIYDS >Ma05_p16250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15864466:15870103:-1 gene:Ma05_g16250 transcript:Ma05_t16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDHEMAAAVGRLVLAYDGAIAGLALAAFAAVSWVKYLAASAALVRIRRATSVPISGLRSLLSSDDSSDEDGVLVVVRGLVQPSSTVEALGVWPLSKFRDVLTPRGSGERAVAVLSTQTCLYNEWRGMFGWSSDLNALLMRSWKERRSSSLELVPFILADGAKSSNSGYIHVNLDGSAHPLPLTTVYHELHPVQLTPCTFFQAIFGSGYPVALLHEEKILPVGKEITAIGICRPRDGALEIKSCKNLPFFLSDMTKDELEAELNSNTRILLWSGILIGTLSFGILGYALVRNWRKWKEWRTRRREIQDLHDESLIESSMEAEDAPEGELCVICLSTRRRSAFIPCGHLVCCSRCALHVERDSSPKCPVCRQDVRSSIRIYDS >Ma04_p35320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34146437:34150410:-1 gene:Ma04_g35320 transcript:Ma04_t35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MSSQILAEVCQCVEGFGSAAKEGRWRTTLTIYRPVARDPSSTATSAPVPMPGDLPRDFLGLTLHDRPGAYFFILRHHRLILQADANVQSLMDKLQSYKARVVLHFEGFQYQLGDFMLRVGKCVQSHADTLRGIMMEVEYLPLSSIDKSRQIMEDFLDIWQDAVIKKSLPGQFINVELNFADYGLQDQYTPQHTALQYATCIVQLMATVRS >Ma09_p21600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33022054:33024753:-1 gene:Ma09_g21600 transcript:Ma09_t21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPLFLLLLPFFATLSSAADTISANNSLPGSQTITSAGGNFVLGFFKLGNSSSRYYVGIWYKKVSKITPVWVANRETPVADQSTSELRIAGDGNLVLLNQSKSIVWSTDANISSNSTLAVLLDDGNLQLRDESNESQVFWQSFDHPTNTWLPGSKVGLNKVTNRNQHLTAWKNDDDPAPGIFSLELDPNGTSQYFILWNMTTEYWTSGIWNGQIFSNVPEMTANYVYNFEYVSNSTENYFIYTVKDNTIISRFVMDVSGQIKQLTWLENSQTWILFWSQPRQQCQVYSFCGSFGSCNENALPFCKCVQGFSPKSQSDWDLGDQSEGCQRNTPLQCGRSNSSRTEKDGFLTMSNMRLPVNSRTLSAVGSDGACEAACLSDCSCTAYSYGSGGCSVWHGDLLNLQEQFNGSDASTLYLRLAASELQSSKSNKGTVIWIVVGVAVAVLTCLAIIWFMIRRRRKRQMMRASKAVGGGLVAFRYGELQHATKNFSHKLGGGGFGSVFRGSLPDSTVVAVKKLEGILQGEKQFRTEVSTIGTIQHVNLVRLLGFCSEGSNKLLVYEFMPKGSLDTQLFQSNSAALDWRTRYQIAVGIARGLAYLHEQCRDCIIHCDIKPENILLDDSCVPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWITGVAITPKADVYSYGMMLFEIVSGRRNLEQTEDGTTGFFPTLVASKLKSGDVGSLLDHRLEGEADLEEMERACKLACWCIQDDESCRPTMGQVGQVLEGFLEVNMPPIPRSLRVLAETPEEINFFYEFSSNQSSKTRSATSNSTQTKSTASNSSGV >Ma07_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6106058:6107557:1 gene:Ma07_g08200 transcript:Ma07_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEFDPAFIQAPEHRPKPAVAEAGSIPLIDLSPLHLLEQHGGPLVEGLEVLVAQVEAACREWGFFQVINHGVPPTVVERAWAASRGFFALPPEERRRVRRDEVNPLGYYEAENTKNVRDWKEVFDFVVHERAVIPSPGDAGGGVDRLIELRNQWPQLPHGFREALVEYAQATEELAFTLLELISLTLSLPPKRLHGFFKDQTSFIRLNHYPPCPSPHLALGVGRHKDSGALTILAQDDVGGLDVRRRSDGEWVRVKPIPNSFIINVGDIVQVWSNDKYESAEHRVSVNSERERFSIPFFFNPAHYVMVKPLAELVDEKNPANYEEFNWGEFFKTRKNSNFKKLDVENIQIYHFKKAK >Ma04_p36000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34517803:34521795:-1 gene:Ma04_g36000 transcript:Ma04_t36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAENGLSTAGKVITCKAAVLWGPEEPFVIQEVQVEPPQRLEVRVKILFTSICHTDLGAWKGENVVTVYPRILGHEAAGVVESVGEGVEDMRAGDHVVPIFHGECGDCAFCRSDKTNVCAVYRVDPRKSVMVGDGGTRFSVLDAAGERRPVYHFLNTSTFAEYTVLDSACVVKINPAAPLERMCLLSCGITTGVLGAAWNTANVTEGSTVAVFGMGAVGLAAAEGARQRKASRIIGIDVNPVKFDFGRKMGITEFVNPRDHDKPAHEVIQEMTNGGVDYSFECAGNLDALREAFLSTHDGWGLTVMMGIHPTPRLLPVHPMELYYRRLAASIFGGFKGKSQLPDLVEKCMHGDDRINLDGFITHELPFGEINEAFQLLQQGESLRCMLRL >Ma04_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8361896:8364807:1 gene:Ma04_g11740 transcript:Ma04_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPSSGSGEDEGVDAYRKGGYHAVRVGDAFAGGRYVAQRKLGWGHFSTVWLAYDTLSSKFVALKIQKSAKEYTQAALHETELLSAIADGDPSNSKCVVRLLDHFMHAGPNGQHYCLVVESLGDSLLRLIRYNRNKGIGLNRVKTICRSILVGLDYLHRELGIIHTDLKPENVLLVSTINPAKDPVRSKFTPILERPEGCPNGGYPFSMNQKKLKIMTRRALVKISKRRMSMGGYVEMERSLDGIDLRCKVVDFGNACLFDNQISDDIQTRQYRAPEVIIGLGYSFSVDMWSFACIAFELATGDLLFAPKNSPEFTEDEDHLALMMELLGRMPRQIATAGSRSKDLFDRYGDLKRIRRLKFLRLDRLLVDKYKFSETDANSFMEFLCPLLDFDPEKRPTAAQCLQHPWLNE >Ma01_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6184865:6190238:1 gene:Ma01_g08620 transcript:Ma01_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAETMAYCAVINRCGFNSEGIVVVAKRLGAQHGSGILGVNIGNNKTIEDAVSDYVKGVHTLSQFADYLVINISSLNTPGLCKLQGRKQLEELVKKDIAAVALALHLDGLIISNTTISRPDPVTSHPLAGESGGLSGKPLFDMSTSILKEMYILTQGKIPLIGCGGVSNGEDAYKKIRAGATLVQLYTAFAYDGPSLIPQIKAELAECLERDGFKSVQEAVGADCK >Ma09_p30710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40700227:40700760:-1 gene:Ma09_g30710 transcript:Ma09_t30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGSFLLPLCLVLAIASFTGSTTASVIQEACGRTSFPDLCVESLSQKPESQSTDEHGLAELAIRVAAESATAASTYVSKGLEGAVNDSSWHQCLDDCSESYVDAVEQLDDSTGAMEDKGYANVNKWVSAAIADAQTCSEACGDVALPDKATLTEMNTEFTNLCNVVLGLIEPLSK >Ma09_p14290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9767865:9772373:-1 gene:Ma09_g14290 transcript:Ma09_t14290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSDFRAWEELIPDALGLIFSNLSLQEILTMVPRVCKSWCRVVLGPYCWQEIDIEEWSLRCKPEQLDQMLQMLVTRSCGSFRRLSISGLHTESMFTFIADHADSLQRLELPRSEISDSIVERVAPRLSNITFLDVSYCGKIGAHALEAFGRNCKFLVGLRRRMHPIEVKDKVCQDEEAYAIANTMPKLRRLEMAYLVLTTTGVLDILSRCRDLEFLDLRGCWDVKLDEKYIKECHPRLKVLGPHVTDSYERSFWEDCSDYSDSTIYSWDFIDDGINIYDGESDDDGIWNDEQGLEVLEVRFYGCGFSDASAGFEWPPSP >Ma09_p14290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9767865:9772417:-1 gene:Ma09_g14290 transcript:Ma09_t14290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSDFRAWEELIPDALGLIFSNLSLQEILTMVPRVCKSWCRVVLGPYCWQEIDIEEWSLRCKPEQLDQMLQMLVTRSCGSFRRLSISGLHTESMFTFIADHADSLQRLELPRSEISDSIVERVAPRLSNITFLDVSYCGKIGAHALEAFGRNCKFLVGLRRRMHPIEVKDKVCQDEEAYAIANTMPKLRRLEMAYLVLTTTGVLDILSRCRDLEFLDLRGCWDVKLDEKYIKECHPRLKVLGPHVTDSYERSFWEDCSDYSDSTIYSWDFIDDGINIYDGESDDDGIWNDEQGLEVLEVRFYGCGFSDASAGFEWPPSP >Ma09_p14290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9767865:9772002:-1 gene:Ma09_g14290 transcript:Ma09_t14290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSDFRAWEELIPDALGLIFSNLSLQEILTMVPRVCKSWCRVVLGPYCWQEIDIEEWSLRCKPEQLDQMLQMLVTRSCGSFRRLSISGLHTESMFTFIADHADSLQRLELPRSEISDSIVERVAPRLSNITFLDVSYCGKIGAHALEAFGRNCKFLVGLRRRMHPIEVKDKVCQDEEAYAIANTMPKLRRLEMAYLVLTTTGVLDILSRCRDLEFLDLRGCWDVKLDEKYIKECHPRLKVLGPHVTDSYERSFWEDCSDYSDSTIYSWDFIDDGINIYDGESDDDGIWNDEQGLEVLEVRFYGCGFSDASAGFEWPPSP >Ma09_p14290.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9767865:9771240:-1 gene:Ma09_g14290 transcript:Ma09_t14290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSDFRAWEELIPDALGLIFSNLSLQEILTMVPRVCKSWCRVVLGPYCWQEIDIEEWSLRCKPEQLDQMLQMLVTRSCGSFRRLSISGLHTESMFTFIADHADSLQRLELPRSEISDSIVERVAPRLSNITFLDVSYCGKIGAHALEAFGRNCKFLVGLRRRMHPIEVKDKVCQDEEAYAIANTMPKLRRLEMAYLVLTTTGVLDILSRCRDLEFLDLRGCWDVKLDEKYIKECHPRLKVLGPHVTDSYERSFWEDCSDYSDSTIYSWDFIDDGINIYDGESDDDGIWNDEQGLEVLEVRFYGCGFSDASAGFEWPPSP >Ma09_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9767865:9772373:-1 gene:Ma09_g14290 transcript:Ma09_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCSDFRAWEELIPDALGLIFSNLSLQEILTMVPRVCKSWCRVVLGPYCWQEIDIEEWSLRCKPEQLDQMLQMLVTRSCGSFRRLSISGLHTESMFTFIADHADSLQRLELPRSEISDSIVERVAPRLSNITFLDVSYCGKIGAHALEAFGRNCKFLVGLRRRMHPIEVKDKVCQDEEAYAIANTMPKLRRLEMAYLVLTTTGVLDILSRCRDLEFLDLRGCWDVKLDEKYIKECHPRLKVLGPHVTDSYERSFWEDCSDYSDSTIYSWDFIDDGINIYDGESDDDGIWNDEQGLEVLEVRFYGCGFSDASAGFEWPPSP >Ma05_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5469714:5474830:1 gene:Ma05_g07510 transcript:Ma05_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKTGSSIVSTAIQRVVVIQDASKEISSSAIKWAIDGLSLQPGDELTLFGVLHQFNTPMGYKSSVDSNSMLAANNDIIKQEVARREEEYRNSAENLNISQLYESKKIGFSISVVAESSPKEAALKAANRLKATWIILDRQMKKDKNYFMERLSCGIYRMKRNSCVELLREPKVNQRNDEFSNENVSKIIRYNEMITGTEIEPLASNIVLEFTDDDDDYDDDDLFSVDISPRGFRNYVAQHSSIHLQEEDNYMSIQIAEENKGSLAFKMQITQNDNDDIKKQETENNNIAEETLIYGLEYPICKQCGNGRQKNVSVREFTYAELYTATNGFSKKHFLSKGGFGSVYRGTLTDGQCIAVKQYKHVSSQGETEFRSEVHVLGTLQHKNVVMLLGSCSEGNHRLLVYEYVCNGSLNQHLSKSSPYILSWKHRMKILLGTAAGLNYLHQNNIIHRDMSPNNILLTHEYEPMLGDFGLSRKQQDQSCSLSESNVVGTFGYLAPEYTERGRVSTKTDVYSFGVILLEVITGRTTINKNLEDKSLVGWARPLLRERKYPELIDERILDCHDLHQLFWMITVAEQCLRKDPDKRPTMEKVEYILKCTIDGKTVGSIDDFSPPHSSISSLPMSNDSQEFHIAKYIHEF >Ma11_p16880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22293396:22302450:1 gene:Ma11_g16880 transcript:Ma11_t16880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLQASSDKIEVKLSETVNSSVGGNEKERLTNGDTELLRKEGNKDEEESASDGDFIKVEKEILVDAKESSHLLNPIVEVEETLLTVNHESGNSEANANFTKMKEKIEDLELQLETVLGKLNSSEAEKAFLKSEFDLANDKLEKKSKRCEELELGEKLMKNQALEDEQRYNLQLESLKEALKATDMKHKELIDVRESFTGLSADLEISRERIKALEEELLSSAGELHKLEELSKHNSTQAELESRKVLDLEKMLELAHVTAKEMEHQMSNLQKELKELYEKFAGKEHIEEALQSTSLELSKFQEKLEISKSDIGKLEQNLASKDSFIHKLTEELNLQKVSEEQLRADVTALENALSASREDLQTKLVNLEELELNLQEQVKEREMVEARFKDQEVQISSLRNDLLNLTVEKETIESTVTDLKTKLLEIEELNSQLEAKLNMADQNFKKTDSLLSQASSHKKEHEQKMELLEQLHHESRMATEAATKRNLELEGLLQAANADEEVIRSQLKETEMRLAFAEKSNMELEQHLNSAETKYLDAHNEKNELNEKISQLTALLKEVEEENALSRSRFEGYEDKIGQLESNLSKSFSRNSELELQINDLVKKCGEHEEHAIAKHDRNLELEDLFHSSHSRAEDSERRVGELELSLEAANHRTQELEQLLSITEAKHRDVEAESKQYSSKVAELVTELVAYQTRTESLEAVLQAANEKERELTDNLNIVTEERKIIEDLSSSHEKKLYESENQIRMLQNELKHLREKVESVQEELEASNIREKELLEKFRYAGEQLEHHGKTIEEVTARNLELNSLNESLAKDSELKLQQAAASFKQKESEAEELHEKLKYLEEQLAFYKEQAVEATENVASLKAELEANAMKLVSLGNNIEELKQNVMEANLRGEQTVSENELLAMTNSKLKDELEARQQKVNELNELLKSIHAEKEATVGQLASHASTIAQLTDEHSRGMELQFATQSRLKENEDQLHEAIEKYKQKDLEARDLNEKLLALETQLRTYEEQASESAVVAANQKDELEEALVKLQHLEGLTEQLKGMIDEFETENKGLASQNMSLSEELATYDGKLNELQVAFNTVVTEKEDISIQLHASKKELEDLQQLHHSDKEELQSQITTVTKEYSMLSEMHQKERKELEVTKIQFKEKLSEQEASENSLSSLVENLKAELAEKSLMQERIQELEQKLLAAEKAYSQEKELDTKKELERQASLTQSLDALESKDQHATLLEKQLEELKQRLLEAENQYKEKVIEESKKLTLLEVELNELRLKQTQTAEMEKKIAELENTLQLARTSAQEVKNETSQSEVQDATEVKSRDLGLDTSTLSRRKSKKRSDKVHRDTEASTVNPNTSVVQEHSGATAFKFVLGVGLVSMIIGIILGKRY >Ma11_p16880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22293396:22302450:1 gene:Ma11_g16880 transcript:Ma11_t16880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLQASSDKIEVKLSETVNSSVGGNEKERLTNGDTELLRKEGNKDEEESASDGDFIKVEKEILVDAKESSHLLNPIVEVEETLLTVNHESGNSEANANFTKMKEKIEDLELQLETVLGKLNSSEAEKAFLKSEFDLANDKLEKKSKRCEELELGEKLMKNQALEDEQRYNLQLESLKEALKATDMKHKELIDVRESFTGLSADLEISRERIKALEEELLSSAGELHKLEELSKHNSTQAELESRKVLDLEKMLELAHVTAKEMEHQMSNLQKELKELYEKFAGKEHIEEALQSTSLELSKFQEKLEISKSDIGKLEQNLASKDSFIHKLTEELNLQKVSEEQLRADVTALENALSASREDLQTKLVNLEELELNLQEQVKEREMVEARFKDQEVQISSLRNDLLNLTVEKETIESTVTDLKTKLLEIEELNSQLEAKLNMADQNFKKTDSLLSQASSHKKEHEQKMELLEQLHHESRMATEAATKRNLELEGLLQAANADEEVIRSQLKETEMRLAFAEKSNMELEQHLNSAETKYLDAHNEKNELNEKISQLTALLKEVEEENALSRSRFEGYEDKIGQLESNLSKSFSRNSELELQINDLVKKCGEHEEHAIAKHDRNLELEDLFHSSHSRAEDSERRVGELELSLEAANHRTQELEQLLSITEAKHRDVEAESKQYSSKVAELVTELVAYQTRTESLEAVLQAANEKERELTDNLNIVTEERKIIEDLSSSHEKKLYESENQIRMLQNELKHLREKVESVQEELEASNIREKELLEKFRYAGEQLEHHGKTIEEVTARNLELNSLNESLAKDSELKLQQAAASFKQKESEAEELHEKLKYLEEQLAFYKEQAVEATENVASLKAELEANAMKLVSLGNNIEELKQNVMEANLRGEQTVSENELLAMTNSKLKDELEARQQKVNELNELLKSIHAEKEATVGQLASHASTIAQLTDEHSRGMELQFATQSRLKENEDQLHEAIEKYKQKDLEARDLNEKLLALETQLRTYEEQASESAVVAANQKDELEEALVKLQHLEGLTEQLKGMIDEFETENKGLASQNMSLSEELATYDGKLNELQVAFNTVVTEKEDISIQLHASKKELEDLQQLHHSDKEELQSQITTVTKEYSMLSEMHQKERKELEVTKIQFKEKLSEQEASENSLSSLVENLKAELAEKSLMQERIQELEQKLLAAEKAYSQEIESMTSAAAEKDAVLSAKVEEHTSILQEKGALDQQLREILKELDLAQRTIIEQKELDTKKELERQASLTQSLDALESKDQHATLLEKQLEELKQRLLEAENQYKEKVIEESKKLTLLEVELNELRLKQTQTAEMEKKIAELENTLQLARTSAQEVKNETSQSEVQDATEVKSRDLGLDTSTLSRRKSKKRSDKVHRDTEASTVNPNTSVVQEHSGATAFKFVLGVGLVSMIIGIILGKRY >Ma11_p16880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22293396:22302450:1 gene:Ma11_g16880 transcript:Ma11_t16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLQASSDKIEVKLSETVGGNEKERLTNGDTELLRKEGNKDEEESASDGDFIKVEKEILVDAKESSHLLNPIVEVEETLLTVNHESGNSEANANFTKMKEKIEDLELQLETVLGKLNSSEAEKAFLKSEFDLANDKLEKKSKRCEELELGEKLMKNQALEDEQRYNLQLESLKEALKATDMKHKELIDVRESFTGLSADLEISRERIKALEEELLSSAGELHKLEELSKHNSTQAELESRKVLDLEKMLELAHVTAKEMEHQMSNLQKELKELYEKFAGKEHIEEALQSTSLELSKFQEKLEISKSDIGKLEQNLASKDSFIHKLTEELNLQKVSEEQLRADVTALENALSASREDLQTKLVNLEELELNLQEQVKEREMVEARFKDQEVQISSLRNDLLNLTVEKETIESTVTDLKTKLLEIEELNSQLEAKLNMADQNFKKTDSLLSQASSHKKEHEQKMELLEQLHHESRMATEAATKRNLELEGLLQAANADEEVIRSQLKETEMRLAFAEKSNMELEQHLNSAETKYLDAHNEKNELNEKISQLTALLKEVEEENALSRSRFEGYEDKIGQLESNLSKSFSRNSELELQINDLVKKCGEHEEHAIAKHDRNLELEDLFHSSHSRAEDSERRVGELELSLEAANHRTQELEQLLSITEAKHRDVEAESKQYSSKVAELVTELVAYQTRTESLEAVLQAANEKERELTDNLNIVTEERKIIEDLSSSHEKKLYESENQIRMLQNELKHLREKVESVQEELEASNIREKELLEKFRYAGEQLEHHGKTIEEVTARNLELNSLNESLAKDSELKLQQAAASFKQKESEAEELHEKLKYLEEQLAFYKEQAVEATENVASLKAELEANAMKLVSLGNNIEELKQNVMEANLRGEQTVSENELLAMTNSKLKDELEARQQKVNELNELLKSIHAEKEATVGQLASHASTIAQLTDEHSRGMELQFATQSRLKENEDQLHEAIEKYKQKDLEARDLNEKLLALETQLRTYEEQASESAVVAANQKDELEEALVKLQHLEGLTEQLKGMIDEFETENKGLASQNMSLSEELATYDGKLNELQVAFNTVVTEKEDISIQLHASKKELEDLQQLHHSDKEELQSQITTVTKEYSMLSEMHQKERKELEVTKIQFKEKLSEQEASENSLSSLVENLKAELAEKSLMQERIQELEQKLLAAEKAYSQEIESMTSAAAEKDAVLSAKVEEHTSILQEKGALDQQLREILKELDLAQRTIIEQKELDTKKELERQASLTQSLDALESKDQHATLLEKQLEELKQRLLEAENQYKEKVIEESKKLTLLEVELNELRLKQTQTAEMEKKIAELENTLQLARTSAQEVKNETSQSEVQDATEVKSRDLGLDTSTLSRRKSKKRSDKVHRDTEASTVNPNTSVVQEHSGATAFKFVLGVGLVSMIIGIILGKRY >Ma08_p27140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39489431:39493327:-1 gene:Ma08_g27140 transcript:Ma08_t27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTNTRHKKAMQKQSSSSDLAGLYGLRASLGIRARDWPRRADPCTSWTGVTCSAAGRVVALDISGLRRTRVGHLSPQFAVDGLQNLTQLRSFNATGFALPGSIPDWLGADLAPTFATLVIRDASDAGSIPYSLALASILSLQNLILGNNSLSGALTDRLLAGLTLLQSIVLSHNNLSGALPDSLWSLSELRVFDVSGNRHTGVLPDIVPAAVKGNVSGALFDLSSNLYYGSISTRFGSMFTNFVMVNISINYLEVEQQESGGAAASSGGGTQAPGVYVNLTAVGKAFGYEQLVRATAGFSGMNLVKHGRSGGIYCGALENGLPIVVKRINVLASKDARVVELDLFSNGLHERLVPFLGHCLGKENEKFLVYKYVPNGDLYTALQRKPEPEGGVHSLDWIKRLKIATGIVQALYHLHHECSPPLVHRDIQTSSILLDDKFEVRLGSLSEVCPQEEAHHSVIAKLLRMSQSSEQSVSEPPATCAYDVHCLGKVLLELVTGKLGISGSNDAASKCMRRNS >Ma10_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31085124:31085351:-1 gene:Ma10_g21140 transcript:Ma10_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICRGWRSPLFFSLLPLALFLPLILSVSKLHRSNPIPQVSKRSRHQ >Ma03_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7860050:7880641:-1 gene:Ma03_g10460 transcript:Ma03_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSEEKMFRDMEPLLLQVLRIGFYEIIKLGMPPYAVVDENVKLAKRALRPGAGNLVNGILRKLVSLKETDSLPLPKVAGDDRAQARALAIIHSHPVWMVRRWMKFWGIEESVRLMNWNNSDPCFGLRVNTAKGYTRNDLVNRLKSLKVPHELSTFLEDFVRLQTGMQTVIQAGLLKEGICSVQDESAGLVVSVVNPQPGDTIVDCCAAPGGKTLFLASRLKSQGMVLAIDVNKGRLRILKEASRSHNVNDVVTTTNADLRLYAEKHNLKFDKVLLDAPCSGLGVLSKRADLRWNRRLEDLEQLMNLQDELFNAASMLVKPGGVLVYSTCSIDPAENEERVSAFLLRHPEFVVQPVHGFVPPDCITEKGYYFSNPVKHHIDGSFAARLVKSSRSTCQKPIP >Ma03_p10460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7860050:7880783:-1 gene:Ma03_g10460 transcript:Ma03_t10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAFSSYSHFPLSSSVCALSAPRKSSSTFFPPLASIPNARISHRAAGPPGSRKKTSDNLIAEISPHRAASAVRLLRIEHGGAFADLLNEKGRNSAENEMGYVERTLGFRTRDLDDRDMRLVTEIVGGTVRWRRYLDHLIMSLCSEEKMFRDMEPLLLQVLRIGFYEIIKLGMPPYAVVDENVKLAKRALRPGAGNLVNGILRKLVSLKETDSLPLPKVAGDDRAQARALAIIHSHPVWMVRRWMKFWGIEESVRLMNWNNSDPCFGLRVNTAKGYTRNDLVNRLKSLKVPHELSTFLEDFVRLQTGMQTVIQAGLLKEGICSVQDESAGLVVSVVNPQPGDTIVDCCAAPGGKTLFLASRLKSQGMVLAIDVNKGRLRILKEASRSHNVNDVVTTTNADLRLYAEKHNLKFDKVLLDAPCSGLGVLSKRADLRWNRRLEDLEQLMNLQDELFNAASMLVKPGGVLVYSTCSIDPAENEERVSAFLLRHPEFVVQPVHGFVPPDCITEKGYYFSNPVKHHIDGSFAARLVKSSRSTCQKPIP >Ma03_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2410971:2411144:1 gene:Ma03_g03590 transcript:Ma03_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQSRVAERTVSLGTYGTTDPAPTSLVRYRIHDPSAYIFIADAGLSLPGVPLSSSL >Ma03_p21470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26440162:26445224:1 gene:Ma03_g21470 transcript:Ma03_t21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGEHRNEVNLEKRGSFFRSFRSDLKETFLPDDPFRHLEHQSGCAAAGSLVKYFVPVLEWAPKYTLAKLQADLLAGITIASLAVPQGISYARLANLHPIVGLYSSFVPPLVYVVFGSSTNLAVGNTAAVSLFLGSVIGSEISPLESPELYKHMFFKAAFFTGIFEATLGIFRLGILVEFFSRSTITGFMGGTATVVIMQQLKGVLGMRHFTTKTDVVSVLGSIISHREEVGTVNKGLNPISISHLKFESKYHGVLLKAVLISGFLALSEGIAVGRSLATMKNEQVDGNKEMIAFGMMNIIGSCFSCYLTTGPFSRSAVNFHAGCKTAMSNVVMSMCIMVVLLFLAPLFKYTPLVALSAIIIVAMIGLIKFEEAHRLLEVDKFDFVICVAAFFGVIFFSMTAGLLASVGLSILRALLYVARPTTCKLGNIKGTEAYCDVEQYPDSVLFPNILILKLGSPIYYASTGYLRERILRWIEEEDAIARKGEVNLQYLILDMSGVTSIDNTGISMLAEVHRYVDRRGIKIALTNPRIEITEKLKSSKYLDLIGEQAVFLSVKEAVEACHFTEYKNELV >Ma03_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26440162:26445224:1 gene:Ma03_g21470 transcript:Ma03_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGEHRNEVNLEKRGSFFRSFRSDLKETFLPDDPFRHLEHQSGCAAAGSLVKYFVPVLEWAPKYTLAKLQADLLAGITIASLAVPQGISYARLANLHPIVGLYSSFVPPLVYVVFGSSTNLAVGNTAAVSLFLGSVIGSEISPLESPELYKHMFFKAAFFTGIFEATLGIFRLGILVEFFSRSTITGFMGGTATVVIMQQLKGVLGMRHFTTKTDVVSVLGSIISHREEWRWESAVFGTCLVILLLFCRHMRAKVPRLFWLPAIAPLLVVVLGGLFAYLIHAEDHGILIVGTVNKGLNPISISHLKFESKYHGVLLKAVLISGFLALSEGIAVGRSLATMKNEQVDGNKEMIAFGMMNIIGSCFSCYLTTGPFSRSAVNFHAGCKTAMSNVVMSMCIMVVLLFLAPLFKYTPLVALSAIIIVAMIGLIKFEEAHRLLEVDKFDFVICVAAFFGVIFFSMTAGLLASVGLSILRALLYVARPTTCKLGNIKGTEAYCDVEQYPDSVLFPNILILKLGSPIYYASTGYLRERILRWIEEEDAIARKGEVNLQYLILDMSGVTSIDNTGISMLAEVHRYVDRRGIKIALTNPRIEITEKLKSSKYLDLIGEQAVFLSVKEAVEACHFTEYKNELV >Ma02_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21611205:21630089:-1 gene:Ma02_g13060 transcript:Ma02_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLAVRETMNQGCSCSQSRTDQMCRQQSRVRLTPEEQLAAEESLSVYCKPVELYNILQRRAIRNPSFLQRCLQYKIQAKRKRRIQITISLVGSLNTEIEHHMFPFYVLLAIPVADTAIAEHSAVYRLSRPCVLANFIEFGKKDQTEASFVIPEIKKLTTDARFRNLSIIIISKGKSKCGSGDNHLLNEYEQWSSFLKLEGNCLWGKIPVDSLCLSLEQCVTLSLGHRTDIRSAVNMQPSILEPKYLGRNDCIFIQTQSIDSASTFQLQVSIYAQEVGASETSPYDFYSYDDIPTSSLPHIIRLRTGNVLFNYRYYNNMLQKTEVTEDFSCPFCLVKSASFKGLRCHLNSSHDLFNFEFWVTEEYQAVNVSVRTDIWRSEVVSDGVDPRLQTFSYCNSSNIKRRRRSKNSVQTANHVHPHVGSPEAAQEGSHGDYDNKDNGTCSSQRPHVYIADASLTNGCHDGGSYKDEGKKLKSLFRETHLLPVRHKYESFSSQNHAQEYTRPMLSGPDTTGVCGATTQASTSNDFAQQASATNLVSQNMLQFAKMRRISDERADPRNRALLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHIPWACEAFSQLHGQDLARSPALM >Ma10_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14210098:14210376:1 gene:Ma10_g03570 transcript:Ma10_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLDSLSSPHRRSQNLVFLTSSSKKLGSWSILLERHKFLLTMLALLAFLCTIYLYFAVTMGVAGSCPGMSAAEKALCQAKASLHKGKLKFF >Ma06_p11950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8329488:8335652:1 gene:Ma06_g11950 transcript:Ma06_t11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILFAGHLDLRLGPDSFKLSPHPPTPLPLGRLLSRPPSLPLPRSRTVTKPLSRSFSEILSVTECSDGSTIFRFGDATEVKRDEVAASGNCVLEKDVENSGKADAKDPTENDSGEMSQETPKRSDLEMKGLEPVVIGDLTAEGVHSLGRTYREPVEMVPKIGAGICLEETAVASEEKITVNEVEKPEATRESCGNGELKGLATQLSQESCVSAIDDVGSLIEDEEEDVNSVDVCNKVDEAKCCVEWTNILIGHASGNDDKVVRDIDSDIHVSPEDQVVQSLDEDSDSALRASVRSVCPAKCMDMDVNSVSETSQLSSSSCMDESEGESSNGTQVEKAPEYSEGRTSDMDIDFGDNSLDEMTFEATKEHKESRSPDVDDEVKDVHGDGMLQRSGSFENLEIPVHETIQIMEAQFEDIGASQVSMAAQDITDVDVELFSSYADGHGDSNTVERLVKAETIDGMRQDENAEVAGYNRVDQVPAHLLSLSSGAAILPHPSKALTGGEDAYFVALNNWFGVADGVGQWSLEGINAGLYARELMENCERFVSKYEGTKPDEILTKAAAEASSPGSSTILVGYFDGQVLYVANIGDSGFIVIRNDTVFKRSTPMVYGFNFPFQIQRGDDPSRYIEMYKIDLHEEDVVVTATDGLFDNLYEHEVADIVSKSLQASLKPREIAEILATMAQELGRSASARSPFADAALAAGYPGFTGGLVCLPSFTLVVQLHESEE >Ma08_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6309503:6310117:1 gene:Ma08_g08860 transcript:Ma08_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQPPTGYIHVRARRGQATDSHSIAERVRREKISQRMKLLQSLVPGCDKITGKAQVLDEITNYVRSLQYQVEFLSMRLALLNPTLHDLDEECSGGLLEQQLQVNYKQQLLHFLYCRTVYH >Ma10_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7027827:7065627:1 gene:Ma10_g02060 transcript:Ma10_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRRSPNPLFLAASMRVSRLPLRRSPAGTPVYAATLQQPVPSPPLESGPSRSDLSSLLPFSPLHDGGRSFTRLSSMEGFCARFTNRRFSSQAWVDVPRGEVVDVTLAQTGEGIAECELLKWFVSEGDLVEEFQRLCEVQSDKATIEITSRFKGKVVQMLYVPGDIVKVGETLVKIVVDDAQTPFGSEDDENMTSLDVGSTGLDSQSTSRKPEASGGVLSTPAVRNLAKQYSVDINDICGTGRNGRVLKEDVLKYAANKNICKEGPFLLDVVDEHTEELELLKDGKGFHVDIGDAMCYADKKIPLRGFQRSMVRSMTMAAKVPHFHYFEEVNCNALVELKAALQKENKDQSVKLTFLPFLIKSLSMTLSKYPLLNSSFHEESNEIIMKGCHNIGVAMATPYGLVVPNIKKVQSLTILEIMKELARLQLMALNNKLNSEDITGGTITLSNIGAVGGMFGSPLLNLPEVAIIAIGQIRKLPRFDDADNVYPASIANVTIGADHRIVDGATVAKFCNEWKLLIEKPEFLLLDMR >Ma11_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15633230:15665636:1 gene:Ma11_g11990 transcript:Ma11_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MATAFGSLTPSISCSSSSSLCVSRRQRGPWNWQRACCSFKPPSAARNGRSVTPLLSQALPTTHDHRTPPLEVQFKEVIETLINGVDLSEEEAEASLKLLIDEADEALISAFLVLLRVKGETFEEIVGLARAMIDCAVKVEGIDDAVDIVGTGGDGANTVNISTGASILAAAAGLKIAKQGNRSSSSACGSADVLEALGVNIELGPEGVKGCVNETGIGFMMSPIYHPAMRIVGPVRKKLKVKTVFNILGPMLNPAQVPCAVVGVYNEDIVLKMAKALQRFGMKRALVVHSKGLDEISPLGSGYFLDVTPRSIEKSHFDPLDFGIPRCTVEDLKGGDPQFNAEVLTRVLSGEKGPIADALVLNAAAALLVSGRVTNFSEGVAVAQETHQSGKAIDTLASWMAVSNRLRGATASCI >Ma08_p08130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5622288:5626159:-1 gene:Ma08_g08130 transcript:Ma08_t08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNVKVPNIPGSGASAALVKVALIGGTVVYAALNSIYNVDGGHRAIVFNRIEGIKDKVYPEGTHLMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPLPDQLPTIYRSLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERARNFNIALDDVSITSLSFGKEFTNAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGQAIANNPAFLALRQIEAAREIAHTIANSSNRVFLQSNDLLLNLQELNFEGTTKLKK >Ma01_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12050117:12051832:1 gene:Ma01_g16640 transcript:Ma01_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVPPIISSRLPLSMVGCPTILEEEQTACVCIYIYIYICTQSLQPSQAMRREEKKDDSRGFWERLLCCICDAVEAATEGEFPQSKPSYPPPKKQPSDAKKPEDSQGTKASDDHPPPAIGTLNMTLSISFPNKQLESTTSLKASFIADKGEDEQAKPPATKESPDGRTKQNGTQPTAAKENQRQESQHKQDGGQPQGNN >Ma04_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5075935:5078318:1 gene:Ma04_g06980 transcript:Ma04_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLADSSQSPCASSARIQRSLGRSMRTIRSNLFRNDPPPVASPSARSGAVSENLTDSVVDFQLRELAVGPPRPSDAKSAASSTESAAELLELSRDFSDYSSFNSDISGELQRLASIPLTEVPPSPATSGPVDIEALVMGFGSPSSSSSEMLESASVESVEPAVKACVEGLGSPSPEVKRAAAAGIRLLAKHRSDFRALIGASGGIPPLVPLLKSTDPAAQESAVTALLNLSLEEANKGPITAAGAIKPLVYALRTGTAVAKQNAACALLSLSMIEENRATIGACGAIPPLVALLVGGTSRGKKDALTTLYKLCSARRNKERAVSAGAVPPLLELVGERGGGTTEKSLVVLGSLAAIPEGREAVVAAGGIPMLVEAIEAGPARGKEFAVHVLLQLCADSAHNRGLLVREGAIPPLVALSQSGSSRAKQKAEMLLGYLREQRQDGGATPAPAR >Ma04_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25719408:25720515:1 gene:Ma04_g23590 transcript:Ma04_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLESIDDFVYKLIDTKIEQLSRRQTGFMEKEDILSRFLIEREKNPDDMSYKYLRDIILNFVIAGRHTTTGTLSWFFYMLCKHPNVQEKVAQEVREATKIKGEVTVDEFVASLTEEALNEMQYLHASLTETLRLYPAVPLDVKQCFSEDTLPDGFEVKKGDLVIYQPYPMGRMQFLWGEDAEDFRPERWLNGDGVFVPGSPFKFPAFQAGPRICLGKEFAYRQMKIFAAALLCFFKFKMWEEMSTVRSRTMLTLQIYGGLHLAALHRQGCLNAD >Ma08_p29510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41073386:41082545:-1 gene:Ma08_g29510 transcript:Ma08_t29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADVTTEEVLKRDIPWETYMSAKLITETHLQLLRRYDKKSASQRAALLDEDGPAFVQVFMNILSDVSKEETVEYVLALIDEMLAANLKQARLFHDNSLSSEDTYQPFLRWLQEGNLFIQDKSSKILTLIVSAHPKVQDGLVPNGESSHSKSKISKTDMVLNGLLDWLCSQLKTPSHPNRSVPMAINCLAILLREPWVRVSFIQSDGVKLIIPLISPASSQQSIQLLYETCLCIWLLSYYDAAVDYLATTRVLPRLVEVVKGSTKEKVVRVIMLTLLNLLPKAACGAQMIDLGLPQIVQSLKAQAWSDEDLLGALNQLEDGLKEHIKTLSSFDKYKQEVLQGHLDWYPMHKDPGFWRENITNFEENDFQILRVLITILDTSSDPTALSVACYDLSQFIQYHPAGRIMVADLKAKDRVMKLMNHENAEVTRHALLCIQRLFLGAKYASLLQS >Ma04_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1789023:1792221:1 gene:Ma04_g02040 transcript:Ma04_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKIYNRYVKRTSKFMAHDESNECNIGDRVRLDPSRPLSKRKHWVVAEILQKARIYVRPSLAAPSPGEQSAASKTTETVPSS >Ma01_p10810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7766524:7769264:-1 gene:Ma01_g10810 transcript:Ma01_t10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFRPSSSFDTNFTTTNAGAPVWNDDQALTVGSRGPILLEDYHLVEKIAHFARERIPERVVHARGASAKGFFECTHDVTHLTCADFLRAPGVQTPIILRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPKSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGVPSDYRHMEGFGVNTYTFVNKEGKVNYVKFHWKPTCGVKCLLEDEAIVVGGKNHSHATQDLYDSIAAGNYPEWKLFVQVMDPDTEDRYDFDPLDDTKTWPEDLLPLQPVGRLVLNRNIDNFFSENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYGDTQRYRLGPNYLTLPVNAPKCAHHNNHYDGLMNVMHRDEEVDYFPSRHASLRHAERFPIPNRIVTGKREKNVIPKQNDFKQPGERYRTWAPDRQERFVRRWAEQLAHPKVSYELRSIWISFLSKCDTSLGQKVANRLNMRANI >Ma11_p17300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22648165:22652840:1 gene:Ma11_g17300 transcript:Ma11_t17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRHEAVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTSNHPDYASLAARIAVSNLHKNTKKSFSETVKLMYHHVNERSGQEAPLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVCGKVVERPQHMLMRVAVGIHKDDIDSVIGTYHLLSQRWFTHASPTLFNAGTPKPQLSSCFLVCMKEDSIEGIYDTLKECAIISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIYEFLELRKNHGKEEHRARDLFYALWIPDLFMERVQSNGQWSLFCPNEAPGLADCWGDDFEKLYLKYEKEGKAKKVVTAQNLWFEVLKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALSRFVREKGVPIESHPSKLVGSSGSANRYFDFDKLGEVSAIITANLNKIIDVNYYPIETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKTIFETIYYHALRASCELARKDGFYETYQGSPVSKGILQPDMWNITPSDRWDWSALREMISKNGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLRLLTLEPYGRLSKGL >Ma11_p17300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22648165:22653387:1 gene:Ma11_g17300 transcript:Ma11_t17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRHEAVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTSNHPDYASLAARIAVSNLHKNTKKSFSETVKLMYHHVNERSGQEAPLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVCGKVVERPQHMLMRVAVGIHKDDIDSVIGTYHLLSQRWFTHASPTLFNAGTPKPQLSSCFLVCMKEDSIEGIYDTLKECAIISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIYEFLELRKNHGKEEHRARDLFYALWIPDLFMERVQSNGQWSLFCPNEAPGLADCWGDDFEKLYLKYEKEGKAKKVVTAQNLWFEVLKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALSRFVREKGVPIESHPSKLVGSSGSANRYFDFDKLGEVSAIITANLNKIIDVNYYPIETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKTIFETIYYHALRASCELARKDGFYETYQGSPVSKGILQPDMWNITPSDRWDWSALREMISKNGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPTLKNKIIYDDGSILKIPEIPDNLKAIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRTRAAADAIKFTVDTSLLQKTNKPVEDDDVEAKLAQVACSLENGEACMACGS >Ma03_p32450.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34240894:34252797:-1 gene:Ma03_g32450 transcript:Ma03_t32450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLAADVLWSLGRGVAGLLFLAWALRVLNWAWWRPRRLERALRAQGLNGTPYRFPYGDVKEILRLRKEARAKPMPLAHNIIPRLLPFFHRAMDEYGKISFTWFGPVPQVTITDPELVREVLSNKFGIFGKPTQNPLSRFFSKGLFVYEGEKWVKHRRIMNPAFHAEKLKRMLPAFSACCSDLMDRWENMAGSEACYELDVWPELQSFSGDVISRTAFGSSYEEGRQIFQLQSEQAELVIQVVQNLYVPGYRFLPTPKNKRIKAIDREIRSILRGIIKKREQDIKTGKASTDDLLGLLMESNMKHLQEDGNKNAGMTTEDVIEECKLFYFAGQETTSALLTWTMICLSMHPTWQVRAREEVLRVFGENKPDFDGLSHLKIVTMILYEVLRLYPPFILIRRQTYKTMKIGDVVYPPGVLLLLHAIFVHHDPNLWGKDASEFNPERFAEGVSKASKEQVAFFPFGGGPRICIGQNFALLEAKMGLSMILQHFSFDLSPSYAHAPHTVFTLHPQHGAQIRLRKL >Ma03_p32450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34250398:34252797:-1 gene:Ma03_g32450 transcript:Ma03_t32450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLAADVLWSLGRGVAGLLFLAWALRVLNWAWWRPRRLERALRAQGLNGTPYRFPYGDVKEILRLRKEARAKPMPLAHNIIPRLLPFFHRAMDEYGKISFTWFGPVPQVTITDPELVREVLSNKFGIFGKPTQNPLSRFFSKGLFVYEGEKWVKHRRIMNPAFHAEKLKRMLPAFSACCSDLMDRWENMAGSEACYELDVWPELQSFSGDVISRTAFGSSYEEGRQIFQLQSEQAELVIQVVQNLYVPGYRFLPTPKNKRIKAIDREIRSILRGIIKKREQDIKTGKASTDDLLGLLMESNMKHLQEDGNKNAGMTTEDVIEECKLFYFAGQETTSALLTWTMICLSMHPTWQVRAREEVLRVFGENKPDFDGLSHLKIVTMILYEVLRLYPPFILIRRQTYKTMKIGDVVYPPGVLLLLHAIFVHHDPNLWGKDASEFNPERFAEGVSKASKEQVAFFPFGGGPRICIGQNFSLLEAKMGLSMILQHFYFDLSPSYAHAPRTIFTLFPQRGAQIRLRKLSSTTT >Ma03_p32450.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34231073:34252797:-1 gene:Ma03_g32450 transcript:Ma03_t32450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLAADVLWSLGRGVAGLLFLAWALRVLNWAWWRPRRLERALRAQGLNGTPYRFPYGDVKEILRLRKEARAKPMPLAHNIIPRLLPFFHRAMDEYGKISFTWFGPVPQVTITDPELVREVLSNKFGIFGKPTQNPLSRFFSKGLFVYEGEKWVKHRRIMNPAFHAEKLKRMLPAFSACCSDLMDRWENMAGSEACYELDVWPELQSFSGDVISRTAFGSSYEEGRQIFQLQSEQAELVIQVVQNLYVPGYRFLPTPKNKRIKAIDREIRSILRGIIKKREQDIKTGKASTDDLLGLLMESNMKHLQEDGNKNAGMTTEDVIEECKLFYFAGQETTSALLTWTMICLSMHPTWQVRAREEVLRVFGENKPDFDGLSHLKIVTMILYEVLRLYPPFILIRRQTYKTMKIGDVVYPPGVLLLLHAIFVHHDPNLWGKDASEFNPERFAEGVSKASKEQVAFFPFGGGPRICIGQNFALLEAKMGLSMILQHFSFDLSPSYAHAPHTVFTLHPQHGAQIRLRKL >Ma03_p32450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34250398:34255219:-1 gene:Ma03_g32450 transcript:Ma03_t32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLAADVLWSLGWGVAGLLFLAWALRVLNWAWWRPRWLERALRAHGLNGTAYRFPYGDLKENARFSKEARAKPMPLAHNIIPRLLPFLNRAMDEYGKISFTWFGPVPQVTITDPELVREVLSNKFGIFGKPTQNPLSRFFSKGLFVYEGEKWVKHRRIMNPAFHAEKLKRMLPAFSACCSDLMDRWENMAGSEACYELDVWPELQSFSGDVISRTAFGSSYEEGRQIFQLQSEQAELVIQVVQNLYVPGYRFLPTPKNKRIKAIDREIRSILRGIIKKREQDIKTGKASTDDLLGLLMESNMKHLQEDGNKNAGMTTEDVIEECKLFYFAGQETTSALLTWTMICLSMHPTWQVRAREEVLRVFGENKPDFDGLSHLKIVTMILYEVLRLYPPFILIRRQTYKTMKIGDVVYPPGVLLLLHAIFVHHDPNLWGKDASEFNPERFAEGVSKASKEQVAFFPFGGGPRICIGQNFSLLEAKMGLSMILQHFYFDLSPSYAHAPRTIFTLFPQRGAQIRLRKLSSTTT >Ma03_p32450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34240894:34252797:-1 gene:Ma03_g32450 transcript:Ma03_t32450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLAADVLWSLGRGVAGLLFLAWALRVLNWAWWRPRRLERALRAQGLNGTPYRFPYGDVKEILRLRKEARAKPMPLAHNIIPRLLPFFHRAMDEYGKISFTWFGPVPQVTITDPELVREVLSNKFGIFGKPTQNPLSRFFSKGLFVYEGEKWVKHRRIMNPAFHAEKLKRMLPAFSACCSDLMDRWENMAGSEACYELDVWPELQSFSGDVISRTAFGSSYEEGRQIFQLQSEQAELVIQVVQNLYVPGYRFLPTPKNKRIKAIDREIRSILRGIIKKREQDIKTGKASTDDLLGLLMESNMKHLQEDGNKNAGMTTEDVIEECKLFYFAGQETTSALLTWTMICLSMHPTWQVRAREEVLRVFGENKPDFDGLSHLKIVTMILYEVLRLYPPFILIRRQTYKTMKIGDVVYPPGVLLLLHAIFVHHDPNLWGKDASEFNPERFAEGVSKASKEQVAFFPFGGGPRICIGQNFALLEAKMGLSMILQHFSFDLSPSYAHAPHTVFTLHPQHGAQIRLRKL >Ma10_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22037409:22038512:-1 gene:Ma10_g07680 transcript:Ma10_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGETKEEMASSSSSSLLCNLGLLVLAMAIARAGAVFRPTPWSMAHATFYGDESASETMGGACGYGNLFSTGYGTNTAALSSVLFKDGFGCGSCYQIRCSGASACYRGSPIITVTATNLCPPNWAQPSDNGGWCNPPRVHFDMSKPAFMKIADWHAGIVPVMYRRVPCPVRGGIRFLLQGNGYWLLAFVTNVGGGGDVARMWVKGSNTGWISMSQNWGASYQAFSSLGGQSLSFKIMSYTTRNTIIASEVAPSNWNVGLTYEATVNFH >Ma09_p00850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:566531:571522:1 gene:Ma09_g00850 transcript:Ma09_t00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYWLLFGGLAAVVAALEMSKTNRDRAATSSAFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSQYGYGKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRASVTYCITYILSCITKHSPQYKVLMLGRILGGIATSLLFSAFESWLVAEHNKRGFEPQWLSITFSKAIFLGNGLVAIIAGLFANLLTDNLGFGPVAPFDAAACFLAIGMAVILSTWTENYGDPSESKNLVTQFKVAASAISSDTKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSIASRLLSRAAPKVESYMQLVFAISAVTLLLPVITSFLVAPSTVKGGSISFGGCIQLFGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNAFPMTVMFAMCSVFLFLASILQRRLMIVAESHRSKSEDWTSLKERDAEAEPLNI >Ma06_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11356079:11360778:1 gene:Ma06_g16760 transcript:Ma06_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRAYFLSAPPKFSQKPTPLSSSRFPRSASARKRAVTLATSAAMADGPAPVVLVTGAGGRTGQIVYKKLKERSDQFVARGLVRTAESKEKIGGADDVFVGDIRNAESIIPAVQGIHALIILTSAVPKMKPGFDPSKGERPEFYFEDGSYPEQVDWIGQKNQIDAARAVGVKQIVLVGSMGGTDINHPLNNIGNGKILIWKRKAEQYLADSGIPYTIIRAGGLQDKDGGVRELLVGKDDELLKTETRTIARPDVAEVCIQALQFEEAKFKAFDLASKPEGTGTPTTDFKALFSQVTTRF >Ma08_p30930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42017210:42019338:1 gene:Ma08_g30930 transcript:Ma08_t30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGEELGVESGGATSRSEAMDAEELRRMLRGSGVDLWALLDTAVAVAAADHAEELRARRDGIVERLYAPPASLQKEEKGSSSPVMKTVHREEDEEDVEVNVEKRNVLAIKKSLEDADQRSEDSLVGLLQDLLDTDITFKALKETDIGRHVNVLRKHSSDQVRGLAKQVVRKWKDLVDGWVKSNSAGDSTASPAILTDGDSPHEHLGKNHQNGHQTPERGSSPHSQYDYSSSERNTLETMEPKAKVNPPRKEALPTKPNGSAAPSTSQKLLDPEKLASARRRLHENYREAQNAKKQRTIQVMDIHEIPKPKNSFVRKGGFQAKHW >Ma08_p30930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42017210:42019276:1 gene:Ma08_g30930 transcript:Ma08_t30930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGEELGVESGGATSRSEAMDAEELRRMLRGSGVDLWALLDTAVAVAAADHAEELRARRDGIVERLYAPPASLQKEEKGSSSPVMKTVHREEDEEDVEVNVEKRNVLAIKKSLEDADQRSEDSLVGLLQDLLDTDITFKALKETDIGRHVNVLRKHSSDQVRGLAKQVVRKWKDLVDGWVKSNSAGDSTASPAILTDGDSPHEHLGKNHQNGHQTPERGSSPHSQYDYSSSERNTLETMEPKAKVNPPRKEALPTKPNGSAAPSVMCFTTFIRVSLHSTKVFHLLSSSCLLIADVTKTARSREARFCQETTSRELPRGTERQKATDDSGDGYPRDPKAEEFLRAQRRLPGEALVRKTTAAADR >Ma04_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25034548:25037596:-1 gene:Ma04_g22800 transcript:Ma04_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKPAKVEEEGPSEAAPPHPEAVKDVEEEKTAIVPAPEEKPDDSKALVAVEKVEDSSTEKGSGSNDRDAALARVATEKRLSLIKAWEENEKVKAENKAIKKISSISAWEKSKKADVEAELKKKEEELEKKKAEYAEQVKNKLALIHKQAEEKRAIAEAKRGEEALKAEEKAAKYRATGLAPKKIFGFF >Ma11_p21850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25841967:25857914:1 gene:Ma11_g21850 transcript:Ma11_t21850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNIQEALIWKEKIELVIDQQDFITANGNKVYASIPYGPMADDGRNASSSDRESQCSPEEEEESRPTLSRRITIGNAFPDSVPDWTCDVDSGLLSENNTDQVFSRKHWRLVRCQNGLRIFEELVEVDYLPRSCSKAMKAVGIMEATCESIFQLVMRMDGMRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHVNCSPQPGFVRAHVESGGFKISPLKTCNGRLRTQVQYLMQIDLKGWGVGYFPSFQQHCLIQMLNSVAGLREWFSQTDENYDVSKMPLMVNMTAETAPIKKDRKTQENSVQPNSSQYQMHGASRHHVMLDEDSDDDEDYQIPEPEQEVYPIKLENEFNKAGLDEEPSASIDLSEFSGNLRRDDLDKSRNCWRISDGNNFRVRSKQFIYDKTKIPAGKHLMELVAVDWFKDVKRIDHVARRKGCAVQVASEKGLFSLVINVQVPASTHYSMVFYFVSKPLVPGSLLQLFVDGDDEYRNSRFKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCTYIRGPDYLEIDVDIGSSTVANGVLGLVFGVITTLVVDMAFLVQANTYEELPERLIGAVRVSHVELSSALVPVLEASAPNV >Ma11_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25844993:25857914:1 gene:Ma11_g21850 transcript:Ma11_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSPEEEEESRPTLSRRITIGNAFPDSVPDWTCDVDSGLLSENNTDQVFSRKHWRLVRCQNGLRIFEELVEVDYLPRSCSKAMKAVGIMEATCESIFQLVMRMDGMRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHVNCSPQPGFVRAHVESGGFKISPLKTCNGRLRTQVQYLMQIDLKGWGVGYFPSFQQHCLIQMLNSVAGLREWFSQTDENYDVSKMPLMVNMTAETAPIKKDRKTQENSVQPNSSQYQMHGASRHHVMLDEDSDDDEDYQIPEPEQEVYPIKLENEFNKAGLDEEPSASIDLSEFSGNLRRDDLDKSRNCWRISDGNNFRVRSKQFIYDKTKIPAGKHLMELVAVDWFKDVKRIDHVARRKGCAVQVASEKGLFSLVINVQVPASTHYSMVFYFVSKPLVPGSLLQLFVDGDDEYRNSRFKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCTYIRGPDYLEIDVDIGSSTVANGVLGLVFGVITTLVVDMAFLVQANTYEELPERLIGAVRVSHVELSSALVPVLEASAPNV >Ma11_p21850.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25841191:25857914:1 gene:Ma11_g21850 transcript:Ma11_t21850.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYEGWMVRFGRRKIGRSFIHMRYFVLETRMLAYYKRKPQDIMLPIKTLLIDGNCRVEDRGLKMHHGHMAAFNIQEALIWKEKIELVIDQQDFITANGNKVYASIPYGPMADDGRNASSSDRESQCSPEEEEESRPTLSRRITIGNAFPDSVPDWTCDVDSGLLSENNTDQVFSRKHWRLVRCQNGLRIFEELVEVDYLPRSCSKAMKAVGIMEATCESIFQLVMRMDGMRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHVNCSPQPGFVRAHVESGGFKISPLKTCNGRLRTQVQYLMQIDLKGWGVGYFPSFQQHCLIQMLNSVAGLREWFSQTDENYDVSKMPLMVNMTAETAPIKKDRKTQENSVQPNSSQYQMHGASRHHVMLDEDSDDDEDYQIPEPEQEVYPIKLENEFNKAGLDEEPSASIDLSEFSGNLRRDDLDKSRNCWRISDGNNFRVRSKQFIYDKTKIPAGKHLMELVAVDWFKDVKRIDHVARRKGCAVQVASEKGLFSLVINVQVPASTHYSMVFYFVSKPLVPGSLLQLFVDGDDEYRNSRFKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCTYIRGPDYLEIDVDIGSSTVANGVLGLVFGVITTLVVDMAFLVQANTYEELPERLIGAVRVSHVELSSALVPVLEASAPNV >Ma11_p21850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25841191:25857914:1 gene:Ma11_g21850 transcript:Ma11_t21850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGWSVSGGGRSGARSSICGTSFSRPECSRTTRGNLRISCVFTIIQLPIKTLLIDGNCRVEDRGLKMHHGHMAAFNIQEALIWKEKIELVIDQQDFITANGNKVYASIPYGPMADDGRNASSSDRESQCSPEEEEESRPTLSRRITIGNAFPDSVPDWTCDVDSGLLSENNTDQVFSRKHWRLVRCQNGLRIFEELVEVDYLPRSCSKAMKAVGIMEATCESIFQLVMRMDGMRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHVNCSPQPGFVRAHVESGGFKISPLKTCNGRLRTQVQYLMQIDLKGWGVGYFPSFQQHCLIQMLNSVAGLREWFSQTDENYDVSKMPLMVNMTAETAPIKKDRKTQENSVQPNSSQYQMHGASRHHVMLDEDSDDDEDYQIPEPEQEVYPIKLENEFNKAGLDEEPSASIDLSEFSGNLRRDDLDKSRNCWRISDGNNFRVRSKQFIYDKTKIPAGKHLMELVAVDWFKDVKRIDHVARRKGCAVQVASEKGLFSLVINVQVPASTHYSMVFYFVSKPLVPGSLLQLFVDGDDEYRNSRFKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCTYIRGPDYLEIDVDIGSSTVANGVLGLVFGVITTLVVDMAFLVQANTYEELPERLIGAVRVSHVELSSALVPVLEASAPNV >Ma11_p21850.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25841157:25857914:1 gene:Ma11_g21850 transcript:Ma11_t21850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYEGWMVRFGRRKIGRSFIHMRYFVLETRMLAYYKRKPQDIMLPIKTLLIDGNCRVEDRGLKMHHGHMVYVLRVYNKKEKYHRIMMAAFNIQEALIWKEKIELVIDQQDFITANGNKVYASIPYGPMADDGRNASSSDRESQCSPEEEEESRPTLSRRITIGNAFPDSVPDWTCDVDSGLLSENNTDQVFSRKHWRLVRCQNGLRIFEELVEVDYLPRSCSKAMKAVGIMEATCESIFQLVMRMDGMRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHVNCSPQPGFVRAHVESGGFKISPLKTCNGRLRTQVQYLMQIDLKGWGVGYFPSFQQHCLIQMLNSVAGLREWFSQTDENYDVSKMPLMVNMTAETAPIKKDRKTQENSVQPNSSQYQMHGASRHHVMLDEDSDDDEDYQIPEPEQEVYPIKLENEFNKAGLDEEPSASIDLSEFSGNLRRDDLDKSRNCWRISDGNNFRVRSKQFIYDKTKIPAGKHLMELVAVDWFKDVKRIDHVARRKGCAVQVASEKGLFSLVINVQVPASTHYSMVFYFVSKPLVPGSLLQLFVDGDDEYRNSRFKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCTYIRGPDYLEIDVDIGSSTVANGVLGLVFGVITTLVVDMAFLVQANTYEELPERLIGAVRVSHVELSSALVPVLEASAPNV >Ma11_p21850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25841191:25857914:1 gene:Ma11_g21850 transcript:Ma11_t21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGWSVSGGGRSGARSSICGTSFSRPECSRTTRGNLRISCVFTIIQLPIKTLLIDGNCRVEDRGLKMHHGHMVYVLRVYNKKEKYHRIMMAAFNIQEALIWKEKIELVIDQQDFITANGNKVYASIPYGPMADDGRNASSSDRESQCSPEEEEESRPTLSRRITIGNAFPDSVPDWTCDVDSGLLSENNTDQVFSRKHWRLVRCQNGLRIFEELVEVDYLPRSCSKAMKAVGIMEATCESIFQLVMRMDGMRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHVNCSPQPGFVRAHVERLREWFSQTDENYDVSKMPLMVNMTAETAPIKKDRKTQENSVQPNSSQYQMHGASRHHVMLDEDSDDDEDYQIPEPEQEVYPIKLENEFNKAGLDEEPSASIDLSEFSGNLRRDDLDKSRNCWRISDGNNFRVRSKQFIYDKTKIPAGKHLMELVAVDWFKDVKRIDHVARRKGCAVQVASEKGLFSLVINVQVPASTHYSMVFYFVSKPLVPGSLLQLFVDGDDEYRNSRFKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCTYIRGPDYLEIDVDIGSSTVANGVLGLVFGVITTLVVDMAFLVQANTYEELPERLIGAVRVSHVELSSALVPVLEASAPNV >Ma08_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37154357:37161521:1 gene:Ma08_g23780 transcript:Ma08_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRMPRHPMNEGPRGFRDGPPLRGPLPLHPVEEELVIRHDEIRRLQADNRLLMEENVALRREIDFVKNELLQASQAIPKLRSDKELESRELIQRGLPLEAELRAREPLREEAIQLKSEAQKLDALRQELSGKVQALQQDLKHLQTENQQLPTLQIEIDGLRQELIRARTTYEYETKANAEQIEQRQAMEKNLVSMAREVEKLRAELEKRARGPGSGAYGVHTASSDMSYPALFRDGYASEKGFYGTGPWASDEPHGFPRH >Ma01_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4195701:4214517:-1 gene:Ma01_g05930 transcript:Ma01_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEVFATAVEDGLKLAKRVYAGKERQFAAPPRPATGMERSSEPFLPTAPMVYAVISDPAIVDNPDIPSYQPHVYGACDPPALIPLQMGDVGLEVECLLDAAFVAVSGRWRVHCVMRNKSCDCRLVVPMGEQGSILGVEVEVGGRSYYTQVIELEDYIMENTAKIEGGGLLKPQMFFLTIPQVNGGSEISIKVRWSQKLIYKDGQFFIAVPFNFPEYITPFGKVFSKREKIHLNVNIGTEKEVMLQTTSHPLKEKSRQAGKLTFLYEADVESWSNKDFDFSFSICSDDFFGGILLKSPTTHDVDQREMFSLYLFPGSNQKTKAFKNEVVFVIDISGSMKGKPIENVKSALSTSLLELRPGDYFDIIAFNAELHSFSSCLEPATADTIENAIQWMNNNFVAEGGTDIMHPLNEAVGLLSSTKNSIPQIFLITDGAVENERNICHTLKTHLENSGSISPRISTFGVGSYCNHYFLKMLASIGRGQYDAAYDSDLIEMCIQRWFHRASSTIVANVTVDFFSYLDEFEVYPIHIPDLSGQCPLIISGRCYGKFPETLQAKGILADMSDTFIDMKVQNTKDFPLEKAFVNQHIDLLTAQAWFSESKQLKEKVTKLSIQSSIPSEYTCMVFLQKETEKDESLKKVKKRDSRKHAGSKDNLLILVRDMAIGFGDITATIENHPTVLGEPKEPATSLVYNKAIGCCNRIAYCCCCPCFIKTCSRLNDQLVIVMTQLCTALSCLACSECCTELCFGSD >Ma04_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22068457:22072741:-1 gene:Ma04_g19480 transcript:Ma04_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDCQVLSSMVSGNVASPDSLFASPIQNPNLGFMANMPPFNAFSSIIPEEGLMLIGRGVSKEEEMESGSGSGPLDGVLSCGEEHDNELQQQPPSQQQQQPVAKRKRYHRHTTRQIQEMEALFKECPHPDEKQRMKLSQELSLKPRQVKFWFQNRRTQMKAQQDRADNVVLRAENESLKNENFRLQAAIQNVVCPNCGGPAILGEMSFDEQQLRIENARLKDELERLSCIASRYSGRQQQPLGPAPPILLPSLDLDMGIYSRHFKEPPVVSCNDIIPVPQISDQASPFSGMLILDQEKPLVLDLAITAADHLVRMCRTNGPLWIRRDGRTTEVLDLEEHAKNFSWPMDLKQQHGEIRTEASRDSAMVIMNSITLVDAFLDADKWMGLFPSVVSKATTVQVLSPGVAGHGNGCLHLLHAELQFLSPLVPAREAHFFRYLQHNSEEGTWIIVDFPVDGCVDGLQTSLPWYRRRTSGCVIQDMPNGYSKVMWVEHAEVEDKPVHQIFDQFVSTGVAFGATRWVSTLQRQCERLASLLARNIADLGVIPTPEARKNMMKLSQRMMRTFCASVHASGMQSWTALSESSDDTIRVTTRKNTEPGQPNGVILTAVSTTWLPFSHQQVFELLTDEQRRSQLDVLSSGNSLHEVAHIANGSHPRNCVSLLRVNAASNSSHSVELLLQESSTHPSGGSIVVYATIDVDAVQVAMSGEDPSYIPLLPTGFVISPAAPPNGVISSSDGSASTIGCLLTIGMQVLASAVPSAKLNLSSVTAINNHLRNTVQQISAVLGGGAVAEPAAMAPEQ >Ma05_p29360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40064774:40068922:1 gene:Ma05_g29360 transcript:Ma05_t29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein B [Source:Projected from Arabidopsis thaliana (AT1G09090) UniProtKB/Swiss-Prot;Acc:Q9SBI0] MGSATENGTLRYYFDHESESESDGGRSVGHSGPLGGPLASKKAGKKRSARFKGDDNYVEITLDVRDDAIAIQSIQSGDPEAALLAKNLERRSPMVGASLSSKLKQVGHEFRRMTSSSRRAERLDRTKSSAAQAIKGLQFVAKNVASEGWPQVERRFDELAVDGVLLRSRFGKCIGMVGSEEFAGEVFDALARRRGITAAVLTKEELWEFWEQLSDQSFDARLQTFFDMVDKNADGRITEEEVREIIALSASANKLSRIQERVEEYTALIMEELDPNNLGYIELYNLEMLLLQPAVQPSAMLYANSNNLSQMLSQKLVPTKDKNPVRRCCRRISYFMEDNWKRVWVMALWLSICAGLFTWKFIAYRRRAVFHIMGYCVTTAKGGAETLKFNMALILLPVCRNTITWLRSRTKLGVIIPFNDNINFHKVIAAGIVVGVALHVGAHLTCDFPRLLHATDAEYEPMKPFFGEKRPPNYWWFVKGTEGWTGVVMLVLMVIAYVLAQPWFRRNRLSPTNPLRRLTGFNAFWYSHHLFVIVYVLYVVHGVCLYLIKKWYKKTAWMYLAIPVTLYACERLLRAFRSGHKTVRIQKVAVYPGNVLALRMSKPQGFKYRSGQYIFLNCAAVSPFEWHPFSITSAPGDDYLSIHIRTRGDWTSQLRAIFSQVCQPAKSDQSGLLRADVMPGGNNPRLPKLLIDGPYGAPAQDYEHYDVLLLIGLGIGATPLISIVKDVLNNVEQKKTTAAADVESATKAKKKPFMAQRAYFYWVTREEGSFEWFRGIMNEVAEKDKDGVIELHNHCTSVYEEGDARSALIVMLQALHHAKNGVDIVSGTRVKTHFARPNWRNVFKRIAINHPNQRVGVFYCGDPMVIGELRRLSQDFSHKTSTKFVFHKENF >Ma11_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19489222:19492399:-1 gene:Ma11_g14200 transcript:Ma11_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPPSLPDDKKMKAAAVGNGEGGRGIWDRDVGSAHVMSQARDPAIKLFGLTIPPQDGQGTAEPPGESSSPSERDEKDTCTEVRESEVETSASEMVDLQKPSTSSGLNNGNGDEVSTQNDEESSGQKHEKDNSEANTSGQEKTLKKPDKILPCPRCNSLNTKFCYYNNYNVNQPRHFCKKCQRYWTAGGTMRNLPVGSGKRKSKNAAVHHHSHIGVPSQGMIGNRVDVPQPGIYQVETAALSVSVTMEVLKRNETVSKFDSEGPLCESMAAVLNLEDQMNSCHENSEEGSCTTSVTPSNCMENDPLKVASGMEKNHIQSYSNGVTHMSNLPCFPRLTWPCTWPLGWNNMALVMTGQCSSELVHRPETGSPYPVPWPPQVMASSLQCQPVIPFPFFPASHWGHMSSWPKDTWNVTCVRPSCDLLSSSSISNNGCPSNNPPTLGKHSRDAISESVEKTEKTLWVPKTLRIDDPDEAAKSSIWATLGISPGKKIKRGGGIFEAFQSKSDNNQPSDATKVLHANPAAMSRSQTLQEST >Ma10_p27220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34594596:34598031:1 gene:Ma10_g27220 transcript:Ma10_t27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSNRVESFPDATDLEASIPFPTPSLTPFQVHNVSVPQEQTTFQSLKQRLGEVFFPDDPFHQFKNKSFLRKMVLALHYFFPIFQWGSDYHLKLLKSDAISGVTIASLAIPQGISYAKLAGLPPVIGLYSSFVPPLIYSVLGSSRDLAVGPVSIASLVMGSMLREVVSPDKEPTLYLQLAFTATFFAGVFQASLGLLRLGFIVDFLSKPTLTGFMGGAAIIVSLQQLKGLLGIVHFTTKMGFIPVMQSVFENRTEWAWQTVVMGLSFLAFLLIARHISLRRPKLFWVSAAAPLTSVILSTILSFIFKAPNHGIKTIGHLQEGVNPPSVNMLDFGGPYLSLAIKTGIITGILALTEGMAVGRTFASLKNYQIDGNKEMVAIGAMNMAGSCASCYVTTGSFSRSAVNYNAGCKTALSNIVMASAVLFTMLFLMPLFYYTPNVMLSAIIIAAVIGLIDVRGAFLLWKVDKFDFLACMSAFFGVLLVSVQMGLAIAVGISLFKILIHATRPNTVILGNVPGTNSYRNLAQYREAVRVPSFLILGIESPIYFTNSMYLQERILRWVREEEERIVKSNESSLKCIVLDMAAVTAMDTNGMEALSELKKTFDKRSLDLVLANPVGEVAQKLSRSGTWELFGSEHIYMTVGEAIAAASYKCQT >Ma09_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9409523:9409738:1 gene:Ma09_g13870 transcript:Ma09_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLSAEKDHIDAVLGHSVGDLHLSTSRSANIVTNFMGTLDLLALLGGFLADAKLGRYMTVAIFATITASV >Ma05_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3835447:3840835:1 gene:Ma05_g05010 transcript:Ma05_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLACSLLSRSGAACAPSLSRSVFGGDRIHGSASGVLRGFSTATAIEEPIQPPVQVNHTQLLINGQFVDSASGRTFPTLDPRTGELIAHVAEGDVEDVNRAVVAARKAFDEGPWPKMTGYQRSCILYRFADLIEKHNDEIAALETWDNGKPYEQAAKAEIPTLARLMRYYAGWADKIHGLIVPADSPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLSALLVSKLFHEAGLPDGVLNVISGFGPTAGAALASHMDVDKLAFTGSTDTGKIVLELAARSNLKPVTLELGGKSPIIIMDDADIDQAVEVAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKAKARALKRVVGDPFRKGVEQGPQIDEEQFSKILHYIKSGVDSGATLVTGGDRIGSKGYYIQPTVFSDVEDKMKIAKEEIFGPVQTILKFKDVNEVIQRANTTRYGLAAGVFTHNLNTANTFMRALRAGTVWINCYDVFDAAIPFGGYKMSGNGREKGIDSLKNYLQVKAVVTPLKNPAWL >Ma08_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37621448:37622371:1 gene:Ma08_g24440 transcript:Ma08_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MSLTADSALFDSAVRHLFHLPETLEKLGFPASAVRPHDAHRGNGRGRNGEEGVGLRSAPVDILESHKEYTFVIDVPGLSKSDIQVTLEDEKILVIKSSGKRKRDDGEEEGCRYLLLERSAPVKFLRKFRLPEDASSSGITAKCENGVLTVVVGKIPPPEPKTRTVEVTIA >Ma02_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25305473:25306051:1 gene:Ma02_g18980 transcript:Ma02_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTFPSPGCVAFFGCSPRRRGRRPPGQPGCGKLDRVAAWVGGSIATAFFASLERCSCINIATDDDRDEDNDVPLMHDDGNNVVREGGGSGVGGRRRGGKGKSCSGRGSFEGVHG >Ma05_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35137385:35151810:1 gene:Ma05_g23130 transcript:Ma05_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCASTNLEEENKTNSAKNDQIENTPYELPHGWIKEIRLRNSGTKIKKDPFYFDPVSGYEFRSLKDVFRYLETGDIHSCVNKPKKRSIDDIHSLEKESHPPAAKRVEHRGTTVKKCLFPGVRNNSDVKMVTEVNGSPGKSQFHPARVIETSVGEADTGSMTLVNIKHHDRGDVAEIKIDPELNSVQQELKVSGEVLEPGKESVKPAIEDQSTIFSSHLSGSQNVTSYGPSLGKQLNESNKLQHVSGLPALEGNLLEGKNLVLKEKEQMDFKKPRRKSVNGSRKKQGMRIITMPHRASPRLAALRANTLVNSALVEEFYGTEAHQVNSSSQDHGTKYTPVVDQQEMLPGVDFEHSKKLESKDFLGEEAALENLAGLEEKSKDKPVSQLTSPFGDSWPDPCIEFAFRTLIGDIPVSDNTVVFQDYPQQQQMSSAISQSPRSSDALTLGSSGTVNQTMHLGRLEPPNKNNHVLSFGDITRPCFLEKSLQSTKKLEVSHQRSELNP >Ma05_p23130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35137264:35151810:1 gene:Ma05_g23130 transcript:Ma05_t23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESRPDWLPEGWTAVAKTTRSGAVLWCFHDPYTGSRFCSKKEVLQHLKSGKFRGSPTKQTRSITTRSMEKLCASTNLEEENKTNSAKNDQIENTPYELPHGWIKEIRLRNSGTKIKKDPFYFDPVSGYEFRSLKDVFRYLETGDIHSCVNKPKKRSIDDIHSLEKESHPPAAKRVEHRGTTVKKCLFPGVRNNSDVKMVTEVNGSPGKSQFHPARVIETSVGEADTGSMTLVNIKHHDRGDVAEIKIDPELNSVQQELKVSGEVLEPGKESVKPAIEDQSTIFSSHLSGSQNVTSYGPSLGKQLNESNKLQHVSGLPALEGNLLEGKNLVLKEKEQMDFKKPRRKSVNGSRKKQGMRIITMPHRASPRLAALRANTLVNSALVEEFYGTEAHQVNSSSQDHGTKYTPVVDQQEMLPGVDFEHSKKLESKDFLGEEAALENLAGLEEKSKDKPVSQLTSPFGDSWPDPCIEFAFRTLIGDIPVSDNTVVFQDYPQQQQMSSAISQSPRSSDALTLGSSGTVNQTMHLGRLEPPNKNNHVLSFGDITRPCFLEKSLQSTKKLEVSHQRSELNP >Ma04_p25560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27301898:27309777:1 gene:Ma04_g25560 transcript:Ma04_t25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSPFLLLLRIHNRVPFSSLPSPSIRSRFRPSKRYLSDLGFSEKLPSVAAADRRGSPSRSTSLARCAADPSSPTVGAGMEMVAKAAAASGEGSVARRFWIGSWKEALFASYTPFVVCLAAGKLNMDTFRNYIAQDVYFLRAFAQAYEMAEEFADDDETKAAITELRKAVLDELKMHDSVVQEWGIDPTKEIIPNPATLKYTEFLLATAGGKIEGGKGHGKIVTPFEKTKIAAYTVGAMTPCMRLYAFLGKELQLHLQYEGNGHPYKKWIDTYSSAGFEASAVQIEDLLDKLSVSLTGEELEIIEKLWCHAMKLEIEFFNAQPIVQPVVVPLTKLHDPTNHLVIFSDFDLTCTVLDSSALLAEIAILTASKPGQSGTDTLSVQRSPSDVRNSWDVLSKQYTEEYEQCIESLLPPEQAKIFDYESLYKSLEQFSNFEKQANSRVIESGLLKGMNLEDIKRTGECLTLQDGCKEFFQNVVKIKQKLNAEFHILSYCWCADLIRSAFSSVGCLNELGIHSNEFNYDGSVSTGEILRMMESPLDKVKTFKSIIANLASEEKHLSVYIGDSVGDLLCLLEADVGIVIGSSLSLRRVGEQFGVSFVPLYSGSIKKQREVIEDANVWKGGLSGVVYTASSWTDIHAFLLGA >Ma04_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4416382:4420444:-1 gene:Ma04_g05900 transcript:Ma04_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGLPGDRKRDGDKKDKKFEPAAPPSRVGRKQRRQKGPEAAARLPAVTPLSKCRLRLLKLERVKDYLLMEEEFVANQERLRPQEEKNEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVSILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDSQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHTDFKKAKEKVMFKKKEGVPEGLYM >Ma04_p05900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4414790:4420450:-1 gene:Ma04_g05900 transcript:Ma04_t05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGLPGDRKRDGDKKDKKFEPAAPPSRVGRKQRRQKGPEAAARLPAVTPLSKCRLRLLKLERVKDYLLMEEEFVANQERLRPQEEKNEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVSILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDSQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHTDFKKAKEKVMFKKKEGVPEGLYM >Ma03_p25260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29369587:29376443:1 gene:Ma03_g25260 transcript:Ma03_t25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELPGILARDFGFRPQGKSAPMAASKAASAGGVNLDAGMNRSSEASSSLNRPRSGPNPTSAGDPFLGDHRFKTPGSRSPPGHDDIFGGPPSYSNKSSDRRSVEGLSHYKSKSSSSLPVYDKPVHDDDAIFDGVPGLKSTSAKYDDIFSSVLPASKHDSTPPYDDLLENLGKPMPAPKNANEERSGEKEHDLSGFDELIPGFGGSSPPKKREPPEVNQQKPSVSSAKPASIVTEDPFVVLETESTSTSSSGFILDPLENISMPLNSENMKVGASSVSGGSSVEIDSFGGLSKSMPASMADINESQKNKGYTHDAHNMSPGHHFSGGQAQQASADAHESILPKMHPPKSSDSQKFAGVSGVQSSATHGRNTTVDQTPKSYEQSETADDVWLTVDEIPLFTQPSGASPPSRPPPPFDTKKATSADNGKREKESLSQPTQSYTYTKDKARKPVVSPIDELEDFAMGKPQTHSQDHADIFTRENNIEANSDAAASAAAMKEAVDRAEVKFRHARGLRERERNPKSTKSRVPVWHERVQKAKICEHDVEDKENQERIYKEQQQKEWRRIEKERKKEQARQAAERATREVQERSAAEGRLKAEKAAAGIHERAAAEARERAAAEARDKAAGEKQQKPENDLESFFSMGTQASSSPKQRATVESMFDVQSQSKGGYDGTRTSSSFSSTIRKASSTTNIVDDLSSIFGAPQSSGEFQEAGESEERRKARLERHQRTLERAAKALAEKNERDMQIQREQAERQRIAEALDFDIKRWASGKEGNLRALLSTLQYVVWPECSWQPVSLTDLITAAAVKKVYRKATLCLHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFSSAELFL >Ma06_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6106896:6107939:1 gene:Ma06_g08660 transcript:Ma06_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFRSQRSLLADRFLGLFAAPPRSSAPAGDELHEDDVFWIGSEASPCDPIRDGSTRPVPPAVVGTRKPSRSLSALPGAGPCHISLLSSGILAALSEDDEKLHPFVHRKAAVAPSPPSAEASPVTSARMIPVTSKKNLNCSLSMPAGKIYQQSAPVNVPAVPPKVKKGWEEFQIEGVDGDGDEYEMLPPHEIVARASGNGPSMIPFSVLEGVGRTLKGRDLRRVRNAVLQKTGFLD >Ma09_p09460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6221674:6225488:-1 gene:Ma09_g09460 transcript:Ma09_t09460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHIAATATVNSLRERLKQRQQLLVDTDMAAYGRSQGRSAISFGSTDLVCCRTLQGHTGKFQDICQVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLQCPWVVTCAFAPNGQSVACGGLNSACSIFNLNSQVDRDGNIPVSQILTGHKGYVYSCQYVPDQETRLITSSGDQTCILWDVTTGQRISVFGGEFPSGHTADVLSVSINSSNSNMFVSGSCDTTARLWDTRIASRAVRTYHGHQGDVNTVKFFPDGQRFGTGSNDSTCRLYDMRTGHQLQVYSQQHDGDDNDIPTVTSIAFSISGRLLFAGYSSGACYVWDTLLAEVVLNLGELQNSHEGCISCLDLSSDGSALCTGSWDKKLKIWAFGGQRRVI >Ma09_p09460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6221674:6225488:-1 gene:Ma09_g09460 transcript:Ma09_t09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHIAATATVNSLRERLKQRQQLLVDTDMAAYGRSQGRSAISFGSTDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLQCPWVVTCAFAPNGQSVACGGLNSACSIFNLNSQVDRDGNIPVSQILTGHKGYVYSCQYVPDQETRLITSSGDQTCILWDVTTGQRISVFGGEFPSGHTADVLSVSINSSNSNMFVSGSCDTTARLWDTRIASRAVRTYHGHQGDVNTVKFFPDGQRFGTGSNDSTCRLYDMRTGHQLQVYSQQHDGDDNDIPTVTSIAFSISGRLLFAGYSSGACYVWDTLLAEVVLNLGELQNSHEGCISCLDLSSDGSALCTGSWDKKLKIWAFGGQRRVI >Ma09_p09460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6221674:6225497:-1 gene:Ma09_g09460 transcript:Ma09_t09460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKERHIAATATVNSLRERLKQRQQLLVDTDMAAYGRSQGRSAISFGSTDLVCCRTLQGHTGKFQDICQVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLQCPWVVTCAFAPNGQSVACGGLNSACSIFNLNSQVDRDGNIPVSQILTGHKGYVYSCQYVPDQETRLITSSGDQTCILWDVTTGQRISVFGGEFPSGHTADVLSVSINSSNSNMFVSGSCDTTARLWDTRIASRAVRTYHGHQGDVNTVKFFPDGQRFGTGSNDSTCRLYDMRTGHQLQVYSQQHDGDDNDIPTVTSIAFSISGRLLFAGYSSGACYVWDTLLAEVVLNLGELQNSHEGCISCLDLSSDGSALCTGSWDKKLKIWAFGGQRRVI >Ma11_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27302590:27305789:-1 gene:Ma11_g24270 transcript:Ma11_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQCMGFAVLLLSLLLVSPASLSYAKDTLELDGYIEDGETLISAGEIFELGFFSPGSSKYRYIGIWYYNFSTDTVLWVANREAPVHDTSGRLAVGGDGNLVVLNGSRSVLWSSNVSLSSNASTVQLLDDGNLVLNNTGRVAWQSFENPTDTYLPGMKVGLDLTTNVNQYITSWKSSDDPAPGNYSMGVDPNRSTQIFVWEGTKPRWRSGRWNGQVFIGIQNMVPTYIYGFKLSNFELEKKMYFYYNAFNSSHRYVLTWEGIEKHLTWKDDTKFWSTFWAQPITDCELYNKCGNYGSCTDENTPICSCLKGYVPAVEAEWSSGNWTSGCVRRTPLQCERNSSGGAGSVQTDGFWKMEGVKLPDLSDWASGVVDEDGCRAACLGNCSCQAYAYVTGIGCLVWGVDLVDIHIFSSGGNDMYLRLAASEIQTKKKKSFVILIVVLAVVLSLGCIYLFFKCKKRIRAFYRRRGGGGIVSVEPNRDGDRAEGGVSFRIPDESKDQKCQELPLFSFDSIVASTSNFALANLLGEGGFGPVYKGTLPGGQEVALKRLSRSSGQGETEFKNEVILMAKLQHRNLVRLLGCCIHGEERILVYEYMPNRSLNAFLFDPRKKGLLGWKTRYDIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDKDMNPKISDFGMARIFGSDDNETNTKRVVGTYGYMSPEYAMQGVFSVKSDVYSFGVLLLEIVSGRKNSSFAHQDSSLNLLGSAWKLWNEDNVMEFVDPAIRDSCSPRQVSRCVNVGLLCVQDRPNDRPTMSSVVIMLEGGTAAYPQPKQPTFSAERNPSDTESSTFGLRVASASNSITLLTAR >Ma03_p23880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28295236:28298236:1 gene:Ma03_g23880 transcript:Ma03_t23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEATGFRPPTAARDRPDAGAAAPRSRIPRRRQIRKTFNNLKVTILCGVVTILVLRGTVGIGNLAGSGGDAFAADQRVVEDIDRILREIRSDSDPDDEDQIHSGFNSTTATALNYTSSDALFAAAAANYTLGPKIFDWDVQRRRWLAENRGFPSQTPAGKPRIILVTGSPPNPCDNPIGDHYLLKGTKNKIDYCRLHGIEIVYNMAHLDRELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMAFEIPLDRYAAHNLVVHGYPDLIFEKHSWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGPIRDEAGKMLTANLKGRPAFEADDQSALIYLLLSQQDRWGDKIYIENSYYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVKRCLRSMERAFNFADNQVLRMYGFAHGNLASPKIRRTEKQTAKPLEFLDQLNLEARVETRG >Ma03_p23880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28295236:28298342:1 gene:Ma03_g23880 transcript:Ma03_t23880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEATGFRPPTAARDRPDAGAAAPRSRIPRRRQIRKTFNNLKVTILCGVVTILVLRGTVGIGNLAGSGGDAFAADQRVVEDIDRILREIRSDSDPDDEDQIHSGFNSTTATALNYTSSDALFAAAAANYTLGPKIFDWDVQRRRWLAENRGFPSQTPAGKPRIILVTGSPPNPCDNPIGDHYLLKGTKNKIDYCRLHGIEIVYNMAHLDRELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMAFEIPLDRYAAHNLVVHGYPDLIFEKHSWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGPIRDEAGKMLTANLKGRPAFEADDQSALIYLLLSQQDRWGDKIYIENSYYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVKRCLRSMERAFNFADNQVLRMYGFAHGNLASPKIRRTEKQTAKPLEFLDQLNLEARVETRG >Ma06_p27600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29550962:29552764:1 gene:Ma06_g27600 transcript:Ma06_t27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPYLFRCPISLDLFTDPVTLSTGQTYDRPSIEKWLADGNLTCPVTMQRLTDTTLIPNHTLRHLIHRWLLADPGVNCRHRPVRSAGDSDAGFSLLALKQKLQSSNTSRADKLDCLEKVRVLSVESDIGRACLIELGFFPLLLQLLFQYSVVVDSALAEAMLDCVLSLLASAQLDSLDMLKEHARLTSLELLLDQGNTKTKTSLCHLLKVIAASSATRELCLVVGESRRVLQALVSLLHDKSSIRASEAAVRAISGMCSLELNRSHAIRGGVVDGLVSHLSSPNPRTAAPALATLELLLTLEAGKKALVEHSDAIKVLVKMVFRMSTADHEGSEHALGSLLAVCCESEKARSEAMDAGVMTQLLLLLQSQCSSMAKAKARDLLKLMRSLWTEETRRL >Ma02_p16790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23990141:23998827:-1 gene:Ma02_g16790 transcript:Ma02_t16790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDTVLEHAMFRLSPRRSRCELFISGNGKTEKIASGFLKPFITHLKIAAEQAARAASSVKLEVERRKNDGTWFKKGTVERFVRFVRTPEILELVNAFDAENLQLEGAKRVYLQGTGDPLSGPLGGNQVTTEATAEITKKELLRAIDVRLVTVKQDIATAFARTSDAGFTLDSVLELLHFAEYFGANRLNEACTKFISLCQRHPELVSLQPLPQPLPPPLKNTDYWNVQTSSSLDLSIHEPEVEPKVPGKPHHGCGVKLHMPSSSHPAQENAAELLGTYQQLKPSQQQFLDRTVGKVVDDPTPAALGTEPAQQDGGDSRHLSVQDRINLFESKKKEQPASYKNLSSNIVVNRIIAGKGGHRRCPSDASDKSVLRRWSGASDMSLDLSSSVGSSFNDREGYGSTSGTPTTVNLWLQSDTESKESMATVGVTASSSLSSHAEFKGLSEDKDHTEVDGNKISVAQTKALDDEQGKHQMSVSLGGVKFYELSDQDASRTQQKGLSESGDYAPGYQLKSKVTSEDYFQYKEHKALQATSQAAAKKGGSKDQEIFRSQIRVIPLRPDGGGATDQITLYNQLRTFRRKGDDVTLKAKVSSDFQIKPSTKSTISLESQSQGTTFPSTTVEGGGGEEAATEQTFGPFPVEKKEDWDLGINLHKQPLAPDYINKPWSSERKKWPVSPVRSAKESTELLDLPSTSSVEQVQMTNLLRGGNRELNEGLQMKATRLEEHFAAFKLRIQKDEAAVFQTNLPAAVRKDYVLKGLEKKNTMPRTDQLSEKNLVKETYIREVAFDANLLSDMVDNQEYESNLSPKFSDQSDDFRGKFYNKYMQKRNSKLLEEWESKRTQKEAKMKAMRDSLERSLTEMRAQFVGSAAGQKSNRADHSAE >Ma02_p16790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23990163:23998827:-1 gene:Ma02_g16790 transcript:Ma02_t16790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDTVLEHAMFRLSPRRSRCELFISGNGKTEKIASGFLKPFITHLKIAAEQAARAASSVKLEVERRKNDGTWFKKGTVERFVRFVRTPEILELVNAFDAENLQLEGAKRVYLQGTGDPLSGPLGGNQVTTEATAEITKKELLRAIDVRLVTVKQDIATAFARTSDAGFTLDSVLELLHFAEYFGANRLNEACTKFISLCQRHPELVSLQPLPQPLPPPLKNTDYWNVQTSSSLDLSIHEPEVEPKVPGKPHHGCGVKLHMPSSSHPAQENAAELLGTYQQLKPSQQQFLDRTVGKVVDDPTPAALGTEPAQQDGGDSRHLSVQDRINLFESKKKEQPASYKNLSSNIVVNRIIAGKGGHRRCPSDASDKSVLRRWSGASDMSLDLSSSVGSSFNDREGYGSTSGTPTTVNLWLQSDTESKESMATVGVTASSSLSSHAEFKGLSEDKDHTEVDGNKISVAQTKALDDEQGKHQMSVSLGGVKFYELSDQDASRTQQKGLSESGDYAPGYQLKSKVTSEDYFQYKEHKALQATSQAAAKKGGSKDQEIFRSQIRVIPLRPDGGGATDQITLYNQLRTFRRKGDDVTLKAKVSSDFQIKPSTKSTISLESQSQGTTFPSTTVEGGGGEEAATEQTFGPFPVEKKEDWDLGINLHKQPLAPDYINKPWSSERKKWPVSPVRSAKESTELLDLPSTSSVEQVQMTNLLRGGNRELNEGLQMKATRLEEHFAAFKLRIQKDEAAVFQTNLPAAVRKDYVLKGLEKKNTMPRTDQLSEKNLVKETYIREVAFDANLLSDMVDNQEYESNLSPKFSDQSDDFRGKFYNKYMQKRNSKLLEEWESKRTQKEAKMKAMRDSLERSLTEMRAQFVGSAAGQKSNRADHSAE >Ma02_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23990153:23998827:-1 gene:Ma02_g16790 transcript:Ma02_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDTVLEHAMFRLSPRRSRCELFISGNGKTEKIASGFLKPFITHLKIAAEQAARAASSVKLEVERRKNDGTWFKKGTVERFVRFVRTPEILELVNAFDAENLQLEGAKRVYLQGTGDPLSGPLGGNQVTTEATAEITKKELLRAIDVRLVTVKQDIATAFARTSDAGFTLDSVLELLHFAEYFGANRLNEACTKFISLCQRHPELVSLQPLPQPLPPPLKNTDYWNVQTSSSLDLSIHEPEVEPKVPGKPHHGCGVKLHMPSSSHPAQENAAELLGTYQQLKPSQQQFLDRTVGKVVDDPTPAALGTEPAQQDGGDSRHLSVQDRINLFESKKKEQPASYKNLSSNIVVNRIIAGKGGHRRCPSDASDKSVLRRWSGASDMSLDLSSSVGSSFNDREGYGSTSGTPTTVNLWLQSDTESKESMATVGVTASSSLSSHAEFKGLSEDKDHTEVDGNKISVAQTKALDDEQGKHQMSVSLGGVKFYELSDQDASRTQQKGLSESGDYAPGYQLKSKVTSEDYFQYKEHKALQATSQAAAKKGGSKDQEIFRSQIRVIPLRPDGGGATDQITLYNQLRTFRRKGDDVTLKAKVSSDFQIKPSTKSTISLESQSQGTTFPSTTVEGGGGEEAATEQTFGPFPVEKKEDWDLGINLHKQPLAPDYINKPWSSERKKWPVSPVRSAKESTELLDLPSTSSVEQVQMTNLLRGGNRELNEGLQMKATRLEEHFAAFKLRIQKDEAAVFQTNLPAAVRKDYVLKGLEKKNTMPRTDQLSEKNLVKETYIREVAFDANLLSDMVDNQEYESNLSPKFSDQSDDFRGKFYNKYMQKRNSKLLEEWESKRTQKEAKMKAMRDSLERSLTEMRAQFVGSAAGQKSNRADHSAE >Ma03_p26410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30149285:30154047:1 gene:Ma03_g26410 transcript:Ma03_t26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQSVDGSIRKHAEESLKQFQEQNLSAFLLSLSNELVSNEKPVDSRKLAGLVLKNALDAKEQHRKSELAQRWLSLDIAVKAQVKACLLQTLASPVPDARSTASQVIAKIAGIELPQKLWPELIGSLLSNIHQLQPHVKQATLETLGYLCEEVSPQVVDQDQVNKILTAVVQGMNASEGSSDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSQEVKIRQSAFECLVAISSTYYDKLASYMQDIFTITAKAVREDEEPVALQAIEFWSSICDEEIDILDEYGGDFTADSDIPCYYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLTPIVHVALSFMLTALMNDPNNHVKDTTAWTLGRIFEFLHGSTVETPIITPENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYEDVGAVSPLSPFYQNIIQALLTVTRREDAGESRLRTAAYETLNEIVRCSTDETAPIVMQLVPVIMMELHQTLEAQKLSSDEREKQNELQGLLCGCLQVIIQKLGAAETTKYGFMQYTDQIMDLFLRVFACRNATVHEEAMLAIGALAYATGTNFLKYMQGFYPYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAAELSAHAVADDDDILEYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAYHILQFIDTLYSEKDMDDAVMKTAIGVLGDLADTLGSHVGPLINQSVSSKGFLEECLSSDDGLIKESADWAKLAISRAVSG >Ma03_p26410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30149285:30154047:1 gene:Ma03_g26410 transcript:Ma03_t26410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQSVDGSIRKHAEESLKQFQEQNLSAFLLSLSNELVSNEKPVDSRKLAGLVLKNALDAKEQHRKSELAQRWLSLDIAVKAQVKACLLQTLASPVPDARSTASQVIAKIAGIELPQKLWPELIGSLLSNIHQLQPHVKQATLETLGYLCEEVSPQVVDQDQVNKILTAVVQGMNASEGSSDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSQEVKIRQSAFECLVAISSTYYDKLASYMQDIFTITAKAVREDEEPVALQAIEFWSSICDEEIDILDEYGGDFTADSDIPCYYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLTPIVHVALSFMLTALMNDPNNHVKDTTAWTLGRIFEFLHGSTVETPIITPENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYEDVGAVSPLSPFYQNIIQALLTVTRREDAGESRLRTAAYETLNEIVRCSTDETAPIVMQLVPVIMMELHQTLEAQKLSSDEREKQNELQGLLCGCLQVIIQKLGAAETTKYGFMQYTDQIMDLFLRVFACRNATVHEEAMLAIGALAYATGTNFLKYMQGFYPYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAAELSAHAVADDDDILEYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAYHILQFIDTLYSEKDMDDAVMKTAIGVLGDLADTLGSHVGPLINQSVSSKGFLEECLSSDDGLIKESADWAKLAISRAVSG >Ma10_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27208711:27209595:1 gene:Ma10_g14940 transcript:Ma10_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRAIIQDTDMPVKMQLQAMSCAYEALDLFDVLDCTGIAAHIIKEFDVRYGPGWQCVVGSKFGCFFTHRKGTFVYFCLERLNFLIFKGPSAMSTPTTNAK >Ma07_p17170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16311721:16313345:-1 gene:Ma07_g17170 transcript:Ma07_t17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKRPRPPMRRTTSSTEFASSVLFDVEAPQPFDQVAIGVRHLESRWGADWRTTWYTGSMLSPRGGVHRRSSGDFAAPFLRACGLCNRGLGPGRDAYMYRGDIAFCSLECRQQQMNLDEQREKCSLTSTKEMPSAASGSKPSDGGGTVAAA >Ma09_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1415455:1416364:-1 gene:Ma09_g01900 transcript:Ma09_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLLRLVLLVSCIGSSMAVLFSSLPNTLTVTSSPAAGQVLHAGVDQIKVSWKLNQSTPASADSGYKKVKVLLCYDPVSQADRGWRKTDDHLKKDKTCQFKVTTQPYSSGGGSFVYTVERSIPTGTYFVRAYALDSGDTEVAYGQTTNAAKTTNLFDVVGITGRHASLDIAAGCFSAFSILALVFFFVVEKRKAKK >Ma10_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33232291:33233877:1 gene:Ma10_g24780 transcript:Ma10_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKFHRRPIVGPLMAALVSLLALLLLPPQCALAQPSPPSDGISDSANNYNYYGKFNPTMAIVIVVMISLFFLLGFFSLYVRNCSGNNEDLSGSFRRRAAGGAAHSRRQQGLSPEVLETFPTLVYAEVKGLKAGKGALECAVCLSEFEDDEELRLLPRCSHVFHSDCIDAWLASHVTCPVCRANLAEQAADDNPDLLPVATPSTDAAGLQLETAAPPQDHVAIVVDPTAVAAEEEERKEAAAELLRIGSQRRAARPRSGRRPAKLPRSHSTGHSEVRPVEDVDRYTLRLPEHIRKEIFAARKFHRSTSCVAFPTAGEGSSRRGPRGGGWEGSGRGWRSFRLRKSDRWPSFFLRTLSLKVPAWAAGRRGDGEGSIKKGEGEASARGRIATVIAPLECLEGGGEQSSSTRSLARQV >Ma08_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12819920:12821630:-1 gene:Ma08_g14520 transcript:Ma08_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQINALRSCLILLAPFSFFFFKNNLNFLSKWIPKYPRFVQFISLNTNITSYQSVLCDQAVS >Ma05_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6691969:6692463:-1 gene:Ma05_g09170 transcript:Ma05_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPPRSSRLACQIQNRKERSIKECVSHGFLLGSSLHHRSCNRFHVPSSTTPLMNSEFVDLSSTDEHHYLCAASPPVVSSRTSSGGR >Ma11_p14560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20289934:20305871:1 gene:Ma11_g14560 transcript:Ma11_t14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTTSSAVRSTGGRSSRNAVLLLLLLVSAIPLAIIVSLERSAAGFTYQTRDWFRECAKWDPDGLRFLASTFLGGGVVQIPAGDATSGALVERAAVSDPDVAGNASLGIAVDRSRGRLLVVYADLWGFRSSAVAAYDLGSWARLFLTRLSEPGDEASFADDVAVDEDGNAYITDAMANKIWKVGLNGELVSIIRSNFFIQRKEWYYNFVGLNGIVHHPDGYLLVIHTASGHLFKVNTANEEVAVVRVRGSLLMGDGMELVSPTKLVIAGTPSARLVESFDGWETANVTGWYIGPMHRVASSATVKDGRVYLNHIVGAGITKRSHVIVEAIF >Ma04_p29500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30426636:30429723:-1 gene:Ma04_g29500 transcript:Ma04_t29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGVYGERGSLAPLLDIDESSRVSEELLEWEPVPCNARWRLAVWESKNLWRLSWASIVIQLFNFMLSLVTQMFVGHLGSLDLAGASIINVGIQGLAFGIMLGMASAVQTVCGQAFGAKKYRAMGVICQRALVLHLVAAILLSFIYWFSGPILRAIGQSDSIAKVGQLYARGLLPQLIAFALYCPMQRFLQAQNIVNPMAYIAVGVLLFHILISWLAVFVLDFGLLGASLTLSLSWWVLVLVTWSYILFSPSCKETWTGLSMKAFRGLWPYLKLTISSAIMLVLEVWYIQGLVLITGYLPSPEISLDAISICVNYWNWDFMIMLGLSNAASVRIGNELGAAHPRVAKFAVIVVVTTSLIISLFVSVLVMLLRTPLSKLYTNSTDVIKAVINLTPLLAISIFLNGVQPILSGVAIGSGWQAIVAYVNVGAYYLVGLPIGCVLGFKTNLGAAGIWWGLIIGVFVQTVTLIVITARTNWNDEVDKAIERLKHTAAEDTLAITDIE >Ma09_p27570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38532872:38536259:1 gene:Ma09_g27570 transcript:Ma09_t27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAAWGQAILRPLPPHLQPSPTRRHLPFWISARTAAADTTTVVRVSPRPGGGGRGGKEEEEKEGSMSSIDTSRLLSDDFYYLWKLAAGSIGGASAVKYGSVLFPDITRPNIVQALLMISLPVLVAILILIKESSKDVQDEELL >Ma06_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19937787:19940381:-1 gene:Ma06_g22890 transcript:Ma06_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MPKRGVLLLLKPLDIYPPIPAAHHLPNLPPSSSSPSPPFSSLNPKIVSFFNDRLKVHKDTINLCQDVLRCKFVDWEPVICNNLCHPIHHVDLVITIGGDGTLLQASHFLDDSIPVLGVNSDPTQIKEVNESSNDFDAARSTGYLCAATAQNFEQVLDNILESYMQPSEISRLSITVNGHPFPTYALNDILIAHPCPAMVSRFSFRINGNNGTSSSLINTRSSGLRVSTAAGSTAAILSGGGLRMPISSRDLQYMVREPISPGPAEAPLMHGFVKPDQSIFVEWYSQEGVVYIDGCHVTQSLKFGDKIKISAKAPVLKLYLPQDLVRDHNPKSYRWRSVL >Ma06_p22890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19937787:19940242:-1 gene:Ma06_g22890 transcript:Ma06_t22890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MASSVRKMIHDECLCGLIYVMHARFSTTLKNVIELFKDGPFHGTLWWAYKSEDKKVIFFSCQLSFSRKRSNCLVLYCLFFRLETSMTCTTFSCAKSIIVSFFNDRLKVHKDTINLCQDVLRCKFVDWEPVICNNLCHPIHHVDLVITIGGDGTLLQASHFLDDSIPVLGVNSDPTQIKEVNESSNDFDAARSTGYLCAATAQNFEQVLDNILESYMQPSEISRLSITQWYLVSHLELMATMEQARA >Ma06_p22890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19937787:19940207:-1 gene:Ma06_g22890 transcript:Ma06_t22890.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MASSVRKMIHDECLCGLIYVMHARFSTTLKNVIELFKDGPFHGTLWWAYKSEDKKVIFFSCQLSFSRKRSNCLVLYCLFFRLETSMTCTTFSCAKSIIVSFFNDRLKVHKDTINLCQDVLRCKFVDWEPVICNNLCHPIHHVDLVITIGGDGTLLQASHFLDDSIPVLGVNSDPTQIKEVNESSNDFDAARSTGYLCAATAQNFEQVLDNILESYMQPSEISRLSITVNGHPFPTYALNDILIAHPCPAMVSRFSFRINGNNGTSSSLINTRSSGLRVSTAAGSTAAILSGGGLRMPISSRDLQYMVREPISPGPAEAPLMHGFVKPDQSIFVEWYSQEGVVYIDGCHVTQSLKFGDKIKISAKAPVLKLYLPQDLVRDHNPKSYRWRSVL >Ma06_p22890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19937787:19940242:-1 gene:Ma06_g22890 transcript:Ma06_t22890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MASSVRKMIHDECLCGLIYVMHARFSTTLKNVIELFKDGPFHGTLWWAYKSEDKKVIFFSCQLSFSRKRSNCLVLYCLFFRLETSMTCTTFSCAKSIIVSFFNDRLKVHKDTINLCQDVLRCKFVDWEPVICNNLCHPIHHVDLVITIGGDGTLLQASHFLDDSIPVLGVNSDPTQIKEVNESSNDFDAARSTGYLCAATAQNFEQVLDNILESYMQPSEISRLSITVNGHPFPTYALNDILIAHPCPAMVSRFSFRINGNNGTSSSLINTRSSGLRVSTAAGSTAAILSGGGLRMPISSRDLQYMVREPISPGPAEAPLMHGFVKPDQSIFVEWYSQEGVVYIDGCHVTQSLKFGDKIKISAKAPVLKLYLPQDLVRDHNPKSYRWRSVL >Ma06_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5257230:5268196:-1 gene:Ma06_g07410 transcript:Ma06_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MADRSRASHGSSALLAPLFLALIPFSLVRPASDPSAIVTGSNYGALPPARNHVVRFLEYRMAEDHRAYLAENLGSRNGWWWVERRNPAASFPTDFGVLEIGDLYRASLIEELRRLGRVKDVYVDTSYSRSLFVEESPKGGNFYDLEKRPGKIFTSMSFEEGEEVVYSPVSNASISWRRKLMMQRSQVTSLFGADRLWTKGFTGRRVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVVAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPESMRKDYLNPASMKQALVEGATKLSGPNMYEQGAGRINLWESYQILENYQPRASIFPSVLDYTDCPYSWPFCRQPLYAGAMPVIFNATILNGMGVIGYVETPPTWHPYDEVGNLLSIHFTYSDVIWPWTGYLALHMQIKDEGAQFSGLIEGNVTLNVYSPSPPREKGPRSSTCVLYLKLKVVPTPPRSKRILWDQYHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMYNMLRDAGYYVETLGSPLTCFDASHYGALLMVDLEDEYFKEEIQKLRDDVINGGLGIAVFAEWYNVDSMVRMRFFDDNTRSWWTPVTGGANIPALNELLAPLGIAFGDKILNGDFSINGEQSHYASGTDIVKFPQGGYLHSFEFQDNSESGATQNILSASGMTKVSPILGLAEVGKGRVAVYGDSNCLDGSHMVTNCYWLLRKILDFTNRNVKDPVLFSDSAKTSKPLHEEESRLPLRRTDVNFSSYSSVVGKDLICHHDSRFEVWGTKGYGIHQLTGRNRKRYSTIDMVNDSNNTVIEFNVEVDEATTHKGSGNYSGLVDRNKSRNSIDFLGLLNHDEVDIPMLMAGQWIIPLLVALACLLSFLSWRMRQKRRRRRKGSASGRLMNMV >Ma06_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20276146:20277453:1 gene:Ma06_g23110 transcript:Ma06_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVSTQNPPPILQRISSSWSRPGMSGVAPPRLPSAAPTLRSRPARLPEVKGCLAGMWRKIQGADDWSDLVEPLHPLLREEVVRYGELVAACYKAFDLDPRSKRYLNCKYGRSRLLQEVGLASCGYEITKYIYATPDIIIPTQSGACCGRWIGYVAVSSDEEAKRLGRRDVLVSFRGTVTGAEWIANLMSSLTPANLDPHDPRRDVKVESGFLSLYTSDDSTCRFSQGSCREQLLSEVARLINKHKEEEEEMSITLAGHSMGSALALLFGYDLAELGLNRFRLQREVPITVYSFGGPRVGNTGFKARCEELGVKVLRVVNVHDPVTKLPGLFLNENLRALAAGCDLPWSCSCYAHVGVELALDFFEVQNPACVHDLETYIGLLKRSKLVQIHKEGEDLLAKARTFVGRKKPQPWPWQDVARQVGHLVQSLSLI >Ma10_p31070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37311507:37311815:1 gene:Ma10_g31070 transcript:Ma10_t31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADSSVIKLKQEENDSATRRDKSKIVLKGLFLLSCNILLLEAVPCDSLLLLCSCSFLVVVQWGEKLWGKHSVSNGLLQTARMITSANTNLFLLCLRTGAV >Ma07_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11666940:11669292:1 gene:Ma07_g15490 transcript:Ma07_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAIASSPVFSPTRISISCKGSPEALSLNTGSPAASSYSSSPFRSRFQRASRGLRAINAGIGAASPAEPSVDTARSSPPPPASAELGSVLKRKRPARIDIPLMEGLPFASEGSDGKKEVEAESVRYSMYCKRGRKRLEMEDRHKVTLDPNGDAKLSFFGIFDGHGGKRAAEFASENMGKFIIEQVSTTSGASSSAMEEAVRIGYMKTDTEFLKAEMDGGACCVTALLIDGDLIVSNAGDCRAVLSRAGKAEALTSDHRPSRKDERERIESLGGYVDYCRGTWRLQGSLAVSRGIGDLHLKQWVIPVPETKIINIEAECEFLILASDGLWDKVSNQEAVDIARPLCIDADTSSSLSACKKLVDLSATRGSLDDISVMIIKLQHFV >Ma06_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1202667:1222126:1 gene:Ma06_g01490 transcript:Ma06_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPSAPGVAAPEPLPLEVPPWLRSLPQAPEFRPTPQEFQDPIAYILKIEKEAAAFGICKIVPPLPPAAKKTAVTNFNRSFAARELGQRPPAFATRQQQIGFCPRRPRPVQKSVWQSGEHYTLAQFEAKARQFERSHLRHAAAGGSARKAAASAALSPIEIETLFWRASADKPFSVEYANDMPGSGFAPLPSDGRHCWRDKAPANVGESAWNMRGVSRAKGSLLQFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHELHSLNYLHMGAGKTWYGVPRDGRLAFEEVVRIQGYGGEVNPLVTFTILGEKTTVMSPEVLVGEGIPCCRLVQNAGDFVVTFPGAYHMGFSHGFNCGEAANIATPEWLRFAKEAAVRRASINYPPMVSHFQLLYALALSLHTRMPTGDGSEPRSSRLKDKMKGEGEVIVKNAFVQNVIQNNHLLNILLDKGTSCVVLPQNAPDGPLCSNSLVRSQVKVKPRLSFGLCSEQEALEASRLLPSNDVGPGWSAAVRNFNGLSSFRGNSTSTGNDRMISSGICDKFVGADQYSFSSDLQNVEGEKEGSIHGDGLLDQGLLSCVTCGILSFACVAVIQPRETAAKYLTAADCGFLNDHAIGSADVSELSRDTNWKPSRNNLVTGIVQIERNVEDRVNDDLVHCDAYSVQVSDWSIKMISDVTCPRAASALDLLASVYTDSSDFEDEDVPLEKSTCSDKNNMGDSSLVLNTNEHLGNAVETQILHSSEVAHEETKLHLAGSESQNDLFAQSSQSVDGSDNLNGDDNDVADNKCQLKSEFSCLNQSETGNFMGKSSLEDNEGMETSKTSIKFMGKSRDVHHKEFDCGSHNIETADIYYSSLKTGNPTVLADPPVKCDDSAVPAEAVTICQELRNVATKKSPKISVVQGFDKDSSRMHVFCLEHAAEVEKQLQPIGGVHMMILCHPDYPKIESEAKLLAKELGIGNIWKNVKFREASKEDQERIRVALEDEEVIPTNSDWTVKLGINLYYTANLSKSPIYSKQMPYNPVIYKAFGQKSAGDSPEKPKTSGRRTGRQKKIVVAGRWCGKVWMTNQVHPCLAHKKETLEQEPTEEYYSSDSDQNPSDEIEIDHSSKVSSKSNSSGSNLAVKSSGKKRKKPSRKAKTKKPRCTMADSKSKATDVSGTSASPPGRTPRSSCPRNIESTKQHKLNSKDEAGGPSSRLRKRPSKSVEQKNKLANKKQSNKRKAKNNQTANLVPKDEEEYACDIEGCSMSFSTKQDLALHKRDICPVKGCGKKFFSHKYLLQHRKVHMDDRPLECPWKGCKMTFKWPWARTEHIRVHTGDRPYVCQEPGCGQTFRFVSDFSRHKRKTGHSVKKGRR >Ma08_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37194919:37201015:-1 gene:Ma08_g23840 transcript:Ma08_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMAALGAVVMILLQLVVTNCSTSYFAEDVVHGGARRGGSREGRNRSRIGGGGGEEEDTGDWRAEILWLARQPEAVEWVTGVRRRIHEHPELAYEEFETSRLIRAELDRMGVEYRFPLAATGLVATIGTGDPPFVALRADMDALPIQEAVEWKYKSKVPGKMHACGHDAHVAMLLGAARILKTHEHRLKGTVKLLFQPAEEAGIGAKRMIEDGALEDVEAIFAVHVSHGYPTSVISSRPGPLLAACGFFRAVIKGREGHAGNPHHSVDPIVAAAAVVISLQNIVARESSPLDSQVVSVASFNGGHNLDMIPESASLGGTFRAFSNASFYQVRHRIEEVILEQSSVFRCKASVDFFEKERFYPPTINEHSMYGHVKKVAIELLGADNFKVVEPTMGAEDFSFYSEMIPAAFFYIGVRNETLGSVHVAHSPYFMIDEDVLPTGAALNAAIAEKYLIDHS >Ma06_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13316267:13322610:1 gene:Ma06_g19440 transcript:Ma06_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMFRTAATSAEEEPREHPAMEMRAREETGLARDMTPPIPPLAAALHRCFLPSRCSACFRPLDSFLPCAACRGASRYCSAACSAADSSAHAASGECCLLRDHHPDGDTSDLRAALRLLHSLETLGMGILPPASLPDQPRRIAGLLASDLEKVLEEGGEVAERILEGAALMSLARGRGRSREADFDGGAASPEVVLWAVLRNSVEVHISEVGALGVAVYGPGFSWFNHSCVPNACYRFELGYRYGEPGPVTPESFLASSAAAGVATDAWNAWIYGESRLACGFSKFGPRVTVRSIKPIMKGEEVCVTYVDLLQPKVERQDDLWEKYRFVCCCGRCGASSPLYMDFVLNCDARELSLDNCSNSTDPCCEEFADILDQAIADYTLDENPEACCEKLESMLFCSSQDKEFHAGGRIKLHTLHHLPLNAYITLSSAYRTRLFNLLAISLDEGNNSEAFKMGRAAASYSLLLAGTVHHLFLSEPSLIATTAHFLVSAAESTCGILRIPGWSLNANQCKSDIDSVLCHYQSIMMEHSLDECKATSMRFLGCISEILSRTWPFLTEGLPYLESINSPVDFSWLGPNVINPQCFANPRGISDFINKDRSGCRHHEDIFIEDKRRFLFQLVVHCFAYGRYLASICYGPQCNLADHVEIMLHGFL >Ma08_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26954270:26957151:-1 gene:Ma08_g17730 transcript:Ma08_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGRARRYHDYIRALKEERKKIEVFQRELPLCLQLVTQAIESVRHRAGEEEGVYEGPVLEEFIPLKPSPTSTLSEEEKGETRKSAAAIGWDRKPEWLRSVQLWNQEPDTDLKVEPPKKPIAVSLKKIGGAFQPFDREKHVAPPAVVAVAPPASSTAGGGDGKGGGGGCADVDGSRSGGRDKEKEGQSQPHRKARRCWSPELHRRFLHALQRLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPTPTVQSSSSSTSSPPATQFVLVGGILVSPPDYAAASPGNGAYAPPDSIYAPLASVPSDSKLRNQQLHQQSQRSIARPSDTEDRSGGEDDNSMRDDGDATNSASPTTSATSQTTTASPLF >Ma02_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28625375:28629817:-1 gene:Ma02_g23720 transcript:Ma02_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLLLLSAAGLRWRDSDKSLLHANLSLPFSSPAQRFHGLFFFFFFFCSIGGYLHEEGAVLISQNNVIYRICEATSEFGLRMLMVPDNLKPTDPTFGSLNLGSQWRQIYL >Ma05_p30950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41108704:41110806:1 gene:Ma05_g30950 transcript:Ma05_t30950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSRGDTLIVDASCGFYVYGLGCEKSHVENEESACWKIEDTVNITFHGISSVPFVSEKDVLTVQDTTVAPAMICPVGDLQGHFSGSNATSDEEVIELKQTEAYKELCHPAPFNLKKQKALAKSATFPSSIEVNAADLSINGGCRPETALQDKCSFTSNYPTYERSASLPASSNLISAMKGSRAQNGSPLNVKLHVKWAPEVYDPPCTLLSHTVKKSYHHRHKAKRKDRNKHKHKGKSTRGSNTERRIANRSSVTNMAEPVDTRLQITKDGLQLNGHEKSSTEALEYAVCKQDTKCRSTVLREALAKVHISMAEAT >Ma05_p30950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41107896:41110806:1 gene:Ma05_g30950 transcript:Ma05_t30950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKMDSFSRGDTLIVDASCGFYVYGLGCEKSHVENEESACWKIEDTVNITFHGISSVPFVSEKDVLTVQDTTVAPAMICPVGDLQGHFSGSNATSDEEVIELKQTEAYKELCHPAPFNLKKQKALAKSATFPSSIEVNAADLSINGGCRPETALQDKCSFTSNYPTYERSASLPASSNLISAMKGSRAQNGSPLNVKLHVKWAPEVYDPPCTLLSHTVKKSYHHRHKAKRKDRNKHKHKGKSTRGSNTERRIANRSSVTNMAEPVDTRLQITKDGLQLNGHEKSSTEALEYAVCKQDTKCRSTVLREALAKVHISMAEAT >Ma05_p30950.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41108681:41110806:1 gene:Ma05_g30950 transcript:Ma05_t30950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSRGDTLIVDASCGFYVYGLGCEKSHVENEESACWKIEDTVNITFHGISSVPFVSEKDVLTVQDTTVAPAMICPVGDLQGHFSGSNATSDEEVIELKQTEAYKELCHPAPFNLKKQKALAKSATFPSSIEVNAADLSINGGCRPETALQDKCSFTSNYPTYERSASLPASSNLISAMKGSRAQNGSPLNVKLHVKWAPEVYDPPCTLLSHTVKKSYHHRHKAKRKDRNKHKHKGKSTRGSNTERRIANRSSVTNMAEPVDTRLQITKDGLQLNGHEKSSTEALEYAVCKQDTKCRSTVLREALAKVHISMAEAT >Ma05_p30950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41107896:41110806:1 gene:Ma05_g30950 transcript:Ma05_t30950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSRGDTLIVDASCGFYVYGLGCEKSHVENEESACWKIEDTVNITFHGISSVPFVSEKDVLTVQDTTVAPAMICPVGDLQGHFSGSNATSDEEVIELKQTEAYKELCHPAPFNLKKQKALAKSATFPSSIEVNAADLSINGGCRPETALQDKCSFTSNYPTYERSASLPASSNLISAMKGSRAQNGSPLNVKLHVKWAPEVYDPPCTLLSHTVKKSYHHRHKAKRKDRNKHKHKGKSTRGSNTERRIANRSSVTNMAEPVDTRLQITKDGLQLNGHEKSSTEALEYAVCKQDTKCRSTVLREALAKVHISMAEAT >Ma05_p30950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41107896:41110806:1 gene:Ma05_g30950 transcript:Ma05_t30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKMDSFSRGDTLIVDASCGFYVYGLGCEKSHVENEESACWKIEDTVNITFHGISSVPFVSEKDVLTVQDTTVAPAMICPVGDLQGHFSGSNATSDEEVIELKQTEAYKELCHPAPFNLKKQKALAKSATFPSSIEVNAADLSINGGCRPETALQDKCSFTSNYPTYERSASLPASSNLISAMKGSRAQNGSPLNVKLHVKWAPEVYDPPCTLLSHTVKKSYHHRHKAKRKDRNKHKHKGKSTRGSNTERRIANRSSVTNMAEPVDTRLQITKDGLQLNGHEKSSTEALEYAVCKQDTKCRSTVLREALAKVHISMAEAT >Ma10_p11500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25012952:25014141:-1 gene:Ma10_g11500 transcript:Ma10_t11500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAGGDKYRSFIHGAGERNTQWRFGAPPDYDLVNKLFEEGRTQEWPVGSLEEKVQRIVKSLEMELQHKIRPEDCKSVNREKFRFSVNGRRGISLQELREMGGGYNAFLQTNLPKELRIYDPEAETMDSSHEAFTTTFPRGFALEILQVYSGPPTIAFKFRHWSYMDGPFQGYSPTGELVEFFGIGIYHVEKVEFFYERGDFLASFVKGAPAASGSRCPVMKI >Ma10_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25012952:25014141:-1 gene:Ma10_g11500 transcript:Ma10_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAGGDKYRSFIHGAGERNTQWRFGAPPDYDLVNKLFEEGRTQEWPVGSLEEKVQRIVKSLEMELQHKIRPEDCKSVNREKFRFSVNGRRGISLQELREMGGGYNAFLQTNLPKELRIYDPEAETMDSSHEAFTTTFPRGFALEILQVYSGPPTIAFKFRHWSYMDGPFQGYSPTGELVEFFGIGIYHVDNEMKVEKVEFFYERGDFLASFVKGAPAASGSRCPVMKI >Ma10_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26798677:26801415:1 gene:Ma10_g14280 transcript:Ma10_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEQSRAAPSLMAAMAKLGEQERRFNTATDSESGSVQRPKARLIMVMNQLPVCATKDPSAPGGWIFNEDEDSPIAHLTESSPAGAELVLVGTLPCATDLPQKLCTNLTTQGLRLHDFLFFPVFIPSQTESMVSCVCNKYLNRALHSVLPFTIDEPCYDLGTTTAWYQATALFADVVARTLTSGEDLVWVQDYHLFLLPSVLRCRRPYVRLGFSLHAPFPSPDFFLPLPLAVRILRSLLCCDLLGFHALDQARHFLACCHRALGLRQCTRLSPSPDGFLGINHLGRTIGIHVLFPGMARFQMSNYYSAAQSTPVQEDLSRLRDRFSGNIVLLGMDDLDVFSSINLKLLAVERLLQRHAHYRGRIVLVQVITGMDPEDERSTLLLQDIRANCERINSVFGSDLYKPIVLKRRAMSSEVKAAHYMLADCLVITAMRCGANLIPYEYVVSRQADPSTPSAPKKSRLVLSEFMGCSQALSRATTVNPLNIDDTAEALAKAIDMSEEEQQEQHEKHHTFIENHDVAYWSRSFAEDLRSCTEDHLNNRVRMDGSIVTVHKESFTELQKQRVTMAYMNTATRVLLLDYDGTLESVMDTPQTWVIETLNALCAMPKNTVFIVSGRTKKELQTYFGACRGLGIAAEHGYYVRWPGKTEWITADDGDDLDWVRIARPVMKWYTRATNHSVMEIKDSALVWQCRHSDATYASEQTNEMADHLKDLLMNEPVAVKTGDRSVEVHPKGVNKGSCAKKILSAMADHRHRADFVLCVGDDSSDEEMFELFASKKHEDVVASRATVFTCKVAGKPSRAKYYLENMEEVKGLLVALKNAVGPIFHRPHG >Ma01_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15391749:15422815:-1 gene:Ma01_g19690 transcript:Ma01_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MDAGDPSRHTIPVRPPEAAAVPAVSNSSLQKRAAEFCNSVLETYKRKSLSFWFLLLLSSATMLVAFTASSLLSRLYYANGGKSKWIISWAAVAGWPITALVLLPPYLFGRISPTPLSLKLFVWYILLGFLSAADNLMFAWAYAYLPASTASLLSSSSLIFSALFGYFIVKNDLNLSSINAIVIITAGTVIIALDSESDRYPGVTGKQYTLGFIWDILGSALHGLIFALSELVFLKLLGRRSFHVVLEQQVMVSLLAFVFTSIGLVVNNDFQRMRSEASNFKHGEGSYAMVLTWAAITFQLGVLAGTAIVFLASTVLAGVLNAVRVPLTAIAAVVLFHDPMSGFKILSLVITVWGLGSYIVGHSSSKVNS >Ma01_p19690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15391749:15422802:-1 gene:Ma01_g19690 transcript:Ma01_t19690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MDAGDPSRHTIPVRPPAAAVPAVSNSSLQKRAAEFCNSVLETYKRKSLSFWFLLLLSSATMLVAFTASSLLSRLYYANGGKSKWIISWAAVAGWPITALVLLPPYLFGRISPTPLSLKLFVWYILLGFLSAADNLMFAWAYAYLPASTASLLSSSSLIFSALFGYFIVKNDLNLSSINAIVIITAGTVIIALDSESDRYPGVTGKQYTLGFIWDILGSALHGLIFALSELVFLKLLGRRSFHVVLEQQVMVSLLAFVFTSIGLVVNNDFQRMRSEASNFKHGEGSYAMVLTWAAITFQLGVLAGTAIVFLASTVLAGVLNAVRVPLTAIAAVVLFHDPMSGFKILSLVITVWGLGSYIVGHSSSKVNS >Ma11_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23215213:23216046:1 gene:Ma11_g18070 transcript:Ma11_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKAKLTEGCSFRRKGD >Ma00_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28681021:28681620:-1 gene:Ma00_g03380 transcript:Ma00_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFSFPTVVTEHELIPDLPFPSLAATSLWFHSPEADSSQLRRSFSEGGAHIATTCRRALRPSSPAVLSDYGCSGEEERMDMLWEDFNEEFYRAGDPRGAAGRRSMGMDVLAAEGGLLSKKELRLEVSKSRPLRRKPAFVVMLRMLKKMFIAQKTHSDGRKPKQQK >Ma07_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4583452:4589382:-1 gene:Ma07_g06360 transcript:Ma07_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ homolog 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55280) UniProtKB/Swiss-Prot;Acc:Q42545] MASSLRFSCPSHLHLASISFLPSLHSPCGPAPAPAPAAAARRGALRRRGVAAVRCSFSPFVPVESARIKVVGVGGGGNNAVNRMIGSGLQGVEFYAINTDSQALLHSQAQNPLQIGEVLTRGLGTGGNPLLGEQAAEESKETIASALKDSDLVFITAGMGGGTGSGAAPVVAQISRDAGYLTVGVVTYPFSFEGRKRSVQALEAIEKLQKSVDTLIVIPNDRLLDIVDEHTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIESAMGIVYNITGGKDITLQEVNKVSQVVTSLADPSANIIFGAVVDDRYSGEIHVTIIATGFSQSFQKTLLTDPRSAKVADNETKTGAHLMKSTANSPPVSSRSRKLFF >Ma08_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35933101:35938606:1 gene:Ma08_g22310 transcript:Ma08_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:Projected from Arabidopsis thaliana (AT5G17770) UniProtKB/TrEMBL;Acc:A0A178US77] MEILESYGVETVGIAVAVVAVAAGAAYFYFGKKSKGSLDPQNFKDFKLVEKNQLSHNVAKFRFALPTPTSVLGLPIGQHISCRGKDNVGEEVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMRVGDYLSVKGPKGRFKYLVGQVRAFGMLAGGSGITPMFQVTRAILENPTDETKVHLIYANVTYEDILLKEELDSLARNYPDRFQIYYVLNQPPDEWNGGVGFVSKEMIKANCPSPASDIQVLRCGPPPMNKAMAAHLDDLGYTKEMQFQF >Ma05_p20930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32636647:32636820:-1 gene:Ma05_g20930 transcript:Ma05_t20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNLSIFFTQDWVSMPGVLPVASGGIHVWHMPALTEIFGDDFFFFSSLYMSSKKG >Ma10_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20048761:20049705:-1 gene:Ma10_g06630 transcript:Ma10_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMCSVEKRGRVYLLTLAGTDEHRLNPALLDAIRSALAGVRSDAAASGGSAALVVAAEGKFFSNGFDLAWARVSPSDRVPLMVPALQRTIADLLSLPMPTIAAVTGHAAAAGCFLVLSHDYVVMRADRGFLYMSEIDIGLPITENIMAVMRAKIADPRTRRDLLLRGKRMTAAEAAARGIVDRAVEGAAETVEAAVAMGEELAARNWDGEVYASIRKGVFREACRGIGVAVEEEEAEMKKAASKL >Ma06_p03970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2865013:2866290:1 gene:Ma06_g03970 transcript:Ma06_t03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSLSPHLHDKYGMTIPVPVPPETHSSPYTPAAMPSRARGSITPWSTGLCHCMDDPGNCLVTCLCPCITFGQIADIVDEGTCSCVASGTVYGLLCLTGMACLYSCFYRSRMRGQHDLEEGPVPDCLIHCCCEPCALCQEYRELRNKGFDMGIGWRANMERQRRGVMLAPAMGAPAIGGMRR >Ma08_p22710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36226973:36229828:-1 gene:Ma08_g22710 transcript:Ma08_t22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18660) UniProtKB/Swiss-Prot;Acc:Q1H537] MSLLSTISSSSNSLHLLHHHNHLSSLASFHSSSSSSSIILSPLHIPRHGIKATKFTITASSSPPPPPSISKSTASPTQSFRKRSPKDVNVLVTGSTGYIGKFVVRELCRRGFNVVAVARERSGIRGRDDKARAAGQLAGATVCFADVTDPDALGRALDALGRPVDAVVCCLASRGGGISDSWKVDYGASRNSLLAGRRLGASHFVLLSAICVQKPLLEFQRAKLKFEAELVEEAAADPGFTYSVVRPTAFFKSLGGQVETVKDGKPYVMFGDGRLCACKPISEADLAAFIADCVMDEDKACKILPIGGPGKALTPLEQGQLLFKLLGREPKFFKVPIEVMDFVIGVLDFLAKLFPSLEDAAEFGKIGRYYAAESMLVLDPETGEYSAEKTPSYGKDTLEEFFAKVIREGMAGQELGEQTII >Ma08_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36226936:36229832:-1 gene:Ma08_g22710 transcript:Ma08_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18660) UniProtKB/Swiss-Prot;Acc:Q1H537] MSLLSTISSSSNSLHLLHHHNHLSSLASFHSSSSSSSIILSPLHIPRHGIKATKFTITASSSPPPPPSISKSTASPTQSFRKRSPKDVNVLVTGSTGYIGKFVVRELCRRGFNVVAVARERSGIRGRDDKARAAGQLAGATVCFADVTDPDALGRALDALGRPVDAVVCCLASRGGGISDSWKVDYGASRNSLLAGRRLGASHFVLLSAICVQKPLLEFQRAKLKFEAELVEEAAADPGFTYSVVRPTAFFKSLGGQVETVKDGKPYVMFGDGRLCACKPISEADLAAFIADCVMDEDKACKILPIGGPGKALTPLEQGQLLFKLLGREPKFFKVPIEVMDFVIGVLDFLAKLFPSLEDAAEFGKIGRYYAAESMLVLDPETGEYSAEKTPSYGKDTLEEFFAKVIREGMAGQELGEQTII >Ma09_p27020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38119130:38125711:-1 gene:Ma09_g27020 transcript:Ma09_t27020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDQTHSVEEALAQDPVPSEAMAPEEAAAVKDLDCSGGSSSAPEEEGPEKTLVHADELFEKGSKAIEDGDFVEAVDCLSRALEIRVSHFGELAQECASSYYKYGCALLYKAQEEAEPLGNFPKGPPTSVEKAKTSSCTEESGSSSKASVDNSKETPVGKEVPDGEGVGDEDYTNDEVDDESGDDDEDTAEADEDESDLDLAWKMLDVARAIVEKSSGNTMEKVNILAALAEVSMEREDIETSLNDYLRALSILEHLVEFDNRRIVELNFRISLVLELGSRIKDAIPYCEKAISLCKSRLQRLKENTTSLTTPAEAVGNSVSGSEKSDNLTAEDARFSSNFVEEIELFSSILIELEKKLEDLQQILTNPRSVVSEVMKLIASKQPYDEKNAPSASRASSLNSLQMGATNGGFDSPTISTAASNGGVMDLGVVGRGIKRATIKPIDAEPSQKKPMLDKTTES >Ma09_p27020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38119130:38125711:-1 gene:Ma09_g27020 transcript:Ma09_t27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDQTHSVEEALAQDPVPSEAMAPEEAAAVKDLDCSGGSSSAPEEEGPEKTLVHADELFEKGSKAIEDGDFVEAVDCLSRALEIRVSHFGELAQECASSYYKYGCALLYKAQEEAEPLGNFPKGPPTSVEKAKTSSCTEESGSSSKASVDNSKETPVGKEVPDGEGVGDEDYTNDEVDDESGDDDEDTAEADEDESDLDLAWKMLDVARAIVEKSSGNTMEKVNILAALAEVSMEREDIETSLNDYLRALSILEHLVEFDNRRIVELNFRISLVLELGSRIKDAIPYCEKAISLCKSRLQRLKENTTSLTTPAEAVGNSVSGSEKSDNLTAEDARSSTTSLTTPAEAVGNSVSGSEKSDNLTAEDARFSSNFVEEIELFSSILIELEKKLEDLQQILTNPRSVVSEVMKLIASKQPYDEKNAPSASRASSLNSLQMGATNGGFDSPTISTAASNGGVMDLGVVGRGIKRATIKPIDAEPSQKKPMLDKTTES >Ma09_p27020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38119130:38125711:-1 gene:Ma09_g27020 transcript:Ma09_t27020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDQTHSVEEALAQDPVPSEAMAPEEAAAVKDLDCSGGSSSAPEEEGPEKTLVHADELFEKGSKAIEDGDFVEAVDCLSRALEIRVSHFGELAQECASSYYKYGCALLYKAQEEAEPLGNFPKGPPTSVEKAKTSSCTEESGSSSKASVDNSKETPVGKEVPDGDEDYTNDEVDDESGDDDEDTAEADEDESDLDLAWKMLDVARAIVEKSSGNTMEKVNILAALAEVSMEREDIETSLNDYLRALSILEHLVEFDNRRIVELNFRISLVLELGSRIKDAIPYCEKAISLCKSRLQRLKENTTSLTTPAEAVGNSVSGSEKSDNLTAEDARSSTTSLTTPAEAVGNSVSGSEKSDNLTAEDARFSSNFVEEIELFSSILIELEKKLEDLQQILTNPRSVVSEVMKLIASKQPYDEKNAPSASRASSLNSLQMGATNGGFDSPTISTAASNGGVMDLGVVGRGIKRATIKPIDAEPSQKKPMLDKTTES >Ma09_p27020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38119130:38125711:-1 gene:Ma09_g27020 transcript:Ma09_t27020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDQTHSVEEALAQDPVPSEAMAPEEAAAVKDLDCSGGSSSAPEEEGPEKTLVHADELFEKGSKAIEDGDFVEAVDCLSRALEIRVSHFGELAQECASSYYKYGCALLYKAQEEAEPLGNFPKGPPTSVEKAKTSSCTEESGSSSKASVDNSKETPVGKEVPDEGVGDEDYTNDEVDDESGDDDEDTAEADEDESDLDLAWKMLDVARAIVEKSSGNTMEKVNILAALAEVSMEREDIETSLNDYLRALSILEHLVEFDNRRIVELNFRISLVLELGSRIKDAIPYCEKAISLCKSRLQRLKENTTSLTTPAEAVGNSVSGSEKSDNLTAEDARSSTTSLTTPAEAVGNSVSGSEKSDNLTAEDARFSSNFVEEIELFSSILIELEKKLEDLQQILTNPRSVVSEVMKLIASKQPYDEKNAPSASRASSLNSLQMGATNGGFDSPTISTAASNGGVMDLGVVGRGIKRATIKPIDAEPSQKKPMLDKTTES >Ma08_p29360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40978541:40979591:-1 gene:Ma08_g29360 transcript:Ma08_t29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQGGCCLTMYGGVGDVDAAWKVRRIMLRFRPIAPKPASAETGSPAVSVVSTEARAVARRPKRKRSAKSQGRKPRRGQATAVKEDEKSSSTIVTLPLIPEMPEGKDVATESSMQHSSPVTPAAAVVPTWMGRGEGGGSESWPVRVVSLWVTVECVTDMWREGEIARRSDEGVRAALAADECPGFVSDVWERVTWTNEACRRRVVGSSSGDRGVREEDEAVRVELVTRGLVPPAWECRAFTCRVRVRQAKPPGRQTTPSSPLAAPCDVWRLDSGGCAWRLDVKAALSLGF >Ma09_p05300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3425861:3427879:-1 gene:Ma09_g05300 transcript:Ma09_t05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGEETLIEQINEKIHGDGDSSSSDSDDEKSKASVVAEAVKSKIYHLFGREKPVHQILGGGKPADVFLWKNKKASAVVLGGATAIWILFELMEYHLLTLVCHCLILSLAILFLWSNATTLINKSPPHIPVVSIPEDLAVNISRSLTYEINRGFSVLREIATGRELKKFLAVIAGLWILSIIGSCCNFLTLFYIAFVTLHTVPFLYDKYEDRVDTFAEKATVEFKKHYAVFHAKCLSKIPRGPLKDKKFR >Ma08_p31320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42281335:42284852:1 gene:Ma08_g31320 transcript:Ma08_t31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTDLINLNLSDYTEKIIAEYIWVGGSGEDIRSKARTLSGPVTDPSQLPNWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRKGNNILVMCDCYTPQGEPIPTNKRHNAAKIFSNPAVAAEETWFGIEQEYTLLQKDVKWPIGWPIGGYPGPQGPYYCSAGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPCVGVSAGDQLWVARYVLERITEMAGVVLSLDPKPIQGDWNGAGAHTNYSTKSMRSDGGYEVIKQAIEKLGWRHKEHIAAYGEGNERRLTGRHETADINTFVWGVANRGASIRVGRDTEKSGKGYFEDRRPASNMDPYAVTSMIAETTILWKPT >Ma07_p07170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5214183:5215814:1 gene:Ma07_g07170 transcript:Ma07_t07170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 6 [Source:Projected from Arabidopsis thaliana (AT4G37970) UniProtKB/Swiss-Prot;Acc:O65621] MAMSPAEEHPQKAFGWAARDTTGVLSPFNFSRRSTGPKDVGIKILYCGVCHSDLHFLKNEWGFSVYPLVPGHEIVGVVTQVGSSVRKFRVGDTVAVGCMVGGCDECDDCINKRESYCRKSILTYNDLYHDGTRTYGGYSDETVVEEHYVLGFPDGLPMAAGAPLLCAGITVYSPMKYFGLSQPGTHLGVVGLGGLGHLAVKFAKAFGVKVTVISTSPGKRKEAVEELGADAFIVSRDEEQMKAAMATMDGIIDTVSAQHSLLPLLDLLKHHGKLIMVGAPPEPLDLPIFPLLTGRKLVAGSATGGIEETQEMLYFASKHNITADVEVIPMKYINVAMERLAKGDVKYRFVIDIANTLPA >Ma07_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5214183:5215814:1 gene:Ma07_g07170 transcript:Ma07_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 6 [Source:Projected from Arabidopsis thaliana (AT4G37970) UniProtKB/Swiss-Prot;Acc:O65621] MAMSPAEEHPQKAFGWAARDTTGVLSPFNFSRRSTGPKDVGIKILYCGVCHSDLHFLKNEWGFSVYPLVPGHEIVGVVTQVGSSVRKFRVGDTVAVGCMVGGCDECDDCINKRESYCRKSILTYNDLYHDGTRTYGGYSDETVVEEHYVLGFPDGLPMAAGAPLLCAGITVYSPMKYFGLSQPGTHLGVVGLGGLGHLAVKFAKAFGVKVTVISTSPGKRKEAVEELGADAFIVSRDEEQMKAAMATMDGIIDTVSAQHSLLPLLDLLKHHGKLIMVGAPPEPLDLPIFPLLVGRKLVAGSATGGIEETQEMLYFASKHNITADVEVIPMKYINVAMERLAKGDVKYRFVIDIANTLPA >Ma06_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2172142:2173924:-1 gene:Ma06_g02880 transcript:Ma06_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix transcription factor, Regulator of tapetal programmed cell death, Male reproductive developmen [Source: Projected from Oryza sativa (Os04g0599300)] MLDENGYYDPNHGSNPIQASLVDSPNQSVTYNDHSNGLDDGNIKLPTFSLEDLSHHDNLPSEDAAPEIGLDHLQHPIIFDLDQELQSNIIEEGPPPPVESNRWEPSMEHIQESFTMNHHHIIYEDQQQGVAEEDLQNYAIPYPDPPYAVAPDLFNILQLPACTVAPTMFPPTASISFGNPSLQPASFSFDVYNNDVPASHDSTQQMGYPPPPPPPPPPPPQSRLLKDLFSSLPQNYGLFCGVDEREAMVGGAIGGGNVFQEMDLRRYDGIGLDYDRREMGGLGKGEGKTSFATERQRREQLNEKYKALRLLIPNPTKADRASIVGDAIEHIKELLRTVEELKVLVEKKRHGRERRKILKMEDEATADMESSSIRPLSVERDDPLSGGLRSSLLQRRYKDGAVDVRIIDDEVNIKLTQKKKPNCLLDAAKALDELHLDLAHVAGGNVGDHHVYMFNTKISEGSSVYAGAVANKFLRVLEGQHGNQPCPVAF >Ma11_p11500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13488841:13493554:-1 gene:Ma11_g11500 transcript:Ma11_t11500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAAPADPERKKKARRKKKEKRPNPFSIDYNRGPGPVLVVLRKPTGRDIGSRYELGQVLGRGEFGVTYLCTDKATGEHLACKSISKKKLRTAVDIEDVRREVEIMRHLPAHPNIVKLKDTYEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIIEVIQVCHKHGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVFFRPGDCFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAESEQGVAQAILRSVIDFKREPWPKVSDSAKDLVRHMLDPDPKQRFTAQQVIDHSWLQNATKAPNVNLGETVRARLQQFSVMNKFKKKALRADVDGTGTLDYGEFVAVSIHLRKIGNDEHLHKAFSYFDQNKSGYIEIEELRDSLVDDLGTNHEEVINAIIHDVDTDKDGRISYEEFTAMMKAGTDWRKASRQYSRERFSSLSSKLLKDGSLQL >Ma11_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13488841:13493554:-1 gene:Ma11_g11500 transcript:Ma11_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAAPADPERKKKARRKKKEKRPNPFSIDYNRGPGPVLVVLRKPTGRDIGSRYELGQVLGRGEFGVTYLCTDKATGEHLACKSISKKKLRTAVDIEDVRREVEIMRHLPAHPNIVKLKDTYEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIIEVIQVCHKHGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVFFRPGDCFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAESEQGVAQAILRSVIDFKREPWPKVSDSAKDLVRHMLDPDPKQRFTAQQVIDHSWLQNATKAPNVNLGETVRARLQQFSVMNKFKKKALRVVAEYLSVEEVADIKDMFEKMDINKNGKITFEELKFGLRKLGHQIPDADVQILMEAADVDGTGTLDYGEFVAVSIHLRKIGNDEHLHKAFSYFDQNKSGYIEIEELRDSLVDDLGTNHEEVINAIIHDVDTDKDGRISYEEFTAMMKAGTDWRKASRQYSRERFSSLSSKLLKDGSLQL >Ma11_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27681586:27681708:-1 gene:Ma11_g24890 transcript:Ma11_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGMKPEELDMREKMALLVPRQTELKLLATSRIPVPATI >Ma10_p14220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26741529:26742415:-1 gene:Ma10_g14220 transcript:Ma10_t14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPKPRDAAITRSSSNYSSTNGGAPAAKPPPSSPTALPPLTPQPIPRACVANPCPTTFVHADASSFKQVVQMLTGSAETAAANSTAERSSVAPAAKVTGPKKAAFKLYERRSRVKNLKMIGPLIPTLLDSNPNSPVGGAAFSPRKLPEVPSPSTLDFPSLSLSPVTPLIPDPFERPPLRGTDSAKWAEDRAIAEKGFYLHPSPRTARRDGQPPRLLPLFPVTSPKASSNSYPATCN >Ma03_p31660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33795237:33796340:-1 gene:Ma03_g31660 transcript:Ma03_t31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKISTLILKVNLGCHLCYKKIRKTLRKLQDKENIKSISYDEKNGTVTISGPFDPHRLAKKLKCKACKVIEGIQIKEEKEKKPDEKQKAEVAKPADKSKEEPKQKKDDAGKKDEPEKKKAEPEKKKSEPESEGLPVVLTPYLWPPPGAVLCCPGPYYESYYGGTRCCSCEKVTNPPPPPPPTYGGPYYPLPCEIVCEEDSSTPCSVM >Ma10_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31746911:31747867:-1 gene:Ma10_g22310 transcript:Ma10_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLPSSETGESSKERSRRTKPATGVQEMENPGREGDPEAQPLLNHEAGDRITAIQQVISQTYQGTAHLANHLPTGTVLAFQFLSPAFTTFGRCTESSRFMTACLLALCALSCFLLSFTDSFYDEATRRVRYGVATFRGLWVIDGLQPVAPELAVSHRLGPLDFLHAFTSLVVFAAVALLDKNVVSCYYPMPTDIELQVLTALPVGIGVLGSALLVTFPTIRHGIGFPVTHTQ >Ma08_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3739661:3740147:-1 gene:Ma08_g05500 transcript:Ma08_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAQGLWDSSSAAPPAAAAAELPGMQVDWKETGEVHVIKADLPGVRREEVKVELESGGRVLQISGERRREVQETGDTWRQVERSSGRFMRRLSLPENAKVEGVKASMEHGVLTVVVPKAEVNQPRIESAQMTG >Ma10_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17711407:17713010:1 gene:Ma10_g06210 transcript:Ma10_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENIAAAEEVVNQWGLQVDASLFSDSGRADSKRFLRATADLHRSMLFFTSPSADPAARSASLLRAHSLLSAAMRRLESELHLLLSAHGQRLNPFDPLRPASNISDSMISAGYGVECVRVYKTMRKSFVDESVRRLGFERLTQSQIQKFEWDALESKIRDWLATAPVAFRALFTGERLLCDSVFAGSDSIRESCFADVTRDAAARFLAFPELVARSKRSPEKLFLILDLHNAVAELWPDIESMFRFESTAAIRKQAVNSLLRLTEVARSSLADFEAAIQRDASRSLITGGDVHPLTRYVMNYLVFLANYQQTLADIFADLAFEPPSPLPEPLSSSSSAAASGSISVRIAWLVLVLICKLDIKAELYREVALSYLFLANNIQFIVRKVKESKLRLLLGDLWVARHEAKARHHAASCERLAWSKVAATVPADNGGSCVSIKYYVIYNMKKNYT >Ma06_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20180737:20181498:1 gene:Ma06_g23030 transcript:Ma06_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRADEISNIIRERIEQYSREIKIVNTGTVLQVGDGIARRIAQIPVSEGYLGRVINALAKPIDGRGEISASESRLIESPAPGIISRPSSVAQVVTTFREKGAMEYTIVVAETADSPATLQYLAPYTGAALAEFFMYRG >Ma08_p28270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40259718:40273939:1 gene:Ma08_g28270 transcript:Ma08_t28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFGYGPSSVADSMTVVNTPSQEFALTNFAYCAPADLGKFASPGSKHALVLVGDSVVLTLCAHQNIPSNHIALNAIQRRHTKVSSGDQISVSRFLPPDNFKLAMLTLELDFVKGRTNKTEQLDAVLLAQQLQKKFIDQAMTTGQRVSFEFCGTNYIFTVIQALLEGQDDSKGLARGMISTETYFVFEASPNSGIKIINQREAASSKIFRHKEFNLQKLGIGGLSAEFTEIFRRAFASRVFPPHVVNKLGIKHVKGMLLYGPPGTGKTLMARQIGKLLNGKEPKIVNGPEVLSKYVGETEKNVRDLFADAENDQRTQGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVHVEINLPDENGRFQILQIHTNKMKENSFLAPDVSLQELAARTKNFSGAELEGVVKSAVSFALNRQISMDDLTKPLDEESIKVTMDDFLHALQEIIPAFGASTDDLERCRLNKIVDCGKRHMLIRERAMLLVEQVKVSQGSPLVTCLLEGPSGSGKTAMAATIGIESDFPFVKIISAETMIGLSEGSKCAQIVKVFEDAYKSQLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKGKSMLVIGTTSEVGFLESLGICDAFSVTYHVPKLNREDAKKVLQGLNVFAEHDLDFAVKELNDISIKKLYMLVEMAAQGPYGKSAEAIRSGKEKIDLNHFFDILRDISSDNY >Ma07_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5351994:5352203:1 gene:Ma07_g07310 transcript:Ma07_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYDILILDESSDTFLITWHTVSILHLTTINFGSCMSQPIFSTNSFIKIVFDSEGHHCWMASKIMNV >Ma08_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15650405:15656225:-1 gene:Ma08_g15490 transcript:Ma08_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIQALWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGLIWSRYSTVITPKNWNLFSVNVAMAGTGIYQLSRKIRHDYFSEVEEVPAKE >Ma08_p15490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15650405:15656219:-1 gene:Ma08_g15490 transcript:Ma08_t15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKIQALWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGLIWSRYSTVITPKNWNLFSVNVAMAGTGIYQLSRKIRHDYFSEVEEVPAKE >Ma04_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20417800:20419126:-1 gene:Ma04_g18470 transcript:Ma04_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHSELVVLAKLTLWVAMSMTYVRFAACRVKPGVPRLLSLLPVVCLLPFLPFHFSSLHLRGISAFFLAWLALFKLLLLCVDAGPLSAPLPFLPFLASAALPVKLIDPLHHHKRKSPSISPLLPAAAKAALLSAVIPFYRLKDVMHPYLLLSVYCIHMYLALELVLACAAGAAALFLPRGLAIEPQFDAPYRAASLQDFWGRRWNLMVSAILRPSIYLPVRARFGRAAGILATFLVSGLMHEVMFWYITLAPPTGEATAFFVLHGACLVAEGAARQAGWWRPPAAMATLLTLGFVVATGFWLFYPPILRSRADEVVLAECAAAMAFLEDAGRAVIAWVR >Ma06_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12454139:12456263:-1 gene:Ma06_g18330 transcript:Ma06_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYMKQHDKEYLKMAILKQETAFRQQVHELHRLYQVQHLLMREMKNTNKPIQLDEHCRALDLELPAEQFSGKQHRNVVLETYQESDLELTLATGSSTRRKETSTASDCGSSFSSSSTQSGTTKQNGNRSGLFQVPEIDMRFNHDRHSGFKIEEQMRQDGVKQRTWFSQCLGMNMT >Ma03_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6097652:6099177:1 gene:Ma03_g08410 transcript:Ma03_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAEEAEQERAVFEDEEDTDDDDDSDDSEDEDDDGGDGQASQATLPPPPPLQPQASPAAGHPNFAASSHHAADPNSDPKANPNLTHAATVASATTSTATAAPQNGAISVQVLSVSAAASAPSSDAALFIPSSSSVPVLVSAASASEDRRSLAVVAFDDSRRLFQRLWTDEEEIKILQGFFEFTSRRGTTFASHQYDTGPFYEEIKKQLQFEFTKNQLIEKLRRLKKKYRNCVSRMRSMGKDFAFKSAHERAIYDIARNIWSASVKRAHESDDEDLNTPNNTIPNEVITVPINEGSLSSNRRISRSRRRLNRRLAEEAAAAAPVGGVGTENSTPMMQTPLASASGMPSIIEETVKSCLSPLFKELINSAIGGPLGPGPSGGTSPFSLLPLSLGDSSLGAPGTPVDDKWRKQQILEMEVYLKRIDLVRDHIKATLEELKSSGN >Ma02_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27300389:27300877:1 gene:Ma02_g21750 transcript:Ma02_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLIDASIVSLLTTRLLNHHHHHSSATKAKEDIVTDLLNLFISAHEVLMCTPSILDSLNVALDLFSPVVVTLYSLLSIEAYRSIIGSKKPLVIALMDLLGTPPSTPTRSIKDVLKALFNLILYLLNSIALIESGIMLPLFMLVVKDRWRVVVEDEMVVIA >Ma00_p05160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44879779:44880048:1 gene:Ma00_g05160 transcript:Ma00_t05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLQVLGALDLAKTRWYHFTATVIAGMGFFTDAYDLFCISLVTKLLGRIYSFDPNSKSPGTLPPNVSVAITRVAFCGALSGQLFFG >Ma07_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3257584:3259079:1 gene:Ma07_g04360 transcript:Ma07_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRRRPPKNGLEKTIWVSKIGFFLLGILAFGVAARVAVPTATGVLASALPRFWASLCSWLVPPYLFVFIQFIVLAIWKLSDQKQPHRDPCAVEERKQETLDPVKTRAFELPPTVSLPREPSDACTRELEEKPADSSAFETETSTEPSAESGDLLEEAELAAAAAAADSAVENDSTETTWEAIMEKPSQGRDARPMEAVETNGGEPSATAAGENDSMEATWKAIMEKSSRETKPLEAVAITEREPSAAEEESPADNDSMEATWKAIMEKSSRGSGARTHL >Ma10_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28250261:28256174:-1 gene:Ma10_g16610 transcript:Ma10_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDPIALDLVDFLNASPTNFHAVDEAKKRLKQAGFVHLSEREDWVLEPGGKYFFTRNHSTVVTFAIGKKYVAGNGFHIIGAHTDSPCLKVKPVSKVTKGGYLEVGVQTYGGGLWHTWFDRDLTLAGRVIKKEVKDDSVSYSHCLVRIEEPILRIPTLAIHLDRSVTEAFKFNTHSHLIPVLATSVKGEIQKLVDQNGPCKSNAQANVDNKIHHPVLLQLIADQAHCHPDEICDFELQVCDTQPSVIGGAMKEFIFSGRVDNLCMSFCALKALIDSISVGSLLDEESGVRMVALFDHEEVGSNSAQGAGSPVMLDALSRITKSFDSSDPRFLEKAIQRSFLVSADMAHALHPNFMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAEMHNLPFQDFVVRNDMPCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDAKHSYEHFKAYFNEFTKLEKKLVVDL >Ma06_p05510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4123718:4124724:1 gene:Ma06_g05510 transcript:Ma06_t05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAPSDAPPPPAAPKSLPDPPPPAPPPPLPPPPPPPPPPPPPSSGMKRALGPLAIVAYLLTLPLLAFGIWLVSTHDYDCEDLLRAPNVRVAVGVGLLLILLISNFVVYYGAKVLMPGHMVLSVVLVMMLTAGLSLVGLYKMEARGLPETPLWLRNRLLDVDTWNDVKTCLYDDMICQDLTYRTIQMTSNDFNMMKLSTIESGCCKPSAVCGMEYVNATNWRVGRNRTRESTPLTNPTASFASFNDCRAWNNQPNVLCYDCQSCKVAFLKVITSRWRKVGAFLIVMSMLFLVVHVMRFIVLLLERYKS >Ma06_p34560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34744615:34745208:-1 gene:Ma06_g34560 transcript:Ma06_t34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPTSLRGSRNDTFATHVSHARLFLLLCPLSLSLSLSSSLSPLDIKVMPLHRFSQSLSLSTSGHGCRNRSLPQVSMAPLLRGRHSALFWKSRPSRLRAVEKGRRTAGTDCFIGGLQWATSESKTQSQTMSKPMLRPRPRPKTKPHP >Ma06_p14380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9848577:9848714:-1 gene:Ma06_g14380 transcript:Ma06_t14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTFVCIRLILHVMNKTSRLPLNIDDILSDFFGSFIVRWIAAVV >Ma03_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2665038:2671113:1 gene:Ma03_g04100 transcript:Ma03_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLCDFCGEQRSIVYCRSDAASLCLSCDRNVHSANALSQRHSRTLLCDRCSAQPAVIRCTEESVSLCQNCDWNGHGGSAVSSGHKRETINCYIGCPSAAEFSRIWSFFQELPQIAESNCEQGLGLMTINESSVSNCWASPENSTLDVSGGGKMEELGAVDKSSALIGSSSGSAMCPMPCTADLTAGAVDLTTPKLSCPGTKDFEFCKDDIYEDLNMDDVDLTFQNYEELFGVSHNETGHLFDDDEIDIFFDTRATSAGNSNSQGELVGEASSAGQVKPMQAMFSNAVSADSVMSKPVKNADSSQFFPARQACFSLSLSFSGLTGESSAGDYQDCGVSSVLLMGAPPVFGAGLENSSLPRGSRVSAVMRYKEKKKARKFEKKIRYASRKAMADVRKRVKGRFVKAGEAYDYDPLAQTRSC >Ma07_p12370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9254291:9255843:1 gene:Ma07_g12370 transcript:Ma07_t12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEKQQRPSPDAVGGKAARACYGCLRHRARWYCTAHHAFLCQICDSSVHSANPLVRRHQRVRLTTTSLVGEPGESSPSWLEGFERKARTSRPQHRTNMMAAGDLPEQLVDASVDEDDDGEQEEELSYCVPIRNPLFASFCSPPPPLDNSNATSSGDDAAAKTSVELSDNSPASPSTINKMDGFLTMDLEISEFAARIKSLLGGDLVGGDDAFCMANLELAEPVAENTGFSIEDDARHVKMEQPDRDEDESQGELELNLECSFPFTRGEEESEEDEVAGTAVKVEQGAEKKAKLRLDYESIIMAWSSQGSSPWTDGERPQINVDNCWPDYMDMWTGSGMETERACHGGEIGVYLGDGKREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTPALACGHG >Ma07_p12370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9254291:9255843:1 gene:Ma07_g12370 transcript:Ma07_t12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEKQQRPSPDAVGGKAARACYGCLRHRARWYCTAHHAFLCQICDSSVHSANPLVRRHQRVRLTTTSLVGEPGESSPSWLEGFERKARTSRPQHRTNMMAAGDLPEQLVDASVDEDDDGEQEEELSYCVPIRNPLFASFCSPPPPLDNSNATSSGDDAAAKTSVELSDNSPASPSTINKMDGFLTMDLEISEFAARIKSLLGGDLVGGDDAFCMANLELAEPVAENTGFSIEDDARHVKMEQPDRDEDESQGELELNLECSFPFTRGEEESEEDEVAGTAVKVEQGAEKKAKLRLDYESIIMAWSSQGSSPWTDGERPQINVDNCWPDYMEAEWRQSGHVTEAR >Ma06_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21806925:21848657:1 gene:Ma06_g23690 transcript:Ma06_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTHSSSSELASTREMKPSASETETSDSFVAVDIDELSQQLMANIKDRSSSTPRVVSVMKKNLSRKGSQGSGAKREKNKTEQRDHHQGTGDKSPLSMRVETDGEAASLYHVSGPTAEAGRWRRVGPRRPPLPWLDPNRVVIVFATLSSMGTLILLYFTLFMGKVTSANEHAW >Ma01_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19170214:19171443:1 gene:Ma01_g20640 transcript:Ma01_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVMKTLEALKIYFLDKNEIIIRTDCQAIISFFNKSAQNKPSRVSWMAFVDYITGSGVKVKFEHIEGTSNILADSLSRLINILILGWPNEHILLLTEATQEVQAQPNPRAAMHLSQLINQVTSSHNTNKNWMSSNPECKGQEDSHSMRWDSRKEDSEQFKRKPPDKHVRHFSNLGTSTQRRQESIKKEWRKRQLVQRLATSCPPATTTVGKSFGVNKRCFTKDNQLQPLGAWRTPYNSWSASTFLRRQEKTS >Ma06_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6553350:6554738:1 gene:Ma06_g09310 transcript:Ma06_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDKISWCWRYSTKLSVVHCLLLCLFVLMEITSNRNKQDNSTTETGRIWVLLLKCCWETGLVHSVFVNFARNSKCFCCQEPQPKRVKSRRVGVPLMLLLELQEKQGVQEVQL >Ma03_p27070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30565224:30567198:-1 gene:Ma03_g27070 transcript:Ma03_t27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCPPPHHPPRPTTLPTDPIVAKNRRTNNHHSNNNQNILLLLFLLFLVLRVVGACATFIATPLDPIMTSATTSNTTATNTSASSSSNNKSSSSQPAANSACAACKYQRRKCKPDCLLAPYFPANQQQKFLNAHRLFGVSNIVKIIRDLDPFQRNEAMSTIIYQSDMRAHDPVGGCYRYIRDLERQIDHDSTELAFVLRQLALCRAHTAQTITPGIPTLAPDLDVNPNLLLNTPTDDTENVIYDANLFPSMNQPQDQQQYYNYLCYDSGISRDPNNDPNASSNSNSVNNNVLSLQQQHHHHHHHHPQSVVQDEDVKPLVDMFEIRQTLIGGDDEGDSRINTTNEVGPLHCSTNLELRDETNQVEHTQEHDLKGAASLFTLTNCTSSGS >Ma06_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2492482:2494287:-1 gene:Ma06_g03400 transcript:Ma06_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSVNKLASELLAAAEPTPSTRLPLSSMDRIAGIRLMIDLILVFENGLQPAKAIRAALSRALVLYFPVAGRIVEPIPGEAEVDCTGDGVWFVEASVDCSLKDVNNLERPLLLPREDLIPYAPPDCVALLVQVTEFRCGGFAVGIRFNHTMFDGIGVAQFTKAVAELARGHACPLVKPVWCREAIPSPPNLSRRHAPSPPGIHFETSVFDISSDQINALKNQFCRETGQKCSTFDVVTAMLWQCRTRAISLDPHADMHLGCAANTRHLLRGLLPQEGFYGNCVYPMGIKANAKTISGSSPVEVIELIRAAKTRMPAMFLDWMMGYTDDDPYEMPLEYGTLVVSDWSRVGFSEVNYGWGEPIHVVPLNDDHNFIPSCIYLQPSKPKQGVRLMTRCVQKEHLPAFTEEMKQFVHN >Ma08_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8641405:8643398:-1 gene:Ma08_g11640 transcript:Ma08_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGDSSRRVSYSRPRLGWLAGWRRLGYGCGDPKIWKRLVLFAMLAKALNAVTEKEHILSICQEHPEGSMRTLFGNCYLENTWYVLIN >Ma02_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22671863:22677438:1 gene:Ma02_g14700 transcript:Ma02_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEGGGASAEKGSPPEKARDDAEVVSVELPAPTGWKKKFMLNEDGSPRRNEIVFISPTGEEIKSKRQLQQYLKTHPGGPSSSEFDWGTGDTPRRSARIREKAKAVETPEDEKPKKRERKSSSKKGAKEKKDGGDAADGTSEMKEDVTTEEAKVPTDVEMKEADDDVNKVEGENVAVGLSADEGVTEEVAVNKDPAIEVINEDAPQQDNSLLKTNGSVEEKTDTTLENNGEADDKPADNEVPPPSDHHKEASAAKENQDGEILSEKSSHKEDAGAVVMKEVPSATDGQHLPKTSPVNC >Ma09_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11419965:11422206:1 gene:Ma09_g16110 transcript:Ma09_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRDGDGPRGSGGAPALSFFPSPSSSSSSDFEFTVSLSPSSKRSCSQLCPADELFYKGQLLPLHLSPRISMVRTLILASASSSSTDTTTTESRDSNGSSSSSAFSVADLILPECDSSRPSSVTEEDARRISPTKRPGGSKYLSFLATRFSSVFLHRGSKKLDPSADPSISIPAPPPHPTKRANSSSSSSAKEVIKKYVKKVKPIYEKLSLLQQRHNQQQPPPPPQHHQRKKTFSFSIKKERMLASSGKKNVLVVDRNNARGKSIEHSYSQSFSGNLLGHPARKKQWAASCPSSMRSSPSHSGLLYMSGGGFPEPPPALSLSASSMEELQSAIQGAIAHCKSSMIQANRKKAPDLAGNEKEIDRS >Ma07_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7904555:7906525:-1 gene:Ma07_g10590 transcript:Ma07_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQLSNPPKALRDSNPSFSPMQKTWWYLLLAFFQLFSLKTSAFSVGIGGGVGVWINGRGTTTTTASTQAPPTSPSNPTSSVEYTALQAWKSAITEDPSGILASWVGPNVCSYRGVFCSEPPEGTSSSSSVVAGIDLNHASLKGSLVKELSFLAYLSIIHLNSNRFSGTIPDSFRELQYLTELDLSNNQFSGPFPTSTLRIPNLIYLDLRFNSFSGEVPDELFVGGLDGIFLNNNQFEGQIPMILWNSPASVITLANNKFSGSIPASFGHVGPGIREVLFLNNELTGCIPEGIGFLSDVEVLDLSFNSFTGHLPSSLSCLSDIEVLNIAHNQFSGELPDLVCDLKSLLNLTVSYNFFSGFSQDCDKLFFRNVGFDFSGNCIPGRDMQRPPPECMGIPGGGLSCLRIPAAKAVACSRAMVQGSRMPAMPYTFPTSFPSLP >Ma05_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20363819:20368522:1 gene:Ma05_g17480 transcript:Ma05_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERCCFNKDALAIKAPKKMHVVLRMLMLAAMMICGIYISSVSLKQIGIQSRPRKLMIKIMEAPCSKPDIPYYEIPYVHYPVPTTYSRDECACTPVRFFSIVSMQRSGSGWFETLLNSHVNISSNGEIFFPKERRSNVSTIIRTLDKIYNLDWYSSSSKNECIAAVGLKWMLNQGLMENHARVVEYFSQRGVSVIFLFRRNLLCRLVSQLANDHDRSARQLHGTHKAHVHSESEANILARYKPRINTSELIPTLKHTDKFATDALGYFKSIPHIVLYYEDLVRNHTKLMDVLEFLRVPQRKLVSRHVKIHTRPLHEQVENWDDVYISLKGTEYESFLNSDYGF >Ma01_p19330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14859336:14860105:-1 gene:Ma01_g19330 transcript:Ma01_t19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVKASRTGDGCGGGGGCRGGGDGKGASYRGVRKRPWGRYAAEIRDPAKKCRVWLGTFDTAEEAARAYDAAARRFRGPKAKTNFSCPPTTSAAGASAGAASSSPSVSTVESSTPPRRPSVRIPALDLGLPHPSARIPFAHCVPAAACPLVFFDPIAASEKAATMIAPPTIVAEFRDIRGPVCDGVESDSDSSSVVDCP >Ma05_p00900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:557942:559225:-1 gene:Ma05_g00900 transcript:Ma05_t00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEIPCYFLCPISLEIMRDPVTLPTGITFDRDSIERWILDAKHSTCPVTRRPLPDCELTPNHTLPRLIQAWCALHSPSGVEMFPTPRTPVGKRQIAELLEAAMAPQSRLTSLRKLKAVVSESHRNKRYVETTPGVIDFAAFVIVNYASNSVEDEEETRACDEALIILQTLEISQEGLLDLLAKNANIIESLTTILRRSNGQSRSYATLLLRSLLGVFSPARSIGLHAELFQEMVNVLRDRISHQATKAALHALVRLCPWGTNRTKAVEAGAVHVLVELLLEDPERRVTELVLAALDRLCGCAEGRTELVRHRAAIPAVSKKILQVSQLASEKAVRILHTLARHSPAAELLEEMLQLGVVTKLCLVLRVGCGGKTEEKARQILRLHSRVWMHSLCLHPHLRLSYPTL >Ma09_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19291817:19292467:-1 gene:Ma09_g18900 transcript:Ma09_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASQIALPVLGIAAVAAVTFYAVSFMELREKSFREVEDSEEKESVGGFRSSASTRERRARRKAEKEARK >Ma05_p09210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6701275:6704471:1 gene:Ma05_g09210 transcript:Ma05_t09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYKKRKADENGALATAIPAAELTPEDGRKIIDAFSLDQLRDIVAAAVSRGEPGVLDDVRAIADRDQSQRKLFIRGLGMETTTDAVRSLFSTYGEIEEAAVIVDRATGKSKGYGFITFRHIDGALRALKEPSKKIDGRMTVTQLAAAGNSGSGAAPSADVSLRKIYVANVPADMPSDRLLAHFSSYGEIEEGPLGFDKQTGKFRGFALFVYMTVEGAKNSLVDPNKNIDGHNLVCKLAIEGKKGKPGAPAPGAAPMGGVPGQPMGGGADMGPDGLGLGAQSSLPSSLSSQYGGPGSGLGSYGGYSGSALPGAAGLSHHHNMNSSLPSSMGPGTPGLSSVGSQVPSSLGGAVAGGYGGGLGGPLGSSQYGGPGSGGYGGYGMGSSLYRMPPSSVGMPSGGYPEGGHYPLSSSAYQGQHHQPAGSSPGQRVPGGGLYPNVPPYY >Ma05_p09210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6701275:6706853:1 gene:Ma05_g09210 transcript:Ma05_t09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYKKRKADENGALATAIPAAELTPEDGRKIIDAFSLDQLRDIVAAAVSRGEPGVLDDVRAIADRDQSQRKLFIRGLGMETTTDAVRSLFSTYGEIEEAAVIVDRATGKSKGYGFITFRHIDGALRALKEPSKKIDGRMTVTQLAAAGNSGSGAAPSADVSLRKIYVANVPADMPSDRLLAHFSSYGEIEEGPLGFDKQTGKFRGFALFVYMTVEGAKNSLVDPNKNIDGHNLVCKLAIEGKKGKPGAPAPGAAPMGGVPGQPMGGGADMGPDGLGLGAQSSLPSSLSSQYGGPGSGLGSYGGYSGSALPGAAGLSHHHNMNSSLPSSMGPGTPGLSSVGSQVPSSLGGAVAGGYGGGLGGPLGSSQYGGPGSGGYGGYGMGSSLYRMPPSSVGMPSGGYPEGGHYPLSSSAYQGQHHQPAGSSPGQRVPGGGLYPNVPPYY >Ma05_p09210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6701275:6706396:1 gene:Ma05_g09210 transcript:Ma05_t09210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSYKKRKADENGALATAIPAAELTPEDGRKIIDAFSLDQLRDIVAAAVSRGEPGVLDDVRAIADRDQSQRKLFIRGLGMETTTDAVRSLFSTYGEIEEAAVIVDRATGKSKGYGFITFRHIDGALRALKEPSKKIDGRMTVTQLAAAGNSGSGAAPSADVSLRKIYVANVPADMPSDRLLAHFSSYGEIEEGPLGFDKQTGKFRGFALFVYMTVEGAKNSLVDPNKNIDGHNLVCKLAIEGKKGKPGAPAPGAAPMGGVPGQPMGGGADMGPDGLGLGAQSSLPSSLSSQYGGPGSGLGSYGGYSGSALPGAAGLSHHHNMNSSLPSSMGPGTPGLSSVGSQVPSSLGGAVAGGYGGGLGGPLGSSQYGGPGSGGYGGYGMGSSLYRMPPSSVGMPSGGYPEGGHYPLSSSAYQGQHHQPAGSSPGQRVPGGGLYPNVPPYY >Ma07_p27940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34107324:34108265:1 gene:Ma07_g27940 transcript:Ma07_t27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGGIACALFLLVVPLLLIARRLLLGTRGLGLPRGPLRLPFIGHVHLLRAPLHHSLARLADRHGDDLFLRLGSRPVLVISSPATVEECLASHNLSFLNRPLLLSGKHLNYDGTTLAVAPYGPSWRNLRSIATLQLFSLASLSRLAHIRAANVRSLLRGLFFHSRSEERGFVPVSMRTSFTELTLNTLQEMIVGKKCNGGSAETEWLDEEGKSFAFVIKKAFRLSAATSPEEFLPFLKWIGLNGLEKQLIRMRKEVDVLLQGMVDERRKGMRTERNDANKTLVDVMLSLQEEDPQNYTDNIIKGMILVSNRT >Ma09_p31540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41221776:41228738:-1 gene:Ma09_g31540 transcript:Ma09_t31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASGEPFLAEAKGAPMRSEPDEDAGGDGAPRAAVEPEKENSDASPPASAAAGDTLTTTSSIPVAQETPDDDPDEEAFQEALEDGDDDAVDGLSDAAKASGSTDDVELMEGEEKGEPPSETQVFATVQDNSQHEIVEMVSSENPRTEETSHGEVLAAVVKELVENSTSQSTETKPIEDGAVVAGGVDGDEEVGLHDAQEGSDEDLVEESGESAVATIDAVEDERHGAEPDVVDEVKIGVAVATVSDQNVYPACIPATEEMETVENPTLRIESNPVEDETSAAGNVDRNVEQAEPDDQGKDGSLVDNLGEPATPVVNVLPESKTAEDEFDTSEEGTGGGKADTDEFGSVLSNSSIQETVDETLTKKSDQAANESEANIDEPVPELVPEGENSISVQDRQSPSITAGESRNRVTERDEFGASGANEDGTARLPTSVTEPEPTPCEELTDHVQDLDQEKAEDEDENLVSDGPPRVAILASSETAKQLINELEDGSSSVTPHSALDGSKDVDGQIILDSDEELMTDEEDGGNEMIDSDALVALLKAASSSTADGGISVTSQDANRIFLVDRPAGLGSSIPSLKPAPRPARSNLLSPSELAVAAEPDNQMTEEQKKLHEKVELIRVKFLRLVHRLGHSPEDTVVAQVLYRLSLAEGIRSGRQTSRAYSLESAKMKASLLEQDGNADLDFSCNILVLGKSGVGKSATINSIFGEEKSPTNAFKQETTSVKEIVGTVEGVKIRVLDTPGLRASGMDQASSRRILASIKKYTKRCPPDIVLYVDRMDTLTRDQNDLPLLRTITSTLGSSIWFNAIVALTHAASAPPDGPSGSPLSYEVFVAQRSHAVQQSIRLAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGLSWRPQMLLLCYSSKILSEANSLLKLQDPSPGKLFGLRLRPPPLPFLLSSLLQSRAHPKLQSDQHGDNEDSDIDLDDLSDADQGEQEEEYDQLPPFKPLRKSQIAKLTKEQRRAYFDEYDYRVKLLQKKQWKEELRRLKEMKNRQKGFEDDFGHADMVEDFDQDNSPATIPVPLPDMVLPPSFDCDTPTYRYRFLEPTSQFLARPVLDTHGWDHDCGYDGVSLEESLAVAGRFPAVLSAQVTKDKKEFSIHLDSSVSAKHGENGSTLAGFDIQAVGKQLAYILRGETKSKILKKNRTTGGISVTFLGETIATGLKFEDQLSIGKQVNLVASTGAVRAQGYTAYGANLEVRLRDKDYPISQALATLGLSLMSWHGDLALGANLQSQFSIGRNSKMAVRVGLNNKRTGQITVRTSTSEQLQLALVGIIPIAISIFRSMKPDVSLG >Ma08_p21470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35335129:35339282:-1 gene:Ma08_g21470 transcript:Ma08_t21470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNCSPSFTSQADEVPGRKGANPSSSSPGYFSTVIPPASAVIAKDLSHSDFCWTLNKQRNEGGSAGAQRATSDCKSQGCPTKRQVTKNKDEKSVHPNESVESPCFGSSVHYGGRDFYMSSLSMQTTETSESYKTDDGVDWGNRHAADRGDWWQGSLYY >Ma08_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35335129:35339282:-1 gene:Ma08_g21470 transcript:Ma08_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNCSPSFTSQADEVPGRKGANPSSSSPGYFSTVIPPASAVIAKDLSHSDFCWTLNKQRNEGGSAGAQRATSDCKSQGCPTKRQVTKNKDEKSVHPNESVESPCFGSSVHYGGRDFYMSSLSMQTTETSESYKTDDGVDWGNRHAADRGDWWQGSLYY >Ma08_p21470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35334944:35338327:-1 gene:Ma08_g21470 transcript:Ma08_t21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNCSPSFTSQADEVPGRKGANPSSSSPGYFSTVIPPASAVIAKDLSHSDFCWTLNKQRNEGGSAGAQRATSDCKSQGCPTKRQVTKNKDEKSVHPNESVESPCFGSSVHYGGRDFYMSSLSMQTTETSESYKTDDGVDWGNRHAADRGDWWQGSLYY >Ma05_p11250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8140190:8142506:-1 gene:Ma05_g11250 transcript:Ma05_t11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTPNHTQTVFGWAAKDSSGKITPFVFKRRKNGVDDVTIKILYCGMCHTDLHYIKNDWGITMYPVVPGHEITGIITMVGSNVSNFKVGDRVGVGCLAASCLKCEFCKDSQENYCDQLQFTYNGIFWDGSITYGGYSQMLVADNRYVVHIPDSLPLDKAAPLLCAGITVFSPMKQHNMLQPGKKLGVVGLGGLGHIAVKFGKAFGLHVTVISTSPSKETEAKMRLGADDFLVSTDPKQMQACARSLDFIIDTVSANHSLGPILELLKIRGTLALVGAPDKPMELPSFPLIFGKRTVSGSIIGGMKETQEMMDVCGKYNITSEIELVTPDHINEALDRLARNDVRYRFVIDIAPKTNL >Ma06_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9128420:9134576:-1 gene:Ma06_g13320 transcript:Ma06_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFPDTLLRTYKGSLLLSVWFFDTKTRHWLKKKPVSNFVWMLVAWQACLQIEGCFDALNSRYVLGSDSSKAGSLKYRDLVALRRRQSRRLQPGRTLWFGTSLVPIL >Ma10_p13410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26227812:26231369:1 gene:Ma10_g13410 transcript:Ma10_t13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) UniProtKB/TrEMBL;Acc:A0A178WDX4] MDLVHSHHRRRSLLFLLLLLPLFFFLSACPGSRAEVITLTEETFADKVKEKDTIWFVQFCVPWCKHCKNLGTLWEDLGKTMEGEDEIEIGQVDCSTSKPVCTKVDIHSYPTFKIFYDGEEIAKYKGPRQVEPLKQFVLDEADKAARAKLEAD >Ma07_p00310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:273282:281827:1 gene:Ma07_g00310 transcript:Ma07_t00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSFNRLKETLRPCSNFSASSSTTTAAGASHASSSYTQFPLSRKPPKSSLSQQLQRLDPQSLSDGLLFRAPDLARIRRVEEDKDVDEEEEEEGGGVAATARFNSDAFLPQSVAFEARGPYEPLVLSSPGETPVVQVPASINSRLLEHQRDGVRFLYNLYKNNHGGVLGDDMGLGKTIQTIAFLAAVIGKDGEHGVHEMEHVIKKGPTLIICPTSVIHNWENEFSEWGDFRVVVYHGPNRALIIEKLESHGIEILITSFDTFRVHDKILCEIPWEIVIVDEAHRLKNEKSRLYKACLGIKTRKRFGLTGTIMQNRILELFNLFDWVAPRSLGTWEHFRDFYDEPLKHGQRLSAPERYVQIADERKQHLVAVLQKYLLRRTKEETIGHLMLGKEDNVVFCGMSELQKRVYKRMLEQPDVQCLINKDLLCSCGSPLAQVECCKKIVPNGIIWTYLHRDNPEGCESCPFCLVLPCLIKLQQISNHLELIKPNPKDDLEKQKKDAELVSAVLGADVDLIGGNAQTESFMGLSDVEHCGKMRALENLLLSWTTGGDKILLFSYSVRMLDILEKFLIRKGYCFSRLDGSTPMSIRQSLVDDFNKSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRYGQKRHVIVFRLLAAGSLEELVYSRQIYKQQLSNIAVSGKMEKRYFQGVQDCKEFQGELFGICNLFRDLSDKLFTSEIIEMHEKKGKYLATQMNPLNPESENYITPMEASEISFHGKSNEAAIFEDEKKLQELGIVYAHRNENVVNMGPGNLGQKEAGTHENFTGKTLSKTRGNSLERIYNVGRLSSEQWKRNEFRSIAHNMGMAELEFSKWLLSASPSQRIEVLQNYKKKKKQMIGPMRLPKDK >Ma06_p14280.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9793670:9798462:-1 gene:Ma06_g14280 transcript:Ma06_t14280.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MDPKADSAFDLDEERVAPNLSSSLQAVEAAQGFSHGRGRIIPSLSNERQDGFFYRDNHMNLRRTAQAVHGHNHFGVNKKYSSHKTFEVSRELVHGPRANKSNSCLGSSTRNNNLNQFVRRDKYNKTDFQIEYENAKFFMIKSYNEDDVHKSVKYNVWASTPNGNKKLDAVFWDAERLMKKGSKCPIFLFFSVNASGQFVGLAEMIGPVDFNKNLDFWQKETWNGFFPVKWHIIKDIPNRLFQSIRLENNDNKAVTFSKDTQEIGLPQGLQMLHIFKGHPLGTSLLDDFGFYEQREKSLQATRRRRPAVMDVDFEFYDDSCGKIGSNSK >Ma06_p14280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9793670:9798462:-1 gene:Ma06_g14280 transcript:Ma06_t14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MDPKADSAFDLDEERVAPNLSSSLQAVEAAQGFSHGRGRIIPSLSNERQDGFFYRDNHMNLRRTAQAVHGHNHFGVNKKYSSHKTFEVSRELVHGPRANKSNSCLGSSTRNNNLNQFVRRDKYNKTDFQIEYENAKFFMIKSYNEDDVHKSVKYNVWASTPNGNKKLDAVFWDAERLMKKGSKCPIFLFFSVNASGQFVGLAEMIGPVDFNKNLDFWQKETWNGFFPVKWHIIKDIPNRLFQSIRLENNDNKAVTFSKDTQEIGLPQGLQMLHIFKGHPLGTSLLDDFGFYEQREKSLQATRRRRPAVMDVDFEFYDDSCGKIGSNSK >Ma06_p14280.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9793670:9796956:-1 gene:Ma06_g14280 transcript:Ma06_t14280.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MRKARVDLEDSARTLNPKPKVAPSAPSSFDFPGEDTKHFNKAPNLSSSLQAVEAAQGFSHGRGRIIPSLSNERQDGFFYRDNHMNLRRTAQAVHGHNHFGVNKKYSSHKTFEVSRELVHGPRANKSNSCLGSSTRNNNLNQFVRRDKYNKTDFQIEYENAKFFMIKSYNEDDVHKSVKYNVWASTPNGNKKLDAVFWDAERLMKKGSKCPIFLFFSVNASGQFVGLAEMIGPVDFNKNLDFWQKETWNGFFPVKWHIIKDIPNRLFQSIRLENNDNKAVTFSKDTQEIGLPQGLQMLHIFKGHPLGTSLLDDFGFYEQREKSLQATRRRRPAVMDVDFEFYDDSCGKIGSNSK >Ma06_p14280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9793670:9798462:-1 gene:Ma06_g14280 transcript:Ma06_t14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MNLRRTAQAVHGHNHFGVNKKYSSHKTFEVSRELVHGPRANKSNSCLGSSTRNNNLNQFVRRDKYNKTDFQIEYENAKFFMIKSYNEDDVHKSVKYNVWASTPNGNKKLDAVFWDAERLMKKGSKCPIFLFFSVNASGQFVGLAEMIGPVDFNKNLDFWQKETWNGFFPVKWHIIKDIPNRLFQSIRLENNDNKAVTFSKDTQEIGLPQGLQMLHIFKGHPLGTSLLDDFGFYEQREKSLQATRRRRPAVMDVDFEFYDDSCGKIGSNSK >Ma06_p14280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9794077:9796956:-1 gene:Ma06_g14280 transcript:Ma06_t14280.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MRKARVDLEDSARTLNPKPKVAPSAPSSFDFPGEDTKHFNKAPNLSSSLQAVEAAQGFSHGRGRIIPSLSNERQDGFFYRDNHMNLRRTAQAVHGHNHFGVNKKYSSHKTFEVSRELVHGPRANKSNSCLGSSTRNNNLNQFVRRDKYNKTDFQIEYENAKFFMIKSYNEDDVHKSVKYNVWASTPNGNKKLDAVFWDAERLMKKGSKCPIFLFFSVNASGQFVGLAEMIGPVDFNKNLDFWQKETWNGFFPVKWHIIKDIPNRLFQSIRLENNDNKAVTFSKDTQEPL >Ma06_p14280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9793670:9798462:-1 gene:Ma06_g14280 transcript:Ma06_t14280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MNLRRTAQAVHGHNHFGVNKKYSSHKTFEVSRELVHGPRANKSNSCLGSSTRNNNLNQFVRRDKYNKTDFQIEYENAKFFMIKSYNEDDVHKSVKYNVWASTPNGNKKLDAVFWDAERLMKKGSKCPIFLFFSVNASGQFVGLAEMIGPVDFNKNLDFWQKETWNGFFPVKWHIIKDIPNRLFQSIRLENNDNKAVTFSKDTQEIGLPQGLQMLHIFKGHPLGTSLLDDFGFYEQREKSLQATRRRRPAVMDVDFEFYDDSCGKIGSNSK >Ma04_p30140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30862278:30879372:-1 gene:Ma04_g30140 transcript:Ma04_t30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAAMLAAIEARFSSLELIGRGSFGDVFRGFDKELNKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRSPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDEMSISCILRDLLHAVEYLHNEGKIHRDIKAANILLTEKGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSRPMKEFVSLCLRKSPAERSSAKELLRHRFIRNARRSPRLLERIREKPKFIAKEGMETQQHLQNTYDDATRTTKVMKDGNQVSYSSQSKVQSNPSWESGMGGLQGTGTVRSAVKPSNQVSQSTGTVRAPGPNMDGSQSTGTIRSAVRLPQGDLARERNSDVLYSSNPTNKVADRESQWTSALESTFDGSTSKISVKKEAESGEDDKALQSPLEDDYLSSSGSGTVVLHSPREILKHAAFNQNVKPLGKNSSSEDVSISGTVVLHGKNDESDTPRASKSRLGMLEKASSLSTEDSAANLEQAKAALQGGLRKGNARERPPVSKQSKDYLENKMTERTTTSVSSSENVGFQKMLNKSNQLSDELTQSRAAAAAASPVLSSLIIPSLKEATGDMSEGAAVTAVMESLMDLEHQVPGTCEALIVKLLRQLQSSKELPLKRVHDLAVSIFSEKTEASQESADGKKQPHAPTPETPGLSPLARFLLSRWQSHVSQELNS >Ma04_p30140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30862278:30879101:-1 gene:Ma04_g30140 transcript:Ma04_t30140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMAGGSVADLLQTGPPLDEMSISCILRDLLHAVEYLHNEGKIHRDIKAANILLTEKGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSRPMKEFVSLCLRKSPAERSSAKELLRHRFIRNARRSPRLLERIREKPKFIAKEGMETQQHLQNTYDDATRTTKVMKDGNQVSYSSQSKVQSNPSWESGMGGLQGTGTVRSAVKPSNQVSQSTGTVRAPGPNMDGSQSTGTIRSAVRLPQGDLARERNSDVLYSSNPTNKVADRESQWTSALESTFDGSTSKISVKKEAESGEDDKALQSPLEDDYLSSSGSGTVVLHSPREILKHAAFNQNVKPLGKNSSSEDVSISGTVVLHGKNDESDTPRASKSRLGMLEKASSLSTEDSAANLEQAKAALQGGLRKGNARERPPVSKQSKDYLENKMTERTTTSVSSSENVGFQKMLNKSNQLSDELTQSRAAAAAASPVLSSLIIPSLKEATGDMSEGAAVTAVMESLMDLEHQVPGTCEALIVKLLRQLQSSKELPLKRVHDLAVSIFSEKTEASQESADGKKQPHAPTPETPGLSPLARFLLSRWQSHVSQELNS >Ma06_p37230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36363018:36363565:1 gene:Ma06_g37230 transcript:Ma06_t37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRISEEEIAELLSKLQSLLPEARRRGTSRASSAKLLKETCNYIKSLHREVDDLSDRLSEMMATMDMDSAQAEIIRSLFRP >Ma11_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24483661:24486418:-1 gene:Ma11_g19740 transcript:Ma11_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGFLTEWPWQRLGNFEYLILVPWVARSQLWINLARFQTVRSKHLIVDKAIEFDRVDREMIWDDHIILNGIIFYLSNSCVPGGSHLPWWDVKGVLISWLVHIGPPISSVIHPFVEELSYLLLFALPFFCMAYSRSACITAAVGYLPYVGFMNYMGRCNFELVPLWMFEAFPLLKYLMYTPSYHSLHHTQFWTNYCLFMPLYDYIYGTMDMSTEKLYKNSLKGKEETPNVVHLTHLTTLQSIYHLRIGFCSKTLPVVGELQIQTWIVPRFSFQEKEVINNLIENAIIVAEGKGAKVVSLGLLNQVIIVDGSGLAAAVVLHSIPAGTDRVLLRGKPSKTSCAIALALCQRGTKVIVVRREEFVALKLCVATNLAAHLVLSDDYTPKVWLVGEGLTDQDQRKASSGTCFIPFSQFPVKKTHEDCAYQVTPAMAVPRTLENMHTCENWLPRRVMSAWRIAGIVHALEEWDLHESGGTLNDIDKVWRATLNHGFLPLSP >Ma07_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:921084:922403:1 gene:Ma07_g01190 transcript:Ma07_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEELLGLFEVLGALLNDPSWAQLHPRPCTETPWLGIQCEMVLGDGVQGRQQDPYLHVTKIHIGPDVASPPCKASAKLSESMLKLPYLKSLSLFGCFLVPDTVSLSPSLFANASSSLEQLVIKSNPGLSGAIPQTIGRLQNLRVLSLSQNNLHGEIPKEVGELGKLEQLDLSYNHLTGIIPVGIGGLASLSILDLSWNGLEGEIPWSVGQLQSLRKMDLSFNKISGRIPTDASKLQSLILLDLSHNSLTGPMPDALSDLRELQYFLLEHNPIGTSIPLFLGALKNMVVLGLSGCGLSGPIPTFFGSLTNLTTISLDRNRLNGTIPASLEAIPNLGQLNLSQNQLSGEMAFSEAFVSRLGERLDVRDNQGLCINPLRHRNLSYLEAPPCLCPPINAGERSSWPESPPPESSAVRVRPSWSHGKGSMSLVLVTVRCLMGL >Ma11_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1431380:1433422:-1 gene:Ma11_g02000 transcript:Ma11_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMTQIRRTYRRFKPHLLMTMAQLGYTILYFITEASFDQGLNPHVFVTYRHIVAALVMWPFAYFLERKLRPKLTWALFLEICVLSLLGVSLTLNMYFASLKYTSPTFVASMINTIAAVTFVTAILLRMERLDLGSPKGVAKAAGTVVSLAGVTTMTLYKGPAMKNFYGPLIQIHGNSIHENWLKGSLLTVASCITWSIWYIMQATTLRRYPAQLSLTAWMCFVGGAQSAIFAAFVEHKPAAWKLGFDVKLWSILYAGIVGSGLIIYIKLWCTKEKGPVFVTMFNPLGTIMVAVLAYFAFGERLFLGSIIGGIVVAIGLYLVLWGKERDQEKDMTPEGASFVASETGKEETSTLSRA >Ma11_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16631805:16635381:1 gene:Ma11_g12720 transcript:Ma11_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVKAIVILILAVADAIEASGSSDGYCNYKHISTSRPHSVTITEFGAVGDGLTLNTVAFQNAVFYLRSFADKGGAQLYVPQGRWLTGSFNLTSHLTLFLEQDAIIIGSQDSSQWPIVESLPSYGQGLDLPGARHRSLINGYNLTDVVITGDNGTIDGQGLIWWEWFSSQSLNYSRPHLLELVNCNDIVVSNLTFLNSPAWSIHPVYCRNVEIQNITIHASSDSPYTNGIVPDSCSNLCIEDCSIDVGHDAIALKSGWDNYGISYGMPSTYIHISKVHLQTPLGSALAFGSEMSGGISFIYVEHLHIDNSLTGINFKTTRGRGGFIEDIVVSNVEMENVHEAFRFTGHCGGHPNDEYDPDALPKIKQVTLKNIVGTNISTAGFFSGIENDPFTAICLSNIALSITSDPSNSWSCSNVSGYSESVFPDPCSDLNSNSSFSCFSLDFTAAAEA >Ma06_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6078956:6081666:1 gene:Ma06_g08610 transcript:Ma06_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKEIEVATERDAEVVLQVTGEGGNGGKEEERAAMDVSSRSRRVAALDAFRGLTIVMMILVDDAGGAYEQIDHSPWNGCTLADFVMPFFLFIVGVAIALALKRITQVSAAVKKIVIRTLKLLLWGLILQGGYSHAPDDLSYGVDMKKIRWCGILQRIALVYLLVALVEVVTTKIHPTTIGSGPFAMFNAYRWQWIFGCVAFVVYMATTYALYVPDWSFVFHREGDVNDGKRFVVKCGVRGHLDPACNAVGYVDRAVWGINHLYTQPVWIRSKDCSQSSPDMGPLKEDAASWCLAPFEPEGLLSSVSAILSGTVGVHYGHVLIHLKGHSERLKHWLLTGFGLLICGITLHFTNAIPLNKQLYSFSYVCFTAGSAGIVLSAFYVMIDVWGIRTPFLWLEWIGMNAMLVFVMAAQGIFPAFVNGWYYETPDNSLVHWIQRHVFVKVWHSERLGMLLYVLFAEIVFWAVVAGILHKLGLYWKL >Ma11_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12828768:12830042:-1 gene:Ma11_g11370 transcript:Ma11_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSLTTISANFSFVSVLNGTNFKDWKENILIVLGCMDLNIALREDQHTSLMENSTHDDMRLYEK >Ma03_p26500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30197548:30200598:-1 gene:Ma03_g26500 transcript:Ma03_t26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSSSLSSVPSNPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKIIRVPDGFDYELYNRNDINRILGSKASCISFKDSACRCFGYMISKKKYIFTIDDDCFVAKDPSGKEINALEQHIKNLLSPSTPFFFNTLYDPYHEGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTVPKGTLFPMCGMNLAFNREVIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQTVTLPKECTTVQKCYLELSKQVKAKLGKVDDYFNKLTDAMVTWIEAWDELNPSVNAGTAAARKPNGAAK >Ma08_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8204459:8205747:1 gene:Ma08_g11120 transcript:Ma08_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRTCGRPEVKHRRGLWSPEEDQKLREYILKHGHGCWSSVPAKAGLQRDGKSCRLRWINYLRPGLKRGSFSPDEQETVMKLHAALGNKWSLIATHLPGRTDNEVKNHWNSYLKKKAVEIDGSTSSCSSTSFTASSSQSSMIESRVPENLNNEVPFPASSEDGYSSLFVSQLTSHGCSSFGTPQPPVAKVIFADWLPADHANDHISSCFLEEHHQLCQETLQPKSSQAALYEDWRYLSGHNLSHSDISGVETLQVDETSQSEMEKRWATHQILFPWFD >Ma05_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11620867:11634773:1 gene:Ma05_g15500 transcript:Ma05_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSPSTAAPFESVAEILRKLQTLGFCSDLYVSNKEPPGGENLSCLFDQILSVFLKEICYRRGEIRPLPAVLGDGLSVDLFKLYSVVQGKGGYDAVSDAHAWPLVAKAIGFDSGIGSSLKLVFFKYLDALDQCLQRGSGEKPMRKRTGYTNRSSLVTRCGSPGTANHKKDCDCNSRLLPSTSKDQCSTPFGDVGTDSDDVVILEDTANGGFNHHKRKRNDLAGMLGWVRKLAKSPADHPSIAKAWPSDKGKGKTYASGEFYALAILSRRAMFFRRIRRTNPNQLHLQVLDEEQKIHTFHNGFGSTNQVKATETILGLQTAGWLSRDQQWSLRPVGARFQAQVPNWTSQPTVLSTDSDTLKWLGKRAWPPENQERSPVLDYASIGRGRPNTCQCEWPGSVECIRFHIAEKRLQLKCEIGTTFHSWKCESMGEEVALSWTEEEEKKFRDIIVRNRPSLNKNFWDQLYLNFPYKRRESMVSYYFNVFLVGRRRYQNRMTPNHIDSDDEETEIGFSSNSFGHDVKICDSKSILCAQNQQSMDIDR >Ma06_p27840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29701481:29702555:1 gene:Ma06_g27840 transcript:Ma06_t27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMIWMCSASRILLPQCYFVVVSEMSIFRTQYIVKEKDIVDQNIEGMRSPALHLFMWLGFQPAKLSRAAFHVCMIASGADVIVQTKAAPLDDPPNLQGKGMVRKPPACDPKSGFVNVVVSWGIPFPCSILSLSRRVCGCQSIHLQHRQSITDGR >Ma03_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27728532:27734823:-1 gene:Ma03_g23070 transcript:Ma03_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MPLAASLPSSFFRSCSFLSGFKPKTHTLLPLPCYALDCLPAHCLCTRLSLRPFFKHLRHQSLRRSLAASLSTAAAAAAVSPNPGAAASRMSKKARRESPEGLLRHQLGLCSQSGDFARALHLYDDARAAATSLSVHHFNILLYLCSSSADPVGLERGFQIYRQMGLDGVAPNEATFTSVARLAAAKEDPDLAFDLVKQMSSAGIPPKLRSFGPALFGFCKKGDVQKAHEVEAYMASSGIEPDEAELAALLELNVRKGRGDSVYRLLHRMRVTVRRVSESTAEIIENWFGSEVAEEVGLEKWDVRKVKEGVVKGGGGWHGQGWLGRGRWNVGRTEMDGSGVCRQCGEKLTCIDIDPVETEDFANSLAKLASQREVRANFTGFKDWLDRHGPFDAVLDAANIGLNNQQVFSFFQVNSVVNGIRQMSPSKKLPLIILHSHRLKYGPADKPNNKRLLESWRRAGVLYATPPGSNDDWYWLYAAVRCRSLLVTNDEMRDHLFELLGTGFFPRWKEKHQVRLTFSKDGPTFHMPPPYSVVLQESEDGGWHIPTVLGDEIETPRQWVCATRKTAAASSPPRQIRTTSKPIS >Ma08_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8082512:8085882:-1 gene:Ma08_g10950 transcript:Ma08_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPYHGQGKGGLEAVETIFEGNQALFWVNKRPKLVIQQGREPRSVLDHRSPSPPTSTATLSSSLGGGSSDTAGVAAVSDIPTNKWVLADSTAEVSGGAVGKEEWAAELQPIPTGLDMGFVAGGEKCGLGVDDWEAILSETSAASPGREQTFFRWIMGDVDDPSAAGFKQHQQQFLSHGSVEFDGNSNGLGFGILDPGIGLASIGRTADEASVSAPTDSSLPLSSNVASGGGFSLVSSSSRVSPAIVHANIKGATFGHQTGSQFFSLPPQAGNSISSPLSLPQGMYFPDTVLDKPQLFGPGLLLNQASATPNPPFFLSAGHAEQQQLPQLLPPTQLKRHPAIADQIPQKLPFLDSAANSDLFLRRQSYQQQSHGFPLRQLQNRSVKPKVAAFVDDVTSAVAAQQLHLQHALADLLFEAAKMVEARNFVGAHGILARLNHQLPSPSPSGKPLIRSAFYFKEALQLILSNGSNTILSATPTSHRQQSTFSAPLMTHLDVVHKLNAYKAFSEVSPIIQFSNFTCIQALLEELDGCDRIHIMDFDIGFGGQWSSFMQELAQRRCSSAGAVRMLKITVFVSHYSHNNMELHLIQDNLSHFASDLNIPFELKVRSLDSFDPLELHGLGGEAIAVNLPIGSANLSFPVLLRLVKQLSPKIVVSVDQGCDRSDLPFLQHFLHAFQSSLVLMDSIDASGTNQDTASKIERFLLQPKIESSVLGRYCAADKMLPWRTLFETAGFVPIQFSNFTETQAECLLKRVQIRGFHVEKRQASLYLYWQRKELVSVSAWRG >Ma09_p07660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5025839:5029941:-1 gene:Ma09_g07660 transcript:Ma09_t07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVRPGFLVQAAVLVIVCGSIYVGVSGLGSMSSIAVSYGENGPVFCGLSSDGSHMVSCFGADASIVYGAPIRLPLLGLTAGDGFVCGLLLDAYQPYCWGSNIYVKMGVPQPMVEGAAYSEISAGDDHLCALRRPSKGEQRGVSLIDCWGYNMTASHELSGPIVSITAGSVFNCGMFAGNRTAFCWGDETGSSVISLTPRNLRFRSISAGGFHVCGVLQNSQVFCWGRSLEMQQSSSDILGQGDVNMVPMDPMVSVAGGRFHACGIKSLDRKVVCWGFKLQNSVPPPKDTRVYELAAGDYFTCGVIAEASLRPQCWGTGGPWSIPMAVSPGICASNPCGPGYYEFIHASLGGKVCKPANSRVCLPCSVGCPEGTYQSTPCNSTSDRGCEFNCSSCASADCSSSCSSEQRPRSHGLLSLQMPIFVAELAFAIVFASSVSLVACLCVRRRLRSCSCSEPDLTALKTRAYSFHKEPVKVRPDLEELKIRRAQMFTYEELEKATGGFGEESLVGKGSFSCVFKGVLRDGTVVAVKRATKASDVKKNTKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLYQHLHGKDASLKRRLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSSPLSEPPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDVSAILDPALKPPAEPEALKKIAATACKCVRMRGKDRPSMDKVTTALERALALLMGSPCNEQPILPTEVVLGSSRLHKRGSQRSSNQSCSENDTTDADDQLLEYRAPSWITFPSVTSSQRRSEGDATEGKNSEGRSLGNGGAGDGLRCLEEEIGPASPQQDLFLQHNF >Ma01_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10625801:10626744:-1 gene:Ma01_g14530 transcript:Ma01_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHNMLLGRHSNIFDALRGFPSDDSGADDIHMDWKETPEAHVFKADLPGVRKGDVKVEVENGRVLSISGERSGDPIDDKEKCEWHCSERSRGRFYRRFRLPEDAKADEMKASIENGVLTLIVPKRQMKKPEVRSVEITGGAASKKRGKEKDVVCCSFWPL >Ma02_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9252920:9318578:-1 gene:Ma02_g01280 transcript:Ma02_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MDTGENESTLSQEEIIDGTVQQSLKEKDSANPLEQPVEVADRINSCDASINMENGIHQNLANDEESTDELTQLVIELSFQNEYLKSEIGDLKLELCNPKPLSDEERKSGPHVGSLEQDSSLHEQIKCLQKEIQAQKETQMAAEDALEHLRMAYSEADGKVQELTTKLIEAQQKMEQEIKERDDKYVELDSKFGRLHKRARQRIQELQKEKDDLEARFSETNMKIEQASSQQAALAQQELERSRQQANEALRLMDVERQQLRTANSKLRDNFDEMRRSLEAKENALEALHQSIFEKEQMLEQVRGLLQASEEKRQTSIAELSTKLQKQVESLEAQLTDALAQSSKAAETNSSLQVLLAEKDSKIAELDAASTGEAVRLGAALEEMRGELNHLRDQQDREKQNWEAACQSLRTKLEASENTCLRSEIELAKMRSQLELESSTKYQMLSAKDAELIVAKDEIMRLENEFSAYKVRAHALLQKKDAELAEAKNSELLKSLEQAVKEAEAEVAITLAEREKAVKALEVALKEHDKEIASRDTALSDAEGQIRSITMKLESTTARYMSEKDMWQKNLESIEESWTLKYGTLEAQKVEHSGDQMQKELDDLKQRYEKLKEEHDIFRDIADRTIEEKEKDMAKLIEDNKNLRHLLESKQHVEHNGKQNSASQKDDAQLLSIAAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELEHENRLHNQQEAMLKEELRNMERSHKREGIDMTYLKNVILKLLETGEVEALLPVVATLLQFSPEEIRKCQQPYRSSGDVISSPAASYSDASTPRSLFSRFSF >Ma02_p01280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:9252920:9318578:-1 gene:Ma02_g01280 transcript:Ma02_t01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MDTGENESTLSQEEIIDGTVQQSLKEKDSANPLEQPVEVADRINSCDASINMENGIHQNLANDEESTDELTQLVIELSFQNEYLKSEIGDLKLELCNPKPLSDEERKSGPHVGSLEQDSSLHEQIKCLQKEIQAQKETQMAAEDALEHLRMAYSEADGKVQELTTKLIEAQQKMEQEIKERDDKYVELDSKFGRLHKRARQRIQELQKEKDDLEARFSETNMKIEQASSQQAALAQQELERSRQQANEALRLMDVERQQLRTANSKLRDNFDEMRRSLEAKENALEALHQSIFEKEQMLEQVRGLLQASEEKRQTSIAELSTKLQKQVESLEAQLTDALAQSSKAAETNSSLQVLLAEKDSKIAELDAASTGEAVRLGAALEEMRGELNHLRDQQDREKQNWEAACQSLRTKLEASENTCLRSEIELAKMRSQLELESSTKYQMLSAKDAELIVAKDEIMRLENEFSAYKVRAHALLQKKDAELAEAKNSELLKSLEQAVKEAEAEVAITLAEREKAVKALEVALKEHDKEIASRDTALSDAEGQIRSITMKLESTTARYMSEKDMWQKNLESIEESWTLKYGTLEAQKVEHSGDQMQKELDDLKQRYEKLKEEHDIFRDIADRTIEEKEKDMAKLIEDNKNLRHLLESKQHVEHNGKQNSASQKDDAQLLSIAAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELEHENRLHNQQEAMLKEELRNMERSHKREGIDMTYLKNVILKLLETGEVEALLPVVATLLQFSPEEIRKCQQPYRSSG >Ma11_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16433812:16434831:1 gene:Ma11_g12520 transcript:Ma11_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNKRGAKKKKMKLHFSQRRWSLAEGKSEASLFSTTGSDTMIEIIEDKNKY >Ma01_p16380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11863743:11867249:-1 gene:Ma01_g16380 transcript:Ma01_t16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGAGDRGGFGRGFGRGFGRGRGDRGRGDRGRGGRRGGRRDEEEKWVPVTKLGRLVKEGKITSLEQIYLHSLPVKEHQIIDTLLGGRLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDTDGHVGLGVKCAKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLMKTYGFLTPDFWMETRFSKSPFQEYTDLLAKPTKAILIENTES >Ma01_p16380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11864586:11867249:-1 gene:Ma01_g16380 transcript:Ma01_t16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGAGDRGGFGRGFGRGFGRGRGDRGRGDRGRGGRRGGRRDEEEKWVPVTKLGRLVKEGKITSLEQIYLHSLPVKEHQIIDTLLGGRLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDTDGHVGLGVKCAKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLMKTYGFLTPDFWMETRFSKSPFQEYTDLLAKPTKAILIENTES >Ma09_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7774022:7775391:1 gene:Ma09_g11510 transcript:Ma09_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLSMLLASMALSLLLTGSAEEQGLSLGYYSKTCPNAEAIVFEEMAKVIRVAPSLAGPLLRMHFHDCFVRGCDGSVLLNSTKGNVAEKDAHPNLSLRGYGVIDRVKAKLEKACPGIVSCADILALIARDVVVLSKGPYWPVPTGRRDGFVSMANETKQLPPPTANITTLISMFASKGLSVKDLVVLSGGHTIGISHCSSFSASESQFFKDFGDSMINMGNVGVLTGSAGEVRKKCSVVN >Ma10_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26296204:26297621:1 gene:Ma10_g13520 transcript:Ma10_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGMDPYDASFWMDFLRGMLKPVAALAVVLMAVALSFTQKLKLEGEIVYAIARAFLQLSIIGFVLQFIFTQKNAVWIILAYLFMVSVAGYTAGQRAKHVPRGKYIAGISILAGTAVTMLLLVVLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKVQKNLVETALALGATPRQATYQQVKRSLVIALSPVIDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMLIGASTVSSISSTYLCWPAFFTKAYQLEYKVFATD >Ma08_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3570500:3570999:-1 gene:Ma08_g05200 transcript:Ma08_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRTMSAAPDDVTHLVIDQNADRGTLISRKSQVQHATPSLLSGVPACPLQAGNSGSRMLPYKYPLIH >Ma03_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24485085:24489922:-1 gene:Ma03_g19040 transcript:Ma03_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNMREALLPGSSQQPLYPSSLIGRMYAGHFLARWGARMWEFSVGLYMINIWPDSLLFTALYGVIESASTALFGSIVGTLVDKLTYLQVLRLWLLTQNLSFVVAGCSVTVLLVYNGLMSTAFVNFMLLVVVVNISGAVGKLSTLAGTILIEREWVVVISTGQRPEVLTKMNSVIRRIDLICKLLAPVFSGFIISFISLEASAVILALWNTLSVWLQYWLLTSVYNGIPALGESSQRRNAKVIPTDCLASSSIIEENENCICPEERSTVTKESDQKITVAERLSAIPCFDAWVIYSRQEVVLPGVALALLYFTVLSFGTLMTATLEWKGIPAYVIGLARGISAIVGIAATVVYPIVHSHISTLRTGLWSIWSQWCFLLVCVASVWMHNSITSAWMLMGGVAASRLGLWMFDLAVMQQMQDQVPESDRCIVGGVQNSLQSMLDLLTFVMGIVISDPKDFGQLVLLSFLLVSSAAVLYTIHVYRVRKHLFHFDKLLAKIY >Ma03_p19040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24484804:24489921:-1 gene:Ma03_g19040 transcript:Ma03_t19040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNMREALLPGSSQQPLYPSSLIGRMYAGHFLARWGARMWEFSVGLYMINIWPDSLLFTALYGVIESASTALFGSIVGTLVDKLTYLQVLRLWLLTQNLSFVVAGCSVTVLLVYNGLMSTAFVNFMLLVVVVNISGAVGKLSTLAGTILIEREWVVVISTGQRPEVLTKMNSVIRRIDLICKLLAPVFSGFIISFISLEASAVILALWNTLSVWLQYWLLTSVYNGIPALGESSQRRNAKVIPTDCLASSSIIEENENCICPEERSTVTKESDQKITVAERLSAIPCFDAWVIYSRQEVVLPGVALALLYFTVLSFGTLMTATLEWKGIPAYVIGLARGISAIVGIAATVVYPIVHSHISTLRTGLWSIWSQWCFLLVCVASVWMHNSITSAWMLMGGVAASRLGLWMFDLAVMQQMQDQVPESDRCIVGGVQNSLQSMLDLLTFVMGIVISDPKDFGQLVLLSFLLVSSAAVLYTIHVYRVRKHLFHFDKLLAKIY >Ma05_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6722646:6723684:-1 gene:Ma05_g09250 transcript:Ma05_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSPRKMHHSLPLFFLFFLVCTTEKKIPFSLPNPLAQSRLFITRSTELNSLLSIAGQSSSRTQKLASVSLHAEWKNQKDSVQNQSNGKKFIKSLLSRRK >Ma06_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11553978:11554966:-1 gene:Ma06_g17050 transcript:Ma06_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLCCFPNSKLPAPRTQTQSLSLSRLCNPFSAPCGPRRKTLSSNTIVRAAISRAKKEETVETVKQQLEGCHLVAGICYKGLTVKQLQNIRTALPPTSRLIVAKNTLVGKAFEGTPWAAIAPCLKGMNAWLFVHSEEIPEALKPYRAFQREWKLEDNDFTGAVFEGRFYAPDEFKSLETMPSRAELYAKILGALQSPAISVVSTLQAPARDVVLVLKAYVKKLEEESGGAAAA >Ma01_p23310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27583614:27592432:-1 gene:Ma01_g23310 transcript:Ma01_t23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRLTAIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDFVLGNYERFLDVKLTCDNSITTGKIYQFVIDKERRGDYLGKTVQVMPHMTDAIQEWIERAAMIPVDGEEGPPDVCIIELGGTIGTTIFSRHNDSGILDAGDIESMPFIEALGQFSYRVGPDNFCVVHVSLVPVLNEVGEQKTKPTQHSVWGLRGLGLAPDILACQSTKALDENIKEKLSRFCHVPVADIITLNDVTNIWHIPLLLGEQKAHETIIKLLNLQGIAKELALEEWTSRARIYDTLHDPVRIVMVGKYTGQSDSYLSVLEALLHASIACYKKLVVDWVRSSDLEDSAAIETPDLFRGAWKRLKGADGILVPGGFGDRGVEGKILAAKYARENNVPFLGICLGMQVAVIEFARSILNLHDANSTEFDPDTTNPCIVFMPEGSKTHKGATMHLGSRRTFFEVTDCISAKLYGNVSFIDERHRHRYEVNPEMVAELEKAGLSFVGKDETKRRMEIVEFHAHPYFIGVQFHPEFKSRPGKPSALFLGLIGAACGQLDTLLQNPSHCSNPTKRHIFSNSFSPVKSNRNMNLKKPVKSLPDRNLYSNGNGVHVELTMVSDE >Ma01_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27583614:27592407:-1 gene:Ma01_g23310 transcript:Ma01_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRLTAIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDFVLGNYERFLDVKLTCDNSITTGKIYQFVIDKERRGDYLGKTVQVMPHMTDAIQEWIERAAMIPVDGEEGPPDVCIIELGGTIGDIESMPFIEALGQFSYRVGPDNFCVVHVSLVPVLNEVGEQKTKPTQHSVWGLRGLGLAPDILACQSTKALDENIKEKLSRFCHVPVADIITLNDVTNIWHIPLLLGEQKAHETIIKLLNLQGIAKELALEEWTSRARIYDTLHDPVRIVMVGKYTGQSDSYLSVLEALLHASIACYKKLVVDWVRSSDLEDSAAIETPDLFRGAWKRLKGADGILVPGGFGDRGVEGKILAAKYARENNVPFLGICLGMQVAVIEFARSILNLHDANSTEFDPDTTNPCIVFMPEGSKTHKGATMHLGSRRTFFEVTDCISAKLYGNVSFIDERHRHRYEVNPEMVAELEKAGLSFVGKDETKRRMEIVEFHAHPYFIGVQFHPEFKSRPGKPSALFLGLIGAACGQLDTLLQNPSHCSNPTKRHIFSNSFSPVKSNRNMNLKKPVKSLPDRNLYSNGNGVHVELTMVSDE >Ma09_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2220794:2220967:-1 gene:Ma09_g03270 transcript:Ma09_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREIASATLLSLSVVDESKVTNGASGATSALVQLLSEGTHGRKKDVATILFYLCI >Ma03_p19420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24740833:24744833:-1 gene:Ma03_g19420 transcript:Ma03_t19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGIWVLTLLILHSVFEGIAGQEQELNHRTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIYMHWFLLSSPVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELISTNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILHMLKRATLVQTFGQRNHVCLKDGSITIPPYAPPQKMQTHLIPPDTPRSIFVYFRGLFYDVGNDPEGGYYARGARASVWENFKNNPLFDISTDHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADDIVLPFADAIPWEEIGVFVAEEDVPKLDTILTSIPIEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDKNVYLKPGQKILNWTAGPVGDLKPW >Ma01_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15266972:15267205:1 gene:Ma01_g19600 transcript:Ma01_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLCDFFQLQASVGFEKTIQHPAEHLVENGTKGITKHKEIRKFHFPSRDR >Ma08_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:25701666:25708433:-1 gene:Ma08_g17480 transcript:Ma08_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPLGFRVPRSRSPLAIAKRSLKRILHCQQRRLQSLSMSLAVLDDLSADELGTKLKEYSITATDTKNPLSDPYPFNLFQKDTMGGFGEVDLYGRTRKGAARLKQNQLSPYMRPETAQGIFVNFKDLYYYNGNKLLFAAAQIGQAFRNEISPCQGLLRVREFTLVEIERF >Ma08_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35480466:35482628:1 gene:Ma08_g21670 transcript:Ma08_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESILTTLSMENHHHHPPQPSTLLSMDPAGPPAVAVSAHNDCDHELSIIQQRRETVLSGAPDINLPLLVDQSPPQQPWDHDPMDVLEVGLGPQIYDHDAVLHLPKAGGCVGGAAGARKCAKRGDSIWGAWFFFNFYFKPALVEKSKSKIIRDPNGVSGFDKSDLRLDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGEPQFPFSVDKGFMRSHRMQRKQYRGLSNPQCVHGIEIVRSPNLSVVAEADRKKWMELTGRDLSFLIPPEACDFESWRNLPSTDFELERPPPPLKSASHPNSRKLLNGSGLNLSTQPSNHAGVDCMDLSPVYNKRRKDFFSHGANEDICMSGNPYSDRPQDMEVHPAEPSWVNEFTGVMRHACGPVTAAKTIYEDEEGYLIMVSLPFSDQQRVKVSWKNTLTHGIVKISCVSTARMPYIKRHDRTFRLTDPSPEHCPPGEFVREIPLATRIPEDAKLEAYYDESGTVLEIMVPKHSAGPEEHEVRVCRRPPHLGANELMLT >Ma03_p26290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30069405:30070461:1 gene:Ma03_g26290 transcript:Ma03_t26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRCRMQPEITSHDDELQDVSSKEWEFIDMSEEEEDLIYRMYRIVGDRWALIAGRVPGRTPEEIERFWIMTHGECFEEKKRRRQRRVALD >Ma04_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8508632:8510060:-1 gene:Ma04_g11920 transcript:Ma04_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEISHYHSLIGPRPLRLLHRSFKHHPQSPFLYERAMSTSPHHEPAQQQRGGSVVAALEEEKEEKARCEWDFWLSTVVSPSTAAGEVSDAIGAIEFDPTDRLLATGGIARKIRIYSLGTLLPESRDSSLTFSDHSTACLLCICTPAKLSSLRWRPDSSGRTVGSGDYDGVVTEYDVERNVAVFERDEHAGRRVWSVDYSVSGDLGASGSDDGTVQLWDSRCANGGRVAAARAGGAVCSVEFDPEGGPWVGAGSADRHAYVFDVRAVSAGPVTALGGHGRAVTYVRFAQSGRSVVTSGTDGSHRLWEWADGREVRAYRGHVNSRSFVGMSVWRGAGLVGSGSESNEVFVYDLRWQEPIWVRGFGPRREEGGAFVGAVSWRQAVSGPGEGALVAGGSDGVLQVFVGRKKTESE >Ma09_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4167777:4169960:-1 gene:Ma09_g06490 transcript:Ma09_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPCNAHHQWQHDINAYQQRTPYASGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRRIRAQLQEYGQVGDANVFYWFQNRKSRSKNKQRHLQGARLQPRPSVPASPSPPPVTTKPAATAAATSSSSSSSEQTTGSDKTLLPVASMGSPLTTTMRSTLPATSMNPMYLNGPGPAEFGIESFLPEGPHGYCFTGTDLMGIVGVPEQAVVAYPGLWGELMGQNQECNSGEDATKVKMQLHHLYGIGASAAGSAATATGVSTASATVTCVNASARGATAAAATFSSPICEIQALGDGGAARSTVFTNEVAFEVAAGPLNIKETFGHEAVLLDHSGHPVLTDEWGVTIHPLQHGACYYLVSFHFPSLQFNMLSRKCHEMLMFGHLQV >Ma00_p02360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17443418:17444639:-1 gene:Ma00_g02360 transcript:Ma00_t02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQHEPQKTSSSGPVVKKERSVVRYKECRKNHAANVGGYAVDGCLEFMAAGEEGTSDALRCAACNCHRSFHRREVETVTAVCGCSPGSSSRR >Ma00_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17443417:17444639:-1 gene:Ma00_g02360 transcript:Ma00_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQHEPQKTSSSGPVVKKERSVVRYKECRKNHAANVGGYAVDGCLEFMAAGEEGTSDALRCAACNCHRSFHRREVETVTAVCGCSPGSSSRR >Ma02_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19226794:19232622:1 gene:Ma02_g09050 transcript:Ma02_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQNLLRFLKPFIRPVHIRKYAGKRVGIDAYSWLHKGAYSCSMELCLDMPGEAARRYLNYFMHHINLLRHYKIMPVVVFDGGSIPCKSATDHERHRRREANFELAKEKLKQGNTAGAIELFQKAVHVTPLMAHKLIQILRSEDVEFIVAPYEADAQLAYLSSLKPDQGGIAGVITEDSDLIAYGCQAIIFKMDRYGNGEEILMDKVFKSASDGLSFKDFDKELLTGMCVLAGCDFLPSIPGIGIKRAYSFVSKYKNLDRVLSVLRLDKRYKMPEDYCESFRKAVAVFHHARVYDMATRALKHLKPLEEKHLEFLNGDLDLLGPELPQSVAAAVAEGKLNPITMQHFDYLPNAEMCSESVSVLSYYRTSGDELRISFTEGSCITMCSSEQNHEEHIEDKLIVARETALDQKYIKEAFALGMLVAPAECHQVMELEVDKASVPDNNPFKKRKLEKSSSQKDDQRAALAVVTQDENSLGTVCSSPQSQESVESKPNKSTSIGKDKTRRGRPKTKNENKSAGIKKNGILKFFQPL >Ma11_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2313549:2318359:1 gene:Ma11_g03150 transcript:Ma11_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNVPQGRRWPGRPRWGVLDLVSAALFTAVFVLFVLIVTSLGDSLAASGRRALARSAAGSRQRERIVALLDSPTASPFAIDSCPAEEVDNMPCEDPRRNSQLSREMNFYRERHCPLPGETPLCLVPPPKGYRIPVPWPESLHKIWHDNMPYNKIAERKGHQGWMKEEGPYFIFPGGGTMFPDGAINYIEMLGQFIPLNKGLVRTALDMGCGVASFGGFLLKEDIMTLSFAPRDSHKSQIQFALERGIPAFVAMLGTRRLPFPASSFDLVHCSRCLIPFTVHNGTYLVEVDRLLRPEGYLVISGPPVQWTNQDKEWADLQAMAHALCYKLITVDGNTAIWKKPSGASCLPNLNNFRLDRCSDNDDPNEAWYFKLRKCITEVPLSEEISIGAIPEWPQRLSKTPARVSLMKNGIDMFEADTQLWARRVVYYKKSLGVELGNPRIRNVMDMNAFIGGFAAALSSDPVWVMNVVPAQNPLTLDIIYDRGLIGLYHDWCEAFSTYPRTYDLIHVAGINSLIRDTTSDNDRCSLVDLMVEMDRMLRPQGTAVVRDTPEVIDRVARIAHAIRWTIQVHKSEPESGDREKILVATKTFWMLPATSH >Ma05_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8084205:8087875:-1 gene:Ma05_g11160 transcript:Ma05_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSNGNEEKVVETVMVGRYVEMEQDGEEKTIKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLTIASLLHGQVEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAASVYWAFGDALLNHSNAFSLLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYVIPALAHMFTFRSAVAREHAVERPPRLVGGWIGAYTMNMFVVAWVLVVGFGLGGWASMTNFIRQINTFGLFAKCYQCPPPMPTLTPTPFPSNAQNATDPAIIPSPSPAPSYFVHHHHYHHGRP >Ma11_p13280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17564594:17564737:1 gene:Ma11_g13280 transcript:Ma11_t13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSCTLNFVPNVALDSRDSMTFYCQLVFLVEAGIVKKEDDKIHGS >Ma08_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:315351:316375:1 gene:Ma08_g00340 transcript:Ma08_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAAIVLSATVAGATSACLLQRQRSLRLPRVSCHRGSHDRSEDPRPTLLQRRGLLIVGLGGLCSVAAGPIVLAEPVDSSKLTAMETEDELLRVEEFGTQPRRLDPTRPLRVLVARPKKSRTKAEKEQEVEVLQINGIQVDPRRATRFDVYIAAPRGDLAGPGLGEFAGIFLKLPYKREDSVVVRTAGLKLGLTSLLDDMNADDAEKLVVSLVLRAGDVTVGDISINLRKTDMARDM >Ma01_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3616586:3618880:1 gene:Ma01_g05120 transcript:Ma01_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGPISQDWEPVVLRKKAPTAAAKKDEKAVNAARRSGADIETTRKSNAGTNKAASSSTSLNTRKLDEETENLSHERVSTELKKNIMQARLDKKLTQAQLAQLINEKPQVIQEYESGKAIPNQQVVTKLERVLGVKLRGKK >Ma02_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10589398:10589765:-1 gene:Ma02_g01610 transcript:Ma02_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLDDASPRFRFPRPSSSFSHISQLLLRDNGVAVATSLGGACSNSVLYYCPNQLEVPFISRCAGVCEKVLFLAPLY >Ma01_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27416924:27422474:-1 gene:Ma01_g23210 transcript:Ma01_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPAASLLLLLLLPLLLAHAIRNPIRLPSDRPADDAVGAKWAVLIAGSRGFYNYRHQADICHAYQIMKNGGLKDENIIIFMYDDIAKHVENPRPGIIINHPEGGDVYAGVPKDYVGDDVNVNNFFAVLLGNRTALTGGSGKVVDSGPNDHIFIFYSDHGGPGVLGMPTFPYLYADDLIDVLKKKHASGTYRSMVFYLEACESGSIFEGLLPEDINIYATTASNAYESSWGTYCPGQHPSPPPEFYTCLGDLYSVAWMEDSDKHNLRTETLKQQYQLVKTRTAVNDTYLFGSHVMEYGELELNMQNLFLYVGSNPANENATFIDDNSLSSFSNAAVNQRDADLIYFWHKYQKAREGSSHKRNAQKELLEVISHRLHIDNSIEFIGKLLFGSKQGSEVLKTVRPAGQPLVDDWSCLKSMVRTFEAHCGSLSQYGMKHMRSLANICNAGISKEMMAEVSAEACISIPAGQWSSLQKGFSA >Ma05_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9056064:9062523:1 gene:Ma05_g12500 transcript:Ma05_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELSQRIQSNMGLQLPRPIDPHLKWKTTGKGRQRGARRARTSYAWANQKNRMVNNFRSEGMMHKGPKRAGDISVSESEKVGVSILGRRFSDSLSIVPIKKRKILLVSSPSSTLQSTYSDDSDHLLESQLAFYLETLAYDKNHNKRNVADKLTILDDINEEAGDVDFSGMSILAAAACSSEIVSDTLNIGGIVSNGYSYKEELLEGTQGAQSHSLYEDKDRQSESTQDLQENCNIPVEECSEFKPTDDDNLQGSASKKNFTVSLQNDSDKIRSSVSDSRLHWDLNTAMDAWSSNFDAAVVSEPLPTDPVCENLSHNEKLADFETFQDRVGNAESTCSTGLGESLENVADLPKDDCALLKSGVWEKPNSCNNNSMTDHDHIKRCCSLPYGDCLLEENLVTASVSLVNSSEETEVVYDQRNSLCSTEVVSSVARDILGSLRDATLVIESIDKAKADADTGLMSETETSFCLLPCSGNTSSDFHNDAHNPHLNLVQSIHKPISDEKPNIVASNTESSSDDHSVANAEVEQLIHISISQIDEHRVLNTDLESGDQSSVIAEKASDVLFDANAISNMVETCRSGEIVHCDDIDVTNGLHAEGSSREVDGSPCLLNGHHQSSLGSFYPDVLCSIITCVGKYEQSTTELKVDNDKCVGSVAPISVSADMTVKEIDVSLVDNAMNTQEAGKSYMDSCVNYSGEVAFNDHIHNYDSDASHLDACQANGMEKVDLLVDEDSQFEDGKFRESVLQSWGEDGAEERESAHLDYGSDNRENAVLEAVSCFPDSLPSSIENMMGKSRDVSVAIHDGAANIMNSLFVVSQPSLKCLSKSSSLDSGEGKKTSTNIGSKDCTGHLTVKMIGQGGFQDGENNRWPPASVRMKYSGWDQLPVACVHIGDGMLDTGIGSVKQYGKAGALDESGADELVGRSGLSFRRGFSSRVERPMSSDESHRQDSSSARITRRDSSSSRMTRSDDHGGLNTKVERNTGAPKSVGTGRSSQHPQGMVRDIHCFDSSNHHRPKCPGSPGYCDAPSVLPGSRNAAAAAAAKVESNGFVVAHDGTIAKAGGAGNAGLVVRQSTNASSQSRWGSQAETELACGVQRRFRSLRDMSPNRHFSVSRSQAGKYGHEMARDRYHRPVPDDRMNLSPPVHHSSRRDRSFSPHKGPLCLSRSRTGFPSRSRIRSPHLWTSPRRSEIGMKNVLGYSRGSRSLRARMERMRSPHLRSSVEDPMVGYGPTSRTFASPLHHSSWLGERRDSSNHLREHDYRRRSRRSPSTKAFTNSKLDSVHSHGRSEPNGHHHHLHSGKIPEIAGLSRGYKCAGSDDRMGYGDRYQSLRPWSQENTNSNKKFFR >Ma04_p29550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30461463:30462626:-1 gene:Ma04_g29550 transcript:Ma04_t29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWREKGRVMEDEDVVPLPGFRFRPTDEEIVGFYLRRKVDEKPLSVEVIKEMDIYKYDPWDLPKVRSTGCEEYFFCRRGRKYKNSIRPNRVTGSGFWKATGVDRPIYAAGNSGDCIGLKKSLVFYSRGRTAAAKGTKTEWMMHEFRLPCDSPCTQEAEVWTICRVFKRSVSHRANPNSWKASDHKEQTPPESSSESFNGNYFHGGQWNSTNQASVPLCSDTVQSPSMNEFFSGDDNWDELGRIMNSMISNI >Ma09_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:792512:798493:1 gene:Ma09_g01060 transcript:Ma09_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLPCDGDGICMVCKAAPPEAEMVHCCTCASPWHAQCLSKPPESMAVVARWQCPDCDAPEEGFVSAPAAAPSGGNAELIAGIRAIEADGLLTEAEKARRRQALLGGGVAAAQVDDEEESKKKKGKASDDVLELLDEKFNCAFCMQLPERPVTTPCGHNFCLKCFQKWVGQGKLTCAKCRSPIPSKMAMQPRINSALVVAIRMAKTAKSTSGVSSPVYHFIRNENRPEKAFTTERAKKAGKANACSGQIFVTIPPDHFGPILSEYDPKRHQGVLVGETWEDRMECRQWGVHLPHVAGISGQCDYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQAFDQKFEKLNAALRVSCLKGYPVRVVRSHKEKRSSYAPETGVRYDGIYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPVIKELKNATDAAVRKETPAWDYDEEHGWKWMKPPPISRKPIFSGDERKSAKRAVKHVQNMSVRERLLKEFSCLVCRKVMTLPLTTPCGHNFCKSCLLGAYADKSFVRERTREGGRSLRAQKIVKKCPSCPIDISDFLQNPQVNRELMDLIESLQKKTAEEQQSEESSDIVEKSSEDESDAMEKKFPSEGGSNNVEKATGSAEGRDSVEENNLESIDGDSDVNVANSESEEAGLSNANAVNEASGTNSRPSCKRKNAVSRSSGVKKAQNEEATAMDSESFNAEKSDAVGKVKVDNLSSEMEQDIKEHVPGQVSEAKGFAYRKQSSPAPKRARKGK >Ma06_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22833248:22838144:-1 gene:Ma06_g24340 transcript:Ma06_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDMSLDDIIKNNKKSTPGGRGRGRGSGAGPARRVPNRSANRSAPYSVAKAPDSAWQHDKYAAQTGALPAPAGRASSIETGTKLYISNLEYGVSNEDIKELFSEVGDLKRYSINYDRSGRSKGTAEVVFSRRADALAAVKRYNNVLLDGKPMKIEVIGTNISTPAAIPQSVFGNFNGSSKSTGPGRGSTGPQGGGRGRGRGRGRGKGRGEPVSADALDADLDKYHAEAMQTN >Ma04_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21904897:21905660:-1 gene:Ma04_g19310 transcript:Ma04_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFREYPLVTPVVLDWRYLGTSLSPLMVATAASLGIAEQYIKAFGCIAKEVRINVKY >Ma06_p25610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26007352:26009042:1 gene:Ma06_g25610 transcript:Ma06_t25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLTSGEDEQQRRDSISDLFASESDHMISTVGTIDLHARRNAESLILQAQFDYGLDPLVAYLAINYVDRFLSKRKIPRGKSWIVRLLSISCLSLASKMRKTSFALADIQGEEGCIFDAQTIRRMELLVLGALDWRMRSVTPFSFLRFFISFFSPAQPPLLRALRARATQILLKAQKEIKMMEFQPSVVAASALLSAAYELFPIQYPAFRAAVSSCELVNNDKLLDCSSAMGDAEAAATDGCDDLAAMGMASSSLTPVTVLGHHCSSFESEPAAGSSSDVRELKKRRISAIHASCDHNG >Ma06_p25610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26007358:26009042:1 gene:Ma06_g25610 transcript:Ma06_t25610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLTSGEDEQQRRDSISDLFASESDHMISTVGTIDLHARRNAESLILQFDYGLDPLVAYLAINYVDRFLSKRKIPRGKSWIVRLLSISCLSLASKMRKTSFALADIQGEEGCIFDAQTIRRMELLVLGALDWRMRSVTPFSFLRFFISFFSPAQPPLLRALRARATQILLKAQKEIKMMEFQPSVVAASALLSAAYELFPIQYPAFRAAVSSCELVNNDKLLDCSSAMGDAEAAATDGCDDLAAMGMASSSLTPVTVLGHHCSSFESEPAAGSSSDVRELKKRRISAIHASCDHNG >Ma08_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:652495:657064:1 gene:Ma08_g00700 transcript:Ma08_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDQQSRLLYELCALLLAALHSSPHDAPATLYPPGPVSPAGFASFLLGASLAMMLGGSLTFLIGFLLMPWVIGLLMLLYVVEIVSSLSGLGRAIVCPDAPLMDQGNVRSTIFEAAN >Ma03_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26888913:26906509:-1 gene:Ma03_g22040 transcript:Ma03_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFCCSSLVPVSPAGATAPSPSPAARHPLAQMPLPAPPPPPPPRSSAAASVAPVQSRDPSPLHRRSFNDHNGSLRWPSTPTSASTSQQHGHHHRSATLPSDLSASLRSSSHDALDHREVRLNNIVGNGIAGILHKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRIEVSRETEKGTKVIGEESVRRISRRKNGRSHLPRKPVGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAEAREDRVAWMEALQAVKDMFPRISNSELMAPVDNVVVSTEKLQQRLHEEGVNEAAIHDCEQIMRSEFYAMQNQLVLLKQKQTLLVDTLRQLETEKVDLENTLVDESQRQLKEHGPVSRSRYEKYSDGSASESDYDNERPDAAEEETDEEDNTFFDTRDFLSSSSFKSTESDFHRSEFDSDDENDYGVGSVGASDSSMKSVEPNYPNVRRREKLPDPIEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKKGNGLMRILNVAAFAVSGYASTDGRTCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGKGWKFWADSNLKSKFWGRSIQLDPVGVLTLEFEDGEVFQWNKVTTSIYNLILGKLYCDHYGTMRIQGNHEYSCKLKFKEQSIIDRNPHQVQGIVQDRNGKTVATLIGKWDESMHYVYGDCSGKGKGSELLSEAHLLWKRSKPPKCPTRYNLTRFAITMNELTPGLKEKLPSTDSRLRPDQRCLENGEYEMANAEKLRLEQRQRQARKMQESGWKPQWFAKDKESDTYRYLGGYWEAREDGKWDGCPDIFGQVHVDPTID >Ma09_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3079464:3082236:1 gene:Ma09_g04770 transcript:Ma09_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLLEKALLGLFAAVTLAIVVSKLCGKRFKLPPGPTPVPIFGNWLQVGDDLNHRLLTDMARRFGEIFHLLMGVRDLVVVSSPDLAREVLHAQGVEFGSRTRNVVLDIFTDKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQNRAGWEEEARLVVEELKTNPTAATEGVVIRRRLQLMMYNDMYRIMFDKRFDSVDDPLFNKLKAINFERSRLSQSFEFNYGDFIPILRPFLRRYLNKCKEVKDHRLQLFHEHFVAQRKKMMEEKGSKMELECAIDHILDAERKGEINYDNVLYIVENINVAALETTLWSIEWGIAELVNNPEIQRKLGAELDAVLGRDQITEPDLQRLPYLQAVVKETLRYRMAIPLLVPHMNLNHAKLGGYDIPGESKILVNAWWLANNPAHWRKPEEFRPERFLEEEAKVEASGNDFRYLPFGAGRRSCPGIILALPIIGITLGRLVQNFELLPPPGQAKIDTTEKAGQFSLQIMKHSTVVCKPRVF >Ma11_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4839703:4857879:-1 gene:Ma11_g06070 transcript:Ma11_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 [Source:Projected from Arabidopsis thaliana (AT3G23640) UniProtKB/TrEMBL;Acc:A0A1I9LTS6] MTSYIVDTSILFARQRAILSLVNKKTAEGCIASSSSRSSTRCGSRRRSIVECSSSGWSWSWSWIWRGGRSDKPTMDQGKGSEGNGAAGAMVFEPILEEGVFRFDCSETDRAAAFPSLSFADPNVREAPIAVRRVPEYVPAFERRRGQQMVTIQFPSGTSFYGTGEVSGRLERTGNRIFTWNTDAWGYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTRLCEIDLRNASTVKFVSDAVYPIITFGSFDSPTEVLMSLSHAIGTVFMPPKWSLGYHQCRWSYESDVKVLEIARTFREKGIPCDVIWMDIDYMDGFRCFTFDKERFSDPKSMVNDLHASGFNAIWMLDPGIKSEEGYFVYESGSENDAWIKKADGKPFVGEVWPGPCVFPDFTQQSTRLWWAELVKDFISNGVDGIWNDMNEPAVFKTVTKTMPKSNIHRGDANFGGCQNHSHYHNVYGMLMARSTYEGMKMANSNKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSLSMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGLAALFPFCRGHTEKGTADHEPWSFGEECEDVCRLALLRRYRLLPHIYTLFYMAHTKGTLVAAPTFFADPKDPQLRKVENSFLLGPLLICASTVPDQGSHECSTVLPEGVWLRFDFGDPHPDLPTMFLRGGSIIPVGHPLQHVGEANLTDELSLFVALDENGKAAGVLYEDDGDGYGYTQGDYLLTYYTAEIDSSVLTVKVLKSEGSWKRPQRAVQVKLLLGGGVMIDARGVDGEELHLKIPSKSEVSKLVAASENKYNKHMENAKHIPDVDELSGQKGIELSKVPVELKSGDWELKVVPWIGGRIISMMHHPSGTQWLHSRIEINGYEEYSGTEYRSAGCSEEYKVVRRNLEQAREEESLCMEGDIGGGLVFQRQISIFKEDPKVLRIDSSIIASSVGAGSGGFSRLVCLRAHPTFTLLHPTEVLVAFDSVDGLKHEIFHESGELSFEGDHRPNGEWMLVDRRAGVALVNRFDLHHVKKCLVHWGTGTVNLELWSEERPVSVDTPLRICHEYEVRQVA >Ma01_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11375896:11378866:1 gene:Ma01_g15700 transcript:Ma01_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTDLINLNLSDCTEKIVAEYIWVGGSGMDIRSKARTLSGPVGDPSQLPRWNYDGSSTGQAPGQDSEVILYPQAIYRDPFRRGNDILVMCDCYTPQGEPIPTNKRHNAAKIFSNPAVAAEETWFGIEQEYTLLRKDVKWPLGWPIGGFPGPQGPYYCSAGADKAFGRDIVDAHYKACLYAGIDISGINGEVMPGQWEFQVGPCVGISAGDQLWVARYLLERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRSNGGYEVIKEAIQKLGLRHKEHIAAYGEGNERRLTGRHETADINTFVWGVANRGASIRVGRDTEKSGKGYFEDRRPASNMDPYVVTSMIAETTILWNSA >Ma09_p24470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36128943:36130651:1 gene:Ma09_g24470 transcript:Ma09_t24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGRDHRSHQITAVAAHVSKWTERRLVLALLAALLLVLLFLSCSRGSLSPSPFRSLPLFSSPSAGDTAHMLERIGGRKRELERSRIAICLVGGARRFELTGPSIMRNLLEEFPSADIFLHSPLDKDSYKLSLLKAAPRAAVVRIFTQNTMPTTESQERVLTANGSPNRIQGLLQYFNLVEGCLRMIKSQESKGNFTYDWIIRTRVDGYWSAPLDVINAFQHGTYVVPSGSRYGGLNDRLGVGDRATSEVALSRVSLIPRLDAAGFRQLNSESALKAQLTSSKVRWEEIGVPFCVVSDRLYGYPPGPYGVPVVSIGSQGQLSGAKCRPCEPACTGQCAADVGAALDHGWGWTEWRDDSLQICDPSGGWAPGWEHIFDRVAGPEAATARKSVAELDMATCVDDFEIMRKKAASWEAPPAVEVCRLGLPGQTNST >Ma01_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2595893:2596105:-1 gene:Ma01_g03940 transcript:Ma01_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQTLPESDSQAPPTVAAAVTQAAIQPSSPRFFFSSAAESSPSAGSHRRIAIAVDLSDESAYAVKWDV >Ma01_p07190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5170387:5172530:-1 gene:Ma01_g07190 transcript:Ma01_t07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPETSREPCPDRILDDVGGAFGMGAVGGSAFHFIKGLYNSPKGERLAGGAQAVRMNAPRVGGSFAVWGGLFSVFDCSMVYVRQKEDPWNSIFAGAATGGFLQMRQGARPAARSALFGGVLLALIEGAGIMLNRVLSAPQDMPMLMEDPAAVVPPTVAVAAGAGVVGGAATGIPATHGAAVEDPGVSSSSSWFGGIFGKKRQDSAAGGEGRKTEILESFDSPPIPSFEYK >Ma01_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5170906:5172530:-1 gene:Ma01_g07190 transcript:Ma01_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPETSREPCPDRILDDVGGAFGMGAVGGSAFHFIKGLYNSPKGERLAGGAQAVRMNAPRVGGSFAVWGGLFSVFDCSMVYVRQKEDPWNSIFAGAATGGFLQMRQGARPAARSALFGGVLLALIEGAGIMLNRVLSAPQDMPMLMEDPAAVVPPTVAVAAGAGVVGGAATGIPATHGAAVEDPGVSSSSSWFGGIFGKKRQDSAAGGEGRKTEILESFDSPPIPSFEYK >Ma11_p03560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2637112:2656471:1 gene:Ma11_g03560 transcript:Ma11_t03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MLWTTSSYLVLLKPFPLYSSPLLRKLLVKLSQRIGLTCLPHRSQSWRYLGKSSSLGENLLVKNSGEAYGNNFGQHANCSNDTDYSDLVEDEEMDVPETVEEILELLLSSLRDSDTVVRWSAAKGVGRITARLTSAFAEDVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPVSLPKVVPVIVKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYSDRDMKDTLEQLAPHLLTVACYDREVNCRRAASAAFQENVGRQGNFPHGIDIVNKADYFSLASRANSYLHVATSIAQNRDYLYSFVEELLCGKINHWDKSLRELTAEAFSALAKYDPNYFADYVLGKLIPSTLSSDLCTRHGATLAAGELLLTLHQNGFVFPAEKQKSLSGVVPAIEKARLYRGKGGEIMRSAVSRFIQCLSLSEMPLNEKTKRTLLDTLNENLKHPNAQIQNSAVDALKNFVPAYLVTLGDKVANEITVKYLELLDDPNVAARRGAALAIGILPYEFLVRKWRSIITKLCSSCSIKHKPDDPDAEARVNAVRGLIAVCETLTSSSFDDNFNEELSSLYLFIKNEVMQTVFEALNDYAVDNRGDVGSWVREAAMDALERCMYIICKKDSIGSNRSLVTEHQFEPCDSDFLAADFSFRLIDAKIVTDLVGGIAKQAVEKIDKIRDIAAKTLQRILYNQEYFIPFISHRKILEHIIPNDPDLKWTVPPVSYPRLVQLLRISSYSRYVLSGLVISTGGLQESLSKASVTALLEYLQVSEGDINEKRNVRECMLSTDFLWILQQYQKCDRVVTPAFKTIEILFSKKVFLNMEDHTLEFYSGLLQSLSVELKASKDFAKLFAGLSILGYVASVSEPINSKALSQLLFFLGHRYPKIRKAAADQVYLVLLQNENHVPEDKIERALEVLTEICWEGALDEAKTGRSKLYEMTGLDSGPSQEVRNRVPRKGDERKMKVSDENESYSSLVGFSGF >Ma11_p03560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2637083:2656471:1 gene:Ma11_g03560 transcript:Ma11_t03560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MADSAETAVAASVADAVDLDPKEDDEHGNKEAVLLRYFLLEWELVKSLLDRIVAGGGVSSPTDVHKIRSIMDKYQEQGQLLEPYLESIITPLMSIVRCKAMQLRDSSDEALEIIKPLCIIIYSLVTVCGYKSVVKFFPHQVSDLELAVDLLVMCHQTTSATSLRQESTGEMETKCVVLIWLYILVLIPFDILSVDTSAVNIDNMCGSEPSPLVMRILEISKDYLSSAGPMRRMAGLLLARLLTRPDMTIAFSRFTEWAHEVLLSVTEDVVDHFKLLGFVEALSSIFKVGSKKVLLSVISTTWMDCSLLMRSTTSSRSPLLRKLLVKLSQRIGLTCLPHRSQSWRYLGKSSSLGENLLVKNSGEAYGNNFGQHANCSNDTDYSDLVEDEEMDVPETVEEILELLLSSLRDSDTVVRWSAAKGVGRITARLTSAFAEDVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPVSLPKVVPVIVKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYSDRDMKDTLEQLAPHLLTVACYDREVNCRRAASAAFQENVGRQGNFPHGIDIVNKADYFSLASRANSYLHVATSIAQNRDYLYSFVEELLCGKINHWDKSLRELTAEAFSALAKYDPNYFADYVLGKLIPSTLSSDLCTRHGATLAAGELLLTLHQNGFVFPAEKQKSLSGVVPAIEKARLYRGKGGEIMRSAVSRFIQCLSLSEMPLNEKTKRTLLDTLNENLKHPNAQIQNSAVDALKNFVPAYLVTLGDKVANEITVKYLELLDDPNVAARRGAALAIGILPYEFLVRKWRSIITKLCSSCSIKHKPDDPDAEARVNAVRGLIAVCETLTSSSFDDNFNEELSSLYLFIKNEVMQTVFEALNDYAVDNRGDVGSWVREAAMDALERCMYIICKKDSIGSNRSLVTEHQFEPCDSDFLAADFSFRLIDAKIVTDLVGGIAKQAVEKIDKIRDIAAKTLQRILYNQEYFIPFISHRKILEHIIPNDPDLKWTVPPVSYPRLVQLLRISSYSRYVLSGLVISTGGLQESLSKASVTALLEYLQVSEGDINEKRNVRECMLSTDFLWILQQYQKCDRVVTPAFKTIEILFSKKVFLNMEDHTLEFYSGLLQSLSVELKASKDFAKLFAGLSILGYVASVSEPINSKALSQLLFFLGHRYPKIRKAAADQVYLVLLQNENHVPEDKIERALEVLTEICWEGALDEAKTGRSKLYEMTGLDSGPSQEVRNRVPRKGDERKMKVSDENESYSSLVGFSGF >Ma11_p03560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2637083:2655178:1 gene:Ma11_g03560 transcript:Ma11_t03560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MADSAETAVAASVADAVDLDPKEDDEHGNKEAVLLRYFLLEWELVKSLLDRIVAGGGVSSPTDVHKIRSIMDKYQEQGQLLEPYLESIITPLMSIVRCKAMQLRDSSDEALEIIKPLCIIIYSLVTVCGYKSVVKFFPHQVSDLELAVDLLVMCHQTTSATSLRQESTGEMETKCVVLIWLYILVLIPFDILSVDTSAVNIDNMCGSEPSPLVMRILEISKDYLSSAGPMRRMAGLLLARLLTRPDMTIAFSRFTEWAHEVLLSVTEDVVDHFKLLGFVEALSSIFKVGSKKVLLSVISTTWMDCSLLMRSTTSSRSPLLRKLLVKLSQRIGLTCLPHRSQSWRYLGKSSSLGENLLVKNSGEAYGNNFGQHANCSNDTDYSDLVEDEEMDVPETVEEILELLLSSLRDSDTVVRWSAAKGVGRITARLTSAFAEDVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPVSLPKVVPVIVKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYSDRDMKDTLEQLAPHLLTVACYDREVNCRRAASAAFQENVGRQGNFPHGIDIVNKADYFSLASRANSYLHVATSIAQNRDYLYSFVEELLCGKINHWDKSLRELTAEAFSALAKYDPNYFADYVLGKLIPSTLSSDLCTRHGATLAAGELLLTLHQNGFVFPAEKQKSLSGVVPAIEKARLYRGKGGEIMRSAVSRFIQCLSLSEMPLNEKTKRTLLDTLNENLKHPNAQIQNSAVDALKNFVPAYLVTLGDKVANEITVKYLELLDDPNVAARRGAALAIGILPYEFLVRKWRSIITKLCSSCSIKHKPDDPDAEARVNAVRGLIAVCETLTSSSFDDNFNEELSSLYLFIKNEVMQTVFEALNDYAVDNRGDVGSWVREAAMDALERCMYIICKKDSIGSNRSLVTEHQFEPCDSDFLAADFSFRLIDAKIVTDLVGGIAKQAVEKIDKIRDIAAKTLQRILYNQEYFIPFISHRKILEHIIPNDPDLKWTVPPVSYPRLVQLLRISSYSRYVLSGLVISTGGLQESLSKASVTALLEYLQVSEGDINEKRNVRECMLSTDFLWILQQYQKCDRVVTPAFKTIEILFSKKVFLNMEDHTQEFYSDLLRSLSVEPEMKLQLRQMTRKSGKGNSIS >Ma11_p03560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2637969:2656471:1 gene:Ma11_g03560 transcript:Ma11_t03560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MLWTTSSYLVLLKPFPLYSSPLLRKLLVKLSQRIGLTCLPHRSQSWRYLGKSSSLGENLLVKNSGEAYGNNFGQHANCSNDTDYSDLVEDEEMDVPETVEEILELLLSSLRDSDTVVRWSAAKGVGRITARLTSAFAEDVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPVSLPKVVPVIVKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYSDRDMKDTLEQLAPHLLTVACYDREVNCRRAASAAFQENVGRQGNFPHGIDIVNKADYFSLASRANSYLHVATSIAQNRDYLYSFVEELLCGKINHWDKSLRELTAEAFSALAKYDPNYFADYVLGKLIPSTLSSDLCTRHGATLAAGELLLTLHQNGFVFPAEKQKSLSGVVPAIEKARLYRGKGGEIMRSAVSRFIQCLSLSEMPLNEKTKRTLLDTLNENLKHPNAQIQNSAVDALKNFVPAYLVTLGDKVANEITVKYLELLDDPNVAARRGAALAIGILPYEFLVRKWRSIITKLCSSCSIKHKPDDPDAEARVNAVRGLIAVCETLTSSSFDDNFNEELSSLYLFIKNEVMQTVFEALNDYAVDNRGDVGSWVREAAMDALERCMYIICKKDSIGSNRSLVTEHQFEPCDSDFLAADFSFRLIDAKIVTDLVGGIAKQAVEKIDKIRDIAAKTLQRILYNQEYFIPFISHRKILEHIIPNDPDLKWTVPPVSYPRLVQLLRISSYSRYVLSGLVISTGGLQESLSKASVTALLEYLQVSEGDINEKRNVRECMLSTDFLWILQQYQKCDRVVTPAFKTIEILFSKKVFLNMEDHTLEFYSGLLQSLSVELKASKDFAKLFAGLSILGYVASVSEPINSKALSQLLFFLGHRYPKIRKAAADQVYLVLLQNENHVPEDKIERALEVLTEICWEGALDEAKTGRSKLYEMTGLDSGPSQEVRNRVPRKGDERKMKVSDENESYSSLVGFSGF >Ma01_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1866276:1867435:1 gene:Ma01_g02850 transcript:Ma01_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLIAHIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEDEDELIIKLHSLLGNKWSLIAARLPGRTDNEIKNHWNTHIRRKLLSRGVDPATHRPVNDRPPSNITISFERREGKAVGGSEESSVWQQQTQRPDLNLELCISLPFQQDPHELTKREKSLCFSCSLGLQNSKECKCRDFSGLSNGMLSYRGLKMK >Ma09_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1641030:1641905:-1 gene:Ma09_g02290 transcript:Ma09_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSFQSLHQSFHHHHFTTSPDSIFFKPTKSFKLFFNLSPSSSSSLTTTSASSVVPSTAGAAAAATPFDVLASHLSSSDFRQADEETRRLLIALAGEAAQKRGYVFFSEVQFIPAADLQEIDQLWRRHSGGRFGYGVQRRLWEKSGRDFTRFFIRMGWMKRLDTEVEQYGYRSFPGEFLWELKDDTPEGHLPLTNALRGTQLLRSILTHPAFEESEAEEGGGTGMEEAEEKEKEKEKPKLKGARRSLEPDYSF >Ma05_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8337788:8340399:1 gene:Ma05_g11470 transcript:Ma05_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVARDLGFNKDVAVKRILSKSHGGDREFIADVTAISQLRHRNLVELMGWCHEDDELLLVYEFLNRGSLDNYLFGAGRATAEFPVLNWERRYNILCGVASALDYLHHGSRNRVLHRDIKASNVMLDHQYTPRLGDFGLARVIERDGKSHHSTVALAGTRGYMAPECYFTGRASVETDVYPFGVFAMEAAAREATVDVEVGLPRKKARVLSSEVSGVTTVQLAGAVVVPTGHAGGSPGRGEAGTSREAVGEALRGPSIRELCRLSTGGEDEPYQMWVMGDLPRGEASDPLVARLEGLSRGSRVWAEGDFAAEFVRGGLHPDIARDLYTLPSEVLLGKSAKSLLWGNHYAVALMDRVCDAGRIIAVLSGRNAELRKQVDEVRAGGAPEAFTAAEQRAADSDAEAARLRSELQASEERNMELQTHLKASVAEARSARGDSLELIRRLEEVRAEARGASEALDVEIRQRLEKDKRLIEDYKGSSGFQLGLVRTRRVSYEYGYRIALAHFKGRHPDLEVAEDPFDSLLEDMSVDMPDEVPFDDSPDDPEE >Ma08_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5497367:5500100:-1 gene:Ma08_g07920 transcript:Ma08_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKAALNEHVDLASELVEKFSAELRSGFGPAVDDFVSFFHAIDWKEPWLICLLAFYFILLIVTILSRKNVNFQLCLSLLAFSGVYLAEKVNSFLGRNWKSFSSQNYFDPHGLFISVLWSSPLLIITIIIVVNTLFTLCHLMVKWKKAQLRHDARVSRGKQE >Ma08_p07920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5497367:5500113:-1 gene:Ma08_g07920 transcript:Ma08_t07920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKAALNEHVDLASELVEKFSAELRSGFGPAVDDFVSFFHAIDWKEPWLICLLAFYFILLIVTILSRKNVNFQLCLSLLAFSGVYLAEKVNSFLGRNWKSFSSQNYFDPHGLFISVLWSSPLLIITIIIVVNTLFTLCHLMVKWKKAQLRHDARVSRGKQE >Ma08_p07920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5497367:5500105:-1 gene:Ma08_g07920 transcript:Ma08_t07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRNKSVSQICYLVHLGAASAMEDLKAALNEHVDLASELVEKFSAELRSGFGPAVDDFVSFFHAIDWKEPWLICLLAFYFILLIVTILSRKNVNFQLCLSLLAFSGVYLAEKVNSFLGRNWKSFSSQNYFDPHGLFISVLWSSPLLIITIIIVVNTLFTLCHLMVKWKKAQLRHDARVSRGKQE >Ma06_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25281750:25288525:-1 gene:Ma06_g25080 transcript:Ma06_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACSTSSSPSLLTLHSLRPSSAHRRPVAASLYPTAAGLKASSSSSSLLVEFRRRSLTSIPLLPSQRYCHRRRSRRAISAVFERFTERAIKTVMFSQREAQTHGMGIVFNQHLLLGLVAEDKSPSGFLGTGITIDRAREAVRAIWPDGVAADQATTPSSGSSTGVPFSLNSKRVFQAAVECSKNMGCKFIAPEHITIGLLNADDGSVAQVLQSLGTDLSHLASVALSRLHGELAKDGREPVASSQKMPEKSLDRKSASLRSSDKTKEKSPLAQFCVDLTALASEDLIDPVIGRDTEIQRIVQILCRRTKNNPILLGDPGVGKTAIAEGLALRIAKGEIPSFLKEKRIMSLDVGLLMAGAKERGGLESRVTGLISEVQKAGDIILFIDEVHTLIGSGSVGRGNNSSGLDIANLLKPALGRGELQCIASTTLDEHKTHFDKDKALARRFQPVLINEPSQEDAVKILLGLREKYEIHHKCTFTLEAINAAVYLSARYIPDRHLPDKAIDLIDEAGSRAHMDAFKKKKEEQISVLSKSPEEYWREIRAVQAMHDMVLANKTTDDSETLVDVKEVSEFSIPYSQDYDGQVIVGPEEIATVASLWSGIPVQQLNADERKLLVGLDEELRKRVIGQDDAVNAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELTKALAASYFGSEDAMLRLDMSEYMERHTVSKLIGSPPGYIGYGDGGTLTEAVRRRPFTVILLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSEAISKGKRSIGFLIAEDTVSNSYASMKALVMEELKAYFRPELLNRIDEVVVFRSLEQTQMLAILNIMLEQVKSRLSSLGIGLEVSDAIMNLVCEQGFDRNYGARPLRRAVTQIIEDVISEAILAGDYKPGDTLTLDVDGTGNPVVNQLPDQSIHWSDATV >Ma02_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28542995:28544043:-1 gene:Ma02_g23600 transcript:Ma02_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHEARDLMSVDSFSQLPFIRPAPKPSTTTSGIRLFGIEVPHHPNVVEDDASKDNTAITSSAATTTVNGGSGSGRRFECHYCCRHFPTSQALGGHQNAHKRERQNAKRAYLQSAAMAAAQHNQAAIYAHHVHGLFNYHHCLGPPSSSAARFAIEPSSAPHYPSWHATDALNGSFGAFFHGGLGSLSQPIDGSPLPGIWRVPGVMHGGGARIGAIHGDSRMPSPMFRGDNEPRLIGVGGGVGSDGNAHGTPPASPKDQFAHQLMPSAKETVSLDLHL >Ma03_p00110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:169352:179370:1 gene:Ma03_g00110 transcript:Ma03_t00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNMGSHQANTPSSFHHPRMVSFRSGATDSLMGVIPGEVCSFSGNSIMVPSAASCMTNHMDTVTQSRYPAGSVLREPKPRFTHVSGSPAYWSSEEVDILSIGLLKYANEPNIRKYAKIAAMLPQKTIRDVALRCQWMINKENGKRRKMEEYYAAKKMKEMKDQMVGSPSTANICMAPISFIMNHKNIHDQLPSEEMRRLLDENRRFLKDIARNLEGGMIEENINLFHYIRNNISTIENRVDVMSATMNQLPGSMTQMPLCTVSVNDDLLSSLIPLNGNNLCATPGSNQLRLDMTCFRRNIG >Ma03_p00110.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:168733:179367:1 gene:Ma03_g00110 transcript:Ma03_t00110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNMGSHQANTPSSFHHPRMVSFRSGATDSLMGVIPGEVCSFSGNSIMVPSAASCMTNHMDTVTQSRYPAGSVLREPKPRFTHVSGSPAYWSSEEVDILSIGLLKYANEPNIRKYAKIAAMLPQKTIRDVALRCQWMINKENGKRRKMEEYYAAKKMKEMKDQMVGSPSTANICMAPISFIMNHKNIHDQLPSEEMRRLLDENRRFLKDIARNLEGGMSRCDVCNNEPTSGVDDTDASLYCLGK >Ma03_p00110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:168733:179370:1 gene:Ma03_g00110 transcript:Ma03_t00110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNMGSHQANTPSSFHHPRMVSFRSGATDSLMGVIPGEVCSFSGNSIMVPSAASCMTNHMDTVTQSRYPAGSVLREPKPRFTHVSGSPAYWSSEEVDILSIGLLKYANEPNIRKYAKIAAMLPQKTIRDVALRCQWMINKENGKRRKMEEYYAAKKMKEMKDQMVGSPSTANICMAPISFIMNHKNIHDQLPSEEMRRLLDENRRFLKDIARNLEGGMIEENINLFHYIRNNISTIENRVDVMSATMNQLPGSMTQMPLCTVSVNDDLLSSLIPLNGNNLCATPGSNQLRLDMTCFRRNIG >Ma03_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:168733:179047:1 gene:Ma03_g00110 transcript:Ma03_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNMGSHQANTPSSFHHPRMVSFRSGATDSLMGVIPGEVCSFSGNSIMVPSAASCMTNHMDTVTQSRYPAGSVLREPKPRFTHVSGSPAYWSSEEVDILSIGLLKYANEPNIRKYAKIAAMLPQKTIRDVALRCQWMINKENGKRRKMEEYYAAKKMKEMKDQMVGSPSTANICMAPISFIMNHKNIHDQLPSEEMRRLLDENRRFLKDIARNLEGGMSRCDVCNNEPTSGVDDTDASLYCLGK >Ma03_p00110.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:168733:179328:1 gene:Ma03_g00110 transcript:Ma03_t00110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNMGSHQANTPSSFHHPRMVSFRSGATDSLMGVIPGEVCSFSGNSIMVPSAASCMTNHMDTVTQSRYPAGSVLREPKPRFTHVSGSPAYWSSEEVDILSIGLLKYANEPNIRKYAKIAAMLPQKTIRDVALRCQWMINKENGKRRKMEEYYAAKKMKEMKDQMVGSPSTANICMAPISFIMNHKNIHDQLPSEEMRRLLDENRRFLKDIARNLEGGMIEENINLFHYIRNNISTIENRVDVMSATMNQLPGSMTQMPLCTVSVNDDLLSSLIPLNGNEAINYGWT >Ma10_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22788894:22793797:-1 gene:Ma10_g08420 transcript:Ma10_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQMRSKHEWATASFFSSTSSHASSRVDAVFVIWEMLMFALLVFAGVALYFRYMKLAFLLMCVTAVVLVCMKVTKQIRQNRKSKRKMLLPLSM >Ma10_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24894897:24895695:-1 gene:Ma10_g11340 transcript:Ma10_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNTQLYLQNCYIMKENERLRKKAQLLNQENQALLSELKRKQKQKQTLASTSATSNPNPKIPDLNATPPSSTMTSSGNKHST >Ma05_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33945954:33948953:-1 gene:Ma05_g22220 transcript:Ma05_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQTQSDAAAAAAEAASKTEQPPPSIEPPPSPAASSMEALVAEAMATGDNDSEESLEEKAQRALKCPCVADLRKGPCGVQFSEAFVCFIKSTAEEKNCIKANPDAFTKDILDEEKEPEEEKVQDYKIIPPSWSREPRPKN >Ma05_p22220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33945954:33948988:-1 gene:Ma05_g22220 transcript:Ma05_t22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQTQSDAAAAAAEAASKTEQPPPSIEPPPSPAASSMEALVAEAMATGDNDSEESLEEKAQRALKCPCVADLRKGPCGVQFSEAFVCFIKSTAEEKGSDCVNPFVALQNCIKANPDAFTKDILDEEKEPEEEKVQDYKIIPPSWSREPRPKN >Ma08_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2324306:2329011:-1 gene:Ma08_g03110 transcript:Ma08_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRQVGSAVRVGGSVESSKLVKPSYEGEQPCADVCIPLSVFDKVSYDVHVAVVYAFRPPTPSNSDIEKGLATALSEYREWAGRLGEDSRGEPVILLNDAGSRLVEVSSDDVLGRTVLLGPSPALVPLSPIIKGMEELLQVQLTRFACGTLTVGITAHHLIADGHAASNFLVAWGKATRGLQMDPRPLHDRGAFIPRNPPCVEYEHRGVEFKPKNASDTKNVPLTADIVIHKAHFTREFLESLKAKASRGADRRYNTFESLMAHLWRAVSKARGLDEHITTHVRISVDGRARLRPRVPGEYFGNLVLWAFPRAKVGDLVNKPLQFAAALVREGITRLDDGYFRSFIDFASSEKVKEEGLEATAEVHERVMSPNLEVHSWLRFPFRDVDFGGGNPFLFMPTYTREEGMLVLMPSAIGDGSIEVYASLLRHNVAAFKQLCYLLKS >Ma03_p12460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9609876:9615567:1 gene:Ma03_g12460 transcript:Ma03_t12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERKRKVSLFDVVDDTSVSVKLGKANGSLSAKTAALPPGVNRWSGRPYSQRYHEILEKRKTLPVWQQKEEFLHVLKANQTLILVGETGSGKTTQIPQFVLECEDLGKRPMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHRTILKYLTDGMLLREAMADPLLERYKVIILDEAHERTLATDVLFGLLKEVLRNRPDLKLVVMSATLEAEKFQGYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPSGDILVFLTGEEEIEDACRKITKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLEEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTERSFKNDLQEQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGELMSEFPLDPQMAKMLVVSPEFNCSNEIVSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNSEDSSWCYENFINQRTLKAADNVRQQLVRIMARFNLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSTCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRDKEKGESKTRNKPL >Ma06_p33220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33928274:33928795:1 gene:Ma06_g33220 transcript:Ma06_t33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMIRRLARVADSSSQCESPPRRAGKEQRRRGEGAAAEGHVPVCVGEEMERFEVRAELLGRPAFAALLRRSAQEYGYEQRGVLRIPCPAPLFRRLLAASSSAEAEAELLRSLGDDDEEDESLG >Ma06_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4800702:4808542:-1 gene:Ma06_g06660 transcript:Ma06_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQQSCVENKQSAVASISSLSEGSFGLSRVSPAVSSPPTSLPSRRRTSGPTRRAKSGWTPQDDETLRKAVEAYKGRCWKKIAESFPDRTEVQCLHRWQKVLNPELIKGPWTPEEDEKLTSLVAKYGPKKWSVIANSLPGRIGKQCRERWHNHLNPTINKDAWTEEEELALLNAHHIRGNKWAEIAKALPGRTHNSIKNHWNCSLKKKLDFFLETGKLPKVVKPKMLNGSKEIAGSASSTYSGLPTGLYRLEDKKNWLELSAVQESNAEAVNNSTVESSMLTPLSDICTISDLGTELAECSNTGENDQLNGTSAPSLHPAAPSLLGALFCKPSLPEDVCHSKDSALFTSYDSTQQSHCSLIVKQSNGYLTPPSVTSNNGVFCVKSILKNAARSYPHTPSIFTRTKRNAEASLASDSTSQKSSAKFLDSSDNVETTNTSVSKFSSSPCSRGAILFNGKNFNVSPPYRLRSKRTAIIKSVEKQLDFAFEETNCDGNANPLKMAVASSSIFKSRNVLPII >Ma11_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21910982:21912042:1 gene:Ma11_g16370 transcript:Ma11_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDDTVIAGINFLAVLLSVPVIGIGIWLAMQTDNSCVQLLQWPVIVIGIVILLVALAGFVGAFWRMPRLLLFYLVAMLVVILLLASLVIFIYAVTVNGSGHPAPNRAYLEYRLEDYSGWLRRRVEGSYKWNRIKKCLSSTTVCAELNQTYRLAEDFFGARMSPLQSGCCKPPTACGYTFVNPTYWISPISSTSDVDCTLWSNDQMVLCYSCTSCKAGLLANLRREWRTADVVLVVTLVALIFVYVMGFCAFRNAKTDQLFRRYKQGHN >Ma09_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34234787:34237406:-1 gene:Ma09_g22270 transcript:Ma09_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFRAVLPSPHPPAAKDSDVVGIAQNKFRATNKVNEVKLVGSISRRDAMSCLFATLMATSARSDPAEARSVKPETRRKIREKLNKLREKAGVPKDKTAANPKNKENPPASPEDRLTAPLVEATL >Ma05_p25670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37525935:37532118:1 gene:Ma05_g25670 transcript:Ma05_t25670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFHLSPPPLPPRRPKLLACSPDPVIRTTGFGPLRPLPPTLLASPAFATDAGLRFREKLLYLEHDLGLDSSRALSLNPSLRSAPLSALHSSAAALRSFGLLTADAARVFAMYPSLLTCDPSADLLPVFHFLLGPAAIPFPDLRKAVARCPRLLVSSVPSQLLPALRFLRRLGFVGRRRITCRTTLLLVSSIEATLLPKLDYLRRLGFSHQETRSLVLRSPGMLTFSIENNFKPKVEFFLHDMGRDLSELKDFPQYFSFSLEGKIKPRHRLLAESRLRMPLSEMLKVSDGEFMSRLVEMRLSPVNDKL >Ma05_p25670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37525935:37532118:1 gene:Ma05_g25670 transcript:Ma05_t25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFHLSPPPLPPRRPKLLACSPDPVIRTTGFGPLRPLPPTLLASPAFATDAGLRFREKLLYLEHDLGLDSSRALSLNPSLRSAPLSALHSSAAALRSFGLLTADAARVFAMYPSLLTCDPSADLLPVFHFLLGPAAIPFPDLRKAVARCPRLLVSSVPSQLLPALRFLRRLGFVGRRRITCRTTLLLVSSIEATLLPKLDYLRRLGFSHQETRSLVLRSPGMLTFSIENNFKPKVEFFLHDMGRDLSELKDFPQYFSFSLEGKIKPRHRLLAESRLRMPLSEMLKVSDGEFMSRLVEMRLSPVNDKL >Ma05_p25670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37525935:37532118:1 gene:Ma05_g25670 transcript:Ma05_t25670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFHLSPPPLPPRRPKLLACSPDPVIRTTGFGPLRPLPPTLLASPAFATDAGLRFREKLLYLEHDLGLDSSRALSLNPSLRSAPLSALHSSAAALRSFGLLTADAARVFAMYPSLLTCDPSADLLPVFHFLLGPAAIPFPDLRKAVARCPRLLVSSVPSQLLPALRFLRRLGFVGRRRITCRTTLLLVSSIEATLLPKLDYLRRLGFSHQETRSLVLRSPGMLTFSIENNFKPKVEFFLHDMGRDLSELKDFPQYFSFSLEGKIKPRHRLLAESRLRMPLSEMLKVSDGEFMSRLVEMRLSPVNDKL >Ma05_p25670.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37525935:37532118:1 gene:Ma05_g25670 transcript:Ma05_t25670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFHLSPPPLPPRRPKLLACSPDPVIRTTGFGPLRPLPPTLLASPAFATDAGLRFREKLLYLEHDLGLDSSRALSLNPSLRSAPLSALHSSAAALRSFGLLTADAARVFAMYPSLLTCDPSADLLPVFHFLLGPAAIPFPDLRKAVARCPRLLVSSVPSQLLPALRFLRRLGFVGRRRITCRTTLLLVSSIEATLLPKLDYLRRLGFSHQETRSLVLRSPGMLTFSIENNFKPKVEFFLHDMGRDLSELKDFPQYFSFSLEGKIKPRHRLLAESRLRMPLSEMLKVSDGEFMSRLVEMRLSPVNDKL >Ma09_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2270864:2273566:1 gene:Ma09_g03360 transcript:Ma09_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGAPDFFYREAQRLGYVARSAFKLLQMQKQHKLITPGASVLDLGCAPGAWLQVACQSLGALEKGGAVVGIDLKKMKAPSSHCDSRVRTVCADVMSLLKEQVRAMSPQERGFSVILSDMCPTVSGITAKDTALSCQLGMRALSLAVGKVPLPCSAGDSNTELHLNSTGLDANEVGVLRRGGNLVIKLLESEENAVLD >Ma09_p03360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2270864:2273871:1 gene:Ma09_g03360 transcript:Ma09_t03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGAPDFFYREAQRLGYVARSAFKLLQMQKQHKLITPGASVLDLGCAPGAWLQVACQSLGALEKGGAVVGIDLKKMKAPSSHCDSRVRTVCADVMSLLKEQVRAMSPQERGFSVILSDMCPTVSGITAKDTALSCQLGMRALSLAVGKVPLPCSAGDSNTELHLNSTGLDANEVGVLRRGGNLVIKLLESEENAGFMKFCKRRFTKVSWLRPKATRSCSREIYLICQGLR >Ma11_p12500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16428320:16429243:1 gene:Ma11_g12500 transcript:Ma11_t12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g09190 [Source:Projected from Arabidopsis thaliana (AT1G09190) UniProtKB/Swiss-Prot;Acc:O80488] MTSRENRGAKRRVLRLLHDRDTRVRLTKIHAHILHHHPHGSDLVSTCAAARRPSYALRLFRHLSSASLLLFGAAIKVLSLVAASAADAFRLFSLRSRSLFPDRLTLAPLLKAATHLADLRLARSLHALTLSSGFASHPPVAVGVIELYAASGHRFDAQNFFDEMPRRDIIAWNLMINGFCRRGDVESAIGLFRWMSERSVVTWNSMIAGFARRGRDAVALQFFQEMWDYSGFEPDDATLAAVLPVCARSGNCDVGSKIHRYAERKGLLKDAVHVGNSIIDMYCKCGDLASAKSVFDQMPRKSAVNRP >Ma05_p14090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10251648:10259600:-1 gene:Ma05_g14090 transcript:Ma05_t14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFRPPDLSIASVEDGSDASRPPLPPMANPSSSAGLAEHKVQLVRTCKSPKDMSNKENISTIEEDGLDVSTFCKVESVQKRSKKSGRCNLRKSLAWNQAFLTEEGVLDPLELSILGGSSMKQKESVLSVINGQLSPLLGFHKSDVTTPCDAVGQKTIGKMHVQSGGRKLKDSNLIGKFDASIQEEQQELMVSGVISTSKRTTKSVPRLPAASSQKRVASSNAANPTSKIPKFMPTKSHAASLTPTSRGDISTPRDFTLDQRATMVYTEQNSSVRCFPSNMRNQSACAQSSNSSAVNSHRPLVPLMERGVNGFSLTSDSSASKVVQGTIISCNSSKESSSYPSKAYHAAGGALAPGHAKPSALRMPSPSLGFFQQGKRPSYCPQPQVNVQPPRPTIPCIRASKVRPTEESRLLPPSTWQKSLKSLPAPESFAGTIISSKMENALVAISLPSSNGNVVHPSTRENVSKMQRDKMAIGASGRLSDSQTSGQQALKRYRSLIDDDFAHPQVLSSGNPEHNVDNEVPFVSSSVLKMNNKLLVHESRLPVVPLKVNIPEDVHHTCLPTKRNHASETELSQASSLCKLNADVLDEGASELDNKAKRPLSEYTGWVAHAVSEQGGLAARNSNSLPDQEVGISSRFVEFSALTKSPLRWSKTKVVGSGSCSSSESGCSLAQETCAVDDSEIHHVTRISSEETMSSLTSSKEHIAGSDFISNTEVDCSAQNTGIHVEKANLGTNNLAAIVSSLPEDPQPTASTDSKCHDFRVCFDNKDPINLKEEGTLVPNGSKNDQKDRVQQDITHLKHHLNAVPFTDEWLAAIEAFGEEILELKTGPVQNSPPDKTLPKPGPWSPVKRKAQDVGPFDCTKHSTNLSPPDSS >Ma05_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10251648:10259600:-1 gene:Ma05_g14090 transcript:Ma05_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFRPPDLSIASVEDGSDASRPPLPPMANPSSSAGLAEHKVQLVRTCKSPKDMSNKENISTIEEDGLDVSTFCKVESVQKRSKKSGRCNLRKSLAWNQAFLTEEGVLDPLELSILGGSSMKQKESVLSVINGQLSPLLGFHKSDVTTPCDAVGQKTIGKMHVQSGGRKLKDSNLIGKFDASIQEEQQELMVSGVISTSKRTTKSVPRLPAASSQKRVASSNAANPTSKIPKFMPTKSHAASLTPTSRVYTEQNSSVRCFPSNMRNQSACAQSSNSSAVNSHRPLVPLMERGVNGFSLTSDSSASKVVQGTIISCNSSKESSSYPSKAYHAAGGALAPGHAKPSALRMPSPSLGFFQQGKRPSYCPQPQVNVQPPRPTIPCIRASKVRPTEESRLLPPSTWQKSLKSLPAPESFAGTIISSKMENALVAISLPSSNGNVVHPSTRENVSKMQRDKMAIGASGRLSDSQTSGQQALKRYRSLIDDDFAHPQVLSSGNPEHNVDNEVPFVSSSVLKMNNKLLVHESRLPVVPLKVNIPEDVHHTCLPTKRNHASETELSQASSLCKLNADVLDEGASELDNKAKRPLSEYTGWVAHAVSEQGGLAARNSNSLPDQEVGISSRFVEFSALTKSPLRWSKTKVVGSGSCSSSESGCSLAQETCAVDDSEIHHVTRISSEETMSSLTSSKEHIAGSDFISNTEVDCSAQNTGIHVEKANLGTNNLAAIVSSLPEDPQPTASTDSKCHDFRVCFDNKDPINLKEEGTLVPNGSKNDQKDRVQQDITHLKHHLNAVPFTDEWLAAIEAFGEEILELKTGPVQNSPPDKTLPKPGPWSPVKRKAQDVGPFDCTKHSTNLSPPDSS >Ma01_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20951674:20953167:-1 gene:Ma01_g21300 transcript:Ma01_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSVDQISEFQEAFCLFDKDGDGCITIDELATVIGSLGQNPTEEELKDMIMEVDINGNGTIEFTEFLNLMARKMKETDAEEELREAFKVFDKDQNGYISASELRNVMLNLGEKITDEEVLQMIKEADTDGDGQVNFEEFSRMMMAV >Ma01_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24187753:24189120:-1 gene:Ma01_g22300 transcript:Ma01_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDNHSCCTSFLWFCGVENFGKWQMNPGIQTSRGWSFMGFLGELKHLNLLLNSVMEQISRSPLIMLQNSVA >Ma04_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6462315:6464184:1 gene:Ma04_g09070 transcript:Ma04_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRISADTIRTVFGILGNGTALVLFFSPAPTFRRIWKSGSVEQFHATPYLATLLNCMFWILYGLPMVHPHSTLVLTINGSGLVIELTYVIIFLLYSERNQRLRVLLVLIIEILFVGAVAAVVLTIVHGYVRRSLVVGVLCVIFGTLMYASPLSVMKQVIETKSVEFMPLFLSLASFFNGICWTIYALIRFDLFITIPNGLGVAFAVGQLILYMMYRGSTVQQMKERKQKMEMGLVNTNGSLKDDLENGTKIGN >Ma04_p35440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34221294:34238244:-1 gene:Ma04_g35440 transcript:Ma04_t35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSVNNLYETASQPDTSGDAYTFLEFNTQDEFDDYPAFRELSQPSRSTAWPPHLPPETAPDSPSADLQNPDAVSSPSATAPAAGPSSSSKARGVSGGSQAADAVDALEAGMSGLNFDETGDENYEFGKGNFTEHACRYCGVQNPACVVRCNIPTCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLPWLVKVPSEQEQLRARQISAQQINKLEELWKTNPDASLEDLEKPGVDDEPQPVSLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVSIRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTSQEEVALELRSGQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEQQVVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTHTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLADKISSTGLKVVRLCAKSREAVMSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKFKALKRATEREILQSADVICCTCVGAGDPRLENFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGNKPFRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNGRLFYGGGPGIVHTDNSGPVSSSVPLGDKRGGRSRGHSYVPFGPPNGTHKSGTHPAGYPLPRAPLPPFPGGPHSQPYAIPTRAVHGPIGAVPQVPQPGNRGFGPGRGNAGGPIGGHLAHQQSSQQPFGGIGSVFNFPHLDNPNSQPSVGAPSSQTGLMTQMPVQGLSQTFRDGLSIGGMSQDFPGDDFKSQGSHVAYNVADFSMQASQSGYSVDYVTQGPQAAFPGSYLNQNTQPGYSQLGAGNEFMFQDYVPHGSQGLFTQAGFNGPSQDESSQSHFSMAGTGSLQSQGPLNPLYSQPFAHYNAQPQNMQPPQQQNQSSPSQKHHFNG >Ma09_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2751994:2754103:-1 gene:Ma09_g04180 transcript:Ma09_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g37310 [Source:Projected from Arabidopsis thaliana (AT2G37310) UniProtKB/Swiss-Prot;Acc:Q9ZUT5] MRLSVPLQRIAAAATAAEVRWAVGSAASHGLAALPDIGAYGLLIQRCADGGWLRQGQQLHARLVVFAVVASNFLASKLISLYSRCGRLHDARRMFDDIPRRNLFSWNAMLLAYALHGPASHAIRLFSSFPTSLSPDAFTLSALLKSLSSLPPSSSSAYRSAHAFAVRHGLVCNIFVSNGLITAYAHGDDIASAQRVFDTMPQRDIVSWNSMIAGYSQSGHYQECLRLYREMEARSGGVLPNAVTVVSVLQACSQLKDLLFGMEVHRFAVEHGIQMERVAWNSVIGFYAKCGSLDSARRLFEEMSDKDGVSYSAMITGYMSYGFVRPAMDVFRQAVAPVLSTWNAVIAGLAQNNYHDEVLDLVCEMQASGFSPNSVTLSSLLPTLSFNSSLLGGKQVHGYAIRNDCHQNIYVATALIDTYAKSGFLEGARRVFDVSAGRSVVVWTAIISAYAAHGDADAALSLFDRMLDAGIEPDPVTFTAVLSACAHAGAVDEARHICDAMSSVYGVSPTVEHYACMVGVLSRGGMLKEAVKFIDKMPIEPNSKTWGALLNGAAVYGDVEVGRFAFEQLLEIEPENTGNYIVMANLYSKDGRREEAKTSREKMRGIRLEKTAGCSWIETSDGLQVFVSRDTSNGRTDELYAVLEGLLRLIREEGYAYSNEFDEETESCVQNDESKQQQTLSIASV >Ma05_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9504935:9511334:1 gene:Ma05_g13120 transcript:Ma05_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINDILCSVNPDEVSRVYYADSRIHIRKNRIGLCLDEGRRLQATDMDHLHRLPPPLASFAASWSLPWQPQEADGVATAEELKQALLRTTLELESTRATAKEELRRMESRALHFSRLLEAVTRERDEARHALLLLLLHHTARGQPLQEPKPNLGPNLALDEGTDVAEAAAAAAATAAAEDVVERSNRASSAVAEVELAAVRRALPEKGRLVEAVMGAGPLLLLGPIHMSLDNWWRHPPPDLRSSEIPPEAISLNSIPKREKDGAGSPSPSSFWNSSSSSSPESGSHRSVGGEVQNVTFS >Ma09_p31300.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41065634:41070503:-1 gene:Ma09_g31300 transcript:Ma09_t31300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEAFGDTGESLRINAIQELAMAMERNLCNHLPCCNPSPLQPVASGCQHSESIAAFFSWPTSTLMHGAAEDRANYFGNLQKGVLPGRLGHLPNGQQATTLLDLMTIRAFHSKILRRFSLGTAIGCRIRKGTLTDIPAIIVFVARKVHKKWLSHDQILPSALEGPGGVWCDVDVVEFSYYGVPTPTSKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPKQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFITFADDFDMSSVTTSVTGLGEIGDVKVIDLQSSINGLIGRQVVKVGRSSGLTNGIVMAYALEYNDEKGICFFTDLLVVGENQHTFDLEGDSGSLIILTGQDAEKPKPIGIIWGGTANRGRLKLKSGHAPENWTSGVDLGRLLDLLELDLITTSEGLQDALQDQRFALAAAINSNVGKSYPTVGNLPNEKPNEIYEPLGINIQQFPPEGASGSGADAPLVAIEFQVDTVEVANNVEEHHFIPNLLSMSPNHRTEEDNLERKNVSALINLSGEDLCVSLHLGDQEPKRRHSDQHGE >Ma09_p31300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41065634:41070503:-1 gene:Ma09_g31300 transcript:Ma09_t31300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEAFGDTGESLRINAIQELAMAMERNLCNHLPCCNPSPLQPVASGCQHSESIAAFFSWPTSTLMHGAAEDRANYFGNLQKGVLPGRLGHLPNGQQATTLLDLMTIRAFHSKILRRFSLGTAIGCRIRKGTLTDIPAIIVFVARKVHKKWLSHDQILPSALEGPGGVWCDVDVVEFSYYGVPTPTSKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPKQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFITFADDFDMSSVTTSVTGLGEIGDVKVIDLQSSINGLIGRQVVKVGRSSGLTNGIVMAYALEYNDEKGICFFTDLLVVGENQHTFDLEGDSGSLIILTGQDAEKPKPIGIIWGGTANRGRLKLKSGHAPENWTSGVDLGRLLDLLELDLITTSEGLQDALQDQRFALAAAINSNVGKSYPTVGNLPNEKPNEIYEPLGINIQQFPPEGASGSGADAPLVAIEFQVDTVEVANNVEEHHFIPNLLSMSPNHRTEEDNLERKNVSALINLSGEDLCVSLHLGDQEPKRRHSDQHGE >Ma09_p31300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41065634:41070503:-1 gene:Ma09_g31300 transcript:Ma09_t31300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEAFGDTGESLRINAIQELAMAMERNLCNHLPCCNPSPLQPVASGCQHSESIAAFFSWPTSTLMHGAAEDRANYFGNLQKGVLPGRLGHLPNGQQATTLLDLMTIRAFHSKILRRFSLGTAIGCRIRKGTLTDIPAIIVFVARKVHKKWLSHDQILPSALEGPGGVWCDVDVVEFSYYGVPTPTSKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPKQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFITFADDFDMSSVTTSVTGLGEIGDVKVIDLQSSINGLIGRQVVKVGRSSGLTNGIVMAYALEYNDEKGICFFTDLLVVGENQHTFDLEGDSGSLIILTGQDAEKPKPIGIIWGGTANRGRLKLKSGHAPENWTSGVDLGRLLDLLELDLITTSEGLQDALQDQRFALAAAINSNVGKSYPTVGNLPNEKPNEIYEPLGINIQQFPPEGASGSGADAPLVAIEFQVDTVEVANNVEEHHFIPNLLSMSPNHRTEEDNLERKNVSALINLSGEDLCVSLHLGDQEPKRRHSDQHGE >Ma09_p31300.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41065634:41070503:-1 gene:Ma09_g31300 transcript:Ma09_t31300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEAFGDTGESLRINAIQELAMAMERNLCNHLPCCNPSPLQPVASGCQHSESIAAFFSWPTSTLMHGAAEDRANYFGNLQKGVLPGRLGHLPNGQQATTLLDLMTIRAFHSKILRRFSLGTAIGCRIRKGTLTDIPAIIVFVARKVHKKWLSHDQILPSALEGPGGVWCDVDVVEFSYYGVPTPTSKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPKQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFITFADDFDMSSVTTSVTGLGEIGDVKVIDLQSSINGLIGRQVVKVGRSSGLTNGIVMAYALEYNDEKGICFFTDLLVVGENQHTFDLEGDSGSLIILTGQDAEKPKPIGIIWGGTANRGRLKLKSGHAPENWTSGVDLGRLLDLLELDLITTSEGLQDALQDQRFALAAAINSNVGKSYPTVGNLPNEKPNEIYEPLGINIQQFPPEGASGSGADAPLVAIEFQVDTVEVANNVEEHHFIPNLLSMSPNHRTEEDNLERKNVSALINLSGEDLCVSLHLGDQEPKRRHSDQHGE >Ma09_p31300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41065634:41070503:-1 gene:Ma09_g31300 transcript:Ma09_t31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDEAFGDTGESLRINAIQELAMAMERNLCNHLPCCNPSPLQPVASGCQHSESIAAFFSWPTSTLMHGAAEDRANYFGNLQKGVLPGRLGHLPNGQQATTLLDLMTIRAFHSKILRRFSLGTAIGCRIRKGTLTDIPAIIVFVARKVHKKWLSHDQILPSALEGPGGVWCDVDVVEFSYYGVPTPTSKEQLYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPKQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFITFADDFDMSSVTTSVTGLGEIGDVKVIDLQSSINGLIGRQVVKVGRSSGLTNGIVMAYALEYNDEKGICFFTDLLVVGENQHTFDLEGDSGSLIILTGQDAEKPKPIGIIWGGTANRGRLKLKSGHAPENWTSGVDLGRLLDLLELDLITTSEGLQDALQDQRFALAAAINSNVGKSYPTVGNLPNEKPNEIYEPLGINIQQFPPEGASGSGADAPLVAIEFQVDTVEVANNVEEHHFIPNLLSMSPNHRTEEDNLERKNVSALINLSGEDLCVSLHLGDQEPKRRHSDQHGE >Ma11_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8593899:8619154:1 gene:Ma11_g09510 transcript:Ma11_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVKKEIVVATEPLDDSVIDLSSSDSDWEAEGDGTAKRRRKPDGMGGPLKKSRTVGILPAGFLDPLSPEEPLPLLLPQPPRSRSPVALLRCKQFWKAGDFDESLNPNPTPLRGMDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVCNGSTFVNIDMLINKKNGSKMLLVEDNGGGMDPDKMRQCMSLGYSAKSKIANTIGQYGNGFKTSTMRLGADVIVFSRSHGKDGRRPTQTIGMLSYTFLRNTGKEDIVVPMLDYEKGKVWSKMLRSSLADWNTNLETIIQWSPYSSEADLLQQFSSVKDQGTRIVIYNLWEDDQGELELDFDADEHDIQVRGVNRDEKKIEMAMKFPNSRHFLTYRHSLRSYASILYLRLPNVFRMILRGKEIEHHNIVNDMMLKQEVTYKPQSVAEGVPKDPNMVAVVTIGFVKDAKDHIDVQGFNVYHKNRLIKPFWRVWSAAGSDGRGVIGVLEANFIEPAHDKQDFERTTILSRLEARLVQMQKTYWSNNCHRIGYAPRVNKKTIEQDDKESSPEAPVHQLSRHSLKFTSPSSGTHASKSSAPDKVGYKYLPSSSGKTGKASASAFVLGKSGNQKSGSLASSMDCGVKSAMKVGKNIRAQSRSSGIHAVDDESDSETECTASVKRTHDSNIPKAIFSSRTSDKIVAPPTLSPPPYSAHNGAQGDHTTNGVAGIERVATRSQGKASKIISGENGPSAEDSANIKQLKDENEELKKRIIKMEESTTKELQYERDRNKSLLEQFEAAQKSLEEANKEQEALIDIFSEERSRRDKEEENLRKKLKDASRTIQELLEKLAKRQNDLKS >Ma02_p12260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21061815:21062488:-1 gene:Ma02_g12260 transcript:Ma02_t12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGAVLRRSAAVVERARAHARSTRKALARFARPQSFAAPPDAEAAAVRAVRNLATFRLYYTLLLWVLLLASLFPRRRAAMLFLMAASKVALFYGALLKAFPTSALLRRVIDRRLVVALALAVILIELALTRSLPQLLLAVGIGLPIILLHAVFRVRDDLLEDGDEKAATVGGAGELASVLEKKEDLELGSQ >Ma07_p14200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10633800:10645110:-1 gene:Ma07_g14200 transcript:Ma07_t14200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHIVMSALGLGLGLGVGLGLASGQTVSRWAAPQPGSFSGVTCENIEQELKRLVVEGKDSKVTFDQFPYYLSEQTRVILTSAAYVHLKQADFSKYTRNLSPASRAILVSGPAELYQQMLAKALAHYFEAKLLLLDITDFSLKIQSKYGSAPKDSTFKRSISETTLERMSGLFGSLSIMPQREEPKVTRNLHRQSSGFEINARSESTSNAPKLRRNASASADMSCLGTQCPPLNPALLKRTISWSFDEKLLVQSLYKVLHSISKNSPIVLYLRDVDSLLFISKRMYSLFEKLLKRISGQVLILGSRKVEAGSDFREVDEKLSLLFPYNIEIKPPEDETHLVSWKAQLEEDMKMIQFQDNRNHITEVLARNDLDCDDLGSICLTDTMVLSKYIEEIVVSAISYHLMNNTDPEYRNGKLVISSKSLSHALSIFQENKLNAKGTAQLEGSADSMKESGKEDSTSAKKSETKTEASPPESKTELDKSVPVVKKDSVVPSQPPKAPEVAPDNEFEKRIRPEVIPASEIGVTFDDIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIVFVDEVDSMLGQRSRVGEHEAMRKIKNEFMTHWDGLLTKPEERILVLAATNRPFDLDEAIIRRFERRIMVGLPSQESRELILRTLLSKEKVEEKLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERLKELERKKKAEDNQAEASETKAEDREETIVLRPLNMEDMKQAKNQVAASFAAEGSIMSELKQWNELYGDGGSRKKQQLTYFL >Ma07_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10633800:10642610:-1 gene:Ma07_g14200 transcript:Ma07_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKALAHYFEAKLLLLDITDFSLKIQSKYGSAPKDSTFKRSISETTLERMSGLFGSLSIMPQREEPKVTRNLHRQSSGFEINARSESTSNAPKLRRNASASADMSCLGTQCPPLNPALLKRTISWSFDEKLLVQSLYKVLHSISKNSPIVLYLRDVDSLLFISKRMYSLFEKLLKRISGQVLILGSRKVEAGSDFREVDEKLSLLFPYNIEIKPPEDETHLVSWKAQLEEDMKMIQFQDNRNHITEVLARNDLDCDDLGSICLTDTMVLSKYIEEIVVSAISYHLMNNTDPEYRNGKLVISSKSLSHALSIFQENKLNAKGTAQLEGSADSMKESGKEDSTSAKKSETKTEASPPESKTELDKSVPVVKKDSVVPSQPPKAPEVAPDNEFEKRIRPEVIPASEIGVTFDDIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIVFVDEVDSMLGQRSRVGEHEAMRKIKNEFMTHWDGLLTKPEERILVLAATNRPFDLDEAIIRRFERRIMVGLPSQESRELILRTLLSKEKVEEKLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERLKELERKKKAEDNQAEASETKAEDREETIVLRPLNMEDMKQAKNQVAASFAAEGSIMSELKQWNELYGDGGSRKKQQLTYFL >Ma07_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7528856:7532523:-1 gene:Ma07_g10070 transcript:Ma07_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRPSDHLPPELADEAETSSTSSSISDYHPDDSPLSVSSGITESITDTSEEESAAGDSRRWCKAYFDVLRSYRNSDERAGVLRDAKDLILRHKPGDWIEEVGGTTAGDYEVPDAITLLLVGPRGSGKSTLVNRITRVFDDDFSAPDRAQVSHNLSATGGTCFLQEYMIPRKSKSLCVYDTRSLSTNLPHNFRLLQRWMTRGVSHGEMVIRDSDDFVTRKNIKSMRRQGLLSPCKRRIVNFVIFVVDGLSVLKSMDAKDDQYNEILFETFNYPFLSFKDNKPAVVVTHGDELSLSERAIIRTRLGELLGIPPIKQIFDIPGTSEFDTELAIVDMLRYSIEHADRNLAFNQSYLFEGQRIFHWIMERLQDYDVILEVVIISLCIIILCLRGIENLI >Ma03_p27990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31194580:31194846:1 gene:Ma03_g27990 transcript:Ma03_t27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIGLIIHYPAYQTSAGAIGYPDSSAWMNSGVVQQRGSPMLTQFFGVFFSTC >Ma07_p25560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32611990:32614196:-1 gene:Ma07_g25560 transcript:Ma07_t25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLLMPIIVEVDLYKCDPYDLLKMALFSTYPVLAPDYYHDPPAIQFCPPSSVLLSIVLRRTKGKSASMMMEESWTSIWTSVRGWFTPTVLFLLLNLVIGTIAVTSKSLRSHRRHHRDGVDVPPEDLPPLSRGPSAMLERIRSIGLYRFRSGEISIDAALSRTLLEAGPLETVTDPPEAAATHEDNHDHETHQYGRSRSDTREEAAGKLAGKMKKSASASSAFDHFEAAEIVRRPATARGGRGAPVAEEEEEVEVDARADDFINRFRQQLHLQRLDSILRYKEMLKRGK >Ma09_p26670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37870128:37887938:1 gene:Ma09_g26670 transcript:Ma09_t26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLLGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEDLAAATHAVQELMHKIREIKTKAEQSETMVQEICRDIKKLDCAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKISELREKFKNIKKILKSHVFSDFSSLGTGKETEETNLLQQLTDACLVVDALEPSVREELVRNFCNKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRTNEEIWKIFPPAWHVDYLLCIQFCKLTRMQIVDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGTASTRNKELGNDVEESGEGESNRQIVSDIRKKYEKKLAMQHGEAETEKDKQKDLSVPGAGFNFRGIISSCFETHLAVYVELEEKTLMEHLEKLVQEETWETEEGSQTNILSSSMQVFLIIRRSLKRCSALTKNQTLFNLFEVFQRILKAYATKLYTRLPKGGTGLVAAATGTDGQIKTSDRDERMICYIVNTAEYCHKTSGELAENVSKIIDPSFSDKVDMSEVQDEFSAVITKALVTLVHGLETKFDAEMIAMTRVPWASLESVGDQSEYVNGINSILASSIPMLGSLLSPTYFQYFLDKLAASLGPRFYLNIFKCKHISETGAQQMLLDTQAVKTILLEIPSLGKQTAVATSYSKFVSREMSKAEALLKVILSPIDSVANTYRALLPEGTPAEFQRILDLKGLKKADQQAILDDFNKQNSSIRHPSVAPSVAIPSAPPAPAAPPLTATSTTSTSTLSSNTSASVAIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >Ma09_p26670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37870135:37887938:1 gene:Ma09_g26670 transcript:Ma09_t26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLLGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEDLAAATHAVQELMHKIREIKTKAEQSETMVQEICRDIKKLDCAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKISELREKFKNIKKILKSHVFSDFSSLGTGKETEETNLLQQLTDACLVVDALEPSVREELVRNFCNKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRTNEEIWKIFPPAWHVDYLLCIQFCKLTRMQIVDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGTASTRNKELGNDVEESGEGESNRQIVSDIRKKYEKKLAMQHGEAETEKDKQKDLSVPGAGFNFRGIISSCFETHLAVYVELEEKTLMEHLEKLVQEETWETEEGSQTNILSSSMQVFLIIRRSLKRCSALTKNQTLFNLFEVFQRILKAYATKLYTRLPKGGTGLVAAATGTDGQIKTSDRDERMICYIVNTAEYCHKTSGELAENVSKIIDPSFSDKVDMSEVQDEFSAVITKALVTLVHGLETKFDAEMIAMTRVPWASLESVGDQSEYVNGINSILASSIPMLGSLLSPTYFQYFLDKLAASLGPRFYLNIFKCKHISETGAQQMLLDTQAVKTILLEIPSLGKQTAVATSYSKFVSREMSKAEALLKVILSPIDSVANTYRALLPEGTPAEFQRILDLKGLKKADQQAILDDFNKQNSSIRHPSVAPSVAIPSAPPAPAAPPLTATSTTSTSTLSSNTSASVAIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNP >Ma08_p06930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4724592:4726170:1 gene:Ma08_g06930 transcript:Ma08_t06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPAALTISDVSVPPSSLSLLRISLKNNNMITSKNALVGSSHLLSCSQLIITPTSPTASDINNSFAVLNNSSILQRSHNRVQRSNTSSKLNLTPQSETIPFQVSLQCKQEESPSVLAQRVASFLPFRGLSSFV >Ma08_p06930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4724592:4726170:1 gene:Ma08_g06930 transcript:Ma08_t06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPAALTISDVSVPPSSLSLLRISLKNNNMITSKNALVGSSHLLSCSQLIITPTSPTASDINNSFAVLNNSSILQRSHNRVQRSNTSSKLNLTPQSETIPFQVSLQVFFEWSTAVRSLSLSLAWWSTAAPSAGLEGLLYHHTCMPCFCWWQCKQEESPSVLAQRVASFLPFRGLSSFV >Ma01_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5035931:5038585:-1 gene:Ma01_g06970 transcript:Ma01_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDASGLQYSPSVTGGGVDGLGEDLFAAPSFQLPDSFDMVKPAKGTTTLAFILKNGVIVAADSRAGMGGYIPSSTVVRHCSFGHRLLLPLPSSTAINPYMLGTMAGGAADCQFWHRNLGINTAYNNWQTRDGSQSLVLQSY >Ma04_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:315193:316205:1 gene:Ma04_g00300 transcript:Ma04_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFVLQSLVRLISRILNLQKIPIIPSLCRIPPSLLYNPRETTSPQEQANMANKAILTACLLLMLLVIAFGVQCAMAAQVQEGACIVASDCKCDENCYTNCLGGHCYCIC >Ma05_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28003640:28005647:1 gene:Ma05_g19560 transcript:Ma05_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAHHHHHLPLLLLLTFGRVVAADSQLKTLLVTIFVIVDALVLLILLWTIYAFCRRLKAKETGKENPPPPPPPPPTAVAVEIIEKGEGMRCDEEEDAVVQEPGKITFATDGGGFGIDELLKASAEGLGKGSFGSCYKAMLDGARMVVVKRLRGIGPVTKEEFLKQMRSLSAMEHPNLLPLLGYYYSSTEKLVISNYACHGNLFDRIHGGRRRADRTPFTWSSRLQVAQGVAQAMEFLHLNMRSSVPHGNLKATNVLLDENDKPLVCDHGLAPLIPASLAVHRMVCYKSPEYQLNRSMSRKTDVWSYGCLLLELITGRVAANSRPGGVRSVELCHWVNRAVREEWTGEVFDAEMLVQKRATSGMIMLLKLALWCCEQSPEKRPEMAEVARELERITAGEADAEDD >Ma04_p07500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5413439:5418643:-1 gene:Ma04_g07500 transcript:Ma04_t07500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRTQMLGILFLMCWAATIQAEYMKYKDPNQPVNVRVKDLMKRMTLAEKIGQMTQIERKDASPQVLKDYLIGSILSGGGSVPAPQASAKDWVNMINEYQKACLSTRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPDLVKRIGVATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRVVQAMTQIILGLQGDIPANYTKNFPYVSGKKHVAACAKHFVGDGGTQKGINENNTIIDFHGLLSIHMPAYYDSVAKGVSTIMASYSSWNGIKMHANRYLITDFLKKKLGFKGFVISDWQGIDRITTPPDANYTYSVQVSVNAGIDMVMVPDDYPGFFNTLTTLVNAKVIPMSRIDDAVRRILRVKFVMGLFDNPLADYNLVDQLGKKEHRELAREAVRKSLVLLKNGKSSKKPLLPLPKKAGKILVAGSHADNLGYQCGGWTIEWQGSSGRITGGTTILEAIKSTVDPSTNVAFSENPDAGFVKSNNFSYAIVVVGEPPYSETAGDSLNLTIPEPGPSTIQSVCGAVKCVVVIISGRPVVVESYVPLMDALVAAWLPGSEGQGVADVLFGDFGFTGKLPRTWFKSVDQLPMNVGDKNYDPLFPFGFGLTTSTV >Ma04_p07500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5413439:5418643:-1 gene:Ma04_g07500 transcript:Ma04_t07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRTQMLGILFLMCWAATIQAEYMKYKDPNQPVNVRVKDLMKRMTLAEKIGQMTQIERKDASPQVLKDYLIGSILSGGGSVPAPQASAKDWVNMINEYQKACLSTRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPDLVKRIGVATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRVVQAMTQIILGLQGDIPANYTKNFPYVSGKKHVAACAKHFVGDGGTQKGINENNTIIDFHGLLSIHMPAYYDSVAKGVSTIMASYSSWNGIKMHANRYLITDFLKKKLGFKGFVISDWQGIDRITTPPDANYTYSVQVSVNAGIDMVMVPDDYPGFFNTLTTLVNAKVIPMSRIDDAVRRILRVKFVMGLFDNPLADYNLVDQLGKKEHRELAREAVRKSLVLLKNGKSSKKPLLPLPKKAGKILVAGSHADNLGYQCGGWTIEWQGSSGRITGGTTILEAIKSTVDPSTNVAFSENPDAGFVKSNNFSYAIVVVGEPPYSETAGDSLNLTIPEPGPSTIQSVCGAVKCVVVIISGRPVVVESYVPLMDALVAAWLPGSEGQGVADVLFGDFGFTGKLPRTWFKSVDQLPMNVGDKNYDPLFPFGFGLTTSTV >Ma04_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5413439:5418643:-1 gene:Ma04_g07500 transcript:Ma04_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWRTQMLGILFLMCWAATIQAEYMKYKDPNQPVNVRVKDLMKRMTLAEKIGQMTQIERKDASPQVLKDYLIGSILSGGGSVPAPQASAKDWVNMINEYQKACLSTRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPDLVKRIGVATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRVVQAMTQIILGLQGDIPANYTKNFPYVSGKKHVAACAKHFVGDGGTQKGINENNTIIDFHGLLSIHMPAYYDSVAKGVSTIMASYSSWNGIKMHANRYLITDFLKKKLGFKGFVISDWQGIDRITTPPDANYTYSVQVSVNAGIDMVMVPDDYPGFFNTLTTLVNAKVIPMSRIDDAVRRILRVKFVMGLFDNPLADYNLVDQLGKKEHRELAREAVRKSLVLLKNGKSSKKPLLPLPKKAGKILVAGSHADNLGYQCGGWTIEWQGSSGRITGGTTILEAIKSTVDPSTNVAFSENPDAGFVKSNNFSYAIVVVGEPPYSETAGDSLNLTIPEPGPSTIQSVCGAVKCVVVIISGRPVVVESYVPLMDALVAAWLPGSEGQGVADVLFGDFGFTGKLPRTWFKSVDQLPMNVGDKNYDPLFPFGFGLTTSTV >Ma08_p32240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42931674:42936545:-1 gene:Ma08_g32240 transcript:Ma08_t32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHRIRMAHNLIIHYRLHRLMERFNLDYDCPIFEGLFQFCQASAGGSIGAAVKINRGDADIAINWAGGLHHAKKCGASGFCYVNDIVLGIIELLKFHRRVLYVDIDIHHGDGVEEAFLTSDRVMTVSFHKYAEGNYFPGTGNIKDVGFGQGMYYALNVPLNDGMDDENFRGLFRPIIQKVMEIYQPDVVVLQCGADSLAGDRLGSFNLSVKGHADCLQYLRSFNVPMMVLGGGGYTMCNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHFQPRTVENKNFPKELENIRNMLMDHLSHIEHAPSVQFQARPPGTEAPEEEDEDMENRTQPKLWSGEYYDSDNLEDDKKPDPENVA >Ma01_p13610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9953701:9961403:1 gene:Ma01_g13610 transcript:Ma01_t13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQKAIAALNAMKAIGIPMQMAKPVLKNLLKVYENNWEYIEAENYRVLADAILDIQESQEKDMGGKGKDVIASDDPEPCRMRVRARQDDHPPPPSNANPNLAGETSQKRPRLEAGVSREHKGDGPVSSLRSQGIMNDEPSLPQPSFGKAANQDLLQRPLTRQTTAEVVPPQTCNISDRAGQTSSHLNHRQIKTPNQDKRDNSIILKEPKVEPGTEFLQKHHTGNCSSDPVSPKDEPCEYDSPVSETPIAMIHPSQPHSSGNIGQQSCHISSKGHSTLQQNVDGSMTQKGQRNNAKESLPVNAFTTGTTSELLSVQESSSFNVDVASSDLGEVKLTFSCSSDRPDFHVPNLETVFKRVEDRCLKSYRILQPSFSFVNLMKEMCECFLELGSEATDDKQENVMQIIPTIDALKKPIMPCAYDAMSACLNNSFFPTALDNMDVTLSSDPSPIQKDTLINQSGMIQKMKEPEIPKSRSLTSCSLVVVQPQHALGRSRLLHDVNDICKGEERVRISVVNEISREEYPPSFNYIPRNIVYQNAYVSFSLARIGDEDCCADCFSDCLAAPIPCTCARETGGEFAYTSDGLMKKEFLDECISMYREPQKHHLFYCKDCPLERSKNDVLPEACKGHLVRKFVKECWSKCGCSMHCGNRVVQRGITRNLQVFLTSEGKGWGLRTLDELPRGAFVCEYVGEVLTNMELYDRTMQTTGNAEHTYPVLLDADWGSEGALKDEEALCLDATFYGNVARFINHRCFDANLIEIPVEVETPDHHYYHLAFFTTRKLEAFEELTWDYGIDFDDHEHPIKAFKCRCGSRFCRDIKRRNGK >Ma01_p13610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9953701:9962308:1 gene:Ma01_g13610 transcript:Ma01_t13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQKAIAALNAMKAIGIPMQMAKPVLKNLLKVYENNWEYIEAENYRVLADAILDIQESQEKDMGGKGKDVIASDDPEPCRMRVRARQDDHPPPPSNANPNLAGETSQKRPRLEAGVSREHKGDGPVSSLRSQGIMNDEPSLPQPSFGKAANQDLLQRPLTRQTTAEVVPPQTCNISDRAGQTSSHLNHRQIKTPNQDKRDNSIILKEPKVEPGTEFLQKHHTGNCSSDPVSPKDEPCEYDSPVSETPIAMIHPSQPHSSGNIGQQSCHISSKGHSTLQQNVDGSMTQKGQRNNAKESLPVNAFTTGTTSELLSVQESSSFNVDVASSDLGEKDTLINQSGMIQKMKEPEIPKSRSLTSCSLVVVQPQHALGRSRLLHDVNDICKGEERVRISVVNEISREEYPPSFNYIPRNIVYQNAYVSFSLARIGDEDCCADCFSDCLAAPIPCTCARETGGEFAYTSDGLMKKEFLDECISMYREPQKHHLFYCKDCPLERSKNDVLPEACKGHLVRKFVKECWSKCGCSMHCGNRVVQRGITRNLQVFLTSEGKGWGLRTLDELPRGAFVCEYVGEVLTNMELYDRTMQTTGNAEHTYPVLLDADWGSEGALKDEEALCLDATFYGNVARFINHRCFDANLIEIPVEVETPDHHYYHLAFFTTRKLEAFEELTWDYGIDFDDHEHPIKAFKCRCGSRFCRDIKRRRRRSRALVQ >Ma01_p13610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9953701:9962308:1 gene:Ma01_g13610 transcript:Ma01_t13610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARQKAIAALNAMKAIGIPMQMAKPVLKNLLKVYENNWEYIEAENYRVLADAILDIQESQEKDMGGKGKDVIASDDPEPCRMRVRARQDDHPPPPSNANPNLAGETSQKRPRLEAGVSREHKGDGPVSSLRSQGIMNDEPSLPQPSFGKAANQDLLQRPLTRQTTAEVVPPQTCNISDRAGQTSSHLNHRQIKTPNQDKRDNSIILKEPKVEPGTEFLQKHHTGNCSSDPVSPKDEPCEYDSPVSETPIAMIHPSQPHSSGNIGQQSCHISSKGHSTLQQNVDGSMTQKGQRNNAKESLPVNAFTTGTTSELLSVQESSSFNVDVASSDLGEVKLTFSCSSDRPDFHVPNLETVFKRVEDRCLKSYRILQPSFSFVNLMKEMCECFLELGSEATDDKQENVMQIIPTIDALKKPIMPCAYDAMSACLNNSFFPTALDNMDVTLSSDPSPIQKDTLINQSGMIQKMKEPEIPKSRSLTSCSLVVVQPQHALGRSRLLHDVNDICKGEERVRISVVNEISREEYPPSFNYIPRNIVYQNAYVSFSLARIGDEDCCADCFSDCLAAPIPCTCARETGGEFAYTSDGLMKKEFLDECISMYREPQKHHLFYCKDCPLERSKNDVLPEACKGHLVRKFVKECWSKCGCSMHCGNRVVQRGITRNLQVFLTSEGKGWGLRTLDELPRGAFVCEYVGEVLTNMELYDRTMQTTGNAEHTYPVLLDADWGSEGALKDEEALCLDATFYGNVARFINHRCFDANLIEIPVEVETPDHHYYHLAFFTTRKLEAFEELTWDYGIDFDDHEHPIKAFKCRCGSRFCRDIKRRRRRSRALVQ >Ma07_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31689651:31698327:-1 gene:Ma07_g24280 transcript:Ma07_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP15-1 [Source:Projected from Arabidopsis thaliana (AT3G25220) UniProtKB/Swiss-Prot;Acc:Q38935] MARLHISVLFAAALILLVSAKKSGDVTELQIGVKYKPESCTIQAHKGDKIKVHYRGSLTNGEVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGAQGSPPKIPGGATLIFDTELVAVNGKSSSDAGKSTVDESEL >Ma03_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1072783:1074787:1 gene:Ma03_g01540 transcript:Ma03_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDVYSSMPGSSSSDPLMEALDPFIKGAPTSCYPSSSSFASSESFCYPSSSPVSPFSPYLHQDPSFDPSLLSESSYEMPPQHLLNQKDDFLGCLSSVGFSYLFPAHIQQIRAQFQYQQQLMAAASERRNLGNADPLAARRRPMKHAGSPPSPPKPTKLFRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDRAAFELRGDAARLNFPELRRNGSHMGPPLHSSVDAKLQTIYDTMANSQKPKSALPGSATTEEPGTSSEDNKSESSSSLEEDEPCSGSSAVSAMQHLDFSEAPWDESESFVLRKYPSWEIDWDSILSSD >Ma03_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5400785:5401024:-1 gene:Ma03_g07670 transcript:Ma03_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFEALSEELLKMSLFVLVQALIYFILSNSSDVFSSTKTRSFSFRTARSASLRHMLALLSDLPSDGEPSPRPSDLKDQ >Ma02_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23605911:23608105:-1 gene:Ma02_g16130 transcript:Ma02_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSKILIIGGTGYIGKFIVFASARLGNPTFALVRSTTAPAGQPEKAKLLSDFQAAGVTLVQGDIYNHESLVKAIKLVDVVISPVGFGQLSDQTKIIDAIKEAGGHIKRYLPSEFGNDVDRSHAVEPAKSTFVVKQQIRRAVEASGIPYTFVSSNFFGGYFLPVLGQAGATGPPTDKVVILGDGNTKAIFLNEDDIGTYTIKAVDDPRTLNKVLYLRPSANILSHNELISLWEKKVGKTFERVYVPEEEVLKQIQEAPIPLNVMLSICHSAFVKGDHTNFEIEPSFGVEATALFPDVKYTTVDEFLNRFL >Ma06_p29690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31103639:31107221:-1 gene:Ma06_g29690 transcript:Ma06_t29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPNLLLRQLVRVLLLSTLSCMLSPFVVSAGGADLPTPLNDEVLGLIVFKSVLEDPAGALATWNEADATPCNWSHVECGVASSRVVRLDLASLSLSGPLPRGLDRLPALASLSLADNNLSGPIPPGLSLLPSLRSLDLSRNAFSGRLPDDLSLLSSIRSLDLSSNSLAGPIPDSFFSSSHSSATTCGSLRYLSLAGNRFEGPLPSTLPQCSFLLHLNLSDNRFSGAPDFANGLWPLSRLRVLDLSRNSFSGPIPAGIGDLHNLKHLQLNHNQFSGVIPAGIGLCPHLDTLDLSFNSFDGHLPDSVQYLSSMTFLSLSNNQLSGDVLPWIGNLTSVQHLDLSNNKFTGSLPPSLGGLKELTYLSLSNNKLTGTIPDAVAECSKLTELRLKGNRLNGSIPQGLFNLGLEVLDLSSNELSGAMPPGSTRISETLHSLDLSANQLTGAIPPEMASYFSLRFLNLSWNELRAPLLPEFGLFRYLTVLDLRSSKLYGTIPADMCKSGSLSVLQLDGNSFSGPIPDEIGNCSSLYLLSLSHNSLNGSIPASLSRLKKLEILKLEFNNLSGEIPQQLGGLDNLLAVNISHNRLIGRLPMEGIFQSLDGSALQGNLGLCSPLVLEPCKMNVPKPLVLDPDAYTRGNGNNMVTVDPANPVVVRHRKFLSISSMVAISAALVIASGVLVITLLNMSARRRIVQLENALESKCSSSTRSTGTPAAGKMVVFGPKNDLRSEDLAGSAEALLAKATEIGRGVFGTVYRASMGEGRTVAIKKLLTANIVQYHDDFDREVRVLGKVRHPNLMPLRGYYWTPQLQLLISDYARHGSLHSRLHENPESMPPLSWADRFHIVIGTADGLAHLHQSFRPPIIHYNLKPTNILLDESCNPIISDFGHARLLPKLDKHIISSRFQSAMGYMAPELACQSLRVNEKCDVYGFGVLILELVTGRKPVEYRDDDVVILIDQVRLLLEQGKALECIDASMGEYPEEEVLPVLKLGLVCASQIPSSRPSMAEVVQILQVIKTPVLERMEAF >Ma09_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35452858:35455014:-1 gene:Ma09_g23630 transcript:Ma09_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFRDTEYNFVNEDSPKLGNTRKVSVIPLVFLIFYEVSGGPFGIEDSVQAAGPLLAILGFLIFPFIWSIPEALVTAEMGTMFPENGGYVVWVSSALGHFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPDFGGGLPRTVAVLILTVALTYMNYRGLNIFFSKRSRHGTPLVGILFSASGVLLLSWMSFQEIIAAENFLYCFGMILEYVAFIKLRLSHPNASRPYKVPLGTAGCILMIVPPTILICVVLALASFKVMIVSIIAMLIGSVLQPCLKHVEKKKLLKFTLNSDLPDFRESEHESAVESLIA >Ma07_p21760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29834096:29835313:1 gene:Ma07_g21760 transcript:Ma07_t21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKTTLANKVYNSQAVKDHFRCRAWINMSQSYTARELLTNIMKQTLNIENNQIREMAEQEVSDWETINTAFPEEFTASRESWNLFSKKAFSNASGLLRRKHGAYEWSQTLERISHAPNQTDDQTHKILALKMYLIELINRCMIQVERRNEIGSVVSVRIHDLLLDLARYESRKLNFCRSISDKGDSTDVRRLSITDDEGVHRYTSLGFSIPKLRSLLFLLTYDVDMPSKSMIHGFKFLRVLDLQFVSIRSLPSEIGDLILLRYLNLTFSNV >Ma03_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2259028:2260993:1 gene:Ma03_g03360 transcript:Ma03_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNAATDWHGSVHHKNFCMGTADSWVDEFLDFSAAKRAAHRRSASDSIAFLEAPVESVGVGGGHEFGRLDDDQLMSMFSDEAPHSPSDAVPIDSSNPLQASDDNHTDGEKIEEKRRIYEAHNVPQIGRCAEAKLAAGSEQNFDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLETEVSALSPRVAFLDQQRSLLTMGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYYQQSLKKMADATATDTTLRGEELRSSCNAATDCAPTT >Ma07_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11354160:11359896:1 gene:Ma07_g15120 transcript:Ma07_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELANGDQHTITRPPPIPSPLRFSKFFQSNLRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLKKWFGHPRFELIRHDVTETLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGDNTGPINIGNPGEFTMMELAETVKELINPAVPLKNVENTPDDPRQRKPDITKAKELLGWEPKITLREGLPFMEEDFRQRLGVPKQHID >Ma07_p15120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11354229:11359896:1 gene:Ma07_g15120 transcript:Ma07_t15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELANGDQHTITRPPPIPSPLRFSKFFQSNLRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLKKWFGHPRFELIRHDVTETLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQKEEYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGLEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGDNTGPINIGNPGEFTMMELAETVKELINPAVPLKNVENTPDDPRQRKPDITKAKELLGWEPKITLREGLPFMEEDFRQRLGVPKQHID >Ma10_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26920123:26922340:-1 gene:Ma10_g14490 transcript:Ma10_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPVGDLGFLRGFPNGLGFGFGSDSSSSSTVLDLERREFVKAPSRLGGKKEGGGVVDAKTALALKSHSEAERRRRERINHHLAVLRSMIPCADKLDKAALLTQVINHVKKLKKNAAEISEGYTIPSDVDEVRVEVEGDATKSGSFIVKASLCCEEGPEILTDLRQTLQSLHLKIIRAEISTLGGRVKNVLVMTSDGSSRAIDKHVLMATVHQALKSILDRVNSQVDFLPRTSFSSKRRRISPFESSSSSSSLLY >Ma11_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5327805:5328505:-1 gene:Ma11_g06560 transcript:Ma11_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQDLDCVLVPAGLTIMLAYHLWLLRRIAKHPTKTVSLFLSLKCIPVHADVGIMIQNSTKNGVVAIQTLRNNIMASTLLATTAFMLCSVIVYLMTNSGGNGSSSKHSGQDLVLGDRSKLGLSVELFSILVCFVFALLLNIEAVRYYSHVSILINVPLRGRRSPAHLDTAEYVAKAMNKGSYFWSGGLHPFDLSLPFFLWISGPISMVIRCLLLVCFLYRGGEREWWWWWR >Ma05_p08630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6371575:6375486:1 gene:Ma05_g08630 transcript:Ma05_t08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSPAEHLCYVRCTYCNTVLAVGVPCKPVMDTITVRCGHCNHLSFLSSRTMVQSHSCNDFQMGLQGACADCLRGQASSSSSSSSTSSEQTTQKPTFVVKPPEKKHRMPSAYNRFMREEIQRIKASKPDIPHREAFSMAAKNWAKCDPRCSIIVSTSGDDKLSPTEQVESSSSPTMESSSLYKQMEEED >Ma04_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22097937:22100255:-1 gene:Ma04_g19490 transcript:Ma04_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carotenoid cleavage dioxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19170) UniProtKB/Swiss-Prot;Acc:O49675] MDVLLISSSSSVSATSRRLRMLGPSRLPPPTSSSFPPRSFLRISAVRIEEKPRAAEPKTKTAPTSTATTTTTTTPYQDNKQDSGISQRTNHRSIPPRLQPAPVSRVRAAPSPQATFCNALDELINNFIDPPVLRPSVDPRHVLSNNFAPVDELPPTSCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPPAGSDGMAPAILCSRYVRTYRYLLERDAGAPILPSIFSAFHGAAGMARGAVSAVRVLTGQMNPAEGVGLANTSLAFFGGRLYALGESDLPYAVRVSSDDGDVATLGRCDFEGRLFMGMTAHPKKDPVTGELFAFRYGPVPPFLTYFWFDSDGNKSGDDVPIFSMRQPSFLHDFAITERYAIFPDLQIVMKPMDMVLGGGAPVGSDNGKVPRIGVLPRYATSEAEMRWFEVPGFNPVHALNAWEDGDELVLVAPNVLSVEHALDRMELVHSCLEMVRIDLRSGAVSRTPMSAANIDFGVINPRYMGRKNRYAYLGVGDPMPKISGVVKLDLTLAGKSDCVVARRDFGSTCFGGEPFFVADGEREGEDEGYVVSYVHDEGSGESRFVVMDARSPDLDIVAEVLLPHRVPYGFHGLFVSQAELRSQRP >Ma07_p27980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34141959:34148805:1 gene:Ma07_g27980 transcript:Ma07_t27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSKSRNRSGSLKYSLRSRKCFLQSKKCFRRTRKCFLRSRKCSLRSRKCHGKILDPILDASKIHIADAENRSTDFAFSEFVHVETAATTYKRSEVSNLTFHLTQLQWHHNQMDANGICQEESWFDSVSILESESDDDVVSVHGDSIPSVNPIGTQTLQYGNASRFNKHSKEGHETEDKSRVNKTSSCVCKLVPSVSFNDKIQQPNTCLPCQKKRSAVINLSYKRNSFDGEELTEFCASKRFLYHPKGGLVIPCSPSGKSSPGCWSFLEPSTFKLRGESYFRDKKKCPAPNYAPYYPIGVDLFVCPQKIHHIAQHIELPYVKPHEKVPSLLIANIQMPTYPAAMFLGDSDGEGMSLVLYFKISECYDKEVSSSLQDLIRRFIDDETEKVKGFAMESSIPFRERLKIMVGVANPEDLLLSAAEKKLIQAYNGKPVLSRPQHNFYSGANYFEIDLDIHRFSYISRKGLESFRERLKHGILDLGLTIQAQKPEELPEQILCCLRLNKIDFVNHGQIPTIVALDDDRSRSI >Ma05_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9921173:9926073:1 gene:Ma05_g13660 transcript:Ma05_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYWDFLKWLGTDASTSVITLLDDPADLVRLSAVSWSLRRFVIVNKFCKILCSRFCPDTLNFPLVTEVSNTSKIAEVGSSSALEWESLEREHRAYALLCHLIVSLEGKRDCIHRAVCASSTDNYPDESIENTLESSDLVDQRPSYWSSGGQYDPGVSESLTYRLTANLCVVREIKIQPFKAFFQPDHPIYSAKFVRFRMDHSRLRQGTDNCEEQQLASDDYDKWTYVSPEFPMEQENVLQSFKLPHPVICVGGMLQIELMGRVQKQAVDDLYYICVCHVQVMGSPLSPFFEVEIDDASGSLVLKHFPQSKCGTVPVGTAEAKITEMFPGIRLQPD >Ma03_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2345857:2351591:-1 gene:Ma03_g03490 transcript:Ma03_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSVPCPHIALSWPPDGSVTLEWIRRLTAILDWSSRNLPPSDLPTLLPSPVLLRILLAASDVLHKEPNCVRVQAVDDLSGVVVVGDVHGQLHDVLFLLEDAGFPGEDRLFVFNGDYVDRGAWGLETFVLLLAWKVFLPHRVFLLRGNHESKYCTSVYGFENEVMVKYGDQGKQVYRKCLRCFEGLPLASIIADCIYTAHGGLFRSMNITPSKRSKGKKGQKIVTNSNTSSLKLGSLEELSKARRTVLDPPWEGSNLIPGDVLWSDPSLGLGLSPNEERGIGLLWGPDCTEEFLKKNQFKLIIRSHEGPDARVKRHNLTGMDTGYTIDHDVESGKLITLFSAPDYPQFQASKERYNNRGAYIVLQPPDFSTPFFHTFEAIKPRPQVSAYYDYEEVIDSDEELDLKSMNNGSSTEGVASGQTD >Ma09_p31330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41095879:41098624:1 gene:Ma09_g31330 transcript:Ma09_t31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKEGGPSMSLSTLLRAATSVLGGSRDRFPSDSSMMNEWSFFTKDPAEIPWIDFGGEYIVESSGVFTTTEKVCFHLKACCTFLTS >Ma05_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27328316:27329050:1 gene:Ma05_g19230 transcript:Ma05_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFALHWPEQAVKEAIEKGRAFKVTFRVNAYDRKEAFCTVNGLPVDVLISGADAQNRAIEGDVVAVMLDPVVYWTKLRGSNDALISKASTDSTKNRDSGEAARALGRIRATLSCNPSKRPNGRVLSIIRSSPRREAVIGLLATNPWFPEGEEYERELDYIQVIPTNSKLQM >Ma05_p16420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16485742:16491653:1 gene:Ma05_g16420 transcript:Ma05_t16420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSMEDTETVSSGIESAGMMLEQLGKAFADLESHKESSLQSKIKWDEIKEHFQSLERLLKDKLEEVKVKKKAFEEKQSEAQALIAEKEAAVSAKEHASLARLQELRDSAVSAIAEARHKYKVASPEPIDVRGSKEKKVSTSPNDINAPNPASEEKNPDNASCEVRPRLKQLCQQMDAKGLLKFILEKKRNLGSLREELSLALKYATEPARLVLESLEGFYPLDQSNSPGNEDNTLQGLRRSCLLVMESAAPLLGSTEQGVNPLSSEIKQQAKEIADKWRPKLAGLNLDASNGYSLEAQAFLQLLATFSIAPEFDEDELCKLVIAVSRRRQAPEFCRSLGLTHKTPGLIEDLASKGRQIDAVHFAHAFQLTDQFPPVPLLKAYLRDLKDAKETNEDTSTAAVQKDSASDELGAIRAVIKCIEEYKLQEEYPLDPLQKQVAQLEKAKADKKRGGDTAKFQPKRPRASGGYVPRRHAGAVNNWQRPPLAFDHRAPYAGGAERYAYNVPPAYEAPPVHAAYGQQAHPQRAYHYPDERGPSVPYGGATANYGSYTNTTLHSASNNYANYMGPGVPSASSTYGGYTGTSYQPPHQSYM >Ma05_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16486491:16491653:1 gene:Ma05_g16420 transcript:Ma05_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSMEDTETVSSGIESAGMMLEQLGKAFADLESHKESSLQSKIKWDEIKEHFQSLERLLKDKLEEVKVKKKAFEEKQSEAQALIAEKEAAVSAKEHASLARLQELRDSAVSAIAEARHKYKVASPEPIDVRGSKEKKVSTSPNDINAPNPASEEKNPDNASCEVRPRLKQLCQQMDAKGLLKFILEKKRNLGSLREELSLALKYATEPARLVLESLEGFYPLDQSNSPGNEDNTLQGLRRSCLLVMESAAPLLGSTEQGVNPLSSEIKQQAKEIADKWRPKLAGLNLDASNGYSLEAQAFLQLLATFSIAPEFDEDELCKLVIAVSRRRQAPEFCRSLGLTHKTPGLIEDLASKGRQIDAVHFAHAFQLTDQFPPVPLLKAYLRDLKDAKETNEDTSTAAVQKDSASDELGAIRAVIKCIEEYKLQEEYPLDPLQKQVAQLEKAKADKKRGGDTAKFQPKRPRASGGYVPRRHAGAVNNWQRPPLAFDHRAPYAGGAERYAYNVPPAYEAPPVHAAYGQQAHPQRAYHYPDERGPSVPYGGATANYGSYTNTTLHSASNNYANYMGPGVPSASSTYGGYTGTSYQPPHQSYM >Ma05_p16420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16485742:16491653:1 gene:Ma05_g16420 transcript:Ma05_t16420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSMEDTETVSSGIESAGMMLEQLGKAFADLESHKESSLQSKIKWDEIKEHFQSLERLLKDKLEEVKVKKKAFEEKQSEAQALIAEKEAAVSAKEHASLARLQELRDSAVSAIAEARHKYKVASPEPIDVRGSKEKKQLCQQMDAKGLLKFILEKKRNLGSLREELSLALKYATEPARLVLESLEGFYPLDQSNSPGNEDNTLQGLRRSCLLVMESAAPLLGSTEQGVNPLSSEIKQQAKEIADKWRPKLAGLNLDASNGYSLEAQAFLQLLATFSIAPEFDEDELCKLVIAVSRRRQAPEFCRSLGLTHKTPGLIEDLASKGRQIDAVHFAHAFQLTDQFPPVPLLKAYLRDLKDAKETNEDTSTAAVQKDSASDELGAIRAVIKCIEEYKLQEEYPLDPLQKQVAQLEKAKADKKRGGDTAKFQPKRPRASGGYVPRRHAGAVNNWQRPPLAFDHRAPYAGGAERYAYNVPPAYEAPPVHAAYGQQAHPQRAYHYPDERGPSVPYGGATANYGSYTNTTLHSASNNYANYMGPGVPSASSTYGGYTGTSYQPPHQSYM >Ma06_p19310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13248894:13249738:1 gene:Ma06_g19310 transcript:Ma06_t19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRADGDSSTVKEARPPVIVWNKERERFETEDKEAFLQYHLRDVGLVAAAANSSDNPEQEKRKGAAVVMDMVHTYVPRSKRGMGLAALLCDAAFAHAQCHSMLVIPTCSYISDTYLPRNPAWNFLVCNGEPKSSM >Ma03_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2361552:2364320:-1 gene:Ma03_g03510 transcript:Ma03_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATIQQPQQSRAMDAFEKLEKVGEGTYGKVYKAREKATGKIVALKKTRLPEDDEGVPPTTLREVSLLRMLSVDPHIVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKYIRSFRQVHEMIPPKTVKILMYQLCKGLAFCHGRGVLHRDLKPHNLLMDRKSMMLKIADLGLSRAFTIPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELVTTQALFPGDSELQQLLHIFRLLGTPNEEVWPGVSRLTNWHEYPQWSPKSLSSAVPTLEANGLDLLSKMLQYEPSKRISAKKAMEHPYFDDVNKASY >Ma06_p35270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35204206:35207924:-1 gene:Ma06_g35270 transcript:Ma06_t35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLRTSRADIESGLPGFIPERRLMRMHVGGRPVNNNSTTFLLTVLVLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATWQQLQAQAQAHAAAASTFLGGTELRLHVPPSVAFATRGRLQSLRLQLALLDREFDDLDYDALRALDSDNPPDAPSMTEEEINALPVHKYKVQSRQGSATGRQSGGSLPQQASSSSISATEKRQESSKLDGDLKTPEDELTCSVCLEQVSVGELIRSLPCLHQFHAICIDPWLRQQGTCPVCKHRVNSGWHAGDDGGADMV >Ma06_p35270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35204206:35207858:-1 gene:Ma06_g35270 transcript:Ma06_t35270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLRTSRADIESGLPGFIPERRLMRMHVGGRPVNNNSTTFLLTVLVLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATWQQLQAQAQAHAAAASTFLGGTELRLHVPPSVAFATRGRLQSLRLQLALLDREFDDLDYDALRALDSDNPPDAPSMTEEEINALPVHKYKVQSRQGSATGRQSGGSLPQQASSSSISATEQKRQESSKLDGDLKTPEDELTCSVCLEQVSVGELIRSLPCLHQFHAICIDPWLRQQGTCPVCKHRVNSGWHAGDDGGADMV >Ma06_p35270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35204206:35207861:-1 gene:Ma06_g35270 transcript:Ma06_t35270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLRTSRADIESGLPGFIPERRLMRMHVGGRPVNNNSTTFLLTVLVLFMILNSHQMSPNFLQLQAQAQAHAAAASTFLGGTELRLHVPPSVAFATRGRLQSLRLQLALLDREFDDLDYDALRALDSDNPPDAPSMTEEEINALPVHKYKVQSRQGSATGRQSGGSLPQQASSSSISATEQKRQESSKLDGDLKTPEDELTCSVCLEQVSVGELIRSLPCLHQFHAICIDPWLRQQGTCPVCKHRVNSGWHAGDDGGADMV >Ma06_p35270.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35204206:35207924:-1 gene:Ma06_g35270 transcript:Ma06_t35270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLRTSRADIESGLPGFIPERRLMRMHVGGRPVNNNSTTFLLTVLVLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATWQQLQAQAQAHAAAASTFLGGTELRLHVPPSVAFATRGRLQSLRLQLALLDREFDDLDYDALRALDSDNPPDAPSMTEEEINALPVHKYKVQSRQGSATGRQSGGSLPQQASSSSISATEQKRQESSKLDGDLKTPEDELTCSVCLEQVSVGELIRSLPCLHQFHAICIDPWLRQQGTCPVCKHRVNSGWHAGDDGGADMV >Ma06_p35270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35204206:35207857:-1 gene:Ma06_g35270 transcript:Ma06_t35270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLRTSRADIESGLPGFIPERRLMRMHVGGRPVNNNSTTFLLTVLVLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATWQQLQAQAQAHAAAASTFLGGTELRLHVPPSVAFATRGRLQSLRLQLALLDREFDDLDYDALRALDSDNPPDAPSMTEEEINALPVHKYKVQSRQGSATGRQSGGSLPQQASSSSISATEKRQESSKLDGDLKTPEDELTCSVCLEQVSVGELIRSLPCLHQFHAICIDPWLRQQGTCPVCKHRVNSGWHAGDDGGADMV >Ma06_p35270.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35204206:35207751:-1 gene:Ma06_g35270 transcript:Ma06_t35270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVLRTSRADIESGLPGFIPERRLMRMHVGGRPVNNNSTTFLLTVLVLFMILNSHQMSPNFLLWLVLGVFLMATSLRMYATWQQLQAQAQAHAAAASTFLGGTELRLHVPPSVAFATRGRLQSLRLQLALLDREFDDLDYDALRALDSDNPPDAPSMTEEEINALPVHKYKVQSRQGSATGRQSGGSLPQQASSSSISATEQKRQESSKLDGDLKTPEDELTCSVCLEQVSVGELIRSLPCLHQFHAICIDPWLRQQGTCPVCKHRVNSGWHAGDDGGADMV >Ma06_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4750527:4762492:-1 gene:Ma06_g06570 transcript:Ma06_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFHYSSRPDNKRKFDDPAAGAGPSPPARRPTGFSAPIASPSPDGPPPPASYNSVPPPLDGIQLAKQRAQEIAARLFSDAEAKRPRVDNGGGADDSRDKGFSSSATDHTQKSLNQPIPSQIGMTSQSVPVYGYQGSSKKIEIPNGRVGVIIGKSGETIKYLQAQSGAKIQVTRDMDADPNSQTRSVELMGTSEQISRAEQLINDVLAEADAGASGVIAARKHGGVQAGAEQFQMKVQNNKVGLVIGKGGETIKNMQARSGARIQVIPLHLPPGDTSNERTVYIDGTKEQIEAAKQLVNEVISENRVRNPAMAGGYPQQGYHPPRPQTSWGPAGTPPMQQPGYGYMPPGAYPGQPPQYNVPQPPYAGYPPPSSTGFSSGWDQTSNQPGQQAAPGTGYDYYSQQSQLQQQPYGGSSAPAGNTSYNYGQVSYGDASYSQTTVGQQQSYGQDGYSSGYSAPAPQTGYSQPAPNVQTGYDQQSYGSTPSYGSMTNLSQDGSASAYGTQGVSAQAPPTQQAPSSQPSDVQGYTGQPINNSAASYPAQATSPSGYGIPPTSQPGYGSQPPAMTGYGQAAAPSYGQPSQVQKPPGTQAVYGQGQQQALSTPSGYMQGAPVQSGYGQPPTSQSGYGQQQSYGVQTHNQPGYGQQQQQSYGDSYAGGGYAQPPAYSNDNAAAHGTYDASAAAPAVSSGAAASKAPPT >Ma02_p19000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25316234:25317013:-1 gene:Ma02_g19000 transcript:Ma02_t19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYEVKCGKCGKTTWGGCGRHVASVHQRIAEGQHCMCRDWPGVKLAQSSGGGAVVDDKAADKNPSSSSTCTIH >Ma02_p16150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23618144:23634787:1 gene:Ma02_g16150 transcript:Ma02_t16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKKVIIEGFKSYKEEVSTDPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVVSAFVEIVFDNSDNRIPVDRDEVRLRRTISLKKDEYFLDGKHVTKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIDQVVQYLEERLRELDEEKEELRKYQQLDKQRRSLEYTIYDKELNGVRQKLDEIEESRKKVSEKSTRMHNSVLEAHENLKLLEKESKVLTKELQSLNKEKEDTEKKRTEALKMHTQIELDLRDLEERIAGEMRSKEEAAKQLESLRKEIQESRDELNTIRPLHQSKVAEEEEITKGIMEREKQLSILYQKQGRATQFASKAARDKWLQREIDDLERVLSSNVLQEKKLQEEIHQLKDEERRLDMYIRERKTEYEKQELLIAKGQKDSDHLRRQRDELQDTRKSLWNEEANLSAEIDKLKSELIKAQKSLDHATPGDIRRGLNSVNRIIKDHNIRGVFGPILELIECDPKFFTAVEVTAGNSLFHVVVETDDISTKIIRYLTAEKGGRVTFIPLNRVKVPHITYPQSSDVVPLLKKLKFRSDYAPAFSQVFGRTVICRDLDVATSVARNNSLDCITLEGDQVSKKGGMTGGFYDFRRSKLKFVNMVRQNKMSIHNKTSELDEIGKKLKEIDQEITKLVSEQQKMDAHHGHVKSELEQLKNDIANATKQKQSICKALEKKEKLLTNAHNQIDQIQAGIAMKQAEMGTELIDQLTPEEKDLLSRLNPEITELKEKLLACKNNRIEIETRKEELETNLSTNLVRRQQELEGVILSADSETLPMEVELKRQELKSSRGSIDKLKKQLEGVLKNIDGLTQKMQDIKTSKESLKMHEDQYERTLQDEAKELEQLLSRRNILLTKQDDCMKKIRDLGSLPSDAFDTYKRKNIKELQKLLHACNEQLKQFSHVNKKALDQYINFTEQREQLQIRRAELDAGDQKIRELIAVLDQRKDESIERTFKGVARHFREVFGELVQGGHGYLVMMKKKDGDLGDDDHGEDGPREPDPEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADKIYGVTHKNRVSHVNVVSKEQALDFIEHDQSHNAD >Ma02_p16150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23618120:23634787:1 gene:Ma02_g16150 transcript:Ma02_t16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKKVIIEGFKSYKEEVSTDPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVVSAFVEIVFDNSDNRIPVDRDEVRLRRTISLKKDEYFLDGKHVTKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIDQVVQYLEERLRELDEEKEELRKYQQLDKQRRSLEYTIYDKELNGVRQKLDEIEESRKKVSEKSTRMHNSVLEAHENLKLLEKESKVLTKELQSLNKEKEDTEKKRTEALKMHTQIELDLRDLEERIAGEMRSKEEAAKQLESLRKEIQESRDELNTIRPLHQSKVAEEEEITKGIMEREKQLSILYQKQGRATQFASKAARDKWLQREIDDLERVLSSNVLQEKKLQEEIHQLKDEERRLDMYIRERKTEYEKQELLIAKGQKDSDHLRRQRDELQDTRKSLWNEEANLSAEIDKLKSELIKAQKSLDHATPGDIRRGLNSVNRIIKDHNIRGVFGPILELIECDPKFFTAVEVTAGNSLFHVVVETDDISTKIIRYLTAEKGGRVTFIPLNRVKVPHITYPQSSDVVPLLKKLKFRSDYAPAFSQVFGRTVICRDLDVATSVARNNSLDCITLEGDQVSKKGGMTGGFYDFRRSKLKFVNMVRQNKMSIHNKTSELDEIGKKLKEIDQEITKLVSEQQKMDAHHGHVKSELEQLKNDIANATKQKQSICKALEKKEKLLTNAHNQIDQIQAGIAMKQAEMGTELIDQLTPEEKDLLSRLNPEITELKEKLLACKNNRIEIETRKEELETNLSTNLVRRQQELEGVILSADSETLPMEVELKRQELKSSRGSIDKLKKQLEGVLKNIDGLTQKMQDIKTSKESLKMHEDQYERTLQDEAKELEQLLSRRNILLTKQDDCMKKIRDLGSLPSDAFDTYKRKNIKELQKLLHACNEQLKQFSHVNKKALDQYINFTEQREQLQIRRAELDAGDQKIRELIAVLDQRKDESIERTFKGVARHFREVFGELVQGGHGYLVMMKKKDGDLGDDDHGEDGPREPDPEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADKIYGVTHKNRVSHVNVVSKEQALDFIEHDQSHNAD >Ma04_p38750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36142681:36157299:-1 gene:Ma04_g38750 transcript:Ma04_t38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALLTSAGINIGVCALLLSLYSVLRKQPGNVNVYFGRRLAEEHGRHRDSYVLERFVPSPSWIVKAWQYTEEEILSAAGLDAVVFLRAIVFSIRIFSIAAVICVFGIIPLNYYGQEMHHDHFPSESLDVYNIGNVMEKSRWLWVHCLVLYIISCAACTLLYFEYKRIAKMRQIHITRSPADPSHFTVLVRGVPKSTEESLNETVRHFFTRYHGPSYLSHQMVFRVGKIQKLMSNAEKVYKKFMHWNATAFDPRSRPNMFRCGLCGATSNSFELIRSQSEISATKNNPSHSYLPEKECAAAFVFFKTRYAAVVASKVLQSSNPMLWVTNLAPEPHDVYWSNLWLPYRQLWLRRIATLLASIIFMFLFLIPVTFVQGLSQLDQLQQRLPFLKGILKKTFVNQIVTGYLPSVILQLFLYTVPPTMMLFSTVEGPISRSGRKKSACTKVLYFTIWNVFFVNVFSGSVINQLNAISSPKDIPAQLAKAVPRQATFFITYVLTSGWASLSSEVMQLFGLVWNFLKKYVFRIKEDDPASVPSFPYHTEIPRVLLLGLLGFTCSILAPLILPFLLVYFLLGYVVYRNQIMNVYCSRYETGGRMWPIVHNTTIFSLVLAQIIALGVFGLKESPVASGFMIPLVILTLLFNEYCRQRFHPLFRNFPAQDLIEMDKADEQSGRMEQIHEQLLSAYSQFPPESHNVCLVDGEGTSGSNRKDEPTESRNDLSHPTLVGLPISRLRQVVTAVSMLVSLQSKSSPM >Ma04_p38750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36142227:36157299:-1 gene:Ma04_g38750 transcript:Ma04_t38750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALLTSAGINIGVCALLLSLYSVLRKQPGNVNVYFGRRLAEEHGRHRDSYVLERFVPSPSWIVKAWQYTEEEILSAAGLDAVVFLRAIVFSIRIFSIAAVICVFGIIPLNYYGQEMHHDHFPSESLDVYNIGNVMEKSRWLWVHCLVLYIISCAACTLLYFEYKRIAKMRQIHITRSPADPSHFTVLVRGVPKSTEESLNETVRHFFTRYHGPSYLSHQMVFRVGKIQKLMSNAEKVYKKFMHWNATAFDPRSRPNMFRCGLCGATSNSFELIRSQSEISATKNNPSHSYLPEKECAAAFVFFKTRYAAVVASKVLQSSNPMLWVTNLAPEPHDVYWSNLWLPYRQLWLRRIATLLASIIFMFLFLIPVTFVQGLSQLDQLQQRLPFLKGILKKTFVNQIVTGYLPSVILQLFLYTVPPTMMLFSTVEGPISRSGRKKSACTKVLYFTIWNVFFVNVFSGSVINQLNAISSPKDIPAQLAKAVPRQATFFITYVLTSGWASLSSEVMQLFGLVWNFLKKYVFRIKEDDPASVPSFPYHTEIPRVLLLGLLGFTCSILAPLILPFLLVYFLLGYVVYRNQIMNVYCSRYETGGRMWPIVHNTTIFSLVLAQIIALGVFGLKESPVASGFMIPLVILTLLFNEYCRQRFHPLFRNFPAQDLIEMDKADEQSGRMEQIHEQLLSAYSQFPPESHNVCLVDGEGTSGSNRKDEPTESRNDLSHPTLVGLPISRLRQVVTAVSMLVSLQSKSSPM >Ma09_p09530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6256405:6258528:-1 gene:Ma09_g09530 transcript:Ma09_t09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLLRASVCLLLLLFLHQKLAACADGADSFTYSGFRGANLTLDGVAAISSGGLLVVTDNTVQSKGHAFYRTPLRFKESPNGTVFSFSTTFVFAFISEPADLNGDGMAFLVSPTKDFSRALGNQYLGLFNLSSVGNSTNHVLAIELDTTRNPEFQDIDNNHVGIDINNLKSDESHTAGYYLNDTGSFQNLSLRSGQTMQVWVDYDSHEMILNVTLAPIAMAKPRRRLLSAVVNLSSVLLETMYVGFSASNGPFLTSHYVLGWSFKMNGVAQALDSSLLPSVPRAKSNHKLKVLRIGLPLASSTLVLIIVGTVVFILRRRGRYSELLEDWELEYGPHRFSYKDLFRAAKGFRDTELLGMGAFGRVYKGVLPSSGSEVAIKRVSHGSRQGMREFIAEIVSLGRLRHRNLVQLLGYCRRQGELLLVYDYMPNGSLEKFLHDQAKPALDWATRFRIIKGVASGLLYLHEDWEQVVVHRDIKASNVLLDNELNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPELARTGKATTITDVFAFGAFLLEVACGRRPVDPTAHEEQLVLQDWVVEKWRKGSILETRDPTLGEEYAVEEVELVLKLGLLCSHPLPTKRPSMRQVVRYLEGHAPLPELSPANLSFSLLALLRKEGFDDQIMSCPSSSVATASVLSGGR >Ma05_p25350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37315000:37317793:-1 gene:Ma05_g25350 transcript:Ma05_t25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSYDSASSILLCAEDGDSVLGFDDVEEQRGHRPSWGSEPKRFDFYGDLPVDSTLQSDERLGWLVEREQGHLPREDYGQRLRSGALDLSLRGDAIDWMWKVHAHYNFGPLSAYLSVNYLDRFLSAYEFPQGKTWMTQLLVVACLTLAAKMEETEVLLSLDLQVGETKYVFEDRTIQRMELLVLNTLKWRMQAVTPFSYIDFFLHKFSGGNAPTKLLVSRSTELILGTVKGIDCLAFRPSVIAAAIALLVLRDTQVVDAEKSLSFCTHVPKERVSACFEVIQDKVFMWKQSLKDGSSSSVSSVPQSPVGVLDAACLTYHSDDATVVSHATCLHTSPASKRRKVSR >Ma05_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4935856:4937781:-1 gene:Ma05_g06700 transcript:Ma05_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIMSLYYFIFLPLTGKLCIEVTPGSKIAFISEELCIGCHICDSDYQSFNLPKDLDKDTTYRYGPNIFKLHRLPVPRPGRVLGLVGTNGIGKSTALKVLAGKLKPNLRRFNNPPDWQEILMYIRGSELQN >Ma08_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24950945:24952544:1 gene:Ma08_g17340 transcript:Ma08_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTEIRPHAVVVPFPAQGHINPHLKLAKLLHARGFHITFVNTEYNHRRLTLSRGPDWATAGLDGFRFETIPDGLPPSSDENNTQDVPALCASTRATCLAPFRDLMARLSRAADVPPVTCIVSDGAMSFTVDEDFGVPVLLFFTHSACGCWSYFHFGELVRRGYTPLKDESFLTNGYLDTPIDWIVGLENIRFRDLPSFVRTTDPDDVMLSIMARRAAHDAPQAAGIILNTFDDLEGPVLYRIRSKFPNLYSVGPVSSIATTAFTSISGNLWKEDTECIKWLDDQADGSVLYVNFGSITVVTAEQLLEFAWGLARSGYPFLWVVRPDMVRGEAAVLPEEFAAEVEGRGLLVGWCNQEEVLSHPATAVFLSHCGWNSTLESISEGVPMICWPFFAEQQTNCRYLCTKWGMGTEIGSNARRGEVEGCIREVMTGDKGREMRKRALEWKERARKAIGPGGSSSVNLERLVEELTRRQ >Ma09_p21970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33945272:33956262:-1 gene:Ma09_g21970 transcript:Ma09_t21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLHHGDVRTALAAAVAAFSSHNSTPPFGGGGGRREWQPAAFLRPVAARAGPSQRPLSVSIAAPPSVKDGEKPEICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVGTNAIGFDLSPGASFARHMSSQGFDTWIVEVRDYVDKINPNDAMLVKERLIGSSGPMPDSEIANVNDKTTDTITWNEPKLVTELTATFMRLADRFSGYLNEGQLRDISAKLFDQISKLLEDTRLSERYNEIAENISALLETRQNSTVAGQIKDLSQRLVNVIEEGQRSVSPQLFDLQERLSATIEDFQKQLDLIITYDWDFDNYLEEDVPAAMEYIRLQTQSKDGKLLAIGHSMGGILLYAMLSKCAHEKIKSKLAGVVTLASSIDYTTSKSSLKLLLPLADPAQALNVPVVPLGVLLAAAYPLSSRPPYVLSWLNDQISAQDMMHPELFAKLVLNNFCTVPAKVILQLTTAFRDGGLRNRTGTFFYKDHLCKCDVPILALAGDVDLICPPEAVYETVKLIPKEMIIYKVFGKPDGPHYAHYDLVGGRMAIKEVYPCILQFLSRHDDLPTPDSD >Ma09_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33945272:33956262:-1 gene:Ma09_g21970 transcript:Ma09_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLHHGDVRTALAAAVAAFSSHNSTPPFGGGGGRREWQPAAFLRPVAARAGPSQRPLSVSIAAPPSVKDGEKPEICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVGTNAIGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSMRINELKAIDEPFTKPIMCCTDYVDKINPNDAMLVKERLIGSSGPMPDSEIANVNDKTTDTITWNEPKLVTELTATFMRLADRFSGYLNEGQLRDISAKLFDQISKLLEDTRLSERYNEIAENISALLETRQNSTVAGQIKDLSQRLVNVIEEGQRSVSPQLFDLQERLSATIEDFQKQLDLIITYDWDFDNYLEEDVPAAMEYIRLQTQSKDGKLLAIGHSMGGILLYAMLSKCAHEKIKSKLAGVVTLASSIDYTTSKSSLKLLLPLADPAQALNVPVVPLGVLLAAAYPLSSRPPYVLSWLNDQISAQDMMHPELFAKLVLNNFCTVPAKVILQLTTAFRDGGLRNRTGTFFYKDHLCKCDVPILALAGDVDLICPPEAVYETVKLIPKEMIIYKVFGKPDGPHYAHYDLVGGRMAIKEVYPCILQFLSRHDDLPTPDSD >Ma11_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21594631:21599625:-1 gene:Ma11_g15980 transcript:Ma11_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGAGGDQDEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPAVLRECRRIIADSEIMREDDNNWPPPDRVGRQELEIVMNNEHISFTTSKIGSLVDVQGSQDPEGLRVFYYLVQDLKCFVFSLISLHFKIKPIQS >Ma08_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9636532:9640081:-1 gene:Ma08_g12630 transcript:Ma08_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSMRCSLLLVLGHLVIIAGGMGWFGGDTVSASRIGAAATDVARKSSRAKAANESFPAARSTAGREARVGAVDDPELVASEVDMMISNSTSRRALGYLSCGTGNPIDDCWRCDPEWHRHRKRLADCGIGFGRNAIGGRDGRFYVVTDPGDDDPVNPRHGTLRYAVIQEEPLWIVFKRSMVITLKQELIMNSFKTIDGRGVDVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSMFGASHIWVDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKAMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPVDQSAKEVTKRVDTDESEWKGWNWRSEGDLLLNGAYFTPSGAGASASYAKASSLGAKSSSMVGSITSDAGALNCRRGSHC >Ma04_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10673387:10674173:1 gene:Ma04_g14100 transcript:Ma04_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGLRSLKRVDKSWSPKASKKKKADKNPYSTRGLDKFAAVLADLENRRAKIMANAGPQDASTSVWFMYSESSECVPILLRHNDHMSRQPMPPAVTHAADEDLKEVIPLVGSKDEKEVIKEMVAVSEREVKKRWSWRDWRPSYYWPLVMVTVLILFCLLMFGRVFAICCTSIWWYMVPTMQGEGKAQRRRVKRKKDDRRMSEKSLVVAPSSYQDKKVERTCK >Ma05_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9069476:9070811:1 gene:Ma05_g12530 transcript:Ma05_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDGFRKAQGVVKLVHFLLKRSQICHVDGLDARFSPYPPHSSSLIWCVLPFVC >Ma08_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3621367:3637908:1 gene:Ma08_g05290 transcript:Ma08_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPAEGPSSMGARRQGFRSLKLATVAMDEPLAEKPVGVDYGVLDNGLTYYVRCNPKPRMRAALALAVKVGSVLEDEDERGVAHIVEHLAFSATKKYNNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPDLLSQAISVLAEFSSEVRVSSEDLEKERGAVLEEYRGGRNAAGRMQDAHWVLMFQGSKYAERLPIGLEKVIRTVTPETVKQFYQKWYHLGNMAVVAVGDFADSQSVVELIKSHFGQKNSNCIPPPVIPDFPVPSHKEPRYSCFVESEAAGSAVMVSCKIPVDEMRTVKDYRDSLAEAMFHCALNQRFFKISRRTDPPYFSCSSAADALVRPVKAYIMTSSCRERGTIEALEAMLTEVARVRLHGFSEREISIVRALMMSEIESAYLEREQMQSTSLRDEYLQHFFRKEPVVGVEYEAQLQKTLLPHISPAEVSKFAANFCTTCSCVIKIVEPRAGATLDDLRAAVFKISTLEEERRISPWDDEHVPEEIVTDKPIPGSIINQIDFSPIGVTELLLSNGMKVCYKCTDFLDDQVIFTGFAYGGLSELPEAEYISCSMGSTISGEIGIFGYKPSMLMDMLAGKRAEVSTKVGAYMRTFSGDCSPTDLETALQLVYQLFTRNVAPGHEEVKIVMQMAEEAIRAQERDPYTAFTNRVREINYGNSYFFRPIRISELKKVNPIRACEYFNNCFKDPSSFTVVIVGNIDPASSLPLVLQYLGGIPRPSEPVLQLNRDDLKGLPFKFPASIVREIVRSPMVEAQCSVQLAFPVVLKSTSMMEEIHYVGFLSKLLETRIMQVLRFKHGQIYSVSVSVFLGGNKPSRTGDVRGDISVYFSCDPDISSRLVDLALEEILYLQDHGPSDQDVSTVLEIEQRAHENGLQENYYWLDRILRSYQSRAYFGDVSDSFKIQDEGRTKVRKDLTPLTMQLALQRILPFPCKKQYTVVILMPQISHLSFLKSLILLSSDGFTRNVKILVGAAGAMVLAVSLWRYSRSALNS >Ma05_p25520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37442539:37443610:1 gene:Ma05_g25520 transcript:Ma05_t25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFLVIGLWHLFNHIKLYCTHPNSYISHPWFCAPKLKHLELYLIILGSIASISMELIIGPEAHQPFDADGTIPSNHLHNFEHASISLSLLIYAWFAIALDRTRPRPRLHGEMTMLLAAAAFAQQLLMFHLHSADHMGVEGQYHQLLQAVIAVSLATTLLGVALPRSFPVSFVRSASIAFQGVWFVVMGIMLWTPSLIPKGCFMNREEGHRVVRCRSDEALHRAKSLVNLQFSWYMAATAVFSMLLYLFLSHSYTEEPQYLPLVVNDGAQEEEEDLESQKTLTESDSFVPMGKGFRPLELER >Ma05_p04400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3368162:3368576:-1 gene:Ma05_g04400 transcript:Ma05_t04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQPVPKGVRYGKGAKRNMPKVYELEKLDPRQEKSTTTEVRGEICSRRVNQENLTHAKRNLL >Ma04_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6795291:6796021:1 gene:Ma04_g09580 transcript:Ma04_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVVEIARVMRPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGDVEVPLE >Ma08_p28840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40664978:40669224:-1 gene:Ma08_g28840 transcript:Ma08_t28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAMPGLVGARKRFVDSEVHRNVPVQTNLATQKVSVGFTLQPPQYLCHPVIPGLPDDVAKFCLVLVPRSDLPVMGAVCKRWRSFIKSKEFLGIRKEAGKLEEWVYILTGDAQGRENHWEVLVGPGDKSMVLPPMPGPVKAGFGVVVIDANLFIIAGYSVDIGKECVSDDAYQYDSRVNRWSTLAKMNVARRDFACSELDGVVYAVGGFDSNGDSLSSVEVYDPDRNKWTLIESLRCPRWGCFACGFEGKLYVMGGRSSFTIGNSRFVDVYNTEHHSWCEMKNGCVMVTAHAMLNKKLFCIEWKNQRKLAIFNPADNSWQKVPVPVTGSSTIAFRLGIFEGKLLLFSLKEVPGYRTLLYDPDAPVGSEWKTTSLKPSGLCLCSVTIKA >Ma02_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25339165:25350369:-1 gene:Ma02_g19040 transcript:Ma02_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHKSYWETLVLCFLCLLFVLERFEGATTVPSEVAALNAILGRWGRTASATSSPAWNISGEPCTGAATDSTDIVNTAFNPGIKCDCSYDNGTTCHITQLDLNQNYLTGPLPAFIGNLTKLQYLAFGTNALSGSIPKELGKLTSLISLSIGTNNFTGPIPLELGNLTNLQKLYINSCGASGEFPSTISGLSSLQELWASDNNFTGKFPDFSRTSLVILRIQGNSFEGPIPSSLSNMTKVTDLRIGDIQNGSSSLAFIRNLSSLSILILRNCRISDIMPSDFSRFTSLQRLDLSFNNLTGQIPQSLFNLSLLSYLFLGNNSLSGSLPTGKSESLLNIDLSYNQLGGSFPSWVSEQNLKINLVANNFVISGSNNSVLASGLNCLQRDIPCNHRAPIYSSFAINCGGNKTITSSDGTLYEIDGRALSSASYYVTETNKWAVSTVGSFQDASNADYTQYSSSQFQKTQEPELYQTARISPSSLRYYGLGLENGNYTVMLHFAETRIPDPPTWKSTGRRIFDIYIQGNLVLKDFDIRAEENSLTAVTRKFIVPVTDNFLEIHFFWAGKGTCCVPDQGYYGSSVSAISVNPDFTPTVSNKPPSSL >Ma06_p26890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28883457:28886953:1 gene:Ma06_g26890 transcript:Ma06_t26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIVGGVVGGVVGGVGDVVGGILGGSKGVQVKGTVVLMPKNVLDFNDLAGNVIDGLFDILGQNVTFQLVSATVGDPNNGNRGVVGSPASLQYLGRLPSLAAGESRFSVTFQWEENKGIPGAVIVKNKHATQFFLKTLTVDNFPGKGRIHFVCNSWVYPANKYRYDRIFFANTTYLPGATPAPLNPYREDELRHLRGDDVTSELQEWDRVYGYAVYNDLGTPDNANLVRPILGGSAVYPYPRRGKTNRPMTRKDPNTESRLGTLDTLNVYVPRDERFGHVKMGDFLTYGIKAIVNGLLPVLDAIVNITPFEFDSFEDIMRLYEEGIPVPYVPLFDELRQSIPFEMVKEVLRVQGGQRLLKLPKPQIIKFDKSAWRTDEEFAREMVAGVHPVLIKLLKVFPPVSELDPNRYGNQNSTITAAHIEANLDGLTVDEALSSNRLFILDHHDVFMPYIARINSTAHKAYSTRTLLFLKADSTLKPLAIELSLPHPDGEQYGAVSKVYSAAENGVDGSLWQLAKAYVGVVDVGVHQLVSHWLGTHAILEPFIIATNRHLSVVHPINKLLTPHYRDTMNINALARQSLINADGILEKTSVQGKFSLEYSSWVYKNHWNFVDQALPDDLVKRGVAVRDQNGELSLLIKDYPYAEDGLQIWKAIETWVTEYCAIYYPSDDALRADSELQAWWKEIRDVGHGDKKDEPWWPKMETVFELTQSCTTIIWLASAFHAVINFGQYPYGGYVPNRPTISRRLVPEPGTPEHDLLEKNPDKVFLRTISSQYQTIIGVSLLEILSTHASDEVYLGQRDTSEWTTDRKALEAFQRFGKALKSIEDDINKKNADPSLKNRNGPAKMPFTLLFPSSEVGITGKGIPNSVSI >Ma04_p30620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31221210:31222211:-1 gene:Ma04_g30620 transcript:Ma04_t30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLSSDEILATVVPVVVYWAYSGVYVMLGSVDRYRLHSRKDEDVKNLVSKRDVVKGVLLQQLVQVAVAVLAFKISGDVHGAGGANGGSTSWLVLARQFVVGMFVLDTWQYFWHRFMHRNRFLYRHIHSWHHRLVVPYSFGSQYNHPVEGLLLDTCGGALAFVVSGMSPRTSIFFFSFSAIKGIDDHCGLWLPGNILHLCFWNNTAYHDVHHQFYGNRYNFSQPFFITWDKICGTHMPYKLEKRPGGGLQARPAMPYTRSKSSVR >Ma05_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6230889:6233507:-1 gene:Ma05_g08480 transcript:Ma05_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVSSRNQRGKGGLKPKSALQICLLVAIFVWLIYQMNYSQYKKKAYEESDSKVSFKGDERQLGITKFSRKDLSHPVNIVDRIKQEEQEDDKKVEHGIKHDKVEDEEDTREESEDETKDVDAFEDRDHEEAFHKAREISFRGDDASSEVAHMMQEVEHGEGSQEARERSFRADDVSSAVAHVPKVNEAESETVDGGLKKLDETGSKMADRRDGEARSFANETLEDSSSSKLLDNSQGTNSRIVPANVSAANITTSESKNHKEQQQQPIPTIASIINNQTGRDAKNFTAFSMSQKNSTVVSVDRIETQANLMVKLDPINPTVSQNQTSTEQSIDGQANAVRLIIQKTDKVSGPEESQYENGTSVKLESGNSSNSLAADKNRDARGELFDLPDIQNIRSGKQEAAEA >Ma05_p08480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6230889:6233388:-1 gene:Ma05_g08480 transcript:Ma05_t08480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVSSRNQRGKGGLKPKSALQICLLVAIFVWLIYQMNYSQYKKKAYEESDSKVSFKGDERQLGITKFSRKDLSHPVNIVDRIKQEEQEDDKKVEHGIKHDKVEDEEDTREESEDETKDVDAFEDRDHEEAFHKAREISFRGDDASSEVAHMMQEVEHGEGSQEARERSFRADDVSSAVAHVPKVNEAESETVDGGLKKLDETGSKMADRRDGEARSFANETLEDSSSSKLLDNSQGTNSRIVPANVSAANITTSESKNHKEQQQQPIPTIASIINNQTGRDAKNFTAFSMSQKNSTVVSVDRIETQANLMVKLDPINPTVSQNQTSTEQSIDGQANAVRLIIQKTDKVSGPEESQYENGTSVKLESGNSSNSLAADKNRDARGELFDLPDIQNIRSGKQEAAEA >Ma05_p08480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6230889:6233277:-1 gene:Ma05_g08480 transcript:Ma05_t08480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQVSSRNQRGKGGLKPKSALQICLLVAIFVWLIYQMNYSQYKKKAYEESDSKVSFKGDERQLGITKFSRKDLSHPVNIVDRIKQEEQEDDKKVEHGIKHDKVEDEEDTREESEDETKDVDAFEDRDHEEAFHKAREISFRGDDASSEVAHMMQEVEHGEGSQEARERSFRADDVSSAVAHVPKVNEAESETVDGGLKKLDETGSKMADRRDGEARSFANETLEDSSSSKLLDNSQGTNSRIVPANVSAANITTSESKNHKEQQQQPIPTIASIINNQTGRDAKNFTAFSMSQKNSTVVSVDRIETQANLMVKLDPINPTVSQNQTSTEQSIDGQANAVRLIIQKTDKVSGPEESQYENGTSVKLESGNSSNSLAADKNRDARGELFDLPDIQNIRSGKQEAAEA >Ma09_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3282862:3290770:1 gene:Ma09_g05100 transcript:Ma09_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAANSKNEEDKALVLCKERKRFVRRALDERCSLAAAHVSYVQSLRNTGIALRKFVEPEAPAESSLYTSTSATPEAFAFIHKPVSHFSNSSPSLSQHVETAESFSPVPSPLSSGGLHVNHMKARKTSYMTVKEKLPISATATQRTSSTTPKHLVSGLDETSSSESPSTPPQAQPWDYFGLSHPIDKKLSFQNNRGLNHGFDNADEISCLGEEEGIPELEDEGDRRASTNEKSDLDSEDDFDEPSNETLVRMFKNPNLISERQLQSEHPTRQFMKDIISEAKHQNGDNMKLINGTSETCETPEMTPTTVEPDEVAVPINAKAKESDPQIKHDSRDFLSCMKEIEELFMKASESGREVPRMLEANKVQFRPLFPEEKAHRSKASAFFTNCLACCKEGTSYPHVYVTNEMKYLTWHRSMSSLSSSSRNFLGPTVKDDTEDLSSSLFGNMYMNSGSHASTLDRLYAWERKLYDEVKASGIIRREYDMKCRLLQHKESIGESRISVDKTRAVVKDLHSRIRVAIQRIDSISKKIEEIRDKELQPQLEELIGGLTRMWRTMLNYHNHQYSIILSASNNGSTKVSVRSQWQHQATFLELELNSLGSNFTEWMSAHKSYLEAINGWLLKCIVLSLKWNKSSRRKPPQPFSPKRAIAPPIYVTCRDWLNILDQLPTKEVVSSIKDLVNVTTHFFPCQEKGHATSKLSFSLPRKAEQKKLGEHIQKNDSSVDCSLNYDHLQSALTIFLDRLRNFAESSVSKYEALQKSIEEARAAYERSELRT >Ma03_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25214274:25214616:1 gene:Ma03_g19960 transcript:Ma03_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYVDFRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >Ma06_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:731035:731836:1 gene:Ma06_g00890 transcript:Ma06_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKSKIINSCSKERGQSLSGSAFLASSFLLLQLTNPKDREVQNHPRTPKNFEVFSLDRPLILSLLSFYFIFMLKICVVKDGILIKVWDMFRGMLRDSSLASVAREQGPSSGNTQKHFFLVKA >Ma08_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5552121:5554890:1 gene:Ma08_g08020 transcript:Ma08_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MASCFSAGNAFAIPSSSMGRSSVSAAAAPANRPVILSCERRDFYGPLAARLLGPPTRFEASKLKVVFMGEELEKQSSSTIPRAYTLTHCDFTANLTLAVSSNMHSNKLMGWQTTLHWDDVVAEWKKIKEEMSLHVHCYVSGSNILQDLAAGFRYHIFSKELPLVLKAVVHGDSILFSKHPELMEAKVWVYFHSKSKKYNRVECWGPLKDASQRTFDDRFDTSQASVFDSIGKWGSPKAILHALVTILL >Ma04_p25780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27433245:27435355:1 gene:Ma04_g25780 transcript:Ma04_t25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCSKIRHIVRLRQMLRRWRMRATALSSLSRRRSGGAAPSDVPAGHVAVCVGSSSRRFVVRAAHLNHPAFRELLRQAEEEYGFSSHPGPLSLPCDESLFQDLLRLISSSSSFTDYDLEDFDNLPRTSSCCCHVGRWRPVADSLPLLHRHRLPREEASLAVKALDIHRNGLKGAHEEQVMCMSEVEDE >Ma10_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24977928:24979743:1 gene:Ma10_g11440 transcript:Ma10_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVAAKLVAPVSLSSSVVRLVPAVAAAARIPRLHSPAVAPLRLRARRPATYPRFFASSVSAPATSATISIGDKLPDATLSYFGADGELKTVTVSELTKGKKAVLFAVPGAFTPTCSQKHLPGFVEKAGELRAKGVDTIACISVNDAFVMRAWKEDLKIGDEVLLLADGNGEFTKALGVELDLRDKPVGLGVRSRRYAMLADDGVVKVLNLEEGGAFTFSSADDMLKAL >Ma04_p28590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29629736:29633244:-1 gene:Ma04_g28590 transcript:Ma04_t28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTTRALKRSLTPEAKAKATPYASASSLFSTRTIPNFELNATYRPILADPRIYTNLLTSLSKQAVLFGSHHPFDETPLRDRFSKSCKTLHARVLKLELRLSGAVGNALVDAYSKCGHVCYSRRVFDRLEARDAAAWNSVLSAHSRHGLPDEVLHSFRSMRLAGAQPDQFGFAIALSACARLTGLVFGQQVHCDVVRTGFESSSFCEGSLIDMYSKCDCVADARKVFDGINNPDIVSWTNMIAGYARIGMCYEAVELFAKMEKMGGVPDQVALVTTITALLSLGRLNDAQALFWQMPLPNTVAWNVMISGHAQHGHEVEALSFFKEMRGRDVEPTRSTIGSVLSAAANLMALTEGQQVHSEAIRFGLDSNVFVGSSLVNLYAKCIQIEDARKVFDFLDAKNIVMWNAMLGGYIQNGYAEEVMLLFSEMKMLDFESDEFTFVSVFGACASLENLNLGRQLHSFTVKNKFVASLFVGNAILDMYAKCGELSDARRQFECIPNRDIVSWNAIIVGLVHNEEESDAFSLFHRMLMDEVQPDEISFASVISACSNLQAFEKGKQIHCCSIKSSFSSNLYVASSLVDFYSKHGEIEAAKRVYRQMHERSVVSTNALIAGLVQNNNEEEALEMFRKMQVENLEPSEFTFSSILPACSQPSRLIMGKQVHGHLLKSGLLYDSSYLGTSLLDMYLNSKEIEDGKKLFWEMPERKSMVLWTAIISGHAQIGYSDDALLLFHDMRSYNVKSDESTLASVLKACADLAALRNGKMVHSLIIRTGFGSYEHTTSGLIDMYSKCGEVGASLQVFQELGNKEDIISWNSMIVSFAKNGYAEEALELFQQMEQLQIKPDDITFLGVLTACSHAGLVSKGHSIFGSMTTKYGITPRVDHHACMIDLLGRSGYLNEAEELIDELPFEPDGVIWATLLAACRMHGDETRAKRAADKLIELDPLNSSPYILLSNIYSASGDWSRAKMMRKAMRERGVRKLPGFSWITVGKETVSFVAGDKLHPDAIEICGTLKHLTAEMKEDYYVDSLSLGEVFG >Ma08_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10460288:10463806:1 gene:Ma08_g13180 transcript:Ma08_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQEFTVDLNKPLVFQVGHLGEAYQEWVHQPIVSKEGPRFFANDLLEFLTRTVWWAVPVIWLPVVGWCLTKSIQMGNTPPQLALMVATGIFLWTLIEYTLHRFLFHIKTESYWGNTAHYLLHGCHHKHPMDGLRLVFPPAATAILCVPFWNVVKLIATPSTTPALFGGGLFGYVMYDCTHYYLHHGQPSKDPAKNLKRYHLNHHFRIQNKGFGITSSLWDIVFGTLPPTRSSSQSG >Ma07_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29163926:29173776:-1 gene:Ma07_g21080 transcript:Ma07_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSNASRACNRSSKSKRQGQRNAKNSLSWGFLETLAFVYTHLNLLFSAVISDLGPEDTSRTIIEIIFQSSWLENQSPVCKIDRILKVHNTQKAVTSSECCGVIGGKVVATDVESACSFCFLPLCFRTKRKFICTLCRRRLVSHRASSTATTFYA >Ma05_p09290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6734427:6741235:-1 gene:Ma05_g09290 transcript:Ma05_t09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 3 [Source:Projected from Arabidopsis thaliana (AT2G25930) UniProtKB/Swiss-Prot;Acc:O82804] MKGEKDDDRVMGPLFPRLHVNDPDKGGPRAPPRNKMALYEQLSVPSQRFNSASSTLPFPPRNSSNLVPSLPSSQGCGHRKNMFSPLYMTPPVPVRSAEVINSQTSDRMNSIATRMEFERKSIKQTSSGNLFGTGSAAAECSTQRPRNPNVKKSRGKMLDDVDDIVVPTFDQSEVLASLKKDAHMTDAGKLSTLSSRQTHKSPAAIDFSVQCPNSNEKPLGQTDSIETKSKNSIINRFGKNSKETSLIEEPKETKENSASHRAAERLSSSKDCLVRDNTGNLHVTEKSVNGNPELYQENGNLNGCQTLNDHVLLNNAEAQRARSELCSEASPEKGQRTLNLSENCQKEGSGSLEYGDAVRQDDTLEPSMVDTVSWLGISPDDIVGVIGPKHFWKARRAIINQQRVFAIQVFELHRLIKVQKLMAASPHLLLEGNPYISRSPVEAPSKTLLPQGNTTSQPEEFRPKDDHQKPKQNVEQPPDDISGIPALPACEDGPKGGSQGQVPKVGPNSGYLSPVPVAPDDKSSPWCFPPLANQWLVPVMSPSEGLVYKPYMGPCPPTGGFMTPHGSCTPLGMSHVAGDFINSAYGVPASHRPPNMGVMSGPPAIVPHYFPTPYGIQALNPLISTSAVEQVNNLAGSQPNVQTGQCSRSSCNMSHHPKEAFSGHRGKFRESKNSELQGSTASSPAEKAQPNGRSTSPLLSTALAANNHNCPSQSSGRDSCTRVIKVVPHNARSATESAARIFRSIQKERQQHDS >Ma09_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7542002:7550983:-1 gene:Ma09_g11150 transcript:Ma09_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLARGNGQLAKMDSTESRWAARDQEDSEDDSEEEGEESSRRMSLDSEEEEDNVEQRLIRTGPRIDSFDVEALEVPGAHRNDFEDVSLGRRIVLALQTLGVVFGDVGTSPLYTFDVMFNKYPLIEKEDVLGALSLVLYTLILIPLVKYTLVVLWANDDGEGGTFALYSLICRNAKASLLPNQLPSDARISSFRLKVPSAELERSLKLKEYLENSLTLKKLLLILVLFGTSMVIADGVVTPAMSVMSAFNGLKVGISSVEQDEVVMISIASLIVLFSVQRFGTSKVGLLVGPALFIWFCSLGVVGICNLLKYGTYVLRAFSPVYIYYFFKRNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAFLMENLTEKQQVFFSSIPSGAFWPVFFIATIAALIASRAMTTATFSCIKQSIALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVSCVASVATFGSIYEIGNAYGIAELGVMIMTTILVTIIMLLIWQINITFVLCFLTLFLGLELLFFSSVLGSVVDGSWVMLVFAAVLFLIMYIWNYGSKLKYETEVKQKLSMDLMMELGCNLGTIRAPGIGLVYNELVKGIPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQTERFLFRRVCPKSYHMFRCIARYGYKDVRKEHHQTFEQLLIESLEKYIRREAQERSLESDEDADTDSEKEVSCSNILVAPNGSVYSLGVPLLAGYSPIDKTLSEVSTSFDGPHNEVVADAQQSLDRELSFIHKAKESGVVYLLGHGDIRARKESWFIKKLVINYFYAFLRKNCRRGIASLSVPHTNLMQVGMTYMV >Ma05_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5934642:5959080:-1 gene:Ma05_g08010 transcript:Ma05_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKYADPAFQGVGHKVGTEIWRIENFQPVPIPKSDYGKFYSGDSYIILQTTGKGGTYLYDIHFWIGKESSQDEAGTAAIKTVELDAVLGGRAVQHREPQGFESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYTCRGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANTNIQERAKALEVIQYLKDKYHEGTCDIAIIDDGKLQADSDSGEFWVLFGGFAPIGKKVVSEDDTVLKTSPAKLYGINDGQLKLEENNLSKAMLENNKCYLLDCGAEVIIWVGRVTQVEERKAASKAAEDFIISQNRPKTTRVTQVIQGYETHSFKSKFESWSAGTVTGMGNSTGEEGQGKVAALLKQQGVDFKGILKGCPVNEEIPPLLEGGGKLEVWRINGSAKNPLPREEIGRFYSGDCYIVLYTYRSGEKKEDYLLTCWMGKDSIQASGNDHVFPEEAKEKLPFSVLLSHDVIKSFLWCIYPLRSNVVWILDAYNPLKLQTLMKLIFSKSVKTDTVATSLSSTDCFILQSGNSLFTWHGSSSTFEQQQWAARIAEFLKPDVALKHAKEGTESSAFWFALGGKQSFTTKKVTQDITRDPHLYTFSFNKGKLEVTEVYNYSQDDLLTEDMLVLDTHAEVFLWIGQSVDSKDKQKAFDIGQKYIELAVLFEGLSPDVPLFKVTEGNEPCFFTTYFSWDSAKATIHGNSFLKKLAHLFGASIHASESKDKSTGVHHGGATQRASALAALSSAFNPSLNNKTTAPKPSRSSQGSQRAAAVAALSSVLATEQKKGESETSTRRFSRSPSPVPVGTINESAKTESAGSESGDSLEISAEKETTEGNGILSDSNGADSDVATNPQIDENGGECTFTYERLKAKSSNPVSGIDYKRREAYLSDAEFQTTLGMTKEAFYRQPKWKQDMQKRKVDLF >Ma09_p29950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40280883:40282099:1 gene:Ma09_g29950 transcript:Ma09_t29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPPPSAQEGRGRGGMEESEPLLAENPDRFCMFPITYPSIWEFYKKAVASFWTAEEVDLSPDLSHWQHRLTPDERRFVSHVLAFFAASDGIVIENLAARFMRDVQLPEARAFYGFQIAIENIHSEMYSLLLDTYVKDPDDKYRLFHAIDTVPAVARKADWALRWIDSSGSFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRNKLSEEAVRAIVADAVDIEREFVCDALPVALVGMNVDLMSQYIEFVADRLLGALGHGKMYRAANPFDWMELISLQGKANFFEKRVGEYQKASVMSSLNGTGAIHVFKLDEDF >Ma05_p20350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31991636:31995474:-1 gene:Ma05_g20350 transcript:Ma05_t20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPRYELEDGFPATRLFAQGVSYTYDDVIVLPGYIDFPADAVNLSTRLSRRLPLSIPCVASPMDTVSEASMSVAMASLGGAAIVHCNAPPEDQAAIVRAAKARRIPFATDPVFFSPSDTVPDFGPAAYALVTESGTSKSRVVGVVAKSDWEGLADRGAAVSEYMRPAPASAPASYDLEKVASFLAGGGLEYAPLVAEDGEVVDLVTKGDVERIKGFPRLGVPSLGPDGRFVIGAAIGTREADKERLEHLVKAGTNVVVVDSSQGNSIYQIEMIKHAKSTYPELDVIGGNVVTIPQAQNLIKAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASFAKDHDVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSGEAPGVYEYLNGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSILKFIPYTMQAVKQGFQDLGASSLESAHDLLRSEVLRFEVRTGAAQAEGGVHGLVSYEKKSF >Ma02_p05030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16367807:16369588:-1 gene:Ma02_g05030 transcript:Ma02_t05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MRQPLCLVSTCCNSSCFFRPKRREAAPLRQEQGGGTPGGVMERLATPLVAATAILALSAPVAYGQSISNGAALFQKACIGCHDMGGNLLQPGATLFMKDLQRNGVATEEGIYDITYYGKGRMPGFGERCTPRGQCTFGPRLQEDEIKLLAEFVKAQADRGWPKIESYGD >Ma02_p05030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16367807:16369614:-1 gene:Ma02_g05030 transcript:Ma02_t05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MRQPLCLVSTCCNSSCFFRPKDWSKRREAAPLRQEQGGGTPGGVMERLATPLVAATAILALSAPVAYGQSISNGAALFQKACIGCHDMGGNLLQPGATLFMKDLQRNGVATEEGIYDITYYGKGRMPGFGERCTPRGQCTFGPRLQEDEIKLLAEFVKAQADRGWPKIESYGD >Ma05_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11371677:11372403:1 gene:Ma05_g15290 transcript:Ma05_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYLRFGEEEINREATPDLHYGGRDWAEFGSPSLCFLRAGLRRGTPTTEDVRRGEEHPALLLCYEGRSIIYESPADEPCAAGVCACAGDPQKL >Ma01_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7118462:7119962:-1 gene:Ma01_g09920 transcript:Ma01_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSCLLLLALAALAVASPLAVVAWRPWPHHFVSRSAVNATAAMNTGGGSGGSVDTGGLGVSKKYEGSSEFVKLRYHMGPVLTANVTIHPIWYGAWAPAQKHILRAFLRSISAASAPHPSVAAWWRTVRLYTDQTGANVSAIVLLGAERSDRHYSRGRALTRLAIQSVIRDAVTAPRRPIPVNPRGGLYLVLTSSDVAVQDFCVQACGFHYFTFPAIVGYTLPYAWVGNSATQCPGICAYPFAVPPFAVGLRSAERPPNGDVGVDGMVSVVAHELAEMASNPLVNAWYAGEDPCFPTEIADLCEGIYGTGGGGAYTGQLLIDSHTGAAFNLHGVGGSKFLVQWIWHPYLSYCSGPNALDHQ >Ma01_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2037042:2037523:1 gene:Ma01_g03110 transcript:Ma01_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVITFININTITIITNTISNIIISLLALSSILALLDGFTISIHGGASIEPIQVTGGLCNLLSLSLIYIYIIIIIYYSLYENNQK >Ma04_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23606002:23607582:1 gene:Ma04_g20950 transcript:Ma04_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLLPRFLLHIALILGYVRRFIFRAFDAVGLGDLLDTDAPWPENTGHRNLDHDHHHHHHGNLQQPRFRSVSAMLIQEALPVVRYEELAAAGQHVGGSCVVCLYDFEASEEVRRLSNCRHVFHRGCLDRWLEHDQRTCPLCRTPLVPEEMQVAIDDQMWAAAAVPDSYYDDFFFSFPFASASPPSPTLLLAHQLFSSS >Ma04_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26686849:26700261:1 gene:Ma04_g24750 transcript:Ma04_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASSSSRCSNAFGLVIILSLLIALSSLRELGCEAQQLDPKEVNALKVIASKLKKKWNISVDPCSGTEGWIEPSSFIYVVSNLTCTCSPTINVCHVTSIQLKGQNLTGVLPDEFANLTSLQVLDLARNYLNGSIPVAWASLPLTTLSLLGNRISGKIPDEIGNIITLEELTLDCNQLEGLIPASIGNLINLNYLRLSANNLNGELPDSLGKLTNLKEFIIDGNPISGKIPSFIGNWTKLQRLDMQGTLMEGPFPPTISNLTSIQQLRVSDLRGGLGTFPALQNMNKMERLVLRNLSISGELPDFIAEMPTIKTLDVSFNNLSGPIPSSYDILRRSINYMYLTSNMLNGTIPDWILGSDKNLDLSYNTFTGSPIPSNCHQGNLNLVSSYSSTHNNLVNSCLRRNLPCSEKARNCNLFINCGGEKAFVDGNEFEADINQLGPAQYASTEKWAYSSTGDFVDNRDEKYIAKNASLLNMTNSELYKTARLSPLSLKYYGLCLQEGNYTVKLHFAEIMFTDDQNYSSLGQRIFDVSIQGNKVLRDYNIAKEANGTGKEKIEVFSDTYVDADGTLEIHFQWAGKGTHSIPHRSVYGPLISAISVTPNFSLDRCTEASRQKLSTGAILGIVSAACVVIVLIIFLILSRSRRKNPDHNELRRLELVTGYFSYKQIKTATKNFDIANKIGEGGFGPVYKGVLPDGTLIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLIYEYMENNSLAHSLFGSEQNRPRLDWKTRCNICLGVATGLAYLHEESRLKIVHRDIKATNILLDKNFNAKISDFGLAKLDEEENTHISTRIAGTRGYMAPEYALRGYLTDKADVYSFGVVLLEIVSGICNTNYWQKKDLMFLLDWAYVLQEQGNLLELVDPVLGSEYSKEEALRILNLALACTNPSPTRRPMMSNVVSILDGRTAMDFPAVEQTASGSLDLRLRVPENIPSNEQSSMEILMAEEISKEESSLRSSATMPRVAT >Ma06_p22680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19300653:19311826:1 gene:Ma06_g22680 transcript:Ma06_t22680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGRPSDPGSEAVVPFSPEFRDPVVYPVHHGVRPPLLRVYVAWSRGSLLHVACLRQPSPEPEPEDSVDEGEAGGKVVEVRLRAGEEGISEAQRRRIAYGSVPAFALLQSRKNSLMAMSRMSSSLLRGEWWQYVLEYSKNISELLGTRRLSSNMVIEDPRMVVQPSEEPTSLKAAWELMEIFYVDKQSLTWLPERLVDWSEDYDSLVTRTELTIHSKLVNMQKKLANVKVVEDDPDYWEGMSSALAVGWLDIVVKLLRFHGSYQLDQLDNRETENGLVEAVAVLVSTMPRLRPDPSSGKLGQFCKTRPDFIKAWEKWRGHLSKLECSAFWVQCSHDQTREGLQKLLKIMLGDITNITSATCHWMELLVSHLLYIRQFMAGLEGLNNLAHKCRQLKPTVHYNGLMSLLIGILEESPEVVLAECSKTFGPWMVAHGIELLTAGSDHADVLLHEERYSLGGISIEELHRLVYAQILSSHPLTCQIAPTYLASCPKQGIGLLEILLYKQPIQHHQVILKNLEICRLYELDNISVNVLKTAGMYNWKHGRRGFGIYWLQLARDEVRLNRIAQQLFKCIGKSLSDDSFKQWEGLLELLGTQVGSSGGLEFLHKYRDFKRTLKQVEDGRASNAARQAAESLIQLMRSPSTPQQFWLPLLHDSVKLLNWKAHPLLSVSETNLLLNKLQDLSMGKLRPDFVDADLPPSALSSIRLALATNLGRAILEEC >Ma06_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19300653:19311826:1 gene:Ma06_g22680 transcript:Ma06_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGRPSDPGSEAVVPFSPEFRDPVVYPVHHGVRPPLLRVYVAWSRGSLLHVACLRQPSPEPEPEDSVDEGEAGGKVVEVRLRAGEEGISEAQRRRIAYGSVPAFALLQSRKNSLMAMSRMSSSLLRGEWWQYVLEYSKNISELLGTRRLSSNMVIEDPRMVVQPSEEPTSLKAAWELMEIFYVDKQSLTWLPERLVDWSEDYDSLVTRTELTIHSKLVNMQKKLANVKVVEDDPDYWEGMSSALAVGWLDIVVKLLRFHGSYQLDQLDNRETENGLVEAVAVLVSTMPRLRPDPSSGKLGQFCKTRPDFIKAWEKWRGHLSKLECSAFWVQCSHDQTREGLQKLLKIMLGDITNITSATCHWMELLVSHLLYIRQFMAGLEGLNNLAHKCRQLKPTVHYNGLMSLLIGILEESPEVVLAECSKTFGPWMVAHGIELLTAGSDHADVLLHEERYSLGGISIEELHRLVYAQILSSHPLTCQIAPTYLASCPKQGIGLLEILLYKQPIQHHQVILKNLEICRLYELDNISVNVLKTAGMYNWKHGRRGFGIYWLQLARDEVRLNRIAQQLFKCIGKSLSDDSFKQWEGLLELLGTQVGSSGGLEFLHKYRDFKRTLKQVEDGRASNAARQAAESLIQVKLLNWKAHPLLSVSETNLLLNKLQDLSMGKLRPDFVDADLPPSALSSIRLALATNLGRAILEEC >Ma08_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9037516:9037937:1 gene:Ma08_g12070 transcript:Ma08_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVRCLREQISQLKEKESCLVKENALLREKCKRLPQLPSAASKGVPPFGTRPTY >Ma01_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8852:10800:1 gene:Ma01_g00020 transcript:Ma01_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDDLPLWGFLGKIEGVKTDSGKDKYFLFKHIHFNILYNDDRVIEINVQTDPNINVDISEDRKLDVEFLYSVTWKNTDISFGESMAKYSKTSSMPQYLEIHWFSIVNSYVTVLLTGFLATILMRVLKNDILRYSLIEESLEDQEDSGWKHILGDVFRFPKNMSLFSVIICSGTQLLVRYTMFIFLLALVGVFYPYNRGALYTALVVIYALTSGIAGYTASSFYMQFEGTNWVRNLLLTGCLFCGPLFLTFFFLNTVAITYIATAALPFGTILVILLIWALVTSPLLILGGVTGKNSKTEFQAPCRTNKYPREIPELAWYRGTIPQMAMAGFLPFSAIYVELYYIFARVWGHNIYTIYSMLFIVFIILIIVTAFITVALTYFQLAAEDHGWWWR >Ma09_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26985426:26986157:-1 gene:Ma09_g19940 transcript:Ma09_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIFLRQPVVTAPGSDMLASYSEAAPPGSDPNDPTRVPFNILSGTSMSCRHVAGLVGLLKTLHPRWTPAAIRSAIMSTAQTLHNTGAAIRSYHGNDATPLSYGSGHIRPNSAMDPGLVYDLTNADYLDFLCSSGYNTEDMSCFQNYTCPSSRYKLLEDFNYPAIVFPYRRNLQQTATRRLKNVGSPGTYRIRYRTPAGFNVTVKPESLPYL >Ma01_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11275468:11275900:1 gene:Ma01_g15570 transcript:Ma01_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKGDDAKRVSHLLWVGKAPEIRVTGLRVMLKVPELRVVTRLQMTEKVSYKHDSVYLQLVTWLVKMAKEIFLLRNHRVCRR >Ma09_p00930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:628649:631154:1 gene:Ma09_g00930 transcript:Ma09_t00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKLWVRKNREFVQFLESLSSGITWVLPERFSNSEIGPEAVYAFLGILSTVNQHIIDTAPTKTPPRGLGESTFPWSLCLSIIKDSETVVEVATQQFAGEGRKWNYLAVTQAVKAFVRLAAFRDSGYKMLLRGGETVNVDERQAAPEVYNGGSGDAREPGGCNGPGCIHDYHGSIPKSLEGRAISALSRFGENAKMVSDPMRSKKLCKSSKASVGKPTLYNLWLQKGLSSRLFVTGEVLFVLRPLIYVLFIRKYGIRSWKPWLISLAMDLAGMSFLSYSTTRCPRSDDKYYQLTTSEKYELKRRKLLWALYIMRDPFFTKYTKHRLEKTDGYLSRVPLIGFLTDKFVELVVGVQTRYTYISGS >Ma09_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:628649:631154:1 gene:Ma09_g00930 transcript:Ma09_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKLWVRKNREFVQFLESLSSGITWVLPERFSNSEIGPEAVYAFLGILSTVNQHIIDTAPTKTPPRGLGESTFPWSLCLSIIKDSETVVEVATQQFAGEGRKWNYLAVTQAVKAFVRLAAFRDSGYKMLLRGGETVNVDERQAAPEVYNGGSGDAREPGGCNGPGCIHDYHGSIPKSLEGRAISALSRFGENAKMVSDPMRSKKLCKSSKASALSVGKPTLYNLWLQKGLSSRLFVTGEVLFVLRPLIYVLFIRKYGIRSWKPWLISLAMDLAGMSFLSYSTTRCPRSDDKYYQLTTSEKYELKRRKLLWALYIMRDPFFTKYTKHRLEKTDGYLSRVPLIGFLTDKFVELVVGVQTRYTYISGS >Ma06_p36410.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35829235:35835663:1 gene:Ma06_g36410 transcript:Ma06_t36410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEEDVEYESDLEDAPLPTMRRREASDDEEGEGSDERGKPARDGLRAEVGSEGESDGEGGAEVYDDEEEYYYDEEEDELGDGVEERLGGREEEALEVGGNHVAVGTSGKPRESRKSPEPQGDEQKSDGNLEENQEDKNQVEEEEKKENEPYAVPTAGSFYMHDDRFQENGRGRHRRMFGGRKLWDPKDERAWVHDRFEEMNLQDIRNNEERRGSRRRFRGRGRSKSQGAEGGYVRGNRYHTSHDEGNNQGHAPRTVRGRGPRRYEPIPKNKGDFPAAQSKRSLINQQESPSIHNMGRQSSRAASVKSEFPRKNTFASSLNSASPPFYPSGSSNQDITLNQKRDVQTGSINKAVAYSTQMRNDSNISQSNSLMQGKIATDMVGHDRFYTDPSLLPAAGKTSANPKEFTHSASSRVQGRGLSSAGPLNSHSGSLMAAQIKPTVRPVQVPTQPAIRSSTQQVVEPLSNGRQASPPQPPSVNSSEVGEADSSPGSSKSKISLAEKGRISNQGIGKGSFLYGGAQVIGATGAMGLAHTEQNFPGTPALLPVMQLGNQHPGGIGVPAMGMALPGYVAQPQLGFGNSEMTWVPVLAGAAGALGASYCPPYVALDGNYYARPSGQTSSRESSTNKPGSSLKLSQRPEIVNEELGERQNKPRRYSEMNFGQ >Ma06_p36410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35829235:35835663:1 gene:Ma06_g36410 transcript:Ma06_t36410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEEDVEYESDLEDAPLPTMRRREASDDEEGEGSDERGKPARDGLRAEVGSEGESDGEGGAEVYDDEEEYYYDEEEDELGDGVEERLGGREEEALEVGGNHVAVGTSGKPRESRKSPEPQGDEQKSDGNLEENQEDKNQVEEEEKKENEPYAVPTAGSFYMHDDRFQENGRGRHRRMFGGRKLWDPKDERAWVHDRFEEMNLQDIRNNEERRGSRRRFRGRGRSKSQGAEGGYVRGNRYHTSHDEGNNQGHAPRTVRGRGPRRYEPIPKNKGDFPAAQSKRSLINQQESPSIHNMGRQSSRAASVKSEFPRKNTFASSLNSASPPFYPSGSSNQDITLNQKRDVQTGSINKAVAYSTQMRNDSNISQSNSLMQGKIATDMVGHDRFYTDPSLLPAAGKTSANPKEFTHSASSRVQGRGLSSAGPLNSHSGSLMAAQIKPTVRPVQVPTQPAIRSSTQQVVEPLSNGRQASPPQPPSVNSSEVGEADSSPGSSKSKISLAEKGRISNQGIGKGSFLYGGAQVIGATGAMGLAHTEQNFPGTPALLPVMQLGNQHPGGIGVPAMGMALPGYVAQPQLGFGNSEMTWVPVLAGAAGALGASYCPPYVALDGNYYARPSGQTSSRESSTNKPGSSLKLSQRPEIVNEELGERQNKPRSRYSEMNFGQ >Ma06_p36410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35829235:35835663:1 gene:Ma06_g36410 transcript:Ma06_t36410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEEDVEYESDLEDAPLPTMRRREASDDEEGEGSDERGKPARDGLRAEVGSEGESDGEGGAEVYDDEEEYYYDEEEDELGDGVEERLGGREEEALEVGGNHVAVGTSGKPRESRKSPEPQGDEQKSDGNLEENQEDKNQVEEEEKKENEPYAVPTAGSFYMHDDRFQENGRGRHRRMFGGRKLWDPKDERAWVHDRFEEMNLQDIRNNEERRGSRRRFRGRGRSKSQGAEGGYVRGNRYHTSHDEGNNQGHAPRTVRGRGPRRYEPIPKNKGDFPAAQSKRSLINQQESPSIHNMGRQSSRAASVKSEFPRKNTFASSLNSASPPFYPSGSSNQDITLNQKRDVQTGSINKAVAYSTQMRNDSNISQSNSLMQGKIATDMVGHDRFYTDPSLLPAAGKTSANPKEFTHSASSRVQGRGLSSAGPLNSHSGSLMAAQIKPTVRPVQVPTQPAIRSSTQQVVEPLSNGRQASPPQPPSVNSSEVGEADSSPGSSKSKISLAEKGRISNQGIGKGSFLYGGAQVIGATGAMGLAHTEQNFPGTPALLPVMQLGNQHPGGIGVPAMGMALPGYVAQPQLGFGNSEMTWVPVLAGAAGALGASYCPPYVALDGNYYARPSGQTSSRESSTNKPGSSLKLSQRPEIVNEELGERQNKPRRYSEMNFGQ >Ma06_p36410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35829235:35835656:1 gene:Ma06_g36410 transcript:Ma06_t36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEEDVEYESDLEDAPLPTMRRREASDDEEGEGSDERGKPARDGLRAEVGSEGESDGEGGAEVYDDEEEYYYDEEEDELGDGVEERLGGREEEALEVGGNHVAVGTSGKPRESRKSPEPQGDEQKSDGNLEENQEDKNQVEEEEKKENEPYAVPTAGSFYMHDDRFQENGRGRHRRMFGGRKLWDPKDERAWVHDRFEEMNLQDIRNNEERRGSRRRFRGRGRSKSQGAEGGYVRGNRYHTSHDEGNNQGHAPRTVRGRGPRRYEPIPKNKGDFPAAQSKRSLINQQESPSIHNMGRQSSRAASVKSEFPRKNTFASSLNSASPPFYPSGSSNQDITLNQKRDVQTGSINKAVAYSTQMRNDSNISQSNSLMQGKIATDMVGHDRFYTDPSLLPAAGKTSANPKEFTHSASSRVQGRGLSSAGPLNSHSGSLMAAQIKPTVRPVQVPTQPAIRSSTQQVVEPLSNGRQASPPQPPSVNSSEVGEADSSPGSSKSKISLAEKGRISNQGIGKGSFLYGGAQVIGATGAMGLAHTEQNFPGTPALLPVMQLGNQHPGGIGVPAMGMALPGYVAQPQLGFGNSEMTWVPVLAGAAGALGASYCPPYVALDGNYYARPSGQTSSRESSTNKPGSSLKLSQRPEIVNEELGERQNKPRSRYSEMNFGQ >Ma06_p36410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35829235:35835663:1 gene:Ma06_g36410 transcript:Ma06_t36410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEEDVEYESDLEDAPLPTMRRREASDDEEGEGSDERGKPARDGLRAEVGSEGESDGEGGAEVYDDEEEYYYDEEEDELGDGVEERLGGREEEALEVGGNHVAVGTSGKPRESRKSPEPQGDEQKSDGNLEENQEDKNQVEEEEKKENEPYAVPTAGSFYMHDDRFQENGRGRHRRMFGGRKLWDPKDERAWVHDRFEEMNLQDIRNNEERRGSRRRFRGRGRSKSQGAEGGYVRGNRYHTSHDEGNNQGHAPRTVRGRGPRRYEPIPKNKGDFPAAQSKRSLINQQESPSIHNMGRQSSRAASVKSEFPRKNTFASSLNSASPPFYPSGSSNQDITLNQKRDVQTGSINKAVAYSTQMRNDSNISQSNSLMQGKIATDMVGHDRFYTDPSLLPAAGKTSANPKEFTHSASSRVQGRGLSSAGPLNSHSGSLMAAQIKPTVRPVQVPTQPAIRSSTQQVVEPLSNGRQASPPQPPSVNSSEVGEADSSPGSSKSKISLAEKGRISNQGIGKGSFLYGGAQVIGATGAMGLAHTEQNFPGTPALLPVMQLGNQHPGGIGVPAMGMALPGYVAQPQLGFGNSEMTWVPVLAGAAGALGASYCPPYVALDGNYYARPSGQTSSRESSTNKPGSSLKLSQRPEIVNEELGERQNKPRSRYSEMNFGQ >Ma09_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9269656:9269901:1 gene:Ma09_g13690 transcript:Ma09_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVRSFKGYEKVSEIAGRQFRRTTQRRLVLIASAAVVLLVTIIAGGVATSSGRPPSPTSVSDSIKAVCRATPYPNSCFSSI >Ma05_p26680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38227551:38230937:-1 gene:Ma05_g26680 transcript:Ma05_t26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MAISALSVAVSNPRLLPSLPKSHKAPPFLARSASPLHLSLPAPSLPVGDPAEEAATASTSSSSSFLAPVPSTSRRLFGVGVGILAASSFASDASATRIEYYATVGEPLCDMSFARSGLGYCDVAIGTGVEAPRGELINVHYTARFADGTVFDSSYKRGRPLTMRIGVGKVLPGLDQGIIGGGGVPPMLVGGKRKLLIPPLLAYGPEPAGCFQGDCNIPANATLLYDILFVGVYK >Ma06_p18320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12442057:12450802:-1 gene:Ma06_g18320 transcript:Ma06_t18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKDYWDYESLTVQWGNQDDYEVVRKVGRGKYSEVFEGINVTNNERCIIKILKPVKKKKIKREIKILQNICGGPNIVKLFDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYRLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLQYDHQDRLTAREAMAHPYFHQVRAAENSRMRTQ >Ma06_p18320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12442120:12450789:-1 gene:Ma06_g18320 transcript:Ma06_t18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKDYWDYESLTVQWGNQDDYEVVRKVGRGKYSEVFEGINVTNNERCIIKILKPVKKKKIKREIKILQNICGGPNIVKLFDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYRLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLQYDHQDRLTAREAMAHPYFHQVRAAENSRMRTQ >Ma08_p31490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42365379:42367481:1 gene:Ma08_g31490 transcript:Ma08_t31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGTTTKCTACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKMTGSLDKSFEGTPKIVKPEKHVENENASKVSSAFAGTREKCVGCNKTVYPIERVTVNGTAYHKSCFKCSRGGCVISPSNYIAHEGRLYCKHHHIQLFKEKGNYSQLENGEEKISCEATACAEASAA >Ma04_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2374631:2377799:1 gene:Ma04_g02950 transcript:Ma04_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MKDTIKKVPGLAVVLVGQRRDSQTYVRNKIKACEEVGIRSLMAELSEECAEDEVLNAVSEFNQDPSVHGVLVQLPLPQHMDEDTILGAVSLQKDVDGFHPLNMGNLALRGREPLFIPCAAKACIELLLRSGIKLKGKNVAVIGRSNVVGLPTTLLLQRHHATVSVVHAFTNNPEDVTRVADIVISAAGVPNLVRGSWLKRGAIVIDVGTNPIEDPSLEHGYYLRGDVCYEEALQVASAITPVPGGVGPVTVAMLLSNTLDSAKRFYGIS >Ma04_p02950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2374386:2377799:1 gene:Ma04_g02950 transcript:Ma04_t02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MRAALSSTLKKVSAAAAARRPRVAFVSSASHHHRSHHQSNKSTKKRKDDYILGPDLPDIWATPGSISPPAESESGATLAVDAELAQTIIDGKSIAEEIKLGIAKEVHRMKDTIKKVPGLAVVLVGQRRDSQTYVRNKIKACEEVGIRSLMAELSEECAEDEVLNAVSEFNQDPSVHGVLVQLPLPQHMDEDTILGAVSLQKDVDGFHPLNMGNLALRGREPLFIPCAAKACIELLLRSGIKLKGKNVAVIGRSNVVGLPTTLLLQRHHATVSVVHAFTNNPEDVTRVADIVISAAGVPNLVRGSWLKRGAIVIDVGTNPIEDPSLEHGYYLRGDVCYEEALQVASAITPVPGGVGPVTVAMLLSNTLDSAKRFYGIS >Ma10_p18020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29146179:29147956:-1 gene:Ma10_g18020 transcript:Ma10_t18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYPSFCTCKKRTPKRLPHRVKSPRSAPLTLLRLQARSGPSGDRHRHPSLRRCNPEEEKRRKRVSFCHARDFLFFSMRGTLRSFDSLHFDRYPLRGFERDAIIYTNRTED >Ma03_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22415061:22417287:1 gene:Ma03_g17160 transcript:Ma03_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGEGEKRYITVEELRKHNAATDLWISIQGGVYDVTDWIKDHPGGDLPLLSLAGQDATDAFVAYHPASAWAHLGRFFVGYLDGYCVTDVSRDYRRLVAEFSKSGLFDEKGRVALLTICFMLFLLVTAVSGVLLSESALVHALCGGMTGFLWIQSGWIGHDSGHYRIVRNPRLNRVAQIISGNCLTGLSIGWWKRNHNAHHIACNSLDFDPDLQHMPLFAVSSKFFASMTSYFYERKMNFDAVARFLISYQHWTFYPVMCFARINLLAQSILLLLSKKNVPNRWQEITGVAVFWIWYPLLVSCLPNWGERITFVVASLAVTGIQHVQFCLNHFSSSVYVGPPQGNDWFEKQTMGTLDVSCSPWMDWFHGGLQFQVEHHLFPRLPRCHLRKVSPFVRELCKKHKLPYNIASFWEANAMTVRTLRAAALQAQDLANPVPKNLVWEAVNTHG >Ma08_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2780330:2794603:-1 gene:Ma08_g03940 transcript:Ma08_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MSATARPLQETQNTVPTSVPNSESMDSSIGGSASGTPTSAAAVIASPVQGAATFSSTSDSVPSNVVVSATLTGSSLLSIGGLVKAHDTSQDSIRAKFSSPPGFVVAAPSFSYGVIPRTNLTSGNPQQSSSSGLKLTPPVPAAALQPPVPGQFLGTRPFPYNVVSHANVVPAAGQQIQLNTVPVQAHLQGGKFIPPSASSLQPPVPRQPVRPTPFGPGAVSLISPSPMQFPLSVPQGDAIKQTNFSFSGHNQFSTAEKDETILSSEKCTSDAVAVETTSDSSTLVNSQSVQTSQSMPLGTSTGLGINANACAASMLIPAAPSFTAHAEMPNARGIPGLTGNSSSATASTGATIKPTPTNSSISSPRPIIPVTAALPPTSTSVPVPFPVPQNVQQQTNVHYSSQPTMAPSPQASWSHPPQAGPMQHVSFSPYPGFFPAPFSLPVQGIPPAVPLPFIQPPGVSLMVSQVEPTAVTAGSLQPGSSMVAESSSSVVDQDKKSNNLDKDEGDTSNELENAWTAHKTETGAVYYYNSITGKSTYQKPSNFKGESEKATTQSNAVSWEKLAGTDWTIVTTSDGRKYYYDTKNKVSSWHVPAEVAELRKNQESGSTEGSATQLQDASTQGDKVSAPANIAAPAAQIGAHDSMALRSSGAPVSSSALDMVKKKLQEAGTPMTSPHSTSVPATSDANGLKATEAVAKGVINKDKAKDANGEGNMSDSSSDSDDEESGPSKEECIIQFKEMLKERGVAPFSKWDKELPKIVFDPRFKAVPSQSARRALFEHYVRTRAEEERKEKRAAQKAALDAFKQLLEEALEDIDHKTDYHSFKRKWGGDPRFEAIDRKERELLLNEKVKAADEKMRALRMAAATSFKSMLRDNRDITTSSRWSRIKESLRDDPRYKAVKHEQRETLFNEYIAELKSAVDEVERSAKAKRDEQDKLKERERELRKRKEREEKEMERVKLKVRRKEAEYSYRTLLVEMIKDPKASWTESKPKLEKDPQGRATNPDLTQEDAEKLFREHVKDLYERCVNDFRTLLAEVVTVEAAAAKNDDGKTVLNSWSEAKLLLKPDPRYSKMPSKDRESLWRRHTEDMLRRPKSVSDTKESPGTNGRNRMSSAADPLKRSPGRSHRRR >Ma04_p30060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30816940:30821420:1 gene:Ma04_g30060 transcript:Ma04_t30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKRFIRGGIAQDLRTDGRQRLHYRPISVETGVIPQANGSARVRLGATDVIVSIKAELGKPHPLQPDKGKVAIFIDCSPTAAPMFEGRGGEELSTELSFALQRCLLGGRSGAGAGIDLSSLVIVEGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSNTGIPKVTVNLGASPNDQPDVDVSDEEFLQFDTSGVPVVVTLTKVSRHYIVDATSEEESQMSSAVSISVNRHGHICGLTKRGGAGLDPSVILDMISVAKHVGEQLMSLLDSEIAAAEASAEDQ >Ma04_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7045972:7053020:-1 gene:Ma04_g09910 transcript:Ma04_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPVSDPSPPPPSSLRGSDDNAPLFKGSGMTRRGAFAAVSYMACAVLLVLFNKAALSSYNFPCANVITLFQMISSTCILYAMRRWRIISFTNGEPHKGSFVPLETIFHTLPLSIAYLLYMLATMESVRGVNVPMYTTLRRTTVMFTMIVEYLLTRQKYTHPVVGSVALIVLGAFVAGARDLSFDAYGYGMVFIANMTTAIYLATINRVGKSSGLNSFGLMWCNGIVCGPILLFWTYIRGDLELTMNFPYLHSLGFQVVMIFSCILAFFLNYCIFLNTTLNSALTQTMCGNLKDLFTVGLGWLLFGGLPFDVLNIIGQVLGFVGSGFYAYCKIKGK >Ma11_p18060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23212393:23214903:-1 gene:Ma11_g18060 transcript:Ma11_t18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDLIRVLLFLHLSFLCSASAAVGDPTPAPWPHQFHAVLFMNYSGALSLIDLWYDWPGGRNFNIIRDQLDGPPFYDLEWNNGTSFFYTLDSPRSCRSVQVGVGILRPNWLDGATYLGQQTVDGFLCNVWTKADFIWYYEDVVTKRPVHWVFYTGRAAHVMIYEEGAVLEDAKWQAPVYCFQKGKEESREHQRINYDAVHQIVDQKMSLGVLHGL >Ma04_p32900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32773443:32796779:-1 gene:Ma04_g32900 transcript:Ma04_t32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MEGFEENYERGSRIPHTFRATKPFFLLACKRSSDARSPPPSSKCPRRVPLSEIKNGCSTPPMNPTPRSCHRGSIVSPSSSVIDEDFDEAFLRDVDALCEERSTAKKERPSEENGKASWRRVVDSCEELDLDLQGSLNRDAGDWPVQQHKYWDYLKSLNHAQREAACSDVSVPLMIVAGPGSGKTSTMVGRVLTLLKEGFGPSNILAMTFTTAAASEMRDRIRAVAGKDVATDLEISTFHSFCLQLCRRHAEKLGRTPEFLIYGPGQQRRAVIEALRIMENDQNSAQETTVQKFEDLPSTDIAKSLKEKSKKWLKFVTQAKASGRATEECDKIGDEIGAMILRHYDEILTSCNALDYHDFISSSVKLLTDFPEVYNECLNTWKAIVIDEFQDTSSMQYHLLKILASHNRVTIVGDEDQSIFSFNGADVCGFDSFRKDFPTHREIRLNKNYRSTWCIVEAASAVILNNNKRCHIKQVETDNSTGSKIIVMECHNEEAQCAFVADKILEIASDGQNADCSFGNVAILYRRQVSGRAFQMCFRNRKIPFNSHGVAFYRKKVIKAIMAILRTTFPDCDDGPFRQAFKALLPGDKEEKKMVVDYVERISSARKCSFQTAANDIFHAKVSGTFKRSQLTQGRKVLSALDMLSKLVRREPSISMVITSAANMLPQKYLLEKRAVVDVEGGKLLNEDNDLRSVVQYLMDDVSDFLSMHFTNKDVQNLSKEEGCGSILKSFIDFITMRETENFRFRRQENKNSVTLTTIHQSKGLEWDVVFIVKANDNEIPLLHEYNGIVHGGTTLEEERRLLYVAMTRARKKLYVVYIIMDSNWQLLQPSRFLREIPGHLLEIQGEAISKDLAISSRNISNSLGYEISHETIHENGRTPDDISESVEGFSEICLGNQFLKSRFNLEERSIVSSLFHQWAKRPAFHHPNRLLDKIGFVVDERLRNKTYKHKVVMRILKSCLKGDETLQYAQYVIKWEQIPVGLRAHLNREKQEHFQKQRIENSMGSSKATSKQISYLQNLGCTINPTSRLHASRLIEQYRSL >Ma03_p33500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34828427:34832399:-1 gene:Ma03_g33500 transcript:Ma03_t33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEGELLVKILMFLSILGSTQGRRDAVEVRHRRLREASSFSSTPAAEYDYIIVGGGTAGCPLAATLSQNYSVLLLERGGSPYGNGNITRMQNFHIGLADTSPASPSQSFISTDGVINTRARVLGGGTCINAGFYSRASPSFVKAAGWDEELVNESYPWVERRIVYWPDVAPWQAALRDGLVEAGFSPFNGYTYDHIYGTKIGGTIFDKDGFRHTAADLLAAGNPENLRVLLHATVQKIVFDTKGRRPKAAGVRFKDENGKLHRALLKNARHSEVILSSGAIGSPQLLLLSGIGHKKHLKKLDVRLVLHNPHVGKGMSDNPLNSIFMPTRKPVRQSLIQTVGITKKGVFIEASSGFGQSSDSIRCHHGIMSAEIGQLSTIPPKQRSLEAAKRYARDKQNLPREAFQGGFILEKIDGPLSRGRLRLNDTDVDNNPSVTFNYFSHPYDLKRCVYGIRSIEEIVRTKRFARLTVDDAYTMRMLLNMSVQANVNLIPKHTNDTASVEQFCRDSVTTIWHYHGGCHVGKVVDGEYRVLGVSRLRVVDGSTFDRSPGTNPQATVMMMGRYMGAKILRKRLGRAAGA >Ma07_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29570377:29573072:1 gene:Ma07_g21470 transcript:Ma07_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLSDHGSCWDLLCGEDVSELADDSPGGVGEPAEFPDDSDESIAGFIEGEADSSPQLDYPDRFQAKLLDPAARQEAVTWILKVHEYYCFRPLTACLAVNYLDRFLSRHCLPQNEWTLQLLSVACLSLAAKMEETLLPSLLDLQVEGAKIIFEPRTVLRMELVVLNALNWRLRSVTPFTFIDFFVHKIDPVGKYARTLVSLATEITLATTKDVRFLSHCPSSLAAAAIICATDEIKDLAFVDPGIAASWCIGLTEAGIAGCYRSMKQVIVNRTRKASTSVDLEPSVSSSYSSPPSKRRKLNNNCLWVDNDQESS >Ma09_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3711107:3728361:-1 gene:Ma09_g05790 transcript:Ma09_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTMECCFSSGKMASVWCFVLLGLAMAVLPVHCQSTDALGFISIDNGIAPGTTYIDPKSNITYVSDTGFIDTGENHNISGAYVTNGMARRWLSLRSFPSGTRNCYRITSITKGSKYLVRAWFMYGNYDGMNTQPLLFDLYLGVNLWANMNITGPDQTYTNEVITVASSDVFSVCLLNTGHGTPFISGLDVRPLKDMLYPAVNASRSLVLFKRLNMGHKGTYVRYPDDRHDRLWEPWSLPIWTDISTTSTVENLSEDYFEAPSAVMQTAVTPVNSTTLLLSWDPYPGDVNQYFPILHISDFLKLSGTNVSRQFYVYVNGIQWLGNPMTPDYLFSDAVYSIHPLGQFLSYNITLVALSNSTLPPILNALEIFSTLSNANVPSNDGDVNAMMAIKAWYKIKRNWMGDPCTPTAFSWDGLNCTFSVSIPPRITTLNLSTSGLTGEITKSFASLGAIEYLDLSYNNLTGTIPAVLAELTSLKLLDLTRNQLNGSIPSALLERSQNGFLTLRIEGNPYLCENGTSCKVTPSSKKKKITTPVIVILCIVPVTIVLMVILIICRLKRQGSRKGTSVKPQNEVFSNQVKDHRHDPLQLENRQFTYMELKNITNNFQKVLGKGGFGTVFYGCLEDGTEVAVKMRSQASSQGTDQFLAEAQHLTRVHHRTLVSMVGYCNDGDYLALVYEYMAQGTLQDHLQGRTHSTRPLSWRQRLLIAVEAAEGLEYLHKGCKPALIHRDVKTANILLSEKLEAKIADFGLSKAFQSEVNTHVSTAVVGTPGYLDPEYYNTFQISEKSDVYSFGVVLLELITGQPPIVQSAEHAHIVQRVRQRLGRGNIEDVVDAKLQGNYDVNSVWKCADIALKCTSQASHQRPTMADVVMQLKESLELETSPDRTENLVTCSENLYTEVSNISDTNSALEIENIARMSDVCGPSISVDDDDDRLLKKKTFVDFTERRSHHLHLHGAPDVCVQKSHLTYKKSSSFSQLHRSSSHRKVGVGDHTRNTDGFISIDCGIADGYSYTDAKTTIPYNSDTNYVATGVNHNISTRFDSNTTIATQDRTLRSFPQDKRSCYTLPVEEGRKYLVRATFLHGNYDGRKVSSVDPILFDLHLGVNLWESVNISDVTRTHESEVITVALAGYISVCLVNKDKGTPFISSLELRPLRKETLYPAATASVALVKHSRFDFGGSIELRFPDDPYDRIWTPFDDDNKWTRIRTTSPVQSRFDDMFEVPSAVLQTAVVPINSTKAELLFEWGLPDYEDVRPQFNIFMHFAELQPLAVGQSRNFTVYLNGNPWLDRPYSPPYLLSGYIYSTVPSYLYQYNVSIKETATSTLPPIINALETYTIMQLTENATDGSDVDAIGAIKKRYKVNRNWIGDPCAPEVYKWDGLDCSYSVSDSPRITAVHLSSGGLMGTIDSSFAELKAIKYLDLSYNNLTGQIPDTLVELPTLEVLNLSNNQLTGFVPSALLLRTQNGSLTLRFVLCLNFRLWCQFFKTSMFKVVQNLYNSRIEGNPNLCADGDSCTVTPTSTKKKISTPLIVILCIVAVVLLVVIVMFWRLRKRRGKFFSYSLNRHGGTMQVTDNHDRSLQLENRQFPYTELKNITNNFAKVLGKGGFGTVYHGYLEDCTEVAVKMRSQSSSQDTKEFFAEAQSLTRVHHRNLVSLIGYCKDRDSLALVYEYMSQGTLRDHLQGRNNTAIALSWGQRLHIAVDAAQGLEYLHKGCRPPLIHRDVKTANILLSERLEAKIADFGLSKTFQNEVSTHVSTTVVGTPGYLDPEYYNNYQLSEKTDVYSFGVVLLELITGQPPLLQVSGGSHIIQRVRRGLATGNVEDIVDARLQGEYDVNSVWKCVDVALKCTSQRSQQRPTMTDVVMQLKESLELQTPRDRIENPITSKGERYMEEGDAIESNPYVVEMTLVSSGPLAR >Ma11_p18830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23869621:23877107:-1 gene:Ma11_g18830 transcript:Ma11_t18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIGSAPDEQRVSWRSNCKHLLLLAYQSLGVVYGDLSTSPIYVYTSSFSGRLSLYQDEQTVFGMFSLIFWTFTIIPLLKYVIIVLGADDNGEGGTFALYSLLCRHAKLSLLPNQQSADEELSTYYRNGYISQNTIHSPLKRFLEKHKRLRTCLLLIVLFGACMVIGDGVLTPAISVLSSISGLRVRAKGLLDGEVLVISCVVLVGLFALQHKGTQRVAFVFAPVVIIWLLCIAAIGLYNTIYWNPRIFHALSPHYIVKFFEHTGKDGWISLGGILLSITGTEAMFADLGHFNEASIRVAFISVIYPCLILQYMGQAAFLSKNISDVSISFFGSIPEPVFWPVFVISTLAAIVASQSVISATFSIVKQCHSLGCFPRVKIVHTSRWVNGQIYIPEINWILMVLCLAVTLGFRDTTLIGNAYGIASMTVMFVTTWLMTLVVIFVWQKNVISALLFLVFFGFIEGAFLSSSLIKVPQGGWVPFVLSFVFMVIMYVWHYGTRSKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLVYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPHVAPDERFLVGRIGPRAYRMYRCIIRYGYKDVQKDEDNFENQLVLSIAKFIQMESSSSGSCDTSPEGRMAVTRANDTSGTSLVMRDADETEVNCNSTFTRSSKSETLQSLQSIHEQEWTSVGSPRRRIRLELSEEENMESEVKEELMALLEAKQAGVAYIMGHSYVKARKTSPFLKKVAIDVAYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Ma05_p00780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:479505:485305:-1 gene:Ma05_g00780 transcript:Ma05_t00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRYEANPFDEEHVNPFSQPGRVSPNLGISAHPPHQAGFYGYRGPTIDIPPDTAKDVKRKEAELEIREAELKKREQALRQREEAAARAGIVSEDRNWPPCCPIIHHDIANEIPIQLQRMQYIAFASLLGLTLCLSWNVISTLAAWIKGEGIKIWFLAVIYLTTGVPGAYLLWYRPLYRAMRTDSALSFGWFFIFYLVHIAFCVYSAVAPPFPFKGKSLTGILAAVDVVGDAVVVGIFYFIGFGFFCIEALISLWVIQQAYMYFRGSGKAVERHDTEHGSTR >Ma05_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:479505:485305:-1 gene:Ma05_g00780 transcript:Ma05_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRYEANPFDEEHVNPFSQQPGRVSPNLGISAHPPHQAGFYGYRGPTIDIPPDTAKDVKRKEAELEIREAELKKREQALRQREEAAARAGIVSEDRNWPPCCPIIHHDIANEIPIQLQRMQYIAFASLLGLTLCLSWNVISTLAAWIKGEGIKIWFLAVIYLTTGVPGAYLLWYRPLYRAMRTDSALSFGWFFIFYLVHIAFCVYSAVAPPFPFKGKSLTGILAAVDVVGDAVVVGIFYFIGFGFFCIEALISLWVIQQAYMYFRGSGKAVERHDTEHGSTR >Ma07_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6342868:6344648:-1 gene:Ma07_g08520 transcript:Ma07_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQSSSNETSSINAVRCRFPICGTFTFHRRRQSNPSIAMTVTRLSQKLVVPSEPTPSSVLRLSWLDLYPTQRALIESLHVFREGEEAAAVIRRALAKALVYYYPLAGRLVEPEHGELQISCNGGGVWFIEASASCRLEDVDYLEHPLMMSKDDLLPHPEPKLEQSEEESLLLLVQVTQFKCGGFVVGLRSSHAIADGPGAAQFVAAIGEIASGHRRPTVEPVWCRDAIPAPPRFTSGPPPAPSDVRMQYLIMDVSLEYISHLKSQFIEQTGQRCSTFDVLIAKAWQSRTRAIHLDPEASVHLCFAMNARQLLHQVLPPNGGYYGNCYYIMRVTTTSGKMVDASIVEVVKLIRDAKKKLPTEFARWVKGELKEDPYRLTSAYDSLLVSDWTRLGFAEVDYGWGTPVHVVPLTNCDYVATCIVVKPSVPKPGARLMTRCVKTDHLVAFHDGMMDLA >Ma02_p20220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26273207:26275846:-1 gene:Ma02_g20220 transcript:Ma02_t20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDMALLRLRNPIKEVDFFSQNGRQDVTEAGAGVASPKGHEEEEENALARGGASVNTGLYLLTVNSQASQAVVEEEKSKHKFSALRIELNRVRDENRRLRSMLDQLTESYTALHSQLLPAVQQKEHEIYRWQDGKTGVARQGEPSAHQIMEHGPARMRMIHGNSEDGDGEHSSSLNIISSDRGIIPSAETQHSQVDGSSENPNLTQETSSTATPSDLSNRRARVSVRARSDAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRYADDKTVLITTYEGKHNHPLPPAAKAMANTTSAAAAMLLSGSTTSKDSLVASANGFLHPHILYDSTMATLSASTSFPTITLDLTKSANPIQQLLQRAHPTVSPLQMPFPIYGLPQKLPSMVGPQTLQHGPRQQAVVESVTAAITTDPNFTAALTAAITSIMGAPTSSTGAGGSNVPSAGAHMVPGSPQLPKPCTTFSLN >Ma02_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26273207:26275846:-1 gene:Ma02_g20220 transcript:Ma02_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDMALLRLRNPIKEVDFFSQNGRQDVTEAGAGVASPKGHEEEEENALARGGASVNTGLYLLTVNSQASQAVVEEEKSKHKSQFSALRIELNRVRDENRRLRSMLDQLTESYTALHSQLLPAVQQKEHEIYRWQDGKTGVARQGEPSAHQIMEHGPARMRMIHGNSEDGDGEHSSSLNIISSDRGIIPSAETQHSQVDGSSENPNLTQETSSTATPSDLSNRRARVSVRARSDAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRYADDKTVLITTYEGKHNHPLPPAAKAMANTTSAAAAMLLSGSTTSKDSLVASANGFLHPHILYDSTMATLSASTSFPTITLDLTKSANPIQQLLQRAHPTVSPLQMPFPIYGLPQKLPSMVGPQTLQHGPRQQAVVESVTAAITTDPNFTAALTAAITSIMGAPTSSTGAGGSNVPSAGAHMVPGSPQLPKPCTTFSLN >Ma06_p04880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3633175:3635894:1 gene:Ma06_g04880 transcript:Ma06_t04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKSLLNPDAAPYVPVWKLLYGTGDKVTEPAVGLPGKDKAVENSIEHQVPDTLDYDIKSFGKLDLSGASSSKTDQLDNLDEILQDEISEWDAKVDHLSSIFPDISVEYLAELLIVNGGDLEETVFVLQQFESAGDGSEDPVQAAVANDVSGIVSEEASSSGTKD >Ma08_p12570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9559098:9565728:1 gene:Ma08_g12570 transcript:Ma08_t12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLEILGPGRVSAAIDLKFIALFAALFVSSSDGINMEGKYLLQLKSEIRDVLHNLDNWSPDDLTPCQWNGVNCTSDYDPVVFSLDLNSMNLSGSVSPSIGGLIHLTYLDLSFNQFSGTIPGEIGNCSKLEMLYLNNNNFEGSIPPQLGNLVSLFKCNLCNNKLSGSFPEEIGNLSSLMEFVAYTNDLIGPLPRTIGKLKNLAIFRVGQNLISGSIPVEISECHNLELLGLAQNQLGGAIPKEIGKLRYLAELILWDNQLSGVIPKELGNCTDLVTLALYQNYLVGDIPVELGNLKNLEKLYLYRNSFNGTIPKEIGNLTLATEIDFSENMLTGKIPAELSNIKGLRLLYLFENQLVGIIPPELCALKNLSKLDLSINYLTGSVPPGLQYLPDLIQLQLFNNMLFGNIPQNLGLYSPLWVVDFSNNNLTGQIPSHLCRHSNLILLNLWSNELSGSIPPGVTNCKSLVQLRLGGNSLTGGFPSDLCGLENLTTIELDENRFSCPIPPEIGQCKALQRLDLANNFFTHELPGEIGNLSQLVVFNISSNEFGGRMPPEIFNCTMLQRLDLSHNHFEGKLPNEIGKLLQLELLILSDNMFSGTVPSIIGKLSHLTELQMGGNQFFGTIPNELGGLSSLQIAMNLSYNNFSGNIPPEIGNLALLEFLLLNNNYLTGVIPATFANLSSLRGLNVSYNDLTGSLPPIPLIQSMAQSSFIGNKGLCGGPLGECGVSPSSSTSPSLRKKSTPFSKIIVIIAAAVGSISLVLIAVVLYFMRRPVETMAPLKEKQLYCSASGTHISPREGFTFHDLVAATDNFDESFVIGRGACGTVYRAITQSGRTVAVKKLASSREGSNIENSFRAEISTLGKIRHRNIVKLYGFTYHNGSKFLLYEYMSSGSLADLLHGESSFPLDWDTRFMIAVGTAEGLSYLHHDCKPHIIHRDIKSSNILLDENFEAHVGDFGLAKLIDVPQLKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVTWLA >Ma08_p12570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9559098:9565728:1 gene:Ma08_g12570 transcript:Ma08_t12570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLEILGPGRVSAAIDLKFIALFAALFVSSSDGINMEGKYLLQLKSEIRDVLHNLDNWSPDDLTPCQWNGVNCTSDYDPVVFSLDLNSMNLSGSVSPSIGGLIHLTYLDLSFNQFSGTIPGEIGNCSKLEMLYLNNNNFEGSIPPQLGNLVSLFKCNLCNNKLSGSFPEEIGNLSSLMEFVAYTNDLIGPLPRTIGKLKNLAIFRVGQNLISGSIPVEISECHNLELLGLAQNQLGGAIPKEIGKLRYLAELILWDNQLSGVIPKELGNCTDLVTLALYQNYLVGDIPVELGNLKNLEKLYLYRNSFNGTIPKEIGNLTLATEIDFSENMLTGKIPAELSNIKGLRLLYLFENQLVGIIPPELCALKNLSKLDLSINYLTGSVPPGLQYLPDLIQLQLFNNMLFGNIPQNLGLYSPLWVVDFSNNNLTGQIPSHLCRHSNLILLNLWSNELSGSIPPGVTNCKSLVQLRLGGNSLTGGFPSDLCGLENLTTIELDENRFSCPIPPEIGQCKALQRLDLANNFFTHELPGEIGNLSQLVVFNISSNEFGGRMPPEIFNCTMLQRLDLSHNHFEGKLPNEIGKLLQLELLILSDNMFSGTVPSIIGKLSHLTELQMGGNQFFGTIPNELGGLSSLQIAMNLSYNNFSGNIPPEIGNLALLEFLLLNNNYLTGVIPATFANLSSLRGLNVSYNDLTGSLPPIPLIQSMAQSSFIGNKGLCGGPLGECGVSPSSSTSPSLRKKSTPFSKIIVIIAAAVGSISLVLIAVVLYFMRRPVETMAPLKEKQLYCSASGTHISPREGFTFHDLVAATDNFDESFVIGRGACGTVYRAITQSGRTVAVKKLASSREGSNIENSFRAEISTLGKIRHRNIVKLYGFTYHNGSKFLLYEYMSSGSLADLLHGESSFPLDWDTRFMIAVGTAEGLSYLHHDCKPHIIHRDIKSSNILLDENFEAHVGDFGLAKLIDVPQLKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVTWVRAYIKDNSLTSGIFDSHLNLEDKNAISHMIMVLKIALLCTSSSPLNRPSMREVVLMLMESKEKARGLPSSTDSDHSVKKDQS >Ma08_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9559098:9565728:1 gene:Ma08_g12570 transcript:Ma08_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLEILGPGRVSAAIDLKFIALFAALFVSSSDGINMEGKYLLQLKSEIRDVLHNLDNWSPDDLTPCQWNGVNCTSDYDPVVFSLDLNSMNLSGSVSPSIGGLIHLTYLDLSFNQFSGTIPGEIGNCSKLEMLYLNNNNFEGSIPPQLGNLVSLFKCNLCNNKLSGSFPEEIGNLSSLMEFVAYTNDLIGPLPRTIGKLKNLAIFRVGQNLISGSIPVEISECHNLELLGLAQNQLGGAIPKEIGKLRYLAELILWDNQLSGVIPKELGNCTDLVTLALYQNYLVGDIPVELGNLKNLEKLYLYRNSFNGTIPKEIGNLTLATEIDFSENMLTGKIPAELSNIKGLRLLYLFENQLVGIIPPELCALKNLSKLDLSINYLTGSVPPGLQYLPDLIQLQLFNNMLFGNIPQNLGLYSPLWVVDFSNNNLTGQIPSHLCRHSNLILLNLWSNELSGSIPPGVTNCKSLVQLRLGGNSLTGGFPSDLCGLENLTTIELDENRFSCPIPPEIGQCKALQRLDLANNFFTHELPGEIGNLSQLVVFNISSNEFGGRMPPEIFNCTMLQRLDLSHNHFEGKLPNEIGKLLQLELLILSDNMFSGTVPSIIGKLSHLTELQMGGNQFFGTIPNELGGLSSLQIAMNLSYNNFSGNIPPEIGNLALLEFLLLNNNYLTGVIPATFANLSSLRGLNVSYNDLTGSLPPIPLIQSMAQSSFIGNKGLCGGPLGECGVSPSSSTSPSLRKKSTPFSKIIVIIAAAVGSISLVLIAVVLYFMRRPVETMAPLKEKQLYCSASGTHISPREGFTFHDLVAATDNFDESFVIGRGACGTVYRAITQSGRTVAVKKLASSREGSNIENSFRAEISTLGKIRHRNIVKLYGFTYHNGSKFLLYEYMSSGSLADLLHGESSFPLDWDTRFMIAVGTAEGLSYLHHDCKPHIIHRDIKSSNILLDENFEAHVGDFGLAKLIDVPQLKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVTWVRAYIKDNSLTSGIFDSHLNLEDKNAISHMIMVLKIALLCTSSSPLNRPSMREVVLMLMESKEKARGLPSSTDSDHSVKKDQS >Ma10_p21200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31115109:31118292:-1 gene:Ma10_g21200 transcript:Ma10_t21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHQHQLVDENMSNLTSASGEASVSSNHQSTFASPNPNPPKKKRNLPGNPDPDAEVIALSPKTLMATNRFACEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKEVRKKVYVCPEATCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAMAANPFANHLFSQPTASCETSTVQVQPTILRSQFPHMMRANEANTSIVSGHGMQGELSPEREHQPRQFDMRSNIPPWLTCQGPITTSLNHLDLPSSSSVYSVRLQQEYSRGNPQVHRPPIPPAFQASASSPHMSATALLQKAAEMGATMSRPKHLGLMAAHATSSAVPPNNNTASFALGLSSHQEMGNCSGDGDASGGRGGGVIGGFGQESPPLLLHDMVTPSLSSTPGFDGPFEDAFRGMLESKGEASNNSMGGFARSHGMVEEGGGGSGGNDGMTRDFLGLKPFPERDILDLTAFDPCLGSSSYEHHRQSKKPCHGQLLPEM >Ma10_p21200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31115109:31118292:-1 gene:Ma10_g21200 transcript:Ma10_t21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHQHQLVDENMSNLTSASGEASVSSNHQSTFASPNPNPPKKKRNLPGNPDPDAEVIALSPKTLMATNRFACEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKEVRKKVYVCPEATCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAMAANPFANHLFSQPTASCETSTVQVQPTILRSQFPHMMRANEANTSIVSGHGMQGELSPEREHQPRQFDMRSNIPPWLTCQGPITTSLNHLDLPSSSSVYSVRLQQEYSRGNPQVHRPPIPPAFQASASSPHMSATALLQKAAEMGATMSRPKHLGLMAAHATSSAVPPNNNTASFALGLSSHQEMGNCSGDGDASGGRGGGVIGGFGQESPPLLLHDMVTPSLSSTPGFDGPFEDAFRGMLESKGEASNNSMGGFARSHGMVEEGGGGSGGNDGMTRDFLGLKPFPERDILDLTAFDPCLGSSSYEHHRQSKKPCHGQLLPEM >Ma01_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4028544:4031912:-1 gene:Ma01_g05680 transcript:Ma01_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVALNYVLFRVNKAYTNLFDATVDALVAALQKLGFPNIPVMGTGTGWPTAGNTAATPEKAAAYKQGIGTLMRPNDAVEVLLLFNLFDQNRKTVKEYEKHFVIFHQVRKVQVELVIG >Ma04_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24456751:24457717:-1 gene:Ma04_g22050 transcript:Ma04_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLKVFGQPAATDVARVLTCLFEKDLQFQIVRIDSFKGEHKVPVFLRLQNPCGQVTFKDGDVTLVDSRDICRHISKKYEDKGNKALSGTGFLQRASIEQWLQAEAVSFEPPTSALVFQLTFAPALHMPPDEELIQQNEEKLSKVLDIYNSRLGKSEYLTGDTFTLADLSHLPNSHYLTMSERGRKLFETRGNVARWCTAISARPSWKQVVEMQSERPGLI >Ma00_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22651378:22653857:1 gene:Ma00_g02950 transcript:Ma00_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFLLPCITVLLVTGSVCKLAHNSSALPLSSSSRWIVDESGLRVKLACVNWVSHLEPVVVEGMGKQPLDTISKKIAAMGFNCVRLTWPLFLVTNDSLAGLTVRQSFQALGLMESIAAIQVNNPDLLNLTLIQAFKAVVSNLADNNVMVILDNHISKPGWCCSNFDGNGFFGDKYFDAEEWIKGLTKMATMFNGSPNVVGMSLRNELRGPKQGVTEWYRYMQRGAEAVHSANPNVLVILSGLSFDNDLGYLSKKPVELTFQGKLVFELHWYAFSDGQAWANGNPNQVCGRVAGNVMRRAGFLLDQGWPLFLSEFGLDQRGTNVNDNRYLGCMMGVAAELDLDWALWTLQGSYYIRQGSKWEFISDSKMHLSTKMSSDGCSLCLDVDPDGISIITNPCRCLTNDQTCNPESQWFKMISSTRKTASKNSILRLPSRPEIWRFVRNRALESSV >Ma05_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33569582:33570158:-1 gene:Ma05_g21800 transcript:Ma05_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKQSSSTIWTREAVREYEVALVAYIEGHRIHVPWEKVAAYLPGRTVAEVKEHYDELVEGICRTHPYALSLPYCHLPEDSAAGCSDGNMGSVVEPTRDQGEITSTAESNPGQGNDTSGDSVITDEHHPSEDDEQGQEAGSKAP >Ma01_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6224922:6227682:-1 gene:Ma01_g08670 transcript:Ma01_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFLEQLLVKMERLQTGFPVLLIMHLTPSGRDGWNSFFFEGPYSALDAANIRIQGSVRGVNRVCQDITSKPPGTAEWELRKICCWVVRNELVRHCYL >Ma09_p05230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3363289:3370261:1 gene:Ma09_g05230 transcript:Ma09_t05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIKGLKAFIGLITHGRHSQNTILSSANRTSSSSYQCLVRSVTTSEHTNGKISATVASDEKNGTGDSDQTASQKPVRGGPVSWLSLVLLIVTGGGLIVYYDKEKKRHIEGLKTSSSTVKQGPSVGTAAIGGPFKLVNHYGKTVTEKDFLGKWTLIYFGFTYCPDICPDELQKLAAAVDKIKAKSGMEVVPVFISVDPERDNVEQVHEYVKEFHPDLIGLTGTADEIRQVARAFRVYYMKTEEEGSDYLVDHSIVMYLMNPNMEFVKFFGKNYDANALTEGVIKEIKAG >Ma10_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23650057:23650565:1 gene:Ma10_g09540 transcript:Ma10_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTLSTAATPSQLCASKNGMSSPSGALLCKPVRGLPGLGKERSRTITCQATSIPADRVPDMGKRQLMNLLLLGAVSLPTAGMLIPYSSFFVPGG >Ma03_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3227649:3229264:1 gene:Ma03_g04860 transcript:Ma03_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPASKQHVAFVAFPFGSHPGAIFPVARAVAAAAPSAVVSFLATARALASLPAADDTPNLRFVPVADGVPDGSPPPREVLELIGLFLEATPGNLKEGIAAAVAGAGGAPVICVVSDAFVWKSGEVAEEMRVPWVPLCPGGTAALSAHLHTDLLRRKLGVGDQALAGREEDLLDFIPGLSVHRVRDLPEGVVTGPLDSPFSVLLHRMADRLPKAAAIAFNTIPGLEPAIEESLATVFPKPFIIGPFHILAPPSAPAPDPNRCLPWLDRHRPDAVAYVSFGSIMTPPPAELAQLAEGLEASGVPFIWSLKETARECLPPGFLERTKERGMVVGWAPQLAVLDHPAVGAFLTHCGWNSVLEGIVAGVPMACRPLFGDHRMIARSMMLAWGNGIGFEGEAMTKDGVFKALDALLRGEEGKRLRARAAELKQMANKAVAPGGTSTKNFASLLDFLLPEIKLNS >Ma04_p11610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8201228:8207806:-1 gene:Ma04_g11610 transcript:Ma04_t11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVDSTKDVNGSFPGDNKIIVVFVLGGPGSGKGTQCTRIVENFGFTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPSEVTINLLQKAMLESGNDKFLIDGFPRNEENRAAFENVTKIEPEFVLFFDCPEEEMEQRLLSRNQGRDDDNIETIRKRFRVFVESSLPVVEYYDMKGKVRKVDALKPIDEVFESVKAIFAPFHTKVE >Ma04_p11610.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8200989:8208114:-1 gene:Ma04_g11610 transcript:Ma04_t11610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVDSTKDVNGSFPGDNKIIVVFVLGGPGSGKGTQCTRIVENFGFTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPSEVTINLLQKAMLESGNDKFLIDGFPRNEENRAAFENVTKIEPEFVLFFDCPEEEMEQRLLSRNQGRDDDNIETIRKRFRVFVESSLPVVEYYDMKGKVRKVDALKPIDEVFESVKAIFAPFHTKVE >Ma04_p11610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8201228:8208114:-1 gene:Ma04_g11610 transcript:Ma04_t11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVDSTKDVNGSFPGDNKIIVVFVLGGPGSGKGTQCTRIVENFGFTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPSEVTINLLQKAMLESGNDKFLIDGFPRNEENRAAFENVTKIEPEFVLFFDCPEEEMEQRLLSRNQGRDDDNIETIRKRFRVFVESSLPVVEYYDMKGKVRKVDALKPIDEVFESVKAIFAPFHTKVE >Ma04_p11610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8201228:8207906:-1 gene:Ma04_g11610 transcript:Ma04_t11610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVDSTKDVNGSFPGDNKIIVVFVLGGPGSGKGTQCTRIVENFGFTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPSEVTINLLQKAMLESGNDKFLIDGFPRNEENRAAFENVTKIEPEFVLFFDCPEEEMEQRLLSRNQGRDDDNIETIRKRFRVFVESSLPVVEYYDMKGKVRKVDALKPIDEVFESVKAIFAPFHTKVE >Ma04_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11937709:11945129:1 gene:Ma04_g15630 transcript:Ma04_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIHQEAEEKANEISVAAEEEFNIEKLQLFEAEKKKIRQEYERKEKQVEIRKKIEYSMQLNASRIKVLQAQDDFVNSMKEAAAKELLLVSNNHQSYEKLLKDLIVQSLLRLKEPAVLLRCRKDDHHLVESVLNPAKEEYAKKANARAPDIVIDNKIFLPPPPAHANAHGPYCSGGVVLASIDGKIVCENTLDARLDVVFRKKLPEIRRLLFAQVVA >Ma08_p27730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39877796:39880149:-1 gene:Ma08_g27730 transcript:Ma08_t27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKIAAHWEFACCKVAKKLRSHGFLLRNSARLHQVHSLHSSVTKCSLEGREGQTLVCDFHGTLLGTPYLFPYFMLVAFEGGSLLRGFLLLLLSPLLWVLGYHREITMRILVFVTFCGLRTKQLELVSRAVLPKFYLENLHLQACELLAATGMRVVLTSMPRVMVEGFLKEYLGVSEVVGAELQVVRGCYFTGLISGSTLAAKQKALKDIFGEAKADVALVSSSNLHDHLFITYCKETFVVNKEEDTSGISTEMPRTKYPKPLVFHDGRLALLPTPSATLAVFMWLPIAIPLAIFRIAMGIIFPYRVTVFIGALIGIRFAVGRPHTSTSDASTGSCRKGELYVCTHRTLLDPVILCIALQRPVPAVTYSLSRMSEMLAPMKTVRLTRDRKRDAATIRRLLCDGDLAVCPEGTTCREPYLLRFSSLFAELAENIVPVAMDARVGMFYGTTASGRKWLDPVVFMLNPLPVYTVDILERVPPEMTCAGGLTPTEVANRIQKQLADALGFECTAFTRRDKYMILAGNEGVVSKQCEGRVVSNQCEGQEKKKQPK >Ma02_p23590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28535093:28541740:1 gene:Ma02_g23590 transcript:Ma02_t23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTAAEERRSRRLKRVAAADGSVGAAEPSESGSKRKRRATGAAVVAPVLHQSAETGRGEGEEEVCYRAEDEEEEMESKEECAESRKQSPKKRMGHSKKSKNEERPESCFVGNPIPEAEAKKLWPERYQRKRASSNGSAKRADDEQLLRAKCHYREAKVDGVSYKLDDDAYVKAAEGELDYIGRIVEFFETTDGELYFTAQWFFRAEDTVMKDHACCHGAKVVHDPRRVFLSEEKNDNLLDCMVSKIKIERVDPNINLEVKKKGIPSCDLYYDMSYSLAYSTFANLPENDRAASGSSSTMSSSDPDSPSFREKNVVTLLDLYSGCGAMSTGLCLGANLSGINLQTRWAVDLNQYACQSLKLNHPNTEVRNEKAEDFLALLIEWEKLCEKYHVIGNQVKEEIDSNGLDGEELNDPKVPNDEFEVDKLVGICYGDPSDIGKIGLKFKVRWKGYGPSDDTWEPVEGLSKCEERIKDFVKNGYSKGILPLPGQADVVCGGPPCQGISGFNRFRNKNAPLEDPKNQQMVVFMDIVEFLKPKYVLMENVVDILKFAQGFMGRYALSRLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGAWPTKMLPQFPLPTHDVIVRGGAPNEFEQNIVAYNENEHPELERALQLEDALSDLPPVTNYEDRDEIPYGKAAKTEFQQFIRLPRSDMHQLFDHNPLQLNDDDYQRVCQIPKRKGANFRDLPGVVVGPDNIVEWDPNVERVILPSGKPLVPDYAMSFIKGKSLKPFGRLWWDETVPTVVTRAEPHNQVILHPEQDRVLSVRENARLQGFPDFYKLQGPVKERYIQVGNAVAVPVARALGYALCQALKGQCSAEPLFILPRKFPTIDRGSSSTPKEPTDGV >Ma02_p23590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28535093:28541740:1 gene:Ma02_g23590 transcript:Ma02_t23590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTAAEERRSRRLKRVAAADGSVGAAEPSESGSKRKRRATGAAVVAPVLHQSAETGRGEGEEEVCYRAEDEEEEMESKEECAESRKQSPKKRMGHSKKSKNEERPESCFVGNPIPEAEAKKLWPERYQRKRASSNGSAKRADDEQLLRAKCHYREAKVDGVSYKLDDDAYVKAAEGELDYIGRIVEFFETTDGELYFTAQWFFRAEDTVMKDHACCHGAKVVHDPRRVFLSEEKNDNLLDCMVSKIKIERVDPNINLEVKKKGIPSCDLYYDMSYSLAYSTFANLPENDRAASGSSSTMSSSDPDSPSFREKNVVTLLDLYSGCGAMSTGLCLGANLSGINLQTRWAVDLNQYACQSLKLNHPNTEVRNEKAEDFLALLIEWEKLCEKYHVIGNQVKEEIDSNGLDGEELNDPKVPNDEFEVDKLVGICYGDPSDIGKIGLKFKVRWKGYGPSDDTWEPVEGLSKCEERIKDFVKNGYSKGILPLPGQADVVCGGPPCQGISGFNRFRNKNAPLEDPKNQQMVVFMDIVEFLKPKYVLMENVVDILKFAQGFMGRYALSRLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGAWPTKMLPQFPLPTHDVIVRGGAPNEFEQNIVAYNENEHPELERALQLEDALSDLPPVTNYEDRDEIPYGKAAKTEFQQFIRLPRSALMNYSPRVKATDMHQLFDHNPLQLNDDDYQRVCQIPKRKGANFRDLPGVVVGPDNIVEWDPNVERVILPSGKPLVPDYAMSFIKGKSLKPFGRLWWDETVPTVVTRAEPHNQVILHPEQDRVLSVRENARLQGFPDFYKLQGPVKERYIQVGNAVAVPVARALGYALCQALKGQCSAEPLFILPRKFPTIDRGSSSTPKEPTDGV >Ma02_p23590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28535093:28541740:1 gene:Ma02_g23590 transcript:Ma02_t23590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTAAEERRSRRLKRVAAADGSVGAAEPSESGSKRKRRATGAAVVAPVLHQSAETGRGEGEEEVCYRAEDEEEEMESKEECAESRKQSPKKRMGHSKKSKNEERPESCFVGNPIPEAEAKKLWPERYQRKRASSNGSAKRADDEQLLRAKCHYREAKVDGVSYKLDDDAYVKAAEGELDYIGRIVEFFETTDGELYFTAQWFFRAEDTVMKDHACCHGAKVVHDPRRVFLSEEKNDNLLDCMVSKIKIERVDPNINLEVKKKGIPSCDLYYDMSYSLAYSTFANLPENDRAASGSSSTMSSSDPDSPSFREKNVVTLLDLYSGCGAMSTGLCLGANLSGINLQTRWAVDLNQYACQSLKLNHPNTEVRNEKAEDFLALLIEWEKLCEKYHVIGNQVKEEIDSNGLDGEELNDPKVPNDEFEVDKLVGICYGDPSDIGKIGLKFKVRWKGYGPSDDTWEPVEGLSKCEERIKDFVKNGYSKGILPLPGQADVVCGGPPCQGISGFNRFRNKNAPLEDPKNQQMVVFMDIVEFLKPKYVLMENVVDILKFAQGFMGRYALSRLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGAWPTKMLPQFPLPTHDVIVRGGAPNEFEQNIVAYNENEHPELERALQLEDALSDLPPVTNYEDRDEIPYGKAAKTEFQQFIRLPRSATDMHQLFDHNPLQLNDDDYQRVCQIPKRKGANFRDLPGVVVGPDNIVEWDPNVERVILPSGKPLVPDYAMSFIKGKSLKPFGRLWWDETVPTVVTRAEPHNQVILHPEQDRVLSVRENARLQGFPDFYKLQGPVKERYIQVGNAVAVPVARALGYALCQALKGQCSAEPLFILPRKFPTIDRGSSSTPKEPTDGV >Ma05_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9758052:9763749:1 gene:Ma05_g13430 transcript:Ma05_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERRLLLAAKLVLSSDLCDEGGRPPDPADLRITATVKPHQIEGVSWLIRRYHLGVNVILGDEMGLGKTLQAISFLSYLKIQSLSCGPFLVLCPLSVTDGWISEFTKFCPTLRVLLYVGEKKHRLGLRRMMYENLQRKSSFLDDLPFDVLLTTYDIALLDQNFLSQIPWHHVVIDEAQRLKNPYSVLYNVLEQKFIMPRHLLLTGTPIQNNLTELWALMHFCMPAVFGTLEQFFSTFKEAGISSKGSESDRVKRQFGILRYILRAFMLRRTKAVLIERGTLTLPPLTEITVMAPLMPLQRKVYMSILRKELQTLLSISLGSTSNQSLRNIVIQLRKACSHPYLFTGMEPEPYEEGEHLVQASGKLIILDLLLQKLYAAGHRVLLFAQMTRTLDILQDFLELRKYTYERLDGSVRAEERFAAIRSFSKQPVKGDVIHQDKQDVAFVFMISTRAGGVGLNLIA >Ma04_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3403906:3404512:-1 gene:Ma04_g04460 transcript:Ma04_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGERKEGETKYRGVRRRPWGKYAAEIRDPSKHGARVWLGTFNTAEEAARAYDRAAYEMRGALAVLNFPGEIHSRHASSSTPSRARQSEGAATGRQVIELECLDDKVLEELLASSEKGDEK >Ma01_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10776453:10777920:1 gene:Ma01_g14740 transcript:Ma01_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDGHGGGRGGSGSRWLEIAERLLGARDLVGSKRFAERAMEAEPLLDGVDQVLAVADVLLAGQRRINNHVDWYAVLQLLPPSASSDGSDAADIRRQYRRLALLLHHDRNRSPGADAAFRLVADAFAVLSNPDKKSLFDAEIRIAAAAAAAAASKPSPSPSPVTAEPFWTKCPTCCNVHMFAGEYLNLSLRCSTCRQPFLATELSSPPPVVPGTDMYYCSWGFFPLGFPGGPCFYGGGGIAPSDLDSEWKPFYPMFPNWGNNTNPQPPHQQPGASMHPPDRQRDWQNNGKKENINEPQWAMPAMNKKTMAEKKVEVGLKKRPLGGRNSGKGTGSSGISISGPVARLGSGMKPINLEAVDVKEAEEEEEVVRGININEEYKSLDGVHEDSNDSLSFHIDVDATNDILGNLHNLPFLKEDDIPLRTP >Ma06_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10369121:10370882:1 gene:Ma06_g15240 transcript:Ma06_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNPRYFAGKAPPEDAYDVSGYGFDSTVAGHEHHHDFPSPLNNIEFQPSETCPRNFVIFDQTCHRSRVMFHPSLAHKLGSPGLDVHGSHAYEAGKSAYRDDDEDAEDIDALLSSEEGEDDDVVSTGRTPGSWGSSSPDTACSSRPKSASSKKQVSGNVGSSSHKREKMKKMVKTLKGIIPCGDRMDTPAVLDEAVKYLKSLKVEVKKLGMKHLDH >Ma06_p15240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10369121:10370882:1 gene:Ma06_g15240 transcript:Ma06_t15240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNPRYFAGKAPPEDAYDVSGYGFDSTVAGHEHHHDFPSPLNNIEFQPSETCPRNFVIFDQTCHRSRVMFHPSLAHKLGSPGLDVHGSHAYEAGKSAYRDDDEDAEDIDALLSSEEGEDDDVVSTGRTPGSWGSSSPDTACSSRPKSASSKKQVSGNVGSSSHKREKMKKMVKTLKGIIPCGDRMDTPAVLDEAVKYLKSLKVEVKKLGMKHLDH >Ma10_p23020.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32126290:32130207:1 gene:Ma10_g23020 transcript:Ma10_t23020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGISRGGLFSGFLLCFLVLQALAGASGIVIGLRRHQGGAQRRRPTDQTACDLFAGSWVRDDSYPLYQSLSCPIIDPEFNCQLYGRPDTEYQRYRWQPSGCELPRFDGVGFLATMRGKTVMFVGDSLGRNQWQSLICMLAAAAPQSQTQFVRGEPLSTYKFLDSGVSVSFYRAPYLVDIDVVQGRRILMLNEITGNAEAWRGADVLCFNSGHWWTHKGALQGLFLRGHGSIGRVPERDEHMGKLGRRYRRQDQDEGLLPVHISHPLQSGGVERRGLEELLRRDGAGGRVELHGPVPGADTGDQGRDQGDEESRRLARHHRLVGTPKGRPPFHLQRRLDSGAAGQPRPLRRLQPLVPPRPPRHLEPAPLHCPLLLLIRMAPRLFILLISDSIQNESSMQHH >Ma10_p23020.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32126290:32129714:1 gene:Ma10_g23020 transcript:Ma10_t23020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGISRGGLFSGFLLCFLVLQALAGASGIVIGLRRHQGGAQRRRPTDQTACDLFAGSWVRDDSYPLYQSLSCPIIDPEFNCQLYGRPDTEYQRYRWQPSGCELPRFDGVGFLATMRGKTVMFVGDSLGRNQWQSLICMLAAAAPQSQTQFVRGEPLSTYKFLDSGVSVSFYRAPYLVDIDVVQGRRILMLNEITGNAEAWRGADVLCFNSGHWWTHKGALQGLFLRGHGSIGRVPERDEHMGKLGRRYRRQDQDEGLLPVHISHPLQSGGVERRGLEELLRRDGAGGRVELHGPVPGADTGDQGRDQGDEESRRLARHHRLVGTPKGRPPFHLQRRLDSGAAGQPRPLRRLQPLVPPRPPRHLEPAPLHCPLLLLIRMAPRLFILLIRN >Ma10_p23020.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32126290:32129979:1 gene:Ma10_g23020 transcript:Ma10_t23020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGISRGGLFSGFLLCFLVLQALAGASGIVIGLRRHQGGAQRRRPTDQTACDLFAGSWVRDDSYPLYQSLSCPIIDPEFNCQLYGRPDTEYQRYRWQPSGCELPRFDGVGFLATMRGKTVMFVGDSLGRNQWQSLICMLAAAAPQSQTQFVRGEPLSTYKFLDSGVSVSFYRAPYLVDIDVVQGRRILMLNEITGNAEAWRGADVLCFNSGHWWTHKGALQGLFLRGHGSIGRVPERDEHMGKLGRRYRRQDQDEGLLPVHISHPLQSGGVERRGLEELLRRDGAGGRVELHGPVPGADTGDQGRDQGDEESRRLARHHRLVGTPKGRPPFHLQRRLDSGAAGQPRPLRRLQPLVPPRPPRHLEPAPLHCPLLLLIRMAPRLFILLKTTLSFRFHPE >Ma10_p23020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32126290:32129927:1 gene:Ma10_g23020 transcript:Ma10_t23020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGISRGGLFSGFLLCFLVLQALAGASGIVIGLRRHQGGAQRRRPTDQTACDLFAGSWVRDDSYPLYQSLSCPIIDPEFNCQLYGRPDTEYQRYRWQPSGCELPRFDGVGFLATMRGKTVMFVGDSLGRNQWQSLICMLAAAAPQSQTQFVRGEPLSTYKFLDSGVSVSFYRAPYLVDIDVVQGRRILMLNEITGNAEAWRGADVLCFNSGHWWTHKGALQGWDYMGDAGSFYEDMDRLVAFQKGMSTWANWVDATVDRTKTKVFFQSISPTHYNPAEWNGAASKNCFGETAPVGGWNYTAPYPEQIQVIKGVIKAMRSPAALLDITALSELRKDGHPSIYSGDLTPEQRANPDRSADCSHWCLPGLPDTWNLLLYTALFFS >Ma10_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32126290:32128808:1 gene:Ma10_g23020 transcript:Ma10_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGISRGGLFSGFLLCFLVLQALAGASGIVIGLRRHQGGAQRRRPTDQTACDLFAGSWVRDDSYPLYQSLSCPIIDPEFNCQLYGRPDTEYQRYRWQPSGCELPRFDGVGFLATMRGKTVMFVGDSLGRNQWQSLICMLAAAAPQSQTQFVRGEPLSTYKFLDSGVSVSFYRAPYLVDIDVVQGRRILMLNEITGNAEAWRGADVLCFNSGHWWTHKGALQGWDYMGDAGSFYEDMDRLVAFQKGMSTWANWVDATVDRTKTKVFFQSISPTHYNPAEWNGAASKNCFGETAPVGGWNYTAPYPEQIQVIKGVIKAMRSPAALLDITALSELRKDGHPSIYSGDLTPEQRANPDRSADCSHWCLPGLPDTWNLLLYTALFFS >Ma10_p23020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32126290:32129714:1 gene:Ma10_g23020 transcript:Ma10_t23020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGISRGGLFSGFLLCFLVLQALAGASGIVIGLRRHQGGAQRRRPTDQTACDLFAGSWVRDDSYPLYQSLSCPIIDPEFNCQLYGRPDTEYQRYRWQPSGCELPRFDGVGFLATMRGKTVMFVGDSLGRNQWQSLICMLAAAAPQSQTQFVRGEPLSTYKFLDSGVSVSFYRAPYLVDIDVVQGRRILMLNEITGNAEAWRGADVLCFNSGHWWTHKGALQGWDYMGDAGSFYEDMDRLVAFQKGMSTWANWVDATVDRTKTKVFFQSISPTHYNPAEWNGAASKNCFGETAPVGGWNYTAPYPEQIQVIKGVIKAMRSPAALLDITALSELRKDGHPSIYSGDLTPEQRANPDRSADCSHWCLPGLPDTWNLLLYTALFFS >Ma10_p23020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32126290:32129923:1 gene:Ma10_g23020 transcript:Ma10_t23020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGISRGGLFSGFLLCFLVLQALAGASGIVIGLRRHQGGAQRRRPTDQTACDLFAGSWVRDDSYPLYQSLSCPIIDPEFNCQLYGRPDTEYQRYRWQPSGCELPRFDGVGFLATMRGKTVMFVGDSLGRNQWQSLICMLAAAAPQSQTQFVRGEPLSTYKFLDSGVSVSFYRAPYLVDIDVVQGRRILMLNEITGNAEAWRGADVLCFNSGHWWTHKGALQGWDYMGDAGSFYEDMDRLVAFQKGMSTWANWVDATVDRTKTKVFFQSISPTHYNPAEWNGAASKNCFGETAPVGGWNYTAPYPEQIQVIKGVIKAMRSPAALLDITALSELRKDGHPSIYSGDLTPEQRANPDRSADCSHWCLPGLPDTWNLLLYTALFFS >Ma09_p01050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:783004:787720:-1 gene:Ma09_g01050 transcript:Ma09_t01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGSKEILSLECTSAGSPADERVESVVGRNNLQFHFAQSDERRPGGINRDSVANGTAPVNLWGKPIDNLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVVFMFNIMHRPFSSSANAVNTFLGISQISSVLGGFIADAYLGRYWTIAIFTTIYLVGLIGITLCATMSVFIPNQDQCYQLSKLLGTCEPAKSWQMLYLYIVLYVTAFGAAGIRPCVSSFGADQFDERSKDYKAHLDRFFNFFYLSVTVGAIVAFTMVVYIQMKHGWGSAFASLAIAMAISNVVFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNVPLSSSDSIDLYELPGQNSAIKGSGKIDHTDDFRWLDKAALHLKEDGVDPNPWRLCTVTQVEEVKILLKLLPIPMCTIMLSVVLTEYLTLSVQQAYTMDTHMGHLKLPVICMPVFPGLSIFLILALYYSTFAPLSRRITGHPHGASQLQRVGLGLAVSILSVAWAGVFERYRRNYAIKHGYEALFLSPMPNLSAYWLLIQYCLIGIAEVFCIVGLLEFLYQEAPDAMRSIGSAYAAVAGGLGCFVATIINNIVKSITGNEAEGRPSWLSQNINTGRFDYFYWLLTVLSLINFCVFLLLAHRYKYRVRKMVELEIMRATTT >Ma09_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:783004:787579:-1 gene:Ma09_g01050 transcript:Ma09_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MGSKEILSLECTSAGSPADERVESVVGRNNLQFHFAQSDERRPGGINRDSVANGTAPVNLWGKPIDNLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMISSVLGGFIADAYLGRYWTIAIFTTIYLVGLIGITLCATMSVFIPNQDQCYQLSKLLGTCEPAKSWQMLYLYIVLYVTAFGAAGIRPCVSSFGADQFDERSKDYKAHLDRFFNFFYLSVTVGAIVAFTMVVYIQMKHGWGSAFASLAIAMAISNVVFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNVPLSSSDSIDLYELPGQNSAIKGSGKIDHTDDFRWLDKAALHLKEDGVDPNPWRLCTVTQVEEVKILLKLLPIPMCTIMLSVVLTEYLTLSVQQAYTMDTHMGHLKLPVICMPVFPGLSIFLILALYYSTFAPLSRRITGHPHGASQLQRVGLGLAVSILSVAWAGVFERYRRNYAIKHGYEALFLSPMPNLSAYWLLIQYCLIGIAEVFCIVGLLEFLYQEAPDAMRSIGSAYAAVAGGLGCFVATIINNIVKSITGNEAEGRPSWLSQNINTGRFDYFYWLLTVLSLINFCVFLLLAHRYKYRVRKMVELEIMRATTT >Ma04_p31680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31924859:31925857:1 gene:Ma04_g31680 transcript:Ma04_t31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQVNHAAAVQATQMLAK >Ma06_p28090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29868052:29875296:-1 gene:Ma06_g28090 transcript:Ma06_t28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKIALAVSILLIVVAPSASSSTPLNCSDTTRVCTSFLAFKAGRSVPLSQIQSMFDVFPEDVTADEGSSPGYVFIRKNCSCLSNNEYLTNTTFTVREEVSSVYPVVAQSYQGLAFLPNMTLRAARAKAVVSLHLLCGCSSGLWNYLLTYVMEDGDSIESLSSRFGVSMDSIETVNGMSGPDGVVVGDVYYIPLNSVPGLPYSADTGIAPSPAPALSPPLTSFSAKTVHQSAGFPYGWVFGSMGVSLVLIIVALFSFISFKSFNSRNETKDPDRPVSLKFHILRNTSFCCASGRYLCCKYGNLKSSTGDAGSHHVNIPKGMVGDAFDMEKPIVFKHEEILSSTDNFSDTNLLGHGKYGSVYYGVLRDQEVAIKRMAALKTKEFMAEMKVLCKVHHASLVELIGYAATDDELFLIYEYAEKGSLKSHLHDPQNKGQTTLSWISRVQISLDAARGLEYIHEHTKNHYVHRDIKTSNILLDGSFRAKISDFGLAKLVAKTGDGEASTTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELISGKEAITRTEGMVLSNSERRSLASIMLAALRNSPNSMSMGSLRDYIDPSLMDLYPHDCVYKMAMLAKQCVDEDPILRPDMKQVVISLSQILLSSVEWEATLAGNSQVFSGLVQGR >Ma02_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15713756:15715068:-1 gene:Ma02_g04230 transcript:Ma02_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKECSETLKYQTLILKVSIHCEGCKKKVKKVLQSMEGVYKTTVDPQQHKVVVTGNVAAEILIKKLLKAGKHAELWPETKPMETGGGGGSNAGSNNKKSKNNKPMEPSKNIDNSQVSNGKDDSTQVSDKPESEASKNGSKEPPPPPEKEDEGNKSAATEGGGKKKGKKGQKENSNSNNDNKSGSASGGGGGSATEVEVAPQEASKKAGASGGVAIPPTFNFPVYTTSQLPSYLVSYNSMQPSMSHGGAYYTALPMLQSSYIYSTASPCSCYTCSDENSGACSIM >Ma02_p04230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15713756:15715068:-1 gene:Ma02_g04230 transcript:Ma02_t04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKECSETLKYQVSIHCEGCKKKVKKVLQSMEGVYKTTVDPQQHKVVVTGNVAAEILIKKLLKAGKHAELWPETKPMETGGGGGSNAGSNNKKSKNNKPMEPSKNIDNSQVSNGKDDSTQVSDKPESEASKNGSKEPPPPPEKEDEGNKSAATEGGGKKKGKKGQKENSNSNNDNKSGSASGGGGGSATEVEVAPQEASKKAGASGGVAIPPTFNFPVYTTSQLPSYLVSYNSMQPSMSHGGAYYTALPMLQSSYIYSTASPCSCYTCSDENSGACSIM >Ma06_p31910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32911665:32913473:1 gene:Ma06_g31910 transcript:Ma06_t31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGESATGDPQSPSGFGGSSNRNTSSFLCNICLDPAQEPVVTLCGHLFCWPCLYEWLHGHSSSSECPVCKATVEEVDIIPLYSGGNSPADPQSRSIDGTDIPSRPAAGRRSARAQQPQPDMTSFHQHNVNHVHHLNNHYPWPVGWNQVAGMRHGDFSFPAATAGGVPASLHQGYANVFHCWNAHGIHQGHGQLAHGFYQGHGHHAHGFWRHPNHRQRANVIWNMLFFLFVALAIANTVSRMLL >Ma11_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26129367:26148244:-1 gene:Ma11_g22340 transcript:Ma11_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MAENDLPDQNFAPTKKKKSDDESEKRRKKITPGSLMKAIIRSGEGQEKPVEGDQVIFHCTTRTVDGIVVDSTRLEHGGKGNPIRNVLGKSKTILGFLEGIPTMTKGEVAMFKMKPEIHYAEEDCPVTVSDDFPKNDELHFEIEMLDFHKVKVVGEDLGVVKKIISEGQGWECPREPYEVEARINAKTIDGKLVLSHSEDPYSFTFGRSELPKGLEMGIGTMARGEKAAIFLSKTYMTKSSLMPMIEDHEEIQFEVELIHFIQVRDMLGDGRLIKRRIVDGRGEFPMDCPLHDSLLRVHYKGILLNEEKTVFYDTRVDNYGQPLEFSSGEGLVPEGLEMCVRLMLPGEISLVTCPPDYAYDKFTRPATVPEGAHVQWEIELLDFEMPKDWTGLSFQEIMDDAEHIKNTGNRLFKEGKYELAKAKYEKVLREYNHVNPQDDDEGKIFLNSRNSLHLNVAACYQKMGEFRKSIETCNKVLDASPVHVKALYRRGMAYMLVGDSDEAKKDFEMMISIDKSSEPDATAALLKLKQKEQEEDKKAKRQFKGLFDRKPGEIAEVGGDVKDDKIPDKAENEIENSGSEKDISKTDLDGGVTDSEHEREPEPEPERIGFLGRLWPSGRRIIAALGLNRCSIL >Ma06_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6742154:6746352:1 gene:Ma06_g09680 transcript:Ma06_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRINGVVWSEEGGDEEDAASWTRASAPGASGGAMGQSKDELGLPSFKSMLDDDWYLGGVAAASNALPSADAQHPFEAFQTHQDVAFSSSAKPHEALLLPPVDELDQNQPFFPAKSALSSLFGAVCSNPFDAGLDLGCDAPAFLGAPHMSHSTNLMNRSGSGGDGVLGFGGMGADDQLGCADLSPGADFSGGRLLPPSDTCSGSISGGTFGPMGFDSFENSPLLNRSKVLRPLEIFPPVGAQPTLFQKRAAAALRQNSGVAGEKGGFLGLWGSEGVGHGNRGKSALEEENEKKRNGNEDDEIDDGSMDASGLNYDTDDAAGESAKGEENAKDGGGGSNSMANSTVTGGGDHKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTPSSSSMPVAGGTSFHPLTPTLPTLPCRVKEELCPSSLPSPNSQPARVEVRVREGRAVNIHMFCARRPGLLLSTMRALDGLGLDIQQAVISCFNGFALDVFRAEQCKEGPGVLPEEIKAVLLHSAGFDNTIL >Ma05_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4529838:4530133:-1 gene:Ma05_g06040 transcript:Ma05_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYLDTVFCEERLLRSLSCHILSPVLSLWRSSCLTDSKLKVGKRIKWN >Ma02_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24265218:24267528:-1 gene:Ma02_g17310 transcript:Ma02_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQALLFPNGSLRSPAAFFPPPLKLGHPRSIYGAEITDAVLHNASQSGTSRFPILSIRSEGNGGGRPRKDTSPNKTRKEADRLKDPPTSNVESSNAASQDEIIALFKRIQTSISKGRPATPRRRNLKSQKEKKTGEPIPKDFKQEQVREVARPVSKFVKKSPIPSPSLVQDDKEVAEEQQQSVMSNKVADKQQQQALTTDRESNVQNLDELKLPELKELAKRRGIKGYSKLKKGEVLQLLKGLSEST >Ma07_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25127188:25132775:-1 gene:Ma07_g18340 transcript:Ma07_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFELPWKYKQLYNTMDSDELKRTARLSRGRLEMPFLGICPVDRYFAAVSFFGFEEIFPTLSLDLDQCSLLLCFLVDHTNLLLLIFLACLYNQVIYLDHSKLSLKSCLLRVFP >Ma04_p30190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30910681:30913225:-1 gene:Ma04_g30190 transcript:Ma04_t30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSFKLDHPLEKRQAEAARIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAAMMSAIYEEHKDEDGFLYMTYSGENTFGRF >Ma04_p30190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30910705:30913248:-1 gene:Ma04_g30190 transcript:Ma04_t30190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSFKLDHPLEKRQAEAARIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAAMMSAIYEEHKDEDGFLYMTYSGENTFGRF >Ma03_p18240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23812097:23815068:1 gene:Ma03_g18240 transcript:Ma03_t18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEVEEAREEGSHGSMFEGGVEVRVLVVDDSPVDRRVVEGLLKRSGAMFQVIAVDSGKRAMEVLGLTEGKEAEQPTVDDPKIDIILTDYCMPEMTGYDLLKAVKEQSSQKPIPVIIMSSENEPQRISRCRAIGAEDYLLKPLQTNDVLRLRDYVRPKALSPKTGTKRKIAIEMMAENSGSERRPCLAGLAVA >Ma09_p30000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40327084:40331851:-1 gene:Ma09_g30000 transcript:Ma09_t30000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEVEGNLFVAGDAKVHGRMFRVLHAVVCKVLEIFPLIEAARPRSKSGIQALCSLHVALEKAKCLLQHCSECSKLYLAITGDSIVTKFEKAKCALQESLRHVEEIVPEPISCQIIAIVGGLEETVFELDQSEKQAGEKVISLLQKEGKFKNNLNDNEELELFHQAASRLGITSSRAALTERRALKKLIERARAQDDKRKESIVSYLYHLTRKYSKLFRNEHADDTDSQGSTPRSPTIQGFEDESSPCRNNHTCERQLTKPHSFNFKQNGRNSGNMPVPPEEFICPISLQLMFDPVIVSSGQTYERLCIEKWFNDGHSTCPKTQQQLSHLCLTPNYCVKRLIVSWCERNGFPIPNGPPESLDVNYWWLAFSKCQAIDASSFGCTSSSKLKCVKVLPPEESGIREELRENDAESLDDHYHNCGYRNLLSALHEAESAQKQFRIVEQITNLLKEDEEARIFMGTNGAVEVLIQFLRMAVHNGDEKAQEAGAMALFNLMVNSNRNKGMMIAAGLIPLLEQMFSNSEMYKCVVALYLSLSCLDEAKPLIGSSMAVPFLIQLLRDHNIERSSCKDDALYTLYNLSTHTPNIPSLVSSDIINSLHPFLAFPSASEGVMLAEKALAILINLAASQAGRKEITSAPSIFCGLAGVLDFGEPAEQEQVVCCLLMLCSDDERCSQMVLQEGVIPSLISISVNGTTKGKEKAEKLLKLFREQRQQEPSPLKQQPQQVEKNGGREMIVESKTLCKSRSKKFRRTLSSIWKNTSFSVYRC >Ma09_p30000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40327084:40331851:-1 gene:Ma09_g30000 transcript:Ma09_t30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEVEGNLFVAGDAKVHGRMFRVLHAVVCKVLEIFPLIEAARPRSKSGIQALCSLHVALEKAKCLLQHCSECSKLYLIIAIVGGLEETVFELDQSEKQAGEKVISLLQKEGKFKNNLNDNEELELFHQAASRLGITSSRAALTERRALKKLIERARAQDDKRKESIVSYLYHLTRKYSKLFRNEHADDTDSQGSTPRSPTIQGFEDESSPCRNNHTCERQLTKPHSFNFKQNGRNSGNMPVPPEEFICPISLQLMFDPVIVSSGQTYERLCIEKWFNDGHSTCPKTQQQLSHLCLTPNYCVKRLIVSWCERNGFPIPNGPPESLDVNYWWLAFSKCQAIDASSFGCTSSSKLKCVKVLPPEESGIREELRENDAESLDDHYHNCGYRNLLSALHEAESAQKQFRIVEQITNLLKEDEEARIFMGTNGAVEVLIQFLRMAVHNGDEKAQEAGAMALFNLMVNSNRNKGMMIAAGLIPLLEQMFSNSEMYKCVVALYLSLSCLDEAKPLIGSSMAVPFLIQLLRDHNIERSSCKDDALYTLYNLSTHTPNIPSLVSSDIINSLHPFLAFPSASEGVMLAEKALAILINLAASQAGRKEITSAPSIFCGLAGVLDFGEPAEQEQVVCCLLMLCSDDERCSQMVLQEGVIPSLISISVNGTTKGKEKAEKLLKLFREQRQQEPSPLKQQPQQVEKNGGREMIVESKTLCKSRSKKFRRTLSSIWKNTSFSVYRC >Ma04_p03750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2885528:2888124:-1 gene:Ma04_g03750 transcript:Ma04_t03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSPSSSSFSSLLLLVLLALSFVIRPASSDTASDLQECGSQLIGLQTCIPYVEGTAQAPTPDCCTGLKDVVAKSPKCLCILVKDHDDPQLPIKINLTRALALPKACNTPVNISACPKLLNLPPNSKEAEIFKQAGSAAQANATATTSPPSTPASDGGSSSNHSRWRGWVAMETVVGCVLYTVMHLLLVST >Ma11_p12010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15685309:15687370:-1 gene:Ma11_g12010 transcript:Ma11_t12010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGMATGSDNLLSAVVPLMKLLCLTVIGLILAHPRTQIVPRATFKLLSKLVFALFLPCLIFVHLGQSVTVDDVLLWWFVPINVLISTAIGCALGYIVAIVCHPPPQFFRFTIIMTGFGNTGNLPIAIVGSVCHSSDNPFGPDCRRAGIAYVSFAQWVAVILVYTFVYHMMEPPMEYYEIVSEENEIEEEEPISNASRPLLHEAEWPGMVDKETTHSKTPFIARVFMSISGSSQNTFPDIDFSEEGGSTAGPSSPKSLRCFAEPKVVQRMRVVAEQTPIRHILQPPTIASLLALIVGLVPVFKAFVFGYDAPLSFFTDSLEILAGAVVPSVMLILGGMLAEGPNDSALGIRTTIGIVVTRLLVLPLVGIGVVALADKMHLLIEGDQMYRFVLLLQYTTPSAILLGAIASLRNYAVREASALLFWQHICAVVSLSFYIVIYFKLLSYV >Ma11_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15685308:15687150:-1 gene:Ma11_g12010 transcript:Ma11_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGMATGSDNLLSAVVPLMKLLCLTVIGLILAHPRTQIVPRATFKLLSKLVFALFLPCLIFVHLGQSVTVDDVLLWWFVPINVLISTAIGCALGYIVAIVCHPPPQFFRFTIIMTGFGNTGNLPIAIVGSVCHSSDNPFGPDCRRAGIAYVSFAQWVAVILVYTFVYHMMEPPMEYYEIVSEENEIEEEEPISNASRPLLHEAEWPGMVDKETTHSKTPFIARVFMSISGSSQNTFPDIDFSEEGGSTAGPSSPKSLRCFAEPKVVQRMRVVAEQTPIRHILQPPTIASLLALIVGLVPVFKAFVFGYDAPLSFFTDSLEILAGAVVPSVMLILGGMLAEGPNDSALGIRTTIGIVVTRLLVLPLVGIGVVALADKMHLLIEGDQMYRFVLLLQYTTPSAILLGAIASLRNYAVREASALLFWQHICAVVSLSFYIVIYFKLLSYV >Ma11_p12010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15685308:15687370:-1 gene:Ma11_g12010 transcript:Ma11_t12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGMATGSDNLLSAVVPLMKLLCLTVIGLILAHPRTQIVPRATFKLLSKLVFALFLPCLIFVHLGQSVTVDDVLLWWFVPINVLISTAIGCALGYIVAIVCHPPPQFFRFTIIMTGFGNTGNLPIAIVGSVCHSSDNPFGPDCRRAGIAYVSFAQWVAVILVYTFVYHMMEPPMEYYEIVSEENEIEEEEPISNASRPLLHEAEWPGMVDKETTHSKTPFIARVFMSISGSSQNTFPDIDFSEEGGSTAGPSSPKSLRCFAEPKVVQRMRVVAEQTPIRHILQPPTIASLLALIVGLVPVFKAFVFGYDAPLSFFTDSLEILAGAVVPSVMLILGGMLAEGPNDSALGIRTTIGIVVTRLLVLPLVGIGVVALADKMHLLIEGDQMYRFVLLLQYTTPSAILLGAIASLRNYAVREASALLFWQHICAVVSLSFYIVIYFKLLSYV >Ma04_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16676304:16680287:-1 gene:Ma04_g16840 transcript:Ma04_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAMAVSVAAGFLGLLSAALGFAAEATRIKASDVKAPAGGECVYPNSPALALGLISALALMIAQAIINTVAGCICCKKHSQPSSSNWTVGLISFIASWVSFIIAFLLLLTGAALNDQRGKERKYFGGNDCYVVKPGVFSGGAVLSLSSVALGIIYYVALLSKTAQAWDPQQNEAIAMGHPGVPAQATPVFVHEDTYNRQQFP >Ma10_p27020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34436968:34440624:-1 gene:Ma10_g27020 transcript:Ma10_t27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVCVAPRRSALAKPHPSSAPLRGRALSPFPPSPKPAVFFSSVSGDGSLKKRVEDVMPIATGLEREEIQAELEGKKRFDMDAPVGPFGTKEAPAVIQSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVIGEGGPPDGHGDEDDH >Ma07_p06010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4344126:4358386:-1 gene:Ma07_g06010 transcript:Ma07_t06010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPHQQEAKLHCFLQWLQANSVELRGCCIRYCGPDKGFGVFATTGNIGDGIVMVVPLDLAITPMRVLQDRLVGPRCRALFEEGDVDDRFLMMVFLMVERVLPNSVWKPYLDLLPSTFESTLWFTEDELAELRGTTLYRATLVQQKQLRALFNDKVKSLVEELLQTDRDSDRTIEVQFEDFLWANCIFWTRALNIPFPRSYVFPESLDEQGKSSLSCSSEADATVTGNSGETSIHSLNVKSEDHVIVENTADGAYKLASAETVWVEGLVPGIDFCNHGLKAAATWEVDSTGAVTGVPASMYLILADQQNFEVGKEICISYGNKGNEELLYLYGFVVDNNPDDYLMVHYPIEALKSVSSSDSKAELLEAQKAELRCLLPKSSLNHGFFSERNEDSKKSLVGQSYNYSWSGQRKVPSYLSKLVFPQEFLVALRTIAMQEHELRQVVSLLEELGASGEERQPSDKDIQTAIWEVCGDYGALELLVELLRMKMMELEEGSGTEDYDDEILTNFSIMKLEDVERSKRTSEGVSMSRTRWSCVVYRKGQKQLTRLFLREAEQALELCAREQP >Ma07_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4344126:4358363:-1 gene:Ma07_g06010 transcript:Ma07_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLDLAITPMRVLQDRLVGPRCRALFEEGDVDDRFLMMVFLMVERVLPNSVWKPYLDLLPSTFESTLWFTEDELAELRGTTLYRATLVQQKQLRALFNDKVKSLVEELLQTDRDSDRTIEVQFEDFLWANCIFWTRALNIPFPRSYVFPESLDEQGKSSLSCSSEADATVTGNSGETSIHSLNVKSEDHVIVENTADGAYKLASAETVWVEGLVPGIDFCNHGLKAAATWEVDSTGAVTGVPASMYLILADQQNFEVGKEICISYGNKGNEELLYLYGFVVDNNPDDYLMVHYPIEALKSVSSSDSKAELLEAQKAELRCLLPKSSLNHGFFSERNEDSKKSLVGQSYNYSWSGQRKVPSYLSKLVFPQEFLVALRTIAMQEHELRQVVSLLEELGASGEERQPSDKDIQTAIWEVCGDYGALELLVELLRMKMMELEEGSGTEDYDDEILTNFSIMKLEDVERSKRTSEGVSMSRTRWSCVVYRKGQKQLTRLFLREAEQALELCAREQP >Ma08_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8584125:8586992:-1 gene:Ma08_g11570 transcript:Ma08_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPISLASVVMAVVGGAVLLRIVLSFKTLVHCLGRWWRWVEERTQAYQNFEIPRYSDCGQENPLYRRAAAYVAALPSLEDAAAANLFSSGRKPNDFFLLLGPGHSATDSFLGARVSWTNAPCAGGVPRLVLRLRRQDRTRVLRPYLQHVESVADDLELRRREVRLFTSSVGAGDGGGPRWRSVLFTHPATLDTVAMDPELKARIRADLESFLKGRAYYHRLGRVWRRSYLLHGRPGTGKSTFVAAMAKVLGYDIYDVDLSRVSAGGDDLKALLLSTTPRSVILVEDLDRHLKGKGVGEEGESQLTRILNFMDGIFSCCGEERVMVFTMSSDGGGMEGVEPAVLRPGRLDVHIHFPLCDFTAFKTLASSYLGLKDHKLYPQVEEGFHSGARISPAEVGEIMMANRGSPNRALKSVISALQQASSNAGRNPSESGSGRRWEDPAAGSGGGGLGFGKESIKEFKKLYGMIKMKSGSKKEDATPAASAASATPDKLDKDHPH >Ma03_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24354283:24358633:-1 gene:Ma03_g18860 transcript:Ma03_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRILSLRLLSYLCFPLLLGFRFYRCFTYPTESANRSTPSSSRPAAGVDRTTKKLRKPYTITKSRDRWTAEEHERFLDALLLFGRDWKKIEEFVGTKTTIQIRSHAQKYFLKVQKIGLAAHVPPPHPKRKMAHPTQQINPNNAIVSVDCLYASSRILPACSVWDNTSNDVNYTPSEATSLPACYTISPDIEGDSGIFGKTSIYSQNIDWSGNLSGSLLTHRATKQRNPHPDFAQVYTFIGSMFDPNITWRAEHFFEKLQDVDPVTAKIILILMRNLAVNLTSPSLEPLIRWLGTYDVNTRKVAAIGGTAAFADI >Ma04_p34630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33718498:33723406:1 gene:Ma04_g34630 transcript:Ma04_t34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKAPVTPALDSSGASADLENSEEFLPPSLLWNRPQLCNYEFGDQSESEESKKKSSAGTSSVSFQLRNLNWCTEGEQVAAGWPTWLSAVAGEAIQGWVPLKADSFEKLEKIGQGTYSSVFRARETDTGRIVALKKVRFDNFEPESVRFMAREIQILRKLDHPNIIKLEGIITSRLSCSIYLVFEYMEHDLAGLSSCPDIKFSEPQIKCYMHQLLSGLDQCHSRGIIHRDIKCANLLVNNEGILKMADFGLANILNPEEKQPLTSRVVTLWYRPPELLLGSTDYDASVDLWSVGCVFAELFLGRPILPGRTEVEQIHKIFKLCGSPPEVYWKQSRMPHATVFKPQHPYENCLQETFDTLPESAFELLETFLSIEPKKRGTASAALASKYFRTKPYACDPSSFPKYQPNKEIDAKFREESRRRSVSSRLNVEATGRPSRPYKPSQESNGLANTASRREGLKIAQGSNRSNVKQEIPRVNNGTRLIIDQQPMPNIRYQDEHRHVKQNYQRVPFSGPLHLSASTSFAGPKKPNELHSHIKPQARSRSRHDKSGRLGPSNVSEITRTFKVNGEENRDLGHASSSNSKGYKPKDAFQIPRRHPELQDSTYSFNAYRSRDAVSSKNRSLGYIYQGEKVEFSGPLLLQSKKVDEFLEKHERHIRKAIRKSWFQRGKKAGTVEIHGG >Ma08_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7140114:7141142:-1 gene:Ma08_g09820 transcript:Ma08_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDSNHAAAAAVEDEEEASRAVPPSTAKTRATSWSDLLDDPLGNISARLPLIDYLSFRGVCKNWRLFPSGCSHIAEFSKERPWMLLYDVGVDGGNKCYLYDHLHPKCCEMSLPHLRGATCMESKDGWLLATRERELFFFNPFSTQVINLVMYPDRKTSHRLFTFTEPPTSPDCVVFAVHRLGASVVEIGRFSVGDDEWERVLVEDVRPPIETLDGIDHRRQCAASYDRREINESVDFVSSNLVFSFSFEDFLLRHRHLNHDREIPDSTFLDEFMNLHREDWLRRSGQKRITVSFSSLQMMVDDVVDLFPFVCEHAADGTTTTDATKSMKAAWLEPRFPSRS >Ma04_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8424299:8427268:1 gene:Ma04_g11820 transcript:Ma04_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISNISLNVRRLFDFLLQFEATTKSKLAALNEKLDILEHRLEVLEVEVSSATTNPSVFT >Ma02_p22310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27681201:27688682:-1 gene:Ma02_g22310 transcript:Ma02_t22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQAAGNRSAQQLGQIFQPPKQYLQFPSSRPPFVSPDEYHRFDGRRGGRDEMADALVIKTPLKQKSVQEDNEVVEISEWTTSPGCAVGFTSPLLTPVSEKGRKTYSKSKVAKHNKSGGQTPVSNAGSPTGDNLTPVGSCRYDSSLGLLTKKFINLLKHTRDGILDLNKAAEILKVQKRRIYDITNVLEGIGLIEKKLKNRIRWKGIDDLGSVEVDGDASKLQAETESLTTKERRLDELISQMREKLRELTEDESNQKWLFITEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGEYLQRRYRIVLRSTMGPIDVYLVSQFEEKFEEMSGVEIPPKLLPTSNSDSVEYSMVPIVTEESRGNEMELDIQQSERIWSDVNSSHDFGGGMMKIVPSDIDTEADYWLLSDAGVSITEMWKTAPEIEWDAMGRFGTESTDDFITDGATTPRPQTPPSGVVEVPSDATSSRR >Ma10_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:10641323:10641892:1 gene:Ma10_g03020 transcript:Ma10_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECDGSGAGERRYKGVRRRKWGRWVSEIRLPNSRERIWLGSYDTPEKAARAFDAALVYLRGRRAQLNCPDAPPPRIAGAGPLTYQQIQAAAARHAAGDPSEAPGATLPSQPSEEASDGFTVGSGVALDWSFLDPPQQEDLPTGGGKFPVAMDEFMYELYSPMSAAQPAEMAEDHGHVDYGSGSSLWSF >Ma05_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27989330:27994442:-1 gene:Ma05_g19540 transcript:Ma05_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74600, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74600) UniProtKB/Swiss-Prot;Acc:Q9CA56] MRNLLAFASRRFSSPVSFLGLFTSLRLRIDAGLPPSLPLAFHSSGRRTRFHTFLSARTRPSIAESSPTASATRVKGRLADRCLSSYRAQDALRMLDEIPRPYFASWNRSNPVTAFYEMRSSGFRPDQVAYGKVVSACAASRNLDLAEQVYALVIKDGFCSDGYVCSGLIDLFSKHGRLDDALSVFWEGATTNVVCWNAIIAGAVWNEENSIALDLFPQMVNGFCMPNLFTLSSILRACAAAGRLDSGKGIHAWVIKHDAGPDVVVTTAVVDMYAKCGDMDAAMKEFSRMLVRNVVSWTAIISGFVQKEEVGDALMLFKQMLGSGVEINKCTMTSVLLACSKLSTVEETDQIHCLITKIGLCTDPVVKGALLSTYAKLGNVQSSERIFEETDTLRSPTTWSAMVCGLVQNECLLRSLQLFCRMFSEGLRPDNKCCSVVLSVVDCTDFGRQIHSYAIKDGSVHDVLVGSALFTMYSKCGSLEDSCKFFIRMQDRDRASWASMISGFAGRGHTNEAFQLFRDMILEHIVPDETTISSILVACNDGRFLMKGKELHGHTLRIGLRIDPPLGSALVSMYLKCKNLASAKRVFDVVLQKDQIIWSSLVSGYATNGYSEEALKELTRMVAAGIDLDRFTCSSVLWVCANLWRPSLGEQLHAHAIRAGTISYNSVSSALLTLYAKCGRIDDSHRVFDETEYPDLVMWTSMIDAYARHGSGVEALEMFELMKENGIRPDSVTFVSVLSACSRNGLVEKGLFHFNSMSSDYGIEPKTHHFACMVDLLGRSGRLKEAADLIGRMPIEPDLLVWSTLLGACKVHGDVQLGKLAAEKVLELEPKDSGTIISLSNISADVGNWEDVLRIRNSMKGGSLKKEPGWSIT >Ma07_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29444055:29444547:1 gene:Ma07_g21310 transcript:Ma07_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGYKAGNLKPAMSCHYVRIYEFVAIFDADFEANPDFLKLSIPHLKRNPELGLVQARWSFVNRDENLLTRLQYINLCFHFVVEQQVNGVFCNLFRFSGTAGVWRIKPLLKNYYEINSCDPFFFFCYLECIIYLSP >Ma09_p02900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1991099:1995064:1 gene:Ma09_g02900 transcript:Ma09_t02900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGGYTTVDDDHNKNSVVSGSVPVAVSDPDHVTLKFTESKLHTFPPSSESQGKIAAVFRPPSDADDSFSKPARGISDEPRSTGWMHAFTVGAYKPYFDVDTSDVLQRIRDSLFPFRGTFTENTADNPDLYGPFWICTTLIFVVAAIGTFVTYVAHELQKKEWNYDINLVTWSAGLFYGYVTLVPLGLYAILKYFSAPSGLVQLWCLYGYSLFVFIPASCLSIVPVEIFRWVIAGVAGFMSATFVALNLRTHIKSAGERWFLIVAGIFLLQLGLAVVLKLYFFTITVGTN >Ma09_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1991100:1995064:1 gene:Ma09_g02900 transcript:Ma09_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGGYTTVDDDHNKNSVVSGSVPAVSDPDHVTLKFTESKLHTFPPSSESQGKIAAVFRPPSDADDSFSKPARGISDEPRSTGWMHAFTVGAYKPYFDVDTSDVLQRIRDSLFPFRGTFTENTADNPDLYGPFWICTTLIFVVAAIGTFVTYVAHELQKKEWNYDINLVTWSAGLFYGYVTLVPLGLYAILKYFSAPSGLVQLWCLYGYSLFVFIPASCLSIVPVEIFRWVIAGVAGFMSATFVALNLRTHIKSAGERWFLIVAGIFLLQLGLAVVLKLYFFTITVGTN >Ma11_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:531466:538245:1 gene:Ma11_g00750 transcript:Ma11_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSIGKLIAGSLALARRWQKQQLRRLNVHEYQGAELMSKYGINVPKGVAASSIEEVKEAVKSVFPSGKEVVVKSQILAGGRGLGTFKSGLKGGVHIVKTEEVADIAGFTEVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKAAAQ >Ma03_p11990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9283575:9284582:-1 gene:Ma03_g11990 transcript:Ma03_t11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEGAEVVVAAAAAPAVEEEPVAEAPVDSKPVEEKPGKEKRVRAPKAPKEKKPKGSKPSTPSHPPYFEMIKEAILALNEKTGSSAYAIAKFMEEKHKGVLTPNYKKVLAVQLRNFTAKGKLVKVKASFKLAEVGKKDEKKKQKKDERKPVKETKKLNEAARRTRASADATNKATKPSSKGKRTGVKKAKMAAAPKPKQPKSIRSPASKKARKATA >Ma02_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15909711:15912263:-1 gene:Ma02_g04530 transcript:Ma02_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVHCLFLLIRSLNSAQISSDFFGSALISVEACSSPNCDARRNIQPDKATVTEAPPLLKSSKSGRKTKIGINGFGHIGRLVMRIVTTRDDIEAVSVNDPFIDAKYMIVSIIYSIIPICSFTQNGSYYFFHLF >Ma01_p02670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1769003:1778813:-1 gene:Ma01_g02670 transcript:Ma01_t02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSAGTVGGTEEDVLLHGDLVLTVIQARRLPNMDMFSERIRRCFAPCATLCTKSDSVAPRDQHHHRRKIITSDPYVTASLADATVARTRVISNSEDPVWNEQFKIPLAHRAPALVLHVKDDDVFGAEHIGTVSISAVHIASGEKIRDWFPILGPNGEPPKPDTALHLSIEFTPVAKKPEYQHGITGDPNKLGVRDTYFPLRRGGSVKLYQDAQVRKDELPEVRLERGAVFKHENCWEDICHAILEAHHLIYLVGWSIYHEIKLVREPTRSLPNAGKLTLGELLKYKSQEGVRVCMLVWDDKTSHDKFFLKTGGVMQTHDEETRKFFKHSSVICVLSPRYASSKLSIFKQQVVGTLFTHHQKCVLVDTQASGNERKITAFIGGLDLCDGRYDTPEHRLFRDLDTVFLNDVHNPTFSAGTNGPRQPWHDLHCKIEGPAAYDILKNFEQRWRKATKWREFSLRFRKTSRWQDDALIKLERISWILSPSPSVPDDDPSLWVSREEDSDHWHVQVFRSIDSGSVKGFPSNVQEAMNVNLVCQKNLVIDKSIHTAYVKAIRSAQHFIYIENQYFLGSSFAWPSYKNPGADNLIPMELALKVASKIRANERFAVYVVMPMWPEGDPNSNAVQEILFWQGQTIQMMYEIVAQELKSMNLENAHPQDYLNFYCLGNREETPADKLQQDDQFLEKSPATLSQKFRRFMIYVHAKGMIVDDEYVIVGSANINQRSLAGSRDTEIAMGAYQPHHTWTKNRRHPHGQVYGYRMSLWAEHMGMLDDRFEEPNSLECVKFVNKTAEDNWSRYTADEMTALTGHLLRYPIQVEADGNVGPLPNHECFPDVGGKVLGAPNALPDTLTM >Ma01_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11098563:11101705:1 gene:Ma01_g15360 transcript:Ma01_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGWLGFSLSSSSRGDGSCCCEEDQFGGGGEGSGGGGGGGGGGDDGRVELGFASSNPLVLMPLRSDGSICLMEPPPLRHTPCASDWKYGAIATTTDGSSNPEEEGPKFEDFLGGYSEHTNEESPNLQQPISHFHAMYPGINVNMPPSIIPAAEGRTEEDVHDPYHYIQSFHPFQDPTTLRPSPLATDPIYSVGTDGSISISGMKSWLRQNQYAPEKQPADGVRSLSLSMSPGLGLQSVPHELAPAEAADDPSRLNAKSAARETVPRKSIETFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHTNLPLSTYEKELEEMKNMSRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGPNAVTNFDIGRYDVKRICSSNHLIGGDLAKRTPPKDSSPMSLETDHRPCPAVTKSGIAGAEDDFSDMLCNPKLDDTSNRLLLAEVAPVVSSSPGNPISYHSMCGDFSQAFLYPTAMKYECGDGSSNGGNGDGGSMNWMVAAARPTELPAVNQLPMFALWND >Ma04_p18960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21455230:21458585:1 gene:Ma04_g18960 transcript:Ma04_t18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEEFEEYAEKAKTLPENTTNENKLILYGLYKQATVGPVNTSRPGVFNQRDRAKWDAWMAVEGKSKEDAMSDYITKVKQLLEEAAAAA >Ma04_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22390339:22391032:1 gene:Ma04_g19740 transcript:Ma04_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKANLGAVNPIQGALWFPGKKMDGYVAAPLSARALEIARSREQLLALLRDLPESEHELSLTDLVEEGSAAASSLVNKDTSRREGELSRRTVIKERKKKRHSRSSFGSSSDGVLLNFYVPTSLARSITTPRPIGGGTLATATTDCNKRSFGCWSAFWERGKKSRSQELQKNALNRISHSFY >Ma01_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3705491:3711916:1 gene:Ma01_g05280 transcript:Ma01_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFDGYERQYSEISASLSRKCTSAALLDGDSKNESRSQKLGAELKARLLAKFREYKPDIHNLKSEFKRITIPNPSQAAREELLKPGMADTLALHGGDDNISKSKRILIAISSRMDSNKWIIGGIIVALVLAIFLILYFKLGH >Ma05_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8838227:8840809:-1 gene:Ma05_g12190 transcript:Ma05_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATVLVVVACMVAYVVWFTRLASGLRGPRVWPVVGSLPGLIQHSERMHEWISDNLRGTGGTYQTCICALPRLARRQGLVTVTCDPRNLEHVLKNRFDNYPKGPTWHAVFLDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRTAMSRWVGHSIHLRLLPILKESTAVDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENAFATAFDRATEASLRRFIFPEFVWRFKKWLQVGLEATLSRSVAHVDCYLSAIIKARKLELRDGRNYDDLLSRFMKKGTYTDSFLQHVVLNFILAGRDTSSVALCWFFWLVSTYPAVEHRILLELAAVLGESRGSDPSAWLASPLAFEEIDALVYLKAALSETLRLYPSVPEDSKYVMADDVLPDGTFVPAGSSVTYSIYSAGRMKSVWGDDCLEFRPDRWLSPDGKRFEPHDSFKFVAFNAGPRVCLGKDLAYLQMKSIAAAVLLRHRLRVAPGHRVEQKMSLTLFMKNGLRMDVQDRDLTAMADELRTTRQPPEVVAPAEAAAATA >Ma02_p03860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15304547:15305776:-1 gene:Ma02_g03860 transcript:Ma02_t03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEMTRKRVVDEVSGWLRVFDDGTVDRTWTGPPEALFLMNPVQPFDTPRDGVTLHDIPGYPSLRLYLPESSSKPLPILLHFHGGGFCISHFSWFMYHQFYSRLAAAVPAAVVSVDLPLAPEHRMPSAADAGFAALLRLRRLARGRDGSDPAERLLRAAADFSRVFLIGDSSGGNLVHLVAARAGEEDSEFWSPLRVAGGIPLQPGFIRSTRSRSELELQADSVFFTLDMLDKFLALGLPQGATKDHPFTCPMGDAAPPLEQVRLPPFLVAVAERDLIHDTNLEYCEAMKEAGQEVEVLVSGGVSHSFYLNKFAVDNDPTTAKRTEELIVAIKDFVGRH >Ma06_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4445021:4446897:-1 gene:Ma06_g06040 transcript:Ma06_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYASPLAFFFSSAVLFLASFPGLVPIGIAQPDFYDPKRVTQISWRPRIFLYKGFLSDEECDHIIKLARSKMARSMVADNGSGNSVKSNVRTSSGMFLQKHQDEVIARIESRIAAWTFLPEENGESIQVLRYQDGQKYEPHYDYFRDPKNQARGGHRYATVLMYLSTVKKGGETVFPNAEGASSQHKDETWSECARNGLAVKPHKGDAVLFFSLHIDGTTDPASLHGSCPVIEGEKWSAPKWIHVRSFEDPQRTSTRGDCSDASEFCPRWAAAGECEKNPLYMIGNNETAGNCRKSCMVCDM >Ma03_p31610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33764399:33767357:-1 gene:Ma03_g31610 transcript:Ma03_t31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFGNAYRGDPGVPHTDPERFVNIWIGSVAFSALTWINPYMWQLSNQFNWHDKAMMFEHYHWKKAMKKNEPYQFKWNKYMTKPLRDSYYHNWPVYFP >Ma01_p20310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17591412:17592782:1 gene:Ma01_g20310 transcript:Ma01_t20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTLRVLFRLLILIGVFSVLGFRAVLQDGRRRERQPPEAPPVNATLLRLAAVEQRETELQKDVDDLLDGTFLFGHGGGGAGGRPRTNSDWRRENHLDVLRRHEMPFSQRLRAPKDYRALPDFRRPLRDWFRRRRFHPGIMSELVEHIKRPIDRHHGRLDTEAERYGSCAVVGNSGILLNNDHGELIDGHELVIRLNNARIKGYGHKVGSKTGLSFVNSNILHLCARRHDCFCHPYGENVAMVMYICQAAHFLDYAACNSSHKAPLLITDARFDMLCARIVKYYSLKRFVETTGKAPEEWAKAHDPRTFHYSSGMQAVMLALGICDRVGVFGFGKLPEAKHHYHTNQKAELDLHDYEAEYDLYRDLAEQPQVIPFLQDSGIKFPPIVFYH >Ma01_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11885493:11896010:1 gene:Ma01_g16410 transcript:Ma01_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKRELQKKRQMLQADFGGRKLLRRSEIEQKEIQRLRQQEQRHLQSKSHDSIPTSSYEKPSKSLLSERGNDDAAAGLPRDEVVRRLRILKQPATLFGEDDAARFRRLQAVIESGPIEVEEEMADGQTNDFLRDIYELRRRQRTGILPERALAKREGAADGVEKNVAAGNGQGDGELGAAAEGEEVDTDLKRLKGDFEELCDEDKILVFFSKLLSEWGQEVEEMLEAEKRTAKGKFVAATFNQCARYLKPMFRMCGKKVVPVPTRQALVKVVQCCMKRDCLAAMDEYIRLAIGNAPWPIGVTMVGIHERSAHEKICTNSVAHIMNDETTRKYLQSVKRLMSFCQRRYPTDPSKSIEFNSLANGSDLQSLLAEERAVNNVLSQERFRLVSAV >Ma03_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3660057:3662243:1 gene:Ma03_g05580 transcript:Ma03_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDPHADPLGPVTHGEGEMLTSTPDRYCRLFNDLGMPPPLSTADPPAVLPEAFLALVKQVQGMMEIMQTVVPLIPEIRRLMDASADPPHLRPSTGQDATGETRDRAIHHEGSPTCVSPAPSRAARRRPEPDTVSSDSADSFLKVQFSQVNRRLDEFRRELQRLRDESSEDTSGGSPFVQEIQEKPVPLNFRVPALETYDGGSDSAEHIAAFRTQMALYGTSDALMCRTFPTTFRGPARAWFSRLRQSSIASFDQFAKEFEQNFLTSARPRPSIAALLALSQHEEETLAQFVTRFAAEIRGYSDTHPSLIMQAFLTGLKPSRFFWSLIEKPPATVPEMLHRANQYVAGEALAAGRRPVAKKSRTEQPRAATSSVDLRPHRRPDHPEQRLPRPPPLPLNTPRTEIFLQIREKALLRPPNPMRATYKNRSKYCRFHRDHGHDTEDCHDLQNQIEELIRRGYLGRYLKEPREATPRPRMPVERQVDVIIGGPAAGGSSSSARKSYARSSVEKRPRPELEPEISFGAEEGERSHHDDALVISVQIANARVKRVMVDTGSSADILYLDAFKRLGLPTEDLIPMSSALTGFTGDSISPLGTTTLLVSIGEEPRTKTIMTTFMVVNLPSAYNVILGRPTLNKLKAVVSTYHRAIKFPTSAGVGESRSDPGESRRCYLTAVSLPKRACPHIPDPREETPMSTHLEPPERLTEVPIKGDRPGQTVKIGTAQLEGNQL >Ma03_p10070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7506259:7529149:1 gene:Ma03_g10070 transcript:Ma03_t10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPRRGSQNRQRSPTPDPDGMFQGMVVFLVPKGVQPRRLQVWKQKLTQMGAVVDDNLSKRVTHIFAMNSSTLLQQVNLERLHRHEGSLLHYQWLENCLRLGEKIAEDPYVLKFQGVNRSDKHSGKISPEPSEARYSDDELSSHKRIKVSSKVVEGSSMEENRAKKADSTRSENQHSGVHGQVEGPSTSNDSLQDTKLGTDASGAPTNKADVSQSQSPYNPPDLNRNITEIFGKLINIYRALGDERRSFSYYKAIPIIEKLPFRIESVDQVKNLPTIGKSLQDHIHEIVTTGKLSKLEHFENDDKVRTVNLFGEIWGVGPATALKLYEKGHRNLDDLKHDDSLTAAQKIGLKYFDDIKTRIPRHEVKDMEMLLQNAGQEVLPGVIVVCGGSYRRGKASCGDLDIVITHPDGESHKGFLPGYVQYLKDINFLREDLVFSTHSTEGTDSGVDTYFGLCTYPGRELRHRIDLKVYPKDRYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLYLATQGSPGKRGTKSSTRVSCYTEKEVFDVLGFPWPEPHERNM >Ma03_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7506259:7529149:1 gene:Ma03_g10070 transcript:Ma03_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPRRGSQNRQRSPTPDPDGMFQGMVVFLVPKGVQPRRLQSLLHYQWLENCLRLGEKIAEDPYVLKFQGVNRSDKHSGKISPEPSEARYSDDELSSHKRIKVSSKVVEGSSMEENRAKKADSTRSENQHSGVHGQVEGPSTSNDSLQDTKLGTDASGAPTNKADVSQSQSPYNPPDLNRNITEIFGKLINIYRALGDERRSFSYYKAIPIIEKLPFRIESVDQVKNLPTIGKSLQDHIHEIVTTGKLSKLEHFENDDKVRTVNLFGEIWGVGPATALKLYEKGHRNLDDLKHDDSLTAAQKIGLKYFDDIKTRIPRHEVKDMEMLLQNAGQEVLPGVIVVCGGSYRRGKASCGDLDIVITHPDGESHKGFLPGYVQYLKDINFLREDLVFSTHSTEGTDSGVDTYFGLCTYPGRELRHRIDLKVYPKDRYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLYLATQGSPGKRGTKSSTRVSCYTEKEVFDVLGFPWPEPHERNM >Ma02_p13790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22028010:22028285:1 gene:Ma02_g13790 transcript:Ma02_t13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNNYLAVLIVYEGGDGDLAAVDMQQGASGSWIPMQQSWGAVWKLNSGSALQPPFSFRLTSGLSGKTLIATNVIPAGWQAGSTYTSTVNYNT >Ma10_p25000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33325709:33334638:-1 gene:Ma10_g25000 transcript:Ma10_t25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MAACTSSLQPRLLSSIFGDRLVHSSTPFLGRNPGFKNASIQFSRTLCNLADILFNRRSKDDLINNKRKLLQPGKVSPRLHVPDHILKPPYVNSHHTPGIASGPEIHDEMGKESMRASGRLAAQVLEYAGTLVQPGITTDEIDKAVHQMIVDNGAYPSPLGYGGFPKSVCTSINECICHGIPDSRALEDGDIINIDVTVYLNGYHGDTSATFFCGDVDEDAKKLVQVTRECLQKAILRCAPGVEFKQIGKTIHDHADKFRFGVVRQFVGHGVGRVFHADPVILHFRNNERGCMILGQTFTIEPMLTMGSTRSVIWDDNWTAVTEDGSLSAQFEHTILITENGAEILTQC >Ma07_p19580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27526906:27528890:1 gene:Ma07_g19580 transcript:Ma07_t19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGRYELSFFLLLLHLYFAAFICTTGASSPSLFDANLELPRGSIPGVSLEHLDPTLPPALPTQTPHCSLVVLQQNFVDTVGAPPASASYAHPPDCPFPWTRVVLELSVAATDLQESRVAAIWIDGAEVLRTATPIPMARGAFWRVHKDVTRYTALLRRLADGGGVISMMLENSNKVLPGVFSANVSLHYYRGPVDDGRSKSVSNAVHPSVRSLYREPADLVLPISKPDGQYGSGFWYRIDNETGIESTTVAIPRNTYRAVLEIFVSYHGEDESWYTMPLRNNYIHQSTAAKVSAPRANGAFRQVYATIDRRYVGGHVPFPVIYSSAINPVFWSPVAAIGAFDMPSYDLDLTPFLALMLDGRPHEIGLGVRSALPHWLVNANLHLWVDYWSDTVQAGPVEYFAPTIQMNRNAEWRNPDGQSEIGAEGHERFSGWVSWSRGNLTTEVRHKIKLRSQVQVQNRGAVTQIDFILKERTMVTVMRRNQWLARAQAVLDAPMQVQTAIVNAAGRPAMKKTRLFHQLMEVVSLNEGQAGATTTTELTDRQDAEGSALVGGRWGSGSSRSSYQYRDGNKCYSRNVATAGGAVIQDRKASCFAMADDA >Ma01_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:841299:848864:-1 gene:Ma01_g01230 transcript:Ma01_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSSASGLIAMLHEPHPALKLHALDKLNSLVHLFWPEISTSVPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSEDTDYAHTLLAKALDEYASLRSKAAKSSEEESKMDPRLEAIVERMLDKCILDGKYQQAMGMAIECRRLDKLEEAITHSDNVHGALSYCITLSHSFVNHREYRCEVLRLLVKIYQRLPSPDYLSICQCLMFLNEPDTVATILEKLLSGSKDDALLAFQIAFDLEENEHQAFLLNLKSRLAGSKSQAVDHVNPEQGSSAPTSENGNATADNAVAASEDVHMTEESHTANGTSQDINQTDGAYNERLAKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQSVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHGGHLQQGRSLMAPYLPQTGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTNAEVIQHGACLGLGLAALGTADEVIFEDVKNVLYTDSAVAGEAAGISMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLALGIALTVYGREEQADTLIEQMTRDQDPIIRYGGMYALALGYRGTSNNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESCDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSKTKHDKITAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNYDLKVPKFEFLSNAKPSLFEYPRPTAPPTSTSAIKMPTAVLSTAAKAKSKAKKDAEHKAALEKPSSDDTSSGSTSGKTNKSSEKDTDAMQVDSASEKKVEPEPSYEVLTNPARVVPAQEKYIRFLEESRYVPVKLAPSGFVLLKDLQPTEVEVLTLSDAPTTLPSNVGGAATATTGQQGSGSSAMAVDEEPQPPQPFEYSG >Ma11_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25295979:25299427:-1 gene:Ma11_g20960 transcript:Ma11_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFSAVRIPFPAVVAARSTGEKPSSPFLCSPAAAPGIHSSPLRSPRLGRPPLAVSSDVLSSQKPLGSNSSPSYQAVISRDEALELYEDMVLGRVFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLETRDSVVSTYRDHVHAISKGVPARAVMAELFGKATGCCRGQGGSMHMFSEPHNLLGGFAFIGEGIPVATGAAFSSKYRHEVLKEARPDGLDVTVAFFGDGTCNNGQFFECLNMAELWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAMEAIARARNGEGPTLVECETYRFRGHSLADPDELRKADEKSHYAARDPIVSLKKYILEKNLASESELKAIEKKIDDIIEEAVEFADSSPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTEGTAQV >Ma11_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25797707:25806192:1 gene:Ma11_g21800 transcript:Ma11_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKDTKSSAYHDTSSRIIPMTIMLIVLCGFSFYLGGIYFSEKNRFFKQDVAPAILHKKSVLAPLRIESVEFPECSSDYQDYTPCTDPKRWKKYGNYRLSFMERHCPPMVERKECLVPPPAGYKVPIRWPKSRDQCWYRNVPYDWINNQKSNQHWLRKEGEKFIFPGGGTMFPNGVGAYVDLMQDLIPGMKNGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFDGIYLLEIHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKANFDKIKKLLTSMCFKLYQIKDDIAVWQKSVDNSCYDQLNPSSFPPKCDDSMDPDSAWYIPLRTCLNVPSQKLKKLALKSAPRWPKRLHMAPERIAVVPGGNSGGFKHDDSKWKVRVKHYKTLLPALGSDKIRNVMDMNTLYGGFAAALISYPVWVMNVVSSYGPNSLGVVYDRGLIGTYHDWCEAFSTYPRTYDLLHFDGLFTAESHRCEMKYVLLEMDRILRPNGYVIIRESNYFVEAIASIAKGIRWDCQKHDTEYNVEKEKLLICQKKLWYAKQGQR >Ma08_p28980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40753629:40757623:-1 gene:Ma08_g28980 transcript:Ma08_t28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSPEGRNGGSSSSPPASPASGARRRRRSLRRQMSGLPEEQLHRIPGRMFLNGASSAASLFSRQGKKGINQDAMIVWENFGCRSDTVFCGVFDGHGPNGHMVAKKVRDILPLKLRARWEVNIGDDECKENNLSKSMDSEETSSVCLNEEFRASIGFKDLIKRSEIIATLRDPFLKAFRKVDKELRLHPDIDCFYSGTTAVTLVKQGQDLVIGNVGDSRAVLGTRDQNNTLVAVQLTVDLKPNLPREAERIRRCRGRVFALRDEPEVARLWLPNANSPGLAMARAFGDFCLKDFGLISVPEISYRRITEKDEFIVLATDGVWDVLSNKEVVDIVAMASTRSSAARYVVESAGRAWRLRYPTSRVDDCAVVCLFLNIDSSDSVSTAKAIVGGSPDGIGVLSDEQEPPQPTY >Ma08_p28980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40753629:40757623:-1 gene:Ma08_g28980 transcript:Ma08_t28980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSPEGRNGGSSSSPPASPASGARRRRRSLRRQMSGLPEEQLHRIPGRMFLNGASSAASLFSRQGKKGINQDAMIVWENFGCRSDTVFCGVFDGHGPNGHMVAKKVRDILPLKLRARWEVNIGDDECKENNLSKSMDSEETSSVCLNEEFRASIGFKDLIKRSEIIATLRDPFLKAFRKVDKELRLHPDIDCFYSGTTAVTLVKQGQDLVIGNVGDSRAVLGTRDQNNTLVAVQLTVDLKPNLPREAERIRRCRGRVFALRDEPEVARLWLPNANSPGLAMARAFGDFCLKDFGLISVPEISYRRITEKDEFIVLATDGVWDVLSNKEVVDIVAMASTRSSAARYVVESAGRAWRLRYPTSRVDDCAVVCLFLNIDSSDSVSTAKAIVGGSPDGIGVLSDEQEPPQPTY >Ma03_p31320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33575583:33578093:1 gene:Ma03_g31320 transcript:Ma03_t31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g25360 [Source:Projected from Arabidopsis thaliana (AT1G25360) UniProtKB/Swiss-Prot;Acc:Q9FRI5] MRGHVSSLSLDLAPVANHYAAVLHRVATAPAPFLRRLRAVHAHILASGFKPRAHILNRLIDLYSKSGDLPSARRLFDATTARRHDSIAATSLITAYSAGGHLDAARQIFDHTPLASRDTVFYNAMISSYSRASDGPAAVAVFRDMMDDRVPRDSYTFTGVLSAAAAILPLELTQCQQLHCSVVKSGTENSVSVSNALIALYAKCEAQEAAVHAREVFDLMPERDELTWTTVVVGYVRRGDIGEARRVFDEMEGRFDVVWNAMISGYVHHGLISEALEMFRQMHCLGIPLDEFTYTSVLSACANGELFNHGKAVHAHIIRVAPDFDPETALPVENVLVTLYSKDGNVDVARRIFNDIRRKDVVSWNAMLTGYLNSGKIHDAIDIFRDTPQKNQLTWMVMISGFLHNGLAEEGLKLFNQMKEEGVKPCDYAYAGTIAACGELGALEHGRQLHAQLIQLGYESSNSAGNALLTMYAKCGSVEAAHLTFLMMPNVDSVSWNAMIAALGQHGHGIEAIDLFDKMIKDGISPDRISFLTVLSACSHSGLVDEGFQYFESMERDHGISPGEDHYARLIDLLGRAGRIDEAWNVIRSMQFAPGPSIWEAVLSGCRIHGDMTLGIYAAEQLFKMIPQHDGTYILLSHLYAAVGQWAGVAKVRKLMKDRGVKKEPGCSWIEVANKVHVFLVNDIMHPDVQEIYKFLEILGVKMRKLGYVPNTKFVLHDVESGQKEYVLSTHSEKLAVAFGLLKLPAGAPVRVLKNLRICGDCHSAIMFMSLAVDREIIVRDGKRFHHFKDGQCSCGNYW >Ma06_p38070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36943084:36947170:1 gene:Ma06_g38070 transcript:Ma06_t38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLDAVTTEKGENDLAELIDRKPYQDIESSLPYHISKDASVIQNQNGNKPMPSASDDLRAEIQNIELYADKAATGVCQTVKDICMDESLPHKKILLESSDTTEESLAGIKPSATNTDDNPSGRLTECVTLIMQDLHIASVVAKDAADQYSLCSLVELEDKQKADVHITKHLSDHNISFQPLLSTGDFDMVPRQLDTNKFNRLHIFQENTGQVKHDEVCSTTLASSSITTDSKETSGLVKNYTSVTSLGSLKGGCSTAEVEPSDVGGEKEGGGNVSPSFNPGATTNKEIEENSGNTDSESFIDAQNCFSGEEMVFDGVTSSSRCSCCHKNAGDPSSSGPKSSSGHIVFSGNISLRSDSTTSTRSFAFPILQPDWNSSPVKMAKADGRHLKKHRFWRSGILCCKF >Ma06_p22050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16988677:16992409:1 gene:Ma06_g22050 transcript:Ma06_t22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHMTIGSKVEKLSSPGTLMMKNKDKSLSVEDKVEGLDSEKKQVDFGSKEETFFDSQGWLDSDSEDDFVSVNGDSTPNYQINNNRTPQVNKVFSAAMFPDTTTSQISSADPKRKLAQLLQENQVEVAGKQNAACGMNESDGKPELYETDASLHLRIPHETSSVADDGTPSKDSKQQKEKKMKAKKSCLPRLCSIRFKERRKQKTSPVH >Ma06_p22050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16988725:16992409:1 gene:Ma06_g22050 transcript:Ma06_t22050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHMTIGSKVEKLSSPGTLMMKNKDKSLSVEDKVEGLDSEKKQVDFGSKEETFFDSQGWLDSDSEDDFVSVNGDTTTSQISSADPKRKLAQLLQENQVEVAGKQNAACGMNESDGKPELYETDASLHLRIPHETSSVADDGTPSKDSKQQKEKKMKAKKSCLPRLCSIRFKERRKQKTSPVH >Ma06_p22050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16988677:16992349:1 gene:Ma06_g22050 transcript:Ma06_t22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHMTIGSKVEKLSSPGTLMMKNKDKSLSVEDKVEGLDSEKKQVDFGSKEETFFDSQGWLDSDSEDDFVSVNGDSTPNYQINNNRTPQVNKVFSAAMFPDTTTSQISSADPKRKLAQLLQENQVEVAEFLMRLPLSLMMGLQVKIRNSRKRRR >Ma06_p28130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29899526:29900875:1 gene:Ma06_g28130 transcript:Ma06_t28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLRSLLGRKKDPKVHKDNASTNGYEMKDTMRWSFARPRQAESMCSSPVSATEAAWLRSFYDDTEEESKHAMAVAVATVAAANVAATAAQAAMVRLKGLGREGATPCTSYQWWAAVKIQTAFRCHLAKKALRALKGLVKLQALVRGCLVRKQAAITLRRLQALVRAQAVVRPREARVLPHQSRRFDAEFCHRTSFERFDNRGDRCRHIGLEQSNDLDASPKILEMDTFQLRSKSFRRTSSNFDESMVPISSPLPFKVPSRLSISSCRNPDKSPNSKTAQNTPRLRPETPARSTTADMVPRQTPSPSSCPNYMANTSSFAAKVRPQSTPKQRPEKAASPRKKKVLASETESRTRSPLRAHNAYNLYNGTVGKLDRSAKSLRKAARDHYIDCMW >Ma04_p38150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35800961:35805525:1 gene:Ma04_g38150 transcript:Ma04_t38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDHKVMACMKLGSKPDAFRQQGQSWFCTTGLPSDLTVEVGDMMFHLHKFPLLSKSGLLEKLIKENSDKEEAIKLHDVPGGAPAFELVVKFCYGVKLELNSSNVVRLRYASEHLQMTEEIAEGNLIAQTEIFFNQVVLRSWKDSMKALQTCSDFLPHAENLQLSKRCIDSLAVKASTDPNLFGWPMMEHCAMQSPGGSVLWNGISTGARPTNCRSDWWYEDVSSLTFPLYKRLISLMKSRGIRQETIAGSLTFYAKRYLPGLNRHQNLAHGNFVAAPSEEEQRHLVEEIDSLLPLQKGVASTKILLGLLRTAIILQARTSCISNLEKRIGMQLDQADLEDLLFPTFAYSTETLYNVDCVKRMLDHFLAMDQATGGTSPGSVNNEQSIGSSSLMPITTVAKLIDGYLAEVAPDTNLKLPKFQMLAAAVPDYARPLDDGLYHAIDIYLKAHPWLSENQREQLCRLMDSQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLDGSRLLRSGIACSGEAGGCTPPTAVRENKDLKVGMDYMRMRVSELEKECTTMKQEIKKLGRGRSRWSSISKKLGFRMKMPLCSAHEDSVSDQQKSKVGKIHKLQAVITKQKQLLLADASCHPS >Ma04_p38150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35800961:35805525:1 gene:Ma04_g38150 transcript:Ma04_t38150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINDHKVMACMKLGSKPDAFRQQGQSWFCTTGLPSDLTVEVGDMMFHLHKKGRAEGSYLNIFTDIHLSTFLTFFPLLSKSGLLEKLIKENSDKEEAIKLHDVPGGAPAFELVVKFCYGVKLELNSSNVVRLRYASEHLQMTEEIAEGNLIAQTEIFFNQVVLRSWKDSMKALQTCSDFLPHAENLQLSKRCIDSLAVKASTDPNLFGWPMMEHCAMQSPGGSVLWNGISTGARPTNCRSDWWYEDVSSLTFPLYKRLISLMKSRGIRQETIAGSLTFYAKRYLPGLNRHQNLAHGNFVAAPSEEEQRHLVEEIDSLLPLQKGVASTKILLGLLRTAIILQARTSCISNLEKRIGMQLDQADLEDLLFPTFAYSTETLYNVDCVKRMLDHFLAMDQATGGTSPGSVNNEQSIGSSSLMPITTVAKLIDGYLAEVAPDTNLKLPKFQMLAAAVPDYARPLDDGLYHAIDIYLKAHPWLSENQREQLCRLMDSQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLDGSRLLRSGIACSGEAGGCTPPTAVRENKDLKVGMDYMRMRVSELEKECTTMKQEIKKLGRGRSRWSSISKKLGFRMKMPLCSAHEDSVSDQQKSKVGKIHKLQAVITKQKQLLLADASCHPS >Ma06_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5092760:5095193:1 gene:Ma06_g07130 transcript:Ma06_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAATAVVCALAAAAAEVVRRRTRRWPRAEEIVRQLEEACATPTERLKQVAQAMAAEMHAGLLAADAGGSKLRMLPSFVDKLPTGDEEGLFYGLDLGGTNFRVLRVQLGGKERGIIEQESKEVPIPPHLMFGSSDELFDFIAIELADFAAKDCLIEGGRQREIGFTFSFPMRQSSVSTGVLVKWTKGFSIDETVGKDISVELTEAMERQHLDMRVAALVNPNIFPVDPPRTLVAFIHRILRFKMHCALEMQVNDAVGTLAGSRFYDKDVVLAVILGTGTNAAYVESSSAIPRCHGLQPQSGEMIFEKLISGMYLGELVRRVLLRLAEEAALFGDTVPSKLEEPFILTTPVVSAMNHDTTSNLGVVGSKLKEIFGVDGTSMDTRRMVVRICDAIAERAARLAAAGIAGVLLKQGRVGSDDGKKKAVVAVDGGLFEHYSKFRRCVKSTLRELLGEDDSESAVIKLANDGSSIGAALVAASHSHWPLMVRALALLLLQPRTLSPMQ >Ma11_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23466681:23474796:-1 gene:Ma11_g18400 transcript:Ma11_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSSITFVLLIVASLLLGRSESSCQTGCNLALGSYYLTSNDNLTYISNLFSAGGANNLLPYNPNITNVNSIKTGGRVNVFFSCDCLNGDFLGHNFSYVVVQGDTYTSIAQTVYKNLTTVDMLSTFNSFPATNVPTGATIGVVVNCSCGDSSVSKDYGLFLTYPLQPGETLSSVAAANGFATQEDLLQRYNPQANFSSGTGIVFIPAKDTSGSYRPLSSSAGLSGGAIAGISIAGVVLLIFAIYLYIHFQRRKKLKKASLLSSPPEASMYKPGVVTSPADGSSPFAGITVDKSVEFSYAELAAATDDFSLAYKIGGGGFGVVYYAELRGEKAAIKKMDMQATNEFLAELKVLTNVHHLNLVRLIGYCTEVSLFLVYEFIENGNLSEHMRGLGRDPLPWAMRVQIALDSARGLEYVHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLAKLTEVGASLQTRLVGTFGYMPPEYAQYGEISPKVDVYAFGVVLYELISAKDAIVKTGGSVTESKGLIALFEEAFSKPDPKEDLRNLVDPRLGQDYPIDSVLAMAWLGKSCTQENPQQRPTMRQIVVSLMTLSSATEDWDIGSFFDNQALASLMSGR >Ma07_p26280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33143305:33146486:-1 gene:Ma07_g26280 transcript:Ma07_t26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFSALSLGGRSRRMSRTMTVPGSLSGLDEDDDRAISVSSDVPSSIAQDRVIIVANQLPVRARRRPDGHGWSFAWDDDSLLLQLKGGLPDDMEVLYVGSLRVHVDPREQDEVAQALLERFRCVPAFLPADVNERFYHGYCKQALWPLFHYMLPFSSSEHRAGGRFDRALWESYVLANKLFSQRIIEVINPEDDYIWIHDYHLMALPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREQILKALLNCDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLQSVLRLPDKEQRVEELRRQFEGKTVLLGVDDMDVFKGINLKLLAFEHMLKLHPEWQGRAVLVQIANPARGRGKDVENIQEEIVQSCERINKAFGNKGYSPVVFIDRPVPLVERIAFYTIAECVVVTAVRDGMNLTPYEYIVCRQGISGADSSSMAECPRKSMLVVSEFIGCSPSLSGAIRVNPWNFETTGEAMNEAIAVSDSEKQLRHDKHYKYVSTHDVSFWSKSFLHDLERTCKDHFRRRCWGIGLGFGFRVVALDPNFRKLSVDGIVSAYDKARSRAIFVDYDGTLVPQTSINKQPSAEIIRIINALCADKKNVIFVVSGRGRDSLGKWFSRCKKLGIAAEHGFFMRWTRDNEWEACSQSTDFGWMQMAEPVMKLYTEATDGSYMETKESALVWHHQYADPGFGSAQAKEMLDHLESVLANEPVLVKSGQFIVEVKPQGVSKGLVAEKILSSMMEDGRQADFVLCIGDDRSDEDMFEGIAGIMTRNLVAPHTSLFACTVGQKPSKAKYYLDDTTDVVSMLGALAESSETTSFPEEIPGPPPTLAENRTETSQ >Ma06_p38090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36956504:36970227:-1 gene:Ma06_g38090 transcript:Ma06_t38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAFPPASASARFDSLRRRRPITTTPFSGYFGAACRRLVSTRTRVHAAAMSDGTSVSTTAPPEVPTTRTDRDRIGSVKQQLSRLLKESLQALFPYEIEPLVAACQAKFGDYQCNNAMGLWSQLKGKPTEFKNPNSIGQAIAKNLPQSEVIESTSVAGPGFVNITLSNKWISKHIHNMLVNGIEEWAPILSVTRAVVDFSSPNIAKEMHVGHLRSTIIGDTLSRMLEFSNVEVLRRNHVGDWGTQFGMLIEHLFDQFPDWEDAGDQAIGDLQAFYKASKLRFDQEPAFMARAQQAVVRLQSGEDKYRHAWKKICEISRKEFDLVYQRLQVQLEEKGESFYNPYIPDVLEEFNKEGLIKESDGARVVEIKGHNIPLIVIKRDGGYNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFTAARTVGWLPDPAKNTYPKTSHVGFGLVLGSDGKRFRTRSSEVVRLVELLDEAKSRSKAELKKRLEDNGKIKDWKDDELDSTAEAIGYGAVKYADLKNNRLTNYTFSFDQMLNDKGNTAVYLLYAHARICSIIKKSGKEIEELKNLEAIVLGHPDERALGLHLIQFAEIVEEACSNLLPNVLCEYLYNLSEMFTRFYTSCQVNGSPEEASRLLLCEATAVVMRKCFHLLGITPVYRI >Ma06_p38090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36956504:36970216:-1 gene:Ma06_g38090 transcript:Ma06_t38090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTSVSTTAPPEVPTTRTDRDRIGSVKQQLSRLLKESLQALFPYEIEPLVAACQAKFGDYQCNNAMGLWSQLKGKPTEFKNPNSIGQAIAKNLPQSEVIESTSVAGPGFVNITLSNKWISKHIHNMLVNGIEEWAPILSVTRAVVDFSSPNIAKEMHVGHLRSTIIGDTLSRMLEFSNVEVLRRNHVGDWGTQFGMLIEHLFDQFPDWEDAGDQAIGDLQAFYKASKLRFDQEPAFMARAQQAVVRLQSGEDKYRHAWKKICEISRKEFDLVYQRLQVQLEEKGESFYNPYIPDVLEEFNKEGLIKESDGARVVEIKGHNIPLIVIKRDGGYNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFTAARTVGWLPDPAKNTYPKTSHVGFGLVLGSDGKRFRTRSSEVVRLVELLDEAKSRSKAELKKRLEDNGKIKDWKDDELDSTAEAIGYGAVKYADLKNNRLTNYTFSFDQMLNDKGNTAVYLLYAHARICSIIKKSGKEIEELKNLEAIVLGHPDERALGLHLIQFAEIVEEACSNLLPNVLCEYLYNLSEMFTRFYTSCQVNGSPEEASRLLLCEATAVVMRKCFHLLGITPVYRI >Ma10_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1380073:1382564:-1 gene:Ma10_g00140 transcript:Ma10_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRSDAPLLGSPSGRLPDFKQSVKLKYVKLGYHYLITHGMYLFLTPLVFLVAAQLSTFSLDDLHDLWDHLRFNLVSVILCSTLLVFLSTVYFLTRPRPVYLLDFACYKPEDARKCTRQLFMERSRTTGSFTEENLVFQRRILERSGLGEDTYLPEAVLCDPPNPCMAEARKEARDVMFGAIDELLAKTDVKPKDIGILIVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLISMDLAKNLLQVYPSSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDRGRSKYQLVHTFRTHKGADDKCFGCVTQEEDGNGKIGVSLSKDLMGVAGDALKTNITTLGPLVLPMSEHLIFLATLVAKKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLYRFGNTSSSSLWYELAYAEGKGRIKKRDRIWQIAFGSGFKCNSAVWRALRTVNPGKEKNPWMDEIDAFPVSVPKVAAL >Ma06_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15583418:15584268:1 gene:Ma06_g21380 transcript:Ma06_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEGGDDHAHSPTDETGKDRLHISAKDMQIAWVDDKRFWNWTDVSTEKPSFGTAADLNQVCWLEATGTLELAKPQTYEIIYHIKFKDDAFGWNGVPVTFRLVTPEGQRKEISRVMESDSKRRSKGWREIHGGEFSLSTQTGGKVEFGMYEIESQKWQGGMLLAGVTVRPKPSATPA >Ma08_p07050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4829562:4830298:1 gene:Ma08_g07050 transcript:Ma08_t07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPRKRKTSMGRQKIEIKRIESEEARQVCFSKRRAGLFKKANELSVLCGAELALIVFSPAGKPFSFGHPSVDSIVDRFLSRGPAPAPPPPPHPLPLPLPPHAAAASADRRMLVPARPSAVHELDRQYSELTERLEAERRRREALEAALRGQRGAAAHLLNANVEELGLAELEQLQGSLESLRWDAARRVDQLVFEAQTRSLVMAGDAGGSGFVATAEGSMVIPPHGGFNYGYGSF >Ma11_p08020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6380912:6384269:-1 gene:Ma11_g08020 transcript:Ma11_t08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDPDREEEAVAGEDEDTGAQIAPIVTLSEVAVTTGEEEEDALLDLKAKLYRFDKEGNQWKERGTGSVKLLKHRETGKVRLVMRQAKTLKICANHLVIPSIKIQEHAGNDKSCVWHASDFADGELKEEMFCIRFGSVENCKKFMETVESITETLGKSEEKESEDASAAAGLLEKLSVAESKTEKASEEAPATSVKAEESSEVEKP >Ma05_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:324353:324588:-1 gene:Ma05_g00550 transcript:Ma05_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTVIITFGGKYERDSSSPPHGWSSSASRSRCLSTRSSHEC >Ma05_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8943058:8946949:-1 gene:Ma05_g12360 transcript:Ma05_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMKEFQQALIEVEMEAEHLLLARHQLVENDRLRNGNREALTALRRRARTTKSSLPSPFEAVMREVEGTKELVKEICPTCGDHDSKEHTWMMFPGSDIFARMPFHAVHTTLEKDQERLDYDSKKLQSYVKEKSFLISERGALADRISPGILRSMVALKDTSK >Ma05_p12360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8943058:8946943:-1 gene:Ma05_g12360 transcript:Ma05_t12360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMKEFQQALIEVEMEAEHLLLARHQLVENDRLRNGNREALTALRRRARTTKSSLPSPFEAVMREVEGTKELVKEICPTCGDHDSKEHTWMMFPGSDIFARMPFHAVHTTLEKDQERLDYDSKKLQSYVKEKSFLISERGALADRISPGILRSMVALKDTSK >Ma05_p12360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8943058:8946943:-1 gene:Ma05_g12360 transcript:Ma05_t12360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMKEFQQALIEVEMEAEHLLLARHQLVENDRLRNGNREALTALRRRARTTKSSLPSPFEAVMREVEGTKELVKEICPTCGDHDSKEHTWMMFPGSDIFARMPFHAVHTTLEKDQERLDYDSKKLQSYVKEKSFLISERGALADRISPGILRSMVALKDTSK >Ma05_p12360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8943058:8946943:-1 gene:Ma05_g12360 transcript:Ma05_t12360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMKEFQQALIEVEMEAEHLLLARHQLVENDRLRNGNREALTALRRRARTTKSSLPSPFEAVMREVEGTKELVKEICPTCGDHDSKEHTWMMFPGSDIFARMPFHAVHTTLEKDQERLDYDSKKLQSYVKEKSFLISERGALADRISPGILRSMVALKDTSK >Ma05_p12360.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8943058:8946917:-1 gene:Ma05_g12360 transcript:Ma05_t12360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMKEFQQALIEVEMEAEHLLLARHQLVENDRLRNGNREALTALRRRARTTKSSLPSPFEAVMREVEGTKELVKEICPTCGDHDSKEHTWMMFPGSDIFARMPFHAVHTTLEKDQERLDYDSKKLQSYVKEKSFLISERGALADRISPGILRSMVALKDTSK >Ma03_p20580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25747222:25749485:1 gene:Ma03_g20580 transcript:Ma03_t20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIITTLFLLWTGTFAPSPVVGCNKLKDTEWISRQDPYVILEYATTKFRTRTCTDGGRNPSFQEKTALSLIEGLREISVSVWNKNTVSHDDFIGSGRVQLSKVLAQGYDDSCWSIQSRSGRYSGEVKLIMHYANAGKAEKPAKSATPSSYAPSAPPYNPAPQYASPYMPPKAVDSFSYPPAAYPPAGYPQVPDPALYQPAIYPPPLLQQQYPPTYPPTQTYLPLPPYPPPPGQPCYPPAYPGVYPPPPY >Ma03_p20580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25747185:25749485:1 gene:Ma03_g20580 transcript:Ma03_t20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGQLLEVTVVGCNKLKDTEWISRQDPYVILEYATTKFRTRTCTDGGRNPSFQEKTALSLIEGLREISVSVWNKNTVSHDDFIGSGRVQLSKVLAQGYDDSCWSIQSRSGRYSGEVKLIMHYANAGKAEKPAKSATPSSYAPSAPPYNPAPQYASPYMPPKAVDSFSYPPAAYPPAGYPQVPDPALYQPAIYPPPLLQQQYPPTYPPTQTYLPLPPYPPPPGQPCYPPAYPGVYPPPPY >Ma06_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11082563:11084454:-1 gene:Ma06_g16370 transcript:Ma06_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTNTTTAASAPMASPDDKNPLLSPGHIYLTLLPLPKASGLGDKAQALKSFASQPAQKPSIAAPASPNQQLLLRLPSPSETLKEAGSLFRLSFPIALTALLLYSRSVLSMLFLGSLGDLPLAAGSLAIAFANITGYSVLSGLSLGMEPLCSQAFGANQPRLLSLTLYRTVLFLLCSSLPIALLWLYMSRILLFLGQDPDITALAQTFLLFSLPDLLSFSLIHPIRIYLRSQGVTRPLTAAAAFAAALHLPANYLLVIRLHLGAPGVAAAAAASNLALLLCLLPHVPRGPTAECLGGWGPLARLAAPSCASVCLEWWWYELMILLCGLLPDPRPAVASMGVLIQTTALVYVFPSSLGIGVSTRVGNELGANRPSRARVSAAVSVLLAAVMGLAAMVFAAGVRERWGRMFTHDSEILRMTAAALPVVGLCELGNCPQTVGCGVLRGSARPARAAHVNLGAFYLVGTPVAVGLGFWLGLGFVGLWMGLLAAQVCCAGLMLHAVGTTDWEAQARRAQVLTCTGLPPPESAAVTVVKVEGEEEAAKLVEKEGSKGVICCYEPLVSIKVCDVER >Ma01_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:577519:583453:-1 gene:Ma01_g00820 transcript:Ma01_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFARALRQVRAPSGVHRGALSHTGLGKDGKVLILGNSVADYSRNAFHGREIGRYLNQSTVLSRSLSTPAANDSEVSNYAGGAGPLSEYERRIASGELVDGDDFQVDTIQELQRLYRELAELEESCQLDRYTASKKSQRSRWLWSRLIPQSSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLEVVAGEISDESILLCVDEFMVTDVADALILNRLFRHLFSEGIVLVSTSNRAPDQLYEGGLQRDLFLPFIETLKERCVVHAIGSSTDYRKIGSAEKGFYFIGKGHTGFLKQKFQHLIGHDKPGQQVVEVVMGRKLQVPFGANGCAYFPFEELCDRPLGAADYFGLFKNFHTLTLDGVPRFGIHNRTAAYRFVTLVDVMYENKARLLCTAEASPVELFESIVTVADAQKISPRTSSRSQKKDDVDLCVDNELGFAKDRTISRLTEMNSREYLEQHEARLQEKASGEAHLNGVVVEA >Ma03_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17270885:17271184:-1 gene:Ma03_g15790 transcript:Ma03_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMKEINDQLGQVWHKCCRCEAGGSSLKPGKELIRWLLMPVCCHLVANQHQFEALKGPLAGSQNSMFCVLFAGDAARKYYSNYQMKTCPNSIGAQNLF >Ma08_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36509076:36514046:-1 gene:Ma08_g23070 transcript:Ma08_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERGGSKTGGFFHLFDWNRKSRKKLFSNSPEATKQGKRSNDTLPATQLHLLDEDEILGIASVKGSSDYSCASSVTDEEGNGTRAPGVVARLMGLDSMPTVGVSEPYSTPFFDTRSLRDSHGQRSPDFYTNERCHHVPHRAEGCFRKTMETRSQKMPSSPIERFQREIIPPRSAKPLPLSHHKLLSPIKNPGFSSAKSATQIMEAAAKIIQPGLQVHPNTKGKIGSPSVPIRVRDPKDSMAAPERTSRLLQLSRTPIDLTNVEFSREQPLNRNWNRTEEIVVVRSSPDPYEINVAGARAKGKSISLAIQAKVNVQRREGLGPSTRSTAVQKEQEEYKANQPFRSQANNQKNRPLKKSSPASVSGVLRQNNQKQNCLSSKGKLGSKQSISHQQGRKVLSGDASSGKNRNVNKISGNSRVGSRKQVLEITGLDTEGSSSSNKDFPQKKRLIEGSFNLEKSSQIDNGLMNRHETHVKPDIVVDEHTRRSEDNRNATDIISFTFTSPLVKTFGGSQSSNLMVDKWDKKNGGCFEKNFSDVNRKSLPSPGLNVLSGDALSHLLEQKLRELTSGIEPSHNFIKAAKFAAPVPIPQDSKSGSDCLSSVTADHEDFPVRPPKDSLGNLYDTSILSTNDQVTGIKNKMQVAERIEHSSSSSSRSSDARKEVTNYHHHSPLSIFEASFSSESWQLSESSGSTDGSNLCPSSVNAQNIVDFNSSRKLAETEPELSDSASSLSKDPVERSQFSSADNKKADEQELAYVKEILCNNGLTYKNLGSYYLTRVGETFDPILLNDLEGSRSKNAQGEVINDKARSKLLYDCVQECMDLKHNQYFKSGYQAWAKGTTITRKDLAEDIYDEILRWKNMGNCMVDELVFNDMGTHLGRWVDFEIEAFETGKQIQGQILSSLIDEVLADFRIK >Ma05_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33624461:33625408:1 gene:Ma05_g21870 transcript:Ma05_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGLARAWYSGLKAGTIASFDQLARDFELSFLAYARPKPSVALLLGLNQREDEPLSHFVNRFTTQIRGLSDAHPSLLMQAFMTGLRPSRFFWSLVERPPAAVPEMIQRAGQFIAAETWMAGKREEHKKVKTELPQQQQPSASRRRLDRSDPRSPLPVLSSSQTEILLHEKGKGLLKEPHPMRSPRELADRSKYYRFHRQHGHDTEQCRELERQIEELIRRGHLNHSLPPVKEPSPHPEGPVERHIDVIAGGPAAGGAPRRAERRMPGPLPTKPRDTSSSPRLPSRPELPSDLTTTTP >Ma06_p27930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29778704:29780252:-1 gene:Ma06_g27930 transcript:Ma06_t27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLESLGICDAFSVTYHVPKLNKEDAKKVLLFQRPYDVLVLNGSVLVQPQLRGERCCDEDEQHAKFSPPSRIRDLFATKTSKRDERNLRNILCPPVAGGNRTNKARQKKKKKVIIRLWCESSDPSCLEFLLVCRPLF >Ma07_p18300.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24940316:24946301:-1 gene:Ma07_g18300 transcript:Ma07_t18300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKLGVEVVSAHDLMPKDGQGSACPCVELQFDGQKFRTTIKEKDLNPVWNECFYFNIANPASLPELELEAFVYNVNRATHSRPFLGKVRIAGTSFVPYADAVVMHYPLEKRGIFSRVKGELGLKVFLTDDPSIKPSSPLPAIGPHSNDIPPSQAHQVPAQVSILNTSSPPENRSEARYNFHSVPKEVHQHHSSAPISEPVRYAADPMKPEPQPPRIVRMYSSVSSQQPVDYALKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKAKDLPAMDVTGSLDPFVEVRVGNYKGTTKHFEKKQNPEWNEVFAFSRDQLQASIVEVVVKDKDLVKDDFVGLVRFDLNDVPSRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADESFPDAWHSDAAAPVGASAVGSHIRSKVYHAPRLWYVRVNVVEAQDIVMSDKTRFPDVYVKVQLGNQVLRTRAVQARTFNPLWNEDFMLVAAEPFEDHLILSVEDRVGPNKDEVIGRVIIPLGSIEKRADDRIIYGRWFSLEKPVAVDVDQLKKDKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTQEGKGTSDTYCVAKYGQKWVRTRTIINSLSPKYNEQYTWEVYDPDTVLTVGVFDNCQLGEKGSSGNKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGIKKMGELHLAIRFSSTSLINMLYTYSRPLLPKMHYIRPLTMMQQDMLRHQAVQIVAARLGRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFKDVCAWKNPITTVLVHILFLMLVCFPELVLPTIFLYMFMIGIWNYRYRPRYPPHMNIKISHAEAVQPDELDEEFDTFPTSRSAELVRMRYDRLRSVAGRIQTVVGDLATQGERVQALLSWRDPRATAIFVVFCLVAALVLYVTPLQVLIALAGFYVMRHPRFRHRMPSAPVNFFRRLPARTDSML >Ma07_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24940316:24946301:-1 gene:Ma07_g18300 transcript:Ma07_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKLGVEVVSAHDLMPKDGQGSACPCVELQFDGQKFRTTIKEKDLNPVWNECFYFNIANPASLPELELEAFVYNVNRATHSRPFLGKVRIAGTSFVPYADAVVMHYPLEKRGIFSRVKGELGLKVFLTDDPSIKPSSPLPAIGPHSNDIPPSQAHQVPAQVSILNTSSPPENRSEARYNFHSVPKEVHQHHSSAPISEPVRYAADPMKPEPQPPRIVRMYSSVSSQQPVDYALKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKAKDLPAMDVTGSLDPFVEVRVGNYKGTTKHFEKKQNPEWNEVFAFSRDQLQASIVEVVVKDKDLVKDDFVGLVRFDLNDVPSRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADESFPDAWHSDAAAPVGASAVGSHIRSKVYHAPRLWYVRVNVVEAQDIVMSDKTRFPDVYVKVQLGNQVLRTRAVQARTFNPLWNEDFMLVAAEPFEDHLILSVEDRVGPNKDEVIGRVIIPLGSIEKRADDRIIYGRWFSLEKPVAVDVDQLKKDKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTQEGKGTSDTYCVAKYGQKWVRTRTIINSLSPKYNEQYTWEVYDPDTVLTVGVFDNCQLGEKGSSGNKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGIKKMGELHLAIRFSSTSLINMLYTYSRPLLPKMHYIRPLTMMQQDMLRHQAVQIVAARLGRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFKDVCAWKNPITTVLVHILFLMLVCFPELVLPTIFLYMFMIGIWNYRYRPRYPPHMNIKISHAEAVQPDELDEEFDTFPTSRSAELVRMRYDRLRSVAGRIQTVVGDLATQGERVQALLSWRDPRATAIFVVFCLVAALVLYVTPLQVLIALAGFYVMRHPRFRHRMPSAPVNFFRRLPARTDSML >Ma07_p18300.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24940316:24946301:-1 gene:Ma07_g18300 transcript:Ma07_t18300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKLGVEVVSAHDLMPKDGQGSACPCVELQFDGQKFRTTIKEKDLNPVWNECFYFNIANPASLPELELEAFVYNVNRATHSRPFLGKVRIAGTSFVPYADAVVMHYPLEKRGIFSRVKGELGLKVFLTDDPSIKPSSPLPAIGPHSNDIPPSQAHQVPAQVSILNTSSPPENRSEARYNFHSVPKEVHQHHSSAPISEPVRYAADPMKPEPQPPRIVRMYSSVSSQQPVDYALKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKAKDLPAMDVTGSLDPFVEVRVGNYKGTTKHFEKKQNPEWNEVFAFSRDQLQASIVEVVVKDKDLVKDDFVGLVRFDLNDVPSRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADESFPDAWHSDAAAPVGASAVGSHIRSKVYHAPRLWYVRVNVVEAQDIVMSDKTRFPDVYVKVQLGNQVLRTRAVQARTFNPLWNEDFMLVAAEPFEDHLILSVEDRVGPNKDEVIGRVIIPLGSIEKRADDRIIYGRWFSLEKPVAVDVDQLKKDKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTQEGKGTSDTYCVAKYGQKWVRTRTIINSLSPKYNEQYTWEVYDPDTVLTVGVFDNCQLGEKGSSGNKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGIKKMGELHLAIRFSSTSLINMLYTYSRPLLPKMHYIRPLTMMQQDMLRHQAVQIVAARLGRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFKDVCAWKNPITTVLVHILFLMLVCFPELVLPTIFLYMFMIGIWNYRYRPRYPPHMNIKISHAEAVQPDELDEEFDTFPTSRSAELVRMRYDRLRSVAGRIQTVVGDLATQGERVQALLSWRDPRATAIFVVFCLVAALVLYVTPLQVLIALAGFYVMRHPRFRHRMPSAPVNFFRRLPARTDSML >Ma07_p18300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24940245:24946302:-1 gene:Ma07_g18300 transcript:Ma07_t18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKLGVEVVSAHDLMPKDGQGSACPCVELQFDGQKFRTTIKEKDLNPVWNECFYFNIANPASLPELELEAFVYNVNRATHSRPFLGKVRIAGTSFVPYADAVVMHYPLEKRGIFSRVKGELGLKVFLTDDPSIKPSSPLPAIGPHSNDIPPSQAHQVPAQVSILNTSSPPENRSEARYNFHSVPKEVHQHHSSAPISEPVRYAADPMKPEPQPPRIVRMYSSVSSQQPVDYALKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKAKDLPAMDVTGSLDPFVEVRVGNYKGTTKHFEKKQNPEWNEVFAFSRDQLQASIVEVVVKDKDLVKDDFVGLVRFDLNDVPSRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADESFPDAWHSDAAAPVGASAVGSHIRSKVYHAPRLWYVRVNVVEAQDIVMSDKTRFPDVYVKVQLGNQVLRTRAVQARTFNPLWNEDFMLVAAEPFEDHLILSVEDRVGPNKDEVIGRVIIPLGSIEKRADDRIIYGRWFSLEKPVAVDVDQLKKDKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTQEGKGTSDTYCVAKYGQKWVRTRTIINSLSPKYNEQYTWEVYDPDTVLTVGVFDNCQLGEKGSSGNKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGIKKMGELHLAIRFSSTSLINMLYTYSRPLLPKMHYIRPLTMMQQDMLRHQAVQIVAARLGRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFKDVCAWKNPITTVLVHILFLMLVCFPELVLPTIFLYMFMIGIWNYRYRPRYPPHMNIKISHAEAVQPDELDEEFDTFPTSRSAELVRMRYDRLRSVAGRIQTVVGDLATQGERVQALLSWRDPRATAIFVVFCLVAALVLYVTPLQVLIALAGFYVMRHPRFRHRMPSAPVNFFRRLPARTDSML >Ma07_p18300.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24940316:24946301:-1 gene:Ma07_g18300 transcript:Ma07_t18300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKLGVEVVSAHDLMPKDGQGSACPCVELQFDGQKFRTTIKEKDLNPVWNECFYFNIANPASLPELELEAFVYNVNRATHSRPFLGKVRIAGTSFVPYADAVVMHYPLEKRGIFSRVKGELGLKVFLTDDPSIKPSSPLPAIGPHSNDIPPSQAHQVPAQVSILNTSSPPENRSEARYNFHSVPKEVHQHHSSAPISEPVRYAADPMKPEPQPPRIVRMYSSVSSQQPVDYALKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKAKDLPAMDVTGSLDPFVEVRVGNYKGTTKHFEKKQNPEWNEVFAFSRDQLQASIVEVVVKDKDLVKDDFVGLVRFDLNDVPSRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADESFPDAWHSDAAAPVGASAVGSHIRSKVYHAPRLWYVRVNVVEAQDIVMSDKTRFPDVYVKVQLGNQVLRTRAVQARTFNPLWNEDFMLVAAEPFEDHLILSVEDRVGPNKDEVIGRVIIPLGSIEKRADDRIIYGRWFSLEKPVAVDVDQLKKDKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTQEGKGTSDTYCVAKYGQKWVRTRTIINSLSPKYNEQYTWEVYDPDTVLTVGVFDNCQLGEKGSSGNKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGIKKMGELHLAIRFSSTSLINMLYTYSRPLLPKMHYIRPLTMMQQDMLRHQAVQIVAARLGRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFKDVCAWKNPITTVLVHILFLMLVCFPELVLPTIFLYMFMIGIWNYRYRPRYPPHMNIKISHAEAVQPDELDEEFDTFPTSRSAELVRMRYDRLRSVAGRIQTVVGDLATQGERVQALLSWRDPRATAIFVVFCLVAALVLYVTPLQVLIALAGFYVMRHPRFRHRMPSAPVNFFRRLPARTDSML >Ma07_p18300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24940316:24946301:-1 gene:Ma07_g18300 transcript:Ma07_t18300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKLGVEVVSAHDLMPKDGQGSACPCVELQFDGQKFRTTIKEKDLNPVWNECFYFNIANPASLPELELEAFVYNVNRATHSRPFLGKVRIAGTSFVPYADAVVMHYPLEKRGIFSRVKGELGLKVFLTDDPSIKPSSPLPAIGPHSNDIPPSQAHQVPAQVSILNTSSPPENRSEARYNFHSVPKEVHQHHSSAPISEPVRYAADPMKPEPQPPRIVRMYSSVSSQQPVDYALKETSPFLGGGQIVGGRVIRADKPASTYDLVEQMQYLFVRVVKAKDLPAMDVTGSLDPFVEVRVGNYKGTTKHFEKKQNPEWNEVFAFSRDQLQASIVEVVVKDKDLVKDDFVGLVRFDLNDVPSRVPPDSPLAPEWYRLEDKKGDKTKGELMLAVWIGTQADESFPDAWHSDAAAPVGASAVGSHIRSKVYHAPRLWYVRVNVVEAQDIVMSDKTRFPDVYVKVQLGNQVLRTRAVQARTFNPLWNEDFMLVAAEPFEDHLILSVEDRVGPNKDEVIGRVIIPLGSIEKRADDRIIYGRWFSLEKPVAVDVDQLKKDKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLELGILNAEGLHPMKTQEGKGTSDTYCVAKYGQKWVRTRTIINSLSPKYNEQYTWEVYDPDTVLTVGVFDNCQLGEKGSSGNKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGIKKMGELHLAIRFSSTSLINMLYTYSRPLLPKMHYIRPLTMMQQDMLRHQAVQIVAARLGRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFKDVCAWKNPITTVLVHILFLMLVCFPELVLPTIFLYMFMIGIWNYRYRPRYPPHMNIKISHAEAVQPDELDEEFDTFPTSRSAELVRMRYDRLRSVAGRIQTVVGDLATQGERVQALLSWRDPRATAIFVVFCLVAALVLYVTPLQVLIALAGFYVMRHPRFRHRMPSAPVNFFRRLPARTDSML >Ma04_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23438190:23438369:1 gene:Ma04_g20670 transcript:Ma04_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIIMIFNLEYVLLMLKSFMLQSSNISYIPKLKYFFLKLESYEEKNLLYVSGLYGQTT >Ma09_p26610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37813593:37814373:-1 gene:Ma09_g26610 transcript:Ma09_t26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKDLVLLNCWVSPYGMRCQIALAEKRVEYEHKEENVMEKSPLLLQSNPVHKKIPVLVHGGKPVCESLVIVQYVDEAWPDRAPLLPVDAYGRAQARFWADFVDKKIFECGTKLWKLKKEAQGEAKEEFTEGLKLLEDELGEKKYFGGDAFGFVDIALVPLMAWFRTYESFGSFSAETEAPKLVAWGKRCMERESVAMSLPDPAKVYELVCFMRKMFGLE >Ma11_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22077127:22079319:1 gene:Ma11_g16580 transcript:Ma11_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCDCIEPQWHADELLVKYQYTSDFLIAFAYFSIPLELIYFVKKSSFFPYRWLLIQFGAFIVLCGSTHMISLRTFTMYSRTVALLMTVAKISTAVVSCATALMLVHIIPELLSVKTRELFLKNKAEELDREIGVLRAQEETERHVRMLTHEIRSTLDRHTILKTTFIELGRTLDLEECAFWMPSRNGINLQLSHTLHHQMPLGSVVCTDLPVINQVLSNDVNYWPELSLKSYAIMVLMSARKWHVHEMELVEAVADQVAVALSHAAILEESMRACDLLLEQNVALDSACQEAETAINARNDFQTVMTHEMWTSIHATIALSSLLLETELTPEQQSMLEIAAFKLHTIFREVTNLMKPIAAVKNLSSL >Ma03_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28574549:28574884:-1 gene:Ma03_g24290 transcript:Ma03_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRYDPRQGGDPPGPAKAHLRRQAPRGRQYACGLNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESWDTIDNVKAKIQDEGIQQRLIFAGKQLGVDSPTLSITLRKL >Ma11_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6968277:6974099:1 gene:Ma11_g08760 transcript:Ma11_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLRTKEGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPTYHLYTPSEVEAVIARL >Ma11_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3816085:3820130:1 gene:Ma11_g04900 transcript:Ma11_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKIDQESGPLGLWLNKTESFVNSIHMKLQRKINLSSELTQNNSLEEQNTGNDKHNAEPRADKLRIINVPVLMLKIGSWQCVSVGEGDIAAKCFYGKKKFVWEMLRCGSKKKFEIQWSDISAIRAHFADKQPDILEVELKKPPQLFEQVSSDPRRHPMWQPIPDFTEDQSRLYRRHHLEFREGSFRKPYDKLLLENKHLFRLSHNLFENDMSPLFRVVRSGIQYSSTIPQLLHMFNQQTNNLYHSFTDHFGNQGTTDLSTWYVGNSGYMAQSFGANLLTGQPVPPFRLADENKPTNLGCTSESVDAYLPTGFLPPTGLADDKWPSSDIAFLAAAAADHSRVVNHIPNMDNRASSSGAH >Ma08_p31720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42548860:42549971:1 gene:Ma08_g31720 transcript:Ma08_t31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDAPPSGSTGGGGNKLRKGLWSPEEDEKLMNYMLRNGQGCWSDVARNADLQRCGKSCRLRWINYLRPDLKRGAFSLQEEELIVHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSNNSGDAETRGGAASLAHVKEHQLQGMYVDSSSSSSSSSMPGGLFMANQYDLLPLPEVGCGMIRVEDSSYFHEPPTVAQAGMENGFYGDGQGVMMVEGGLMGGGCELFVPPLESSQEETLMNDGGAGSMVSSADISNSGDVNKIQSGMLWEDEDIRVGEWELGDLMKDVSFPFLDFQVE >Ma11_p02830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2098520:2106649:1 gene:Ma11_g02830 transcript:Ma11_t02830.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MAFRFATAAAMPKPCLSIRANQSSSLDVSDLADDHVSRSKKNFVFIINPKGANGRTGKEWKKLLPHLRTRLGGHCNICEYITSAPSHGVDITREAIREGADAVIAVGGDGTLHEVINGFFCVGKPVTALERGPGYSTTLGLIPLGTGSDFARTFRWRNDPHEAIERIKRGFKSKIDVGIITAHTGEQHFFINVADIHLSAKAGYYASKYKGFGNLCYVFGALRAFIGHENRNLKVKINGGDWEVFHKVTAICIGNGKYFGGGMKITPTADPSNGKLEVVILQDFKWYDFVLKLHKLYSGSHLSEKNVCLRSVKSIEVVEEMSKGDIYVQSDGEHLGFLPAHCSILPAAINFFC >Ma11_p02830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2098520:2106649:1 gene:Ma11_g02830 transcript:Ma11_t02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MAFRFATAAAMPKPCLSIRANQSSSLDVSDLADDHVSRSKKNFVFIINPKGANGRTGKEWKKLLPHLRTRLGGHCNICEYITSAPSHGVDITREAIREGADAVIAVGGDGTLHEVINGFFCVGKPVTALERGPGYSTTLGLIPLGTGSDFARTFRWRNDPHEAIERIKRGGSAYPTSFKLHLGFKSKIDVGIITAHTGEQHFFINVADIHLSAKAGYYASKYKGFGNLCYVFGALRAFIGHENRNLKVKINGGDWEVFHKVTAICIGNGKYFGGGMKITPTADPSNGKLEVVILQDFKWYDFVLKLHKLYSGSHLSEKNVCLRSVKSIEVVEEMSKGDIYVQSDGEHLGFLPAHCSILPAAINFFC >Ma11_p02830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2098520:2106649:1 gene:Ma11_g02830 transcript:Ma11_t02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MAFRFATAAAMPKPCLSIRANQSSSLDVSDLADDHVSRSKKNFVFIINPKGANGRTGKEWKKLLPHLRTRLGGHCNICEYITSAPSHGVDITREAIREGADAVIAVGGDGTLHELIPLGTGSDFARTFRWRNDPHEAIERIKRGFKSKIDVGIITAHTGEQHFFINVADIHLSAKAGYYASKYKGFGNLCYVFGALRAFIGHENRNLKVKINGGDWEVFHKVTAICIGNGKYFGGGMKITPTADPSNGKLEVVILQDFKWYDFVLKLHKLYSGSHLSEKNVCLRSVKSIEVVEEMSKGDIYVQSDGEHLGFLPAHCSILPAAINFFC >Ma11_p02830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2098520:2106649:1 gene:Ma11_g02830 transcript:Ma11_t02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MAFRFATAAAMPKPCLSIRANQSSSLDVSDLADDHVSRSKKNFVFIINPKGANGRTGKEWKKLLPHLRTRLGGHCNICEYITSAPSHGVDITREAIREGADAVIAVGGDGTLHELIPLGTGSDFARTFRWRNDPHEAIERIKRGGSAYPTSFKLHLGFKSKIDVGIITAHTGEQHFFINVADIHLSAKAGYYASKYKGFGNLCYVFGALRAFIGHENRNLKVKINGGDWEVFHKVTAICIGNGKYFGGGMKITPTADPSNGKLEVVILQDFKWYDFVLKLHKLYSGSHLSEKNVCLRSVKSIEVVEEMSKGDIYVQSDGEHLGFLPAHCSILPAAINFFC >Ma04_p29540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30459076:30459529:1 gene:Ma04_g29540 transcript:Ma04_t29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLSRVASCPRYEALRKLGKGRRRGVAQGHVPVYVGEEMERFEVRAELLSRPVFVELLRLAAEEYGYHQCGALRIPCPVPLFRRLLLLAASSDGAAEREEAEILLRSFDVLFLSSVDGPS >Ma04_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3175212:3181149:-1 gene:Ma04_g04120 transcript:Ma04_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRLRSSGRAIFRTVSPRIWGGDEGSAFKRLAFPNLKRKTSNTWSAVQDTYLSTKEVFETHRVVFTIGTSIASILTAWGGYTLRQIHQSNVEKKLESLEQALKNSYKIEHEEIKKIASSGNISTSACVAVAGTSLVIGYGLGWRSGSWYANRKFRREQLKLLGQFKPNRWQLLRKSLVRFRTPVHKSAEIPTIADTGSLASNK >Ma03_p20190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25364954:25366429:-1 gene:Ma03_g20190 transcript:Ma03_t20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKEEEVDGNQRAGQEGTMDLPLPDPGPVKEDVLSFSCDQCDKETVHKIAQLLNPGLAGACVDNTTGLFRGPATVAVLIRKEMVDYITPRSETFVAETMAQGDGNADPAEEASDDPADIVSDIVDEFARSKRNFFSRVSAWILSDSREDKIDDFVQEMETNAFWPMDRREVIAETLLRNVDFKNAYHCSMKFESEQQLAEHRDSCGFRIAECTNDGCRVKFSAAHREKHDAECPFKILPCEQKCPESIMRREMDRHCITVCPMKLMNCPFYQIGCQSAFPQCTLEKHCEEFLHSHLLYVLQVIHKQEVSEEEMEQRAQLLEKSECQKELSEALDVRSLTMAVKEQEAKMKKLERDLSIAS >Ma09_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19342752:19347275:1 gene:Ma09_g18930 transcript:Ma09_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPLFHPFIHPAFHDVFETMPFGDKLSFLYVHALDKLKLWHKLPVFLGLTYLQQRRTLHEKYSLLNVGMPDRTPFNPDDYAYRTDDGEYNDPENSQAGSQNTFFGRNMPPMEQNNHLMNPDPAVVATKLLARRTYKDTGKQFNLIAASWIQFMVHDWIDHLEDTEQVVLPSPSLVAHECPLKSFRFYRTKEVPTGGEGIETGHLNIRTSWWDGSAIYGSEGKKEAKVRTRVDGKLKIGDNDLLQHEVNGIAISGDIRNSWAGVSTLQALFVKEHNAVCDALKEEYPDLNDEELFRYARLVTSAVIAKIHTIDWTVELLKTHTLNAAMHANWYGLLGKKIKDTFGHIGGPALGGLVGLKKPNNHGVPYSLTEEFTSVYRMHSLLPDSLKLRNINTNPGPNKSPEYLKDVKMEELVGITGESTLNEIGFERQVVSMGHQACGALELWNYPFFFRDLIAQNVDGTERPDHVDMPVLEIYRDRERKIPRYNQFRRKLMMIPISKWEDLTDDKEAIETIREIYGDDVEKLDLLVGLMAEKKIKGFAISETAFFIFIIMASRRLEADRFFTSYFNEKTYTKRGFKWVNTTESLRDVLLRHYPHTVSKWMNSTSAFSVWDAPPNSFNPIPLLLRFPS >Ma10_p30700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36988718:36993810:1 gene:Ma10_g30700 transcript:Ma10_t30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MSGWDEGAVFYSDQAQFPRGGGDPDQGSITRHTALRKFKEFIRGFNGEKGDFPYRESLVHSPDHLTVAMEDLDAFDAVLSDKIRKFPADFLPLFETAASEVLASLRSKVAGETGEMEEPITGEVQVFLSSKESSVSMRSVGAEYMSRLVKISGIAIAASRVKAKATYVTLMCKNCKSIKTVPCRPGLGGAIVPRSCDHVPQAGEEPCPLDPWIVAPDKSKYVDLQTLKLQENPEDVPTGELPRNTLVSIDRHLVQTIVPGTRLTVMGIYSIYQASAAQKGAVGVKQPYIRVVGLEQTRETNSSGPSTFTLDEELEFKEFAQRPDAYAKLCSMIGPSIYGHADVKKALACLLFGGSKKRLPDGVRLRGDIHVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIREYDQDKKIASHIIKVHASGAAASKSTNATEGENWLKRYIEYCRVTCHPQLSEKAAEMLQNKYVEIRQRMRQQANETGKAAAVPITVRQLEAIIRLSESLAKMRLTSVATQEHVEEAFRLFNVSTMDAARSGINEHLNLTPEIANEIKQAEAQIKRRMGIGSHISERRLIDELARMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >Ma10_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32131295:32131878:1 gene:Ma10_g23030 transcript:Ma10_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTWYSCYLPQAHHDLSEIPEALVRGGR >Ma09_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13066831:13069716:1 gene:Ma09_g17490 transcript:Ma09_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIVSDFIPTARSRRVTDADLLWPNLKKGGSKKKRGSRRCAVEETEDDFEADFQEFDNESGESEENDEAELVDVQFSAFPPKDGEMTFKPVGFDGPAMRCAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPIPQKCLVRLTAAEAPNSNPRDTFNSNHSFTYLNDTNQDFHPDIDLFDEKVAIKECMNPNSFTEIKPAVPTEEPGINMFFDQGSNILGYSEHGWEHEFKTAAITTILEPTITESENFACLEDGGPPKKLKNNAGEAVPTEENDAVELSEEFSTFDSFMKFLHIPSLEASTDDSIDSFLNYDVIQEESGVDLWSFNDLPLIAGSIYRGTLNYG >Ma01_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6938666:6938794:1 gene:Ma01_g09670 transcript:Ma01_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSDVVPKTAENFRALCTGERGVGRSGKPLHYKGTRYHRA >Ma06_p21460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15696785:15701248:1 gene:Ma06_g21460 transcript:Ma06_t21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSRTHKLNLLSKLMVTKQEGSTISDYLQHIKVIIDDLALIGYFLCDEEVVIHTLNGLDTDYKELAAVIRARDSPVSFEDLYDKLTDYEMYLKRVDKLPGSTVTAQVSHKPKRKSTRYSPNITQGLVNAHLDSVSSMQHPSYPPSHHFLQSGNSSHHPSWHPVLPSHQRRVVCQLCDKVCHSAKVYWSHPRLPAPSHWPQANLLTSPTPSQSNWIVDSGASHHITADLQNLSLHNPYGGDEDIIIETAFLSFSSLTFNCVADNIPATVSMVSEAGSLVESNVFMT >Ma03_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1010463:1015871:-1 gene:Ma03_g01380 transcript:Ma03_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKANLPPPPASIYPTRPIAGDANLTSSSSSSSSSSFSPPDFLRQAHAVFKRHRPLGGMQSNMRRATRVLVPQTESSKNVTSMSSVTATVAGKVVPLSGAVVGARRVARDQGEKDAGVMAGPADDASLTPPSVTGTSTNAGNDKASPFGLQNGDSDLLSDGEKSSLAAVSSSQVASSHALTNNDTKKENLAFQKMEYLGTQVGTNDQDLKVQDHGNMEVFGTDMKFGGSSLLENTKDPKHNQCYVEPMTRCSAVGSSCVTTLSVHTGPTIQSMHAQPAGQTAFPTQVSSSAGELPSVAKDHTPPEEQGVVKNGCDWHLDHQQNLHLAENVKDKGACGDAICLPSQVLPTSNPPSSNIDVGPSQSNKVEKSLRKKKYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCTIHALKKIKLKGRDYPTAYGFCQEIEYLKKLKGKSNIIQLIDYEVTDKTLFQEVVNGSMNIKEKRIKDDECIYMVLEYGEIDLAHMLAQKWKEMSASGWKIDENWLRFYWQQMLEAVSTIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMSDTTNIQRDAQVGTLNYMSPEAFMCNEPDENGNIIKCGRPSDIWSLGCILYQMVYGKTPFAEYKTFWAKFKVVTDRNHEITYEPVSNPWLVDIMRRCLAWDRNERWRIPQLLQHPFLVPRLPRELPPCDDRHPCKLLMERVGAYWSDPQVSRLCSELHEVIAKLEKVQKSPTVSMEPNVF >Ma06_p29820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31198071:31200773:1 gene:Ma06_g29820 transcript:Ma06_t29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MITTRGSATRAISSIHSRSLSSILSSTDAVNRRVFSFSSSQQEEGFPDSLSLVAAIKSCAESLNLSAGQQLHGLALKSGLDRSNLFVRNALLNVYAKCSRLDSVLRIFSSPNTERDSASWNIVLASYVRSDRLPGARRIFDEMPHRDAVSFTTMIMGLARNGRPDEAVATFRDMVASGVRPNEVTLATVLSACSHLRAPRGGSMIHAAAVKHGLVDFVLVGTNLVHVYASCSRIDDSRSAFDALPEKNTVTWNVMLNGYAKAGQVGHAKDLFERIPAKDLVSWSTLIDAFLRVQRLQEALRIYRGMLQDLGERPNEVLMVDLVSACGRCSAVQEGQQFHAAITKMGLDRHTFVQSTLIHFYGACGLVDLACLQFQACRQSHVASWNALLAGLLRNNLVEAAAELFDNMPERDVVSWSTMIAGYVHNGSSHLALQLFQEMQHKGYEANDITLVSVLSAVADSGTLDYGKWIHDLITIRRIPLTDNLSAGLIDMYAKRGSIGNALNVFDIVKDSASVSPWNAIICGLAMHGHADMSLEVFMDMQSRSITPNSITFIGVLSACCHNGLLDTGRRYFESMRREYNIEPTIKHYGCLVDLLARAGCLEEAERVIEWMPMEADVMIWGSMLASARTHGKVEIGERAADSLARLEPSHGAARILLSNIYADAGRWSDVFMVRRAMQSSRLDKTPGWSGIL >Ma04_p29670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30527012:30536171:-1 gene:Ma04_g29670 transcript:Ma04_t29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLNKLFKGSNLKFSAGQCHGRHADDGFWNKPSSSLGIQREYDDEDIDQALALSLSEEEQKKGKTVDKSLLEEDEQLAKALQESLNAEYPPRQNGQICQPNPFSFPSVSRRCAGCNKEVGRGQSLSTMGAVWHPECLRCHGCNKPITDYELSLYENRPYHKSCYRKLYHPKCAVCKQYIPAIRDGHIEYRAHPFWGQRYCPLHERDGTPMCCSCERMEPRDTKYVTLDDGRKLCLECLNFAIMDTSECQPLYLGIKEFYEGLYMKVEQQIPLLLVERQALNEAMDGEMNGHHRLPETRGLCLSEEKIVRTVLRRPIMGSGHRLTDMITGPYRLIRRCDVTAILILYGLPRLLTGSILAHEMMHAWLRLNGYGSLSLEVEEGICQVLAQMWLDSEIVAGSASNVASTSSSSSTTRPSKKGARTQSERKLGECFKHEIEADASPVYGAGYRAATRAVNRYGLRRTLDHIKFTGSFPY >Ma04_p29670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30527012:30536217:-1 gene:Ma04_g29670 transcript:Ma04_t29670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLNKLFKGSNLKFSAGQCHGRHADDGFWNKPSSSLGIQREYDDEDIDQALALSLSEEEQKKGKTVDKSLLEEDEQLAKALQESLNAEYPPRQNGQICQPNPFSFPSVSRRCAGCNKEVGRGQSLSTMGAVWHPECLRCHGCNKPITDYELSLYENRPYHKSCYRKLYHPKCAVCKQYIPAIRDGHIEYRAHPFWGQRYCPLHERDGTPMCCSCERMEPRDTKYVTLDDGRKLCLECLNFAIMDTSECQPLYLGIKEFYEGLYMKVEQQIPLLLVERQALNEAMDGEMNGHHRLPETRGLCLSEEKIVRTVLRRPIMGSGHRLTDMITGPYRLIRRCDVTAILILYGLPRLLTGSILAHEMMHAWLRLNGYGSLSLEVEEGICQVLAQMWLDSEIVAGSASNVASTSSSSSTTRPSKKGARTQSERKLGECFKHEIEADASPVYGAGYRAATRAVNRYGLRRTLDHIKFTGSFPY >Ma04_p11840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8443518:8454582:-1 gene:Ma04_g11840 transcript:Ma04_t11840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRGGNRVKAMGQLKLGDFVLAKVKGYPAWPAKISRPEDFDRSPDPRKYFVQFFGTSEIAFVLPADIQVFTNESKSKLIARCQGKTVKYFSRAVEEICEAFEESHKKLSPESGLDIDRTSTGHTSSSISDFYDSKHLMENDEVSHLEDQGKKHEHSMSDVNYCLTDQLHGMECGSRSQEANVSSDLSPNILAGAGSLLKRENPSSNGAQVAKDTKLVVSSSVSHTCSDKEEKLTSPDPDVSKGNYLDMLPKKETAELLPEGSAAVGLQDCGDSRHENEPQKKDAANVLKVSGNGNQTTKLVAEQKQKVRNALKVKKSPSPQNQLKGSFGKGNKMFGEGNKGVASRDHNREPSKNVLRIDADSKYAKRSKSLKRPKERFLDKEKMDRDPRKEVADASNEYASEGSISSGELTVKDFHIRNKKHKLDGSKDSQPAKRSKLIEVGSEKSKSSRHCDLSGVDAKRKGDKVIKTKKSGISMKAHLTSETETHHDRTLIQHNDTVLAMAKHSKSMDTVADPATKTAASTSRTSSHSLKDEVPVSTHVFRRRRLCKIDDDEEEQRTPIHNEYASNLVGAHPGISVSEEKPHSLMEHNRDSLSNNAVTDKPGLAKDEKTSDGMTLPGNMAEKDKERRVKKCGGSQNSQSPMEPECDESSFGDCRPSNVSPETSTALGDTMKLTDQTSVKPHMKIVGSNGKKSQIAPSKLSNHTSGSLNSSHFQAMPEENKISNKEINIKVTSKSNRFESKFSVEQNSKKDVSGGQRSEASVEAKMISFSESIYVDSTKSMKHLIAAAQAKRRQAQSQSLPPENAIFTLISAPTVIHGRSPSPSSIPFSTANSSQKNMNGTYACMPLGSPSAAPQEFSSLNKVELEEYEHRNSPEYRPLGGSLSGGTEAAVARDALEGMIETLSRTKDSIGRATRLAIECAKYGIAGEIVELLLQKLEGEPSFHRRVDLFFLVDSITQCSHAQKGIAGSSYIPNVQAALPRLLDAAAPPGAGTRENCRQCLKVLKLWLERKIMPENLLRRYIDDIEVPNDDVNAGIFLRRPSRAERSIDDPIREIEGMLVDEYGSNATFQLPGLLSSHVFEDEEGPITVCRDSGDELSYGAGNALEEFDTCAFTPSDRHHHILKDVDGELEMEDTTLSKDRKGMMGDYNHKIDLQHENYSASMEPTSTNPTELPPLPTAPPPLLDSPPPPPPLPPLPSSPPPPPPPLPPPLSPSAPPLPPPLPPTGQASLPPVSFPPAPSSSSPSSFFPSKQEEFRMSNGNQLVHMSGNAAMQGQETASSSEVVLQQHPNFMATGMGNTQSHNNFSSSSTFEYGHNKLYVAPQTSHQIHQFQQGNTSFHQRPYHSVTPAQTSSNYPLPNTQMPAGHFSHVAVDQQSVQQTFNPYPLPSVPNSQRQYVSDEQRRVHSTDFSPDSQHSTWVSGARPPSCSGPHIVQDGFMRSGMERPPSNSMGFQLPLHNPMPSGGSVQGHTFSQVPSGRPDIPGLNCWRPA >Ma04_p11840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8443546:8454582:-1 gene:Ma04_g11840 transcript:Ma04_t11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRGGNRVKAMGQLKLGDFVLAKVKGYPAWPAKISRPEDFDRSPDPRKYFVQFFGTSEIAFVLPADIQVFTNESKSKLIARCQGKTVKYFSRAVEEICEAFEESHKKLSPESGLDIDRTSTGHTSSSISDFYDSKHLMENDEVSHLEDQGKKHEHSMSDVNYCLTDQLHGMECGSRSQEANVSSDLSPNILAGAGSLLKRENPSSNGAQVAKDTKLVVSSSVSHTCSDKEEKLTSPDPDVSKGNYLDMLPKKETAELLPEGSAAVGLQDCGDSRHENEPQKKDAANVLKVSGNGNQTTKLVAEQKQKVRNALKVKKSPSPQNQLKGSFGKGNKMFGEGNKGVASRDHNREPSKNVLRIDADSKYAKRSKSLKRPKERFLDKEKMDRDPRKEVADASNEYASEGSISSGELTVKDFHIRNKKHKLDGSKDSQPAKRSKLIEVGSEKSKSSRHCDLSGVDAKRKGDKVIKTKKSGISMKAHLTSETETHHDRTLIQHNDTVLAMAKHSKSMDTVADPATKTAASTSRTSSHSLKDEVPVSTHVFRRRRLCKIDDDEEEQRTPIHNEYASNLVGAHPGISVSEEKPHSLMEHNRDSLSNNAVTDKPGLAKDEKTSDGMTLPGNMAEKDKERRVKKCGGSQNSQSPMEPECDESSFGDCRPSNVSPETSTALGDTMKLTDQTSVKPHMKIVGSNGKKSQIAPSKLSNHTSGSLNSSHFQAMPEENKISNKEINIKVTSKSNRFESKFSVEQNSKKDVSGGQRSEASVEAKMISFSESIYVDSTKSMKHLIAAAQAKRRQAQSQSLPPENAIFTLISAPTVIHGRSPSPSSIPFSTANSSQKNMNGTYACMPLGSPSAAPQEFSSLNKVELEEYEHRNSPEYRPLGGSLSGGTEAAVARDALEGMIETLSRTKDSIGRATRLAIECAKYGIAGEIVELLLQKLEGEPSFHRRVDLFFLVDSITQCSHAQKGIAGSSYIPNVQAALPRLLDAAAPPGAGTRENCRQCLKVLKLWLERKIMPENLLRRYIDDIEVPNDDVNAGIFLRRPSRAERSIDDPIREIEGMLVDEYGSNATFQLPGLLSSHVFEDEEGPITVCRDSGDELSYGAGNALEEFDTCAFTPSDRHHHILKDVDGELEMEDTTLSKDRKGMMGDYNHKIDLQHENYSASMEPTSTNPTELPPLPTAPPPLLDSPPPPPPLPPLPSSPPPPPPPLPPPLSPSAPPLPPPLPPTGQASLPPVSFPPAPSSSSPSSFFPSKQEEFRMSNGNQLVHMSGNAAMQGQETASSSEVVLQQHPNFMATGMGNTQSHNNFSSSSTFEYGHNKLYVAPQTSHQIHQFQQGNTSFHQRPYHSVTPAQTSSNYPLPNTQMPAGHFSHVAVDQQSVQQTFNPYPLPSVPNSQRQYVSDEQRRVHSTDFSPDSQHSTWVSGARPPSCSGPHIVQDGFMRSGMERPPSNSMGFQLPLHNPMPSGGSVQGHTFSQVPSGRPDIPGLNCWRPA >Ma04_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8443546:8454582:-1 gene:Ma04_g11840 transcript:Ma04_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDEVSHLEDQGKKHEHSMSDVNYCLTDQLHGMECGSRSQEANVSSDLSPNILAGAGSLLKRENPSSNGAQVAKDTKLVVSSSVSHTCSDKEEKLTSPDPDVSKGNYLDMLPKKETAELLPEGSAAVGLQDCGDSRHENEPQKKDAANVLKVSGNGNQTTKLVAEQKQKVRNALKVKKSPSPQNQLKGSFGKGNKMFGEGNKGVASRDHNREPSKNVLRIDADSKYAKRSKSLKRPKERFLDKEKMDRDPRKEVADASNEYASEGSISSGELTVKDFHIRNKKHKLDGSKDSQPAKRSKLIEVGSEKSKSSRHCDLSGVDAKRKGDKVIKTKKSGISMKAHLTSETETHHDRTLIQHNDTVLAMAKHSKSMDTVADPATKTAASTSRTSSHSLKDEVPVSTHVFRRRRLCKIDDDEEEQRTPIHNEYASNLVGAHPGISVSEEKPHSLMEHNRDSLSNNAVTDKPGLAKDEKTSDGMTLPGNMAEKDKERRVKKCGGSQNSQSPMEPECDESSFGDCRPSNVSPETSTALGDTMKLTDQTSVKPHMKIVGSNGKKSQIAPSKLSNHTSGSLNSSHFQAMPEENKISNKEINIKVTSKSNRFESKFSVEQNSKKDVSGGQRSEASVEAKMISFSESIYVDSTKSMKHLIAAAQAKRRQAQSQSLPPENAIFTLISAPTVIHGRSPSPSSIPFSTANSSQKNMNGTYACMPLGSPSAAPQEFSSLNKVELEEYEHRNSPEYRPLGGSLSGGTEAAVARDALEGMIETLSRTKDSIGRATRLAIECAKYGIAGEIVELLLQKLEGEPSFHRRVDLFFLVDSITQCSHAQKGIAGSSYIPNVQAALPRLLDAAAPPGAGTRENCRQCLKVLKLWLERKIMPENLLRRYIDDIEVPNDDVNAGIFLRRPSRAERSIDDPIREIEGMLVDEYGSNATFQLPGLLSSHVFEDEEGPITVCRDSGDELSYGAGNALEEFDTCAFTPSDRHHHILKDVDGELEMEDTTLSKDRKGMMGDYNHKIDLQHENYSASMEPTSTNPTELPPLPTAPPPLLDSPPPPPPLPPLPSSPPPPPPPLPPPLSPSAPPLPPPLPPTGQASLPPVSFPPAPSSSSPSSFFPSKQEEFRMSNGNQLVHMSGNAAMQGQETASSSEVVLQQHPNFMATGMGNTQSHNNFSSSSTFEYGHNKLYVAPQTSHQIHQFQQGNTSFHQRPYHSVTPAQTSSNYPLPNTQMPAGHFSHVAVDQQSVQQTFNPYPLPSVPNSQRQYVSDEQRRVHSTDFSPDSQHSTWVSGARPPSCSGPHIVQDGFMRSGMERPPSNSMGFQLPLHNPMPSGGSVQGHTFSQVPSGRPDIPGLNCWRPA >Ma01_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3320831:3328108:1 gene:Ma01_g04840 transcript:Ma01_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMFDLSAHMNGIKQLAENPHRDDLMAVGNHSDMLKKRVDSNAVQREGKHVTCEHRKSPSGKKSSGTPMKMLIAEEMCKETESLQKPPSVVARLMGLDSLPVQQAVLIDKCDIQEGYECNSLSGAQKRCQWKEDEYFEKMPWSLRSCTHEKKKNKDVYEACQQPARNSLVNDHISWNGKFDENLYQRTTAFVRQKSTEAEHLATDDKFLKSKEFQDAIEVLSSNRDLFLRFLDEPKQICQFHNVPPPQKKCITVLKPSNVIRTKGDKVMENQSYEVSDENVGKTNKHYRSSSFSWSQGKPQMLSQPTRIVVLKPSPLRAHHIKTTLASPISSPELSDAEGTCEALQTDEAVGSREIAKEITRCMRESLSSDRTDESLLSSLLSKRYFQDERSFNRSGSEDTVQEAVSFSERKVVSPTSLHSCDYPNVVGSPYSISSFSPASHSPESAVMREAKKRLSERLSLVTSTENSHEQVQEGRNSNSLGEMLAISEVKNIKELTFSGRRLSNEKFDMEVPSVSLSVDQTKDEYYDPNFPRNLSSSNSIAISSPVSETIELNVGASSSLVSAQCIQEEVPKLKGGKSSFKVKLSSLFFSRNRKQNRQKLDPPPLAVSDDRTQPGITKAGDKEVLSQSANDIFSAESNLVSPTKISANAYSSSLVYDGSDWVTPYVKDGQFLEKSSAFGNFSQPCPPSGLEAPFIGDVNNRLLQTIENSIVGHQQALSRSSPIESVARSISKEFSYLDTASNPLRYSIVLSKADEEYEQYVFVEKLIASAGLDCKKSSVNFTGWHSLESPLNPMLLELHMNGEEAKFRKRGSNKRLLFDSINAALLNISQKETLASYPWSQACDRNKDDSLSGPLAEEVWGTIKSWFSGHSYVTGEFNYSSNSVDWLVKKEMTGGQWVESMWSEMYDFSKEVGVVLLEELVEEALSEL >Ma04_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6445791:6450890:1 gene:Ma04_g09050 transcript:Ma04_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTFGNFGLHCTLLLLLVASLHGITTESLAHKRRNNGGSARKWERASGVSCKNMFRGRWVYDETYPLYDSSSCPFLEPEFDCQRYGRTDKMYLKYRWKPDGCELPRFDGQDLLKRWKGKKIMFVGDSISLNQWQSLGCMLHAAVPNARTTYTRKEALSTIAFEDYGVSVVYYHTTYLVDIVNEPIGRVLKLDSIQSGSVWLGADVLIFNTWHWWTHRGNSQPWDYVQDGVQVYRDMDRLLAFNKGLTTWAKWVDSNVNPATTKVFFQGISPTHYLGVDWGDPSAKNCYRQIQPVKGSTYPGGPVPAQGIVKSVLSTMTKPVYLLDITLLSQLRKDAHPSAYSGDHAGMDCSHWCVSGLPDTWNQILYAALC >Ma04_p17870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19112391:19112597:-1 gene:Ma04_g17870 transcript:Ma04_t17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRIFQTSICASCSVLPSDAWFFDVAQETISRISGKARFGNTERESDSPTASISAQSTSSVRSHGSS >Ma08_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1207574:1208510:-1 gene:Ma08_g01290 transcript:Ma08_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASDELLGTFVPVAVYWVYSGIYVLLGDLENYRLHTKAEENAKNIVSKWTVVKGVLLQQAFQIAVSLLLFTVIKDESGAARPQPSVPVIIAQFIVAMFVLDTWQYFMHRYMHVNKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLLLDTIGGALAFLVSGMTPRTGIFFFSFATVKTVDDHCGLWLPGNLLHVFFSNNSAYHDVHHQLYGSKYNFSQPFFVMWDKILGTYMPYSLERRKEGGFEARPIKLKS >Ma07_p03160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2444221:2448989:-1 gene:Ma07_g03160 transcript:Ma07_t03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGFEEFGDVFGEATPEWDSATATTAAVPLFPSLFYAYAPDPSRVEVLATDFHSFTFARVLTVHDIEDLRDDIGIGGSWSEFVDYLKSSLSSGDVKLILGGLQNVGSGNVHATLIALKSKGLPRISLSLNIVSNVPASDAMGTLSLALFRAFKKTQSDAVKEHQRSSQLMESLSSEREKNDNLEKQLDSLSFLSKRKASKPNLAVKASTASDVLLNNFETSDSGLQQFSATKGPQVTKTSKRGALVSRQELEVFSCKISRILMTTRMQDSSKSFTCRLVGFQTPV >Ma07_p03160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2444193:2448989:-1 gene:Ma07_g03160 transcript:Ma07_t03160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGFEEFGDVFGEATPEWDSATATTAAVPLFPSLFYAYAPDPSRVEVLATDFHSFTFARVLTVHDIEDLRDDIGIGGSWSEFVDYLKSSLSSGDVKLILGGLQNVGSGNVHATLIALKSKGLPRISLSLNIVSNVPASDAMGTLSLALFRAFKKTQSDAVKEHQRSSQLMESLSSEREKNDNLEKQLDSLSFLSKRKASKPNLAVKASTASDVLLNNFETSDSGLQQFSDVAATKGPQVTKTSKRGALVSRRSRVRGVLLQDIKDTDDN >Ma07_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2444221:2448989:-1 gene:Ma07_g03160 transcript:Ma07_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGFEEFGDVFGEATPEWDSATATTAAVPLFPSLFYAYAPDPSRVEVLATDFHSFTFARVLTVHDIEDLRDDIGIGGSWSEFVDYLKSSLSSGDVKLILGGLQNVGSGNVHATLIALKSKGLPRISLSLNIVSNVPASDAMGTLSLALFRAFKKTQSDAVKEHQRSSQLMESLSSEREKNDNLEKQLDSLSFLSKRKASKPNLAVKASTASDVLLNNFETSDSGLQQFSDVAATKGPQVTKTSKRGALVSRQELEVFSCKISRILMTTRMQDSSKSFTCRLVGFQTPV >Ma07_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30858908:30859291:-1 gene:Ma07_g23130 transcript:Ma07_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRELADQSKHCRFHRQNGHDTEDCRELKRQIEELIRGGHLNRYIRRNGESSPLPEGPVERHIDVITGGPVIEGTSMSGRKAYARSARIDPPQHGPDPKVAFPPEDVKPPEHDDALVIMARIANA >Ma01_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8344228:8345611:1 gene:Ma01_g11540 transcript:Ma01_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPVLDLEKLRGEEREQSMDLLRDACEKWGFFELLNHGISHELMDEVERRTKAHYEQCRKQKFKQLACKALKSGPGTDVTDMDWESTFFLRHLPVSNMSDFPDMDEEYRKAMTEFATGLEKLAERLLDLLCENLGLEEGYLKNAFYGSKGPNFGTKVSNYPPCPRPELIHGLRAHTDAGGIILLFQDDRVSGLQLLKDGQWIDVPPMHHSIVVNLGDQIEVITNGKYKSVLHRVVARSDGNRMSIASFYNPSGDAVIYPAPSLVQKEAEAYPRFVFEDYMKLYVTQKFQAKQPRFEAMKATVTVNGQPTPTP >Ma08_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3506594:3507917:1 gene:Ma08_g05130 transcript:Ma08_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSDNESGGPNNAAGSGGEFSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFREMEGEKMGASSGSHKEAGGAGVGMYGGGGAMYGSPTSATSYHHQMSMGGKGSSAGGAGGSSSAGFGRQGRV >Ma05_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11236379:11237021:1 gene:Ma05_g15150 transcript:Ma05_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVQNSTATTLSPPPLPPPPPPPNNWGPYSGAKDFDANMVSILVVLVCAAALTFALHAAFRLLRRRCLPRSAAQADKPGTATAAPQQLLPGPVVFSVRATAVAGAPECAICLAELVEGDRVHVLPACNHGFHAQCVETWFVGRSSCPTCRTECRPPPPPEP >Ma08_p32380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43070881:43077574:1 gene:Ma08_g32380 transcript:Ma08_t32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSVKVYSVFFKLLLKHKLQTLLQQSAAGGTEASFGVASRPDESAAPSNPSFGPDGVATKDIHVDPLSSLSLRLFLPDSSLAPCNGHVENGGAYGGYLPPVSPPDACRGSHAGSPRKLPVILQFHGGAFVGGSNTSTANDFFCRRIAKLLDAIVIAVGYRLAPESRYPAAFEDGFKVLNWLGKQANLAECSKSMESTKAGGLGDASRSQIVDSFGASSVEPWLAAHGDPSRCVLLGVSCGANIADFVARKAVEAGKLIDPVKVVAQVLMYPFFTGSIATHSEIKLANTYFYDKSLCVLAWKLFLPEREFSLDHPAANPLVPGRGPPLKCMPPTLTVVAEHDWMRDQAIAYSEELRKVNVDAPVLEYKDSVHEFATLDMLLKTPQAQACADDIAIWVKKYISIRGHEFSY >Ma00_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:893276:894736:-1 gene:Ma00_g00320 transcript:Ma00_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLHIFFFPLMAAGHIIPMVDIARLFVGRGVKATLLAPAPKPPLLDHCVKKACDLGQHIDLLLLPLPPSPTGSPERPTFLTSPAMSLEFFRALEHLREPLERALREHRPNCIISDMFLPWTADAARRLGIPRLVFHGMGFFPLCVLDSLDRHLTGSNTRFGDSDVVVVPGLPHPVSMKRSQLHDSVETRNDFSAFMERITESEIGSHGAVVNSFFEMEADYVDHYRDVMGRKAWHIGPVSLWNRDADELAERGGAPDNYRSILTWLDSKPSNSVVYVCFGSLCHLTAAQLHQLGFGLEASSHGFIWAINGDEQHLGGRQEKVEERGKGLMVRGWGPQRPILNHRAVGAFITHCGWNSVVEAVSAGVPMITWPMFAEQFYNEKLVVQVLRIGVSVGPGVWGMEQEKKPVVGGAAIAAAVAQLMDGGGEAEEGMRKRAAVLKQKARDAVGVGGSSNLEMDRLIHELSVSESDATE >Ma05_p03570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2585132:2586967:1 gene:Ma05_g03570 transcript:Ma05_t03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAFHDQLKATQQKRGEHPNDKVAFYEAPKEKVIRTIRDGFDVSGAPDDGGYFGLGLYFTPEPFAINSVMSATADERGLRHVLLCRLILGAVEEVVHGSRQSQPSSHSFDSAVDNQNTPTRYIIWYSDAQTRVLPLYVMSIKVDFRTRGLSKEPGSRPTSPWTSIKDLISMLSRILPRSTMCQIRRLHNELMERKTTRQQVVRRIRHIAGDKILLCAIKSIRAKKAACSILASPQDGKS >Ma05_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3181188:3181430:1 gene:Ma05_g04200 transcript:Ma05_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRYKALGDLFDGKFRSPFKPQINPPCSCHSDEGHARPLRSSPPRCRDAFDRNPNSFFGSGSTSRPSASVVSAPSPVI >Ma09_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10310318:10327703:-1 gene:Ma09_g15000 transcript:Ma09_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGTNFEIDVKPEDTVADVKRYIETSQGKTVYPADQQMLIHQGKVLKDDTTLDENKVSENSFLVIMLSKSKGSSSGASTTSEAPPSQAPPTNTATLVPLAPASAPQASAATLPLIPTSAPVPTTTAAPAPGSTISTEVDAYGQAASNLVAGNTLEQIIQQILDMGGGTWDRDTVVRALRAAYNNPERAIEYLYSGIPEHAAAPPVAQAPPSGQTTDSPIQVPQPVQPAVPMGGPNANPLDLFPQGLPDVGSNAGGGSLDFLQNSPQFRALQALVQANPQFLQPMLQELGKQNPQILRLIQEHQGEFLRLINEPAEGTEGGNILGQLPTGMPQALSVTPEEHEAIERLEAMGFDRALVLQVFFACNKNEELAANYLLDHMHEFED >Ma09_p06020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3885550:3887032:-1 gene:Ma09_g06020 transcript:Ma09_t06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELFRDDQLRQAIIHDRLGRRLHGRRASEVSPPAQSFMMPLNSGVDTGNAQFFVQFFLGKPAQHFVLVADTGSDLTWVKCRLRLPGCRLCNGDGNGTRVFHPEVSSSFQPIPCSSEMCKTSLPFSLIECPSPTTPCSFDYRYGDGSKAQGVFANESATVVLSNGKHTNLRDLVVGCSSDSTGSSFQAADGVLGLGNSNISFATIATARFGGRFSYCLVDHLSPRNASDFLVFGHRPAVLSPPLPSETSLVLDLEPFYAVGVDGISVDGEMLAIPKDVWDLSAGGGTILDSGTSLTVLAQPAYLAVASALNRRLAGIPRVKMDPFEYCYNWTTAPTNAAKNLPRMVVHLAESASLKPPAKSYVINAADGVKCLGIVATPWPGVSVIGNILQQEHLWEFDLKNRRLRFKRSTCGKSTSRIAGDNKLN >Ma09_p04410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2892466:2895342:-1 gene:Ma09_g04410 transcript:Ma09_t04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSRAKRARVLELSRRLKHRGPDWSGLYQHGDCFLAHQRLAIVDPASGDQPLYNEDETIVVTANGEIYNHEELRKRLPGHRFRTGSDCEVIAHLVREYGEGFMDMLDGIFSFVLLDTRDGSFIAARDAIGITPLYIGWGLEGSVWISSEMKGLNDECKRFEVFPPGHMYTSKGGSHERWYKPPWYVEAIPSAPYDPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVICRHMAGTRAAEQWGTQLHSFCVGLEGSPDLKAAKDVADHLGTVHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKAMGVKMVISGEGSDELFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGVEARVPFLDKGFIDVAMGIDPEWKMIKPDLGRIEKWVLRKAFDDEAKPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAEHVSDKMMQHAEHVYPYNTPATKEAYYYRMIFESFFPQNSARLTVPGGPSVACSTAKAVEWDARWSKNVDPSGRAAVGVHASAYDPTAPSLLDAKHRMTEVKASGLTVST >Ma09_p04410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2892466:2895342:-1 gene:Ma09_g04410 transcript:Ma09_t04410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSRAKRARVLELSRRLKHRGPDWSGLYQHGDCFLAHQRLAIVDPASGDQPLYNEDETIVVTANGEIYNHEELRKRLPGHRFRTGSDCEVIAHLYEEYGEGFMDMLDGIFSFVLLDTRDGSFIAARDAIGITPLYIGWGLEGSVWISSEMKGLNDECKRFEVFPPGHMYTSKGGSHERWYKPPWYVEAIPSAPYDPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVICRHMAGTRAAEQWGTQLHSFCVGLEGSPDLKAAKDVADHLGTVHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKAMGVKMVISGEGSDELFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGVEARVPFLDKGFIDVAMGIDPEWKMIKPDLGRIEKWVLRKAFDDEAKPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAEHVSDKMMQHAEHVYPYNTPATKEAYYYRMIFESFFPQNSARLTVPGGPSVACSTAKAVEWDARWSKNVDPSGRAAVGVHASAYDPTAPSLLDAKHRMTEVKASGLTG >Ma09_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2892466:2895342:-1 gene:Ma09_g04410 transcript:Ma09_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSRAKRARVLELSRRLKHRGPDWSGLYQHGDCFLAHQRLAIVDPASGDQPLYNEDETIVVTANGEIYNHEELRKRLPGHRFRTGSDCEVIAHLYEEYGEGFMDMLDGIFSFVLLDTRDGSFIAARDAIGITPLYIGWGLEGSVWISSEMKGLNDECKRFEVFPPGHMYTSKGGSHERWYKPPWYVEAIPSAPYDPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVICRHMAGTRAAEQWGTQLHSFCVGLEGSPDLKAAKDVADHLGTVHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKAMGVKMVISGEGSDELFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGVEARVPFLDKGFIDVAMGIDPEWKMIKPDLGRIEKWVLRKAFDDEAKPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAEHVSDKMMQHAEHVYPYNTPATKEAYYYRMIFESFFPQNSARLTVPGGPSVACSTAKAVEWDARWSKNVDPSGRAAVGVHASAYDPTAPSLLDAKHRMTEVKASGLTVST >Ma05_p27660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38865811:38866260:-1 gene:Ma05_g27660 transcript:Ma05_t27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSSTTGNRDNPNRNLHGSKTMMASHFLRALFRIQQTSTSSSSAGRSRRIRRAAYASMAYSAGTRRAWSRALLRKLRSRARLRFPRRLSRAATPQRRDMDQAQVLRKLVPGGPSMDDCKLLEETADYIRFLTTQVRLMQTVMDSISS >Ma07_p25460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32511069:32512625:1 gene:Ma07_g25460 transcript:Ma07_t25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKFVIAAQEAEAMAKTAGVAALKELLPLLVPTALRLARPPISNYPVGAVGLGMSGRIFLGVNLEFPGLPLNHSVHAEQFVVANAAAHGEAGISCIAVSSFPCGHCRQFLQEIRGAGEIQIVVTSDDDAAFRPLSSLLPHRFGPFDLLHKGIPLLLEPHDNDLGSVESAVIAGGGEEVYEGIEKEGIQQRLRAAAGAAAKASHAPYSGCAAGFAVADGEGRVYAGSYMESAAYNPSLGPVQAAMVGYVAACGGVGGEHRGWGIFAAALVEKEAAAVSHEGTARIFLEAVAPGANLNVYRFRSSATV >Ma10_p26100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33962202:33962761:-1 gene:Ma10_g26100 transcript:Ma10_t26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCRPLGFLIGLPFAVLALALSLVGAVVWILGTILSCLCPCCICFSGLANLAVALIKAPVNVIRWFVRQIPC >Ma08_p29650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41168504:41169962:-1 gene:Ma08_g29650 transcript:Ma08_t29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSPKTFKPKLLLLGFCLAFLLLLLFLLLTAGRSFSPPSLTASASANTPACTKLPPSLAKTIVHYTTSNITPQQTLREISVTAKVLERKSPCNFLVFGLGYDSPMWSALNHGGRTIFLEEDESWIKSMTRKFPELEAYHVKYDTRVSQADELLELRRSSSCTTAAAADTRSSGCRLALTELPDEFYGVEWDLIMVDAPTGYVAKAPGRMSAIYTAGMAARGRSEGETDVFVHDVDRAVEDRFSTTFLCEGYMKEQEGRLRHFTIPSHRANPSFSFCP >Ma03_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:207213:214068:-1 gene:Ma03_g00150 transcript:Ma03_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQPRKAIAIFFSASTFQTLPAFPSLLQACRSLEDLQSVHATMLKRRALRDSFLINQFLAACSRLHLIDYALRAFVQMSDPNVFVYNAVVGGLLRQSESSTALELYVGMLRSDVLPDGCTFSHIVKACARSSATELGQAVHGQIVKMGFGTHLLLQTALTDFYSSSDKINESSRLFDEMSERDVVSWTVMILGHARLGDLASARRLFDEMPDRSIVSWNTLIAGYGRSGDVESAVSLFDEMPEKSLVSWTTMISCYSQNRRYKEAIKTFHSMKAAGVRPDRVAMATVISACAHLGALDLGRELHLYVMLDGFDLGVHLGSALIDMYAKCGSAERALVIFYKLEEKNIFCWNSVIQGLAIHGCGRGAITMFHKMNEEGKTQPNGITFVSILSACNHAGLVEESRMMFSSMIKNYLIRPEREHYGCMVDLLGRAGHLVEALNLIGSMPMAPNAAIWSALLSGCRIHRNMEVAEIAAEKLLVLEPEHSAHYMLLVNMHAEAKAWEKVGTVRGMLEEKGVQKRAPGCSWIEIDGVVQEFAACDMIHLSSEICSVLHELDGQLKLAGCSLELGISSMLCSSQDVCSPELDISLMLRFLCAKAQRRHVLEAWSMSRNGLVLFHGVFVEKIRSWRPYSSALALSSCLHDQFFSSEQLLELAAIGESKKKSEFYNNRGRSIFPFVSFVVSTLNWEVARKMSFSIAVNRFGLSQSLESFAVLIHTFLSAGMHKEVKHLLRDIAEYNRNVGSNMLELLSPLVSLLDGAMRSQAYESLIYIFAEASMFEDALETFLEAKQVALQLSIQSCNFLLQCLVERKMIGYARSLFQALKNSGPSPNVYTYSIMMGLYATGDVLYLDEAKEILLDMEKVGVRPNAVTYATYIRGLCSAGFVEPALGFLQDLLHKCLPLNAYCFNAVIHGFCQEGRPLESLKVLDEMKGCGFPPDVHSYSILIDGFCKQGDVSKGYDLIVEMVNCGTMPTMVSYSSLLYGICRIGEVNVALNLFHELRRQGYRHDQISYSILLDGYCQHGDLDGACALWEDMIKNNFVPDVYNYTSLIHGFCRHGHLKEALAQFQVMIKTGIMPNIVTCTVLVDGFFRENYVDQALMFLNEVRGMGITPNLCMYGVIINGLCKGRMFEKAWVVFGDMIKRGLRPDVVIYSTLVEGFAKMLNMEEAFKVYAKMSKQGVTPNIFTYTSLINGLCNDGRMPEALNLFEEMVQRGVMPDRIAFTSLIANFCKCKNMNKALEWFNKMMQSGLPPDVFTYTCLIYGYSKLLSMDIAVSLMDEMLRIGLRPNLVTYTALISGYCKIGERKKAYELYNIMLNQGILPDMLACRSLGLDCWEEKI >Ma02_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26136743:26139097:1 gene:Ma02_g20090 transcript:Ma02_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLFLDQSLVFFANGANGNPRKRGREVTSVPMASMPQQSQPVNLFSLQPLPVSAPLPPPTLVSLAELRTLPRPLVSTGLRLAFGDQNQHQSQNQSNPLLCSSSPASSSLFSSLLFEDLAAQINQQKEEIEQFLHAQGEQLQRTLAEKRQKHYGVLLGAAEKSAARRLREKEAEVEREALRSSELEDRLARLRTESMAWQAKAMADQVTAASLHAQLQHAAATAATAPQGNPGGCGDPPPAEDAESANVNPGHSEPEHACRTCRRRPASVVLVPCRHLCLCDACDGTAESCPVCRSIRTGSIHVVLS >Ma02_p20090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26136743:26139094:1 gene:Ma02_g20090 transcript:Ma02_t20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYRSNVLLLNGSELEAKEMEPLFLDQSLVFFANGANGNPRKRGREVTSVPMASMPQQSQPVNLFSLQPLPVSAPLPPPTLVSLAELRTLPRPLVSTGLRLAFGDQNQHQSQNQSNPLLCSSSPASSSLFSSLLFEDLAAQINQQKEEIEQFLHAQGEQLQRTLAEKRQKHYGVLLGAAEKSAARRLREKEAEVEREALRSSELEDRLARLRTESMAWQAKAMADQVTAASLHAQLQHAAATAATAPQGNPGGCGDPPPAEDAESANVNPGHSEPEHACRTCRRRPASVVLVPCRHLCLCDACDGTAESCPVCRSIRTGSIHVVLS >Ma06_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22932155:22935998:1 gene:Ma06_g24420 transcript:Ma06_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLIQEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEAFPGDNVGFNVKNVAVKDLKRGFVASNSKEDPTKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKITKAAAKKK >Ma05_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7968950:7970297:1 gene:Ma05_g10960 transcript:Ma05_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSEQGNHHGSTNNRVASSSSSSSSSSRSSPSSTTSSLLPQAPKRRTMEEVWKDIGLRSLHHQERLLTPLNHQRHSPTASPSFRAIILQDFLAGPLNRPHAAAKNSPLPPSTMPPTALSLSPRLEIQLMGSDAHGNSNSSSNGCRASFISPAFSDNMVRPPSPIGLFSFCSKEAMSEDPAACGDLRHKRMIKNRESAARSRARKQAYINELELEVARLLEEQSRLQKELEELRLAMAGKHSKRNTLRRSSTAPF >Ma05_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2327381:2329997:-1 gene:Ma05_g03270 transcript:Ma05_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGGTSYPGSNAIAIIPDASGPPGRDSWLPGGSDQLLWATDEDYRAWSGDASADAGFNSAYDGRQSQSRAGSEQPPGKRSRNSQGDSQGNNRSTSSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGIEELRKPPPNWQEIVAAHEEGSEAREERQIPTMSSSIVIGDSERSYKGRRCKKFYTEEGCPYGDTCTFIHDEQSKARESVAISLSPTVGGSGYGGGANGSTQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHRYGGGLMETEGRDVSSATPDSKQAGTLTKAPSDASVASSISVPHADMYHIGVPSQRSAGSLQRQGQRSVEKWKGPDKISKIYGDWIDDIE >Ma05_p03270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2327381:2329997:-1 gene:Ma05_g03270 transcript:Ma05_t03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGGTSYPGSNAIAIIPDASGPPGRDSWLPGGSDQLLWATDEDYRAWSGDASADAGFNSAYDGRQSQSRAGSEQPPGKRSRNSQGDSQGNNRSTSSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHGIEELRKPPPNWQEIVAAHEEGSEAREERQIPTMSSSIVIGDSERSYKGRRCKKFYTEEGCPYGDTCTFIHDEQSKARESVAISLSPTVGGSGYGGGANGSTQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHRYGGGLMETEGRDVSSATPDSKQAGTLTKAPSDASVASSISVPHADMYHIGVPSQRSAGSLQRQGQRSVEKWKGPDKISKIYGDWIDDIE >Ma04_p24890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26773440:26778675:-1 gene:Ma04_g24890 transcript:Ma04_t24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYIYKAKTKTKGTRYRCIWGKVCRPHGNSGVIRAKFKSNLPPKSMGGKVRVFMYPSNI >Ma02_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16667421:16669312:1 gene:Ma02_g05330 transcript:Ma02_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTEKIDGMISLFEASNLNTGENILHKANIFATDYLKSSMTYMEPDSVKCIQQTLDHPYHMSLQRYKARQYLNHQRGEEGRKGVIQELARTDFVLIQSLHRKELNEITCWWKNLGLAQELRFARDQSLKWYTWSMTILPNPKFSKYRIALTKVISFIYLLDDIYDVHGSLDELHLFTKAIDEWEISAIDSLPFYMRICYMALYNTTDEITQMVFKEHGWNPINSLTKSWIALCNAFMVEAKWFAHSRVPMADDYMRNGTISCGVPVVLIHIFFLLGQGLTHDNVNLIESYPKLISSPAKILRLWDDLGSAKDENQDGFDGSYLECFMKENPYCSGQGARDHVMLMISKAWEELNKECFFSSSSFSQDFVMACLNMARMVKVMYSYDDEQKLPLLEEYINLLLVGKV >Ma04_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18886498:18903806:1 gene:Ma04_g17800 transcript:Ma04_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADSVANADSSAFATGASASTTYSNAADASAAAAAVAAPRPGRAAYVPPHLRNRTASSEPPVPSPADGSSAAKLPPGPAALSGGNRWGGGPSREMGRAGSVGGGRGMGDGWGSRSGGWDRRDREINPFANEDETTEAAFDGPENTGINFDAYEDIPVETSGENVPPPVSTFSEIDLGDAVNENIRRCKYVKPTPVQRHAIPILLAGRDLMACAQTGSGKTAAFCFPIISWIMRGPPAQRQRVSRTAYPSALILSPTRELSIQIHEETRKFSYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQIRRIVEQMDMPARGQRQTMLFSATFPSEIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEFVLDSDKRSHLMDLLHAQRVNGAHGKQALTLVFVETKKGADSLEHWLCMNEFPATTIHGDRTQQERERALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSLARSLAELMQEANQEVPQWLSRYAADRSSYGGRNRRSGGARFGGRDFRRDSSSRSGGGGDYYGGSGYGGGAYGASSGYGSGYGNPGLSAWD >Ma06_p19780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13851413:13860550:-1 gene:Ma06_g19780 transcript:Ma06_t19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASDLAVSRGWGRGWTVAGVGKGSGVRAVALLDPSARCRVPVRLQDYTCHVGRFSLHDPKIKYASSHRRLHARMALVDDKIVHPPNVVKPNLALAYDLVQGSLVSWNSFTDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPTWQFLLVDLRCHGESALIKKNGPHTVASAALDVLKLVAQLRVTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPGELIAFLSMMPRQVSSKQNVVDALIQEGFSTDIARWMATNLRPVSQPGSPSSGFSWIFDLKGIADMYKSYEETNLWETVENVPRGVHVNFLKAERSLHRWALEDLRRIRAAEELAADEGAGVQLHVLEDAGHWVHADNPDGLFRILSSSFLGLRTQ >Ma06_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13851413:13860550:-1 gene:Ma06_g19780 transcript:Ma06_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASDLAVSRGWGRGWTVAGVGKGSGVRAVALLDPSARCRVPVRLQDYTCHVGRFSLHDPKIKYASSHRRLHARMALVDDKIVHPPNVVKPNLALAYDLVQGSLVSWNSFTDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPTWQFLLVDLRCHGESALIKKNGPHTVASAALDVLKLVAQLRVTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVSSKQNVVDALIQEGFSTDIARWMATNLRPVSQPGSPSSGFSWIFDLKGIADMYKSYEETNLWETVENVPRGVHVNFLKAERSLHRWALEDLRRIRAAEELAADEGAGVQLHVLEDAGHWVHADNPDGLFRILSSSFLGLRTQ >Ma09_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9687195:9690131:-1 gene:Ma09_g14190 transcript:Ma09_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKFPEISSMATIKVPEISSMATIKVPATVPSPAEDCDQLRKAFQGWGTNERLIISILAHRTAAQRRAIRATYAETYGEELLKSLEDEISGDFERAVLLWTLDPADRDAQLANEALRGWNPSNRVLIEIACTRNSSELFDVRRAYHLRYKRSLEEDVAKHTTGDFRKLLVPLVSSYRYEGIEVSGKLVKSEAKMLHEKITEKAYSHEELIRIFTTRSKAQLIATINHYKNEYGKPINKDLKSDHKNEFLAALRAIIRCTFCPERYLEKVIRLAIKGLGTDENSLTRIITTRAEVDLKAIEAAYYKRNSVPLKQAIKGDTSGDYKAMLTALLGHDDA >Ma09_p14190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9687195:9710894:-1 gene:Ma09_g14190 transcript:Ma09_t14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKVPATVPSPAEDCDQLRKAFQGWGTNERLIISILAHRTAAQRRAIRATYAETYGEELLKSLEDEISGDFERAVLLWTLDPADRDAQLANEALRGWNPSNRVLIEIACTRNSSELFDVRRAYHLRYKRSLEEDVAKHTTGDFRKLLVPLVSSYRYEGIEVSGKLVKSEAKMLHEKITEKAYSHEELIRIFTTRSKAQLIATINHYKNEYGKPINKDLKSDHKNEFLAALRAIIRCTFCPERYLEKVIRLAIKGLGTDENSLTRIITTRAEVDLKAIEAAYYKRNSVPLKQAIKGDTSGDYKAMLTALLGHDDA >Ma03_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24604478:24605736:-1 gene:Ma03_g19250 transcript:Ma03_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQSWEAAPRRVSGCSFRCLLIICCLVTLLSCQGTVAYKNYTVGDSLGWFDSLMKPAVDYQKWAAGKSFGLGDFLFFDTDKNHSVVQTYNATTYEQCNYDDAEADDTTEWSATAPQYSSDPVTVPVPLLKVGTTYFFSGNYDGEQCQHGQHFKINVTYGQGLPESLRSPSEASAPASPEDGGADTSVPANFDHPKDTDDEVKPSSRAGETVRGGRLHVGFAFLCVLMLVR >Ma03_p25560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29547031:29557477:1 gene:Ma03_g25560 transcript:Ma03_t25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPADELLKKIQELEAGHAQLKQEMSKLVPADGHRAGRSECGLSHSVSPQRTTAPLQRRRSGGLEGASPPRGKVSGSFGHSSRLQLESQGTSSSTDGAAGLGLSERQYLKILQSMGQSVHIFDLDGRIIYWNRSAENLYGYSASEALGRNAIELLVDVCDFNIASNIVQHITTGESWTGKFTVKNKLGERFLAIATSTPFYDDDGSLVGIICVSSDSRSFQDSASPPTATNPRASACSTASRPRISFINKHGSDPQQPIQVAIASKITNLATKVTCKVRSRIKLGENNLEREIRTRDSQCSYHNAISSGHKEDGAPSGASTLTRDVPPCACGRNSAMIEEKSPGKATKVKNDEDEGKTGIYKIISKTEALFANKGISWHWKGHEQDGNDSKHRFVWPWLHGNQENDSNYPKASEFGARTETQVTENNRTGNNEASGSWSSHNANSISSVSSSGSIGGTAVQKADINTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDEVIFSFRQEVSLMKRLRHPNILLFMGAVTSPHRLCIVTEFLPRGSLFRLLQRNTMKLDCRRRTLMALDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETCLTTKTGKGTPQWMAPECLRNEPSDEKSDVYSYGVILWELVTEEIPWYNLNSMQVIAAVGFMNQRLDLPKDLDPQWVSIIESCWHSEPKCRPNFQELIERFRDLQRQHAVQSHMQRPTPSETAPSTAKASSQDGNEPE >Ma08_p08840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6284921:6292455:-1 gene:Ma08_g08840 transcript:Ma08_t08840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATQFGGRSCCVVVKRDLSTSLSFSSSFQSKLGFESSSRSLCRQREGICGKRRVLKVRCERRVSAVVDVVEKDVALRQGSGGNPAQLSIVMKFGGSSVASAERMKEVAGLILSFPEERPVIVLSAMGKTTNNLLLAGEKAVCCGVSNVSELHELSFVKELHLKTVDELGLYRTIISGFLVELEQLLKGIAMMKELTPRTSDYLVSFGECMSTRIFAAYLNKIGTKARQYDAFDIGIITTDDFTNADILEATYPAVAKRLHGDWTNDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDIDGVLTCDPNIYPNAKPVPHLTFEEAAELAYFGSQVLHPQSMWPAREGDIPVRVKNSYNPQAPGTVIDKVRDMSKAVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDSVATSEVSVSLTLDPSKLWSRELIQQELDHVVEELKKIAVVNLLQHRSIISLVGNVQRSSLILEKAFNVLRKSGVNVQMISQGASKVNISLVVHDSEAKQCIRALHSAFFENSSLPEVEEADFYQNGSWKPPVPSQTELLSAEA >Ma08_p08840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6284921:6292464:-1 gene:Ma08_g08840 transcript:Ma08_t08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATQFGGRSCCVVVKRDLSTSLSFSSSFQSKLGFESSSRSLCRQREGICGKRRVLKVRCERRVSAVVDVVEKDVALRQGSGGNPAQLSIVMKFGGSSVASAERMKEVAGLILSFPEERPVIVLSAMGKTTNNLLLAGEKAVCCGVSNVSELHELSFVKELHLKTVDELGLYRTIISGFLVELEQLLKGIAMMKELTPRTSDYLVSFGECMSTRIFAAYLNKIGTKARQYDAFDIGIITTDDFTNADILEATYPAVAKRLHGDWTNDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDIDGVLTCDPNIYPNAKPVPHLTFEEAAELAYFGSQVLHPQSMWPAREGDIPVRVKNSYNPQAPGTVIDKVRDMSKAVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDSVATSEVSVSLTLDPSKLWSRELIQQELDHVVEELKKIAVVNLLQHRSIISLVGNVQRSSLILEKAFNVLRKSGVNVQMISQGASKVNISLVVHDSEAKQCIRALHSAFFENSSLPEVEEADFYQNGSWKPPVPSQTELLSAEA >Ma07_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26348369:26360275:1 gene:Ma07_g18600 transcript:Ma07_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLANSQVFCVISMDHLLLASVSIVVATMLFLIIFIKKVFSKSEAQYPRPPPGPWRLPIIGCMHHLAGQIPFRAFRRLSLTYGPLMLVRIGRVDFAVASSRETAQEILKNQDPNFAARPELVVGDIVFYGCSDVIFSPFGPYWKQLRYICFMELLRTKRIRSSASIREEETLYLIRDISTATQPINLRQKLLRMSNAAISRVAIGSRSKHQETFILVAREVIDVLGGFYAADMFPSLKILHVLSGAKFKLHRIRRRLDKILDDIVKEHEVKAKMNKGREVAEVEEDIVDALLRLKDESELEVPMTMDGIKAVMLDMLLGGTENSSAVIEWAMSELMRNPKIMEKAQKEVMEELKGKNRIQETDIVELNYLKSIVKETLRLHPPVSLIPRMCRKTCEVLGYEIEAGTRVLVNAWAINRDPEYWEEAESFMPERFEGKSVDFKGGNFEYLPFGAGRRICPGTEFGLATVHLSLAQLLLYFDWKLPDGKKPEELDMSETYGVTECDTRIKERAAELMEQIRSMSKDPTDILQTMNLVDSIQLLGLDYHFEKDK >Ma03_p15890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17493080:17495268:1 gene:Ma03_g15890 transcript:Ma03_t15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHGGFNLRARRFDALWGFMDPGEPTPMPDGSGGGTDTVARTCASGCHCFSPSFVPSVAWNRSLKRKLDERDAEACSLSCVAKRGDEGEGEGGAGASFARVDIGNEAAALREVLISHQQSVQKLLTELEEERSAAASAATEAMSMILRLQREKAEAQMEARQFKRLADEKMTHDQQEIASLEDLLFKREQAVQALSCEVQAYRHRLLSYGIGIDGDAPPSEPQTPDTATAASSVSQFDVLPRDYPPLRCNGDAAADLDKYPSGESPRERLQRLEKRRIFQLERMPSSSFSHVMDKDVVVGQSPRRRPRHFRSFSYRSLASGQEFKKGDKFPAAMDCASDYGGRDDMSDRVYTVDAVHGASDDYVSTPRELQNRRFVGGGGRVAQEAEIRKLCARLEALEADRESMRQSFISMGTDKAQMVLLKEIAQQMCKEVAPERKLIKKPPSNKRFSIVSIIRSVISFVLWRRKSTRARHTFGLPSSDAGLLLLLDKSPRMRHRRFLTRT >Ma01_p11740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8521409:8522229:-1 gene:Ma01_g11740 transcript:Ma01_t11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGKQVNLLFFDKTEAQKAVDETKAQFGHIDGDHLML >Ma03_p25200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29325206:29333730:1 gene:Ma03_g25200 transcript:Ma03_t25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVMSEREIDRGSAAASFGMGNPQEGPAGDEAAADGVGRGSRESRVPMELDPVERKIEVDSMVLERGEADLSRSPKDAPGGEDAAMDEARVPESDVAEGVSASAGAGAMLVMADPEGGSDFDEGLLSDEVSVSNGATILQGAVGNWMNGFELGDMVWGKVKSHPWWPGHIFNEAFASASVRRTKKEGHFLVAFFGDSSYGWFEPAELVPFDPHYEEKSKQTTLRPFVKAVEEAVDEASRREALALTCYCRNPFNFQPARVPGYFYVDVPGFELGGIYSLKQVNSARDKFVPGMALSFLQQTATSPLADDPACIDRMRNVAMMLAYRRAVFEEFDETYAQAFGVEPVRPSRRTGAMPDQLERFAPRAAPLSGPLVLPEPLRHKKSSSHKLVHKAAKVPSAKKNKYVLKRRDEREPASASVGPPKPSLPDVPSPVQSHRNHYNLFPAQQHQQTPAHPTLVFQDASCPPGSAPGGSNLGDYVLQKRAPAGAADEKPPPQTSQDGSVSEQTALPAAADAPAVRQVFDEGRLKTEPPRQLSEIAHRKLDPTVSGAAYSSADAKPGYGPGSLALRPSQVGGIMKEKKIKKRLREDGSSAESGVTGQAKKKKKKKKVQSSEIGVPDHVKASTIEDLYRRSAAAKPVSMEPELPRREDEVAPTTEPSAVAVRHPDIDLSLRDLQLPELKSLGLPPASEADAPEVPAVKPSAALPPPQEPVAGSAVVAPAKVTNEETAPTSISKPPKASFRPDDPTVAGRKRTASDRQEEMSAKRQKKLDKLKALAGEKKAAIGHKGLEGPQRGQKDPVAATTSAAASAGPAKPNDRAAEPVKKQEPPPPPPRLPSPTTLVMKFPLRTTLPSVASLKAKFARFGPLELSGTRVYWKSYTCKVVYKFKPDAEAALNHARSNQMFGQVKVYYYIRDADALVPEPSSDAVGQRSESRLAESNQLRPGSGAGVGVSFGPSRPPLNLTQKPAGQPKSILKKPSDEAAPSGGGREAPRVKFMLDTVDGKAELPATVVVAGNNNDVRSSADTSSAVDPVIGKAPRSVSFLPPPSSSRPLIPYPPRADSPYIPPPPASLGVAERVLPPPRPQRNLNGVEEESGSSEQRGNANKAFADQMLSLLFRCRDIVRNVKSSIGYVPYHQL >Ma03_p25200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29325206:29333730:1 gene:Ma03_g25200 transcript:Ma03_t25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVMSEREIDRGSAAASFGMGNPQEGPAGDEAAADGVGRGSRESRVPMELDPVERKIEVDSMVLERGEADLSRSPKDAPGGEDAAMDEARVPESDVAEGVSASAGAGAMLVMADPEGGSDFDEGLLSDEVSVSNGATILQGAVGNWMNGFELGDMVWGKVKSHPWWPGHIFNEAFASASVRRTKKEGHFLVAFFGDSSYGWFEPAELVPFDPHYEEKSKQTTLRPFVKAVEEAVDEASRREALALTCYCRNPFNFQPARVPGYFYVDVPGFELGGIYSLKQVNSARDKFVPGMALSFLQQTATSPLADDPACIDRMRNVAMMLAYRRAVFEEFDETYAQAFGVEPVRPSRRTGAMPDQLERFAPRAAPLSGPLVLPEPLRHKKSSSHKLVHKAAKVPSAKKNKYVLKRRDEREPASASVGPPKPSLPDVPSPVQSHRNHYNLFPAQQHQQTPAHPTLVFQDASCPPGSAPGGSNLGDYVLQKRAPAGAADEKPPPQTSQDGSVSEQTALPAAADAPAVRQVFDEGRLKTEPPRQLSEIAHRKLDPTVSGAAYSSADAKPGYGPGSLALRPSQVGGIMKEKKIKKRLREDGSSAESGVTGQAKKKKKKKKVQSSEIGVPDHVKASTIEDLYRRSAAAKPVSMEPELPRREDEVAPTTEPSAVAVRHPDIDLSLRDLQLPELEPVAGSAVVAPAKVTNEETAPTSISKPPKASFRPDDPTVAGRKRTASDRQEEMSAKRQKKLDKLKALAGEKKAAIGHKGLEGPQRGQKDPVAATTSAAASAGPAKPNDRAAEPVKKQEPPPPPPRLPSPTTLVMKFPLRTTLPSVASLKAKFARFGPLELSGTRVYWKSYTCKVVYKFKPDAEAALNHARSNQMFGQVKVYYYIRDADALVPEPSSDAVGQRSESRLAESNQLRPGSGAGVGVSFGPSRPPLNLTQKPAGQPKSILKKPSDEAAPSGGGREAPRVKFMLDTVDGKAELPATVVVAGNNNDVRSSADTSSAVDPVIGKAPRSVSFLPPPSSSRPLIPYPPRADSPYIPPPPASLGVAERVLPPPRPQRNLNGVEEESGSSEQRGNANKAFADQMLSLLFRCRDIVRNVKSSIGYVPYHQL >Ma09_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30083466:30089668:1 gene:Ma09_g20790 transcript:Ma09_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLATSSPTMQVGAPSENFKMLTTFRQLKQSRAMNHHRSPPDGGGTRKPDMFPSPHQQVPSPLGLMRYGSAPGTFLSGVADSVIGGEAAAGFTRRLFPGESPSPASESSCKSAASAAAPSSRDLEHCRGRKAAPSMVTDPSSSCPKGGDGNLLLRHSSSPADFFSHLMLDNGFSALRDIRSFSRPGIGGVNEMASRRLKSQLSFSGTGQPSQVSQIGIPEIGDNVGCSNNSDVRSGSVSQSYISSNFSIGSWDDTNSIIFSAPSSKRAKDNNGDIITMSNIESQCSLPSTTLEMDTMDKYLQFQHDQVPFKVRAKRGCATHPRSISERERRTRISEKLKSLQDLVPNMDKQTSTADMLDLAIEHIKELQSQLQVLKQEQENCKCKKA >Ma09_p20790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30083466:30089668:1 gene:Ma09_g20790 transcript:Ma09_t20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLATSSPTMQVGAPSENFKMLTTFRQLKQSRAMNHHRSPPDGGGTRKPDMFPSPHQQVPSPLGLMRYGSAPGTFLSGVADSVIGGEAAAGFTRRLFPGESPSPASESSCKSAASAAAPSSRDLEHCRGRKAAPSMVTDPSSSCPKGGDGNLLLRHSSSPADFFSHLMLDNGFSALRDIRSFSRPGIGGVNEMASRRLKSQLSFSGTGQPSQVSQIGIPEIGDNVGCSNNSDVRSGSVSQSYISSNFSIGSWDDTNSIIFSAPSSKRAKDNNGDIITMSNIESQCSLPSTTLEMDTMDKYLQFQHDQVPFKVRAKRGCATHPRSISERERRTRISEKLKSLQDLVPNMDKQTSTADMLDLAIEHIKELQSQLQMESLLQVT >Ma11_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25996851:25998689:-1 gene:Ma11_g22100 transcript:Ma11_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKRRASLSRYKTSPQILSSSESTFGDTHVLIDCCIFPSKPPPSHPTIYTNAAPASRQSIARLSPSAGKMMMRGASVFFLAVVLLPLLVHGDCECSTDEAGRDKKKALPLKIAAIFSILVCGSIGVCIPILGKWWPALHPEKDVFFVIKSFAAGVILATGFVHILPDAFDGLTSSCLDPSPWQKFPFAGFGAMVAAIATLMMDTVATGYFSRSHSARARTAAVTDETKADMEAPHGMHGHNDVHVHSHANHGHIHEDPSAQQLIRHRVISQVLELGIVVHSVIIGISLGASETPSTIRSLLAALSFHQFFEGVGLGGCIVQAKYQMKSMVTMGLFFSLTTPVGIAVGMGTSSVYDENSPTALVVEGLLDSASAGILIYMALVDLLAQDFTNPRVQSKPKLQLAMNISLLLGAALMSILAKWS >Ma06_p25530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25902558:25903013:1 gene:Ma06_g25530 transcript:Ma06_t25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKALVFYSGKPPKSEKTNWIMHEYRLADVDRSARKKDQTLRVCLTRTIKRKDVLIQTRHIVARRHLQLDDWALCRICHKKGAVDKQANPRNHRRLEAHPQLKHEALPQAGATDMICFDSSESLPRFCWASRAARDTRCRRQSSCASGR >Ma11_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12804163:12806347:-1 gene:Ma11_g11340 transcript:Ma11_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLMGLRPSRFFWSLIEKPPMTIPEMFQRANQYIAAEALMAGRHKDSKRPRMEQARGTTSAAPRGHLGHYLKELREASLHPKGPVEKQIDVIIGGPAADGSSSTTRKAYTHGMVEKHPRLESDPEITFKTEEGECSHRDDAMVISIRIANAWVKRVLVDTRSSANVLYLDAFKKLSLTNEDLIPIASGLTKFTEDSISPLGTTILPVTIGEEPRAKTTMTTFMVVNLPSTYNVILSRLTLNKLKVVVSTYYQAIKFLTSIGVKESRSNPGESRRCYLIAVTLPEKSCPHQALDPREEARTPMHLEPPEQLTESSKDMPKINSGVTKHHLNIHPEARPVKQKPRKFAPRLTEVLVKKHNESWRMCVNYTDLNRACPKDCYPLPRVNQLVDATAGYELLTFMDSFLGYNQIRMALQDQEHIAFITDRGVYCYKVMLFGLKNTGGTYQRIVNELFKQQLRRNMEVYVDNMIVKSKSASTHMADLAETFRTLKRFGMRLNPSKCVFGVRSGKFFRFIIHQRGIDANPKKVRAIIEMQPPRSIKEVQRLTGRLITLSRFNSRSRDKYLPFFRVLRQTDTFTWN >Ma11_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22705395:22707119:1 gene:Ma11_g17420 transcript:Ma11_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDCPVDESSFGFCFQSPPLCQWLKTPPSSSSSSASSSSSSSSSSSSSSSSSSSTPPQQDQEMVLQQDHLPSQGLRCLPLLSRLEDDEKHIKEEHKGARDDVSTALNIGLPGGASEDLDEEKSRLLMCKKEEHRKEESEESVRSSCFGSESRYWIPTAAQILIGLEKFACSVCNKTFNRYNNLQMHMWGHGPEYRKGPESLKGAQPMAMLKLPCYCCARGCKNSIDHPRAKPLKDFRTLQTHYKRKHGIKSFACRKCSKPFAVRGDWRTHEKNCGKLWLCSCGSDFKHKRSLNDHVRSFGNGHAACFTSRDASREADRVRSVE >Ma11_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24610883:24612247:-1 gene:Ma11_g19960 transcript:Ma11_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEKNSPPPEHEEEEESQQTQPLRQQGFPPHKVARVSSDEVLVVAIALVTMAASSSLMLGLGLGLGRGPGAAKPVFTFMQLQELEHQALIYKYMAAGLPVPVHLVLPIWKSVAASSFGAYVYPSLTGYGSLCLDYRNSMEPEPGRCRRTDGKKWRCSRDVVPDQKYCERHMHRGRNRSRKPVEAGAAGGGDTTSTVVPTPQHHSNSSSTQLSILIPPNGRQLLPTTAGGGGGGGPVSQPELGILHNGTACKTATP >Ma02_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24523509:24526361:1 gene:Ma02_g17720 transcript:Ma02_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAERAAPGQMVGLLKVRVLRGVNLAVRDLWSSDPYVILKMGKQKLKTRVIKCNTNPVWNEELTLYVEDPTLPVRLEVYDKDTFSLDDRMGNAEFDIHPFVEAVKMNLEGLPNGTIIRKVVPCRRNCLAEESHVYWSDGEVVQDLVLRLRNVERGEVELQLHWISIPGSGGS >Ma09_p10960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7421421:7427518:1 gene:Ma09_g10960 transcript:Ma09_t10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAAYYHPPPVAAHYPYYPPPPPLTGTPHPPYHHHLPAPVPPSRTHLSPQHYGTPADHPSRDEVRTLFIAGLPDDVKPREIYNLFREFPGYQSAQLRRSGQSSQAYAFTVFTDQQSALSAMRALNGLMFDLERESTLYIDLAKSNSRFKRSRTDDGVSYSYDKRVRGSVAYSRGFSDSGAGSHIHMPGMVNSAYSLNDFPSAQSQTNFGYEAKHKMLNNSTYTPQSNPPCPTLFVANLGPKSSEQELAQVFSRCPGFLKLKMQNKNGVPVAFVDFQDTGSSTGARNHLQGTILYSSVGEGMRLEYAKSRMGLRKREKNT >Ma09_p10960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7421421:7427518:1 gene:Ma09_g10960 transcript:Ma09_t10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAAYYHPPPVAAHYPYYPPPPPLTGTPHPPYHHHLPAPVPPSRTHLSPQHYGTPADHPSRDEVRTLFIAGLPDDVKPREIYNLFREFPGYQSAQLRRSGQSSQAYAFTVFTDQQSALSAMRALNGLMFDLERESTLYIDLAKSNSRFKRSRTDDGVSYSYDKRVRGSVAYSRGFSDSAKQILVMKQSIKSNLGPKSSEQELAQVFSRCPGFLKLKMQNKNGVPVAFVDFQDTGSSTGARNHLQGTILYSSVGEGMRLEYAKSRMGLRKREKNT >Ma09_p10960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7421421:7427518:1 gene:Ma09_g10960 transcript:Ma09_t10960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMAAAYYHPPPVAAHYPYYPPPPPLTGTPHPPYHHHLPAPVPPSRTHLSPQHYGTPADHPSRDEVRTLFIAGLPDDVKPREIYNLFREFPGYQSAQLRRSGQSSQAYAFTVFTDQQSALSAMRALNGLMFDLERESTLYIDLAKSNSRFKRSRTDDGVSYSYDKRVRGSVAYSRGFSDSAGAGSHIHMPGMVNSAYSLNDFPSAQSQTNFGYEAKHKMLNNSTYTPQSNPPCPTLFVANLGPKSSEQELAQVFSRCPGFLKLKMQNKNGVPVAFVDFQDTGSSTGARNHLQGTILYSSVGEGMRLEYAKSRMGLRKREKNT >Ma03_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23823711:23824478:1 gene:Ma03_g18270 transcript:Ma03_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPTAVSEMLQRANHYVAAEAWMSGRREENKRPRAEPSIGQLPGLLKRRSDRSDSTIRRSPSPVLGTSWTQIFLQIKGKGLLQTPTPMRNPRELADKTRYCRFHRQNGHDTEECRELKRQIEELIRRGHLGHYLRQNKELSPQPEGPVKRQIDVITGSPASRGNNMTRRKAYACAPTLEDPVHDPAPEVTFPAERAEPPEHDDALVVSARITNAQVKRIMVDTGSSADILYLDVFQKLGLSRDALVPMNSALT >Ma02_p17450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24334856:24338347:1 gene:Ma02_g17450 transcript:Ma02_t17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSGSVSVDVERISFGGKEHHVPTNHGPISVVVYGDLEKPALVTYPDVALNYMSCYQGLFFCPEAASLLLYNFCIYHINPPGHEFGAGPISSDDPVLSVDQLADQVADVLDFFGLNTVMCLGVTAGAYILTLFASKYRERVHGLILVSPLCKAPSWKEWLYNKVMSNFLYFYGMCGLIKEWLIQRYFSKEVRGSFQVPESDIVQACRSLLDDRQSVNIWRFLESINERPDLTEALKKLQCRTLIFVGENSPFHSDAVYMATKMNRKFSALVEVQACGSLVTEEQPHAMLLPLEYFLVGYGLYRPSRPSCSPRSPLSPSCISPELLSPESMGVKLKPIKTRITL >Ma02_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24334853:24338347:1 gene:Ma02_g17450 transcript:Ma02_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHVPTNHGPISVVVYGDLEKPALVTYPDVALNYMSCYQGLFFCPEAASLLLYNFCIYHINPPGHEFGAGPISSDDPVLSVDQLADQVADVLDFFGLNTVMCLGVTAGAYILTLFASKYRERVHGLILVSPLCKAPSWKEWLYNKVMSNFLYFYGMCGLIKEWLIQRYFSKEVRGSFQVPESDIVQACRSLLDDRQSVNIWRFLESINERPDLTEALKKLQCRTLIFVGENSPFHSDAVYMATKMNRKFSALVEVQACGSLVTEEQPHAMLLPLEYFLVGYGLYRPSRPSCSPRSPLSPSCISPELLSPESMGVKLKPIKTRITL >Ma08_p30230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41579926:41581791:-1 gene:Ma08_g30230 transcript:Ma08_t30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILYQSINPNGQPACPLKGSAMDACQQRFQMGLPLHGVSSLKACPLSSLKKASVTIAGRESRHGRPSYAVSDVGTDPDARIDIFNGSTSSICNLSHSLIYEHEVGHVENCNMPVCGLEFQPLTPSFMHAIMEEEKFSVTQDASKEGDQNSSKPSDVLVISVSSAVKLDDRQINLISRKMQRLTGFRKLRLENIVDPSLIAGFVISYCDDGSHIIDLSVKGQLAMLAARLESSDQKSANTVGDFLTA >Ma02_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18525566:18530967:-1 gene:Ma02_g08070 transcript:Ma02_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLRNPSEITRRMEDSAGSKNEELSCLSTGLLSRSKIIHGEHPLSLMKSSVWNQYFQEAEILEQIDRDVKRTHPDMDFFCGGSSLAKSNQEALRRILIIFAKLNPGIKYVQGMNEILAPLFYVFRNDPDETNASHAEVDTFCCFVELLSIFRDNFCKQLDNSLVGIRSTIEKLSQLLKRHDEELWRQLEVTTKVNPQFYAFRWITLLLTQEFNFSDCLRIWDTLFSDPEGPQETLLRICCAMLIFVRRRLLAGDFTSNLKLLQNYPTANINHLLHVANKLRGPTVD >Ma02_p08070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18525566:18530987:-1 gene:Ma02_g08070 transcript:Ma02_t08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKKVVPEWLNSPLWSSNLSDDRRSSRYETRTLSPEPSSPPPPAPPRPEPSPSRPRLAVAQRSEIGAHSGELSPSWSSLEEESRESQLLADFQITLSSRIINLGELRRLAFQGIPHGVRPTAWKLLLGYLPLDRTLWAHELEKKQTQYYTLMDELLRNPSEITRRMEDSAGSKNEELSCLSTGLLSRSKIIHGEHPLSLMKSSVWNQYFQEAEILEQIDRDVKRTHPDMDFFCGGSSLAKSNQEALRRILIIFAKLNPGIKYVQGMNEILAPLFYVFRNDPDETNASHAEVDTFCCFVELLSIFRDNFCKQLDNSLVGIRSTIEKLSQLLKRHDEELWRQLEVTTKVNPQFYAFRWITLLLTQEFNFSDCLRIWDTLFSDPEGPQETLLRICCAMLIFVRRRLLAGDFTSNLKLLQNYPTANINHLLHVANKLRGPTVD >Ma09_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1684802:1686858:1 gene:Ma09_g02380 transcript:Ma09_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAFFLFLLSYTPFHFRRSIGSTTASATIYNRRTLHQPFFPLTFSSFAPTQPPSSSFPKYPTSSSSSSSHHRLRPFFPLPPFPPPPPHPFPATITTLPTFPANISSLGSSSSGHSSPRFVPAVASPLLALALLGLSFVLFLRLRRRCRRACADKDARFGSLGFFPSDAGAPDGRKPSASSSSAAAAATPGPSSSEFLYLGTLVSSRVRENESSAAQLADGGGSPYRKLGSPELHPLPPLPRQFRSANAGRSSSSGFYSPKNSPGSKGSAAGLASSSKEAALGVEERCGSRSSTLSTPSYVSSNVASSPSRSSPTTSSLPPSLLRLSTSSPPKARPRSQSPPSSPPGADYDRKVGTLQSSGENLRSPNKIGDFAKGSIAVELNPPPPPPTGFQKDQNVKIPAFQPPLRSMRITLAQS >Ma10_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31469509:31471413:-1 gene:Ma10_g21890 transcript:Ma10_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMDTTFLVPIKRTEHIVVTPKPFSQQPKRRPSDVPVAAPLPRTVRIFCDDYDATDSSGDESGCCHSRRRVRRYVQEVRFEARSARGGPHAVGEAGKSKAAKGGGAGKKRKAGPVVATSGDGSVQRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTYNTAEEAAKVYDSAAIKLRGPDATTNFARPPADAATVTHPPPPLQPPKKNLSENNLPSVSGGYDSAEESHNLSSPTSVLHGFSCSSSSKPGDQAEKPKQPGTVGDEKDVAAGSSVEPGGFLPLEKDEVLFDDLLGFGVFDDDDSAPIGFLAEELSDAVLNGSGLDVDLGPTSTWQGVDDFFDGIGDLFPIEPLPAI >Ma06_p14100.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9673526:9678514:-1 gene:Ma06_g14100 transcript:Ma06_t14100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSVHPRPCPPNPHFSGFDFTPSSCRPRLALFSPSPRRSPLQIRRFSSPEATDDGFVVVEDDLHTLLEVLPRDLRENLQSEPRRDQLLEISLEELEEAQKSLGEFGGDNRAGIEGTLHRISAIRSRKGLVVGLTCRVGRAVSGHVDMVRDLLEYRESILFLGRPGVGKTTVMREIARVLADELHKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEALACRSIAERGVMLIGTAHGDRLANIIKNPTLSDLVGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERNYWVTHRTERSVDMLLIGKKPLVEIRKRDDQFKVVVERWKTYDGDGI >Ma06_p14100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9673526:9678514:-1 gene:Ma06_g14100 transcript:Ma06_t14100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSVHPRPCPPNPHFSGFDFTPSSCRPRLALFSPSPRRSPLQIRRFSSPEATDDGFVVVEDDLHTLLEISLEELEEAQKSLGEFGGDNRAGIEGTLHRISAIRSRKGLVVGLTCRVGRAVSGHVDMVRDLLEYRESILFLGRPGVGKTTVMREIARVLADELHKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEALACRSIAERGVMLIGTAHGDRLANIIKNPTLSDLVGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERNYWVTHRTERSVDMLLIGKKPLVEIRKRDDQFKVVVERWKTYDGDGI >Ma06_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9673526:9678514:-1 gene:Ma06_g14100 transcript:Ma06_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSVHPRPCPPNPHFSGFDFTPSSCRPRLALFSPSPRRSPLQIRRFSSPEATDDGFVVVEDDLHTLLEVLPRDLRENLQSEPRRDQLLEVILDLGRRPEARFLGESGGQYLRDKEISLEELEEAQKSLGEFGGDNRAGIEGTLHRISAIRSRKGLVVGLTCRVGRAVSGHVDMVRDLLEYRESILFLGRPGVGKTTVMREIARVLADELHKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEALACRSIAERGVMLIGTAHGDRLANIIKNPTLSDLVGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERNYWVTHRTERSVDMLLIGKKPLVEIRKRDDQFKVVVERWKTYDGDGI >Ma10_p30850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37080740:37085507:1 gene:Ma10_g30850 transcript:Ma10_t30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKASSLEDLRNEAVDLERIPIEEVFEQLQCTKEGLTSEEGANRIQIFGQNKLEEKKESKVLKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIVILLVINSTISFIEENNAGNAAAALMARLAPKTKVLRDGTWCEQDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVYSGSTCKQGEIEAVVIATGVHSFFGKAAHLVDSTNQVGHFQKVLTSIGNFCICSIAIGIVIEIIVMYPIQHRSYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKSLIEVFAKGVDKDHVVLLAARASRTENQDAIDAAMVGMLSDPKEARAGIREVHFFPFNPVDKRTALTYVDEDGNWNRVSKGAPEQIMNLCNCKEDVRKRVHTVIDKFAERGLRSLGVARQEVPERSKESHGGPWQFVGLLPLFDPPRHDSAETIRKALDLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQHKDETLAALPVDELIEKADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVSDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGTYLALVTVLFFWAMKETDFFSDKFHVRSLRERDHEMMAALYLQVSIISQALIFVTRSRSWSFLERPGLLLCGAFVVAQLVATLIAVYANWGFAKIKGCGWGWAGIIWLYSFITFIPLDWIKFSIRYILSGRAWDSLFERRTAFTTKKDYGREEREAQWAIAQRTLHGLQPPETTNLFSDKSSYRELSEIAEKAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Ma03_p11240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8490412:8494843:-1 gene:Ma03_g11240 transcript:Ma03_t11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQTRQTHTAYMEVHWVTRKLMQLGKVSNGHMNLSMKRPETFHWCHVPEGAALEAEWNARFAEYEEKYKEDAAELKAIISEELPTRWEKALPKITSLLLFQTYTPESPADATRNLSQQNLNALAKVHLASSNMTLLKMFGNFQTGTPDERNVRFGVKEHGMGAICNGIALHSPGLLPYCATFFVFTDYMRDESCHKDLDLSSSTRVIYVMTHDSIGLGEDGPTHQPIENLISFRAMPNILMLRHADGNETAGAYKVAVLNRKRPSVLALSRQKLPQLPGTSIEDVEKGRFTISDNSTGNKLDVILIGTGSELEIAAKAADELRKEGKTVRVVSLVFWELFDEQPDEYKESVFPAAVTARISIEAGVTLGWEKYVGSKGKAIGIDRFGASARAGRIYKEFGITAESIVAAAKSL >Ma11_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6711783:6712994:-1 gene:Ma11_g08430 transcript:Ma11_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVSMPSSPAAEESGEMEVEEPMVAPMEEEEREEVNAHWRGWREREPWPRKSGGVMLEGYVDAGDGGDLASGGVARTKSLTDEDLEELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQRFLDEQQQQQLSLDRSSSGESMDLCPSPPSPPIANWRISSPGDDPDEVKARLRYWAQAVACTIRLCS >Ma02_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24618394:24619500:-1 gene:Ma02_g17880 transcript:Ma02_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVNFSCVSPASAAICTSIDRRSMVRASTRRAIDRHTPHLRDPRRAKSAFSSVTRTATEKKSHSQRRKSLDKPTDLISPPGSSRYLLNDDAFFDVFPIVDAAPPPLFSVERSQLEIIKKDVPAAVSTPSSAVPQNQVVHLRVSLHCKGCEGKVRKHISKMEGVTSFDIDFETKKVTVVGDVTPLGVLNSISKVKNAQFWPSPSSSPPPPPASS >Ma08_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35872470:35875564:1 gene:Ma08_g22210 transcript:Ma08_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLSSRSSTSPRYVPILTEGGEKKAGEKTNPFRWMIEGFSTLLNQTAETHSSGNFSACGFTWKLELEIKSSGEDAEKSLSLCLFSVEASSSTGSVVKAIYKLLMYDQLYGEHIQKEGECYFHGTSQYGLCCMVPLKKFNDPKSGLLVNDCCIFGAEVMEAFACKLGREGVSECLSLKKEITPQTYTWVIKNFSKLSAKQDSEVFTSGGYKWRIQLYPNASPYTNFLAMFLILDTSVALPSKTRAYVDYSLCLVDQIDGKHKKLSVQRQFSSDGVGWGWHKFLEWKDMQNPSRGFLRNDTCIVEASVAVLGEVSIT >Ma11_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5351049:5353341:-1 gene:Ma11_g06640 transcript:Ma11_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNYLAFFTHEEKLKLGYGTQRERLGRDSIGPFDPCCLCIKHLIHPLFCQKGHLLCNECILECLLTQKKDIERRIAETSHQNLKMRRELHHDISHEIITSAVNFRVWNLDHIDYKSHKSSRSIDQQIKANALGSRQYWINNNTILSGAISSH >Ma06_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11202060:11204115:-1 gene:Ma06_g16550 transcript:Ma06_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDDRYTKDGTTDIHGNPAVRKDTGNWRACPYILANKCCERLAYYGMSTNLVNYMKDRLHQGNTTASNNVTNWSGTCYIMTLLGAFVADSYLGRYWTIASFMTVYIIGLTFLTMTASVKGMEPTCHDGVCDPTRAQTAMVFVALYLIALGTGGIKPCVSSFGADQFDESDESEKKRKSSFFNWFYFSINVGALVASSMLVWIQTNVGWGWGFGIPAVVMAIAVVSFFLGTPLYRHQKPGGSPVTRVAQVIVASLRKTGAKVPDDKSLLFEVAEKESVIHGSRKLEHTDKLKFLDKAAVVTREDENCVNSWRLCTVTQVEELKSIVRLLPILASGVLFATTYSQMGTMFVLQGNTLDPRMGPHFEIPAASLSIFDTISVIVWVPIYDRMIVPVARRFTGRERGFTQLTRMGIGLGISILAMLSAGIMEVVRLHIVASHNLYDSEDYVPISIFWQIPQYFLVGAAEIFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSSLLVTIVTGITTRNGRLGWIPGNLNRGHIDYFFWLLAILSTVNLMVYLLIANWYTYKKTTDDDNELQ >Ma06_p13550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9286288:9294510:-1 gene:Ma06_g13550 transcript:Ma06_t13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGEREAVERRALRSLGLGFDLTSDFRLRFAKGYPRGRLVQLDEVHTRDIVFPGGQVVRGVSEDVGCDKGDRMRYRSDVLEFNQMSELLNQKSSVQGKVPSGYFNALFNLTGAWLDDAKDTKYLAFDGYFISLYNFHLRASPLVLLEEVKRAVPSKWDPTALCHFIRTYGTHIIVEMAIGGQDVICVRQSPSSTISSAELKVHLEDLGDYLFSDGNSLSPLHRKTREGKNKVPEVFMRILQSNNLQLSSYSETSSKEGLTIICSKRGGDACVLNHSTWLQTVQNNPDAIMFKFVPITSLLTGVPGSGYLSHAMNLYLRYKPDPEDLQYFLEFQVPNQWAPMFNELALGPQRRKVSYPRMQFRFLGPKLHVNTDQVLSSQKPVIGLRLYLEGPRCNRLAIHVQHLSSLPSMFRNSSSSEMSAWQGSEDSDAGYFEPIQWKRYSAVCTSVVEHNPEWLQRVSNGVFVVTGAQLVTKGNWPKKVLHLRLLFTHIPNCTIRRTEWAGAPATSQKGSFLTNLSTTFSTPFTQRDVPPPAKNEPAQLNSGVYPDGPPVPVQSRKLLKFVDMVEVVRGPHNVPGHWLVTAAKIVKEGGKIGLHVKFALLNYSAEAGLID >Ma05_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7571234:7573361:1 gene:Ma05_g10510 transcript:Ma05_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQKVVFTVSSLVDVKSKQRVMEAVADIHGVDTIAADFKEQKLTITGEMDIIAIAKRLKKFGKFEVASVETGEEKKEQNEGKK >Ma04_p26150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27707368:27712545:1 gene:Ma04_g26150 transcript:Ma04_t26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLANRRFHISLHGQFRCLVISSGVKNRRDSSGRVRCIPGEYAKIRKAANFSHLKTAKEKETYPTETVSSKNIKFHRIFSRNSLPDAEGASSSGIFDRAEELLEFKDVSSCSLDIMEDAAEEEFGQCPGEEQDSDITKIAETGEVMKSRIKQDAEQMAIELLSARAFTTLELRKKLRGKKYPLDIVDSLIASLKDRGLINDGLYAESFSHSRWLSSTWGPKRIKKALRHKGVSEMVADKATKEVFEEDDTGGNDQNIQHGISKHSMERLYLQASKQWFRSQDSSLQIRKSRIVRWLQYRGFSWVITNNILRRLESDYPP >Ma02_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21943287:21944321:-1 gene:Ma02_g13630 transcript:Ma02_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHVRAGEAAAGALRKRKDREPSDSPRARSPAGPDPVQPALARDNRLLAGCLAHEFLTKGTLFGKRWEPNGSEPDNKTANDVRPGSADSDPDKERANPVRSGSTTAEPARSKPPIAYAEVSYLLKADGARIPGVVNPTQLARWLQM >Ma02_p18450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24924277:24928821:-1 gene:Ma02_g18450 transcript:Ma02_t18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSHLTAVTALSDSDNCSSVHFSGEAAGAPDSAAPAAEVEALRRLSDNLGSAFQSPDFEFLADARIAVGHTGDGRAPPLEVGVHRCVLSARSPFFREVFAKRGKGAAMPVRVELKELVREFEVGYDALVAVLGYLYTGRVAPLPRAVCMCVDEVCPHEACRPAVDFMAEVLYASFSFQISELVSLFQRHLLDILDKVAADDIPMVLSVAKLCDKSCASLLNKCIEIVVRSDLDVITLEKTMPPDIVKHIMDSRLNLGLVGPEGSSFPDKHVRRIHGALDCDDVELVRMLLKEGNTTLDDACALHYAVAYCDSKITTELLDLALADVNHRNLRGYTVLHIAAMCKEPKIIVSLLTKGARPSDLTVDGRKALQISKRLTNSMEYLRSTEEGEASPKSRLCIEILEQAERSDPQVGEASVSLAIAGDDLRGRLLYLENRVALARLLFPMEARVAMDIAQVDGTLEFTLGSAANHSTGNRRTAMDLNEAPFKIKEEHLARMRALSRTVELGKRFFPRCSEVINKIMDDDITEITGFGHNSSEEKRRRFLELQDVLSKAFSEDKEEFDRSSLSSSSSSTSVGVIRTRR >Ma02_p18450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24924277:24928812:-1 gene:Ma02_g18450 transcript:Ma02_t18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSHLTAVTALSDSDNCSSVHFSGEAAGAPDSAAPAAEVEALRRLSDNLGSAFQSPDFEFLADARIAVGHTGDGRAPPLEVGVHRCVLSARSPFFREVFAKRGKGAAMPVRVELKELVREFEVGYDALVAVLGYLYTGRVAPLPRAVCMCVDEVCPHEACRPAVDFMAEVLYASFSFQISELVSLFQRHLLDILDKVAADDIPMVLSVAKLCDKSCASLLNKCIEIVVRSDLDVITLEKTMPPDIVKHIMDSRLNLGLVGPEGSSFPDKHVRRIHGALDCDDVELVRMLLKEGNTTLDDACALHYAVAYCDSKITTELLDLALADVNHRNLRGYTVLHIAAMCKEPKIIVSLLTKGARPSDLTVDGRKALQISKRLTNSMEYLRSTEEGEASPKSRLCIEILEQAERSDPQVGEASVSLAIAGDDLRGRLLYLENRVALARLLFPMEARVAMDIAQVDGTLEFTLGSAANHSTGNRRTAMDLNEAPFKIKEEHLARMRALSRTVELGKRFFPRCSEVINKIMDDDITEITGFGHNSSEEKRRRFLELQDVLSKAFSEDKEEFDRSSLSSSSSSTSVGVIRTRR >Ma08_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37618745:37619298:-1 gene:Ma08_g24430 transcript:Ma08_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGNGKLGKLRCMIKRWHSSSRITSSAAGGRGCGGQSSRPQEDEAWRSASFNGDAVPPGLHAVYVGKTRRRYLVRSDLVDHPLFRVLVARTGGSVGGSTGDGTVVGCEVVLFDHLLWMLENADPPPDSLDELVDFYSC >Ma11_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19655594:19657141:1 gene:Ma11_g14360 transcript:Ma11_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEEASESLKCMTWVLKVSIHCEGCKKKVHKILKGIPGVYDTEIDARQNKVTVKASVDADTLIRKLDKSGKRAELWPERKHSNQQPSNGDTSNRKESKQVPKHKEPSVSSEKKPILSERSPAAAATVAPAAKPPEADQREAQAKPPKDPSQTNRVTEESAIKDPQTSDATKTDISTKQHDNSAAAAAASAGGDPSSHSGGGKKKGKKAQKEGSADLGHMPSYPMYPPPPAYVTSYNMAQPSFSQAYYAPPVPPASQGYVYMPHPPPPESYYSYPEPSSLASTQPSPPHDSMFNDENPNACNLM >Ma05_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5173356:5174076:1 gene:Ma05_g07070 transcript:Ma05_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSRTISCPSSSGSITKKASPRTGGIIIRRNASDPAIFPNSAVNLSSSTSPSSSFDSVAAGSSSPTLFATEVSSSSPLLEGTDNDKVCSPSLKSCKYGGNQLCRLRSTGSSLYDELTSEASRRNHPRSFTNKSSEAKCYGSSAKKTLTSQTCNKQPNFPKATIGTSKTDSVNKGKPSKIQDTTLATQNKTITSDSRQTQSTARKYRILIS >Ma11_p02320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1662719:1666583:-1 gene:Ma11_g02320 transcript:Ma11_t02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTWRKVTRALGLNLCVQVPREMLHDDDGGSRPGGSAAGRRASVAASSTTSSPAGSVGASDFRALMPTMSGGLRLSKSGSRSSQKCAICLGSMKAGDGHALFTAECSHTFHFHCITANVNHGNYVCPLCKATWKEIPLQAPQALGHPHHGRARVNPVVEDGRMHVVRRLPRAASANRRRNHFPSYFYGSEPSSFNDDEPLDLQSAAARDLRQGRPEMVEVEIHPEFSAIAQSDCLENFAVLMHVKAPHATMNQSPRSNLTAGSTVLQNVRAPVDLVTVLDVSGSMAGTKLALLKRALSFLIQNLGPSDRLSVVAFSSTARRLIPLCRMSDSGRRQALQAVNSLVSSGGTNIAEGLKKGFKVIEERRERNPVCSILLLTDGQDTYTLSSSGSGAQRSQPDYKVLVPSSILSSTAVSVHSFGFGADHDSAVMHAIAEISGGTFSFIESEGVLQDAFAQCIGGILSVVVQELRLGVECAHPGVRLAPINSGSYRNQLLNDARTGFIYVGDLYADEERDFLVSVNVPFAIEEIVLLKVACVYKDPVSKDTVHLPIREVRIQRPQVVSSQTPSIEVDRERNRVQAAEAMSNARAAAERGFLSDAVSILEERRRILSESLAARASDRLSLALDAELREMQERMASRQRYEDSGRAYVLSGLSSHSWQRATARGDSTDGSSLLHSYQTPSMVEMLHRSQTLRDSPRRPAPPIQPTRSFPSRPRPI >Ma11_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1662719:1667333:-1 gene:Ma11_g02320 transcript:Ma11_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGDGHALFTAECSHTFHFHCITANVNHGNYVCPLCKATWKEIPLQAPQALGHPHHGRARVNPVVEDGRMHVVRRLPRAASANRRRNHFPSYFYGSEPSSFNDDEPLDLQSAAARDLRQGRPEMVEVEIHPEFSAIAQSDCLENFAVLMHVKAPHATMNQSPRSNLTAGSTVLQNVRAPVDLVTVLDVSGSMAGTKLALLKRALSFLIQNLGPSDRLSVVAFSSTARRLIPLCRMSDSGRRQALQAVNSLVSSGGTNIAEGLKKGFKVIEERRERNPVCSILLLTDGQDTYTLSSSGSGAQRSQPDYKVLVPSSILSSTAVSVHSFGFGADHDSAVMHAIAEISGGTFSFIESEGVLQDAFAQCIGGILSVVVQELRLGVECAHPGVRLAPINSGSYRNQLLNDARTGFIYVGDLYADEERDFLVSVNVPFAIEEIVLLKVACVYKDPVSKDTVHLPIREVRIQRPQVVSSQTPSIEVDRERNRVQAAEAMSNARAAAERGFLSDAVSILEERRRILSESLAARASDRLSLALDAELREMQERMASRQRYEDSGRAYVLSGLSSHSWQRATARGDSTDGSSLLHSYQTPSMVEMLHRSQTLRDSPRRPAPPIQPTRSFPSRPRPI >Ma06_p15660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10602545:10604921:1 gene:Ma06_g15660 transcript:Ma06_t15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERSWEESYPVTYFRGEVGGLKPLPEDSDNRDEDALISLDAILPDDLLEKVLSFLPIASIIRSSSVCRRWYDAVRSGRYSWTKMSPQKPWYFMFTCSDDAVSGYAYDPSLRKWYSFDLPCIEKSNWSASSSCGLVCFMDGDNRSRIFVCNPITRDWKRLHDAPGERAPDYSALAMSVDRRTHGYTVAVAKCKQVPQDYYQWDFSVHIYESEARSWVTPFTEVLVGWRGGDECVICNGVLYCLVYSTAVLRNVESRHCLVMYDLSARPSRTSLMQMAISVPCSLTCGRLMNLRDRLVMVGGIGKHDRPGIIKGIGIWELHRRKEWREVARMPHKFFQGFGEFDDVFASSGADDLIYIQSFGSPTLLTFDMAQKLWKWSTKSPVTKRFPLQLFTGFCFEPRLEVAS >Ma06_p24720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23761898:23764162:1 gene:Ma06_g24720 transcript:Ma06_t24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraketide alpha-pyrone reductase 1 [Source:Projected from Arabidopsis thaliana (AT4G35420) UniProtKB/Swiss-Prot;Acc:Q500U8] MDEVSGSKGRVCVTGASGYVASWLVKRLLQSGYHVIGTVRDPGGHKKLAHLWELEGAKDRLQLVKANLMDEGSFDDAVMGCEGVFHTASPVVVAMYPKAEILDPAVTGTLNVLRSCKKNPALRRVVLTSSSSAIRVRENVNPRLPLDETSWSSVELCQTFQMWYALAKVLAEKAAWEFAKENKIDLVTLLPSFIVGPSLPHDLCLTVSDVLGLLRGEKDRFSAYGRMGYVHIDDVACCHILVYENDNAQGRYLCSSNVLDNHEVAALLANRYPSLPIPNRFHNYHAEIPRYQFDTSKLRDLGFKFKGTEEMFDDCIASLRTQGYQI >Ma06_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15021652:15023248:-1 gene:Ma06_g20900 transcript:Ma06_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKLCLVVLLIRVIYAGMQIIIKAAFDGGMNTAVFVFYRQLIATLFLVPVALVVERKRAPALSFWLAFKMFMLALVGLAGTLYLYSVALDYTSTALASAAINSIPVTTFILAVIFRTEKVKVKRHSGIAKLCGAGLCIAGALTIAFYRGPRLKHLNRHHVLTGGNQAQVHPHPYSRWVLGTFLMIVSNLTWSLWLVLQEPLLKQYPSELMFTTLQSLFSAIQTFFITLAIERDFSRWKLSLDMGLISVAYCGIVVTGLAFFLQSWTIQRRGPVFLALSAPLTMIIIMMLSPFLPGVFVDLGSVLGGVLMVGGLYSVLWGKRREQKEEENPTAKDTKVCLEEKEMASPRELR >Ma06_p06110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4506996:4518651:1 gene:Ma06_g06110 transcript:Ma06_t06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQGHKRRKINNEDSNDNCISNLKTPPLNYGKIGGSSKVIDYSNDFALTNLLERLEDGKYGSVTKEYEALHSQRMQVINFLSALQPSIASRTFQGGGSTSTNLSTRQNQMKSGQHGDNLSHDIIDLEVDTMEGAANPVRIPVEQTQDAVGNISLHSSDFESVTRKGKLDAARGPLNTPPVDEDNKEETTVIIVDSDEEDASHQGKTKYDCHPDHEVLEFGASLASQIQKHISRASKLAQEVNLYQLVPYDQGIGRSVCTTNFKPNWQPSVHFEKVVLQTVDEKQRFQDVVDEKNMEMRCERQAAEAPNVVKTLYSNAEMNKEKECDGNPKFVSSTAIKDISSGMGSLYEVDKVSSQSDGLEDLWKDMSLAMEYSKIDTSDDQALVQQEEECNHSYLLQDDFGLVCRICGVIQKRIDTIFDFQWTKGSRATRTYLTGPGNSKDLVAHYSGLEVSEDDFIAAELSIHPRHLKQMKPHQLEGFNFLVKNLVTDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPLKKPLVILPKGILPTWKKEFQRWQVEDLPIYDFYSSKADNRSQQLEVLKLWQENKSILFLGYKQFTNIIYDKENSKVSAACREMLLKIPSLLILDEGHTPRNEDTFVLDSLAKVQTPRKVVLSGTLFQNHVREVFNILNLVRPKFLRMETSRVIVKRVLSRIYISGGRKLSKSSVDNAFYDLVEETLQHDENFKRKVTVIRDLRELTKDVLHYYKGDFLEELPGLVDFTVLLNLSAKQRVYVQKLAKFEKFKRTSMGSAVYIHPHLYDTAEKASGDRVAFFNDDKIDSLLESLNIRDGVKTKFFLNILSLAESAGEKLLAFSQYILPLKFLERLIVKTKGWHLGKEIFMISGDSTSEQREWSMEQFNNSPDAKVFFGSIKACGEGISLVGASRVVILDVHFNPSVTRQAIGRAFRPGQLKKVYIYRLVAADSPEEEFHYTSFSKEFISKMWFEWSEYCGHQNFELDEVDLASCEDLFLESPIIGEDIKAVYRR >Ma04_p13590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10274664:10277932:1 gene:Ma04_g13590 transcript:Ma04_t13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTCPGAGYDSINDSLQSLLVQSVSLDNNVRSTLRSISFNGRDSEPAKLKAFGSGKFLIKESLSFNGREMDPFNSDTKISIESPTFAPDKSTKSDVPKTLRFSPLGKRPSESTLIKPDSPKHEAAVKLQKVYKSFRTRRQLADCAVLVDQRWWKLIDYALLKRSSVSFFDIEKPESAISRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLQFYYDCWLQCESMEPFFYWLDVGEGKEVSLEHKCSRSKLQQQCIKYLGPKEREAYEVEIEDGKFVYRQSRQLLDTSNCPKGAKWIFVLSTSKKLYVGQKKKGTFQHSSFLAGGATSAAGRLVVENGALKAVWPHSGHYRPTQENFQEFMSFLQENNVDLTDVKKSPTEEDDELYNRLRHNRSELNLAERNASVKPEIGVDLSHASSGKTSSNAEADTSSSEAFEGTNISCSLANPKLGGKENSEKSLDMQLHCSLHNFCKQIVVKDNEESEDEDDEDRGSIRQPKRVRLDGKNEVSEDSSNSDKHYILRKKNLFLEEQEEDEETIVPSELILRRLNSMNGIKSYQLGKQLSFKWTTGAGPRIGCVRDYPLELQFRALEQVNLSPKSPTMCRFSSPRITKCQSPKSEEASL >Ma06_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23927755:23940660:-1 gene:Ma06_g24740 transcript:Ma06_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MPQICISPPPPRGNSRLRFSPTDPVECEELVPERVCINCCSSDTRPCSTDSYGFINYTSSMKKMKLSGLHLSQEEAKNCMGDISDYFSRGHAEGHSSCWYSDKDNWLSCCCDPVEVGSYAAMEGSSQSLVNSSEISLPHGTSHTPPQNGACGGYAQFSVVGWMYVNENGNMCGPYSQGQLIEGLSSGFLPEELPIYPVVNGSVMNAVALKYLKQFSSPIYSASRVAGAASSQTSELARRNSLPYEVDESVSYSSLYSTQPSEAQLTISYGAQSSEQGLTNTGMIKCTSSVLLRSLSSEESCWMFEDQEGRKHGAHSFAELYYWHHNSYLDDSLMIYHVDNKIGPFTLASLVEEWSRISNQNVSETDIKSEDTDRDLNSSFTRLMSNISEEVSIQLQSVIMKAARRALLDEIFSSIIPEFISSKKAQKYVRPELTHQGTKTYGSSKGKVEVAVSHEVTVSQQTCSEHSSSYDNFPELLLALCKFLYYDSMKALWDTVLCDPVAEYCGAWLKRRRWSAFPCSPVIADCERQDLPKMDVMQKNNDNVVLQREQLRNDMDFPPGFGPGKGPAHTSAHSPLIAEAGCLMDEEVDIAKDAACDATLPGDLIYTQQSLENDLYVSAKTSLFPFFEDVIKEELTNLFCLEAEYKKDDEIFNVTERHDQSVSSSLDLTADVAIELPESAIPSTYCINNAIEQLGFPISSVSCDDNDDTITDEPPPPGLDDCSSMILPRQAKFQPAKMMGHIPLIDKYVTMAVFRQKLHDQVLKMWKSSYFNDALHKCFLSCGALRKLTLDATDVDSKRGCQKDMLKDGAYRSTDASLVTGKYTYYRKRRFGKKISDLPLDCSENSGLSKQDVDTLQGQRMLDSKPKSAVKRTLLDVSSQELKSYRTENLLNRPSMQASNKTESPDACSLSRKRRRLRKAYEIHKEASTIPCNAELLSPNDIDPLMDDSWDKVKVVSTVGIKYQASDQKELSDPCGVLRKRHKLRKKSHGNHGEASTLLCNAELESPVIDSIVDDSCDTVEVVSTIDIKHKLEAVLVQQQNSNEKVDDNNDCGLRVQEASNKLFTSKDISKSRRFSRLKRKAELNQLTHPKISKLSSMSSSKKSKHKRPTKHKVKPTFPCPISDGCARSSINGWEWHKWSKTALPSERARVRGIRVHTYSLGFQPNASQNSNPKGPSARTNRVKLRNLLAAAEGAELLKVNQLKARKKRLRFQRSKIHDWGLVALEPIDAEDFVIEYVGELIRRRISDVRERQYEKMGIGSSYLFRLDDDYVVDATKRGGLARFINHSCEPNCYTKVITVEGQKKIFIYAKRHISAGEEITYNYKFPLEEQKIPCNCGSQRCRGSMN >Ma11_p06120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4879536:4882561:-1 gene:Ma11_g06120 transcript:Ma11_t06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFSGISSESPCPEIFAQQDIQRCPFLRNINEPTNFSFSSVNFPVPVQGAKGPIFEDGPNFEMAFRLFHGQDGVVPLTGRSSERQEKLEPEFVDTFNPLAAKAATISLSAFGGPFGFDFFSKKWKMQNNRSSKQESASQKRGDSVHESRSNEWLETGQCPIAKSYRAVSGVLPLVAKVLKPPPGMKLRCPPVVVAARAALARTALVKNLRPQPLPAKMLAIAMLGMAANVPLGVWREHTTKFSPQWFAAVHAAVPFIAMLRKSVMMPKTAMAITIAASILGQTIGSRAERLRLKAVAVANAGDSKILQTRAVICAQKTGQCSSEVVWDPLSLKLEGADSSPASPRAAVCF >Ma08_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6138357:6147118:1 gene:Ma08_g08690 transcript:Ma08_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSSDSRDSVGGSVPPSSSSSSSRSGDLQTSKITNPSSQVPPGLSPGLNNLNKYAPPVNLKLNGNCVIPVYEALPSFKDVPNSEKQILFMRKLDLCSVVFDFTDPSKNLKEKDIKRQTLLELVDYVSSASGKFPETVMQETTKMVSINLFRNLTIPPREHMVLEKIDAEEEEPVMDPAWTHLQIVYELFLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPRERDYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIISGFALPLKEEHKLFLVRALIPLHKPRCIGMYHQQLSYSITQFIEKDCKLVDTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPADFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKQNNKVILPIIFPALERNTRSHWNQAVQSLTLNVRKIFSDHDPELVAECLKKFEEDEAKDKQYIMKREATWKRLEEIAASKAVSRDSVIIPRTLPHQVSSS >Ma08_p08690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6138358:6147118:1 gene:Ma08_g08690 transcript:Ma08_t08690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSSDSRDSVGGSVPPSSSSSSSRSGDLQTSKITNPSSQVPPGLSPGLNNLNKYAPPVNLKLNGNCVIPVYEALPSFKDVPNSEKQILFMRKLDLCSVVFDFTDPSKNLKEKDIKRQTLLELVDYVSSASGKFPETVMQETTKMVSINLFRNLTIPPREHMVLEKIDAEEEEPVMDPAWTHLQIVYELFLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPRERDYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIISGFALPLKEEHKLFLVRALIPLHKPRCIGMYHQQLSYSITQFIEKDCKLVDTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPADFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKQNNKVILPIIFPALERNTRSHWNQAVQSLTLNVRKIFSDHDPELVAECLKKFEEDEAKDKQYIMKREATWKRLEEIAASKAVSRDSVIIPRTLPHQVSSSP >Ma08_p08690.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6138354:6147118:1 gene:Ma08_g08690 transcript:Ma08_t08690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSSDSRDSVGGSVPPSSSSSSSRSGDLQTSKITNPSSQVPPGLSPGLNNLNKYAPPVNLKLNGNCVIPVYEALPSFKDVPNSEKQILFMRKLDLCSVVFDFTDPSKNLKEKDIKRQTLLELVDYVSSASGKFPETVMQETTKMVSINLFRNLTIPPREHMVLEKIDAEEEEPVMDPAWTHLQIVYELFLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPRERDYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIISGFALPLKEEHKLFLVRALIPLHKPRCIGMYHQQLSYSITQFIEKDCKLVDTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPADFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKQNNKVILPIIFPALERNTRSHWNQAVQSLTLNVRKIFSDHDPELVAECLKKFEEDEAKDKQYIMKREATWKRLEEIAASKAVLEDPEVITAR >Ma08_p08690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6138358:6147118:1 gene:Ma08_g08690 transcript:Ma08_t08690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSSDSRDSVGGSVPPSSSSSSSRSGDLQTSKITNPSSQVPPGLSPGLNNLNKYAPPVNLKLNGNCVIPVYEALPSFKDVPNSEKQILFMRKLDLCSVVFDFTDPSKNLKEKDIKRQTLLELVDYVSSASGKFPETVMQETTKMVSINLFRNLTIPPREHMVLEKIDAEEEEPVMDPAWTHLQIVYELFLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPRERDYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIISGFALPLKEEHKLFLVRALIPLHKPRCIGMYHQQLSYSITQFIEKDCKLVDTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQPADFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKQNNKVILPIIFPALERNTRSHWNQAVQSLTLNVRKIFSDHDPELVAECLKKFEEDEAKDKQYIMKREATWKRLEEIAASKAVSRDSVIIPRTLPHQVSSSP >Ma10_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25460069:25460294:-1 gene:Ma10_g12280 transcript:Ma10_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGLVGVLGPTPPTNKSMMWIGSRIVPLMAYDIAIDVA >Ma07_p27270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33707610:33721180:-1 gene:Ma07_g27270 transcript:Ma07_t27270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQAESRRLYSWWWDSHISPKNSKWLQENLADTDMKVNTIIKLLEEDADSFARRAEMYYKKRPELLKLVEELYRAYRALAEKYDHATGALRQAHRTMAEAFPNQIPLVLSDESPYGYSGNEAEPHTPEGPPPLRALFDLDELQKDALSLSSELHVIKRNGGYSEPSDSLSSKKGLKQLNEMFAIGEGTAFTTSEGRVRKGLHFQEEEGQDLENITHKCSREQNQVKEKQDASYVTTGLQQDISQLSPGSQNMKNQITTESDRNNKTENELQGLKDRISELISEKEASNIQYQISLERISVLESQISTTQNELRKLNDEMVNKVKKLQSSEELNQSLLLELEMIAKQVNMEENELHQKREELEKLQITIEEKHQQCMQTEMALCLKEKLHTQSQEEIDHLSREIQIWIQKLRDIELCNVDLQEEICKLKEENGTLHEQNLHSSLMIKELQGKIILIEEKNKTLEDEVRLYLCEKEGLTEELNHIKEDINDLEGKHRDLMEQKEAASICAESLKAAVKDLQNKNSALNDICKKHEAEKEFLVDKLRDMDNVLEKNMVLEDSLADASIELEVLRGKTLALENLHESLNGEISNYIAEKNALVPQVEILTQDVCTLSEKNIFLENSVSDLGTEVDCLKSKLKDFERSCQLLSNHNSGLLAERKSFLSQIEILTQNVEKHSKKSSFLENSLSDVSNEVGRLRSKLKEFEESSQSLRDQNSNLLSERNALLLQVEILTQNLEKLSDKNSFLENSLSDVSSEVGSLRSKLKDFEESCQSLSDQNSGLLAEKNNLLSQLETLNQNVEKLSETNSSLESSLSDVTTEVGCLRTKLKDSEESCQSLSDQNSGLFAERNALVTEIEVLTQNMENLSHKNSRLENSLSDVNSEMACLKSKLKDLEESCQSLSNQNSGLLSERDNLLSRVVTFTQNVEKLTDRNSFLENSLSDISCEVESLRSQLKDCEESSQSLNDQNSSLLTERDSLLSQVKILTQNLEKLSDENLLLEKSLSDVSSEVWCLRSKLKDLEESSRSLTNQNSGLLAERNNLLSQLEILAQNIEKLSEKNSLLENSLSDVRTEVGCVRSKLKDSEESCQSLTDQNSGLIAERNTLVSQVEILTQNAVKLGHKKLTLENSLTDERSEVRCLRSKLKDFEESSRSLNDQNSGLLTERNNLLSQVEVLTKNLEKLSQEKSFLENCLSDVSSEAGCLKSKLKDSENSCDSLRDQNSGLLIERDTLVSQVNNITLNLEELENRLVDMKDDNLNLTREKYLIISQVKDLQDLLKLEKEEHETRIQSFKCQLATSENHNFLLQQESQLKDQQLESEQDNVIGYLIGNFILQRSLSDVNGRNLVLLKECEKNIEACRRTEALISALEQEKLMHIKNIMSLSEQNEKLRTGICLLQNTLIVGKKSVSVDEFQVEVLIDIILGEFRNILNCVSEAEHDNQLLHLEISVLVTMLKNTMLDLASLRLDKCSLEKERDMKTKELLALGNKNLQLRELNEKLMNDVEASNQREVESKTAMKVFHEHLTDLQEALLTSKYEIQNLIENKKILMDELCNLREKHNLLEEEHIEVLAEALKLDHLYLLFRNHSAEKLSELKSFTYDLDSLHFIKNALDAEIDKLKEKIKILEAEKTHIREFVTYLEEEFRNHVLLSEFDLFTATCVCEELSLQRQRLESQLLQKQSQLLEISQNAQSTQQKNLELCRILDGIQLDYEADKLIKEELAQKISTLSEVVVDRNKEIRCLYEANETLQREIYHMREEVKVLMSREEDLKLELQKEIDENEHCEVEIKALLSDIQVSTVNSALYEEKVHELILEEVGSLLQKETLKMEVALTKEQLDSMKKKLDDLEGENSGLKAGLDFYLALVASLWNSVKSLEEQIMTMSKPRISICHDKEVLPLVPHHHHCDNQPSDGYKAMNIEGIPVLEKLITKVKLLEEVIVDIQSHRQQEGFDANSNSEAASKETKGIKINEIGLGQEAQVNLHSIEHVDDGGLNDTEITKGKNGQVTKDIQLDQGSSSLPYRTIGSYGLSRISNDGIDDQLWEAAETNCSKQVWKTSTDATEHDIEPVEEEKSEYPSSELMVEKEPSVDKLEIPTRVLTSRQEWTKRVLESLQNDARRLSDLKTNVKDLKRKMESSQMGKLPASSGYDTVKSQLEDAEGAVMELIGTNNKLTSKAEEYHSTNGMGTKSEESSSTGRRQISTQSRKESEKVGRLELELHKIQYVMLKFEEEHVNRHTSAMDRRSRTLLSDYIYGRRDGRRQTKKSSFCGCMRPKTKGDQ >Ma07_p27270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33707610:33721180:-1 gene:Ma07_g27270 transcript:Ma07_t27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQAESRRLYSWWWDSHISPKNSKWLQENLADTDMKVNTIIKLLEEDADSFARRAEMYYKKRPELLKLVEELYRAYRALAEKYDHATGALRQAHRTMAEAFPNQIPLVLSDESPYGYSGNEAEPHTPEGPPPLRALFDLDELQKDALSLSSELHVIKRNGGYSEPSDSLSSKKGLKQLNEMFAIGEGTAFTTSEGRVRKGLHFQEEEGQDLENITHKCSREQNQVKEKQDASYVTTGLQQDISQLSPGSQNMKNQITTESDRNNKTENELQGLKDRISELISEKEASNIQYQISLERISVLESQISTTQNELRKLNDEMVNKVKKLQSSEELNQSLLLELEMIAKQVNMEENELHQKREELEKLQITIEEKHQQCMQTEMALCLKEKLHTQSQEEIDHLSREIQIWIQKLRDIELCNVDLQEEICKLKEENGTLHEQNLHSSLMIKELQGKIILIEEKNKTLEDEVRLYLCEKEGLTEELNHIKEDINDLEGKHRDLMEQKEAASICAESLKAAVKDLQNKNSALNDICKKHEAEKEFLVDKLRDMDNVLEKNMVLEDSLADASIELEVLRGKTLALENLHESLNGEISNYIAEKNALVPQVEILTQDVCTLSEKNIFLENSVSDLGTEVDCLKSKLKDFERSCQLLSNHNSGLLAERKSFLSQIEILTQNVEKHSKKSSFLENSLSDVSNEVGRLRSKLKEFEESSQSLRDQNSNLLSERNALLLQVEILTQNLEKLSDKNSFLENSLSDVSSEVGSLRSKLKDFEESCQSLSDQNSGLLAEKNNLLSQLETLNQNVEKLSETNSSLESSLSDVTTEVGCLRTKLKDSEESCQSLSDQNSGLFAERNALVTEIEVLTQNMENLSHKNSRLENSLSDVNSEMACLKSKLKDLEESCQSLSNQNSGLLSERDNLLSRVVTFTQNVEKLTDRNSFLENSLSDISCEVESLRSQLKDCEESSQSLNDQNSSLLTERDSLLSQVKILTQNLEKLSDENLLLEKSLSDVSSEVWCLRSKLKDLEESSRSLTNQNSGLLAERNNLLSQLEILAQNIEKLSEKNSLLENSLSDVRTEVGCVRSKLKDSEESCQSLTDQNSGLIAERNTLVSQVHFLTQNMENLSHKHSLLENSLSDVNCEVECLRSKLKDFEESSQSLNDQNSGLLAEKSNLLSQVEILTQNAVKLGHKKLTLENSLTDERSEVRCLRSKLKDFEESSRSLNDQNSGLLTERNNLLSQVEVLTKNLEKLSQEKSFLENCLSDVSSEAGCLKSKLKDSENSCDSLRDQNSGLLIERDTLVSQVNNITLNLEELENRLVDMKDDNLNLTREKYLIISQVKDLQDLLKLEKEEHETRIQSFKCQLATSENHNFLLQQESQLKDQQLESEQDNVIGYLIGNFILQRSLSDVNGRNLVLLKECEKNIEACRRTEALISALEQEKLMHIKNIMSLSEQNEKLRTGICLLQNTLIVGKKSVSVDEFQVEVLIDIILGEFRNILNCVSEAEHDNQLLHLEISVLVTMLKNTMLDLASLRLDKCSLEKERDMKTKELLALGNKNLQLRELNEKLMNDVEASNQREVESKTAMKVFHEHLTDLQEALLTSKYEIQNLIENKKILMDELCNLREKHNLLEEEHIEVLAEALKLDHLYLLFRNHSAEKLSELKSFTYDLDSLHFIKNALDAEIDKLKEKIKILEAEKTHIREFVTYLEEEFRNHVLLSEFDLFTATCVCEELSLQRQRLESQLLQKQSQLLEISQNAQSTQQKNLELCRILDGIQLDYEADKLIKEELAQKISTLSEVVVDRNKEIRCLYEANETLQREIYHMREEVKVLMSREEDLKLELQKEIDENEHCEVEIKALLSDIQVSTVNSALYEEKVHELILEEVGSLLQKETLKMEVALTKEQLDSMKKKLDDLEGENSGLKAGLDFYLALVASLWNSVKSLEEQIMTMSKPRISICHDKEVLPLVPHHHHCDNQPSDGYKAMNIEGIPVLEKLITKVKLLEEVIVDIQSHRQQEGFDANSNSEAASKETKGIKINEIGLGQEAQVNLHSIEHVDDGGLNDTEITKGKNGQVTKDIQLDQGSSSLPYRTIGSYGLSRISNDGIDDQLWEAAETNCSKQVWKTSTDATEHDIEPVEEEKSEYPSSELMVEKEPSVDKLEIPTRVLTSRQEWTKRVLESLQNDARRLSDLKTNVKDLKRKMESSQMGKLPASSGYDTVKSQLEDAEGAVMELIGTNNKLTSKAEEYHSTNGMGTKSEESSSTGRRQISTQSRKESEKVGRLELELHKIQYVMLKFEEEHVNRHTSAMDRRSRTLLSDYIYGRRDGRRQTKKSSFCGCMRPKTKGDQ >Ma07_p27270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33707610:33721180:-1 gene:Ma07_g27270 transcript:Ma07_t27270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQAESRRLYSWWWDSHISPKNSKWLQENLADTDMKVNTIIKLLEEDADSFARRAEMYYKKRPELLKLVEELYRAYRALAEKYDHATGALRQAHRTMAEAFPNQIPLVLSDESPYGYSGNEAEPHTPEGPPPLRALFDLDELQKDALSLSSELHVIKRNGGYSEPSDSLSSKKGLKQLNEMFAIGEGTAFTTSEGRVRKGLHFQEEEGQDLENITHKCSREQNQVKEKQDASYVTTGLQQDISQLSPGSQNMKNQITTESDRNNKTENELQGLKDRISELISEKEASNIQYQISLERISVLESQISTTQNELRKLNDEMVNKVKKLQSSEELNQSLLLELEMIAKQVNMEENELHQKREELEKLQITIEEKHQQCMQTEMALCLKEKLHTQSQEEIDHLSREIQIWIQKLRDIELCNVDLQEEICKLKEENGTLHEQNLHSSLMIKELQGKIILIEEKNKTLEDEVRLYLCEKEGLTEELNHIKEDINDLEGKHRDLMEQKEAASICAESLKAAVKDLQNKNSALNDICKKHEAEKEFLVDKLRDMDNVLEKNMVLEDSLADASIELEVLRGKTLALENLHESLNGEISNYIAEKNALVPQVEILTQDVCTLSEKNIFLENSVSDLGTEVDCLKSKLKDFERSCQLLSNHNSGLLAERKSFLSQIEILTQNVEKHSKKSSFLENSLSDVSNEVGRLRSKLKEFEESSQSLRDQNSNLLSERNALLLQVEILTQNLEKLSDKNSFLENSLSDVSSEVGSLRSKLKDFEESCQSLSDQNSGLLAEKNNLLSQLETLNQNVEKLSETNSSLESSLSDVTTEVGCLRTKLKDSEESCQSLSDQNSGLFAERNALVTEIEVLTQNMENLSHKNSRLENSLSDVNSEMACLKSKLKDLEESCQSLSNQNSGLLSERDNLLSRVVTFTQNVEKLTDRNSFLENSLSDISCEVESLRSQLKDCEESSQSLNDQNSSLLTERDSLLSQVKILTQNLEKLSDENLLLEKSLSDVSSEVWCLRSKLKDLEESSRSLTNQNSGLLAERNNLLSQLEILAQNIEKLSEKNSLLENSLSDVRTEVGCVRSKLKDSEESCQSLTDQNSGLIAERNTLVSQVHFLTQNMENLSHKHSLLENSLSDVNCEVECLRSKLKDFEESSQSLNDQNSGLLAEKSNLLSQVEILTQNAVKLGHKKLTLENSLTDERSEVRCLRSKLKDFEESSRSLNDQNSGLLTERNNLLSQVEVLTKNLEKLSQEKSFLENCLSDVSSEAGCLKSKLKDSENSCDSLRDQNSGLLIERDTLVSQVNNITLNLEELENRLVDMKDDNLNLTREKYLIISQVKDLQDLLKLEKEEHETRIQSFKCQLATSENHNFLLQQESQLKDQQLESEQDNVIGYLIGNFILQRSLSDVNGRNLVLLKECEKNIEACRRTEALISALEQEKLMHIKNIMSLSEQNEKLRTGICLLQNTLIVGKKSVSVDEFQVEVLIDIILGEFRNILNCVSEAEHDNQLLHLEISVLVTMLKNTMLDLASLRLDKCSLEKERDMKTKELLALGNKNLQLRELNEKLMNDVEASNQREVESKTAMKVFHEHLTDLQEALLTSKYEIQNLIENKKILMDELCNLREKHNLLEEEHIEVLAEALKLDHLYLLFRNHSAEKLSELKSFTYDLDSLHFIKNALDAEIDKLKEKIKILEAEKTHIREFVTYLEEEFRNHVLLSEFDLFTATCVCEELSLQRQRLESQLLQKQSQLLEISQNAQSTQQKNLELCRILDGIQLDYEADKLIKEELAQKISTLSEVVVDRNKEIRCLYEANETLQREIYHMREEVKVLMSREEDLKLELQKEIDENEHCEVEIKALLSDIQVSTVNSALYEEKVHELILEEVGSLLQKETLKMEVALTKEQLDSMKKKLDDLEGENSGLKAGLDFYLALVASLWNSVKSLEEQIMTMSKPRISICHDKEVLPLVPHHHHCDNQPSDGYKAMNIEGIPVLEKLITKVKLLEEVIVDIQSHRQQEGFDANSNSEAASKETKGIKINEIGLGQEAQVNLHSIEHVDDGGLNDTEITKGKNGQVTKDIQLDQGSSSLPYRTIGSYGLSRISNDGIDDQLWEAAETNCSKQVWKTSTDATEHDIEPVEEEKSEYPSSELMVEKEPSVDKLEIPTRVLTSRQEWTKRVLESLQNDARRLSDLKTNVKDLKRKMESSQMGKLPASSGYDTVKSQLEDAEGAVMELIGTNNKLTSKAEEYHSTNGMGTKSEESSSTGRRQISTQSRKESEKVGRLELELHKIQYVMLKFEEEHVNRHTSAMDRRSRTLLSDYIYGRRDGRRQTKKSSFCGCMRPKTKGDQ >Ma09_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9077728:9079582:-1 gene:Ma09_g13460 transcript:Ma09_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINLMRSQMSCISTWTRPSVLIMSGFKNPSAIQQRGIVSFCKELDVIQQAQAGPGMTVALCSGILQRLVYSFLECQALVLSPTRKGAQKIEKMLGALGCYLGVKVYAATGATSVCEHQQDFSNGFHVIVGTPGCILDMLLLLPSFLRMFGLGHADVMLDQVFDIFKRLPSRIQVGPFSATTNVGLSLLQCRKIQYKPVRILLKQDEHIGKHIKQFYVDVEEEMKLESLVNLHDASATTESIIVFVTANTKLSLTDNIRTWLRTNGMRSCMNSNQVVLVFLSPPIINYDLPARARRSVCFGRRKNAV >Ma09_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29961566:29961778:1 gene:Ma09_g20750 transcript:Ma09_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFCGRRRLALTSLTGIDRCFTSQTLQGIILFAVILAAIPSCPLWHRLRMDCYFPLVSSLQERVLFHGQ >Ma08_p25080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38071815:38072252:1 gene:Ma08_g25080 transcript:Ma08_t25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEGCVAVAAGRPTTVKKPKPKPKTRILHVFPPEIIKTDAANFRELVQRLTGKPVTRASSNRRKKKKEDEAGCVGLEGEVAPSCEQVGLWRGTQEPGSGGGAKVELEEKDSGGFSWEFGEIESFLHELSDVSWLPSCTSPANDS >Ma11_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24975849:24978930:-1 gene:Ma11_g20510 transcript:Ma11_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHEEEGEGGQGVAVERAFEGQRVPPLQEQLTARALVVSFVLSVMLSVVAMRLNLTAGIVPSLNATAGLLGFFFVKLWTKGLEHAGLLRTPFTRQENTVIQTSVVAAYGLAFSGGFGKDLFGMSSRIANQATEANDSQNTKDPDLGWMIGFMFAISFLGLFSLVPLRKIMIIDYKLIYPSGTATAYLINSFHTPQGEKLAGEQVGTLCKSFGCSFLWGFFQWFYSAGKDCGFRAFPTFGLKAYENKFYFDFSATYVGVGMICPYIVNLSLLLGAILSWGIMWPLISNQKGHWYPADAPPDSLHGLQGYRVFTAVALILGDGLYNLLKILRQTTSSFISAVLSGPESDLPISDDERALTNLAISFDDEKRTAVFVKDHIPLWVAYGCYVVIATLSIATIPRIFPPLEWYHVLVAHVLAPVLAFCNAYGCGLTDWNTASTYGKLAIFIFGAWAGASHGGVLAGLAASGVVMSIAATASVLMQSFRTGYLTLTSPRSMLVSQVIGTAMGCVIAPCVFWLFYKAFDDIGIPGSQYPAPYALMYRNMAIVGVDGFSSLPKHCLTLCYFFFALGIAINLAKDASPGEVARFIPIPVAMAIPFYIGGSFAIDMCIGSAILYAWTKMNKAKAGAFGPAVASGLICGDGMWSLPQGVLALAQVSPPMCMKFGSGE >Ma01_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8023946:8026774:-1 gene:Ma01_g11120 transcript:Ma01_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPVSPRYDFYLFFLLIVCLPSSFLRASAAVELRTGDFDALLALKSSIDPHDSLPWRQEAVSELCGGWKGVKQCSPDGRVTKLVVEFLNLTGTLLQELLAPLDQLRVLSFKANSLSGAIPDLSPLVNLKSLFLSDNQFSGRIPVTIASLHRLKLIVLSHNLLSGPIPTSLAGLPRLHALLLQDNRLMGEIPPLDQPSLLYLNVSGNNLSGEIPATRSLSRFNRSSFLDNPNLCGDQIGAPCTQKLIFPPPTGSPKSSFDQVNAIPPRLLRTHKKSIRRIIGIVASSVAGAFFLSVCLTVALLLAYKRKRRRVIESRSVGHGSGGRSKPLEEGSSDGGGGGKGGFSWEAEDVGKLVFCGGAGEMYSLEELLRASAETLGRGTVGSTYKAVMESGFIVTVKRLKDASRPPAEEFRRRMEELGRVHHPNLVPFRAYFHAKDERLLVYDYFPNGSLFSLIHGSRPSGSGKPLHWTSCLKIAEDVAAGLLYLHQTNPPTVHADLKPSNVLLGPDFESCLTDYGLIPSLLPASHDDLSAPSPSSSSSSSSAAAAVFYRAPESRLPNPAFTPLSDVYSFGVLLLELLTGKTAMHDLVEEPGADIPRWVRTVRKREKNDFGEDPGPSEEKLTALLDIAVACVAVEAEKRPPTEEVLRMIREARAEVVASSNSSDHSPGRWSDTLQSLPREHGP >Ma05_p17960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22094327:22100105:1 gene:Ma05_g17960 transcript:Ma05_t17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSVKGSRGRGEGLSSGCSEPREAAPMRDDDEERSLGLSGGAAVRKDSEDALYTELWHACAGPLVTIPRMGEKVFYFPQGHVEQVEASTNQVADQQMPVYNLPWKILCRVMNVDLKAEPDTDEVYAQITLLPDPKDENTVEKETLAPPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQQPPSQELVAKDLHGVEWHFRHIFRGQPRRHLLQSGWSVFVSSKRLVARDAFIFLRGENSELRVGVRRALRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRMCPTEFIVPFDHVDSIKSNHSIGMRFKMRFEGEETPEQRFTGTIVGIGDADPSRWTGSKWRCLKVRWDEASLIPRPERVSPWKIEPVLTPPPNPGPMPRPKRPRTSNVPSSPDSSVLTKEAASKAGPCQSHEVSRVLQGQDMMAFRSTFADSNESDTTQKPLMWSVCDEKINDVSALRRSVSERWMHTKMHEQTYTDILSGFQPGDSSGFHSPGDKNLLKSHFQDQGAKHNCSPGSRSLMPPNSNFSIGECNLKMTRVVELSYQKLGSYRYDMQGVHTELQELGGDRHSENWLPRFLQDSRTENMPQTRVVKPQPLAPSQNDVVKSKGNSGCKLFGFHLNSKPVTSVSTTLTNGDTEKPNQVCQQAPKDVQNKLQGGSTRSCTKVHKQGIALGRSVDLSKFSGYDELIAELDQIFDFKGALLAPNKNWLVVYTDNEGDMMLVGDDPWNEFCNMVRKIYIYTREEVQSMNPGTLNSRLEESPATSEENSSGKKTNSPVHGTNRENSEGCAELSRSLEDVKPKKLDVAFCDGSNI >Ma05_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22094327:22100105:1 gene:Ma05_g17960 transcript:Ma05_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSVKGSRGRGEGLSSGCSEPREAAPMRDDDEERSLGLSGGAAVRKDSEDALYTELWHACAGPLVTIPRMGEKVFYFPQGHVEQVEASTNQVADQQMPVYNLPWKILCRVMNVDLKAEPDTDEVYAQITLLPDPKDENTVEKETLAPPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQQPPSQELVAKDLHGVEWHFRHIFRGQPRRHLLQSGWSVFVSSKRLVARDAFIFLRGENSELRVGVRRALRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRMCPTEFIVPFDHVDSIKSNHSIGMRFKMRFEGEETPEQRFTGTIVGIGDADPSRWTGSKWRCLKVRWDEASLIPRPERVSPWKIEPVLTPPPNPGPMPRPKRPRTTASKAGPCQSHEVSRVLQGQDMMAFRSTFADSNESDTTQKPLMWSVCDEKINDVSALRRSVSERWMHTKMHEQTYTDILSGFQPGDSSGFHSPGDKNLLKSHFQDQGAKHNCSPGSRSLMPPNSNFSIGECNLKMTRVVELSYQKLGSYRYDMQGVHTELQELGGDRHSENWLPRFLQDSRTENMPQTRVVKPQPLAPSQNDVVKSKGNSGCKLFGFHLNSKPVTSVSTTLTNGDTEKPNQVCQQAPKDVQNKLQGGSTRSCTKVHKQGIALGRSVDLSKFSGYDELIAELDQIFDFKGALLAPNKNWLVVYTDNEGDMMLVGDDPWNEFCNMVRKIYIYTREEVQSMNPGTLNSRLEESPATSEENSSGKKTNSPVHGTNRENSEGCAELSRSLEDVKPKKLDVAFCDGSNI >Ma05_p17960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:22094327:22100105:1 gene:Ma05_g17960 transcript:Ma05_t17960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVSVKGSRGRGEGLSSGCSEPREAAPMRDDDEERSLGLSGGAAVRKDSEDALYTELWHACAGPLVTIPRMGEKVFYFPQGHVEQVEASTNQVADQQMPVYNLPWKILCRVMNVDLKAEPDTDEVYAQITLLPDPKDENTVEKETLAPPPPRPHVYSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQQPPSQELVAKDLHGVEWHFRHIFRGQPRRHLLQSGWSVFVSSKRLVARDAFIFLRGENSELRVGVRRALRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRMCPTEFIVPFDHVDSIKSNHSIGMRFKMRFEGEETPEQRFTGTIVGIGDADPSRWTGSKWRCLKVRWDEASLIPRPERVSPWKIEPVLTPPPNPGPMPRPKRPRTSNVPSSPDSSVLTKEGPCQSHEVSRVLQGQDMMAFRSTFADSNESDTTQKPLMWSVCDEKINDVSALRRSVSERWMHTKMHEQTYTDILSGFQPGDSSGFHSPGDKNLLKSHFQDQGAKHNCSPGSRSLMPPNSNFSIGECNLKMTRVVELSYQKLGSYRYDMQGVHTELQELGGDRHSENWLPRFLQDSRTENMPQTRVVKPQPLAPSQNDVVKSKGNSGCKLFGFHLNSKPVTSVSTTLTNGDTEKPNQVCQQAPKDVQNKLQGGSTRSCTKVHKQGIALGRSVDLSKFSGYDELIAELDQIFDFKGALLAPNKNWLVVYTDNEGDMMLVGDDPWNEFCNMVRKIYIYTREEVQSMNPGTLNSRLEESPATSEENSSGKKTNSPVHGTNRENSEGCAELSRSLEDVKPKKLDVAFCDGSNI >Ma08_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2627730:2628906:-1 gene:Ma08_g03640 transcript:Ma08_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAAATSPFLGTRLPEHSLAKAVGRFQAQFGFGSKKSRPKKAKAPPTSDRPLWFPGAKTPEWLDGSLVGDYGFDPFGLGRPVEYLQFDFDSLDQNLAKNPSGDVIGTRTERVDVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALVVEWLTGVTWQDAGKVELVEGSSYLGLPLPFNITTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGKYFDPLGLAADPEKKASLQLAEIKHGRLAMVAFLGFAVQAAVTGKGPLNNWATHLSDPLHTTIIDTFSSS >Ma09_p05080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3275390:3275895:1 gene:Ma09_g05080 transcript:Ma09_t05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTYRIQRLILPQLHQLLVLPVSPLETLPAVNSVSAVGSDPRY >Ma01_p23560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28207701:28209370:1 gene:Ma01_g23560 transcript:Ma01_t23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-III [Source:Projected from Arabidopsis thaliana (AT3G23710) UniProtKB/TrEMBL;Acc:A0A178V7Q4] MAFPWLKPRNQNPPPSIPAKPNPFLFTIQSHLNAFSDASSSLKAHLDSAVETLRNRARRAVLSGHPHLPSPPPGPPPLPWARISPAGCSGVRRFDLAMSTEVMEERLAGVPVYALSNAAEEFVLVSGVRTGKSLGLFCFKKEDAEALLEQMRSMNRDMREGSKVVAVALNKVFQLKVDGVAFRFVPDSSQVANAIK >Ma04_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1589011:1590940:-1 gene:Ma04_g01810 transcript:Ma04_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGARWSIAWELRIEEVFCSGKEVYYAFGLKNSNISFKAAVNDFLRNTFVTKNIGIW >Ma10_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23005743:23008141:-1 gene:Ma10_g08690 transcript:Ma10_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKADNGWFVLLSVAAKCGELSTDPAHLLSLAVVVAVCWLATLLLHWASPGGPAWGRYWWSRRRLWGFGHVIPGPRGFPVVGSMDLMSGLAHRKLAAAADVVPGSRRLMALSLCDTRAVVTCDPDVARDILNSPDFADRPANETAYGLLFDRSIGFAPYGAYWRALRRIAVTHLFSAKQISAFASHRAEIAAQMVRALDHLVSRPVQVRNIVKQASLNHVMWFAFGKQYAIEQDTEEMRELRSMVEEGYELLGKLNWSDHLPMLAGLDLQRIRHRCSALVRRVDRFVTGIIEEHRARRARDPEAAPRDFVDVLLSLQGPDRLSDPDIIAVLWEMIFRGTDTVAVLVEWVLARLVMHKDVQARVHEELDVVVGRDRAVTGTDESGPLLYLQGVIKETLRMHPPGPLMSWARMATSDAIVGGALVPAGTTAMVNMWAISHDPAVWPEPLRFDPGRFLGPDGHAVELPVMGSDLRLAPFGAGRRSCPGKGLAMAAVELWVAALAHEFEWLPASDDVAAGGVDLSEVLRLSCEMAAPLTVRLRRRGPA >Ma10_p21450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31261990:31264206:-1 gene:Ma10_g21450 transcript:Ma10_t21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSATRSPTLETLSRTFSKLLRLRCSASDADVAPGEDDCSIHKLKAAQNLSDCSPILLSGCSGSDSCQVAYEKQQHWLSSNVKEAMESLLANLFASISAVEAAYVQLQTAQSPYDPDSIQSCDLAIVSELKRVSELKQSYFRNHSIIPYTDADGMMGPGPALAARIAEQHNLIKTSQITTSKLQAHLELRDFEISSLQAELLACEKVNQALESKLHPGRSLCVLDGLPLSDLSPIHFLAVLRWTFNSIRSFVKFMVKEMESAGWDLDAAAGAIQPDVLRRKKPGHRTFAFQSYVCQGMFSDFHHRSYNVAALKDRSAWGRRRWFDEFTELSRVGSNQKLSQRSAIAKFATAKYQALVHPKMESSFFGSRDRERSPAGSTGRDFPDSAFFSGFAEMARRVYLLHCLFFSFDDRFIFQVRRGSRFSQVYMENVVEDDEDAATELTPPTVGFTVVPGFRVGRTLIQSKVYPSSTMDGA >Ma10_p21450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31261990:31265323:-1 gene:Ma10_g21450 transcript:Ma10_t21450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSATRSPTLETLSRTFSKLLRLRCSASDADVAPGEDDCSIHKLKAAQNLSDCSPILLSGCSGSDSCQVAYEKQQHWLSSNVKEAMESLLANLFASISAVEAAYVQLQTAQSPYDPDSIQSCDLAIVSELKRVSELKQSYFRNHSIIPYTDADGMMGPGPALAARIAEQHNLIKTSQITTSKLQAHLELRDFEISSLQAELLACEKVNQALESKLHPGRSLCVLDGLPLSDLSPIHFLAVLRWTFNSIRSFVKFMVKEMESAGWDLDAAAGAIQPDVLRRKKPGHRTFAFQSYVCQGMFSDFHHRSYNVAALKDRSAWGRRRWFDEFTELSRVGSNQKLSQRSAIAKFATAKYQALVHPKMESSFFGSRDRERSPAGSTGRDFPDSAFFSGFAEMARRVYLLHCLFFSFDDRFIFQVRRGSRFSQVYMENVVEDDEDAATELTPPTVGFTVVPGFRVGRTLIQSKVYPSSTMDGA >Ma04_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7596990:7599254:-1 gene:Ma04_g10700 transcript:Ma04_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRHVRFAANYKYNESLSTLMYHQYSWTKFSSVIFVDIPVGTGFSFAKDSASADEVGDMSSSLQVYDFLTKTVPLVAHLISKGINAGKQPLLNLKGYPVGNPFTGEKVDMNPHGMGLISDGLLKVYSSFIRYAMS >Ma02_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17504355:17508439:-1 gene:Ma02_g06510 transcript:Ma02_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSEFINIIKSTACLNTSSADTGKGRSKLSSTKVSHGFHLVKGKSGHDMEDYHVAKYRYEKNHELGLFAIFDGHFGDSVPSFLQATLFDNILKEPLFWSDPKSAIKNAYCSTNNAILENSKHLGPGGSTAVTVIVVDGKDLWIANIGDSRAVLSERGAAKQLTVDHEPHVERSRIEKQGGFITIFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSTTEFVILASDGLWKVINNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIMIRFRC >Ma08_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:713329:717327:-1 gene:Ma08_g00760 transcript:Ma08_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKAIASVAALLFLLVSFSVFFSSDLRSPFSSFSLPSSASLRPRCSDIGGGARPPPLRVFMYDLPRRFNLGMLGRGAHREVPAAEGVGGFPPWPKTSGLKKQHSVEYWMMVSLMPGGSGEGAEAVRVLDGEEADVFFVPFFSSLSFNTHGHNMTDPDTEIDRQLQIDLLDILRKSKYWERSAGRDHVIPMHHPNAFRFLRDQVNASILVVADFGRYPRNLAFLGKDVVGPYVHVVDSYLEDDPPDPFETRPTLLFFRGRTVRKDEGIVRSKLAKILKEYDDVLYEDSFATGEGIKASSQGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDRIELPFEDEIDYSEFSLFFSAEEALRPGYMVGQLRQIQKERWILMWRRLKNISHHYEFQYPTREEDAVNMIWRQIRHKLPAANLAVHRNRRLKIPDWWSKKR >Ma09_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2940457:2947894:1 gene:Ma09_g04510 transcript:Ma09_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARDGVALGLLVASILWLVPRPTHGGGGACELSVRHSDVLYNYSLASPTDKFPHGVLSEDGFYKVTVNETSLWFQLCDQMIFNHDPPRCYDCQDCGGPSRCGTMCNALVANSVGGYFVCTTIGRASNLNVALIDQNSPQKGVVVKTSSIGPKVNCSLSVSVFCDSNEAQVSDTFNITGNCDYAVVLRHPSGCAKVIYVNGKGWGWFGTLMMIILCLLVGYVLVGTIYRFFFLGIQGIEAIPNLEFWLSLPYKASSMLRGLVRRFSRDSRGSYAPVNY >Ma11_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26444588:26445577:1 gene:Ma11_g22840 transcript:Ma11_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMHVNSTKEEEEEEDDDGTILSTIVSLLLACVRHAKHLLVGERVGVDCVRSQREE >Ma03_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10228794:10229115:-1 gene:Ma03_g13220 transcript:Ma03_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESLSSPHRRSQSTNLSPSPSKQQASGHVELGSWSTVLQRHRFLLTMLSLLAVLCTIYLYFAITLGATDSCAGMSGAEKALCRSNVLRDGKIKFF >Ma06_p30960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32188390:32193776:1 gene:Ma06_g30960 transcript:Ma06_t30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWWCLMVVVVVVVAVVGRRGEGYPEEDLVVRLPGQPKVGFRQYAGYVDVDEKAGRTLFYYFAEADGDAHAKPLTLWLNGGPGCSSVGGGAFTELGPFFPRGDGRGLRLNKMSWNKVSNLLFVESPAGVGWSYSNTTSDYNCGDESTANDMYKFLLRWYDKFPEFRLKNLFLTGESYAGHYIPQLANVLLDHNQHSTGFKFNIKGVAIGNPLLKLDRDAPATYEFFWSHGMISDEIGLTIMNQCDFEDYTFSNPHNVSKACNDAIEKANIIVGDYINEYDVILDVCYPSIVEQELRLRKYVTKMSVGVDVCMSVERYFYFNLPQVQLALHANRTKLPYSWGMCSGLLNYSSTDVDIDILPLLKKIIRHGTPVWVFSGDQDSVVPLLGSRTLVRELAHDLNFRVTVPYGVWFYKGQVGGWVTEYGNLLTFATVRGASHMVPYAQPARALRLFTSFIHGQRLPNSTHPSIS >Ma05_p28470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39468781:39472096:1 gene:Ma05_g28470 transcript:Ma05_t28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEESTVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIITSVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >Ma07_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16297064:16298407:1 gene:Ma07_g17150 transcript:Ma07_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANGFLCRSHVDAVCIPGDPRSMIMPRRPDRTLAEHSRLVDLKYSRLVDSRRFNSGERSRAVTLPMVTKKQREPRPAKTTTATGTVPSRPPSNDHVFQVVVMRVSIHCQGCAGKVRKHISKMEGVTSFSIDLESKRVIVMGHVSPVGVLESISKVKKAELWPC >Ma07_p04240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3173911:3181839:-1 gene:Ma07_g04240 transcript:Ma07_t04240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGREAIRPVAAEEEAMKRNTDCVYFLASPLTCKKGSDCEYRHSEGARINPRDCWYWLNGNCINTKCSFRHPPLDSLFAKPMPPSGSVPPPQTAPLTRAPPAHSLSNNINKQSVPCHYFQWGQCLKGERCPYLHGPQASVALVSHQAAKASKLLPEPPQTSKKDRLQNATMQQNVTELNLDNPKTIVNMQIEMPSATTKLVTKAENAPNAELSENKRLPFCPLDDEPPAAPQNVITTSCGHTLSKPWSHQIQATNEQPENGRDTDEFLREYSPGFDVLVEDDIKDPDYFHNEDDFRMTSAHGGQNLEPEDDYDYHHSDYELITKTGRDRSNDRAKYDNYEQTRGRHGWEPKTSERFLDKPPSHGRVVLDREAKLDEMDGSDLRHQLLKQRRLNGSRSTDSRDGHGEHSRRKEDNVQERDYGHHFRDRRQFPPKNSLSTRLQGRIAFPRRSLTDRASNLLLEKERGRGLQGRLSPVRRLNSQVRHPERIRQQPTEEFGKDSRSIGNRPSRRVDANSIDFAGPKSLAELKGAKINDSSQEQLIISTNVNTKLIKAKPGKVEGLQELDNSPLFEGPRPLSSILKRKREMAYADNEISTSQYDNNQGGGESAINESVSAVILSLQSVHPVEAGREGDHTIGSHKEQDVGAVDGVITTEDGELTYDDIQSSTKADAVETEDGMGLENVEEEELENYCQRDGGFDYESGDFKANNDENAFQGNEEELDDEDDFARKVSVMLS >Ma07_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3173911:3181839:-1 gene:Ma07_g04240 transcript:Ma07_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGREAIRPVAAEEEAMKRNTDCVYFLASPLTCKKGSDCEYRHSEGARINPRDCWYWLNGNCINTKCSFRHPPLDSLFAKPMPPSGSVPPPQTAPLTRAPPAHSLSNNINKQSVPCHYFQWGQCLKGERCPYLHGPQASVALVSHQAAKASKLLPEPPQTSKKDRLQNATMQQNVTELNLDNPKTIVNMQIEMPSATTKLVTKAENAPNAELSENKRLPFCPLDDEPPAAPQNVITTSCGHTLSKPWSHQIQATNEQPENGRDTDEFLREYSPGFDVLVEDDIKDPDYFHNEDDFRMTSAHGGQNLEPEDDYDYHHSDYELITKTGRDRSNDRAKYDNYEQTRGRHGWEPKTSERFLDKPPSHGRVVLDREAKLDEMDGSDLRHQLLKQRRLNGSRSTDSRDGHGEHSRRKEDNVQERDYGHHFRDRRQFPPKNSLSTRLQGRIAFPRRSLTDRASNLLLEKERGRGLQGRLSPVRRLNSQVRHPERIRQQPTEEFGKDSRSIGNRPSRRVDANSIDFAGPKSLAELKGAKINDSSQEQLIISTNVNTKLIKAKPGKVEGLQELDNSPLFEGPRPLSSILKRKREMAYADNEISTSQYDNNQGGGESAINESVSAVILSLQSVHPVEAGREGDHTIGSHKEQDVGAVDGVITTEDGELTYDDIQSSTKADAVETEDGMGLENVEEEELENYCQRDGGFDYESGDFKANNDENAFQGNEEELDDEDDFARKVSVMLS >Ma07_p04240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3173911:3181842:-1 gene:Ma07_g04240 transcript:Ma07_t04240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGREAIRPVAAEEEAMKRNTDCVYFLASPLTCKKGSDCEYRHSEGARINPRDCWYWLNGNCINTKCSFRHPPLDSLFAKPMPPSGSVPPPQTAPLTRAPPAHSLSNNINKQSVPCHYFQWGQCLKGERCPYLHGPQASVALVSHQAAKASKLLPEPPQTSKKDRLQNATMQQNVTELNLDNPKTIVNMQIEMPSATTKLVTKAENAPNAELSENKRLPFCPLDDEPPAAPQNVITTSCGHTLSKPWSHQIQATNEQPENGRDTDEFLREYSPGFDVLVEDDIKDPDYFHNEDDFRMTSAHGGQNLEPEDDYDYHHSDYELITKTGRDRSNDRAKYDNYEQTRGRHGWEPKTSERFLDKPPSHGRVVLDREAKLDEMDGSDLRHQLLKQRRLNGSRSTDSRDGHGEHSRRKEDNVQERDYGHHFRDRRQFPPKNSLSTRLQGRIAFPRRSLTDRASNLLLEKERGRGLQGRLSPVRRLNSQVRHPERIRQQPTEEFGKDSRSIGNRPSRRVDANSIDFAGPKSLAELKGAKINDSSQEQLIISTNVNTKLIKAKPGKVEGLQELDNSPLFEGPRPLSSILKRKREMAYADNEISTSQYDNNQGGGESAINESVSAVILSLQSVHPVEAGREGDHTIGSHKEQDVGAVDGVITTEDGELTYDDIQSSTKADAVETEDGMGLENVEEEELENYCQRDGGFDYESGDFKANNDENAFQGNEEELDDEDDFARKVSVMLS >Ma02_p18250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24809644:24810866:-1 gene:Ma02_g18250 transcript:Ma02_t18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVDLVPKALRLMLCVLNLFLLDIVYTITLQASIYVFNL >Ma06_p37220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36354828:36357917:1 gene:Ma06_g37220 transcript:Ma06_t37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVGHAGLTFWSPNINVFRDPRWGRGQETPGEDPLLGGKYAVGFVQGLQDNVDDPVKLKVAACCKHYTAYDIDNWKGVQRYTFNAVVTEQDMADTFQPPFKSCVSNGVACAMCSYNQVNGVPSCADGGLLAGVIRGDWKLNGYIVSDCDSVSVIYNNQKYTKTPEDAAAITIKAGLDLDCGSFLPSHTLAAVQGGKVTEAQVDNAITNSFVVLMRLGFFDGDPRNLAPYGKLGPQDVCTPENQELARDAARQGIVLLKNFDDALPLKASAIKSVAVIGPNANATRTMIGNYEGVPCNYTSPLQGLSAVVTTIYEPGCATIHCSPDDLHLDGAKIAAARADATVIVVGGDLSVEAEGLDRESLLYPGEQGTLITEVAKVAKGPVILVIMSGGPFQIKQDKVNVNISSILWAGYPGEAGGAALADIIFGRYNPSGRTPFTWYDQEYVEQVPMTDMRMRPDPASNYPGRTYRFYTGNPTYAFGYGLSYTRYDHNLVQAPEKLSITLEEGHPCYSEGCNSLDLAGSAHCSGLQFDVHLKVQNSGGMAGSHTVLLFNTPPAVHNAPRKQLVGFEKVFLEPNESKNVAFQVDACRDLSVADESGNWKVPLGSHVLHVGDVTHTLTLSI >Ma04_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7792749:7798763:1 gene:Ma04_g11070 transcript:Ma04_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNILDPSVQTAIPATISAQPWWCGPVFAAVNTSKSPVVGTEAGQLRLSHGLDDTGDVNKEADSMGTKPDGGLGEKNKSLQPTSNAITSMMPEILSPHTQLELGQSITCATYPFADPYFAGLVAPYGTQALVHPQIIGMPHPRMPLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKKVIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKTDDGAAKTETHEGLNPSSPPLMPLAISSNSLISNCSGNADPSRIMQEGKLESKVSVGRKEGYQEQSGSQFSQNEDYAGKQRAGILVNQPPSRAVATQ >Ma04_p11070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7792749:7798763:1 gene:Ma04_g11070 transcript:Ma04_t11070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNILDPSVQTAIPATISAQPWWCGPVFAAVNTSKSPVVGTEAGQLRLSHGLDDTGDVNKEADSMGTKPDGGLGEKNKSLQPTSNAITSMMPEILSPHTQLELGQSITCATYPFADPYFAGLVAPYGTQALVHPQIIGMPHPRMPLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKKVIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKTDDGAAKTETHEGLNPSSPPLMPLAISSNSLISNCSGNADPSRIMQEGKLESKVSVGRKEGYQEQSGSQFSQNEDYAGKQRAGILVNQPPSRAVATQ >Ma04_p11070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7792749:7798763:1 gene:Ma04_g11070 transcript:Ma04_t11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNILDPSVQTAIPATISAQPWWCGPVFAAVNTSKSPVVGTEAGQLRLSHGLDDTGDVNKEADSMGTKPDGGLGEKNKSLQPTSNAITSMMPEILSPHTQLELGQSITCATYPFADPYFAGLVAPYGTQALVHPQIIGMPHPRMPLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKKVIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKTDDGAAKTETHEGLNPSSPPLMPLAISSNSLISNCSGNADPSRIMQEGKLESKVSVGRKEGYQEQSGSQFSQNEDYAGKQRAGILVNQPPSRAVATQ >Ma04_p11070.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7792749:7798763:1 gene:Ma04_g11070 transcript:Ma04_t11070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNILDPSVQTAIPATISAQPWWCGPVFAAVNTSKSPVVGTEAGQLRLSHGLDDTGDVNKEADSMGTKPDGGLGEKNKSLQPTSNAITSMMPEILSPHTQLELGQSIVHPQIIGMPHPRMPLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKKVIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKTDDGAAKTETHEGLNPSSPPLMPLAISSNSLISNCSGNADPSRIMQEGKLESKVSVGRKEGYQEQSGSQFSQNEDYAGKQRAGILVNQPPSRAVATQ >Ma11_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6205005:6218386:-1 gene:Ma11_g07770 transcript:Ma11_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MAPLLRATYFPRYSRLRHLRFPLLLLLPSRSLRSAAPVAAPGLDLTNPTYVVWGCNTGVGKTLVSAGLAASVLSPCSTDPSAFLYLKPVQTGFPRDSDSRFVCRKVSALFRRYEGGSLSGLLASDHVLNASRAAAGELLGGRLEQPDEAEEGGAASRGLCMYEETRTGMGEAKEGESKLVCKTLFGWREGISPHLAVKREGMPVEDSSLRELLGRCLGLSLGGGGDDGGKKRIWRVIETAGGVASPGPSGTLQCDLYRPFRLPSILVGDGRLGGISATIAAYESLTSRGYDVVAIILEDQGLSNEVSLQSYLRSRLPVLVLPPIPGDPLNNLLDWFYESRQTFSSLQDIMLSAHIKRIQRLHDMPRKAGSLFWWPFTQHKLVPEKMVTVIDSRCGENFAIHKVLNDQEMIIPQFDACGSWWTQGPDSTLQIELVKDIGYSAARYGHVMFPENVYEPALQCAELLLDGVGKGWASRTFFSDNGSTAVEIALKMAFRKYMSDHGIHADFHKLGPKGSCIELRVLALSGSYHGDTLGAMEAQAPSSYTSFIQQPWYSGRGLFLDPPECFISNEIWSLSLPDCLLTNHLKPEDTRFSSCAELFCPSRDTSAVAKNYANYISKQLSDFAASSRSIQVGALIIEPVIQGAGGMHLVDPLFQRILVWECQSRKIPVIFDEVFSGFWRLGRESAAELLGCQPDVACFGKLLTGGVVPLAVTLATESVFEAFQGDSKLLALLHGHSYSAHAVGCASAAKAIRWFKDPNTNANFDIERKMLKELWDVKLVHGLSSLPAVQRVVVLGTLLAVELKADGCDTGYASLYASSLVQQLRADGLYIRPLGNVIYLMCSPCTSPHFCSQQLCKLHQRIYEFSEPRRKE >Ma04_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5206226:5214300:-1 gene:Ma04_g07160 transcript:Ma04_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCSKKGWKSLMPLRLSRSSTHFCMFPKVRSASQTSGNAPVYLNVYDLTPINGYMYWAGLGIYHTGVEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFRFRKSIFMGTTCLDPLQLREFMELQSVNYNGDTYHLIMKNCNHFCKDICYKLTGNSIPKWVNRLARIGSLCNCLLPEALKITAVQHGPDSPSEDGEKRRLRSAFGCLSSISMRQRQFSASSLLLTSPFRGSLSHWDFRRSGAIPLKDT >Ma10_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22786125:22787080:-1 gene:Ma10_g08410 transcript:Ma10_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGFRFSFSLSSLSPGANPCRRRDAPHHMRSTSLPCPVLSPLLDEIRSLRSSPRRDGFARINRLLAALDDLLHLPRTRDTLRRRPAWADRLFDDFLRLADAHGSFLSDAIALKQHLSEGRTAIRRGDPVRLASAARSHRRVEKEIAGLASSIKDLARSPTLAPGIGPDSEIAGIIAEAVAATAATSTEVFLSVSGWGSWMVWAMKRPSKKGLEEAEMVAMEKLEELMERIEGLEDGSGRVFRSLVNTRVTLLNMLTPSL >Ma03_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27431524:27434655:-1 gene:Ma03_g22690 transcript:Ma03_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGRSGNAHRDNAVILKMNTFHRTGILQASAPGWNGTAGVNTSPITKKVIRLDIPVDKYLNACGNFLTLILFLQKQYNYLSINYSIFAEFTADIVDLCLNQAVTILDLVKPMVESMDYYKNQQLRELAILNGTLREKTLI >Ma06_p37750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36736361:36738990:-1 gene:Ma06_g37750 transcript:Ma06_t37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLLHGVLHATIFEATSVSDRCRITRHAPKFVRELVEAVEKTVSFGKGWSRFYATIDIEMARLGRTRVITGHHGNPRWNESFHIYCAHTAANVVFTVKLEEPIGARVVGRASLSTEELLGCAEVDRWLEILDEDRRPLRGGPAIHVSLRFVAADMDPNWGRGVLSIRYPGVPHTFFSQRPGCRVTLYQDTHSSDGFFPRIPLADGKQFEAHRCWEDIFDAIQDAQNLIYITGWSVYTEITLVRDPRRPKPEGDVTLGELLKRKASQGVRVLILIWHDRTALGLGSVHYGGIMDTHCEDTFCYFEGSDVHCVLCGRDPDLGDSLVEDVKVLYMFTHHQKTVIVDHAMPNGNSTMRQIVSFVGGIDLCDGRYDMQFHSLFRTLAAEHRNDFHQPNFDDASLERGGPREPWHDSHTRIEGPAAWDVLFNFEQRWRKQGGKDILLRLTDLSDIIIPPSSVMFPEHRDTWTVQLFRSIDNSAAFGFPESPEDAAAVGLVSGKDNVIDRSVQDAYIHAIRRAKNFIYIENQYLIGSSFGWKADDIEPKDIGALHLIPKELSLKIASKIEAGERFAVYVVMPMWPEGAPELGQGQAILDWQRRTMEMMYTDVAQALRAKGVEANPEEYLNFFCLGNREVKRSGEHEPREHPKPDTNYMRSQEARRFMIYVHSKLMIVDDEYIICGSANINQRSMDGGRDSEIVMGAYQPYHLSTTEPARGQIHGFRMALWYEHLGVLDDDFLHPESLHCVQKVNNIAELYWDLFTGERQDGDLPGHLLPYPIGVTYDGSITQLPGFEFFPDTRGRVLGTKSAYILPVLTT >Ma09_p07550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4972181:4974190:1 gene:Ma09_g07550 transcript:Ma09_t07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLFQPPPPQPVSLDLRDLKALSVLGRGAKGVVFLVRAAASSGEEALALKTVSRSSIERKASSGDAYRRVWLERDVLLALRHPLLPSLRGVVSTDRIVGFAIDRCSGGDLASLRRRQSEKMFSDDVIRFYAAELVLALEYLHGLGIVYRDLKPENVLIQDSGHLMLVDFDLSAKLSSKPLPEQPLKSSPPTAVRRIESMPRGTGMKKKKKNTKIKGKKSPRLTGCFSFNAGVSPETVEAPPAPKPPSASKWSSSGKSNSFVGTEEYVAPEIIEGRGHDFAVDWWGLGVVLYEMLYGQTPFRGQNRKETFYRILTKEPELAGDPTPLRDLIRRLLEKDPERRITGEGIKAHEFFRGVVWEQVIQVSRPPFIPTPLPDHWESGDAGSEGLDVERTVDEVSAAKEAAEAKTASGNGAEGVTVSPTADDFSVF >Ma03_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25171636:25172797:-1 gene:Ma03_g19900 transcript:Ma03_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVVPYTGGREEEAEGAGGGGGGGARYRECLRNHAAAMGGQAYDGCGEFMPAGEEDSLDALKCAACGCHRNFHRREGLSVAGPRPPLLLYGPGASAAAAAWDHSKLGQQPPPPQFPAFLPAPMPLPYHAMQPPPLAAALPLPPCQEAGPDRACRVGSETPPRREEAAAEASGSRKRFRTRFTAEQKEKMQAFAEKLGWRVQKQDDVALDEFCLQIGVKRHVLKVWMHNNKSHLSSSSSSSSASHSATADPATRDAASASASAPPIHA >Ma02_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23693739:23699583:1 gene:Ma02_g16240 transcript:Ma02_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFRVVHRTRWQSKSRVPEDLQASAQSKSRRLHATLTEYRYAKKETSNRRNRMDKNESKKEGEERSPSSTRSSHPNPHLRKGSRDLLLLKPLRRSLILLTTPPEMCPRRTPLRVRVGPYYRATMCPRRTLLRVLRVPLESVVTSTSPDSVVTSTSPTWTLPGILLRAR >Ma04_p33640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33162588:33163301:-1 gene:Ma04_g33640 transcript:Ma04_t33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGREEAGDNTNNNEKIESHRCRRSLAGFFQLRMPHMGHRERKERAAAGLPPKGCMAVRVGQEGEEQRRVVVPVAHLSHPLFAEFLEEAKAEYGFSQPGAISIPCGVDHFRHVNDVIDRERGSAAVHHHHLPLRLLHFTCCFGA >Ma07_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27644194:27648699:-1 gene:Ma07_g19670 transcript:Ma07_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASK >Ma07_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9105136:9106886:1 gene:Ma07_g12200 transcript:Ma07_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIPEVTLSSGHAMPLVGLGTASFPPATPEAFRTAMMDAIALGYRHFDTASLYGTEERLGEAVADAIGQGLVKREELFITSKLWCTDAHADRVVAALRQSLGALKLEYLDLYLVHWPISMKPSDTKFPINKDDFLPIDLKAVWEAMEECQRAGLTKSIGVSNFSCKKLERLLSTASIPPAVNQVEMNPIWQQNKLLDYAKNKGIKLCAYSPLGSTGTPWGTNEVMECDVLKQIALTKGKSVPQVSLKWLHQQGVGVIVKSFRKERLKENIDIFDWELTAEELQKISQIPQSRGPAGDGFVSANGPYKSLEELWDGEI >Ma07_p01770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1373290:1380773:1 gene:Ma07_g01770 transcript:Ma07_t01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRGGVLLKKVASFLTTHHPHPAFLPSSAVPLRFVAGPSGPPAAVAMAEATAVLQKKRALRSQIRRALKIFSPAQRVQEDVAIQNLVLNSPWFKSSKGLCAYISCEALREVDTSKIVAEVLKNSDAEHGMQVKKMLYVPRVEDKNSHMRMLRISNIEDLVANSMDILEPSPVDADQNEREDVMLATQPVDLFLLPGLAFDRHGRRLGRGGGYYDVFLQKYEELANQQKWKQPLRVALAYSVQIVDDDLIPTTVTDVPVDAVVSADGVIPISPAALERM >Ma07_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29611013:29611102:-1 gene:Ma07_g21530 transcript:Ma07_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMHGSIDEWLMIIVAKLRSDRSINWIV >Ma04_p36010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34527299:34542345:-1 gene:Ma04_g36010 transcript:Ma04_t36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLGFVVGFAALICAALLSISAAASIRPISDAHRSAALELFVPIDGSFPSLEETYEALRTFQILGLGKTSDISNATCPVVLDKLRSPTSSPKEFFNALRLNGILGCEIGSQTFENVASHLHALIKDADSLMDLYYSVISLLHIKGQGVSAVLSDAEGVFHSIKALSQSDGRWRYDSNNAESSTYAAGIALEALAAVVSLADAEVDQSKIGIVKHDIEKLFDSIKSYDDGTLYFDEKHVDGSEYKGPLTTTASVVRGVTAFAAVAGKLNIPGDKMLGLAKFFLSIGVPGSTKDLFNQIDALSCLESNRISIPLILSLPATVLSLTSKDQLKVEVTTVFGSAAPPLTVILVQASSYDKDTPVLENQELQFDTENNIHYLDILPLKIDVGKYTLEFEISLHDPENLNIYATGGRANALAFLTGTIKVDKAQIGVFDSDAESAATMQKLDLSQDNRISLAANHLQRMRLTFQLVTPLGHNFKPHQVFLKLRHESKVEHIFALESSARQFKIILDFLGLVEKFYYLSGRYEIDLAIGDAAMENSFLRVLGYIDLDLPEPPEKASRPPPQPVDPYSRFGPKPEISHIFRSPEKRPPKELSFSFLALTIVPLVGFLIGLLRLGVNFKGFPSSSVPALFSILFHAGIAAVLLLYGLFWLKLDLFMTLKALGLLGVFLIFVGHRTLSHLASTSAKLKTN >Ma08_p25680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38425514:38427728:-1 gene:Ma08_g25680 transcript:Ma08_t25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGLERHRSGRLLQSLAAHPPQPEACGGGERDTETLRVLTSSHGAFFPCGLPSLRFFIDEGNDDSTNESMLLLPKRTFQPSHVKRKRTHGYLQWKSTKGGRKVIARRLAKGRARIAVEDVAVTSQMDAGGTGSCHGDSISP >Ma10_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29350147:29351372:1 gene:Ma10_g18440 transcript:Ma10_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAASLRSCSLGGGGGGDQSPPRPPPPHLAEATDESAGGITVELNSDTALPYHWEQCLDMRTGEIYYINRETGVRTGEDPRTAAASSSAYSSSYYCDGDGTSDEYSCSRVGSEDVDYEEEEEDDGDTADSSTLSCTSPPESSANEEPGGQILVAAGCKACFMYFMVPKRVDACPKCGGSLLHLGRNGCV >Ma05_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4499825:4506304:-1 gene:Ma05_g06000 transcript:Ma05_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNESCIVAVARQRGIDVVLNDESKRETPAVVCFGEKQRFIGTAGATSSTMNPKNSVSQIKRLVGRKFSDPEVQRDIQLVPFKVTEGPDGFPLIHANYLGEQKTFSPTQILAMVLSNLKSIAENNLNAAVMDCCIGVPVYFNDLQRRAVIDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGYKKGQLKILAHSYDRSLGGRDFDEVLFKHFAVKFKDEYKIDVYQNARACLRLRTGCEKLKKMLSANPEAPMSIECLMDDKDVRGFIKREEFEQISVPILERVKQPLEKALSEAGLSLENIHSVEVVGSGSRVPAIIRILTEFFGKEPRRTMNASECVARGCSLQCAILSPTFKVREFQVHESFPFPIALSWKGSASDSQNGGTENQQSTIVFPKGNPLPSVKALTFFRSSTFTVDAVYADTADVQVPAKISTYTIGPFQSSKGERVKLKVKVRLSLHGIISVESAVMLEEEEVEVPVSAAPELPKESTKMDTDEAVNEASKTETDLNMEDAKSASNSSASGVDNGVPESNDKPVEMETDNKVEATKKKVKKTNVPVVELVYGGMSAEELQKAVEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLYDKYQEFVTPSEKDELIAKLQQVEDWLYEEGEDETKGVYVAKLEELQKLGNPIEVRYKEWTERGPAIAQLAYCINSFRGAALSKDPKFDHIDIAEKQKVVSECSDAEAWLREKQQQQDGLPKYATPVLLCADIKRKTEALDRFCKPIMTRPPPPKPQTPPTATPGEQPQAAEQQKPGVHASGEPMAEEGSQVPPAAAPEPMDTDKSESVPAA >Ma07_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29177465:29181524:1 gene:Ma07_g21090 transcript:Ma07_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSQRNAVNESNSPIARSLGIRLSLDSPSQRIFSRTASLGRIAGTSYWHLGSIRPNLIVEGIPTDTTRPAAAGDDQAVGVEMAGNGLRQGSLSRMSSRESWQRCSISFSSDHGTIDNLALPQELTDRIDSSLAQVGCRGSRLDDKEHEDGVVKFADDSLILSFNSWSYEANLPSVVTPLTEEIVSPLPTDSILSGKGKSHMTGIASPKDKQYKLPFWLDYISYLSHLAVFGILGVYTRYLLQKLFGPDLLRLTGDSPLYLDLPSNMIGSFLMGWIGFVFKADILHVSEHLLVGLSTGYLGSLTTLSGWNQKMLESSSKGHWVHTVAGLILGIFLVNYSIIVGVGCAGGLRRGIIAWCDKRNTNLEKWRVNNRNKHVVVMAAVLLIWCLLWTLSGEFFRVKLNRVSNSAVPWLAFLVGPPGVWLRWRLARLNGRGIGSKRMLKWLPIGTLLANVLAATISAAAAIISKAVNTEKCAIIVSGFQLGFPGCLSTVSTFAAEIYGMWKTGHGWRAFFYISITIFPSFALGTLVFSVPIWIKHYE >Ma01_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15186414:15188676:1 gene:Ma01_g19500 transcript:Ma01_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASLEKFLQERIKVSGDKVGALGNAITVTRDKSKITVTSDGPFSKRHLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAEKEGEDEDR >Ma09_p30810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40739620:40742248:1 gene:Ma09_g30810 transcript:Ma09_t30810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLLLSVTVPLRTRTLISPPLLSSPSHHLLSRSNKHSASPHDDPHDPPFSPIAKAPNPKPKQSPKARNPKPKQAPKALPSGMDKPSLPLHSDLPFDFRYSYSETDPSLRPIGYREPPRFSPFGPGRLDRKWDGVSAPATGEAAEDRVSDDRSSVLGDPLTEEEVGELVERYRHSDCSRQINLGTGGVTHNTLEDIHNHWKRAEAVRIKCLGVPTIDMDNVCFHLEDKTGGKIIYRHINILLLYRGRNYDPKQRPVIPLMLWKPLAPIYPRLVPKVAEGLTFEQTKELRNRGLNTPALMKLTRNGVYANVVERVREAFRTSDVVRLDCAYVYTSDCKKIGVKLRDLVPCIPILFKDRQIIIWRGRETQDSDQCDS >Ma09_p30810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40739620:40741870:1 gene:Ma09_g30810 transcript:Ma09_t30810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLLLSVTVPLRTRTLISPPLLSSPSHHLLSRSNKHSASPHDDPHDPPFSPIAKAPNPKPKQSPKARNPKPKQAPKALPSGMDKPSLPLHSDLPFDFRYSYSETDPSLRPIGYREPPRFSPFGPGRLDRKWDGVSAPATGEAAEDRVSDDRSSVLGDPLTEEEVGELVERYRHSDCSRQINLGTGGVTHNTLEDIHNHWKRAEAVRIKCLGVPTIDMDNVCFHLEDKTGGKIIYRHINILLLYRGRNYDPKQRPVIPLMLWKPLAPIYPRLVPKVAEGLTFEQTKELRNRGLNTPALMKLTRNGVYANVVERVREAFRTSDVVRLDCAYVYTSDCKKIGVKLRFL >Ma09_p30810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40739620:40742248:1 gene:Ma09_g30810 transcript:Ma09_t30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLLLSVTVPLRTRTLISPPLLSSPSHHLLSRSNKHSASPHDDPHDPPFSPIAKAPNPKPKQSPKARNPKPKQAPKALPSGMDKPSLPLHSDLPFDFRYSYSETDPSLRPIGYREPPRFSPFGPGRLDRKWDGVSAPATGEAAEDRVSDDRSSVLGDPLTEEEVGELVERYRHSDCSRQINLGTGGVTHNTLEDIHNHWKRAEADKTGGKIIYRHINILLLYRGRNYDPKQRPVIPLMLWKPLAPIYPRLVPKVAEGLTFEQTKELRNRGLNTPALMKLTRNGVYANVVERVREAFRTSDVVRLDCAYVYTSDCKKIGVKLRDLVPCIPILFKDRQIIIWRGRETQDSDQCDS >Ma02_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20810475:20811766:1 gene:Ma02_g11820 transcript:Ma02_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLMFHTYFQMHSILFQVSCPKTGTRDWSLRCLRVVLTVSSLITLFHLDRNSTDGWGPFGRGRLVGNVNPWPPSHAAASGQTQSSGLNMEAGRRWEEMQVDCLVNIFRRLALDDLTLSVPFVCKCWWHASLDPSCWRLLDFRSLDFMPWSPFSRSFTSLYRLKTLSFSHFMRLVVDRSRGSAEELIFPLSFGASIQDLVYVSIKCPRLKSLALPDNLMVEDDLRIPDLVGSWRDLEQLEMETKPSSFLRVIAEIGRDCPKFSRLKVRGLIDKEDAKAIVDRLPQLKHLELSKSYLTKDELVVIISGCRKLERLIVRDCLGFQADDEVLRLTSRITRFEHEGSKLLDDYGYETDESEQQTGFFYW >Ma04_p37570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35420440:35423832:1 gene:Ma04_g37570 transcript:Ma04_t37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSYITVGGWFIQVIFDKFLSSKLQTWAANSGIGNDLDKLRVAMLRIRSVLSSAEKTQSDGLVGWMKELRDVAYDAEDLLDELEYRRLQQQLDGESSSPEAAAASSAPRTCSNSRPPGTPELAWDRITKARIRSVIERLDHVSCCVSETITLFKLDRCSSGPKQSTRKGATSSLISTKVFGREDEVRNLIDLLLLLRSNDEPVSILPVVGIGGVGKTTLAQLVYNDPKIVQHFELRMWVCVSDSFDDTELTREILECASSGDNLQHPSVTNFNRLQTAIKEQVAWKRFLLVLDDVWNDERNNRLSEMERWDKLLAPLKAGKSGSKILVTTRSGTVSETLGTMHSIDLKGLRDQDCWSLIKEHAFRDANHEEQLKLERIGSEVAQQPKGLPLAAKAIAGLLKNKMDAEEWNSVLVRNDIWDHIIPILKPSYSNLPAHLQRCFAYCSIFPKGWKFESDDLIHLWMAQGYIQPRNKNVRMEDAGQDYMNDLMRRSFFQVQNKEFVTLYGIHDPLHDLAQSVSGDECIRVEDDEPTNIPPSVRHLSIKAEKLVMVKDVYHHHLHNLRTLISFSGVLRSGLDDGLLVDVLRDLKHIRVLDLSHCKMDNLPEVICQCIHLRFLNLSSTSIQCLPESLCRLYHLQVLNLNGCRLRSLPRGMKNLVSLRHLTAADQLISDIAEIGRLTCLQRLHVFKVRTEAGYTIRELRDLNELRGSLYVRNLENVESKNKASEAMLSGKEHLSVLQLQWQSGERNQVVDDDDEVLEGLRPHPNLKRLEIMGCRGATYPSWLKTQWLTDLNIIYLSGCRRWESLPPLAQLPSLKVLWIQGLHATKSIGWELLGPGREVFRRLEELVLDGMPELEEFLGDGRFFPHLQSVVIKDCNKLKILPPLPCNLTELTVLDHGFWIPYFDDTRTAPVGSIVSSLCIYNCPVLIAGFCVSLKEEDSLSSLQTLSVGDISLLTGLTVSKNLACLQNLEIHNCLKITSLTTEQEKAFEDLTFLQTLCFNGCANLRSLPMLRGLRYLKKLIVSNCPQMQSLPEKGLPSSLKVLEIASCHPLLKGRCGKEGGSNWESIRHIPRIEIDGEVIQEEASGN >Ma01_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6741997:6743868:1 gene:Ma01_g09310 transcript:Ma01_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTRDHIEKTCETCGVRPDQVEATDAALLSLIDNYCREAWYIYFLHLFLHFHKIGRHRASCFMSIYRIPKFQTEVVGSDMVDKVSVDVSNLANFEGKPAYQAEHVYDQTPIGVVWVLRGLPSVAQHCPLWPP >Ma10_p31130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37338953:37340286:-1 gene:Ma10_g31130 transcript:Ma10_t31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGGERSVRKTSHFSLTCRLLSQYLKKKKKKNGNRGELALRQQAKENSLVPNTVSFEPGVDVSGKNHHRHAENSILTKEESNMATNTKEKRKDQFTVFYGGKVVVFDNIPADRAKDLMLMASKDTTCNLMTLQPQANNSSDMPIARRNSLHRFLEKRKDRMGKAPYQVHGGSEVAKTEEDQPWLSLGRRVPEAGSTCHV >Ma08_p18430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:30468395:30491983:-1 gene:Ma08_g18430 transcript:Ma08_t18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MQTAVLESALPFSSKKAMSKSSGENGQDFEFCEVCRLNHDQGRRHKYFPSHARALAAVLARFHGKLSDIRFFLRNPSSLSPEHAVLNHLWCLFCRCDLRELGSSFACSNTIKHLASSEHLRNLKEFLRKHGGGMDRVDSFRVSEAELLKWEKGCETLNNATTSSCERSIGPSTGSSKDIQYALTSSDMDNFEKNSINSFVSNASHSVMPLQCPTNENYDNQHDPAVSGSTIGGFIPHIATSWSVDIQGNIGLPGTYVFGSVSRHLSDGHPSCLASNAKSLIGWPLRNVIDQRTDSNGGSNEVLQNFTPISLPAEACQANMHTGAPPPWLEISEENMANNDPNVYRLALPSSSTGKSRKLNPKRVGAAWADKRRAELEMEKRGETLPKSCDANWLPNFGRVWQAGTRKESRKEFELEKRKLHENESPSGFSSKIHPYISKRMCVRSDEDCVPNGHVKENRNTL >Ma06_p37970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36885400:36887151:1 gene:Ma06_g37970 transcript:Ma06_t37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPRGSIPCNGNHDDDDCNHLPPKSLSLHYHSHPCLHHQCVTTIRAHACYVAALAVHGTSVYSGGSDQEIRVWPSVLLDSLSTARTDHPASFTVAQTESPVKSLLVSGDNLFSSHQDGEIRVWQINQREQRSYKLKAVLPTSMDRFLSFLSPKNYVQVRRHKKCSWVHHVDAVSGLALSHDGALLYSISWDRTLKVWRTSGFRCIESVAGAHQDAINAIAVSRNGHVYTGSADAKINVWRRSGEEGKHSLVQTLERHRSAVNALALSADDRVLYSGASDRSVVVWEGGSGGMAATGELRGHRRAILCLVAAGEVVCSGSADTTVRVWRRGREQKGRHCCLAVMEGHGGPVKSLAMVVLESSSSSSSSTCLVLSGGLDCDLKLWRLSVPSLS >Ma10_p12070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25356585:25358220:-1 gene:Ma10_g12070 transcript:Ma10_t12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFFYSLDPWSAAGRRRGQRDVESPFFYPADPWSAAGRNYPHGPATVPTSNPRVVSIPVHFVRSEEAPAVTKPSMAPPSEAVRSSAAVAIQRFFRGHMVRKNVSIVSQVAMKVEEIDRRVRTEAERLRADPKERLRMGETLMSLLLRLDSVRGVREYRKKAIRRVIAVQDFLDSISSQILESSNSHYSEPLIPAETHQDNRDPDADLEDAEEPPKGGNFDGESALDANAEEASTQECQDPDFAAADEAASQQSQDPGVVIVQDAEAQDRERVSDASDEGFETLDYIDDLEKEEAKDEGFVVIPMDEAEATDTSPTKAHSEAKCQCDEAATGKAGVSDSIEQEIRETTAVPLEDAPEVSTDMVDASTGEAGSHMAEDSMHAMAEPTKDSTDASGTGEVLKKVMAESERLQGLVAALCERSAQQVSLMAGLFERVEHLERAMQRMEKNKKRRANRPLASPIDKKGSQTKQ >Ma01_p08090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5799810:5802541:-1 gene:Ma01_g08090 transcript:Ma01_t08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVIAAVKWESSTFSRSRLLKGFSWIRRVSFCRPKLVEQMEGFGNEGSANVYATIDLEKARVGRTRVMSKDELVDSFRWNESFHIYCAHHAAYIIFTVKAERAVGAAVIGRAYLPVKDVVDGAAVDRWLAICGEDRKPLERGAKLHVRVQYVAVDADPEQNWGRGIADPRHTAVPRAFFPQRYGCRVRLYQDAHVPDHFIPWIPLGDGYHHDYQPQRCWEDIFDAITGARHLIYLTGWSVFTGIRLVRDPRRPRAGGDATLGELLVRKAGEGVRVLMLVWNDRTSLGLGPLKKDGLMATHDQDTLSYFRNTGVHCVLCPRNPDAASSYVQDIQISTMFTHHQKTVLVDGEAAGGGKRTILSFVGGIDLCDGRYDTQYHSLFRTLATTHRTDFHQPNFERASIRKGGPREPWHDIHCRLEGPVAWDVLCNFEQRWRKQGGGEELLLQPERIPSIRTDQYDSRAVADEDAWSVQLFRSIDGGAVSGFPDTPEAAASAGLVTGKDHVIERSIQDAYIHAIRRAKEFIYIENQYFLGSSYGWSAADGIKVEDIGALHLIPKEISLKVVSKIEAGERFTAYVVIPMWPEGIPESESVQAILDWQRRTMEMMYTDITQALRAKGIRADPKDYLSFFCLGNREGRKWPGEYQPEERPEPDTDYSRAQQARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAYQPSHLASEWQAARGQVHGFRLALWFEHMGTVDDVFLRPQSAECVRMVNMVAKRNWDLYASEALFDDLPGHLLNYPIDIADDGNITALPGSEVFPDTKARVLGRRSEYLPPILTT >Ma01_p08090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5799810:5802405:-1 gene:Ma01_g08090 transcript:Ma01_t08090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGNEGSANVYATIDLEKARVGRTRVMSKDELVDSFRWNESFHIYCAHHAAYIIFTVKAERAVGAAVIGRAYLPVKDVVDGAAVDRWLAICGEDRKPLERGAKLHVRVQYVAVDADPEQNWGRGIADPRHTAVPRAFFPQRYGCRVRLYQDAHVPDHFIPWIPLGDGYHHDYQPQRCWEDIFDAITGARHLIYLTGWSVFTGIRLVRDPRRPRAGGDATLGELLVRKAGEGVRVLMLVWNDRTSLGLGPLKKDGLMATHDQDTLSYFRNTGVHCVLCPRNPDAASSYVQDIQISTMFTHHQKTVLVDGEAAGGGKRTILSFVGGIDLCDGRYDTQYHSLFRTLATTHRTDFHQPNFERASIRKGGPREPWHDIHCRLEGPVAWDVLCNFEQRWRKQGGGEELLLQPERIPSIRTDQYDSRAVADEDAWSVQLFRSIDGGAVSGFPDTPEAAASAGLVTGKDHVIERSIQDAYIHAIRRAKEFIYIENQYFLGSSYGWSAADGIKVEDIGALHLIPKEISLKVVSKIEAGERFTAYVVIPMWPEGIPESESVQAILDWQRRTMEMMYTDITQALRAKGIRADPKDYLSFFCLGNREGRKWPGEYQPEERPEPDTDYSRAQQARRFMIYVHAKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAYQPSHLASEWQAARGQVHGFRLALWFEHMGTVDDVFLRPQSAECVRMVNMVAKRNWDLYASEALFDDLPGHLLNYPIDIADDGNITALPGSEVFPDTKARVLGRRSEYLPPILTT >Ma01_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13341147:13341287:-1 gene:Ma01_g18030 transcript:Ma01_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVESLPGPKFFKCGRCKVDSASHDAIISKDFHGRYGRAYLFKS >Ma10_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29201317:29205784:-1 gene:Ma10_g18120 transcript:Ma10_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTASAHRVHLFSPLSSPALSLPSAKHCRCRNRNAAATTVRMGLREDGPSVAVVGVTGAVGQEFLRVLSDRNFPYRNIRLLASRRSAGKRLTFEDREYTIEELRPESFEGIDIALFSAGGSISKEFGPVAVERGSIVVDNSSAFRMVPEVPLVIPEVNPEAMAHIKLKGRSGKGALIANPNCSTIICLMAATPLHRHAKVVRMVVSTYQAASGAGAAAMEELLQQTHEVLDGKQPTCKIFNQQYAFNLFSHNAAVLSNGYNEEEMKLVKETRKIWNDMDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTARCILEGAAGVAVIDDRESNHFPTPLDVSNKDDVAVGRIRQDLSQDGNLGLDIFVCGDQIRKGAALNAIQIAEKLL >Ma10_p29170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35829904:35835981:-1 gene:Ma10_g29170 transcript:Ma10_t29170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWKLADHPTLPKGKTVAVVVLDGWGEANPDKYNCIHVAQTPTMDSLKQGAPERWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYEGEGFKYIKESFDQGTLHLIGLLSDGGVHSRLDQLQLLLKGSSENGAKRIRVHILTDGRDVLDGSSVGFVETLESDLAKLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFRSAVEAVKKLREDTKANDQYLPPFVIVDESGKAVGPIVDGDAVVTFNFRADRMVMIAKSLEYEDFDKFDRVRFPKIRYAGMLQYDGELKLPSKYLVSPPEIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFDATMEEYVEIPSDSGITFNVRPKMKALEIAEKARDAILSRKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRNKTGQPQLDKAGNIQILTSHTLQPVPIAIGGPGLAPGVRFRNNVPDGGLANVAATVMNLHGFEAPSDYEPTLIEVDN >Ma10_p29170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35829904:35835850:-1 gene:Ma10_g29170 transcript:Ma10_t29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWKLADHPTLPKGKTVAVVVLDGWGEANPDKYNCIHVAQTPTMDSLKQGAPERWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYEGEGFKYIKESFDQGTLHLIGLLSDGGVHSRLDQLQLLLKGSSENGAKRIRVHILTDGRDVLDGSSVGFVETLESDLAKLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFRSAVEAVKKLREDTKANDQYLPPFVIVDESGKAVGPIVDGDAVVTFNFRADRMVMIAKSLEYEDFDKFDRVRFPKIRYAGMLQYDGELKLPSKYLVSPPEIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFDATMEEYVEIPSDSGITFNVRPKMKALEIAEKARDAILSRKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRNKTGQPQLDKAGNIQILTSHTLQPVPIAIGGPGLAPGVRFRNNVPDGGLANVAATVMNLHGFEAPSDYEPTLIEVDN >Ma10_p29170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35829904:35835997:-1 gene:Ma10_g29170 transcript:Ma10_t29170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWKLADHPTLPKGKTVAVVVLDGWGEANPDKYNCIHVAQTPTMDSLKQGAPERWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYEGEGFKYIKESFDQGTLHLIGLLSDGGVHSRLDQLQLLLKGSSENGAKRIRVHILTDGRDVLDGSSVGFVETLESDLAKLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFRSAVEAVKKLREDTKANDQYLPPFVIVDESGKAVGPIVDGDAVVTFNFRADRMVMIAKSLEYEDFDKFDRVRFPKIRYAGMLQYDGELKLPSKYLVSPPEIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFDATMEEYVEIPSDSGITFNVRPKMKALEIAEKARDAILSRKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYVVTADHGNAEDMVKRNKTGQPQLDKAGNIQILTSHTLQPVPIAIGGPGLAPGVRFRNNVPDGGLANVAATVMNLHGFEAPSDYEPTLIEVDN >Ma08_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7183408:7185752:1 gene:Ma08_g09870 transcript:Ma08_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGKKHFILVHGICQGAWCWYKLITLLRSAGHFVIAVDLGATGVDPQRLDELSSMDDYARPLFHAIASLPPHQKLVLVGHSFGGASVSLAMEKFPDKISVAVFVTAVMPSTTVAMAALTDEFFKGHPAEAYLDSKVSISSDPQNPSSRIEFGPEYMSNRLYQLSPAEDLTLGTLLVRPGSWFLGDLLRDGIVTEEKYGSVRRVFIVCKEDLAMAEGYQRWMIEASPGAEVEEIEGADHMAMLSKPVDLCKLLLEIAARY >Ma08_p09870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7183408:7185752:1 gene:Ma08_g09870 transcript:Ma08_t09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGKKHFILVHGICQGAWCWYKLITLLRSAGHFVIAVDLGATGVDPQRLDELSSMDDYARPLFHAIASLPPHQKLVLVGHSFGGASVSLAMEKFPDKISVAVFVTAVMPSTTVAMAALTDEFFKGHPAEAYLDSKVSISSDPQNPSSRIEFGPEYMSNRLYQLSPAEDLTLGTLLVRPGSWFLGDLLRDGIVTEEKYGSVRRVFIVCKEDLAMAEGYQRWMIEASPGAEVEEIEGADHMAMLSKPVDLCKLLLEIAARY >Ma11_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9927778:9928536:-1 gene:Ma11_g10510 transcript:Ma11_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTLAALFVMVYRPRLFNLDLDESLEPHMDDPADEPLYVPDDPLDSIRFLFDPLDESIVASWKPEVTEFSVAEAAEVLRPDCHTAVPGTLRRPRSQHQPRARRLDPMTSAPGGVDGAQRRSRSLDPWGTAPADVGDARGRGRRRRRARSSTRESLDAADDPITRDENVGDGERICRHCGTRETPQWRSGPNGSSELCNACGIRYKSGRLFPEYRPSTTATSIDSSNYSNRHSTIMTIRQRRENEGRGRERG >Ma07_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4378110:4381820:1 gene:Ma07_g06060 transcript:Ma07_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEFNKSSLSRAASFLNSAVGFLVFSFLDVLDAILCVVYKLVDSAMETDSRPCYCSPEEVTISGDFLVSATKGRTVVPVAAKLRLGDVSDTLYYRPSQVAELTEKAAIAVGYKTSKSIRFTVSSDVIGALKEKTSRGRRPLWSDCDCKKCNSPESHLYVHVEGPKDTSTTTTDVIFIHGFISSSAFWTETVFPEFSDATKSNHRMFAVDLLGFGRSPKPADSLYTLREQVDMIEKSILKRHNVRSFHIVAHSMGCIIALALAVEHPEAVKSLALIAPPYFPVPEGEKAAQFVMRQVAPKKLWPPIMFGASMSCWYEHVSRTICLLICRNHRLWEFLFRLITRNRIRTYMMDAFMCHTHRAAWHSLHNVICGSAEAMERYMDRVNRRSCCDVAVFHGDDDDVLPVDCSYAVGSRIPRARVKVFQRKDHITIVVGHHKALAGELEQIWRNAGSPTNK >Ma01_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13383579:13386466:1 gene:Ma01_g18090 transcript:Ma01_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQPSIKADEQFVSFTYTNTSQVQAPVLPTTPADASSKRECFGVFCTTYDLKADEKTKSWKTLINIAISGAAGMISNHLLFKLASGEVFGSDQPIALKLLGSKRSFQALEGVAMELEDSLYPLLRGFKHWNKSL >Ma05_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9401511:9409388:1 gene:Ma05_g12990 transcript:Ma05_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGPLDSLDFSALGSLHHLNLSYNQFGGVIPPTISTLSRLVSLDLTSNQFIGKIPVEMGSMKDIQFLSLSQNQIIGAIPPSLGNLTDLVYLYLHDNKLAGVIPKELGRLHELMDLDIGANRLFGSIPSILGNLTKLYLLDLYQNQLTGVILQELGNLVNLTYLSISDNNLTGGVLSSFRNQTKLQLFLLSKNQLSGSIPFEIGNLVEVTYLDFSENLLTNSIPSSIGNMTRLKILYLWGNQLSDFIPLEIGNLLDVTDLELSINLLTDWAKWHNLTLFRISNNNITGVIPTEFGQLTKLGELDLSSNYLQGEIPKSFASLTLLYSLSLGNNQLVGHAPPEIGMLSNLELLDLSSNNLAGRIPDQLGNCMKLRSLKLNNNNFSGTIPLAIGNLVYLQDTFDISQNSLTGEIPSQLGKLVMLQSLNLSHNSFSGHLPSSLTYMTSLSTVDVSYNELDGPVPDSPAFRRAPAEWFAHNNDLCGVVRGLPPCVSLGSPTKDDRSKRHKVVVIAIIASVVFFLLLFIFIAAALRLNKRKRPPVPVDDNHIKEVAFSILNFDGRDVYKDIIEATEDFDGKYCLGSGSYGRVYRAELASGELLAVKKIHLPDTEGTCDEQPFQTEIQTLTQIRHRNIVKLYGFCSSPRHKFLVFEYMERGSLVSVLRSETGAELDWVKRVSIVKDVACALSYMHHDCTPPIVHRDITSNNILLDSEFKACTRGYLAPELAYTMRVTTQCDAYSFGVVTLELLIGAYDEVLISILSSSPINDSFVKDVLDRRLPVPEGQVADEVVAILSLALRSVDNSPESRPTMKQVSDKLCVVRTPPPSLRSIDELKFSDLMSVEI >Ma07_p22250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30266273:30273844:-1 gene:Ma07_g22250 transcript:Ma07_t22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSSSCSVQSCHRLLLVAFVALCSASSSSHGDRSPLSVVSRIAFGSCSNQSAPQPIWDAIVDFDPQVFVWLGDNIYGDNKRPFRVLGKERTIGPWKNVPRFFPSTEQEMRRRYQLAKSNPGYSKLRQTAQVIGTWDDHDYGLNDAGKEFSGKNTSQRLLLDFLDEADDSPRRQQAGVYTSYLYGPKGKQVKVILLDTRYHRDPLSSDGTILGDSQWEWLEKELNGPESEITIIVSSIQVISNLSATTGPLFHTESWGRFPKERERLYKLIHDSKRSGIFFISGDVHFGEITRYDCGWQYPLYDITSSGLTQAIEKVVPPFFAFAVRAIAWLTPTTMRVSSVKCRYKSCTYAQPNFGAIQIDWDVVPQKIKVEVRGINGDPAIAVDILLSDLQPRNTNTLEGAWQHRRHCSLEIDLPWFWRHSFAFTFLALVSVLIIAFVLFAYTIVSVSKKFLRKFKID >Ma07_p22250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30266273:30273834:-1 gene:Ma07_g22250 transcript:Ma07_t22250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSSSCSVQSCHRLLLVAFVALCSASSSSHGDRSPLSVVSRIAFGSCSNQSAPQVFVWLGDNIYGDNKRPFRVLGKERTIGPWKNVPRFFPSTEQEMRRRYQLAKSNPGYSKLRQTAQLLQVIGTWDDHDYGLNDAGKEFSGKNTSQRLLLDFLDEADDSPRRQQAGVYTSYLYGPKGKQVKVILLDTRYHRDPLSSDGTILGDSQWEWLEKELNGPESEITIIVSSIQVISNLSATTGPLFHTESWGRFPKERERLYKLIHDSKRSGIFFISGDVHFGEITRYDCGWQYPLYDITSSGLTQAIEKVVPPFFAFAVRAIAWLTPTTMRVSSVKCRYKSCTYAQPNFGAIQIDWDVVPQKIKVEVRGINGDPAIAVDILLSDLQPRNTNTLEGAWQHRRHCSLEIDLPWFWRHSFAFTFLALVSVLIIAFVLFAYTIVSVSKKFLRKFKID >Ma07_p22250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30266273:30273834:-1 gene:Ma07_g22250 transcript:Ma07_t22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSSSCSVQSCHRLLLVAFVALCSASSSSHGDRSPLSVVSRIAFGSCSNQSAPQPIWDAIVDFDPQVFVWLGDNIYGDNKRPFRVLGKERTIGPWKNVPRFFPSTEQEMRRRYQLAKSNPGYSKLRQTAQLLQVIGTWDDHDYGLNDAGKEFSGKNTSQRLLLDFLDEADDSPRRQQAGVYTSYLYGPKGKQVKVILLDTRYHRDPLSSDGTILGDSQWEWLEKELNGPESEITIIVSSIQVISNLSATTGPLFHTESWGRFPKERERLYKLIHDSKRSGIFFISGDVHFGEITRYDCGWQYPLYDITSSGLTQAIEKVVPPFFAFAVRAIAWLTPTTMRVSSVKCRYKSCTYAQPNFGAIQIDWDVVPQKIKVEVRGINGDPAIAVDILLSDLQPRNTNTLEGAWQHRRHCSLEIDLPWFWRHSFAFTFLALVSVLIIAFVLFAYTIVSVSKKFLRKFKID >Ma08_p14510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12818800:12819651:1 gene:Ma08_g14510 transcript:Ma08_t14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSSFASSQFLGLLVTPPPRSSAASLSPPSPSTLRSSGVSAAYAGAAERARAASLSPSSSSLYDTLGVSPGASGQEIKTSYRRLALECHPDVVATGRRGASADEFMRVHAAYATLSDPVKRAYYDRELTAAAAILVHHRRSEPAPSPSPSPLAYARCTSYPGYGRRTWETDQCW >Ma05_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4488640:4490384:-1 gene:Ma05_g05980 transcript:Ma05_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELIPGLPQEIARECLIRVPFDAFRTVQAVCKLWKHDLESASFHRHRKSVGLDRPVVVLAQSDPAPVVAASANGEKSYPSRLLYRLALFEPTTGAWSSLPPIPGRPHGLPLFCQLAAVGRELVVVGGWDPRTWAASDEVHVYDLVSGAWRRGAPMPGPRRSFFACAASEERAAVFVAGGHDESKNALRSALAYDVAADAWMQLPDMARQRDECRGVFASGGFHVVGGYPTEAQGQFSRSAETFDVAAWRWSAVEEGRLEEAACPRTCVVGGDGRVYMCRQAGQAVVLEEGGGAWRRVAELPREVRVALQMVAWEGGFMVLGSGTQRGAQVAYIVDIESGEGKGMKKWRKVELQREYSGHVQAGCCFHI >Ma07_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27788305:27789051:-1 gene:Ma07_g19870 transcript:Ma07_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSLILAVLLTVLLSPHLVSADVPSFGPFPSPSSSPSPSPSPSSCPSPSPSSFPSPLPHTYATLQNHVTSTARQLPHQPSSNDGHQEQPPAPPGNFDRLNLGEKVGLAFLAVAVALQVVLGGFLVFQRQQLRKMERGDRLMAEEPSSSSASSSSS >Ma11_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21814162:21814973:1 gene:Ma11_g16260 transcript:Ma11_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGVLRRSAAVVERARDGARRTRKALARFARPQSFAAPPDAEAAAVRAVRNLRSFRLHYAILLWVLLLASLFPRRRATMLFLMASSKIALFCGALLKAVPNSALLCRIVDRRLAAALVLAVIGVELVVTRAVPQFLLAMAIGVPLVLLHAVFRVRDDLTASGQEAASAGGGELGPIFEKKEDLELGSQ >Ma03_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17019333:17020307:1 gene:Ma03_g15750 transcript:Ma03_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVGERFCSEEERELTVRKTSLFFPGDGFAVYDHITGDLVFRVDTYARGGPAFADQPLLLMDPSGDPILTLRRKWPSLHHRWEAFLGERSEGQKPLFAVRRSSIFGSDRSGFAVEVHSCGGSEEGEDEEEEEYRIEGSFPKRCCRVFYESGGGGKGAVVMVAEIKRKVDACSHVVLGRDVFSLCLGPRCDAAFAMGLIIVLDRISGDDDDVIDDAMASPSP >Ma05_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4897605:4906824:1 gene:Ma05_g06630 transcript:Ma05_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIADFGIAMRFSDEKTHFSTLVKGTYGYLAPEYAATGQLTDESDVFSFGVVLLELITGRRPTATPAAPPLATPSAPPPTSPPPSPANPPPASRPPSSPSGSPPPPPSGQTPPSPPPPRTLSPPPPRTPSPPSPKTPTAPSALSPSSSSSSSVSTPLVVGIAVGGVVILLLLSLVCVCCWKKKRRPPPPPPHYYGAAPLPPHAGKDDRYGEHWQQNAPPPADHVVKLPPGPPPPPPFASRPPHSPGHLPPPPPPMISSSGGSGSNYSGSEVPLPPSPGGALRLSRSTFTYEQLVMATDGFSDANLLGQGGFGYVHRGVLPNGKEVAVKQLKIGSGQGEREFQAEVDIISRVHHKHLVTLVGYCISGGKRLLVYEYVPNNTLEFHLHGRDRPTMEWSTRLKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDYKFEPKVADFGLAKFASDNNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDSSQTFMDDSLVDWARPLLTRALEDGNYDALVDPKLGRNFDPNEMACMIACAAACVRHSARRRPRMSQIMRALEGDVSLEDLNEGIRPGHSRFYSSHGSSDYDSSQYNEDMKKFRKMALTPEYGSSDYSAPTSEYGQHPSASASSEGQHTQEIETEKKKDSSGFGSSL >Ma01_p03020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1962438:1973492:1 gene:Ma01_g03020 transcript:Ma01_t03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNCDLNSPLLHDRAPEVSLDVNGFIDRGSEAATTPPENPFEFLGVPPLALPPMSPVDPFRNHTPTIAGLYEWCKTILCLPIAAVRLVLFGIVIAVGYLATVAALCGWKDKQSPMPLWRCRAMWITRLCARCILFSFGYHWIKRKGKPASREIAPIVVCNHVSYIEPIFFFYELFPTMVASESHDALPFVGTIVRAMQVIYVDRFSPQSRRLAIHEIKRKASSNEFPRVMLFPEGTTTNGRFLISFRLGAFIPGLPVQPVVVRYPYIHFDQSWGNANLLKLMFRMFTQFHNFMEVEYLPVVFPDESKQQNTVHFAERTSYSMANALNVLPTSHSFGDMILLTRASELAKERCSNYMVEMAWVENSFNISTSEAVVILERFLSMNPDSFGRVELHGFLNAYGLGCSPLSEKIFGYLDLEKKRSITFRQFLTGSAQIRKQPSFWRACETAFAQCSSDDTMDHTSLEQIGRVIQSTSHGAVNSETLRQLFDTDADVYVSKDDFMECLQKNPLLIALFAACVNDP >Ma03_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8306216:8310481:1 gene:Ma03_g11010 transcript:Ma03_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGGSGGSGIVVPRNFRLLEELERGEKGVGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCNKDYPDKPPSVRFHSRVNMTCVNPDTGVVDPRKFSVLGNWERDYTMEYILTQLKKEMAAPHNRKLVQPPEGTHF >Ma07_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3329684:3333396:-1 gene:Ma07_g04480 transcript:Ma07_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLLQGGLLKKVLEAMRELVTDANFDCSRSGCSLQAMDSSHVALVALLLRSDGFDHFRCDRNRSMGMNLNHVAKLLRCAGNDDIVTLKADDDGDTVTFMFESPKQDKIADFEMKLMDIQSEHLGIPEAEYQAIVKMPSQEFARICRDLSTIGDTVVISVTKEGVKFSTKGDIGSANIVCRQNTTVDKPEESTVIEMKDPVSLTFALRYLISFTKATPLSNTVTVSMSSDLPMVVEYKIADMGYIRYYLAPKIDEDEDQA >Ma02_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26448160:26460185:1 gene:Ma02_g20480 transcript:Ma02_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDGDLQDAYFPHPFDDAVVQRTPPPPVSPDDEEADQGDAQVAGAYEMDDSQLVSSPFALSPAFEPSQEDAAGEEALPMSEAAAAVAAVEASGPVKRKRGRPPKAHGPGRAPVPKKKKEEEEVCFICFDGGDLVLCDRRGCPKVYHPACINRDEAFFRSRARWNCGWHICSTCQKAATYMCFTCTYSLCKACIREARFFCVRGNKGFCEACYRTIMLIESNGQDNEEKVRVDFDDKSSWEYLFKVYWLTLKGKLSVTIEDLHNAKNPWKGCDTSMYNEETSDELYNANDDQEASSDSTPGHHEGSISSRKKVRKRSKKSAEIEVPAQDVDSEKKSLSEDSKWASPVLLEFVAHMKNGDKSVLSQFDVQALLLDYIKRNNLRDPRRKSQIICDARLQNMFGKLRVGHFEMLKLLESHFLIKEAPQIGMDDNQGGTIDSDSGQIDAEEHSMASIGSDKRLKTRKRVGERELQANLDEYAAIDAHNINLIYLRRNLMEDLINDDNFSKNVVGSFVRIRISGAGQKQDMYRLVQIIGTHVLAEKYKLGKKTTDIALEILNLNKTEILSIDSISNQDFTEEECKRLRQSIKCGLINRLTVGDLLEKAQVLQEVRVKDWLENEKLRLSHLRDRASETGRRKELRECIEKLQILNKPEEYQRRIREVPEIHVDTHMDPNYESPEEEADNKKEDNFSRSRGSLSRRKGKELISPGRRGSISNYHLNDATKSLSTSWMSGTQTGGAEEKIETIVTLGDRKCEISRTESNIGWTDSSGTLMNGNKLVTGVEHPCGVAPDTMISSPGVPLPSNVSESDKVWQYQDPSGKIQGPFSMSQLRKWSSTGYFPPDLRVWLKSQKQEDSMLLADVLPEFLKDTQQKEPQLTNFSQPTNFVAEANTGHNWDIVSRGDTNPTSVGIKQNNHWSANQNDITMSIAGYKMSNVDRWAPQAPNYIEPRRELMITEERRIGISPRAWESTKDTNAWYGQHTSHNTPSTKISVPFAGNPYDTPAYQVTGGQASNAEGRNRNQEHGSSWSSFRSKPTRPSDQGYDERHSNWSSSGQLSHQVSAQYQQIQPVSYSKRQWVNDAHNPPTPTPQPSGMVWTRDRDHLSASSAAAAISVQSAGCGWEATPSAEFSEFDQLAAESMEGKGSVPEMTSAGGWAKTSCNLLNQSAAREVWGSGSTASLATSGANQNFGKVDNLDEPSWASKQEKPFESNATTLSGSFMKKSHFFESSCPSPTPSSERDDIPLSQISEPDPDDKWNEASGTPTAESEAIAPDTTVLASMPLDLSVLSSQVVRSMDEVADQSTEIENLSPFSSATENNQRSLAGTSDNLGNLPLSAIPAAKPDAVESVSVQEPDSMVGSQVVSELKEAKYDLDGLHASVSSSGSGLDQNQAKNLECFSGSKCVLEDTKLPSPTPASEPSGRVLAIDSTSGKSQSDTLGLSDVFVSPLSPIRSDPSTGPAAFGNPASSMAEGASDQGWTQGSANIIWGISGQRQTSTETGWMMPKQKRTSANTSWVTPAQGNREENLGWVTQGNMNPNAGWGVPTASSPGSNLEESIKVNSEAETGWGVPGAGNINWSPQKQQGDDDTGWGTALGSNNAGWSSSAGYHDVLISQKRHGGDRYQGGREPGHGGGNNPRNKTYIGGDGGGGWSQPPPRGQWQGLGRGHVQRGVCKFHESGHCKKGASCKYLHR >Ma09_p24740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36359692:36366976:1 gene:Ma09_g24740 transcript:Ma09_t24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSKRLPAAAGGGRRSGGGGSSGFRPPVVLLLFFFVLAPLLVLAARRSTRTLVSPDQTGSPNFSSKKAADWREQLVIQHLKPILTKEVFEAISASRHELGQWSLDFLRQNHVSSSWQVDGADHASQNNLTVTDTLQNASDAEKTSFKMGDDARVGSLPTANKDSKDNLDGHQLLDSPAKVARRQLREKRREKRAMELVQQDDEALVKLENAAIEHSKAVDSAILGKYSIWRRDNENENSDSTVRLMRDQIIMARVYMVIAKSKNRLDLYQELLTRIKESQRAVGEANADSDLHRSAPEKIKAMGQVLSRAREALYDCKAVIQRLRVMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKRKFPRSENLENPSLYHYALFSDNVLAASVVVNSTIMNAKEPAKHVFHLVTDKLNIGAMNMWFLLNPPGNATIHVENVDDFKWLNSSYCPVLRQLESAAMKEYYFKADHPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTALWSVDLKGNVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNSCGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDHSEIQNAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYVRGCKLSE >Ma09_p24740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36359692:36366976:1 gene:Ma09_g24740 transcript:Ma09_t24740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSKRLPAAAGGGRRSGGGGSSGFRPPVVLLLFFFVLAPLLVLAARRSTRTLVSPDQTGSPNFSSKKAADWREQLVIQHLKPILTKETLQNASDAEKTSFKMGDDARVGSLPTANKDSKDNLDGHQLLDSPAKVARRQLREKRREKRAMELVQQDDEALVKLENAAIEHSKAVDSAILGKYSIWRRDNENENSDSTVRLMRDQIIMARVYMVIAKSKNRLDLYQELLTRIKESQRAVGEANADSDLHRSAPEKIKAMGQVLSRAREALYDCKAVIQRLRVMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKRKFPRSENLENPSLYHYALFSDNVLAASVVVNSTIMNAKEPAKHVFHLVTDKLNIGAMNMWFLLNPPGNATIHVENVDDFKWLNSSYCPVLRQLESAAMKEYYFKADHPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTALWSVDLKGNVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNSCGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDHSEIQNAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYVRGCKLSE >Ma07_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7182724:7186959:1 gene:Ma07_g09600 transcript:Ma07_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGVKKVAEVAAKATKSIDWDGMAKVLVSDEARKEFANLRRSFDEVNHQLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKQAYESVEIPKYVDTVTPEYKPKFDALLVELKEAEKKSLAESARLEKEIAEIQEMKQKISTMTADEYFESHPEVKQKFDDEIRNDYWGY >Ma04_p30180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30904555:30909892:1 gene:Ma04_g30180 transcript:Ma04_t30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKRGGGGRGGGGGGGELSARGMVSMTWTFLLCLGSFCAGLLFINSWTMPEAKDIIRTTGTEDNKLNLVANDCNSRTIDKKQEAKDILGKVSNTQQVIQTLDKTIANLEMELAAARATQESIVNGAPLSETLKATESRARRKYLMVIGINTAFSSRRRRDSVRATWMPQGEKRKKLEEEKGIIVRFVIGHSATSGGILDKAIEAEHAKNGDLMRLDHVEGYLELSAKTKIYFATAVSMWDADFYIKVDDDVHVNIATLGATLAKHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLATYISMNQHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICNSAERIKEVHRRCGEGKNALLNAVF >Ma10_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24873372:24875754:-1 gene:Ma10_g11300 transcript:Ma10_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGHDGDIPIPIPITSTYVVGHGPPHGHGMTHDTSPLHHRSSGSSPPPAAAAAAPPPPLSAATTEDHHHSTNPYSTKKGLVVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGTLEALKCSACNCHRNFHRKEVEGESSYDCYHPFKGRKVIGQRGLLISGADPFGYNPGSNSLIPRPPPHMIMPLGAMQTSESDEMEGAGGGMMARPPLVKKRFRTKFTAEQKEKMLHFAEKAGWRLQKQEESAVQQFCQEIGVKRRVLKVWMHNNKHNLAKKTPLQLE >Ma11_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1491362:1491703:1 gene:Ma11_g02100 transcript:Ma11_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRGEASSRLLVTLLAFFATDSSAARVTPRPQPLARVHLRRRLAGLLPPFRLHQLRVCPQRVHLRRSCGLQGLRGRFLWLA >Ma01_p20460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18422670:18424550:-1 gene:Ma01_g20460 transcript:Ma01_t20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTSSSLPINAFPRFPRFSVIPPPPHRRSTSSICSVQAAMSPISLSSSLVCSAALSISNPIHSSPVALGGAVTILSKCRVFPDCKSTIGDLPLSVSDLPMLSCHYIQKGLFFPRPPVPVASLLPLLTSCLARVLSLFPALAGRLSTRPDGRIFISCDDSGAEFYHAAAPSLTLPLLLPDSADVPAAVKLLFPLDGALSYHGHFLPISNFQLTELADGALFLGAVVNHAVVDGTSLWNFFNAWAEICRGGIPASPDLRRNYFGDSKAVLRFPSGAGPEVTFQMDAPLRERIFRFSREAIFDLKSRANRQSPKLVDDGNALAGIHEEQIPDGESEALAAVVKEDEISSFQSLCALVWRSVTGARKRLAMEATTTFRMAVNCRHRVVPPVAANYFGNAIQSIPTKALVGDIVGRDLSWTTGLLHGSVVAHGNETVRRGVTEWEAAPRCFPLGNPDGAGITMGSSHRFPVYEGNNFGWGNPVAVRSGRANKFDGKMSAFPGRDGEGSVDLEMCLAPETMAALLLDEDFMSYVSVENLTI >Ma07_p06290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4536995:4537227:1 gene:Ma07_g06290 transcript:Ma07_t06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMLFKDLRYKRVSRRIGCIPVGQPSGSALGQL >Ma01_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8417976:8429907:1 gene:Ma01_g11630 transcript:Ma01_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEDLSQLAESMMQAAALLADEDVDEGSAKRRTSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLQRDGSLSNKLIILQVDNKSQQVSASALRHSLQDRLSKGTGKSHADEIYMKLRTSTAPSLKLIDLPGLDQRSMDDSRVSDYGAHNDAILLVIVPAAQAPDISSSRALRLAKEFDAEGTRTIGVISKIDQAAGDQKSLAAVQALLLNQGPRSAADIPWIALIGQSVSIASAQSGSVGSESSLETAWRAETESLKTILTGAPQNKLGRVALVDALAKQIRKRMKLRLPNLLSGLQGKSQIVQDELFRLGEQMVQSAEGTRAIALELCREFEDKFLQHIATGEGAGWKVVATFEGTFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLLDIVSTSANATPGLGRYPPFKREVVAIASTALENFRNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREEELKNRSSKKANEAEQAILNRASSPQPGDQGGSLKSMKEKSNQPEKETKEGSALQVAGPSGEITAGFLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEISDEDDPPKSSKDSKKAKGPEKGPSLIFKLTSKVAYKTVLKAHSAVVLKAENIADKVEWMNKIRNITGPSKGVPDSVATPTIRQSRSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSTARIEELLQEDQNVKRRRERIQRQSSILSKLTRQLSIHDNQAAAASWSDGSSVTESSPRANVSSGDDWRSAFDAAANGSVDGAYTKPSRSSSSGRRHSNPTQNGDEGSGANSGSRRTPNRLPPAPPQGSSSTHRY >Ma02_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27936764:27940214:1 gene:Ma02_g22660 transcript:Ma02_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRSPPRDRKMRTERVSYRDAPYRRDFRRGSRNLDLCKNCKRPGHYARECPNVAVCNNCGLPGHIAAECTTKALCWNCKEPGHVASNCPNEGICHTCGKTGHLARDCSAPHLPPGDLRICNNCYKQGHIAADCTNEKACNNCRQTGHLARDCQNEPVCNLCNIAGHVARQCPKAGMLGESAGGAFHSRLRDVVCRSCNQIGHMSRDCMGPLMICHNCGGRGHMAFECPSGRFLDRGFRRF >Ma02_p22660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27936760:27940214:1 gene:Ma02_g22660 transcript:Ma02_t22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRSPPRDRKMRTERVSYRDAPYRRDFRRGSRNLDLCKNCKRPGHYARECPNVAVCNNCGLPGHIAAECTTKALCWNCKEPGHVASNCPNEGICHTCGKTGHLARDCSAPHLPPGDLRICNNCYKQGHIAADCTNEKACNNCRQTGHLARDCQNEPVCNLCNIAGHVARQCPKAGMLGESAGGAFHSRLRDVVCRSCNQIGHMSRDCMGPLMICHNCGGRGHMAFECPSGRFLDRGFRRF >Ma02_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13720757:13721068:1 gene:Ma02_g02470 transcript:Ma02_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHINLLDFVSLHFKEVHAIPSIQNIWNRVLIQSALILHIDIFFWRAKPFVRFPALVYMHTEVLIIPLLPCNKHFGFGLYFHCIYLLSPCNNLTFCANFLNK >Ma03_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6030707:6031445:-1 gene:Ma03_g08360 transcript:Ma03_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAQGE >Ma06_p36580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35912208:35917314:1 gene:Ma06_g36580 transcript:Ma06_t36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFFNCAILTFGPHAVYYSATPLSEYDTIGTSVKAAVVYLGTTLVKLICLATFLKVPENDSFDPYQELLKALIGFIDVAGLYFALTQLMHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWEYIFQGIEANANLVLNLSLAALGSLMWLRKNKPRTLIPIIYACAGVLATMPSITSYLRRALGWHPPKVVAFELASSLVMAFISWQLFSACQRPSV >Ma07_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4751606:4755389:-1 gene:Ma07_g06630 transcript:Ma07_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDKPPSVRFHSRVNMTCVNPDTGLVDPRKFPVLGNWQRDYTMEYILTQLKKEMAVSQNRKLVQPPEGSFF >Ma08_p29140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40849774:40851708:-1 gene:Ma08_g29140 transcript:Ma08_t29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGCKYIAVLGVDFMSENVGAILDQADFKKVGVYRMSNELIGCSLVDAAGSLTYMQFWRQLQIHLLHCM >Ma00_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:6221492:6224016:1 gene:Ma00_g01330 transcript:Ma00_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKSFQDTEGRPQRSRPMNESDEDINCFTDAEDEAWHSPCNSSFTCSASDMLRFSCASHCEIDGSPEAGSKSYVSDRSLQGDLESGIWEIKKGDKDCRICHLNLEEAAPESGVQILLGCSCKNDLAIAHKLCAEKWFKIKGNKKSFIGSLQNGNKKVIRRHSCPCLPEWLTKLYILPK >Ma00_p01330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:6221492:6225327:1 gene:Ma00_g01330 transcript:Ma00_t01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKSFQDTEGRPQRSRPMNESDEDINCFTDAEDEAWHSPCNSSFTCSASDMLRFSCASHCEIDGSPEAGSKSYVSDRSLQGDLESGIWEIKKGDKDCRICHLNLEEAAPESGVQILLGCSCKNDLAIAHKLCAEKWFKIKGNKTCEICGSTAQNVVVSDEAELIEQSNEADTTRAPHTQATETHGFWQGHRFLNFLLACVVFAFVVSWLFHFNIPG >Ma02_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27656233:27657226:-1 gene:Ma02_g22270 transcript:Ma02_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSLSSLFEKPRPENPTLMESLSSWSQIMAKKPVDNACSFTEIFGELHFQEKPAPPPDSNHGFPLKSSDKLQLCTERLGSESSDDVDDLVEEDGDDRGSDRWKEKQAVVGGGHPNNCSDVRTKTGGFPPPISSIGKSGKPWIYFKSYRHEGRFVLREIRIPTKEFLHASREDGRLKLQLVHPDEEIPEGDEDEDEEEEEEEEEEEEEEEAEEEEDKTKSIQGNVD >Ma11_p24110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27223963:27232084:-1 gene:Ma11_g24110 transcript:Ma11_t24110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSGGLGNQQNEEAEEQVCLNSELWHACAGPLVSLPSVGSRVVYFPQGHSEQVAASTNKEFDSLPSYPSLPPQLVCQLHNVTMHADVETDEVYGQMTLQPLSPQEQKDPYLITEMGTPCKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVHHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGQRQPRVSLWEIEPLTTFPMYPSPFPFRLKRPWPTGLPSLYGGKDDISLNSPLLWLQNGGNTGLQSLNFQGIGVTPWTQPNFDTPILGLQPGMYQAMAAAGLQETRTTETTKLISPSVLPFQQTQNITTRTSPMLPSQVLEHGQSQPPQTFLQDIQINQVQSQSQAEFLQHQLRQEYSFGEQQHQQEISQQQLPQQKQTLHHQQIQQQKFLSTQQVCTGMSALPELISESQSPSTALQNILSFSQSQNFSNSNGNFVRTSNASSLHDILHQLSPEDASNLHSWSRGNQVFTSSPWPSKRVAIESMLPSGDQCVLPRVEQSGVSQPNIAQRSVTLPPFPGRECMVDQDGNVDGQNHLLFGVNIDSSPLLVQNGMANLSNIRNETDSMNIRYAASNFVASSGNDFALNQTLTGSNSLEEAGFLHSPENVDSLNPKSRTSVKVYKSGSLGRSLDIAKFSSYHELRSELGHLFGLEGQLEDPLRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQEVQQMGKQSVEFLNSTCIKRLPNNSCDDYINRQDSRTVSTGIASVGTLEY >Ma11_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27223963:27232084:-1 gene:Ma11_g24110 transcript:Ma11_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSGGLGNQQNEEAEEQVCLNSELWHACAGPLVSLPSVGSRVVYFPQGHSEQVAASTNKEFDSLPSYPSLPPQLVCQLHNVTMHADVETDEVYGQMTLQPLSPQEQKDPYLITEMGTPCKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVHHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGQRQPRVSLWEIEPLTTFPMYPSPFPFRLKRPWPTGLPSLYGGKDDISLNSPLLWLQNGGNTGLQSLNFQGIGVTPWTQPNFDTPILGLQPGMYQAMAAAGLQETRTTETTKLISPSVLPFQQTQNITTRTSPMLPSQVLEHGQSQPPQTFLQDIQINQVQSQSQAEFLQHQLRQEYSFGEQQHQQEISQQQLPQQKQTLHHQQIQQQKFLSTQQVCTGMSALPELISESQSPSTALQNILSFSQSQNFSNSNGNFVRTSNASSLHDILHQLSPEDASNLHSWSRGNQVFTSSPWPSKRVAIESMLPSGDQCVLPRVEQSGVSQPNIAQRSVTLPPFPGRECMVDQDGNVDGQNHLLFGVNIDSSPLLVQNGMANLSNIRNETDSMNIRYAASNFVASSGNDFALNQTLTGSNSLEEAGFLHSPENVDSLNPKSRTSVKVYKSGSLGRSLDIAKFSSYHELRSELGHLFGLEGQLEDPLRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQEVQQMGKQSVEFLNSTCIKRLPNNSCDDYINRQDSRTVSTGIASVGTLEY >Ma07_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3293487:3297616:1 gene:Ma07_g04430 transcript:Ma07_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFNSFVRFKEPPNLFFSRDDTVSASKNAGVSKPTSIRAANSVSSVGKSTRVSKTFQGKPKFAAISISPRKSRIGAGLAADAERVRIREELRRETEETLEWGSVCSQVSAFVSTSVGRALCRSGNLPVGRDREESEKLLDQTAAAVLLPRPLDFSGIDDVSEIVRAAVAGELLGIRELCAIERSLQSARRVFEQLEQISADESSDRYTSLLEILQDCDFLVELANQIAFCIDGKLSIVLDQASMKLESIRMERRKNMEKLESFLKEVSMKVFQSGGIDSPLVTKRRSRMCVGIKASHKSLLPEGIVLSSSSSGATYFIEPRDAIELNNMEVRLFNDEKAEELAILGVLTSEIAHAETKIRYLMEKILELDLAVARGAYALWNGGVRPYLIQDYERFKSIITGDTLSVDIESIQHPLLLEPSLRHLPSVSEKGGGSSILFDRRNLSIDSEEFLEVEPPVPVDFKIENSTKVVVISGPNTGGKTATMKTLGLASIMSKAGMFLSARDQPKLPWFDQILADIGDHQSLEHNLSTFSGHISRICKITEVASENSLVLIDEIGSGTDPSEGVALSTCILRYLADHANLSVVTTHYADLSRLKSGDSRFENAAMEFCLETLQPTFRILWGSTGNSNALSIAKSIGFDQKMLDRAEEWVKKLEPDRERERQGSLYQSLLEERNLLEAQANEAALVLEEVKKLHSEIQSEAEDIDKRVAALKAKESHLVQQELKIVKSKMDSIIEDFESRIQSATLDQFSSIMRESETAIASIVAAHSPKDDMSYVSTESGSSYLPQIGDQVYVTGLGDKVATVVAAPAEDGTTTVQYGKIKVRVKRNDMRLVQSSSGRHNSALQPRGQIRRWNKGPATESNMDEEAAFGPAVRTSKNTVDLRGKRVEEASHRLQMAILGCKSRGVLFIVHGTGTGAVKECVLEVLRNHPRVAKFEEESPMNYGCTVAYIK >Ma09_p23380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35226133:35229121:-1 gene:Ma09_g23380 transcript:Ma09_t23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWHKVVAFPVKRACVAVAARVKPPSDGGGIIKLQNDVQMCGYQDVQVMWEMVRISEMELSNKPKKRKRLLWRLLAWLNQSSSGDPMDPH >Ma03_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1081069:1089631:1 gene:Ma03_g01560 transcript:Ma03_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAYDEGVAPANVQLANGEHARRTREGGCWRSDPPGLRRVPEEWRWLRRCLEAAAKGFAIGAGLKGGLALFSVLVRLRSRRSLRSSARKAGVFTNKEAVVVALEETLRYGIFLGTLAGTYVSVDEVIAALGGHKRTARWRSLLAGLIAGPSMLLTGPNKQHTSLAIYILMRAAVLASRCGIKSKLFGGVCRPLTWSHGDIFLMCLASSQILPAYILKQDSLPSSYKSFLHKHGGKDAVILQSMREIASNICFSNLDGVKKYYKSIGVDVKLDPNMNVPCSIVHGNQSCFEHFITFLFQEYGRALPVYLPVYLVPALVVHRQGLWKRPYTILGKSLLGTARSSLFLSVYCASAWAWTCLLFRLFKRCNIPMVVIGTFPTGLALLIEKKSRRTEISLYCLARAIESFCTCLADAGVFPQASKLKRADVIVFSFATAIIMHCYAQEREVFRSKYLNVLDWVFGVPPPYDDEHCKKS >Ma08_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32649960:32652726:-1 gene:Ma08_g18880 transcript:Ma08_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRRSLLRLRQTLMLSDTRSPVSLRSHVGSISRFYSGHGSVDVDLSDEESKRRLHNRLLYRSRQRGFLELDLVLGAWVEENIRAMDQLHIRALMDVLDLENPDLWKWLTGQEQPPEAVKINPVFCAIQSKVMSNLNSHAAPETRANPGQPWVRGWDDKKGVEGGPTYGNQ >Ma03_p17190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22427852:22431973:1 gene:Ma03_g17190 transcript:Ma03_t17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIIKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKADKAKYETTARSWTQRYAMG >Ma08_p09640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7024520:7030277:-1 gene:Ma08_g09640 transcript:Ma08_t09640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVEINHSSVNSNGSRHNSNGAEERLDELRRLLGKTDGDLLKIVGVGAGAWGSVFAALLQDAYGHLRDKVQIRIWRRPGRSVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEDISRYWKERITVPIIISLAKGIEAALDPVPRIVTPTQMIKCATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSLDMGDSIKGKGMIQGVSAVGAFYELLSQSSLSVLHPEEKKPVAPAELCPILKTLYKILIRRECPSQAILQALQDETMNDPRERIEIAQSQAFYRPSLLGQR >Ma08_p09640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7024520:7030277:-1 gene:Ma08_g09640 transcript:Ma08_t09640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVEINHSSVNSNGSRHNSNGAEERLDELRRLLGKTDGDLLKIVGVGAGAWGSVFAALLQDAYGHLRDKVQIRIWRRPGRSVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEDISRYWKERITVPIIISLAKGIEAALDPVPRIVTPTQMIKCATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSLDMGDSIKGKGMIQGVSAVGAFYELLSQSSLSVLHPEEKKPVAPAELCPILKTLYKILIRRECPSQAILQALQDETMNDPRERIEIAQSQAFYRPSLLGQR >Ma08_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7024520:7030277:-1 gene:Ma08_g09640 transcript:Ma08_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVEINHSSVNSNGSRHNSNGAEERLDELRRLLGKTDGDLLKIVGVGAGAWGSVFAALLQDAYGHLRDKVQIRIWRRPGRSVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEDISRYWKERITVPIIISLAKGIEAALDPVPRIVTPTQMIKCATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSLDMGDSIKGKGMIQGVSAVGAFYELLSQSSLSVLHPEEKKPVAPAELCPILKTLYKILIRRECPSQAILQALQDETMNDPRERIEIAQSQAFYRPSLLGQR >Ma08_p09640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7024520:7030277:-1 gene:Ma08_g09640 transcript:Ma08_t09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVEINHSSVNSNGSRHNSNGAEERLDELRRLLGKTDGDLLKIVGVGAGAWGSVFAALLQDAYGHLRDKVQIRIWRRPGRSVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEDISRYWKERITVPIIISLAKGIEAALDPVPRIVTPTQMIKCATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSLDMGDSIKGKGMIQGVSAVGAFYELLSQSSLSVLHPEEKKPVAPAELCPILKTLYKILIRRECPSQAILQALQDETMNDPRERIEIAQSQAFYRPSLLGQR >Ma06_p27350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29378841:29384013:1 gene:Ma06_g27350 transcript:Ma06_t27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTLQRGLYRPDKTIVWKIMISAPGISHDRFSCHRGTAEDGPRLACLRVTETVASYLFAFYRVPCSGGGVDGEFALMLHTESGAANQEGSYRIIKPHKSPRSGVTSCQSGILAATVAPASTGNM >Ma08_p31370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42303814:42305955:-1 gene:Ma08_g31370 transcript:Ma08_t31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVPLPEFPMWAKFGYRFIVNNFVTLFLVGSMTAVGWELLQLGPDDIMALWRSLQEKPIETLSAVFLVSFVAALYFMSRPRPVYLVDYACFKPPSTCRVPFATFMEHTRLINSDKKSVQFQTRILERSGLGEETCLPPANHYIPPNPTMEASRAEAQLVIFSAIDDLMKKTGLRPKDIDVLVVNCSLFSPTPSLSAMIINKYKLRSNVRSFNLSGMGCSAGLISIDLARDLLLVHPRANALVVSTEIITPNFYAGNQRSMLLPNCLFRMGAAAILLSNRRREAGRAKYRLAHVVRTHKGADDRAYRCVYEEEDAEGHSGISLSKDLMAIAGEALKSNITTMGPLVLPMSEQLLFALNLVGRKLINPEWKPYIPDFKQAFDHFCIHAGGRAVIDELQKSLQLSAEHVEASRMTLHRFGNTSSSSLWYELNYIESKGRMRRGNRVWQIGFGSGFKCNSAVWKCLRTIKGPVDGPWTDCIDRYPVDIPEIVKL >Ma04_p32870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32751669:32754494:-1 gene:Ma04_g32870 transcript:Ma04_t32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVPAVVLGSIAFAIFWMLAVFPAVPFLPIGRTAGSLLGGMLMIIFRVISPDQAYASIDLPILGLLFGTMVVSIYLERAQMFKYLGRLLSWKSKGGRDLLCRVCLVSALASALFTNDTTCIVLTEFVLKLARQHKLPAKPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFVRFFVGVVPAMLLGVVINVVFLLCMFWRQLSPKEGEEQAKEDVVTENEVNSHTFSPARMSHPSPLQPNPSPLNPQHMTPVNDVEKNTPCRNGAKRGCSQVPDMRRCLTKKELFLKGFVYIVSVGMLIALLMGLNMSWTTITAALILVVIDFKDAGPCLDKVSYSLLVFFCGMFITVDGFNRTGIPSAFWEFMEPYSRINHASGVAVLAVVILLLSNLASNVPTVLLLGAQVAKSAAAVSPDYETRAWLILAFVSTVAGNLSLLGSAANLIVCEQARKSELHGYNLSFWAHIVFGLPSTLIVTATGLPLIKG >Ma04_p32870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32751669:32753694:-1 gene:Ma04_g32870 transcript:Ma04_t32870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALASVPAVVLGSIAFAIFWMLAVFPAVPFLPIGRTAGSLLGGMLMIIFRVISPDQAYASIDLPILGLLFGTMVVSIYLERAQMFKYLGRLLSWKSKGGRDLLCRVCLVSALASALFTNDTTCIVLTEFVLKLARQHKLPAKPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFVRFFVGVVPAMLLGVVINVVFLLCMFWRQLSPKEGEEQAKEDVVTENEVNSHTFSPARMSHPSPLQPNPSPLNPQHMTPVNDVEKNTPCRNGAKRGCSQVPDMRRCLTKKELFLKGFVYIVSVGMLIALLMGLNMSWTTITAALILVVIDFKDAGPCLDKVSYSLLVFFCGMFITVDGFNRTGIPSAFWEFMEPYSRINHASGVAVLAVVILLLSNLASNVPTVLLLGAQVAKSAAAVSPDYETRAWLILAFVSTVAGNLSLLGSAANLIVCEQARKSELHGYNLSFWAHIVFGLPSTLIVTATGLPLIKG >Ma10_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25342972:25345679:1 gene:Ma10_g12040 transcript:Ma10_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSVVEVDDDEYAKLIRRMNPPRVVIDNDACDNATVIRVDSIKKHGILLEVIQVLTDLDLIITKAYISSDGSWFMDVFNVTDRNGNKVRDKEIISCIQNSLGSDAFFFPRIGNSVDIVPSKEHTFIEMTGTDRPGLLSEICAVLANRNCSVAKAELWTHNTRVAAVVHVTEESTGAAVEDPERLSTIKELLCNVLRGDNDSRMGRMTVSKDRTHTERRLHQMMFGDRDYESTVVGAGDDKPRPQVAVMDCAEKDYSVVILRSRDRPKLLFDTVCTLTDMQYVVFHGTVDTRDDEAYQEYYIRHVDGCPINSEAERQRVIKCLEAAIERRTTEGLELVLRTEDRPGLLSDVTRVFRENGLTIRRAEISTQGGKASDTFYLSEMSGKPVEAKTIDSICRQLGEMVVRVKQSPLLAPKPPEVAGATSFLFGNLLKASLQSFRLVGSHS >Ma09_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31884527:31885460:-1 gene:Ma09_g21140 transcript:Ma09_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRNMEEVWKDISLSTLHQDVPSTPVLAFHPATTISSFRAVMLQDFIADAFKAENRAPPPSTHSPPASLSPTSDNSRQFFGYDLNASASASGSNAAQSESTKKRSPEKRPNRSVDRQSGVEQRKKRMIKNRESAARSRARKQAYRNELELEAARLLNENEMLKRESEQLRMTVAAQNPTASKPTLQRTLTAPF >Ma05_p27420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38727978:38732339:-1 gene:Ma05_g27420 transcript:Ma05_t27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDKDLILKMGSCSSVDRDTDSTIRYRLGVNHKGRRLFIPSPAKEKPFDGKKPFGEVGSMSPESVNKEDIYFDSCAWLDSDCEDDFFSVKGEFTPSQGSTPIHQSSAPLTPRPVNSIYIDKSPETSSEPSPTGRKKLRELFQETLLVEREGSEPNAAEVETNKRINLHTTNTDPPPRQLNGTPYRFRAISFCSSTVTSSGDPKNRKERLCKSQHCCLPSLQSFGLDDRRQKMTTKHCTA >Ma05_p27420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38727978:38732349:-1 gene:Ma05_g27420 transcript:Ma05_t27420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDKDLILKMGSCSSVDRDTDSTIRYRLGVNHKGRRLFIPSPAKEKPFDGKKPFGEVGSMSPESAVNKEDIYFDSCAWLDSDCEDDFFSVKGEFTPSQGSTPIHQSSAPLTPRPVNSIYIDKSPETSSEPSPTGRKKLRELFQETLLVEREGSEPNAAEVETNKRINLHTTNTDPPPRQLNGTPYRFRAISFCSSTVTSSGDPKNRKERLCKSQHCCLPSLQSFGLDDRRQKMTTKHCTA >Ma02_p22190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27599133:27604206:1 gene:Ma02_g22190 transcript:Ma02_t22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHFKYVILGGGVAAGYAAREFVKLGLNPGELAIISKESVAPYERPALSKGYLFPQGAARLPGFHVCVGSGGERLLPEWYAEKGIELILGTEIVKADLASKTLTSAADVIIKYDILIIATGSTVIRLSDFGVQGADANNIFYLREIDDADKLVAAIHAKKDGKAVIVGGGYIGLELGAALKINNLDVTMVYPEPWCMPRLFTAGIAAFYEGYYANKGIKIIKGTVAVGFDSDANGDVTAVRLKDGRVLEADIVVVGVGGRPLITLFKGQVEEEKGGIKTDGFFKTSIPDVYAVGDVATFPLKLYNEQRRVEHVDHARKSAEQAVKAIKAVEEGESIEEYDYLPYFYSRSFDLSWQFYGDNVGDTILFGDNDPTSAKPKFGTYWIKEGKVVGVFLESGSLEENKLIAKVAKLQPPVQDPEQLEKEGLAFASKI >Ma01_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3417737:3425937:-1 gene:Ma01_g04940 transcript:Ma01_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEYVTGPQGANEAASSSSSPSSSPSQKIEHQTLQPIVEGITYLNSSNATDLALQIPSRGTSSSGGFSRGRSFKNKTPVVDGERSSLLNPVLAEDPDKREGTETAFYANIVSAFSWKRCTSLPNTPAPCLSPSPINNRAYEQQSSQKPAAQSKVPRSLSVPVRNIVIVRSVSFSVQNEDTSSEPPDDQQGPMTEDNDEEIPEDEAVCRICLIGLNEGGNWLKMECSCKGALRLTHEECAVKWFSLRGNKKCEVCSQEVLNLPVTLLRIQNAAHRDSGQQHPGQSSNLLLTRTWQDVAVLLLISAMCYFFFLEQLLVNDMKLHAVMVAAPFSLTLGLLGSVFSVALARKEYVWAYSAFQFSLVIIFLHLFYSVIQLKAVFAILIASFAGFGISMGINSLFLQFFAWRDRAVQQQMNTNPV >Ma03_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7051046:7056947:1 gene:Ma03_g09500 transcript:Ma03_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQPAARISTTFEDPRSAASAAEEQASLATRAIRASAAHRDASSLSSAYGESAVSSPHNESHRMRSSPPPQRDSESYEYISMKNLNESKYGFWGTLARKAKSYVDNDDTNNQFQALERNPHQTFGRSTVDRFHHVDPSPEIYQKTETTHKRSDAIGSSLNHIGGTIKNAIEEGLTIVENKTANIIHETRKLNIRRKGNAATMQGQAAEKLGQEYIPQIQTEYETQLKASRDVANAMAAKAKLLLRELKTVKADLIFTRERCAQLEEENKMLRESHEKGDCPEDDDLIRLQLETLLVEKARLAHENSIYARENRFLREIVEYHQLTMQDVVYVDEGVEEVTEVYMTPNASLTLTRAASEASTGFISTPLAPARTNSSPATLQPSLLPLPSIIVPEDCPIVPMQPPLSVPTKSTLPPQSAA >Ma03_p09500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7051046:7054968:1 gene:Ma03_g09500 transcript:Ma03_t09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQPAARISTTFEDPRSAASAAEEQASLATRAIRASAAHRDASSLSSAYGESAVSSPHNESHRMRSSPPPQRDSESYEYISMKNLNESKYGFWGTLARKAKSYVDNDDTNNQFQALERNPHQTFGRSTVDRFHHVDPSPEIYQKTETTHKRSDAIGSSLNHIGGTIKNAIEEGLTIVENKTANIIHETRKLNIRRKGNAATMQGQAAEKLGQEYIPQIQTEYETQLKASRDVRLQMQWLQRQNFFLGN >Ma04_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3008987:3009773:1 gene:Ma04_g03910 transcript:Ma04_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTRDEYGNPIRTDEYGNPLPVNQGYGIGAGAATKEQHHCGGTGGLHRSGSSSSSEDDGQGGRRKKKGLKEKVTEKLPGGHKSEKVHKEPETGTGTGEQHEKKGLMEKIKEKLPGHHKE >Ma05_p23280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35323442:35329259:1 gene:Ma05_g23280 transcript:Ma05_t23280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASKADEGRGGPMPEYERQRLSRIRENRSRLEALGIPGLASSLLSPPPPPSKLQERREKAMKGAGRGRAVGDEDDDDYLPSDADQDDGGEGGGESSSLGEEEEEEQAEKKPSSVSRGKGKKRGSSKAAKVMKKGKTEPNDLTDSDTALKQAIALSLGEHMDPNEAVGGIPQNSGSSVADFGPYRKDKSSAHGPAGRRKSKIVNKSRVQLTEDEVDAYFFSFDVGKGYITQQDLQKMAIAHDFSWTKSEIFNMIHCFDSDGDGKLSLKDFRAIVCRCKMIKDAAKH >Ma05_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35323423:35329258:1 gene:Ma05_g23280 transcript:Ma05_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASKADEGRGGPMPEYERQRLSRIRENRSRLEALGIPGLASSLLSPPPPPSKLQERREKAMKGAGRGRAVGDEDDDDYLPSDADQDDGGEGGGESSSLGEEEEEEQAEKKPSSVSRGKGKKRGSSKAAKVMKKGKTEPNDLTDSDTALKQAIALSLGEHMDPNEAVGGIPQNSGSSVADFGPYRKDKSSAHGPAGRRKSKIVNKSRVQLTEDEVDAYFFSFDEVGKGYITQQDLQKMAIAHDFSWTKSEIFNMIHCFDSDGDGKLSLKDFRAIVCRCKMIKDAAKH >Ma09_p26560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37805232:37805564:1 gene:Ma09_g26560 transcript:Ma09_t26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKRAMSAVVLLDFWASPFGQRCRIALAEKGVEYEYREENILGDKSPLLLQSNPVHKKIPVLIHDGKPVCESLIVVQYIDEAWPDRAPLLPAEPCSRAQARFLADFVEMK >Ma10_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14181329:14181511:-1 gene:Ma10_g03520 transcript:Ma10_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSEDWLEKERRGGCQRGALLIGHGRFHQRGLRGWVQKNVDEADQVLNLFFFFYYARD >Ma02_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15594231:15596987:1 gene:Ma02_g04120 transcript:Ma02_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPFFLLLPVALLLLVSSTLQQEEGGLDEIHTPQTYIVRVRSDLKPSVYPEVEHWYSATLRSLSSSSSAVNENPARETYRPRALLHVYRTVFHGFSAVLSSAEADLLSSQPGVLAVFPDRRQRPHTTRSPQFLGLLSPAFRPNSLLSATDSGSSAVIAVLDTGVRPDHHSFSETGPTQMPPRWRGACEPGPSFPPTSCNKKLVGARFFSSGFLASTKNASSDVLSPIDTEGHGTHTASTAAGVPVPGASLLGVYAAGVASGVAPKARVAAYKVCWSSGCFDSDILAALDRAVDDGADVISLSVGSNPVPLHLDPIAIGAFGAAEHGVLVSASAGNGGPNEMTVTNVAPWIVTVGASTIDRRFPADVVLGDRTVLTGVSVYAADAHTGASLVGELPLVYAGNASTSRPGFRSSAHFCMRESLEPALTRGKVVLCERGGIPRVEKGLAVKEAGGAGMIVANQFLDGEGLVPDAHLLPAVNVGYSTGNIIRAYVRSTADARVRLVFRGTQVGVKPAPVVAAFSGRGPSAPSCYLIKPDVVAPGVGILAAWPLGLGPTSLPSDARRTEFNVMSGTSMACPHVSGVAALLRAAHPDWSPAAVRSAMMTTAYMTDSLGQQMLDENSGNRSTAWAHGSGHVDPEKAADPGLIYDLTADDYLSFLCSSNYTEMQIRTIARRTVNCSRNSGRMPWDLNYPSISVVLEQPKESKLQVIAHRTLTNVANGTCAYTVETRTPVGVQMAVDPQQLVFHGKRQKQGFVVNISAEGVTLPPGGWKTEFGSLSWSDGKHTVRSPIAVTWQQAF >Ma05_p26650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38197972:38199633:-1 gene:Ma05_g26650 transcript:Ma05_t26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSDRPSSGRDTGEDEIAEQRPLLVTDINFLNASLTCTNEI >Ma11_p13520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17900377:17903210:1 gene:Ma11_g13520 transcript:Ma11_t13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRKKVRFCASVVLLLLSFLLLLGSLSLVGATDIPLGSTLSPSNASSWSSPTGTFSFGFFSDRQSPSLYLAAISYSGGIIVWSAGGSGSGSVDSAASLQLRADGNLCLVSGSGALVWESGTASKGVSAAALLDSGDFVLKNSTAVVWDTYDNPTDTILQSQNFTFGQVLRSGVYSFSILQSGNLTLTWNDSITYFNKGFNSTFTANKSLASPVLTLQANGIVSLSDASLSTAVVIAYSSDYGESDDIIRFVKLDSDGNLRTYTAVRGAAVASRQWAAVADQCEVFGWCGNMGICSYNDTSPTCGCPSRNFDFVDPDDHRKGCKRRTEIQDCPGNSTMLQLDHTQFLTYAPEISSEQFFVGITACRLNCLSGGSCVASTALGDGSGFCYLKASNFVSGYQSTALPSTSFVKVCAPALPNSPSPPGELRSRSSNLKGWLVAVLVFGTVSGLMLFEWGLWRCFCRNGARYGPSSAQYALLEYASGAPVQFSYRELQKSTRRFKERLGEGSFGAVYKGVLASRTAVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDSFLFSGESSSGKLSWSTRFSIAVATARGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLVNAKDHRQRTLTSVRGTRGYLAPEWLANLPISSKSDVYSFGMVLLEIVSGRRNFDVSDDTGRKKFSVWAYEELEKGNIKSAMDKRLAEQDVDMEQLKRALLVSFWCIQEQPSQRPSMGKVVQMLEGVLAIDRPPAPKAADGGLAAVTSSSANTSITVFVTSSPAQPSSSSSHSIASSSLVSKRNLDEPTSSPVVVADQSSS >Ma09_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5566954:5569888:1 gene:Ma09_g08420 transcript:Ma09_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQERVPLKNSLQKLSDVLVLSLLLSLLAYRLISLHRHARIWLIAFTCESWFTFVWLLYMNAKWTRATYKTFPQHLSEIYHDLPAVDVFVTTADPTLEPPIVTANTVLSLLAVDYPFHKLSCYVSDDAASPITFYSLVESAKFAKLWVPFCKKHNVRVRAPSVYFSTEPQPSHSPSSDYMREWRRIKDEYEELIRRIETANEDQILPRVADGSKDFLKTERRDHPSMVEVIWENKEGSEDGFPHLIYVAREKKPKQSHQYKAGAMNVLTRVSGVMTNAPFMLNVDCDMFANNPEVVLHGMCLLLGVDDEVFSGFAQAPQQFYGALKDDPFGNQLVVLLKKILPGLQGLQGPFYTGTGCFHRRKVIYGSPPGPPSIEKRGNLSCEELEMIYGNSLEFVESALQITSGYGKGLPANLSSRVEAAKKVADCAYEVNTSWGREIGWVYGSITEDILTGLRIHSMGWRSISMTPEPPAFLGCAPTGGPASLTQFKRWATGLLEILLSRRSPILAVMEEKLMLRQCLAYLLILVWPLRSVFELCYALLPAYCLLADSAFLPKASELGFLVPMALFLIYNVYTLTEYFQYGLSIRAWWNNQRMQRIYAQTSWLLGFFSALLKIMGFSETIFEVTRKDQPKPTDVTGADDPGRFTFDSSPVFVSGTAVMLINLTALVVGSMRVLWQAEAGEIGPGIGEFVCSAWVLLSFWPFVRGLVGRGSYGIPWSVICKAAVPVCFFLQMCRNA >Ma08_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4935388:4936149:-1 gene:Ma08_g07220 transcript:Ma08_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAKAASLGGYRSVGPLAGRAAMPAGRAPKPASLTASPVPPAAEGGPSMSITSPDKCLHEAATISTAWVGGGGAGVRPRFAPSGPKARIRAHPDAGGGHFQSERCRRESVFLSKHQFPCYGSSSYVCPP >Ma10_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30208043:30209107:-1 gene:Ma10_g19800 transcript:Ma10_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLESLRWTGASPRISFSHDVDLPQTDASESTDADRRLDVSLPLEVSAPSDFDFSLAIDALSHDHSLADDLFSDGKLLPLPIKNPPSSSRPSAPVAAPPATATCRRSRDSLREMMTGPEANGIGRASPPTPFWRFRRSSSVGYGSSRSSLCPFPLLRSKSSGSSSTQRPNAKPGITDNSYSSAGIGKGSSRPFSELFRKGANEPKTKVYYYSGNSRRSYGSNAVRISPILNVPTPGSGSIFDYLLCKRGDKSMSKSSAVTSYP >Ma06_p32450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33328607:33390942:-1 gene:Ma06_g32450 transcript:Ma06_t32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFPVVDSAFYLLFVSNATALPSITVVVILTLAVICLYPGGLSWALSRGGRAIPGPPGMVLGLSGSAAHRVLARLAASLKAADLMAFSFGLTCFVVSSRPDTAREILNSSAFADRPVKESAYELLFHRAMGFAPFGDYWRTLRRISATYLFSPARIAAFGEHRRAIGQQMIHDVMASMETNGVVAMKKVLHFGSLNNVMMSVFGKRFDFGKHEGVELDELVTEGYELLGKFNWSDHFPMLRWMDPQGIRKRCRRLVAKVNVFVGSIIEDHRRRRVAAEAVNGVGDFVDVLLDLEKEDRLSDSDMVAVLWIPKLLLPWGCTQNSSVPRIKSLFNEFNNPNLDL >Ma02_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23186095:23187412:-1 gene:Ma02_g15510 transcript:Ma02_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGQNHSNTGAAGELSSPREHDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYAEPLKVYLQRFREMEGEKSGGSSSLSQPQQKDGGGGVAMSMGNNVSGFASGGGGAAMYGGGMTMMMGQQIYGSPPSSSSYHHHHQMAMAGKNSMGSGNGDGGGSSSSSTGIGRQGRV >Ma07_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11482148:11487561:1 gene:Ma07_g15300 transcript:Ma07_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDKDGSDKGLHGHGHGHSGYYPPAGYPPAPGAYPPQAYPPAPGAYPQQGYPPQGYPPQGYPPAAYPPAGYPGSSAPPHQGHGSHMGAMLAGGAAAAAAAYGVHHMAHGSHQAGHGAYAGHMPGHHGKFKHHGKFKHGKFGKHKHGKHGGKFKKWK >Ma07_p15300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11482119:11487561:1 gene:Ma07_g15300 transcript:Ma07_t15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDKDGSDKGLHGHGHGHSGYYPPAGYPPAPGAYPPQAYPPAPGAYPQQGYPPQGYPPQGYPPAAYPPAGYPGSSAPPHQGHGSHMGAMLAGGAAAAAAAYGVHHMAHGSHQAGHGAYAGHMPGHHGKFKHHGKFKHGKFGKHKHGKHGGKFKKWK >Ma05_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33736463:33737317:1 gene:Ma05_g22010 transcript:Ma05_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLFQSLHSPESSTGSGDPFPWTGVGLFYPDVPVPFDMNDSEEMLLLGMLAEASGKASSSLEACERSPAQPKEEEVDSQSKVADDPKVKSYRGVRKRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFSMRGSTAVLNFPVDRVRESLNGMKCWDEQEEEGVSPVVVLKRKHSMRRKSMGKKAKQSETSIRSAESVLELEDLGAEYLEQLLTTSEVAPIHAQC >Ma02_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29292017:29295999:1 gene:Ma02_g24870 transcript:Ma02_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALDRLLEPGSGMPMSPKPPPVPIKMVKGAQEATTRRSNPRPNIRPALYATPETTPIPDAPWWFPPHSPYLINHKRRGRRLVKSVLQGQACSTGPKPPDEEQKIEVMNGNGHDEEVQKAGHGQGSRGGSVVDESGGGELQDEHLGKGVIATEEMAKPLSEDPERDDDTENFFDLQDSLSTASNSEADDTCGRWKPITPLGEYYDAFDDILSDGSARSSYPNVEDELREMRLNVLMEIEKRTQAEEALANLQNQWQRLSHRLSLVGLKLPTPPTVPEAVDVPANLHPAEELYQQVVVARFVADAVGRGCARAKVQLEMETQIASKNFEIARLSDRLQYYEAANKEMSQRNQEAIEMARRQRNWRKRRQRWFWGSIGVAITLGGAAIAWSYLPVSISKPTPSEVDTTSSHEQ >Ma05_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10241452:10251378:1 gene:Ma05_g14080 transcript:Ma05_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL4 [Source:Projected from Arabidopsis thaliana (AT5G02880) UniProtKB/Swiss-Prot;Acc:Q9LYZ7] MDRNRKREAADERSQEKRACSSSEFRPCSSTSSSQPPPPPQTDSEMESSSSGRSDRAGDSGYGSCDSDDFAGGYDSRNIVGRGRLQRVFSGLLDDGSGGSAQLAALTELCEVLSFCMEDAVGYFPLETVVPPLVKLASHESSPDVMLLAIRALTYLCDAMPRSAEAIVRHGALPVLCGRLLAIEYLDVAEQSLQALEKISRKQPVPCLQAGTIAAVLTYIDFFPTNPQRVAVSTVANVCKKLPPDCSTIVMESVPILCSLLQYEDHKLVETVAACLVRITDCFAGSSELLDELCKHGIIQKSLNLIANDGHRSLSRATYSGLIGLLRKLATSSLVAVQTLFELNISRTLMGILMSSDMLRDSAYVSVQDMQTNQVYEVLKLANQLIPPVLRDVPDDQIELAKEKILVDQPNFLHEFSTDILPVSVQVVNSGANAYVCYACVSIINSIAYFSTPDILLDSIKSTNISSFLAGLLSRKDPHVIFLTLKTVEVLMQKLPAVFLSSFIKEGVVYAIDAALLVQEKCSDSVSEHSNDHMVVRDTSRCMCHAFNSSRVSASESKTCRLQKDSIQSLARHIKTTYFTHEAVDSEMGFTETLQKLKILCTVLNDNVDSCSTSDGCLQNEENLTQILLQVMREFSEGESMSTFEFIESGIARFLACYLSNGKYLSGTTSAIDLSSHILTVLKRFQIFSSICLSNPGQSCDNMLLAVLLKKFQNALSSLDNFPVILSHGFKLRNTYTDIPVRGITKNPCLRVRFVRQNEDTNLSDLDNVVNVDISSSFDALEGYLWPKVNKGKNGHRTESADRKADDTTSGIKHVSEKNPIETHTNISQESCISNSAEVSRQEEQYLPAVDSSPKQTMSAKEVTEGSSASPSIGSAKPKLTFSLRGKQLDSSMTIYQAVLEEQKGAEFDMVVGSKFWSEVYKLTYKSAEEPKANDSEMLNCVPQSSVFWNKHGFSDWKYPFLLAELPCKIDKLNALYDVLFMLKIFEGMNHYLFQLLSDERLNSFAEGRIENFDDLKVIVSSIPQVEFVNSKLNDKLEQQMQDPLVLTTGCMPSWCGQLMAACPFLFSFEARRKYFYLTTFGSLRSQQNNIQNLDGSGTNSLNDRHSYSGSLRKKFIVNRNNILESAVKMMKLHAQSKGTLEVEYAEEVGTGLGPTMEFFTLASHEFQKVGLGMWRGDLSYAGRSTIDGYSEFVLAPFGLFPRPWSTSTDVSGVAEFPEVIKMFLLLGKLVAKAIKDGRILDIPFSRAFYKIILEQELSICDIQSIDPELGRTMLEFQALVNRKRFLESISGDSSNLCYRNTSVKDLCLDFTLPGFPDYALLSESTKMVNIVNLEEYVTMVVDATIGSGISRQIDAFKSGFNEVFSLKALQIFTKDELERLLCGEQDCWDFTELVDHINFDHGYTGSSPTVVSFLEIIQELERDQRRAFLQFVTGSPRLPPGGLAALKPKLTVVRKQHSSCDADMDLPSVMTCANYLKLPPYSSKEKMRHKLLYAITEGQGSFHLS >Ma03_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26356265:26360654:-1 gene:Ma03_g21400 transcript:Ma03_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIVVGDGICGGMEEMEGRIPEEVERRIPEEARGILQRLASSWCDVADSMALEVVPLKGALTNEVYQVNWPTCATAAGKRPHTVLVRIYGEGVDVFFDREEEIRTFECLSRHGQGPLLLGRFPNGRVEEFIHARTLSAVDLRDPEISGLIASKLREFHDLDMPGHRTVLLWGRLRCWLKVAESFNPAEEIEEFCLDGLEEEIMTLENELSEEDQRIGFCHNDLQYGNIMIDEETRVVTIIDYEYASFNPVAYDLANHFCEMAADYHSKTPHILDYSKYPDHEERQRFVKLYLGSSGEKPGDTEVENLLMNIEKYALASHLLWGLWGIISEHVNKIDFNYMEYARQRFQQYWLKKSATLYPGVEG >Ma02_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26971834:26976660:1 gene:Ma02_g21310 transcript:Ma02_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRHERLRWSKLYTFSCLRPTVVTDDEQPHPLQGPGYSRLVHCNQPGLHQKKPLNYCTNHISTTKYNIITFLPKAIFEQFRRVANLYFLLTASLSLTPAMEDWNRFMQDMKEIRVGDVVRVEKDQFFPADLLLLSSSYDDGICYVETMNLDGETNLKVKRSLEVTLPLDGQILLRDSKLRNTTYVYGVAIFTGHDSKVMQNSTESPSKRSRIEKKMDNIIYFGMPQSWYLQPSETSYVYDASKPVLSGFYHLLTALILYGYLIPISLYVSIEVVKVLQATFINQDIHMRDEETGNSAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLRCSIAGVPYGVVSSEDSGDGFGSPECELEPNAGTILVFLRVLALCHTAIAEANEKTGAFTYEAESPDEAAFLVAAREFGFEFCKRTQSSVFIRERYSASEDPFKVLNILEFNSKRKRMSVILRDETGQIILLCKGADRYLSSPLIILDRLSKNGRIYEIETIKHLNEYGEAGLRTLALAYRRVSDTIERDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYVCLTSNSHTNTIYSNILSLNKEKVHHFTSIILPWDVDITHLYLEKDPNAAFALIIDGKTLTYALEDDLKNQFLSLAVNCASVICCRVSPKQKALVVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAYTGFSGQSVYADWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRIFGWMGNGLYSSIVIYFLSIQIFYSQAFRANGQTADMAAHLFVWGSIATWYLFLIAYGMCSPVISGNAYRILSEALGPAPMYWAATLLVTLSCNIPYLVYIAFQRALNPLDHHVIQEIKYYRKDVEDQHMWKRGRGPKLGRGPRLVLQQDFVSSLVLGEFFLMVLCKVAWSFEGSV >Ma01_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25696267:25696826:-1 gene:Ma01_g22670 transcript:Ma01_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVACVAMPVEMSSMAVIRWCGVLENKQRQQKMMGFSGRRLRRRRGTTVRLGKLRRRLLLRRLVRWSQLRWRVVVELLGPIRRAVMEMVSRRELVQTQHFALPFICNFPVPLL >Ma08_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15108806:15111809:1 gene:Ma08_g15090 transcript:Ma08_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQMGETETFAFQAEINQLLSLIINTFYSNKEIFLREIISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKANKTLSIIDSGNGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYTWESQAGGSFTVTRDTTGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDEDNKKEEEGDIEEVDEETEKKSKKKKVKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGEEMTSLKDYVTRMKEGQTDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLEETEEEKKRKEEKKAAFESLCKTMKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSSYMSSKKTMEINPENGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLEDPNTFAGRIHRMLKLGLNIDEGEAGGDDTDMPALEEDDNEESKMEEVD >Ma04_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:933015:938752:1 gene:Ma04_g01070 transcript:Ma04_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLELSLGLSFGGSSGKSKSRDVSLDHRIDEGSCVKTVGSSSMAASDVPLKNFFQTNAEDQEHSGKQAVPQPRENFWTDLNKFTVSVSDGSDNKRSNQLQFMGNQEPFIANSKTTNSEEDNSGAKKRRLTTEEMNFQKKHVSLVDHSAIAGKGPSGDTFVKLSHNTPSMDDGCSGENDDVEGSEAEGSNSWLVSQREDSFKHSDLPKGADKSASSGISHQGQKQQLYSGNESNPGLGKVAHGIPLSLQPLTVMTVPYPIPVEVPPAASVPNTVAFPSPCVMQLMPVANSEQPVVQAVNTNNSQMAFGYSTIQLPRLETNSSWAFGSQSQLVSSSTTKNHADGGPDSQHAEPHVRIPHGSSSALALEGRSTNSTKGGKHVIDTGTSSSSHVEDEAKGINTVFWQSNKANPDEGHEGSQIRPGAAPNVKFGGCGSYPDLPWVSTTGPGPNGRTISGVTYKYTENQIKIVCACHGYHMSPGEFVRHASADAADAENSATLAPFATTNPATSAQN >Ma04_p01070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:932876:938751:1 gene:Ma04_g01070 transcript:Ma04_t01070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLELSLGLSFGGSSGKSKSRDVSLDHRIDEGSCVKTVGSSSMAASDVPLKNFFQTNAEDQEHSGKQAVPQPRENFWTDLNKFTVSVSDGSDNKRSNQLQFMGNQEPFIANSKTTNSEEDNSGAKKRRLTTEEMNFQKKHVSLVDHSAIAGKGPSGDTFVKLSHNTPSMDDGCSGENDDVEGSEAEGSNSWLVSQREDSFKHSDLPKGADKSASSGISHQGQKQQLYSGNESNPGLGKVAHGIPLSLQPLTVMTVPYPIPVEVPPAASVPNTVAFPSPCVMQLMPVANSEQPVVQAVNTNNSQMAFGYSTIQLPRLETNSSWAFGSQSQLVSSSTTKNHADGGPDSQHAEPHVRIPHGSSSALALEGRSTNSTKGGKHVIDTGTSSSSHVEDEAKGINTVFWQSNKANPDEGHEGSQIRPGAAPNVKFGGCGSYPDLPWVSTTGPGPNGRTISGVTYKYTENQIKIVCACHGYHMSPGEFVRHASADAADAENSATLAPFATTNPATSAQN >Ma04_p01070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:933015:939502:1 gene:Ma04_g01070 transcript:Ma04_t01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLELSLGLSFGGSSGKSKSRDVSLDHRIDEGSCVKTVGSSSMAASDVPLKNFFQTNAEDQEHSGKQAVPQPRENFWTDLNKFTVSVSDGSDNKRSNQLQFMGNQEPFIANSKTTNSEEDNSGAKKRRLTTEEMNFQKKHVSLVDHSAIAGKGPSGDTFVKLSHNTPSMDDGCSGENDDVEGSEAEGSNSWLVSQREDSFKHSDLPKGADKSASSGISHQGQKQQLYSGNESNPGLGKVAHGIPLSLQPLTVMTVPYPIPVEVPPAASVPNTVAFPSPCVMQLMPVANSEQPVVQAVNTNNSQMAFGYSTIQLPRLETNSSWAFGSQSQLVSSSTTKNHADGGPDSQHAEPHVRIPHGSSSALALEGRSTNSTKGGKHVIDTGTSSSSHVEDEAKGINTVFWQSNKANPDEGHEGSQIRPGAAPNVKFGGCGSYPDLPWVSTTGPGPNGRTISGVTYKYTENQIKIVCACHGYHMSPGEFVRHASADAADAENSATLAPFATTNPATSAQN >Ma07_p25630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32644590:32646985:1 gene:Ma07_g25630 transcript:Ma07_t25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDRTHDWETHGRSNDIDESTPMDKVKAPNVFERAKEEIEALVETIHSKRTPDHEQHAKKDGSKEGTEEPLHKEKTHRKETHGMSDDIDEDTPIDKVKGPNVFERAKEEIEAIVDTIHPKDESNSTPQKKNDGFWEFLAKCFGKFCSPRSRKRD >Ma07_p25630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32644602:32646986:1 gene:Ma07_g25630 transcript:Ma07_t25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGTHSIGSERILIVTRGGKKTQVIMDKDRTHDWETHGRSNDIDESTPMDKVKAPNVFERAKEEIEALVETIHSKRTPDHEQHAKKDGSKEGTEEPLHKEKTHRKETHGMSDDIDEDTPIDKVKGPNVFERAKEEIEAIVDTIHPKDESNSTPQKKNDGFWEFLAKCFGKFCSPRSRKRD >Ma05_p29080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39878712:39879567:-1 gene:Ma05_g29080 transcript:Ma05_t29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILLIALLAMASSLAMASDPSPLQDFCVADKDSKVLVNGFVCKDPKHVTAEDFFFMGLDKAGNTVNKLGSMVTAVNVNKLIGLNTLGISMVRIDYGPKGLNPPHTHPRATEILTVIEGQLLVGFVTSNTDNRLFTKMLKKGDVFVFPEGLIHFQFNPGNCNTIAIGALSSQNPGTITIANAVFGSKPPISDDVLAKAFQVDKKTIDWLQAQFWMDNNN >Ma09_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28186709:28189063:-1 gene:Ma09_g20210 transcript:Ma09_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVEEFKLLKIQTVLLKVHIHCDGCKQEVKKLLQKIEGVYTVNIDAEHQKVTVSGDVDSNTLIKKLARSGRHAELWPQKSNSQSNKPGHQHQGKEGNKNNKGQSNQGNQALLQGLKAFKNQHNSIESFSSDDDEFDDGFDDEEEDDELRFFGDKMKQLNLLKQSNNAAAAAAANAKKNGKPGGNGNNSGGGKKGGANPNQATGLKGSNAAPHNKVGNGAPLLGGGAVEGKLGNGLLGVAGLQGLGGGNGMGLHQAQQQPGTNFSAGFPANGNGNGGGFGVNHHQSPPLMMPLQDQGYLNQAPSMMMNLRGLNTTTNNNNNINMLMNESRYMQPQVMYNRSPQIPPYTGYYYYPYPYYQSPYLSYHSTETGGHGHPCSDENTTSMCAVM >Ma06_p38200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37061854:37063011:1 gene:Ma06_g38200 transcript:Ma06_t38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRDDVIVAMIPSDVVDYKILPRLPYKSLSRFKCICKKWHHLISHDVIFAHEQSRHGSPISFGSVYQYKHSINFIPIDIPGELNVRITSSSFFPLPNGTERIRITAAVNGLLLLFVQRKRDEQNDSMCSTKYQDAIYEFHYVWNFVTKEGHIIPEDDYRGWFVGLAFDPSITPACYRLVNLVQQRKGLQEEFSFEIYSSRTRKWTMSNHKIMIPQGKRISWDIFCAGRIIYWNCNPYALWFDVDEDVAGYTLLPQAENSVSQHALGVTDDGVLTSTRFSQNDTLTIWMMSKDGDWIKKFYSENIPTVSSEFKLFEPLPFTGGDRIYMEMLSNSLRKRILVCYNMNTQEMTMIGEMKGHWPPSKCLYIDYNRIARLGSLGITES >Ma09_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1797332:1807484:-1 gene:Ma09_g02550 transcript:Ma09_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKQASKLREQVAKQAVLKQFSTSGYESSDVMVIDEVELQRHQQLEKLYKSTREGRDFQKDIARAAEVYASIGHKHIEIGTKLSEDCYRYGGENHASGAILAKAAALYGGSLRNVTKEHEDFGSFLSSQIIEPLKAMATGAPLEDARGLAQRYSRLRHEAETLAAEISRRHSRVRESPILENTAKLQTSEAKMRELKANMAVLGKEAAAALAAVESQQQRQTFQRILNMVEAEKSFHLRVAAILDDFEAEMVTEKQQKVSALPSVPSHNRSEKALYFLAEAMYHFNATSEKELSLVVGDYVVVRQVSPSGWSEGECRGKAGWFPSAYVEKRENIPPNKVFSQVY >Ma01_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:883039:890119:-1 gene:Ma01_g01270 transcript:Ma01_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSELLSIIRTAACLDTSSADTGKGRSKLSSNQVSHGFHLVEGKTGHDMEDYHVAEYRNENNHELGLFAIFDGHMGESVPSYLKANLFNNILKEPLFWRDPQSAMKNAYSSTNKYILENSKQLGHGGSTAVTAIVIDGKDLWIANVGDSRAVVCERGTANQLTIDHEPHAERSRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDKSLRAHLSSEPDVRHIPIDSTIKFVILASDGLWKVMKNKEAVDLIKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >Ma07_p22930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30714810:30720227:1 gene:Ma07_g22930 transcript:Ma07_t22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropyrimidinase [Source:Projected from Arabidopsis thaliana (AT5G12200) UniProtKB/Swiss-Prot;Acc:Q9FMP3] MAIRARRPLRSDAFLLLVLFLVSISCSTSEPQGFCAVGYESDCGLSSEKILIKGGTVVNAHRKEVADVYIEDGIVVSVRPNIKVGDHVKIIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFTGQAAALAGGTTMHIDFVIPVNGNLSAGFESYMNKAKKAVMDYGFHMAITKWDEGVSKDMELMVNEHGINSFKFFMAYKGSLMIRDELLLQGLQKCKSLGAIAMVHAENGDAVAEGQKRMIDLGITGPEGHALSRPPVLEGEATARAIRLANFVNTPLYVVHVMSIDAMEEIAKARKAGQRVIGEPVASGLILDDSWLWHPDYTTAAKYVMSPPIRTSGHDKALQAALSTGVLQLVGTDHCAFNSTQKALGSEDFRKIPNGVNGIEERMHLIWDKMVESGQMTVTDYVRVTSTECAKVFNIYPRKGAILEGSDADIIILNPNASFKIAAASHHSRSDTNVYEGTKGKGKVEVTISQGRVVYEDGKLKVTPGSGRYIRMPPFGYLFDGIEKADAAYFASLNAPIQRTKSAA >Ma02_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20176454:20177665:-1 gene:Ma02_g10700 transcript:Ma02_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSAVRRLTAESEEKKKQDRKVRLRPQAMLLRCRCLSRSLHRFRSISVSSASTKSARDDREWPDLPKDIVDIIVMRLSTKDYARFASVCRSWRPCMHPDARPMCLMLLRWSDGACNVFDPSSRRRINYDAEFPELARSQILYCRDGWLLLKRWATDKLFFFHLGTRSRSNVPYHPRMTPRLAAFSSPPLSGDCVVVVLDDLCRIFTWRSFDSEWRLHGTRIKYKSNLVPCGRHFHCLCQDGQLGTLDAADKKWSVRDMPAARELMLSEGTEAGRHYLAEFDGGLYMVFVSARRRHVRVFKMRRAGATEEIEMLGNRTIYISAGAAHMAMAPSKEMGNRIYFPTRGSSRGSIHYYCMVKRRYSYSVDFVMPRKLHELYESNIERVWIDVGWKSPKEFEQFGR >Ma07_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:638428:640529:-1 gene:Ma07_g00770 transcript:Ma07_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVVVGNLALKVAVAAPPAGAGVHPSAAPCFAKIRLDKFPRQTLAVPLVPHDEPPATPTSDASVAARFHLSKDDLARIAGKPSFFASHGGCSRLKVSVYTGRRGRTCGVSSGRLLGKVTMPLDLKGALAESGAGKPVAFHSGWVSLGKNKFRLAKGTSSSPSSSEAHLYLTVKAEQDPRFVFEFDGEPECSPQVFQVQGNMRQPVFTCKFSCRIAGDGKSSTRSVLSEPGNSRSWLTSFGSERERPVKERKGWSVTVHDLSGSPVALASMVTPFVPSSGTDRVSRSNPGAWLVLRPGEGTWKPWGRLEAWRERGGAGSGDGLGYRFELLPEAAMGAGVTLAESTLSAAKGGQFTIDLIEVSGTPVSRSVSPGCSPRVGGDLGYALWPCSSYRGFVMSSTVSGERRSGRPTVEVGVQHVGCSEDAAAFVALGAAVDLSMDACRLFSHNLRKELSAPDSSR >Ma04_p38690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36106683:36110438:1 gene:Ma04_g38690 transcript:Ma04_t38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVQGKSVPMEEASFEIASGRGRARDDFDDDGRVKRTGTLVTASAHIITAVIGSGVLSLAWALAQLGWIAGPTVLLIFSLITWFCSSLLADCYRSPQGKRNYRYKDAVRTHLGGVSSKLCALAQYVNLVGVTIGYTITTAISMGAVKRSNCFHRNGHDAACGESNTTNMTIFACIQIVLSQIPNFHKIWWLSIVAAIMSVAYSSIGLGLSIAKIAEGPHARTSLTGVTVGVDVSGSEKVWRTFQALGDIAFAYAYSNVLIEIQDTLRSSPPENQVMKKATTIGVLTTTTFYMLCGVLGYAAFGNTAPGNFLTGFGFYDPFWLVDIGNICIVVHLIGAFQVFAQPIFQFIETWSRNRWPDNRFVTTEHVINIPLLGDCPFSLFRMIWRSLFVIITAVVAMIFPFFNDFLGLIGAVSFWPLTVYFPVEMYIVQAKIRRFSATWTWLKILSIVCLIVSLVAACGSVQGLIHSLQDYKPFKIS >Ma02_p19490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25639710:25648049:-1 gene:Ma02_g19490 transcript:Ma02_t19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGKWERSIFLLVLLFANASGVYVGINIGNMVSNLPSAADIVSILKTQQIKHVRLFDANHQMLGALANTGIDVMLGVPNDQLLRIGNSRSEAADWINKNVAAFVPETNITYIAVGNEILTSIPNAALVLVPAMQFLQSALVASNLNSQVKVSSPLSMDMIPKSFPPSTATFNSSWNSVMSQYLLFLKNTGSPLMVNAQPYYGYIKGQGIYPLEYALFQPLNPNNQIVDPNTNFYYTNMFDAMVDAAYYSMQSLNFTGIPVIVTASGWPSQGGANESDATVDNALIYNSNLVHRVLNNSGTPSQPTTAVSTYIYELFNEDLRPGPVSEKNWGIFFSNETAVYSLSFGNQAVSKPDPTGLVGVFCVANPSADSNALKKGLDWACGPGEANCTAILPGEPCYDASNLVALASYAYNDYYHRMKASGGTCSFGNTAMISSTNPSHGSCIFAGSSGPSNSTDSSSAFGPSISYGVSQKLQLLCLALILQIVLCYLFDI >Ma02_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25639710:25648039:-1 gene:Ma02_g19490 transcript:Ma02_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLPSAADIVSILKTQQIKHVRLFDANHQMLGALANTGIDVMLGVPNDQLLRIGNSRSEAADWINKNVAAFVPETNITYIAVGNEILTSIPNAALVLVPAMQFLQSALVASNLNSQVKVSSPLSMDMIPKSFPPSTATFNSSWNSVMSQYLLFLKNTGSPLMVNAQPYYGYIKGQGIYPLEYALFQPLNPNNQIVDPNTNFYYTNMFDAMVDAAYYSMQSLNFTGIPVIVTASGWPSQGGANESDATVDNALIYNSNLVHRVLNNSGTPSQPTTAVSTYIYELFNEDLRPGPVSEKNWGIFFSNETAVYSLSFGNQAVSKPDPTGLVGVFCVANPSADSNALKKGLDWACGPGEANCTAILPGEPCYDASNLVALASYAYNDYYHRMKASGGTCSFGNTAMISSTNPSHGSCIFAGSSGPSNSTDSSSAFGPSISYGVSQKLQLLCLALILQIVLCYLFDI >Ma10_p16920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28485211:28486091:1 gene:Ma10_g16920 transcript:Ma10_t16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSASSLSSCASLDDVSVVPTEPVKLLCSYGGKILPRRPDGRLRYVSGHTRVVAVRRSISFSELQVKLRELCGWGAVSLRCQLPTEDMDALVSVTSDEDLANLVEEYDLASRDRRSSPLKIRAFLLLLRASEPSCRSPVDPVAAAERCVRQASMREKLHSWCEKPDASAAAAGDLRVHGHQHYHHGHGTPRPCNHLVHHGRHWQ >Ma07_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6855005:6855652:-1 gene:Ma07_g09130 transcript:Ma07_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHHPPSQGLTQEEMAELEPVIRAHHTFPPAPGTCTSLITQHIDAPLRAIWPVVRSFDRPQRYKHFIKSCNVVHGDGGVGSVREVTVVSGLPASTSVERLEILDDDRHILSFRVMGGEHRLRNYRSVTSVTEFHKEGKAYTVVLESYVVDVPEGNTEEDTKMFTDVVVKLNLQKLAAVAMASSS >Ma08_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32405420:32405542:-1 gene:Ma08_g18810 transcript:Ma08_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGEKLADEEDDEMTREADVDGDGQVNYLLLAKRSLHG >Ma05_p31910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41617960:41618891:1 gene:Ma05_g31910 transcript:Ma05_t31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLKSWVAEHKLTSIGAVWASALGSSLALALKRSPTTKTSLRLIHARMHAQALTLAVLSSAALLHYYDTDGKIAMDDGENVTPHSLA >Ma02_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18216212:18221488:-1 gene:Ma02_g07590 transcript:Ma02_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHEGTLLLTYLAEIQNGEPLFFSSNSLPVKAYNFEPAGHAFHFAALKLLGLWDEEDAETDGQSVQSDDRGQEHLPSSDSYGSKGRKKSSAGSTQKDHYALLGLGHLRFLATEDQIRKSYRETALKHHPDKQAALILAEETEEARQAKKDEIESHFKAIQEAYEVLIDPVKRRIYDSTDEFDDDIPTDCAPQDFFKVFGPAFMRNGRWSVSQPVPPLGDENTSMEDVDSFYNFWYTFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLREKARKEEHARVRALVDNAYKKDPRILSWKEEEKAEKKRKKEAKILARKLQEEEAAKAAEEERQQKEEQERKMAEAALNQKKIKEKEKKLLRKERTRLRTLSAQLVSENLLDLSEDIVESICMSFDLEQLKLLCDTMEGKEKMERAKLLRDAQGGSSSDVMKKGKTTSFQDLSLKPNGTVTDAKVGGPLSNYEKKERPWGKEEIEMLRKGMQKYPKGTSRRWEVISEYIGTGRSVDEILKATKTVLLRKPDSGKAFDSFLEKRKPVQTISSPLSTRLETEALPVDGTHAASSRTSAGDQNPLEVQASNGVPAAVDQDAWSETQERALIQALKTFPKDVNQRWERVAAAVPGKTMIQCKKKFALMKESFRSKRNTDQ >Ma10_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24072197:24075826:1 gene:Ma10_g09960 transcript:Ma10_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKNRTQFQADATNSSLVAGGNPADSGDDKGTFHGVSICRGLSSTHLEENSTMASLEGERLNTTIMKCSSDSTQKVVNVQDYGAKGDVASDFMAFEKAWKKACSSSTRSILLVPKNKRYLLKPVTFLSISQVSFTVNSSALSIIVETLTHSTARTLEASRNQKDWGGRNSRHWILLSNIENVTVRGGGTINGNGKIWWRGFCKVDASMALSFNSCKNLRVENLKVMHISLEKRTGADASHPTITTPDEIPNTDGIHVTHSKRVKIANSLNLCA >Ma06_p36130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35704380:35711685:1 gene:Ma06_g36130 transcript:Ma06_t36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical domain containing protein [Source: Projected from Oryza sativa (Os12g0570000)] MEEKENGSMVGGEAVVRRLAEAVEEIAVISEYRNAYRKPLCSLARRIRLLAPMFDELGDGRDPITEPVARTLAPLDDAFAAAKDLLRLGSEGSKIFLVLEREKMIKRFHDVISQIDQALDGISFDKLEISEEVREQIELVHAQFKRAKERDDMPDAELYSNLLSLYNKSNDAILDPYTLERLAEQLQLVTICDLTQESLALHEMVFASGGDPGENIEKMSMLLKKIKDFVQTQNPEMGTRSDSKPFLLDGKPKVPIVPDDFRCPISLELMKDPVIVATGQTYERTCIEKWLASGHDTCPKTQQRLSNASLTPNYVLRSLIEQWCEANGMDPPKCPSRSTNPSSACSSRELANIDALLCKLSSPNLDDQLAAAGELRLLAKRNVDNRICIAKAGAIPLLINLLSSKNLCTQEHAVTALLNLSIHDDNKAIIISSGAIPGIVHVLRDGSMEARENAAATLFSLSVVDEYKVTVGASGAIPALVSLLSEGTHRGKKDAATALFNLCIYQVNKGKAVRAGVVPLVMGLLTEPAESMLDESMAILALLSSHPEGKSAIAAAQAVPLLAEMTANGSPRNRENAAATLLNLSDGEQQLGTMAAAQECGIMGPLQELAISGTERGKRKAVQLLERMNGFLVQQHEAYAQAEASTQTQGHIHAQSEPRMNVASADSVDT >Ma06_p36130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35705349:35711685:1 gene:Ma06_g36130 transcript:Ma06_t36130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical domain containing protein [Source: Projected from Oryza sativa (Os12g0570000)] MEEKENGSMVGGEAVVRRLAEAVEEIAVISEYRNAYRKPLCSLARRIRLLAPMFDELGDGRDPITEPVARTLAPLDDAFAAAKDLLRLGSEGSKIFLVLEREKMIKRFHDVISQIDQALDGISFDKLEISEEVREQIELVHAQFKRAKERDDMPDAELYSNLLSLYNKSNDAILDPYTLERLAEQLQLVTICDLTQESLALHEMVFASGGDPGENIEKMSMLLKKIKDFVQTQNPEMGTRSDSKPFLLDGKPKVPIVPDDFRCPISLELMKDPVIVATGQTYERTCIEKWLASGHDTCPKTQQRLSNASLTPNYVLRSLIEQWCEANGMDPPKCPSRSTNPSSACSSRELANIDALLCKLSSPNLDDQLAAAGELRLLAKRNVDNRICIAKAGAIPLLINLLSSKNLCTQEHAVTALLNLSIHDDNKAIIISSGAIPGIVHVLRDGSMEARENAAATLFSLSVVDEYKVTVGASGAIPALVSLLSEGTHRGKKDAATALFNLCIYQVNKGKAVRAGVVPLVMGLLTEPAESMLDESMAILALLSSHPEGKSAIAAAQAVPLLAEMTANGSPRNRENAAATLLNLSDGEQQLGTMAAAQECGIMGPLQELAISGTERGKRKAVQLLERMNGFLVQQHEAYAQAEASTQTQGHIHAQSEPRMNVASADSVDT >Ma03_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22799587:22801226:1 gene:Ma03_g17450 transcript:Ma03_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTEIFLQVREKGLLRCPHPMRATHKDRSKYCRFHRDYDHDTEDCHDLRNQIEELIQKGHLGPYLEEPREETPHPRGPVERQINVISGGPAANGSISTTRKAYSRSTVEKCPQPELEPEITFRAGEVERSHHDDALVISIRIVNARVKRVMVDTESSTDVLYLDTFRRLGLTKEDLTPMASALTRFTRDSISPLGTTILPITIGEEPRAKTMITTFMVVDLPSAYNVILGRPMLNKLKAVVSTYHRVVKFPTPAGIEESRKVAQHRLNIDPEARPVRQKPRKFAPDRQKAISEEVGRLKRAGFITDVQYPRWLSNEVLIKKSNGSWRICLDYTDLNQACPKDCYLLPKIDQLDDATIGHELLAFMDAFSGYNQIQMVTQDRESTAFITNRGAYCYKVMPFVLKNAGATYQSMVEKLFKHQLGKNMEGYVDDMIMKSKAASTHLTDLAETF >Ma11_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5335762:5337222:1 gene:Ma11_g06580 transcript:Ma11_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWSGKRAVILVWLAALVVVVSMGAVSAAYKDLKAKGALDPASTHYIVLEPRTRSRQERFFCLARGRCRYKTIECPAECPQRKPRRNKVFKGCFADCSSRCETTCKHRLPNCNGYGSVCYDPRFVGGDGQMFYFHGAKGGDFAIVSDDQFQINAHFIGMRPEGRPRDFTWVQALAVMFESHSLVVAARRVDKWDDRFDALAVRWDGKEVTVPTDGEAEWRAPTGGEGKKGSGGTGTREVVVERTGETNSVRVTVAGVVEMDVKVVPITEEEDRAHGYRLPPGDAFAHLEMQFRFAGLTERVEGVLGQTYRAEYVSPVKKGVAMPMMGGEDKYRTTSLLSTSCPFCRFHPPTRVVVDESAAVDVA >Ma08_p27370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39626305:39627067:1 gene:Ma08_g27370 transcript:Ma08_t27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTNILTSSPRFDCILQTCWPVKSSANQDGPEPSDFQLFSESMKSRLNAMNDTRIHNRYDFTTLLCL >Ma08_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5394569:5448286:-1 gene:Ma08_g07850 transcript:Ma08_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEEEGGQRSSFAVGLIGNRAKEVGVAAFDLRSASLHLSQYIETSRSYQNTKTLLHFYDPMVIIVPPTKLSADHMVGVTELVDKYYASNKKVTLPRGCFDDTKGAVLVKSLAAKELSVLGLGTFHKQYYLCLAAAAATIKWIEAEKGVIVINRSLLVTFNGSFDHMNIDATSVQNLEIIDPLHSELWSTSNKKRSLFQMLKTTKTVGGARLLRANLLQPLKDIETINARLDCLDELMSNEELFFGLSQSLRKFPKETDKVLCRFCFKPKKVTEEVLRPANGRKIQILISDIIVLKTALDALPFLCKVLKDAKSLLLHNIYRTVCENKNYEGMRKRIGDVIDEDVAHARAPFIACTQQCFAIKSGIDGLLDVARRSFCDTSEAIHNLANKYREKFKLPNLKIPYNNRQGFYFSIPQKDISGKLPDKFIQVMKHGKNIHCSSFELASLNVRNKSAAVECFMRTEIFLEGLIDVIRNDISILTLLAEVLNLLDMIVNSFAHVISTKPVDRYTRPEFTDNGPVAIDAGRHPILESLHSDFVPNNLFLSEASNMVIVTGPNMSGKSTYLQQVCLIIILAQIGCYVPARFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFLMQNVSPKSLIVMDELGRATSSSDGFAIAWSCCEHLLSLNAYTIFATHMEGLSEMATIYPNVKILHFEVDLRNNRLDFKFHLKDGPRHVPHYGLLLAGVAGLPSSVVETARIITAKIAEEDVKRMDINREQFHSIQVAYQVVQKLICLKYSNQSEDYIRQALQNLKENFTNERSN >Ma01_p20760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19511370:19513163:1 gene:Ma01_g20760 transcript:Ma01_t20760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTQQSQNVVGTSTTSTLMAPCAAARVAQESSGNTWDPLAAVVRQMDSNEAHMISSYHIRLPLDQETGDLVADGGLSSCDNGRSQEDKSSFAPCHPHVPASSKRITETNIKGNKRKSQAECGASTSQSLEERVQAEEQHDVSFESGKAATGKDGKEQKNEVKRGGNNHKNDCTHVRAKRGQATNSHSLAERIRREKISERMRLLQDLVPGCSKINGKALMLEEIINYVQSLQRQVEFLSMKLATVDPELNFDLDQTLSGDVHSCYGGSAVLAFGPGMSSFQPHLYESTSQRVTQPEMFCTAPSPGDLLQAFLSQTNISQLGGAWHDEFHS >Ma01_p20760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19510223:19513168:1 gene:Ma01_g20760 transcript:Ma01_t20760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTQQSQNVVGTSTTSTLMAPCAAARVAQESSGNTWDPLAAVVRQMDSNEAHMISSYHIRLPLDQETGDLVADGGLSSCDNGRSQEDKSSFAPCHPHVPASSKRITETNIKGNKRKSQAECGASTSQSLEERVQAEEQHDVSFESGKAATGKDGKEQKNEVKRGGNNHKNDCTHVRAKRGQATNSHSLAERIRREKISERMRLLQDLVPGCSKINGKALMLEEIINYVQSLQRQVEFLSMKLATVDPELNFDLDQTLSGDVHSCYGGSAVLAFGPGMSSFQPHLYESTSQRVTQPEMFCTAPSPGDLLQAFLSQTNISQLGGAWHDEFHS >Ma01_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19510223:19513168:1 gene:Ma01_g20760 transcript:Ma01_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTQQSQNVVGTSTTSTLMAPCAAARVAQESSGNTWDPLAAVVRQMDSNEAHMISSYHIRLPLDQETGDLVADGGLSSCDNGRSQEDKSSFAPCHPHVPASSKRITETNIKGNKRKSQAECGASTSQSLEERVQAEEQHDVSFESGKAATGKDGKEQKNEVKRGGNNHKNDCTHVRAKRGQATNSHSLAERIRREKISERMRLLQDLVPGCSKINGKALMLEEIINYVQSLQRQVEFLSMKLATVDPELNFDLDQTLSGDVHSCYGGSAVLAFGPGMSSFQPHLYESTSQRVTQPEMFCTAPSPGDLLQAFLSQTNISQLGGAWHDEFHS >Ma01_p20760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19511370:19513168:1 gene:Ma01_g20760 transcript:Ma01_t20760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTQQSQNVVGTSTTSTLMAPCAAARVAQESSGNTWDPLAAVVRQMDSNEAHMISSYHIRLPLDQETGDLVADGGLSSCDNGRSQEDKSSFAPCHPHVPASSKRITETNIKGNKRKSQAECGASTSQSLEERVQAEEQHDVSFESGKAATGKDGKEQKNEVKRGGNNHKNDCTHVRAKRGQATNSHSLAERIRREKISERMRLLQDLVPGCSKINGKALMLEEIINYVQSLQRQVEVHSCYGGSAVLAFGPGMSSFQPHLYESTSQRVTQPEMFCTAPSPGDLLQAFLSQTNISQLGGAWHDEFHS >Ma04_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4486123:4486815:-1 gene:Ma04_g06040 transcript:Ma04_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKDKERVVKLHTLGNIRLISKLLKQKMVPEKIVHHIAQELLGHDNRTCPAEENDEAICQLFNTIGKHLDESPNSHCFNDAYFNWLKESTINPQLAPHLRFMVCVVLGLRKNNWIPHCEEVKVKTISKIHIEVEKNLGLHPCNHEK >Ma09_p11280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7625434:7629032:1 gene:Ma09_g11280 transcript:Ma09_t11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELYELRNGTITVKLTNFGATITSLLVPDANGKLADVVLGFDTVEPYQKGLSPYFGCIVGRVANRIKDGKFALNGAEYSLYINNPPNSLHGGKIGFDKVLWEVTEYNNNVFPSITFKYQSKDGEEGYPGDLSVTAKYSLPSRTSLKLEMEAVPLNKATPVNLAQHTYWNLAGHNSGNILDHSIQILASHLTPVDKNLIPTGEIMPVSGTCFDFTTEKKIGSRIHELQVGYDHNYVLDCGEERSGLKHAAKVKDPSGSRVLDLWTDAPGMQFYTGNYVDGVVGKGGAVYGKHAALCLETQGFPNAINQPNFPSVVVQPGEKSKHTMLFEFSTD >Ma03_p30120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32891716:32895352:-1 gene:Ma03_g30120 transcript:Ma03_t30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPELEAIRQRRMQELMAKHGIGNQQNGEPQKAQEEAKREAEEHRQLMLSQILSAQARERLARIALVKPDKARGVEDVLLRAAQMGQLTEKVSEEKLISLLEQINNQTSKQTKVTIQRRRSVLDDDD >Ma11_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1776138:1788317:1 gene:Ma11_g02460 transcript:Ma11_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTNRRKEAPGINNEGTAARTRPISFEEIMLRRKKKLTADGNERESRFKEHFVKDDAKVTLDRSDADRAGMKDFKDTMKESSKKTKEKIYKVKDGDLEGMHKHKLELYADHKPKSIYSRSNKDKERLNEKQNHPRSRNGDKLGNSSAKEFEKKQPKYTTEKERHDDRDRKSRGEMKKKEHSYADERNRLEIDYSTLRRHDLGKSRHPEYAERNDRRKDGSKHYFEQLKSKRRRSRSPECERERGRSVSSSPRGHKRSYHGREYEESSSVSLKEKSRKKHSDGDKHYHKHGSGLGGYSPRKRKPEAAVRTPSPTIPYPERKSAKWDQPPPDAKNSGAGVPPDTFKSPATKMLEPALVSPVTPAAKIHQHAPSSEIASVVMSTSIDSVQLTQATRRTRRLYVENLPASASEKTLVDFLNNLLVSSSVNHIKGTSPCISCILNKEKSQALVEFLTPQDATAALSYDGRSISGSVLKIRRPKDFVETATVAPEKPKEELKVVDDFVKDSSHKIFIGGISEAISSNMLMEIVGAFGTLNAYHFEFNKELNGPCAFLEYVDHSITSKACAGLNGMKLGGRVLTAVQTLPDAQENAEIVSCYGIPVHAKSLLGPSTKVLQLKNVFNKEEFLLLSESELEEVIEDIRLECTRFGTVKAVNIIRYISNLGTATENFETYPSGYLVKAESSMECPSNDSKQDVDMAPHKDAERPGDIRNDVVEIKNNNNMPLKEIEENIVFGEIDGSTTSKDAQQIGDVAADQPMETEKDVIVEIGAEIGLFSETPKPGEDAAEVEQNADLSSITAEKAELSSDVDPASTENTCLQTSSAKEAELTKEDDEHLSTYPTGIDKEATSDKEDHQDFDVSLFEPGSVFVEFLRTEATCMAAHCLHGRTYGEQTVTAGFFPHDMYLARFRR >Ma11_p02460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1776187:1788317:1 gene:Ma11_g02460 transcript:Ma11_t02460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTNRRKEAPGINNEGTAARTRPISFEEIMLRRKKKLTADGNERESRFKEHFVKDDAKVTLDRSDADRAGMKDFKDTMKESSKKTKEKIYKVKDGDLEGMHKHKLELYADHKPKSIYSRSNKDKERLNEKQNHPRSRNGDKLGNSSAKEFEKKQPKYTTEKERHDDRDRKSRGEMKKKEHSYADERNRLEIDYSTLRRHDLGKSRHPEYAERNDRRKDGSKHYFEQLKSKRRRSRSPECERERGRSVSSSPRGHKRSYHGREYEESSSVSLKEKSRKKHSDGDKHYHKHGSGLGGYSPRKRKPEAAVRTPSPTIPYPERKSAKWDQPPPDAKNSGAGVPPDTFKSPATKMLEPALVSPVTPAAKIHQHAPSSEIASVVMSTSIDSVQLTQATRRTRRLYVENLPASASEKTLVDFLNNLLVSSSVNHIKGTSPCISCILNKEKSQALVEFLTPQDATAALSYDGRSISGSVLKIRRPKDFVETATVAPEKPKEELKVVDDFVKDSSHKIFIGGISEAISSNMLMEIVGAFGTLNAYHFEFNKELNGPCAFLEYVDHSITSKACAGLNGMKLGGRVLTAVQTLPDAQENAEIVSCYGIPVHAKSLLGPSTKVLQLKNVFNKEEFLLLSESELEEVIEDIRLECTRFGTVKAVNIIRYISNLGTATENFETYPSGYLVKAESSMECPSNDSKQDVDMAPHKDAERPGDIRNDVVEIKNNNNMPLKEIEENIVFGEIDGSTTSKDAQQIGDVAADQPMETEKDVIVEIGAEIGLFSETPKPGEDAAEVEQNADLSSITAEKAELSSDVDPASTENTCLQTSSAKEAELTKEDDEHLSTYPTGIDKEATSDKEDHQDFDVSLFEPGSVFVEFLRTEATCMAAHCLHGRTYGEQTVTAGFFPHDMYLARFRR >Ma10_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5516012:5519533:1 gene:Ma10_g01930 transcript:Ma10_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTIIYKSSSPCSSSSSPPCSPTITTSSPRLQCPPPPHPSSAPSMALSAFSFPCHFLGAAPSFTDLQHQQPLPTRVLKPKKRACVCASLSETGEYHSQRPPTPLLDTVNFPIHMKNLSVRELKQLADELRSDIIFNVSRTGGHLGSSLGVVELTVALHYVFNAPQDKILWDVGHQSYPHKILTGRRDKMATMRQTNGLSGFTKRSESEYDCFGAGHSSTSISAALGMAVGRDLKGRKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSRLQSSKPLRELREVAKGVTKQIGGSMHEIAAKVDEYARGMIGGSGSTLFEELGLYYIGPVDGHNIDDLVAILKDVKSTKTTGPVLIHVVTEKGRGYPYAEKAADKYHGVAKFDPATGKQFKSGSKTQSYTNYFAEALIAEAEVDEGIVAIHAAMGGGTGLNYFLRCYPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVIHDVDLQKLPVRFAMDRAGLVGADGPTHCGSFDVTYMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVPLPPGNKGIPLEVGKGRILKEGERVTLLGYGTAVQSCLAAASLLEERGLKITVADARFCKPLDRSLIRNLARSHEVLLTVEEGSIGGFGSHVVQFLALDGLLDGTLKWRPVVLPDRYIDHGSPRDQLAEAGLTPSHIAATVLNILGQTREALEIMS >Ma11_p02550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1848565:1852845:-1 gene:Ma11_g02550 transcript:Ma11_t02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKERNDEPGVDRLKQRRQRIRKISDNKKRMRMGCGSMVGSERCVSCTTFNILAPIYKRLSEEDQSCRESQYRAYWLSRNERIIERLLGDRSSIICLQEVWLGNDELVDMYEKRLGEAGYVSFKLARTNNRGDGLLTAVHRDYFKIINHRELLFNDFGDRVAQLLHVESVDPSWQEQKSSIQQQVLIVNTHLLFPHDSSLCIVRLQQVYKILQYIETYQKEHNLDPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYSDFDAHKWVSHRNHRGNICGVDFIWLLNPNKCRKPLRTSWNEAVFGIIKYLLRTASLTENNAFAFLKVDSPGDYITYSGFCQALCQLGVAGHPHGLGPKDTNDLWVQVDIDGNGVVDYEEFQQRIWNHKCSEPPEEKIAPGIDGTQMMGGRQQTLGFNVKDAVLFPPEVERGMWPENYSLSDHAPLTVVFSPVSVPCGQPIC >Ma11_p12820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16703639:16704740:-1 gene:Ma11_g12820 transcript:Ma11_t12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLRLEGKVAIITGAASGIGEAAARLFASNGATVVVADIQDELGTRVAASIGLGRCSYRRCDVTREEEVEATVDYVVRTHGRLDVMLSNAGVLGPLASVLNVDLGEMDHVMAVNLRGAAAAVKHSARAMVAKGTRGSIICTGSVTACQGGLGPVAYTASKHALVGLVSAAAGELGLHGIRVNCVSPFGVATPLACGYHGRSPEQVEESSCAAANLKGVVLKAHHVAEAALFLASEESAFISGHNLVIDGGTTVVNSCFRMMR >Ma07_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3333788:3333898:-1 gene:Ma07_g04490 transcript:Ma07_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWQIKSSKMNHCATLWTVYILPSPCVYEVNTKFR >Ma03_p32520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34279777:34280154:1 gene:Ma03_g32520 transcript:Ma03_t32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRGGGGWFRKLNGGGCRWPWKLGFATSWRWKCPSLLLRFSFLDDLLFRILYCLEAVVLVGALCFFYLCCGCHI >Ma08_p15000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14931127:14935459:-1 gene:Ma08_g15000 transcript:Ma08_t15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g23330 [Source:Projected from Arabidopsis thaliana (AT3G23330) UniProtKB/Swiss-Prot;Acc:Q9LW63] MQTHGTFSAAIPTSLTPLLDPFLRHSSSIRTRSQAQQLHALLLKSHPRPFPLVFSLYSALGLVRDARLAFTAAPTPSPPCYAAVIRCSAARGLFDHSVSAFVRMRSFSSPLADAVLPSVLKSCAALRDPHLGTAVHGFLIRSGWGADLFTANALMNMYCKLCHAKDDGRRNSLAEVSSGGAVGGDSRKSGFSHASQIRLGPLGSVRKVFDEMPQRDVVSWNTLIAGTAENGMHVEALEMIKKMGVAGLKPDSFTLSSVLPIFAEYVDGYKGMEIHGFAIRHAFDTDVFIGSSLIDMYANCTNVDYSRRVFDLLPNPDPISWNSIIAGLVQNGMFDEGLKLFREMLLCRIKPMPVTFSGIMPACAHLTTLRLGMQLHGYVVRGGFAGNVFVASSLVDMYAKCGNVHIARRVFDGVPSPDNVSWTAMIMGYALHGPAQEALSLFQRMETENGKPNHVAFVAVLTACSHAGLVDEAWDYFHRMSNDYGIIPRLEHYAAVADLLGRAGKLEEAYDFISTMHIKPTAGVWSALLGACRVHKNAKLAEKVAAKIFDIEPKNMGSHVLMSNIYSAAGRWNEAAKLRMTMKDKGMKKQPACSWIEIKNELHAFVAHDTSHPCYNKIINALKVLLEQMEREGYVPNTEDVLHDIEEEQKRNMLCGHSERLAIAFGIISTPPGTTIRVTKNLRVCVDCHTATKFISKIVGREIVVRDLNRFHHFKDGECSCGDYW >Ma08_p15000.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14931086:14935459:-1 gene:Ma08_g15000 transcript:Ma08_t15000.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g23330 [Source:Projected from Arabidopsis thaliana (AT3G23330) UniProtKB/Swiss-Prot;Acc:Q9LW63] MQTHGTFSAAIPTSLTPLLDPFLRHSSSIRTRSQAQQLHALLLKSHPRPFPLVFSLYSALGLVRDARLAFTAAPTPSPPCYAAVIRCSAARGLFDHSVSAFVRMRSFSSPLADAVLPSVLKSCAALRDPHLGTAVHGFLIRSGWGADLFTANALMNMYCKLCHAKDDGRRNSLAEVSSGGAVGGDSRKSGFSHASQIRLGPLGSVRKVFDEMPQRDVVSWNTLIAGTAENGMHVEALEMIKKMGVAGLKPDSFTLSSVLPIFAEYVDGYKGMEIHGFAIRHAFDTDVFIGSSLIDMYANCTNVDYSRRVFDLLPNPDPISWNSIIAGLVQNGMFDEGLKLFREMLLCRIKPMPVTFSGIMPACAHLTTLRLGMQLHGYVVRGGFAGNVFVASSLVDMYAKCGNVHIARRVFDGVPSPDNVSWTAMIMGYALHGPAQEALSLFQRMETENGKPNHVAFVAVLTACSHAGLVDEAWDYFHRMSNDYGIIPRLEHYAAVADLLGRAGKLEEAYDFISTMHIKPTAGVWSALLGACRVHKNAKLAEKVAAKIFDIEPKNMGSHVLMSNIYSAAGRWNEAAKLRMTMKDKGMKKQPACSWIEIKNELHAFVAHDTSHPCYNKIINALKVLLEQMEREGYVPNTEDVLHDIEEEQKRNMLCGHSERLAIAFGIISTPPGTTIRVTKNLRVCVDCHTATKFISKIVGREIVVRDLNRFHHFKDGECSCGDYW >Ma08_p15000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14930943:14935459:-1 gene:Ma08_g15000 transcript:Ma08_t15000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g23330 [Source:Projected from Arabidopsis thaliana (AT3G23330) UniProtKB/Swiss-Prot;Acc:Q9LW63] MQTHGTFSAAIPTSLTPLLDPFLRHSSSIRTRSQAQQLHALLLKSHPRPFPLVFSLYSALGLVRDARLAFTAAPTPSPPCYAAVIRCSAARGLFDHSVSAFVRMRSFSSPLADAVLPSVLKSCAALRDPHLGTAVHGFLIRSGWGADLFTANALMNMYCKLCHAKDDGRRNSLAEVSSGGAVGGDSRKSGFSHASQIRLGPLGSVRKVFDEMPQRDVVSWNTLIAGTAENGMHVEALEMIKKMGVAGLKPDSFTLSSVLPIFAEYVDGYKGMEIHGFAIRHAFDTDVFIGSSLIDMYANCTNVDYSRRVFDLLPNPDPISWNSIIAGLVQNGMFDEGLKLFREMLLCRIKPMPVTFSGIMPACAHLTTLRLGMQLHGYVVRGGFAGNVFVASSLVDMYAKCGNVHIARRVFDGVPSPDNVSWTAMIMGYALHGPAQEALSLFQRMETENGKPNHVAFVAVLTACSHAGLVDEAWDYFHRMSNDYGIIPRLEHYAAVADLLGRAGKLEEAYDFISTMHIKPTAGVWSALLGACRVHKNAKLAEKVAAKIFDIEPKNMGSHVLMSNIYSAAGRWNEAAKLRMTMKDKGMKKQPACSWIEIKNELHAFVAHDTSHPCYNKIINALKVLLEQMEREGYVPNTEDVLHDIEEEQKRNMLCGHSERLAIAFGIISTPPGTTIRVTKNLRVCVDCHTATKFISKIVGREIVVRDLNRFHHFKDGECSCGDYW >Ma08_p15000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14933390:14935459:-1 gene:Ma08_g15000 transcript:Ma08_t15000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g23330 [Source:Projected from Arabidopsis thaliana (AT3G23330) UniProtKB/Swiss-Prot;Acc:Q9LW63] MQTHGTFSAAIPTSLTPLLDPFLRHSSSIRTRSQAQQLHALLLKSHPRPFPLVFSLYSALGLVRDARLAFTAAPTPSPPCYAAVIRCSAARGLFDHSVSAFVRMRSFSSPLADAVLPSVLKSCAALRDPHLGTAVHGFLIRSGWGADLFTANALMNMYCKLCHAKDDGRRNSLAEVSSGGAVGGDSRKSGFSHASQIRLGPLGSVRKVFDEMPQRDVVSWNTLIAGTAENGMHVEALEMIKKMGVAGLKPDSFTLSSVLPIFAEYVDGYKGMEIHGFAIRHAFDTDVFIGSSLIDMYANCTNVDYSRRVFDLLPNPDPISWNSIIAGLVQNGMFDEGLKLFREMLLCRIKPMPVTFSGIMPACAHLTTLRLGMQLHGYVVRGGFAGNVFVASSLVDMYAKCGNVHIARRVFDGVPSPDNVSWTAMIMGYALHGPAQEALSLFQRMETENGKPNHVAFVAVLTACSHAGLVDEAWDYFHRMSNDYGIIPRLEHYAAVADLLGRAGKLEEAYDFISTMHIKPTAGVWSALLGACRVHKNAKLAEKVAAKIFDIEPKNMGSHVLMSNIYSAAGRWNEAAKLRMTMKDKDGARRLCTQHGGRAS >Ma04_p37720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35489282:35489891:1 gene:Ma04_g37720 transcript:Ma04_t37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNYTQKLVLGSERYGSCRRRKKNSDKPKHPQRGPGVAQLEKIILQNQMIAIATCLSQFDCDLHKHIARVQMRYASSPSSTTTASSSSSSSSLFELHQSIMIEALETQQKWTSNKVHSVLVPQQDAIGVPLLIKQCNLLQHCLP >Ma08_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:560091:561507:1 gene:Ma08_g00580 transcript:Ma08_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSVEHDFLGIDSTGAAKKPIMERKKSFRELHGEISKMNPNVIKSVIATSSAAVGKRKTPLPVLSSASRSKMETAPAVAPFTIFYNGAVVVFDLPREKAENILKLVEADKFGDLVHASKEPAVDEELDVNGG >Ma11_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5810516:5811699:-1 gene:Ma11_g07280 transcript:Ma11_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYEVDLNIASARNLKNVNWRHGDLKPYVVAWVDPAAKSSTKVAVGGDDDDPIWDEKLVLPLPYGLPLEDATLSLDVVHAGSGEGVKPLVGSVRLPLRDVLDEVGLGSKLNRTLKLKRPSGRPHGKLEVTVAVKEPARYYDAYAPPYGQASSRDYGYAPPPYTPTPYATAPTGYPYAQPPTGYPYGGPPAGGYAYGAQQPPVAYGQQEKSKSKFGMGTGLAVGAAAGLLGGLALAEGVDYVEDKIADDVTERVEDDLAEDGDYDGDDF >Ma02_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6358702:6369575:1 gene:Ma02_g00780 transcript:Ma02_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWLQLVRCNRLGLHYTRKRYFVLEGNALNCYKGVPASDREVPLRSVLICSSTRITDNGRESIQRNVLYIFTICSTSNPSNQLKLGARSSEEAARWIRNIIEAAFMENPNKEENNVACTKRRRQSFRLNHRNVRSRMHSIDWTVHSCIHADPLIFDAISPSMWMIIGCKNGLRLFKEEKDVGSPTKHRDDHPGMMAVGVIDASSEAIFQTVMSLGQSRSEWDFCLLEGNVVESLDEHTDIIHKKLRGDWLPWGMKRRDLILKRSWRKEDDGTYVILYHSVIHYKCQPERGYVRACLKSGGYEISPVNQGKQSVVKHMLAIDWKFWKSNMFTSYASITMKMLGRVAALREFFRAKLGNCAFSDFTLDGLTREIILPQIEEQIKLDMQTVDENSKIENFVEESQMSPPRHPGNGSSNQLNDAADEFFDVLTESEYDQTENYCPSDEGMQSQLIQDQCQDKLPTTAVFIKKLHDITGSTLCYFCAELEDESIGDSMPCSYGTTLPKDPSCRMACSWAMADPSTFAIRGESYLHDHQKVKANTTLMQMVAADWLKSDKREDNVGNRTGGIVQKYAAQGGSEFFFVVHMQVPGLTTYSLALYYMMDTPLENVPFLKNFVRGDDSYRNSRFKLIPCISKGSWLVKQSVGKKACLIGQALEINYFHGSNYIELAIDVGSSSIAKGVVSLVVGYLNSLVIEMAFLIQGDTQEELPEVLLGTCRLNHLDISQAVQISSW >Ma10_p05020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15730320:15753464:1 gene:Ma10_g05020 transcript:Ma10_t05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKPPVQIPEPSSSEEEEAEEEVEEVEEVEEVEEEGSEEEEEEEEEEEEEVEEEDEAQDQEEEKKAKSEEKEEQVEVEEADVLDRESIRKLLEPFGKDQLIELLKEAALRNPSLLSQIASAADSDPVHRKIFVHGLGWDATTEVLAAAFTTYGVIDECKVVTDRATGRCKGYGFVLFRTRAAAQRALLEPQKKIGNRMTSCQLASFGPPGAQGPAPEVTGRKIFVSNIGEHVNPERLRAFFAKFGEIEEGPLGYDKTTGKLRGFAIFVYKTVEGCRKALEEPKKFFEGCELSCQRAVEGLKPKNQAIGGANVPAMLQPNDLALTYASQSLMGLNPVAGLVGQSLNPAVGLLGQNVGVGVLNQGPVAQGMSPSLSRSGMTPPPYGVGLGGVGGGGTGVNSISPSVIGSYQSQVALQGLGAYQNSYLSSSTGVRSKSDIGSLGNGLPPYFGR >Ma10_p05020.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15730320:15753464:1 gene:Ma10_g05020 transcript:Ma10_t05020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKPPVQIPEPSSSEEEEAEEEVEEVEEVEEVEEEGSEEEEEEEEEEEEEVEEEDEAQDQEEEKKAKSEEKEEQVEVEEADVLDRESIRKLLEPFGKDQLIELLKEAALRNPSLLSQIASAADSDPVHRKIFVHGLGWDATTEVLAAAFTTYGVIDECKVVTDRATGRCKGYGFVLFRTRAAAQRALLEPQKKIGNRMTSCQLASFGPPGAQGPAPEVTGRKIFVSNIGEHVNPERLRAFFAKFGEIEEGPLGYDKTTGKLRGFAIFVYKTVEGCRKALEEPKKFFEGCELSCQRAVEGLKPKNQAIGGANVPAMLQPNDLALTYASQSLMGLNPVAGLVGQSLNPAVGLLGQNVGVGVLNQGPVAQGMSPSLSRSGMTPPPYGVGLGGVGGGGTGVNSISPSVIGSYQSQVALQGLGAYQNSYLSSSTGVRSKSDIGSLGNGLPPYFGR >Ma10_p05020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15730320:15753464:1 gene:Ma10_g05020 transcript:Ma10_t05020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKPPVQIPEPSSSEEEEAEEEVEEVEEVEEVEEEGSEEEEEEEEEEEEEVEEEDEAQDQEEEKKAKSEEKEEQVEVEEADVLDRESIRKLLEPFGKDQLIELLKEAALRNPSLLSQIASAADSDPVHRKIFVHGLGWDATTEVLAAAFTTYGVIDECKVVTDRATGRCKGYGFVLFRTRAAAQRALLEPQKKIGNRMTSCQLASFGPPGAQGPAPEVTGRKIFVSNIGEHVNPERLRAFFAKFGEIEEGPLGYDKTTGKLRGFAIFVYKTVEGCRKALEEPKKFFEGCELSCQRAVEGLKPKNQAIGGANVPAMLQPNDLALTYASQSLMGLNPVAGLVGQSLNPAVGLLGQNVGVGVLNQGPVAQGMSPSLSRSGMTPPPYGVGLGGVGGGGTGVNSISPSVIGSYQSQVALQGLGAYQNSYLSSSTGVRSKSDIGSLGNGLPPYFGR >Ma10_p05020.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15730320:15753464:1 gene:Ma10_g05020 transcript:Ma10_t05020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKPPVQIPEPSSSEEEEAEEEVEEVEEVEEVEEEGSEEEEEEEEEEEEEVEEEDEAQDQEEEKKAKSEEKEEQVEVEEADVLDRESIRKLLEPFGKDQLIELLKEAALRNPSLLSQIASAADSDPVHRKIFVHGLGWDATTEVLAAAFTTYGVIDECKVVTDRATGRCKGYGFVLFRTRAAAQRALLEPQKKIGNRMTSCQLASFGPPGAQGPAPEVTGRKIFVSNIGEHVNPERLRAFFAKFGEIEEGPLGYDKTTGKLRGFAIFVYKTVEGCRKALEEPKKFFEGCELSCQRAVEGLKPKNQAIGGANVPAMLQPNDLALTYASQSLMGLNPVAGLVGQSLNPAVGLLGQNVGVGVLNQGPVAQGMSPSLSRSGMTPPPYGVGLGGVGGGGTGVNSISPSVIGSYQSQVALQGLGAYQNSYLSSSTGVRSKSDIGSLGNGLPPYFGR >Ma03_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14498690:14499361:-1 gene:Ma03_g14700 transcript:Ma03_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLCRYRPGTHVTTPYDVVPGLPGQDIPSNILYDL >Ma04_p16960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16929800:16941015:-1 gene:Ma04_g16960 transcript:Ma04_t16960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEHGRTDSIFEKSADRRIRSTFRRSIDQNNYQSCSKEFGFRSSEQRLPLLTIIFLDTHASRKVSPFPSLLPGEFCRKNFESISSSNMENSKSPSPNVFKDPVMLNSSCISSEASSLDHSDSRNKLSNSLDSSTTSLPGCLISGNSSGSVCDNPSAVGSDFLVSAIPKVDKRVKRNSRKKGKKKGKQYKRIASRKVLTDSNVQCEENNYSASAFETSESSSLSSSAKHVLDIKLSDEATPPDLLFTDVTVEKDDSANNVEFVDCSTTLLSCTSYSDEMDDFEPVSSPQIFARDEFGCNTTAYLDLSSTIKDAVMVPFSSDGSTREVVFKENNIFHDNFSSISMDNYNSVVDSSFDGSNSDIGENSSDDTVMRSPVKDESQPSSSEGEIFSPSKELIQHESSSHTTVNLCSVNTDISNNCYTSDAYLSNDVLDACSSTERADCSSQAGSNDDFHPVIAGKRGRRSRRIIGNGSLNGTNRYFTANNHGHTGKDNNYSIWQKVQKIERKEGASKPNNVSVLSSHGEVSSKETKTKMKLDKFVGLKQKQCGTTYRYPCPDDTFKIEASQAAPNCPKTVQPLSKSAVGNSVNSVKSKSSSAVKHANQCNISGSHTGKSDMDKALKHHVQQKECLRNSSFTAVDKDHNIGFRSPNNSFSQRCLAKPTDDCCQPEPEKEIHVHTEEATPPRNTCNGVCLMDLPAVHSEIGQTPTTMNQIGHRQIEGNSEVGPTKYRESSSLSSSAGNLIQKWVPVGRKDSVYSDTGYFEKVSITDDAVTDRSYPNAAGLVGSSLNKLFSVSKDGEFSNPGASKLIKKLSSCPRSAEASDLHAEINFQTNEMKDKEFNSVATELDKIIQAVNDAYKLQTMAEVVQLVTGHPCANFEKFLFLASPVIRKTQHSRSCSSCFPEQLISNSFCCHQIPNIALKSIWQWYEEPGCFGLEVRAHDYCNSRRQHNGRSEFTAYFVPYLSAVQLFGKSRSARYCNRSGEAAITCEEDKREKYLGSFPNLSMLLPQPLKDTNACLSESSSSAAEEIFDKSIHMDHAELFFEYFESDQPPWRRPLYEKIKELVSGDKLPASRIFGDPLKLESLNLHDLHPASWYCVAWYPIYRIPDGNFHAAFLTYHSLGHFVHQSASACVPGAFTNVVSPVVGLQTYRDKGENWFQPRDMDLKVFQSEEAHFSNTSDLLKERLRTLKQTASVMARAVVHKRDQRSANRHPDYEFFVSGSW >Ma04_p16960.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16929800:16940819:-1 gene:Ma04_g16960 transcript:Ma04_t16960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEHGRTDSIFEKSADRRIRSTFRRSIDQNNYQSCSKEFGFRSSEQRLPLLTIIFLDTHASRKVSPFPSLLPGEFCRKNFESISSSNMENSKSPSPNVFKDPVMLNSSCISSEASSLDHSDSRNKLSNSLDSSTTSLPGCLISGNSSGSVCDNPSAVGSDFLVSAIPKVDKRVKRNSRKKGKKKGKQYKRIASRKVLTDSNVQCEENNYSASAFETSESSSLSSSAKHVLDIKLSDEATPPDLLFTDVTVEKDDSANNVEFVDCSTTLLSCTSYSDEMDDFEPVSSPQIFARDEFGCNTTAYLDLSSTIKDAVMVPFSSDGSTREVVFKENNIFHDNFSSISMDNYNSVVDSSFDGSNSDIGENSSDDTVMRSPVKDESQPSSSEGEIFSPSKELIQHESSSHTTVNLCSVNTDISNNCYTSDAYLSNDVLDACSSTERADCSSQAGSNDDFHPVIAGKRGRRSRRIIGNGSLNGTNRYFTANNHGHTGKDNNYSIWQKVQKIERKEGASKPNNVSVLSSHGEVSSKETKTKMKLDKFVGLKQKQCGTTYRYPCPDDTFKIEASQAAPNCPKTVQPLSKSAVGNSVNSVKSKSSSAVKHANQCNISGSHTGKSDMDKALKHHVQQKECLRNSSFTAVDKDHNIGFRSPNNSFSQRCLAKPTDDCCQPEPEKEIHVHTEEATPPRNTCNGVCLMDLPAVHSEIGQTPTTMNQIGHRQIEGNSEVGPTKYRESSSLSSSAGNLIQKWVPVGRKDSVYSDTGYFEKVSITDDAVTDRSYPNAAGLVGSSLNKLFSVSKDGEFSNPGASKLIKKLSSCPRSAEASDLHAEINFQTNEMKDKEFNSVATELDKIIQAVNDAYKLQTMAEVVQLVTGHPCANFEKFLFLASPVIRKTQHSRSCSSCFPEQLISNSFCCHQIPNIALKSIWQWYEEPGCFGLEVRAHDYCNSRRQHNGRSEFTAYFVPYLSAVQLFGKSRSARYCNRSGEAAITCEEDKREKYLGSFPNLSMLLPQPLKDTNACLSESSSSAAEEIFDKSIHMDHAELFFEYFESDQPPWRRPLYEKIKELVSGDKLPASRIFGDPLKLESLNLHDLHPASWYCVAWYPIYRIPDGNFHAAFLTYHSLGHFVHQSASACVPGAFTNVVSPVVGLQTYRDKGENWFQPRDMDLKVFQSEEAHFSNTSDLLKERLRTLKQTASVMARAVVHKRDQRSANRHPDYEFFVSGSW >Ma04_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16929800:16941015:-1 gene:Ma04_g16960 transcript:Ma04_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQARLTMPYEHGRTDSIFEKSADRRIRSTFRRSIDQNNYQSCSKEFGFRSSEQRLPLLTIIFLDTHASRKVSPFPSLLPGEFCRKNFESISSSNMENSKSPSPNVFKDPVMLNSSCISSEASSLDHSDSRNKLSNSLDSSTTSLPGCLISGNSSGSVCDNPSAVGSDFLVSAIPKVDKRVKRNSRKKGKKKGKQYKRIASRKVLTDSNVQCEENNYSASAFETSESSSLSSSAKHVLDIKLSDEATPPDLLFTDVTVEKDDSANNVEFVDCSTTLLSCTSYSDEMDDFEPVSSPQIFARDEFGCNTTAYLDLSSTIKDAVMVPFSSDGSTREVVFKENNIFHDNFSSISMDNYNSVVDSSFDGSNSDIGENSSDDTVMRSPVKDESQPSSSEGEIFSPSKELIQHESSSHTTVNLCSVNTDISNNCYTSDAYLSNDVLDACSSTERADCSSQAGSNDDFHPVIAGKRGRRSRRIIGNGSLNGTNRYFTANNHGHTGKDNNYSIWQKVQKIERKEGASKPNNVSVLSSHGEVSSKETKTKMKLDKFVGLKQKQCGTTYRYPCPDDTFKIEASQAAPNCPKTVQPLSKSAVGNSVNSVKSKSSSAVKHANQCNISGSHTGKSDMDKALKHHVQQKECLRNSSFTAVDKDHNIGFRSPNNSFSQRCLAKPTDDCCQPEPEKEIHVHTEEATPPRNTCNGVCLMDLPAVHSEIGQTPTTMNQIGHRQIEGNSEVGPTKYRESSSLSSSAGNLIQKWVPVGRKDSVYSDTGYFEKVSITDDAVTDRSYPNAAGLVGSSLNKLFSVSKDGEFSNPGASKLIKKLSSCPRSAEASDLHAEINFQTNEMKDKEFNSVATELDKIIQAVNDAYKLQTMAEVVQLVTGHPCANFEKFLFLASPVIRKTQHSRSCSSCFPEQLISNSFCCHQIPNIALKSIWQWYEEPGCFGLEVRAHDYCNSRRQHNGRSEFTAYFVPYLSAVQLFGKSRSARYCNRSGEAAITCEEDKREKYLGSFPNLSMLLPQPLKDTNACLSESSSSAAEEIFDKSIHMDHAELFFEYFESDQPPWRRPLYEKIKELVSGDKLPASRIFGDPLKLESLNLHDLHPASWYCVAWYPIYRIPDGNFHAAFLTYHSLGHFVHQSASACVPGAFTNVVSPVVGLQTYRDKGENWFQPRDMDLKVFQSEEAHFSNTSDLLKERLRTLKQTASVMARAVVHKRDQRSANRHPDYEFFVSGSW >Ma04_p16960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16929800:16940734:-1 gene:Ma04_g16960 transcript:Ma04_t16960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEHGRTDSIFEKSADRRIRSTFRRSIDQNNYQSCSKEFGFRSSEQRLPLLTIIFLDTHASRKVSPFPSLLPGEFCRKNFESISSSNMENSKSPSPNVFKDPVMLNSSCISSEASSLDHSDSRNKLSNSLDSSTTSLPGCLISGNSSGSVCDNPSAVGSDFLVSAIPKVDKRVKRNSRKKGKKKGKQYKRIASRKVLTDSNVQCEENNYSASAFETSESSSLSSSAKHVLDIKLSDEATPPDLLFTDVTVEKDDSANNVEFVDCSTTLLSCTSYSDEMDDFEPVSSPQIFARDEFGCNTTAYLDLSSTIKDAVMVPFSSDGSTREVVFKENNIFHDNFSSISMDNYNSVVDSSFDGSNSDIGENSSDDTVMRSPVKDESQPSSSEGEIFSPSKELIQHESSSHTTVNLCSVNTDISNNCYTSDAYLSNDVLDACSSTERADCSSQAGSNDDFHPVIAGKRGRRSRRIIGNGSLNGTNRYFTANNHGHTGKDNNYSIWQKVQKIERKEGASKPNNVSVLSSHGEVSSKETKTKMKLDKFVGLKQKQCGTTYRYPCPDDTFKIEASQAAPNCPKTVQPLSKSAVGNSVNSVKSKSSSAVKHANQCNISGSHTGKSDMDKALKHHVQQKECLRNSSFTAVDKDHNIGFRSPNNSFSQRCLAKPTDDCCQPEPEKEIHVHTEEATPPRNTCNGVCLMDLPAVHSEIGQTPTTMNQIGHRQIEGNSEVGPTKYRESSSLSSSAGNLIQKWVPVGRKDSVYSDTGYFEKVSITDDAVTDRSYPNAAGLVGSSLNKLFSVSKDGEFSNPGASKLIKKLSSCPRSAEASDLHAEINFQTNEMKDKEFNSVATELDKIIQAVNDAYKLQTMAEVVQLVTGHPCANFEKFLFLASPVIRKTQHSRSCSSCFPEQLISNSFCCHQIPNIALKSIWQWYEEPGCFGLEVRAHDYCNSRRQHNGRSEFTAYFVPYLSAVQLFGKSRSARYCNRSGEAAITCEEDKREKYLGSFPNLSMLLPQPLKDTNACLSESSSSAAEEIFDKSIHMDHAELFFEYFESDQPPWRRPLYEKIKELVSGDKLPASRIFGDPLKLESLNLHDLHPASWYCVAWYPIYRIPDGNFHAAFLTYHSLGHFVHQSASACVPGAFTNVVSPVVGLQTYRDKGENWFQPRDMDLKVFQSEEAHFSNTSDLLKERLRTLKQTASVMARAVVHKRDQRSANRHPDYEFFVSGSW >Ma11_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4263228:4263895:1 gene:Ma11_g05570 transcript:Ma11_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFTLFSLFPPSLGHKELLFSTLATFLLLVTTPRPSHSFPLALKTFTISAAPALLPSTPEFSSSAPALAPDTMPVFPTPGGRAGTPPISSLPIIPSSPSPPNPDEIQPNSAVAPSGSTNLTSAAAHSTWSSGRVGMAAACGLALMWW >Ma01_p18890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14252189:14253098:1 gene:Ma01_g18890 transcript:Ma01_t18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCWGKAEEEEKEEEERQKVMMPWWDKKVVLPVKRAWVAIAARVKACKHGRGGIGVSAKVQAVGRAGDGILKLHDDVQTCEYEDVQVMWEMVRRSKTEESNPSEQRKRRLGGHQPAPPDERPPVTRRSCFNITAANEVVEEEVGGFEQRAAVAAVPRSSSSSSSCA >Ma02_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16552411:16552657:-1 gene:Ma02_g05250 transcript:Ma02_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLARGFALGRHPSCRDQILPWQKKGSLDHLKSGGTAGLP >Ma08_p33300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43662676:43662921:-1 gene:Ma08_g33300 transcript:Ma08_t33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSKVFLGLTVHRGNDCVTPKDLVNIVIPIIKHCDKYGGIMLWNRYSDAITNYSAQVKDYVCPDRRLYSTAATLVASSSV >Ma04_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25684670:25687267:-1 gene:Ma04_g23530 transcript:Ma04_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAARVINDVLSLVVFTLLDLLDVFLCLVYKLADYALEAEWKPCYCSSARDMILVAERSGSKVLSLTSSTKLQLDDISDTLYSRSSLVAEVSKTIVNELRKLKLFGHRGAVSTASARVRSSTATATTFTTNSTIIHLLQGKIGGHKPHAARSWIDCGCESCTHWSSPSGSRDTLFVHAEGPQDGKMAEEDVLFIHGFISSSAFWTETVFPNLSETTRSRYRLFAVDLLGFGKSPKPPDSLYTLREHVGMIERSVLDRFDVKSFHIVAHSLGSILALALAVKHPGAVKSLTLLSPPYFPVPKGEQRTQYVLRRVAPRRVWPLMAFGASVLCWYEHISRTVSLIMCKNHRRWELAFKFVTCNRIRTYLMDGFFCHTHNASWHTFHNIICGSAAKVDGYLDVVREQLSCDVIVFHGRDDELLPIDCSHAIQSKVPRARVRIVDDKDHITIVVGRQKAFARELEEIWNNASR >Ma02_p06300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17315587:17317970:1 gene:Ma02_g06300 transcript:Ma02_t06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSFCKPSSLSTSEPTVTSLPSQSLSAGIVVSHEYNLTPHTLCAAVSVGLKIETIISVPSKLLENEVATWGNWLFVHQSTANYGKVKLVLKKTWNFVEPPFPEVLKQLLKVMSYQRHGLTLRTILMMLIHSQLANLTFPAAIENLMPQYLNSQVENVKQHCLLNGLNYPILQRYDFCNDTANPDLNIELKPQAWACCHI >Ma01_p06390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4576294:4582847:-1 gene:Ma01_g06390 transcript:Ma01_t06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSLIEHNLPLPPHLLNRPLLDAIKEELERLFLDKVLSNLGLCISIYDIRTIEGGFVFPGDGSSTYKVVFRLIMFRPYVGEILCGKLKASDANGLHLSLGFFDDIKVPVHLLPHKSRMGDDGIWIWEHECGDLPMDLDEEVHFRVTKINYPPIPLEQDANASPFSPMEIIGEIYGDGLGLLSWWAD >Ma01_p06390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4576294:4582840:-1 gene:Ma01_g06390 transcript:Ma01_t06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSLIEHNLPLPPHLLNRPLLDAIKEELERLFLDKVLSNLGLCISIYDIRTIEGGFVFPVSLGFFDDIKVPVHLLPHKSRMGDDGIWIWEHECGDLPMDLDEEVHFRVTKINYPPIPLEQDANASPFSPMEIIGEIYGDGLGLLSWWAD >Ma01_p06390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4576294:4582840:-1 gene:Ma01_g06390 transcript:Ma01_t06390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSLIEHNLPLPPHLLNRPLLDAIKEELERLFLDKVLSNLGLCISIYDIRTIEGGFVFPGDGSSTYKVVFRLIMFRPYVGEILCGKLKASDANGLHLSLGFFDDIKVPVHLLPHKSRMGDDGIWIWEHECGDLPMDLDEEVHFRVTKINYPPIPLEQDANASPFSPMEIIGEIYGDGLGLLSWWAD >Ma05_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8531276:8532535:1 gene:Ma05_g11670 transcript:Ma05_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATTCRSSTCPPWLQAALADIEQRVHSLAVNVPDDPESDSFAVRAENYYQKRPQLIALLHDLHHRYLYLADRYSQSLVRRHHRRASSVPSDLDAEDDPDLPDSASSDAESSLSFQPLPSQARSRDTSPAVAGADLDMIVAELVVAAVERDLLEAEGAEAERLLAESARKIDLQGSLVEVLEAERLVLLGENARLEFRARAAEQEARSVAAELGYIRRRAAELARVVVKLREDHRVCLLGRKIEDLQAQIYSLERRNRECVEAMSRPEKEKGEARVEADRLRGENRRLMEEAEVARARRGWGRSWWGRVRRFEWAPSPCTPHVKEAKGAKGCFYL >Ma09_p19190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:21250221:21250859:1 gene:Ma09_g19190 transcript:Ma09_t19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGRVTTDNCSSNSSSKGKEPWMSYTHTAKGGPLPGSFLAGFSWPPRSYSCSFCSREFKTAQALGGHMNVHRRDKARLRQSPPPGPLPFDLNPNPSPGGEPVPFSSAPNIPNLNMPPPSSSSGDKIFLAPNTLPSMTSPLTHFSFSRGDDLNKMETVKALFGTGEAKDLMEKDTGNELQQGREVVRLELEIGICGDRKDELDLELRLGYT >Ma08_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8402954:8414224:1 gene:Ma08_g11380 transcript:Ma08_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSSTPTESGLSCARCGKPAYLQCPKCVELKLPQEGSTFCTQDCFKISWSSHKLVHPKSKLANVRSAHSEQSLDGWLYCLKNGQARTSKLPHFGWTGALRPCPISKRRLVPDGVEKPDWSIDGIPKTELNSDLQNIVEIKTPEQIELMRETCRIAREVLDAAACIIRPGITTDEIDEVVHQATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLKDGDIVNVDVTVYYKGVHGDLNETFFVGEVDEASQQLVRCTYECLEKAISIVKPGVRYREVGEVISRHATMSGFSVVKSYCGHGIGELFHCTPNIPHYGRNKAVGIMKAGQTFTIEPMINAGVWRDRLWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARVPSSPDVFPWLKLNHP >Ma08_p11380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8402954:8414224:1 gene:Ma08_g11380 transcript:Ma08_t11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSSTPTESGLSCARCGKPAYLQCPKCVELKLPQEGSTFCTQDCFKISWSSHKLVHPKSKLANVRSAHSEQSLDGWLYCLKNGQARTSKLPHFGWTGALRPCPISKRRLVPDGVEKPDWSIDGIPKTELNSDLQNIVEIKTPEQIELMRETCRIAREVLDAAACIIRPGITTDEIDEVVHQATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLKDGDIVNVDVTVYYKGVHGDLNETFFVGEVDEASQQLVRCTYECLEKAISIVKPGVRYREVGEVISRHATMSGFSVVKSYCGHGIGELFHCTPNIPHYGRNKAVGIMKAGQTFTIEPMINAGVWRDRLWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARVPSSPDVFPWLKLNHP >Ma08_p11380.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8402954:8413678:1 gene:Ma08_g11380 transcript:Ma08_t11380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSSTPTESGLSCARCGKPAYLQCPKCVELKLPQEGSTFCTQDCFKISWSSHKLVHPKSKLANVRSAHSEQSLDGWLYCLKNGQARTSKLPHFGWTGALRPCPISKRRLVPDGVEKPDWSIDGIPKTELNSDLQNIVEIKTPEQIELMRETCRIAREVLDAAACIIRPGITTDEIDEVVHQATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLKDGDIVNVDVTVYYKGVHGDLNETFFVGEVDEASQQLVRCTYECLEKAISIVKPGVRYREVGEVISRHATMSGFSVVKSYCGHGIGELFHCTPNIPHYGILLVNGAGALTLE >Ma08_p11380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8402953:8414224:1 gene:Ma08_g11380 transcript:Ma08_t11380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSSTPTESGLSCARCGKPAYLQCPKCVELKLPQEGSTFCTQDCFKISWSSHKLVHPKSKLANVRSAHSEQSLDGWLYCLKNGQARTSKLPHFGWTGALRPCPISKRRLVPDGVEKPDWSIDGIPKTELNSDLQNIVEIKTPEQIELMRETCRIAREVLDAAACIIRPGITTDEIDEVVHQATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLKDGDIVNVDVTVYYKGVHGDLNETFFVGEVDEASQQLVRCTYECLEKAISIVKPGVRYREVGEVISRHATMSGFSVVKSYCGHGIGELFHCTPNIPHYGRNKAVGIMKAGQTFTIEPMINAGVWRDRLWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARVPSSPDVFPWLKLNHP >Ma07_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28877238:28880726:1 gene:Ma07_g20860 transcript:Ma07_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRMTKKMRKITKETSPSTSCSSFGDYARQRFKHKSLLQDYMELLKETEAKKKKLQETRLKKLQLLAEVRFLRRKYKTLSENPSQGIPFRLKKQSHQVPFPSPSIYIALSMNSLVPNEVPTKGKQYGVLEAANTRISVMESSSVNPLRFKKQSHRNLCSSLCVAQSMDVSIPREVPSQDKKYRVLEAVNTSTSPRFDKNQVSLMNEEEMELQLKQDILKMGKLKRCSMNRGGSNDSKLAMCRDVGKNSNRISKRKISWQDQVALEV >Ma11_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20339870:20340860:1 gene:Ma11_g14610 transcript:Ma11_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNAEGDPATGNEGGGERKRKRRADPPVAKWRTEGEQKTYSSKLIEAIRRVRRSSAAAATDHSRSRAVRAAADRALAVAGRGRTRWSRAILSGRKLKLWVRARACRRKPLGSITAASASHTTPKSKPPTLERKARVLGRLVPGCRKLPLLTLLEEASDYIAALEMQVRAMSAIAEILSAAGAATAEPM >Ma08_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36559173:36560272:1 gene:Ma08_g23140 transcript:Ma08_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLVYSPLRTALLISVLAVLSSVHGIGVNYGLVGDNLPKPSAVVDLYKSNNIDGMRIFNPNHDVLEALRRSNITLIVGVEHKDLQPLASDASAATNWVQTNIVPYSPSVSFRYVVVGNEVIPGDLAQYVFPAMQNIQTALDSAGLQVNVSTSVALSVLGSSYPPSAGAFTPEAQTYMKPIIQFLAGGGSPLLVNVYPYFAYRDNADQIALSYALFTSEGVVVTDGAYGYKNLFDAMLDATYAAMEKVGGKDVAVVVTESGWPSDGGFAANISNAHTYIQNLIDHVGEGTPRRPAPIEAYIFAMFNENQKEAGTERNFGLFYPDEQPVYPLSFV >Ma07_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6446058:6448082:1 gene:Ma07_g08670 transcript:Ma07_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRIDESSGMAVLFTTDGICTNAIEALLAPGSFAGGCPGSQFMVNSDGSHGNSLKFGLEETADDDLDEYPQRPGKKRRLTADQVEFLEKNFEVENKLEPERKLQLAKDLGLKPRQVAIWFQNRRARWKAKQLEKGYESLKSSYDSLKLDHDNLLKENEKLQAEVVLLTNKLLQEEKDSSSWESFQLRICPDKLQPGIDAQVMLCKQEDFSSANSAVLESESPQHVDDGGYSNQSQVVGCCGFLRPESHSCSNEFQVEDQALWFWP >Ma09_p27300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38320541:38321631:-1 gene:Ma09_g27300 transcript:Ma09_t27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLGEVPTLVVSSPEAAAEIMKTQDVSFASRPMISYGDKSPAFASYGSYWREIRKMSILELLSIKRVLSFRSIREEEVLNFVRSMDLSSNSGCTVNLSSKFALMTNDIAARAIIGRKCKYQKQFLQVLNRGLEASGGFSLVDLFPSSSLVSLLSGMSLKLPRLHREMDAILSSIIQEHRERNSTEQVEEDLVDVLLKVQREGSLPFAFTDVAVKAIILDLFGAGGETTATTLEWIMSELMRNPGAMKRVQQEVRVTVGGKGRVREEDINEMNYLRMIIKETLRLHPPLPLLLPRECQDQSAVAGEGTRHNGFLLDRVRGRRDPS >Ma03_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4667748:4669003:-1 gene:Ma03_g06800 transcript:Ma03_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRDAEVDVELFSIVRVYKSGRFERLTGDDVVPAGVDPDTGVASKDVVIDPNAGISARLFLPDVSCLPHAKLPVLVYYHGGGFCIESPFSSRYTSFLNSLVAKAKVIAVSVCYRLAPEHPLPTAYHDSLAALRWVASHADGGEESWLAEHGDLGRLFVSGDSAGANIAHHVARLAGISGLGTGARIKGAALIHPYFWGEEPVGSETRDRETREKSERLWRLVCPGTTGMDDTLINPLAEGAPGLKGLACERVLVEVAGDDLLRERGRAYYDGLKASGWGGEAEMVETEGEGHVFHLDNPASDKALAFMDRLVAFLNRD >Ma10_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28019460:28026405:1 gene:Ma10_g16240 transcript:Ma10_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPREHIGKAFDASSSANGGNPSLKSKGVEESSSTKGDHKADQMSMDMADVSIASQEEGWEVYGKKSKKRAGSSGRGTITTASGPKPWGSSPNAPRSWGQSDGLPRQRWGANGGTARTSGSNWAQANASHRPTSKVNPKRQPTSKGLETQHMALPPVIPSQLQHGWNWAARGDFSGSQPKSDEFISNHLPQNDSSGGCDSDSNAMTQKSGDVLDDDDDDDDLVEDSDDNFSDGYDSDASQKTHKTRKKSKPFRGFFEDLDKLTNEEINEQMRQWHCPACHNGPGEIVWYKGLQPLLTHANTKRAARVKLHQELALLLEEELHHRGTSPVPAGEVFGKWKGLQETTTDREIVWPPMVVIMNTLLEQDENEMWIGMGRKELVEYFSSYAAVKACHSYGPRGHRGMSVLIFEPTAVGYLEAEQLHNHFAEQGKDRDAWEHRRILFSAGGKRQLYGYLANKEDVDVFNHDSHGKHRLKFEMRSYEEMVVIPMKQMNEDNQQLIWLKNKVVKQEQQSKVLKETIGVVTQRWRETVEENIIVRRRSKMQHEENKEEMDYQEKFFNEQIEKIRNNTI >Ma04_p33900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33314200:33317350:-1 gene:Ma04_g33900 transcript:Ma04_t33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDTKLTLSRWEVAAAAGVVASFGMGLVAVCLSMPASDYSFLKLPRTLEDIQDLRDNLESYTTDYTIQVLVGYCTVYIFMQTFMIPGTIFMSLLAGSLFGVLQGVALVVFAATAGASSCYFLSYLIGKPLVFSLWPDKLSYFQEQVAQRREKLLNYMLFLRVTPTLPNTFINVASPIVDVPYRIFFMATFIGLIPAAYVTVRAGIALGELRSVADLYDFQAIATLFLIGIVSVTPTLISKNHTVENA >Ma04_p35530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34269317:34270470:-1 gene:Ma04_g35530 transcript:Ma04_t35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQHLPPQTQELQPGKEHIMEPTPQPISDEYKPANKLQGKVALVTGGDSGIGRAVCYCFAQEGATVAFTYVKSQEFKDANDTLQMLRQIKKHDAKDPIAIPAELGYEENCRKVVEEVVNAYGRIDILVNNAAEQYERSCITDITEEQLERVFRTNIFSYMHVSKFVVKQMKAGGCIINTTSVNAYKGHKTLLDYTSTKGAIVAFTRALALQLADKGIRVNGVAPGPVWTPLIPASFPEEAVANFGKEVPMGRAGQPYEIAPSYVFLASTPDSSYITGQVIHPNGGTIVNG >Ma03_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1709783:1713801:-1 gene:Ma03_g02520 transcript:Ma03_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSQDRSSKAKGKPPRSAQGHGSGSIPDCQHDSKKMVETEGSGSAIRANSSGNSSSPKRKKCVDVTVDRCNGFNAPLEVISLSKKSGSKRKTPTMRLRSEPDHIEMLREKLPSKSVTTVGISTSSSIHGSEKIREQNIGPEMKGGLSGKSVPSKTAPMSDTYLALMKQCETLLKKLLAHHFAWVFDHPVDAVKLNIPDYHTIIKRPMDLGTIKSKLTSGAYSSPCGFASDVRLTFKNAMTYNPPSDDVHIMAVTLSKFFESRWKFIEKKLAAADAHIEKETQSNKAELSKKRKMSPPDYNSPVPERKKSKMMDEENQSLNRCLESLLADLSDHIIDFLSWQSGNMNQSIEEIKTHIDSFCDDTLFKLRTLLDNYIKEREVQQPVKTERVNDTGVCTSQMRPCKGNDLDDEDVDICDGDLPVPSYPPLELQKDLGAATTEYSSSSGSSSDSDSDSSSGSDSEDEVSIPKSAAEENSGNKACSDHEKSDIIKPFDVNRPLSGLTPSEMDVDSKPLSVDSDGCQEGEHASSERKVSSEKLYRAACLMSRFADTILKAQKSLDQADRVDPETLQREREEIERQRREERARLQAEAKAAEGARRRAEAEAAAEAKHRREREREAARQALLQMEKTVEIDDYHLVLKDLEILTRTPMSFDEKCPGHSLDDVEDFRFGGSNPMEQLGLFLKVYDEEDEVDDIRRDRKSSSSS >Ma04_p29060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30014820:30022811:-1 gene:Ma04_g29060 transcript:Ma04_t29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGRPAAAATGARSWISMDCTGRGIVLDVDKHEIMRRAQIHARDMRIIDPLLSYPSAILGREKAIVLNLEHIKAIITAEEILLRDPSEENVTPVVEELHRRLPPSVAHQAEGEGRGQHEAESAEEAASPFEFRALEVALEAICSSLDARTTELEIDAYPALDELTAKICSRNLDRVRKLKSAMTRLIARVQKVRDELERLLDDDNDMADLYLSRKMATESPCLVDSAVSDLAPNSPVLVSKTSRVSRTSVATLHGNEYNVEELEMLLEAYFIQIDGSLNRLNTLREYVDDTEDYINFQLDNHRNQLIQLELFLSACTVSLTFYSLVGGIFGMNIPYSWNDNHGYVFSWVVGIGGLLSGLILVVVLVYARYKGLIGA >Ma03_p16010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17573923:17574532:-1 gene:Ma03_g16010 transcript:Ma03_t16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCVTFFVIAVLLSFSLGRAARHEPVDPRNTQQEVLGAEADGFERVDEGCGAANEEECLMRRTLVAHTDYIYTQGNRH >Ma03_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17573923:17574532:-1 gene:Ma03_g16010 transcript:Ma03_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCVTFFVIAVLLSFSLGRAARHEPVDPRNTQQEGAEADGFERVDEGCGAANEEECLMRRTLVAHTDYIYTQGNRH >Ma08_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4803704:4816619:-1 gene:Ma08_g07020 transcript:Ma08_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRMGSIEATSSVHNQEKGLSLSLPRSFLHFLEENGLDPSIYAMIETIPRYIRVKPGCESQLVEIENELKCRLEKVSWLSGFFAIPSQIQIAGSKAYQQGKIYGMDAASGAAVSALNVSPADHVLDLCCAPGAKLCMLADLLGNSGSLTGVDIARARLAACRTMLQKYSLGDHCRLFVADGTSFSLLPVRSCMETKPCLSGKNDPDIFAEWTAKRSWRERKKAAKAGNIADLDQIKSTSPELIFYGRYSGVVGLCKNELFRAVDEPSFSGYDRVLVDAECTHDGSLKHIQKFEDWGWETLERRVLDAKRTDSLLHLQLQLLTNGFRLLRVGGTLVYSTCSLTVAQNEDVVKQFLSRNSLAELRHVEASAIWPCRSGGIPNTLRFDPKTSQTSGLFIAKFTRLAS >Ma11_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16209365:16209583:-1 gene:Ma11_g12320 transcript:Ma11_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNCHPTYLLFPSATISYVPLIAKLK >Ma01_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14248115:14249059:1 gene:Ma01_g18880 transcript:Ma01_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGVDQKEKSNSAPSTPRRLDPPNGRRTIVDSPYRHIPRPPEKSLPHYLKPTICSTHSSKNQHQPCHASPPFAAANGKRLPHKLMIPRASPTPCPPQLSPTSSKHRATRAPSLSPVHKLAVRNEANSEKASPSPPLRKTRSLPLKRNEQPHAKVAEAEATRSTSPKSRDKEVKHPKSSEKSVVVAAGKQRARAKSMSMTSIDMAEGTRKPSVRRSGKLVAGHERKEQAATGERLRCKGKAAVREEEGKEVKGRKGSPPASIAVKDEAAAAAAARRNKVKALVGAFETVISLHEDVGADGQGGQRGEGEESKR >Ma05_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6145165:6145374:-1 gene:Ma05_g08280 transcript:Ma05_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMNPVTFFYPFLGVDDYTYFLVQIAISCDFLLIDCVRPAIAMEPGNPISLDREYMNRTNRSTISYNFL >Ma07_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30706483:30714568:1 gene:Ma07_g22920 transcript:Ma07_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAVLSAFMQVLFEKVITAAVDEFRLLRGVRGELQNLATTLSTIQALLEDAEEKQLQDKSVRHWLAKLKDVAYDMDELLDECAAAKIRWEMESRARRCSWKMQVSGCFSDSCWHRSSYHYNLAHRTKAVQERFDRIATERHNLGLQVSGGISQLQITERPQTSSLEDDLKVLGREEDREALISMLLSANNSSHTVTVLPIIGMGGLGKTTLAKSVYNDHRIKQHFQLRMWVCVSENFDETKLTKETLESATREFYATTTNMTLIQEDLFEQLQGKRFLLVLDDVWNEDPIKWYRYRNAIIGGERGSKILVTTRNENVGRIMGGWPSYRLKQLSDDDCWELFRNYAFVGGNSSTHPNLEKIGKMIVKNLKGLPLAAMAIGSLLFSKLEEEEWKSILRSEIWELPADKNNILPALRLSYKQLPSHLKQCFVFCSVFHKDFVFDKDRLVKTWMALGFIQPMGGKRMEDIGSSYFDELVSRSFFQSHKGYYVMHDAIHDLAQSLSVEECHRLECGLRNVGLEKKIRHLSFSTGGIPDELFLRLKCLRVLKLRRRDIEELPKSIGSLIQLRYLDLANTGIRTLPQSISKLYNLQTLILRNCNFLTEIPRGITNLIHLRHLEATSTLISGIAGLGCLTCLQELERFIVRKDDGFKITELQDMNELRGHLCIQNLESVVDRKEAGEANLHAKEHLSFLSLEWTKDRDLVLEDEILCEEEVLEDLQPHHELRELKVMGYAGTKLPSWIGNPSFCYLETIHLSNLMRCKHLPPLGQLPLLRYLDIGGVPGLVRIGQEFHGRGDIKGFPSLIELVLEDMPALEEWVCSDDDELLPCLTDLGIEDCSNLRELPCLPPTIERLRISGVGITTLPDLRGSNCQFSSLNVYDCPNLTSLQKGLLGQQLKAIEQLAIVDCEELILLPQEGFKDLVSLKSLSIYNCPKLVPLEDDKRLLPRSLTELRISSCSKLINRLLADCKDLASLKHLRVTDCADLYRFPEEGLPTALESLGVFRCYNLLLLPAKLQELHSLKSMVIDNCHQVQCSPEEGLPMELKDLLVCGCPLLQEYCLGDGAAGRHQLMHIPRVQFDDVLLRN >Ma08_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33073421:33076288:-1 gene:Ma08_g19270 transcript:Ma08_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKQEYEESGPSIVHRKCF >Ma06_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7762803:7766922:1 gene:Ma06_g11050 transcript:Ma06_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLSAPSSQWIAGERPLCQRRCVLKAPSRRVAAGPIMAGSYTDELVQTAKSIASPGRGILAIDESNATCGKRLASIGLENTETNRQAYRQLLLTTPSLGEYISGAILFEETLYQSTTDGKKFVDCLREEKIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVASIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWAEVFYYLAENNVVFEGILLKPSMVTPGAEHKARASPETIAKYTLKMLNRRVPPAVPGIMFLSGGQSEMEATLNLNAMNQGPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGRYSAEGENDEAKAGMFQKGYTY >Ma04_p34920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33883696:33885857:-1 gene:Ma04_g34920 transcript:Ma04_t34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLCDAGRLDDAIHLLRSMLWRISQKGCDADVVVYRTLLEALCQNGRTAEAEEILARVLKKGLRSSKRRRTFCGPVLQGLTVEEAKKVIDEALVVRGVKSLASYRAMLKDLYAEGNFDDAQQMFDEMIESGFRPPVSIFENKISALCQEGRADDSVRVLEKEMPGKDCVPTVRTYNLVMEGLCKEGKSMRAVEYLDKMDKQVGCVAQKETFEILVDGLHAECRHLEAAQVLERMLRRRYRPNRAVFSSVIQGLCSIVRRYEATLWLEEMISHGEIPEAEVWASLASMVCFSDLTESLLLEVLEHKHDAD >Ma01_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11184368:11190307:1 gene:Ma01_g15450 transcript:Ma01_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRRRAELAGPLELLPAVDFCCVYGSSLLPNNSDKTSMVDYILGVADPIQWHSENLERNRHHYSKWMGRLGPSAINWVAYGIGVGVHFNPFVEWKDKMIKYGVVQMHDLAMDVLTWDRFYLSGRLQKPVQVLIDNWDMQKVNLINLKAATSASLLLLPAKFSEEDLYAKICSLSYMGDLRMLFAEDKNKVKNIVRGSFTSFQSMYKPSLQEFAAEGLLQIPSAGHEFEQDCGLSATRNHFFSLPWTIQRRLGMKHEIDGSGITKPQTIITSRELAANRVTKALRRLVMISSARQAMSGLAATGGVAAARYLARKISKAWKSRTS >Ma07_p14350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10768295:10768432:-1 gene:Ma07_g14350 transcript:Ma07_t14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGTLAWFDENGQMQQLSLHSLAAGKKVIVFGVPGACRVCRCR >Ma09_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13362255:13366129:-1 gene:Ma09_g17760 transcript:Ma09_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium sensing receptor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23060) UniProtKB/Swiss-Prot;Acc:Q9FN48] MTVPCIGRNRQSPEDWDAPAALTVITRQISSSTQSSVRFFDNPPPHKRSGEEDLLLYRTQPTTRSPTVARTQSTRRPIEPTTTESVLKVRIDHTHSGSSRARGEGDEEEEEMAMVAFRAAAAASTSPRPPLSKPQQAQPQHHQSKLLHPKQPLPLLPPPTPAALSFVAVLSAAVPGEARAFSFPKEDIVSSLTKAEDTIGQVVDVGSSVWGFSLDVFRSLSETLKPGVDAALPILQSASKEALKIASPVVSDASKQAKEALQSVGVDPSPVLTAAQTIADAAQQTTKIIEGAKPLASATVEKITSSSPSVVVVTAGALFVGYLLLPPIWSTISFNFRGYKGNLSPAQALDVITAQNYLMIDIRSEKDKNKAGVPRLPSNAKNKMISVPLEELPSKIKGLVRSSKKVEADIAALKISYLKKINKGSNIVIMDSYSDIAKLVARTLTSLGFKNCWIVTDGFSGGKGWLQSRLGTDSYNASLVEVLSPSRVIPAAAARFGTTSSTALQSTRKLLPGSVEN >Ma06_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9798467:9802584:1 gene:Ma06_g14290 transcript:Ma06_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MLELLDSCDSRRFRASKMQINPSFYTSSFMAVAAVATASPSSLIYTRPSSRSGGRIPGSARRTTALVMAAGNGGGRTMPHVLTVAGSDSGAGAGIQADIKACGALGAYCSSVITAVTAQNTVGVQGVHPVPEAFVAEQLRSVLSDMAVDVVKTGMLPSVGIIKVLCRSLQEFPVKALVVDPVMVSTSGDMLAGPSTLSGYRDELFAMADIVTPNLKEASALLGGMSLRTVSDMCSAAKSIHNLGPRNVLVKGGHLPGSSEATDVFFDGENYHVIRGSHVNTRNTHGTGCTLASCIAAELAKGSPMLQAVQRAKTFVESALDYSKDILIGNGPQGPLDHLFDLNTHAHTAGARSFDAKDLFLYAVTDSGMNRKWGRSIVDAVKASIEGGATIVQLRDKEAETRDFIEAANACLEICRSNGVPLLINDRVDVALACDADGVHVGQSDMPARVARSILGPQKIIGVSCKTAAQAAQAWADGADYIGCGGVFPTTTKANNLTVGLDGLKAVCLASKVPVVAIGGISAANAGSVLEISVPSLKGVAVVSALFDRECIGTEARRLKSILMDTSSHAKLSV >Ma09_p20870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30319484:30328111:-1 gene:Ma09_g20870 transcript:Ma09_t20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEYGRPFIIIKEQGQKARLRGLEAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVIVTNDGATILEQMDVDNQIGKLMVELSRSQDYEIGDGTTGVVVLAGALLEQAEKLLERGIHPIRIAEGYEMASRLAVDHLEHISQKFEFSVTNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLELIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEEAKIAILTCPFEPPKPKTKHKIDIDTVEKFQTLRQQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQDLTDEKLGKAGLVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMMIDETKRSLHDALCVARNLIRSNSIVYGGGSAELSCSIAVEAAADKYPGVEQYAIRSFAEALDFIPMALAENCGLPPIDTVTAVKSQQIKENNPYCGIDCNDVGTNNMMEQNVFETLIGKQQQILLATQVVKMILKIDDVITPSAY >Ma06_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13259773:13260516:-1 gene:Ma06_g19350 transcript:Ma06_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGCVGDFPSRIKYCVSIHFKRIAPRPCK >Ma06_p29400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30784788:30792988:1 gene:Ma06_g29400 transcript:Ma06_t29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQDGRRPIKRSTVSDQQRRRELALVRQAQQRSDSQNRARRLASSVLALHSSPEPALPDDAIEPEQAPEVDWAQTEADLTESEALVRDLNVAQASKLRGREARRWFAHQLMLPEWMIDIPPHLDRDWYVFARPAGNRCFVVSSNGTTISRLRNGSVLHHFPSSLPNGSRTRDMSGPASSYCILDCIFHEPNQTYYVIDMVCWRGYSLYDCTAEFRFFWLNSKLAESGACNPPSTYHRYTFSVVPIYDCDQAGLHAAYSSVVPYVKDGLLFYNKHAHYQTGNTPLALVWKDNYCSEYFLDTDSKGLVPTQQQVVLEIQDDGRLTTSDDPPVVLGCVEADFIQKSGLRAGNLLRFAIRDESVSLVDGKLEIGGLQFVSKANRARAFADSYSKVFFQYAARHSPLRIEDLAASVQPSHVHDNTIKDVDMDG >Ma06_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15826231:15842668:1 gene:Ma06_g21570 transcript:Ma06_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLAKEASLSPTLSSSGRRRREKKHTVADGSKSQAALPGIEIAWQEDAECPDGKRHSRRRRQGPDPRLSSSPGHVHGELVAAGWPSWLSNVAGEAIKGWTPRRADTFEKIDKIGQGTYSNVYRARDMLTGKVVAMKKVRFDTMEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAANPKIKFTEPQVKCYMHQLLSGLEHCHSNGVLHRDIKGSNLLIDNEGLLKIADFGLATFFDPNHKHQMTSRVVTLWYRAPELLLGAIDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWKKSKLPHATIFKPQQPYKRCIKETFKDFPPSSLPLVETLLAIDPAERLTSTAALNCEFFSTPPYACEPSSMPKYPPSKEMDAKLRNEEARRLKAAGRKANSNVTKRTHTFNRAIRAVPPLEANAELQVNLDRRHLITHANAKSKSEKFPPPHQDGALGYPLESSYHMDPAFDPPETSFSTVFPHQKGGVTTWSGPLVDPVAVGDSGRKKQSAIKSWMPAKRKQPVGANATNETHKGTGISLVR >Ma08_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7811404:7816789:-1 gene:Ma08_g10660 transcript:Ma08_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNTALAEHLSQKIGPFGLKMWEIIGISFGVLLFCALLLLVMCVWIQNRRRYRRASGYLPTTQIPAFSRDIKEVPVEKSIKDDSALLRIYDGYSDNDSNKGADISKLEHGDSNSDSDSFRYVEKDSSSKPAEAGSTGIVDVNRQHSAHPLVAPSQFAGLPEFSHLGWGHWFTLRDLQIATKWFSKDNVLGEGGYGIVYRGQLVNGTPVAIKRLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMRERGSLTWDARMKIILGTAKALAYLHDAVEPKVVHRDIKSSNILIDEDFNAKVSDFGLAKLLGAGKSHIATRVMGTFGYVAPEYANTGFLNEKSDIYSFGIVFLEAITGRDPVDYRRPPDEVNLVDWLKWMIGNRRSEEVVDPGIVTRPSTKALKKALLTALRCVDPDSEKRPTMGRVVQMLEPDNPRPHQDQKHRHERAGEIEVELQRKNSDTKTKPDFKSNRKKGNGEK >Ma08_p10660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7811404:7816778:-1 gene:Ma08_g10660 transcript:Ma08_t10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNTALAEHLSQKIGPFGLKMWEIIGISFGVLLFCALLLLVMCVWIQNRRRYRRASGYLPTTQIPAFSRDIKEVPVEKSIKDDSALLRIYDGYSDNDSNKGADISKLEHGDSNSDSDSFRYVEKDSSSKPAEAGSTGIVDVNRQHSAHPLVAPSQFAGLPEFSHLGWGHWFTLRDLQIATKWFSKDNVLGEGGYGIVYRGQLVNGTPVAIKRLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMRERGSLTWDARMKIILGTAKALAYLHDAVEPKVVHRDIKSSNILIDEDFNAKVSDFGLAKLLGAGKSHIATRVMGTFGYVAPEYANTGFLNEKSDIYSFGIVFLEAITGRDPVDYRRPPDEVNLVDWLKWMIGNRRSEEVVDPGIVTRPSTKALKKALLTALRCVDPDSEKRPTMGRVVQMLEPDNPRPHQDQKHRHERAGEIEVELQRKNSDTKTKPDFKSNRKKGNGEK >Ma08_p26770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39228127:39229267:1 gene:Ma08_g26770 transcript:Ma08_t26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVVVFDFDKTIIDCDSDNWVVDHLGGTQLFDELLKTMPWNSAMDRMMGEFHSQGRTIEEIEESLRRAPLPANTIAAIKSAYSLECELRIVSDANRFFINTILEHHKLMSYFSEINTNPGYVDEVGRLRIFPHHDFETSPHGCSLCPPNMCKSTIIERMQASPLVEGRKRFIYLGDGKGDYCPSLRLNKEDYVMPRKKYPLWELIVDNPPEGALRASIHEWSDAEELEKVLLRLINESVTADRGNVDNQLVSVDCKFQTTPLSSHEDNLPNPLPVPH >Ma05_p05450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4130272:4137750:-1 gene:Ma05_g05450 transcript:Ma05_t05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAPRRTAHELLEETRTAMEEVVSGMLLIKKEGRPKSELRELITEMSLNFIAFRQVNRSILMEEDRIKAETDGAKGPVDTTTLQLHNLMYEKNHYLKAIKACKDFRSKYPDIELVAEEEFFSSAPEDIKGKVLANDGAHDLMLKRLNFELFQRKELCKLHEKLEQHKSSLLDTITSRKKFLSSLPSHLKSLKKASLPVQQQLGILHTKKLKQHHAAELLPPPLYIVYSQLLAQKEAFGEKIEMEILGSIKDAQTFALQHINKENGMSSNPENNRVEDDAPDEEEDVQRRRKRPKKNVMKDSVEQAGVYQLHPLKIILHIYDDEDCRAKPSRLITLKFEYLVKLNAVCVGVDDAEEGSDSSILSNLFPDDTGLELPHQMAKLYSGDSLAFGERRTSHPYKWAQHLAGIDFLPEVPPIHANGETLNPELVKASDVTSGLAVYRHQNRVHTILQRIRSRKKAQMALVEQLDSLMKLKWPLLACENVPWALHTPLCTLQSWSPAGLIPDSSFSVGMVGEATNFVDMDLDRRSVTSWEVESAREDGELPTALPVATMSVNSSLGLPNESFQHAEHSRSLALISKNVTPTKMVKTRSFSKYEDELERILDSESDLEEQACVDQLTENMTSIVCKPWEDHAAREFDLVLSRTCGNDRIVKLNAKVKISVEYPLRPPIFTLSTDSGHCNLYNVLRAMEAEVNLHILKILQLDHENYILAHQIQCLVMLFDFHFDLHDEKRKNTSVIDVGLCKPVSGTILARSVRGRDRRKMISWNGTDCIPGYPS >Ma05_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4130272:4137750:-1 gene:Ma05_g05450 transcript:Ma05_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAPRRTAHELLEETRTAMEEVVSGMLLIKKEGRPKSELRELITEMSLNFIAFRQVNRSILMEEDRIKAETDGAKGPVDTTTLQLHNLMYEKNHYLKAIKACKDFRSKYPDIELVAEEEFFSSAPEDIKGKVLANDGAHDLMLKRLNFELFQRKELCKLHEKLEQHKSSLLDTITSRKKFLSSLPSHLKSLKKASLPVQQQLGILHTKKLKQHHAAELLPPPLYIVYSQLLAQKEAFGEKIEMEILGSIKDAQTFALQHINKENGMSSNPENNRVEDDAPDEEEDVQRRRKRPKKNVMKDSVEQAGVYQLHPLKIILHIYDDEDCRAKPSRLITLKFEYLVKLNAVCVGVDDAEEGSDSSILSNLFPDDTGLELPHQMAKLYSGDSLAFGERRTSHPYKWAQHLAGIDFLPEVPPIHANGETLNPELVKASDVTSGLAVYRHQNRVHTILQRIRSRKKAQMALVEQLDSLMKLKWPLLACENVPWALHTPLCTLQSWSPAGLIPDSSFSVGMVGEATNFVDMDLDRRSVTSWEVESAREDGELPTALPVATMSVNSSLGLPNESFQHAEHSRSLALISKNVTPTKMVKTRSFSKYEDELERILDSESDLEEQACVDQLTENMTSIVCKPWEDHAAREFDLVLSRTCGNDRIVKLNAKVKISVEYPLRPPIFTLSTDSGHCNLYNVLRAMEAEVNLHILKILQLDHENYILAHQIQCLVMLFDFHFDLHDEKRKNTSVIDVGLCKPVSGTILARSVRGRDRRKMISWNGTDCIPGYPS >Ma05_p05450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4130272:4137750:-1 gene:Ma05_g05450 transcript:Ma05_t05450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAPRRTAHELLEETRTAMEEVVSGMLLIKKEGRPKSELRELITEMSLNFIAFRQVNRSILMEEDRIKAETDGAKGPVDTTTLQLHNLMYEKNHYLKAIKACKDFRSKYPDIELVAEEEFFSSAPEDIKGKVLANDGAHDLMLKRLNFELFQRKELCKLHEKLEQHKSSLLDTITSRKKFLSSLPSHLKSLKKASLPVQQQLGILHTKKLKQHHAAELLPPPLYIVYSQLLAQKEAFGEKIEMEILGSIKDAQTFALQHINKENGMSSNPENNRVEDDAPDEEEDVQRRRKRPKKNVMKDSVEQAGVYQLHPLKIILHIYDDEDCRAKPSRLITLKFEYLVKLNAVCVGVDDAEEGSDSSILSNLFPDDTGLELPHQMAKLYSGDSLAFGERRTSHPYKWAQHLAGIDFLPEVPPIHANGETLNPELVKASDVTSGLAVYRHQNRVHTILQRIRSRKKAQMALVEQLDSLMKLKWPLLACENVPWALHTPLCTLQSWSPAGLIPDSSFSVGMVGEATNFVDMDLDRRSVTSWEVESAREDGELPTALPVATMSVNSSLGLPNESFQHAEHSRSLALISKNVTPTKMVKTRSFSKYEDELERILDSESDLEEQACVDQLTENMTSIVCKPWEDHAAREFDLVLSRTCGNDRIVKLNAKVKISVEYPLRPPIFTLSTDSGHCNLYNVLRAMEAEVNLHILKILQLDHENYILAHQIQCLVMLFDFHFDLHDEKRKNTSVIDVGLCKPVSGTILARSVRGRDRRKMISWNGTDCIPGQTNTIKS >Ma09_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33059004:33062445:1 gene:Ma09_g21620 transcript:Ma09_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKENRTTLAFRVGVILDNETWVGNVSWACMSMAMDDFYSTHPNYTTRVALIGKDSKEDVVSAAAAAVELLWNDKVQAIIGPQTSVQAKFVAELGNKSQTPIISFSATSPFLASSRTPYFVRTALSDSSQAQAIAALVRFFGWRQLIPIFEDTDYGTGMIPYLVDAFQATDERVPYRSMVPLMANDDQILRELYSLKTMGTRVFVVHASDALTTRLLLKAREADMMQEGYAWIVTYGLTDRFGVLDASAIDAMHGVLTVKPYVMGSARNGEFSTRWSERLRRDHPTDKPVQHPSVYALWAYDTAWAMALAAESVALASRTPSTSPSPYLNTAAKNSSLTELKKLEFSPNGHRLLESMQGVKFDGISGKFELKDGQLESSPFEIINVVGSATKRVGFWTTEHGVSGDLNSKANLESVVWPGNALAAPKGIDWETGGKKLRIGVPLKKGFSEFVNREWNPLTRRNVSGFCIEVFDLVMASLPYDVPYEYIPYEDNNGEMKGSYSDLVYEVYLQNFDAVVGDVTITPNRSLYVDFSVPFTEVGMSMVVPVKDDRGRSAWIFLKPLTTELWLAIGAFFIFTGLVVWVLEHRVNDSFRGPPLHQLGTIFYFSFSTLVFAHKEKVTSNLTRVVVIIWVFVVLILTSSYTASLTSMLTVQQLHPTVTNLHDLIRNGEYIGYMGDPSMLHLLNIDKSKLRRYESPDEYDDALSKGSAKGGVGAIIDEIPYIKVFISKYCGKYTMVGNIYRTEGFGFVFHKGSPFVPEISRAILKVTADVEKKLYRNRTTCPEQNGAATSDSLTFNCFWGLFLITGTTSVLALFLFSAFFLYEHRHMLSTSTDSDGSSVWQRFVLMVKSFDRKDYSSYALRRSCLKVEEMKAAHDHSGRSYATSNSHSTSDLSSGSP >Ma07_p22290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30290550:30293887:-1 gene:Ma07_g22290 transcript:Ma07_t22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWSAVLLVVAVAFAAAAEASKSKPKVCNKGWECSGSIYCCNETISDYFKVYQFENLFSKRNSPVAHAVGFWDYQSFILAASVYEPLGFGTTGDKVTKMQEVSAFLGHVGSKTSCGYGVATGGPLAWGLCYNHEMSPSQDYCDPNYLYPCVDGVQYYGRGALPVYWNYNYGLLGDAIKADLLNHPEYLEQNATLAFQAAMWRWMTPIKKKQPSAHDVFVGNWKPTKNDTLSKRLPGFGLTMNILYGDLICGQGFIDPMNNIISHYQYYLDLMGVGRGNSGDNVDCAEQVVFNPSYKADTAT >Ma08_p27530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39734676:39739034:1 gene:Ma08_g27530 transcript:Ma08_t27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDPAAMGPGEVASRSPLDKPFADLTDEDIAQLTREDCRRFLKAKGMRRPSWNKSQAIQQVISLKALFEGRPGCDDSPAGGGILRKLPPVTSAPVSPPQNSPPPATEEGSGGGGSGAQPPAKEPSPYRRKDPFPPPFFAGDLTCSTPIAEPDSPHPPENRCFSPRTTADLPGEQMTIFYDGMINVYDDVSADQARAIMELAASPACFEDPTDPLPPARRPVFRLPPGPAPPFSRAFRIRATGRMPHRVAGGLEGRRVPRETEHEVPTSRKASLQRYLEKRKDRFKGKKILGGSTSSDMEMMYISQKLRCLNHSELPNLNETSFPSLSQPPQSPARCSSAENQSGDKYFIDLNDDSGGI >Ma09_p17530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13092459:13095876:1 gene:Ma09_g17530 transcript:Ma09_t17530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAASLLPNPPTPQPRPRLSGGFRHRTSERRRCSTLTVLSSSSSSSSSDAKEDLVTAAGRLLWGRGLPPQALVSAVRSGWSAAWHLMMRQLAPSSSAAAASPPGSYARPTSSFPSVPASYCRNPTNLHLYVALPCPWAHRVLVVRALKGLRSALPVSIAAPGLDGSWLFRPRGPSAVGEDLRPGPDRANARSTLREVYGLRRGGYDGRSTVPMLWDAERKEVVCNESYAIIEFLNSADFGGEVHGGSGLDLCPSELKNEIDDWNRVIYPNVNNGVYRCGFAQSQEAYDTAVNGLFNTLDMIESHLSTSRYLCGDALTLADVCLFTTLIRFDLVYNVLFKCTKKKLLEYPNLYGYTCDMYQIPEVVSTCNFEAIMDGYYCTLFPLNPGGILPVMPSACNHDLLSKPHNREALSSSGKQSIHASET >Ma09_p17530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13092459:13095876:1 gene:Ma09_g17530 transcript:Ma09_t17530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAASLLPNPPTPQPRPRLSGGFRHRTSERRRCSTLTVLSSSSSSSSSDAKEDLVTAAGRLLWGRGLPPQALVSAVRSGWSAAWHLMMRQLAPSSSAAAASPPGSYARPTSSFPSVPASYCRNPTNLHLYVALPCPWAHRVLVVRALKGLRSALPVSIAAPGLDGSWLFRPRGPSAVGEDLRPGPDRANARSTLREVYGLRRGGYDGRSTVPMLWDAERKEVVCNESYAIIEFLNSADFGGEVHGGSGLDLCPSELKNEIDDWNRVIYPNVNNGVYRCGFAQSQEAYDTAVNGLFNTLDMIESHLSTSRYLCGDALTLADVCLFTTLIRFDLVYNVLFKCTKKKLLEYPNLYGYTCDMYQIPEVVSTCNFEAIMDGYYCTLFPLNPGGILPVMPSACNHDLLSKPHNREALSSSGKQSIHASET >Ma09_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13092459:13095857:1 gene:Ma09_g17530 transcript:Ma09_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAASLLPNPPTPQPRPRLSGGFRHRTSERRRCSTLTVLSSSSSSSSSDAKEDLVTAAGRLLWGRGLPPQALVSAVRSGWSAAWHLMMRQLAPSSSAAAASPPGSYARPTSSFPSVPASYCRNPTNLHLYVALPCPWAHRVLVVRALKGLRSALPVSIAAPGLDGSWLFRPRGPSAVGEDLRPGPDRANARSTLREVYGLRRGGYDGRSTVPMLWDAERKEVVCNESYAIIEFLNSADFGGEVHGGSGLDLCPSELKNEIDDWNRVIYPNVNNGVYRCGFAQSQEAYDTAVNGLFNTLDMIESHLSTSRYLCGDALTLADVCLFTTLIRFDLVYNVLFKCTKKKLLEYPNLYGYTCDMYQIPEVVSTCNFEAIMDGYYCTLFPLNPGGILPVMPSACNHDLLSKPHNREALSSSGKQSIHASET >Ma07_p25370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32446199:32447122:-1 gene:Ma07_g25370 transcript:Ma07_t25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKVLRQLAPDGCHGYVSTVVGSSGEADALTDGSWMQAFGLAFLSINSLTALYRSRDDPWNAAFILASYLDLLALFLCLRLFDSPHPDSLKRRGALKLAVWTLSTTLVAMFSYRVAAIMPFPVAILVWCMSGLTISGSFYAFFVHQSQDDDSCGAPSKPCKVCDSSARWGVDSESGTPIRARDMDMPAKLSCSTYVRPVT >Ma08_p26510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39049070:39051922:1 gene:Ma08_g26510 transcript:Ma08_t26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKDSVQHGRAQYVNPTGDSAQVGRALLSWPPQRDGTERFSDRDGSPPRLTAAEKIVAALLLFSRLSVVPLKIGFSKSQYCKAYSEVVIYKFENYKLGISMILLSRLLKLVTYHAEYLSCLHSLMQCPW >Ma03_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17855228:17864044:-1 gene:Ma03_g16130 transcript:Ma03_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEAIETKMLRRRKRDQETIVYLASDWLTHIHLRQDQKLVSSSLVRETMASPAPSSFMTSGPPEKQQELIRSVFTVLSGRPDNVSNFVEADAIFGSGTRLVYKHLATLYFVFVFDGCENELPMLDLSQVPVETLDRCFKNVGELDIVFNFNKKAETGSTRFHVNYANVDFLFPDAYCPR >Ma06_p33680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34223997:34229119:1 gene:Ma06_g33680 transcript:Ma06_t33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIIKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYETTARSWTQRYAMG >Ma01_p06810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4897553:4912052:-1 gene:Ma01_g06810 transcript:Ma01_t06810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQIISTIYTYSARHHPVIMFKKPVEAKLSQRLSGADKKKLRRTAKDRFPLASDANIDEILPPKAEITVAKYSNKVHVYVIEGGLPMLFDIDGRGTEIYPTVYALWKVPELLPSFLLKGGEVSRYVIGGADLMFPGISIPPEGLPSFLAGQPWAVKVPGNPAPIAVGATTMSSSEAQRAGLRGKALRITHYYRDFLWQSAEGHYVPNAGFLVDVVMEDPNLLLISQPSDVHPDASNDEDDINVVESGPIATNIQGSTDVDASKNLDVGVQTSEEITADISGLKFSDSVTAEVQSDEKEQQTLSGEEIDALLDKCLLQALHTTVKDKDLPMPGSTLWSNHILPCRPAGIILDIKKSSYKKLSKWLQSKSSAGLISAKEDRYKKEVMLLGVNRGHSDYMSFKPGKRVQESAEQRHDNSGEGSQMKLQLQVVEVYKPSTHVNSIFTTLGADTGSFYSASDSSDIVFRYVEKENLVKPTDKAMVVLDATLCDALYKGSVKKGSAYPTEIHKKDLGSTFLSRMQVHHRVSKGNEVAVRKGAARPIQIMTERRQGNKKVTRVSGLETFLLDADSLATELQKKFACSTSVAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRYIEVLDKTKK >Ma01_p06810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4897553:4912052:-1 gene:Ma01_g06810 transcript:Ma01_t06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQIISTIYTYSARHHPVIMFKKPVEAKLSQRLSGADKKKLRRTAKDRFPLASDANIDEILPPKAEITVAKYSNKVHVYVIEGGLPMLFDIDGRGTEIYPTVYALWKVPELLPSFLLKGGEVSRYVIGGADLMFPGISIPPEGLPSFLAGQPWAVKVPGNPAPIAVGATTMSSSEAQRAGLRGKALRITHYYRDFLWQSAEGHYVPNAGFLVDVVMEDPNLLLISQPSDVHPDASNDEDDINVVESGPIATNIQGSTDVDASKNLDVGVQTSEEITADISGLKFSDSVTAEVQSDEKEQQTLSGEEIDALLDKCLLQALHTTVKDKDLPMPGSTLWSNHILPCRPAGIILDIKKSSYKKLSKWLQSKSSAGLISAKEDRYKKEVMLLGVNRGHSDYMSFKPGKRVQESAEQRHDNSGEGSQMKLQLQVVEVYKPSTHVNSIFTTLGADTGSFYSASDSSDIVFRYVEKENLVKPTDKAMVVLDATLCDALYKGSVKKGSAYPTEIHKKDLGSTFLSRMQVHHRVSKGNEVAVRKGAARPIQIMTERRQGNKKVTRVSGLETFLLDADSLATELQKKFACSTSVAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRYIEVLDKTKK >Ma01_p06810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4897553:4907976:-1 gene:Ma01_g06810 transcript:Ma01_t06810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKPVEAKLSQRLSGADKKKLRRTAKDRFPLASDANIDEILPPKAEITVAKYSNKVHVYVIEGGLPMLFDIDGRGTEIYPTVYALWKVPELLPSFLLKGGEVSRYVIGGADLMFPGISIPPEGLPSFLAGQPWAVKVPGNPAPIAVGATTMSSSEAQRAGLRGKALRITHYYRDFLWQSAEGHYVPNAGFLVDVVMEDPNLLLISQPSDVHPDASNDEDDINVVESGPIATNIQGSTDVDASKNLDVGVQTSEEITADISGLKFSDSVTAEVQSDEKEQQTLSGEEIDALLDKCLLQALHTTVKDKDLPMPGSTLWSNHILPCRPAGIILDIKKSSYKKLSKWLQSKSSAGLISAKEDRYKKEVMLLGVNRGHSDYMSFKPGKRVQESAEQRHDNSGEGSQMKLQLQVVEVYKPSTHVNSIFTTLGADTGSFYSASDSSDIVFRYVEKENLVKPTDKAMVVLDATLCDALYKGSVKKGSAYPTEIHKKDLGSTFLSRMQVHHRVSKGNEVAVRKGAARPIQIMTERRQGNKKVTRVSGLETFLLDADSLATELQKKFACSTSVAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRYIEVLDKTKK >Ma01_p06810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4897553:4907821:-1 gene:Ma01_g06810 transcript:Ma01_t06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKPVEAKLSQRLSGADKKKLRRTAKDRFPLASDANIDEILPPKAEITVAKYSNKVHVYVIEGGLPMLFDIDGRGTEIYPTVYALWKVPELLPSFLLKGGEVSRYVIGGADLMFPGISIPPEGLPSFLAGQPWAVKVPGNPAPIAVGATTMSSSEAQRAGLRGKALRITHYYRDFLWQSAEGHYVPNAGFLVDVVMEDPNLLLISQPSDVHPDASNDEDDINVVESGPIATNIQGSTDVDASKNLDVGVQTSEEITADISGLKFSDSVTAEVQSDEKEQQTLSGEEIDALLDKCLLQALHTTVKDKDLPMPGSTLWSNHILPCRPAGIILDIKKSSYKKLSKWLQSKSSAGLISAKEDRYKKEVMLLGVNRGHSDYMSFKPGKRVQESAEQRHDNSGEGSQMKLQLQVVEVYKPSTHVNSIFTTLGADTGSFYSASDSSDIVFRYVEKENLVKPTDKAMVVLDATLCDALYKGSVKKGSAYPTEIHKKDLGSTFLSRMQVHHRVSKGNEVAVRKGAARPIQIMTERRQGNKKVTRVSGLETFLLDADSLATELQKKFACSTSVAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRYIEVLDKTKK >Ma11_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9768719:9771982:1 gene:Ma11_g10360 transcript:Ma11_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRHEICKYLFQEGVLYAKKDYNLAKHQEIDVPNLQVIKLMQSFKSREYVRETFAWQHYYWYLTNDGIEYLRTYLNLPSEIVPATLKKSSRPPPRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGPPGDLGGDKGGAPLEFQPSFRGSGGRPGFGRGGGGYGAGPTSSME >Ma09_p30510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40603346:40606649:1 gene:Ma09_g30510 transcript:Ma09_t30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSSMPSHDEETVVASTFRRRNPSSDRQAKWLHEWNRIFLLICAAGLVVDPLFFYALSISSTCMCLFVDGWFAVTVTALRCMTDAVHLLNMCLQLAMTYDATPRSSVEEKDASAGRPMAHSQSYLRAKTGFLLDLFIILPVPQVVLWVVAPAMLCQGMTSTVMTVFLISFLSQYLPKVYHSVCFLRRLQNSLGYIFGNIWGGIALNLIAYFVASHAAGACWYLLGIQRATECLKQQCVATDGCRNRTVACVDPMYYGTTRAAMDGERLAWAKNLHVRSMCLDSSDNFEYGSYKWIVNLVTNPNRLEKVLFPIFWGLMTLSTFGTIESTTEWVEITFNIIIVTSALLLVTTLIGNIKVFLHATTSKKQALHSKMRSLDRWMRRRSLPFEIRRRVRQYERQRWAATRGVDESEMARRLPEGMRRDIKYHLCLDLVRQVPLFQHMDELVLENICDRVKSLLIPKGETVIREGDPVPRMLFVVRGHLQSSQVLRDGVKSYCMLGPGNFSGDELLSWCLIRKFVERLPPSSSTLVAAESTEAFGLDADDLKYVTEHFRYTFVSERVKRSARYYSPGWRTWAAVAIQLAWWRYKHRLTLTSLSFIRPRRPPSRCSSMEEDKLRLYTALLTSPKPNRDDFTV >Ma02_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21395519:21409396:1 gene:Ma02_g12780 transcript:Ma02_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVELLLLPLTLSLLGSTKVESASTEKHFTLPSNCTNRCGNISIEYPFGIGHGCYLPGFNLTCTNYTDQPPRLSLGDGILEVTRIDLDTGTVRVKTPIVTLSVAEEFIAAPLIDLQDWPYSLTSLEQEIQNFYTIDTYNRLYVSGCSVVADLVDPTTNKTIGTCITRCTSTDNRQCSLSLYYWNSTSLEVRLTRLNQSDLHLLNASSIKVFVYDGYNATKDDLQGIVQGRGSEVETALSWYIKDYPTCEEAKKNMETFACSNPNSDCYDLLNYGYTNYNIGYICRCSLNYKGNPYLANGCEDASLTLVPSKGCRAKCGGVNISFPFGLSKGCFRSQSFALTCNTTFNPPILFFMGNYMVRNISLEEGQLEFSAPNRTTDSSYIDDNYYYFDETGPFTPLEQLSTFSWVIESKNCEEAKTNMTTFACVDKNSSCVDVPKTSTKDIQGYRCKCQNGYQGNPYIADGCKDIDECSGPNNNVCNGICRNTIGGYECLPDKKQTVLLGVIIGVSLGSGLLLLSISFIILRRKWKIRKQKKIRERHFHQNHGLLLQQLISSDEDVDERTKIFSLEEIEKATNNFDETRVLGRGGHGTVYKGILSDQRVVAIKKSKIVKKSEIDQFINEVAILSQIKHRNIVRLFGCCLETEVPLLVYEFISNGTLADHLHVSDGNSVLSWEARLRIAAETAGALAYLHSAASISILHRDVKSSNILLDDHFRAKVSDFGASRFIPLDETHITTVIQGTFGYLDPEYYQTSQLTEKSDVYSFGVILLELLTGKKPVFSIEHENRQNLSMYFLQAMREKHSFDLVEERIMKEGTKQECCCTLYLILFSFPGIHKCLSDVNPKANLKPNKEPIVLLKDHRMQQLDVIRHIIR >Ma09_p26860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38023753:38024331:1 gene:Ma09_g26860 transcript:Ma09_t26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIMVVVFIALLLLSLTHAGRPNPVDPKKSSLQAVEKEMGGGRMEGCEGAGEDECLVRRTLAAHTDYIYTQEHH >Ma00_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:15318044:15318716:-1 gene:Ma00_g02100 transcript:Ma00_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHINVMRIIRILDSDRRRCINELCTLIQTPGVVIVLVINDSRTIVNT >Ma08_p25910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38586338:38592757:1 gene:Ma08_g25910 transcript:Ma08_t25910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRDAHAGSWYTGNASKLDEELDGWLKATGLTKSSNVRGIIAPHAGYSYSGRCAAFAFANIDPASISRVFLLGPSHHYYTPKCALTRATIYRTPLGDLPVDLEVNDELQATGKFELMDLRVDEAEHSMEMHLPYLAKVFNGYPVKVVPILVGAINAENESMYGRLLAKYVDDRKNFFSVSSDFCHWGSRFNYTHYDAKHGTIYESIEVLDHMGMDIIETGDANAFKGYLQQYGNTICGRRPITVFLHMLKNCSTKIKIDFLQYEQSSQCKSKRDYSVSYASAAAKMES >Ma08_p25910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38586332:38592644:1 gene:Ma08_g25910 transcript:Ma08_t25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEASLPRMLDTRIRVVVQHLHLLTLTLQAFHEYFYLVLHTIITLLSVPLQEPPYTGHLWVTCRLIWKSMMSSRLQESLNSWIFVLMKQNIAWRCICLILLKYLMENESMYGRLLAKYVDDRKNFFSVSSDFCHWGSRFNYTHYDAKHGTIYESIEVLDHMGMDIIETGDANAFKGYLQQYGNTICGRRPITVFLHMLKNCSTKIKIDFLQYEQSSQCKSKRDYSVSYASAAAKMES >Ma10_p14950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27210244:27223227:1 gene:Ma10_g14950 transcript:Ma10_t14950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein [Source:Projected from Arabidopsis thaliana (AT4G32730) UniProtKB/TrEMBL;Acc:F4JV48] MTSDNGKSAPKGEAVTSRAAHGASGDEFLRQRSLNGRITGPTRRSTKGQWTAEEDAILYRAVQSFKGKHWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIDMVKKFGPKKWSAIAQALPGRIGKQCRERWHNHLNPAINKEPWTQEEEIALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKINLYLASGLLSEFQGLPHAKSPAQVVNRQNGSANGLKDRLEVEDSTECSQTSIANVGCSQSDENEKLANAASVDDDMNLDGDISRKDIQDSHLSICMNDYYAYMEDFARAVPEEQSKVSASANLLLTEEVSEKIAEQASKYDLPNNSTVEISQKSPGLTEASEYHSVCRTNNENGSVSCSKFSDLKFPNFVSDNDSAYEKQSDLLVCETGCFSNYLSGLDVLQGGIEECPIEFDDSSFGNLDYYSGTICQNSFSSEACKSLTSCPNPVSSSGMLGISYDDNLVAVLPHSCGSDGRLYRNSTLETRDISVEAHDSDVIICSYDGIAYSSCSSLCPSDSSKSKFFLPEDKRQEIGAPKQTNTVMMVSATPNTNHKAMSSDENLSVQSADLSDSGALFYEPPCFPSLEIPFISCDLISSGDPQQAYSPLGIRQLMMSSVNCSKPYSLWDSPSHDESPNAHLKGAVKSFMYTPSILKKRQREMSSPIPEQRTDKKPGKDMARVSLCSSPVNDAENSFMVNVNDEIIFNEIPPGYAEGGFSNPSDKQHKVPVLGDEDKENLCQSSCYATAGNVVMEAKTPANSYGKMASFTSATSAASKVDTGASKWRPPRILVECNANNKVFFSPRGTRYPTNGSLNVGAKALKVHTLRSSENASNFGQLDDCAESLFDVSAFFSPRVSENKDSHCVSIISVQSDPSTHPSFAVEKCSSTVDDDIRHLNIFVDTPGVKRGIESPSAWKSPWFVNSLLPVHGIGTDTAFEDMGYLMSPGDQSYDAIGLMRQLSEHTAAAVAEAQEVLISGSPVRACNGPQSDNKKFSDENADPADKELGNYHMPSKIMTEARVLDFSGCGTPVNKRSENVKAGNTETPISLSSQSSYLMKVCR >Ma10_p14950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27210210:27223227:1 gene:Ma10_g14950 transcript:Ma10_t14950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein [Source:Projected from Arabidopsis thaliana (AT4G32730) UniProtKB/TrEMBL;Acc:F4JV48] MTSDNGKSAPKGEAVTSRAAHGASGDEFLRQRSLNGRITGPTRRSTKGQWTAEEDAILYRAVQSFKGKHWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIDMVKKFGPKKWSAIAQALPGRIGKQCRERWHNHLNPAINKEPWTQEEEIALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKINLYLASGLLSEFQGLPHAKSPAQVVNRQNGSANGLKDRLEVEDSTECSQTSIANVGCSQSDENEKLANAASVDDDMNLDGDISRKDIQDSHLSICMNDYYAYMEDFARAVPEEQSKVSASANLLLTEEVSEKIAEQASKYDLPNNSTVEISQKSPGLTEASEYHSVCRTNNENGSVSCSKFSDLKFPNFVSDNDSAYEKQSDLLVCETGCFSNYLSGLDVLQGGIEECPIEFDDSSFGNLDYYSGTICQNSFSSEACKSLTSCPNPVSSSGMLGISYDDNLVAVLPHSCGSDGRLYRNSTLETRDISVEAHDSDVIICSYDGIAYSSCSSLCPSDSSKSKFFLPEDKRQEIGAPKQTNTVMMVSATPNTNHKAMSSDENLSVQSADLSDSGALFYEPPCFPSLEIPFISCDLISSGDPQQAYSPLGIRQLMMSSVNCSKPYSLWDSPSHDESPNAHLKGAVKSFMYTPSILKKRQREMSSPIPEQRTDKKPGYAEGGFSNPSDKQHKVPVLGDEDKENLCQSSCYATAGNVVMEAKTPANSYGKMASFTSATSAASKVDTGASKWRPPRILVECNANNKVFFSPRGTRYPTNGSLNVGAKALKVHTLRSSENASNFGQLDDCAESLFDVSAFFSPRVSENKDSHCVSIISVQSDPSTHPSFAVEKCSSTVDDDIRHLNIFVDTPGVKRGIESPSAWKSPWFVNSLLPVHGIGTDTAFEDMGYLMSPGDQSYDAIGLMRQLSEHTAAAVAEAQEVLISGSPVRACNGPQSDNKKFSDENADPADKELGNYHMPSKIMTEARVLDFSGCGTPVNKRSENVKAGNTETPISLSSQSSYLMKVCR >Ma10_p14950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27210210:27223227:1 gene:Ma10_g14950 transcript:Ma10_t14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein [Source:Projected from Arabidopsis thaliana (AT4G32730) UniProtKB/TrEMBL;Acc:F4JV48] MTSDNGKSAPKGEAVTSRAAHGASGDEFLRQRSLNGRITGPTRRSTKGQWTAEEDAILYRAVQSFKGKHWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIDMVKKFGPKKWSAIAQALPGRIGKQCRERWHNHLNPAINKEPWTQEEEIALIHAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKINLYLASGLLSEFQGLPHAKSPAQVVNRQNGSANGLKDRLEVEDSTECSQTSIANVGCSQSDENEKLANAASVDDDMNLDGDISRKDIQDSHLSICMNDYYAYMEDFARAVPEEQSKVSASANLLLTEEVSEKIAEQASKYDLPNNSTVEISQKSPGLTEASEYHSVCRTNNENGSVSCSKFSDLKFPNFVSDNDSAYEKQSDLLVCETGCFSNYLSGLDVLQGGIEECPIEFDDSSFGNLDYYSGTICQNSFSSEACKSLTSCPNPVSSSGMLGISYDDNLVAVLPHSCGSDGRLYRNSTLETRDISVEAHDSDVIICSYDGIAYSSCSSLCPSDSSKSKFFLPEDKRQEIGAPKQTNTVMMVSATPNTNHKAMSSDENLSVQSADLSDSGALFYEPPCFPSLEIPFISCDLISSGDPQQAYSPLGIRQLMMSSVNCSKPYSLWDSPSHDESPNAHLKGAVKSFMYTPSILKKRQREMSSPIPEQRTDKKPGKDMARVSLCSSPVNDAENSFMVNVNDEIIFNEIPPGYAEGGFSNPSDKQHKVPVLGDEDKENLCQSSCYATAGNVVMEAKTPANSYGKMASFTSATSAASKVDTGASKWRPPRILVECNANNKVFFSPRGTRYPTNGSLNVGAKALKVHTLRSSENASNFGQLDDCAESLFDVSAFFSPRVSENKDSHCVSIISVQSDPSTHPSFAVEKCSSTVDDDIRHLNIFVDTPGVKRGIESPSAWKSPWFVNSLLPVHGIGTDTAFEDMGYLMSPGDQSYDAIGLMRQLSEHTAAAVAEAQEVLISGSPVRACNGPQSDNKKFSDENADPADKELGNYHMPSKIMTEARVLDFSGCGTPVNKRSENVKAGNTETPISLSSQSSYLMKVCR >Ma03_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1927800:1928562:1 gene:Ma03_g02850 transcript:Ma03_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWFSHLTDIDSKEEEAVAVMAAASPPTPTTSSSPQVRQLSCTKCFVALWFCYRTTSMF >Ma10_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29234592:29239902:-1 gene:Ma10_g18200 transcript:Ma10_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNSCFHDFWNLYHLQSVYKNWCKVVYYTIFSWLYGSVGSCAHLAMVNSSWAKSHIDCLWKIPPLTKRVYPPCDTSSLQPIIFNFDVLFLYKTNFRELSFTRMLPLGKPVRSPVIISVAQFRPEKAHSLQLEAFAQSVGILDQDMPRPKLQFVGSCRNKQDEERLQKLKERRRELNLDNFEEFRRDAANREYQRSSERGNKKVLDQSNQHFGISVVEYMASGVVPIAHNSAGPKMDIILNECGRKTGFLASNKVEYTEAILKVIKMAEAERLAIAAVARKHAQRFSEQKFLEDFKAAVQPILATKSSS >Ma01_p05340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3750555:3763996:-1 gene:Ma01_g05340 transcript:Ma01_t05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLMKRKLQATAKAFQAEAKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAASYIETQHLKAREQQQQQPSQQQQIQIQQLLLQRQAQQQHQQQQQQQQPQRREVAQLLNGNASGLVGTDPLMRQNPGTANALATKMYEERLKLPLQRDSLDDASIKRFGENVGQVMDPNHASMLKSAATPGQPSGHILHGSAGGLSGPLQQDIKNEINPVLNPRAAGPDGSLIGVPAGPTQAGNNLTLKGWPLTGLEQLRSGLLQKSFMQSPQSFQQLQFLSPQQQQLLLQAQQNLTAPSAADMDNRRLRMLLNNRNMVLGKDGQTNSLNDAIPNVGSPMQTPSPLMSRADSDLLMKKIAQLQPQQQVSNQQQQLQQHALSSQQSQNSGHLLHQEKLGTASMAVDGSMPHSFRGTDQASNNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMTQLQHNGSSSKPLIMFGDGPGALASPTNQLADMDRFVEDASLDDNVESFLSHDDADPRDVVGRSMDAAKGFRFAEFNAARASTNKVVCCHFSSDGKLLATGGHDKKAVLWHADTLKPKSTLEEHTLLITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFTGHSASVMSLDFHPSKEDLICSCDGDGEMRYWSINNGNCARVFTGGTTQMRFQPRHGRYIAAAAENAICVLDVETQVRRHLLQGHTKHVDSICWNPSGDILASVSEDSVRVWSLGSGNDSECVHELSCIGNKFHSCVFLPNYPSLLVIGCYQSLEIWDMKENKTMMLPAHDGLIAALAVSNATGVVASASHDKCVKLWK >Ma01_p05340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3750555:3763996:-1 gene:Ma01_g05340 transcript:Ma01_t05340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLMKRKLQATAKAFQAEAKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAASYIETQHLKAREQQQQQPSQQQQIQIQQLLLQRQAQQQHQQQQQQQQPQRREVAQLLNGNASGLVGTDPLMRQNPGTANALATKMYEERLKLPLQRDSLDDASIKRFGENVGQVMDPNHASMLKSAATPGQPSGHILHGSAGGLSGPLQQVQARNQQLPVSAQDIKNEINPVLNPRAAGPDGSLIGVPAGPTQAGNNLTLKGWPLTGLEQLRSGLLQKSFMQSPQSFQQLQFLSPQQQQLLLQAQQNLTAPSAADMDNRRLRMLLNNRNMVLGKDGQTNSLNDAIPNVGSPMQTPSPLMSRADSDLLMKKIAQLQPQQQVSNQQQQLQQHALSSQQSQNSGHLLHQEKLGTASMAVDGSMPHSFRGTDQASNNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMTQLQHNGSSSKPLIMFGDGPGALASPTNQLADMDRFVEDASLDDNVESFLSHDDADPRDVVGRSMDAAKGFRFAEFNAARASTNKVVCCHFSSDGKLLATGGHDKKAVLWHADTLKPKSTLEEHTLLITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFTGHSASVMSLDFHPSKEDLICSCDGDGEMRYWSINNGNCARVFTGGTTQMRFQPRHGRYIAAAAENAICVLDVETQVRRHLLQGHTKHVDSICWNPSGDILASVSEDSVRVWSLGSGNDSECVHELSCIGNKFHSCVFLPNYPSLLVIGCYQSLEIWDMKENKTMMLPAHDGLIAALAVSNATGVVASASHDKCVKLWK >Ma01_p05340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3750555:3763996:-1 gene:Ma01_g05340 transcript:Ma01_t05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLMKRKLQATAKAFQAEAKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAASYIETQHLKAREQQQQQPSQQQQIQIQQLLLQRQAQQQHQQQQQQQQPQRREVAQLLNGNASGLVGTDPLMRQNPGTANALATKMYEERLKLPLQRDSLDDASIKRFGENVGQVMDPNHASMLKSAATPGQPSGHILHGSAGGLSGPLQQVQARNQQLPVSAQDIKNEINPVLNPRAAGPDGSLIGVPGPTQAGNNLTLKGWPLTGLEQLRSGLLQKSFMQSPQSFQQLQFLSPQQQQLLLQAQQNLTAPSAADMDNRRLRMLLNNRNMVLGKDGQTNSLNDAIPNVGSPMQTPSPLMSRADSDLLMKKIAQLQPQQQVSNQQQQLQQHALSSQQSQNSGHLLHQEKLGTASMAVDGSMPHSFRGTDQASNNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMTQLQHNGSSSKPLIMFGDGPGALASPTNQLADMDRFVEDASLDDNVESFLSHDDADPRDVVGRSMDAAKGFRFAEFNAARASTNKVVCCHFSSDGKLLATGGHDKKAVLWHADTLKPKSTLEEHTLLITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFTGHSASVMSLDFHPSKEDLICSCDGDGEMRYWSINNGNCARVFTGGTTQMRFQPRHGRYIAAAAENAICVLDVETQVRRHLLQGHTKHVDSICWNPSGDILASVSEDSVRVWSLGSGNDSECVHELSCIGNKFHSCVFLPNYPSLLVIGCYQSLEIWDMKENKTMMLPAHDGLIAALAVSNATGVVASASHDKCVKLWK >Ma11_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4916023:4920668:1 gene:Ma11_g06150 transcript:Ma11_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSKNAAASSSSGGVRKLRSTGVRVFNSSFCFGLSSIQKIEENGRPKHTVCAEVASKSSGGNDDGCDKVELACHGKLPCSHSSDGEQCGGDNGGIEQIDLVPSSNSETNAVADASSNTSVRSCSHLNFVPDDINFRLNRAVSLGSSESHPLFSAAVSDLRSDAGEHALVNLHSSMNRNDTQSLEPSSSQDAARINAGRDATISLYSNRSFVESRNTRHSHRRFGPEEPLEGSIRFSRTLSVGRLRNRVLRRTPFSDGLFGPAFLEDRPVWSSEQASGRQTSGGAGRAPSSSRRTSELLPDSSSSVPYQIARTMDTNDDDASDTQRRVGNHDVFEHRSAFLERRRRIRSQVRALQRLGSRFESLLGHDRSCILSGQHRTGHCTCRTNNQTANPDDNTSTRASISRIVMLAEALFEVLDEIHQQSVVLSSRPSFSSIGSVPAPKEVVECFPVKIYRKPSKTQNEEVAQCYICLVEYEEGDCMRMLPCNHEFHRTCIDKWLKEIHRVCPLCRRDVCTIDASSK >Ma03_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27042518:27048238:-1 gene:Ma03_g22260 transcript:Ma03_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEEERKEGMRMGKQEDREIEMLLNEIPRATSPHLYHHRLFACGGDGDMHARHGMCLGIRDVDGYGCWCGSGHGHGHGHGGGHACLLPPPHPPSEGSSSSSSGSGLLSGRLSPTVDEQTQQRLPSAAKDRMLVELGLLDKLNDIHLGTDPRAPNGLPPMPASMEENIPTFPSLFQTKYRDDYSAYGHSLNPNPLLFDVDKNRYLSQLQQHCPVEANLVEPWLESFPYGSTGLGADGALGRDSHYRGSFAGGLASSMNRSYPISDIFMQSGKIRMDCNWDGNALAASRLPHNFLVSNGRPQSVRIQRNIEAVGSEGSLVIQDKLPHHMRNQWNHLLRENKVSKFDEELNAQRIPVKLPTFPLKYDNLMGFKGRTYYIAKDQHGCRSLQRKLDEGKHQVDMIFDGVIEHVVELMMDPFGNYLMQKLLEVCSEEQLMQILFVLKEDPTNLVRISLNIHGTRSVQKLIETLKTRQQIALVISAMQHGILDLIKDLNGSHVLQRCLESFKPEDNKFIFDAAAKHCVDIATHRHGCCVLQKCIASSIGEDKAKLVSEISANGYELARDPFGNYVVQYIVDLNNPLASAKLVSQFEGKYVQLSVQKFSSNVVEKCLRVFGEDARATIITELLSVSHFEQLLQDPYANYVIRSALENSKGSLYAALEEAILPHEVMLRTNPYSKRIFSRLRLKK >Ma08_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15535148:15537554:-1 gene:Ma08_g15460 transcript:Ma08_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTIRSSTSFMAPVDSKTHRASDELPGRLAFDVRKPAGRLRTLKASRQDVIERGTLLQHTEQAAELLHGPPTAHGDPGAGTSRVPVYVMLPLDTVSLAGGLTRARALNASLMALRSAGVEGVMVDVWWGLAEKDGSLRYNWEAYAELVQMVERNGLKLQMVMSFHQCGGNVGDNCSIPLPPWVQEERSRNPDIVYADRSGRRNPEYISLGCDMLPVLRGRTPIQVYSDYMRSFRDRFRDHLGRVIVEIQVGMGPCGELRYPSYPANNATWRFPGIGEFQCYDKYMKASLQAAAVSVGREEWGNGGPHDAGHYNQFPDDTGFFRREGTWNTDYGKFFLEWYSSKLLEHGDRVLAAAHAIFHGTGAKLSGKVAGIHWHYRTRSHAAELTAGYYNTRHRDGYLPVAKMMAMRGVILNFTCMEMKDEQQPGHAGCSPELLVRQVKQATAAAGAELAGENALERYDGSAYSQVLATSRGGDGMGLTAFTYLRMTKKLFEGENWRQLVAFVKSMSEGGRSVVLPKSDTARSDLYVGFVAGANRKPKVVDAAVRR >Ma11_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26292565:26295146:-1 gene:Ma11_g22550 transcript:Ma11_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCSWRALILVFFLLPLLTQGRTRHYKFNVVAKNFTRLCSPKSIITVNGRFPGPTLYAREGDTVIVKVANHVQENVTIHWHGVRQLTTGWADGPAYVTQCPIQPGQNYAYNFTLTGQRGTLLWHAHIMWQRATVHGAIVILPKRGVPYPFPAPHKEVVLVLAEWWKSDVEAVINEALQSGLGPNVSDAHTINGHAGPTSGCASSARDGFTLRVDQRKTYLLRIINAALNDDLFFKVAGHLMTVVEVDATYTKPFTIDTLLIAPGQTTNVLLTADQGAGRYLVTASPFMDSPLVAVDNSTGTATVQYTDAVSTSAIATTKLPPRNSTSLASQFIDSLRSLNSKQYPANVPSTVDHSLLFTVGLGVNPCPACTNGSRVVAAMNNVTFMMPTTALLQAHYFNMSGVFTNDFPGQPLIAFNYTGSGPNNTQTMNGTRLYRLPYNASVQLVLQDTGIIGPESHPIHLHGYNFFVVGRGVGNYDPATSPSKFNLVDPIERNTMAVPAAGWTAIRFRADNPGVWFLHCHFEVHTTWGLKMAFVVEDGKGPNESLQPPPKDLPAC >Ma11_p20650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25051195:25053705:-1 gene:Ma11_g20650 transcript:Ma11_t20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAALASSRIPSNTRFHCKANTSFSKRLEVAEFSGLRSSTSLTFATHGREASFSDVLASQLSTKTARAVPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVLVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKPIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYHHEASNIVSNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVAKKGMTAEDVNNAFRKAAQGPLKGILAVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGVPAQGSGDPLEDFCQTNPETKECKVYEA >Ma11_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25051195:25053719:-1 gene:Ma11_g20650 transcript:Ma11_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAALASSRIPSNTRFHCKANTSFSKRLEVAEFSGLRSSTSLTFATHGREASFSDVLASQLSTKLQTARAVPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVLVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKPIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYHHEASNIVSNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVAKKGMTAEDVNNAFRKAAQGPLKGILAVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGVPAQGSGDPLEDFCQTNPETKECKVYEA >Ma09_p06540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4200978:4202724:-1 gene:Ma09_g06540 transcript:Ma09_t06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSASTGYSRSRGAPAFDHYIGTWETGLVDPEADVPVDVTCSPRTCESLGGRRAIVPPILIGRRSAPTRCRYSGTGYFFDKPQKVEPRGTVGLSCMSHGHYLQCFYTHKGQRGNGGGTLKCMGRRGMLTAWCRVDLVLGGADDPTDGEEAETPFCGLACCSSPTCPGTAAVICQKSNTDMYILETVGDGGQRQLLIQYETRNTKH >Ma01_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11861778:11864169:1 gene:Ma01_g16370 transcript:Ma01_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKLLWLLTLTIFFHSRSLHGNSEGRLASPSNSCFVDDAEECDATIHGFNGSIVGFPGSLFRPLPSRGVFNVEDYGAKGDGTDDSQAFGEAWRAACNSSSSAVLLVPENNKYILKPVAFSGPCRAHMTVMIKGTLEASSNRSDWNVDNIRHWILFDGIRNLVVRGGGTVDGNGHVWWQHSCKRNTSLPCIDAPTALFFSSCKNLVVEDLVVKDSQQMHVAFRRCTNVKASKLTISAPESSPNTDGIHVSGTKSILIKDTIIETGDDCISIVSGSRRVIATRIVCGPGHGISIGSLGANNTRAHVSKVLVDKVILKGTTNGVRIKTWQGGHGYAKHIIFQNVFMHDVQNPIIINQNYCDSRIPCHEQNSAVAVSRVLYRNIKGTSASELAMEFDCSRSHPCRHIVLQEIELVGEGGGPAASLCRYFKWEEIGKIIPAPCA >Ma07_p04970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3627943:3632587:1 gene:Ma07_g04970 transcript:Ma07_t04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVPQFKPPPTSDAHSRLSLPSLHPKARESVWSQVRCVLSPKTALIDFEQIPKLEARSTSLSTCAIKPLTYTGAVGLPTKTSFEATWASEALLTNEEAVIAAAAAEAVALARAALELAKDAAQMIRKSPSAEVENVNLSKTEWTGMTNHSVATETTSLEDSVTLDESVRKDISSSTYNETEVEETEYCGNIAVRSGRQTERRARRARAAEKAAAGVLNLKSGSSGKKKRSTLQEIDYSDPLHYLRGTTSTSRLLTAAEEVELSEGIQDLLKLERLQQDLTERNGCQPTFAQWAAAAGIDQKVLRKRLNYGTFCKDKMITSNIRLVISIAKNYQHAGMNLQDLVQEGCRGLIKGAEKFDASKGFKFSTYAHWWIKQAVRKSLSEQSRTIRLPFHMVEATYRVREAKKQLYSENGRHPDHEEVAEASGLSMKRFAAVMLTPKAPRSLDQKIGINQTLKPSEVIADPDAETSEDILIKQFMREDLNKVLDTLNPREKRVVRWRFGLEDGRMKTLQEIGELMGVSRERIRQIEFCAFRKLKSKKRTKNLQQYIIS >Ma05_p30560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40908686:40909122:-1 gene:Ma05_g30560 transcript:Ma05_t30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAKPLAGGLPIDVVLTTERVAVAISAGDHGSTFAGGPLVCHTALAVLSKIQERSFLASLDIQASPVVDACRNAGLLVLTAGKGNVVRLVPPLIISEEELEQAAKGNASNEA >Ma08_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29111814:29111993:1 gene:Ma08_g18190 transcript:Ma08_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINERKIYYYYDKSNTDKSELISYPDQTIQMHAEPMQKVWLRYVQFHQVYKRNLTKHVL >Ma09_p23460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35285428:35291496:-1 gene:Ma09_g23460 transcript:Ma09_t23460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEPPKEKPPTMSSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLNAPSRNTSFGGSASHSGPIMPNASGRSSYSGSLSSAVPGAGPSLVTGCSNRQKSNSGPLKHGDPVKKSSGPQSGGVTPMARQNSGPLPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLESAGSMKLHSASIVHNQAVTKLSQDDDYSFNGSLPKPFLWCVGLLFVMGFIAGGFILGAVHNAILLIVVLVIFGIVAALFIWNACFGRRAIIGFIARYPDAELRTAKDGQYVKVSGVVTCGNVPLESSFHKVPRCVYTSTGLYEYRGWDSKAANSQHRRFSWGLRSLERHIVDFYISDFQSGLRALVKTGYGARVTPYVDESIVVDINPNKDMSPDFLRWLRERNLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSETFSTGCQWARCILPVNLEGIVLRCEDTSKIDVIPV >Ma09_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35285428:35291496:-1 gene:Ma09_g23460 transcript:Ma09_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEPPKEKPPTMSSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLNAPSRNTSFGGSASHSGPIMPNASGRSSYSGSLSSAVPGAGPSLVTGCSNRQKSNSGPLKHGDPVKKSSGPQSGGVTPMARQNSGPLPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLESAGSMKLHSASIVHNQAVTKLSQDDDYSFNGSLPKPFLWCVGLLFVMGFIAGGFILGAVHNAILLIVVLVIFGIVAALFIWNACFGRRAIIGFIARYPDAELRTAKDGQYVKVSGVVTCGNVPLESSFHKVPRCVYTSTGLYEYRGWDSKAANSQHRRFSWGLRSLERHIVDFYISDFQSGLRALVKTGYGARVTPYVDESIVVDINPNKDMSPDFLRWLRERNLSSDDRVMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSETFSTGCQWARCILPVNLEGIVLRCEDTSKIDVIPV >Ma04_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7938376:7939108:-1 gene:Ma04_g11300 transcript:Ma04_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTALILTFLLFLLLVWAASSDFDATASCRYVTAPQLCTSVAISSAATSAKALTVAAVTEAASTAKQVSASVDAILAHSVTDANQRATLEVCKRSYESAVGSLEKAVEKLQSGAGSHGDIIADISAALTDAGSCRDTFSQNPGMVSPVAEEASVLKKLVSNSLALASDLDVDTPAD >Ma05_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2088300:2093687:1 gene:Ma05_g03010 transcript:Ma05_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPTYFEDFHSCAFHLDRRNQDDFLQLSSMQDQLHSPEMQFFGPTDPVQVRVTEDSAIAKRHSVHPQGEKEDDLHDFIYGWTHGLSLTLGSRLSSNADGAYDCEPAKEHEIGRRDLKELNWPRESCGTPQNLASMASVLQHSRYLKPAQELLDEIVSVRSAAELSSDEEFRRIRSARMTNRAGRKSLQVEEIINCEGNLQEANNYSSEEDKQHDVEVRVVKLVALLDELESRYQQYFHRMDRVISLFEAVAGRGVAATYTALTIQAMSRHFSKLRDAILTQIHVSREPLPHREELQSLHRNPPQRRPADETMRQKREALQKLAIIQAQQAWRPLRGLPEDSVAVLRTWLFENFLHPYPDDTEKLMLASKTGLTRNQISNWFINARVRIWKPMIEEMYREEFAEDTGNLSTSS >Ma05_p03010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2088300:2093687:1 gene:Ma05_g03010 transcript:Ma05_t03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPTYFEDFHSCAFHLDRRNQDDFLQLSSMQDQLHSPEMQFFGPTDPVQVRVTEDSAIAKRHSVHPQGEKEDDLHDFIYGWTHGLSLTLGSRLSSNADGAYDCEPAKEHEIGRRDLKELNWPRESCGTPQNLASMASVLQHSRYLKPAQELLDEIVSVRSAAELSSDEEFRRIRSARMTNRAGRKSLQVEEIINCEGNLQEANNYSSEEDKQHDVEVRVVKLVALLDELESRYQQYFHRMDRVISLFEAVAGRGVAATYTALTIQAMSRHFSKLRDAILTQIHVSREPLPHREELQSLHRNPPQRRPADETMRQKREALQKLAIIQAQQAWRPLRGLPEDSVAVLRTWLFENFLHPYPDDTEKLMLASKTGLTRNQISNWFINARVRIWKPMIEEMYREEFAEDTGNLSTSS >Ma05_p03010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2088300:2093687:1 gene:Ma05_g03010 transcript:Ma05_t03010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPTYFEDFHSCAFHLDRRNQDDFLQLSSMQDQLHSPEMQFFGPTDPVQVRVTEDSAIAKRHSVHPQAKEHEIGRRDLKELNWPRESCGTPQNLASMASVLQHSRYLKPAQELLDEIVSVRSAAELSSDEEFRRIRSARMTNRAGRKSLQVEEIINCEGNLQEANNYSSEEDKQHDVEVRVVKLVALLDELESRYQQYFHRMDRVISLFEAVAGRGVAATYTALTIQAMSRHFSKLRDAILTQIHVSREPLPHREELQSLHRNPPQRRPADETMRQKREALQKLAIIQAQQAWRPLRGLPEDSVAVLRTWLFENFLHPYPDDTEKLMLASKTGLTRNQISNWFINARVRIWKPMIEEMYREEFAEDTGNLSTSS >Ma10_p25670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33698680:33699715:-1 gene:Ma10_g25670 transcript:Ma10_t25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKSCAWLVVVWWTVAMHGDGAHVRAPAPSMDCSSALLSLADCLTFVENGSTEAKPQTQCCSALKKVVKEAATACLCDAFKEGATFGVKLNMTRAMGLPSSCGVSTSHFSKCKSAVAASPGAAPGVAMVPSPTAGRSGAAASSTAPVGGLINVAIALFVYYYI >Ma10_p25670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33698680:33699726:-1 gene:Ma10_g25670 transcript:Ma10_t25670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKSCAWLVVVWWTVAMHGDGAHVRAPAPSMDCSSALLSLADCLTFVENGSTEAKPQTQCCSALKKVVKEAATACLCDAFKEGATFGVKLNMTRAMGLPSSCGVSTSHFSKCKSAVAASPGAAPAPSSSGVAMVPSPTAGRSGAAASSTAPVGGLINVAIALFVYYYI >Ma03_p25250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29360104:29368519:1 gene:Ma03_g25250 transcript:Ma03_t25250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTKNRKRRLAGDLRLPFSSHPVFDVAAGPSPPSKKAKIPSPPADFRPLTPPAPVAAPPAAALRRFPPAAPLPRPVHAPQRILRAFGLGSVEPSRSRLSESYLKREGGSEMENLVARFLKGKKAATFTPWRTGKREDALVRPPGSQGSEDNDGADELLGLDQYKRLVKSVQEGNSVVSDLGSVKLVFPSSPFGLSDRKIVNQKLEETPNLHVANTKVDDEGKLVSEWTPSWEEKASVKRGPLYKELHVESARKHDSKLRDLELQVELAEKKIFSFRLVRQEQEKKFKEDVHEVFLPLTDEEEEDVYRSLNGRNSREILAVHEASNIHITREVLQCLSCNAWLNDEVINLYLELLKEREKREPKKFLKCHFFSTFFYKKLINGRNGYDYKAVRRWTTQKKLGYSLIECDKIFVPIHKEVHWCLAVIDVKEKKFLYLDSLGGIDTAVLKVLAKYLMDEVEDKTANQVDTLSWKLETVDDLPLQKNGWDCGMFMLKYTDFYSRGLSLCFSQDNMPYFRKRTAKEILRLRAE >Ma03_p25250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29360104:29367055:1 gene:Ma03_g25250 transcript:Ma03_t25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTKNRKRRLAGDLRLPFSSHPVFDVAAGPSPPSKKAKIPSPPADFRPLTPPAPVAAPPAAALRRFPPAAPLPRPVHAPQRILRAFGLGSVEPSRSRLSESYLKREGGSEMENLVARFLKGKKAATFTPWRTGKREDALVRPPGSQGSEDNDGADELLGLDQYKRLVKSVQEGNSVVSDLGSVKLVFPSSPFGLSDRKIVNQKLEETPNLHVANTKVDDEGKLVSEWTPSWEEKASVKRGPLYKELHVESARKHDSKLRDLELQVELAEKKIFSFRLVRQEQEKKFKEDVHEVFLPLTDEEEEDVYRSLNGRNSREILAVHEASNIHITREVLQCLSCNAWLNDEVINLYLELLKEREKREPKKFLKCHFFSTFFYKKLINGRNGYDYKAVRRWTTQKKLGYSLIECDKIFVPIHKEVHWCLAVIDVKEKKFLYLDSLGGIDTAVLKVLAKYLMDEVEDKTANQVDTLSWKLETVDDLPLQKNGYGFHAMV >Ma06_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14313771:14313959:1 gene:Ma06_g20270 transcript:Ma06_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLFVCSFFDRAEWGFTSRMPENDSSIELRIHVGFSHILIIVDVHLYQKPLPISTNSFVSF >Ma03_p25230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29349735:29350494:1 gene:Ma03_g25230 transcript:Ma03_t25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMQRLVLLAALLLLVAAAGLTPAAAAADGGAGGDLPLGWIPSLSGCRGSIAECLAGEEFDLGSEVSRRFLATSSYISYGALKSDTVPCSRRGASYYNCRPGAQANPYSRSCSAITQCRGG >Ma07_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11069683:11070464:-1 gene:Ma07_g14740 transcript:Ma07_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKTAQHFHMTSHRWKLQAFFCSSKHQESQRDAYLTSRDRDMSRAGTVCDRVDWHTKCQRVDEALISSSAVMVQADTTRMRIPPWI >Ma08_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2317306:2317428:1 gene:Ma08_g03080 transcript:Ma08_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKYIFLVVELRFNERSLSFSQVYLLYERYKLRSACQAV >Ma08_p10770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7895548:7901919:1 gene:Ma08_g10770 transcript:Ma08_t10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARWGRAASQLAAAAELTRRVAAPRAAYLSDRSYSKVGTDAAATVTAPSTPAVSSPSKLPLNKPEVRLNVMFWSKACSLALPPNSPLRLEEPHYEGIKRLILKLLLFYSKQSKSIRGANVVYRRIISQVDKPAIYDVFQLEKTFKTTFSLLVLHMWLFLRRLKEEGKEGVDFGQYLYEIYNHDLELRVSKAGVNLLLTKWMKDLEKIFYGNIVAYDTAMTPEAKHDDLANVIWRNIFSEDGSGLSNDAAATAAVQAMARYTRRESTCLLLTDKEAIFSGNFMFTSLENKPNPGKITN >Ma09_p27690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38624839:38628628:1 gene:Ma09_g27690 transcript:Ma09_t27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKLLGAAAGRPLLVVAALTIVLLLIFLSGATRNLPLDLLTRSYSAPEEGPQNCSLASPIEPAKDKLLGGLLSPAFDEPSCLSRYQSAQFWKSSNHTPSPFLLQKLRSYEALHKRCGPHTDLYNKSIEQLRSNRSTGPLECNYVVWLQSGGLGNRLMSLISTFLYAILNNRVLLLSVPDDLHDMFCEPFPGTSWALPSDFPIKKLETHDFYRGSPQSYGNLLKNKVLSNGMNTTLASLPAYLYLHLMHDADDSDKMFYCEDAQPLFQSFPWLFLRSNQYFAPSLFLMSQYNDELQKLFPEKETVFHYLGRYLLHPTNSVWGYVTRYYEAYLANAKERVGIQVRNFPNAPVKLELMLDQVVNCTLKEKILPDIKVEVPANLTTSGVKPKAVLVTSLQTGYFEKLRNMYYEHSSTTGDAIGVYQPSHEELQRTENQNHNTKALAEMYLLSFSDVLVTTAFSTFGYVAQGLGGLRPWMVLRPDNQNPPCRRALSMEPCFHFASGYDCKAGRNIDKGTVVPHVKHCEDLDFRWGLKLFD >Ma10_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31419765:31422335:1 gene:Ma10_g21790 transcript:Ma10_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSNGGGGLWRLADEMNPPEVGCRAMEAECVRRFHRHEPKENQCSSSVVKHIKAPVHLVWSLVRRFDQPERYKPFVSRCILQGDFAVGCLREVNIKSGLPATTSTERLEQLDDNEHILSIKIVGGDHRLQNYSSVVTAHPEVIDGRPGTLVIESFVVDVPEGNTKDDTCFFVEALIKCNLKSLAVISERLAVQELTEPINI >Ma09_p09200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6104222:6105923:-1 gene:Ma09_g09200 transcript:Ma09_t09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIFVDKLSRIDTAELKSQLFKKLGRRKAERYFYILKRLLNLKLSKLEFEKLCYGIIGKENLALHNLFIRSILSNACLALAPPSRETITGNSRTSKMSSFGETFPASPRRGRSINSKDRRSADRARLLGPYGKIPPGHVQEVTNSCDLQRPREQQSAPELISIGSKALASVEDGEEVEQYRCSPSVQSRSPLRPPLGIPVTLGDPPSKFFRTGFTSRLKLIEPNVLDSCLRTSQLPDTRSLRDRLERKLVVEGLGLSVDCTNVLNHGLDAFLRRLIKPCMDLARARHSFNRTSQGDGKIFPNMKGLWQVGQVQTSNESYYASLLDFQSAVELNHKILGGDWPLLLEKICSHLSEE >Ma09_p09200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6104222:6105931:-1 gene:Ma09_g09200 transcript:Ma09_t09200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRQLSRIDTAELKSQLFKKLGRRKAERYFYILKRLLNLKLSKLEFEKLCYGIIGKENLALHNLFIRSILSNACLALAPPSRETITGNSRTSKMSSFGETFPASPRRGRSINSKDRRSADRARLLGPYGKIPPGHVQEVTNSCDLQRPREQQSAPELISIGSKALASVEDGEEVEQYRCSPSVQSRSPLRPPLGIPVTLGDPPSKFFRTGFTSRLKLIEPNVLDSCLRTSQLPDTRSLRDRLERKLVVEGLGLSVDCTNVLNHGLDAFLRRLIKPCMDLARARHSFNRTSQGDGKIFPNMKGLWQVGQVQTSNESYYASLLDFQSAVELNHKILGGDWPLLLEKICSHLSEE >Ma07_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12075452:12078176:-1 gene:Ma07_g15870 transcript:Ma07_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAFLSAGAVAGYVGLRADASAKLFPEKDCSIGWRSRTVSNGFRTRCMKTWNPFNNNKYEALSYLPPLSKESIAKEVDYMIAKGYIPCLEFDEVGQVHRTNSRIPGYYDGRYWTLWKLPMFGCTDPSLVLEEIEECKQVYPNAYIRLLSFDSQRQCQCMSFVIQKPTSATVANA >Ma10_p26990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34421502:34423905:-1 gene:Ma10_g26990 transcript:Ma10_t26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDQTTSSLPSSSERSSSSAPQMEVKEGMESDEEIRRVPEFGFEPAGTSTSGREAGSTAGPDRAQSAAQGGQRRRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEAKVKDLEAKNSELEERMSTLQNENNMLRQILKNTTVSRRGSNSSANGEGQ >Ma08_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35750896:35753586:1 gene:Ma08_g22020 transcript:Ma08_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADADADEPTPTAPAADPDLEKAAPGAAAHPSAPAADEGGTVVRTVLARWRREDLLERSILVLRALVLFFSLLAAVIVASNKHGDWKDFDLYQEYRYLLGISLLAFLYSMGQLWRQARRFSTGKDLVPRNYSGIVDFAGDQVTAYLLISALSAAIPLTNRMREGSDNIFTDSSCASISMAFFAFVSSALSALISGFKLSKQTYI >Ma08_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3307580:3313500:1 gene:Ma08_g04770 transcript:Ma08_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVQFARLFPGFVVPSRVRYATGARPSLIVRCDGGGGAAIEQDFDKKAFRHSLTRSENYNRRGFGHKEETLELMNQEYTSDVIKTLKENNNEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPEERIWITNDIIHNPTVNKRLEEMEVQNIPVDDGEKQFDVVDKGDVVILPAFGAAVDEMFTLSQKKIQIVDTTCPWVSKVWNTVEKHKKGDYTSIIHGKYSHEETIATASFAGKYIIVKNMTEAIYVCDYILGGKLDGSSSTKEEFLQKFKYAVSPGFDPDVDLVKLGIANQTTMLKGETEEIGKLVEKTMMRRFGIENVNEHFISFNTICDATQERQDAMYKLVEEKLDLILVVGGWNSSNTSHLQEIAELKGIPSYWIDSEQRIGPGNRISYKLNHGELVEKENWLPPGPITIGVTSGASTPDKVVEEALVKVFNIKSEEALQLA >Ma06_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14547688:14548102:-1 gene:Ma06_g20470 transcript:Ma06_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKASLVIAASVAAVEALKDQLGLCRWNYALRSLHQRAKRSVASISRATRVSSAMDQWRRGGEGAAERAKRTEASLSKLVYWDCWGPK >Ma05_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24213702:24213938:-1 gene:Ma05_g18600 transcript:Ma05_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNTKLYLQNCYIVKENERLRKNLQVLNQENQDLLSELKQKLAKSSATSSRNPNIPDPNTPPAPTISPSGSKRSSQ >Ma06_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11481572:11485670:-1 gene:Ma06_g16940 transcript:Ma06_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKQSSLNQNQQIPTYAAKPAKQQARPSSASSSPSFLRSAAGYLLREQRLLFVLAGAVIASTFFLLQPYYHSSTSGLQPSDHLAYRHPSSSSSSFGGGDAALGGSGKRMPVGLKKPSKRVAVTGGAGFVGSHLVDKLLSRGDSVIVIDNFFTGRKENVVHHFGNPRFELIRHDVVEPILLEVDEIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVDGLVALMEGEHIGPFNLGNPGEFTMLELAEVVKEVVDPSATIEFRPNTADDPHMRKPDISKAKELLNWEPKVTLHEGLPLMVTDFSKRILSAEK >Ma08_p16670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20092908:20094459:-1 gene:Ma08_g16670 transcript:Ma08_t16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLTASTFQLCSVLSAQLCEKFHLFPSCLPLMEPKSSAKLLQEVPKFHQAMGLLRSDKREPAAASSVAENIDLFPSIASGGRELQVVPAAAEKDEQRRQLAPKRSSYKDRHTKVDGRGRRIRMPVLCAARVFQLTRELGHKSDGETIQWLLQQAEPAIIAATGSGTVPASALAAAAAAGGPMSHSSATVLAGLHRKLDEVDQGAATAVRPIWAMVGGSGVPRLHPGLWPPPQMGGFNSGLLHSTAAASSNSNLGAGGSGDGSVGSFMQKMGLLHGMELPSPNIGTMSFASKFGGYGQQLPGLELGLSQDGHMGVLDPQALGQFYQQMATERVGAGADGSGQLQQPQQRPARQAEDDSQGSED >Ma04_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23498250:23501425:-1 gene:Ma04_g20770 transcript:Ma04_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKLSGEVAVMEVAAHQPSLGVRTRARALAAGQDSSLAYLELRSRRLEKPLPPAPASKTKAPPKDTPDSSRNPRLSSQKVSRSPTSNSGTRRCYEKGGEVSLCENVLEAESRERETTPCSLTRDSETMATPRSSNSIVGNQRMQNLVLQNIPTGHEMEEFFVGLEKLQQQAFIEKYNFDPVNDLPLPGRYEWVELDR >Ma03_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:278099:278317:1 gene:Ma03_g00280 transcript:Ma03_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNLLLVVIVVNNCCDNTIQIPLMIYNLLLVVFLLIPCISLLKKCFLGVLGYSIKTQKLISIKENSRTLLF >Ma00_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36094864:36095220:1 gene:Ma00_g04310 transcript:Ma00_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLTRGSQLVYIIPDTMMTIGDFYRNIQLSILTRGYDNWRNGEANLLINRGMVGRLSNTPNVAFAYEVSGVVDYLTSHGVRALSGRRYSTTELQERDWVIQPTQTNIPMQPLEVRR >Ma10_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2146537:2147660:-1 gene:Ma10_g00730 transcript:Ma10_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESGQLDAKIKDLFDDEPSRASPLPPMPGEDDESELASIVKKMKESIILKDRFYKMRRFRNSQQVWFLSIEVKPKPITEIASRLRFLSHAIFEAYASEDGRHVDCRSIHGSEEFKRVVAVI >Ma06_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11016574:11017265:1 gene:Ma06_g16270 transcript:Ma06_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVVLVGVLMALMSSCCAYDFYVGGRHGWVKHPCESYNSWAGRNRFRVHDRLIFKYKQGNDSVLVVTEADYNSCNVADPIGCYTDGNSVFQFNRSGPFYFISGAAGHCAHGQKLIVVVLATRNPIPSPPPAPAEPPYWPPPTPSLSPYPSPASAPSTPGNSPSTPLPPRPSSSASDLAVSKVSLGLTLLMALGRLLG >Ma09_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2812516:2820370:1 gene:Ma09_g04300 transcript:Ma09_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWQEELASLVGDTGITYSADAAVAEEEERDAGDTGGRVLGKGYFRVYEDGAGPEESLKEQVTGFVVATGEMLRELGRGFWDVAQQSLERVGETYVGKKVRGHWDAVLRRLEFMNEYLPEDRDPVHAWPIVITVFLLALLVLRVNNGNETSVEAPKKLYVSPPSASRIQLLDGRYMAYQEKGVLAERARFFMIAPHAFLSSRLAGIPGIKESLLEEFGVRLITYDLPGFGESDPHPVRNLNSSAMDMLHLANALGVTDKFWVVGYSGGAMHAWAAVHYIPDRLAGAAMFAPMSNPYDSSLNKEEIHKTWDEWTMKRRLMYVLARRFPSLLPYFYRRSFLSGECGQPEKWLSLSLGKKDKSLLEEPVFREFWEKDAGESVRQGDAKPFVEEALLQVSNWGFCLADLQVQNQHQGKGLLPWLKSLYGRVEHEQAGFLGPIHVWQGMDDHVVPPSMTEFIRRMIPGATVHRLLGEGHFSYFCFCDDCHRQIFSALFGNPRGPLSIELEVDRSPSEQHMEDIASHDCTELE >Ma11_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21368744:21370864:-1 gene:Ma11_g15690 transcript:Ma11_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPIDTPEEHPLHDEPRDERPATTSERSWRLFNDPGLSPPNAPVGPSPVSPEAFHDLAHQVRALAGVLARDFELNFLAYARPKPSVALLLGLKQREDEPLSNFVNRFTTQIRGLSDAHPSLLMQAFMIGLRPSRFFWSLVERPPTMVPEMLQRASQFIVAEAWMAGRCEEHKRVKSELPRQQQSAASRRRLDRSDPLAPRPPLPTLNSSRTEIFLHIREKGLLKEPYPMRSPRALADQSKYCRFRRQHEHDTEQCRELKMQIEELIRRGHLGQYLRPDKEPSPRPEGPIECHIDVIAGGPASGGDSMTRRKVYARAAPAEAPRKRPEPEVTFPAGASDQSEHDDALVISATIANAQVRMIMVDTGSSADILYFDAFQKLGLSGDNMKPIFSALIGFTGNSISPLGAITLPLTLGAPPRSKTVMTTFLVIDLLAAYNAILGRPTLNKVRAVVSTYYQTVKFPTHVGTGEVMGSPRESKRCYLTVVSLHKRARVEPPLADPWRRRSQPPILSRGDPPSTCRC >Ma11_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6535621:6548466:1 gene:Ma11_g08200 transcript:Ma11_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEVDKQMLRELELMGFPTARATRALHFSGNSTIEAAINWVIEHENDPDIDQMPLVPINIEIENGTSWMTPDEVKIKLQKLRELARKKRDEEEQGLQRERKKDRIRAGKELLQAKQINEENERKRMLLLRKVEKEQEKRAREKILQKLREDKAERRSKLGLQPQDPGDAKSALPSQGKKSSVSVNPATKAEHMRDCLRSLKKTHKDDDARVKRAFQTLLIYVRNVAMNPDAEKFRKIRLGNPNFQERVARLKGGMEFLELCGFEKLEGDEYLYLPRDKVDMAVLSAALSQLNSAMENPFFGVL >Ma09_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1881926:1885345:-1 gene:Ma09_g02700 transcript:Ma09_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAGVVMMVGEWFARYVAGKVRDKMTEEAFSSCADDRNVLGDVRAMLKRVEERLPGVHAVIHAAEGRPIRDTSLALWLAELKDAAYEADDMLDEFELKELRSRHLHDSSKVMALASSALRFLKNLFVTDDELRRLGKLVGDLDDICLDIDRKKAELDEYNGKDNSASRETSSFLHDEVIGRDEERNKILDILLSSAHDPDFGDKRAGSSSHPSFGVLPIVGMGGVGKTTLAQLVYNDQLVADHFELRRWVYVSDDFNLRKIVKELVYDMAFDPLFDDICSGEIQAKLQDATRNVRFLFVLDDVWDETGSKWEQLRDALASGARGSTILVTTQSPLVAETMGTMEPIKLEVLGQDDFWRLFERCAFGDKVLDPDLARKLELIGREISGKLHGLPLAGKAMGSLLRRRLEEQFWTTISESEWWEDDFAVENILPSLGLSYQHLSTNLKQCFAYTSIFPKGHVFDKERLVQMWIAQGFIHPKSEGRTRPEDLGSQMFDELSNRYFFLPTLNNKHVMHDLMRDLAVYVSWDECFVVDDEPAEIPPTVRHLALRTTKLDAVRGVCKFRKLRTIILLNEYDSEDFYHVLEDMLENLKSLRVLDLSNVRMGKKKKLPDAICDLPHLRFLDLSCTKTRHLPKSFSRICHLQVLNLNSCRFKKMAEGMNRLIKLRHLGHQIGELKELRNLRRRLTIQNLENVETKEEAMEAKLKDKSHLDSLWLNRKPDMHSPGDREKEILEGLEPHCNLKELRIQHYGGTTSPDWLVRNQHLTNLESVYLNNCARCESLPPLGQLPFLKLLHLMSMPVKRIGAEFYGDAEQAFPSLETLKFESLKEWEEWAEADGRQFLGRLRVLHLYDCPRLRKAPLLYLTSELRELHLYHCPELRLLGGLRSLANMRHMETEGCPKLISSLRNLALHRCGDLVSFTVEQEEWFQQLTSLEHLQFLNCPNLQALPTSLVTVSSIKKLSIGNCPKISSLPESGVPMSVKEVRIWGCPSLSDRCRKDRGPDWPKIDHIPRVCIDDEIIQMM >Ma07_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3969502:3975323:1 gene:Ma07_g05470 transcript:Ma07_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRAIEEHCVGKKITGCSVADDPKVIDGFSRADFESALVGRSIVAALRKGKNLWLRLDSPPFPTFQFGMAGAVYIKGVALTKYRRAAVNDTDEWPSKYSKVFVKLDDGLEFSFTDKRRFARVRLLDDPESVPPISELGPDALLELMPVDDFVDSLSKKKIAIKTLLLDQSYISGIGNWIVDEVLYQAKLHPLQIASSLSKESCKSLHRCIREVIDKALEVGADSSQFPKDWIFHSREKKSGKAFVNGKKINFIKVGGRTSAYVPELQKLTGNQSKEAPKKKSRKARSDVEESESEEDDNNGTSKRSKTTEGVEGQQKKKTSEFKSDSIPSKGTTQKQVKAARGTSKKLSESSRATTRQKKAKI >Ma10_p07670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22030321:22035753:-1 gene:Ma10_g07670 transcript:Ma10_t07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEVRRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSSRGKLWEFCSSSSIEKTMDRYLMQTKDVNTKHSAMTQEMKDLMSEATNMTKKIELIEAHKRKLLGETLETCSAAELHELGNQLEESLRQIRKRKQCLLAEQIAELREKDLCCFMHETQEKSLLKENKLLHEKFKGGNMLRLNAAEVQSMEVNTQLMIGRPATL >Ma10_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22030321:22035749:-1 gene:Ma10_g07670 transcript:Ma10_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEVRRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSSRGKLWEFCSSSSIEKTMDRYLMQTKDVNTKHSAMTQEMKDLMSEATNMTKKIELIEAHKRKLLGETLETCSAAELHELGNQLEESLRQIRKRKQCLLAEQIAELREKEKSLLKENKLLHEKFKGGNMLRLNAAEVQSMEVNTQLMIGRPATL >Ma02_p23070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28237643:28257470:1 gene:Ma02_g23070 transcript:Ma02_t23070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MLGLATIHGVIVALAVAVLWAGASAAFFEPFNVSYDHRAIIIGGKRRMLISAGIHYPRATPDMWPGLIAKSKEGGADVIQTYVFWNGHEPIRGQYNFEGRYDIVKFAKLIGSQGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIVFRTKNKPFEDEMQKFVKKIVDMMKQENLFSWQGGPIILLQIENEYGNIEGQYGQGGKEYVKWAADMALTLDAGIPWVMCRQSDAPETIIDSCNAFYCDGFRPNSYRKPALWTEDWNGWYASWGGRVPHRPVEDNAFAVARFFQRGGSFHNYYMFFGGTNFGRTAGGPLQTTSYDYDAPVDEYGLLAQPKWGHLKDLHAAIKLCEPALVAVDDAPQYVKLGSMQEAHIYSSGFVDTRNSLPQNVSICSAFLANIDERKTVTVQIFGGSYSLPPWSVSILPDCKHVVFNTAKVATQTSIKTVESASPSFSNTTGTGDIILYDKDTYISKTWMTFHEPIGAWGDNSFTYQGILEHLNVTKDISDYLWYSTRINITDEDITFWEEKGIYPLLTIDKARDVVRIFVNGHLSASQVGKWVPVKEPIHLVQGSNDLVLLSETVGLQNYGAFLEKDGAGFRGQIKVSGLKNGDIDLSDALWTYQVGLKGELAKLYTPENQESADWIDVQPDSIPSSFTWYKTTFDAPEGDDPIALDLGSMGKGQAWVNGHGIGRYWTLVAPKNGCRDYCDYRGAYHENKCTTNCGLPTQSWYHVPREWLQASNNLLVIFEETSGNPWKISLRMHSTVTICARVWETDYPPLSTWSHPDFVNRKNLIDEVAPEMHLRCDEGHVISAITFASYGTPSGSCRKFSGGKCHAASSLSVVTEACQGRNNCTITVSNRTFGDPCRRTTKALAVEATCTSITAYQNVS >Ma02_p23070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28238929:28257470:1 gene:Ma02_g23070 transcript:Ma02_t23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MYFGMGMNQSEYNFEGRYDIVKFAKLIGSQGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIVFRTKNKPFEDEMQKFVKKIVDMMKQENLFSWQGGPIILLQIENEYGNIEGQYGQGGKEYVKWAADMALTLDAGIPWVMCRQSDAPETIIDSCNAFYCDGFRPNSYRKPALWTEDWNGWYASWGGRVPHRPVEDNAFAVARFFQRGGSFHNYYMFFGGTNFGRTAGGPLQTTSYDYDAPVDEYGLLAQPKWGHLKDLHAAIKLCEPALVAVDDAPQYVKLGSMQEAHIYSSGFVDTRNSLPQNVSICSAFLANIDERKTVTVQIFGGSYSLPPWSVSILPDCKHVVFNTAKVATQTSIKTVESASPSFSNTTGTGDIILYDKDTYISKTWMTFHEPIGAWGDNSFTYQGILEHLNVTKDISDYLWYSTRINITDEDITFWEEKGIYPLLTIDKARDVVRIFVNGHLSASQVGKWVPVKEPIHLVQGSNDLVLLSETVGLQNYGAFLEKDGAGFRGQIKVSGLKNGDIDLSDALWTYQVGLKGELAKLYTPENQESADWIDVQPDSIPSSFTWYKTTFDAPEGDDPIALDLGSMGKGQAWVNGHGIGRYWTLVAPKNGCRDYCDYRGAYHENKCTTNCGLPTQSWYHVPREWLQASNNLLVIFEETSGNPWKISLRMHSTVTICARVWETDYPPLSTWSHPDFVNRKNLIDEVAPEMHLRCDEGHVISAITFASYGTPSGSCRKFSGGKCHAASSLSVVTEACQGRNNCTITVSNRTFGDPCRRTTKALAVEATCTSITAYQNVS >Ma02_p23070.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28240685:28257470:1 gene:Ma02_g23070 transcript:Ma02_t23070.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MQKFVKKIVDMMKQENLFSWQGGPIILLQIENEYGNIEGQYGQGGKEYVKWAADMALTLDAGIPWVMCRQSDAPETIIDSCNAFYCDGFRPNSYRKPALWTEDWNGWYASWGGRVPHRPVEDNAFAVARFFQRGGSFHNYYMFFGGTNFGRTAGGPLQTTSYDYDAPVDEYGLLAQPKWGHLKDLHAAIKLCEPALVAVDDAPQYVKLGSMQEAHIYSSGFVDTRNSLPQNVSICSAFLANIDERKTVTVQIFGGSYSLPPWSVSILPDCKHVVFNTAKVATQTSIKTVESASPSFSNTTGTGDIILYDKDTYISKTWMTFHEPIGAWGDNSFTYQGILEHLNVTKDISDYLWYSTRINITDEDITFWEEKGIYPLLTIDKARDVVRIFVNGHLSASQVGKWVPVKEPIHLVQGSNDLVLLSETVGLQNYGAFLEKDGAGFRGQIKVSGLKNGDIDLSDALWTYQVGLKGELAKLYTPENQESADWIDVQPDSIPSSFTWYKTTFDAPEGDDPIALDLGSMGKGQAWVNGHGIGRYWTLVAPKNGCRDYCDYRGAYHENKCTTNCGLPTQSWYHVPREWLQASNNLLVIFEETSGNPWKISLRMHSTVTICARVWETDYPPLSTWSHPDFVNRKNLIDEVAPEMHLRCDEGHVISAITFASYGTPSGSCRKFSGGKCHAASSLSVVTEACQGRNNCTITVSNRTFGDPCRRTTKALAVEATCTSITAYQNVS >Ma02_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28237676:28257470:1 gene:Ma02_g23070 transcript:Ma02_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MGSSSLLRWQCFGRGRRPPSSSPSTSAMTTGRSSSAMWPGLIAKSKEGGADVIQTYVFWNGHEPIRGQYNFEGRYDIVKFAKLIGSQGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIVFRTKNKPFEDEMQKFVKKIVDMMKQENLFSWQGGPIILLQIENEYGNIEGQYGQGGKEYVKWAADMALTLDAGIPWVMCRQSDAPETIIDSCNAFYCDGFRPNSYRKPALWTEDWNGWYASWGGRVPHRPVEDNAFAVARFFQRGGSFHNYYMFFGGTNFGRTAGGPLQTTSYDYDAPVDEYGLLAQPKWGHLKDLHAAIKLCEPALVAVDDAPQYVKLGSMQEAHIYSSGFVDTRNSLPQNVSICSAFLANIDERKTVTVQIFGGSYSLPPWSVSILPDCKHVVFNTAKVATQTSIKTVESASPSFSNTTGTGDIILYDKDTYISKTWMTFHEPIGAWGDNSFTYQGILEHLNVTKDISDYLWYSTRINITDEDITFWEEKGIYPLLTIDKARDVVRIFVNGHLSASQVGKWVPVKEPIHLVQGSNDLVLLSETVGLQNYGAFLEKDGAGFRGQIKVSGLKNGDIDLSDALWTYQVGLKGELAKLYTPENQESADWIDVQPDSIPSSFTWYKTTFDAPEGDDPIALDLGSMGKGQAWVNGHGIGRYWTLVAPKNGCRDYCDYRGAYHENKCTTNCGLPTQSWYHVPREWLQASNNLLVIFEETSGNPWKISLRMHSTVTICARVWETDYPPLSTWSHPDFVNRKNLIDEVAPEMHLRCDEGHVISAITFASYGTPSGSCRKFSGGKCHAASSLSVVTEACQGRNNCTITVSNRTFGDPCRRTTKALAVEATCTSITAYQNVS >Ma03_p25600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29576392:29581508:1 gene:Ma03_g25600 transcript:Ma03_t25600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKGEAVAANPVTGSPFSRRYHKLLERRKKLPVWGQRNKFLDALAKRRVVVVAAPPGSGKSTQIPQFVIEAGYASEGKQIACTQPRCLVATALSRRVAQEMDVKLGEEVGYSVLFEDCTGPKTILKYLTDGLLLREAMSDQFLERYTVIILDEVHLRTLATDILLAYFKNMFKTKARCDLKLVVMSTQFEAKKFRDYFKDAQIVQPLPALHPVQIAYVKEPVRDLVEAAVEKVIHILVSESAGDIIVFLTGLEEIERCCWRLGKLILDLGDKIGPVKVVPFHSVMPVDMQNKVFKAAPPPTRKGGPLGRRVIVSTEITESSLSIDGIVYTIDCGYTKQKVYNADLQVESLLVLPISRASAQRRSGCARRSAPGKCFRLYSQDFFNRVQPQDSPEILRANLAGTVLQFRKLGFDNLLHLDLMDPPPVETVMQAVETLKCLGALDDEGSLTHLGEVMSEFPLDPQMSKTIVNSPKFCCSNEILSIAAMLSVPNCFLRPMENLEAADEAKATFNHIHGDHLSLLNVYHAYKLSNGDSTWWCKRNFINQTVLRSADNVRGHLVSIMHKLDLTLCSTDSSSSDDYDNVRKGLLVGYFMQVAHLDHSGNYSTAKGHHVVDVHPSSSLASRPALVIYNDFVLASRNFIRILTDVPLDWLVEIAPFAAA >Ma03_p25600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29576413:29580672:1 gene:Ma03_g25600 transcript:Ma03_t25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKGEAVAANPVTGSPFSRRYHKLLERRKKLPVWGQRNKFLDALAKRRVVVVAAPPGSGKSTQIPQFVIEAGYASEGKQIACTQPRCLVATALSRRVAQEMDVKLGEEVGYSVLFEDCTGPKTILKYLTDGLLLREAMSDQFLERYTVIILDEVHLRTLATDILLAYFKNMFKTKARCDLKLVVMSTQFEAKKFRDYFKDAQIVQPLPALHPVQIAYVKEPVRDLVEAAVEKVIHILVSESAGDIIVFLTGLEEIERCCWRLGKLILDLGDKIGPVKVVPFHSVMPVDMQNKVFKAAPPPTRKGGPLGRRVIVSTEITESSLSIDGIVYTIDCGYTKQKVYNADLQVESLLVLPISRASAQRRSGCARRSAPGKCFRLYSQDFFNRVQPQDSPEILRANLAGTVLQFRKLGFDNLLHLDLMDPPPVETVMQAVETLKCLGALDDEGSLTHLGEVMSEFPLDPQMSKTIVNSPKFCCSNEILSIAAMLSVPNCFLRPMENLEAADEAKATFNHIHGDHLSLLNVYHAYKLSNGDSTWWCKRNFINQTVLRSADNVRGHLVSIMHKLDLTLCSTDSSSSDDYDNVRKGLLVGYFMQVAHLDHSGNYSTAKGHHVVDVHPSSSLASRPALVIYNDFVLASRNFIRILTDVPLDWLVEIAPFAAA >Ma01_p07690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5572846:5576033:-1 gene:Ma01_g07690 transcript:Ma01_t07690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCFPSKKKQPTVASDQAVTIVERNAPLPTEEKIEGSEEVVEPAGPPVVETKVKIFIVFYSMYGHVEALAKQMKKGVDGTDGVEGVLYRVAETLPSDVLEKMYAPPKDPSIPEISAAELVEADGILFGFPTRYGCMAAQMKAFFDSTGQLWREQKLAGKPAGFFVSTGTQGGGQETTAWTALTQLTHHGMLFVPIGYTFGAGMFKMDEIRGGSPYGAGVFAGDGTRQPSEAELSLAEYQGKYMASIVKKLVHT >Ma01_p07690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5572846:5576033:-1 gene:Ma01_g07690 transcript:Ma01_t07690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCFPSKKKQPTVASDQAVTIVERNAPLPTEEKIEGSEEVVEPAGPPVVETKVKIFIVFYSMYGHVEALAKQMKKGVDGTDGVEGVLYRVAETLPSDVLEKMYAPPKDPSIPEISAAELVEADGILFGFPTRYGCMAAQMKAFFDSTGQLWREQKLAGKPAGFFVSTGTQGGGQETTAWTALTQLTHHGMLFVPIGYTFGAGMFKMDEIRGGSPYGAGVFAGDGTRQPSEAELSLAEYQGKYMASIVKKLVHT >Ma01_p07690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5572846:5576028:-1 gene:Ma01_g07690 transcript:Ma01_t07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCFPSKKKQPTVASDQAVTIVERNAPLPTEEKIEGSEEVVEPAGPPVVETKVKIFIVFYSMYGHVEALAKQMKKGVDGTDGVEGVLYRVAETLPSDVLEKMYAPPKDPSIPEISAAELVEADGILFGFPTRYGCMAAQMKAFFDSTGQLWREQKLAGKPAGFFVSTGTQGGGQETTAWTALTQLTHHGMLFVPIGYTFGAGMFKMDEIRGGSPYGAGVFAGDGTRQPSEAELSLAEYQGKYMASIVKKLVHT >Ma06_p15950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10837193:10837996:-1 gene:Ma06_g15950 transcript:Ma06_t15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVEMWVHIDCPGCENKIRKALSKLQGVDAVEIDMAMQKVTVIGWADQKKVLEAVRKTRCTAVLCPYPLNAETNVQAQEYYHLQHPTPAHRLLFNVAPRSYNYHKHGYDDSSLHGYYQEPAQTHIIGDDARARFSDDNPTACSVM >Ma06_p05950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4395910:4396122:1 gene:Ma06_g05950 transcript:Ma06_t05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISWDPTLLNACGLHIGNGRTVLFCSESETFLGCFLRFAEVISMGSSACWTFAIVLLFPDCWACMLGCF >Ma05_p25930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37745572:37754667:-1 gene:Ma05_g25930 transcript:Ma05_t25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTQQSLRKYLGAIKDTTTVSLAKVNSDYKELDIAIVRATNHVERPAKEKHIRAIFFAISASRPRADVAYCIHALARRLAKTHNWAVALKTLIVIHRALREVDPTFREELINYGKSRNHMLNLSHFKDDSSAYAWDYSAWVRTYALFLEERLECFRVLKYDVETDPPRTKNLETTELLEHLPSLQHLLHRLLGCQPQGAACHNIIIHLALSMVAGESIKIYNSISDGITNMVDKFFEMQRHDAVRALDIYRRAGQQAERLSEFYEVCKSMDIGRGETFIKIEQPPASFLTTMEDYVRDAPRSSTVGKDQARDEKDVSPKVVLAIEYKKTPEEEEAPPPPPPPPEPVKVETLVSEVSEQTDLLGLNDANPDKSELDSKNAMALAIVPVDNVSSFATSSNPENGTTGWELALVTAPSSNETAVASSKLAGGLDKLTLDSLYDDAERIANQNVTYNPWELAPMAAPMMQPMVHDPFYASNAIAAPHLVQMAAIAQQQQAFLFQQQMIMAGQQPHQAPVNPFANPYADVPYASGVPLQASNAYTGLI >Ma03_p05860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4021881:4025644:-1 gene:Ma03_g05860 transcript:Ma03_t05860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISVFLLHLLVILFLQSLSYAALEKERTLAMIKPDGIRGNFTNQIKHVIVQSGFVIVHEMMLQLDVRNVSLFYSEHSEKSFFPNLVEYMTSGPVLVMVIEKTNAIADWRALIGPTDAGKAKVSHPNSNEEVAPINFISECNEAEK >Ma03_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4020175:4025644:-1 gene:Ma03_g05860 transcript:Ma03_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISVFLLHLLVILFLQSLSYAALEKERTLAMIKPDGIRGNFTNQIKHVIVQSGFVIVHEMMLQLDVRNVSLFYSEHSEKSFFPNLVEYMTSGPVLVMVIEKTNAIADWRALIGPTDAGKAKVSHPNRYHQVHQINFSPKVRHLDFPLG >Ma03_p05860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4019671:4025644:-1 gene:Ma03_g05860 transcript:Ma03_t05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISVFLLHLLVILFLQSLSYAALEKERTLAMIKPDGIRGNFTNQIKHVIVQSGFVIVHEMMLQLDVRNVSLFYSEHSEKSFFPNLVEYMTSGPVLVMVIEKTNAIADWRALIGPTDAGKAKVSHPNSIRAMCGSNSERNCVHGSDSPQSAAREISFFFGEISPGIILHDEL >Ma08_p06430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4343217:4345954:-1 gene:Ma08_g06430 transcript:Ma08_t06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVAPDSSAMAAVGGGEGATEVEQAQLSTAIRNGEDLGPFVRRAFASGRPEALLSSLHHFARSKEVEIEDVCKAHYQDFMGAVDDLRSLLSDVDSLKSALADSTAAVQSTVGPLLAALDAYLEARSVADNLTAAIRAARLCSRLFALLARANEHLAADRLYLALRAIAAAERDLLPAAPHPTIRRMLVSLIPAVHAHVERKIFEEFSDWMVQIRVASRHLGQIAIDRASAARQRQAEKQGIRSGSTITTSIFRDHPYSLRLEEEEEDDWSGDDSDDLAAALSDGEASILDLIPLYRAHHIHTTLGLEERFRRYYFENRKLQLTSDFQVSSLTPFLESHQIFFAQIVGFFIVEDRILCTGGGLIAPPDVDALWEIAITKMVSVLEDQFSRMQTANHFLLIKDYVSLLGVTLHRYCYSVDPLLDVLSKHRDKYHDLLLSDCRRQVSETLAADKFEQMLMKTEFEYSKNVLSFQIQTSDITPAFPYVASFSSSVPDLCVICRSFIKDSISFMSHGGQLDIYPIVKKYLERLLGEVVDGSILHHIESRSLGVSQAMQVAANMVVLEQACNFLFRHAAQLSGIPLRIAEKVRREFPLKKSRIATEELLLGLLRKKINDFMMLTDSISWMADSPPPSGNEYSNEVIIFLETLVSTALQILPIQVLRRILQGVLTYISNTIMGLFLSDTVKRFNLNAVMGIDVDLKRFESFADDQSRLFTHSDDFVANELKLALLEARQLVNLLMCNNPENFLNPVITERSYNKLNYKKVITVTEKFRESSDRLFGTFGTRGARQNPKQKSLDALIKRLKDAS >Ma08_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3361477:3363064:1 gene:Ma08_g04850 transcript:Ma08_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIRSVASKETLRIQAPDPSSLHDLKTLIARALSSSSSISIPPGSIRLSLNRKDELLPPSPHDSLHSLGLTSGNLIFFYIVPASQTLAPSPSSAPAPEETVESSNLAPVPPPETPSAVDETPVRLHAQTLNLLSSSVATSMDIAESSNLAPVLTQDNPSAIASDLSAPQAEVVQQDDTLASDAEPVFVGKSLSVPYFLKRVMEAEKGEAEGLLGRLVVTFHAAFLESGFVVSGGGSRLPTGRPSKAATFSVQYTLPELVGAVDTRDVKVAMLRFSMMGNYATVYGFLTGDHQDVYRVCIDLSKLASLLSLSMDSLSEQEEKEVFGLWKVVKDELTLPLLIDICRKNGLPSPTCFMRLPAELQLHILKHVSAIDLAKIGCTCSELRFLSSDDHLWKRRFHVEIGSVNGRLITGRSWKEKYVKCRVRMMEAEKMTERSNLLGSPSYFNSVGPRRFPVLGGDYDRFAAIGGFGPAGVRFGCPCLAHRRNFH >Ma05_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34731415:34732775:-1 gene:Ma05_g22960 transcript:Ma05_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRTPGGKRRVMVVADPGRESVGALEWALYHAVLEHDEIILLHIEQANVRRSSALSAFLRRPPAAASPRVSPHAAVGVADGKGDYEFLEVMRAKCKFAQPKVRVQVERVELESKDKATTILTCTKVFRVDLLVIGHRRSSSSFLGCKLSGGMSSKGADAAEFLIENSKCLCVGVQKKGQNAGYLLNTKTHKNFWLLA >Ma07_p18910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26884496:26886546:-1 gene:Ma07_g18910 transcript:Ma07_t18910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGLFLLPAQLKQHSCCPDLRRGPLLVFGKPRERRRRSIAPVARLFGPAIFEASKLKVLFLGVDEEKHPGKLPRTYTLTHSDVTSKITLAVSNTINRAQLQGWYNRLQRDEVVAEWKKVQGKMSLHVHCHISGGHFLLDLIANLRYYIFCKELPVVLKAFVHGDETLFNSYPELEEAMVWVYFHSSLPEFNRVECWGPLRDATSRGRGKAGDASSSSSSGSPMDRPRQCQVECDCCFPPQSLIPWPHDLEEEYQESAGQLQQ >Ma07_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26884496:26886546:-1 gene:Ma07_g18910 transcript:Ma07_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGLFLLPAQLKQHSCCPDLRRGPLLVFGKPRERRRRSIAPVARLFGPAIFEASKLKVLFLGVDEEKHPGKLPRTYTLTHSDVTSKITLAVSNTINRAQGWYNRLQRDEVVAEWKKVQGKMSLHVHCHISGGHFLLDLIANLRYYIFCKELPVVLKAFVHGDETLFNSYPELEEAMVWVYFHSSLPEFNRVECWGPLRDATSRGRGKAGDASSSSSSGSPMDRPRQCQVECDCCFPPQSLIPWPHDLEEEYQESAGQLQQ >Ma07_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31938010:31939954:-1 gene:Ma07_g24640 transcript:Ma07_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEYSCVPPGFRFHPTEAELVGYYLARKVACQKIDPDVIRDVDLYRMEPWDLQDRCKYGHEEQTEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSRIIGMRKTLVFYEGRAPNGRKTDWIMHEYRLQTSQHGPPQEEGWVVCRAFQKPSPSPRPCYTIDGPRSPVNFSRPAFLMDSYVRGIEHAFDIPQMDSPSLSTKEWTVQHGDEHGANKRRSNCDGQLIDWKVLDKLLSSQINESTSSDMAIADYDAGAGQSQAEHFLSFFDSS >Ma02_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25235523:25236494:1 gene:Ma02_g18860 transcript:Ma02_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFLNMRPSVTLFLLLSMVMLMLGELTPVRGDVGTAASYGPPYLPTKCNGYDQDQFPPSNMFAAVSDALWDNGAACGRRYMLRCLSGPNRPCKDSIIRVEVVDQCTDPCPANFLLSTAAFTAVSRLNDAKINVEFAQI >Ma02_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27565079:27570275:-1 gene:Ma02_g22150 transcript:Ma02_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTSTALLPESFQGTRDDITEQMGVVWQQIKAPVIVPLLRLAVFVCLVMSVMLVVERVYMAAVIALVKFLGRRPEKRYKWEPIRDDLELGSAAYPMVLVQIPMYNEKEVYQLSVGAACGLSWPADRIIIQVLDDSTDPVTKDLVEMECNRWASKGVHIKYERRDNRSGYKAGALRDGMKRGYVEHCDYVVIFDADFQPEPDFLWRTVPFLIHNPDLALVQARWKFVNSDECLMTRIQEMSLDYHFAVEQEVGSFTHAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLKVKNELPSTLKAYRYQQHRWSCGPANLLRKMLMEIASNKKVNLWKKVHVIYSFFFVRKVVAHIVTFVFYCIVIPATVLVPEVEIPKWGAVYIPSVITLLNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEGGRANEWIVTEKLGDTMKVKMATKAVKKPPRTRIGDRLHLLELGTGAFLFFCGCYDVAFGKNHYFIYLFLQAIAFFVVGFGHVGTFVPS >Ma06_p23460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21457857:21463905:1 gene:Ma06_g23460 transcript:Ma06_t23460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKVKPTGRRNFSTPEELDAGTSACSRTIRQDQTEYNKKEGSEEGSGEDSGEEVGRRKGTISLIEIQNPNFVKPRTTKAKDANLENATQLTRREREEIEKQRAHERYMRLQEQGKTEQSRKDLERLALIRRQRAEAAKKRQEEKAAKELKRAETETDLPPAFFARDKKGALRSQS >Ma06_p23460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21457832:21463905:1 gene:Ma06_g23460 transcript:Ma06_t23460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKVKPTGRRNFSTPEELDAGTSACSRTIRQDQTEYNKKEGSEEGSGEDSGEERRKGTISLIEIQNPNFVKPRTTKAKDANLENATQLTRREREEIEKQRAHERYMRLQEQGKTEQSRKDLERLALIRRQRAEAAKKRQEEKAAKELKRAETETDLPPAFFARDKKGALRSQS >Ma06_p23460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21457857:21463905:1 gene:Ma06_g23460 transcript:Ma06_t23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKVKPTGRRNFSTPEELADAGTSACSRTIRQDQTEYNKKEGSEEGSGEDSGEEVGRRKGTISLIEIQNPNFVKPRTTKAKDANLENATQLTRREREEIEKQRAHERYMRLQEQGKTEQSRKDLERLALIRRQRAEAAKKRQEEKAAKELKRAETETDLPPAFFARDKKGALRSQS >Ma08_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:534331:539419:-1 gene:Ma08_g00550 transcript:Ma08_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKELTPRTRDYLVSFGECMSTRIFAAYLNKIGTKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHGDWTNDPAIPIITGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNICPNAKPVPHLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPQAPGTVITKARDMSKVVLTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWNRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRKNGVNVQMISQGASKVLSNNSGYVNISLVVHDSEAQQCISALHSSFFDNGFLSEVEEAASVN >Ma03_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7764551:7767943:1 gene:Ma03_g10320 transcript:Ma03_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHGKDGCNSLKNEDNLDYNYSGNSSDWQQCNPSIGCLDSGNPSAAVSQGNQIGSTPCSSISMADPFSAGLWNLSTESTSLDLCKDKLQGSSNQITGNSMSVGGTLLQTGSGVLHQSLSHFPSDSAFIERAARFSCFGGSDLGGLMNPYSAAQSQSPHSNASRESSGAQIQKNEINMMEAVRGGSLSTTDHGSKEKDNSHAAGNSSSTLPAAMKRKRPSEDMALDQAKVASQISGEMDDDNMELEKKAEHNSSTAATSKPGGKQVKDSNESSKEDFIHIRARRGQATNSHSLAERVRREKINERMKLLQDLVPGCSKVTGKAMMLDEIINYVQSLQQQVEFLSMKLAAINPRLDIDIEAVLSKYLLQTCNGPSAAMGSSSGIVRPPPLHPSQQGLAQAGLSGNVNLPDLFRRSINAQMTAPQGYKEPKMQVPNAWDEELHNVMQMAYNGTVNLNTHRVQQ >Ma03_p10320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7764551:7767943:1 gene:Ma03_g10320 transcript:Ma03_t10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHGKDGCNSLKNEDNLDYNYSGNSSDWQQCNPSIGCLDSGNPSAAVSQGNQIGSTPCSSISMADPFSAGLWNLSTESTSLDLCKDKLQGSSNQITGNSMSVGGTLLQTGSGVLHQSLSHFPSDSAFIERAARFSCFGGSDLGGLMNPYSAAQSQSPHSNASRESSGAQIQKNEINMMEAVRGGSLSTTDHGSKEKDNSHAAGNSSSTLPAAMKRKRPSEDMALDQAKVASQISGEMDDDNMELEKKAEHNSSTAATSKPGGKQVKDSNESSKEDFIHIRARRGQATNSHSLAERVRREKINERMKLLQDLVPGCSKVTGKAMMLDEIINYVQSLQQQVEFLSMKLAAINPRLDIDIEAVLSKYLLQTCNGPSAAMGSSSGIVRPPPLHPSQQGLAQAGLSGNVNLPDLFRRSINAQMTAPQGYKEPKMQVPNAWDEELHNVMQMAYNGTVNLNTHRVQQ >Ma01_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7069097:7072576:1 gene:Ma01_g09850 transcript:Ma01_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRQHNELKNTNPLLGDRWPTGGTTRSGVPGWLSGSTDKLTSTYDLVEQMYYLYVRVVKAKDLLSNPITMNCDPYIEVKLGNYRGTTRHFDKKTNPEWNQVFAFSKERIQSSLLEIFVKDKEMVGRDDYLGKVVFDLNEVPTRVPPDSPLAPQWYRLEDRRGEGKVRGEVMLAVWVGTQADEAFPEAWHSDAASVHGEGVVNIRSKVYVSPKLWYLRVNVIEAQDVQPNVRGRAPEVFVKAQVGNQVLKTKICQTATLNPLWNEDLIFVVAEPFEEQLALTVEDRVSPRKDDVLGRASLPLTLFEKRLDHRPVVHSRWFDLEKFGIGILEGETRRELRFSSRIHLRVCLEGAYHVMDESTMYISDQQPTARQLWKPPVGILEVGILGANELLPMKMREGRGATDAYCVAKYGQKWIRTRTMVGTFAPTWNEQYTWEVFDPSTVITIGVFDNCHLGSSDRHGGGGPAPRDSKIGKVRIRLSTLETDRLYRHTYPLIVLQSSGVKKMGELQLAIRFTCLSLANMIYLYGHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAARLGRAEPPLRKEVVEYMLDVESHMWSMRRSKANFFRIMSLLSGLISMFKWFGDVRHWKNPITTVLVHVLLLILVWYPELILPTIFLYMFMIGLWNYRFRPRHPPHMDTKLSWAEAVHPDELDEEFDTFPTSKPHDVVHMRYDRLRSVAGRIQTVAGDMATQGERLQSLLSWRDPRATCMFVVFCLCAAVALYIAPFRLVALVAGLYMLRHPRFRSKLPSVPINFFRRLPSRIDSML >Ma10_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31528782:31535386:1 gene:Ma10_g21980 transcript:Ma10_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFVRTISRRSRRSILSLSFHLSNPRPFPPASPIALTPKNYSGPAFAPFCGFLQPPAPCLRCPHRGLCGIPRSNDDLKVAPLAPPGDPPKKKGKSAKKKSRVDFTKVDPTLLPTVILVGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGIAKLGDLRFRVLDSAGLETAATSGSILHRTTEMTGNVLARTKFAIFLIDVRDGLQPLDMEVGKWLRKHGHGIHTIVAMNKSESLDEQGLLTAEAGQAYTLGFGDPIAISAETGFGMAELYETLCPLLEDYMLQLPSDNHARDVISSDAEENGDCKSPLQLAIVGRPNVGKSTLLNALLQEERVLVGPEAGLTRDSIRAHFRFEERTVFLVDTAGWLQRSGKEKGPASLSVMQTRKNLMRAHVIAVVLDAEEIAKAKTSMKHSEVVIARQAVEEGRALVVIVNKMDLLRGKHNSILREKVIKAVPQEIQTVLPQVTGIPVVFVSALEGRGRVAIMRQVVDTYQKWCLRLPTARLNRWLRKVMSRHSWKDQATQPKIKYFTQVKARPPTFVAFLSGKTHLADTDIRFLTKSLKEDFDLGGIPIRITQHSVPRKAELSKKSKKPSTRMNARILSEKRVASTELVSS >Ma11_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20444320:20448084:-1 gene:Ma11_g14750 transcript:Ma11_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRLCQTINQSQFQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHPVFKDSSFKRIFEDGEQSLGLSFNGTLEINCSKDVKIQGIIGPCTSMEKGALCADTIVGQGNTTSWKMCGLDRSTCLTVFFDISPSEFTNVMVTCSYQNPEGQMRLRVTTITRAWVDGSNTEELVGGFDQETTAVVLARYFSLKMEMEVRKVLHTWMDVFTP >Ma05_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6085514:6100498:1 gene:Ma05_g08200 transcript:Ma05_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIVMREALTLPSIGINPQFITFTHVTMESDKYICVRETSPENSVVIVDMNMPMQPLRRPITADSALMNPNSRILALKAKIPGTTQDHLQVFNIEAKSKIKSHQMPEQVVFWKWITPKMLGLVTQTSVYHWSIEGEAEPLKMFDRAANLTNNQIINYKCDPTEKWLVLIGIAPGASERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVVGNENSSTLICFASKSSNAGQITSKLHVIELGAQPGKPGFTKKQADLFFPPDFADDFPVAMQISQKYSLVYVITKLGLLFVYDLETTAAVYRNRISPDPIFLTTEASNIGGFYAINRRGQVLLATVNEATIVPFISGQLNNLELAVSIAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSTEWALECMKDLLMVNLRGNLQIIVQTAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQLKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWETVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMSADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPPRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIERAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDETQFLNVIRAAEDANVYHDLVKYLLMVRQKVKEPKVDGELIFAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDDALYEAAKIIFAFISNWAKLACTLVKLKQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSDYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRSEKLMEHIKLFSTRLNIPKLIRVCDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDVLHVLALRVDHTRVVDIMRKAGYLHLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESVDLHDNFDQIGLAQRIEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNLYKDAMETCSQSGDRELSEELLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNIIDFAFPYLLQFIREYTSKVDELVKDRIEAQNEVKTKEKEEKDLVSQQNMYAQLLPLALPAPPGMGAPNMGGPYAVPPPMPGMGMPPMAPFGMPPMGSY >Ma07_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27272429:27274396:1 gene:Ma07_g19240 transcript:Ma07_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGGKTVGGVDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVADVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGEEYDEADEY >Ma11_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2278355:2279491:-1 gene:Ma11_g03090 transcript:Ma11_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPSHVHHYRHVRYSHVGSSGRPGSRPPPPRDLIQSCPYVHGGSSTGPPMGTGGEVAPRRSSYRGIRQRSGKWVSEIREPGKASRIWLGTYATAEMAAVAYDVAAHALRGADAVLNFPDEIATRPVPASGSPTAIRSAAAEAATALLLRGTGIAAADDDDAVPQRPSEGQYIDEDEIFDMPQLLVNMAEGMLMSPPRLSPSGPDDLPEVYEGESLWRWSYP >Ma04_p26490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27914517:27917371:1 gene:Ma04_g26490 transcript:Ma04_t26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGSIARTAQVTAIRAVSPIRRATGGKSCKGCLYYSSRLKSDGHNPVCVGIGRTLPQDIGGQKNFNCWSYSCKC >Ma04_p30950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31429894:31435641:1 gene:Ma04_g30950 transcript:Ma04_t30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGFSVASPSPSVTKLYHDLRHLKINSGRFMASALPVEQVDQPPSRLRGDSFIRLHLRQLSPYQPILPFEVLSTRLGRKPEDIIKLDANENPYGPPPEVAEALGSLKFPYVYPDPESRHLRAALAEDSGLESDYILAGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGALVIKVPRQSDFSLDVPQIVEVVEKEQPKCIFLTSPNNPDGSIISDEDLLQILNLPILVVLDEAYIEFSGLQSKMKWVKNHENLIVLRTFSKRAGLAGLRVGYGAFPLSMIEYLWRAKQPYNVSVAAEVSACAALQNPDYLEKVKNSLVQERERLFSLLKEVPYLKPYPSYSNFILCEVTSGKDAKKLKEDLAQMGVMIRHYNKKELKGYVRVTVGKPEHTDALMECLNLLH >Ma08_p27570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39763527:39767969:1 gene:Ma08_g27570 transcript:Ma08_t27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAFIAVVAPNSLHSISNPLRPQLPARLRRALPPPVPVPVPFAIGKGRSTALRRAASCSLIEPDGGTLVDLVAREGPAREALRRDAARCPQIKLSRIDLEWVHVLSEGWASPLCGFMREAEFLQTLHFNCLRLGDGSIANMSVPIVLAIDDAQKRAIGDRRRIALVDARDKPVAILSDIEIYKHNKEERIARTWGTTAPGLPYVEEAITNAGNWLIGGDLEVIERIKYNDGLDKYRLSPAELREEFSRRNADAVFAFQLRNPVHNGHALLMTDTRRCLLEMGYKNPILLLHPLGGYTKADDVPLHWRMKQHEKVLEDGVLNPETTVVAIFPSPMHYAGPTEVQWHAKSRINAGANFYIVGRDPAGMSHPIEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKKQKKMAFFDPSRHQDFLFISGTKMRTLAKNRENPPDGFMCPGGWDVLVEYYDSVAPQGANKFREAVPA >Ma00_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:25405762:25413282:-1 gene:Ma00_g03130 transcript:Ma00_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRYWIVSLPVHTSASATWNRLRQSISKNSFDTPLYRFTMPDLRVGTLDSLLALSDDLVKSNAFIEGVTHKIRRQIEELEKASGVEVGALTVDGVPIDSYLTRFVWDEGKYPTMSPLRELVDSIHVQVAKIEDDMKVRVAEYSNIRSQLNAINRKQSGSLAVRDISNLVNPEDIVASEHLVTLLAVVPKYSQKDWLSSYETLTTFVVPRSTKKLHEDNEYALYTVTLFQKVADNFRNNAREKGFQIREFEYSPEAQESRKQELEKLIQDQESKRSSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPSFLAVVLAPLVKSEKKVRGILEELCGNVNSSYWKSEDEIGLAGIGGEAEAYPYVSFTINLV >Ma11_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20327647:20328549:1 gene:Ma11_g14590 transcript:Ma11_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSGRYPRGAPSASAARILRPAFDVLDADRDGKISHDDLKAFFSSGFAAPLSDEDIGSMIYTADADRNGLVEFEEFERVLSGRGGGRSGGDVMAEAFRVMDRDGDGKVGFGDLKAYLSMAGLPAGDADVWGMLRMGGGGDAVSFDALLRILAVDFANGP >Ma01_p09070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6523637:6526689:-1 gene:Ma01_g09070 transcript:Ma01_t09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDIYDVLAAVVPLYVAMILAYGSVRWWKIFTPNQCSGINRFVAVFAVPLLSFHFISTNNIYAMNFHFIAADSLQKVVILVALFLWHNLSRRGSLDWSITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVMQSVIWYTLMLFLFEYRGAKALISEQFPADITASITSFRVDSDVISLNGREPLQADAEVGQDGKVHVVVRKSSSSIARSMASSYNKSHGLNSMTSMTPRASNLTGVEIYSLQSSRDPTPRASSFNQTDFYAMFSSKVTSPRAAGCNVEDDGGIKPGKHRGSGSKSSEFMNGGLYTTSSSSSYPAPNPMLSGLSSGAKEGAAAAANGNKELHMFVWSSSASPGSEANLRNIVNRAASTEFGIVDPPKAAAHFQENPTPKGVHATSGNASPTKKAGAAASGELDMEDGRKSHGGKFPTNTSPYVTQKKGVDAGGAPGLVESSHRMPPASVMTRLIVIMVWRKLIRNPNTYSSLIGLIWSLVSYRWNIEMPTIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKSVAAFSMAVRFLTGPAVIAATSIAIGVRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPDILSTAVIFGMLIALPITILYYVLLGV >Ma01_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13642677:13651318:1 gene:Ma01_g18390 transcript:Ma01_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRSGLLKKAYELSVLCDAEVALIIFSSRGKLFEFGSGEVCKTLERYQSYRYASQETNVDYHETETQSWYQEFFKLKVKYESLQRSHRHLLGEDLGTLGVKELQQLEKQLDLALSQSRQTKLKLMMEQIEELQKNERHLEEVNRKLKDKLQVEGSSSTAIQRCWESKSMAEGNPLPLHPCPANAAYPEPTLQIGYYQHFGRPEAATTTGAAGENNVMVGWLR >Ma09_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1583163:1584645:-1 gene:Ma09_g02210 transcript:Ma09_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRLLFLLGLCLCLSSLVSSKSADFMALTLVWPGAQCTGDILRICCKPSSGLPARDFQVQAMETYDSNGKLVKKCSICAFSADRLSDLLPTLHDYWSDVSCPSNDGVNQWQSAWCTYGTCSSLTQVNYFTRALELRAEVDLLSLFSSYGIVPTKSKLYGLETIKGVLASHFGASTWVECNVNTLWFFESQLYKIHICIAADGSSIIDCPVTKRSNCGDTVRFVPFPWTSAAAGEGKLESGPSGKAMVL >Ma04_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21590570:21599340:-1 gene:Ma04_g19050 transcript:Ma04_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVDDCRDGRREEAEFWRPGGIKVVDDSLQLDRYSLGNNGTFPSAQLDIDEKHLIDPKLLYIGAKIGEGAHGKVYEGKYCDQIIAIKVLNGGSTPEETATLRARFIREVNMMCRVQHENLVKFFGACKDPFMVIATELLPGMSLKKYLSTIRPKQLDLYKAISFALDIAHAMDCLHANGIIHRDLKPDNLLLTTNQKNVKLIDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLHRGEKKHYTNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQMRPPLPEDTPPELVFIVQSCWVEDPHTRPTFSQIIRMLNAVICTLPPAPAPAPPEQDTVPATMSTRGTITTTTSTRRGGKLSFLRQLFAAKKEGKSST >Ma06_p28670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30297646:30298013:1 gene:Ma06_g28670 transcript:Ma06_t28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDTSDMNKATKRPPRYSASYESTPDTLESFRKTQDGAIQYRPVRVNQLQGTSHPPEESMIIKGLRTTDHPTTGIKANSLTNKRELRTLDSLSFHQKLT >Ma02_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23777308:23778703:1 gene:Ma02_g16390 transcript:Ma02_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDGAAFVSELYFTDNSSWGKSGMFKIGARVVPGSYRGPRIREAMTEPFKVKDHRGESYRKHYPPALEDEVWRLEKIAKDGKFHKKLADANINTVQDFLKLWFVNPQSLRQILGQGMSDRKWEATINHAKTCVVGDKLYIHHGRRYALVLNSVCQVVNIIAGANRYTLQDLVNRADRDHVHQLAREAYENWGHLEEFHGLLPNTNLPLHQINVQMPRGTVEPDLYTDQDEVGTFVCDFGDDGVGPFNFSDVQSTTY >Ma09_p30640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40686255:40687461:-1 gene:Ma09_g30640 transcript:Ma09_t30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKASLIVAASVAAVEALKDQAGLCRWNYALRSLHGRAKRSMAPFSRAKRMSSSSIDQWRRGGEKAVERARRTEDSMSKIIYLDSWGPK >Ma04_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24093176:24100832:-1 gene:Ma04_g21560 transcript:Ma04_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSSNLPGEEGEEEEFFESLDRILSSSCSSTSASASASASASDDESSAVGRRRRARLRFPSLSSLDVWFSAPASVEERRRRLLRHLGLAGDPAVASPRRGGGAFGPSAHAETARSVSCDGLCPRPNPVPVSAVTRSRSDGSAVPGHVNESLPQQHHQGTIRAPFSSNPALDARFRSLSTGILEVSEGDRRIIGGDLCDDPRCLIKNLDNGSEFVVEEFYEDGMWNTLSEVGTGRQLTMEEFDRCVGRCPIVQELMRRQNVEEITNSSGSGGGPAGGAVAGGGSTGGIRFKKRGSWLRSIKNVAGTMVAGGHSHDRKSSDEKDSSSEKGGRRSSSATDDSLDASHGLHHGLERIKVRQYGKSQKELSGLCMCQEIQAHNGSIWSIKFSLDGQYLASAGEDCVIHVWKVSEIETRGDLLNEKASPENEGNHWENNRARVLGSRKSVCSDPFMVPKYVFALSDKPLRSFQGHLKDVLDLSWSKSQYLLSSSMDKTVRLWHMSNNSCLKVFSHSDYVTCIQFNPIDDRYFISGSLDDKVRIWSIPDRQVVDWNDLHEMVTAVCYTPDGQGALVGSHRGNCYLYETSDNKLLQKSRVDLQNRKRSSRQKKITGFQFAPGSPSKVLITSADSRIRVIDGDELVHKFKGFRNTSSQISACLTTNGKHVICASEDSHVYVWRHDDSQTSRRNGVGATTITHSYEYFHCQGVTVAVPWPNTSSMKMLRTCSSKQCALNIEFQANAPLVAENNKTQQQSTIHNSSLNRLSDRNSATWPEELMTVDKQSPGCNGDFCNGGMAAQCKSAWGLVIVTAGQGGEIRTFQKFWLAKPSTVTSTAL >Ma01_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15064390:15069005:-1 gene:Ma01_g19440 transcript:Ma01_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKQSVTKLTNILEGLPEMPFNTMEYMLLCTTIYDFCTMKTPNDLSEQLYAEYKKSLMDYLHSTVLPSLRSKNDEYMLKELVRRWLNYKVMVRWLSRFFHYLDRYFVKKKALLPLKEAGITCFRDLVYEEMKGKIKDAVISLIYQEREGSQIDRPLLNNVVEIFIQIGLGSKEYYEVDLEAPLLQDTATYYSRKASKWILEDSCPEYMLKAEERLKQEKDRVDHYLDHTTKQKLIENVEHQLLSVNEIQLLEKENSGLIALLRDGKVDDLERLYRLFSRIPEGLTHVSQLFTQHVKAEGTALVSQAENAATDQSVQFLSENHELMNLLGFQVLIRRIIELYDKYMGYVQESFQNHTLLNKALKEAFEVFCNKSVAGSSSAEMLASFCDNVLRKAGSEKLSDDEIDRTLEKSMKLLSYINDKDLFAEFSRKKLARRLLFDRYANEDHERLILTYLKQQCGGQFSSKMEGMVTDLTLAKENQSCFEDYLYANPHAHPGTELSVSVLTTGFWPSYKSSDLTLPDEMVKCIEAYKKFYESSTKNRKLSWIYSLGTCNINAKFDAKSIELNVATYQAAVLLLFNSADRLSYAEIKAQLNLTDEDMVRVLHSLSCARYKILKKAPMTDTVSPNDIFEFNSKFTDKMRRIKVPLPPVDENKKVIEDVNKDRRYAIDAYIVRIMKSRKALGHQQLVLECIQQLSRIFKPDVKLIKQRIEDLITREFLERDEEDPNIYKYIA >Ma07_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10293070:10298112:1 gene:Ma07_g13710 transcript:Ma07_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLRLLVLLLAAASAAFLGSKAQATPTGAIVKHLSSVLKWTKPSPKTTHHDGDVVEFESGYFVETLVEGDKLGVVPHTIRVSPEGELFAVDATNNNIVRITPPLSQYSRARLVAGSFQGYSGHVDGKPSDARFYHPKGVTMDDKGNVYVADTSNLAIRKIGEAGVTTIAGGKSNIAGYRDGPSEDAKFSTDFDVVYVGRTCSLLVVDRGNAALRQISLQQEDCEYQYSSVSTSDIIMVIGAVLAGYVSCLLQHGFGPLISHKNQAFESAQHEGNSLEKPTLVVETLKEEPGAGWPSLGRLLVDLSKFAVEGIGNMLLNLVPFGLCRKRSAGLTPLKDHLVMPEDKAVPPVVQKQKSPSPMSETLHEPNVVTETAPKPQKSSKVPKFKDPSLPSKHRSSKRQEYADFYGSVEAQVSSKPQKDRVRHRHRDKSSEALFGAVGTEPKSVEMKSADYSDPKFDHYNIRSKYGPDNTFRY >Ma08_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7876433:7883813:1 gene:Ma08_g10750 transcript:Ma08_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVDDRGSFGSKRSRSEGSRSDGDWTCPQCGNVNFSFRTVCNRGRCGAPRPSASPNSRIGLTPVPGAFDRPLPGYYGGVGVPPHMPLGLSGGYGAPLPLSGMRYDYGPLSSPGYGPLSAYGPPGPIGGYGYGPGPTMDRYGYGYRGSPMPVLGPWSGEELPDNSASRKRRGGPDGSFEGDWKCPKCGNINFAFRTTCNMKKCGAPRPASASNRVDKDVPDAPEGSWTCPKCNNLNYPFRNVCNRKGCGSEKPSTS >Ma05_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4271386:4272870:-1 gene:Ma05_g05620 transcript:Ma05_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVPLPRFLSSVELAYLNVVNHLLAFPIIPVMVAVLLQVLELGPDELIAHWSSLGIDTIHALCTIFTVVVVATAYFMSRPRPVYLVDHACFRPPRSFRVPFATFFEHASLVPFFDEKSVRFQVRFLESSGLGEETCLPLANRYIPPCPSMEAACAEARLVIFSAIDELIAKTDLKPRDVDILVVNCSLFSPTPSLSAMIVNKYKMRSNIMSFNLSGMGCSAGLISIDLARSLLQAHPNSYALVVSTEIITPNFYAGNERSMLLPNCLFRMGAAAVLLSNSRREEDRAKYRLLHVVRTHEGADDRAYRCVYEEEDGEGNSGINLSKELTTVTGEALRANITAVGPLVLPVTEQLRFLASLVARRLLGARGGKPYIPDFKRAFDHLCIHAGGRAVIDELQRSLGLPAALVEASRMTLHRFGNTSSSSLWYELAYIEAKSRMRRGDRVWMIGFGSGFKCNSAVWRCLRAPKAPVDGPWSDCIHRYPVHVPEMVKLL >Ma06_p17550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11910135:11914803:1 gene:Ma06_g17550 transcript:Ma06_t17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFNKEETPSTSNDPSPSTTDGASNPASVVPVSPSPPPAKTGPIGPVLGRPMEDIRTMYTIGKELGRGQFGVTHRCTHKATGEELACKTIAKRKLRTKEDIEDVRREVQIMYHLSGQPNIVDLKGAYEDKHSVHLVMELCAGGELFDRIITRGHYTERAAAALLRTIVQVVHTCHSLGVIHRDLKPENFLLLNEDEDAPLKATDFGLSVFFKEGEVFKDIVGSAYYIAPEVLKKKYGPEADIWSTGVMLYILLCGGPPFWAESEHGIFEAILRGQIDFTSDPWPNISPGAKDLVRKMLNLDPTERLTAFQVLNHPWIKEDGEAPDTPLDSAVFNRLKHFRAMNQFKKAALKIIAGCLSEEEIKGLKEMFKNMDSDNSGTITVEELKEGLTKQGTKLSEDEVKQLLEAADADGNGTIDYDEFITATVHMNRMDREEHLYKAFQYFDKDNSGYITREELEQALKEKGMYDGQEIKDIIAEADADNDGRINYDEFVAMMRKGNPEPNPKKRRDVFI >Ma07_p28780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34701243:34711978:-1 gene:Ma07_g28780 transcript:Ma07_t28780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHQRPFFDLNELPVEEEDENDNPLIYQSQKSLPDANLNSSNLLSPSEGCQGMLNSHAFSHASTGSGFQPFVRKNDLQKSKVLKLSGSESNANQTLTSIAASFEDDNKNSKLVSSGSQDVQTVEREDGEWSDMDGNIDQSVGITSSLHESLGAEFAEKQIVDEESEPDFVKADENSQNDSNSFVGTSDNEVGESLKNLQDNGSMVLESHRNTDFDSKVEVPVDCVEESSVAKVKEVRGVEASHALRFANNPVKRPKLDEHKEAMLGKKRARQTVFINVEDAKRASTVKTTTPRRQTSFPAPIVTRPSKDSFRANNSVVDRSLERQNQPITKDQNQSDILGIEGSSLMEIDQKNELNGDVVSGGLVRSKKLNHNDCSSEIYAPPGPRPPWKQSVDNRLLKNSAVSSRKPPVSGQGNTDQKLGTKRNSSSKRQISTNPQYQDTSVERLLREVTNEKFWHHPEETELQRVPGHFESVEEYVRVLEPLLFEECRAQLYSTYEELQETITRDAHIMVRVKNVERRERGWYDAIVLPVHDCKWNFKEGDVAVLAYPRAGAARSGRRNSFAANEDDIEHEVNGRVVGTVRRHIPIDTRDPLGAILHFFVGDLYDSSSSRMDDDHILRKLQPKSTWYLSVLGSLATTQREYIALHAFRRLNLQMQAAILKPSPEHFPKYEEQTPAMPDCFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTNSGATKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQTIESNSESVISGSIDEVLQNMDQSLLRTLPKLCPKPRMLVCAPSNAATDELVARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKSREEVAGWLHQFKVRESALAQEILSLQRDLSVAAAASRSQGSVGVDPDVLAARDQNRDVLLQKLAAAIENRDKVLVELSRLAILESKFRAGSNFNLEDARASLEASFANEAEIVFTTVSSSGRKIFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTLLLSVQYRMHPQIRDFPSRYFYQGRLTDSESIANLADEVYYKDPLLRPYVFYDVMHGRESHRGGSVSYQNVHEAQFSLRLYEHLRKFVKASSGKKVSVGIITPYKLQLKCLQREFEEVLNSEEGKDIYINTIDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALIQSEDWAALIADAKSRECFVDMENIPKEFLVLKGSTASPAKGSSNNMRNSRTGGQRQRHLDMLAGPKSGAQSEDEDKSNSFLPRNGGYRNLKFNAISLDLGHSNERSRDGSHYGVTRRQNTSVASRKEI >Ma07_p28780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34701243:34711978:-1 gene:Ma07_g28780 transcript:Ma07_t28780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHQRPFFDLNELPVEEEDENDNPLIYQSQKSLPDANLNSSNLLSPSEGCQGMLNSHAFSHASTGSGFQPFVRKNDLQKSKVLKLSGSESNANQTLTSIAASFEDDNKNSKLVSSGSQDVQTVEREDGEWSDMDGNIDQSVGITSSLHESLGAEFAEKQIVDEESEPDFVKADENSQNDSNSFVGTSDNEVGESLKNLQDNGSMVLESHRNTDFDSKVEVPVDCVEESSVAKVKEVRGVEASHALRFANNPVKRPKLDEHKEAMLGKKRARQTVFINVEDAKRASTVKTTTPRRQTSFPAPIVTRPSKDSFRANNSVVDRSLERQNQPITKDQNQSDILGIEGSSLMEIDQKNELNGDVVSGGLVRSKKLNHNDCSSEIYAPPGPRPPWKQSVDNRLLKNSAVSSRKPPVSGQGNTDQKLGTKRNSSSKRQISTNPQYQDTSVERLLREVTNEKFWHHPAEETELQRVPGHFESVEEYVRVLEPLLFEECRAQLYSTYEELQETITRDAHIMVRVKNVERRERGWYDAIVLPVHDCKWNFKEGDVAVLAYPRAGAARSGRRNSFAANEDDIEHEVNGRVVGTVRRHIPIDTRDPLGAILHFFVGDLYDSSSRMDDDHILRKLQPKSTWYLSVLGSLATTQREYIALHAFRRLNLQMQAAILKPSPEHFPKYEEQTPAMPDCFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTNSGATKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQTIESNSESVISGSIDEVLQNMDQSLLRTLPKLCPKPRMLVCAPSNAATDELVARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKSREEVAGWLHQFKVRESALAQEILSLQRDLSVAAAASRSQGSVGVDPDVLAARDQNRDVLLQKLAAAIENRDKVLVELSRLAILESKFRAGSNFNLEDARASLEASFANEAEIVFTTVSSSGRKIFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTLLLSVQYRMHPQIRDFPSRYFYQGRLTDSESIANLADEVYYKDPLLRPYVFYDVMHGRESHRGGSVSYQNVHEAQFSLRLYEHLRKFVKASSGKKVSVGIITPYKLQLKCLQREFEEVLNSEEGKDIYINTIDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALIQSEDWAALIADAKSRECFVDMENIPKEFLVLKGSTASPAKGSSNNMRNSRTGGQRQRHLDMLAGPKSGAQSEDEDKSNSFLPRNGGYRNLKFNAISLDLGHSNERSRDGSHYGVTRRQNTSVASRKEI >Ma07_p28780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34701243:34711978:-1 gene:Ma07_g28780 transcript:Ma07_t28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHQRPFFDLNELPVEEEDENDNPLIYQSQKSLPDANLNSSNLLSPSEGCQGMLNSHAFSHASTGSGFQPFVRKNDLQKSKVLKLSGSESNANQTLTSIAASFEDDNKNSKLVSSGSQDVQTVEREDGEWSDMDGNIDQSVGITSSLHESLGAEFAEKQIVDEESEPDFVKADENSQNDSNSFVGTSDNEVGESLKNLQDNGSMVLESHRNTDFDSKVEVPVDCVEESSVAKVKEVRGVEASHALRFANNPVKRPKLDEHKEAMLGKKRARQTVFINVEDAKRASTVKTTTPRRQTSFPAPIVTRPSKDSFRANNSVVDRSLERQNQPITKDQNQSDILGIEGSSLMEIDQKNELNGDVVSGGLVRSKKLNHNDCSSEIYAPPGPRPPWKQSVDNRLLKNSAVSSRKPPVSGQGNTDQKLGTKRNSSSKRQISTNPQYQDTSVERLLREVTNEKFWHHPAEETELQRVPGHFESVEEYVRVLEPLLFEECRAQLYSTYEELQETITRDAHIMVRVKNVERRERGWYDAIVLPVHDCKWNFKEGDVAVLAYPRAGAARSGRRNSFAANEDDIEHEVNGRVVGTVRRHIPIDTRDPLGAILHFFVGDLYDSSSSRMDDDHILRKLQPKSTWYLSVLGSLATTQREYIALHAFRRLNLQMQAAILKPSPEHFPKYEEQTPAMPDCFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTNSGATKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQTIESNSESVISGSIDEVLQNMDQSLLRTLPKLCPKPRMLVCAPSNAATDELVARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKSREEVAGWLHQFKVRESALAQEILSLQRDLSVAAAASRSQGSVGVDPDVLAARDQNRDVLLQKLAAAIENRDKVLVELSRLAILESKFRAGSNFNLEDARASLEASFANEAEIVFTTVSSSGRKIFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTLLLSVQYRMHPQIRDFPSRYFYQGRLTDSESIANLADEVYYKDPLLRPYVFYDVMHGRESHRGGSVSYQNVHEAQFSLRLYEHLRKFVKASSGKKVSVGIITPYKLQLKCLQREFEEVLNSEEGKDIYINTIDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALIQSEDWAALIADAKSRECFVDMENIPKEFLVLKGSTASPAKGSSNNMRNSRTGGQRQRHLDMLAGPKSGAQSEDEDKSNSFLPRNGGYRNLKFNAISLDLGHSNERSRDGSHYGVTRRQNTSVASRKEI >Ma06_p37490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36522398:36526967:-1 gene:Ma06_g37490 transcript:Ma06_t37490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTLEQISHESMDGTATTSLSLSHRALSDVSCLSNFRNLERLDLNCNCLSSLEDLSSCVNLKWLSVVENKLETLKGVEGLSKLMVLNAGKNRLRAMSEIESITSLRALILNDNKISHICKLDQLGFLNTLVLSRNPIYDIGDSLMKAKSITKLSLSHCQIQEIGSSLISCVDLKEAKFAHNQIGTLPADLAQNTKLQSLDVGSNLIENWSDIKVLSALHNLKNLNLQGNPIAEKDKLAKKVQKMVPRLRIFNTRPIETTAGAERLSHSKDDKFLPYSSENEAATRLNKNRLKSDVNVSKNVLHKSGNGDDNRPASTNVVEKEMEKKKSKSRAKKAVAMDDNPPRDSTDSRVDKKAKGKQHTDKDEIKFEGIDDVETPFVDLIFSKNTTTQQVEGYKKGYEMVADGKLVGALVLNNAKKRKWSKSTSTDALALQLQPPASDVGMGGPSTWGD >Ma06_p37490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36522436:36526946:-1 gene:Ma06_g37490 transcript:Ma06_t37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTLEQISHESMDGTATTSLSLSHRALSDVSCLSNFRNLERLDLNCNCLSSLEDLSSCVNLKWLSVVENKLETLKGVEGLSKLMQVLNAGKNRLRAMSEIESITSLRALILNDNKISHICKLDQLGFLNTLVLSRNPIYDIGDSLMKAKSITKLSLSHCQIQEIGSSLISCVDLKEAKFAHNQIGTLPADLAQNTKLQSLDVGSNLIENWSDIKVLSALHNLKNLNLQGNPIAEKDKLAKKVQKMVPRLRIFNTRPIETTAGAERLSHSKDDKFLPYSSENEAATRLNKNRLKSDVNVSKNVLHKSGNGDDNRPASTNVVEKEMEKKKSKSRAKKAVAMDDNPPRDSTDSRVDKKAKGKQHTDKDEIKFEGIDDVETPFVDLIFSKNTTTQQVEGYKKGYEMVADGKLVGALVLNNAKKRKWSKSTSTDALALQLQPPASDVGMGGPSTWGD >Ma07_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17608788:17623596:1 gene:Ma07_g17470 transcript:Ma07_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMQPWMFKLHELFHLKLSLLFPITILRFLEDILLSSAETLHSGSPSANPGEAVYMENGMSISHWCKLCLGILAPNDISGWEWTGGSSTKGVFFGSKASNAPTLVNNIGTISDIKSFGGSWRSAEPLNNSVVPSHPYTLVGHTAQEKSVNKVQDNEYQRYNLDGCNLFQEKIHSSEQVIKSVLSKNQSMQIAKQSPTCVGSEKLHSTLCKGKEIIDHYLDTDGVNYNTKFVDQSVALPCLWANNSVNHDCDISSNNFSIDTSLADKDGASNIELRLGQPSQKCHIFAGSYPTPVLEFGATCNPKKPHFHQQLKQQVDNAYDRKKTSQSLHFTASETLCSNKRLERHATTAVNTYNHSESEDLSRSANKNPLISLFLSHLEGNSTSLSLDNFFNSSDHLPSRVPSGDSPVKLKVSNPVGDATHGIERKSEASKLDFLNILDERKSLLAADNGIVKSVCIVKDNQIANTREIDTSFSKKCPQISVSVDASQTSFYPDQLSGMLQKLGGKIPKQHDLASVSNKDYCNHAVHGSSDPVPSEMVGHDSLNSDIPMSLASTSLCSLEPKKKVLNTTQHLMDVNLKNFAFRHMVGLTTQKSSTSLKKSPQHHKLCCLSSMEPQLDGCQDLEMQRDAGEGNYCRNYHEISKIAIKSVHSCPSCQSGRGMDIFPGHPCHTGSTRSCNCTGSTQRVPLSSEKYCKRFSTCCICDVDEQPCLRLGRLGSNCFTGSLKHGMCNHKEHNSCLSQHCCSSVLPYCVSGFCTSGGNKTFHALSERRVCGQAKVMHATPDHDKDYLIPDRKRICLAHCGCSKNKFVPRNDQKTSFWRDVPKKVYADADISSTFKIAQALETTKRIGDQLDDCSPEFDGTRQSSQSTRAQKMFNMSSGSSAPVVTEVSTEVNNLTSCAANIRTTNMIHDLLVDEGSGNEKCGSSDEAVGGRECEETIHIMGKVDVATPGFHHLAGHSSVGLIDELCLMSPLKTKRVRNMNKCCADQENVNKNLNFERTPKTANRNESMELNGPDMLIPLSDYAFPSEIPNNLRHLEIDHSRSQGEVSPQPVSVKKKTHLSTCGSSSVKRKRSSLSCNKSNFERFSIQHKLQEDIEKRILDDDHSLSRVETSRKKTKQVLAAYLKQENSTRTGKPPKYMSLNCIGNTFSNIKTTLPKKSRPIVCGNSGIIYCGETDGDQKPPKIISLSLILKNARRCSTVEVYNTSLGLDNELSSLKLLHEKDMPSSCSENGQNPKFLRISGENKGYSSKNGGYLDTLSAGKETDSVLGPGLHPKQLKSQSRPKQKDVHTQSLNRFGAKHRHATKNSCLSASGINECSKSIEAENELNDFPSTTVDGVEHQNEKLHPREILESALPTNIPSFAKLKNNQDHAGKLSQVSRRRCSQGYKFPSFLLNSDSFCCVCGSSNQDDANHLIECNDCLIKVHQACYGVSKIPKGHWSCRPCKANSQNIVCVLCGYGGGAMTRALKCQNIVKSLLKAWRVSKTSYSGKSVPSESTENEFFNPSSVGEVPKFDKCGLAPLGEIISDFSPKAALKLDMQMQTNFPESKNCMPEKFQTHNSITAGVLDPSTKQWVHMVCGLWTPGTRCPNVDTMSTFDVSGALPAKKNIVCSICNRPGGSCIECRVPTCCIPFHPWCAHQKGLLQSEIEGDDNERVGFYGRCPHHATLNSCLPDSHVMDPEEESPGNNEWTCARTEGFKGRKREKGYKPNTQRPCDNGVCIVSQEQINAWLHINGQKSCTRGVVRQPCSDVEYDLRKEYIRYKQSKGWKHLVVYKSGIHALGLYTSQFIARGAMVVEYVGEIVGLRVADKREAEYQSGRRIQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISIRNEKKVVFFAERDINPGEEITYDYHFNSEDEGKKIPCFCNSRNCRRYLN >Ma07_p17470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17606743:17623596:1 gene:Ma07_g17470 transcript:Ma07_t17470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWLAKCVAPPPPLPPLPAPASTPTVPPQQLPLPQASAGQVSMNLRHHFPSFLAQEAVLKDQVRSQEASASDTFNLSSYRLGKTELGNSFLALLSGKFSQLPNSRMDVAKPQVSNDDKILSGSGCVVPSINIPPLPENHGDNAPGNWNELSSFVASRPSVNSASVHNSAQVAGNSYHDMDSIELVSPQSSKCSNTGFALNSTRSGWLTSSKSANGNKHATMDVQASRIVSFEAKPPVSNNNSPFLRGHPLVFCRNTVGELFMGNKGLFEVICFCHSCRMSVAKFCEHSGSPSANPGEAVYMENGMSISHWCKLCLGILAPNDISGWEWTGGSSTKGVFFGSKASNAPTLVNNIGTISDIKSFGGSWRSAEPLNNSVVPSHPYTLVGHTAQEKSVNKVQDNEYQRYNLDGCNLFQEKIHSSEQVIKSVLSKNQSMQIAKQSPTCVGSEKLHSTLCKGKEIIDHYLDTDGVNYNTKFVDQSVALPCLWANNSVNHDCDISSNNFSIDTSLADKDGASNIELRLGQPSQKCHIFAGSYPTPVLEFGATCNPKKPHFHQQLKQQVDNAYDRKKTSQSLHFTASETLCSNKRLERHATTAVNTYNHSESEDLSRSANKNPLISLFLSHLEGNSTSLSLDNFFNSSDHLPSRVPSGDSPVKLKVSNPVGDATHGIERKSEASKLDFLNILDERKSLLAADNGIVKSVCIVKDNQIANTREIDTSFSKKCPQISVSVDASQTSFYPDQLSGMLQKLGGKIPKQHDLASVSNKDYCNHAVHGSSDPVPSEMVGHDSLNSDIPMSLASTSLCSLEPKKKVLNTTQHLMDVNLKNFAFRHMVGLTTQKSSTSLKKSPQHHKLCCLSSMEPQLDGCQDLEMQRDAGEGNYCRNYHEISKIAIKSVHSCPSCQSGRGMDIFPGHPCHTGSTRSCNCTGSTQRVPLSSEKYCKRFSTCCICDVDEQPCLRLGRLGSNCFTGSLKHGMCNHKEHNSCLSQHCCSSVLPYCVSGFCTSGGNKTFHALSERRVCGQAKVMHATPDHDKDYLIPDRKRICLAHCGCSKNKFVPRNDQKTSFWRDVPKKVYADADISSTFKIAQALETTKRIGDQLDDCSPEFDGTRQSSQSTRAQKMFNMSSGSSAPVVTEVSTEVNNLTSCAANIRTTNMIHDLLVDEGSGNEKCGSSDEAVGGRECEETIHIMGKVDVATPGFHHLAGHSSVGLIDELCLMSPLKTKRVRNMNKCCADQENVNKNLNFERTPKTANRNESMELNGPDMLIPLSDYAFPSEIPNNLRHLEIDHSRSQGEVSPQPVSVKKKTHLSTCGSSSVKRKRSSLSCNKSNFERFSIQHKLQEDIEKRILDDDHSLSRVETSRKKTKQVLAAYLKQENSTRTGKPPKYMSLNCIGNTFSNIKTTLPKKSRPIVCGNSGIIYCGETDGDQKPPKIISLSLILKNARRCSTVEVYNTSLGLDNELSSLKLLHEKDMPSSCSENGQNPKFLRISGENKGYSSKNGGYLDTLSAGKETDSVLGPGLHPKQLKSQSRPKQKDVHTQSLNRFGAKHRHATKNSCLSASGINECSKSIEAENELNDFPSTTVDGVEHQNEKLHPREILESALPTNIPSFAKLKNNQDHAGKLSQVSRRRCSQGYKFPSFLLNSDSFCCVCGSSNQDDANHLIECNDCLIKVHQACYGVSKIPKGHWSCRPCKANSQNIVCVLCGYGGGAMTRALKCQNIVKSLLKAWRVSKTSYSGKSVPSESTENEFFNPSSVGEVPKFDKCGLAPLGEIISDFSPKAALKLDMQMQTNFPESKNCMPEKFQTHNSITAGVLDPSTKQWVHMVCGLWTPGTRCPNVDTMSTFDVSGALPAKKNIVCSICNRPGGSCIECRVPTCCIPFHPWCAHQKGLLQSEIEGDDNERVGFYGRCPHHATLNSCLPDSHVMDPEEESPGNNEWTCARTEGFKGRKREKGYKPNTQRPCDNGVCIVSQEQINAWLHINGQKSCTRGVVRQPCSDVEYDLRKEYIRYKQSKGWKHLVVYKSGIHALGLYTSQFIARGAMVVEYVGEIVGLRVADKREAEYQSGRRIQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISIRNEKKVVFFAERDINPGEEITYDYHFNSEDEGKKIPCFCNSRNCRRYLN >Ma07_p17470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17606743:17623596:1 gene:Ma07_g17470 transcript:Ma07_t17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSWLAKCVAPPPPLPPLPAPASTPTVPPQQLPLPQASAGQVSMNLRHHFPSFLAQEAVLKDQVRSQEASASDTFNLSSYRLGKTELGNSFLALLSGKFSQLPNSRMDVAKPQVSNDDKILSGSGCVVPSINIPPLPENHGDNAPGNWNELSSFVASRPSVNSASVHNSAQVAGNSYHDMDSIELVSPQSSKCSNTGFALNSTRSGWLTSSKSANGNKHATMDVQASRIVSFEAKPPVSNNNSPFLRGHPLVFCRNTVGELFMGNKGLFEVICFCHSCRMSVAKFCEHSGSPSANPGEAVYMENGMSISHWCKLCLGILAPNDISGWEWTGGSSTKGVFFGSKASNAPTLVNNIGTISDIKSFGGSWRSAEPLNNSVVPSHPYTLVGHTAQEKSVNKVQDNEYQRYNLDGCNLFQEKIHSSEQVIKSVLSKNQSMQIAKQSPTCVGSEKLHSTLCKGKEIIDHYLDTDGVNYNTKFVDQSVALPCLWANNSVNHDCDISSNNFSIDTSLADKDGASNIELRLGQPSQKCHIFAGSYPTPVLEFGATCNPKKPHFHQQLKQQVDNAYDRKKTSQSLHFTASETLCSNKRLERHATTAVNTYNHSESEDLSRSANKNPLISLFLSHLEGNSTSLSLDNFFNSSDHLPSRVPSGDSPVKLKVSNPVGDATHGIERKSEASKLDFLNILDERKSLLAADNGIVKSVCIVKDNQIANTREIDTSFSKKCPQISVSVDASQTSFYPDQLSGMLQKLGGKIPKQHDLASVSNKDYCNHAVHGSSDPVPSEMVGHDSLNSDIPMSLASTSLCSLEPKKKVLNTTQHLMDVNLKNFAFRHMVGLTTQKSSTSLKKSPQHHKLCCLSSMEPQLDGCQDLEMQRDAGEGNYCRNYHEISKIAIKSVHSCPSCQSGRGMDIFPGHPCHTGSTRSCNCTGSTQRVPLSSEKYCKRFSTCCICDVDEQPCLRLGRLGSNCFTGSLKHGMCNHKEHNSCLSQHCCSSVLPYCVSGFCTSGGNKTFHALSERRVCGQAKVMHATPDHDKDYLIPDRKRICLAHCGCSKNKFVPRNDQKTSFWRDVPKKVYADADISSTFKIAQALETTKRIGDQLDDCSPEFDGTRQSSQSTRAQKMFNMSSGSSAPVVTEVSTEVNNLTSCAANIRTTNMIHDLLVDEGSGNEKCGSSDEAVGGRECEETIHIMGKVDVATPGFHHLAGHSSVGLIDELCLMSPLKTKRVRNMNKCCADQENVNKNLNFERTPKTANRNESMELNGPDMLIPLSDYAFPSEIPNNLRHLEIDHSRSQGEVSPQPVSVKKKTHLSTCGSSSVKRKRSSLSCNKSNFERFSIQHKLQEDIEKRILDDDHSLSRVETSRKKTKQVLAAYLKQENSTRTGKPPKYMSLNCIGNTFSNIKTTLPKKSRPIVCGNSGIIYCGETDGDQKPPKIISLSLILKNARRCSTVEVYNTSLGLDNELSSLKLLHEKDMPSSCSENGQNPKFLRISGENKGYSSKNGGYLDTLSAGKETDSVLGPGLHPKQLKSQSRPKQKDVHTQSLNRFGAKHRHATKNSCLSASGINECSKSIEAENELNDFPSTTVDGVEHQNEKLHPREILESALPTNIPSFAKLKNNQDHAGKLSQVSRRSQGYKFPSFLLNSDSFCCVCGSSNQDDANHLIECNDCLIKVHQACYGVSKIPKGHWSCRPCKANSQNIVCVLCGYGGGAMTRALKCQNIVKSLLKAWRVSKTSYSGKSVPSESTENEFFNPSSVGEVPKFDKCGLAPLGEIISDFSPKAALKLDMQMQTNFPESKNCMPEKFQTHNSITAGVLDPSTKQWVHMVCGLWTPGTRCPNVDTMSTFDVSGALPAKKNIVCSICNRPGGSCIECRVPTCCIPFHPWCAHQKGLLQSEIEGDDNERVGFYGRCPHHATLNSCLPDSHVMDPEEESPGNNEWTCARTEGFKGRKREKGYKPNTQRPCDNGVCIVSQEQINAWLHINGQKSCTRGVVRQPCSDVEYDLRKEYIRYKQSKGWKHLVVYKSGIHALGLYTSQFIARGAMVVEYVGEIVGLRVADKREAEYQSGRRIQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISIRNEKKVVFFAERDINPGEEITYDYHFNSEDEGKKIPCFCNSRNCRRYLN >Ma03_p29260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32216244:32220579:-1 gene:Ma03_g29260 transcript:Ma03_t29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MASSTLSAPVMQKGIHGWNPCARISSNARVNSHRTLNCPLSMSTVRKPLFFRAHPASINPPHVSASQRYSLDTKKFPVSCAGKFQLDDVIESQQFDTDILNGIFEVAFEMEKIEKNSPGSNILKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIILRHFEGGAAKRAASTATIPVINAGDGPGQHPTQALLDVYTIKREIGRLDGISLGLVGDLANGRTVRSLAYLIAKYKKVKIYFVAPDVVKMKDDIKDYLTSMGVEWEESSDLLEVASKCDVVYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITVDVDGDPRAAYFRQAKNGLYIRMALLKLLLLGW >Ma08_p31770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42562433:42563076:1 gene:Ma08_g31770 transcript:Ma08_t31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYRPPGKKKEGNAAKYITRTKAVHYLQVSLSTFRKLCILKGIFPREPKKKVEGNHKTYYHMKDILFLAHEPLLEKFRYYSFEKGSFIHYIIRLVYLLNIVS >Ma07_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6628809:6629718:-1 gene:Ma07_g08860 transcript:Ma07_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFDLNHAADIPLSEGDQDRTSHPLFTVVAANETSSFSCPIFFNDPHDHGADGGHDSLPKQLPQQEPKDHSLMIGESNYHWVCTTEEEDEMGIMKKMMMSSEGGKPRGDQIQAEQVRVCSNCSTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMEAAAMNSGVIPSDAPSGTHTEKKQDMHDSRVPFKKRKLLFRGTNSAALHEVFPQDERDAATLLMALSCGLIRS >Ma08_p26840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39273874:39287273:-1 gene:Ma08_g26840 transcript:Ma08_t26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MPSFPQAGAVTICEINRDLITAENLFDDRAKETYGKVLGMVFSPIAFQPDLMMPDTEQVAEQELSIPVPTRGFAGLKVSISESIKRIFCPNELNALEEVDTQRVSWHPHKHCLAFVSGPSQVTIRDYEDSDSKDPCILTSDFQRGIKTLEWRPNSGKMLSVACKGGICIWSASFPGNAPSVRSGVTSSVSSVSRGSGIRWILVDFLQSPNDEQINVLSWSPNGRYLASSSGDSSAFTVWDVSQGLGTPIRRGLGTISMLKWSPSGDYFLTAKFDGTFYLWETNTWTSEPWSSTSGYVTGATWDPEGRMILISFSESVTLGSIHFASRPPSLDAHLVPVELREIVSLTGSRGIEKVTWDASGERLALSYKDGDDMYSGLIAIYDTKRTPLVSASLVGFIRGPGEKPKSLAFAFHNKFKQGPLLSVCWSSGWCCTYPLIFRSHILP >Ma03_p26760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30367498:30369568:-1 gene:Ma03_g26760 transcript:Ma03_t26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MASLLPVHLPKPNPRFPIRTSSAAATTTTTTTESLQERFGRKGIKFTEAGEVPSVELTVRNGSSLRLRIPDGLITSYKPKVYWKDDGFEEVLYTIGGGGPGDFPRGGLGLVLHDLSKTAAGGSPWGASEWIVKDADSDSFDAVQVELSCSNDDGSLDITYVVSLYPLSMATAVVVKNKGKKPVELSSAMLSHLKFKNQRGSAIHGLRGCSYCAHPPPSSTFGLLSPAEAMQPEPPNWLSLLSFSEDKKGKDWTVEDDLYTILKGKLSRVYAAPPAERSKRIYNTPPSKYTTIDQGTGLGFRVIRMGYDDIYLCAPGSLSQRYGKGYFICTGPASMLVPVVVNPGDEWRAAQVIEHDNL >Ma08_p00800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:745858:753565:-1 gene:Ma08_g00800 transcript:Ma08_t00800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGPSRQGSKRHARDGIHAKMVPNLDLNFPPVECQQCDGTSGSRHPQISRGTSFSAVPHPSPASEQQQGSSAPSSDRSHSDFIDLELMEDEVVTLSSSRGLPLGRNHFRRNQPVTMILEEDLETNLRQPVIIVEEQVAKSPMNTRNKRARSSMSRTAIDCDHYPDLEEEHNAKRKNVIKSKPEPVKVLTREPIFTCPVCMDALVEPASTICGHIFCLKCIKASIQAQKKCPTCRRQLTMKSFHRVYLPSSK >Ma08_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:745858:753565:-1 gene:Ma08_g00800 transcript:Ma08_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGPSRQGSKRHARDGIHAKMVPNLDLNFPPVECQQCDGTSGSRHPQISRGTSFSAVPHPSPASEQQQGSSAPSSDRSHSDFIDLELMEDEVVTLSSSRGLPLGRNHFRRNQPVTMILEEDLETNLRQPEEQVAKSPMNTRNKRARSSMSRTAIDCDHYPDLEEEHNAKRKNVIKSKPEPVKVLTREPIFTCPVCMDALVEPASTICGHIFCLKCIKASIQAQKKCPTCRRQLTMKSFHRVYLPSSK >Ma08_p00800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:745858:753550:-1 gene:Ma08_g00800 transcript:Ma08_t00800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNLDLNFPPVECQQCDGTSGSRHPQISRGTSFSAVPHPSPASEQQQGSSAPSSDRSHSDFIDLELMEDEVVTLSSSRGLPLGRNHFRRNQPVTMILEEDLETNLRQPVIIVEEQVAKSPMNTRNKRARSSMSRTAIDCDHYPDLEEEHNAKRKNVIKSKPEPVKVLTREPIFTCPVCMDALVEPASTICGHIFCLKCIKASIQAQKKCPTCRRQLTMKSFHRVYLPSSK >Ma08_p00800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:745858:753550:-1 gene:Ma08_g00800 transcript:Ma08_t00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGPSRQGSKRHARDGIHAKMVPNLDLNFPPVECQQCDGTSGSRHPQISRGTSFSAVPHPSPASEQQQGSSAPSSDRSHSDFIDLELMEDEVVTLSSSRGLPLGRNHFRRNQPVTMILEEDLETNLRQPVIIVEEQVAKSPMNTRNKRARSSMSRTAIDCDHYPDLEEEHNAKRKNVIKSKPEPVKVLTREPIFTCPVCMDALVEPASTICGHIFCLKCIKASIQAQKKCPTCRRQLTMKSFHRVYLPSSK >Ma04_p28460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29520745:29525095:-1 gene:Ma04_g28460 transcript:Ma04_t28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENEQRKVSARASELLPRTDRDRLYRIRSDVVDPSDTREESEVASVSLGLLGSSVAADTTILPLDGGRTAPDPPAVDSRLSPPRHRHPPHRRPCLRPGLLDLQISHRQATASWPGAEKQGPLILSMAPCRHQARKYCQLTDGSS >Ma01_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:203545:203769:1 gene:Ma01_g00240 transcript:Ma01_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARKYYVLRQRQIKIETDAWEQAAKEYKELLTNMCERKLAPNLPYVKSLFLGWFEPLRDRIAMEQEQCRGFKI >Ma03_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6665521:6665668:1 gene:Ma03_g09060 transcript:Ma03_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKLTEMSIWMLMLKEKDKSLSISSALFFLH >Ma11_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:217640:222757:-1 gene:Ma11_g00300 transcript:Ma11_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASREMAVYCFDTLVAHYNSEHVPPPAFEEGQHPLFVTWKKAVNGAEPYLRGCIGTLEAHCIIRGFRDYALTSALKDHRFPPIQAKELPYLECTVSILTDYESALDYLDWEIGKHGLIIEFADPNYNMRLSATYLPEVAAQEGWTKIETIDSLMRKAGFNGTINESLRKRLHVTRYRSTLYTMHYSDYASYVKMIRGAAPAINGAKPNY >Ma10_p29790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36250413:36254096:1 gene:Ma10_g29790 transcript:Ma10_t29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRSARPATGFRAFFSYKVFASAVFTLLCLAAVSVLLSSYPSHTTDAAAVPRRSFLASDPLRARLDLIYRQAADHSAVATAYAAYARRLKLDSSRQLHVFEGLAAAFSDLSARLAAPIDDEDAFRPLEKEAKDRVKLARQLVGESKEAFDTQIKIQKLSDTIFAAREQLHRSKKLGTLAGSIAAGSTPKSLHCLAMRLMEERISHPEPYRRATAAAPSDLADPDLYHYAIFSDNVIAVSVAVNSAIKNAEEPWKHVFHVVTDPMYLPAMQVWFVRRPPAGGAKVEVRSVADFGFLNASYSPVVRLVEGGRGDLSVLNHLRFYLPEMYPKLRRIVLLEDDVVVQKDLAQLWRLDMDGKVNGAVETCFGGFRRYSRYLNFSNHEIRDRFSPRTCAWAYGVNVFDLGAWRREGCTEQFHQYQRMNEDGTLWNPSSVLSAGLVTFYTTTKPLDKNWHVMGLGYNPSVSVDEIQNAAVIHFDGNMKPWLDVALNQYKHLWTKYVDTEMDFLQLCNFGL >Ma05_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10320396:10321354:-1 gene:Ma05_g14200 transcript:Ma05_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPWVNTTRSSGAACRSPSFSSPTLKDLHAILDDGPGKPPSSHALRRILHRACLASTALRALRSAASAPSSDPLDRRRRGVFVLYFTSLRVVRRTFEDCRSVRSILRGLRVAVDERDLSMDPRFLAELQAALDCPQPTLPQVFLAGRCLGGADEIHGLHESGELKALIDGLAPATSVCNRCGGVRFVLCAVCSGSHKRYSDKGGDFRACDECNENGLVRCPDCLTSAV >Ma10_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24043607:24043765:1 gene:Ma10_g09920 transcript:Ma10_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFRNILILKISINYCFVLCLKYKYKFSTRLNFFMFSKSIIRVEFLCARVL >Ma07_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2250935:2254010:-1 gene:Ma07_g02880 transcript:Ma07_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTRAVVLSMVPRHVGEAEVRAGMEAFGGVRAVEMGALAADGVVTVHFYDLRSAEAAVAEVREQHARRQARIGYGGAPGNWAPPWLYRSPELGGGSGSGLGTIAGYAVWAQFAASSLDEPNQGSILVLNSDPRVSFATLRDMFEPFGALKEVRETPSKPQHKLVEFFDTRDAARALAELNGKEINGRLLLLEFGTPGSGQGRSNYPRREAVHGHGLRGSPLPPRFLRGGSQPSRWSQASGASARSSSSSSLPGASGEASQGSTVVPKKTSTNTAPAAVTRRRGSNSSGGRKAKSSGSSNRPSSSSSPPPPQQQQSSGGSRRSWKNRSKSGSSESRFLFKEVESEESSESSCRDSRTTVMIKNIPNKYSQKLLLNMLDNHCIQCNEQTGEGADEPYSAYDFVYLPIDFNNKCNVGYGFVNLTSPEAAFRLYKAFHQQPWEVFNSRKICQVTYARLQGLEALKEHFRKSKFACDNDEYMPVVFSPPRDGRQLSEPVPVVAVGSEQRLEDLSWTHCQGTDLADASQQSTVYRSSRYIPSHARRP >Ma02_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23039855:23041239:1 gene:Ma02_g15270 transcript:Ma02_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAVEIRTTASIPPPSAEMSDEELPHIEGWAKRKRSKRHRFFDHPPTEEEYLALCLVMLARDGSGHRPPSLTSDSEAAPPPAKFQYKCSVCGKAFGSYQALGGHKTSHRKPTGGADEASVASTAASASGSSTAGAASGGGRLHQCSVCLKTFPSGQALGGHKRRHYEGSLGSSAAAVAPATSEGASSSHRGLDLTVAALPEFEFDGVRRCLAAAAAATAAAEDEEVQSPLAFKKPRLLIPT >Ma08_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8543791:8545975:-1 gene:Ma08_g11540 transcript:Ma08_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIPSPAFSNPKSTSRDEDKDGGSDKKWAVAPAAKKARGSGGGDPAVALANARHEFGEHGGVNMSIEASATFTVMEAETMRRMFSGELGPDRDFYIYSRHFNPTVLNLGRQMAAVEGTEAAYCTASGMSAISSVLIQICGAGGHVVASRCLYGGTHALLSHFLPRSCGIRTTFVDIDDLDAVRAAVKEGETKVLYVETVANPTLAVADVPRLSAIAREKGTMLVVDNTFAPMVVSPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNSMMDLHQGALMLLGPTMNAKVAFEISERLPHLSLRMKEHCHRALEYATRMRKAGLKVIYPGLDDHPHHDLLASISNPGYGFGGMLCVDMGTEERANKLMHHLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEMSPEEKTRAGISPGLVRLSVGYSGTLEQRWSQFERALALLHPPPSSHKQQPALLL >Ma09_p28470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39222753:39223606:-1 gene:Ma09_g28470 transcript:Ma09_t28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQAAANALPEETVETQPTEKKEAAAANEEVAEVETTKVEAAADAVTEKVDTPVVEVDEPKEETEAASEIAAEEVAQDVMPEPPKTDVPAETDITGDAPVGPGEEDTKEVAVEVEPKAEEKEEELAQEETPEPLPADVPVETIVSGEAPEAPAVEEEEEEITVKQVEEEATAA >Ma08_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6262215:6265976:-1 gene:Ma08_g08810 transcript:Ma08_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWLAFSLSPQELPPSQSHQSNSPSAAVSHLISGDDVSASCYGLSADSTSEPPMGIPTLRPDVPFGVLEAFNRPYHQTQDWNMKCLDFKGGSSELSMLVGSSSTNQSNMVEDQPKLEDFLGGNSFSDHDHKVLPAGLSGAYSSSGDEYMFSNSSFQVQDTSMVTSTAHDGALMNDANGGSSSNSIGLSMIKTWLRNQPNIPQQQASINSSNDMAGVTGTNCGGAMMADVIGSLTNSQGLSLSMSTGSQSSSALPLLAAGPSGGGESSSSDNKQKGIGGSLDAQTGAMEAVSRKSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESNTLPIGGAAKRLKDVAEHAEASVNGRGAADHHHLASQFDGISGYGSLRHHGWPAIAFHQPQPLGVQYPYGLPRGWCKQEQDTAVAAAHSLQDLHQLHLGTNTHDFFQPSSALHNLVSISTESSSVVYNGNMASSSGSYQGGYVMPMSTVVTDQSHTANRGSCGATFVDDEGKQIGYDSSLNAYYLSQQLSSGDAAKGDEYEQSSTWTPASAQAMASRSNTMAAAGHAAPLFTVWNDA >Ma10_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33076381:33078033:-1 gene:Ma10_g24510 transcript:Ma10_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDEHGLKKGPWTPEEDQKLVQYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGNFSPEEEQTILNLHSILGNKWSAIAMHLPGRTDNEIKNLWHTHLKKKLIQMGFDPMTHRPRTDFFTALPQLLALLQLHQLMNSHPQDDDHAARLQVLEAIQAAKLQYFQCLIQSVSATSNNCYNCSDLETISLLSSQMTSSFPSHNPPQNIDSSNQLASFFEPPESNDTKQCSNFSGFNQGENNKPRTPFASPLPPLTDVSVANQGDGCSITSCDGNGTPFCWPEIMLDDQFMSEFI >Ma03_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9761503:9762771:1 gene:Ma03_g12670 transcript:Ma03_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRAEAAHPPAEVGGAAGTRFRGVRKRPWGRFAAEIRDPCKKTRVWLGTFDSAEDAARAYDAAAVALRGTKAKTNFPSLPSAFPPAPLPAAAAHFPFYHQHQHHPPPPPQRPTSSSHSSTVESFSGPRHPSAAAPIHMRPRILRKQINPPPPPRVLNGDDDCHSDCGSSSSVVDDDGDIVSLCRQPLAFDLNLLPPSEDDLHDTALRL >Ma10_p04570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15226075:15226752:1 gene:Ma10_g04570 transcript:Ma10_t04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSQFILVLLIIYFICGMKCNDTNDLGGGGGGRLSRRGVGGGGHGRGIDGNGVNSGSSNSDSGSGAEVPVLGAAAAAAGHHGSRGHSAASRHGYHLRAMLTTTAFSAAILVYQQ >Ma08_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1728696:1732965:-1 gene:Ma08_g02130 transcript:Ma08_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVSLEGKIYSCKHCQAHLALVDDIVSRSFHCRHGKAYLFNKVVNVTAGIKEDRMMMTGLHTVSDIFCVGCGAIVGWKYEAAHEKAQKYKEGKIVLERFKLTGPDGSRYWVTHDAHMGGSDADDA >Ma02_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21767936:21772754:1 gene:Ma02_g13320 transcript:Ma02_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFAFFLLLSDASLHFLYYMTTITTTTSTATTTSIAIHNRRTLHQPFFPLTSSSLPPAQPPSPSLPKYPSSSATSHSFRPFFPLYPSPPPPPPPSPVATLPTFPANISSLVSSPSRHSPPRLVPAVVSPLLALALLALSLALFVHIRRRRRRGGADKDARSDSLRFFPPDTTASDGRKLSATSSAAPAPAGTAGSEFLYLGTLVNSRGRANESSAAQPAAGGGSPYRKLGSPELRPLPPLPRQFQTTEGGRSSSEEFYSPKNSPGGKGSSAAGPASSSKKPALGSKMERCGSRSSTLSTPSCISSNVASSPTQSSPTTSSPPPLRPPPLLRPPTPSPPKRRPMSHSSPSSPPEADCDRKSATLQYSGQNLQSPRKIGDFARNSVAVGHNPVPPPPPLPPPAPDERREGQNVKMPAFQPPVLVHPRIPVAWSSSVAPENSNAAEKHEENPRPKLKPLHWDKVRASSDQAMVWDQLKSGSFQLNEEMIETLFLSNTGMTTKEMSGGQINPPMNQESRILEPKKSQNIAILLKALNVNKEDVCEALLEGKVDSLGNEILEALTKMVPSREEELKLKEYKNDSPFKLGPAESFLKAVLDIPFAFKRVDAMLYIANFYPEVNHLRNLFRTLEIACEELRSSRMFSKLLEAVLKTGNRMNVGTNRGEAHAFKLDALLKLVDVKGTDGKTTLLHFVVREISRAEGSRLSSANFSSINHQSNTVTDLEYCKLGIQVVSSLSVELSNVKKAAAMDSDMLSFYVAKLGGGLGKIQEVLQLNNSFSNENGHHFHDAMIEFMRKAEDEILDIQARESSTLSMVKEITEYFQGDSTKEESHPFRVFMVIRDFLATLDQVCREVEKINEHNITIMERHFPVTANQTSEPVFPSFQASRSGSSDDDSSLSS >Ma08_p31660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42501441:42503851:-1 gene:Ma08_g31660 transcript:Ma08_t31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMLRFILPFHISSPPPPSHHHLLLKPSPPLLLPSFRTFHFPKSLAKSPFVRLPKPATHFTSFRPYCRTSPGDHESDMQSRPCCGEIHVIVGPMFAGKTTTLLRRIQAERNNGRTVAIIKSDKDTRYGVDAIVTHDGTRMPCMALPALSMFRERLGAEAYDKLDVIGIDEAQFFEDLYDFCCNAADRDRKTVIVAGLDGDYLRRRFGSVLDIIPLANSVTKLTARCELCGGRAFFTLRKTKEKQTELIGGADVYMPVCRQHYIDGQIVWEATRIVLDMERPVVV >Ma04_p32680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32622811:32627074:1 gene:Ma04_g32680 transcript:Ma04_t32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEFDAFGNDVEEEEAVGPGSAASRPFDDGYLGYDPGLSSQRYEDYSSFAAGEDAKDPVDDNLPPASTGFPSDMSGGGGGEYGFHPVDAPVHHHHGGGGSMPDSPEGYGFSSAPSAFAMPEAEVKSYGAEENGEIFTSDGPILPEPDQMREEGFLLREWRRQNTMQLEEKERKEKERRNEIISEAEEYKRAFYEKRKLNCETNKTQNREREKLYLANQEKFHANADKQYWKAIAELVPHEIANIEKRRGKKEQDKKPSITVIQGPKPGKPTDLSRMRQLLVKLKHTPPPHMKPPPPPAPEPAKDAKDGAAAAAAAKQTSSPAKDANDGAAAAGKQPSSPAKDAKANGTTASPKEESPKLEEQADKAPAPTPDA >Ma08_p26200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38808515:38847162:1 gene:Ma08_g26200 transcript:Ma08_t26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MIPSSCKSEGASGMLTDTEPTEKWMAFPTVGSKMPPASTEILEETSKIKSTQLLNQKLGEQGIIDNLDGGKSNKKSESQRSSWDRNKISTEIVSDSARTSIESSYSLESAIPRVSQELKDALSTLQQTFVVSDATRPDCPIVYASTGFFSMTGYSAKEIIGRNCRFLQGPDTDKLEIAKIREAVRTGKSYCGRLLNYKKDGTKFWNLLTITPIRDVNGNIVKYIGMQVEVSKYTEGLNDKTMRPNALPMSLIRYDARQKEKALSSIEEVVQTVKHPRSHSQAVDHDIAIKFDAHETIQIDSSLQDSSEIKHFKSPGRMTISLDAKRESSRMSSWKPGRSSSTGFMARKQSSIERYEPDIEPEILMTKDVQRTESWDHVERETDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPDTDQGTVAKIREAIREQREVTVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEKTELHSAKVVKATAENVDEAVRELPDPNLRPEYLWAIHSKPVFPKPHKKNNSSWVAINKIAGKGEEIGLKNFRPLRPLGCGDTGSVHLVELQGTGELFAMKAMDKSVMLNRNKVHRACVEREIYSLLDHPFLPTLYTSFQTTTHVCLITDFCPGGELFALIDKQPMKIFKEEAARFYAAEVVVGLEYLHCQGIIYRDLKPENILLQKDGHVVLTDFDLSFLTNCKPQVVKQSLPSKRRKSRDHLRPTFVAEPSTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLAARQLIHGLLNRDPANRLGSKTGANEIKQHPFFREINWPLIRCMTPPELDVPLQLIGREPDPVVNDVQWDDEQTIIQGLENL >Ma07_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11317451:11318694:-1 gene:Ma07_g15060 transcript:Ma07_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSLPVYVDPPNWNQQQPHQRGSSSHGGGDEDPHLPAPPPGLVGVPPAEAGMVCSIRPELTAERARLAKVAQPEQALKCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNRRTKSTGSASSKPSVASATRQGGGATMTSLALQPPPPLVTSLHPVPDFRTPNLALSYQASGTSIDAVEDLRLSWQIQQLPLILGGLDPPPPQMPPLAPLPNLYPSFFGEGSQFDGQSFAEQAQPSSGLLMQLASVKMDANSQELNLPRQSLDDPRTDLIWGGRDGGGWAAGFPSFINPSSTDNFL >Ma02_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14542491:14546922:1 gene:Ma02_g03230 transcript:Ma02_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVKQSSAAIGVADLVGRKSPSLRPRIASVAPLSAIRSPSLSLLTRKPLYLASPEGFVFGFRDGIVSAAKPRGLAFKCEAYEADGSENVEVSHQESRSATAQKVKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLATGSLMMLISWATRIAEAPKTDFNFWKALAPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMTGFMGAMISNVAFVFRNIFSKRGMKGTSVSGMNYYACLSMLSLLILTPFAIAIEGPQMWAAGWQTAISQIGPHFIWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQAKQ >Ma08_p27700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39864432:39864930:-1 gene:Ma08_g27700 transcript:Ma08_t27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATITYKDPHAVVEWFYNKDFHGTPIEVHIAESKTKDLNDHSYAQQNGFEANSSMVPGVYDDVDRGGGRGRGRGDASGWSWQKDGDWMCPNTRCSNVNFAF >Ma11_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21124661:21133486:-1 gene:Ma11_g15410 transcript:Ma11_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGRLSAFCSLPLVRRIFSQSIMNRVLRSALVGSPVSPLSPMPVSFFHSTPLLERRRKNYWHPRFNYCVKRKRKMESKRTQVRNLSDYAEYLFQSWRDEVEQNSSTRETPWFRRHYWAMGAKKNGYPDPLWESNSNKKKRVFEFYQSDDDDDDDDVETIFHSAFGGTRFSYWSFHSSQDSHWSHSGHTSHKSRNWTYETDDENDYSAQPEFTSERLALGLRAFGPLNIEEVKRAYRTCALKWHPDRHQGSSKEAAEEKFKHCSAAYKTLCNKLAIGECSVRSC >Ma06_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24875734:24888230:1 gene:Ma06_g24930 transcript:Ma06_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMQRIYHYMFELLSNIFQYKSIKDSSAEDLKSRKKVLDPQGPFLQKWNKIFVLSCVVAISVDPLFFYIPFVNDKDTCVDLDENLVITASVLRSFTDIFYVLHIIFQFRTGFIAPPSRVFGRGVLVEDFSAIALRYLKSYFLIDILAVIPLPQVVILIIIPKLKGSGSVDAKTLLMYIIILQYVPRLVRIIPLYVEVTKSAGIIAETAWAGAALNLFLYMLASHVLGACWYFLSIEREDSCWRRACHKHNCTTMFWYCGVKKEESMDFIDGECPIQEENATIFDFGMYLQALQSDIVLLRNFPEKFFYCFWWGLQNLSSLGQNLQTSTYLGEILFAVFISISGLVLFSLLIGNMQTYLQSTTVRIEEMRVKRQDAEQWMSHRMLPENLRERIRRHEQYQWQETRGVDEEHLLQNLPKDLRRDIKRHLCLGLLRRVPMFELMDDQLMDAMCDRLKPILYTENSCIIREGDPVDEMLFIMRGRLLSVTTNGGRTGFFNSDYLKEGDFCGEELLTWALDPNSSSSLPISTRTVKALSEVEAFALAADDLKFVASQFRKLHSKKLRHTFRLYSQQWRTWAACFIQAAWRRYSRKKLEESLHEEENRLQAALVKDGTTTPSLGATIYASRFAVNALRALRRNVTRKARLQERMPVMLLQKPPEPDFTVEEQ >Ma06_p24930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24875743:24888230:1 gene:Ma06_g24930 transcript:Ma06_t24930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMQRIYHYMFELLSNIFQYKSIKDSSAEDLKSRKKVLDPQGPFLQKWNKIFVLSCVVAISVDPLFFYIPFVNDKDTCVDLDENLVITASVLRSFTDIFYVLHIIFQFRTGFIAPPSRVFGRGVLVEDFSAIALRYLKSYFLIDILAVIPLPQVVILIIIPKLKGSGSVDAKTLLMYIIILQYVPRLVRIIPLYVEVTKSAGIIAETAWAGAALNLFLYMLASHVLGACWYFLSIEREDSCWRRACHKHNCTTMFWYCGVKKEESMDFIDGECPIQEENATIFDFGMYLQALQSDIVLLRNFPEKFFYCFWWGLQNLSSLGQNLQTSTYLGEILFAVFISISGLVLFSLLIGNMQTYLQSTTVRIEEMRVKRQDAEQWMSHRMLPENLRERIRRHEQYQWQETRGVDEEHLLQNLPKDLRRDIKRHLCLGLLRRVPMFELMDDQLMDAMCDRLKPILYTENSCIIREGDPVDEMLFIMRGRLLSVTTNGGRTGFFNSDYLKEGDFCGEELLTWALDPNSSSSLPISTRTVKALSEVEAFALAADDLKFVASQFRKLHSKKLRHTFRLYSQQWRTWAACFIQAAWRRYSRKKLEESLHEEENRLQAALVKDGTTTPSLGATIYASRFAVNALRALRRNVTRKARLQERMPVMLLQKPPEPDFTVEEQ >Ma07_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:909044:912009:-1 gene:Ma07_g01170 transcript:Ma07_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGLAAADLDGLRRGRWDPAAAEEGIDHFDRLPDSVLLVVFNRVGDIKALGRCCIVSRRFHALVRLVDDIVVRVDCVVSDEPSLFPDTEADSAAAGPDKPRGVFFHLARVVLGGLVKPLHALGQLFSSSSSSLATADAVSAAARKSASPSSSSSTPSSDVSHHSPAEVLKNFKEIRRLRIELPDSELGVDDGVLLKWRADFGSTLESCVILGASSVALSSSVPPNSSNVCGGDDCRSIPESFYNDGSLKRRVVWTISSLIAASARHYLLHPIVADHETLESLDLIDADGQGLLTMDRRQLQELKMKPWMASRSSQRTLLPALSMRLWYADQLELPNGMVLRGATLLAIRPSEEQMSEAGFSGSIGSSDNCWVSDAFEEPYKSATSTLMKRRTYCLEMNSF >Ma07_p28350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34430289:34432101:-1 gene:Ma07_g28350 transcript:Ma07_t28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFTESQSFIGVNYGQVADNLPPPSETVSLLQSTTISKFRLYGADPAVIQSLAGTNISLVLGVSNSDIASLASDPVAASNWVAANVLPYAPASSISVVSVGNEALNSGDSSLASNLLPAMQNLRSALSASAAASGVKVSTVHTMAVLSQSDPPSSGAFHPDITADLTGILGFLRDTGSPFMINPYPFFAYRSDPRPETLAFCLFQPNSGRLDAGSKLTYTNMFDAQVDAVRSALDALGFPEVEIVVAETGWPYQGDPDEVGASVDNAKAFNGNLVAHLRSLVGTPLMPGRSVDTYIFALYDEDLKPGPASERSFGLYRADQTMNYDAGLAKSTSSSSPITAPASTSTDAGPPPAGVTGLTQPAGWCESGATLQGSADGRPTQAGAQCYIPNAVRSRTALGACRLLLYVTLALLLT >Ma10_p23400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32352142:32353602:-1 gene:Ma10_g23400 transcript:Ma10_t23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRFLLIASSLLAIAAAGNFDQEFDIIWGDGRAKVLESGQLLTLTLDKASGSGFQSKKEYLFGKIDMQIKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVSGDPYTLHTNVFAQGKGNREMQFKLWFDPTADFHTYTILWNPSHIIFMVDRIPIRDFKNLESRGVAFPKNQPMRIYSSLWNADDWATRGGLVKTDWSQAPFTASYRSFRADTVPSSSGWWSQELDTTGQEKMKWVQKNYMIYNYCSDLKRFPQGLPTECSFA >Ma01_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13295201:13295386:-1 gene:Ma01_g17970 transcript:Ma01_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAEAVEGLRHRDVRGRFRRRLAHVLLYFGKQMVVVTMLYRSSVCSFVSLSLSLNAAT >Ma08_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35064951:35067710:1 gene:Ma08_g21060 transcript:Ma08_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIFFSFLLVSSFHLSSSQRYNALFNFGDSMSDTGNVVLAGLPYGMTYFGRPTGRCSDGRLVIDFIAEGIGLPHLHPNTAKDADFHQGANFAFIAATTLPFDFFHQRGLSKGLWVNASIHEQVDRFEKLLPSICGTPQECRDFLGKSLLVVGEFGGNDYSTGLFASRNVSEVRTFVPPVTQAIAEGLERLIGLGAVDIIVPALLPVGCFPLYLTLYNTSDPEDYGPRTGCMRRHNALSWYHNTLLRRQLDRLRPKYPAVSIRFADFYAQVFDFAINPLKYGFKDGALRTCCGAPGLRNYNFNLHAKCDQNGSSVCPDPTTHVSWDGIHMTEAAHRIIAQGWLHGPYVDPPIVSSSNN >Ma04_p17270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17570957:17572499:-1 gene:Ma04_g17270 transcript:Ma04_t17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MRKSRSNGMVGGRESQSCRMTEWELRPGGMVVQKRSNVAGMPTEPLIKIKVSHGSYQHQLSVPAHSTFGELKRVLAQDTGLQPGEQRLLFRGKEKDDGERLHMAGIVEMSKLVLLEDPASKERKLERMNRHQASETIAAIGAAVDKLAAKVSSSETCVHASMKAADEELADLTELLMVQLLKSDSVEAEGEARTRRRFEVRRILSHWTC >Ma01_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4754702:4755360:1 gene:Ma01_g06630 transcript:Ma01_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKGLFRTCFSPSSRGLVKLVFWGGTATFLPEKQPAGEVMYRFPDRIVCHADSFYIGLPIPVLSAAEELLPGRTYFVLPADRFRLDQTLTVASLASLSPVPTKVSLAGDGQRPFAYVKGGDGRTLIKVLPEFISMVICSSGEGGRRRGGDGGALCSTPELKRHYAQLVGSRARRPWSPALEMISERKSRSSPVKLLGLERRSS >Ma03_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2934554:2934894:1 gene:Ma03_g04490 transcript:Ma03_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSRARILVPPAKRQKLEKKKTEEEARRADGDRPTPRRNSGSRLHIFFSPDVHRHHQVCISITVFVAVTHQNS >Ma11_p16560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22057736:22063531:1 gene:Ma11_g16560 transcript:Ma11_t16560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSLSVVAEDVLKPHETRTGDIDLASRKEVQAALRRYEAAEWLRKMVGVNGARDLPEEPTEEEFRLGLRNGIVLCNALNKVQPGAVPKVVEAPADSALLPDGAALSAYQYFENLRNFLVSMEELGLPTFEASDLEGGGKGSRVVNCVLALKSYGENKQMGRNGSCKYGGILKPSTSGKYFVRRNSEPFMNSLSRSQSTEKTQDGVSVEQNVGVNFSIQSTEMTTSQSLNMLLRAILSDKKPEEVPVIVESMLGRVMQEFERRIASQNEQVKTTTKDLTDGTKSFCKAKHSTEISSICCEKETAKTENSFPSSKDEDFSMSLKDAETSKEKLLKQHLLFDRQKREIQELKGALQTTRAGMELMTTQHAQEFSNLGKHMQVLAQAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPRQSSSMSTVGHIDDGNIMIITPSKYGKGHKSFSFNKVFGPFATQEEVFSDTQPLIRSVLDGYNVCIFAYGQTGAGKTYTMSGPKELTEESFGVNYRALNDLFHISKQRKETFCYEIAVQMIEIYNEQVRDLLTNDGPQKRLDIHNSSQKGLAVPNANLVPVTSTTEVIELMNIGQKNRAVSATAMNDRSSRSHSCLTIHVQGRDLASGAVLRGCMHLVDLAGSERVNKSEAKGDRLKEAQHINKSLSALGDVISALAQKSSHIPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADAISETLSTLKFAERVASVELGAAQVNKESGEVKELRQQVASLKAALAKKEGEQIQSTMSSPDIYRLKSGATSPAHPNQMQTMEDFGNIEVLSCSAMMQERVEIDLQDLLRASDSSPWPEDSLRGWEGDNLHMPDSFYQGYVPDVMRVYGDHHRSWANSMATDDSDDLDFATSDSSEQDMLSQYNLPKSNSNAVNGGSKIKRPQSGPVKSSQTRTPSRTQMPSPSSKLSNTPGQTVNRSNRQLLSGSADGKRRPIGKSGK >Ma11_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22057736:22063531:1 gene:Ma11_g16560 transcript:Ma11_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSLSVVAEDVLKPHETRTGDIDLASRKEVQAALRRYEAAEWLRKMVGVNGARDLPEEPTEEEFRLGLRNGIVLCNALNKVQPGAVPKVVEAPADSALLPDGAALSAYQYFENLRNFLVSMEELGLPTFEASDLEGGGKGSRVVNCVLALKSYGENKQMGRNGSCKYGGILKPSTSGKYFVRRNSEPFMNSLSRSQSTEKTQDGVSVEQNVGVNFSIQSTEMTTSQSLNMLLRAILSDKKPEEVPVIVESMLGRVMQEFERRIASQNEQVKTTTKDLTDGTKSFCKAKHSTEISSICCEKETAKTENSFPSSKDEDFSMSLKDAETSKEKLLKQHLLFDRQKREIQELKGALQTTRAGMELMTTQHAQEFSNLGKHMQVLAQAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPRQSSSMSTVGHIDDGNIMIITPSKYGKGHKSFSFNKVFGPFATQEEVFSDTQPLIRSVLDGYNVCIFAYGQTGAGKTYTMSGPKELTEESFGVNYRALNDLFHISKQRKETFCYEIAVQMIEIYNEQVRDLLTNDGPQKRLDIHNSSQKGLAVPNANLVPVTSTTEVIELMNIGQKNRAVSATAMNDRSSRSHSCLTIHVQGRDLASGAVLRGCMHLVDLAGSERVNKSEAKGDRLKEAQHINKSLSALGDVISALAQKSSHIPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADAISETLSTLKFAERVASVELGAAQVNKESGEVKELRQQVASLKAALAKKEGEQIQSTMSSPDIYRLKSGATSPAHPNQMQTMEDFGNIEERVEIDLQDLLRASDSSPWPEDSLRGWEGDNLHMPDSFYQGYVPDVMRVYGDHHRSWANSMATDDSDDLDFATSDSSEQDMLSQYNLPKSNSNAVNGGSKIKRPQSGPVKSSQTRTPSRTQMPSPSSKLSNTPGQTVNRSNRQLLSGSADGKRRPIGKSGK >Ma01_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13704376:13705557:-1 gene:Ma01_g18470 transcript:Ma01_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDEFGLKKGPWTPEEDEKLKDYIGKHGQGNWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGEFTDEEEKLILQLHAVLGNKWSAIATRLPGRTDNEIKNYWNTNMRKKLLQVGIDPVTHQPRTDLNLLATMPGLLTVANLGSLTSFLDNSLQQQADAAHLIKLQLVQNLVQLLTCSATPNLDLLRLFAPAAPRNHRPNDVLALIRQLSSLVILQSLTPTASREGLQHLANSLSVGGGEAVAVAPAPADDLSWESTVKDKGCGTATCIFPTSNSTASLDPVSPENMSRSETTSLEGSISTSFEDWDALNLDDLDNDFGWKEILNMSPWPDAPL >Ma05_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8927083:8940031:-1 gene:Ma05_g12340 transcript:Ma05_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRASASSSSSASSSSSSRRTNQSQQPSQPSKFGIQHFFERHSQSQAAATSSSSNPPDPIPDRNLNLPQPGAEAADKPPRPDAPSEGGQSSSWQISPEVSKSVTNKRVRFSPGTLICQSQDDGGDAVTWKISPVNERLQSISSKQLPRMMRISREAPRPQESTLRPCSLKQDPSCSNSKLEKWLSSSATVASVKSLSFSREVLFEESEDYGSHESNDDPKNATAVDFKSSFRTPPSMPYGSKEQLIGGVGCNEETEQLGSQVYRKALLDLLDQVEDAITDEPVPGDPRRFEGQNATAVNIQLESDPSKRSFEANPSEIDSNSSPYDTFLVLEVSEKHKSVDSLCVQTPLKVLRLLNEQSGQERILHLCDEWFYSLIGPGDTISVVGDFDEMGRCIVDHAENLIIVYPDILLSGTRVASSFTCSRRAVLDERLKSSEHFSAALLGTLLHQIFQAGLLKEFPSRQFLEEYAGMVLQKNIENIYACGANEKDIFLKLIEAIPRILNWLTCFKVKEEGKSMSVDFGHSDGMKDVSISEVIDIEEMAWAPRYGLKGMIDASVRVKITSSSNGSHETIMPLEFKTGKGNNGQIAMEHCAQVILYTLLMSDRYMKNDINSGLLYYLQTDQTQGVKVQRSDLIGLIMRRNELATEILKALRTQYLPPMLQNLTMCKACRHLNICMVYHKAYGGNSDSSGLGDLFNSLVGHLTIAHCKFLKHWVRLVDLEAQASQANKKAILRPHTRRGDNSTGSVSYTLVNLKSDFSGHESVHNGRHIYHFVRHGQIGQEQNFSSESRMNNLDCTFRCGDYVVLSTEAGRIAVASGVINDISQSRVSVSFSRRLRLPGIDRSLEMERLIQEVWRIDKDEFASSFAIMRFNLIQLFAQSSQCGHLRKMVVDLETPRFDSEGILSQDPAVSYIRSEKNLNDDQRRSIQKILATKDYTLILGMPGTGKTSTMVHAVKALLIRGASILLTSYTNSAIDTLLIKLKSQGIDFVRVGRREAVHTDVLEHCFTEDIHTVDEIKAKMEHVRVVGVTCLGINHPLLVNKKFDICIMDEAGQTTLPVSLGPLMLASKFVLVGDHYQLPPLVQSTEARENGMCISLFCRLSEAHPQAISALQCQYRMCAGIMELSNALIYGNRLRCGSSEIADAKLEFSCSKSTILWQQEILNPNRPVIFVSTDNLAATEEQDHKALASYSEAYIISEITKELIMRGIAGDGIGIITPYNSQANIIRQVIGASVEVQTIDKYQGRDKDCIMVSFVRSSKNFRSCNSSLLGDWHRINVAITRAKKKLIMVGSCETLSRVPLLKLLIEKVNEQDGIVCISKNDLPCLEELKKSFHPSL >Ma07_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12418340:12421826:1 gene:Ma07_g16040 transcript:Ma07_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLILLLCLASAIFRCFSSSAECKPQVDGGGNGTVHVRVGAVLDLQTLEGKRNQASINMAMDDFYAAHGNYSTRVDLCLRDSNTSIIGAAAAAKELLDDVGVQVIIGPPKSTQADYVLVLGNHSRVPILSFSATSPSLSTARTPYFVRTTLNDSSEVGAIAAVVKSFGWRNVIPVYDDTDYGTGAIPYLVDALHAVDAAVPYRSIIVSTASDEQIEKELYRLMTMQTRVFIVHMLADLGSRLMRKAKEVGMMVEGYVWITTDGITNELDLLEEKVLNSMHGTVGVRRYINRSDEGVRRFTKRFTEKFAQGNPSVNMSVPPHVFDFWAYDTAWAVAMAVERAAGAMRPRIRGSPSRSSVATDLDKLNVAIDGPRLLKAIHDTRFRGLCGEFLLVDGQLPVSAFEIVNVDGDHGRRETVIGYWKPESGITRHPNTNKATELMPIVWPGGSTTVPKGWQIPTNGKKLQVIVPVTTGFKRFLSVEWDPTKNSTSVKGYCIDVFDAVMKSLPYAVPYEYILLQPRTASYIDDLVYQIYLKVILPPSSSDHSLDLRPESKDVPHSLLQVQNYDALVGDITIVANRSQYVDFTLPYTESGVSMVVPLKKKPGNALIFLKPLSADLWISCIFFTFLTGFVVWLFEHRDNTGEFGGPLHRQLGIIFCFVCSTLVSSPERKLKSTASQIVVVFWASVVLILVSSYTAMLSSMLTVQELQPTVSDVTELQTKGVYVGYRNGSFVADLLQKMNFDRHRLRNYSTVDQYADALSKGSDNGGVAAIFDEIPYLMFFLSKHCLDYTMVGPTHQTAGFGFVFQKGSPLVADVSRAILKVTQGDKMVEIKRKWFGDRPTCSSQQDNLSSMRLHFGNFWGLFLISGLVSITALAYFVYNNPYEAKEVMAMMQQTILYVKGGKLDKEAQSVTEPDQNG >Ma06_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5253797:5255507:1 gene:Ma06_g07400 transcript:Ma06_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPSSRIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTQAVPRKKAVADA >Ma06_p07400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5253795:5254650:1 gene:Ma06_g07400 transcript:Ma06_t07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPSSRIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTQAVPRKKAVADA >Ma03_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19241588:19245666:1 gene:Ma03_g16640 transcript:Ma03_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGIECVSSIDGMDEETSLPHHTSSKAHGVGGGPHPGVAPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYGSLGCPEIFPYYSKLKHESQCSYRPYSCPYAGSECLVVGDIPFLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFNCFGHYFCLHFEAFQLGMAPVYMAFLRFMGDENEARSFSYSLEVGANGRKLTWEGTPRSIRDGHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQGNETGVCMPNLCS >Ma09_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7614718:7615881:1 gene:Ma09_g11260 transcript:Ma09_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMSAEKVTGHGFFGATVQGKCFPMLEELVFRDMAALEELSWNDGGALFPCLSKLEIQECPKLQRLSPLPPSLTKLQLGQVGLTEFTGLWEGIDGSSMIPESNLRNIKTFDIWGCEELVSLPVKRFRELTSLENLSIQSCPVLMSMTRDEDIELLLPPSVKQLDLIDCGDLSKSLPACLHNVTSLTKLEIGGCPYLMSLPREQMLHLKQLQCLSIKHCDELTSVEGLRVLNSLRFLTILRCPKLLVNEGDRQGEVLPLEQLEVDDTALLKLRPIRDALQSVRHLAIKSSPQTAMFDGEEQELLRSLTGVRNLQFSGCGNLQTLPTELHAIRFLRTLWIEECPEIRSLPEKGLPTSLTYLQFDSCHPMLTEKLEKQVAEMKSSGRWW >Ma01_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9129690:9135266:1 gene:Ma01_g12530 transcript:Ma01_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 26 [Source:Projected from Arabidopsis thaliana (AT3G13220) UniProtKB/Swiss-Prot;Acc:Q9LK50] MEIRSVEDEQQPSAVTTKGGPPNAALHQGNDGVVEIEIEQDDSGTCGYGPLPIFLKFEDVEYKINGSSRNPVKATIAGVASWLRMEQGNCKHILKGITGSVGPGQILAMMGPSGSGKTTLLKLLGGRLDGDIRGKVTYNDTPYGPSLKRRIGFVAQDDVLFPQLTVEETLVFAAFLRLPTEMSRKDKYLRADRIIKELSLERCRHTRVGGVLVKGISGGERKRTSIGYEILVDPSLLFLDEPTSGLDSTSASKLLIILGNLAKVGRTVIMTIHQPSSRIFYMFDKLLLISEGQTIYHGNARESMHYFSSLGFVPEMAMSPAEFLLDIATGHVKDISIPETLQGSTNMQEIEVKVIKFLQCKYKTDLEPREKEGNYHSVKAPMKLQLSIQIKKDWTSNWVEQFIILSKRTFRERSRDYLDKLRFSQTVGVAVLLGLLWWKSNIGTEAQLRDQVGLMFYICIFWTSSSLIGAVYVFPFEKSYLVKERKADMYRFSVYYVSSTLCDMIINIAYPIIFMVILYFMVDLRRTTPCFLLTSFAIMLIVITSQGMGELIGAAMLSVKRAGLMASLVLMLVLLTGGYYVQHIPKFMIWLKHISFIHYGFRLVLKAQYSQHIVYDCQSKGGCKHLQSSPTFDTIDLSSRLQEVWILLAMALSFRILAYFALQRRINMTPF >Ma06_p33430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34072591:34074225:1 gene:Ma06_g33430 transcript:Ma06_t33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSQMRTPSTSTDRCSACMWSERGNIKSMCYMACRGEERRGRWAKALMPACMRKKDSNPTKTSRKKPPPPSALLTILFNSLHVYCLSLELHLSFHAFPSVEANTYFGLRRKKARERKATATATAAMGRAPCCDKAKVKRGPWSPDEDAKLKSYIEQHGTGGNWIALPQKIGLRRCGKSCRLRWLNYLRPNIKHGGFSEEEDSIICRLYISIGSRWSIIAAHLPGRTDNDVKNHWNTRLKKKLFGKQCDGRQPPRKLGRPKQESISYGCNGDGLGVHPADRWTPQPMHPIGHAGHTEGPSHGSPLVAPATPPLPLPICDSATLLSSSLRRGSSREDSQSSNGFSTELDELFQFDSVKLEGFDCFDGAEGMNWNEESSLMCPDAVQQWPPLEEPVRRGMW >Ma05_p29300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40011664:40012136:-1 gene:Ma05_g29300 transcript:Ma05_t29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTAADTFYVTDERSKNPPSSKDGIDEETETMPRLYNCGSPSFFSFVSSLTIKTTGQVLLHWFYCKKSFARFSVKQSFYVYVYFIDKLDFC >Ma07_p13090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9827400:9827840:-1 gene:Ma07_g13090 transcript:Ma07_t13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCPQFHVSQRQSLSSLHDTQRRPRRAQVVEEKKRMEEAMRVAKKSGCIKTTTGPWTVRRRRRDGVVKTSDRWPTPRERENNRLREQRRRRVAARIYAGLRAHGNYQLPKHADQNDVLKALCEEAGWHVEEDGTIYRKVRIHLP >Ma05_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11029027:11033941:1 gene:Ma05_g14990 transcript:Ma05_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNLGNQTGMQGPTTNPPPNPFGNAFYGAGSGLIRGGLGAYGEKFLGSSSEFMQSNISRYFSNPQYYFQVNDQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVIAGFSFGLLGKFTPEVLSLQLTRGLAGWFMQVLLLKGLLYSLGSGEAPLLDMVSYGGYAFTGLSLTMLARLCWSYSYYFLMPWMSLCMGVFLVKTMKRVLFTEMRSYEKHSSRQHYLLLFMAIAQFPLFFWLGKVAAG >Ma02_p02340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13597489:13600528:1 gene:Ma02_g02340 transcript:Ma02_t02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVALHVLSSYGLEPDDLARLEATCSFFRQHGGLPPDERLPLTELAAFDMCRRRVALASMAAAEEEALKQRCGGSYKLLLKYLLAGERACDRREKFQVVAGPGFSIAVTSNGEVHTFGHNHSGQLGHGTLSNEEMPRLIRSLQGVRIVQAAAGAERTLLVTDAGRVYQCGKNYFGIPILSNSTFDSIKTPVLLESLKDIFVVQATIGHFLTAILSREGRVYTLSWGVDGRLGHDTDATDRTPRLLSGVLEDKPVVQIAAGNCYLLALAFQPNGMCVYSLGCGLGGKLSHGSTDDEHHPRLIAHFAILNLQPIALSAGSWHAIALGKDGRVCTWGWGYNGCLGHGDEDYQNLPKVVKGLSHVKAVHVAAGLCTTFVITENGDIYSFGRGSDSNLGYPPQYGHRLDQLTPKLVTSLTCAGEKIIRLSATKEHEAAGHTFAMTESGKLYAFGMAIYGQLGIKLLQGQDGTSSPQRVDIDLS >Ma02_p20400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26398125:26399616:-1 gene:Ma02_g20400 transcript:Ma02_t20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGTLDKCKACEKTVHFIDLLSADGVIYHKSCFRCSHCNGTLSMCNYSSMEGVLYCKPHFEQLFKETGTFTKKFPTGIKAGERNELSKTPSKFSSMFSGTQDKCASCKKTAYPLETLTMEGESYHKTCFKCSVGGCTLTPSSCAALNGILYCKHHFARLFMEKGSYSHVIQAALMKQNAAEQPI >Ma09_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8432863:8434512:-1 gene:Ma09_g12520 transcript:Ma09_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTHSTSLRPSSGGSDDFHSRFDTLSAFLHSSSSTAAVTFPPSHTPSISSSDGHHFFDYSYLDSTIAAAAAPWPRSLIPNPSTHTAIAAGSLSSSSVRPPPDQSIAAATAPRSSKKRSRASRRAPTTVLTTDTSNFRAMVQEFTGVPSPPFAAAASASPFARSRFDLFHSAAPSPPHFLLRPLPQKVRSPPSTAITNPATSRPPTLSNTITTAADANGNTITPTDNTNYRLPSHDLGHGGGRSQPIVNPQIPILDLQSHLQAPLLQPKYSLPAMASSFSAGHSMNDLRGLPPGLVNTVEADGGDDTTELRPVTVGDYRGCKPNYPTSDPSDFNRNNASESFVATRRDEGMVESWIHSSE >Ma04_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:704567:713079:-1 gene:Ma04_g00730 transcript:Ma04_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDALKCLRIGKDALQSGDRTRAVKFLSKARRLDPTLPIDGLLSAAADSDGKSGGPADSAASSNASQPDEPSSGTSSRAAAASVSSASKVRISSDGPGSSRDYTEEQVTVISQIKKLNNYYQILGVEKGCTVEEVRKAYRKLSLKVHPDKNKAPGADEAFKAVSKAFQCLSDNESRKRYDLLGSDEPDRPVAQRGNHGFNGFYDEDFDADEIFRNFFFGGGPPVATHFRTFRFRTGGTGGASAHEMHGSVNHNLRMLIQILPIIILFLLNFLPSSEPIYMLFRSYPYEHKLETSRGVAYFVKSVKFEEEYPYESPKRIALEEHVESDYVGILEQNCRVELQRRHWGLSYQTPHCDRLQKFEAAA >Ma05_p27400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38715564:38716526:-1 gene:Ma05_g27400 transcript:Ma05_t27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLETINNSSCVLLQQKTKLRVLKKSLRRIARDDNILIIATLDAASRSLFDLFIESFRVGERTDVLIRHLLVLATDQKALEHCRSSHMHCHLLGAEGNSRSAADDGHVMMRQLEFQQTLVELGYSFIYTDLDVMWFRIPWHHLESGTYLGFATDLFEGDAKSLQNVPNGGLMYVKACSNNVELYKYWKLLCKQYPTADRQSILSKGLTDGVVPFNLRFQFLDTANFGGFCQPRGEPSKVCTVQANCCGSAEEKVRGLRSLIQDWKKYRSISMEEKEGGGGFSWSNTSRCEQ >Ma08_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18359365:18359472:-1 gene:Ma08_g16450 transcript:Ma08_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYNRLGGFGIELLNQSNYKIWKTCLESYLIGKY >Ma04_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3263629:3265652:1 gene:Ma04_g04300 transcript:Ma04_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPISLRHRIFLLLLLLVGSSVHCSRETYSIHELQWGKTSASHSTSGRRARRAAGATILEMRQHLQSPRSYLKLLIEDEARVESLQSRIKDPMEMEESTSEAQVPLVSGIKLQTLNYVVTMGVGGKNMTVIVDTGSDLTWVQCKPCSYCYSQKDPLFDASASPSYHNITCKSTTCYSLLRAATGKAGVCGADRSTCNYALSYGDGSYTRGVLGRERIDIGGTSIEGFIFGCGLRNHGLFGGTSGLMGLGRTQLSLVSQTTSQFGGFFSYCLPTRILNSSGSLVLGDDPALYKNSTPVSYTRMVSDPRQAPFYFLNLTGASIGGVPLDAAGFSNGRTLIDSGTVITRLPPSVYQTLKAEFVRQFSGYPPAPSFSILDTCFNLSAYEEVKVPKVRFGFEGGAEMNVDVTGIFYLVKKDASQVCLAIASLQFDGQVGIIGNYQQKNQRVVYDTVASRIGFAEEACS >Ma01_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11842340:11847425:-1 gene:Ma01_g16340 transcript:Ma01_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAAGIGAGADRRVQIRGFRGLRSSGLIPFGRPLPALPIGSSSGFSSIIRAVSTPTKPDTAVKRSKVELFKEQSNFLRFPLNEELLSEAPNINEAATQLIKFHGSYQQTNRDERGVKSYQFMLRTKNPCGKVPNKLYLVMDDLADEFGIGTLRLTTRQTFQLHGILKKDLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPYAKKEYVFAQETAENIATLLTPQSGAYYDLWVDGEKVMSAEPPEVVKARNDNSHGTNFPDLPEPIYGTQFLPRKFKIAVTVPKDNSVDILTNDIGVVLVSDDDGEPRGFNIYVGGGMGRTHRVETTFPRLGEPLGYVPKADILFAIKAIVVTQRENGRRDDRKYSRMKYLISAWGIEKFRSVVEQYYGKKFEPFRELPEWEFKSYLGWHEQGNGAMFCGLSVDNGRIGGKMKKTLREVIEKYNLNVRITPNQNLILCDIRCSWRRPISIALAQAGLLLPRYIDRLNLTAMACPALPLCPLAITEAERGIPDILRRVRAVFDKVGLKYNDSVVIRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTRLAKSFMNKVKVQDLEKVLEPLFYNWRIERQRHESFGDFTTRMGFDTLQELVEKWEGPGESSSSRFNLKIFSDRQTYEAMANLAKLQNKSAHQLAMEVIRNYVAAQQNGKGE >Ma06_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11829397:11830492:1 gene:Ma06_g17390 transcript:Ma06_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGTSSASSLLHGSGSDEGLQAVMDEKKRKRLISNRESARRSRLRRQKHLDDLVAQANQLRKENSHGVAILKLVTQHCAAVEAENSVLRAQMMELSSRLQSLDDRLHNLNGNNGSNHLLCDGPQFTDNIVSPWNFNYMNQPIMASAEDMLYF >Ma09_p31270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41062400:41063925:1 gene:Ma09_g31270 transcript:Ma09_t31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKRDDERGEPSQEVPPPVPTEWSGEEGRYHIMARGMNISWGEDDRFWKWPRLSEAELREIFSIDAKNWICECAELNQVSWLEATKTLDLDDEKYKKVFDGYDTCDILYNIKSMVATSKYLKLRRKERSSSACMKSRARGGRVAFFSPASLSSFTSLPPPIRSPKASSGSQYLFYVPFV >Ma11_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19056279:19069198:-1 gene:Ma11_g13900 transcript:Ma11_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKTIGRELAIGSHGGWGQSKEFLDLVKSIGEARSKAEEDRIILREVESLRRRIAEPDVPRRKMKEYIIRLVYAEMLGHDASFGYIHAVKMTHDDALPLKRTGYLAVTLFLSEDHDLIILIVNTIQKDLRSDNYLVVCAALAAVCKLINEETIPAVLPQVVELLTHPKEAVRKKAVMALHRFYQRSPASVSHLVSNFRKRLCDNDPGVMGATLCPLFDLICADVNSYKDLVISFVGILKQVAERRLPKTYDYHQMAAPFIQIKLLKILALLGNGDKQASGHMYNVLGDIFRKCEQSSNIGNAVLYESICCVSSIHPNAKLLEAAAEATSKFLKSDSHNLKYMGVDALGRLIRINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVGRMIEYMINISDNHYKTEIASRCVELAEQFAPTNEWFIQTMNKVFEHAGDLVNVRVAHNLMRLIAEGFGEDDEGAESQLRSSAVDSYLRILGEPKLPSLFLQVICWVLGEYGTADGKYPSAYIIGKLCDVTEALLCDDTVKAYAISAILKICAFEIAEGRKVEMLPECQSLIDELSTSHSTDLQQRAYELQALLCLESRAVESVMPLDASCEDIEIDKNLTFLKDFVQQSIENGATPYIPESERSGMSNVSNFKSQYQHEASSHMLRFEAYELPRPSPAHVIPQVSVPTPIADLFPVPETTCNSETSQASKLPSVAGASSAAHGIKLHLDGVQRRWGRPTYSSSSGPSSTTSTEKTTNGVTHIDGVGSQSRDKLNESRRQQPEVSAEKQKLAASLFGGSAGKTEKKATSTQRAPKGNTRNAERPGAARSRSPETSKEKAAPSVPDLLDLGEPNPTSTTIVDPFKQLEGLVGPTPMPSPLDNSVKTSGFETPDLMAAAYTDLPPSGSSSGSLSSTTRDMYSVDKTSNTATSVPSIKKGPFPQDSLRKDARARQVGVTPTGNNPNLFKDLLG >Ma00_p00510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1701223:1703132:1 gene:Ma00_g00510 transcript:Ma00_t00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MAAVALFHGAVPPPPPVFYLNAPPKARNNSHFHSLQFHRHLSYRCTRRGASSSSSSSWATDFDLYDLLGVDRCSDQFEIKKAYRALQKRCHPDIAGPAGHDMAILLNEIYSVLSDPIARGAYDHEQAKLSEFQGYTGKPVYSTWFGPEDEERAVFVDELKCVGCLKCALFASKTFAIESAYGRARVVGQWADPEERILDAVKTCPVDCISFVERSNLAALEFLMSKQPRGSVRISAGNAVGTRVSNIFAEVTKFQNRYRQMKEKASREESKVHDLRRESRSWAIRGIRSISNWWYWRPPSAATVEADTYLTLIPTRSTIPSTDRLQEAAARHKTKGMAGLKGKTSTSSEHGDDYYWTPITFLPPPSTTTPSTLELFSGDVSESKDEEVRSAAAINKRSRSAKDLMGPVMMAVVSAAAVGSKGTEMGGGLKEHIAGSTALGVVNSFELQMLLAGVTWFIIGMGIQSLVDAIGSKGVFRR >Ma08_p28550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40410052:40412826:1 gene:Ma08_g28550 transcript:Ma08_t28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIIKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRTKYETTARSWTQRYAMG >Ma09_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:68452:69752:1 gene:Ma09_g00050 transcript:Ma09_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIVAHIAMEAISILENIHSKGFVHGDGKPENFCLGPPGTYEEKKLFLVGLGLATKWKDSSTSLLVQYDQRPDVFRGTVRYASVHAHLGRTASRSDDLESLAYTLMFLLRGRLPWQGDHEQNKRYLFCKKMTTSPEDLCFLCPQAFKQFLEYVVNLKFDEECNYAKCISLFDGIIILAIVMLILVCLHVMKLMHQVAQKRGHLTMEEEDDKQSKKKLRLGMPATQ >Ma07_p09820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7348666:7351131:-1 gene:Ma07_g09820 transcript:Ma07_t09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCPNLTKSRFCFFSWNLHEGFYPTGGLFASVGQMGVGFGVSPNTSNPTNNSVNVSGTNLYMKYVSPEVIRVAPSKAIELFPYDTAKKALTPKDGEQPKLPLPPSLVAGAVAGVSSTLCTYPLELLTTRLTIQRDVYDNLLHALLKIIQEEGPSELYRGLTPSLIGVVPYAATNYFAYDTLKKLYKKTFNTDDIGNVATLLIGSAAGAISSSATFPLEVARKHMQVGAVGGR >Ma05_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11750941:11752402:-1 gene:Ma05_g15570 transcript:Ma05_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSSSTPVLGSLLSSSSSLHISSECSNHHHHSSPNSDLHSFSCHLSPTSDGFRDQSPSLGIRRSRSDGNLRSFLSDRHPPSPKCPSQLPHTTLETILSFSVYIRKTLAEEEAAEEEDDDENDGQRVDGGFDFASENRTGSASIGGPPPPPPLFLARGLGIDRIGSGLLTAGGGGGVGTCDVPMGNGGEQSDVEMHYKRMVEENPSNALFLRNYAEFLYQAKGDIKRAEEYYSRAILADPDDGESLSQYARLVWELHQDEERASSYFQQAVQAAPHDSHVLAAYAEFLWYTEEDDDGEGGAEGDGSQNSTM >Ma10_p16650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28283598:28286349:-1 gene:Ma10_g16650 transcript:Ma10_t16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPERNDLQQSKEKAIDDWLPITSNRSAKWWYSAFHNVTAMVGAGVLSLPYAMAELGWGPGVAVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHVFGEKLGLWIIVPQQLIVEVGTDIVYMVTGGRSIKKFHDTICPDCKNIKLSYFIMIFASVQFVLSQLPDFNSISGVSLAAAVMSLSYSTIAWVASVEKGQPDVDYSYKASTTTGVVFNFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWRGVVVAYLVVAICYFPVALIGYWAFGNAVDDNILITLEKPRWLIATANIFVVIHVIGSYQIYAMPVFDMIETVLVKKFHLPPGLTLRLVARSAYVAFTMFVGIAVPFFGGLLGFLGGFAFAPTTYFLPCIMWLAIYKPKKFSLSWITNWICIILGVLLMILSPIGGMRTIILSAKDYAFFS >Ma01_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:165011:170726:1 gene:Ma01_g00190 transcript:Ma01_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASEDAIERLSMLTDEADDLLKATFQNMHQGHLTHTLMRFLKAREWSVPKAHEMLVNCLNWRVQNQIDDILSKPITPAGLYRGIRESQLIGLSGYSKQGFPVFAIGAGLSTFDKASVRDYLQSHIQINEYRDRVILPAASKKYGRHVGTCYKILDMTGLKFSSLSQIKLLTIIATVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTRRKVQVLQGCGRDELLKIMDYATLPHFCRREGSSCSGGAADCYSLDHPFHQQLYRYMKREAERKEAENGGKLGKEGSFPVEVPIPEAIIEWELHNKLGDASGRAMDTPS >Ma05_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2779730:2781379:-1 gene:Ma05_g03740 transcript:Ma05_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEERLSFFPPDSYSDLDSSFTSSTTSASTFSARSSLSLPSSASFALKPLPHNCSDPHWSALRAAANLSPDGLLHLHHLRLLRPLGSGHLARVFHCRLHGFDDGHSPAEFALKVIDLDALSRTSSDYKKPDDSECDEETGEGGGRGKMWHVRAEARVLAEMDHPFLPTLYARLDAGHYACFLIDYCPGGDLHSLLRHRRGHRLPPAAARFYAAEVLVALEYLHALGFVYRDLKPENVLLRSDGHVMLSDFDLSFRSHVSPTLLHRRRRLPRRKSGLLCCLVGCSASGEDEELECVAEPSSAFSRASVGTHEYLAPEVVNGSGHGNAVDWWAFGVFLYELLYGRTPFKGATKEATLRNILTREVKLPDTNGGDVVEGGDMAKARDLIAQLLVRDPAKRMGSVLGAAEIKRHPFFASVRWPLIRCARPPIPCGPATGPLVPATNEGSGRWWTAGRKNATLDSNHNSSNDHRKTKKKKKKGIKLGFGAKAAAPNNVIV >Ma02_p23130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28277703:28278574:-1 gene:Ma02_g23130 transcript:Ma02_t23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVETTTVIEVPIEAPAEAEAPPAPAVEAVVETEAAAAPVEEPEEEEASPAAAEAESPAEEEVTPEPEEKPAEEPAAAEPEPAAAEPTEAAQPEAEPEAAAAPAAEDAAEPTVEDPKPVEDPPAAVEAEVEVEETAAAE >Ma09_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:23220388:23249459:-1 gene:Ma09_g19360 transcript:Ma09_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGNGYASSPASRRRRGRPRGGGGSGYGFWQPQSLHHHQQQEISPVVPPCTEFDMAYFRAYSHIGVHEEMLKDRVRTETYRAAIMRYQHLISDKVVLDVGCGTGILAIFCAFAGARRVYAVDASEIAVQASEVVKENNLSDKVTVLLGRVEDVSIDEKVDVIVSEWMGYILLYESMLPSIIFARDKWLKPGGLILPSHASLYMAPVTHADRYRESIDFWRDVYGINMSAMLPLAKQCAFEEPCIETISGENVLTWPILVKEVDCYTVTIQELESVTAKFSFSSMLRAPLHGFAFWFDVVFNGPANYSSNHHLQPPLGSLVDQQTHGSSQNKKRIKSDEGIVLSTAPEDAPTHWQQTLLYLYDPIELQQDQKIEGSVTLSQSKENARFLNIHLEYSSGGRSFVKESVMR >Ma11_p22600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26325617:26328339:-1 gene:Ma11_g22600 transcript:Ma11_t22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGNAVLEWVDVPSFGYMDIAIHCYHQRIVTRERTSADRNLIKKAVKIALDDLKAKYAGLLLSFRSVKICNHIPDLSRTIAGLILSSNDPEFHSECASLLGLQSNDACTEETVDSCIREKIIRIIEMNDGKKKKKKIERGSAPCLFECEGHLKEHCPEGKDDDSEEASTSRMRDVASTQ >Ma10_p28010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35040218:35043236:1 gene:Ma10_g28010 transcript:Ma10_t28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLSLLLISAGIISVLLQAASASKTRHFKWEVGYIYASPDCNEKILIGINGQFPGPTIRAKAGDTIHVELKNTLHTEGVVIHWHGIRQFGTPWADGTASISQCAIDPEETFVYRFRVEKAGTYFYHGHYGMQRAAGLYGSLIVDAADGEAEPFRYDGEFNLLLSDWYHQSIYDQTVGLSSKPFRWIGEPQTLLINGRGQYNCSLAAHLIEGSNTCETTSKDCAPVVLRVLPNKTYRLRIASTTSLSSLNLAIGNHKMVVVEADGNHVEPFMVDDIDIYSGESYSVLLTTDQNPSSNYWLSIGVRGRKPKTSPALAIVNYSPNSPSKLPESSPPIAPEWNDYNHSKSFSYRILARRGAPKPPQSADRRIVLLNTQNKIDGYTKWSINNISLALPPTPYLGSMRYRLKNAFDAGTPPENFPSSYDVMKPPTNPNSTQSSNAYVIRFNSTVDVILQNANALAVNVSEIHPWHLHGHDFWVVGYGDGRFDEKDVARFNLKNPPLRNTVVIFPYGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVIFAEGVEHVGKIPKEALVCGMTGKMLMHNHLP >Ma05_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21133174:21134139:1 gene:Ma05_g17690 transcript:Ma05_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRSRKLFKRSSKLGSSTGGCSGNGDVQWELRPGGMLVQKRGCGEEADEVVTVRISTGWNWHDVSIGATASFGELKTVVAMVTGMEPREQRLLFRGKEREDGDHLHMVGVRDKDKVLLLEDPAIKERKLRDMAGSHVVSSRPCHAIIEV >Ma02_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20275959:20280183:1 gene:Ma02_g10800 transcript:Ma02_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRKVAKCPEGLRSPGAEGGDGGRSMLDHFLAARAGAVAINLGSSGGLAYTSKKQTPLLPRLFAVVDDIFCLFQGHIQNITSLKQQYGLGKTANEVIIVIEAYKTLRDRGPFPASQVLRDLNGKFAFILFDSSSNTTFMASDADGSVPFFWGADAQDHLVLSDDADIVKKGCGKSFAPFPKGCFFTTSGGLQSFEHPMNELKPMPRVDSQGQVCGATYNVDYMAKKDAGMPRVGSSANWSSQF >Ma10_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27698664:27701644:1 gene:Ma10_g15670 transcript:Ma10_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTARRGRGGGVWEGLYRVLMRRNSVYVTFVVAGAFVGERIVDYGVHKLWDYNNEGKKYEDIPVLGQRQSE >Ma04_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12015785:12017206:-1 gene:Ma04_g15680 transcript:Ma04_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTGCKHRSLLLAELARAQHLALQLVAHRDQHSPIESFKSLASNIVSSIDKLIHIAGSSTSEAKQQLAFPNTVPEDPLRSANKDASAGNFDSVFHRNCNNLSKNRKTLPKKKIQVKVGSGGVAAALDDGYSWRKYGNKNILGSKHSRSYYRCRDRNTKSCFATKQVQRSDEDPQAFDVIYQGTHTCCRGLPVPTTASISAQERRQNQPDRRLQNDQRVQQPNKDLLMDFQTGMDLDTHESSLSFRLGSVTAKATLPESINGYSSQPSKPDNCCFGRSPSASELIDISGSPSQLCHSEAGLEPLASDSYVSDSLTPPNSAVDADIRLLEFDTQFGEFSVSCSNLFYTM >Ma10_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33010795:33011724:1 gene:Ma10_g24380 transcript:Ma10_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSILRRNSILPLFETCRLRDLLFFSSSVYAAVAVCGTTSPSPHFMMEYLVNSCGFSPFEAAKFSKPIAYLRSTDKPDIVLNFMRSQGFNGAGIRKVISWNASYLCMNVEKNLAPKFQFLLDLVLSESNIVDVILKNHVILLLNVHHSFVAKLEMWESLLGSRELVLKHLKKTGWFFFFSFEKTLHPNLKFLRDKCGILEKRASLVFRSHPQLISQKPESLRALVARADELGMPRLSRMFVRTLDVLQMVSKEMFEAKVNLMRSFGWPESEFSFAVRKVPVFLGMSLDMMRRKMVFFYPKPSIG >Ma08_p28700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40513426:40516468:-1 gene:Ma08_g28700 transcript:Ma08_t28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSSLTSTSTPSISLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIRVPDGFDYKLYNRNDINRILGPKAACISFKDSACRCFGYLISKKKYIFTIDDDCFVAKDPSGKEINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGVQTAVSHGLWLNIPDYDAPTQLVKPLERNKRYVDAVLTVPMGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQTAVLPKECTTVQTCYLELSKLVKAKLGKVDDYFNKLADAMVTWIEAWDELNPSENPGSSAAVKPNGAAKGK >Ma02_p09080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19241208:19245699:-1 gene:Ma02_g09080 transcript:Ma02_t09080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMFCGCWRRGKIGGGGGGSEQQQQSSSQPSPWNLFIDLKTLEVATDDFSESNLLGQGGFGPVYKGVLENGQEIAVKKLSLNSRQGVREFTNEVKLLLKVQHRNLVSLLGCCITSDQKMLVYPYFPNRSLDHFLFGNSSAATLDWSKRFEIIGGVAKGLVYLHEESPVKIIHRDIKASNILLDDQLNPKIADFGLARLFQGDDTHVNTFKISGTYGYMAPEYAMNGYLSAKADVFSFGVLVLEIVSGRKNLDKRLDEEKSDLLSYAWKVFQEGKALEIMDPTIPSGNGDEAAQCIQIALLCCQAVLSDRPDMYTVHLMLSSDSFSLPKPGRPGTRGRVGRWTSTPNSTLTKASTSSGGYTASDTTKASTLYSVAEDHSRNSISVSFTTEGR >Ma02_p09080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19241208:19245699:-1 gene:Ma02_g09080 transcript:Ma02_t09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMFCGCWRRGKIGGGGGGSEQQQQSSSQPSPWNLFIDLKTLEVATDDFSESNLLGQGGFGPVYKGVLENGQEIAVKKLSLNSRQGVREFTNEVKLLLKVQHRNLVSLLGCCITSDQKMLVYPYFPNRSLDHFLFGNSSAATLDWSKRFEIIGGVAKGLVYLHEESPVKIIHRDIKASNILLDDQLNPKIADFGLARLFQGDDTHVNTFKISGTYGYMAPEYAMNGYLSAKADVFSFGVLVLEIVSGRKNLDKRLDEEKSDLLSYAWKVFQEGKALEIMDPTIPSGNGDEAAQCIQIALLCCQAVLSDRPDMYTVHLMLSSDSFSLPKPGRPGTRGRVGRWTSTPNSTLTKASTSSGGYTASDTTKASTLYSVAEDHSRNSISVSFTTEGR >Ma02_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19241208:19245699:-1 gene:Ma02_g09080 transcript:Ma02_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMFCGCWRRGKIGGGGGGSEQQQQSSSQPSPWNLFIDLKTLEVATDDFSESNLLGQGGFGPVYKGVLENGQEIAVKKLSLNSRQGVREFTNEVKLLLKVQHRNLVSLLGCCITSDQKMLVYPYFPNRSLDHFLFGNSSAATLDWSKRFEIIGGVAKGLVYLHEESPVKIIHRDIKASNILLDDQLNPKIADFGLARLFQGDDTHVNTFKISGTYGYMAPEYAMNGYLSAKADVFSFGVLVLEIVSGRKNLDKRLDEEKSDLLSYAWKVFQEGKALEIMDPTIPSGNGDEAAQCIQIALLCCQAVLSDRPDMYTVHLMLSSDSFSLPKPGRPGTRGRVGRWTSTPNSTLTKASTSSGGYTASDTTKASTLYSVAEDHSRNSISVSFTTEGR >Ma11_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19757640:19760535:-1 gene:Ma11_g14450 transcript:Ma11_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGGIAKDVTELIGKTPLVYLNKVTDGCVARVAAKLESMEPCSSVKDRIGYSMISDAEERGVIQPGKSILIEPTSGNTGIGLAFMAAAKGYKLVLTMPASMSLERRIILKAFGAELVLTDPSSGMKGAVKKAEELAAKTPNSYILQQFENPANPKIHYETTGPEIWKGTGGKIDALVSGIGTGGTITGAGRYLKEKNPDIKLYGVEPVESAVLCGGKPGPHKIQGLGAGFIPDVLDVHLIDEVIQVSSDEAIETAKLLALKEGLLVGISSGAAAVAAVKLAQRPENEGKLIVVVFPSFGERYLSSVLFQSIKKEAECMVVEP >Ma03_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25858963:25859850:1 gene:Ma03_g20750 transcript:Ma03_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSRRSSRPVLHTQRSMSPGGGSSAFASSSSRFTGRSPASFFHHYEHHRSASPTRVHLVGAAPSQTPAVRFSLDRSTSPGRSLAAADKRSPSTTAGPVRRTCLCAPTNHPGSFRCRLHKGLHIHHAAVSSPSNRLNARRSAMTNSLVRIGAVEGEWVKRALAALIRPSSHQQRRRADFHPRPSRLSRMSKADGP >Ma03_p26570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30249549:30249722:1 gene:Ma03_g26570 transcript:Ma03_t26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVNESNYLSFDLLENYFTKDIFVIAFVHRLWSSNHKNCTKVLNFPYFLYYPSYCI >Ma02_p19740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25929250:25936516:1 gene:Ma02_g19740 transcript:Ma02_t19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLNVKVLDKGKNCDLKRKRNSDVCSIDIGHGLHQPIVGQSSLRACTRTRCKTNLCCSPENHILKNYRNFTQSGLPQQVLFYENGEWNDFPANIVSLVQEEFKSKKAITEVGYRNQQCLLDFIHMVCVVLHTGLKPLAWIDDHGKCFFPESSNRCHHSDKKIQAYMSSESNGIHGNNTHFEISINEAESSSSVADDEIMSNIKRVKCEENFVSHQNIWSPGNEVVGENEPGSIFPLDIPAFGTFQASAGGHRVSLVQDMLLQGLGKFIDAKDIVGILRTPLRNDLGLDRFDHFQKQVEVTKMARGNANVRYAWLASSKDAVEEMMLHGILKRSMQKCLYGNGIHLAPANCSNICTSYSDVDESCVIHLMLCRIVMGNVELIHPGSEQCQPSNENFDTGVDDLQKPRHYIIWDMNLLTHIYAEYIVTFRVTSKVKECLLGKESIYNVSALKNSSPSHCLLQDKTFEPSPALGNHSQVPVSTRTPPRIPSSPWMPFSMLFAAISTKLPQGDMDLVHTHYDDFKKRKISRIDLIKKLRQIIGDKLLVSTIMRLQQKLPPMARHEPPKSWSRNLQNMS >Ma06_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11734947:11737232:1 gene:Ma06_g17260 transcript:Ma06_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTARKKIQKEKGLEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINSAIQMDVAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVLIATRRILRPPKKGSAVVRPRSRTLTAVHDGILEDVVYPAEIVGKRIRYRLDGSKIVKIFLDPKERNNTEYKLESFTGVYRKLCGKDVVFEYPLTETA >Ma06_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5037243:5039299:-1 gene:Ma06_g07070 transcript:Ma06_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPVTSPVPIQWYPMLSALFLLVGLLVTASFFIYEATSSTRSRSLLKEVVSAAMASTFLGFGSLFLLLATGVYV >Ma10_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33130557:33133948:-1 gene:Ma10_g24620 transcript:Ma10_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAATAACGPSPLRALRPRRACQLLVCANRVKFARFAVSPTSWELFSPPRHSVPPHKAANASSDASRWRTGASLSGEGVHRRPDREAPGRQSLDHILWAAEVLCVAPSAVFSIWCLVSSVLPGASKPFQVFLGSKVVFQYILLVAAVAIGSLIRWRQWRRIYMGNETGMSFDLIRRIEKVEDDLRSSVKIIRVLSRQLEKLGIKFRVTRKTLKEPIAETAALSQKNSEATRALAMQEDILEKELSEIQKVLLAMQEQQQKQLELILAIGKAGRLFDSKSDFVGQGRAGTNSSVPEKKEQNSQPGFQSERHAGEGNDSLSAAHPDFLL >Ma10_p18910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29664065:29664241:1 gene:Ma10_g18910 transcript:Ma10_t18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYENSGLKIHDQRIRYVSQDYVILFRKNSSVGLHFIIFCIHSIFIELQVVSTILYEML >Ma04_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15618328:15621303:-1 gene:Ma04_g16450 transcript:Ma04_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNSAVAQTKLLHLRGRNNNGSLGLSMRRRSTYVCCIKFTFHSSDNGLQETDKSLELPSFSCLSSDVGKMKFAKQTHLLVKKYNQSRRRNYSAEACTYDGKVLSNASSAAQERVGVLLLNLGGPETLNDVQPFLFNLFADPDIIQLPRLFWFLQRPLAQLMPVFRAPKSKEGYAAIGGGSPLRRITDDQA >Ma05_p06310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4706038:4707394:-1 gene:Ma05_g06310 transcript:Ma05_t06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSQSSDAMEVERRRGGPTRTATPCCSKVGMKRGPWTAEEDEVLARFVRREGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGPITPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLNRKLIKQGIDPRTHKPFAFPTDPAPAAPSAPRHPTENPNTIPSVPQEPGNGVLDGFNLHYNDSVGWQASEAFASEGNRALHGSREEGRRGADDVLSDLLDSFVNDDIFMQQQHINNNYGSNIADNTTITTTDNNKNSEMIDPTGTSSVPYFALEGLWEDAFTYFG >Ma06_p35320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35218928:35222355:-1 gene:Ma06_g35320 transcript:Ma06_t35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSLPSCGGCDGEDDPSSLWSVASILQYQKAGDLLIALAYFSIPLELFYFVTCSDIFPFRWVILQFGAFIVLCSLSHLAAAFTYAPHSFLLFLTLTVLKFLTALVSLATAISLVALIPQLLRLFVREGLLRQKARELDRDLGLMRRQEEAAWRVPMLTAEIRRSLDRNTILDTTLVQLSAALSLRECAIWMPTSPSSMSLTHQLHSGPRPPASVSTDDADVIEIMSRNGVVILDPKSNLVRAAMASEAVGRVAATRVPLLKVSHFNDESMEASHAILILVLPDGEVREWTSHELETVEVVADQVAVALSHAAILEDSLLIREKLLEQNMVLNRARHDALLAREARESFKCFMTREIIGPIRSIGAIFSLLQVEKLSPQQLGMVTTGLTLSSLIGEAANISGFEEGELELILQQFHVRAILEEAVTMSRLLCACRGVHFKFEVSGQVHRAVVGDTKRILQALWCMLGNVLGFGDCRAIFLQVLVESSMQDDISISKYGVMQQGLEEGTVVLKFEVRRIGIKRKDNNIAHSKHGPDIGDPGISFAICEKLARLMHGSFSALPEAAYEESFQLLIRLPCPRSRDELLMTRHTDIESCPFKGMRVLLMDIDRSSIVITKLLLEKLGCHLTTVHSWRHGVHMMGSQFHLLLIDDKILENNRHEVASRIKQLSSKSWPLFVALTPNADRKTKERCLQDGMHGVLCKPVILKQMIDELQRITQQLQIPHPLLMTQQN >Ma11_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:458378:460229:1 gene:Ma11_g00650 transcript:Ma11_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGPYSGVSTLAFVARTSAFAFGLVYGSIKLSYLRTKAKSHKKADAKGHH >Ma04_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9597798:9600630:-1 gene:Ma04_g12710 transcript:Ma04_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSNSLIGVLNVITLVLSIPIIGGGIWLSQRANTDCEKFLERPLVALGVFLFLVSLAGFVGACCRNSCLLWLYLVVMFLLILLLVCFTVFAFVVTNKGAGEVVSGRGFKEYRLGDYSDWLQRRVEKASNWRRIRSCLQQGKVCESLQNKNQTWDQFIKDNLSPIQSGCCKPPSACNFTYMNGTAWNKPPGFNSSDVPDCNTWQSGQSTLCYDCQSCKAGVLANLKHDWKKVAVANIIFLIFLVVVYSIGCCAFRNNRDDNHHPRYK >Ma05_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27911493:27911853:1 gene:Ma05_g19460 transcript:Ma05_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGRRRCILPSLHHTAVLPPLGVLHLRSQAGLRLIHHTHRRRRPALRLLLVVHRTLEQSPTSRDATSMHVVCHA >Ma07_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6673727:6682803:-1 gene:Ma07_g08930 transcript:Ma07_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKKNVDMEEGSLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTIRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEKDEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDTTH >Ma03_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19175593:19181769:-1 gene:Ma03_g16610 transcript:Ma03_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAVTTAVVCAAAACAVAALVVRYRMRSSGRWARVAALLKELEERCATPVGKLRQVADAMAVEMHAGLASEGGSKLKMLISYVNNLPTGEETGLFYALDLGGTNFRVLRVQLGGKERHVLKQEFEEVSIPPLLMVGGSDELFDFIASALAKFVASEGEDFHLPVGRQREIGFTFSFPVRQTSIASGTLIKWTKGFNIDETVGEDVVAELTRAIERQGLDMRVSALINDTVGTLAGGRYHDNDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTDYDQALDNESLNPSEQIFEKLISGMYLGEILRRVLLRLAKEASLFGDSVPPKLEIPFMLRTPVMSAMHHDTSPDLKVVGAKLKDLLGIPNTSLKARKVVVQICDIVARRGARLAAAGVAGILKKVGRDTAVKGGESVPRTVVAMDGGLYEHYTIFRECLQATFEEMLGAEAAASVVIKLANDGSGIGAALLAASHSQYRELEQS >Ma11_p18800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23822530:23824109:1 gene:Ma11_g18800 transcript:Ma11_t18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLASVVVVLCTTIVAVCGGDEGMKASFVFGDSLVDAGNNNYLPSLSKADIRPNGIDFSASGGQPTGRYTNGRTIADIIGELLGQENYAQPFLAPNTTGRVILNGVNYASGGGGILNGTGKIFVNRLGMDVQLDYFNITRQQLDGLLGKAEAKEFLMKKSIFSITIGSNDFLNNYLLPVVSAGERVTETPDSFIDSLIISFRSQLTRLYSLDARKVVVANVGPIGCIPYQKTINKVKEAECVSLPNQLAVQYNSQLRDMLTELNDNLPGAKFVLANVYDLVTELLTNHRSHGFRTTSYACCGDGGQYQGIIPCGPTSTMCDDRSEYVFWDPYHPSEAANLFFAKYIVDGDTRYVSPINLRQLLGL >Ma03_p26250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30047566:30049387:-1 gene:Ma03_g26250 transcript:Ma03_t26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAAAELVFMRPTNPVGKLGRSTPKLAALGPRCSRFRVMASSQPGAATATPKKKAGKSEIKETLLAPRFYTTDFDEMEQLFNTEINKNLNQTEFEALLNEFKTDYNQTHFVRNPEFKEAADKMQGPLRKIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEFQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDTMVEINQKLIAVGESQEIAVVKNLKRIPLIAALVSELVAAYLMPPVESGSVDFADFEPQIVY >Ma05_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19135263:19140831:-1 gene:Ma05_g16940 transcript:Ma05_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKVYGHRLSQPSRAIIIFCKVNGIDFEEVTIDFTTGKHRLPDFKEINPMAEVPAIVHGDLKLFESHAILCYLASAFPGVPDGWYPADIISRAKIQSILDWHHSNLRRGALNYCINSALGPVRSLPLNPQAAIEDEKILSASLSKLETVWLKGDAKFLLGNLQPSVADLSLACEIMQLEVVDEKDRERILGPHPKILEWIDNVKSATSPHFEEVHEHLQEVKARIALLKSA >Ma11_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26808346:26813461:-1 gene:Ma11_g23490 transcript:Ma11_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGKHNLFRALEGHGFLGNHDPAAKEKTKGIHEGFLGYPKYNASHSNSQESWNVLPEPKDEKGVPVCDSAKANYSILEPYAILNKGYGASLYKQEAFVQPPDFVMWRQQVQLSASENNIYPIIHDYSIPVESGCSYMPPVKMFPHAHQHKVQIQEFQYFVVIDFEATCDKDRKLHPQEIIEFPSVLVNSATGQLEAVFQTYVRPAYHKHLTDYCKQLTGIQQFQVDRGVLLSEALIMHDKWLESKGIKHKTFAVVTWGDWDCCVMLESECKLKRIRKPAYFNRWINLKVPFQEMFQGIRCGLKDAVEHVGLTWEGRAHCGLDDARNTAHLLVHLMDMGIKFSITKSLESQSMDVPMKYKASCDFSLDRSEHTQEPKEVFGAPVQIHPFMDSTGKEKHTYCYCGVLSSKSVVRKPGPNQGRCFFGCGNWTAARRAVCNYFVWASP >Ma05_p04550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3453669:3469411:1 gene:Ma05_g04550 transcript:Ma05_t04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRARRPAEEGGSGGKILRGRRGGSASQSSPYARPPPPALPPPPPPAGSPRWLLGLVSGAGKLISSVFRSDSSSSASSSDYSSDEDCIPRNDEEEDTEARADLHELNQGVNKPELVTDCMEGSRAIVTISETKLAIEKLLAQETFSRDECDRLIKLIQSRVVDSPEFVHDGSERGVTNRGTGNAVDPSGAWLSLKQNMGLPESLQCSPGDLSSLSPRTPAFRGCTPDVHSAAVMEAKKWLEEKKLSSNSKVDSVCGPCTLNTDMLNYDIHNKDVSPVDLAKSYMQSLPPWQSPRFGSSGLKAPTPSRVDFCTDENNDATTSHSLPPFKDFKRKYLSARLRESSDNNRRVRLKLTENMLEHHEFKQFDAQQNIFQNETSKISSAMDEKGEDVLGTERYSGSLQSVETSSAPKSLADLHVKDDCSKDGLSLPDKSVNADPLVLADKPSSIVIASETKETDKATESANETALPTISSLDPTESKIESEPTLSPGLVENKDVVEPLLTEQEYIVDSDIPRGSFVPASTSVVHGDPMAEKAVVTPDITKSTTLCDADDVNNIDKNVQTSSANTTAVVGNLEANNTTESNPDAKPQQGNIFLQHGTDGRANELSTNGGSAESNANPQSFCEEDQSYTHCGNGEQTMGISGETCEPQSEAAIDTPAVHVAGSITGNTENGILMKSIEQVLVEPQPSSSSRRKRAVVKVKRGRGRGRGGRGAK >Ma05_p04550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3453669:3469411:1 gene:Ma05_g04550 transcript:Ma05_t04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRARRPAEEGGSGGKILRGRRGGSASQSSPYARPPPPALPPPPPPAGSPRWLLGLVSGAGKLISSVFRSDSSSSASSSDYSSDEDCIPRNDEEEDTEARADLHELNQGVNKPELVTDCMEGSRAIVTISETKLAIEKLLAQETFSRDECDRLIKLIQSRVVDSPEFVHDGSERGVTNRGTGNAVDPSGAWLSLKQNMGLPESLQCSPGDLSSLSPRTPAFRGCTPDVHSAAVMEAKKWLEEKKLSSNSKVDSVCGPCTLNTDMLNYDIHNKDVSPVDLAKSYMQSLPPWQSPRFGSSGLKAPTPSRVDFCTDENNDATTSHSLPPFKDFKRKYLSARLRESSDNNRRVRLKLTENMLEHHEFKQFDAQQNIFQNETSKISSAMDEKGEDVLGTERYSGSLQSVETSSAPKSLADLHVKDDCSKDGLSLPDKSVNADPLVLADKPSSIVIASETKETDKATESANETALPTISSLDPTESKIESEPTLSPGLVENKDVVEPLLTEQEYIVDSDIPRGSFVPASTSVVHGGDPMAEKAVVTPDITKSTTLCDADDVNNIDKNVQTSSANTTAVVGNLEANNTTESNPDAKPQQGNIFLQHGTDGRANELSTNGGSAESNANPQSFCEEDQSYTHCGNGEQTMGISGETCEPQSEAAIDTPAVHVAGSITGNTENGILMKSIEQVLVEPQPSSSSRRKRAVVKVKRGRGRGRGGRGAK >Ma08_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35739696:35746654:-1 gene:Ma08_g22010 transcript:Ma08_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPETPFGFESPPVREEMESLALSDAPSSDYRSAMSSSLPTAVSAASVDAGGGDPLLYPPHHLQFSPSSPAYGDGGDASSSSASSFLEPPSYADVVFSPFESQNNGGSDQGSFVHRDCSPRSARTAASDYLKIAVADPQKEQETANSLVPGGATYVTYLITTRVRSAAAGEVETGPIEFSVRRRFRDVVTLADRLAEAYRGFFIPPRPDKNVVESQVMQKHEFVEQRRSALEKYLWRLAEHPVIGKSDELRVFLQAKGKLPLPTTTDVASRMLDGAVRLPKQLFGEGPAAQVAPQDVVQPAKGGRDLLRIFKELKQAVTNDWGGVKPLLVEEDKEFLESKEKMQDLEQQLSIASQQAEALVKGQQDIGETMGELGLAFIKLTKFETEEGVYNTQKVRAADTKNVATAAVKASRLYRELNAQTVKHLDTLHEYLGLMLAVHSAFSDRASALLTVQTLMSDLTTLNTRIEKLEAASSKIFGGDSSRLRKVEELRETIRATENAKICAIKEYERIKENNRNELDRLDRERHDDFLSMLKGFVINQVGYSEKIANVWATVAEETSRYARDRN >Ma08_p15340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15416231:15425025:-1 gene:Ma08_g15340 transcript:Ma08_t15340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD2a [Source:Projected from Arabidopsis thaliana (AT1G02130) UniProtKB/Swiss-Prot;Acc:P28188] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEHDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVSPIIKSIFCLLHVKLLCQLVVHSLDLQVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANRVVSFETAKAFADEIGIPFLETSAKNATNVQQAFMAMTAAIKNRMASQPAMNSARPSTVQIRGQPVDQKSSCCSS >Ma08_p15340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15416231:15425022:-1 gene:Ma08_g15340 transcript:Ma08_t15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD2a [Source:Projected from Arabidopsis thaliana (AT1G02130) UniProtKB/Swiss-Prot;Acc:P28188] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEHDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANRVVSFETAKAFADEIGIPFLETSAKNATNVQQAFMAMTAAIKNRMASQPAMNSARPSTVQIRGQPVDQKSSCCSS >Ma10_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29273480:29273599:-1 gene:Ma10_g18280 transcript:Ma10_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALLRSLFCRCYRHSCFKLRARYLKLVEKNICPYMKS >Ma07_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2524320:2525007:1 gene:Ma07_g03260 transcript:Ma07_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLLESILTLPWRGQSTDSSSLVYSGEAESKNIAPDASNQVNHPLGGSGGMFLSWILPLAKPGTRSMIDGQEYNTRQQDHPRLNQSCHACETLESRPTMEPSPAYGKLGLQGTNDMNLRQLTGSRTNSCLLINQHYSGGTFPRKL >Ma06_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16115248:16116690:-1 gene:Ma06_g21750 transcript:Ma06_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARLLSPSQLNSTIIKHLDDGRLIDAAQVFHSNIHLRDLLSWNLMLSGYIRNGHAQRAHKPYDEMPCRDAVSWNTILSSFKRSGDSTHRVDSHHHNHGIPNGQSGASAPRGCTGFSCNSIVGTALIGAYAGRKDPIAMRRRAFDDIPIKNSVSWTSLIVGYMQLGRLKEAECAFGKMPAKNVVSWTAMINGFIDNGHLDEARRCFAQMSFRNVVTSTSMIKGWVPGARAARRCSKLVRRDAPAQWYPPQPVHRLCRACCLCRLMLPPVRQICPWANPEMQGAMGCDLVQLPRRDVWQMWRRGFCHPILRVIPSPQLEEFERMIREGVRPPITSLRRHLDGLRARWVGEGRMEHYGCMVDLLGRAEKLFGRMPFEPDAVVWVWGALLGACGLQSSLEHGMAAAESIHRLEEHHPAIYSMLLRIYGENGAWRKVDEVKETMEKMVIGKQRALSWVESAAASCGL >Ma01_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11782585:11790781:-1 gene:Ma01_g16260 transcript:Ma01_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQARDLLVYVDLNWNSQDAKRQEKMAELCKNLRELKFILYGNSESEPIAEACAQLTQEFFRENTLRLLIICLPKFNLEGRKDATQVVANLQRQQVHSRLIASEYLEANKDLLDLLISGYEDMDIALHYGAMLRECIRHQSIARYVLESDHMKKFFDFIQLPNFDIASDAYATFKELMTRHKSTVAEYLSKNYEWFFTEFNSRLLSSPNYITRRQAVKLLGDMLLDRSNSAVMVRYVSSKDNLMILMNLLRESSKNIQIEAFHVFKLFVANQNKPPEIISILTTNKKKLLRLLQDIKLDKEDEQFEGDKAQVIREIEALN >Ma11_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16467753:16468124:1 gene:Ma11_g12550 transcript:Ma11_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTLQALNLIATTRRPAEAHRPRARQRHAPRRRQQAGMSKRVGGSIGAWNRRRPTTTASYMAKKMRTLRLLVPHGESMELDGLLRGAADHILHLQLQVKVMQTMATALSPTEADERSLAW >Ma07_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27655370:27656957:-1 gene:Ma07_g19700 transcript:Ma07_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRADSSCSSSSKGVVAETASLSINPTSSAESHQYCSKGSRTTCWTFPFTDSCLSLQENRREKSEVAHPKCCYGENLVAEGIEDDSPDDENVVGDPSGGSGAADSGQSKVCARGHWRPAEDSKLKEMVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRPFTEEEEEKLMTAHRFYGNKWAMIARLFPGRTDNAVKNHWHVVMARKYREQSAAYRRRKLGQEVLHHHRLDEAAAAKATAAPRTAYGSTSGSFPSFPFLAAVDASAGAHHMPHYSGDSLSNCSGFSAEGTPFDFFSDNKVHEKESFYGNMSSDMPTVEPFVGFNHHSPVGSAVQRSSHLPHESATETPSEDNSESSHVDAAVSPTFIDFLGVGAR >Ma01_p00700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:521137:525479:-1 gene:Ma01_g00700 transcript:Ma01_t00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSRRHGKGSRSPTSGAGAAASSDAPPPERETGKMRIVDFLHEPHEGDDVLGDVGSPQRGDLFCIDSSGSPLRKFLPRWRLFPFQKPSLCSRGGETRPGSGHTVPSPHKKMKGRERGAEQKDDAKEKSKMAEGIDGRDCVRSLDPPAGPRKPEEVSFNLGMGIGMALALSRWSTEFNKMTELRVQMEMLLKEIKEEIPKKDTGFGIIRSNHNFVSSLSDCSRDVSEYNPIFFQNHRADFHMEEAKSTVESDRHSNNELDNENRSMDQLEAELAFELGQLQLSLGGKDSMKLSEQERIELAHEEASTSESHEPSDENHNVHCGVPASELERRLYELICSRQEERIAELESALEFTRKRLVEKEIKVSWWKDTGMLASPSLQHEEEMLSVNLCDSERERERT >Ma01_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:521137:525479:-1 gene:Ma01_g00700 transcript:Ma01_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSRRHGKGSRSPTSGAGAAASSDAPPPERETGKMRIVDFLHEPHEGDDVLGDVGSPQRGDLFCIDSSGSPLRKFLPRWRLFPFQKPSLCSRGGETRPGSGHTVPSPHKKMKGRERGAEQKDDAKEKSKMAEGIDGRDCVRSLDPPAGPRKPEEVSFNLGMGIGMALALSRWSTEFNKMTELRVQMEMLLKEIKEEIPKKDTGFGIIRSNHNFVSSLSDCSRDVSEYNPIFFQNHRADFHMEEAKSTVESDRHSNNELDNENRSMDQLEAELAFELGQLQLSLGGKDSMKLSEQERIELAHEEASTSESHEPSDENHNVHCGVPASELERRLYELICSRQEERIAELESALEFTRKRLVEKEIKVSWWKDTGMLASPSLQHEEEMLSVNLCDSERERERT >Ma09_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:666925:682087:-1 gene:Ma09_g00970 transcript:Ma09_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGQQKKRLTSSNFHEQHKGKKKKKLDSSDYILNLRCRVDLAWDDRQRRVVAKKEQISLSWTDISPFLDSVSQSHAGLADVVSVPIEIFSLDNLTDVLSYEVWATCLSESERKLLTQFLPSVKGAEQVVHSLLKGENHHFGNPSLKWSTSLCAGNLHPDILLQVEEQCQLSKRAYYCEINKYHKGMLEVLKKWKERWLNCKDPELLLSEGYCEDKEGSLANSAERAKVLAISKRESPHKVYDHAGDTDKYMSYIKVSRAQHQLIKNIKHSADGIQPKLLGRVLGDIQCFHVHPYETFEEEEKKRLHEHWLQVANKDLLVAFEAARGRKLWRERSWKSLERELAEKMKFINDKDDKLESSEGTPEEPTYDGYCRNQHTQDIDDKSYGQSKDNSIDDHQLELIPSPNSHKEPSLIIHNQEETLQQDDDFSPLSHVEKQNPKNMWQTAGVSDSYYHSTENHGYTSASELSLRQPQLTIEHPTNMIDLERDIIESEGGESIPSAFDVDGRPSLFCSFGRNEMLPTFPKEPRVMSSYPEHINGMKQPGLQFLMANDDLQESSLVSNQLQEQQQLIEQRDVREKEVYMQQIIPKKVYSTTRYPTQGFPSVDRQNLAAVQSSMNSGTRGYNWFPGDDQSYSSWSAVESSRGGGHCLDDGGNADGSLYSVLSNKLSTCSPYDSNSSEQYLQPRSFVGNVIPSAHNIYGYGQHQPDNPSSHQMGVTPSMNNGSWMNFPHQNPDLHDPLGRPFQRPWDHQ >Ma09_p00970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:666925:682113:-1 gene:Ma09_g00970 transcript:Ma09_t00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGQQKKRLTSSNFHEQHKGKKKKKLDSSDYILNLRCRVDLAWDDRQRRVVAKKEQISLSWTDISPFLDSVSQSHAGLADVVSVPIEIFSLDNLTDVLSYEVWATCLSESERKLLTQFLPSVKGAEQVVHSLLKGENHHFGNPSLKWSTSLCAGNLHPDILLQVEEQCQLSKRAYYCEINKYHKGMLEVLKKWKERWLNCKDPELLLSEGYCEDKEGSLANSAERAKVLAISKRESPHKVYDHAGDTDKYMSYIKVSRAQHQLIKNIKHSADGIQPKLLGRVLGDIQCFHVHPYETFEEEEKKRLHEHWLQVANKDLLVAFEAARGRKLWRERSWKSLERELAEKMKFINDKDDKLESSEGTPEEPTYDGYCRNQHTQDIDDKSYGQSKDNSIDDHQLELIPSPNSHKEPSLIIHNQEETLQQDDDFSPLSHVEKQNPKNMWQTAGVSDSYYHSTENHGYTSASELSLRQPQLTIEHPTNMIDLERDIIESEGGESIPSAFDVDGRPSLFCSFGRNEMLPTFPKEPRVMSSYPEHINGMKQPGLQFLMANDDLQESSLVSNQLQEQQQLIEQRDVREKEVYMQQIIPKKVYSTTRYPTQGFPSVDRQNLAAVQSSMNSGTRGYNWFPGDDQSYSSWSAVESSRGGGHCLDDGGNADGSLYSVLSNKLSTCSPYDSNSSEQYLQPRSFVGNVIPSAHNIYGYGQHQPDNPSSHQMGVTPSMNNGSWMNFPHQNPDLHDPLGRPFQRPWDHQ >Ma10_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30893587:30896975:-1 gene:Ma10_g20830 transcript:Ma10_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable histone H2A variant 3 [Source:Projected from Arabidopsis thaliana (AT1G52740) UniProtKB/Swiss-Prot;Acc:Q9C944] MSGKGAKGLITARTSVPNSNKDKDKKKAISRSHRAGLQFPVGRVHRLLKSRVAAHGRVGATAAVYLAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKD >Ma07_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:459434:461641:-1 gene:Ma07_g00530 transcript:Ma07_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF12 [Source:Projected from Arabidopsis thaliana (AT1G26480) UniProtKB/TrEMBL;Acc:A0A178WJF9] MSTEKVRESHVYMAKLAEQAERYEEMAESMKKVAKLDVELTAEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEQNVKLIKDYRQKIEEELTQMCDDILAIIDQHLIPFSSSVESKVFYAKMKGDYYRYIAEFKTEEERKEAADQSLKGYQDASEKANTELPPTHPIRLGLALNFSVFYYEILNSPERACQLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSELPEDGGDGAVKNEAGVAAAAKPEVQDAQS >Ma01_p08490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6094628:6101728:1 gene:Ma01_g08490 transcript:Ma01_t08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGGGGGDTWVLGHKTASLRDLYTLGRKLGQGQFGTTYLCTEGATGKEFACKSISKRKLTAKEDVEDVRREIQIMHHLSGHRNVVTIKGAYEDPLYVHIVMELCEGGELFDRIIQRGHYSERKAAELIRIVVGVVEACHSLGVMHRDLKPENFLLANKDDDSSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGFIDFDTEPWPMISDSAKDLIRKMLCSRPSDRLTAHEVLCHPWICENGVAPDQALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFQAMDTDNSGAITFDELKEGLKRYGSNLKESEIRDLMDAADVDNSGTIDYGEFVAATIHLNKLEREEHLAAAFSYFDKDGSGYITVDELQQACKEHNMTDVLIEDIIQEVDQDNDGRIDYGEFVTMMRKGNMGHGRITMRNMRNSLKISRRDEPETP >Ma07_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:667709:670467:-1 gene:Ma07_g00810 transcript:Ma07_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKEDRCQIGDLKGWVVDLEQPGPEGKKLIRSILAGSSRNVHQDILDQRSQEHKMIVRWTASISYSFFNGWTWRSLEKGDGIEIENGRGPVNVPMIGHGSEGMTDSTTIGTEIETEKGTERRNECGREREREHGRDRGHGRDKERERGRDNDCEHDSQPERDQVHERGYDQAGYERDHGYSHDKEAGYGSE >Ma07_p00810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:667709:670467:-1 gene:Ma07_g00810 transcript:Ma07_t00810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKEDRCQIGDLKGWVVDLEQPGPEGKKLIRSILAGSSRNVHQDILDQRSQEHKMIVRWTASISYSFFNGWTWRSLEKGDGIEIENGRGPVNVPMIGHGSEGMTDSTTIGTEIETEKGTERRNECGREREREHGRDRGHGRDKERERGRDNDCEHDSQPERDQVHERGYDQAGYERDHGYSHDKEAGYGSE >Ma07_p00810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:667709:670467:-1 gene:Ma07_g00810 transcript:Ma07_t00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAYKQADGRKIDNKRVLVDVEQGRSVPNWRPQRLGGGLGTTRTGGEEVNQKHSGREQQKCASGHSRSEESRAQDDRQVDSYSFFNGWTWRSLEKGDGIEIENGRGPVNVPMIGHGSEGMTDSTTIGTEIETEKGTERRNECGREREREHGRDRGHGRDKERERGRDNDCEHDSQPERDQVHERGYDQAGYERDHGYSHDKEAGYGSE >Ma07_p00810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:667709:671347:-1 gene:Ma07_g00810 transcript:Ma07_t00810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIATTPPSSPAPKPRMSQCPPAQTSAYKQADGRKIDNKRVLVDVEQGRSVPNWRPQRLGGGLGTTRTGGEEVNQKHSGREQQKCASGHSRSEESRAQDDRQVDSYSFFNGWTWRSLEKGDGIEIENGRGPVNVPMIGHGSEGMTDSTTIGTEIETEKGTERRNECGREREREHGRDRGHGRDKERERGRDNDCEHDSQPERDQVHERGYDQAGYERDHGYSHDKEAGYGSE >Ma07_p00810.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:667709:671347:-1 gene:Ma07_g00810 transcript:Ma07_t00810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIATTPPSSPAPKPRMSQCPPAQTSAYKQADGRKIDNKRVLVDVEQGRSVPNWRPQRLGGGLGTTRTGGEEVNQKHSGREQQKCASGHSRSEESRAQDDRQVDSLEKGDGIEIENGRGPVNVPMIGHGSEGMTDSTTIGTEIETEKGTERRNECGREREREHGRDRGHGRDKERERGRDNDCEHDSQPERDQVHERGYDQAGYERDHGYSHDKEAGYGSE >Ma10_p21910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31485370:31492542:-1 gene:Ma10_g21910 transcript:Ma10_t21910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSRSTTTTTTTTMAAAAAMDPGPSITGGDQNFEFAFNSSNFSDRVLRIEVVAEPDEGGADAGWAGYRKRRRDDGAKEKASESAKYSLELISSCEPDTEECMEYENHDEEDEPMLEELAPGVGRAGADTENDSSNVGGSPHVLRVKSIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRINESEEAALMELLSFMYSGKLSTTSPSLLLDVLMAADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPCSVSMASAVQPLTDAAKDYLANSYRDITKFQDEMLGLPLSGIEAILSSDDLQVASEDAIYDFVLKWARAQYPVLEERREILSSRLIRHIRFAHMTCRKLRKVLTCNDLDHEIASKLVTDALFFKAEAPHRQRALSADESSHKRFTERAYKYRPLKVVEFERPHPQCIVYLDLTRDECAKLFPSGRVYSQAFHLGGQGFFLSAHCNLDQHSLFHCFGLFLGMQEKGSISFTVEYEFAARTKPSGEFVSKYKGFYTFTGGKAVGYRNLFGIPWTSFIAEDSLFFINGTLHLRAELTIKQPQTPSLQ >Ma10_p21910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31485464:31492542:-1 gene:Ma10_g21910 transcript:Ma10_t21910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSRSTTTTTTTTMAAAAAMDPGPSITGGDQNFEFAFNSSNFSDRVLRIEVVAEPDEGGADAGWAGYRKRRRDDGAKEKASESAKYSLELISSCEPDTEECMEYENHDEEDEPMLEELAPGVGRAGTCADTENDSSNVGGSPHVLRVKSIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRINESEEAALMELLSFMYSGKLSTTSPSLLLDVLMAADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPCSVSMASAVQPLTDAAKDYLANSYRDITKFQDEMLGLPLSGIEAILSSDDLQVASEDAIYDFVLKWARAQYPVLEERREILSSRLIRHIRFAHMTCRKLRKVLTCNDLDHEIASKLVTDALFFKAEAPHRQRALSADESSHKRFTERAYKYRPLKVVEFERPHPQCIVYLDLTRDECAKLFPSGRVYSQAFHLGGQGFFLSAHCNLDQHSLFHCFGLFLGMQEKGSISFTVEYEFAARTKPSGEFVSKYKGFYTFTGGKAVGYRNLFGIPWTSFIAEDSLFFINGTLHLRAELTIKQPQTPSLQ >Ma10_p21910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31485461:31492542:-1 gene:Ma10_g21910 transcript:Ma10_t21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSRSTTTTTTTTMAAAAAMDPGPSITGGDQNFEFAFNSSNFSDRVLRIEVVAEPDEGGADAGWAGYRKRRRDDGAKEKGERERLPESRHPFPASLSSESAKYSLELISSCEPDTEECMEYENHDEEDEPMLEELAPGVGRAGTCADTENDSSNVGGSPHVLRVKSIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRINESEEAALMELLSFMYSGKLSTTSPSLLLDVLMAADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPCSVSMASAVQPLTDAAKDYLANSYRDITKFQDEMLGLPLSGIEAILSSDDLQVASEDAIYDFVLKWARAQYPVLEERREILSSRLIRHIRFAHMTCRKLRKVLTCNDLDHEIASKLVTDALFFKAEAPHRQRALSADESSHKRFTERAYKYRPLKVVEFERPHPQCIVYLDLTRDECAKLFPSGRVYSQAFHLGGQGFFLSAHCNLDQHSLFHCFGLFLGMQEKGSISFTVEYEFAARTKPSGEFVSKYKGFYTFTGGKAVGYRNLFGIPWTSFIAEDSLFFINGTLHLRAELTIKQPQTPSLQ >Ma10_p21910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31485462:31492542:-1 gene:Ma10_g21910 transcript:Ma10_t21910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSRSTTTTTTTTMAAAAAMDPGPSITGGDQNFEFAFNSSNFSDRVLRIEVVAEPDEGGADAGWAGYRKRRRDDGAKEKGERERLPESRHPFPASLSSESAKYSLELISSCEPDTEECMEYENHDEEDEPMLEELAPGVGRAGADTENDSSNVGGSPHVLRVKSIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRINESEEAALMELLSFMYSGKLSTTSPSLLLDVLMAADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPCSVSMASAVQPLTDAAKDYLANSYRDITKFQDEMLGLPLSGIEAILSSDDLQVASEDAIYDFVLKWARAQYPVLEERREILSSRLIRHIRFAHMTCRKLRKVLTCNDLDHEIASKLVTDALFFKAEAPHRQRALSADESSHKRFTERAYKYRPLKVVEFERPHPQCIVYLDLTRDECAKLFPSGRVYSQAFHLGGQGFFLSAHCNLDQHSLFHCFGLFLGMQEKGSISFTVEYEFAARTKPSGEFVSKYKGFYTFTGGKAVGYRNLFGIPWTSFIAEDSLFFINGTLHLRAELTIKQPQTPSLQ >Ma09_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10331969:10337983:1 gene:Ma09_g15010 transcript:Ma09_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEIRTTAEAMLTLVQEVAAMGPVLAAASAPSSLGASCLRLSRKISLLSHFLEEIWDFAARSDDATPSLPPSEAACSSSSSSPPVDVTSCLADLLMALEAAKKCLLLGRRPRQDNASSDLVEKNIDIQFQYVTWQLEKVLGKIPYGNFGISDEVQEQVELIRAQLRRATVKSGTTNLKVFSEIYDMLSQTCGKELKLQRTSSSPELHIDDTVDGDHDFKDIVMLVAEINGKSNHDMDNITSDITAGLNKARIADSFISAVNDDNQINLTSQMLEVFKRPVPLEIPEDFRCPISLELMKDPVIVSTGQTYERAYIQKWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLIMQWCELHKVEQPRKTVSGWIRKSDGAFHEFTADRVAIDVLVCNISSRSLEDQRSAAAELRSLAKRSTDNRILIAEAGAIPVLVKLLSADDHKIQEHAVTALLNLSIYEHNKELIVLAGAIGPIIQVLRGGSMEARENAAAAIFSLSLIDDNKITIGSAPGAFDALIELLYSGSHRGKKDAATALFNLCIYQGNKARAIRAGILSPLLKMLQEPLSNGMIDEALTILSVLVSHQEGKMAIARANTIPLLIDLLRTGQPRNKENAAAILLALCKKDNENLACIGRLGALIPLTELAKSGTDRAKRKATSLLEHLCFWEEKDSKTSKASFSDPCSIFCLQRSTATAPDVG >Ma01_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10136598:10137034:1 gene:Ma01_g13830 transcript:Ma01_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREAFATSPKFLVCPPSLSIYPSIALSRSSFSLLLLCDGVCADGKDQEMQIGHPTDVKHVAHVGCDGSSNHSPSWVSINIYQ >Ma07_p04350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3249584:3250450:1 gene:Ma07_g04350 transcript:Ma07_t04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTSVVLWVLGAVLARLVVPSASTESNVLYSSESLMHGQHLRYKQYSLVMQEDCNLVAYDNGNPTWASGTWHKGINCYLQLQSDGELIIFGYNRYTRVGPSELWRSNAKSSPGSYALVLRYDGSLHVYGPARWSVPRLTGGAGAPGSTWPATTDAVLYTNDVAPIGVTIVNGVYELALQDNCNLALRNTGDDGVLWQTGTSNGLHDCFVTLEPNGELKIKYMGGETLWTSGVASDSGEYVLALGPRGQLVVYGPSLWNTPKAGVLAAGVETWGSAGNTTSTALTDE >Ma05_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2966728:2970042:-1 gene:Ma05_g03960 transcript:Ma05_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNRKIPLQAVLFAAFVGWAAASSFISGDAFLSHGSGGRSLLQAKTSCPVNFEFMNYTIITSKCKGPQYPAKFCCEALKEFACPYAEELNDLTNDCASTMFSYINLYGRYPPGLFASECREGKEGLSCPANAPQSENVSRSQNDSSSGHIRTSLISILFLVCGIAVQFLFS >Ma10_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28980466:28983267:1 gene:Ma10_g17690 transcript:Ma10_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVVDLKCSGNAVYFFLHHALSVGSRYGMLDSLERYEIMSSGALVPSLFKRCSILSTLRNIGWSVYLCKFRYIINNA >Ma09_p11920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8075375:8076483:1 gene:Ma09_g11920 transcript:Ma09_t11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSYSRLGRRWRTRRGFRLGANRFAVPLLRDRLCTLWSLFSRCVHVAKRGFRRNGSRWPSSNSGSRRGFVSEGRHGGQIECRLRSCGGSNSFYTEAIADCLEFIKRSSMSANEVSTVPGRQAS >Ma07_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6370396:6382032:1 gene:Ma07_g08550 transcript:Ma07_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFERAETSDIPTKPSSLDLQSICVKNSRSSDRKSWAGKEVLVLEQESRVFNRKLGSAFVEGGEFLSESRRKPSRKEASVSSLERGSKRQRNSLNVSRPKPNYISYAQKRDKTTNTSDATLNLDWSSGNEQYLETDLYSQLSKKTDHTSSSGGTSKNENYLGEDLFIPKRPRGIFKWKKAKDPISLGIPVGNSNHEWINTDTQDQKSSISVNLNSPVLNDKQKQKADDFEPNGFNKDDSAPCTSVENESHFEDDDRKVGIRRKLKMSEWNCTGNDAPLIDNSEDSVGDSLDDDEENLEENAARMLSSRFDPNCTGFSGKRTCAADLVEGSSFLQSAGGRLKVLQAESCSVDAKGRVLRPRRHNGKSFARKRRHFYEVCSRDMDPYCVVKQRIRVFWPLDKSWYFGLVKGYDPVTRLHHVKYDDRDEEWINLQKERFKLLLFPSEVSSKFNFGKPGSQVRQKKIEKKVQATQSTYIGNLLESEPIISWLTRTNHQVTSSSSSTIKKHLRVRPLKDIGPSVLSEPRENMSVNPLDKNLNKLFSNFNESDQACDWNINSLSKLKRSIGCEGRKLPYVYFRKRFRSRKDILDTKVAHNVAPDGPGGSMTICASIANSTTAIERFNIILTWLEFGEVIFESSLPPQCSLALAFQKESIWLCQSLYILHHGQLVCAWPTVHMEVFYIDNVLGLKFLLFEGCLRRAVSLFCLIITTVNGHIVKSNFTEPEVSCSSIGLRISTLHNLGVKLLFVLNTFFNMESLKWRHLEDKLKNHCTKEALITTEYTCSNIHELPGGQIVHSSDMSLERFWERSILVHRSNPEKLVDTSTNSVIHYLAQEHEKPLLCSQFFAAGPSFSLSLHLNLLVVKDPSSFCSEDNILVSSQKHTDNNDKLTANGCSSAEDPSKQAAETLDNSGPLLSQAPASHGRPSTDALCAENDGNLNGSTKNFFTSEVNVIRNAVGGSGNGVKSTGDAGAIQFGRFSCKAGTSQFVEVSCSEYPKGSSPDKSLDRGCNSCINTANLKAQLFDEVENHSLHKGLLIAHPASNLVLEMNAHTIHNPTAPRSMWHRNRHTSLSRTFIHPPKFGSEDLVANGPTSRCRRRRTQVSYSQLSVGYEHSAKPQNNHQKVQPHRKVKTLLANFSSDCSRSPQNYLDSVDCAANVLVTHGDKCWREYGAKVQLDCDDKKNWRICVMVSGATKYVYNAHHVLQPGSTNRYTHAMMWKGGKEWMLEFTDRNQWYIFKQMHEKCYNQNIRAASIKNIPIPGVHMLSDGDDGYVEVPFVRGSSKYFRQMGTEVDLALDSSRVLYDVDSEDEKWICTMRVTMDAMDGKMPEVTEDIFEKVIDMFEKLAYTLQSEEFTNDDIERYMADVGPANVVKVIYEYWRLKRKKKGLPLIRQFQPPLWECYQQQLKEWESSMNKMPIQPEGCQDKASSQKKPPIFAFCLRPRGLEIPNKGSKQRSHKKLMFTGHHNALMRDQDGSNTFAGRKIDGVSVGEVAISSYESSDSYHGLQYRSTFSPRDTASTESLYTNDGSERYPEPKFYRNISKKIDAFLSPRDPQETPLSYNQRSNRNGINKRSYEFCEWSSIKQSQCTGFQRHHTDMDEFRLRDATTAAQHALNMARLKREKAQWLLHKADLALHRATVAIMTAEAIKASEKDTVGDG >Ma07_p08550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6370396:6382032:1 gene:Ma07_g08550 transcript:Ma07_t08550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFERAETSDIPTKPSSLDLQSICVKNSRSSDRKSWAGKEVLVLEQESRVFNRKLGSAFVEGGEFLSESRRKPSRKEASVSSLERGSKRQRNSLNVSRPKPNYISYAQKRDKTTNTSDATLNLDWSSGNEQYLETDLYSQLSKKTDHTSSSGGTSKNENYLGEDLFIPKRPRGIFKWKKAKDPISLGIPVGNSNHEWINTDTQDQKSSISVNLNSPVLNDKQKQKADDFEPNGFNKDDSAPCTSVENESHFEDDDRKVGIRRKLKMSEWNCTGNDAPLIDNSEDSVGDSLDDDEENLEENAARMLSSRFDPNCTGFSGKRTCAADLVEGSSFLQSAGGRLKVLQAESCSVDAKGRVLRPRRHNGKSFARKRRHFYEVCSRDMDPYCVVKQRIRVFWPLDKSWYFGLVKGYDPVTRLHHVKYDDRDEEWINLQKERFKLLLFPSEVSSKFNFGKPGSQVRQKKIEKKVQATQSTYIGNLLESEPIISWLTRTNHQVTSSSSSTIKKHLRVRPLKDIGPSVLSEPRENMSVNPLDKNLNKLFSNFNESDQACDWNINSLSKLKRSIGCEGRKLPYVYFRKRFRSRKDILDTKVAHNVAPDGPGGSMTICASIANSTTAIERFNIILTWLEFGEVIFESSLPPQCSLALAFQKESIWLCQSLYILHHGQLVCAWPTVHMEVFYIDNVLGLKFLLFEGCLRRAVSLFCLIITTVNGHIVKSNFTEPEVSCSSIGLRISTLHNLGVKLLFVLNTFFNMESLKWRHLEDKLKNHCTKEALITTEYTCSNIHELPGGQIVHSSDMSLERFWERSILVHRSNPEKLVDTSTNSVIHYLAQEHEKPLLCSQFFAAGPSFSLSLHLNLLVVKDPSSFCSEDNILVSSQKHTDNNDKLTANGCSSAEDPSKQAAETLDNSGPLLSQAPASHGRPSTDALCAENDGNLNGSTKNFFTSEVNVIRNAVGGSGNGVKSTGDAGAIQFGRFSCKAGTSQFVEVSCSEYPKGSSPDKSLDRGCNSCINTANLKAQLFDEVENHSLHKGLLIAHPASNLVLEMNAHTIHNPTAPRSMWHRNRHTSLSRTFIHPPKFGSEDLVANGPTSRCRRRRTQVSYSQLSVGYEHSAKPQNNHQKVQPHRKVKTLLANFSSDCSRSPQNYLDSVDCAANVLVTHGDKCWREYGAKVQLDCDDKKNWRICVMVSGATKYVYNAHHVLQPGSTNRYTHAMMWKGGKEWMLEFTDRNQWYIFKQMHEKCYNQNIRAASIKNIPIPGVHMLSDGDDGYVEVPFVRGSSKYFRQMGTEVDLALDSSRVLYDVDSEDEKWICTMRVTMDAMDGKMPEVTEDIFEKVIDMFEKLAYTLQSEEFTNDDIERYMADVGPANVVKVIYEYWRLKRKKKGLPLIRQFQPPLWECYQQQLKEWESSMNKMPIQPEGCQDKASSQKKPPIFAFCLRPRGLEIPNKGSKQRSHKKLMFTGHHNALMRDQDGSNTFGRKIDGVSVGEVAISSYESSDSYHGLQYRSTFSPRDTASTESLYTNDGSERYPEPKFYRNISKKIDAFLSPRDPQETPLSYNQRSNRNGINKRSYEFCEWSSIKQSQCTGFQRHHTDMDEFRLRDATTAAQHALNMARLKREKAQWLLHKADLALHRATVAIMTAEAIKASEKDTVGDG >Ma09_p01080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:804436:804606:1 gene:Ma09_g01080 transcript:Ma09_t01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSREEYIGSLRRHLSLSLYISCYKTGIYCTVQEKQVVVLKRRVSKYMTSFCLF >Ma08_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12784686:12795327:1 gene:Ma08_g14460 transcript:Ma08_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEADSRVALLLVPALEKIVKNASWRKGHSKLAHQCKSLIDRLSHPPSSPPPSSPNSSSSLPGPLRDGGAAVYSLADSETYLAPLVAACTSGSPRVAEPAIDCVQKLIAHSYLYGEADPSGGPDARLLAELVEAVCGCYALGGAEDALELLVLKTLLSAVTSTALRIHGDSLLQIVRTCYDLYLGSKNPVNQATAKASLIQMLVIVFRRMEADSSTVPVQPIVVAELMEPADRSAAVAAADVNFVQGFITKIISDIDGVLNPSTPLARTASASKHDGAFVSTAVENTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKDELGPEVRVDRDDDTEVQIGNKLKRDAFLVFRALCKLSMKTPPKEAVVDPAHMKGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASAHLIVFQLSCSIFMSLISRFRPGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLCFFEKLCVDSQILVDIFINYDCDVHSSNIFERIVNGLLKTAQGPPPGAPTTLIPPQDTTMKFEAMKCLVAILRSMGDWMNKQLRIPDPQSQKTETIDGSGDSNELTLANGNGDETAEVSDSNSDTPNGTSEVASIEQRRAYKLELQEGISLFNQKPKKGIEFLINAKKVGDVPADIAAFLRSASGLNKTLIGDYLGEREDLSLKVMHAYVDSFDFQGMEFDEAIRCFLKGFRLPGEAQKIDRIMEKFAERYCKCNPKAFTSADTAYVLAYSVILLNTDAHNPMVKNKMSPDDFIRNNRGIDDGKDLPEEFLRSLFDRISKNEIKMKEDNLALQQIQSSNSNRILGLDSILNIVIRKRDSPTETSDDMIRHMQEQFKEKAHKSESIYYSATDVVILRFMIEVCWAPMLAAFSVPVDQSDDETVISLCLEGFRSAVHVTAVMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIDAIKAILHIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFTIQQTEVDKAKQAKSSILPVLKKKGPSSIVARRGTYDSAGVGGHASGAVTSEQMNNLISNLNLLEQVGIAEVNRVFIRSEKLNSEAIIDFVKALCKVSMEELRSTSDPRVFSLTKIVEITHYNMDRIRLVWSSIWNVLSEFFVTIGCSENLSIAIFAMDSLRQLSMKFLERKELANYNFQNEFMKPFVIVMRKSRAVEIRELIIRCVSQMVLARVSNVKSGWKSMFMVFATASYDDHKNIVLLAFEVIEKILRDYFPYITETETTTFTDCVNCLVAFSNSRFNKDISLNAIAFLRFCAAKLAEGDIGASARLKDKEASGSIGPPSPHIIKDEKQDPPSIINKDDHLHLWFPLLAGLSELTFDLRPDIRQSALQVLFDTLRNYGNHFSLPLWEKVFDSVLFPIFDSVRHAVDPSGATLQGQGLENDTAELDQEAWLYETCKLALQLVVDLFVKFYDTVNPLLEKVLTLLTSFIKRPHQSLAGIGITAFVRLMSNAGSLFVETKWEVVVLSLKEAAKATLPDFSYISSGAYLDSATSENGNSSLRQDNGESRGSADDDFEGLRARNLYFAIGDAKCRAAVQLLLIQAVMEIHNMYKAQISAKNTLIFFEALHVVACHAHKVNSDTDLRSKLQELGSMTQMQDPPLLRLENESYHSCLVLLQNIVTDRHRNSNLEAEASLVDLCNEVLEVYIRTATGQSGEASTGAQPISHWLIPVGSAKRRELAARAPVVVSTLQAISGLGDTSLEKNLARFFPLLAGLISCEHGSSEVQLALSDMLSTRVGPVLLRAC >Ma02_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22077936:22078472:-1 gene:Ma02_g13890 transcript:Ma02_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSKVLLILISLAILLQSLELADASVKDECKIVAAADPNVDYNFCVTSLQADPRSGSADAKELAIIAANLTVANATSTLSKIEKLVGDSKIDSETKGLLNQCLSFYKDVVTAASGAIKAISSGSMGDAKKQLTEASDNPQDCDNLLFEAGKNYLLTKEDNDCTNLASIAQHIVAKLQ >Ma06_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6163491:6170020:1 gene:Ma06_g08770 transcript:Ma06_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPREALRRLCLEFGWSYAVFWRAVGFGSRMHLIWDDRYYEEKLGMSRLNVSDLLLKEQTAAKNNKKHDFLELSCQADDAIGVLVDKIMASQVHVVGDGLIGQAASMGKHQWISKDSLDKFGSKPEGFVEINCQILAGVQTVVVVPVLPFGVIQLGSTEMVLENIAFVNHVKGLFLKLNCGLGSLPSDTTQKTLKEKRSIYSSSGLVLGDKSTNSCTNADNILCVTDDGCSPELVRSPAPQSIAESFSAVPWRLNKKMQPSASEVMSSKQMIKTAHPLGKIIVQGTQDVFSKPVIECTRKVLPIDTGSKCQNDPSVLNSILLSNSLKALEEELMFTSVVGMIESSHNLSSSFEDTKGFPLNVKGESSNKADEISHVGSISRGMTECSSSCSKLSVSGVPPILHQRSYSIRNPGILDDSNKDHPFPVGTVPLPVSNEEVLKGNDDFVQDSRIFSSESDVPMCCSEMLADIGQESKLCYSNTLQRYERCKSVNYEINGSSRYKPLHTLKNDTSLLSVDFASSNDLLCMLDCDSKSCCSTGTLDDLLVHKSSTNSCNLGTNKPKLPSDSDACPVFDSLNDQISYSGLLFLNDSDQLLDAVVSKINSGAKQVSDDSVSCKTSLTHIHSSHYAGLPSHSETFLSKHRKDDIIGFPAVQVKPEPACSSFDKSSCSFVKDGEYSQNTGLCKSQISPWVENYRNVKYDYVSDSNSKKVVQVGNLNRKRLRPGESPRPRPKDRQMIQDRIKELRELVPSGAKCSIDALLEKTIKHMLFLQSISKHADKLKVAGEPKISSEEGGLLLKDNFEGGATWAFEVGTQPMVCPIIVEDLNPPRQLLVEMLCEERGFFLEIADFIRGLGLTILKGVMEARKNKVWARFAVEANRNVTRMEIFLSLVQLLEPTAGSSMALPSVSGNINIPHAILHQTYVPARVN >Ma09_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36627561:36633947:-1 gene:Ma09_g25030 transcript:Ma09_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRRLHDDSDAIPGEGLRCRRTDGRKWRCTMRAMDGVTFCEHHYNLTRRNLAKHKGGPAAAQESDWESPEPPDPPAKKRTRKGASPTEETITAALKRRMDRKGEREKRRRGEARTTRVLPNGLMTIASSPVGGPGNEGSPLDRKLGFDEDCSLTRRCIRSKNAEPIPVGPLKKLTCGKGLGRGRKWICHRCGEKKVARMVRCLSCRKRFFCSRCIKKQYSEMSEVEVKIACPVCRGCCDCKTCSHIGAKDGGCKELAHDHKKFNEIEHAYNLISHLLPLLKQIYQKQLNELEFEVPDQGRRFSGIQLQVNQAQNELVKCNCCRTSLVDFHRSCSKCSYRLCLSCCRKIPKGSFPQTTSTDAFKYGESNKAHKRVAKELNGMKRILSTGMRPDNSYLSMVPESKENSESSILCPPKEFGGCGDGLLNLVFTVPFNWSNDLGRSAEEVAFSNFGSHSLHAYPHRTSSVPENQKIGQFSISLQEAMDRKNIVRELFYLCPTLTSTQNQHI >Ma03_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5470966:5476109:-1 gene:Ma03_g07740 transcript:Ma03_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLGGGGGGGSPFHEPDEDEAAGAGTPGTRQAIKALTSQIKDMALKASGAYRHCKPCAGAAGGERRRHLHHHGSYADSEAASGSDRFHYAYRRAGGSAASTPRATAAAMGRELEARLKALSSGERTPSVSGRTEASSAFLEDGEEEEPKEWVAQVEPGVLITFFSLPQGGNDLKRIRFSRQMFNKWQAQRWWAENYDKVMELYNVQRLNRQAMPLPKSEDESSKDDSPVTPPLTKERLPGNVLRPLMGGGGGMGYSSSDSLEQHPSYRGHGHHHPHHARHYYDSGGLTSTPKLSSISGAKTETSSMDASLRTSSSPEEVDQSGELSASISNASDLEREWVEEDEPGVYITIRELPGGIRELRRVRFSRERFGEMHARLWWEENRARIQEQYL >Ma08_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8170829:8174801:1 gene:Ma08_g11060 transcript:Ma08_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGSGVKDIGSKADLDDALRGEAPVIVHFWASWCAASKQMDQVFAHLAADFPHALFFRVEAEEQPEISEAYAVSAVPYFVFCKDGRTIDTLEGADPSSLANKVAKVVSDSFAGSASPATSLEMADAPTETIKKLPEENGSSHNESPSSDLSDALRMRLQQLVNSHPVFLFMKGNPEQPKCGFSRTVVNILKDEGVEFGSFNILMDDEVREGMKKFSNWPTFPQLFCKGELVGGCDIAVAMHETGELKDLFREHGVPVISKETEVVDSTKSLSSDATVLEMSEELSDSTGLDAALSSRLHVLINSSPVMVFMKGKPDEPKCGFSRKVIEILQQEKLAFDSFDILSDDEVRQGLKIFSNFPSYPQLYIGGELIGGSDIVLEMHKSGELKMTLAEKGIISEVTLEDRLKNLITSSPVMLFIKGTPDAPRCGFSSKVVDALKKEGIDFGSFDILSNEEVRQGLKTYSNWPTYPQLYYKGELIGGCDILLELQNSGELKSTLSE >Ma07_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6458771:6462670:1 gene:Ma07_g08690 transcript:Ma07_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISRPSPSNTKESITTSQEEQLEYTKSEMGEVREENQRLKMILAQIVKDYQYLQKQYFDILQQEQSKKALETAEPDGVEEPELVLLSLGTSSTGQKKEEINTCKDSGKDGEGLTLGLDCKFEGTRKSPNEHESTISPDNSSDDPKEEEPGEPWPPSKILKNPRNGDDEGSQQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCAEDMSILITTYEGTHNHPLPLSATTMACTTAAAASMLMTGSSTSGQGMAPPAIGPLCSSSTAAAASTSLHGLNFGMLGSSNARQPYLPIPSISSTPSYPTITLDLTAPPSATSQLNQFTRFPRYSSTGFNFSSESTTIPTSWSNGYLSYASQAYNKGSNTGSLSLGRQSQDSFYHSILQKAINSVAATTTTAVPSPSPNQHALTDTIAKAITSDPSFQSAIAAAITSYVGGQPGREGASHDLLKLGGHFNSSAVAQLSAAVNGCASSYLNRSSSSSSSHQPNLPLLQPPLAFPTPKTASADRNSESID >Ma07_p08690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6458341:6462670:1 gene:Ma07_g08690 transcript:Ma07_t08690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIMLKAPAAEDEICPAHEEGTVEVGKVSAATSSERSPMEAISRPSPSNTKESITTSQEEQLEYTKSEMGEVREENQRLKMILAQIVKDYQYLQKQYFDILQQEQSKKALETAEPDGVEEPELVLLSLGTSSTGQKKEEINTCKDSGKDGEGLTLGLDCKFEGTRKSPNEHESTISPDNSSDDPKEEEPGEPWPPSKILKNPRNGDDEGSQQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCAEDMSILITTYEGTHNHPLPLSATTMACTTAAAASMLMTGSSTSGQGMAPPAIGPLCSSSTAAAASTSLHGLNFGMLGSSNARQPYLPIPSISSTPSYPTITLDLTAPPSATSQLNQFTRFPRYSSTGFNFSSESTTIPTSWSNGYLSYASQAYNKGSNTGSLSLGRQSQDSFYHSILQKAINSVAATTTTAVPSPSPNQHALTDTIAKAITSDPSFQSAIAAAITSYVGGQPGREGASHDLLKLGGHFNSSAVAQLSAAVNGCASSYLNRSSSSSSSHQPNLPLLQPPLAFPTPKTASADRNSESID >Ma07_p24370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31752264:31756669:-1 gene:Ma07_g24370 transcript:Ma07_t24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCWLLVITFVLSMGFHCELAGAHGRRQLLQSLEPPDDGVCTAVVSPQGYECQEYEVKTQDGYILTMHRIPQGRGGGSAGKRQPVLLQHGVLMDGMTWLLNPPQQSLAFVLADNGFDVWITHGRGTRWSRRHESLDTSNPAYWAWSWDELASFDLPATVGFVFQQTGQKLHYVGHSMGTLTALSAFSEGKLVDKIKSAALLTPVAYLTYMTTPIGRAAGSAFSGEMLGAVGVGEFDPKGAVGTNYLEFVCAMPGVNCYDLMASFTGPNCCLNYSTVDMYLKYELQPTSVRTLVHFLQTIRSGVITKYDYGSSMANMVAYGQSSPPEYHMPNIPHHLPLLLSYGGGDMLSDVKDVQLLLKDLQKYQLQENNV >Ma06_p22610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18989985:18994018:-1 gene:Ma06_g22610 transcript:Ma06_t22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSPHLATAKYLIPLGSGRSACWSAGGPVRITQYGQHEMVVEIDFLYPCEGIHRRWDNGYRITATAATWDQAAFVLSVPRRKPVDETQETLRTSAFPSQHVKHKLLHHPLKNSRASSQQL >Ma06_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4554063:4557254:1 gene:Ma06_g06210 transcript:Ma06_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILWHLCLKTIADMPSMILTLLLKRIVKKAKFSSLPGPLIHRESVRRCSMQPPRTDSREN >Ma04_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1451813:1455302:-1 gene:Ma04_g01630 transcript:Ma04_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAAMIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSSSQAGGCCS >Ma08_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10627457:10640573:-1 gene:Ma08_g13490 transcript:Ma08_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGVKRKKAEGSDVDEQETWDNKKTKKGMTLPLMIKNKEKRSTPLEKKVPRTIENTREVDETVCRPDDEELFTWDDADEFRQVLKQDVTPKILIIINRFNSIVRFLCSSFHHFYLFFHCLFVFDARVFSPSLFEPPEKKAPRTIENTREVDEMVCRPDDEDLFAGNDADEFSQVLKQEVMLKIIITTNRFKSTRRGPPFIQELLSMIPNAHYYNRGTYELKKIVEYAKNKDFTSVVVVHSNRREPDALLIINLPDELTAYFKLSKLVFGYYNQSQPSIPPPTSNGHPPSLPPPPPPASQPPSAPVDAYTPPPEPLSPPPPPASSPPPPPPTPELYPPPPSAAPPAVTPPPPLSPPPAAIPPMSPIYYQSPPPPPPSYVPPSSPPLPPPPALPSPPLNSAPASPLAPPPKALSPPPPPPYISNSTPPVSSSMPPRSPPSLPLPAPIKPNVPSSSNSSKHTGSSHGSSNALKSAANTSETVVTVAVVAGLVMLTFVGAAVWLVKKHKKPFAPTAYRGNLVSASPASSHATESSRARSPSYPLIRHGSEGSYGFPYSPSDLGLGHTKLWFTLEEPSIITNDFSTQNLLGEGGCGCVYKGWLRDGREVAVKQIKVGGAQGEREFKSEYHLHGKGMPIMDWTVRVKVAVGAARGIAYLHEDCNFLFPFFLLCQDIKSSNILLDYSFEAQVSDFGLARMAVDANAHVTTRVMGTFGYLAPEYATSGKLTSNSDVFSFGVVLLELITGRKPVDTSQPVGDESLVEWVSSIPITFHYFLLCQKLIKILETCQILRLDGNYNKDEMFRMIEIAAACTRHSSTMRPRMGQVVRALESLADLDINNGVRPGQSEVFDSSQQSEEIRMFQKMGFVSQEHNSDYSRTN >Ma03_p24160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28491127:28494471:1 gene:Ma03_g24160 transcript:Ma03_t24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCTYLLIKFLKKRIARRRAEEASIDGVPTPLDLPSPSVSLENEIVSGEGLVSALYDLNTILAATGIFSNENKLGEGGYGPVYKGKLHDGQEIAVKRLSKKSGQGSREFKNEVELISKLQHRNLVRLLGCCVHGDEKLLIYEFMPNKSLDAFLFDASKSRLLDWTKRFNIIEGIARGLLYLHRDCRLKIMHRDLKASNVLLDQNFNPKISDFGMARILHDDQILARTDRVVGTIGYMSPEYAMEGQISEKSDVFSFGVLLLEVVSGKRNNYFLDEDLALNLLGYAWTLWKENRVVELIDPSLGDSWSQEEVMRCIKLGLLCVQELPVDRPTMSVVVAVLNGDINLPEPKQVAFFAGRSPTTSISSMDDSKRISSQGDLSIVQL >Ma03_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28491127:28494471:1 gene:Ma03_g24160 transcript:Ma03_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCTYLLIKFLKKRIARRRAEEASIDGVPTPLDLPSPSVSLENEIVSGEGLVSALYDLNTILAATGIFSNENKLGEGGYGPVYKVIPLLSMITRFELDHDTRGAMADQGKLHDGQEIAVKRLSKKSGQGSREFKNEVELISKLQHRNLVRLLGCCVHGDEKLLIYEFMPNKSLDAFLFDASKSRLLDWTKRFNIIEGIARGLLYLHRDCRLKIMHRDLKASNVLLDQNFNPKISDFGMARILHDDQILARTDRVVGTIGYMSPEYAMEGQISEKSDVFSFGVLLLEVVSGKRNNYFLDEDLALNLLGYAWTLWKENRVVELIDPSLGDSWSQEEVMRCIKLGLLCVQELPVDRPTMSVVVAVLNGDINLPEPKQVAFFAGRSPTTSISSMDDSKRISSQGDLSIVQL >Ma03_p25150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29259786:29264798:-1 gene:Ma03_g25150 transcript:Ma03_t25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGSLCLPMAIGASTITTTSLRPRFCAPLSSSASPPTSLLFLRPPNPIFRPKRCSPISMSLAADSSSSSSPLVEISNDAGLKVTSPGIIGQNDLLIVGPGVLGRMVAEKWRKEHPDCQIFGHTITTDHHDELIKIGIIPSLRGSELTDKFPYVVFCAPPSRTSDYPSDVRLAASNWSGEGSFLFTSSSAVYDCSDNDFCNEDSPLVPIGRSPRIDVLLSAEKEVLDVGGCVLRLAGLYKEDRGAHTYWLARGTVDALPDHVVNLIHYEDAATLTIAIIKKKLRGRTFNGCDNHPLSRQEIMDSVNRSGKYSKKFLGFTGTDGPLGKRMTNSKTRAEIGWEPTFVSFPQFLGLPD >Ma09_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28997934:28999432:1 gene:Ma09_g20280 transcript:Ma09_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKAKVKKGTWSPEEDKQLKEYIERHGTGRNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGDFSDEEDRIICSLFVSIGSRWSIIAGQLPGRTDNDIKNYWNTKLKKKLFDQPPSHRNPHHQQHYRTQSFSSNLEMAVPFPDLEGIPIHPSLFDSLTPTFFSPLCQRPAYQSGNLIMFGGDQPSCSSSDGSSIHTGYGSEHMSSENHLHEKLLPCGEASLRYEFDEPSQLHACPVDAFDKLYLDSKSSATMDMSLRNMARAIYHC >Ma10_p02150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7412174:7414299:-1 gene:Ma10_g02150 transcript:Ma10_t02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRLVTGLPGPSGFGSASTAEQVTDGIDASHLTVVITGGASGIGAETARVFALRGAHVIIAGRNMEAADDVKQLILQSSPLARVDILKLDLSSLKSVRAFAGQFLSMDLPLNILINNAGVMFCPFQLSEDGIELQFATNHLGHFLLTNLLLERMKSTAEETGIEGRIVNLSSIAHLLHRYEEVIRFDKLNDKDTYSDKKAYGQSKLANILHANELSRRLQEDGANITVNSIHPGLIMTNLMRHSFLQMWLLKLFTYVFWKNVPQGAATTCYVALHPSLKGVTGKYFIDCNEEMPSYWAKDETLAKRLWDFSEKLTQSTK >Ma07_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:851643:853125:1 gene:Ma07_g01100 transcript:Ma07_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLSTTIDRHPLPLTKHSFIISAATSVEAPSPPERRIHIPTTLSNLLHLHLASKPDGRTVDHPTPTVDDAPAFSPKEDISSLFPDIHGRADWCRLLNPLHPWLRREIIKYGELAQATYDGFDFNPFSEFCGSCLYGRHRLLEKLGLSRSGYEVSTYVYAMSHVDLPAWLERSIHADAWSTESNWMGFVAVSDDEESHRIGCRDVVVAWRGTIAPTEWFENVQGKLEPLGDGHSAVKVEHGFLGVYTSKSERTRYNKTSASEQVMAEIKRLVNHYRRQGEEVSLTITGHSLGGALALLNAYEAASAMPDLPVSVISFGAPRVGNAAFGDRLKEMNVKVLRVVVKQDVVPKMPGILFNEGLKRLESVTGKLGWVYSHVGLELGIDVRSSPFLKRGIDVAGFHNLEAYLHLVDGFRSSDNGFRSSFKRDVALVNKASGMLRDELKIPPCWYQVANKGMMCNVHGRWMKPAREQEDIPSPHAQTPDPCQA >Ma05_p16700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17987390:17990315:1 gene:Ma05_g16700 transcript:Ma05_t16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKTLACLKSMEKFLLLMKPLIIKGY >Ma02_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23857756:23858148:1 gene:Ma02_g16550 transcript:Ma02_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSVMEGRCSRHPASEQAAGVCPFCLTERLAHLSAASSATATVASSNASSPGKSPASNFSSAIASPPRRELRPPLPRHSPLMASMQKEESHKEKNRKKATRKKWSFWSKMMTGSSERRREVEGDQLHS >Ma07_p03780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2894727:2899159:-1 gene:Ma07_g03780 transcript:Ma07_t03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGADYVDVELKVAEDFVRLIDGKKPENFKLIVSSHNYQKTPSSEELGSLVAAIQASGADIVKIATTAVSVVDVTRMFQVLVHCQVPMIGLVMGERGLLSRVLCPKYGGYLTFGTLGEGKASAPGQPTVSQLLHLYNIRQIGADTKLTGLIGNPVRQTKSHIFHNAAFKSAGFDAVYVPLLVDDLTAFLHAFSSPDSVGFSCTMPHKEIAVRCCDAVDPIAKSIGAVNTIIRRPSDGKFVGHNTDYFGAISAIEEELRGALRYTYMETAARKDHILFSLFHGTGSQGQGKEEETASPLAGRVFVVMGAGGAGKAIAYGAKEKGARVVIANRTYERARELAKQVGGQALPLSELENFHPEDGMILANATSVGMQPNVGETPLAKRALGHYALVFDAVYAPKVTRLLREAEECGVPTVGGFEMFIRQAMGQFKLFTGLEAPGKEMRELMMKYV >Ma07_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2894727:2898294:-1 gene:Ma07_g03780 transcript:Ma07_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQIRYAVATLTWILISSSLYKLHRRRAFSLRLRYQIQRGRGMTLLCVPLVAKTVEQMTADMAAAKASGADLVELRVDHLSAFLPGRDLPLLLRDRPLPALVTYRPKWEGGEYEGDDKQRLEALLLAMELGADYVDVELKVAEDFVRLIDGKKPENFKLIVSSHNYQKTPSSEELGSLVAAIQASGADIVKIATTAVSVVDVTRMFQVLVHCQVPMIGLVMGERGLLSRVLCPKYGGYLTFGTLGEGKASAPGQPTVSQLLHLYNIRQIGADTKLTGLIGNPVRQTKSHIFHNAAFKSAGFDAVYVPLLVDDLTAFLHAFSSPDSVGFSCTMPHKEIAVRCCDAVDPIAKSIGAVNTIIRRPSDGKFVGHNTDYFGAISAIEEELRGALRYTYMETAARKDHILFSLFHGTGSQGQGKEEETASPLAGRVFVVMGAGGAGKAIAYGAKEKGARVVIANRTYERARELAKQVGGQALPLSELENFHPEDGMILANATSVGMQPNVGETPLAKRALGHYALVFDAVYAPKVTRLLREAEECGVPTVGGFEMFIRQAMGQFKLFTGLEAPGKEMRELMMKYV >Ma07_p03780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2894727:2898290:-1 gene:Ma07_g03780 transcript:Ma07_t03780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQIRYAVATLTWILISSSLYKLHRRRAFSLRLRYQIQRGRGMTLLCVPLVAKTVEQMTADMAAAKASGADLVELRVDHLSAFLPGRDLPLLLRDRPLPALVTYRPKWEGGEYEGDDKQRLEALLLAMELGADYVDVELKVAEDFVRLIDGKKPENFKLIVSSHNYQKTPSSEELGSLVAAIQASGADIVKIATTAVSVVDVTRMFQVLVHCQVPMIGLVMGERGLLSRVLCPKYGGYLTFGTLGEGKASAPGQPTVSQLLHLYNIRQIGADTKLTGLIGNPVRQTKSHIFHNAAFKSAGFDAVYVPLLVDDLTAFLHAFSSPDSVGFSCTMPHKEIAVRCCDAVDPIAKSIGAVNTIIRRPSDGKFVGHNTDYFGAISAIEEELRGSQGQGKEEETASPLAGRVFVVMGAGGAGKAIAYGAKEKGARVVIANRTYERARELAKQVGGQALPLSELENFHPEDGMILANATSVGMQPNVGETPLAKRALGHYALVFDAVYAPKVTRLLREAEECGVPTVGGFEMFIRQAMGQFKLFTGLEAPGKEMRELMMKYV >Ma11_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26478901:26479581:-1 gene:Ma11_g22900 transcript:Ma11_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma08_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4102462:4103927:-1 gene:Ma08_g06060 transcript:Ma08_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKGNIDYEKIVRDTCRDIGFTSEDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNDHGAMIPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVASKLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGLITINLGLKRGGYRYLKTAAYGHFGRDDPDFTWEVVKPLEWEKPAA >Ma04_p20270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22989515:22992030:-1 gene:Ma04_g20270 transcript:Ma04_t20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERWERWLRRAAVVVVVFVLALAAAAGAEGGGGRRHAYAAMMYMGTPRDYEFYVATRVMMRSLTRLGVDADLVVIASPDVPLQWVQTLKEADGVKVVTVANLKNPYEKQGNFNTRFKLTLNKLYAWSLVSYDRVVMLDSDNLFLQRTDELFQCGKFCAAFINPCIFHTGLFVLEPSMVVFKDMLHELEMGRKNPDGADQGFLVSYFPDLLDRPMFHPPANGSKLDGTYRLPLGYQMDASYYYLKLRWNVPCGPNSVITFPSLPWLKPWYWWSWPILPLGLQWHEQRRSSLGYGAEAPAMLIQAAIYLGIMVVTRLARPGLSRLCYSRRSEKSVPFLYTMVKVAALWSIFVAHVVPFFLVPRTVHPLLGWPLYLLGATALCSIVTGVFLLPALPVLALLLAISGSLFVMAFPWYSDGITRVLFLLGYAFCCAPVVWASLARVVSALHSLLEREAFFPRLGESTPLSESSKAY >Ma06_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10204132:10204485:1 gene:Ma06_g14990 transcript:Ma06_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSLELYLMLRRWKWIRPTQGCPELLLCLAGRLQEVLDLVAVGVHRELGEEGLPHQLLAELGSDEALRPDHEDHHGPDGIPLQIHPPPSSSRFPFQRLSPHPIIPRIAEGGKREK >Ma03_p32560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34297770:34298968:-1 gene:Ma03_g32560 transcript:Ma03_t32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHEDSTISVDAAIALVGVVLLALSVVLYVICKKRCAVDPDDTSTVAILPAHSHQLSDVESATDGFHGSRVVGQGRLGTVYKATSATGDTYTVKRIHHHLVLGNPGVSFSSRMKSLSYANHPNLVPILGFSEAPGERLIISEFVGNTKSLDYHLHQSYLEDCRRPSSGLLSWDIRIRVAAGTARGIEHLHDGSVPGIVHGCIKPSNIMLDMDFCARICDYGLSFLVEPGDRREMVGYRDGEGGGACKENDVYGLGVVLLELLSGRRCDGGKLVEWALPLIRECRVQEILDGRMGLPMDLTPLTRMAKVASACVGNGRKTRPSIAHVAAILSSLEAQP >Ma02_p12830.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21470112:21472349:-1 gene:Ma02_g12830 transcript:Ma02_t12830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEWKGCVYRIRKCVFDLLSMEEDLIDDDEDTWELMGSSLRLKSTFLYCDLNQVISRAKDERKKFLTDLANKLFCYMEQLDHAVKSRSISLTQIRYNDTAHVLQEVMAALVPSL >Ma02_p12830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21470112:21472050:-1 gene:Ma02_g12830 transcript:Ma02_t12830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEWKGCVYRIRKCVFDLLSMEEDLIDDDEDTWELMGSSLRLKSTFLYCDLNQVISRAKDERKKFLTDLANKLFCYMEQLDHAVKSRSISLTQIRYNDTAHVLQEVMAALVPSL >Ma02_p12830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21470076:21472230:-1 gene:Ma02_g12830 transcript:Ma02_t12830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEWKGCVYRIRKCVFDLLSMEEDLIDDDEDTWELMGSSLRLKSTFLYCDLNQVISRAKDERKKFLTDLANKLFCYMEQLDHAVKSRSISLTQIRYNDTAHVLQEVMAALVPSL >Ma02_p12830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21470112:21472393:-1 gene:Ma02_g12830 transcript:Ma02_t12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEWKGCVYRIRKCVFDLLSMEEDLIDDDEDTWELMGSSLRLKSTFLYCDLNQVISRAKDERKKFLTDLANKLFCYMEQLDHAVKSRSISLTQIRYNDTAHVLQEVMAALVPSL >Ma02_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21470112:21471205:-1 gene:Ma02_g12830 transcript:Ma02_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEWKGCVYRIRKCVFDLLSMEEDLIDDDEDTWELMGSSLRLKSTFLYCDLNQVISRAKDERKKFLTDLANKLFCYMEQLDHAVKSRSISLTQIRYNDTAHVLQEVMAALVPSL >Ma05_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4492850:4499476:-1 gene:Ma05_g05990 transcript:Ma05_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVGSPSRLQTPPPLPFSSSSSSSSLFPSQRKPATKRLGYAVCCASGESDVGAAAGASRRRALLLVGISVLPFLKLGAMAAEGLMVDGFQTVVPSISDEIRQKIYKVQAISFRRRAKTTKQVFTEVDKDKQDIGKPNEQDVIIPDKQDLAMPDKQVVIMPDKHDVIQPETQFLKDTVLEDSSQGPNQFEQAHLQNAPSNSFISFLNELGVIASGVLGALYATSQKEKTVTESTVESMKHKLTSKEAAMSSMKENFEKLLQWEQEEKRKQVKKFKEDETSLLNQLASANGTTATLHQELKNERKLVEELKEQMGQLESSFSQTAADKKLLDAKFREKVDSFNVLQDRISLLNLEINDNEEDIESLKLSLSENESEYKKLGSNVEHVKKELAIANSTIKQLKEEIIGAKADLSSKVSSIDTLNEKIRLLNSEKDKSLQRVKYLMKDYNDLKSSSERKAALDAELLSKKDDQLHHLEEKLELALAEARKKNAVVAELRKEKDGVKSLLEKEGVNMKKLKDDLQATREALEASKLEVSIVSKELDEAKGSYDNLMCEVSEMQDGFNEMEKLLTSSVEEAKSSSNLLSDELVSVKEALRRTKDELDITSKELKDVVADRKNLKEELVETYKKLEATVHEVTEERKLVSTLNRELEVLGKQMQRDSEALGALEADLDEATKSLDEMNKNALLLSRELESSNTLTTSLEAEKEMLFKSLSEQKNITKEAWENIKDAQNLILLLGSERESVEKRTRKLEEELAFAKGEILRLRRQISLEKESDDRLPKTTEVAAETPITVRKTSSRRKKGGSTREVS >Ma05_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11214482:11218162:1 gene:Ma05_g15130 transcript:Ma05_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCLSSNPSRISCCVTPIRASNSFCRSVSSLPCNLRFKDRITLLSSKDSKYATSLTEVYILRRPRITKKLVHASFGDMAEDSSSVFPRIHVKDPYKRLGISKEASEEEIQAARNFLVKKYAGHKPSVDAIESAHDRIIMQSLYDRRSPKINLKKKVREVTQSRVVKAVTSRFEAPSMKIILKTAAAFIVLGVLTVLFPTEEGPTLQVAISLIASIYFIYDRLKSGLRAFLYGIGAFFASWLLGTFLMVSVMPPLLQGPRSLEVSTSLLSYILLWVSSTYLR >Ma08_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2964018:2965454:-1 gene:Ma08_g04250 transcript:Ma08_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGTRLPSFCLNRVATRVRVRSPPLESKPLSPSTRKFSAPLGYADAKVGANGGKQEMEQGRRIMIVVDSSPEAKAALLWALSHSVQSNDTVVLVQIVKPSKHGERVQTERQPKGYEILHAMKSICQAKKPEVRIELCSVEGKERGPTIVEEAGKQGASLLVMGQRKRSTTWRLIMMWAGNKVGGSTVDYCVQNATCMALAVRKKSRKGGGYLITTKRHKDFWLLA >Ma07_p20630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28549186:28555280:-1 gene:Ma07_g20630 transcript:Ma07_t20630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSGTETPQESGVESFFNSAPPLKDRDQISQKLEDFITKISISSESGKPIRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFVKAGYAVIFVHRRGSCQPYCRYLPQDSFLDFFDIAENSTIKVCEPHGTVVKGAIRDYRKAVEEGFLLKLPFTTIFEYLQILQMVATSMRCLKSHGMFYLAAAVSDFYVPWENMAKHKIQSAEGPLDMRLNQVPKMLLVLRKDWAPAAFCISFKLETDPDILLQKADMAMRKYGMHVVVANELATYKREVSVVTSGEKTRVCSHGQDHDLEEELIDILVARHTEHIKKSSRIDVNEAL >Ma07_p20630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28549186:28555280:-1 gene:Ma07_g20630 transcript:Ma07_t20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLIISSYSLRSFRLMDSKSGTETPQESGVESFFNSAPPLKDRDQISQKLEDFITKISISSESGKPIRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFVKAGYAVIFVHRRGSCQPYCRYLPQDSFLDFFDIAENSTIKVCEPHGTVVKGAIRDYRKAVEEGFLLKLPFTTIFEYLQILQMVATSMRCLKSHGMFYLAAAVSDFYVPWENMAKHKIQSAEGPLDMRLNQVPKMLLVLRKDWAPAAFCISFKLETDPDILLQKADMAMRKYGMHVVVANELATYKREVSVVTSGEKTRVCSHGQDHDLEEELIDILVARHTEHIKKSSRIDVNEAL >Ma07_p20630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28549186:28555280:-1 gene:Ma07_g20630 transcript:Ma07_t20630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSGTETPQESGVESFFNSAPPLKDRDQISQKLEDFITKISISSESGKPIRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFVKAGYAVIFVHRRGSCQPYCRYLPQDSFLDFFDIAENSTIKVCEPHGTVVKGAIRDYRKAVEEGFLLKLPFTTIFEYLQILQMVATSMRCLKSHGMFYLAAAVSDFYVPWENMAKHKIQSAEGPLDMRLNQVPKMLLVLRKDWAPAAFCISFKLETDPDILLQKADMAMRKYGMHVVVANELATYKREVSVVTSGEKTRVCSHGQDHDLEEELIDILVARHTEHIKKSSRIDVNEAL >Ma07_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28549186:28555280:-1 gene:Ma07_g20630 transcript:Ma07_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSGTETPQESGVESFFNSAPPLKDRDQISQKLEDFITKISISSESGKPIRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFVKAGYAVIFVHRRGSCQPYCRYLPQDSFLDFFDIAENSTIKVCEPHGTVVKGAIRDYRKAVEEGFLLKLPFTTIFEYLQILQMVATSMRCLKSHGMFYLAAAVSDFYVPWENMAKHKIQSAEGPLDMRLNQVPKMLLVLRKDWAPAAFCISFKLETDPDILLQKADMAMRKYGMHVVVANELATYKREVSVVTSGEKTRVCSHGQDHDLEEELIDILVARHTEHIKKSSRIDVNEAL >Ma07_p20630.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28549186:28555280:-1 gene:Ma07_g20630 transcript:Ma07_t20630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSGTETPQESGVESFFNSAPPLKDRDQISQKLEDFITKISISSESGKPIRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFVKAGYAVIFVHRRGSCQPYCRYLPQDSFLDFFDIAENSTIKVCEPHGTVVKGAIRDYRKAVEEGFLLKLPFTTIFEYLQILQMVATSMRCLKSHGMFYLAAAVSDFYVPWENMAKHKIQSAEGPLDMRLNQVPKMLLVLRKDWAPAAFCISFKLETDPDILLQKADMAMRKYGMHVVVANELATYKREVSVVTSGEKTRVCSHGQDHDLEEELIDILVARHTEHIKKSSRIDVNEAL >Ma09_p17860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13451692:13465253:1 gene:Ma09_g17860 transcript:Ma09_t17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRNPQGSRRSAGRIRRAFDLFRRASIVAANLLHLLLWIVGCFKRGNRNKRGGGTGFSIGHTADLTEADELDGHGHPPSNNDLLTPAERRYFEQRQKIDMKRLTKISSKSHRDRIQEFNQYLANLSEHYDIPKVDPG >Ma07_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30495576:30500850:-1 gene:Ma07_g22620 transcript:Ma07_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRLLLHLVYPTSSSLLLANCKPSPLPVLNIGCSSRRISTAAAQRDPPSEAAVDDFWRWLCERGAVAASSAATVKPGFVPEGLGLVAQRDLTRNEVVVEVPKRLWIDSDTVVASEIGRLCTGLKPWVSIALFLLRERALGTASPWHPYLDILPPTTNSTIFWSEEELSEIEGTQLLSTTMGAKEYVESEFVKAEAEVILPNKHLFPSAITSLDFLWAFGMLRSRAFSHGRGENLALVPLADLINHSSSITQEDSSWEIKGKGIFSRELMFSLRTPVHVKSGEQVYIQYDIAKSNADLAFDYGFVEQRPDWDAYTFTLEIGESDPFYGDKLDIAESNGLDETAYFDIALGCPLPPLMLPYLRLVALGGADTFLLESVFRNTIWGHLELPVSRANEEAICHVVRQACKSAFSVYHTTVEEDEKLMEGDNLDERLRIAVCVRAGEKKVLQQIDGAFRERESELDILEYYHERRLKDLGLVGQQGEIIFWESK >Ma07_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8792282:8799544:1 gene:Ma07_g11860 transcript:Ma07_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEKTNTQQQQVTNLHFFFHDIASGDIPTVVHVAAPKNLSSLDKEPSFGTVYAIDDPLTEGPEADSTAVESAQGFYISSGQDAPMLTVSPNKKHKARSGQQSGAFRLAQDIAKPRTNSINNTSGDAVVEFHYG >Ma01_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9790298:9794518:1 gene:Ma01_g13390 transcript:Ma01_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSSAPLPASSASPYPSVARLADSPCFPQYTASLKCLEDNSDKSKCQQEFDYYKECKKKEREARLERNRKRSFFQ >Ma09_p29250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39746822:39749170:-1 gene:Ma09_g29250 transcript:Ma09_t29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYDTGRFQFCVLLLFLCGLAGAVKGEDQLLLPIVDDRWGNNNGLQTFIVNVEEPEAVELLSSRELKQWHESFLPNATLDSGEPRLIYSYRHAISGFAAKLTPEEVRAMEAMEGFVYARPDTTMRIETTYTPQFLGISKPGRGAWDSGNMGEGVIIGVIDTGIAADHYSFSDDGMPPPPAKFKGSCRPFSKVTCNNKIIGARGFNYGPDTAVDDDGHGTHVASIAAGNFYNRAEVLGMAAGTASGMAPRAHLSIYKVCYTVQRRTKSSYVRCRDSDILSAIDQAIKDEVDIIQMSIGGNSTPRFHDDGVVHGSLSALRHGISAVTAAGNQGPNHLTLSHDAPWVLTVGASSTDRRIRATVKLGNGMELDGESAYQPTSFDSSVMLPIVYGLFCNDSSQLNHISGKIVLCEPGDIANIEKGKLILRAQGAAMILMTPPSRGNTTGSEAHVLPASNLSYNDSMKVVSYYFTAGASATATIVFKGTLFNSRPSPAVASFSSRGPGTRNGGILKPDVVAPGVNILAAWPFEVGPGAATPSKSAFNFLSGTSMAAPHVSGITALIRKQHPHWSPSAIQSAIVTSADDRDLDGNYLMDQHSGGTADVVAVGAGHLNGPRALDPGLVYEIDMGIYPAYLCSLGYTDRNVTALWGKAVDCKTQQHVDASQLNYPSITIDYRQTKYVISVKRTLKNVAAGGVEHYDAHMTIPPGVTMALSTNALRFSRPDEQQSYHVTFDIDPAGSPTFPYSRGKLEWVSAKHLVTTPIAVYWR >Ma08_p01220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1142407:1144381:-1 gene:Ma08_g01220 transcript:Ma08_t01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLPGIGDGATQVDNKVLQSLQKSFLQVQSILDQNRLLINEINQNHESKIPENLSRNVGLIRELNNNIQRVVGLYDDLSLSFSKSMEASPERDTKRKLNQKRARPGQ >Ma08_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1142407:1144358:-1 gene:Ma08_g01220 transcript:Ma08_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLPGIGDGATQVDNKVLQSLQKSFLQVQSILDQNRLLINEINQNHESKIPENLSRNVGLIRELNNNIQRVVGLYDDLSLSFSKSMEASPERDTKRKLNQKRARPGQ >Ma08_p01220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1142407:1144455:-1 gene:Ma08_g01220 transcript:Ma08_t01220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLPGIGDGATQVDNKVLQSLQKSFLQVQSILDQNRLLINEINQNHESKIPENLSRNVGLIRELNNNIQRVVGLYDDLSLSFSKSMEASPERDTKRKLNQKRARPGQ >Ma08_p01220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1142407:1143277:-1 gene:Ma08_g01220 transcript:Ma08_t01220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLPGIGDGATQVDNKVLQSLQKSFLQVQSILDQNRLLINEINQNHESKIPENLSRNVGLIRELNNNIQRVVGLYDDLSLSFSKSMEASPERDTKRKLNQKRARPGQ >Ma07_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:14082096:14082824:-1 gene:Ma07_g16490 transcript:Ma07_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLRKANTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMAKKVDQKKKEDEITRLQEKYGISTSKDK >Ma10_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26298262:26302256:-1 gene:Ma10_g13530 transcript:Ma10_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTKQSKWLDNNLQEMDERVKTMLKLIEEDADSFARRAEMYFKRRPELVSFVEEAYRAYRALAERYDHISGELHKANHTIATAFPDQVQYAMLEEEDENFPKAITPIDPRKVHKPTVDGLMNKRRESQSSLKKMQQRSDTPLNKDKAQEEISKLQKTILVLQTEKEFIKSSYEGGIAKYWEIEKKITEMQEEVCCLQDEFSTSAVIEDNEARALMTATALKSSEDAIFSLHEQQNKSMEQAKLESERIKAAKKKLKDFNGRLGRFQTLTEDSDENPERNSITVNVEKETCDLTDRIELQSLCEKVKEYLEKNPDISVEEIAEKIDELVNRITSLELTMSTQTAQISRLSSENNELEKYLQSLEEEKMILIDDSNELTNKLKQAEAELNRVEPLQKNIQEEEIILLQNFRETYNSFRDISEKLQVPSHQNDAHAASGSMEDDISSYCNEVTECEVKEVTEIHEIVDEIHVHSINDDHSQLAAGPDISSNSTNTEDLNKGTESAVQESSQAHVSIHTGTNQETQLGEKEDSLDLQQLLLNTLEGREKILLAEYTSILRSYKETKKKLSKAEKETEDCLGEMTTLVRELKNANSVKDEEIRLLKLQLGSSKTSSDGKVDAPFGIEDCRNGHHKPESMSCFPTFILEEPNPECSQVTRGGVADTGSPLAEDSNLQQIGEPKGTSPIEERFRRDIDELLEDNLQFWLGFSASLHHIQELQTKFEDLQISVEKMKASSISQEGSNSDQVPQPESASTVAQLRALKSELQVWLEGSTLLRGELQSRFSSLCGIQEEIAGAVKAGTQCEDLQFTPYQAAKFQGEVLNMQQENNKVANELQVGVGHAKRLQAEVERELAKLHVHPEWSGSRSSHTQPLKHFSSKARIPLRSFLFGTKSKKQSIFACMNPAFQRHYSDLRAGFR >Ma06_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12687363:12691238:-1 gene:Ma06_g18560 transcript:Ma06_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein PP2-A15 [Source:Projected from Arabidopsis thaliana (AT3G53000) UniProtKB/Swiss-Prot;Acc:Q9LF92] MGASLSNLTEAGSDGSGGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEPKLPSTYPQLLSLLPPETVGHGSPLSKKKEIFAFLSRPIPFDEGTKVLWLDRATGGVCLSVSAKGMTITGIEDRRYWSWIPTEESRFQVVAYLQQIWWFEVDGLIKFPFPAGVYSLSFRLHLGRFFKRLGRRLCNYGQTHGWDIKPVRFELSTSDGQQVSRECCLDEPEKDDMGNHKRGCWIDYHVGDFVVTSSDTVELRFSMKQIDCTHSKGGLCVDSISIIPSGLRHGKKSL >Ma11_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27586417:27588812:1 gene:Ma11_g24690 transcript:Ma11_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATQAKASEAVPAAEFVVAEAPSESEPKEPAAEEKKPEADEKKTEAQEVASPADEAPAESEPKEPAAEEMKSEAKEKKNEVQEVASPADETAAPDVDPQKKALDELKELVQAALANNEFNPPPPPPPPPTAPAEEPEAAPAEAPAPASVEERKPTSEELPKTSEAAPASVEGPLNPEVVEQPAAPVKEEPLPAPAQPAEEKAAEVDDDGAKTVKAIEETFVPVAAPPPAAEEVPPAAEDSAKEPPEEDPAPAPAAPPEEVFIWGIPLVGDDKSDTILLKFLQARDFKVKDALAMLKDAVIWRKQFGIEALLEEDVGLPELDKVVYMHGVDKEGHPVCYNVYGEFHDKELYEKTFGDADKRRKFLKWRIQYLEKGIREKLDFTPGGISSMVQVTDLKNSPRIGKHRQVTKQAVTLLQDNYPEFIAKKVFINVPWWYLAVNRMMSPFFTQRTKSKFVFAGPSRSAETLFKYIAPEQVPVALGGLSKDDDRDFTAADAATDVSIKPSSKQTIEMPATEACVLVWELRVLGWEVSYGAEFTPSAEDGYTVIVHKTRKLAAVDEPVIKGSFKIGEPGKVVLSIDNPTSKKKLLLYRHKVKSCSESN >Ma07_p27430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33819544:33822754:1 gene:Ma07_g27430 transcript:Ma07_t27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKTEKFQKNITKRGSVPETTVKKGNGYPVGPVVLGFFIFVVIGSSLFQIIRTATSGGMA >Ma05_p03460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2525691:2528257:1 gene:Ma05_g03460 transcript:Ma05_t03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVGMESDHGDLADIVRAGGRSGPSNTEFEPVAEWQLPSGPVFVPTRTETPTNNFGDPFLNLRDPLLNQYIGAELFEGAEAMVAPASMVVASSSGHGGDERLLVTPKMLTGGEQEIKGPCTIVSRVLQISPVAGNTKPSPLPPRLITPPPVGAGEMMMMMKMSSGSIAEPVDNDGGVQISSPRTPGIKRRKSQAKKVVCIPAPAASSNRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERCRTDPNMLVITYTSEHNHPWPTQRNALAGSIRFHPSKTASRSSGGSILNSPTAPKEETQEAATMSSEADEMGKTTEQAEDTGFHQIIHPSYEPTIPEADQPDDLFAYLAELEADPLSLILSKGFMETKPEEGGGDGSMDDPSFNMFDWAGSS >Ma05_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2525679:2528257:1 gene:Ma05_g03460 transcript:Ma05_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVGCDTIRMESDHGDLADIVRAGGRSGPSNTEFEPVAEWQLPSGPVFVPTRTETPTNNFGDPFLNLRDPLLNQYIGAELFEGAEAMVAPASMVVASSSGHGGDERLLVTPKMLTGGEQEIKGPCTIVSRVLQISPVAGNTKPSPLPPRLITPPPVGAGEMMMMMKMSSGSIAEPVDNDGGVQISSPRTPGIKRRKSQAKKVVCIPAPAASSNRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERCRTDPNMLVITYTSEHNHPWPTQRNALAGSIRFHPSKTASRSSGGSILNSPTAPKEETQEAATMSSEADEMGKTTEQAEDTGFHQIIHPSYEPTIPEADQPDDLFAYLAELEADPLSLILSKGFMETKPEEGGGDGSMDDPSFNMFDWAGSS >Ma02_p09000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19188365:19194929:1 gene:Ma02_g09000 transcript:Ma02_t09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLLASPPSLLASEPPRRLPIVAPRPPSRLFLRCRHLTSRRSPPPVCSVGSSWADSDESLNDSVGGWFVRKLRIEKEENVAARSRLHLAGIVASAAILLAALAYYRSAKRGFKFCFTVPFHTIYKNLMPVGSEKDPDNDITSTIFEVDQVSEINMDEETKSKENDSADSGARKQIIVPVAADTTQQEAVSVLKKLKIIENDVSTDGLCTRREYARWFVKANSMLERNPKHKILPKISAAGPFVTAFDDVNLDDPDFCCIQSLAEAGIVLSKLSALNSSSISDKGASGDSEKIYFYPESYISRFDLLNWKALLEYLFSSELDEKMLRTKGNFLDLSASSGASPQLLLDLMAGDNSITRRAFGNIRRLQPHKPVTTAQAAVVLTSGRTADAIQRELSRLEAENISRLQEAEEIRCELVQRGDIQRFWEEKLAKEQERHFEVEKDLEVIFLDLEDEKASLDGRLAEYAKEKAALDCQQHLLQCLKDEVEGMNDKLASERANFISEQQSLERFSADLCGKKDGIVEAKSILEAEIEATRILRSWVEEEALQMRNRADVLSQAVLRWQYTGVSGQSVTFSVDSNSSEIVLKPNGEQSVA >Ma02_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19188395:19194929:1 gene:Ma02_g09000 transcript:Ma02_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLLASPPSLLASEPPRRLPIVAPRPPSRLFLRCRHLTSRRSPPPVCSVGSSWADSDESLNDSVGGWFVRKLRIEKEENVAARSRLHLAGIVASAAILLAALAYYRSAKRGFKFCFTVPFHTIYKNLMPVGSEKDPDNDITSTIFEVDQVSEINMDEETKSKENDSAGARKQIIVPVAADTTQQEAVSVLKKLKIIENDVSTDGLCTRREYARWFVKANSMLERNPKHKILPKISAAGPFVTAFDDVNLDDPDFCCIQSLAEAGIVLSKLSALNSSSISDKGASGDSEKIYFYPESYISRFDLLNWKALLEYLFSSELDEKMLRTKGNFLDLSASSGASPQLLLDLMAGDNSITRRAFGNIRRLQPHKPVTTAQAAVVLTSGRTADAIQRELSRLEAENISRLQEAEEIRCELVQRGDIQRFWEEKLAKEQERHFEVEKDLEVIFLDLEDEKASLDGRLAEYAKEKAALDCQQHLLQCLKDEVEGMNDKLASERANFISEQQSLERFSADLCGKKDGIVEAKSILEAEIEATRILRSWVEEEALQMRNRADVLSQAVLRWQYTGVSGQSVTFSVDSNSSEIVLKPNGEQSVA >Ma03_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24654023:24655813:-1 gene:Ma03_g19320 transcript:Ma03_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLHFIYWDSVCDMERIAGWDAKCASTLMAQHLLAATDRYALDRLKVLCEVKLCEDVAINTVATTLALAEQHHCFHLKSVCLKFVALPQNLRAVVQSEGFKYLKVSCPSLLKELLQYVAGKGENSAVSSADTNDALDGSDANGRRVKPQI >Ma04_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11648071:11649491:1 gene:Ma04_g15350 transcript:Ma04_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDKYIFIIHKWFVIDVRLGHLLQVYVTFFFFFFFFFFLTGSCFHGDAGTMRKRAKEEQQWKSSTVSITSTKHMLYGFKKKKEEYGKLQKAEMSRWDCIELLNEIVDESDQDLDEPQIEHLLQSAEAVRRDYPDQDWLHLTALIHDLGTVLMNPTFGDAFPLGCALDESIVHHNYFKENPLLQTRLGVYTENCGLDKVTMSWGHDEYIYLVMKGNETKLPPASFFIMRFHSL >Ma09_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3608413:3608511:-1 gene:Ma09_g05620 transcript:Ma09_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYMLNSQSACVIKYGIKSYISQHKHFPFES >Ma06_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21992454:21993410:-1 gene:Ma06_g23810 transcript:Ma06_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESINSSMNSASCAKDGSASSEESGWTMYFEEFMASEERKAAGGFSSGVVGGFSIVSDAASCVAFDPSSPGLEVSEEKYRKLSLKKKKRGKGLLDDDSLEDTASSPVNSPKVTDLNYVTLNSSKKDVCRDSPQEDAAGCRNDAEPKEIVGGSDFAEGTNEYTELKKRGLCLVPLSMLANYLDIMIQGWPWGSYIKSLLRSNVMIDTA >Ma06_p23810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21992649:21993410:-1 gene:Ma06_g23810 transcript:Ma06_t23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESINSSMNSASCAKDGSASSEESGWTMYFEEFMASEERKAAGGFSSGVVGGFSIVSDAASCVAFDPSSPGLEVSEEKYRKLSLKKKKRGKGLLDDDSLEDTASSPVNSPKGLTSGGCCRVSE >Ma02_p04220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15697142:15704623:-1 gene:Ma02_g04220 transcript:Ma02_t04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02910) UniProtKB/Swiss-Prot;Acc:Q9SRY4] MAMASLALQQHLLCCPKSNTWSAPKTPLRSRRARLLPVASSSSSSSSPPSPPSPETATQTAESCVNLGLSFFSKGRVKEALVQFENALSLNPNPMEAQAALYNKACCHAYKGEGKQAAECLRAALRDYNLKFSTILNDPDLASFRALPEFKQLQEEARLGGEDIGYGFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISMFFTVPRLIRAINGGDGAPDLWETAGNAAINIGGIVVLVVLFIWDNKKEEEQLAQISRDETLSRLPVRLSTNRIVELVQLRDTYRPVILAGKKETVSRAMEKAERFRTELLKRGVLLIPVIWGDYSKASIEKKGFGVPAKSASSLPSIGDDFEQRTQSITAKSRLKAEVRFKAEIVSPYEWERWIRDQQKSEGVLDPGDDVFIILRLDGRVRKSGKGMPDWQEIVKELPPMEALLSKLER >Ma06_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7991747:7993905:-1 gene:Ma06_g11440 transcript:Ma06_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVMRNPSATFHLAQRSWPAPAERYRSEEGRPMVQEESDDELPGQFDIWSAIQSQKAAAAAVPGTYVHPLMRRSLSLLSRESLELCTESLGSETGSGDFSSFVDDLDYCCPPKFDDEEKEEEMKYVRESQATAIVAGGDEVRAVERERRPRGKELTSVNYHCSTGRPRSFPPPLPSISQRNGPCLHMRPHRCDGRLVVEAVPVTSRNYLRAQRVDGRLLLSFVDLGLGGEPGDESDAADCAAETTPPQKQDVEQEKEDVEGSEAMGTTRLEAKESEESEQNCYGEEEEESDEKNCYDEEEEEEEEVEVVDRGTVVEVTVSTQPQQQSGALKVHRSSLVINKFVGGTPLSGMTDGDQNNHNRTVASALAARWPSPTTTAARRLSPTTTTAAAAVAAASALGVNIESYNGHGYGGPWTTPLGDRHPALDNKLLFTSKRRNRGELLHNMRRCSQLRRPLFIWEPCCIATSS >Ma08_p14810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14754509:14757905:-1 gene:Ma08_g14810 transcript:Ma08_t14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGGVVITVAGPGKVESVFRRSSPSRSSSASWSSAGLYRVRPRGPAYSGSPRVVLRRSPRCEVKADLEEKSAAAASVSALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVPEAQWPRAIGELCSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVMEWMAKTSGIPVSELRQHLFVLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRIGQGSGGLGKNIDRMFKDAITAGKRVRSETNIASGAVSVSSAAVELALMKLPKSHSTSARMLVIGAGKMGKLVIKHLAAKGCKKVVVVNRSVERVDAIREELKDIEIIYRPFSEMLSSSAEADVIFTSTASETPLFLKEHVEALPPVGYEVGSIRLFVDISVPRNVGSCAANLEHARVYNVDDLKEVVEANKEDRLRKAMEAQSIITQELKRFEAWRDSLETVPTIKKLRSYADRIRVSELEKCFQKIGDDALTKKMRKAIEDLSSGIVNKLLHGPLQHLRCDGTDSRTLDETLENMHALNRMFSLDTEKAILEQKIKAKVEKTQS >Ma04_p08040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5778949:5780101:1 gene:Ma04_g08040 transcript:Ma04_t08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MATHAPSSSSDKTWIVPAAVLGVTAAVAAVAHAAARYRLLPWLGKYRSRVVGIIPARFASSRFPGKPLVRILGKPMIQRTWERAKLASALDRIVVAENNEKIAECCRGFGADVIMTSEECKNGTERCYETLQKLGETYDIVVNIQGDEPLIEP >Ma04_p28910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29900233:29904922:1 gene:Ma04_g28910 transcript:Ma04_t28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGRSAPRAARRPAPVRNPPQPARQAPPPAPVQGGGSILGGIGSTIAQGVAFGTGSAVAHRAVDAVMGPRTFQHETIASEAPTAAAPANPVNYSGTDACSIHSKAFQDCINNYGSDISKCQFYLDMLNECRRGSGAA >Ma04_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24115580:24124342:1 gene:Ma04_g21590 transcript:Ma04_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVNYGLDYDDDYDTYNDYDDYQYEHEVEEDGYDYDHNVKGDYITSHPDKTASEPGMWQCSICSYDNDETSFCCDICGAIQVYASNYGKEKVHGASRDSGAPVMAMSHAQLSTLTPKSNILPDDFQNKEASLYEKASSRAKSNKGKEVKVLYPPDNKILVSSAKLSNTSCLDESESSQSSSSRENVDTSTTLSRNLARVKLDKNPFHSSKVNSEPQYKPEEWMLLDKEQGTLKQLNLAIVGHVDSGKSTLSGRLLHLLGKVSKKEMHKYEKEAKEKGKGSFAFAWAMDESSEERERGITMTVAVAYFDSKKFRVVLLDSPGHKDFVPNMISGATQADAAILVVDASIGSFEAGMDGHGIGQTKEHAQLVRSFGVEQIIVAINKMDAVGYSKERYDFIKSKLGSFLHSCGFKDSSIIWIPLSVVENQNLVTATSDVRLSSWYHGLCLLDAIDSLETPLRDLSKPLILPICDVIKTSMGQVAACGKLETGALRIGSKVLVMPLGELATVRSIERDSINCNLARAGDHVAVTLQGIQSSHVMPGGVLCHPDYAVPVATYLELRILVLDITTPILTGSQVEFHIHHAKEAGRVVKMVALLDQKTGKVSKTTPRVLKAKQSAIIEVKLNGAVCVEEFSKCRALGRVFLRSSGTTIAVGIVTRVLKHE >Ma04_p21590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24115580:24124342:1 gene:Ma04_g21590 transcript:Ma04_t21590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVNYGLDYDDDYDTYNDYDDYQYEHEVEEDGYDYDHNVKGDYITSHPDKTASEPGMWQCSICSYDNDETSFCCDICGAIQVYASNYGKEKVHGASRDSGAPVMAMSHAQLSTLTPKSNILPDDFQNKEASLYEKVDIVKPASSRAKSNKGKEVKVLYPPDNKILVSSAKLSNTSCLDESESSQSSSSRENVDTSTTLSRNLARVKLDKNPFHSSKVNSEPQYKPEEWMLLDKEQGTLKQLNLAIVGHVDSGKSTLSGRLLHLLGKVSKKEMHKYEKEAKEKGKGSFAFAWAMDESSEERERGITMTVAVAYFDSKKFRVVLLDSPGHKDFVPNMISGATQADAAILVVDASIGSFEAGMDGHGIGQTKEHAQLVRSFGVEQIIVAINKMDAVGYSKERYDFIKSKLGSFLHSCGFKDSSIIWIPLSVVENQNLVTATSDVRLSSWYHGLCLLDAIDSLETPLRDLSKPLILPICDVIKTSMGQVAACGKLETGALRIGSKVLVMPLGELATVRSIERDSINCNLARAGDHVAVTLQGIQSSHVMPGGVLCHPDYAVPVATYLELRILVLDITTPILTGSQVEFHIHHAKEAGRVVKMVALLDQKTGKVSKTTPRVLKAKQSAIIEVKLNGAVCVEEFSKCRALGRVFLRSSGTTIAVGIVTRVLKHE >Ma06_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11118314:11121848:-1 gene:Ma06_g16400 transcript:Ma06_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYIDDHLMCDIDGQRLTSAAIVGHDGSVWAQSDSFPRYKPEEISAIMKDFDEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGSGGVTIKKTNLALIFGIYVEPMTAGQCNMVVERLGDYLFDQGF >Ma08_p07160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4909785:4910912:1 gene:Ma08_g07160 transcript:Ma08_t07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin [Source:Projected from Arabidopsis thaliana (AT4G05050) UniProtKB/TrEMBL;Acc:Q8H0Y0] MQMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >Ma10_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19368511:19373901:1 gene:Ma10_g06490 transcript:Ma10_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLEDKVFSIREAATNNLKRLAEEFGPEWAMQHIVPQLLEKISNPHYLHRMTILQAISLLSPVLGSDITCQKLLPVIISASKDRVPNIKFNVAKVLQSLVPIFDHSVVEQTVRPCLVELGEDPDVDVRYFASQALQTCDSAMMSN >Ma10_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15958604:15960125:-1 gene:Ma10_g05220 transcript:Ma10_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPAASSVAGDPSPPPPPPPPQQQQQQPPSRYESQKRRDWNTFLQYLRSHRPPLTLAGCSGAHVIEFLKYLDQFGKTKVHAPGCTFFGHPNPPAPCVCPLKQAWGSLDALIGRLRAAYEENDGHQDSNPFGARAVGVYLRQVKENQAKARGIPYEKKKKKRKRFQDTETPSEGRSVGGAGAEASLSAAVAVSGASATGTSTVAEAFSTSTAVGDGSLAPPAGSSAP >Ma04_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8268978:8274223:1 gene:Ma04_g11670 transcript:Ma04_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGARDGTDSLASEPQSQLQRGVRVVGKIRPFVDSEAGGSSCRARVSVTRFVGDHALVAFDDQQTCRKDSYKLDWCYEQDENIDQIFTAEVKPLIEGLFRGHNGCVIAYGAPGSGKSQLIQGSGQNPGLVMIALGEILAFANENRGSVTVSCYEVSQDHIYDLLEPKDQEVLILEDAAKRIQLKGLSQIPVNSISDFKKLSFHGCNMGKSWLKLPDDRTVRSHRGLIVYLSSVDKESNSSLIGKINFVCLADYEDIKQKGNPRPQLAESTKINKSLYTLLNIVCALNAGENFIPFRESKLTRLLQDFLCKASRAVLITCLNPTICQDTLSAVNLASRSCQVANRHRCHSAKVTKSGSKFNQSCSPSIVGIPVRAVSQKKNEISQCASAEKKGYGTPYAIRRRSLQLGSLGTCFSEKQKTGFPSAIRKKKMPIGSSPPNKSESRKANSRVDIVAAVDTCSQALQGNIVLDYVDVDCPMLEEESCESVGPNCVENIMPTGGDCYTNEDTFVQDTVVGLGCDEKMTADPIDGTKDEIHKIFVNEDQSSPPLSARLREISNSLKLLSTQTTAIGSPNTDMTSTKQINKEKLDPKTPEVPFAVRLENEPNFDYIGSPQDIFKTRSTGLKKYLAQECLTFLNSANKEELKGLKGIGEKRATYILQLREESSEPFKNMDDLKEIGLSRKQINAMMSQVLGDF >Ma04_p11670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8268978:8274223:1 gene:Ma04_g11670 transcript:Ma04_t11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGARDGTDSLASEPQSQLQRGVRVVGKIRPFVDSEAGGSSCRARVSVTRFVGDHALVAFDDQQTCRKDSYKLDWCYEQDENIDQIFTAEVKPLIEGLFRGHNGCVIAYGAPGSGKSQLIQGSGQNPGLVMIALGEILAFANENRGSVTVSCYEVSQDHIYDLLEPKDQEVLILEDAAKRIQLKGLSQIPVNSISDFKKLSFHGCNMGKSWLKLPDDRTVRSHRGLIVYLSSVDKESNSSLIGKINFVCLADYEDIKQKGNPRPQLAESTKINKSLYTLLNIVCALNAGENFIPFRESKLTRLLQDFLCKASRAVLITCLNPTICQDTLSAVNLASRSCQVANRHRCHSAKVTKSGSKFNQSCSPSIVGIPVRAVSQKKNEISQCASAEKKGYGTPYAIRRRSLQLGSLGTCFSEKQKTGFPSAIRKKKMPIGSSPPNKSESRKESCESVGPNCVENIMPTGGDCYTNEDTFVQDTVVGLGCDEKMTADPIDGTKDEIHKIFVNEDQSSPPLSARLREISNSLKLLSTQTTAIGSPNTDMTSTKQINKEKLDPKTPEVPFAVRLENEPNFDYIGSPQDIFKTRSTGLKKYLAQECLTFLNSANKEELKGLKGIGEKRATYILQLREESSEPFKNMDDLKEIGLSRKQINAMMSQVLGDF >Ma00_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:32972365:32977549:1 gene:Ma00_g03930 transcript:Ma00_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSMVPSSGSKNPSGTNVDVDRLPGEMSDMRIRDDKEVEATVIDGNGTETGHIIVTTINGRNGQPKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDRRYKNRELQTMRLLDHPNVVCLKHCFFSTTQKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHGNIGVCHRDIKPQNVLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFNFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDPSTRLPNGRFMPPLFNFKPHELKGVPMETLTKLIPEHARKQCAFLGL >Ma02_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:12811883:12824744:-1 gene:Ma02_g01960 transcript:Ma02_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENRSKSEVVVQNSSMRMKNEGQPSAQGALSVFSSATACTEAICFAKKQPYHGPKAGTQSSLMNIPNENAESQYASCHMLSDKLSQAKLHHDDRPSDVALIESYSKHHQVVSFIWAVCRSIVPVSLLGNSSCWKSLQRNISKFVKLHRFEKFYVKQCIHGVKMSCFHFLSKVRSSKCSCNGNSRSEFGGSIRKDSRKPCNSKMILVGNLFSRWMRWFFFDMIVPMISANFYVTERESRKHELFYYPKPVWRTLVQRTIASLEGDKFKLLDQVFVRNIISKRSFGFSKVKFLPKNKCLRFLTNLRASSIVRLSNPEFGSRYCSIGAKEKATMHRKYKSAQDRGFVRCRSVNSALREVYAILRRVKVEKPEILGSSVFDYNDVHQRLHQFISKIKNRTSKMPEIYIVVADVRKAFDSIDQDMLIGILKDILQNDEYVMRKHVKISCRKKSLRILHDHVYCDYSSSNCCDSVSEPSVSAGSILIDQGISLRIQKEKLLYVLREHLKCNILQVGQNFYLQKVGIPQGSVLSSLLCSYYYGHMERSLILPYLQRSSSDLVVSSSKYTINECTTELANNAISGVESLKYDMACLGCPNITDLGKNNLLPFTEHHATEVNTVTSDKECSTSGENLLLRLIDDFIFISTSKEQAGRFFNRMTRGFRAYNCYSNKTKFGTNFGMTQNHGLINRIYSGADGILFLPWSGLLINCQTLEIQADYTRYFGINIRSTLTIELHAKPCYRLKEKLLNFVKTRCHPIFYDSNINAPATVCLNAYQAFLLCAMKFHCYIHSMQDVTKPKPSYLLEIIERSFR >Ma10_p06520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19594656:19601919:1 gene:Ma10_g06520 transcript:Ma10_t06520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASIWSGNFHQLWSSLGSNSTIKFLRLSTKCVPDKSFDARRCPLRQLTNVVEELRQEPQSFITSCGCHDWRLEKVTIASTTNLNTHQQEIHELKAVQCYNIQESTLKKSVDRPATILVFDIETTGFSHQNERIIEFALRDLIGGKNSTFQTLVNPEKDVLNAFVHGIDTSMVNRRDVPTFRELLPVLLQYVRSRQVAGRPVLWVAHNGRKFDVPFIIKEFQRCLVEIPADWMFVDTLPLARQLMKPDGTKLTSSSLKALREHYQIPLVGPAHRAMQDVTTLCYVLQKITFDLKLSIPELMDRAFRASDFVKLPLEK >Ma10_p06520.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19594656:19601919:1 gene:Ma10_g06520 transcript:Ma10_t06520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASIWSGNFHQLWSSLGSNSTIKFLRLSTKCVPDKSFDARRCPLRQLTNVVEELRQEPQSFITSCGCHDWRLEKVTIASTTNLNTHQQEIHELKAVQCYNIQESTLKKSVDRPATILVFDIETTGFSHQNERIIEFALRDLIGGKNSTFQTLVNPEKDVLNAFVHGIDTSMVNRRDVPTFRELLPVLLQYVRSRQVAGRPVLWVAHNGRKFDVPFIIKEFQRCLVEIPADWMFVDTLPLARQLMKPDGTKLTSSSLKALREHYQIPLVGPAHRAMQDVTTLCYVLQKITFDLKLSIPELMDRAFRASDFVKLPLEK >Ma10_p06520.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19594656:19601919:1 gene:Ma10_g06520 transcript:Ma10_t06520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASIWSGNFHQLWSSLGSNSTIKFLRLSTKCVPDKSFDARRCPLRQLTNVVEELRQEPQSFITSCGCHDWRLEKVTIASTTNLNTHQQEIHELKAVQCYNIQESTLKKSVDRPATILVFDIETTGFSHQNERIIEFALRDLIGGKNSTFQTLVNPEKDVLNAFVHGIDTSMVNRRDVPTFRELLPVLLQYVRSRQVAGRPVLWVAHNGRKFDVPFIIKEFQRCLVEIPADWMFVDTLPLARQLMKPDGTKLTSSSLKALREHYQIPLVGPAHRAMQDVTTLCYVLQKITFDLKLSIPELMDRAFRASDFVKLPLEK >Ma10_p06520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19594656:19601919:1 gene:Ma10_g06520 transcript:Ma10_t06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASIWSGNFHQLWSSLGSNSTIKFLRLSTKCVPDKSFDARRCPLRQLTNVVEELRQEPQSFITSCGCHDWRLEKVTIASTTNLNTHQQEIHELKAVQCYNIQESTLKKSVDRPATILVFDIETTGFSHQNERIIEFALRDLIGGKNSTFQTLVNPEKDVLNAFVHGIDTSMVNRRDVPTFRELLPVLLQYVRSRQVAGRPVLWVAHNGRKFDVPFIIKEFQRCLVEIPADWMFVDTLPLARQLMKPDGTKLTSSSLKALREHYQIPLVGPAHRAMQDVTTLCYVLQKITFDLKLSIPELMDRAFRASDFVKLPLEK >Ma10_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19594656:19601919:1 gene:Ma10_g06520 transcript:Ma10_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASIWSGNFHQLWSSLGSNSTIKFLRLSTKCVPDKSFDARRCPLRQLTNVVEELRQEPQSFITSCGCHDWRLEKVTIASTTNLNTHQQEIHELKAVQCYNIQESTLKKSVDRPATILVFDIETTGFSHQNERIIEFALRDLIGGKNSTFQTLVNPEKDVLNAFVHGIDTSMVNRRDVPTFRELLPVLLQYVRSRQVAGRPVLWVAHNGRKFDVPFIIKEFQRCLVEIPADWMFVDTLPLARQLMKPDGTKLTSSSLKALREHYQIPLVGPAHRAMQDVTTLCYVLQKITFDLKLSIPELMDRAFRASDFVKLPLEK >Ma10_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32093191:32100323:1 gene:Ma10_g22960 transcript:Ma10_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSISSAPRTVEEIFKDYSSRRAGIVRALTHDVDEFYAQCDPEKENLCLYGHPNDSWEVNLPAEEVPPELPEPALGINFARDGMNRRDWLSLIAVHSDSWLLSVAFYLGARLNRNERKRLFSMINELPTVFEVVSDRRRSKEKSGVDSASKSKLSTKRSSEGQIKSNSKTADEEYGEDDDEHSETLCGTCGGSYSADEFWIACDVCERWFHGKCVKITPAKAESIKQYKCPGCSSKKGRQ >Ma04_p28970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29951395:29951808:-1 gene:Ma04_g28970 transcript:Ma04_t28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGLSSPATTTTTTTTTTYLSLFHALSCVYDMYHIIKINWIIVLSSYSGASTYLYSNSKPNLKSDLLQLQLWISWSRSIFQHVVLRVPRSTVNIDGHNRALQSQKPNRNMHGEVHILHPATCIPSAAHVHIQRPTV >Ma08_p28020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40091334:40092517:-1 gene:Ma08_g28020 transcript:Ma08_t28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFPWFGGDRCYLIAVPSAVTAAYLLNQQGRHRCKALRPTPAKQGTLLGLRILLYIVAKCLQGCRGSFTSDDGDGRDEIEMQARNALALQQYYRQQLRRPAAAATSSSLPRDEWQSKLVIAAGCDHVSFIAQPCPLRTNDVVA >Ma07_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28660904:28661826:1 gene:Ma07_g20700 transcript:Ma07_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSILGVYFEPCYSRARVITTKVIALTLILDDIYDVYRTLEESQRLTEAIQRWDTKVVHQLPEYMKDYYLKVIHTFEEFEDLLASGEKYRITYLKEAMKDLCKAYFEESKWRDQHYVPTLEEHLRVSIISAAYPMLEYCPSFCNNFLYHG >Ma03_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25903596:25911429:1 gene:Ma03_g20820 transcript:Ma03_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDPITHRNRTLAALHVARRLLFSSLPSLSPRLLDRYGFVPPPSLRPHNRNPSSGHQAEANNDNKKKKTKVPYRPPSSLDRAGQEPVHSDLPFDFRFSYTESSPNVKPIGLREPKYSPFGPGRVDRIWTGFSAPAVDPTVRSVDGDGPETVDLEKAKQMRTKILGKPLSPAERAFLVEKYQKNRTKRQVNLGRDGLTHNMLNDIHNNWKNAEAVRIKCLGVPTVDMENVCTQLEEKTGGSIIHRHGGQILLYRGRRYNAKKRPRIPLMLWKPHEPIYPRLIKTVIEGLTIGETKEMRKRGLAVPALTKLAKNGYYASLVPMVRDAFLTDELVRIDCKGLEKSDYKKIGAKLRDLVPCVLVTFEKEQIVAWRGNKYNRSTHPSSEERSFTQVTESGICAENCSIDSCSSSVDQISDLNTNDEDTASSTS >Ma10_p14010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26603700:26605649:-1 gene:Ma10_g14010 transcript:Ma10_t14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDRSDARLPPEEAARVEAATREYFEGIAPKRHTKPSRSEYSSVYSDALQSADPGSVPELDKLRHLEARRQKLACDGHEVAEEYVETEYYKDLRCIDKQHHTTGTGFIKVEKSGGSSFVLGPVSDASSYQASCKGNPATNEWIPSADIVIPNSNKPKRSEN >Ma06_p35480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35293941:35301179:-1 gene:Ma06_g35480 transcript:Ma06_t35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQSLPGEYFCPVCRTLIYPNEALQSQCTHLYCKPCLAYIVATSQACPYDGYLVTEADSKPLIESNKALAETIGKVAVYCLYCRSGCQWQGTLSECIAHCTGCAFGNSPVVCNRCGIQIIHRQVQEHTQICPGLQPQAQQVDGGQAQASTTSSQAVSQDPSVASSAAPASTASAASATTTSAAVATPAASAATPTALPAATSVIMPVVSTTATTLPAASQGQSQTNSTGNAQAAAQVPTPQQLYQQQQLQYQQYYQMYHGYDPYQQQYQQNAQYQQQPYPQYAQSQMQVVPQLAIQGQQQTSSYVQSQPHPQAQLQPQALAQPLTQPQPQPQAQVAQLQQQQQQQHLPQPQPQPQPQSQPSAHLVQMQTQLPHTPVQQPYTQARPQTNLPPQAQIAGQQFGQPPQPHQTQQSYPRTQPQQQVQALLYQQPLQPHHHPQSLPQQLPHLHAQPQARPTSLQQPPMLLQPHPQHPSAQPQPMTQPQHPSVHAVTERQSYQQPQMLQQDQPGAQPQHPMLMHSQQQGVTQQHPVQLPNQFAPQQPPRMPPPTGHIPMQVQQQPMLPTQRPPASLQPQQQPHLTVHQHGQQLHQYTGLHSQAPQQGLPPQQSHGHLNSGQQSQLGMLASQQQLHPQVPPYTQHHFPPHLQAQQNMPLSQALTTHQTQAVAGRPVMVNNGMPHQLIQQSPRGPGKLVQHVLNQQSPSQSHLTQPGNNLAATYDSQRSHLPKPGSYDSSIPVSASHSGVVDKVGHATELSTETAAKNAEGNEQTERSAMVDPKILKTELETVKEKVSGKVKEKDMQLEVEPTLVRGRSMDSHTDMELPESKHAIKEELANLPEDGIETSHISKDRDVEGEIQGVEEKPDVNAGVQVEIQCANLPSDASLHSISSALPKESSGLSEGQTDGEIASKTQPPQQLSVTSSDGGQLPQPTKQRVTPSYDGASLQPGSHEKNSAWLAWQGLGSGMPQVVGPAGSSSDKEGFPPQHIPHSHPSNVPVMTPRFPAPDKILPLQMSHQGVIHDRRSQEAPYQMQAPGQNMILSQMRPLDHGYPEPIPRQVQPSIVQEPLRPPSGQPYGGGYHSDAMHGGLPGPVLPTSGRAPGHAGFPQQGFPEPVIAQGQSQNYLSVPHAGTTRVPHGESLTRTAPVVPLTGAFNTSTQMMPRGPPFHPEDRGVPSHVGNPNILEAEIYDTRRPVFQDVRQTDPHVESNVIANGIQRKLRFVGMHDSHVLAEGRLKPLPDERFRSLPGDGMPRPFPLEPGRHNVSGREFEEDLKQFPRPAHLDGEGFQKFDSYGSSTRLLERGQQHVGPDSIPRSSNISVPGPDGIPSEFLATQVGPFQAGNSAPFPASRAGSEFHKMNILEMRRPAGFHEDFGAVPDLRRSLPGSGHRHIQSPVKNLGGLPSSRFGSASQPHMEDIDPKELHGFAERSKAFNLPSDSTGSYFHDSKNSMPGALPGRSARGVPDRPRNFRMVEQLHSGNFPGSTRKDLDGREIPRIHMHPGDRSFGVNYGHDFPNEAGMFSTKRKPGTTGWCRICSVDCGTVEGLDFHAQSREHQRMAIDMVLAIKKENNKKHRISEGVLSFEDSNKSRNYFGRQLK >Ma11_p14270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19550400:19551788:-1 gene:Ma11_g14270 transcript:Ma11_t14270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEEAQFHVLAVDDSLIDRKLIERLLKSSSSCQVTTVDSGSKALQMLGLREDEESTSPVPADKNIEVNLVMTDYCMPGMTGYDLLKKIKGSSSLKNIPVVIMSSENVPSRTTRCLEGGAEEFFLKPIKLSDMTRLTPYLPKGKLQDQQRESSNDNNYSNKSKDFCLRRQGRDSPVIA >Ma11_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19550400:19551788:-1 gene:Ma11_g14270 transcript:Ma11_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEEAQFHVLAVDDSLIDRKLIERLLKSSSSCQVTTVDSGSKALQMLGLREDEESTSPVPADKNKIEVNLVMTDYCMPGMTGYDLLKKIKGSSSLKNIPVVIMSSENVPSRTTRCLEGGAEEFFLKPIKLSDMTRLTPYLPKGKLQDQQRESSNDNNYSNKSKDFCLRRQGRDSPVIA >Ma11_p14270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19550400:19551478:-1 gene:Ma11_g14270 transcript:Ma11_t14270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLWMTASLTGSSSRGSSSPHHPAKVTTTLLDRVEREGGDLKACVGASVTTVDSGSKALQMLGLREDEESTSPVPADKNIEVNLVMTDYCMPGMTGYDLLKKIKGSSSLKNIPVVIMSSENVPSRTTRCLEGGAEEFFLKPIKLSDMTRLTPYLPKGKLQDQQRESSNDNNYSNKSKDFCLRRQGRDSPVIA >Ma11_p14270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19550400:19551478:-1 gene:Ma11_g14270 transcript:Ma11_t14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLWMTASLTGSSSRGSSSPHHPAKVTTTLLDRVEREGGDLKACVGASVTTVDSGSKALQMLGLREDEESTSPVPADKNKIEVNLVMTDYCMPGMTGYDLLKKIKGSSSLKNIPVVIMSSENVPSRTTRCLEGGAEEFFLKPIKLSDMTRLTPYLPKGKLQDQQRESSNDNNYSNKSKDFCLRRQGRDSPVIA >Ma06_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12886211:12889521:-1 gene:Ma06_g18850 transcript:Ma06_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEYDYLFKLLLIGDSSVGKSCLLIRFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITEMESFNNIKQWLSEIDRYASDSVCKLLVGNKCDLVEDRVVETEKAKAFADSLGIPFIETSAKDSINVEKAFLTMCAEIKKRMGNQHTADTKPTTVQMKGQPIEQKSSCCS >Ma03_p18850.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24351383:24353423:-1 gene:Ma03_g18850 transcript:Ma03_t18850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSFSFLLGVGCGVYIAQNYEVPNIKKLVSTWMLKTKDIEETYRKPKKDED >Ma03_p18850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24351383:24353434:-1 gene:Ma03_g18850 transcript:Ma03_t18850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSFSFLLGVGCGVYIAQNYEVPNIKKLVSTWMLKTKDIEETYRKPKKDED >Ma03_p18850.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24351383:24353457:-1 gene:Ma03_g18850 transcript:Ma03_t18850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSFSFLLGVGCGVYIAQNYEVPNIKKLVSTWMLKTKDIEETYRKPKKDED >Ma03_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24351383:24353462:-1 gene:Ma03_g18850 transcript:Ma03_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSFSFLLGVGCGVYIAQNYEVPNIKKLVSTWMLKTKDIEETYRKPKKDED >Ma05_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19156498:19164308:-1 gene:Ma05_g16990 transcript:Ma05_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYLPVRRYVLQKDISSRRLYVTSDMIVYKATRPSFLPFLGLTKIEKRIPLHLVIDIIIEQGCLQSNYGIHTFRIESVAYGKAAPVDELQFQGVSNPGLLRKVIIIESTKSIRKVGNRKSAILPGEGMSTPTSLRSLADIPPPSRWQSPSMRNTENTMELKNSQVKASPRRPLFEAGGVVPGDLLLHKIEEVKRSVKKLESVIAGSHSQASQDDC >Ma05_p16990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19156512:19164327:-1 gene:Ma05_g16990 transcript:Ma05_t16990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGHAIGLHERSESDELLLEDPVSEVGDEEELSGKVLFAASFEELAKNHVQYDTIIWVLISLLLVLAWGVGIIMLLYLPVRRYVLQKDISSRRLYVTSDMIVYKATRPSFLPFLGLTKIEKRIPLHLVIDIIIEQGCLQSNYGIHTFRIESVAYGKAAPVDELQFQGVSNPGLLRKVIIIESTKSIRKVGNRKSAILPGEGMSTPTSLRSLADIPPPSRWQSPSMRNTENTMELKNSQVKASPRRPLFEAGGVVPGDLLLHKIEEVKRSVKKLESVIAGSHSQASQDDC >Ma05_p16990.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19156505:19164308:-1 gene:Ma05_g16990 transcript:Ma05_t16990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPLVTHFKKLIGMLSGHAIGLHERSESDELLLEDPVSEVGDEEELSGKVLFAASFEELAKNHVQYDTIIWVLISLLLVLAWGVGIIMLLYLPVRRYVLQKDISSRRLYVTSDMIVYKATRPSFLPFLGLTKIEKRIPLHLVIDIIIEQGCLQSNYGIHTFRIESVAYGKAAPVDELQFQGVSNPGLLRKVIIIESTKSIRKVGNRKSAILPGEGMSTPTSLRSLADIPPPSRWQSPSMRNTENTMELKNSQVKASPRRPLFEAGGVVPGDLLLHKIEEVKRSVKKLESVIAGSHSQASQDDC >Ma05_p16990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19156498:19164324:-1 gene:Ma05_g16990 transcript:Ma05_t16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYLPVRRYVLQKDISSRRLYVTSDMIVYKATRPSFLPFLGLTKIEKRIPLHLVIDIIIEQGCLQSNYGIHTFRIESVAYGKAAPVDELQFQGVSNPGLLRKVIIIESTKSIRKVGNRKSAILPGEGMSTPTSLRSLADIPPPSRWQSPSMRNTENTMELKNSQVKASPRRPLFEAGGVVPGDLLLHKIEEVKRSVKKLESVIAGSHSQASQDDC >Ma05_p16990.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19156511:19164308:-1 gene:Ma05_g16990 transcript:Ma05_t16990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPLVTHFKKLIGMLSGHAIGLHERSESDELLLEDPVSEVGDEEELSGKVLFAASFEELAKNHVQYDTIIWVLISLLLVLAWGVGIIMLLYLPVRRYVLQKDISSRRLYVTSDMIVYKATRPSFLPFLGLTKIEKRIPLHLVIDIIIEQGCLQSNYGIHTFRIESVAYGKAAPVDELQFQGVSNPGLLRKVIIIESTKSIRKVGNRKSAILPGEGMSTPTSLRSLADIPPPSRWQSPSMRVKASPRRPLFEAGGVVPGDLLLHKIEEVKRSVKKLESVIAGSHSQASQDDC >Ma08_p31710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42536115:42545148:-1 gene:Ma08_g31710 transcript:Ma08_t31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPRKPKPRSTPLRPKPSPLVPPTPESATPRRSLRLHRSASGLASPLTPGPAAGRLDIPRSARKAKRSLQIDEAPLTEPPSAFSPVTPESKRRRRSQPSRASNGRVYYKKVVYDGGEFEVGDDVYVKRKEAPESADDDPEVEECRICFRTGRRVMIECDDCLGGFHLVCLEPPLRKIPEGDWICGFCQARKMGIDVELPKPPEGRKMRRTAKEKLLSSDLWAARIESLWREPDGTYWLKCRWYIIPEETAVGRQPHNLRRELYRTNDLGDIEIESVLRHCYVMSPNNYKEASNEGDDVFYCEYEYDIHWHNFKRLTDIDDAIENDKGVESDEDWKISKDPDTDEDSECEELARVNHSAQRRHESAANIRKGRTFGLQKIGIKRIPEHARCSKQTDLEKAKAMLLLATLPKSLPCRTKEMEEITAFIRGAISDDHCSGRCLYIHGVPGTGKTMSVLAAMRNLRSEVDARTVRPYTFVDINGLKLASPENIYKVIYEALSGYRVGWKTALHLLNERFSKGGGVSNNELRPCVLLIDELDLLLTRNQSVLYNILDWPTKPQSKLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYSYQQLQEIISSRLKGLDAFEEQAVEFASRKVAAMSGDARRALEICRRAAEIADYQFKQSPLCKQPSESVDGSFEGKRLVGMADIEAAIHELFQAPHIQIMKTASRLGKIFLVAMVYELYRSGLGETNFEKLATTVASLCTSNKEASPGWDTLLKVGCKLGESRIILCEEGTKHRLQKLQLNFPRLC >Ma06_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13846595:13849614:1 gene:Ma06_g19770 transcript:Ma06_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRREMARRGGLDDEYDYLFKVVLIGDSGVGKSNLLSRFTRNHFSIDSKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPKSFENVDRWLKELRDHADANIVVMLIGNKTDLKHLRAVASEDAQSFAEKEGLSFVETSALEATNVEKAFQIVLAEIYRIISKKSLASSTQPPTEGIKEGSTIVVSGSDTSSTQTRCCST >Ma04_p30660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31256770:31256874:1 gene:Ma04_g30660 transcript:Ma04_t30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVMVLVGEVVYLILPLRSHNSQLIYYSNKLTA >Ma10_p08680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22984869:22990034:-1 gene:Ma10_g08680 transcript:Ma10_t08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREGEWWEGDEREGKMRTICDVCESAPAVLFCAADEAALCRPCDEKVHMCNKLASRHVRVGLADPREVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPGHIEDLTTKAKDPVEHRRDHTMIMREKMKSHRLPADPSSDASNDRDGNIDSKMIDLNTRPVRNHGQSSTTQNMDPSRDNNHDSPGVGVVPTGHFQSDP >Ma10_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22984803:22990062:-1 gene:Ma10_g08680 transcript:Ma10_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGEWWEGDEREGKMRTICDVCESAPAVLFCAADEAALCRPCDEKVHMCNKLASRHVRVGLADPREVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPGHIEDLTTKAKDPVEHRRDHTMIMREKMKSHRLPADPSSDASNDRDGNIDSKMIDLNTRPVRNHGQSSTTQAQNMDPSRDNNHDSPGVGVVPTGHFQSDP >Ma06_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22281919:22283917:1 gene:Ma06_g23990 transcript:Ma06_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLEECPGLRHHGNQFLTKLFISGLLLCASFTLFYYFNEYSAVSRTLSAAVESGSSASVHVGEGKPQRDTSGKCDLFNGEWIPNPFGAAYTSSCRFIAHNQNCIRSGRSNTSYINWRWKPQDCDVPLFDPDKFLSAMRNKVWAFVGDSIFRNFAESFICLLSKAEEAVELSHDEDYESKVWRFPSYNFTVSLIWSPFVSKAEMYNYDVSEMHSVFLLHTDVPDAKWADQYSTFDYVVVGSGHWYLKNSTFFYLEKNTIVGCHYCGTRSFPEMGVDAAYRKMLGSVFRFVASSAHKPVVILRTWSPEHYENGEWNSRGTCNRATPYRRGEYDGKEVGRAMRRIELEEFERAALMGEGSARLKLFDIYQLMVLRPDAHPDRYWGGAQQVVKRKRQWPVHDCLHWCLPGAADAWSDLMMELVLNE >Ma05_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35689556:35690281:1 gene:Ma05_g23580 transcript:Ma05_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLSCQAADGFDGKVVLSDGTVHEFDHPTLVAELMLEHPHQFVVDVRSLSAGNSKAAPLPADYMLEPDKVYVMLPVARGKAARLSADEARRVLALASRLRLKQRSASAAPFLRVLGARTEAPAEEKGKKAAVEMKQEEDSRGEDVGAKMEGVEGWPSSERPEFLRQFSSKRWAPTLGTIVEKSMEKKVPHWLL >Ma02_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17598048:17601537:-1 gene:Ma02_g06680 transcript:Ma02_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKAKSGLSEASNGKTTSATPKVSKVPSRNGSTKSDSGSPSPAQKPSSPVPKVSPSVAKPSSPVPKSRSLLERSPKSAESKLPIKNSTTPEKLNRTSKGSELQAKLDAIDEDLKRAREQLASAEQEKTQTLEELNEAKRLASEMNEKLEAAIVAKKMTEEILEIEKFRADELEQAGIEGAQKRDAEHQKELESIRNQHALDASTLLSVTQELQKVKLELASANSVKNTALSEADDAKKISEVNGEKVEALSREVIHLKSLLDSNLDNMNTEAAEMIKKLNVEMNCLELELERAKTAEEKLPKMESLVEQLQMEVTDARKAESDACEQVEELKKDVASFESRLKEVNQSEKSATESLDITRKKMEEYATLLQNAETEIAALQGKIESMEIEVAKYKNDLKESDRKLDLTQEETVSLRKTVELLKSEVKKLEEEKLQVLDKDKIAASDIERLLEEKNKLVHELNTSRDEAEKVKKAMEGLASALHEMSTEARENQERLLAKQAEIEDTQVQIEQLNSAIKNTEERYEVMLDEARYEIVCLKKSVENFETEASNSSTEWDTKELHFINAIKKSEDELSSLKMEMAKFVDSLKLAEQEAQAAKADSVEMLSKLKQAESAATAAYEAAEEAKAETLRLKERLLDKENELQSITQENDDLRVRETTALQKIKDLSLLLEEATAKKTEEKIRLSKSEKEYDVLPNMPQEINSREWVLNYHSDDQKPNVENKKGNQNEEEEDPMDIVSKGLASEKDHEAESIDDDADSKLDSGSFDQINSMTESMDYGTTSPTKNQEQKKKKPFLHKFGTLLKKGSHKTHK >Ma00_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12034307:12035212:-1 gene:Ma00_g01730 transcript:Ma00_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVTSSHRDPADKHAEEAAGGKTPPQCSVISTYRAKIGGVPRIVTVVWSKNLMNHSLSVSIEKGSSLTCKVDLKPWPFRSKKGSKSFDVDGKQIDVSWNFRLAKFSDGPEPTEGYYVALVCDEEVVLLLGDRKKKAYKKTRSRPSLEEATLVSKKENVFGKKCFAARVRFDGRNKEHDIVVANSIAEHKDPEMWISIDGVILIHVNHLQWKFRGNETVWVEQVPVQVLWDVHDWLFRGPGSGHALFMFKPGLPALAAEEKHDGGDPVSSNTESSMVSSGGGGDDGSPEFCFFLYAWKME >Ma10_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24609499:24610215:1 gene:Ma10_g10920 transcript:Ma10_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVFTSFWIYKVYYVYGFMLLLFLILIIVTVCVTIVGTYFLLSAENYRWQWTSYFSAALTTGCVYLYSAYYCYIKIKISRFSQTSFYVGYTLMFCLGLGIICGTVGYLDSTLFMRKIC >Ma08_p10700.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7844718:7848573:-1 gene:Ma08_g10700 transcript:Ma08_t10700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGREGAAPVLGKYELGRTLGEGNFGKVKHARHLETGETFAVKILDRKRILSLKVDDQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEFVNGGELFDKILKGKLSEEEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDAKGDIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLSNRGYDGSRSDIWSCGVILYVILTGFLPFDDRNLAVLYQKISKGETEIPKWLSPGAQNILKRILDPNPIMRINMAGIKADDWFKQDYAPIVPNDDDDDKDVDSLYASLCIKEHNVPDEDRTSSSHINAFQLIGMSSSLDLSGFFENEDVSERKIRFTSNHAPKHLFNKIEDIATEMGLQAHRGPGKLKVTQKHNPKSPGSTGSLSVAAEVFELSPSLYVVELRKSQGDSSLYRKMCAKLSENLGDC >Ma08_p10700.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7844718:7851449:-1 gene:Ma08_g10700 transcript:Ma08_t10700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGREGAAPVLGKYELGRTLGEGNFGKVKHARHLETGETFAVKILDRKRILSLKVDDQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEFVNGGELFDKILKGKLSEEEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDAKGDIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLSNRGYDGSRSDIWSCGVILYVILTGFLPFDDRNLAVLYQKISKGETEIPKWLSPGAQNILKRILDPNPIMRINMAGIKADDWFKQDYAPIVPNDDDDDKDVDSLYASLCIKEHNVPDEDRTSSSHINAFQLIGMSSSLDLSGFFENEDVSERKIRFTSNHAPKHLFNKIEDIATEMGLQAHRGPGKLKVTQKHNPKSPGSTGSLSVAAEVFELSPSLYVVELRKSQGDSSLYRKMCAKLSENLGDC >Ma08_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7844718:7851443:-1 gene:Ma08_g10700 transcript:Ma08_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGREGAAPVLGKYELGRTLGEGNFGKVKHARHLETGETFAVKILDRKRILSLKVDDQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEFVNGGELFDKILKGKLSEEEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDAKGDIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLSNRGYDGSRSDIWSCGVILYVILTGFLPFDDRNLAVLYQKISKGETEIPKWLSPGAQNILKRILDPNPIMRINMAGIKADDWFKQDYAPIVPNDDDDDKDVDSLYASLCIKEHNVPDEDRTSSSHINAFQLIGMSSSLDLSGFFENEDVSERKIRFTSNHAPKHLFNKIEDIATEMGLQAHRGPGKLKVTQKHNPKSPGSTGSLSVAAEVFELSPSLYVVELRKSQGDSSLYRKMCAKLSENLGDC >Ma08_p10700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7844718:7848573:-1 gene:Ma08_g10700 transcript:Ma08_t10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGREGAAPVLGKYELGRTLGEGNFGKVKHARHLETGETFAVKILDRKRILSLKVDDQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEFVNGGELFDKILKGKLSEEEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDAKGDIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLSNRGYDGSRSDIWSCGVILYVILTGFLPFDDRNLAVLYQKISKGETEIPKWLSPGAQNILKRILDPNPIMRINMAGIKADDWFKQDYAPIVPNDDDDDKDVDSLYASLCIKEHNVPDEDRTSSSHINAFQLIGMSSSLDLSGFFENEDVSERKIRFTSNHAPKHLFNKIEDIATEMGLQAHRGPGKLKVTQKHNPKSPGSTGSLSVAAEVFELSPSLYVVELRKSQGDSSLYRKMCAKLSENLGDC >Ma09_p28950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39576571:39578913:-1 gene:Ma09_g28950 transcript:Ma09_t28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSPSCLLTGVFLFLSVLVSAFPSVVQSGITRHYKFDVQMANVTRLCSTKSIVTVNGQFPGPRIVAREGDRVAVKVINHVPYNVTIHWHGVRQLRSGWADGPAYVTQCPIPTGRSYVYNFTIVGQRGTLFWHAHISWLRATLYGPIIILPELGVPYPFTKPYREVPVIFGEWWKADTEAIIHQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRLINAALNNELFFSIANHTVTVVDVDGVYVKPFDAETLLISPGQTTDVLLHAKPYYPDAMFFMTARSYATGSGTFDNSTVAAVLEYQNPSRSSPAVFTKNLPLHKPTLPAFNDTSFAANFTGRLRSLATTRFPANVPQTVDRRFLFTVGLGTSPCPKNQTCQGPNGTKFSATVNNISFASPTTALLQAHFFGQSRNVYSPDFPVVPLMPFNYTGTPPNNTMVSNGTKLVVLPFNTSVELVMQDTSILGTESHPLHLHGYNFFVVGQGFGNYDPANDPAKFNLVDPAERNTVGVPAGGWVAIRFLADNPGVWFMHCHIEAHMSWGLKMAWLVLDGELPNQKLPPPPSDLPKC >Ma08_p33940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44091461:44092601:-1 gene:Ma08_g33940 transcript:Ma08_t33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVKAANGRDGCGGGGGGEWKGARYRGVRQRPWGRYAAEIRDPAKKCRVWLGTFDTAEEAARAYDTAARRFRGPKAKTNFPCPLDPLGSAPAAPAAVTIAAVHGAGAAACSSPSVSTVESSTPSRKPAALDLEFRHPPARFPFAHYLPAAARPLVFFDPIAASKAAAAAARPVGLPGLRDLRAPVCGGVESDSDSSSVVDCPGTPTGRIRFELDLNLPPPSEVA >Ma03_p32210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34121153:34122199:-1 gene:Ma03_g32210 transcript:Ma03_t32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSARFVDAALRATGERALSYTNHNLKRVIRKHLLALLDDFPTLSPRSDTFTDDDGTASHLLQAHGFLPLSSSIPHVLVTIWLHRCYPYHAPVVYVFPTNAHLLLPDHPFFGPSGAVASPYLHRWRYPSSNLSHLARNLVNIFGLCHPYHFDMTPPPAADASLASNREAIDRLYARLHRDAKQFQPRIEVEIERFGSAQLALRDRAKTIESGLRDLEGERLSLEKCLEEKAKDANVLSAWLQEHGGKPQSPGEMVALEAVDERERSMVESAAAAGAIDDAVCVLDEALAAGVLDFGPYIKQVRCLAREQFFHKALVRKIQQTSGDFLP >Ma00_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:837003:838775:-1 gene:Ma00_g00260 transcript:Ma00_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGENSDSPQVSAAPLQHTVNLKLVKLGYHYLISYSLYLLLLPALAVVSTRLASLTFGDLLLLRDSLRSNPATLVLCYALMVFLATLLFMKRPRPVYLVDFACYKPSCALKCTREFFVEHSARTGIFTDDNLAFQKKILERSGLGQSTYFPEAMFETPPKLCMAGARKEAEMVMFGAIDELLQKTGVKAKDIDILIVNCSLFNPTPSLSAMVVNHYKLRGNIQSYNLGGMGCSAGLISIDLAKQLLQVHRNSNALVVSTENITLNWYFGNNRSMLVPNCLFRAGCAAVLLTNRSAAHRRSKYQLIHTVRTHKGADESSYNCVFQHEDDEGKVGVALSKDLMKVAGDALKANITALGPLVLPLSEQLLFVFNLVARRALRMRIPSYIPDFKLAFEHFCVHAGGRAVLDEVEKSLELTDRHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRTINPAKEKNPWMEEIHEFPVRVPRVEAIRT >Ma11_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16676296:16677765:-1 gene:Ma11_g12770 transcript:Ma11_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSLSSRMPCTASLLTTMRSKQIAYSDWGILPPNHIKDPNAKKPEDWDGKEYIPDPVDKKPEPGYDDIPKEIPDPDAKKPEDWDEEEDGEWMAPTIPNPEYKGPWKPKKIKNLNYKGK >Ma06_p16470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11154343:11158129:1 gene:Ma06_g16470 transcript:Ma06_t16470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRIPAVEAEDERTPEILFNKHERKSSLQLHRSRSYKENRSFPSKIKNVDLVEKFVALNSEKLPRTVAKDRHKMKKNSVASPLRTSQKPWPGRTVTGIDEPVMNMSNVPFYLQRIEKGDDIHGKALNFGVLDWGRLERWTYHQKCVTDVGGGDSTSSSTESSSFSTFGFSNQSCITISSPLPRGKKSPVASEREDSGHIEGSPGSRISCTKFPGGSDKDHDGDFCVDVLSHKHVACNSKDSALEAMSCEEVLRVPRSTTAPSSYKIDDATATGMAEDPNGSLMKAEECQSKANHMPQLSQDLKGRWDHLPESIDSGWLSSDSPPPLTTDDWLAEGSGCSHSGTLADDVEIIHRYPQVPHSCPLPLAVPEDEHDMCCTLPSEIAVPTDKSICRNGDTELFSRGLCKHHPGANTAQESRMSEAKVTAVAGKKSSDHSSTVLKRMSRSSSSKEASCREQFDPVSCPYNSLGDQATFKNKHRQSPLRRMLDPILKPKNNAHSTGASAASWNGRHSCELSRMDMPSHGLRKPLNTGVDSACPAGRSMITSSQLPDERHAASMKQALLQVAWKNGLPLFMLSSCDSEVLAAAITMRSLDGSDDLECIYRIFSVNSAKKKSMFWSNYGNKDKRHQLISHVVAQLKVSLCKTRSYDNGRCRVAREFVLLGAHPSPTCDKSVDSSAMSELAAIVVRVVPPYMCKSDSSTESTNPAGNTSASSDEKCLQTDRLRVILPSGVHGSSTDGEPSPLIERWRSGGACDCGGWDEGCMLTILSDKFQEQHNNTSCSFQARQTADGTQRLELSIQGGSKERRHAAFSIVAFKQGLYTVESRSSISLLQALAICIAALHGRKPCNHPAEPKNLQKQTVNDQLGRSAAKAYVPNHPPLSPVWRA >Ma06_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11153940:11158129:1 gene:Ma06_g16470 transcript:Ma06_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRIPAVEAEDERTPEILFNKHERKSSLQLHRSRSYKENRSFPSKIKNVDLVEKFVALNSEKLPRTVAKDRHKMKKNSVASPLRTSQKPWPGRTVTGIDEPVMNMSNVPFYLQRIEKGDDIHGKALNFGVLDWGRLERWTYHQKCVTDVGGGDSTSSSTESSSFSTFGFSNQSCITISSPLPRGKKSPVASEREDSGHIEGSPGSRISCTKFPGGSDKDHDGDFCVDVLSHKHVACNSKDSALEAMSCEEVLRVPRSTTAPSSYKIDDATATGMAEDPNGSLMKAEECQSKANHMPQLSQDLKGRWDHLPESIDSGWLSSDSPPPLTTDDWLAEGSGCSHSGTLADDVEIIHRYPQVPHSCPLPLAVPEDEHDMCCTLPSEIAVPTDKSICRNGDTELFSRGLCKHHPGANTAQESRMSEAKVTAVAGKKSSDHSSTVLKRMSRSSSSKEASCREQFDPVSCPYNSLGDQATFKNKHRQSPLRRMLDPILKPKNNAHSTGASAASWNGRHSCELSRMDMPSHGLRKPLNTGVDSACPAGRSMITSSQLPDERHAASMKQALLQVAWKNGLPLFMLSSCDSEVLAAAITMRSLDGSDDLECIYRIFSVNSAKKKSMFWSNYGNKDKRHQLISHVVAQLKVSLCKTRSYDNGRCRVAREFVLLGAHPSPTCDKSVDSSAMSELAAIVVRVVPPYMCKSDSSTESTNPAGNTSASSDEKCLQTDRLRVILPSGVHGSSTDGEPSPLIERWRSGGACDCGGWDEGCMLTILSDKFQEQHNNTSCSFQARQTADGTQRLELSIQGGSKERRHAAFSIVAFKQGLYTVESRSSISLLQALAICIAALHGRKPCNHPAEPKNLQKQTVNDQLGRSAAKAYVPNHPPLSPVWRA >Ma06_p16470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11153940:11158084:1 gene:Ma06_g16470 transcript:Ma06_t16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRIPAVEAEDERTPEILFNKHERKSSLQLHRSRSYKENRSFPSKIKNVDLVEKFVALNSEKLPRTVAKDRHKMKKNSVASPLRTSQKPWPGRTVTGIDEPVMNMSNVPFYLQRIEKGDDIHGKALNFGVLDWGRLERWTYHQKCVTDVGGGDSTSSSTESSSFSTFGFSNQSCITISSPLPRGKKSPVASEREDSGHIEGSPGSRISCTKFPGGSDKDHDGDFCVDVLSHKHVACNSKDSALEAMSCEEVLRVPRSTTAPSSYKIDDATATGMAEDPNGSLMKAEECQSKANHMPQLSQDLKGRWDHLPESIDSGWLSSDSPPPLTTDDWLAEGSGCSHSEIAVPTDKSICRNGDTELFSRGLCKHHPGANTAQESRMSEAKVTAVAGKKSSDHSSTVLKRMSRSSSSKEASCREQFDPVSCPYNSLGDQATFKNKHRQSPLRRMLDPILKPKNNAHSTGASAASWNGRHSCELSRMDMPSHGLRKPLNTGVDSACPAGRSMITSSQLPDERHAASMKQALLQVAWKNGLPLFMLSSCDSEVLAAAITMRSLDGSDDLECIYRIFSVNSAKKKSMFWSNYGNKDKRHQLISHVVAQLKVSLCKTRSYDNGRCRVAREFVLLGAHPSPTCDKSVDSSAMSELAAIVVRVVPPYMCKSDSSTESTNPAGNTSASSDEKCLQTDRLRVILPSGVHGSSTDGEPSPLIERWRSGGACDCGGWDEGCMLTILSDKFQEQHNNTSCSFQARQTADGTQRLELSIQGGSKERRHAAFSIVAFKQGLYTVESRSSISLLQALAICIAALHGRKPCNHPAEPKNLQKQTVNDQLGRSAAKAYVPNHPPLSPVWRA >Ma04_p15550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11806689:11809733:-1 gene:Ma04_g15550 transcript:Ma04_t15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKWLSRLVAAVVFAVLCFFLATAQVASADRGLKLMNPNINTIARGEMKRALKRSQQEDGSSYSHVWPAMKFEWRIAVGSVIGFLGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTALSKCMIMGTACSTVYYNLRLRHPSLDAPLIDYRLVMLIQPTLMLGISIGVILNVIIPEWMVTLLLIILLLGTSVKAFMKGVETWRRETTLMKEAAKGLGSNSRSTQYEHIPLSPSNSDQEDEETAREPVVPVLQNVCWREFGLLVLVWMAFLVLQVTKNYTATCSTWYWFLNLLQIPIAGSATLYEAVSLYSGRRKISSGREEGTKWRVAQLFIYCSCGVVAGVAGGLLGLGGGFILGPLFLELGLPPQVSSATTTFAMAFSSSISVVEYYLLNRFPLPYAVYFSLVSAVAAFNGQVVVGRWIVAMGRVSLVIFILASMIFISALSLGGVGVSDMLKRIEKHEYMGFENLCGYQP >Ma02_p22630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27908870:27910694:1 gene:Ma02_g22630 transcript:Ma02_t22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTIEALELLLWTSKPKPPCLLRLSLDEREETQMSIPHLFRCPISLDLFTDPVTLSTGLTYDRPCIERWLADGNLTCPVTMQRLSDASLVPNHTLRHLIDQWLIAGAADFHCRTKPTRSVNDNELSLAAVKQKLQSPDIASAAKLQALDKVRAVAVESDIGQACLIQLGFFPLLLQLLLRAPWPADSELIEVALDCVLSFSPASPLDSLNMLTDSSNLDSLMLLLDQGSARIKISLCHLLEVIGTSGATQELSLIVGQSPRVLQALVFLAQNKPDGAASEAAVRAIAGLCSSEATRRNAIREGAVDGIVSYLSSSAGKTDARALAALELLLGHEEGKRAAVRNPNAIPVLVKMVFKVPSDHKGGEHAVGSLLTVCCDSTPATTQAVDAGVVMQLLLLLQSQCSSKAKAKARALLKLVKSMWAAHAGGGQCNNDK >Ma02_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27908870:27910694:1 gene:Ma02_g22630 transcript:Ma02_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPHLFRCPISLDLFTDPVTLSTGLTYDRPCIERWLADGNLTCPVTMQRLSDASLVPNHTLRHLIDQWLIAGAADFHCRTKPTRSVNDNELSLAAVKQKLQSPDIASAAKLQALDKVRAVAVESDIGQACLIQLGFFPLLLQLLLRAPWPADSELIEVALDCVLSFSPASPLDSLNMLTDSSNLDSLMLLLDQGSARIKISLCHLLEVIGTSGATQELSLIVGQSPRVLQALVFLAQNKPDGAASEAAVRAIAGLCSSEATRRNAIREGAVDGIVSYLSSSAGKTDARALAALELLLGHEEGKRAAVRNPNAIPVLVKMVFKVPSDHKGGEHAVGSLLTVCCDSTPATTQAVDAGVVMQLLLLLQSQCSSKAKAKARALLKLVKSMWAAHAGGGQCNNDK >Ma08_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4575966:4582476:-1 gene:Ma08_g06760 transcript:Ma08_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVAILLRSSLVRPVSRGLPTFSSLSNPPHPPLSQLELSPPLMTSPVLHRRFSSDPFLLSSRPFSSDSGPSNVVLLQDGDQVTAALEKAKDVKLPAIFYFTATWCGPCRAIAPVIEQLSQEFPHVTTYKIDIDQEGLGSILSNLHIYSVPTFHFFHDGQKATEVVGADVQRLKNTMENLYKQE >Ma04_p00030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:60923:61257:-1 gene:Ma04_g00030 transcript:Ma04_t00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIINNPICSMVQVIVKVRTYLGTTHAPGHCESEDVSWYSGKPSVFILKGKTACEMLIA >Ma03_p29000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32034237:32037329:-1 gene:Ma03_g29000 transcript:Ma03_t29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGSFQNGRIASKGLMMLVTLLLVSCCPGYGFGDEDHVEVEGISSSCMESERRALLAIKSDMYDPDNWFSSWTGKDCCGWRGVACDHTTGHVTKLDLRYPYTYELWDMFNDGETIGVSKVNPSLQELKQLKYLDLSMNNFSHAPVPKMIASLVHLEYLNLSYAMFNGPIPPQLGNLSNLHYLDLQRWYDDDFLHVDDLDWLSRIPSLKYLDMSYVNLSKATNWFYIINSIPTLEVLHLFFVDLPYVPSPLPPFNLTAIATLDLSWNSNITSAMLRWLSNATSLENLLLSGCGSLTIESLQVALGAFSNLKELDLSDNFFKGEIREILNNVSSRGLKHLDLSSNQLSGDIPPGSLRDLEYLDLSTNLIVDVHILASLGKLTNLRHLRLSGNSISGEIPPIVGDSVRLEYLDLSFNGIIGKIPQSIGNLTNLVHLDLSYNNQISGEIPSTIGGLQNLYLLCLRDNSIIGQIPDTIGRLHSLKYLDISNNNLSGLLPKTMGGLCNLTKIDLSQNNIGGELTNLFDGLSACTQWTSLLSLYMQRNHLNGTIPLSMGRISQLQDLYLSSNSLVGNITKAHFSNLTNLLGFTISSNSLNVILPNDWHPPFNVEFIGMSFCHLGAELPAWLQTQTQLTTLYLCGVGLSGNLPIWFSNFSRGLQSLNMSSNNLQGRLPFAPQLMLDLSNNSFVGPILPSFAKATSLSLLSLSHNHINGNLPPFFCHMQSLQVLDLYNNYLVGEIPNCYYSFPAYLQSLHLNNNNLYGTIPLFLKHCDQLITLDLGENKLHGRIPTWIGRKLSSLRVLRLRANFLYGTIPMNIVNLTSLQVLDLSSNNLTGSLPSSLGNFRAMVEIQNDITSVLHIITYYYEESILMTTKGSTIDYTTILSLVTCIDLSNNHLSGEIPKELTKLLGLRFLNLSNNHLTGRIPEKMGDMKTLESLDLSVNSLIGEIPSSFSAMHFLERLNLSYNNLSGKIPTSGQLSTFDSWTYVGNKGLCGMPLPDCPVYQTPPDARAKHEDDEKLDKLLKKAKA >Ma04_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4764761:4768194:1 gene:Ma04_g06470 transcript:Ma04_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQASAATESAAAAESGEPHPPDSDPSSAIPLPPSDGGAAAPLVGLPIVPLVRLMGAAAASLVVTWVVRFRGGLALNSDNRDLVFNVHPVLMVIGFILLYGEAILAYKTLSGTKNFKKAMHLTIQFLALCLGFIGVWAALKFHNGKGIDNFYSLHSWLGLTCLLLFGIQWGIGFATFWYPGGTRNGRAFLLPWHVFFGLYIYALAVITATTGLLEKATFLQSSSIVLRYSNEAFLINFLGILIVALGGFVVFAVITPGSVKPDAYIGIQE >Ma04_p06470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4764761:4768310:1 gene:Ma04_g06470 transcript:Ma04_t06470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQASAATESAAAAESGEPHPPDSDPSSAIPLPPSDGGAAAPLVGLPIVPLVRLMGAAAASLVVTWVVRFRGGLALNSDNRDLVFNVHPVLMVIGFILLYGEAILAYKTLSGTKNFKKAMHLTIQFLALCLGFIGVWAALKFHNGKGIDNFYSLHSWLGLTCLLLFGIQWGIGFATFWYPGGTRNGRAFLLPWHVFFGLYIYALAVITATTGLLEKATFLQSSSIS >Ma09_p27280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38306999:38308303:1 gene:Ma09_g27280 transcript:Ma09_t27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKVSTLIIEADIGCSCCYKKIQKLLCKLQVRERILSINYNEKDNKVTISGPFNPECLKKKLLCKLCKVAKDIKIKPDDPPPPPPKPTKEPKEPVKIICCFKPWPDCCFRPCPCFEPSKGCRRCCFCGWMCCAVAPFCAPEPKPSPPKETKLVCCGKPWPSCCYKPCPCFEQTHGCRRCCSCGWMCCVRGPVCVPPPNPCPSYCPPRACPSEHCCSKPSPPPNPCFHGYKFVYEEGPPPDPCTIM >Ma07_p20010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27923266:27924712:-1 gene:Ma07_g20010 transcript:Ma07_t20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGQAAVSFLTNVARAAFGLGVSATLLNASLYTVDGGQRAVLFDRFRGVLPETVGEGTHFLVPWLQKPFIFDIRTRPHTFTSNSGTKDLQMVNLTLRLLSRPDVARLPTIFTSLGTEYDEKVLPSIGNEVLKSVVAQFNADQLLTERPHVSALVRDALVRRARDFHIVLDDVAITHLSYGVEFSSAVEKKQVAQQEAERSKFLVARAEQERRAAVIRAEGESEAAKLISDATATAGTGLLELRRIEAAREIATTLSRSPNIVYLPGGNNMLLGINPTGMVQGR >Ma02_p03200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14525612:14526489:-1 gene:Ma02_g03200 transcript:Ma02_t03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSAAAKKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGEVTIASGGVMPNIHNLLLPKKAGSGSSKAAPGEDD >Ma06_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7061876:7067342:1 gene:Ma06_g10200 transcript:Ma06_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGRPVDFNEDDFEEYNPHPYLGGYDIALTYGDPLPPSSATCYPISSSASPPSEQTTPPPPHATTPTFDHGLPENYQPEPFPSPDLFRSWPYSTWEDRQIYHGDAEAGGGWNSWRRALDYLFGHAQGYGERRVGVDSYGIPIYANKKLGGAESVVVEVEPAPVQRLECYHPDSRASCYGETTEERHGYENPVLAYNKHYSEGALPVAIDPNESVWHRKLNHHEAYQDQAFDERDHVTAFFGGAGVAYDRHHYEQPLHLQVEPIETARSRRSSCSEEVYQESTCSKSDWNSMSYYDDRDNESSFFASSSFAYDRYSHKPSDHVELEPFKPTWPQNMGYYEVYGNEESPKLNWNSMLFGDEGDKTTISEAPSYSIQSQYYEQVQSAQLEPYKATWSQWPSYYEAHNEHGSDWHLDRFLNFSE >Ma09_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1600964:1607355:-1 gene:Ma09_g02240 transcript:Ma09_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) UniProtKB/Swiss-Prot;Acc:Q8VZR6] MTIQSFPGSSGIIDASPKRDISYFSNFYVLGLTLTAGIGGFLFGYDTGVISGALLYIRDDFAAVAENNVLQETIVSMAIAGAIIGAAGGGWVNDAYGRKKATLLADIIFAIGSVVMCAAPDPYVLIFGRLLVGLGIGIASVTAPVYIAEASPSEIRGGLVGMNVLMITGGQFLSYLVNLAFTEVPGTWRWMLGVAALPAIIQFFLMLFLPESPRWLYLKNEKPQAIAVLAKIYGSDRLEEEIDILAVASEEAFRSKNNVRYLDVFKSKEMRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNQLALLLSLIVAAMNAAGTIVGIFLIDRCGRRRLTLSSLSGVIISLLILSGAFFLQSSELNSGLCEVQTLHGTCGTSLGWIAVLGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGVCGGMSATVNWVSNLIVSQTFLSLVTVVGTGGTFLIIAGVAVVAFIFVALFVPETKGLSFEEVERLWKERAWGGEDVRRGLLV >Ma04_p26980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28265027:28267347:-1 gene:Ma04_g26980 transcript:Ma04_t26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEIGFGSSSFRSINASPFASIRWRGSEERLMLDPGTPATLSRNVFHPTLLAQHQPC >Ma05_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2949777:2950482:-1 gene:Ma05_g03940 transcript:Ma05_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLFTYSMQKGSILAPSTSFRGLRPLKPTDSSQFPQTRAISIKPVRPVRKPGGIRAELNPSLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGVTHFEAGDTQAKEYVGLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATSSNGYDPKFFG >Ma03_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22336332:22337126:1 gene:Ma03_g17050 transcript:Ma03_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIINSLPTFLLIVPLLLSHVDGRSLSAVSGDAAEFLVPHNELRKSIGVPPLQWSTQLADVALQYANQRKRDCALVHSTLSYGENIFWGQGKSWTIRDVVAAWAAEQRFYDYRTNTCSSNADCYHYTQMVWRTTQRVGCARIFCDSGDTYGVCEYDPHGNIIGRRPY >Ma04_p30220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30945683:30947635:-1 gene:Ma04_g30220 transcript:Ma04_t30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAGRMQFPKGAVLASRFAITITSRLAHHHGGRRRGSAAPILWWKLQDAVRLVKTPEQAGDRGKSHQEKECEPEGAFSARKLAAALWHLQQVAGVKGSGRRGRGGRLGFKLESWGKFHNFALERATKWDIGCLISVRETCHNHGHQKLVNTRPNTASVTSSLWEELEQAHLYLDELQNERQSAKQKLCCFMRKPWEEKASWQISEQEKVRDIIAAIKDDLNRERRSRKRMEIMNSKLVSELAEAKLSAKQYLQNYEKERKERELIQEVCNKLAKEIRDNKAEVESLKRESTKLREELDEERNTLQMAEVWREERAQMKLVDTKLMLEERHSELIKLQAGIDAFLRGHGSTRANTSVQKADVLREAGFG >Ma01_p15670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11331539:11351461:1 gene:Ma01_g15670 transcript:Ma01_t15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP155 [Source:Projected from Arabidopsis thaliana (AT1G14850) UniProtKB/TrEMBL;Acc:A0A178WJ50] MAWEDEIVGPDVASAGLHISERIGKDVAAQLDLDEALEASRYASHPYSSFPKEWPPLVEVVETRELPSLLIERYNASGGEGTALCGIFSEIRRAWASVDNSLFLWRFDKWDGQCPEYSADEQAICAVGLAKSKSGIFVEAIQYLLVLATPVELILVGVCCTVSGDGSDPYAEISLQPLPEYRIPSDGVTMTCITCTDKGRIFLAGRDGHVYEMQYSTGSGWHKRCRKVCLTTGLGSLLSRWIVPNAFKFGAVDPILDMVVDNERHIIYARTEAMKVQVFDLGENGDGLLTKISEEKNLIDPREAQYGGRRSVGSRAVARGAKPTIVCIAPLSTIESKRLHLVAVLSDGRRLYLSTSSGGTNSSIGGSFQRPSCLKVIATRPSPPLGVGGGLNYGTMSASGRVQPEDLTLKVEAAFHSAGSLVLSDSSASAMSSLLIVNQDSSVQPSLSGNFGMTTRSTRALRELVSSLPIEGRMLTAADVLPLPDTVVTVQSLFSDADAFAGLGEASEKPSGKHWARGDLPTQHILPRRRVVVFSTMGLMEVAFNRPVDILRKLIESNASRSQIEDLFNRFGIGEAAAMCLMLAAKLVYDAGNLSNTVSEKAAEAFEDPRLVGMPQIDGSTGLTSARSPSGGFSMGQVVQEAEPVFSGAYEGLCLCSSRLLFPIWELPVMVVHGNIGRFEEGVILCRLSVDTMKILESKIRSLEQFLRSRRNKRRGLYGYVAGLGDHYGSILYGTGNQGTGRNLFGRQTRNADAGDGGAGSKRQRLVYTSAELAAMEVRAMECLRRLLRRSSEALFLLQLICHHNVTRLVQGLDNSLRQKFIQLTFNQLVCSEEGDLLAIRLITGLVEYYIGPDGKGTVDEISEKLREGCPSYYNESDYKYFLAVECLEKASVTVNAHEREILSRDAFNLLTKVPESADLSTICKRFEDLRFYEAVVRLPLQKAQALDAQGDAVNVNIDPGQRDNTLALREQCYEIIMDALRSLKGVGQNGKPREFGTSRLSTVPSLDQASRDNYIQQIIQLSVQWPDASFHEHLYRTLIDIGLENKLLEYGGSDLVPFLQTAGRKPLQEVHAVNVVNSTALQGSDMRAPIVSGQAKYLDLLARYHVLKRQHLLAAHVLYRLAERPCTDTEEAPTLHQRLQYLSNAIIQAKSASSTTGSISLSRNTVDDSLLDMLEAKLVVLQFQIKIKEQLELIASSLENMPGGNESLPNDFSPRSNLVVEDEIARSAQEKAKELSLELKSITQLYNDYAVPFKLWEVCLEMLNFANYSGDADSKIIRETWARLLDQALSRGGVAEACSVVKRVGSNLCPGDGACFPLETICLHLEKAALERLTSGIELVGDEDVARALFAACKGSAEPVLCSYDQLLNGAILLSSNLKLRLLRSVLVVLREWAMSVLAHKLGTTAVGASFILGGASSREQTAAIGQGVWDKISSLANRYMTEVRRLSLPQNQIEPVYRGFRELEEQIQASTAFQPL >Ma03_p11050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8332374:8335065:-1 gene:Ma03_g11050 transcript:Ma03_t11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMTASTHGHHLPPFRARDFHHLHHSPQVPQHFQTQQQQQPKIEEEHSSLHRGNMDDSSSNNNNNFIGSNSSNSADGKEQAPMTSPGSGGAEGEINRKPRGRPPGSKNKPKPPIIITRNSANALLSHVMEIAGGCDICESVATFARRRQRGVCILGGTGTVINVTLRQSASPGAVVTLRGRFEILSISGSFLPPPAPPAATGMTIYLSGGQGQVVGGSVVGPLIALGPIIIMAASFGSAAYERLPLPEEEGLQAQGPLGSPDFVGQSPQAQQQLLPDPNNPLFHNLSPSLLNNMQSPADAYGWGAGGVRPAY >Ma05_p12300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8914793:8915563:-1 gene:Ma05_g12300 transcript:Ma05_t12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 6 [Source:Projected from Arabidopsis thaliana (AT4G17490) UniProtKB/Swiss-Prot;Acc:Q8VZ91] MATSNGRGRDPTIDLIRDHLLADLPPTPPSSVLPPPIPFARPSLTVALPLARPLDWADVPPTANRGDGRRYRGVRRRPWGKFAAEIRDPNRRGSRVWLGTFDTAVDAARAYDRAAYRIRGRKAILNFPNEVGCSGRWATAPPAPPEAGKRKREAAVPARGIKKESSLESEVVEPGCVPLSPSSWSSVWDGEEADTEGLFNVPPLSPLSPHPPLGFAQLLVI >Ma01_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5984592:5994082:1 gene:Ma01_g08360 transcript:Ma01_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFPFSPAEVAKVRTVQFGILSPDEIRQMSVVQIEHGETMERGKPKPAGLSDPRLGTIDRKMKCETCMANMAECPGHFGHLELAKPMFHIGFLKTVLAIMRCVCFNCSKILADEEDTRFKQALKIRNPKNRLKRIYDACKSKKKCSGGDDIDVQGQQDSEEPMKKSRGGCGAQQPNITIDGMKMVAEYKAPKKKSDEQEQLPEPAERKQILSAERVLSVLKRISDEDCLLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIISEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYLKKSSDHHLELGYKVERHLCDGDFVLFNRQPSLHKMSIMGHKIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVIELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLIRFSAWHSESETGFITPGDTLVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADAATMEKINETISKAKNDVKDLIKLAQEKQLEAEPGRTMMDSFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIEYQKFDSLKMKKGEFDSVFRYEIDDDNWNPSYLQPEHVEDLKTIREFRNVFDAEVQKLEADRYQLGTEIATTGDISIPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDFLSMEAQKNATLLFNILLRSSFASKRVMKEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPGVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPMSTIIEEDVEFVKSYYEMPDEDIAPEKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLTCIFNDDNADKLILRIRIMNDDAPKGELQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKSGKINRFDENEGFKPDVEWMLDTEGVNLLAVMCHEDIDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAEGDHLRGVTENIMLGQLAPIGTGDCALYLNDQMLQQAIELQLPSYMDGGFGGPGGFDFGMTPSRSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPSSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPASAKYSPSLAYSPSSPRLSPSSPYGQSSPNYSPFNSRSGPDYSPSSPQYSPSAGYSPTSPGYSPSSTSQYTPQMSNEDEESTR >Ma08_p34010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44130733:44131090:1 gene:Ma08_g34010 transcript:Ma08_t34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVMKLASQRAVVVFSRSNCCFCYSVKSLFHELGVSAAVHELDEDPSGPEMEKALARLLGRKPPVPAVFIGGQLVGSTEKIMTLHLGGDLVPLLRDAGALWL >Ma08_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33378841:33379909:-1 gene:Ma08_g19600 transcript:Ma08_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTRSGGERKQQQQHYRGVRKRPWGRYAAEIRDPWRKARVWLGTFDTPVEAALAYDRAARSLRGIKAKTNFPHQFAPAPPPPPNPPPSFAAALDLNHPSLHLWLGPTPTPPSPSPPSPPPSTKLALGGFVPREPPAAATSFYAVSAGGLPLDLNEPPPQLAPALSPLFN >Ma08_p06200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4194459:4206374:-1 gene:Ma08_g06200 transcript:Ma08_t06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAEELLKKIQELEAVHARLKHEMSKMMHVDGGGGRVSDRGGGRLSDRGRSRSVSVLPERTTVLVQRRNSGGLEEASPAWDKGSSSSGHSSSLQREIRGTSGSSDGPAGMLLSERQYLNILQSMGQSVHIFDLEGRIIYWNRSAESLYGYSSSEALGRDAIELLVDVCDFNIASNMVHRTTMGESWTGKFPVKNKSGERFLAIATNTPFYDDDDSLVGIICVSSDSRSFQDVGSPPISIKPRASVCSNASRPRINLINKLGSDQQPFQVSIASKITNLASKVTCKVRSRIRAGETNLEREIGTRYGQSSDHDALSSDHKEDGTSSGVSTPRTEVSAVVEEKSPGKITKANNDEDEGKTGIHKIISKTEALFAKKGISWPWKGHEQDGNKEASGSCSSHNASLSSVSSCGSTGSSTVQKVDMDTDCLDYEILWEDLKIGEHIGQGSCGTVYHAQWYGSDVAVKLFSMQELSDEVMLSFRQEVSLMKKLRHPNILLFMGAVTSPHRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVLMALDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKYETFLTTKTGRGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTEEVPWCNLNSMQVIAAVGFLNQRLDLPKDLDPQWAFIIESCWRSEPKHRPSFHELIESFKDLQRQYITQSQIQRPAPPSDTMQSAAKVRSKDRNKPE >Ma08_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4194459:4206374:-1 gene:Ma08_g06200 transcript:Ma08_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAEELLKKIQELEAVHARLKHEMSKMMHVDGGGGRVSDRGGGRLSDRGRSRSVSVLPERTTVLVQRRNSGGLEEASPAWDKGSSSSGHSSSLQREIRGTSGSSDGPAGMLLSERQYLNILQSMGQSVHIFDLEGRIIYWNRSAESLYGYSSSEALGRDAIELLVDVCDFNIASNMVHRTTMGESWTGKFPVKNKSGERFLAIATNTPFYDDDDSLVGIICVSSDSRSFQDVGSPPISIKPRASVCSNASRPRINLINKLGSDQQPFQVSIASKITNLASKVTCKVRSRIRAGETNLEREIGTRYGQSSDHDALSSDHKEDGTSSGVSTPRTEVSAVVEEKSPGKITKANNDEDEGKTGIHKIISKTEALFAKKGISWPWKGHEQDGNDAKNLFVWPWLHDNQENDYNLQKPSESGTRTENQLTEINLTGNKEASGSCSSHNASLSSVSSCGSTGSSTVQKVDMDTDCLDYEILWEDLKIGEHIGQGSCGTVYHAQWYGSDVAVKLFSMQELSDEVMLSFRQEVSLMKKLRHPNILLFMGAVTSPHRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVLMALDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKYETFLTTKTGRGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTEEVPWCNLNSMQVIAAVGFLNQRLDLPKDLDPQWAFIIESCWRSEPKHRPSFHELIESFKDLQRQYITQSQIQRPAPPSDTMQSAAKVRSKDRNKPE >Ma05_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33060534:33061614:1 gene:Ma05_g21400 transcript:Ma05_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRESDATSLTSWSATQSPLHRPVYYVTSPVHSHHDAIRISFSHSSPGASPVHPYYDPYHHQRYSSSPIHHYRESNARLSSSWRKLPTHHHGPSESDDDNGRGSMGCYVTWFVLAFVLLFTLFSLILWGASLSYKPQVIVKDVVFRNYDLHAGTDITGVPTNMISINSTVRIAFRNPATFFGVQASSTPLEIHYSEFKVASGHMEEFYLSRESRRVVVVAVAGVHVPLYGGGSSLRSRADDGGAPAVVPLELSFTVRARAHVLGHLVKVKFYHRVRCSVTLREDRLGKPLTLAKACEYRDG >Ma02_p23810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28668923:28680540:-1 gene:Ma02_g23810 transcript:Ma02_t23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLLEGVAQTGMVELGDVQLASYLAETMFTKQPYFRKGLPALVAFPPNCSNANCYVRYDGQFSVDAIVDWMATDIIGLPRILYHSKESLAKFIVTSGRHKVKVICFSKTGERAAPFIRKAAKDYQAEASFAFVLWKEPEFSLWWNMFGVESAPAFVFLKDTYSKPFVYHGALESSQFQKIMEDYKQNELPQLRSVTSMELGCDARGFSRAGNDTLTWYCLVLVGRAGRTMAQMRETMYRVRDMLMTGDDSDYARKVNASVPMVAATAVKENRLTFTWLDGDVQLKYCQFFLDSEFYKSCGPRRYENDIDVPQIFIVRYLRNSSEDNVEADKWKHLRDQYMGKDANAASQLIARYTGSDDVKEIIQWISHIIERGDTREYPYFTFTSPELVAEDSVTIWSKSQGILPSRESIKRKLKKLYFYISDLVTDPRIGPSFLLGACLSFATIWLQKNRTIQSATPGDDTTDSARRRSNRKGRARSSTHGEPSSITDEEPKDAHQLLSSGSDSE >Ma02_p23810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28668923:28679356:-1 gene:Ma02_g23810 transcript:Ma02_t23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLLEGVAQTGMVELGDVQLASYLAETMFTKQPYFRKGLPALVAFPPNCSNANCYVRYDGQFSVDAIVDWMATDIIGLPRILYHSKESLAKFIVTSGRHKVKVICFSKTGERAAPFIRKAAKDYQAEASFAFVLWKEPEFSLWWNMFGVESAPAFVFLKDTYSKPFVYHELPQLRSVTSMELGCDARGFSRAGNDTLTWYCLVLVGRAGRTMAQMRETMYRVRDMLMTGDDSDYARKVNASVPMVAATAVKENRLTFTWLDGDVQLKYCQFFLDSEFYKSCGPRRYENDIDVPQIFIVRYLRNSSEDNVEADKWKHLRDQYMGKDANAASQLIARYTGSDDVKEIIQWISHIIERGDTREYPYFTFTSPELVAEDSVTIWSKSQGILPSRESIKRKLKKLYFYISDLVTDPRIGPSFLLGACLSFATIWLQKNRTIQSATPGDDTTDSARRRSNRKGRARSSTHGEPSSITDEEPKDAHQLLSSGSDSE >Ma05_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34182378:34187213:1 gene:Ma05_g22460 transcript:Ma05_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHAGLIHLLANMLRLVFIGIHLEQQFGFIWIGIIYLLFGFGGSILSSLFIRNSISVGASGAMFGLLGAMLSELITNWTIYTNKASSLFTLLVIIMFNLAVGILPHVDNYSHIGGFLTGFLLGFVLLIQPQFGWLEGHNLPPTDRVKSKYMAYQYILWVMSLILLGIGLIVGLVMPFRGVNGNDHCHWCHYLSCLPTSRWSCRN >Ma03_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25851704:25854414:1 gene:Ma03_g20740 transcript:Ma03_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGAGWSLWNPSKSGFFLLVVCTWQGNRGYQLEGPKKMNNPAMQPLLWEQKCKRHLQASLVRSRMKT >Ma07_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31973636:31975215:1 gene:Ma07_g24700 transcript:Ma07_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKWIATFRRPKQILKSADFSRWSMWWESANILDSMENLF >Ma05_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6591536:6596353:-1 gene:Ma05_g08950 transcript:Ma05_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICKKYERYDVEKLNADNVHGNDAFARLYASVESDIESALQKAEMAAGEKNRAAAVALNAEVRRTKARLLEEIPKLQRLAVKNVKGLSKEELATRSDLVLALPGRIQSIPDGSTNGSKQTESWTASRTEIRFDSTSDGRFESEYFQQTEESSQFRQEYEMRKMKQDQGLNIISEGLETLKNMAHDMNEELDRQVPLMDEIDTKVDKATSDLKNTNVRLKQTVNQLRSSRNFCIDIILLCVILGIAAYLYNVLKK >Ma05_p11520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8362140:8362297:-1 gene:Ma05_g11520 transcript:Ma05_t11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHGNSQHSQWDKISTVMHGSVRPNND >Ma05_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39577:45692:-1 gene:Ma05_g00070 transcript:Ma05_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLTHAPPPDPPPTSTSAGGGGPVEEEEVTTKKRCQYWLPNKRRLCANTPLPFSRFCGNHETTAEARRIPCPIDPSHSVSGENLKSHVKRCPFKKQAQVLESQPYYSKGINSGSSGDGKADAVGSAAKRNAIFRMSVQDFHGLLGKIKLIHSSISMVLPHSYLVPDACSKWLNQRLDRKLPYQEKHAMQQASIIGNIEAFGMLQKPKDLTNPFCQECDGSDDVDGDENRVSAVVEFGAGRGYLTHMLTDCYGIKKAFLVERKSYKLKADRSLRQNQSISLERLRIDIADLNLDEVHFLKGLGHLAIGKHLCGPATDLTIRCCLNREYNQSKETHSTSSHLKGIALATCCHHLCQWKHYTNTKFLRSLGITVEEFHAMTWFTSWAVDADHSSELSDVSPQETNLSTICSEDKQSNLEDSDVEQIIRGMPVLDRAHLGFICKEIIDIGRLLSLRDQGMDAQLVKYVPSNISPENHLLLAKSRFEFPTVIMESSLAALNLKSS >Ma05_p00070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39577:45692:-1 gene:Ma05_g00070 transcript:Ma05_t00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLTHAPPPDPPPTSTSAGGGGPVEEEEVTTKKRCQYWLPNKRRLCANTPLPFSRFCGNHETTAEARRIPCPIDPSHSVSGENLKSHVKRCPFKKQAQVLESQPYYSKGINSGSSGDGKADAVGSAAKRNAIFRMSVQDFHGLLGKIKLIHSSISMVLPHSYLVPDACSKWLNQRLDRKLPYQEKHAMQQASIIGNIEAFGMLQKPKDLTNPFCQECDGSDDVDGDENRVSAVVEFGAGRGYLTHMLTDCYGIKKAFLVERKSYKLKADRSLRQNQSISLERLRIDIADLNLDEVHFLKGLGHLAIGKHLCGPATDTKFLRSLGITVEEFHAMTWFTSWAVDADHSSELSDVSPQETNLSTICSEDKQSNLEDSDVEQIIRGMPVLDRAHLGFICKEIIDIGRLLSLRDQGMDAQLVKYVPSNISPENHLLLAKSRFEFPTVIMESSLAALNLKSS >Ma11_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27166337:27168784:1 gene:Ma11_g24020 transcript:Ma11_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSLAASCPGRSNGGGEGSTGIIDLRPPGSSPAVDLTDRVHLLPCSVKHDGPCPVSHYFKPKQTDVVVDDLCLKEAFFRGRKLQGVTVPLPPGYRGYVLDKSSESGKRSETSEGGFDRWLSRAEFGNLTYWNHDSLPSPDDPLIRCFHWFSVSNALHKPVTQAELDSAMSVQGRND >Ma04_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11192500:11195279:1 gene:Ma04_g14730 transcript:Ma04_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAWESPSSTNSELSSESIAKEKEDKRKPLKGNVGQMHDDIPLFYSDMMPLLVNEESSVGEDAFVWLASSIPLVADVVNARFTFETLTAPTASRLHFPAYDTFLKKMDKCIKYLEKQEAPTGIEFSEDEFIWHVEGPARTQRVVRHIGTTSWPGRLTLTNQSLYFEASGVMSYETALKVDLSRADIVQQVKAASTGPWGVPLFDKAITYESTELSEPLVLELPEMTSSTRRDHWLTLIKEVILLHRFISKFDIKAPVQAWELHARTILGILRLHATRELLRISPPAPANLLIFSLY >Ma05_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6656519:6657737:1 gene:Ma05_g09090 transcript:Ma05_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNNWDLHAVVRGCSAGAVAPVNDPYFSSLYLSNEDDVDGEMEPLLGFPELMGTSSYLCELQQLCQPFYAMEPDDEPLQQQQLPPHLSPAASLLPAVAYPGQSQQQSSQFPRTVSQPSRSKRRKNQQKKVVCQVPADGVQSDLWAWRKYGQKPIKGSPYPRCNFWTKVLIFVVVENRGYYRCSSSKGCQARKQVEQSSADPGMLLITYTAEHNHPVPTHRSSLAGSTRQKLPQPAAKGGDRDQLPSPSHPSSSSPLPSPIAAAGLSPKTPLTGDERKGEEGEEEDEELPTMGNVDMLEEDSALFLGMEVLVQPSTTGATETPATATLSSGYFDEGSSFEDRFFRSPWLAITDAAI >Ma05_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8732015:8735645:1 gene:Ma05_g12010 transcript:Ma05_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTHKPTSQRIRSFRIALVTGANKGIGLETCRQLLSKGATVILTARDEQRGLAAVRNLQASGASDVLFHQLDVADSASVSSLAGFIHDQFGKLDVLVNNAAVHGVGLDPQILGSSVQVPNNLTDLRNAIIETYDMAEECLNINYYGTKRVTEALLPLLQSSQSPRIVNLSSLYGKLRYIPDSKIKEEMRNVDVLSEDRLDELLQSFLNDFKGGKLQENGWPTRTSAYMVSKVAVSAYTRILAKKYPKYCINCVNPGFVKTDINYNFGELPVEVGAQGPVFLAMLPDGSPSGHFYDLEEMSSFE >Ma06_p31040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32248258:32249113:-1 gene:Ma06_g31040 transcript:Ma06_t31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFHSPCLPTQSRSNPHHRPRLTASRIRESLTTRFTKCVCSLLLTLLLIVGIVVFVLWLNLRPHRPHLHIAEFAAPGLADPAGLSDSVISFNITDRNPNQKIGIYYDAMVGSVYYRDRLVGSGQVMFPFYQPPKNTTAITGQMAGARIAAGGTLAAQLAGDVARGRIALRFELGSTIRFKVKAWDTHQHHLHVECDVVVGSDGGALPESKNKRCPIYFI >Ma10_p18700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29536757:29536921:1 gene:Ma10_g18700 transcript:Ma10_t18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVPGAIPCPGTGRATPTSTASPSPSRLLPVIDTLRAPASWSFGQTFSGGQSH >Ma05_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3891063:3893380:1 gene:Ma05_g05090 transcript:Ma05_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDPPVRTSHSSTANLLTWPEKPPAERPEAPASRRSLKPADRISPAMFGAPVTEEEEAEILNRRKPCSDLKLQEMNGSGIFTADEQNGASETEGAIPQQAVGGISQISFGTEGIEPPRKPTSIAEIAKQRELSGTAESELEAKVRKQLSEAKCRELGGNDIFGPPPEAPPRHSTARNLELRSNIGFGSPQPRGLPVAFDEEKVSSTAKKIYSRKIQELAGNDIFRGDSLHWSAEKQLSTAKLKEMSGSNIFANGNGKTVTRDYLGGVRKPPGGGSSFAFA >Ma02_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26772758:26776490:-1 gene:Ma02_g21010 transcript:Ma02_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g46790, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46790) UniProtKB/Swiss-Prot;Acc:Q9STF3] MLASHALLQQLSLPPRPRPFQFSSSYSPPLLSLRALSCFKPLARPFTASAGAGSDYNRLIQSLCTQGHLAQAMQLLPHERNPSQRTYEALILACGRHNAPSHAAAVHRHLIDHGLDQDPFLSTKLIDMYSHLDRLNNARYVFDRTREKTIFVWNAFLKALALANQAEEAVSLFREMGVPMDSFTYSYVLKACIASSSHPSAAPHRVKQIHAHVCRHGFASRVYVVTTLIDCYAKLGSVTYSERVFNGMIERNVVSWSAMISCYAKNERPFDALELFKEMMVTEPETVPNAVTMVNALQACAGLAALGQGKVFHAYILRNALDTVLSVVNALIAMYSKCGSFEMARRTFDRMSDRRDVVTWNSIISAYGIHGFGEKAIQVFHDMISAGVSPSPITFVSVLGACSHAGLIDEGKSFFESMSREHGILPRSEHYACMVDLLGRAGQLDEAVKIIEGMRIEPGPTVWGSLLGACRVHCNVELAERACVRLFELEPVNAGNYVLLADIYAEAKMWEEVTRVKKLLETKELQKVPGCSWIEVKKKMYSFVSVDEMNPQIEQLHALLVQLVNEMKNNGYVPNTKIVLYDLELAEKERILLGHSEKLAVAFGLINSGNGEVIRITKNLRLCEDCHSVTKFISKFSKREILVRDVNRFHHFKDGVCSCSDYW >Ma11_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22119483:22126927:-1 gene:Ma11_g16680 transcript:Ma11_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYIFSKTQFHVLILGVDKAGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRIEASNAKLVFWDLGGQIGLRTIWEKYYEEAHAIVYVIDAACPSSFEDSKSALEKVLRHEDLRGAPLLILANKQDHPGAVSAEELARYLDLKELNERLYMFEAVSAYNGTGIKFAINWLVDVMERSKRTEMLRVRAGASGQI >Ma09_p18190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:16372901:16373320:1 gene:Ma09_g18190 transcript:Ma09_t18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKNVLQLTPEEDEEAFLYALNLAGGSVLPMTLMVAVELKLLEAIVSAGPGAVLSAAEIAAQLPTENPQTAAMVDRILRLLAAFRVVSCTVEAGDGGRSLRKYGAAPVCKYLTKNEDGVSITPLGLMVHDKVFMDCW >Ma06_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2406295:2411714:-1 gene:Ma06_g03250 transcript:Ma06_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFVFTAGSRSATRRHVDYGRTYVAWPKGRHRATVVWLHGLGDNGASWYQLLETLPLPNIKWICPTAPTRPVSLFGGFPCAAWFDIADPSQDGPDDADGLEASAAHIANLLSSEPADVKLGIGGFSMGAATALYSASCFAHGRYGNGGRYPINLSAVVGLSGWLPCSRSLKTKVESSQEAARRAASLPLLLCHGTGDGVVPYKQGERSAETLRMSGFRNLRFEAYNGLEHYTIPEEMDAVCKWITARLQLDGSRA >Ma08_p14270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11380459:11402157:-1 gene:Ma08_g14270 transcript:Ma08_t14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFLFDGASSDEEAGVEERAAQSPWEFASYSESVAEEHARRNTTSIDAKITKALQERAVSLPEDGDGEEAEEEPELSDEILFGDELGKQVNHKSRKADGGNSGARAHSFFASHEGASFHANSFLELNLSRPLVRACEALGYQKPTPIQAACIPLALTGRDICGSAMTGSGKTAAFTLPVLERLLFRPKRILAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLVVGGLSTKVQEAALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEVDKLVKLSLNKPVRLEADPSSKRPATLTEEFVRIRRAREINQEAVLLSLCSKTFTQKVIIFSGTKQAAHRLKIIFGLSGMKAAELHGNLTQAQRLDALEQFRKQEVDFLIATDVAARGLDIVGVQTVINFACPRDYKSYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKNRIVAERPVAEWSKLVEQMEDQISIVLQEEREERALRKAEMEATKAENMIMHKEEIFSRPKRTWFATEKEKKALAKAAKASLGKDQNGLNEVMSLQEAEDLKLKEKRRREREKNLPRKKRRKLEAAREMLENEDNDEDQETEGGNKKKTRQSLVDLAYKRAKSVKAMKKMHEAGRNLKKTIKYKNKPVQKDQTRKEEMLELFQNDMSDKKQARIGKKSSNVSGQRKSKSSFKSKSRYKRKK >Ma08_p14270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11382439:11402157:-1 gene:Ma08_g14270 transcript:Ma08_t14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEFLFDGASSDEEAGVEERAAQSPWEFASYSESVAEEHARRNTTSIDAKITKALQERAVSLPEDGDGEEAEEEPELSDEILFGDELGKQVNHKSRKADGGNSGARAHSFFASHEGASFHANSFLELNLSRPLVRACEALGYQKPTPIQAACIPLALTGRDICGSAMTGSGKTAAFTLPVLERLLFRPKRILAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLVVGGLSTKVQEAALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEVDKLVKLSLNKPVRLEADPSSKRPATLTEEFVRIRRAREINQEAVLLSLCSKTFTQKVIIFSGTKQAAHRLKIIFGLSGMKAAELHGNLTQAQRLDALEQFRKQEVDFLIATDVAARGLDIVGVQTVINFACPRDYKSYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKNRIVAERPVAEWSKLVEQMEDQISIVLQEEREERALRKAEMEATKAENMIMHKEEIFSRPKRTWFATEKEKKALAKAAKASLGKDQNGLNEVMSLQEAEDLKLKEKRRREREKNLPRKKRRKLEAAREMLENEDNDEDQETEGGNKKKTRQSLVDLAYKRAKSVKAMKKMHEAGRNLKKTIKYKNKPVQKDQTRKEEMLELFQNDMSDKKQARIGKKSSNVSGQRKSKSSFKSKSRYQ >Ma05_p04740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3592430:3603441:1 gene:Ma05_g04740 transcript:Ma05_t04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLANGAAAHEVERKTINPELWHACAGPLVTLPLVGSLVVYFPQGHSEQVATSMQKDLDAHIPNYTSLPSKLICLLHNVTLHVDPETDEVYAQMTLQPVNSYEKEALLASELALKQTRSPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDFSMQPPAQEIQARDLHENLWTFRHIYRGQPKRHLLTTGWSLFVSGKKLFAGDSVLFIRDEKQQLLLGIRRANRQPTSISSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRACPSEFVIPFAKYQKAVYSNQIFLGMRFRMLFETEESGTRRYMGTITGISDLDPVRWKNSQWHNLQVGWDESAAGERHNRVSIWEIEPVIAPFFICPPFFRKRTRQPGMLDDESSEMENIFKRAMPWLGEETCIKDSETQSTVMPGLSLVQWMNMQQNPSLASQTLQTEYLCSLAGPVRNLGTTDLSRPLGLQASKLQQANMQFNASRMSQQVEELAKLSIPLNQVGAVSRQQMQDLSLQQKQQLVNQAVPSDERQNNIIQPQVLIQIQLQQQQHQPQQEPPTMIQNKELLQTSLHQNQQQHPQQLLLQQQKQQQQHQDQQLEQQYQPQPSRILAVNQQLSDQQQSSFSQPRMQQPPFPQIQESQKTLPDVLQQLLNSHSLVQQPMIPQPCPKATPARLQQSSPNQSQQKPQQQQFLLGDLSGAILPAIPATNLIPACGSSLLAAEGTQSGFTNDNPFCSTSPSANNGAILPHSILNGIQNYTLESTEKTSQSVITMLGPTSVENAAAIPNISKEFPKAVHSMKPLTPFSKVQNQGVIAPQTCVGNTTQMDYLDTTSSATSVCLSQTDGPLHKIFPLSSFNQPSMLRVAPTYGDVQGTDPGDNVPFGDNIDSSLELPLTIDTMLANSIDSGKYQNRITRDVVANYNASKDAQQDLSLSMVSQAFGIPDMAFNSIDSTTNENVFLTKSSWTPVPPPLQHMRTYTKVYKRGAVGRSIDITRYSGYDELKYDLARMFSIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSIDVDLENNSLLNQACSSSDGGNAWRGHHDQNSGNLSAGSYDHFE >Ma01_p02520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1697208:1700859:1 gene:Ma01_g02520 transcript:Ma01_t02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSQLMNRSFGIWPPPVAEESMGFPNPVPPPPPFAAPGGVKPGRTNWKAKKAADMRKKAATISGGVGAGVPLGGGGGAGAGVSGYRPPTLHELQFQNRVKARRFYPKKKFLRSAPFAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPRFSPTREDLADMVKEEWGVDGYGSMKGLIRLRSPSGHEIRPAAATGGGEEDVEDEEGSSESDVEEHLEVERRLDHDVSRFEMLYPGEEHGLESAADLLESRVDDQDAHIARLEEENLTLKERVFLMEREMGDFRRRLQLLETRCPAREDTDHNNDGSNKKNNGEAAPENEDDAEECSGESSGNPMLE >Ma01_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1697222:1699083:1 gene:Ma01_g02520 transcript:Ma01_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSQLMNRSFGIWPPPVAEESMGFPNPVPPPPPFAAPGGVKPGRTNWKAKKAADMRKKAATISGGVGAGVPLGGGGGAGAGVSGYRPPTLHELQFQNRVKARRFYPKKKFLRSAPFAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPRFSPTREDLADMVKEEWGVDGYGSMKGLIRLRSPSGHEIRPAAATGGGEEDVEDEEGSSESDVEEHLEVERRLDHDVSRFEMLYPGEEHGLESAADLLESRVDDQDAHIARLEEENLTLKERVFLMEREMGDFRRRLQLLETRCPAREDTDHNNDGSNKKNNGEAAPENEDDAEECSGESSGNPMLE >Ma00_p01640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9988940:9989965:-1 gene:Ma00_g01640 transcript:Ma00_t01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKSHPSVCNAGVCASCLRERLLALIAAQNESSINYNYGRWRSDPPWPLPPQPPLVFHRSVSPYVAHRRSVGSDASPGHPRLHHHYQWFFSTPQVGPTFSAASGCDRLREIDGGRTQRFSILRTLFGHRRSEEAETALGAPKISASGSWFSALIRGRWKKKKKSQLWSAAEEEAPPPWRAPRSCRAVKSGMSPAMENEDVSGYSSDEWRRSNLRPKRQFAANHGVVGAVSGFSICLSPPVSFGPEARRSQPAESGFSGVLRSPGNLIQHRNLPVGAPLARNRSRKLVDLGRFK >Ma03_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28283003:28288108:1 gene:Ma03_g23860 transcript:Ma03_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSMDGYLENNGNHAPFDGPLKVIIDTDPGIDDSMAILMAFQKPEIEILGLTTIFGNVSTEDATCNALLLCEIAGHPEVPVAEGSHEPLKGGKPHVADFVHGSDGLGNIFLPPPLGKQIEKTASEFLVEKVSQYPGDVCILALGPLTNLALAIKRDSSFANKVKKVVVLGGTLFAAGNVNPAAEANIYGDPEAADVVFTCGADVVVVGINVTTQVKLTDADLSELRNSKGKHSQILYDMCKFYRDWHVKSDGVYGRSTLFAPYDSFTQNDILDR >Ma03_p23860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28283003:28288871:1 gene:Ma03_g23860 transcript:Ma03_t23860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSMDGYLENNGNHAPFDGPLKVIIDTDPGIDDSMAILMAFQKPEIEILGLTTIFGNVSTEDATCNALLLCEIAGHPEVPVAEGSHEPLKGGKPHVADFVHGSDGLGNIFLPPPLGKQIEKTASEFLVEKVSQYPGDVCILALGPLTNLALAIKRDSSFANKVKKVVVLGGTLFAAGNVNPAAEANIYGDPEAADVVFTCGADVVVVGINVTTQVKLTDADLSELRNSKGKHSQILYDMCKFYRDWHVKSDGVYGIFPHDPVSFAALVRPDLFTFRKGVVRVETQGICIGHTLMDQGLKKWSTSNPWTGYSPVSVAWAVDAPGVLSFIKQLFMKP >Ma02_p14390.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22475854:22480908:1 gene:Ma02_g14390 transcript:Ma02_t14390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKEENRIFVGGLSWDTTERRLEAEFSRFGKVIETQVMLERDTGRPRGFGFVTFSDPRAVEVSISEMHERELDGRVISVNKAQPKISTDDSTYGYNGGGYTPGSKGGYHGNDGPPPAGRSDECFKCGRLGHWARECPSAGGSSGGRFPSRSKFGGGGGRGDRFGGPDRLSDRYVDDRYDGDRYGDRDHIDSRDSRYSGGRDRFDNDRDRYANDRYGPPVDRFSGDRYGGRPDRYPQNGYSRERSYEKDVPRGGGGYDRDGGSRGGGGYERDGPRGGGSDRYGSGGPACYDGGGSFRNRPGPYDRPNRGRRPSSYDVRY >Ma02_p14390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22475854:22480908:1 gene:Ma02_g14390 transcript:Ma02_t14390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMHVRITGTSCYYQVMLERDTGRPRGFGFVTFSDPRAVEVSISEMHERELDGRVISVNKAQPKISTDDSTYGYNGGGYTPGSKGGYHGNDGPPPAGRSDECFKCGRLGHWARECPSAGGSSGGRFPSRSKFGGGGGRGDRFGGPDRLSDRYVDDRYDGDRYGDRDHIDSRDSRYSGGRDRFDNDRDRYANDRYGPPVDRFSGDRYGGRPDRYPQNGYSRERSYEKDVPRGGGGYDRDGGSRGGGGYERDGPRGGGSDRYGSGGPACYDGGGSFRNRPGPYDRPNRGRRPSSYDVRY >Ma02_p14390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22475840:22480908:1 gene:Ma02_g14390 transcript:Ma02_t14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVSAVAPPLLDSLEGTEAEMSAKEENRIFVGGLSWDTTERRLEAEFSRFGKVIETQVMLERDTGRPRGFGFVTFSDPRAVEVSISEMHERELDGRVISVNKAQPKISTDDSTYGYNGGGYTPGSKGGYHGNDGPPPAGRSDECFKCGRLGHWARECPSAGGSSGGRFPSRSKFGGGGGRGDRFGGPDRLSDRYVDDRYDGDRYGDRDHIDSRDSRYSGGRDRFDNDRDRYANDRYGPPVDRFSGDRYGGRPDRYPQNGYSRERSYEKDVPRGGGGYDRDGGSRGGGGYERDGPRGGGSDRYGSGGPACYDGGGSFRNRPGPYDRPNRGRRPSSYDVRY >Ma02_p14390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22475863:22480908:1 gene:Ma02_g14390 transcript:Ma02_t14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKEENRIFVGGLSWDTTERRLEAEFSRFGKVIETQVMLERDTGRPRGFGFVTFSDPRAVEVSISEMHERELDGRVISVNKAQPKISTDDSTYGYNGGGYTPGSKGGYHGNDGPPPAGRSDECFKCGRLGHWARECPSAGGSSGGRFPSRSKFGGGGGRGDRFGGPDRLSDRYVDDRYDGDRYGDRDHIDSRDSRYSGGRDRFDNDRDRYANDRYGPPVDRFSGDRYGGRPDRYPQNGYSRERSYEKDVPRGGGGYDRDGGSRGGGGYERDGPRGGGSDRYGSGGPACYDGGGSFRNRPGPYDRPNRGRRPSSYDVRY >Ma04_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6261140:6277865:1 gene:Ma04_g08780 transcript:Ma04_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGCCVQLLDGDGVFNVAGIEHFMNSVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFRGRSQTTKGIWLAKCANVEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEHLEPVLREDIQKIWDNVPKPQAHKETPLSEFFNVEVVALSSFEEKEVLFREQVASLRQRFYHSIAPGGLAGDRRGVVPASGFSFSAQQIWMVIKENKDLDLPAHKVMVATVRCEEIANEKLAYTSADKEWVQLEEAVQHDIVPGFGKKITAILDKCLAGYDIEAFYFEEAVRTSKRQQLESKLLQLVSPAYQTMLGHIRSKVLDDFKEAFDKALEKEGFAVAALECTQSSMFKFDRCCEDAAIEQANWDPSKIRDKLQRDIDTHLASVRTAKLSELTALFEGQLNKALAEPVEALLDAASDNTWPAVRELLQRETESAVSGFASALSTFALDQATVDKMLVKLKEYGKNVVESKAREEAGRVLIRMKDRFSTLFSRDADSMPRIWTGKEDIKAITKSARSASLKLLSVMAAIRLDEETDKIEETLLLALVDASSNGVKNRSIQSLDPLASSSWQEVPSAKTLISPVQCKNLWRQFKAETEYTVTQAIAAQEANKRNNSMLPPPWAIAAILVLGFNEFMTLLRNPLYLGVIFVVFLVGKAIWVQLDITGAFQNGALPGLLSLSTKFLPTVMNILKRLAEEGQQAAAPPSQSKPELDSKVFRNSIRSNSTSDPSSNISSTEDGDEYSSPLRK >Ma06_p25180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25454440:25455573:1 gene:Ma06_g25180 transcript:Ma06_t25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPFFFSEDPGNTAGHHREQPRRPAASPAVNDPVFSVPVCFGSDAAAAAKKPATALPSKATRSAAAVAIQRVLRGHLVRKNVRVVSRLAAELGEIEQMVRSGLERLLAEPKERLRVSEMLMALLFRLDSVRGVREYRKKVIRRVISLQEFLDSVFGQTQTLESPIPAETHGEIRDRDAILEEVGEMPQDDNAEEETASGSKDVSVQESDPDSNQDPILAVVKQVSDASDESFETLDLTDDLKKEEEKAVIEDFVVLSMEEAIDPSQMRFDSAANPEKAAVADSIEQESREAAAGISTTIAEAETGAARPHMSETVDVLKKVMAETERLQGLVAALCEQNTQQCMLMTDVMERVEHLERAVQTMSEKKKKKIGAAKC >Ma03_p32060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34041690:34045267:-1 gene:Ma03_g32060 transcript:Ma03_t32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRMPMLGLVFLLCWAATTQAEYMKYKDPKQSVNVRIKDLMKRMTLAEKIGQMTQIERANASSRVLKDYFIGSILSGGGSVPAPQASAKDWVEMITTFQKACLSTRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPGLVKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDHGVVQAMTELIPGLQGDVPPNYAKDFPFVAGKNNVAACSKHFVGDGGTQKGIDENNTIIDAHELFGIHMPAYIDSIAKGVSTVMVSYSSWNGVKMHANRRLVTGHLKKKLGFKGFVISDWQGIDRITTPPGANYTYSVQASITAGLDMVMVPYDYPAFIGTLTNLVNQKVIPMKRINDAVRRILRVKFVLGLFENPLPDPSLADQIGKQEHRELAREAVRKSLVLLKNGKSSNEPLLPLPKKADKILVAGSHADNIGYQCGGWTIEWQGGSGNITGGTTILDAIRSTVDPATNVVFSEKPDADLVQSNHFSYAIVVVGEPPYAETAGDSLNLTIPEPGPSTIQTVCGAVRCVVVLISGRPVVIQPYLPVMDALVAAWLPGSEGQGVADVLFGDFGFAGKLPRTWFKSVEQLPMNVGDKNYDPLFPFGFGLTTKPAAAVQT >Ma07_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7912047:7915863:1 gene:Ma07_g10620 transcript:Ma07_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDAALLDDIIRRLLLSKGSRPANYAQLTDKEIRQLCIASKEIFLRQPNLLELEAPIKICGDIHGQYPDLLRLFECGGYPPQANYLFLGDYVDRGKQSIETICLLLAYKIKYPESFFLLRGNHECASISRIYGFYDECKRRYYVHLWRVFTDCFNCLPATALIEDKILCMHGGLSPQLKNLNQIRNIARPVDVPDTGLLCDLLWSDPDENIQGWGENDRGISYTFGPDVVAQFLQKLDIDLICRAHEVVQDGYKFFARQQLVTLFSAPNYCGKFDNVGAIMNVDGNLTCSFQILQPTEKMGLWNKLSRSGTSPGKVWKD >Ma08_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2347643:2359435:1 gene:Ma08_g03140 transcript:Ma08_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASSSSTSSSLIIVFLDLPRLLRQNHPSASHSPLRCPPAKNPSRSARRTKLLVPAAAFNPLLPSLRRKLIPPPSRSLADDRSGDDGAAVVEYVADGVDNGSSRAVGIGARPGSPRPQRSAGSADSISLGIKEPVYEVIEVKSDGTMYTKKINRRQLLKSSGLRPRDVRSVDPSLWLMNSMPSLLVREQAILLNLGTLRAIAMHECVLIFDYNRKGGKAFLKSLLPRLNPRNMNVGCAMPFALEVVEAALLSRIQRLEQKLMEVEPRVASLLEVLPNRLTADVLEQLRLSKQTLVELGSKAGALKQMLLDLLEDPHEIRRICIMGRNCTVQRGTSYMECSVPLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGAFCVSVGALVAGIFGMNLKSYLEEKVCAFWLTTAGIIIGAVAAFFVVYSYLKSRRIF >Ma06_p34630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34778433:34779654:-1 gene:Ma06_g34630 transcript:Ma06_t34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKERSHNSPYPIFLKRKEATETMQHFTCAITLLFLVQFIVVTSAQLAESPAPAGPPNITAVLEKAGEFGTFIRLLKSTHVGDQINNQLNNSVNGITIFAPTDSAFSSLPSGTMNSLTDQQQVALIQFHELPYIVSISQFQTISNPVRTQAGDVNNGRYPLNVTTIGNSVNITTGIVNTSIASTVYSDSQLAVYKLDQVLLPLEIFGPPAPAAAPAPNKSKKKESSIAESPSGTSDSSGATAAVNLKCTYEGGIVFAAAVISLWWIY >Ma10_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22733623:22734675:1 gene:Ma10_g08310 transcript:Ma10_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPARFLQSSDPLRKADPPSLLPVDSDVVVVLAALLCALICVIGLAIVARCAWIRRSSTSSSSSSTAGPPPPPSKGLKKKVLRALPTLSFDSSAAAAGGVELVECAICLTEFADGDDVRVLPQCGHGFHACCVDTWLRSHSSCPSCRRVLVVPAPPSRCHSCGATSDGAAAGAKAAERGGASTLSH >Ma07_p28790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34714942:34716137:1 gene:Ma07_g28790 transcript:Ma07_t28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPSAAPGRRIYDDFVPPHEVFRGKDAETFVLQLPDFNREQIKLQIDNYGKLKISGERPLINDRWKRFLKEFYVPDYCNVSEISGKFENGLLRILLPKFVAETSTKDEAAKAPEAAPDPKATTETKADGSKSDTTQKTKSRPDQESDYSINKQPKDERRKESLDASKDVHKVEEKKKGNEDAKLHEEDAETKKKKAMTQEQATAAGHGRMLGDPKKVQRLGSGLYRRMRVMVSGALAIVVFVGVVLYVTYSLKRPMQMDNYESP >Ma07_p23420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31075964:31080863:1 gene:Ma07_g23420 transcript:Ma07_t23420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRDPDLSMLELHGVPGGAEAFELAAKFCYGTNFEITATNVAHLRCIAEYLEMTEDYQEKNLIARAETYLSEIVVQSLEKSLEVLCSCDGLHPIAEEVGILDRCVDAVAINASKEQLVSGLARLECDDESGKLKMHCQDWWVEDLSVLKIDIYQRVIASMRRTGVRSDSIVQSLMHYAQTSLKGIERRHNWESGIVVGDKQRVIIEALVDLLATENITTVPLSFLFGMLRMAIELATSLGCRLELERRIGFQLELASLDDLLIPSSQPNDSVFDVDTVHRMLVNFIQRVEEDDSEDSSHCGYESLSVKSPSHSSVLKVGRLIDGYLAEIAPDPHLSLSKFMAIIELLPDYARIIDDGLYRAVDIYLKAHPSLTESECKKLCKLIDCQKISQEAANHAAQNDRLPVQMVVGVLYFEQLRLKSALSGNSGDGSFSQRIISSSGVQSAAVSPRDNYASLRRENRELKLEIARMRVRLSELEKEQAFMKQGMRDNRSQEHSKAFLSSISRGIGRIAMFSPSQRKHQKSTKKAQVSDAKSRRRQRQSAS >Ma07_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31075835:31080863:1 gene:Ma07_g23420 transcript:Ma07_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETAFPFSAAKLATSPRFCNPITTRRIFSDVAGDITLYVDGQSFLLHKFPLVSRSGKIRKTVMDSRDPDLSMLELHGVPGGAEAFELAAKFCYGTNFEITATNVAHLRCIAEYLEMTEDYQEKNLIARAETYLSEIVVQSLEKSLEVLCSCDGLHPIAEEVGILDRCVDAVAINASKEQLVSGLARLECDDESGKLKMHCQDWWVEDLSVLKIDIYQRVIASMRRTGVRSDSIVQSLMHYAQTSLKGIERRHNWESGIVVGDKQRVIIEALVDLLATENITTVPLSFLFGMLRMAIELATSLGCRLELERRIGFQLELASLDDLLIPSSQPNDSVFDVDTVHRMLVNFIQRVEEDDSEDSSHCGYESLSVKSPSHSSVLKVGRLIDGYLAEIAPDPHLSLSKFMAIIELLPDYARIIDDGLYRAVDIYLKAHPSLTESECKKLCKLIDCQKISQEAANHAAQNDRLPVQMVVGVLYFEQLRLKSALSGNSGDGSFSQRIISSSGVQSAAVSPRDNYASLRRENRELKLEIARMRVRLSELEKEQAFMKQGMRDNRSQEHSKAFLSSISRGIGRIAMFSPSQRKHQKSTKKAQVSDAKSRRRQRQSAS >Ma08_p03440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2497246:2501284:1 gene:Ma08_g03440 transcript:Ma08_t03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATVIGALLGLSTQMYSNALRKLPYMRHPWEHVLGMGLGAIFVTQLVKYDEKLKEDLDKMLDKAKAANERRYFDDEDD >Ma08_p05070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3472474:3475934:-1 gene:Ma08_g05070 transcript:Ma08_t05070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLREYQIRSQHKWGALAAFSPKPQMTTRRDVFVALTFALADNLSNLRADMRYWSSMGYLRDHPTMISHWLLLA >Ma08_p05070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3472474:3475934:-1 gene:Ma08_g05070 transcript:Ma08_t05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLREYQIRSQHKWGALAAFSPKPQMTTRRDVFVALTFALVFVLLIVSCYAALYFRHFLISAVLVFLGILLPTCLKISRHRWLARKKERRMSLPLSM >Ma06_p08800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6185781:6189794:1 gene:Ma06_g08800 transcript:Ma06_t08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPMAQGDAPPPMEPDPQVEDGGGGQEVEQGPGPAPDDNRRRSLGELFKNEEVIRSSETDKNVVSETVTPEPMEVKEEVIDNKAIDEAVLHEADKQPTEVPKAEAEAAEAISNSTPQETSVLPPASDSEVITADVEPLGSEVKAQLDTGKVEAVESSPTEGGPAALEKKDV >Ma05_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6143096:6144078:-1 gene:Ma05_g08270 transcript:Ma05_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAELDSNSEHCDNRLAIDKINQAHELARQLRAVLLSSSLPAGSSAELAREILDKLMKCSASALSRLQSCCCRTCHGVGQRSREYSSEKRGRCTPLGHKRRKTHNTWCTVTSVPYDDGHSWRKYGQKDINSAKYPRSYYRCIHRKEQGCPATKTVQQEDGDAYPPQFIVAYSMQHTCRSMGASIAFAMESAPKEEASFEGSRSSRHLLPPPPSTATAANQSQTSSPSSVGDRDDTTRLSISELSWQEIMNTSPPADTWSMDSNWETVMGCFEFSEA >Ma04_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3727544:3745778:-1 gene:Ma04_g04910 transcript:Ma04_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSTQKSTKVATDLCADDLRIAHPNPVSLLYLNASIIRANEIFFGISRFFLCFLLLSFLTFSRPIGYILLIGPPLCCRNLVYNLSPPIRRKERFLQQGRPKDRIFLLFFDWCCVLNTDKEREDATESCISRAADSSEVHHPRPQQKQMQEQYRLDVFNEVLHRLREAALPEALVPSFEGKLWTHFHRLPACYAMDVNVERAEDVLMHIKLLEHARDPEKRPVFAVRLLQVIQAVDVDKDDTSDSSARGEARILSSSASFRKRSVHLPSLGSSADLEAIDVDNESSRHHVQGESAFQFLPRPTHEITISTVDKPKLLNLLTSLLGDVGLDIQEAHAFSTNDGYSLDVFVVNGWPHGEIEKLTESLQKQILKIGKQAWLKAHTWSPAMEIAQSSEGTFNHAEVPAHVTDEWEIDSRLLKFGDKVASGSFGDLYRGTYCSQDVAIKVLNPERVDVDLQQEFAHEVFIMRKIRHKNVVQFLGACTKPPSLCIVTEFMSGGSVYDSLHKHKGTFKLPVLIRVATDVSKGMNYLHQNNIIHRDLKSSNLLIDENKVVKVADFGVARVKAQGEGVMTAETGTYRWMAPEVIAHWPYNHKADVFSFGVVLWELLTSKLPYSNLTPLQAALGVVLKGLRPPIPENTHPRLAELIEKCWQQDPARRPDFSSILEILQRIANEVGCVTEDRQKKPSGSIFSVFR >Ma11_p15280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20978989:20988959:1 gene:Ma11_g15280 transcript:Ma11_t15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLLARSSSRLTSSSPLSASGAYLLSSISPSSRPPLPSNPVPRSHLSDGRSPISHRALVRSYAAVAYRFERRFATMATRNSYESILTTLRKPGGGDFGKYYSLPALTDPRIERLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENTAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMKKLGSDPNKINPLVPVDLVIDHSVQVDVARSENALQANMELEFHRNKERFGFLKWGSSAFHNMLGVPPGSGIVHQVNLEYLGRVVFNSGGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQAMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDTVAMIESYLQANKMFVDYSQPQTERVYSSYLELNLGDVEPCVSGPKRPHDRVPLKEMKADWQSCLDNKVGFKGFAVPKESQDKIAEFSFHGTTTQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLEKSGLQKYLNQLGFNIVGYGCTTCIGNSGDLDEAVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYSLAGTVDIDFEKEPIGTSKDGKKVYFKDIWPSNEEIANVVQSSVLPDMFKNTYEAITKGNPMWNQLSVPSSTLYAWDPKSTYIHEPPYFKDTTMSPPGPHPVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLTERGVDPKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDTAMRYKDEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIVPLCFKPGEDADTLGLTGHERYTINLPSNVSDIKPGQDVTVTTDTGKLFTCTVRFDTEVELAYYGHGGILPYVVRSLVDANN >Ma11_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20978989:20988606:1 gene:Ma11_g15280 transcript:Ma11_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLLARSSSRLTSSSPLSASGAYLLSSISPSSRPPLPSNPVPRSHLSDGRSPISHRALVRSYAAVAYRFERRFATMATRNSYESILTTLRKPGGGDFGKYYSLPALTDPRIERLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENTAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMKKLGSDPNKINPLVPVDLVIDHSVQVDVARSENALQANMELEFHRNKERFGFLKWGSSAFHNMLGVPPGSGIVHQVNLEYLGRVVFNSGGMLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQAMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDDTVAMIESYLQANKMFVDYSQPQTERVYSSYLELNLGDVEPCVSGPKRPHDRVPLKEMKADWQSCLDNKVGFKGFAVPKESQDKIAEFSFHGTTTQIKHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLEKSGLQKYLNQLGFNIVGYGCTTCIGNSGDLDEAVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYSLAGTVDIDFEKEPIGTSKDGKKVYFKDIWPSNEEIANVVQSSVLPDMFKNTYEAITKGNPMWNQLSVPSSTLYAWDPKSTYIHEPPYFKDTTMSPPGPHPVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLTERGVDPKDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTIHIPSGEKLSVFDTAMRYKDEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIVPLCFKPGEDADTLGLTGHERYTINLPSNVSDIKPGQDVTVTTDTGKLFTCTVRFDTEVELAYYGHGGILPYVVRSLVDANN >Ma08_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7973893:7978476:-1 gene:Ma08_g10870 transcript:Ma08_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSHSLVHDARVPFSPSSATRLSAVRAALFIHLVQRGTHRGPVLRRSGGRCVRPVAARSTEAPGSVGDIRTQNFFEVELNVRDYEVDQFGVVNNAVYANYCQHGRHELLKKIGINVDAVARTGNSFALSDLRLKYISPLRSQDKFVLKVRVVSITAARVIMEHFIYKLPDLQPVLEATATVVCLNGSYRPIRVPSELSAKLLQFSLDDSE >Ma04_p11800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8414060:8418106:-1 gene:Ma04_g11800 transcript:Ma04_t11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQLATAEVCDANPHLILSGELRALHPIFQIYGRRQVFSGPIVTLKVFEDNVLVREFLEEKGNGRVLVVDGGGSMRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALASHPMKANKKGIGEKHAAINVAGTRICDGEWLYADTDGILVSRTELTV >Ma03_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4187651:4192992:1 gene:Ma03_g06070 transcript:Ma03_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNLLSCWVWPGKGHEAPNATPGSSSDSRMGFREPDHLQFAAVNGRRIKRKWHILEERQIDREYDVVLVPSDGGCVSCCQSDESDWSIGWLEPHAPEFQTERETENSFAVLVPCYGRGRYEQVDSSKKHVLGAVDLLDEDYSDGQKYIEQWLAEHFSV >Ma02_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21656273:21658648:-1 gene:Ma02_g13120 transcript:Ma02_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGVMPPLSFSICRFTALLLLLLSTFPCRGFEFQEATIDGIQLAFREGSLTSRRLVEFYLTQIRALNPLLHAVIEVNPDALRQADLADRERRSGRRPCGGLHGIPVLLKDNIATRDRLNTTAGSFALLGSKVPRDAGVVRRLRRAGAVILGKANMAEWANFRSLDAPSSWSARGGQGRNPYVLSADPCGSSTGSAIAAAANMATVTLGTETDGSIICPSDFNSVAGIKPTVGLTSRAGVVPISPRQDTVGPIGRTVSDAVQVLEAIVGFDQRDAVATKAASKYIPRGGYKQFLQVDGLRGKRIGILRAFFTFPNGSVQQKVFEEHFNTMRQKGAILIDNLEISNLSIILDAAQSGEEVALLAEFKMALNSYLSELSSSPVRSLADVIAFNDKHRIEERVEEFGQLVFLAAQNTTGIGPAEKSAIARMTQLSIQGLERLMMENRLDAVVTGNIGVTSVLAIGGYPGISVPAGYGTAGDPFGICFGGVKGSEPKLIEIAYAFEQATMVRKPPSFRK >Ma07_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11281930:11287346:1 gene:Ma07_g15020 transcript:Ma07_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYACGGYRAPAMPHLPRSARGKRSARKKVEDNQTYAFDLLATIAGKLLSEIKSSPSPCNSTGTSNAAAGRDAAKQEQIDEEKSLKCEGFDWLSCNEAVFSPENALEKHITYKLKENSNVPKDSSSGPASPFIKSDLSDEDAIGGDGSRIEHGVGAAAEKCIKGSLSSSSVESYDRKEDGGKKLLVAEQQVAGNFMDRNAPYKYSLDDPLVMDVKPSLVSSDSSVEAPLYRNNISRNYSFPKQEDGMDLAADKDDDENSSGCTHPTPVANKTSRMPRIGDRRIRKLMSSKLRKVAPTVLQDAGTSNYDVEQKPALRGKRMCYTRQRTQRSSFKRRKLFDHCPVSPYDGGIYGEGLSKMSESSRIKLETDDSHATLCGANGASSFTTGQNCCYESPDYHVKLSIKSFKVPELVIEIPETATVGSLKRTVLEAVTAILGGRPRVGVLQEGKKVRDDNKTLRQAGITYGDKLDNLSFTMEPNPEEALVPPPSSEDPDFLGLACAPEPLARMPPIAPAAAAAVDQRGPDSAESVQTCPEMDHDSFHSPIDPSSPEKTTASALALVAVPPMDVEALAMVPLGNKPKRSETPQRRVRRPFSVAEVEALVLAVENLGTGRWRDVKLRAFENAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLSAHSSWSQKQAKLLLKPPAPLC >Ma01_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8254633:8255579:-1 gene:Ma01_g11370 transcript:Ma01_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQRCHTRSISLPSELHPAALRVEEELHRLRSSMASSSSTPQMICDSLRGLGGLYESIEGLVRLRSNHQTLIHPLQRRRVEGELVASIRLLDLCSAVKDDLSTMKEHVRRIRSALRRRDGAVIANKVNDYVRFGRKADKEMKDRFRSLKRVMEDEDTPMAIWGLMEAEMIAISLLRLVFSFVSMQTGRSERSSWSFVSKALSKRKVASDEEQEAGSGVGRLEIFSWRASCKDGDGGRAVKAQSQLQRLEVSVEGLESGLESLFRELIRSRVALLDVLSS >Ma01_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8825249:8829490:1 gene:Ma01_g12130 transcript:Ma01_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVPLQAVVQGRGGLVLGSLIPCALFYFLQFYLRRNRPSSSSPPPPSSASGSNLPELASIPRSLSRGLLSPRGSFGPARLSSRGTAVVRDDDNPYYVGLKRCADDPYNRSINPQGFLLLGLAENRLSLDIIQDWLVKNWKESLLLDDEEEELSIQGLATYQPYDGSMDLKTAVAEFMGQVMQGSVSFNPSHVVLTAGATPAVETLGFCLADPGDAFLVPSPYYPGWDRDIKWRTGVELIPVPCRSTDNFSISIAALELAYNQVKKRGMKVRAVLVSNPSNPVGSLIDRETLCGLLDFVMEKNIHLISDEIFAGSTYGSDKFVSVAEVLDAESFDKSRVHIIYGLSKDLAVPGFRVGVIYSYNENVLAAASKLARFSSISAPTQRLLISMLSDRKFISEYLAVNRARLHDMHALFVDGLKQLGIKCASSSGGFYCWADMSMFIRPYSEKGELKLWDNLLNVAKVNVTPGSSCHCIEPGWFRCCFTTLTEKDVPTVMERIQKITKSN >Ma02_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22083299:22083904:-1 gene:Ma02_g13910 transcript:Ma02_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSKVLLILISLAILLQSLELADASVQDECKIVAAADPNVDYNFCVTSLQDDPRSGSADAKGLAIIAADLTVASATSTLSKIEKLVGDSKIDSPTKGLLNQCSSFYKDAVTAASGAVKAIGSGSMGDAKKQLTEAGDKPQDCDNLLFEAGKNDLLTKEDNDCTNLASIAQHIVAKLQ >Ma08_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5083372:5084127:-1 gene:Ma08_g07410 transcript:Ma08_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRDGESRVRLRIVEQSDGASIKLADHYGSTFFEPQGDGNDTPPKCVEHGNDSTDPCKIHGEFEAPEKKLTLFAFRLAVLEKAASGPPWRLCHRAREEGLLVHHHHPPHRRRPHLQPEPRARVAEPGHLVPRRGRPVELPSSQVQLPRPPPVTEVHLPAILDQHHENPEQCRPHDPRPTIARRSAAAAAADIAHLRRRPPPVPRPGLPLAECQPSPLLAAAARGLVMPLAHAHAAGGAELWRAEAGRPR >Ma06_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7545574:7548782:-1 gene:Ma06_g10830 transcript:Ma06_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTRSTHPVPRHYSFGLWLTSFLLFTAATTPTTKGCVEGERDALLDFKTGLLGGPSSRLSSWRGRVDCCRWSGVVCDNRTGHVVELNLNPDYLNNETSIGGEIRPSLLLLTHLERLNLSHNDFGGIQIPKFLGSLTELTYLDLSWSNFSGAIPPQLGNLSTLRSLNLNSLYDLTIDGLHWLSRLTSLRYLDMSGVKLSMASHNWLQAVNMLSSLEELHLHDCGLTDLPSSLSHVNLTALATLDISDNLFNSTIPNWLWKLHNLSYLDLSSSMFHGAMPAGIGNLADLRELHLSDNSLSGPIPTEIGICNSLKLIYLSYNSLFGPIPAEIGNLTSLRELYLDHNSLFGVIPAGIGKLTSLGVLYLNDNSLFGPIPVGIGNLTGLGELYLNDNSLSGPVPIEIGKLSNLTILSLSSNSLEGTMSELHFANLTKLSELDLFENSLVISIDHNWVPPFQLRSIKLSSCKLGPAFPRWLRSQNSIRYLDMSNTSIEDVLPDWFWNNSASFINLSQNQINGTLPTSLVLLSYLRYLNLACNSLSGSLPSIFPTLEFRILDLSRNHINGSIPSFICNCHRLDILDLSSNQISGEIPSCWQETNYFSSINLANNKLSGEIPSSIENLIWLESFHLNNNKLHGLLPSSLKNCSALVFLDLGNNKFSGSIPTWIAQNFLDLEVLRLRSNMFSGNIPAELGQLAYLHVIDLANNKLSGPIPHSFGNLNAAMTHWKQHLTRRDKQVFYSPFVKDRPALWPFDNYEDSIALTIKGRYYNFSIILYLVNIIDLSNNNLTGEIPIEIGSLSMLQTLNLSRNNFVGQIPAAIGAMKSLETLDLSFNKLSGGIPQSFSALNSLNHLNLSYNNLSGAIPSGNQLRTLEDASIYIGNVHLCGPPVTKSCSDDPNVDSTEEEYEQGSRVLSFYFSTGLGYLVGLWSVFVIMLFKKDWRLFYFATVDKMYDRAYVAIKIRMRNCHDAADRM >Ma06_p08290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5884066:5884695:1 gene:Ma06_g08290 transcript:Ma06_t08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKAQNSHRNHVRFQEDGESRTASMYTGPHFPNLQMMFKKKSILSFDEEARTDEAVAVQPPASGGGRGSEREEDVNMEANEFIKRRRHSLELQKLMSMRAVA >Ma08_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38072409:38075693:1 gene:Ma08_g25090 transcript:Ma08_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVRFPEDRPALVRAKIPVGVLGLPFISGAAAAVGDARELRLDLSTAFSAGPSFRVSYRPNDPHKPFSLVLKTGAGPLGSPAGGSPLAMSAEFGLFGARPTFSLLLKPRVGDFTFKKSVAAAPAAASPAVEVKVAPVGDGCGIEAPVVEFRPGGGIHAGRKLNGFPIDVSAFSAGSRGGIDGLLSGFEVSARSVLPLPNRTAIHFKWGLRVPPELRTAFDDPMARISLSKLPLLAMRKISIERLTDDQDVQKKNPAAATEATDACALVRQEIEALQSESKLLRGAVEDLRAEVGGWKFTAAGTNGRSPSNTGKHKGINEELMKPAFMSSSTTAV >Ma09_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12330609:12358305:-1 gene:Ma09_g16850 transcript:Ma09_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MTEEPKKGDDNATTDIYNVEAAEILVNEAQALPITEAAPIYEQLLCTFPTAAKYWKQYVEAYMAINNDEVTKQIFSRCLLNCLQISLWRCYISFIKKVNEKKGLEGVEETKKAFDFMLNYVGYDIASGPVWLEYIAFLKSLPAKTAQEESHHMTSVRKAYQRAIVTPTHNVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYVDEIDWNMLAVPPTGSYKEEQQCMAWKRLLIFEKGNPQRIDTASANRRITFTYEQSLMYLYHYPDLWYDYATWHANSGSVDSAIKIFQRALKAVPDSELLKYAYAELEESRGAIQPAKKIYESLLASSSNSMSLAHIQFIRFLRRTEGVEAARKYFLDARKSPSCTYHVFVAYAMMVFCVDKDPKAAHNIFEAGLKKFMNEPGYILEYADFLCRLNDDRNVRALFERALSSLPPEKSVEIWIRFCQFEQTYGDLTSMLKVEQRKKEALTRSVEEGSTVLENTLYDVISRYSFMDLWPCSPKDLDHLARQEWLVKNINRKVDKSNLLHGANITEKGSVGLTTNSKVLLPSAKVVYPDTARMVIYDPRQTLGSEAASTSASGSSLVGSGLKAFDDILKVISPTLMAFITNMPAVEGPSPDIDVVLSILLQSSIPTGQTGKPATSLQQMPGGPGPTTSDHSGSIKTRMNNGPPHRLPRDGHSGKRKDLDRQEDDESTTVQSRPLPRDVFRLRQMHRSRGRSTSQTGSAASGGSAFSGDQSVSTD >Ma11_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16584949:16591054:1 gene:Ma11_g12690 transcript:Ma11_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASGLLFSGVRVDRKRFAREIARFQQLKKEPDDLGDLADAALEALPEVKANKKEKKRKRKDKDSSGCISGLHAVEGFSVFKSSESLSSILERNALEATSAEKKKEVEKEIEKASILRKKYGIHVSGHGVPPPLESFVELSSRYNCKPYILHNLTELGFREPTPIQRQAIPVLLSKRDCFACAPTGSGKTLAFLCPMLMKIKPGLKNGVKAVVLCPTRELAAQTARECKRLAKGRKFYIKLMTKELSRCGDFEKMLCDIIISTPFRLDFAIRKRKFDLSRVKYLVLDEADKLFELGFVEQIDSVVKACSRTTVVRTLFSATLPETVEKLASTVMVDAVRIIIGRKNSASEMIKQKLVFAGSEKGKLLAIHQSFSESLNPPVLVFVQSKERAKELYKELAFDDIKVDVIHADLSQQQREDAVDNFRSGKTWVLIATDVVSRGMDFKRINCVINYDFPESAAAYIHRIGRSGRAGRPGEAVTFFTEEDKPFLRNIANVMAASGCEVPSWILTLPKLRKRKHRPHRDSISTIPDDSA >Ma10_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20454339:20461500:1 gene:Ma10_g06880 transcript:Ma10_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLSLCCLPFTVLDELCRLRQDADIVGCIVLRGPLCQNLSILPPSFHCCPLHTTTFDKAEREREREREREAEEEEETHLLHSSAMSTPTPTSSPAPPAGSPPQATPLAPSPASPPSPAIPSTPPPSPANSSFPPSASPPPSSPSGSSPTPPATPSAPSPPLPSGGSPPSSPTPPSPETPLSTASLPSSPSPSSSSVSTSVVVGVAVGGVVILLLLSFACICCWKKKRRPRRPPSHYYGAPPPLPAEKKDERYGEYWQQNAPPLADHVVKVPPGPPPPPPFASRPPHPPNHALPAPPPPMINSSGGSGSNYSGSEVPLTPPSPGVALGFSKSTFTYDELARATDGFSEANLLGQGGFGYVHRGVLPNGKEVAVKQLKTGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGRRLLVYEFVPNNTLEFHLHGRGRPTMEWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDYKFEAKVADFGLAKIASDSDTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLEIITGRRPVDSSQTFMDDSLVDWARPLLTRALEDGNYDALVDPKLGKNYNPDEMARMIACAAVCVRHSARRRPKMSQVIRALEGDVSLEDLNEGIRPGHSRFYGSYGSSDYDSNQYNEDMKKFRKMALTTQEYTGGDHSAETSEYGQNPSSSSTEGRQTHEIEIGKKKDSYGFGSS >Ma07_p25920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32890865:32904125:1 gene:Ma07_g25920 transcript:Ma07_t25920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPDRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYITHSGRVIMASEVGVVDIPPADVLRKGRLNPGMMLLVDFENRTVVDDEALKKQYSQARPYREWLKRQKICLDDIVNSIPKSDMIPPNIFGTVPSQNHDEDMENMGIRGLLAPLKAFGYTVEALDMLLLPMAKDATEALGSMGNDAPLAVMSNREKLSFEYFKQMFAQVTNPPIDPIREKIVTSMECMVGPEGDLTETTEEQCHRLSLKGPLLSIDEMESIKMMNYRGWRSKVLDITYPKKHGRKGLEETLNRICLEARAAIREGYTTLVLSDRGFSSERVAVSSLLAVGAVHQHLVSTLERTRIGLLVESAEPREVHHFCTLVGFGADAICPYLAMEAIWRLQIDGKIPPRVDGEFHSREDLVKRYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFKGTPSRVEGATFEMLAGDALCLHELAFPTRALPLGSAEALALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARANSVAAYKEYSRRIQELNKTCNLRGILKFKDVAEKVPLDEVESASEIVKRFCTGAMSYGSISLEAHSTLAIAMNKIGGKSNTGEGGEQPARMEPLSDGSRNPKRSAIKQVASGRFGVTSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTINEMVGRADMLEIDKEVAKSNEKLGNIDLSLLLRPAADIRPGVAQYCIQKQDHGLEMALDQDLITSSKAALEKGLPVYVETPIRNVNRAVGTMLSHEVTKQYQLKGLPSDTIHIKLVGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRESQFDPSENIVVGNVALYGATSGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVFDVDGMFHTRCNPELVDLEKIEDGEDITTLRMMIQQHQRHTSSVLAREVLSNFDSLLPKFVKVFPRDYKRIVQNLKIEQAAKESEEQEEKELMEKDAFEELKKMAAASLNGKKAEGLAAAKRPTQVDNAVKHRGFIAYERQGISYRDPNDRIKDWKEVATESKPGPLMKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPQKRTGKRVAIVGSGPAGLAAADQLNKMGHLVTVYERADRIGGLMMYGVPNMKADKVDVVQRRVDLMTREGVNFMVNANVGVDPMYSLNHLRAENDAIVLACGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLQDGKYLSAKGKKVVVIGGGDTGTDCIATSIRHGCTNMINLELLPEPPQKRAPGNPWPQWPRIFRVDYGHQEASAKFGKDPRSYEVLTKRFVGDESGVVKGLEVVRVHWAKDSGGKFQFEEIKGSEEIIEADLVLLAMGFLGPELTIADQLGLERDNRSNFKAEYGHFSTSVDGVFAAGDCRRGQSLVVWAINEGRQAASQVDKYLMKDVNTCSKENPVSSEDLVHRVTA >Ma07_p25920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32887721:32904125:1 gene:Ma07_g25920 transcript:Ma07_t25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGSAIKLQNQSVALPSVVNHKRNVCRGGRAARFAAYRRAQAVSLESQFLGAKLRASERVQRWRIDGPGRSPKLRVVSPSMALSQVPEKPLGLYDPSFDKDSCGVGFIAELSGEYSRKTVADSLEMLVRMAHRGACGCETNTGDGAGILVALPHGFFKEVTEDIGIQLPPPGQYAVGMFFLPTDDSRRKESKIVFTKVAESLGHVVLGWRPVPTDNRDLGESARQTEPLIEQVFLTPSPRSSADFEQQMYILRRVSMVAIRAALNLQHGGARDFYICSLSSRTVVYKGQLKPVQLKDYYYADLGDERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPDRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYITHSGRVIMASEVGVVDIPPADVLRKGRLNPGMMLLVDFENRTVVDDEALKKQYSQARPYREWLKRQKICLDDIVNSIPKSDMIPPNIFGTVPSQNHDEDMENMGIRGLLAPLKAFGYTVEALDMLLLPMAKDATEALGSMGNDAPLAVMSNREKLSFEYFKQMFAQVTNPPIDPIREKIVTSMECMVGPEGDLTETTEEQCHRLSLKGPLLSIDEMESIKMMNYRGWRSKVLDITYPKKHGRKGLEETLNRICLEARAAIREGYTTLVLSDRGFSSERVAVSSLLAVGAVHQHLVSTLERTRIGLLVESAEPREVHHFCTLVGFGADAICPYLAMEAIWRLQIDGKIPPRVDGEFHSREDLVKRYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFKGTPSRVEGATFEMLAGDALCLHELAFPTRALPLGSAEALALPNPGDYHWRKGGEVHLNDPLAIAKLQEAARANSVAAYKEYSRRIQELNKTCNLRGILKFKDVAEKVPLDEVESASEIVKRFCTGAMSYGSISLEAHSTLAIAMNKIGGKSNTGEGGEQPARMEPLSDGSRNPKRSAIKQVASGRFGVTSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTINEMVGRADMLEIDKEVAKSNEKLGNIDLSLLLRPAADIRPGVAQYCIQKQDHGLEMALDQDLITSSKAALEKGLPVYVETPIRNVNRAVGTMLSHEVTKQYQLKGLPSDTIHIKLVGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRESQFDPSENIVVGNVALYGATSGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVFDVDGMFHTRCNPELVDLEKIEDGEDITTLRMMIQQHQRHTSSVLAREVLSNFDSLLPKFVKVFPRDYKRIVQNLKIEQAAKESEEQEEKELMEKDAFEELKKMAAASLNGKKAEGLAAAKRPTQVDNAVKHRGFIAYERQGISYRDPNDRIKDWKEVATESKPGPLMKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPQKRTGKRVAIVGSGPAGLAAADQLNKMGHLVTVYERADRIGGLMMYGVPNMKADKVDVVQRRVDLMTREGVNFMVNANVGVDPMYSLNHLRAENDAIVLACGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLQDGKYLSAKGKKVVVIGGGDTGTDCIATSIRHGCTNMINLELLPEPPQKRAPGNPWPQWPRIFRVDYGHQEASAKFGKDPRSYEVLTKRFVGDESGVVKGLEVVRVHWAKDSGGKFQFEEIKGSEEIIEADLVLLAMGFLGPELTIADQLGLERDNRSNFKAEYGHFSTSVDGVFAAGDCRRGQSLVVWAINEGRQAASQVDKYLMKDVNTCSKENPVSSEDLVHRVTA >Ma03_p03830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2526140:2529403:1 gene:Ma03_g03830 transcript:Ma03_t03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIIPDKATNTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADRVVVTSKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDTEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELMPEYLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQTRSKIAELLRFHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESDDEKTRKEALKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLTLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDEKVEDTDMPTLEDAADAEESKMEEVD >Ma03_p18820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24322581:24331808:-1 gene:Ma03_g18820 transcript:Ma03_t18820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPHVQPLTPVSEVSEPPESPSPYMDNGADTVPVEDDGAVDEVEEIEPPPATVPFSRLFACADGVDWALMAVGAVAAAAHGMALVIYLHFFGRAINLLNSQSDNSEMHANGDLLFRKFKDHALYIIYIAVGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLINCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAISYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEIVTALFAVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSNSTVNQDGNTLDSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKHLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRSATSDQIEEAAKTAHAHTFISSLEMGYETQVGRAGLALTEEQKIKISIARAVLSNPSILLLDEVTGGLDFEAERAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRMPIRNYKDPSSFQIEKDSSGSQSLQEPSSPKMSKSPSLQRAHGFHAIRQPDASYNSHESPKSQSPPSELMVENGMSLIPSERAPTIKRQDSFEMMLPELPKIDVHSINRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLNQFDHVYTKEEMKDLQRHKPPSFWRLTELSFAEWLYALLGSTGAAIFGSFNPLLAYTIAFIVAAYYRIDVRDIHNEVNKWCLIIACMGIITVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTSAVVVAILIGMLLEWRVALVALATLPILTVSAVAQKMWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLSRILKQSFIHGMAIGFAFGFSQFLLFACNSLLLWYTAFSVDKGYLTIATALKEYIVFSFATFALVEPFGLAPYILKRQKSLTSVFEIIDRVPSIDPDDNTGLKPPNIYGSIELKNVDFCYPTRPEVMVLSNFSLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQILLDGRDLKLFNLRWLRGHMGLVQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALGTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEHGTHESLVQTNGLYVRLMQPHFSKGLRQHRLV >Ma03_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24322581:24331808:-1 gene:Ma03_g18820 transcript:Ma03_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPHVQPLTPVSEVSEPPESPSPYMDNGADTVPVEDDGAVDEVEEIEPPPATVPFSRLFACADGVDWALMAVGAVAAAAHGMALVIYLHFFGRAINLLNSQSDNSEMHANGDLLFRKFKDHALYIIYIAVGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLINCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAISYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEIVTALFAVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSNSTVNQDGNTLDSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKHLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRSATSDQIEEAAKTAHAHTFISSLEMGYETQVGRAGLALTEEQKIKISIARAVLSNPSILLLDEVTGGLDFEAERAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRMPIRNYKDPSSFQIEKDSSGSQSLQEPSSPKMSKSPSLQRAHGFHAIRQPDASYNSHESPKSQSPPSELMVENGMSLIPSERAPTIKRQDSFEMMLPELPKIDVHSINRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLNQFDHVYTKEEMKDLQRHKPPSFWRLTELSFAEWLYALLGSTGAAIFGSFNPLLAYTIAFIVAAYYRIDVRDIHNEVNKWCLIIACMGIITVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTSAVVVAILIGMLLEWRVALVALATLPILTVSAVAQKMWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLSRILKQSFIHGMAIGFAFGFSQFLLFACNSLLLWYTAFSVDKGYLTIATALKEYIVFSFATFALVEPFGLAPYILKRQKSLTSVFEIIDRVPSIDPDDNTGLKPPNIYGSIELKNVDFCYPTRPEVMVLSNFSLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQILLDGRDLKLFNLRWLRGHMGLVQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALGTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEHGTHESLVQTNGLYVRLMQPHFSKGLRQHRLV >Ma06_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:205494:205598:1 gene:Ma06_g00290 transcript:Ma06_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFEMMVSKVTLLLHYGVMVASFFSPLMKNWCF >Ma04_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19536492:19540790:-1 gene:Ma04_g18080 transcript:Ma04_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASLKNLIARRLLGKILIDLRNTREEAISVAELKGSQEPPTSTFAKTRKEETEYQVRSLNKNEDPRRSSTTSEKSLDQDDDDDRETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDETLQGEDSLVCTSALERVFKTKELDYMSYIVLRMFENTEVALEDPKRFRMEMTFSRGADLSPLESHDGDAALLHQEHTLPIMGPERLQEAGSYLTLDQFEKMIHPFAMPAEDFPPPATPQAFSGYFSKSAGVFERLVNLWPFHKGANNNVKQ >Ma02_p05890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17030009:17031281:-1 gene:Ma02_g05890 transcript:Ma02_t05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANTKEPVESNFQLLEVITESLLSTVAEPIAIRVGNEQHYLDILRHLHGRRRRRESTLRMGLPPMHRGLVRTSPRHRRRYRLAGIARKIRIYSLRTLLPPEGHAGGAAAFYGHSTACDFYICTPAKLGSLRRLPASGGRVLGSGDYDGVVTEYDEERGVEVFERDEHAGRHVRSWNTRRGGKGCVGVARPGAAVCGVEFDPGGGPWVGVGSADRHAYVYDVRAVSAGPVAVFAVHGRAVTYVRFARGPGRAVVSSGTDGSHRLWVWVGGGGGGGVEEVRAYSGHANALRFVGMSVWRTGGGLVYDLRLEESQFGCGASTSTGGKRRAGSSAPSAGGKQRRRRRRRHQKFSPADRTGPYRPSFASSAACWANPPFLWPKEGGIKVGNSSTGERNGFHFDRFDSK >Ma01_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7835734:7842619:1 gene:Ma01_g10900 transcript:Ma01_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCFGALFSRKRHLIDSEKNLEGFSSENNLSLFSYIELKSATDNFHPSNKIGRGGFGTVYKGILRNGIIVAVKVLSADSRQGVREFLTEIDIITNIKHSNLVELIGCCVEGSNRILVYEYLENGSLDRALLGSNSDAGRLNWYIRSAICMSIARGLMYLHEELQPPVVHRDIKASNILLDRNFLPKIGDFGVAKLFPDDITHISTRVAGTTGYLAPEYALKGQLTKKADIYSFGVLVLEIISGRSNSKSWSEMGIPLLEWTWTLLEEERLTELVDAALKDYPEDQVIRYIKVALFCTQAAAGRRPSMSQVVEMLSRPVLINNQEITRPGYMEDSGKTSRGTRATNSTFSRSKDSTSGDTTTPFSLTHDTVTEMSPR >Ma06_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11309727:11309906:1 gene:Ma06_g16660 transcript:Ma06_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMHGRDIVEKMVREMMEGNKAEELRTSAAKMAEMARDSVSSTGGSSFTDGRAIDCRR >Ma04_p27450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28609277:28612328:1 gene:Ma04_g27450 transcript:Ma04_t27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKASLFGKLSSCSRIIKAFLPAVRLQFLPMSSFGRGRRPKKKVYHRVPELDKFVDLQKKPTLLLRLRAIIAACKDRSILLRNLEKEVGFIQKWNFLNLIQRHPTIFKVSGGSASHAPISVRLTDKAERVSVEEARARELMEPIIVTNLRKLLMMSLDCQIRLEKIELIESELGLPPDFKYRLIPKYPEFFSVRKVNGIDYLCLETWDSSLAVTAREEKLDLGHAPIRTKEIPRDGNIMGPFAFRLKFPAGFRPNKHYLEEVVKWQKMEFPSPYLSGRSVQPATPQARKRAVAILHELLSLTMEKRLTSDKLDAFHNEYQLPCRLLLCLVKNHGIFYITNKGARSTVFLKEAYDGCTLIDKCPLLKFNDSFVALIGRACLDLNNAVAA >Ma05_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34230857:34231112:1 gene:Ma05_g22510 transcript:Ma05_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFQLLLKVNHAKHELHNVFIRQLYRENLFDDMLKEHNNT >Ma02_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24267579:24270109:-1 gene:Ma02_g17320 transcript:Ma02_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLAFLYVRRRRSPLAGAFFAPGDLSGAALLHALAALSADVACWPARSQRRNCRSLARVLGILAILFDSLKESFAPSPFSPLPPRSPPSAVLCLRELYIFVYRAKLLLEYCSQSSRLWLLIRSPQISGYFHDLSQELATLLEVLPVDDLRLAADVREQIELLRRQCRRSKLCLDPNEEDLRQKIQSFLGQFETRKAPDPVELRNTFFDRLEIRDARACRKEIEFLEEHIFNQEEDVDTSVISGVIALTRYCRFLLFGFQEMEVARPFSDQGKISRKRLVSQGSSVSQGSGDFSLTIPKDFCCPISLDLMKEPVVVSTGQTYDRASITQWIEEGHRTCPNSGQTLSNNRLIPNRALRSLISQWCAAYGIPHETPDGADASAESIVAACTSKAATEANRATARILVQQLSVGSQESKAVAARELRLLAKTGRENRSFIAEAGAIPLLCRLFRSTNLMAQQNAVTAMLNISIHDDNKSRIVEEEGCLRLIVHVLRHGLTGEARENAAATLFSLSAVHDFKKTIVDEEGAVVGLANLLMQGSQRGKKDAVMALFNLSTHLDTWSRMLELGAVSALLEALKDESVAEEALGALALLMRQPVVAQAVGSEDAAIASLLEMMRRGTPKRKENAVAALHEMCQRGGLPVTQKVAKTPMLGGLIQTILFTGTKRARRKAALLARMCQRCDSPAAMVYGNEWSPSRALARSSSSRGSSFRSGDVSVSMSRAVRVPVL >Ma02_p02250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13376214:13380527:1 gene:Ma02_g02250 transcript:Ma02_t02250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDSSKQPQYVSRLPQERSLPLSHETDAAAAAESSDLVPAAASERHGGLQVVAAEKDEQRKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGYKSDGETIRWLLQQAEPAIIAATGTGTIPASALASAAAAGPTGATVSAGLHPKFDELGPRPNRAMLGPASFGRPLHGLLLPPGVLDSGFLQPGSAAAASSSAGGGDTSVSSLMQRMGILHGLDLPDGNTGPMSFASLLAGHGQQLPGLELGLSQDAHSGALNPQALNQFYSQIGHVMGAAGSAGSAGSGQLQHQQQQQVFSAEDDSQESE >Ma02_p02250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13376214:13377495:1 gene:Ma02_g02250 transcript:Ma02_t02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDSSKQPQYVSRLPQERSLPLSHETDAAAAAESSDLVPAAASERHGGLQVVAAEKDEQRKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGYKSDGETIRWLLQQAEPAIIAATGTGTIPASALASAAAAGPTGATVSAGLHPKFDELGPRPNRAMLGPASFGRPLHGLLLPPGVLDSGFLQPGSAAAASSSAGGGDTSVSSLMQRMGILHGLDLPDGNTGPMSFASLLAGHGQQLPGLELGLSQDAHSGALNPQALNQFYSQIGHVMGAAGSAGSAGSGQLQHQQQQQVFSAEDDSQESE >Ma02_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13376214:13380527:1 gene:Ma02_g02250 transcript:Ma02_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKDSSKQPQYVSRLPQERSLPLSHETDAAAAAESSDLVPAAASERHGGLQVVAAEKDEQRKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGYKSDGETIRWLLQQAEPAIIAATGTGTIPASALASAAAAGPTGATVSAGLHPKFDELGPRPNRAMLGPASFGRPLHGLLLPPGVLDSGFLQPGSAAAASSSAGGGDTSVSSLMQRMGILHGLDLPDGNTGPMSFASLLAGHGQQLPGLELGLSQDAHSGALNPQALNQFYSQIGHVMGAAGSAGSAGSGQLQHQQQQQVFSAEDDSQESE >Ma08_p18350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29902142:29902225:-1 gene:Ma08_g18350 transcript:Ma08_t18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEPKIYLIRVSKINQKKRVKLHKMK >Ma04_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2163366:2164554:-1 gene:Ma04_g02560 transcript:Ma04_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLGARSLNLPTSSKTTQTATSSLIPLSQSPSCTRGNPPKLASTPARRQLSLPTTRISATLFSAPKPPSDSGPNKVQELCVYEINERDRGSPAYLRLSQKEVNSLGDLVPFSNKLYSGNLEKRVGITAGICVLIQHVPERGGDRYEAIYSFYFGDYGHVSVQGAYLTYEDSYLAVTGGSGIFEGVYGQVKLQQIVFPFKIFYTFYLKGIPNLPKELLGTPVPPSPTVEPTPAAKAAEPHAAVKNYTN >Ma02_p03680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15114734:15120208:-1 gene:Ma02_g03680 transcript:Ma02_t03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLENSSSRQVTYSKRKAGVMKKAKELSILCDIDLVLLMFAPNGKPTVCVGDRSNIEEVVARFSQVSQQERAKRKLESLEALKKTFKKLDHDVNIQEFLGPSTQTVEELASYLGSLHGQLLDVQKRLSYWADPDEISDIDHIRAMEQSLKESLSRIQAHKENFGRQLISVDCCGQFQNDIHLHSGFNYEQGASPVSWLHNNDGQQLMLPQDSNLLSQRDIGCSADTPLQNCNAYFSTGEQTDANEQVQEGSLHEYSPNACLRLQLGGQYPYQSYCQNLVSERTFKPDAENSLQESTIDYQVNHFDASFQNWASTSATCGVSMYDEQSYTQQHD >Ma06_p05670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4219288:4223561:1 gene:Ma06_g05670 transcript:Ma06_t05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPPVRKSHTSTADLLTWSETPPPAAIDQAVSASRRTLKPAGGISPVMFGAQMSAEEAESLNKRKPCSSSKLREMTGSGIFAADNENAIMESGNAVSNHNTKTSVRICQQAVSAISQISFSADEAVSPKKPTSIAEVAKQRELSGTTESELDAKVKKQLSEAKSKELSGHDIFGPPPEVPARPLAARNLELRGNLDFVLPQPRSIHTSVKVSNPAGGPSNIMFSDDSVAKTAKKIHTQKFQELTGNDIFKEDAPPGSAEKPLSVAKLKEMSGSDIFADGKAASRDYFGGVRKPPGGESSIALV >Ma08_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35723409:35725077:1 gene:Ma08_g21950 transcript:Ma08_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGRNWVRGRPIGSGSFATVSLALDRSQGEVFAVKSVALNGANLAAILSLSNEIRILSSVRSPYVVQYLGDDVSREARSGACRNLHMEYLPGGSVADLAAERRRKGCPVDDADVRSYTRCVTRALRYLHDVAGVVHCDVKGRNVLLGGAAGVAKLADFGAAVRISGGDERSWVRGTPLWMAPEVARGERPRPESDVWSLGCTVIEMATGAQPWPDWRLKDAAEAMFRIGYGDELPEFPPLLLEVARDFLDKCLRRDASERWTAEQLLQHPFLAEAEIPMEETPRGVLEWANLEFHDGADDGEGCSVSYSDLSDSTELVASGRKRVGELASCGGVLAWESDDWEEVRRVEELNLQGDKAEEERGTFWECPDCTSLGSADKHGGVSEEQGDDLPSVMRCSVSSPTASCPCSFCKGRLVCHHVNGLIRVLFFGCCCLLSQQWIKFHGLPCYINSNKNLTWDLLHSTVICITCVSHSSRHKSTTESMVTSHQMLCCTFFSCAYILIQHDVSLCLWPWLA >Ma00_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22639072:22639359:1 gene:Ma00_g02920 transcript:Ma00_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSFGTSWADQWDYSDHGRQLGEKKKKKKSSSGGGGWKSGVEKTKAAASAGLKKVKEGSSTGFRWIKDKYHERTRRH >Ma05_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36533325:36534537:1 gene:Ma05_g24260 transcript:Ma05_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRFLLVACSLVAIASAGNFYQEFDVTWGGDRAKNLDNGQLLTLSLDKASGSGFQSKNQYLFGKIDMQIKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFTQGKGNREMQFKLWFDPTDDFHTYSILWNPRHVIFMVDGTPIRDFKNLESRGIAFPNSQPMRIYSSLWNADDWATRGGLVKTDWSRAPFTASYRNFKANTCVPSSATSECASNSVPSNGGWWNQELDSMGQQRMKWVQKNYMIYNYCSDLKRFPQGLPPECSIA >Ma07_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27484353:27487120:-1 gene:Ma07_g19490 transcript:Ma07_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVAADPNPHQSASTPGAAPSQLAQMRSLTLEVSNDAAGAEQPAGNRSLPADFYETVDEIEVTKKGSPLVRSLAGSASCGEPEDWRERSELDGEALPSPSSSGYAGEPGSSGGSNGIEEEADDGGSSLRGDWSRGKRHFDEDDASISWRNRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDCIKFVRAGNHQVIFLVKGPIYLVCISCTEEPYEALKRQLELIYSQLLLILTKSVDRCFEKNPKFDMTPLLSGTDEVFSSLCHAFS >Ma04_p27170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28405958:28406644:-1 gene:Ma04_g27170 transcript:Ma04_t27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLLSSSAATRPIRRHFDRRRLLSSPIHLYRRLILPYPKPNATCSASWRELGALPFLCDPQIRPSLEVAGFAGASPAAFRFCQQEPLALDAASLAVKECESDDELLAAVRLRVRTFYDEFDQSSGDQFC >Ma06_p25950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26569284:26570457:1 gene:Ma06_g25950 transcript:Ma06_t25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTAAMEHRLQPLKGRVAIVTGGAGGIGSAVSAHLASLGASVVIGYVGDPSPAEKLAEAINTTYGAGDGHSGGPRAIAVSADVSSSAQVKSLFDAAEATFGADLHILVTAAAVNDARYPSIVDTTEEIFDQMFGVNAKGTFLCCREAANRLVRGGGGRIITFSSSGVGGLRPGFGTYAATKAAVEVMTRVLAKELKGTRITANGVAPGATATPMFYAGQSEEAVSACTAEIPLGRLGLPEDVAPLVGFLASDDGEWVNGQIIRINGGNI >Ma09_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7462570:7463079:1 gene:Ma09_g11030 transcript:Ma09_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFHRFYGTLQNPWKHVLGTRPGLVFVNQFVKPDETLDKAMATDFVSGVNRWVAEHGAKLLWRSYRSILGIADEVTRVNRGSFRKFLFYSITNNVLCTVKDWKINACSGSQPKQLIIIVI >Ma07_p22870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30646729:30647286:1 gene:Ma07_g22870 transcript:Ma07_t22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLPFFFVLIVALAMSSALLGGAPVRAQQMCGADLGLLLSLCRAYVVPGPRKDPSKECCAEVQKADIVCLCKNIPSEVEKKISMENAVYVAKCCGKSVPSGTKCGSYTVPMA >Ma10_p25830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33779960:33785104:-1 gene:Ma10_g25830 transcript:Ma10_t25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETGEEGRPMDLRNWHHERRWRPYRADLHREVARLRAPAFARYRVEVRKDELDDPNWEAEADEEESTRPFSAAMATIKKRVEWRKSNPAGDVLGWTPSSRQRLRRAPPLLHLCLMSLVKHGEEIESLEGIPDDLKHKIVSLLCNNRKMNSRILRTYLNGSTTEIHLTDCSWASEDVIQDAFTSCNIDHLRLVQLDLSGRCMPDYVLHTIFAKSQYSFPSLVTLSLKGAYRLTDNVLSVLASSAPSLKSINLGKCSLITSCGIISLAEKLNLTELYIDNCQKIDVMQILPALESMEHLKVLSVAGASTVCDTFISRLMHACGYNMRELVIADCLKLTTKSVRAIGANCPNLRLLDLQRLNQLNDLALKYLANGCRSMTTFKLRQNQFSDEAIAAFLEASGGSLIELSLNSIAKVEHQTAIAVAHGCHSNLQNLDLSFCRRLTDEALGFIVDNCSRLSILKLFGCSQVTEQFLKGHSNSQVRIIGLTGSILDEMEMSKV >Ma07_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6255507:6260563:1 gene:Ma07_g08420 transcript:Ma07_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVISTKGLVSLPPKPQPRPFHPLHTLRSRFPSAASRFPSPAVRSQAPASVLHAFGSGPRTPWIPTPAAPPDPKGLLLYPGLWGKPRKAPVFRAGAAIPADGAGLVEAEGEKKPKFLGVEIATLKKIVPLGIMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLSNVLSKEALFYTVILPFIAFFGAFAFVLYPLRDAIHPTALADRLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGVDGWAVSLKAMMSIVVLLGLVICAIYGGVNKFVVNDPSLPRSDRKKKKEKPKLGMNESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRLILRKFGWGVAAMITPTVLLLTGVGFFSLILFGEPLTPLLGSFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEEMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAARSLDSQFSPLAKQELEKEKILKEKEKELPIDMTMDTDGFVAESAASENSSNGSPLKQESSPESEGSSETSTIRQ >Ma09_p28130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38961028:38973981:1 gene:Ma09_g28130 transcript:Ma09_t28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEQDVDVFGEDIEDEEEEPDAEHVAAAEEENGSGDSSASSSSSASSSSSASDSSSRSSSDGEEEDGNSTALVRSAETGGEYVEEEEDERDLFGPDNEAYMKTHARSPFPVPVLPPLQNNQNRSNFGRGRWQSGYNNRGPPLLPRPGPYSQRQNYGFGSKFSHGNGRESEHFVSEMKLTKSEETLSRKIIQFQEPSEIGCYSRVEGGVVYFDDRSLRLFKRTICEDVGADLNKGFESFVEKRDLGSQGFGDLLACIRNKNIPLQNIHFVTFRNNLNKILATAYIRNEPWKMGVHKRRGVVYLDVHKLPERPQSQLDSRRCYWGYAFENLATENSTETDIDGKGIDANVEFCSVIKTKLGAHRIIMGAEMDCCDSTDDGRRFYVELKTSRELDYRTEERYEREKLLKFWIQSFLAGVPYIVIGFRDDAGILVRTERLRTKDITQRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFVPPFHRLELLRAESCPDIIAEHAEQL >Ma01_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9843667:9844549:1 gene:Ma01_g13470 transcript:Ma01_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTISSQVHATYSPSLSQNEEGVHHSIRIITPPATETQPESIDYTRRGQWLRAAVLGANDGLVSVASLMIGVGAVNQASKAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVAQMKREEEVKGVEEASEEKRRRLPSPFQAAAASALAFGAGALLPLLAGGFVKPWGIRIAVVCAVTSLGLAGFGAAGALLGGASLRKSSIRVLVGGWMAMAACYGVLRLFGLAFGTETASV >Ma11_p19560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24377195:24378141:-1 gene:Ma11_g19560 transcript:Ma11_t19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGTQDKCKECEKTVHFIDLLSADGVTYHKSCFKCSHCKGTLSMCNYSSMDGVLYCKPHFEQLFKETGTFTKKFPAGAKSGERNEQTPSKISSMFSGTQDKCAVCKKTAYPLETLTMEGESYHKTCFKCSVGGCTLTPSSYAALDGILYCKHHFAQLFKEKGSYNHVIQAALGKQNTAEQPPSEAAPEEPEQDGEQQT >Ma10_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32612410:32613582:-1 gene:Ma10_g23830 transcript:Ma10_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNRLLTLFETCHLRDRLFFSSSVNAAAVTVGGTISPDPHFMVEYLVNSCGFSPSEAAKFSKPLAHLRSTEKPDAVLNFMRSQGFDGAGIRKVISWKPNYLCYNVEKNLAPKFLFLRDLGLSESDIVDAILNNDGILRLDVHRSFVPKLEMWESLLGSRELVLKHLKKTTRFFFASVEKTLHPNLKFLRDECGIPEERVSVVFRSHPQLISQKPESLRALVARADELGMPRQSRMFMWTLVVFHNVSKERFEAKVELMRSFGWSESEFSSAVRKAPTFIGMSLDMLRRKVEFFINVVGYTPSFIADKSNLLLFSLQKRVIPRFRVTEMLKSKGLWTGQGKFTCILTLSDTKFLEKFVLPHEENVPELLDILRVEGVCKGK >Ma04_p31270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31612865:31612987:-1 gene:Ma04_g31270 transcript:Ma04_t31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERLMGTDCKFVGDMSTLVQIQLGPKIRQRIYNPSTDKD >Ma04_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2108214:2110572:1 gene:Ma04_g02460 transcript:Ma04_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHCSLIARTDFPMTEKHKGLGTAIFYSIRSCFAAKSRVHPAPRKIDDEEVATTTTNSPSVWTVWKKSSMGFHGTDGFSIYDGMGRLSFRLDNYSRKRKCFAGELLLMDGNGKAVVTLRPQVCDRWSGFRGEDGRETDCRSTHVFDIRRRSVLQSCDETEVLMDSTPDHKLPDFRTEGCFRRRNCKIMDRNGDEVTRISRNKENYSVAPRDHVFSLIIQPNKESELMAAFLVLMDRICT >Ma10_p26380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34100689:34101381:-1 gene:Ma10_g26380 transcript:Ma10_t26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYASPGGSSSSHFTTLSLPLAIAVSLGLLVLLSALLVASYVCCRRQSRDPDPDPGPGPSPAIADGIVLPRIIFVAEDDEGDGGRASGLDQAAISSYPKFPFSVASGGDTVCSICLCEYREGEMLRMIPDCRHYFHLLCIDVWLRLNASCPVCRTSPLPTPVSTPISTPLSELVPLSLFAADRRRRS >Ma11_p10740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10337063:10337284:-1 gene:Ma11_g10740 transcript:Ma11_t10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDTTASGSALTPFRLSSTPKDATSGSALNLVPKENFI >Ma11_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18855523:18870446:-1 gene:Ma11_g13720 transcript:Ma11_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESVEAVVAHIQGLSGSQEEVAHLHSLLKQSEDALRSQAARLGAFLHQLDPSVHTLGYLFLLEAYSSDPVCREQDGDFLLSIVEFINSCSAEQIRLAPEKFISVCKSFKDKVMQLQVPIQGIVPLRTAIRKLQASSEQLTTLHSDYLLLCLLAKCYKAGLSILDDDIFEVDQPRDLFLYCYYGGMINIGLKHYRKAWECLHNVITAPMTTLNAIAVEAYKKYILVSIILSGQVPLFPKYTSSTAQRNLKSHTQPYVDLANCYATGTFSELEGCIQTNLEKFQSDSNLGLVKQVLSSLYKRNIQRLTQTYLTLSLQDIANAAQLKTPREAEMHVLQMIQDGEIFATINQKDGMVSFHEDPEQYKTCGMIEHIDSSIHRLMALSKKLGSLDEHMSCDPAYLTRIGKERPRFDFDEFDSVPHKFL >Ma05_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7003841:7004526:-1 gene:Ma05_g09700 transcript:Ma05_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHMWGHGSQYRRGSESLRGATRQMPSPSLLKLPCYCCAEGCKNNIDHPRSRPLKDFRTLQTHYKRKHGARSFACRRCGKAFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRSFGSGHAPHIVETMSFDEEEEEEEEEGDDGDEEGNGDNGGAL >Ma10_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31621572:31625087:1 gene:Ma10_g22140 transcript:Ma10_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPEHDYTGGAVEDGALNLKETELRLGLPGSESPDRDDKVGITLELLSPKSFVSGAKRVFCDAIDAGGGKWGFSAGEAGSEVDKGKGSVLFTPKGEGSAGGKPPGLGRVGNDAAASGQVGNSGKSHREVAPAAKAQVVGWPPIRSYRKNTMATNPPKYKEDVDGKLGLGCLYVKVSMEGAPYLRKVDLKTYKDYRELSSALEKMFSCFTIGQCNSQGIPSRDGLSESRLMDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKGSDAIGLGKFRQTEILNNLQSELLKGSDAIGLAPRAMEKCKSRN >Ma10_p22140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31621570:31625087:1 gene:Ma10_g22140 transcript:Ma10_t22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPEHDYTGGAVEDGALNLKETELRLGLPGSESPDRDDKVGITLELLSPKSFVSGAKRVFCDAIDAGGGKWGFSAGEAGSEVDKGKGSVLFTPKGEGSAGGKPPGLGRVGNDAAASGQVGNSGKSHREVAPAAKAQVVGWPPIRSYRKNTMATNPPKYKEDVDGKLGLGCLYVKVSMEGAPYLRKVDLKTYKDYRELSSALEKMFSCFTIGQCNSQGIPSRDGLSESRLMDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKGSDAIGLAPRAMEKCKSRN >Ma06_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7978070:7987759:1 gene:Ma06_g11430 transcript:Ma06_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRAMSWRIVGKAAQALAAHALLFCFTLFLALKIDGRTSYSWWIIFVPLWLFHAVVARGRFSLPAPSLPHDRHWAPCHAVVATPLLIAFELLLCIYLYSTSVNGEHAVNLKIVFLPLLAFEIIILVDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLMAATIFTLLKLCGDVDALGWWDLFINFGIAECFAFLVCTKWSNPMIHRRPYHEEPCSSSTMIRYRDWNSSLLLSSMEDHDQDRLCSLQDIGGHIMKVPLVVFQVLLCMHLEGTPPGARHIPILALFLPLFLLQGAGVLYAVSRLVEKLVLLVHNGTVDSRYLRISSRAHDFFAFLHHGSRLLGWWSIDEGSKEEQARLFHAEATGYNTFVGYPPEVVKKMAKKDLAEEVWRLQAALGEQSEITKYSQQEYERLQNEKVLCRICYEAEICVVLLPCRHRILCKSCSERCKKCPICRVPIEERMPVYDV >Ma11_p23570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26857978:26864836:1 gene:Ma11_g23570 transcript:Ma11_t23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSPRPKPTPAELAKAIKESFLALDTSTFAKALEEVQKNILSMRQMLSGDGEVEPNDDHISQLAIEICKGDVLALFVHKLPSLSWEARKDLVHCWSSLLMQNCCVEYIENNLELVDFLVICYNNKEIALSCGNMLRECIKYPTLAKCILESNSFELFFKYVELPTFDIASDALATFKDLLTKHETVVSEFLISHYDQFFELYEKLLTSPNYLTRRQSLKILSDFLLESQNLQTMKRFILEVRFLNIMMALLKDTSKNIQISAFHIFKVFVANPNKPPVIINVLIDNHEELLMSLQNLPMSKGEDDQFEEEKDLIIKEIEKLSGL >Ma05_p25400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37356293:37364784:1 gene:Ma05_g25400 transcript:Ma05_t25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVARERPMVPLAVLLRREITSEKMERPDVLHGQASQSKKGEDFTLLKTDCRRVPGDRDADATFSVFALFDGHNGSGAATYAKENLLDNVLSAIPSDLTREEWLAALPRALVAGFVKTDKEFQTKAHSSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYYLSADHRLDSDEEEVERITVSGGEVGRLNIVGGTEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSNAGGRLIISSDGVWDALASEVALNCSRGLPAYVAAHQIVKEAVQVKGLRDDTTCIVIDILPPEKITPAPPPKKAGIGVFKNMFRRKSSESSSHSDRDFIEPDVVEEIFEEGSALLGQRLNTAYPIRSLFKLFICAICQIELKPDEGISIHGIPSVPRKQHPWDGPFLCISCQEKREAMEGKRLSRGSSFRKSFGSE >Ma05_p25400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37356293:37364078:1 gene:Ma05_g25400 transcript:Ma05_t25400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVARERPMVPLAVLLRREITSEKMERPDVLHGQASQSKKGEDFTLLKTDCRRVPGDRDADATFSVFALFDGHNGSGAATYAKENLLDNVLSAIPSDLTREEWLAALPRALVAGFVKTDKEFQTKAHSSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYYLSADHRLDSDEEEVERITVSGGEVGRLNIVGGTEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPHVKQVKLSNAGGRLIISSDGVWDALASEVALNCSRGLPAYVAAHQIVKEAVQVKGLRDDTTCIVIDILPPEKITPAPPPKKAGIGVFKNMFRRKSSESSSHSDRDFIEPDVVEEIFEEGSALLGQR >Ma04_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3803978:3820669:-1 gene:Ma04_g05040 transcript:Ma04_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPVGGGGGEDSSAVAEPYPPSPPGDDNPPPSSPQLPVAGEPGSPRCHQAECGSLSSSPPSGSDLAVPKLVALEVVGNAAPAGDGDAVGGEAARESLEEADDAQITQCEQAVDHREAEVSMPRGSLAASPSLSDGGFEKVKAFEPDASDIAAGDDDLLKEPLRMDLEEVEKTPIPQSQREDGVQEQVSMPQGLPDVPSSGFDGSLLKPAASEILTTATDACEEDSVKEAMSKMDVDSVPIPQWHQRKDFVQEQVLMPRDLPAVPPSGSDGGLLKFAAFEIDATDASEEDSVKEAMSKMDVDSVPIPQWHRGILMPQGLPVVSSSRSDSGLLKFAAFESDATTSSAGGKDSVREAMSKMDVDSVPISQCNQGKDFVQKEVLMPQGSPAVSPSGSGGSLLKFAAFESDATDTNACDDDAVEEAAPKMYVDSAPVPQCHQGKDGVQGEVLMPQGSPAVSSSGLNGGLLKFGAFDVDTSANNADDTATVEEEVPQIDVDGLPNVTCKQADVCEEVDVSMPKSPSAMLPFGSGGGLEKIASCEPVAVATTCDDDAVKEVALACADTLDNVALPLYEQMVNAEMGTKMLRGSLDEFPSVSDRGDENIAAIEPDAASTSYGKTDAMDDEAAQMDAETDDTLVVVQAGGGRRKRGRPTKSQVARTPLKRKEEEEVCFICFDGGDLVVCDRRGCPKVYHPSCVNRDEAFFRSKGRWTCGWHICSSCERSAHYMCFTCTHSLCRGCIKEAGFFCVRGNKGFCETCMSTVMLIETNAHGNQEMVGVDFDDKSSFEYLFKDYWLDLKAKLSLSLEELTRAKNPMKRSDVAIGNEESSDDLHHVKEEQIASSDSSSEHKEESISSRRKVRKKSRNTINEEVLVKEVETPRQSVCRDISWASDELLEFVAHMKNGDRSVLSQFDVQGLLLDYIKRNNLRDPRKKSQIICDSRLKNLFGKPCVGHFEMLKLLESHFLIKEVSPLDTEDKQGGVVDPDPDPDPDQTDTKGISDASMKLAPDKRRKTRKKVEKELLTNLDDYAAIDTHNISLMYLRRNLMEELLDDISFDEIVIGSFVRIRISGVGQRQDMYRLVQVVGTGKAAESYKSGKKTTDVTLETINLNKKEVITIDAISNQEFTEEECKRLRQSIKCGFIDRLTVGDVQEKARSLQPVRVNDWLESEKLRLGHLRDRASEKGRRKELRECIEKLQLLNTPEECNRRLNEVPEIHTDPHMDPDYESAEEEEESDLRKQDYYDRSRGSSFLRNGREVKSPGKGSSTLSDNRSSSRKNSNTWDSNRSALVDGASIADSSAGRDVNTTEFSLNQANDVRQANNSEASKSHVTASVSDASLYHEKQHMIRSEQSADGQQENQIAPLPGDLAAVANESDKIWHYQDPSGKIQGPFSMTQLRKWSNTGYFPPKLRIWRASEKQEDSILLSDALVGKFQKDLPEWEPPHNSTSQSVIVSPTGFSAGPNLVGVQRGSDVSALSNIKQNAQKSSISQNEKWATRQASWASPKMEVSSRGRVSPKEAIGKSGQAQEQNSPRPLSPLNGNQPPALSYQVIGSQVNTASRSDAYYENPHPNWSSTSQQLPSSTSEVEPVNDSYHRRNGSTNVPPTPQPSKKSLIAEGSLNKHLPSSASVQAIASAWVNTGGREEPQSSFTINEPSRGGGQSLAASLSAPDTVFLGQATNLSHHPDKVDAAGSFQKKNSELELSFLLQSQHDHGKESLRTRGRSADETRKKSERLSIKLDTSVSSEDPKEKFHATEVGNITPAASSNIYKADSERNPVPLTGFLDNQIHQALTTSYPGSDLMSEKVSSRAPNLSAHPSDAASNLFVQSDALESSSAQRSQTSANANAVPVQPNQLGFDTGSNVQNTSFPVASQNPSPNSGPVQGTGNMSWAPMPQGNINVGWGMVAQGNMNMPWGQPAQAIAGLNMGLGAQNQVNTMMNPGWVAPAQGNTNMNVAWVTPAAGNTNQTSGWGGHMQGNLTVNPVWAMLLQGQPNPNPGWAAPPYPNATQNLEAPMQGTVNMNSAWGSGQGNMNPSWVPSAGNPQSSSIQPPSHGGDRNSGQGDNLQGNDSGHTNQRPLWNRTQAGGGSSLPPRGQTGICRFHEMGHCKKGASCNYFHS >Ma04_p05040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3803809:3820675:-1 gene:Ma04_g05040 transcript:Ma04_t05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPVGGGGGEDSSAVAEPYPPSPPGDDNPPPSSPQLPVAGEPGSPRCHQAECGSLSSSPPSGSDLAVPKLVALEVVGNAAPAGDGDAVGGEAARESLEEADDAQITQCEQAVDHREAEVSMPRGSLAASPSLSDGGFEKVKAFEPDASDIAAGDDDLLKEPLRMDLEEVEKTPIPQSQREDGVQEQVSMPQGLPDVPSSGFDGSLLKPAASEILTTATDACEEDSVKEAMSKMDVDSVPIPQWHQRKDFVQEQVLMPRDLPAVPPSGSDGGLLKFAAFEIDATDASEEDSVKEAMSKMDVDSVPIPQWHRGILMPQGLPVVSSSRSDSGLLKFAAFESDATTSSAGGKDSVREAMSKMDVDSVPISQCNQGKDFVQKEVLMPQGSPAVSPSGSGGSLLKFAAFESDATDTNACDDDAVEEAAPKMYVDSAPVPQCHQGKDGVQGEVLMPQGSPAVSSSGLNGGLLKFGAFDVDTSANNADDTATVEEEVPQIDVDGLPNVTCKQADVCEEVDVSMPKSPSAMLPFGSGGGLEKIASCEPVAVATTCDDDAVKEVALACADTLDNVALPLYEQMVNAEMGTKMLRGSLDEFPSVSDRGDENIAAIEPDAASTSYGKTDAMDDEAAQMDAETDDTLVVVQAGGGRRKRGRPTKSQVARTPLKRKEEEEVCFICFDGGDLVVCDRRGCPKVYHPSCVNRDEAFFRSKGRWTCGWHICSSCERSAHYMCFTCTHSLCRGCIKEAGFFCVRGNKGFCETCMSTVMLIETNAHGNQEMVGVDFDDKSSFEYLFKDYWLDLKAKLSLSLEELTRAKNPMKRSDVAIGNEESSDDLHHVKEEQIASSDSSSEHKEESISSRRKVRKKSRNTINEEVLVKEVETPRQSVCRDISWASDELLEFVAHMKNGDRSVLSQFDVQGLLLDYIKRNNLRDPRKKSQIICDSRLKNLFGKPCVGHFEMLKLLESHFLIKEVSPLDTEDKQGGVVDPDPDPDPDQTDTKGISDASMKLAPDKRRKTRKKVEKELLTNLDDYAAIDTHNISLMYLRRNLMEELLDDISFDEIVIGSFVRIRISGVGQRQDMYRLVQVVGTGKAAESYKSGKKTTDVTLETINLNKKEVITIDAISNQEFTEEECKRLRQSIKCGFIDRLTVGDVQEKARSLQPVRVNDWLESEKLRLGHLRDRASEKGRRKELRECIEKLQLLNTPEECNRRLNEVPEIHTDPHMDPDYESAEEEEESDLRKQDYYDRSRGSSFLRNGREVKSPGKGSSTLSDNRSSSRKNSNTWDSNRSALVDGASIADSSAGRDVNTTEFSLNQANDVRQANNSEASKSHVTASVSDASLYHEKQHMIRSEQSADGQQENQIAPLPGDLAAVANESDKIWHYQDPSGKIQGPFSMTQLRKWSNTGYFPPKLRIWRASEKQEDSILLSDALVGKFQKDLPEWEPPHNSTSQSVIVSPTGFSAGPNLVGVQRGSDVSALSNIKQNAQKSSISQNEKWATRQASWASPKMEVSSRGRVSPKEAIGKSGQAQEQNSPRPLSPLNGNQPPALSYQVIGSQVNTASRSDAYYENPHPNWSSTSQQLPSSTSEVEPVNDSYHRRNGSTNVPPTPQPSKKSLIAEGSLNKHLPSSASVQAIASAWVNTGGREEPQSSFTINEPSRGISNSLRELGSFSSQSVPEQTVTSGGGQSLAASLSAPDTVFLGQATNLSHHPDKVDAAGSFQKKNSELELSFLLQSQHDHGKESLRTRGRSADETRKKSERLSIKLDTSVSSEDPKEKFHATEVGNITPAASSNIYKADSERNPVPLTGFLDNQIHQALTTSYPGSDLMSEKVSSRAPNLSAHPSDAASNLFVQSDALESSSAQRSQTSANANAVPVQPNQLGFDTGSNVQNTSFPVASQNPSPNSGPVQGTGNMSWAPMPQGNINVGWGMVAQGNMNMPWGQPAQAIAGLNMGLGAQNQVNTMMNPGWVAPAQGNTNMNVAWVTPAAGNTNQTSGWGGHMQGNLTVNPVWAMLLQGQPNPNPGWAAPPYPNATQNLEAPMQGTVNMNSAWGSGQGNMNPSWVPSAGNPQSSSIQPPSHGGDRNSGQGDNLQGNDSGHTNQRPLWNRTQAGGGSSLPPRGQTGICRFHEMGHCKKGASCNYFHS >Ma07_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2435095:2441254:1 gene:Ma07_g03150 transcript:Ma07_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSSQPEFDYLFKLLMIGDSGVGKSSLLLRFTLDSFEDLSPTIGVDFKVKMVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDVWAREIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIDFAREYGCLFLECSAKTRVNVEKCFEELVLKILDTPSLCAEGSAGVKKNIFTQKPAQADASASSCC >Ma07_p03150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2435095:2441254:1 gene:Ma07_g03150 transcript:Ma07_t03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSSQPEFDYLFKLLMIGDSGVGKSSLLLRFTLDSFEDLSPTIGVDFKVKMVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDVWAREIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIDFAREYGCLFLECSAKTRVNVEKCFEELVLKILDTPSLCAEGSAGVKKNIFTQKPAQADASASSCC >Ma04_p39090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36310965:36319924:-1 gene:Ma04_g39090 transcript:Ma04_t39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNRGPRSPLLSRLAREKIRLPAALLLCVVFFLAGLFVSILISSPSQDPSGGGRLTRSRLLELDDEDPERSPMPHGKTGESTPSLIPFQVLSWKPRALYFPNFATAEQCQTIVQKAKSRLRPSTLALRKGETAENTQGIRTSSGTFISASEDSSGVLGQIEEKIARATMIPREQGEAFNILRYEIGQRYASHYDAFNPAEYGPQKSQRVASFLLYLSDVEEGGETMFPYENGSNMDIAYDYEKCIGLKVKPRKGDGLLFYSLFTNGTIDPTSLHGSCPVIKGEKWVATKWIRDQTEK >Ma04_p34690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33746817:33750136:-1 gene:Ma04_g34690 transcript:Ma04_t34690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFRVRKLGQGQMKARNVPVAVTPEGFWCCPSPAVLQKTIKNQNHQNKKKILSTMPSKASSVQRPISSPVDKGTLSDPLSSRVCSEDNSCLTSDTAAKSPTKAAEGLSKLNVGNQHQKISVGFGQPETSDLKIILFGKEGIAVEMSVHKAILTEHSSFFADKFSRQSPVPFFEISDCEDVEIYVETVGLMYCGEVKHRVIKQSVSRVLRILKVAESLGFHACIKACLDYLEVVPWVGEEGNVVSSVQHLQSKNYSISPILKRVFSDTSKPPNDTLAHIMELVLRSNEERGRREMKSLVLKLLKENSFWTDGSVDICIGTLYSLCQSCLASLIELFRQASKSTRIESKDPTVRKIALEAENLLWLVEILAVRHTADEFALMWASQNELAQLHSKLPVMSRHRVSCITARLFVGIGRGEMLPSKETRQLLLHVWLQPLVDDYSWLQHGCRQFDRKVVEEGIGQTILTLPLEDQQSVLLSWLESFLKVGSNCPNLQRAFEVWWRRTFIRPYFEQHGNNLKMDRN >Ma04_p34690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33746817:33750136:-1 gene:Ma04_g34690 transcript:Ma04_t34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFRVRKLGQGQMKARNVPVAVTPEGFWCCPSPAVLQKTIKNQNHQNKKKILSTMPSKASSVQRPISSPVDKGTLSDPLSSRVCSEDNSCLTSDTAAKSPTKAAEGLSKLNVGNQHQKISVGFGQPETSDLKIILFGKEGIAVEMSVHKAILTEHSSFFADKFSRQSPVPFFEISDCEDVEIYVETVGLMYCGEVKHRVIKQSVSRVLRILKVAESLGFHACIKACLDYLEVVPWVGEEGNVVSSVQHLQSKNYSISPILKRVFSDTSKPPNDTLAHIMELVLRSNEERGRREMKSLVLKLLKENSFWTDGSVDICIGTLYSLCQSCLASLIELFRQASKSTRIESKDPTVRKIALEAENLLWLVEILAVRHTADEFALMWASQNELAQLHSKLPVMSRHRVSCITARLFVGIGRGEMLPSKETRQLLLHVWLQPLVDDYSWLQHGCRQFDRKVVEEGIGQTILTLPLEDQQSVLLSWLESFLKVGSNCPNLQRAFEVWWRRTFIRPYFEQHGNNLKMDRN >Ma04_p34690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33746817:33750136:-1 gene:Ma04_g34690 transcript:Ma04_t34690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFRVRKLGQGQMKARNVPVAVTPEGFWCCPSPAVLQKTIKNQNHQNKKKILSTMPSKASSVQRPISSPVDKGTLSDPLSSRVCSEDNSCLTSDTAAKSPTKAAEGLSKLNVGNQHQKISVGFGQPETSDLKIILFGKEGIAVEMSVHKAILTEHSSFFADKFSRQSPVPFFEISDCEDVEIYVETVGLMYCGEVKHRVIKQSVSRVLRILKVAESLGFHACIKACLDYLEVVPWVGEEGNVVSSVQHLQSKNYSISPILKRVFSDTSKPPNDTLAHIMELVLRSNEERGRREMKSLVLKLLKENSFWTDGSVDICIGTLYSLCQSCLASLIELFRQASKSTRIESKDPTVRKIALEAENLLWLVEILAVRHTADEFALMWASQNELAQLHSKLPVMSRHRVSCITARLFVGIGRGEMLPSKETRQLLLHVWLQPLVDDYSWLQHGCRQFDRKVVEEGIGQTILTLPLEDQQSVLLSWLESFLKVGSNCPNLQRAFEVWWRRTFIRPYFEQHGNNLKMDRN >Ma01_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5977298:5977956:-1 gene:Ma01_g08340 transcript:Ma01_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGRLRELMKKYGKVALGVHLSVSFASITGFYVAIKNNVDVESVFERVGLSSGVSEKDRAADTSSSSSSSFPSGDAVILDGVDSSAPREEQQQWRRNRTAELAASSGGALALAILCNKALFPVRVPITIALTPPIARFLARRNLLKNHV >Ma02_p19230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25477670:25478577:1 gene:Ma02_g19230 transcript:Ma02_t19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKASEVCLALAVMTIMLPGLAWAQSGCTTAIISLAPCLSYITGNSSTPSSSCCSQLASVVKSQPACLCSVLNGGASSFGITVNQTRALAMPASCKVQTPPVSECNSVAGGPAKSPTSSPAAPATPANPAPATPSSPRAGGSKATPATASYGTSYTPTRSLMLSILFLAASVFSTSL >Ma02_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25477670:25478577:1 gene:Ma02_g19230 transcript:Ma02_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKASEVCLALAVMTIMLPGLAWAQSGCTTAIISLAPCLSYITGNSSTPSSSCCSQLASVVKSQPACLCSVLNGGASSFGITVNQTRALAMPASCKVQTPPVSECNSVAGGPAKSPTSSPAAPATPANPAPATPSSPRAEGGSKATPATASYGTSYTPTRSLMLSILFLAASVFSTSL >Ma02_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27203884:27207850:1 gene:Ma02_g21630 transcript:Ma02_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPIPLARSPTPPRRRVLPRCRRRPPGFALALLQFAATPSVDDHIRLAAAVHFKNHLRSRWAPFPAGAAEEPLSSSAPSPSPISAPEKEQVKSVLVSLMLAALPRVQPQLSEALAVVSSHDFPQSWPALLPELVASLFSKFRISFDNNALRLDLKYCLDVFAAPLLEVFLRTSRLIAANVAGPPDTLRPLFDSQGLCCDIFHSLNSVELPEFFEEHMREWMTEFLAYLGSSPSRDQLTVTAIKFLTTVSTSVHHSLFGSPEVLQRICSSIVFPNIRFREEDEELFEMNYIEYVRRDIEGSDIDTRRRIAFELLKRIALNYKEQVTTLVSMQIQEMLKVYAVNLGDNWKEKDSAIYLVVALAPKVGSSGGYLVDVESFFTSVILPKLQEQDVNAAPMLKAGALKFFTVFRDQIPKQAVMALLPHLARFLMSESNVVHSYAANCIEKLLLKCVDPANQAALLQLCTTFNCAIV >Ma06_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1063579:1071952:1 gene:Ma06_g01330 transcript:Ma06_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRDLALISISAAIGALASLFAARLLSFSSSPDNPKRPPSPPRLPSPPSPNANGSACGVPPRSPFDPAKREGYISWDDYFMAIAFLSAQRSKDPSRQVGACLVSQDDIILGIGYNGFPRGCSDDKLPWAKKSINGDPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYYVDKRIGNSDAAYIASHKLLSMAGVKVRKHQPQMTQILVKFQEP >Ma05_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17848509:17852286:1 gene:Ma05_g16660 transcript:Ma05_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSSVLGFFGFGIGIAVGLVIGYYLFIYFQPTDVKDPEIRPLFEQDAKSLEHMFPEIPVWVKNPDFDRIDWLNKFLEHMWPYLDKAICKTAKEIAKPIIAENTAKFKMESVEFETLTLGTLPPTFQGMKVYTTDEKELIMEPSLKWAGNPNVTVVVKAFGLKASAQVIDLQVFAIPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADLMSIPGLYRFVQETIKKQVANMYLWPKTLQVAIMDPTRAQKKPTGILNVNVVRAYKLKKKDLLGKSDPYVKLKLSDDNLPSKKTTVKRSNLNPEWNEEFKLVVKEPESQALELSVYDWEQVGKHDKMGVNSIPLKDLTPDETKSLTLDLLKNLDPNDPQNDKSRGQIVLEVTYRPFKEGEVANDISEDEGEIEKPPEGTPAGGGLLVVIVHEAQDLEGKHHTNPYVRILFRGEEKKTKCLKKNRDPRWEEEFQYMCEEPPTNDKMHVEVLSKPPSIGIHSKEMLGYVVINVADAVTNKRINEKYHLIDSRNGRIQVELQWRSS >Ma05_p16660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17848509:17852286:1 gene:Ma05_g16660 transcript:Ma05_t16660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSSVLGFFGFGIGIAVGLVIGYYLFIYFQPTDVKDPEIRPLFEQDAKSLEHMFPEIPVWVKNPDFDRIDWLNKFLEHMWPYLDKAICKTAKEIAKPIIAENTAKFKMESVEFETLTLGTLPPTFQGMKVYTTDEKELIMEPSLKWAGNPNVTVVVKAFGLKASAQVIDLQVFAIPRITLKPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADLMSIPGLYRFVQETIKKQVANMYLWPKTLQVAIMDPVRAYKLKKKDLLGKSDPYVKLKLSDDNLPSKKTTVKRSNLNPEWNEEFKLVVKEPESQALELSVYDWEQVGKHDKMGVNSIPLKDLTPDETKSLTLDLLKNLDPNDPQNDKSRGQIVLEVTYRPFKEGEVANDISEDEGEIEKPPEGTPAGGGLLVVIVHEAQDLEGKHHTNPYVRILFRGEEKKTKCLKKNRDPRWEEEFQYMCEEPPTNDKMHVEVLSKPPSIGIHSKEMLGYVVINVADAVTNKRINEKYHLIDSRNGRIQVELQWRSS >Ma02_p23740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28640181:28640460:-1 gene:Ma02_g23740 transcript:Ma02_t23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTWWRFSTKRNHSLDDSLIFLMQSASIIYRETNKDANWVAGFSRTFRFMHR >Ma02_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24514842:24516629:1 gene:Ma02_g17700 transcript:Ma02_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSSPLLNSAWVAPGKEAGAHEFPAGAVELPVPHIRRARSVAHLTPCLSSCSSSSFGSSPLASSPCPRRRLVTTMSRTLSEGDLSGLSATAVPKCRATPFAASGLSSSLEEEGEEVLCTTPRSAFPSSSASSLDRLLSSSGLDEGGVAVTVTEGVEGCCVAVIVVDDGCTGSGDGGGKIWDGGGRGRRGGGGRGGSTNDGNGGFDLSDSNSGNDATDTYYRQMIKADPANSLILGNYAKFLKDVRGDVAKAQEYCERAIVANPGDAEVLALYADLVWEANRDAPRAESYFARAVQAAPDDCYITASYARFLWDADEEDDTNSNQYPPPIVQGATSPPIAAAS >Ma02_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14939914:14947347:1 gene:Ma02_g03500 transcript:Ma02_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRGEASGYGDVEMGRQISTNSAEMGFEGFFTQVQDIEKQIEKLSKLLQNLQDANEESKTVIKAAAMKEIKHRMENDIDEVLRVARTTKTKLEELDRDNLANRQKPGCGKGSSTDRSRTATTVALKKKLKEKMSQFQTLRETIQKEYREVIERRVFTVTGTHANEETIDQLIETGKGDQIFAKAIQEQGRGQVLDTLAEIQERHDAVRDIEKKLLELQQIFLDMAVLIEAQGEMLDNIEDQVNRAGVFVSSGVNALSKAKILQKNSRKCMCIATIILLIIIAVIVLAVIRP >Ma09_p27490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38476513:38477658:1 gene:Ma09_g27490 transcript:Ma09_t27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPDWTSLPPDLLAKISEEFPIPHRARIRATCKDWHSAIVPVISRSPWLFVPDDDGEQHNSTFFSLPDKCSFTYPSLPELCGMTCVGSHAGWFVITDWKRKVSLLNPLTGNHISLPSHVARWNVDRVNHQAFNPKRIGKIVFSSNPTVHNYVVVAIYRFTDWELTYTKSGEDRWNLLETALTENDDSYKDIMHHDGKFYCITRKGEVIAFDLSGVSPIVTIIARSSALVSVIPAGTYCIQLACSNTGELFLVLKLAIDYALPYDVNKSEDVIVLRLQYSEDQPCWDVVKDLGNMSLLVGNSNSISISTEDLRGMRGNCIYLTEFFPETYSEGTLIYRKARMFDMKKGRWECLYSSTNFLPNTRKFPVFFQPPFWFTPSLL >Ma05_p18870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25507236:25508307:1 gene:Ma05_g18870 transcript:Ma05_t18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYGVTFVSLVCSNLCLFCNSHSVLEPEFYVLKEDASPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEVFLGFWHASVNNLLRHEPLKLVDGGQSQRTFVYIKDAIEAVLLMIVSQANGQIFNVGNPSNEVTVKQLAETMTQVYSKVSGEPPLEAPTIDVSSTEFYGEGYDRQ >Ma10_p30000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36424012:36426366:1 gene:Ma10_g30000 transcript:Ma10_t30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMENSSSSHLFIAFFSLLLTGVLAKSTIEPCSGSESCPALLGYTLYADLKLSEVAALFHVDPLAILAANAFDPAVPDVEDRILPAGLLLRIPTRCSCADGIRRSLAARYRTRPGDTLAYVASSVFGGLASPDQIREANSIPDPSALDVGRTLVIALPCACFNLSDNFLPAIYLSYVVRPGDTVPSIAAGYSTTATDIMNVNAVGGPAAISPGDVLVIPLPACASTLPNDASDFGLIVANGTYSITASQCVQCSCGPGNLKLYCTPASLSVSCSSMQCRGSNLMLGNITARSSSAGCSVTSCTYGGFVNGSIVTKLTASLQPRCPVQPQIPSLTAPPTTLVHDLFLAPTPLPLPPQPGGAMPTPKESPKSSSVPGEFALPGVSPAIGPDSGAAMASSVSPLDDHGREMLLLCLFLRFLL >Ma08_p05140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3508021:3527894:-1 gene:Ma08_g05140 transcript:Ma08_t05140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIEIRSLDGRSITVSISSDSSIGDLKAMLKESSFLPAKKSPRFHLFFKGSKLSLDGRVDDYPVEHGEFMVLVPFIKKSGQIPVENGPPVRSPPNRPLDSVPSPAADMAWRDIMNDLSSLSGITKDEITRSGPSNYLSSKKGETSVGERCRKTSMKRTCKRKLDDSHVLLRDLLCSDEKNIFDQLMSDRIRCIVESVCCLCNADSGSCLLFEEYFRSTSMSQHCVCPSWLKRVLKNFTFINILCALFQIQKKFLTWKCIDEALKQPGTFGLENTCGSDVENLSLLCPLVVITLCEQRRILNKLDSAILICNSINGQHEVTNTSNKEASTSSVIRAFDRRNYNFISELWKAIKCCMDRKLASSISLLLPLEDIILMKDIIALSESSDTLLFRKKTSLCSSTHIMEPAEMVEHLREGIGKQGQIVHVEQIDAKEAAYVELPTDLTEALKLILKGLGITRLYSHQAEAIRASLSGKHVVVATSTSSGKSLCYNMPVLEALSKNTSSCALYIFPTKALAQDQLRTLLKMISGLDIGLEIGVYDGDTSKENRKLIRDGARLLITNPDMLHMSILPFHRQFQRILSNLRYIVIDETHAYKGAFGCHTALILRRLCRICSHVYGSDPSFIFCTATSANPREHAMVLSNLQTLELIQNDGSPCGPKYFILWNPPLNLGQKTSSRSTIKKPVKREKASSRRNNKQPVQSSIESRRSSPILEVSYLLAEMVQHGLRCIAFCKTRKLSELVLSYTREILQATAKDLANSIYVYRAGYSPQERRRIETDLFEGKILGVAATNALELGIDIGHIDATLHLGFPGSVASLWQQAGRSGRRARPSLAVYVAFEGPLDQYFMKFPQKLFGSPIEHCQVDANNQKVLEQHIACAASELPLCLQYDENYFGSGLGCAIVALKNKGYLGTDPCVSPKLWNYIGPEKSPSHAVSIRAVETNKYKVMEKISNEVLEEIEESTAFFQVYEGAVYMNQGNTYLVKDLDLSAKVGFCQKADLNYYTKTRDYTDIHVSGGDLAYPLVKESGYVKTTARTNACKVTTKWFGFYRISRTSNQILDTVDLNLPTFSYESQAAWIRVPQSIKTILEAENLPFRSGLHAASHALLNVVPLYIMCNATDLATECVNPHETRAFAERILLYDRHPGGIGIAAQVQLLFRELLTAALELISTCGCTSTSGCPNCIQVLSCGEYNEVIHKDAAVLILKSVIKAETAYFEGGQVS >Ma08_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3508021:3527894:-1 gene:Ma08_g05140 transcript:Ma08_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIEIRSLDGRSITVSISSDSSIGDLKAMLKESSFLPAKKSPRFHLFFKGSKLSLDGRVDDYPVEHGEFMVLVPFIKKSGQIPVENGPPVRSPPNRPLDSVPSPAADMAWRDIMNDLSSLSGITKDEITRSGPSNYLSSKKGETSVGERCRKTSMKRTCKRKLDDSHVLLRDLLCSDEKNIFDQLMSDRIRCIVESVCCLCNADSGSCLLFEEYFRSTSMSQHCVCPSWLKRVLKNFTFINILCALFQIQKKFLTWKCIDEALKQPGTFGLENTCGSDVENLSLLCPLVVITLCEQRRILNKLDSAILICNSINGQHEVTNTSNKEASTSSVIRAFDRRNYNFISELWKAIKCCMDRKLASSISLLLPLEDIILMKDIIALSESSDTLLFRKKTSLCSSTHIMEPAEMVEHLREGIGKQGQLQQIVHVEQIDAKEAAYVELPTDLTEALKLILKGLGITRLYSHQAEAIRASLSGKHVVVATSTSSGKSLCYNMPVLEALSKNTSSCALYIFPTKALAQDQLRTLLKMISGLDIGLEIGVYDGDTSKENRKLIRDGARLLITNPDMLHMSILPFHRQFQRILSNLRYIVIDETHAYKGAFGCHTALILRRLCRICSHVYGSDPSFIFCTATSANPREHAMVLSNLQTLELIQNDGSPCGPKYFILWNPPLNLGQKTSSRSTIKKPVKREKASSRRNNKQPVQSSIESRRSSPILEVSYLLAEMVQHGLRCIAFCKTRKLSELVLSYTREILQATAKDLANSIYVYRAGYSPQERRRIETDLFEGKILGVAATNALELGIDIGHIDATLHLGFPGSVASLWQQAGRSGRRARPSLAVYVAFEGPLDQYFMKFPQKLFGSPIEHCQVDANNQKVLEQHIACAASELPLCLQYDENYFGSGLGCAIVALKNKGYLGTDPCVSPKLWNYIGPEKSPSHAVSIRAVETNKYKVMEKISNEVLEEIEESTAFFQVYEGAVYMNQGNTYLVKDLDLSAKVGFCQKADLNYYTKTRDYTDIHVSGGDLAYPLVKESGYVKTTARTNACKVTTKWFGFYRISRTSNQILDTVDLNLPTFSYESQAAWIRVPQSIKTILEAENLPFRSGLHAASHALLNVVPLYIMCNATDLATECVNPHETRAFAERILLYDRHPGGIGIAAQVQLLFRELLTAALELISTCGCTSTSGCPNCIQVLSCGEYNEVIHKDAAVLILKSVIKAETAYFEGGQVS >Ma04_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:952893:959547:1 gene:Ma04_g01090 transcript:Ma04_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 3 [Source:Projected from Arabidopsis thaliana (AT3G59550) UniProtKB/Swiss-Prot;Acc:Q9FQ19] MFYSHTFLAKKSPLGTVWIAAHLERRIKKPQIDAIDIPSYAECIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFRDCNRMLTDIRIAVSSIQVNLLVDADRAPFESVTLPETFELDALELDESVYQIDGPDNHRKDYEQITLTDEFSLGQDQYVAFYINEGNRTDSSTQKGTINVGAGPMVEDVLPPFDVGLDVMSTPVINSASFMDPGSDNQANSSFQTFDGSNAQEFPDIEVMREAVHNSGPESLLDLDDTSNDLGRLSEHSASLTRRKDSLSPILEDVLASGEESLPSPTHAKAPTVASVDNSNLFNREVSLDQPLPDLELQPSPPVREQNTKRRKRKQLYDEKIVLSNAEIKKQLEDTTKLVCKRRKLPCSHLDIWRFHRKCLSDQILYEPLLSGMCYNLQESFKRCFPLSSNDSGNMETSPGPGNASSDFALNDLDMEPEQPRFDTHIERNINEMVPSPSAVGDITPFNTTTAGSGSDFGRTFETEILPTLEMTEPVSYEPEASLFPMEEESPQDHTPKIPSLLRSAEKEDLFFLEASNASSGHEGNEPGSLSARTRAVAQYLKDHSPSQSQDDLPGVISMNNILEGKSRKQCARMFFESLVLKNYGLIDVRQEEAYGDILISPMPALLTAKFE >Ma04_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19834970:19836654:-1 gene:Ma04_g18180 transcript:Ma04_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMYAFRLGSYSMWNFSLFHYRAQGEIGANVLVGIQGPEEDTHEFKNQAQELGYEFTCEMSNESYRLLIP >Ma09_p00300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:249378:258223:-1 gene:Ma09_g00300 transcript:Ma09_t00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPVGLPFSVDTWTPTSLRKRHHFLTHAHKDHLTGIVVRASRPIYATRLTKNLALHYFPRLDDSLFVEIEVGESVVVDDPDGAFSVTAFDANHCPGAVMFLFEGRFGNLLHTGDCRLTPDCLQNLPLKYIVKKGRETLCHLDYLFLDCTFSRCCLKMPNKQSAIQQVISCIWKHPNAPVVYLSCDLLGREEILVEVSKTFGSNIFVDKSENSDCFQALFLAAPEILTEDASSRFQVLPLSKLYDKASEELANARASFQPEPLFIRPSVQWYAIDPNRYKSQKRKKCPAEAERDEFGVWHVCHSMHSSREELEWALQFLQPKWVISTTPPSRAMDLDYVKNHCYKTHISSDDPLWKLFKGCHVKSIPSPPPSASEVLKNNDTSIFITKVSTSEINQLQPETSSQSQFEVELDLFPPLRTQPFTLFGRARLGHKELDIIRIDENSIVEIEVESFAAKHDTLIEQPSSCLGCEITCSVKVSSAQIAITDSVSYSMEEQIVEQSFANQSDDTVNRRVESSNGYSKKALSICEPCIVKSGVDVKSDIWNITEPEDDKMGTLPNLDKLDEQLDVRRDMLCIGSSSSFNPSLRNLYRSMNVPVPRPLPSVAELMESCKRAKNVTSSDNTGSRQCYTTTAFLPS >Ma08_p09250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6695362:6696132:-1 gene:Ma08_g09250 transcript:Ma08_t09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSTVCLLLALLVTGHLMSGSLAKPKDHKAPKKGPKDHKGPKCKGWHDLVSQCSRYVAVGKPVDIPTKHCCKVVKKADFSCACKNITASRHKRINVKKLVLVASYCGKRLRHGTHCGSFTIPPTSAA >Ma07_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29492603:29494152:-1 gene:Ma07_g21360 transcript:Ma07_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGERLVGRSHFSLTCRLLSQYLKKNGSFGDLGLLLAPRPIDHQAKGNFWVPNTICFKPGNEVSGMDHHHADKSTDKNASNSPELMVSQHSISLNSSAIPRTEESTKPSDAKEIGKDQLTIFYGGQILVFDNFPADKAKDLLLMASKESIADPAADCTSNEPAVALQSSLPEPGQANSSDMPIARRNSLNRFLGKRKDRMGQAPYQVHGGSAGPAAAKPAENQPWLSLGPKPESSSQSSR >Ma09_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11376376:11378030:1 gene:Ma09_g16040 transcript:Ma09_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTSAAAKGCGGDGCNALHPWPLHHVRHRGGIRRLCTSCVLKCHNGSFCSSCFSVLDAGPSRPTPTPRPAVVRCSKCPSVCHLTCLQNPNLASQYLCPCCSNPDGFSYFLVSTSADAGGVPIEGSVAPCEEKRKTIDLNSAKVLFAAARLAVASMSRAATAARVEAERKVKEAMVARKRAREMLERALLISKKERERIKELIGSGDKAMVASLEVINPKKKKKKAPKPEGPSVMSITAAHKRVQAHGIAENRKSKSTSVSSVQSSVAVDKKNTAVRTVRNQSNYVEQEENKALRFGSKSGSVKED >Ma09_p16040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11376376:11378075:1 gene:Ma09_g16040 transcript:Ma09_t16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTSAAAKGCGGDGCNALHPWPLHHVRHRGGIRRLCTSCVLKCHNGSFCSSCFSVLDAGPSRPTPTPRPAVVRCSKCPSVCHLTCLQNPNLASQYLCPCCSNPDGFSYFLVSTSADAGGVPIEGSVAPCEEKRKTIDLNSAKVLFAAARLAVASMSRAATAARVEAERKVKEAMVARKRAREMLERALLISKKERERIKELIGSGDKAMVASLEVINPKKKKKKAPKPEGPSVMSITAAHKRVQAHGIAENRKSKSTSVSSVQSSVAVDKKNTAVRTVRNQSNYVEQEENKALRFGSKSGSVKED >Ma05_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3860216:3866450:1 gene:Ma05_g05050 transcript:Ma05_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQYNLLRNSAALSFPSPSLPSASRRPRSVRRIAIRGSAVTQPPPAAATASRESAVRSIRARQIVDSRGNPTVEVDLVTDGGLFRSAVPSGASTGIYEALELRDGDKKVFGGKGVLQAVRNINEILGPKLVGVDVRNQADVDAIMLDVDGTPNKSKLGANAILGVSLSVCRAGAGAKGIPLYKHIQELSGTKELIMPVPAFNVINGGSHAGNNLAMQEFMILPVGASSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNKEGLVLLMDAIEKAGYTGKIEIGMDVAASEFFLDGRYDLNFKKQPNDGAYVYTAHSLCELYREFVRDFPIVSIEDPFDQDDWSSWASLLSSVDIQLVGDDLLVTNPKRIAKAIQKKACNGLLLKVNQIGTVTESIKAALDSKAAGWGLMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGDVRYAGQAFRSP >Ma03_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14746967:14749463:-1 gene:Ma03_g14940 transcript:Ma03_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELCDLKVHVNEQHTFLLHQVLIPQPTHHVVSSSFLSFTTGNLSLLLQRVLCSFSGKLKEMVSQERQRSQPKGSGVGIMEFPGGACGFELVSRFCYNNGSIAMTPSNICLLHCSAILLEMTEDVSSCNLLRQTETFLDGVFHWTWNDILTALKSCEPFFPTADSCGLLQKLVSSLLAKIAANSEMMPLLSATPFPSSSSSSSSPDTSGFRCSSSTKTPEPMKPCSNREWWFDDLTILAPSTIEKIMKTLGAYGTDNKNLVLTRFLLHYLKTVVQRPCSGVGCGNLGHCKEEYGGLADTAVHGVALMGRTAFSCRGLFWVLRVVSGLGLSKECRQKLERLMGLVLDQATLDDLLVSGHDGGVYDVNLVLRLVRIFVSTEEGGGASSQRLKKVGRLTDKYLGEISPDQSLKVSKFLEVAESLPDSARDCFDGVYRALDIYLESHPTLSTEERTRLCGCLNYEKLTLEACKDLAKNPRVPPGVAVQALVSQHSKLHIGTDVADPSRTPAASPESPHEKQQLKLSLQRMQCRVKELEKACRNMKGQMSKMVKTKSMNHSNRGMPRLC >Ma03_p14940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14747161:14749463:-1 gene:Ma03_g14940 transcript:Ma03_t14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELCDLKVHVNEQHTFLLHQVLIPQPTHHVVSSSFLSFTTGNLSLLLQRVLCSFSGKLKEMVSQERQRSQPKGSGVGIMEFPGGACGFELVSRFCYNNGSIAMTPSNICLLHCSAILLEMTEDVSSCNLLRQTETFLDGVFHWTWNDILTALKSCEPFFPTADSCGLLQKLVSSLLAKIAANSEMMPLLSATPFPSSSSSSSSPDTSGFRCSSSTKTPEPMKPCSNREWWFDDLTILAPSTIEKIMKTLGAYGTDNKNLVLTRFLLHYLKTVVQRPCSGVGCGNLGHCKEEYGGLADTAVHGVALMGRTAFSCRGLFWVLRVVSGLGLSKECRQKLERLMGLVLDQATLDDLLVSGHDGGVYDVNLVLRLVRIFVSTEEGGGASSQRLKKVGRLTDKYLGEISPDQSLKVSKFLEVAESLPDSARDCFDGVYRALDIYLEHLNHGARVVAVTPNALHRGADKAVRMPQLREAHTRGLQGPGKEPTGAAGSRRPSAGLAALQVAHRNRRGRPVADAGRLPGVAA >Ma03_p14940.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14746967:14749462:-1 gene:Ma03_g14940 transcript:Ma03_t14940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQELCDLKVHVNEQHTFLLHQRVLCSFSGKLKEMVSQERQRSQPKGSGVGIMEFPGGACGFELVSRFCYNNGSIAMTPSNICLLHCSAILLEMTEDVSSCNLLRQTETFLDGVFHWTWNDILTALKSCEPFFPTADSCGLLQKLVSSLLAKIAANSEMMPLLSATPFPSSSSSSSSPDTSGFRCSSSTKTPEPMKPCSNREWWFDDLTILAPSTIEKIMKTLGAYGTDNKNLVLTRFLLHYLKTVVQRPCSGVGCGNLGHCKEEYGGLADTAVHGVALMGRTAFSCRGLFWVLRVVSGLGLSKECRQKLERLMGLVLDQATLDDLLVSGHDGGVYDVNLVLRLVRIFVSTEEGGGASSQRLKKVGRLTDKYLGEISPDQSLKVSKFLEVAESLPDSARDCFDGVYRALDIYLESHPTLSTEERTRLCGCLNYEKLTLEACKDLAKNPRVPPGVAVQALVSQHSKLHIGTDVADPSRTPAASPESPHEKQQLKLSLQRMQCRVKELEKACRNMKGQMSKMVKTKSMNHSNRGMPRLC >Ma00_p02730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:20195501:20202492:-1 gene:Ma00_g02730 transcript:Ma00_t02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAADAPTKGGFSFDLCQRNEMLLKKGMHLPTFRKTGTTIVGLVFQDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFSYQGHVSAALVLGGVDITGPHLHTVYPHGSTDTLPFATMGSGSLAAMAVFESKFREGLTKEEGIKLVSEAICSGIFNDLGSGSNVDVCVITKGHTEYLRNHQLPNPRTYVSSRGYNFVKGHTEVLSTKITPLKSKVEVAEVDAMEE >Ma03_p09330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6875757:6885516:-1 gene:Ma03_g09330 transcript:Ma03_t09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDDDPPPSLHLPRPISEPHLRLDPLSLSAASAAHRHASSTSHPSHDIPLPTPAVRHGSRAESECLASSQPELADADARLIIVGDPGRTDPHLQLAGNAVRTAKYSPFTFLPRNLFEQFHRVAYVYFLVIAVLNQLPQLAVFGRGASVLPLAFVLLVTAVKDAYEDWRRHRSDRIENNRAASVVDPRDGQFRPKRWKDVRVGEVLKVFADETNPCDMVLLATSDPTGVAYVQTINLDGESNLKTRYAKQETTSRPIGDAHPFAAGLIRCERPNRNIYGFLANMEIDGKRVSLGPSNIILRGCELKNTAWAIGVAVYAGSETKVMLNSSGAPSKRSRLETHMNRETLLLSAVLITLCSVVSICNGIWLGKHKNDLELSQFFRKRDYSDSDENYNYYGIGMQVFFTFLMSVIVFQIMIPISLYISMELARLGQAYFMIRDTNLYDESSNSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGRDYSNGKVALQDNGGTHSVLVDDQIWKLKMSVKTDPELVALLRSKVETEQGKQAREFFLALACCNTIVPLVVETADQTKKLIDYQGESPDEQALVYAAASYGFVLIERTSGHIVIDALGDRQRYDVLGLHEFDSDRKRMSVIIGCPDKTVKLYVKGADISMFGVIQKNRNLDIIRATETSINAYSSLGLRTLVIGMRKLSRNDFEEWQSAYENASTELIGRGRLLRAVASNVERDLHILGASGIEDKLQQGVPEAIESIRQAGIKVWVLTGDKQETAISIGFSCKLLTSEMTQIVINSNSRESCKKSLQDAVALSSKLAAISPDSENILRGTGSSRIAVALVIDGNSLVYILETELEEELFKVVTVCDVVLCCRVAPLQKAGIVALMKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNAVFVFILFWYVLYTAYSLTTAISEWSSVLYSVIYTALPTIVVGILDKDLSRRTLIKYPQLYRAGQRDERYNLKLFILTMMDSIWQSVAIFYIPYLAYRQSVVDGSSLGDLWTLAVVILVNIHLAMDVFQWNWITNASIWGCIVATVICVIIIDSIWMLPGYWAIFHIMGTGLFWLCLLGIIIAGMLPRFTTKALTEYFMPNDIQIARELEKYQNINAATTSEIPMSTLSQPL >Ma08_p29960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41383003:41387037:1 gene:Ma08_g29960 transcript:Ma08_t29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNTKLYEKYKNLKKRKFFEEEEWNRKRDTDLRSYQSAMEDLIEEMKNANERLRAELYSFQEQYANCEKSLLEESQKSKELSDEVGRLQNLLLRKNDTSDKSLMSSPCSIAGVMSTEIPKSLPRQKTPDLREEISPIQNKEAATLTYDSHKVETKVPDCCRRNMGSSSDASEDCCNCVFQTLMKLLVGMDFSVNSQTESLCLLVVHKMSGYSFSLTWMPRDGGEGELMYRVSSLGTLERVALDWMKEDMIFSTAMCRVFFERVTRVTGRS >Ma02_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27789021:27798011:-1 gene:Ma02_g22500 transcript:Ma02_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRDAGKAYKPAPEVDLGAHSDEFYLHANVKAPRVAGLLVKIFVWILESPILGSIVLYILKKDNLIQRLVSVAEIQEPPLFTATHSSEDISEQSVTPIKPNLSPAERVQEAASCLPPCLDSSFTDSASQFKRWTIRDFFRAYKSGETTPLEVARRFLAAAKETEDPKLHMAFFISYSHEDILRQAEESSRRYHRGTPISMMDGVLVAIKDEIDCMPYPTTGGTRWLHKVRPCIEDASCVKHLRSCGAILAGKTNMHELGAGASGINPHYGATRNPYDINKISGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGIVGFKPTSGRLSNSGILPLNWTVGMPGILAATVEDALITYAAICDHLPFSQPTFLQPSLNFPLLDSMQSITNIKLAKYGKWFNDSTIDIRNCCDQTLKMLSQHYGWKIVDVTVPEIEEMRLAHYITIGSECTASLAPHLSKLDYAEIGWDARVALSVYGSFSSRDYLNAQRIRNRQMYFHKEIFKRADVIVTPTTGVTAYPLLSDAADTGELDYINGAALVRFSIAGNFLGLPAVTLLTLCIKSYKRPKVFYDLLKKEQA >Ma02_p22500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27789038:27798011:-1 gene:Ma02_g22500 transcript:Ma02_t22500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRDAGKAYKPAPEVDLGAHSDEFYLHANVKAPRVAGLLVKIFVWILESPILGSIVLYILKKDNLIQRLVSVAEIQEPPLFTATHSSEDISEQSVTPIKPNLSPAERVQEAASCLPPCLDSSFTDSASQFKRWTIRDFFRAYKSGETTPLEVARRFLAAAKETEDPKLHMAFFISYSHEDILRQAEESSRRYHRGTPISMMDGVLVAIKDEIDCMPYPTTGGTRWLHKVRPCIEDASCVKHLRSCGAILAGKTNMHELGAGASGINPHYGATRNPYDINKISGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGIVGFKPTSGRLSNSGILPLNWTVGMPGILAATVEDALITYAAICDHLPFSQPTFLQPSLNFPLLDSMQSITNIKLAKYGKWFNDSTIDIRNCCDQTLKMLSQHYGWKIVDVTVPEIEEMRLAHYITIGSECTASLAPHLSKLDYAEIGWDARVALSVYGSFSSRDYLNAQRIRNRQMYFHKEIFKRADVIVTPTTGVTAYPLLSDAADTGELDYINGAALVRFSIAGNFLGLPAVTLLVGYDKGGLPIGLQFIGRPWSEATLLHLAFAMQTLCIKSYKRPKVFYDLLKKEQA >Ma05_p15980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14971540:14980714:1 gene:Ma05_g15980 transcript:Ma05_t15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKRRKQYPGYEDPVLLASQTAFTVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKSRKRDNLFANRLFDLFDVKQKGVIDFGDFVRSLNVFHPNAPHEDKVDFSFKLYDLDGTGFIERKEVKQMLIALLSESEMRLADETLEIILDKTFQEADANQDGKIDKIEWENFVSHNPSLMKIMTLPYLRDITTTFPSFVFNSEVDDIAT >Ma05_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14971252:14980786:1 gene:Ma05_g15980 transcript:Ma05_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKRRKQYPGYEDPVLLASQTAFTVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKSRKRDNLFANRLFDLFDVKQKGVIDFGDFVRSLNVFHPNAPHEDKVDFSFKLYDLDGTGFIERKEVKQMLIALLSESEMRLADETLEIILDKTFQEADANQDGKIDKIEWENFVSHNPSLMKIMTLPYLRDITTTFPSFVFNSEVDDIAT >Ma05_p15980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:14971228:14980786:1 gene:Ma05_g15980 transcript:Ma05_t15980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKRRKQYPGYEDPVLLASQTAFTVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKSRKRDNLFANRLFDLFDVKQKGVIDFGDFVRSLNVFHPNAPHEDKVDFSFKLYDLDGTGFIERKEVKQMLIALLSESEMRLADETLEIILDKTFQEADANQDGKIDKIEWENFVSHNPSLMKIMTLPYLRDITTTFPSFVFNSEVDDIAT >Ma10_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14309700:14309837:-1 gene:Ma10_g03710 transcript:Ma10_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAGKGRKEVVVTREYMINLHKRLHGCNLTLFFSVASPCVCCV >Ma05_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7170976:7174974:1 gene:Ma05_g09990 transcript:Ma05_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISSNDPSGRNKARQQQQQEEMESSTQESPLNPNAFTFDLPHPVYAMAFSPSPFAVASSCSAVAAASPRLALGSFVEEYGNRVDVVAFDEDALAFRPDPALSFDHPYPPTKLMFHPSPLPPLLASASDSLRLWHLGPETAELRSVLDNSKSSGYCAPLTSFDWNDEEPRRIGTSSIDTTCTIWDVERCAIETQLIAHDKEVYDIAWGQAGVFASVSADGSVRIFDLRDKEHSTIVYESPRPDTPLLRLAWNKVDRCYMATTMMDSNRVVVLDIRSPAAPVAELQRHRASVNAIAWAPQASRHICSAGDDGQALIWELPAAAAAGGSSEAAITAALQGIDPMLVYTAGAEINQLQWSAAHHDWVGIAFSNKVQLLRI >Ma09_p15840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11205927:11206797:1 gene:Ma09_g15840 transcript:Ma09_t15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSWTLEIESSVEASRLFRAAALDWHSLAPKVVPEIVVSGAVLEGDGSVGSVRQLNFSPALPFGYVKERLDFVDVDKFECKQTLFEGGHIGTKLEIATSHFKFEPASGGGCVLTVVTTYKLLPGVEDDQSEIVRSKETVTGIIKAAEAYLVANPDAYV >Ma06_p31590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32681252:32682151:1 gene:Ma06_g31590 transcript:Ma06_t31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSIKLTTTTKSIVISSATDHESKQKVEHKSQESRLSKTHPLSNHHHLPRLHHHAPLPHDGFKSLLSLLRRSSSFVLPQLHARILESSLAHAYLASDDLPPAGLPLEQDHQRVLRRFWEAVDVCDRMLVRGVRPNEFTFTFVLPACAGARSASEGRRAHGNVLSFGCGSNVFVATALVDMYGKCGDVRVARKVFDGMPVRGTASFNALIVGYVLNGEYKQAISIFNQMQESAVQFDAMTMVGVLQACSYLGALQRGR >Ma05_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32941547:32942870:1 gene:Ma05_g21280 transcript:Ma05_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATEGMRVKQECLSSFMEMKWKKVSRYVVYKIDEKSREVMVDKVGRPGEGYEGLAASLPNDDCRYAVFDFDFLSVDNCQKSKIFFITWSPTASRIRSKILYATSKQGLRRLLEGIHYEVQATDPTEMGFDVIKERAK >Ma06_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8359019:8359108:1 gene:Ma06_g12010 transcript:Ma06_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKGVLYSLVSLAWKTCTCGWRRGQREE >Ma05_p21670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33406064:33407697:1 gene:Ma05_g21670 transcript:Ma05_t21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSLSTSTSSSERPRVRHLSPGSVPLEVGGSSALLAKFKQWHDVDSVVTGEFLGELRTRYWIPEGYTLSAPRPGQRPYDQFPGGFGLTAGALEAGLRFPLHPVIEDCLRKWGISPSQMAPNSWRYLVVFLGECRAAGVDPSRDLFLTCFRLCRGRGGYYLSARSGFRISGAPSSNKGWKSRFFFVSCSRGWGFSTGWTSRTVDNVLPLLSGRESAELDRLRGILSSSRMIKEMTEEWLAGVGLSPVAGGMMFFMLRVTIRLGAPDRTFFFAEMVDLQSVKKASQGRSSVAAPPGGGGSGVGPKRGAPEGGSGSPGEKRPAKKLKTAVRKVSARSAARERNPAGSVPPGEASGATKGKEAAGSAGGDPVPGASQRPKSMRDLCRVGGSAGEEYRTPGMTCLPMGAAGAPYVPRWSALKADSRVWADGAVAQEFIRGALHPLLAKELYGLTLEVLADRAAKSLVWGQHYAMALLDRALDAGRVVERQANAFAALRLENEELKRSSGPEAVAAAEQRA >Ma05_p00990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:590576:593409:-1 gene:Ma05_g00990 transcript:Ma05_t00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTSKLKRKEVATSNQARVYWTREHDRVLIDLMLEQTLSGARAGASFTREAWFDMVDRFNERTRLQYDVDHLKNRFRFYKREFRIVSGIKNHPGFSWDHKLQIVITDDDEWSQYVAKNPEAKLYRTRQTPFFNELEVICGSSISKGNDASSSRIPDTADKDANANLIEGDGTFNSFVETPEISNYPVATSDIHARLPQDTQEAENINAPQASTFSTLRGSSRKSRRQADGALLALREFAEASRRQASMREEAQDKKLNLLDDCLEELNLMKDIDNELYVKALFIFKEEYNQHIFLKTTGIRRLMWLRVVTKDINL >Ma11_p18810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23828597:23862300:1 gene:Ma11_g18810 transcript:Ma11_t18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MASPPDITLFSVRIVSLDYYMAPPIPDLDICYSSFHGRTVKEVPVIRIYGSTPAGQKTCLHIHRALPYLYIPCPIKLLQSSEAGNIFFEKLLTFSIELLQDRTVSAAARQHVHGCSLVRAKKIYGYHSLEDLFLKIYLYYPQDVTRVATFLLDGTVLGRTFQPYESHIPFLLHFLVDYNLYGMSHIHMSKIKFRPPLPDSFVPKSSYKETHDVKEDLCEEVGDSAIWLSSTVLSSLIWPDSVVSQSSSESMSTTKRQSICELEADSSIDDILNEKYKIYTSLSQTRSEVKMVQSLIPIWEEFERSGVQEVAKPLELSRPNPEDILQNFVCGTQYENLLSEWFIKIQKSLPENAFATEDGDKLEEHIKSFIGIDENSNSLGCQKSSNSSGEPLNHHEDKNDMLHGLLSKGQGDIFLYEKISKFDELATCKRTASTPTGTEDQKSLSTEALGLLGWLASSQAAEDLDNDDELVHEAILTPLLSTKSVKKALEIAHLDYEHASQQECKDILDSVDYVIKPDVVKKHNCSHEPMTVSSSQNTIHQAHGTSDDSSVTPEKRCHSEMNTSSRKLKSSLDLSEMNTRIKCGRKYKKGNILWGHLPISSAKKEHDDFESASYSCPDDLMENDGEPSISSRSKGDKNCHASNTVADTSGRKLGKPLTSCSVRDLMRQKRHFKVGYAEPERTLVEDLSEAENGKKKNLYSEGSLCCALPMSLHVGSSCVGQENSTCPANCILDRHTSPVVDERIIEHDDNKYISQRDVQNAQQIFHDRNISSHAESNMALDTNMLQRNYNGTGEGDIGSSTSSASNRILTSHNVEKTSTAYVEMSYSHKPPSKDQIMGILEDSKDATGNVTGIPSAHDESLVFDSCCMNSDLLGMNDLLPFFRRDFELKKSYQSSHDMGSHGNFQESALGIPTHFQNDGSVLYLLTHETSPPSVDSVYQWLLQVEQQRCSNYATVFLGTSTGNLLPISQDTSLKLEKSVKDACREIDCCPHKIAKVYPSNISGAFHHGSLPEDNVALLEKPDKSPLNQENIRNPPVGSFVHTITSVGESKLSSIFLGENVPCSSWQDVSQISGPDDKSNLTPLSQIGFRDPASIGGGQQLTVISLEVLAESRGDLRPDPQFDGINIISLAVQDDTRPTFEVYVLIRGVNDEPQRNKDGITDYNILIFNEEKHLLEHLVKIISSVDPDILMGWEIQGGSLGFIAERAGYLGINLLKSISRTPSYELKQRIGDPANSELFTEISEASIANAGLRVAVVQDEWGRTHSSGIHVGGRIVLNVWRLMRSEVKLNIYSIEAVAEEVLRRKIPSIPYKVLNHWFINGPGQSRYQCISYLVERVKLSLEIMYQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVALQPAMECLPLVMEPESNFYDDPVVVLDFQSLYPSMIIAYNLCFSTCLGKVVPSKSNVLGVSSYSADPHFFKDLNEQLLLTPNGVMYVPSKIRKGVLPRLLEEILSTRIMVKQAMKKLKPSQQVLERIYNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLEAAISFVNEHPRWKARVVYGDTDSMFVLLKGRNLEAAFRIGNEIASAVTSMNPDPVTLKLEKVYQPCFLLTKKRYVGYSYESPDQAIPKFDAKGIETVRRDTCPAVAKTLEKSIRLIFEHRDISRVKSYLQRQWTRILSGRVSLQDFVFAKEVHLGSYSTRMSSLPPAAIVAIKAMNADPRAEPRYGERVPYVVVYGEPGARLMDMVVDPRDLLEVNSPYRLNDHYYIKKQIIPALQRVLGLLRADLNQWFLEVPRPVRPILARFYASQFGSSHDFDYNGPGTSRKAQVKRSRIDTYYSSKHCILCGYLVQRSKFLCDVCSEKKPFVATALVSKTSRLERDIQHLVAICRHCGGGDYNPGSGVQCNSLACSVFYERRKVQKELQANSIVATEAGLYPICTAEWF >Ma09_p30150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40424832:40426368:-1 gene:Ma09_g30150 transcript:Ma09_t30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGDSIPFHSSSQSDIDEIENLINSDALSATVPTARPPSPPRASFPSAALAPPYQKVPRSFSLPLPPPPVDPSAAGPRPGGGVAADGFGSPTDTLTEPVLDTVKRDLTRIVINLKLVVFPNPFREDPGKALRDWDLWGPFFFIIFLGLTLSWSASVKKSQVFAVAFAVLAAGAVILTMNVLLLGGRIIFFQSLSLLGYCLFPLDIGALICSLKINVVVKIIVVSITLAWSYWAAYPFMSAAVDPRRKALALYPVFLMYISVGFFIIAID >Ma06_p30140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31470766:31479819:1 gene:Ma06_g30140 transcript:Ma06_t30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNASGKYFLVLACIALLGVALVADFLWASSNSAYSSPVWSTRFDLSLERSATVDDPKAKTVKKDKGAQTLISKELNATFADLPAPQLQWEEMAEAPVPRLDGAAIQIKNLLYVFAGYGTINYVHSHVDIYNFTDNTWGGRFDMPQEMAHSHLGMATDGRYIYVVTGQYGPQCRGPTARNFVLDTEKKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALGKEWRSEIPIPRGGPHRACVVANDQLIVIGGQEGDFMAKPGSPIFKCVRRSEVVYSDVYMLDDEMKWKQLPPMPKPDSHIEFAWVNVNNSIIIAGGTTEKHPITKKMVLVGEIFRFNLDTLEWSVVGRMPFRIKTTLVGYWNGWLYFTSGQRDKGPNDPAPKKVVGSMWRTKLHL >Ma04_p34930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33887037:33893170:-1 gene:Ma04_g34930 transcript:Ma04_t34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIWQLFAVISCIFVLGMALSDHDVDLLLEFKNNLIDVDNRLSDWNSSDPTPCDWNGIICRDSEVTSINLYKLGLQGSLSASICQLHYLTSFNVSSNMISGPIPKEFAQCRSLEVLDLSTNTLHGEIPQELCALSSLRQLFLSENCLYGEISSSIGNLTMLEELVIYSNNLTGMIPPSIKMLKSLRIIRAGRNDLTGPVPVDICECSSLEVLAFAQNKLEGILPKELERLKNLTTLVLWQNQLSGEIPPELGNCSNLEMIALNNNGFTGDVPKELGKLSLLKKLYIYTNRLDGTIPKDLGNCQSAIEIDLSENRLTGVIPKELGRIQTLRLLYLFENLLQGSIPRELGQLSLLRKIDLSINNLTGTIPLEFQNLTSLENFLLFDNNLEGFIPPLLGTNSNLSVLDLSDNKLTGSIPCQLCKYQKLIILSLGANRLFGNIPHGVKTCMSLIQLRLGGNLLTGSLPVELSGLLNLTSLEMNQNRFSGPITPEIGKLKSLERLLLSDNYFMGQITPEIGQLTSLVSFNISSNQLSGGIPHELANCKKLQRLDLSRNHFSGTIPEEIGNLVNLELLLLSDNHLNGTIPDGLGGLYRLTELQMGGNNLSGCIPGELGHLTALQIALNLSYNALSGEIPADLGNLQMLETLYLNNNQLDGEVPPSFSKLSSLLVCNLSYNYLFGSLPGTPIFRRMDDSNFFGNYDLCGTDTKACQHTPVPLNIAESGWAKRTSKEKIVSITAVVVGLVSLALTIGLCWSLKYRMPVLVKSEDHKQGVSDLYYLPKESITYQELLTATDNFSDSAVIGRGACGTVYKAIMSDGGIIAVKKLKSHAECSSIDSSFRAEISTLGNIRHRNIVKLYGFCYHQDSNLILYEYMANGSLGERLHGNNETCLLDWNTRYRIALGAAEGLRYLHCDCKPQIIHRDIKSNNILLDEAMDAHVGDFGLAKLIDISHSKTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELITGQSPIQPLDQGGDLVNWVRRSIQNSMPASNVFDSRLDLSSKGTIEEMCLVLKIALFCTNNLPIDRPTMREVISMLIDVRGSISISPSFPSSETPLDENESLKGHSES >Ma06_p31020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32236972:32238507:1 gene:Ma06_g31020 transcript:Ma06_t31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKDGLKKGPWTPEEDQKLIDYIQKHGQGSWRTLPKKAGLARCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIHLHSLLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHTHRLDLLDLSALLTSSLCNPTSSQFDLSGLLGLEPLVNSELLMMAQNLLSAQCQNPNILGQGLPEQQHLTPQFQEQLASFQIQQLQHLSQRLPTCTPSNDPFPDEAQLMQPNVGQLHTSADFNPWQDIIMQGNLSQNDFVPATGVNYESLDPSFTQFIADISDVCGTSSQGYSLTSVFSTPASSPTPLNSSSTHVNSSTEDERESYCSNRLKYQIPDLLDVSNCM >Ma01_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13439927:13441620:-1 gene:Ma01_g18140 transcript:Ma01_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSKGATLASEPRPRSSSRSSSTVATSSGGFPTGSSSEPRLAGATAAVSFISFPSSASKVSSSSDCSLPSLSKAIREAPVFYTFPELLSATGNFHSDRLPGAKAGWRCCLRGKDVVVFQHRFRGPDPTNLPARLAALAKSHHSSLVRLLGASLAGDHVYLVHEFTPGASLLDCLRNPRNRKFTPLSTWISRMQVASDIAHGLEYIHLHSSVHNRLKSSSVIVTEPGFRARICHFGAADLAGETSAAAEEDGDADSIRSLVGGRNIGSRRRRIEGSRGYMTPEQLAGGTISRRSDVFAYGVILLELISGEEPLNYSYGGEAGSGSDYVRVSQRVSLIDAARKVIGARKEGDRQGDVRRWVDRRLRDSYPVEAAEALLRLALQCVEEAAARPDMTWVAGRVSKLYLDSEAWAEAVEPATEISVSIGPR >Ma07_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1140804:1141449:1 gene:Ma07_g01440 transcript:Ma07_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARFLTVKKSTTRSLQSDKLACRIARAKMAEEREITLRRFDLSDLDDYMVWASDDRVTAFCRWDSYTDKEDLRRYMRETVLPHPWFRAICLGGRPIGALSVDLGVGCDRCKGELGYVLATAHWGRGYATAAVKMAVRSVFQEVEGLERVEALVDVDNKGSQRVLEKAGFVREGVLRKSMVSKGRTRDLVMFSFISTDASVDGLID >Ma01_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1547766:1550514:1 gene:Ma01_g02280 transcript:Ma01_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTGSSADVLYFDAFRKLGLARETLETMTSALTEFTDDSISSLGVVTLPLTLGAPPRSKTVMSTFLVVDLPTAYNAILGHPTLNKIRAVVSTYHQTVKFPTHAGAGEVRGSPRESKRCYLTAVSLHKWARTKQPLGDPREMKKPTQHPELTAPTCDLPLVEDRSDRTIRIGSELPEQERRQFIGFLQENANVFAWSPSDMTGVDPKTTQHYLSIAPDARPIKQKPRRQAPDRQLAIHEEVERLLVAGFIEEVKYPRWLSNVVLVKKTNGSWRMCVDYTSLNHQNGADDQEHTTFLTDLGVYFYKVMPFGLKNAGATYQRDVNKIYGLRLNPTKCVFGVSPGKFLEFIIHERGIDANLEKVQAIIGMQTPRTIKDLQQLNGRLAALSQFLSRSGDRCLPFFRALKNPSNLQWTAKCEEAFVHVKQHLASLPRLASVSPGEKLSIYLATSRHAVSFVLTKEAPGDQLPVYYISHVLNEPKKRYPPIERLALALVLIAQKLRPYFQAHPIEVITDQLLRQVLSKFDVAGRLLKWSVELGEFDIHYVPRTIIKAQSVVDFISELAQIEDEDSEQLGEAWVLHVDGLATSSGASAGLVLSAPDGRSFERSLRFGFQATNNETEYEALLTGLGLALEMQVNAINVLTDSQLVAKQLSGRYEAREPIMAKYLAEEMLHFKRDEILPADETAARRIRRMHAWYSDVNGQLYKRSFSHPLLRCLEPEESRTVLAEVHEGICGEHIAGRTLAYKILRQGYYWPTMSRDARSYVQRCGPCQRHA >Ma03_p33370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34744828:34751581:1 gene:Ma03_g33370 transcript:Ma03_t33370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRYLVQEDAKRAPKLACCSSSTPQSDSSNGNTASAQDSPGPNFMPFSRNLTNAYLSPETKWWLQLQPNFGYQNELICEQLSSLEDEADENMETAVPKSKLGGESLPVDFVLKKEVCFMESSWVVSTAFEKHGSETRIKEMKTTTSYSQQLLKPKTSMVNYLDKKDGLLDLKPVDQFTSCRPEKASSYFETSWAGGNNSEPWWRIADKDELALLVAQKSLHHIENCDLPKPSQTVHVTRDPLSPPENSDTSGIFQSSLGTKLNADICNGNKYSCNTSTSVKSDNKNLSSSERGYMLHDSEKPYSDGRGYVKNEQPERNQTCEDDLSRAQLLEALCHSQTRARKAEMAAQKAYDEREHIVKLLLRQASHLFAYKQWLLMLQLENLFLQLRIKEHQISTVIPVLPWIAPKGKLSSEGKITRRRRKKHKCEICKYAVALSLGLGLAGMGLLFGWTIGWLFPPAL >Ma03_p33370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34744723:34751581:1 gene:Ma03_g33370 transcript:Ma03_t33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRYLVQEDAKRAPKLACCSSSTPQSDSSNGNTASAQDSPGPNFMPFSRNLTNAYLSPETKWWLQLQPNFGYQNELICEQLSSLEDEADENMETAVPKSKLGGESLPVDFVLKKEVCFMESSWVVSTAFEKHGSETRIKEMKTTTSYSQQLLKPKTSMVNYLDKKDGLLDLKPVDQFTSCRPEKASSYFETSWAGGNNSEPWWRIADKDELALLVAQKSLHHIENCDLPKPSQTVHVTRDPLSPPENSDTSGIFQSSLGTKLNADICNGNKYSCNTSTSVKSDNKNLSSSERGYMLHDSEKPYSDGRGYVKNEQPERNQTCEDDLSRAQLLEALCHSQTRARKAEMAAQKAYDEREHIVKLLLRQASHLFAYKQWLLMLQLENLFLQLRIKEHQISTVIPVLPWIAPKGKLSSEGKITRRRRKKHKCEICKYAVALSLGLGLAGMGLLFGWTIGWLFPPAL >Ma03_p33370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34744813:34751581:1 gene:Ma03_g33370 transcript:Ma03_t33370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRYLVQEDAKRAPKLACCSSSTPQSDSSNGNTASAQDSPGPNFMPFSRNLTNAYLSPETKWWLQLQPNFGYQNELICEQLSSLEDEADENMETAVPKSKLGGESLPVDFVLKKEVCFMESSWVVSTAFEKHGSETRIKEMKTTTSYSQQLLKPKTSMVNYLDKKDGLLDLKPVDQFTSCRPEKASSYFETSWAGGNNSEPWWRIADKDELALLVAQKSLHHIENCDLPKPSQTVHVTRDPLSPPENSDTSGIFQSSLGTKLNADICNGNKYSCNTSTSVKSDNKNLSSSERGYMLHDSEKPYSDGRGYVKNEQPERNQTCEDDLSRAQLLEALCHSQTRARKAEMAAQKAYDEREHIVKLLLRQASHLFAYKQWLLMLQLENLFLQLRIKEHQISTVIPVLPWIAPKGKLSSEGKITRRRRKKHKCEICKYAVALSLGLGLAGMGLLFGWTIGWLFPPAL >Ma05_p03920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2928274:2934941:1 gene:Ma05_g03920 transcript:Ma05_t03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNPSYFLVLSPSLLLGPLSVKPPSPSLSLFSGEKAERFIDRIWPFRRKKEILMSSVSYPTETEPIMAGTDDDSSVIEFWSPSNRSSRTLPPSLSNEAFGSSSFSDFLAENGNGNVGPLWKSETQRMGTNTNIEGNEAGVSDSNGFSLQPRLFGSSTPGGLAERMATRKGFQVPKLDTACIPPAGMASQSDVGLPYLTIPPGLSPTMLLESPVFLSDPMVQLFSTTGKFKSAEDDASNSMLVSNSAASTKSEDYLFEDNLEAFAFKPPPESHSHLSTSGKKPQELPGMEVSTQPEKPTQAGSIEADKNSIQNQQEFHLQAGFYAPSDRKDTINNTKLNQRMFNSLVASHHSPAVDDQHDGEGDLRGELSAAAGTPAEDGYNWRKYGQKQVKGCEYPRSYYKCTQPNCQVKKKVERSHEGHITEIIYKGAHNHPKPHLNRRPGIPSSHPFNDAQIDSTEQPGSQTNCDGKPAKGSSQSGNGGQDWLVNGLEATSSAPAAAEQCDPSNSLPQNQDGTRLSSDVIGVSSTMSNDEEDDDRATHGSVSLGCDGEGDETESKRRKIDACAIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCIVRKHIERASHDLKSVITTYEGKHNHDVPVARSSSHPNSAPSSNPNSDPQPHSLLQRSEPTQDNFVRFDGHAPLGTFRFPGREQLGPATSCFPFALGQPGLTNLAMAGLGPMAAMKMPVIPPVHPYLGRHHPAEAGYMMHKIEPKEESAPDSVLPVPPNATSVYHQMMSRLPLGPHL >Ma05_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2928842:2934941:1 gene:Ma05_g03920 transcript:Ma05_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDDDSSVIEFWSPSNRSSRTLPPSLSNEAFGSSSFSDFLAENGNGNVGPLWKSETQRMGTNTNIEGNEAGVSDSNGFSLQPRLFGSSTPGGLAERMATRKGFQVPKLDTACIPPAGMASQSDVGLPYLTIPPGLSPTMLLESPVFLSDPMVQLFSTTGKFKSAEDDASNSMLVSNSAASTKSEDYLFEDNLEAFAFKPPPESHSHLSTSGKKPQELPGMEVSTQPEKPTQAGSIEADKNSIQNQQEFHLQAGFYAPSDRKDTINNTKLNQRMFNSLVASHHSPAVDDQHDGEGDLRGELSAAAGTPAEDGYNWRKYGQKQVKGCEYPRSYYKCTQPNCQVKKKVERSHEGHITEIIYKGAHNHPKPHLNRRPGIPSSHPFNDAQIDSTEQPGSQTNCDGKPAKGSSQSGNGGQDWLVNGLEATSSAPAAAEQCDPSNSLPQNQDGTRLSSDVIGVSSTMSNDEEDDDRATHGSVSLGCDGEGDETESKRRKIDACAIEMSAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCIVRKHIERASHDLKSVITTYEGKHNHDVPVARSSSHPNSAPSSNPNSDPQPHSLLQRSEPTQDNFVRFDGHAPLGTFRFPGREQLGPATSCFPFALGQPGLTNLAMAGLGPMAAMKMPVIPPVHPYLGRHHPAEAGYMMHKIEPKEESAPDSVLPVPPNATSVYHQMMSRLPLGPHL >Ma04_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25475145:25477401:-1 gene:Ma04_g23280 transcript:Ma04_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDRCSSSNPGGIGQEEEDEDGKVLRFLDSLDSYLTLLDALSSTLRQGWFELASARHSMGSSRISSVLLDQKVQSAATTFQVRKSIDGSPSESHPSFAISKWASSRNGKCSFRELEVSRVQKTSKNSELRHRGSSNFYDTTEEHDLTINASSTISDSDVQKERSKSLSVFGTLVSPKLRGAQVSFETALDAIVEIANIRSSMLSAFTQLQQEMKKDLG >Ma04_p23280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25475145:25477401:-1 gene:Ma04_g23280 transcript:Ma04_t23280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDRCSSSNPGGIGQEEEDEDGKVLRFLDSLDSYLTLLDALSSTLRQGWFELASARHSMGSSRISSVLLDQKVQSAATTFQVRKSIDGSPSESHPSFAISKWASSRNGKCSFRELEVSRVQKTSKNSELRHRGSSNFYEEHDLTINASSTISDSDVQKERSKSLSVFGTLVSPKLRGAQVSFETALDAIVEIANIRSSMLSAFTQLQQEMKKDLG >Ma03_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24952208:24953622:-1 gene:Ma03_g19690 transcript:Ma03_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEACVLRPCLQWIDTAEAQGHATVFVAKFFGRAGLMSFISAVPDHQRPALFQSLLFEACGRTINPVGGAVGLLWTGNWHLCQAAVDTVLRGGALRPLPSVKDGFPLAAEAKRCKAVPSPLPDRACDLDLCLSPLYPAGWQKAQRPATPSMNSEGSVTTTTSGESSAGVEPRLLNLFV >Ma11_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17847996:17848682:1 gene:Ma11_g13460 transcript:Ma11_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPPSTDEDMIAGSEIYGRPLYRRSKPVGAPTGASARHTSPSAVPFSWERLPGIPKAQIAIDARSPDPLLPLPPPLRSATAGPRKKRPIAGPRPAPDPFAAALAVCAKAPRGSTIGELLAASGSAAGRHRRGSAASAWSISDRLGLLGLYASCKATCAVADSSVYVPRSASYRPLSRRSA >Ma00_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43601401:43602362:-1 gene:Ma00_g04990 transcript:Ma00_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEVAGSTRTLKFTLPIFTFQDRLTNLKKIILTCIFIDQSELHSKTNNCLKRSNIHILLDPLNKSQEDPMRTEYVHIEDVKEILDTLQKHFRIDLPKNKFSF >Ma05_p25730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37580035:37584282:1 gene:Ma05_g25730 transcript:Ma05_t25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAALFIPSSPASFSRAASISRLRLLSPPFLLPIARPLRFRRVSSSLAPSSAPSPVLDNSPYRSKTGKWQWNFDGNSVNVYYEEHEGKSAANAKQILMIPTISDVSTVEEWRTVAKDIVAREGDVRCRATIVDWPGLGFSDRPSLEYNADVMENFLVQFINASDGPLANSEGELVIFGGGHAATIAVRAAGKRSISPASIAAVAPTWAGPLPIVFGRSSDMESRYGLLRGTLRAPAVGWMMYNVLVSNEKSIESQYRSHVYADPENVTPSIVESRYNLTKRKGARYVPAAFLTGELDPVKSREEFLQLFGELEGKMPILVVSTAGAPKRSKAEMDALKEAKGVTKFVEVPGALLPQEEYPLTVAEELYKFLQEHCGSKS >Ma04_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24684596:24689081:1 gene:Ma04_g22320 transcript:Ma04_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSNDQLLQLETTCGSLLYELQIIWDEVGESDAERDKMLLELEQECLEVYRRKVDQANRCRAQLRQAIADSEAELAAICSAMGEPPVHTRQSNQRAGSLKEELESITPQLEEMRMKKVERLNHFIEVIEQIRKISLEIMPSGCNPLMLAVDESDLSTRRLEDLYRQLELLQKEKSDRLKQVMDHLSSLNALCSVLGVDFKETIREIHPNLDETEVSKCVSNTTIERLAMAIGRLRDIKIERMKKLQDLASTMLELWNLMDTPIEEQQLFQNVTCNIAASEQEITEANTLSVDLLNYVEAEVLRLEQLKVSKMKELVLKKKTELKELRWRVHLVAEAENQEEFEISAIESGAIDATLILEQIEFQIATVKEEAFSRKDILERVEKWFAACQEEAWLEEYNRDENRYNAGRGAHLSLKRAEKARALVNKIPAIVETLAAKITQWEKERGIEFTYDGVRLLSMLEEYTIVRQEKEQERKRQRDQKRLQGQIIAEQEALYGSKPSPSKSQSAKKIPRTSAGCPSRRLSGATQPPKLDHLHSAKPVRSAKKTDDLGILSPGARGADIAGLPVKKLTYNAMTTHQEVGVPRKPFAPILPVNNMPTTPSKHIVNTFEENKSPNVMLTPKTPMAVQAPMQVADTPVPPTCLIDKAVAKVVAPVEQTEYSFEERRLVFYLSR >Ma09_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12562178:12565504:-1 gene:Ma09_g17100 transcript:Ma09_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISPVKIAQDEWQSSTESENSTECSDEDQTFTERSEPVIRTVTARRSASPMRRIQIGRPGSRRSTALTIKSLNYFPARERITAIRDSDDNNSGDEEPDKPAKKPENIMIRMSVQEAICLFESKQKDQILDIQKRGTSGEVSLSTNRTVLRRWSAGMGDSLTPQENASQSISQSNHTNLVPEAGNNKLADVKVESDIPPCSLDAIGLDTARVFTSTKMEMMITPSKDSTAELVTSKAEEIDDIPATSAEESRQKEPMLNQMSMKMMESSPAKYQGPKAGSGGSLSSLSEKTCSFYSQYKEKRDKKIRAENAKKHSEIQAQLKVLQETLKPSKADTALKYGVTTKKLDWSSNSRQPRRNSSPPVLHKKEVSKTASLKKASPKSSPLPTTRRSWSSGPLQKASGAQPVSSSPRVSTANTTLRHWKSQPTSTTPPSPIPERSLHQPKGKHEAKTDVKTTVRGEGAMKQKTATITNKTVKKKVPSASVDASGSLMAKTGFNNKVTKKNSVVPLEAKPFLKKGTGFEASVSHVRTKFRVTQSVASSKRSDITIQAEEEEPTLETTESTAKVLEVDLAEQANYVDTNSVTSLDNNLNIEKTENVNQSLAEVDNSPKSSVEVVVSEIQPDEDMGISSAAWVEVEHQEVSTAYDTGLSKAKVSTAHEPTLLSSPHFRHPFNQMLQADGTEPVIIEWGNAENPPALIYHRVARKGLKRLLMFARKSKGEADVTGLASPSVFSGEDNTEDSKAVNKKNQDASRKTALHAKGYSQQKTMLGESCCDGNLSKKAVDYHGVYDVLPVSPGSDKFQEGHVSSTATSTKAARSFFSLSTFRSSRPSETRP >Ma04_p33090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32899941:32906628:1 gene:Ma04_g33090 transcript:Ma04_t33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYGHLAAIVSPVPHSPVPKRSLDCCDPSELLREHYRSIKERKEEAVDILRRIYPAEEVEAEIEALRLSVEAEIAEEGSIGEYSLPGKLRKALSSVAVRRGLVAGILCQVAQQFVGINTVMYYSPTIVQLAGFASNSTALALSLITSGLNALGSIVSIYFVDRAGRRRLLLLSLIGIVTCLALLSGVFFGVTKHSPAVSKEETQLFGNYTCPAFNPTSGMEWTCMDCMRATSECGFCAHGGNKLLPGACLRSDSTVRDACHANHREWYTRGCPSNFGWLALVALGAYIVSYSPGMGTVPWIVNSEIYPLRYRGLCGGLAAVANWVSNLIVTQTFLSLTEALGTAPTFLLFCGISAVAFVFIFLLVPETKGLSFEEVEKMLESNDYKAWRGARTQESKDHNT >Ma02_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21368083:21368460:-1 gene:Ma02_g12740 transcript:Ma02_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREPLEQLAKVPLKRDRPNTIVKVGTTLPKANKLQLIDFLKRNADVFAWSSKDMPGIDPGMTQHRLNIHPEARPVRQKSRKFAPDRQKTISDEVDCLREAGFIAEVKYPRWLSNVVLVKKYNGS >Ma05_p21300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32946860:32950860:1 gene:Ma05_g21300 transcript:Ma05_t21300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKPKECTIILTRTCVIHSPVCLSALLPHCIFFAGVDYSSGALKLQKESQKAKKKEKKRERKEREKTNEKLVNSQHEENHIKRKHEEKKLDQNLFSQKTSNDVIQQLERSGITEEHELPCSIQYSHDSPESSQDSNKRRKLLPSDSGHNKHGIIIRIKLPTLKQRDSKPPLLSVRQEDAQLPLASLKKREPKPLLPSVRQADAQLPLPSLKQRELKPPLPSLKQREPKPPLPSLRQAGTKLPLPSSKQRELKPPLPSLRQADTKQPLLSLEQRELKPPLPSLRQADTKLPLPSLEQREPKPLLPLVRQADTKLPLPSLTKRESKPPLPSLRQADARLPVPSLKQREPKPPLPSVRQADAQVPFPSLKQRGPEPQDTQTAAQVNVVVNNKSKQIADERPAVDEQPCSSGRAVETGLDREAAAPSHRTTSSKRIGSRTRQQEKFDELIVNWNPSPLQLELESSDAGGDDWLFGAPKQRGTSSFAAESKSSNGSGSNAISSPQPRALYLPEFDMYQLPYTVPF >Ma05_p21300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32946860:32950860:1 gene:Ma05_g21300 transcript:Ma05_t21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKPKECTIILTRTCVIHSPVCLSALLPHCIFFAGVDYSSGALKLQKESQKAKKKEKKRERKEREKTNEKLVNSQHEENHIKRKHEEKKLDQNLFSQKTSNDVIQQLERSGITEEHELPCSIQYSHDSPESSQDSNKRRKLLPSDSGHNKHGIIIRIKLPTLKQRDSKPPLLSVRQEDAQLPLASLKKREPKPLLPSVRQADAQLPLPSLKQRELKPPLPSVRQADAQLPLPSLKQREPKPPLPSLRQAGTKLPLPSSKQRELKPPLPSLRQADTKQPLLSLEQRELKPPLPSLRQADTKLPLPSLEQREPKPLLPLVRQADTKLPLPSLTKRESKPPLPSLRQADARLPVPSLKQREPKPPLPSVRQADAQVPFPSLKQRGPEPQDTQTAAQVNVVVNNKSKQIADERPAVDEQPCSSGRAVETGLDREAAAPSHRTTSSKRIGSRTRQQEKFDELIVNWNPSPLQLELESSDAGGDDWLFGAPKQRGTSSFAAESKSSNGSGSNAISSPQPRALYLPEFDMYQLPYTVPF >Ma05_p21300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32946843:32950860:1 gene:Ma05_g21300 transcript:Ma05_t21300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYHPPHIEESLIESIKLQKESQKAKKKEKKRERKEREKTNEKLVNSQHEENHIKRKHEEKKLDQNLFSQKTSNDVIQQLERSGITEEHELPCSIQYSHDSPESSQDSNKRRKLLPSDSGHNKHGIIIRIKLPTLKQRDSKPPLLSVRQEDAQLPLASLKKREPKPLLPSVRQADAQLPLPSLKQRELKPPLPSVRQADAQLPLPSLKQREPKPPLPSLRQAGTKLPLPSSKQRELKPPLPSLRQADTKQPLLSLEQRELKPPLPSLRQADTKLPLPSLEQREPKPLLPLVRQADTKLPLPSLTKRESKPPLPSLRQADARLPVPSLKQREPKPPLPSVRQADAQVPFPSLKQRGPEPQDTQTAAQVNVVVNNKSKQIADERPAVDEQPCSSGRAVETGLDREAAAPSHRTTSSKRIGSRTRQQEKFDELIVNWNPSPLQLELESSDAGGDDWLFGAPKQRGTSSFAAESKSSNGSGSNAISSPQPRALYLPEFDMYQLPYTVPF >Ma03_p05710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3917756:3927930:1 gene:Ma03_g05710 transcript:Ma03_t05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQGYGRENCILLAVLCGQFADRRRGDAKNRPQYPFPELVSSGRLEVQTLINPTVDRFSEAQKLVQPNILYFQGEQLENEEQIGSLVWGGVDVSDSEMLTSFISPPLPTIVYLEIPSGEKIAQAFHSKGVRYVIYWKSAFSSYAASYFRHALLSVVQSSCSHTWDAFQLAHASFRLYCLRNNQVLSDSSNQKLRSKIEPHLLGDSPKINIPLPEKDLAEDGEEETDEGNGSLASVTIFDEDMDLRFLVCGLPCTLDACLLSSLEDGLNALLNIEIRGSKLQNRVSAAPPPLQAGNFSRGVVTMRCDITTCSSAHISLLVSGSAQTCFDDQLLECHIKSALIEKTQLVHAVPNSDDKKSSWLNPLNSVSIACGAPVFEVCMRMPTWAAQVLKHLAPEVTYRSLVTLGIASIRGTTVASFEKEDADRLLFFCRRQVKDFVSEETVVSCLPTWSSSLIKGRSMSGLESRPIASDYVFVEHGMACKSAELSVKKRQRSNIAAMRPIPHPRKHKLLPFYGVPPADVNDGSQVQNNVSQTPHVKHNSLPRAPAIQRKSTSGSLRAQQIIPLNPLPLKKHECNRPSIQVCSEEEFLKDVMQFLILRGHSRLVPQGGIQEFPDAILNAKRLDLYNLYREVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRPGLGTFKDYAKTDGLEYICPHCSLTSYKKKSQKMANGFSNTSNVSRHL >Ma03_p05710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3917756:3927930:1 gene:Ma03_g05710 transcript:Ma03_t05710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQGYGRENCILLAVLCGQFADRRRGDAKNRPQYPFPELVSSGRLEVQTLINPTVDRFSEAQKLVQPNILYFQGEQLENEEQIGSLVWGGVDVSDSEMLTSFISPPLPTIVYLEIPSGEKIAQAFHSKGVRYVIYWKSAFSSYAASYFRHALLSVVQSSCSHTWDAFQLAHASFRLYCLRNNQVLSDSSNQKLRSKIEPHLLGDSPKINIPLPEKDLAEDGEEETDEGNGSLASVTIFDEDMDLRFLVCGLPCTLDACLLSSLEDGLNALLNIEIRGSKLQNRVSAAPPPLQAGNFSRGVVTMRCDITTCSSAHISLLVSGSAQTCFDDQLLECHIKSALIEKTQLVHAVPNSDDKKSSWLNPLNSVSIACGAPVFEVCMRMPTWAAQVLKHLAPEVTYRSLVTLGIASIRGTTVASFEKEDADRLLFFCRRQVKDFVSEETVVSCLPTWSSSLIKGRSMSGLESRPIASDYVFVEHGMACKSAELSVKKRQRSNIAAMRPIPHPRKHKLLPFYGVPPADVNDGSQVQNNVSQTPHVKHNSLPRAPAIQRKSTSGSLRAQQIIPLNPLPLKKHECNRPSIQVCSEEEFLKDVMQFLILRGHSRLVPQGGIQEFPDAILNAKRLDLYNLYREVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRPGLGTFKDYAKTDGLEYICPHCSLTSYKKKSQKMANGFSNTSNVSRHL >Ma03_p05710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3917756:3927930:1 gene:Ma03_g05710 transcript:Ma03_t05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQGYGRENCILLAVLCGQFADRRRGDAKNRPQYPFPELVSSGRLEVQTLINPTVDRFSEAQKLVQPNILYFQGEQLENEEQIGSLVWGGVDVSDSEMLTSFISPPLPTIVYLEIPSGEKIAQAFHSKGVRYVIYWKSAFSSYAASYFRHALLSVVQSSCSHTWDAFQLAHASFRLYCLRNNQVLSDSSNQKLRSKIEPHLLGDSPKINIPLPEKDLAEDGEEETDEGNGSLASVTIFDEDMDLRFLVCGLPCTLDACLLSSLEDGLNALLNIEIRGSKLQNRVSAAPPPLQAGNFSRGVVTMRCDITTCSSAHISLLVSGSAQTCFDDQLLECHIKSALIEKTQLVHAVPNSDDKKSSWLNPLNSVSIACGAPVFEVCMRMPTWAAQVLKHLAPEVTYRSLVTLGIASIRGTTVASFEKEDADRLLFFCRRQVKDFVSEETVVSCLPTWSSSLIKGRSMSGLESRPIASDYVFVEHGMACKSAELSVKKRQRSNIAAMRPIPHPRKHKLLPFYGVPPADVNDGSQVQNNVSQTPHVKHNSLPRAPAIQRKSTSGSLRAQQIIPLNPLPLKKHECNRPSIQVCSEEEFLKDVMQFLILRGHSRLVPQGGIQEFPDAILNAKRLDLYNLYREVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRPGLGTFKDYAKTDGLEYICPHCSLTSYKKKSQKMANGFSNTSNVSRHL >Ma10_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26897597:26898877:1 gene:Ma10_g14450 transcript:Ma10_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASVSSESWKCTAQELDVEGVDVPDIDDALLMEMLESSCADEDQLYGVIRSLEAEIGHGDVVMIDDGESTTGPSDGGLEDILSDLDSHEGLRSGMHQVEDPFDWAEMDAVANSACHDLLPDWYYMEAEDTTLCYGEARDYAGFYYCGESLTEQVYGPLWQ >Ma02_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17016583:17016834:-1 gene:Ma02_g05860 transcript:Ma02_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQISSSSFIYTFLGLRISFCLITCEGHIAAETSNNLCLSCVSFCKMTSGFTYLWRPFSCFCLSMYEYSGMMPSQLSSCRKSLA >Ma10_p01270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4333961:4341080:1 gene:Ma10_g01270 transcript:Ma10_t01270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MNPSPPPPRDPDLLEEALGLGRGGSPPWRLAFVEDCVETSGAFVLHHFLKRALSTEGDGTVVFLGLAQPFSHYDRVLRKMGCNLSVQRNKNKLHFIDLLNLEFLGERRLSNEKGENDIEIGFMDLYNRILRSIEAIRSKDYNGGWTTIIIDDLSHLEIAAHGSAKHVLDFLQYCRSLTSEMDCSLVILNHEDIHSSDEALQMLSHLDYISDILIKAETLSTGIAADVHGQMTVIIKDAPGGLIKKLHSFQFKVKENGVEFFYPGSRF >Ma10_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4333925:4341080:1 gene:Ma10_g01270 transcript:Ma10_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MNPSPPPPRDPDLLEEALGLGRGGSPPWRLAFVEDCVETSGAFVLHHFLKRALSTEGDGTVVFLGLAQPFSHYDRVLRKMGCNLSVQRNKNKLHFIDLLNLEFLGERRLSTAHGSAKHVLDFLQYCRSLTSEMDCSLVILNHEDIHSSDEALQMLSHLDYISDILIKAETLSTGIAADVHGQMTVIIKDAPGGLIKKLHSFQFKVKENGVEFFYPGSRF >Ma10_p01270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4333925:4341080:1 gene:Ma10_g01270 transcript:Ma10_t01270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MNPSPPPPRDPDLLEEALGLGRGGSPPWRLAFVEDCVETSGAFVLHHFLKRALSTEGDGTVVFLGLAQPFSHYDRVLRKMGCNLSVQRNKNKLHFIDLLNLEFLDEKGENDIEIGFMDLYNRILRSIEAIRSKDYNGGWTTIIIDDLSHLEIAAHGSAKHVLDFLQYCRSLTSEMDCSLVILNHEDIHSSDEALQMLSHLDYISDILIKAETLSTGIAADVHGQMTVIIKDAPGGLIKKLHSFQFKVKENGVEFFYPGSRF >Ma04_p39280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36468257:36470002:-1 gene:Ma04_g39280 transcript:Ma04_t39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPQVLVLPFPAQGHVVSFMSLSRGLVEQGFRITFVNTEFNHGRIAAAMSDKGCDAAGQGGIRMVAIPDGLAPGDDRNDLGKLVDGYLRVMPGCLEELITSINQSGGGEGDGIGWMIADENMAWALEVAKKMGIRAACYWTASAAMLATMMSIPKMIREGILGADGLPRRHGKYQLSPGMPSVSTTQFAWNCAGDAQGQKIIFQLVVNSNRLLELAEFIICNTVHEMESPVFALFPNILPVGPLISRQRLDHKPMGHFWPEDTTCVKWLDEQPANSVIYVAFGSFTVFDYRQLQELALGLELSRRPFLWVVRPDLASEASVAWLDSFRERTAGRGKMVSWSPQQQILAHPSIACFLSHCGWNSTMEGVWNGVPFLCWPYFTDQFLDQVYICDVWKIGLSLNADDEGTEISREQIRVKLEELLGDEGIKARAMMWKDIARKSVGEGGSSYKNMKRIVEEMNGQRV >Ma05_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11017500:11021063:-1 gene:Ma05_g14970 transcript:Ma05_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVMEFAENLILRMMEDPRKRDEAQRKHIYEMRERCEKTKANWNLPLRPYGFWTFDRFNAQLRSDPQISQAFGRRDPYDDLLLDEPSDVPPSRSSSK >Ma08_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33928407:33931927:-1 gene:Ma08_g20080 transcript:Ma08_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFRLLSAAALLLFAAAAVSADEVHDALPTYGLPSGLLPDSVKSYSLAANGEFVVELEAPCYVQFSHLVYYEKTIRGKLSYGAISDLSGIQAKKLFIWVSITDIEAHPADGTIEFKVGFLSESLSEKQFESVPHCKAKASARPGFFPEELLPLPVSEV >Ma03_p30900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33346431:33354884:-1 gene:Ma03_g30900 transcript:Ma03_t30900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKSFGDRAFRGKPLELEEKIKKSKKKKEREPALEPEQKKDSKRRRIQEESVLSLTDDAVYQPKTKETRAAYEALLSVIQQQFGGQPQDILSGAADEVLVVLKNEKIKNPDKKKEIEKLLNPISNQVFDQLVSIGRLITDYQDAGVAADSAAANGNGEALDDIGVAVEFEEDEEEEESDYDQVQEESEDDDDGQESNAAGAMQMGGIDDEDMEEANEGQTINVQDIDAYWLQRKISQAYEEIDPQQSQKLAEEVLKILAEGDDRDVENRLVMLLDYDKFELIKLLLRNRLKIVWCTRLARAEDQDQRKKIEEEMSNMGPTLTTILEQLHATRASAKERQKNLEKSIREEARRLKDEHGGGDNDRDRRVVDRDTDSGWLKGQCQLLDLDSIAFHQGGLLMANKKCELPPGSYRTPHKGYEEVHVPALKPKTFSPEEKLVKISDLPDWAQPAFEGMKQLNRVQSMVYKTAFTSPENILLCAPTGAGKTNVAMLAILHQIGLHRRDGVLDNSKYKIVYVAPMKALVAEVVGNLSHRLKSYNIVVRELSGDQNLTRQQIEETQIIVTTPEKWDIVTRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTLRQTEATKELIRLVGLSATLPNYEDVALFLRVTKPSGILHFDNSYRPCPLAQQYIGITIKKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARAIRDTALANDTLSRFLKDDSASREILQSQTEFVKSNDLKDLLPYGFAIHHAGMARVDRDLVEELFSDGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIILTGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACNWIGYTYLYVRMVRNPTLYGLSADILERDKLLEERRADLIHTAANILDKNNLVKYDRKSGYFQATDLGRIASYYYITHGTISTYNEYLKPTMGDIELFRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFIKQSAGRLIRSLFEIVLKRGWAQLAEKALNLCKMVDKRMWSVQTPLRQFTGIPNEILMKLEKKDLSWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLLAHVQPITRTVLGFELTITPDFQWDDAVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVCFRHLILPEKYPPATELLDLQPLPVTALRNPAYEALYDAFKHFNPIQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFALLRNHQKGPDSIMRAVYIAPIEAVAKERYRDWEEKFGKRLGIRVVELTGETATDLKLLERGQIIISTPEKWDALSRRWKQRKQVQQVSLFIVDELHLIGGEMGPILEIIVSRMRRIASHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDISNFEARMQAMTKPTYTAIVQHSKNGKPALVFVPTRKHARLTALDLCTYSHADRGERPSFLLGSGEEMNTFISGIKDDTLKGTLALGVGYLHEGLNEFDQEVVIQLFLGGRIQVCVATSLMCWGRSLPSHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLKDNSGICVILCHAPRKEYYKKFLYEAFPVESHLHNFLHDHMNAEVVVGVTENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVENVLSDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSSLTSKTKMKGLLDILASASEYSQLPIRPGEEELIRKLINHQRFSFENPKCTDPHVKANVLLQAHFSRHTVVGNLAADQREVLLSAHRLLQAMVDVISSNGWLSLALSTMELSQMVTQGMWERDSMLLQIPHFTKELAKRCQENPGRSIETVFDLVEMEDDERRDLLQMLDSQLLDIARFCNRFPNIDMTYEVLDDDDVRPGENVTLQVTLERDLEGRAEVGPVDAPRYPKAKEEGWWLVVGDTGNNQLLAIKRVALQRKAKVKLVFTAPAEVGKKAFTIYFMCDSYLGCDQEYNFNIDVKEGGEDGNRSD >Ma03_p30900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33346431:33354977:-1 gene:Ma03_g30900 transcript:Ma03_t30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKSFGDRAFRGKPLELEEKIKKSKKKKEREPALEPEQKKDSKRRRIQEESVLSLTDDAVYQPKTKETRAAYEALLSVIQQQFGGQPQDILSGAADEVLVVLKNEKIKNPDKKKEIEKLLNPISNQVFDQLVSIGRLITDYQDAGVAADSAAANGNGEALDDIGVAVEFEEDEEEEESDYDQVQEESEDDDDGQESNAAGAMQMGGIDDEDMEEANEGQTINVQDIDAYWLQRKISQAYEEIDPQQSQKLAEEVLKILAEGDDRDVENRLVMLLDYDKFELIKLLLRNRLKIVWCTRLARAEDQDQRKKIEEEMSNMGPTLTTILEQLHATRASAKERQKNLEKSIREEARRLKDEHGGGDNDRDRRVVDRDTDSGWLKGQCQLLDLDSIAFHQGGLLMANKKCELPPGSYRTPHKGYEEVHVPALKPKTFSPEEKLVKISDLPDWAQPAFEGMKQLNRVQSMVYKTAFTSPENILLCAPTGAGKTNVAMLAILHQIGLHRRDGVLDNSKYKIVYVAPMKALVAEVVGNLSHRLKSYNIVVRELSGDQNLTRQQIEETQIIVTTPEKWDIVTRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTLRQTEATKELIRLVGLSATLPNYEDVALFLRVTKPSGILHFDNSYRPCPLAQQYIGITIKKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTARAIRDTALANDTLSRFLKDDSASREILQSQTEFVKSNDLKDLLPYGFAIHHAGMARVDRDLVEELFSDGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIILTGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACNWIGYTYLYVRMVRNPTLYGLSADILERDKLLEERRADLIHTAANILDKNNLVKYDRKSGYFQATDLGRIASYYYITHGTISTYNEYLKPTMGDIELFRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFIKQSAGRLIRSLFEIVLKRGWAQLAEKALNLCKMVDKRMWSVQTPLRQFTGIPNEILMKLEKKDLSWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLLAHVQPITRTVLGFELTITPDFQWDDAVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVCFRHLILPEKYPPATELLDLQPLPVTALRNPAYEALYDAFKHFNPIQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFALLRNHQKGPDSIMRAVYIAPIEAVAKERYRDWEEKFGKRLGIRVVELTGETATDLKLLERGQIIISTPEKWDALSRRWKQRKQVQQVSLFIVDELHLIGGEMGPILEIIVSRMRRIASHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDISNFEARMQAMTKPTYTAIVQHSKNGKPALVFVPTRKHARLTALDLCTYSHADRGERPSFLLGSGEEMNTFISGIKDDTLKGTLALGVGYLHEGLNEFDQEVVIQLFLGGRIQVCVATSLMCWGRSLPSHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLKDNSGICVILCHAPRKEYYKKFLYEAFPVESHLHNFLHDHMNAEVVVGVTENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVENVLSDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSSLTSKTKMKGLLDILASASEYSQLPIRPGEEELIRKLINHQRFSFENPKCTDPHVKANVLLQAHFSRHTVVGNLAADQREVLLSAHRLLQAMVDVISSNGWLSLALSTMELSQMVTQGMWERDSMLLQIPHFTKELAKRCQENPGRSIETVFDLVEMEDDERRDLLQMLDSQLLDIARFCNRFPNIDMTYEVLDDDDVRPGENVTLQVTLERDLEGRAEVGPVDAPRYPKAKEEGWWLVVGDTGNNQLLAIKRVALQRKAKVKLVFTAPAEVGKKAFTIYFMCDSYLGCDQEYNFNIDVKEGGEDGNRSD >Ma11_p12920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16885168:16885868:-1 gene:Ma11_g12920 transcript:Ma11_t12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDATGSPAVFASLSEPTAFTAASDLTIDWSASSGGRVARPSSRRRPRASRRAPVTMLNTDTANFRAMVQQFTGVPSGPYSSGYRRGGGPVVTFGRSSSDPVRETVTVFPEQHYRPQQQQQQQRYQYSETAFSVSSNYSDAFLQELTTNSAMSSETADGFLFEGLSSQSTVRPTSVNSKLDRYLL >Ma01_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21621051:21629653:-1 gene:Ma01_g21690 transcript:Ma01_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol O-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64970) UniProtKB/Swiss-Prot;Acc:Q9ZSK1] MAARLHGHASELPSLPLRLSASRSCLLGAANRPPSALRLRPKSCRPARVMAAAASATAEEGLKKGIAEFYDQSSGLWEDIWGDHMHHGFYDPGVTASVDDHRAAQIRMVEEALRFSGVSDDPSKKPKKVVDVGCGIGGSSRYLAKKFEAQCQGITLSPVQAQRAHNLSVVEGLADRVTFQVADALEQPFSDGQFDLVWSMESGEHMPDKKKFVGELARVAAPGATIIIVTWCHRDLLPSEDTLLPEELNLLNKICDAYYLPSWCSAADYIEIAQSLSLENIKTADWSENVAPFWPAVIRSALTWRGFTSLLQSGWKTIKGALAMPLMIEGYNKKLIKFAIITCRKPE >Ma01_p21690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21621051:21629596:-1 gene:Ma01_g21690 transcript:Ma01_t21690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol O-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64970) UniProtKB/Swiss-Prot;Acc:Q9ZSK1] MAARLHGHASELPSLPLRLSASRSCLLGAANRPPSALRLRPKSCRPARVMAAAASATAEEGLKKGIAEFYDQSSGLWEDIWGDHMHHGFYDPGVTASVDDHRAAQIRMVEEALRFSGVSEDDPSKKPKKVVDVGCGIGGSSRYLAKKFEAQCQGITLSPVQAQRAHNLSVVEGLADRVTFQVADALEQPFSDGQFDLVWSMESGEHMPDKKKFVGELARVAAPGATIIIVTWCHRDLLPSEDTLLPEELNLLNKICDAYYLPSWCSAADYIEIAQSLSLENIKTADWSENVAPFWPAVIRSALTWRGFTSLLQSGWKTIKGALAMPLMIEGYNKKLIKFAIITCRKPE >Ma02_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18012828:18013821:1 gene:Ma02_g07240 transcript:Ma02_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLDNGKGRRVHPTPLTGAAATRDLLAALPATVLALAATLTAAEQEVLAYLLSGGGGGGRWRERRRRRAHPPELGCGCFGCYKSFWARWDASPNRHVIHRIIDIVEESSEARELDRGSGSRRRRRSGRGGRKDAGLAAEVAAAGGEESLEVVGMGFSRLDGGRLADDDGEDGDDDGEEVNGGSNSNNNANGGCNSVRRFMSFIGERVWGAWN >Ma01_p17590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12888682:12889508:1 gene:Ma01_g17590 transcript:Ma01_t17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKAALPGRAWRLLRMALLWARKGGVFKRGIFVDLRIVPGYLKSLKPGGRGSDRLHFGEREFSFEETPAFRFKTPSVRLLRIPCITPAGDLDTEDDDLVFAKLDRNSYLPDKHEAKEASEIGCEDDDDDNAARECEDHAGMEEEDEIDRKAEQFIAKFYEQMKMQRQMSWLQYNEMLVRGVN >Ma02_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28217742:28226960:-1 gene:Ma02_g23060 transcript:Ma02_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKLTIVIGAGIIGSVLTKDGGLPDITHLFSGAFRIVTKHLQQEKDNSQSSSKPQTDSLLAQVNTLRHELQLLASSRSVTIVTGGKSGSGRYGVSAVIVIGALGYVFIWWKGWKLSDMMFVTRRGFSDACTRVGKQLELVSSSITTAKKQLSSRIDLVDNNVEECKEIAAATKFEVSQLHGDLTLFHAEVESVHRAVQTLETKIGRIEGSQDFATRGVYHLCQFVEKLEGAKSRELIQDSPSSSQRLLEPPQTSLVVTRTSSLPPLPVESPSSSASASPSIAIESPRVLRSSTAVSASGLKELQEISNATRLGSFKSNMTSGSTSKVSNSTTEEPNSTAPNSSWSMWKSTDEPNSTAPSSTRSLWKLPSIGILSRTRSGTT >Ma03_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:244265:244852:-1 gene:Ma03_g00240 transcript:Ma03_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEKKQKKCCPLRRSRKGCMKGKGGPENQACTYRGVRQRTWGKWVAEIREPNRGARLWLGTFSSSLEAAQAYDNAARSLYGDCARLNLSDDSGPRRPSVKSEASCSPTCSTETSTSESRATFPGSVQSPAGYFCGGGGGLDDFDDYVTGLPKAEDFGLEAFSDIPLFDDVGFAETMFDKELLSFDAMQLSWCS >Ma08_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33096898:33097686:1 gene:Ma08_g19300 transcript:Ma08_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSLSSLFEKPMPENPTLIDSLSSRNQIMPNEPVDNSCFTEIFGEIHFQETPAPPPATAADRAPSFDLSDLDQNCTGGHGDKSSLDSLLGLDKKQDACDSSSSSSNRGFPPKKSDKLQLCTERLGSESSDGVDDLMKDGGDEWSGGHRKEKIDAERLNSDGGHPRNCSGARTEPGGFPPPISSIGRSGKSWSYFRSFRQNGRFVLREVRIPTQDLLHASREDGRLKLQLLHPDEDEDDQEQDDDDEEEEEEQEEKGQQL >Ma03_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26305770:26311211:-1 gene:Ma03_g21310 transcript:Ma03_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLYFEIGRKARELLYRDYQTDHKVTVTTCTSNGVEITASGTRKNDLIFGEIQSHIKNKNVTFDVKTNSDSNVTTTITIDELASPGLKTIFSFVVPDQRSGKVEIQYLHDYTGINASIGLTANPVVNLSGAVGSKTLSVGADVAFDTTTGKFIKYNAGLSITNADLIAALTLNNRGDSLTASYYHLVKSLSRTAVGAELTHSFSSNENTLTFGTQHALDRLTTVKGRINSYGKASALIQHEWKPKSFLTISGEIDTKAIEKSSKVGLSLVLRP >Ma07_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3671445:3675045:-1 gene:Ma07_g05040 transcript:Ma07_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLEDEDAVRLCHDRKNFIRQAIEQRDRFADGHTAYIQSLMRVSLALRNYVDGDEYNFFFTSCKTSPSHPIRSFNPEIIMIPMKPFTPKQKQHEKSTCSSPNYMKAGGNPLISVEERPESPANKSKECCYIAWQNGADGYFAAEAPTTDADASFLSSPYERLRHPPASPQASQLDFFWNPFSSYGYAYGNSLDEVLLDGNTHGQRKVWEDEGVPELEGQADKNEKARMKKGGKINIKPTAGKQPADAHKQKENMDDMKEFWLQGWQRSTEVSETQNAAELLIDNDQGIVRDRNSSQETPGFTVYINRRPTSMGEVMKDIEAQFVRICNSASELSTFFEVSSTQPSSSSLQAAMAPSTSSHMEESRAVPGSHKSTLDRLYEWEKKLYDEVKCGERARIEYEKKCMQLKIQDVNEDEAFVVDKTSSSLRDLQTRLRVSISSTAYISERIETLRDQELHPQVLELIQKLASMWRTMAECHRIQKHTIDEAKLLLFSPSVAAVSAGIPPPRPSRFAAALEAELRNWASCLATWIEAQRCYARALAGWIRRCAPPTLDAAASTPSRSTMGAPPAYGACVRWSRMVDSVSEAAAIDGVEMFAAGVASVAAGQRREGVAEVEEAVGGRAAELGPKVVCAGLAVAVGAVAELAVNSAEGYDELV >Ma08_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1517990:1522851:1 gene:Ma08_g01830 transcript:Ma08_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTFFPSSTLLKVAVSFPSRHHHPPSPLRHGRKHNQQQHKSAHRIVRFNAAADPSVALNPSVGPRSLVGALISGTGFRGWARPAGADSWWMMRPGSGQATFGRGFLGARSWAAGGPDRGGAGERDAVQVVGPAESGEMEINGSHPPRQQQEQRSSDPPTLLTLPTVLTLGRVAAVPLLVCTYYMNGWWATTATTSIFIAAAITDWLDGYIARKKHLGTAFGAFLDPVADKLMVAATLVLLCTRPLEVGTFGEVPWLLTIPSIAIIGREITMSAVREWAASQNSKVLEAVAVNKLGKWKTAMQMIALTILLATRDSNLTGIDMVVASGVALLYVSASLAVWSLVVYMRNIWRLMLM >Ma11_p18840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23877815:23891099:-1 gene:Ma11_g18840 transcript:Ma11_t18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGTDRTQVTAAVSCGVLIPTRFVWPYGGRRVYLLGSFTRWTEHLPMTRVEGCPSVFQAICRLTPGVHQYKFFVDGEWRHDERQPHMVGNYGIVNTLIVTQEPSMQTILSPETPNNRMNMDVDHENFRHVETTLTTVEADIGDFRDQIYEFLSTHTAYDLLPDSGKVITLDVNLPVKQAFHILYEQEIPVAPLLDTCKGEFVGVLSPLDFILILKELSSHGSNLTEEELETHTISAWKKAKQQRSWPLVMHERKSKDHLIHVGPYDSLKDVALNIVQNQVATVPIIHSPSQEESFPHLLHLASLSGILKCICRHFRHSSSSLPVLQQAICTTPLGTWVPRNAESNKKHLTMLRSNESLSSALALLLQAQVSSVPIVDDHDRLLDAYSRSDITSLAKDKAYAQIHLDEVSIYQALQLRQDANPHQGSHNGQRCHMCLRSDSLQKVMEQLANPGVRRLFVVEAGSNRVEGIISLGDVFKFLLGLP >Ma11_p18840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23878528:23891120:-1 gene:Ma11_g18840 transcript:Ma11_t18840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGTDRTQVTAAVSCGVLIPTRFVWPYGGRRVYLLGSFTRWTEHLPMTRVEGCPSVFQAICRLTPGVHQYKFFVDGEWRHDERQPHMVGNYGIVNTLIVTQEPSMQTILSPETPNNRMNMDVDHENFRHVETTLTTVEADIGDFRDQIYEFLSTHTAYDLLPDSGKVITLDVNLPVKQAFHILYEQEIPVAPLLDTCKGEFVGVLSPLDFILILKELSSHGSNLTEEELETHTISAWKKAKQQRSWPLVMHERKSKDHLIHVGPYDSLKDVALNIVQNQVATVPIIHSPSQEESFPHLLHLASLSGILKCICRHFRHSSSSLPVLQQAICTTPLGTWVPRNAESNKKHLTMLRSNESLSSALALLLQAQVSSVPIVDDHDRLLDAYSRSDITSLAKDKAYAQIHLDEVSIYQMQVIIVGYFVHRHESIPFILD >Ma11_p18840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23880265:23891120:-1 gene:Ma11_g18840 transcript:Ma11_t18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGTDRTQVTAAVSCGVLIPTRFVWPYGGRRVYLLGSFTRWTEHLPMTRVEGCPSVFQAICRLTPGVHQYKFFVDGEWRHDERQPHMVGNYGIVNTLIVTQEPSMQTILSPETPNNRMNMDVDHENFRHVETTLTTVEADIGDFRDQIYEFLSTHTAYDLLPDSGKVITLDVNLPVKQAFHILYEQEIPVAPLLDTCKGEFVGVLSPLDFILILKELSSHGSNLTEEELETHTISAWKKAKQQRSWPLVMHERKSKDHLIHVGPYDSLKDVALNIVQNQVATVPIIHSPSQEESFPHLLHLASLSGILKCICRHFRHSSSSLPVLQQAICTTPLGTWVPRNAESNKKHLTMLRSNESLSSALALLLQAQVSSVPIVDDHDRLLDAYSRSDITSLAKDKAYAQIHLDEVSIYQGK >Ma11_p18840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23877815:23891120:-1 gene:Ma11_g18840 transcript:Ma11_t18840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSGTDRTQVTAAVSCGVLIPTRFVWPYGGRRVYLLGSFTRWTEHLPMTRVEGCPSVFQAICRLTPGVHQYKFFVDGEWRHDERQPHMVGNYGIVNTLIVTQEPSMQTILSPETPNNRMNMDVDHENFRHVETTLTTVEADIGDFRDQIYEFLSTHTAYDLLPDSGKVITLDVNLPVKQAFHILYEQEIPVAPLLDTCKGEFVGVLSPLDFILILKELSSHGSNLTEEELETHTISAWKKAKQQRSWPLVMHERKSKDHLIHVGPYDSLKDVALNIVQNQVATVPIIHSPSQEESFPHLLHLASLSGILKCICRHFRHSSSSLPVLQQAICTTPLGTWVPRNAESNKKHLTMLRSNESLSSALALLLQAQVSSVPIVDDHDRLLDAYSRSDITSLAKDKAYAQIHLDEVSIYQALQLRQDANPHQGSHNGQRCHMCLRSDSLQKVMEQLANPGVRRLFVVEAGSNRVEGIISLGDVFKFLLGLP >Ma06_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2554795:2555262:1 gene:Ma06_g03490 transcript:Ma06_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTQSSSKASPPKDCVRVIHMTGYVEDVKAPVTVAQIRRRSPPNDVLYSYDYLTTFGSNHLRPDDQLQPGRLYFLLPQDVIRSEASAVDVANLMNRLVAAAKKRGSAPPVVTLPSRSRPPAWKPNLDSIEEQPNDSDIESNDGNSSFWSSSRET >Ma11_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25209318:25214075:-1 gene:Ma11_g20800 transcript:Ma11_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKSDSAMTSLAASSPPQSPSLYTMSPTHSHHDADGVSIIGSSPGASPLHPNYHHHRYASSPIQHFRDSSASLKYASSWRKIHNHHQHAHYHHGAASSDCSESDDDDDDDDGDGGVGGGGDPVPVHCYAAWFALGSILLFTLFSLGLWGASKSYKPAVFVKGVVFQSYHLQAGTDVTGVSTKMLSINSTVSIAFRNPATFFSVHTSSTPLVMYYSELKIASGYMEEFNLSRKRGRVVVVAVGGRQMPLYGGGSSLESQAEEGGAPTVVPLELSFTVRSRAHLLGHLVTSEFHRHVRCSLALREERLGEPLDLADDCQYGDG >Ma05_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36073424:36079600:1 gene:Ma05_g23900 transcript:Ma05_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANNHPSSSLPSPQTQEDQQTQPPTTTSLPLATISLSVLPSYVSLFSSSTTSLKSSSFSPFSSHLKIPSQISSLSLSLFSPPSKPHSKLSTPSKISNSLLLSPSPIIIRRPADPSAGAAARRCSIVWFRSDLRLHDHEALSAANADSLSLLPVFLFDPRDFGRSPAGFDRTGPCRARFLVDSVSDLRAGLRRRGSDLVVRVGRPEVVLPELARAAGADAVYAHREVSHDEARAEERVAEAMEAEGVEVKYFWGSTLHHVDDLPFDLEHMPTNYGGFREKVKGVSVRKTIETPEEVRGLPSRGDIEPGEIPSLQDLGLNQDGKSVTSVPLVGGETEALERLKKFAAECHAQPNDGNKDNTRDNIYGANVSCKISPWLATGCLSPRFMFEELKKTATRAISATSSRRNGVDPADGGMNWLMFELMWRDFFRFITKKCNSSKKKVEGVPATACAGAVV >Ma02_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7295110:7298952:1 gene:Ma02_g00930 transcript:Ma02_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGASRFIKCVTVGDGAVGKTCLLICYTSNTFPTDYVPTVFDNFSANVIAEGRTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWMPELQHYAPGVPVVLVGTKLDLRENKHYLADHPGMLPVTTVQGEELRKQIGAAYYVECSSRTQQNVKAVFDAAIKIVIQPPSKHKEKRKRKTRHGCSILNIPCVRRLVCFK >Ma02_p00930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7295110:7298952:1 gene:Ma02_g00930 transcript:Ma02_t00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGASRFIKCVTVGDGAVGKTCLLICYTSNTFPTDYVPTVFDNFSANVIAEGRTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWMPELQHYAPGVPVVLVGTKLDLRENKHYLADHPGMLPVTTVQGEELRKQIGAAYYVECSSRTQQNVKAVFDAAIKIVIQPPSKHKEKRKRKTRHGCSIL >Ma03_p19790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25050168:25051778:1 gene:Ma03_g19790 transcript:Ma03_t19790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRCQEGHRLCANNCGFLGSPATLNLCPKCYRDHRLKEEQQRQDASHAANVAAAEKPPHASSSASVVASPAGNARGPPALASPAIAAAAAGPSRCAMCRKRVGLTGFRCRCGATHCGAHRHAELHSCTFDFKAAGREAIARANPVVKADKLNRI >Ma03_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25051052:25051778:1 gene:Ma03_g19790 transcript:Ma03_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRCQEGHRLCANNCGFLGSPATLNLCPKCYRDHRLKEEQQRQDASHAANVAAAEKPPHASSSASVVASPAGNARGPPALASPAIAAAAAGPSRCAMCRKRVGLTGFRCRCGATHCGAHRHAELHSCTFDFKAAGREAIARANPVVKADKLNRI >Ma01_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6265670:6268800:-1 gene:Ma01_g08720 transcript:Ma01_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore potassium channel 1 [Source:Projected from Arabidopsis thaliana (AT5G55630) UniProtKB/Swiss-Prot;Acc:Q8LBL1] MANESAKRPLLSGLVDPPKYPQKNTTTKRKRFLRSKSAPSVDILLGPTEVGGTLPDSKLNSIYFRPSLKQVVLYLVIYLGAGAACFYLVKDQLAGRKTNGVLDAIYFSIVTMTTVGYGDLVPNSVTTKLLASVFVFTGMAIVGMLLSRAADYLVEKQEMLLYKALHMRCKGGEAHMLKQIETNKVKYKFYTTAVILVLLITIGTVFLWKVEKLEFVDAFYCVCSTITTLGYGDKSFSTGGGRAFAIFWIVTSTVCVAQFFLYLAELNAEHRQKLLAKWVLTRRMTFVDLEAADLDDDGVVGAAEFIIYKLKEMGKISEEDIALVMEEFEDLDIDQSGTLSAADLVLAQSNQ >Ma00_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4967471:4969866:1 gene:Ma00_g01200 transcript:Ma00_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSSSPSPTITPMNQIIKSQLLPHQTLTPNPPPPLPPPVSSAAAIDDEVDLLHSSFHHHHHHHHHHQSLLMDDDDDPSSQAPETLVHSHSHSHSPFSSLLLRDDDEDEEPDEDEIDAEESLIEDRKPLPTAATAPPDCSFGEASAVDVPPAAPRIDPSPHVSSQFYTFNRQSHALMVRCILEGRLATPEEIRCATPRSVLKSWRSVWKDRNEDTAYLTAWKRIQDKLHAHMDGVLPALLFKNNSAQRVSHVDQWEEIVTTAHADPDLLRHLGLKETVDRIKQSWTVGAKFYGIPESFIRVCVASCPICSSASSLTSRGSSALARSKRRRFEYTESFEVPAKDVQRHLQQLAAKHKVALCIRQKYIRYKPFMAEVKDYACHRAGEPTSASASAKKARVLKREPYQSKRCGCGFRIRAIVPIMNYNEKDKTFVYQEEGTAMFKLYAVHSGHEPGPLDGNARIIHRVIGNKGAFDIDPEVYGVREDMEPESFVSLMGKDDRGDSHHMVLQQVQELRVEVGILEGRITKMSPEMLRSLSRELSDILLKLRRLGGGVHHSEETLVGDGEVAQWGNNDDHHLDRHDRIFSKEAEMIEEEDPDFGSDLGAIVPWERMTADCQDRKMLMRDSLKPDKWILKEHCSDFDEKSILCGEDEESKLIKPLTDSSLVGMHVDGFYADNTKWYDSPSGLDPGTDSGDGGFRHGGMV >Ma02_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24160831:24162152:-1 gene:Ma02_g17100 transcript:Ma02_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNYTQQLGLGSGRSAGSSRRGKKNNMEKPKQPQRGLGVAQLEKIILQNQMMGSYPSPLDCDLEKVDNGQVQMGCPPSPSSSSVATPSSSLFDLRPNSVIGFGDTAVTATRYSAYHSFFHQAVRPTTTLPLLEPSMETSSYHDEQCNSIGSISQNSCDSQELDLELKL >Ma06_p29120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30588717:30590894:1 gene:Ma06_g29120 transcript:Ma06_t29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAVHCVAPLVGANKPKAAAAARVLSNLNNSSGSNKKNASSAPSPSFPRLIRNSPVFATPTTAAPAVEMEPVERVKSGFADFKKEVYEKKTDLFADLKEGQSPKFMVFACADSRVCPSVVLNFQPGEAFTIRNIANMVPPYDQVKYAGVGAAIEYAVLHLKVENIMVIGHSRCGGIKGLMSVKDDGTTSTDFIEDWVKICLPARDKVKAQHSSLPFEEQCTQCEKEAVNVSLQNLKTYPFVKDAVEKKSLKLIGAHYDFVNGVFETWAD >Ma06_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7767622:7770713:-1 gene:Ma06_g11060 transcript:Ma06_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASTNGEVVIINDGATILNKMEVLQPAAKMLVDLSRSQDAAAGDGTTTVVVLAGSLLRRSLSLLSAGVHPTAVSDALHRLSLRAIDVLHGIAIPLDLSDRDALATATSVSQSAGGPTRVENAKIVVIQFQISPPKADIEQSIVVSDYTQMDRILREERNYTLGMVKKIKATGCNVLPIQKSILRDAVTDLSLHYLAKAKILVIKDIERDDIEFITKTLNCLPIANIEHFREEKLGFANCVEEVSFGDGKIVKITGIKDMSRTTTVFVRGSNQLVIDEAEHSLHDALCVVRCLVNKRFLIAGGGAPEIEMSRQLVAWAKELRGMDSYCIKEFAEAVEVIPYTLSENAMPRVRSMLGLTLGRARSPTS >Ma04_p29610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30489959:30496377:-1 gene:Ma04_g29610 transcript:Ma04_t29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G49650) UniProtKB/Swiss-Prot;Acc:Q949W8] MAGLLPSDALFLGFDSSTQSLKATVLDGNLVIVDSESVHFDTELPHYRTKDGVYRDPSGNGRIVSPTLMWVEALDVLLEKLKSKVDYGKVVAISGSGQQHGSVYWNKHGKAILTSLDPKKPLRIQLEDAFSVRESPIWMDSSTTSQCRELEKAVGGALELSKLTGSRAYERYTGPQIRKIYQTQPDVYNDTERISLVSSFIASILIGNYASIDETDGAGMNLMDIKQRVWSKTILEATAPGLEEKLGHLAPAHAVAGLISSYFVERFHFQKSCIVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITSEPQPSLEGHVFPNPVDPNCYMVMLVYKNGSLTREDVRNRCAESSWDTFNKYLERTPSLNGGKLGFYYKEHEILPPLPVGFHRYVLENVDSLNETKVLEVQEFDPPSEVRAIIEGQFLSMRGHAERFGMPTPPNRIIATGGASKNESILKSIASIFGCPVYTVQRPDSASLGAALRAAHGWLCNKEGRFVPISCLYGDKLDKTSLSAKLAFPAGDADLLSKYTVLVNKRMQIEKNLVEKLGRS >Ma10_p29500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36058885:36059905:1 gene:Ma10_g29500 transcript:Ma10_t29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP112 [Source:Projected from Arabidopsis thaliana (AT2G18260) UniProtKB/TrEMBL;Acc:A0A178VPA2] MNDLMTKSFMSYVELKKQALKDLEAGADDPPKVEAAAGGLTRMEEENLARFFSEIGGIQSEMDDVSSLLVDLRFLNEESKSAHSAKLLRGLRDRMDADVVAVLRKAKAIKVRLESLDRSNAANRGVAACFTEGSPVDRTRVSVTNGLRTKLRETMNGFQLLRERIVSDHREGLKRRYLNATGEAATEETIDKMLSGASQVGLLDNRGEVDLEVLERQKAVSDIQRSLMQLHQVFLDMAVMMEGQEDQLNDIEENVARAKDYISGGTDRLVSANAMRKRNKKCACLVCALLLVVISVCLVLILTDP >Ma05_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10724870:10728409:1 gene:Ma05_g14650 transcript:Ma05_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWRRAFCTSATVVARTEAGEKKQQKQIMGSSSPRSCAKLSFFSGGGGRGGNSPSTPRLALCRTSSESPDSSKLQCDKLPSPATASVNTSRKNRSPALFRRKALSTPSSPRSPSGFALFKQLSRSRCRICTQSLRASREMPVFTAECSHAFHLSCIAAHVRSMHGSLACPVCFATLRRAQLPSAFHHQQEDAVVEQVLAGESENRNNPNRRATSGGDRNAIKMGERQLGQNRLTAAAVKVYDDDEPLLIVSTTNKGGGMRFNPIPEAANEDEDERGNDEDDLERKNKFRGLLATPPSPRSHGGGVPRRPTTRSRAGGVQVSMMPQAALLSEGRRHRNYVVMLKVKAPRMRPANLLSPAGGRTPIDLVTVLDVGQGMTADKLQMLKSKMRLVVSSMGPADRLSVVAFSAVAGAKRLLPLRRMSRQGQRAARQIVERLVVVGGGAPSGEEIVADALKKATKVLEDRRERNPVATIMLLSDARQQQSQDQGKEDEHNYHHTPLSSPRDAGDGDIRPHPLPMMTSAGPATSYAHLAIPLHAPGFGDGAAGPSPQKQKEESSEDSFMKCLGGLASLVMRDVRLQLFLPSGKISAVYPCGGGGGGGGGCREEAPGEGSFVLHLGNLYAEEERELLVELRVPVSSSAASAPENSHHQLSVKCNYRDPAIQDVILDAEQILLLPPLLHSQAASSSSCSATSQWLRNLFVSTRALAESRRLADLSDYATAHHLLSSARSLLRQSACDAQDHGLIQNLEAELADLERRRLLRKQHQLPRHQEQQEESLSPSNRRRRRREPPAEVRGEQLTSTSAWRAAEQLAKVAMMRKSLNRVGDLHGFENARF >Ma04_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25676040:25677256:-1 gene:Ma04_g23510 transcript:Ma04_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSATQKRSSSGTFLGLGCGCKDSKSVSVSVSSSSVDAKSVTPAPLTRRARELSSADTMTLSSPSTFSYGEEEVKMESSASTPSLFGLLRQLNELEQDVTSWGRCTLPSPAHIKEGEKKRLHQRSGSEGGGRKVEESVAVVKETKDPLGEFRRSMLQMIIDKEIVDGEELRELLRRFLALNSPRHHDTILRAFAEIWEEVFAGYETTPDLLHHRNRTRLPVPRHL >Ma09_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2302480:2304429:1 gene:Ma09_g03420 transcript:Ma09_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVNMTWLDLFRHGSRSRNSRLRLSTEREKKAMEAGNGCRPNITDDRWSLRGTTALVTGGSKGIGRAIVEELARFGAAIHTCCRSEQELNKCLQRWEAMNFTVTGSVCDVSSPVERENLMDKARSIFDGKLNILINNAAIGYINPAIKVTLEEYKHVMSTNLDSAFHLSQLAHPLLKASARGSIVFISSVAGFLGINTASVYGATKGAMNQLTRSLACEWAKDNIRTNCVAPGVIRTPLVRPLLDNKEFVAKETRRVPLRRFGEPKEVAAVVAFLCLPASCYVNGQVICIDGGKTINGNL >Ma09_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9939827:9940348:-1 gene:Ma09_g14570 transcript:Ma09_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMMLVWSNMDLISLPIAFCGFCSITYISDASSFPRVRMDPLFEKIKWKQKGFFHPLFCAVLMRN >Ma03_p31500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33711982:33715743:-1 gene:Ma03_g31500 transcript:Ma03_t31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIDTKPFESVKTALSFFEQKAGQNRCASSGNMEEQEEKDLCLLLKELANCKVQLEINKSANKQANLELEVYHKTVDDLSIQLENSVAEIDRYREKCQQAETKIAKLESENKVIAQSLLGSSEHESELLGIVDELKALQEEEHAMGSEVTASGRLHTMAERRAELMGQAIFLESDQRKKLLLHISALNEALFSSTLAAIEADKERSASFFRMEAELHITKEALAQSHDKLEGMKDHLDMMNNMENELFAKMIQVDYLQSELKQLEELYSACSRFALDAVNDANQLASEMETPEGARSKYSSYLALMEAEKKRMRNELQSERDKVIDLNCQIELLSSRTQTLKHEMSEMRGREMDLEEEIATLKADLHRSGSRRILAAEAAEARATSSQSGLYIAVQELALETKSSKMESQVQKKDAESEMEMDDKADYATETKRGKSDIIDGYGGIAILAKESNSLTLETGKAMGIADSPRSSGLERTSDADMLNEELEAATSRINDLRSNLEEATRRAELAEKAKAAIEDQLRKWRAEQTQRRAAADGLTKQRPKKDSNNGKRPTCTPSLPKSVLRHHADGSPPDFDGTHLNYVPLAKVLNMKL >Ma04_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4400234:4405011:-1 gene:Ma04_g05870 transcript:Ma04_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMASGSLCLRPTARAGENCLPGLRCCSLRCPPFAAPIASKIRLYSDAAITVPRAASPTTVEDGSSNETDTIPTPKVIIDQDSDPDATIVEITFGDRLGTLLDTMKSLKNLGLNVVKANVYLDSSGKHNKFAITSMSTGRKIEDPELLEAIRLTIINNLIEYHPESSSQLAMGATFGVEPPTQEVDVDIATHIEVYDDGPDRSLLIVETADYPGLLVDLVKIITDINITVQSGEFDTEGLLAKAKFHVSYRDKPIIKPLQQVLSNSLRYFLRRPTTEEASF >Ma09_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33668815:33669267:1 gene:Ma09_g21750 transcript:Ma09_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEFDVYELPTLAPIRTTGNDDPLKSTSTEDVECITPKSEEPVRALVCPPAPWKPRPAKRRLAPPPRGYYPVPSDLLSVFVPLPCPPSKKIRVG >Ma05_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10086442:10090159:1 gene:Ma05_g13870 transcript:Ma05_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAKDLAAGTVGGAAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYSGAMDAVRQTMAAEGPRGLYKGMGAPLATVAALNAVLFTVRGQMEALLRSEPGAPLTVNQQVVCGAGAGVAVSFLASPTELIKCRLQAQSALADSAASSAATKYGGPIDVAKHVVREAGFRGLYKGLVPTLAREAPGNAAMFGVYEALKQYFAGGPDTSGLGRGPLIVAGGLAGASFWLFVYPTDVVKSAIQVDGFKNPKYSGSLDAFRRILAAEGMKGLYRGFGPAMARSVPANAACFLAYEVTRSRLR >Ma04_p35080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34021516:34028911:1 gene:Ma04_g35080 transcript:Ma04_t35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAKKEAFRKYLESSGVLDALTKVLVALYEENDKPSSALEFVQQKLGGPSISEYEKLQAEKSDLQLKYDELLAAHRETCRELADLRNLKLTTSSKENTDGDKLKN >Ma09_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7291803:7295314:-1 gene:Ma09_g10720 transcript:Ma09_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGKVQHPDYPDFVEVDPTGRYGRYNDILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPENLERLYCEIHLLKTLKHKNIMKFYTSWVDTSEGNINFVTELFTSGTLRQYRQKHRRVNIRAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAIHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVAIYKKVISGTKPEALYRVRDPEVRQFVEKCLATASKRLSARELLDDPFLRVDDLGSTYDDGHISTMGHILRQPSLELIQSNGSLFTNEFSNSFHNETELMNGWDSDAANVQVHRYELFNSYKDEQPSNVDITIKGKRREDGNIYLRLRISDKDDCVRNIYFLFDVEADTALSVATEMVTELDIIDYDVTRIADMIDEEVASLVPEWQPGPGIEEFSGFPTTTFCQNCAPNVSSCVSLLDYHSLKNPCHANMKSVDCCHFDCAEMHGRFEEITYQVEETELCVTEGAPMLSTSQSDGPDVGSLASRSNHSDEECEQLNPTVKDEKVIYMDDYKRRDSSQSSDQLYSGSHQQQCADLPEFSHQFTKSSKLDHCENEIRQDQRWLKARYQMEPQEQSHQQLGGSERELHPSLESGNGERSKQNGFSGSSLLKPLHFPDENLTMKSFHLGKHNSFHIPRSDTDKATMINMVPADVTSGFRSRKCTNLESPRDRNCEVNSTGCTELFFAAKNFYAGAVMPSALTRTKSLPVDAVDA >Ma11_p06100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4875460:4876317:-1 gene:Ma11_g06100 transcript:Ma11_t06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRGGGGRQHLVVRSVWAWNLEYEFSIIASLVDRFSYVAFDTEFPGFLYRTRRPHRLLPPRLRYAFLKANVDKMELVQLGLTLFDAFGDLPDIGTGGRVGFVWEFNFREFDVRRDPHAPDSVDLLRSSGIDFDRLPLYGIDSGQFAAHLYRSGLVAHCRFCRPHSTRWIAFHSCYDFAYLIKVLGFGGPLPDTLEEFLGLVNLLFGETVDLKHMMRGCKGLSGGLEKAASTLGVPRQAGKSHQAGSDSLVTCQVYLKMKQRFFDDQDAKVACHRGIIYGLQAC >Ma03_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23096929:23099165:1 gene:Ma03_g17570 transcript:Ma03_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGVVPGNSTAALRPSPTQPRPRPSLTDASGGRVFRLAQWPGGGGGWTRLPSSAFRARAAVGEGAKARGSFYELLGIPESGSFDDIKRAYKQMALKYHPDVSPPDLAEEYTRRFIEVQEAYETLSDPRLRALYDRDLTRGLHLAFSARRRVDEELEERSGWRSRWQDQLAGLKKRSMNKKSEGNLSWGDRVRKQRTELSTE >Ma07_p13810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10369706:10371604:-1 gene:Ma07_g13810 transcript:Ma07_t13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 8, vacuolar [Source:Projected from Arabidopsis thaliana (AT1G17120) UniProtKB/Swiss-Prot;Acc:Q9SHH0] MEAIGGDVSHGAASISAGEERSYWRWSKNDFFPEPSFRNWGAYRAALSRTGPRLRDRLLHRSTDAAELLALPRRSEHGLRRCLSWWDLAWLGFGSVVGTGIFVITGQEARFAAGPAIPLSYAAAGLSALLSSFVYAEFASDVPSAGGSFSYLRIELGEFLAYLAAANILLEALVGAAGLARSWTSYFATLLGREPDSLRIHAPALAAGFDLLDPIAVVVLIACSSVAIFGTRGTSTLNWITSLLSVAVIGFIIVAGFIHADPANLAPLFPNGAKGVFEAAAVVYWAYTGFDMVATMAEETRNPARDVPLGLVGSMSAITVVYCVMALVLVMMQRFDQLDPNAAYAVAFAAAGMKWAKYLVALGALKGMTTGLLVGALGQGRYTTQIARTHMIPPYFALVHPKTGTPVYATLLVTMSSACIAFFSSLDVLATVSSISTLFIFALVALALLVRRYYNREVTPRANLFKLVFFLAVIVASSVGVSAYWNSNPKGWIGYAITMPLWFLSTLGLAVFVPQQRAPKVWGVPLVPWLPSLSIATNLFLMGSLGYEAFVRFGICTAVMLVYYVLVGLHATYDAAHEENDTEAIKVEA >Ma09_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9722417:9737021:1 gene:Ma09_g14240 transcript:Ma09_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLFDHLKSLSGSLAGPPRVAPLPVARVSSDSNSYGSFVNLKLTAEKLVKEQASLKTDLELAQTKLKKATEHIQVLETKLQQAVNEKAKLKVKQTEDTKLWKGLDSKLSSTKTMCDQLTETLQRLADQTCAAEEDKKIFEEKLDNNLKALDQFELLFNDLSTKLENASNTINNDKQEILELRHEKEEAEKCFRNHCYATDNAMKEKDAVIKELNEIIEDKKANLQSLDSQLQERQHELSLKEDTCKSLRASIINLENENSSLERSNRNFAQEIEKSCQHLKELEISFSGLAAIMVELHNESAALEKHIYMLFSSLEVYYDMVQKQKELTCWSAQHKYDQLHEQFKHCTIENDAIKLEKQEQKDQILELQKAQEFIMVQHADECRLAEEKVRILESEIKDLLSKKNDSDKLTIEFEGKVKDLSESHAISEAQVKSLSDKISSLESENHNLLDKLQLVSLEGAKEAEALQNEISMHHQTIQSIENQLSQLKYVLNEKEQLLTSSMEREKQLEEEKSEVQALLAAAEAKLREAKRQYDLMLEGKQLELSKHLKELSQKNDQAINDIQRKYEMEKLDIVSTEKEKTEKIIKEIEKGCDVKIAENKEQAKQCLMRVKEEHGKMIIQIKQNYEEKESSLLLHHKEELKRVQLQAENEMREKTSSLRKEHEIQVKSLTLQHEDECRKLQEELELQKTKEEKQKALLQLQWKVMGENQQVDQEVNSKKEFSVSSIKMREPYSRRKHKLTLTSPESRRKNLSLSGIMRTPMVSIMKKVEDGSPQNIPNHRKKVTRHEYEIETSNGTITKRRKTKSTVMFGEPNTQKTLHTQTPITSNDVTNIRKVSGGNHPHPANIGDLFSEGSLNPYADDPYAFD >Ma06_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8576789:8578829:1 gene:Ma06_g12340 transcript:Ma06_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPASRRVTAEQLWVGREKGELKKGRHWDDDDDFEADFRDFNDEAEEDEFDGGETEAGEFDAVHFGFGSKAPFTREGSAKSVRFDGSAAKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGIRVWLGTFNTAEEAARAYDAEARRIRGRKAKVNFPTAATASSSGSRKCSTKPTASEIPEITALEKLDFDQTSKYWSDHESECSRFMIDRELTKPVHMMNPLITVNSSAPPEGASLNFYSDEGSNSFGYTDFVWELEARTPEITLILAPTEPDIYEDGGAQKKLKHNCSVETMPAEKNSAIELSEELYPYEPCMKFLPAPYFGRSSDALIDILFGGELVQGGVDVLDLWNFDDLPMEASVY >Ma01_p10750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7722630:7723879:-1 gene:Ma01_g10750 transcript:Ma01_t10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKKGLWSPDEDRRLRDYILKHGLSCWSAVPGSAGLQRNGKSCRLRWINYLRPGLKRGNFSPEEEETVINLQAKLGNRWSQIAMHLPGRTDNEVKNYWNSYLKKRVTKAQNSDPHASATSSSDCTNQGPVKHEEEPNSQMISPATHVDIGGFSPKLTALNGLPRVLFGDCLQANGGDSLHDRGEVMTNPWHNTNPHGEASGQATDGTTNGYAGACMNGEMQAQPEALLQNQENGFFDILFPGLVYDGMDFCDREF >Ma05_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3047779:3053778:1 gene:Ma05_g04030 transcript:Ma05_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLCDFCGEQRSIIYCRSDAASLCLSCDRNVHSANALSRRHLRTLLCDRCSAQPAVIRCIEESVSLCKNCDWNSHDGSGVASGHKRQTINCYSGCPSAAELSRILPFFQEFPPVAEPDCEKGLGLMTISENNISNCWGRPESNSTLGISSEGKMKDPIVVDKLNPLTGSSSGPAICPLPSTADQTAGSVDSTIPKLDCVGTKDFEFSKDDFCEDFNVNDVDMTFQSYEHLFGVSHNQINHIFDDDDGIDSFFDLRETSAANSNHQDEFFGEASSAGQPNPMLATRSNAVSADSVMSNQGKNADSRLFISASHAHFSLSLSFSGLTGESGAGDYQDCGGSSVPFRGEPPWFPADPENSQLPTASRDSAVMRYKEKKKARKFEKKIRYASRKAMADVRRRVKGRFVKAGEAYDYDPLAQTRSF >Ma08_p32190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42900697:42901949:-1 gene:Ma08_g32190 transcript:Ma08_t32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGVVMVYGKGAALSEPKKSSTFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEAQILEAVGVDYVDESEVLTPADDQNHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRALRNMDDDEVFTFAKRIAAPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPAILAEVSCGLGEAMVGINLNDTKVERFASRSE >Ma11_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7349854:7353388:-1 gene:Ma11_g09190 transcript:Ma11_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNGEPHSETLDPESNPLRPSKRKADPTSLEEGEGERAKKHQMTELASDPATQTLDGANEDDGRQEAEKEAADADEDRTVTVVKGKGELTAVDKGKGIMVEEEEEEEGEDDDEDSNDSSDVDTGDEIGEEGDDDSDFVDDPLAEVDLENILPSRTRRREPPPPGAYFDPVQDEDDSDESE >Ma05_p07240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5270101:5271358:-1 gene:Ma05_g07240 transcript:Ma05_t07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPISSLSDALFFLISSFGFFISGAVGNSYGWQNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGLSCGSCYEMRCADDPRWCLPGSIVVTATNFCPPNNALPNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPISFRRVPCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHAVSIKGSKTGWQTMSRNWGQNWQSNSYLDGQSLSFQLTTSDGRTITSYNVASAGWQFGQTFQGGQF >Ma07_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9702729:9704941:1 gene:Ma07_g12860 transcript:Ma07_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEERTLGYALARSLFVFPFLALALLLIVLSGAHRSLSPDLLLSSYAVPGEVTNRSCSAGSSTAEPPKDELLGDLLSVAFDGASCLSRHQSAWYRRKPSNHTPSPYLIRRLRRYEALHKKCGPNTELYRKAVEQLVSNTGTAAAECNYVVWVPSDGLGNRIISIASAFLYALLNDKVLLLHLTDDMGDLFCEPFPETSWVLPSDFPVRYSWVLEKDHGYGNLLKNKLLSNDMDTANASLPAFLYLHLVHSNDEFDKMFYCEEGQQLLRKFSWLLLRSNQYFAPAFFLVPEFDTELSLLFPEKTAVFHHLGTYLFHPSNTVWGYITRYYEAYLANAKSRLGIQIRLFGKADFDSHSDYIIDCALTKRLLPNVNLTDTALPTITGAKPRSVLVTSLRSGYFEKLRNMYYEHATTTGEVISVHQPSHEEKQHSEKLNHNMKAFAEIYLLSLSDALITSPFSTFGYVAQGLGGLRPWLLVRPDDHDLCLHSMSMEPCFHFPPSYDCKARKKVDIGSVAPYLRHCEDFPRGVRLFD >Ma05_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5001618:5002394:1 gene:Ma05_g06800 transcript:Ma05_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARCWMWTRTRHSGRPHLSAQIPGVVLGSSSNESWEERAFAEDAAGRLGGCTWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQLSSSLGEETAEAEDEQVTGAELRPQVSPNPSSRFLPPPLSPRVSAPSIQENWPDNTLISPPFSSSIAREDPNESLFSTTRSLHHPTLLHLLIVPESKLGETNLGSNGFGFRSRRDPGIYDEQTSCYKRSRTERPSSCEQQQVQSEVPDHNHLEELDLELRLGSIPKM >Ma06_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3832336:3834431:-1 gene:Ma06_g05150 transcript:Ma06_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHISLLLLLPLLTLLLFSGRRKGTAAWKLPPGPNTLPIIGNLHQLGRSLLHQSLWELSKKHGPVMRLKLGHVPAVVVSSAASAEQVLRTHDLECCSRPHTISTAKLSFGGSDVAFAPYGSHWRDLRKICVTELFATKKITSFRPVREDEVERTMESIRSRAPNTVVINLSEELLSLTANITCRTAFGGRCHDGFHRTAKESQAIFATFFVADFFAMLGWVDVIRGTQARLQDVFLKLDVFCRRLIDDHLDPRRRLSDDGEDTLDALLCLQKDDNNITDAHIKGVLVVPFAALISITCTFSIAYSQSYTKLAQIVGMTISSRALHELPLHRHHLYHPLS >Ma08_p28460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40362717:40364566:1 gene:Ma08_g28460 transcript:Ma08_t28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGEEAKRYITAEELRKHNTASDLWICIQGKVYDVTSWVEDHPGGDLPLLSLAGQDATNAFVAYHPASAWAHLGRFFVGHLADYRVSAASSDYRRLVAEFSKSGLFDQKGLVAPLTICSILVLLVAAVSGVLLSEGALVHALCGGMMGFLWIQTGWMGHDSGHYRIVGHPRLNRLAQIVAGNCLAGVSIAWWKRNHNAHHIACNSLDFDPDLQHMPFFAVSSKLFASMKSYFYERKMNFDAVARFLVSYQHWTFYPVMCFARINLFAQSILLLLSAKKVPNRWQEILGVAVFWIWYPLLVSCLPNWGERVMFVVASFAVTGIQHVQFCLNHFSSSVYVGPPQGTDWFEKQTMGTLDISCSPWMDWFHGGLQFQVAHHLFPRLPRCHLRKVSPFVRELCKKHKLPYNIASFWEANAMTIRTLRAAALRARDFENPVPKNLVWEAVNTHG >Ma09_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34937112:34940956:-1 gene:Ma09_g23030 transcript:Ma09_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSLFCCGRSANGRQRRKKGSPTWRVFSLKELYSATNNFNYDNKLGEGGFGSVYCGHLRDGSQIAVKRLKVWSNKAEMEFAVEVEVLARIRHKNLLNLRGYCAEGKERLIVYDYMPNLSLTSHLHGQHAREGLLGWGRRMNIAIGSAEGIAYLHHQAMPHIIHRDVKASNVLLDSEFQARVSDFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELATGKRPIEKLSLTVKRPITDWALPLARERRFKEMADPKLKGDFVESELRRMVLVGLICAQSKPEKRPTMLDVINLLKEESKDKLLNLENDELFRTDLAACHQSLSSSQDSSDGIENDSENERIKGAGPSTETISGNS >Ma05_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24119646:24123450:1 gene:Ma05_g18580 transcript:Ma05_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion transmembrane transporter [Source:Projected from Arabidopsis thaliana (AT2G37920) UniProtKB/TrEMBL;Acc:Q8LG21] MPTAILPSTVAVPRPPSTPSRTLSSVRFLSGAHEFPLLHPLSVDFCARGRSARELVIRMGGGPRTYPGGVSKWQWKRMQAKKSKQLLKARLCRERQLYEMRKRSELRAAASELERPWEVVERAPNLFSVAADEQLKVLADRFQRSGGFDMWNDRDGPQVFRSPVDGLPSARFFPKGVVHSVKPYGLARGPGQDSGEDGEEDSEQPRFDDWIARGAGGTRRSRRRRSRRSSNSGDNRGSEEEDINFKPKNLDEGTERGDQNLIFISENSRSPRNSFRQESGTGEDRRVSAQRGVAIDSRGETVAADNRLTVRSRNHGNSQRQRFRRGQYRWNEFDETIDDFKNLKVEGGEANSARPNASPRNHSRSQGFGACFRENVVQGIDAGNVERSKMGSNGRHSNGNSRSKSERVTLRPTTELFSREGSHSRKYPMQNRDNGFGRKVEESR >Ma03_p29750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32597385:32599729:1 gene:Ma03_g29750 transcript:Ma03_t29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTCCRPILIPSAVSLVVLLLLAATAPAFSSSEGRTLLERLNKPSVKTVQVRHLILYCSFFFIIIFYLRLDLPFAGLIDCVPSHLQPAFDHPMLKGSKPLDPPERPRCHTGDGMADDFVQLWTTSGETCPEGTVPIRRTKEEDILRIEMFGRKPFARVPQSTNVSNHEYAIGLVEGEQYYGAQALFNVWAPQVANRGEFSLSQLWIISGNFSKDLNTVETGWQVYPELYGDSLPRFFVYWTNDSYQNTGCYNLYCSGFVQTNNSVAVGATITKTSTYNDTNLQYVIRITVWKDQKTGNWWLQQGSTVVGYWPSFLFSNLASSGTMVEFGGEIVNIRPSGNHTDTQMGSGHFAEEGFGRAAYISSIRIVDSNDTLIPARNLTYYADNPNCYDVQGGVDNSGESFFYFGGPGRNARCP >Ma02_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26561694:26565079:1 gene:Ma02_g20690 transcript:Ma02_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENKGNVLLQKYEVGRLLGKGTFAKVYYARNIRTSQSVAMKVIDKEKVLKVGLIDQIKREISVTRLVRHPNIVELYEVMATRSKIYFVLEYVKGGELFNKVAQGRLKEDVARKYFQQLINAVDFCHSRGVYHRDLKPENLLLDDNGNLKISDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLCRKGYDGAKADIWSCGVILFVLMAGYLPFHDPNLIEMYRKIGKAEFRCPNWFPLDVRKLLSRILDPNPTSRISIAKIMGNPWFRKGLDGGLIRNGKGTQEIDQSDTNDDISSPGTNVSEERREMGKLANLNAFDIISLSAGFNLSGLFEETDHKREARFISCQPASTIISKLEDVAKFLKLKVKKKDHGVLKMEGTTQGRKGVLAIDAEIFEVTPAFHMVEIKKTNGDTLEYQKTWKRDIRPALKDIVWAWHGEQQQS >Ma09_p24990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36583050:36588972:-1 gene:Ma09_g24990 transcript:Ma09_t24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MSSMLVVSAARFPFVRARWSRSSLLLPRLVGRRKGLPRNRRGKARIENCSVSAKNSKQSLVFSGLQKILLCPNLSMFYDGRKKFARAIAVILIFTQISFPLHLSNWVPWFLFSANAVLYSPDTKVPRTGELALRKAIPANSNMKAIQDSLEDISYLLRIPQRKPYGTMESDVKKALKIATEEKDAILGNIPMELKEKGSLLYTTLLDGKGGLQNLIEFIKVKDPDKVSVGLASSLDTVAELELLQAPGLSFLLPEQYMKYPRLTGRGTVEFTIEKVDGSTFFPSAGGEPKTTTTIQVVLDGYSAPLTSGNFAKLVIDGAYDGVKLKCASQAILSDNELENYGYSVPLEMIPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHNIDSEEYSSPSQFFFYLYDKRNSGLGGLSFDEGQFSVFGYTVGGREVLPQIKTGDIIRSAKLVRGQDRLILPDGN >Ma08_p33480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43771999:43775097:1 gene:Ma08_g33480 transcript:Ma08_t33480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MSSSLLMATMSSPSSSLIRLRALPSAPSPTSNLLLPASMLPRPAFSSRRRVVSRSRGIGGAPVAIRAMAVDSDYSSRRGGSSEPRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKQRGSKNESRKYVRRRDGPPPERRRPAGETPQAGSASA >Ma08_p33480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43771999:43775176:1 gene:Ma08_g33480 transcript:Ma08_t33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MSSSLLMATMSSPSSSLIRLRALPSAPSPTSNLLLPASMLPRPAFSSRRRVVSRSRGIGGAPVAIRAMAVDSDYSSRRGGSSEPRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKQRGSKNESRKYVRRRDGPPPERRRPAGETPQAGSASA >Ma08_p27690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39858872:39861272:-1 gene:Ma08_g27690 transcript:Ma08_t27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECHNNNKQKDCVSCHTCGNINWAKCMKCNICNTNKSGHSECGVSMLEMQLLMVIKQANKHVCSFGFSHIGLLDDGEIYDEFGNLKKKFHAKTQQAESGQMVPGSGRAGWEVDEIVMTGRHGMDKSRDSNKRESSKNREIDGPERERR >Ma05_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:928198:933305:1 gene:Ma05_g01530 transcript:Ma05_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEATEKVTVSITPEIFKPKSSVRRLSMGKTKTRTSPCSPESEEKPVPHYLRTSTGSCHDFCKYGIKHDLEAKKRRPARQIFLANKEMPDDENNQVNAAAQQDRRKKVSHKIVVSQEKEQHTKKSEVIKQNDLPPEKIIQLCDSLTNLAEGSAEKYSNMKFSFPSTDQMIDSFLGHSPANQSDNSTEESTNIKLMTLSSISTINEFDEHKPTKSSEVLSEKTVSIKLDQTATQNGDDSTELAPIEGSSAEPVMVKHMVTASTQHIRDSALHTTVMEDTEFAEHVTSSRPDASPDKSTELPRSSNLPSNMKPKKYKDVSSAWNGLANGAAGCTGEDSTMKRKATLSTVPATGSPLYQEEELSNQGKQVISSSVGAEARRDVNKLKRGNKIIGISHRPKVIRQGKIDLSGVPNGKTAPNVWKTVSSVKPEAEQRIISVTPRVVKEKALSASKNADASPERATSMRIKNLSFKTISRLTSSGGLARRNNERIIKFSSQSDRKEKVLEPSLSSLPMKPHTSRVSTTKPRMYRNVGTASQVKNQTRAGKLGMKKETLNVREATPEKINLKTMKQDLRKNKSHPSDEKDLDSGSKLGEVLREAVTQRFPTVISKGEIQRKHRRTASVHLEDKISASHKLKFNRGKVVSLQHESNAPRILRFRRARTANNIQNAKGDVYTKGYKSKTGPSGADSNSPMSKAPTVVLRHQDAQEKKDTQGLLNQVIEETASKLVETRKSKVKALVGAFETVISLQDSKAAPHAVP >Ma09_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7681916:7687776:1 gene:Ma09_g11370 transcript:Ma09_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVPTKIRKLWSAEEIRILVLLSLGLQIVLIVASLFRKRCQNRLLSFILWTSYLGADYVAALALGNLLNDQTEASERNINGDLTAFWAPFLLVHLGGPDTITSYSREDNELWLRHLLGMILEVSVAILVFLESLPSPHLWKVAIVVFTAGILKYAERTLALRSASMDQLRKSMISNAPNYAKFMQEYHCRVACGLNANISVDKVPLPPLPKEAVCSISEKDIIIGAYRFFETAKRLALDLWLGLHDRTDSQNFFLQLDAIHAFQVVEVELSLLHDILHTKAVRVHTLRGRLVRALSLSLVVLAFVLFRRSGRHNFSDADVIITYILLIVALVLEAIAAVLLVFSDWTIVALQDSGKLERPFIARLKKIIRFLKLDLAIVKFRGGTRWSNSMRQCNLLCICLGDYKQTTFTRILHFLGLKELWDSNRPVENTTVGDDQKTLIFEELKKKTYGAEGESNEYKRLRACKGEWVLREKGYTDFDWSMNKEFDESWIVISAVWVEMLCHAASSCNSYAHAKQLSQGGELLTLVWLLMAHMGIAEQYRIEGGDARAKFVVEI >Ma05_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33960995:33965776:1 gene:Ma05_g22230 transcript:Ma05_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKNGSSPVLTDPAPLNSSRLGLPSNIKPYSSAAQAYSSGLYSTKPRRKAILGKLDDVRANGWLDAMKSSSPPRRQPNKDYISEPAIDENDMAYHKWLMSYPSALTSFEQIISSAKCKKIALFLDYDGTLSPIVDNPDLAIMSNAMRSAVKHASECFPTAIISGRSREKVYKFVKLTGLCYSGSHGMDIMCPVTKFDSTGGHPNCIMTTDEQGKEVHLFQPASEFLPMINEIFSSLVDITKDIVGARVESNKFCVSVHYRLVNKEVWPEVAQRVVNLLEDYPRLRVTHGRKVLEIRPVIDWNKGKAVEFLLESLGLSNREDVLSIYVGDDRTDEDAFEVLRESNRGYGILVSSIPKETNAFYSLRDTSEVMKFLKSLVRWKKLDGDA >Ma06_p27660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29583045:29583284:-1 gene:Ma06_g27660 transcript:Ma06_t27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWRSQMLLVMMYLNQILLDCKFEISCCTASPLDTYRVQLVS >Ma02_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:546129:546929:1 gene:Ma02_g00050 transcript:Ma02_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPFFPMKLEVSHDSDYLQEASEEARRHSKRRCPRSLDSLHYKLQKPISKDDAKSKKRRCGWWKSALLFWRRLEDCASDEHHLHRRSHAHSAAVLGPIYATESGVVVGRRTRRPSSGLLTAAEVGSEASRLAYLSLTDMSANDGSRVAPNAAVRPALPVYLVT >Ma02_p00050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:546129:546929:1 gene:Ma02_g00050 transcript:Ma02_t00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPFFPMKLEVSHDSDYLQASEEARRHSKRRCPRSLDSLHYKLQKPISKDDAKSKKRRCGWWKSALLFWRRLEDCASDEHHLHRRSHAHSAAVLGPIYATESGVVVGRRTRRPSSGLLTAAEVGSEASRLAYLSLTDMSANDGSRVAPNAAVRPALPVYLVT >Ma08_p29300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40946928:40948740:-1 gene:Ma08_g29300 transcript:Ma08_t29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTFLPFFISSPFPPAQAFALRAYLPRPPPVCKYSSSAQSPPPPPPTSAYVHLPFCRKRCHYCDFPIIALGSSTPHRPDTDPRISNYVQLLLREIAATRAWSDDCSPLETVFFGGGTPSLVPPGFVSSILDALKSRFGMCDSPEVSIEMDPGTFDKEKMERLLELGVNRVSLGVQAFQEELLRACGRAHGLKDVYEAIEIVTDCSELQNWSMDLISSLPHQSQEMWEESLRCAISARPTHVSVYDLQVEQGTKFGHLYTPGEFPLPTEMQSADFYRTASRMLSGAGYSHYEISSYCKDRYECKHNLTYWLNRSFYGFGLGSASYINGVRFSRPRRLKEYEEWVQKLEDGLVVLHEDISVDTKDMAMDVVMLSLRTAKGLDLRGFAKCFGKSLARSLCQALRQYVESGHVVVMDDDRNMLSYPEFELKMSEDNDEMGNGVASIRLSDPDGFLLSNELISIAFGIISP >Ma07_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:93181:96403:-1 gene:Ma07_g00070 transcript:Ma07_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRIAKTAFSQSSQAARIAAGNHHMGLLRKGFPAAPTYHTWLRDVIASGNGDRSSLLRPADVFRVNSRGIGHTPHFQYPSAEAVQDDLYSGADDARVPGLEPTKAGEKPRVVVLGTGWAGCRFLKGLDTKLYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQSALSGAPNSYFYLASCTGIDTHKHQVCCESVSSAGLPDEPYHFKVAYDKLVIAAGADPLTFNIRGVKEHAMFLREVQHAQEIRRKLLLNLMLSEAPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRQRFSHAKDYIQVTLIEASEILSSFDVGLRQYATNHLTKSGVRLVRGVVKEVLPEKILLSDGTCVPYGLLVWSTGVGPSEFVKSLDLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAEREGKYLANLFNQMGKQNGGRAHCAKDIPLGDPFVYRHLGSLASVGRYKALVDLRQSKDAKGISMAGFVSWFIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNSRIG >Ma10_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24571950:24573168:1 gene:Ma10_g10830 transcript:Ma10_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYALTSFITGYLRGGLYAQNWYGKNWMKTNDLESIIVCIYTIPRTIPENWYVMLYIASHMGDFSLFAVSSLRCILSSHHSGFTSKWIMTSETCKFYCLSWISVLCLWLYVTTFLNPHHSHCISGFSQTSFYVGYTLMFCLGLGIICGTVGYLDSRLFVRKICRNIKCD >Ma08_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36281106:36282166:1 gene:Ma08_g22790 transcript:Ma08_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVENRVAILLCMVVLHCLVIQAQGRISLAPTAQPGNPLGPTPQPIKFPMYGATPGSLQPQECSGRCAGRCSATAYKKPCMFFCQKCCAKCLCVPPGTYGNKQVCPCYNNWKTKRGGPKCP >Ma08_p22790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36281121:36282166:1 gene:Ma08_g22790 transcript:Ma08_t22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVENRVAILLCMVVLHCLVIQAQVVTRRFLLVVQGRISLAPTAQPGNPLGPTPQPIKFPMYGATPGSLQPQECSGRCAGRCSATAYKKPCMFFCQKCCAKCLCVPPGTYGNKQVCPCYNNWKTKRGGPKCP >Ma03_p13840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10917599:10920529:-1 gene:Ma03_g13840 transcript:Ma03_t13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEIQQFMVDSCGSSLFSMSTANPNPAAAAGAAAATPTNIHSAALHPLKYHPLPQPHHPQPLPPPPLPPHFSHFHSIPITQQLFHQTTHPFQLFHPQQHYLEPRRFIPQHHLGLDQESAPETSPSPTRIIPGSSGGGGPSFLSASMSFKLAVNESSGGGSPQGMNDDDGILQGDDASESRLHHWQREDDESAIKELSWRPLDIDYISRNNKRCKDEEPAASNGRYTKKSKEVAGSDHVQVAGGGGSSNYKIFSELEAICKPGGSSTNRGGGTNRTGSGSALTGDETPLLHVTPTAPVGLPAADRVGGSETSAGEEATAQEFSKGNGRRRRKRWQRQLSSVATFFESLVKQLMDHQEGLHGKFLEVMERREKERTSREDARRKQEAAKSSREAAARAQERALASSREAAIISFIEKITGESLNLPSKPQFPSLTPDADDANKEDNTTDTDNRQIEPCSDTFNNGDPDSNKVFPSTRRWPKPEVQALIRVRSGLESRFQEPGLKGPLWEEVSAAMATMGYHRSAKRCKEKWENINKYFRKTKERGKKRPQHSKTCPYFHQLDQLYSKSHNHTPNPSTSSPNADVATANASGGSDDRRKDNSDLLDAIMAPNDGHGFKFSDMATLGFDFSSKGDESDQATAAKGSLVSHPEHDDGEEEDDDDQGGGGGGGGGGEQYEEEEEDEEEEEEEEEGGEGQGQGQENLCRPRLPLDQDEDELHDSSVFFQRLQS >Ma03_p13840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10917599:10920529:-1 gene:Ma03_g13840 transcript:Ma03_t13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEIQQFMVDSCGSSLFSMSTANPNPAAAAGAAAATPTNIHSAALHPLKYHPLPQPHHPQPLPPPPLPPHFSHFHSIPITQQLFHQTTHPFQLFHPQQHYLEPRRFIPQHHLGLDQESAPETSPSPTRIIPGSSGGGGPSFLSASMSFKLAVNESSGGGSPQGMNDDDGILQGDDASESRLHHWQREDDESAIKELSWRPLDIDYISRNNKRCKDEEPAASNGRYTKKSKEVAGSDHVQVAGGGGSSNYKIFSELEAICKPGGSSTNRGGGTNRTGSGSALTGDETPLLHVTPTAPVGLPAADRVGGSETSAGEEATAQEFSKGNGRRRRKRWQRQLSSVATFFESLVKQLMDHQEGLHGKFLEVMERREKERTSREDARRKQEAAKSSREAAARAQERALASSREAAIISFIEKITDVATANASGGSDDRRKDNSDLLDAIMAPNDGHGFKFSDMATLGFDFSSKGDESDQATAAKGSLVSHPEHDDGEEEDDDDQGGGGGGGGGGEQYEEEEEDEEEEEEEEEGGEGQGQGQENLCRPRLPLDQDEDELHDSSVFFQRLQS >Ma08_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27354152:27355570:1 gene:Ma08_g17890 transcript:Ma08_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESLPPGFRFHPTDEELITYYLSRKVTELGFATAAIADVDLNRCEPWDLPGKASMGQKEWYFFNLKDRKYPTGLRTNRATDAGYWKTTGKDKEILHREVLVGMKKTLVFYKGRAPKGEKTGWVMHEYRLHTGFPYNGTKEEWVVCRVFKKSSAGKKPQPDSPALLESPCELAGLINGVQTNESMYHSNSMLDVNMYMNWVLAPWASGSLGAGFAPTQAILRALQSYGHKQPEGMETASLSSLVGQGDAMLGSGSRLSFPASSSTRADCAAGQQQMQSFDQGSNWRGY >Ma04_p29650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30511868:30515518:1 gene:Ma04_g29650 transcript:Ma04_t29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIGWWNEEAHRGTPVVVKMQNPNWSIAEISSPDDDDECAVVAGEEFAAAGRGARKGARERNAKQITWVLLLKAHRAAGCLTSLASAAVRVTSAVRRRVASGRTDSDAAVFSPEESPLQRSRFYSCIKAFLCLSVVLLGLEVAAYLKGWHLGAAETKHLLLPSSLGVRGLLESLYGGWVRFRVEYIAPPLQFLADACVILFLIQSADRLILCLGCFWIRFKGIKPIPKSATGTSKDLESGGEDYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNILIQVLDDSDDPTTQALIKEEVEKWQQNGARIVYRHRVVRDGYKAGNLKSAMNCSYVKDYEFVTIFDADFNPLPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLKGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLSLPDILRSKIGFWKKSNLIFLFFLLRKLILPFYSFTLFCIVLPLTMFVPEAELPAWVVCYIPATMSLLNILPAARSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAKKELKQQRHVSLPNLEAIANGKPQPEREMKRKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVD >Ma03_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5246555:5267110:-1 gene:Ma03_g07480 transcript:Ma03_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MGIECLVLGAGQEVGKSCVVVSMGGKRIMFDCGMHMGYLDSRRFPDFSLISKTGDFDSALTCVVITHFHLDHVGALPYFTEVCGYHGPIYMTYPTKALAPLMLEDYRKVMVDRRGEEEQFTYDHIMDCMKKVTPLDLKQTVQVDKDLEIRAYYAGHVLGAAMIYAKVGENTIVYTGDYNMTPDRHLGAAHIDRLQLDLLVTESTYATTIRDSKYAREREFLRAVHKCVAGGGKVLIPTFALGRAQELCILLDDYWERMNLKVPIYFSAGLTIQANMYYKMLIGWTSQKIKDTSSVRNTFDFKHVCPFDRSLINAPGPCVLFATPGMISGGFSLEVFKQWAPSELNLVTLPGYCVAGTIGHKLMSGKPTKIDLDKDTYVDVRCQIHQLSFSPHTDAKGIMDLIEYLSPKHVILVHGERPKMALLKERIQSELGIQCYYPANNEKLLISTTQHVKIDVTKKFIRSCSSAMLSNVKNSSVSISDSSSKDNEIIKLAREDTTAEGILLMEKTKTAKLIHESELLRTLGVIEHQVRFAFCCPVEVTRTEHLSDEANTTHCHESSITRVDVPRCLATEDRLATANNTLLHILLSELETRTECKDIQIASDSLQLHTFHVCVCSNEHCSYRKKENGVGESVVYFCCSWSPCDDRLARELLQIMGQLALSC >Ma11_p11420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12896418:12897908:1 gene:Ma11_g11420 transcript:Ma11_t11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLSTLMGEVSRVVVKMAM >Ma07_p27550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33878441:33896869:-1 gene:Ma07_g27550 transcript:Ma07_t27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSYLHEPGVLQNLSTRYQLNEIYTYTGNILIAINPFQRLPHIYDSHMMTQYKGAPLGELSPHVFAVADVAYRAMINEGKRNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNRQGKISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPPEEIEKYKLGPPKSFHYLNQSNCYELVGVSDAHDYLATRRAMDIVGISAQEQDAIFRVVAAILHLGNIDFAKGKEIDSSVLKDDKSKFHLKMTADLLMCDSEALEDALCKRVMITPEEVIKRPLDPLAATVSRDGLAKTIYSRLFDWIVDKINVSIGQDPTSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKTHKRFIKPKLSRTDFSLSHYAGEVLYQSDHFLDKNKDYVVAEHQDLLSASKCPFVSGLFPPLPEETSKSSKFSSIGSRFKLQLQALMETLNSTEPHYIRCVKPNNLLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFDEFLHRFGVLAPEVLEGNCDEKIACHKILEKKGLIGFQIGKTKVFLRAGQMAELDARRAEVLNSAAKTIQNQIRTYILRKQFIALRNAAIDVQSLWRRRLACKLYEHMRRENAAIKIQKNLRQYKAKKVYTQLKLSVLVLQTGFRFLAARNDYRFRRQTKAATIVQAHWHRYKAFSYHVKLKRAAIVTQCIWRGTVARKELRKLKMAARETGALKEAKDKLEKKVEELTWRLQLEKRLRTDLEEAKGQEIAKLQGSLQEMQSKMEETTAVLIKEREAAKKAIEEAPPVVKETTVLVQDTEKINSLTAEVENLKASLESEKQRADDSENRCIEAQHISEERQRKLHETEGKVHQLQESLNRFEEKLANLESENKVLRKQAVSMPPNKLLPGRSKSTLQRSSENGHIINGEARPIADPYSASFNMREYSEVDDKPQKSLNEKQQENQDLLIRCIARDLGFAVSRPVAACITYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGAAGISPQRRRSSSATIFGRMTQSFRGTPQGMNLSFVNGSLTAVDNLHQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSLTNSGAQQALIAHWQGIVKSLDTFLHTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELENWCYKATDEYVGSAWDELKHIRQAIGFLVIHQKPKKTLNEISHDLCPVLSVRQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKTMKPIDISDIEPPPLIRENSGFMFLLPQTN >Ma07_p27550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33878441:33896869:-1 gene:Ma07_g27550 transcript:Ma07_t27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSYLHEPGVLQNLSTRYQLNEIYTYTGNILIAINPFQRLPHIYDSHMMTQYKGAPLGELSPHVFAVADVAYRAMINEGKRNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNRQGKISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPPEEIEKYKLGPPKSFHYLNQSNCYELVGVSDAHDYLATRRAMDIVGISAQEQDAIFRVVAAILHLGNIDFAKGKEIDSSVLKDDKSKFHLKMTADLLMCDSEALEDALCKRVMITPEEVIKRPLDPLAATVSRDGLAKTIYSRLFDWIVDKINVSIGQDPTSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKTHKRFIKPKLSRTDFSLSHYAGEVLYQSDHFLDKNKDYVVAEHQDLLSASKCPFVSGLFPPLPEETSKSSKFSSIGSRFKLQLQALMETLNSTEPHYIRCVKPNNLLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFDEFLHRFGVLAPEVLEGNCDEKIACHKILEKKGLIGFQIGKTKVFLRAGQMAELDARRAEVLNSAAKTIQNQIRTYILRKQFIALRNAAIDVQSLWRRRLACKLYEHMRRENAAIKIQKNLRQYKAKKVYTQLKLSVLVLQTGFRFLAARNDYRFRRQTKAATIVQAHWHRYKAFSYHVKLKRAAIVTQCIWRGTVARKELRKLKMAARETGALKEAKDKLEKKVEELTWRLQLEKRLRTDLEEAKGQEIAKLQGSLQEMQSKMEETTAVLIKEREAAKKAIEEAPPVVKETTVLVQDTEKINSLTAEVENLKASLESEKQRADDSENRCIEAQHISEERQRKLHETEGKVHQLQESLNRFEEKLANLESENKVLRKQAVSMPPNKLLPGRSKSTLQVNVINFQYCVFERHLLYFMLNPMFLLDPYSASFNMREYSEVDDKPQKSLNEKQQENQDLLIRCIARDLGFAVSRPVAACITYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGAAGISPQRRRSSSATIFGRMTQSFRGTPQGMNLSFVNGSLTAVDNLHQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSLTNSGAQQALIAHWQGIVKSLDTFLHTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELENWCYKATDEYVGSAWDELKHIRQAIGFLVIHQKPKKTLNEISHDLCPVLSVRQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKTMKPIDISDIEPPPLIRENSGFMFLLPQTN >Ma08_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2329905:2331230:-1 gene:Ma08_g03120 transcript:Ma08_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQITAWKLRVESSKIVKPSYEGEQPCADVCIPLSVFDKVSYDVHVAVVYAFRPPTPSNSDIEKGLAKALSEYREWAGRLGEDSRGEPVILLNDAGSRLVEVSSDDVLVRTVLLGPSPALVPLSPIIKGMEELLQVQLTRFTCGTLTVGITSHHLIADGHAASNFLVAWGKATRGLPMDPRPLHDRGAFIPRNPPCVEYEHRGVEFNPKKASDTENVPLTADIVIHKAHFTREFLESLKAMASLGADRRYNTFESLMAHLWRVVSEARGLDEHITTHVRISVDGRARLRPSVPGEYFGNLVLWAFPRAKVGDLVNKPLQFAAALVRECITRLDDGYFRSFIDFASSEKVKEEGLEATAEVHERVMSPNLEVHSWLRFPFRDVDFGGGNPFLFMPTYTREEGMLVLMPSAIGDGSIEVYVSLLRHNVAAFKQLCFLLKANL >Ma04_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22646741:22651464:-1 gene:Ma04_g19960 transcript:Ma04_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQRIFFPFGNPFWIISPKRSSQSLKIRELLFSFEQGLAENLKKLKPKDAADVLTLSWMSLAMEFLSDAHNSIRTFINELQLPVSDWDEKWISIYLDSSVKLLDVCIALISELSRLDQGQLLLQYVLHLVDISASYPSSEQLVRAHSYLHEWIEHISKSPKLDNFAVVVESLHQGTLDLPKVKSSKGKALTRALYGVKVMTIFICGIFSASLSGCSRALIDLHVSADFLWFEAFSDLQAIVNEKIERKVVGGKVVLFKEIEAVKICASQLHDLANRVSCKEEPVQDTSGITRADEVPAPGKNTDFERWRLQGCVTNLDGAAKKLGHELGSLSNQVNDFFEIILRGRDALLCNLRASAVTHDSINDIRS >Ma04_p19960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22646741:22651443:-1 gene:Ma04_g19960 transcript:Ma04_t19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDGQRIFFPFGNPFWIISPKRSSQSLKIRELLFSFEQGLAENLKKLKPKDAADVLTLSWMSLAMEFLSDAHNSIRTFINELQLPVSDWDEKWISIYLDSSVKLLDVCIALISELSRLDQGQLLLQYVLHLVDISASYPSSEQLVRAHSYLHEWIEHISKSPKLDNFAVVVESLHQGTLDLPKVKSSKGKALTRALYGVKVMTIFICGIFSASLSGCSRALIDLHVSADFLWFEAFSDLQAIVNEKIERKVVGGKVVLFKEIEAVKICASQLHDLANRVSCKEEPVQDTSGITRADEVPAPGKNTDFERWRLQGCVTNLDGAAKKLGHELGSLSNQVNDFFEIILRGRDALLCNLRASAVTHDSINDIRS >Ma01_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7155275:7157780:1 gene:Ma01_g09980 transcript:Ma01_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAMKGLLISCDIPMTQFIISFNASLPPSQRFILHVLDNTHMFVQPHVAEMIRSKISEFRDQNSYEKPL >Ma01_p09980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7155269:7157780:1 gene:Ma01_g09980 transcript:Ma01_t09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAMKGLLISCDIPMTQFIISFNASLPPSQRFILHVLDNTHMFVQPHVAEMIRSKISEFRDQNSYEKPL >Ma07_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3639442:3641285:-1 gene:Ma07_g05000 transcript:Ma07_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTGYTVTVKETETVAAASPVGEHRLPLSNLDLLLPALDVGVFFCYKKPRGKHYPSLASMVCSLKASLAETLETYYPFAGEVVANSAGEPELLCNNRGVDFVVAQADVELRALRLCHPSESVEGKLVPPKKGGVLCVQATELKCGGLVLACAFDHRVADACSTNMFLVAWSELSTSKPLSCLPAFRRSLLFPRSPLRVDHSFDHLYVPFSSLPPPEHDHSEEAINRIYYIAAADIDRMQSSTKGRTKIEAFTAYLWRALAITAAPGDNWCRMGIVVDGRARLRDTVMSSYFGNVLSIPYGTLRVEELRRMEVAEVAEVVHSWLQQEATEEHFRGLVDWVEAHRPEPAVARIYSKEGGPACVVSSGREFPVAEVQFGWGKAAFGSYHFPWGGSTGYVMPMPSATAAGDWVVYVYLLKKVAEVLEAEQPPVFRTLTSDYYLP >Ma10_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16530682:16532661:-1 gene:Ma10_g05400 transcript:Ma10_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFILPMLCLCVICFSPQVALLAQEHLHEIMEISSAHHQAAMASLPLEGTLTGCQNLQRQQQQQERKVRPQPEQSLKCPRCESTNTKFCYYNNYSLSQPRHFCKGCRRYWTKGGSLRNVPVGGGCRKNKKSSSKRPQHQSFTTISNPPLLAYDPSGLSLALASLQKQHHDPGRSLDDHETFLLGNPILDDPTAPPAGFLDMMRTGFLDGTTDYSSSFHNLYCGYGGNGSTDVEVGLPFGGGLGGATTTTATTVTTTSQLSCRDLDREEDKMLVGLQWQVGGDCNMALDSTRDHCSWNGTVGSNWHSLINSSLM >Ma10_p05400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16530682:16532748:-1 gene:Ma10_g05400 transcript:Ma10_t05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSAHHQAAMASLPLEGTLTGCQNLQRQQQQQERKVRPQPEQSLKCPRCESTNTKFCYYNNYSLSQPRHFCKGCRRYWTKGGSLRNVPVGGGCRKNKKSSSKRPQHQSFTTISNPPLLAYDPSGLSLALASLQKQHHDPGRSLDDHETFLLGNPILDDPTAPPAGFLDMMRTGFLDGTTDYSSSFHNLYCGYGGNGSTDVEVGLPFGGGLGGATTTTATTVTTTSQLSCRDLDREEDKMLVGLQWQVGGDCNMALDSTRDHCSWNGTVGSNWHSLINSSLM >Ma08_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26042819:26050669:1 gene:Ma08_g17540 transcript:Ma08_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSDKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGALMVYDITRRQSFDHISRWLEELRSHADRNIVIMLVGNKTDLEDQRAVTTEDAREFAQKENLFFLETSALEATNVENAFQMVLTEIFNIVNKKNLTSDPQSNNSAPALPGKKVIIPGPAQEIPKSKTCCKTS >Ma06_p28320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30006794:30021801:-1 gene:Ma06_g28320 transcript:Ma06_t28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLISLVNRLQRACTALGDHGEESALPTLWDSLPTIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDGEREYAEFMHLPRKRFTDFALVRKEIQDETDRETGRTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSVVAEIENMVRSYIEKPNCIILAISPANQDLATSDAIKMSREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKSYRLQYPWIGIVNRSQADINKNVDMIAARRREREYFANTPEYKHLAHRMGSEHLGKILSKHLEQVIKSRIPGIQSLINKTIAELEGELNRLGKPIAADAGGKLYAIVEICRIFDQIYKEHLDGVRPGGEKVYNVFDAQLPAALKRLQFDKHLSMENVRKLITEADGYQPHLIAPEQGYRRLIESSLVSIRGPADASVDAVHAILKDLVHKAINETPELKQYPTLRVEVANAAFESLERMREESRKATLKLVDMECSYLTVDFFRKLPQDVEKGGNPAQSIFDRYNDSYLRRIGTTVLAYVNMVCASLRNSIPKSIVYCQVREAKRSLLDHFLTELGKKEAKQLGSMLDEDPAIMERRAALAKRLELYKSAQAEIDAVAWSK >Ma06_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11421366:11425446:1 gene:Ma06_g16830 transcript:Ma06_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALRMNLGDPDFVKINQYVTDMLSPFFAKKIQQKIVDNTTFEPSYYMARWSQLRDHGTSHLCIVDTDRNAVSLTTTVNSYFGARVLSSSTGILLNNEMDDFSTPTEATPDHLPPAPANFIEPNKRPLSSMAPIIVLKDNQLAGVVGASGGLNIIPAVVQVFLNHFVLGMEPLAAVRHPRVFHKLIPNKVLYENFTAIDGEKIEFGEEAKLFLEQRGHIVSSLSSAAAVSQLVVHNLQVPILSTHRKDNRKVKNGNNIFHGKLIAISDPRKDGSPAGL >Ma06_p16830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11418878:11425446:1 gene:Ma06_g16830 transcript:Ma06_t16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDGIRSSLLRHRAPAPDAATRRRIWWSSAAAIVAWGLLFFVLIRSLGGDREVRLEVKRQRWGSTGGPEVVESEVGVVAADDGRCSEIGVAALRAGGHAVDAAVAAALCLGVVHPVSSGIGGGAFIVVRSADSGEAEAFDSRETAPSAASKNMYDNSPSSKTKGALSMGIPGELAGLHKAWLKYGRLPWKALFQPSISLAKDGFLVVPFLADAIKKKEDDILADHGLQELLAPNGKILQTNDTCYNPALAYTLEVISTEGPKAFYNGSIGEKFIEDVKNAGGIATTEDLSRYAVKVSEAMVANAMGYTILGMPPPSSGTLGMSLVLNILGSYESLDAVKGLLGLHRLIEAFKHMFALRMNLGDPDFVKINQYVTDMLSPFFAKKIQQKIVDNTTFEPSYYMARWSQLRDHGTSHLCIVDTDRNAVSLTTTVNSYFGARVLSSSTGILLNNEMDDFSTPTEATPDHLPPAPANFIEPNKRPLSSMAPIIVLKDNQLAGVVGASGGLNIIPAVVQVFLNHFVLGMEPLAAVRHPRVFHKLIPNKVLYENFTAIDGEKIEFGEEAKLFLEQRGHIVSSLSSAAAVSQLVVHNLQVPILSTHRKDNRKVKNGNNIFHGKLIAISDPRKDGSPAGL >Ma03_p28930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31968967:31970441:-1 gene:Ma03_g28930 transcript:Ma03_t28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTIIPIVSQRTPPHAAWPSPQQETPVQTHAPLPELPISPRNPAARPGSREAEDTASRPEPEAPTADSTNALRAQLRLVSQKLDEVQQEVRKSKGELGADGHQGSPFTPEVQDQAIPPHFRLPSLDAYDGTTDPADHVAAFRAQMALFGTSDALMCRAFPTTLRGPARTWYSGLKPGTVASFDQLTKDFELNFLTYARPKPSMALLLGLSQKEDEPSPILFFWSLVERPPVAVPEMLQRANQFIAAETWMAGRREEHKKVKSEPPRQQQPAASRRKSGRPDPRPPLPALNLSRTEIFLHKKGKGLLKDPRPMRNPRELTDRSRYCRFHRQHGHDTKQCYELKRQTEELILRGHLGQYLRPNKQQSPRPEGPVERHIDVIARGPASGGGSMSGRKAYARVAPDEASGHEPEPEITFPTGAAERPDHDDALVISARVANAQMRRIMVDTGSSADILYFDAF >Ma02_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27365611:27366264:-1 gene:Ma02_g21850 transcript:Ma02_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLLVAILAAAMLRASSYTHTDCGIAQTAFGECVPYVIGKDPSLSDKCCTAVRAIKELVPTVEDRRAICACLVQELKDAGGSVDSSKAKGLAGGCGVSTDVIPTSLSFDCSKIA >Ma05_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35778237:35783005:-1 gene:Ma05_g23630 transcript:Ma05_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLMLMKGVPSTGLAVGRDVASRHHLPAAKVYALGPRAAAGSGGNVVEASAAGVAAKRSYMFPLPLRRLWPDGGGAVADKGSDDVAAAEKVEKSVALEEEEQEAVAEVAEHRRDNWVLKILRVTSMWAEREEPEAGGDREVAAVEDGDRCVGCESSPEDGSEGCVVEDEEEEKMVFDRESFSRLLRRVSLVEAELYSKMAYLGSLAYIVSKIKPKNLLKCYGLRFVTSSLEKKSTSLNSDEQLEPSQDQELKEEVHEVEDNDKRKGNSTGISASAAYQIAASAASYLQSQTTGILPGKTETGKDSIEGSSKNKEGGTLSPEEASFMATTNSVTAVVAGKEEMRQAIAKDLNTAKSLPCEWYICDDDKSATRYFVIQGSETLASWQTNLLFEPIQFEGLDVPVHRGIYEAAKGMYHQMLPEIRSHMKSHGQSATLRFTGHSLGGSLALLVNLMLLIRGEAPPSSLLPVITFGAPSIMCGGDNLLRKLGLPKYHVQAITMHRDIVPRAFSCNYPDHVAKILKAVNGNFRDHPCLKNQKLLYGPMGQLLILQPEEKFSPCHHLLPPGNGLYILGNSLADSNDTERLLHAATLAFLNSPHPLEILSDRSAYGSEGTVYRDHDTNSYLRSVRGVIRQELKLIRKVKREQRRKIWWPLVATQDMHPRVVTSRSAGSTISTQRNFSFAGVIHGGRQTLKRFGRLVASQHVHIFVVLFFPARLLLLGALSVINCS >Ma11_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20628806:20634217:-1 gene:Ma11_g14920 transcript:Ma11_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDLMTFDVIAPSMWTIIGCKNGLRLFKEAKDVDFPNKNWEDHPAIMTVGVVDAASESIFHTVMSLGQSRSEWDFCLLEGNVIENLDDYTDIIHKKLRGDWLPWGMKRRDLLLRRYWRKEDNGTYIILYHSVVHHKCQPEKGYVRAWLKSGGYEISPVNQGKQSIVKHMLAIDWKFWKLYIFTSSSMGITTKMLERVAALREFFRAKLGHSAFSDFSSDVDENNKIESFAKEIQMSSPKHAGNGSLRQLNDAADEFFDVLTEAEYDQTEFWPADENMQTQLVQDQCQGELSTTAVFIEKLHDVSVQKSGSADFEESHTGDSMSCNYGTTLPKDPNCSVVCSWATADPSTFLIRGESYLHDHQKVKASETLMQMVAADWLKSDKREDDLGGRPDGIVQKYAAQGGSKFFFIVNMQVPGSTTYSLALYYMMDMPLEDVPLLESFVKGNDAYRNSRFKLIPYISKGSWLVKQSVGQKACLVGQALDINYFRGSNYLEVAIDVGSSTVARSVVSLVIGYLNSLVIEMAFLMQGDKQEELPEFLLGTCRLNQLDIAKAVPINPS >Ma04_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:558221:561869:1 gene:Ma04_g00590 transcript:Ma04_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVAMPRRRFPPTSLVRVFLLIIPFAAAAASAVVSSSSLDAASRSAERVALLQFKASVVSDPAGLLALWSNATGSDHCVWPGVSCDARSRVVALNISTDVGRFPSSCSRSGPFWRRCPDSCRRLSGKLSPGIGALVELKVLSFPFHAFGGEIPSEIWGLEKLEVVDFEANLLSGFLPSNLPRSLRVLNLASNLIRGEIPLSLSSYVRLETLHLSGNQLNGTIPGFVGDFLNLRELYLSSNQLSGSIPDELGDGCRSLQHLDLSGNILVGSIPRSLGDCSELRSLILSSNLLDDVIPPELGRLRKLQVLDVSRNCLSGPVPAELGGCFELSVIVLSNPYNPTILSVNSSNVDADEFNYFQGRINENITALPNLRVLWAPKAMLQGEIPSSWGTCESLKIVNLGENLFTGGIPKAFGQCQNLKFLNLSSNKLTGWLDQDLPVPCMDVFDLSGNRLSGSIPSFNLKSCPSSKLLLDDLGSGYSSFFSYTTLAAISLDMYDFGDDITVFHNFGQNKFTGVLPSLPLSTDRYGKEVVYAFLANGNNLVGPLSDVIFNKCNEVKAFIVNLSNNWISGQFPTEVGAMCLPLVVFNVSRNNITGVIPQGFGFLEGIISLDFSRNHLEGEIPAKFENLKHLQYLSLGKNNLKGNIPAGFGQLHHLKYFDLSSNYLSGKIPTDLVDLKNLTILLLDNNNLSGTIPLNLARMTSLTKFNVSFNNLSGPLPMNASMLTCDSVLGNPLIHSCPVNTVSVPSLSGRQGRSTQDYTGSSPIRPTNDSNNTGFSTVEIASIASAAAVVSVLLALIVLYIYTRKCAPRFAAQSSRRREVTLFTDIGAPVTFESVVRATGNFNASNCVGHGGFGATYKAEISPGVLVAIKRLSLGRFQGVQQFHAEIKTLGRLRHPNLVTLIGYHLSEEEMFLIYNYLSGGNLERFIQERHKRAVDWRVLHRIAMDIACALAYLHDHCVPRILHRDVKPSNILLDNYKAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFMEGLWDVGPHDALVNTLHLAVRCTVDSLSIRPTMKQVVQQLKQLQPPTC >Ma04_p19620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22216105:22219117:1 gene:Ma04_g19620 transcript:Ma04_t19620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEKGGATLEEGLGGGGVVTQRGKLCRRCKETFNSSSNTPSSCRFHPSFFVCRRHDDQKRYYELRPDDPPYAAKFYDCCGAEDPEALGCTTDFHVSYDD >Ma04_p19620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22216105:22219146:1 gene:Ma04_g19620 transcript:Ma04_t19620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEKGGATLEEGLGGGGVVTQRGKLCRRCKETFNSSSNTPSSCRFHPSFFVCRRHDDQKRYYELRPDDPPYAAKFYDCCGAEDPEALGCTTDFHVSYDD >Ma04_p19620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22216105:22218948:1 gene:Ma04_g19620 transcript:Ma04_t19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEKGGATLEEGLGGGGVVTQRGKLCRRCKETFNSSSNTPSSCRFHPSFFVCRRHDDQKRYYELRPDDPPYAAKFYDCCGAEDPEALGCTTDFHVSYDD >Ma01_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5877149:5878044:-1 gene:Ma01_g08210 transcript:Ma01_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQFRPGFDGTQGYNEGSPPSTNLPGYILRFLAVVFTLISTVVMGAAKEIVTLLIRDPLTNETTTLMATVKSTYSAGYVYFVIVNAVVFFCSVIALVISIAKRAGSGGTLFALSVADLVMLVLLFSGNGAATAISVVAENGQENLAGWDRICDTASKFCARVNAAIAMSMLASVAYLLLVLLGMIALRRRSY >Ma06_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16992977:16996076:-1 gene:Ma06_g22060 transcript:Ma06_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAVPGLLGFRRQFVDSEMQLNIPMQNSIATLQKVADGLITQMQMQMHPIIPGLPDDVAKTCLALVPRCDIPVMGAVCKTWRSFIQSKEFLTVRKDAKQVEEWLFILTGDAQGRESHWEVWGGSGEKLKVLLPMPGPAKAGFGVAVLDAKLIIVGGYVVDAGTKCVSNDVYQYDSRLNRWSLLAKMSVARHDFACDVVNGLIYAVGGLGPNGENLSSVEVYDPDKNQWTLIESLRRPRWGCFACSFDGLLYVMGGRSSFTIGNSRFVDVYSPQRHSWHGMKIGCVMVTTHAMLGKKLFCMGWRNQRKLSIFDPVENSWHDVPVPVSGSSAIGFCFGIFGGKLLLFSVKNEPGYRTLSYDPDAPVGSEWQISSFKPWGGVCLCSVTIEA >Ma05_p06330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4712089:4722301:-1 gene:Ma05_g06330 transcript:Ma05_t06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALTSCEEGKMGIVDPGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEAARLQAVNRKLTAMNKLLMEENDRLQKQVSQMVYENGYFRQQTQNAALTTTNASCESVVTNGQQHLTPQHPPRDASPAGLMSIAEETLTEFLSKATGTAIEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTKVAEILKDRLSWFRDCRSVEIINVLPTGSNGTIELLYMQVYAPTTLAPARDFWLLRYTSILEDRSLVVCERSLNSTLGGPSMPTVQPFVRAEMLPSGYLIRPCEGGGSVIHIVDHLDLEPWSVPEVLRPLYESSTVLSQKTTMVALRHLRQIAHETTHSSVSGWGKRPAALRALSQRLSRGFNEAVNGFVDDGWSMVSSDGMEDITVLVNSSCSKLMGLNLSFVNGCPSTTSSVLCAKATMLLQNISPPMLLRFLREHRSEWADSNIDGYSAASVKAIPHTLPISRTGCSGGQVILPLAHTLEHEEFLEVIKFESIGNNREMPMPRDLYLLQLCNGVDENAVGTCSELIFAPIDASFADDAPLLPSGFRIIPLDFKMDTNSPNRTLDLASALEVGPTGSRVHNDYSGNRGNIRSVMTIAFQFAFESHLQEDVASMARQYIRNIIASVQRLSLTLSPSHLGSHGGLRIPPGSPEAATLACWICHSYRSYSGAEFLKPSADNSDSLLKMLWHHSDAIICCSLKAMPVFTFANQAGLDMLETTLIALQDITLEKIFVDEGRKMICAELPHVIQQGSICLQAGLCISSMGRPVSYERAVAWKVLDNEDNAHCICFMFVNWSFV >Ma05_p06330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4712089:4722300:-1 gene:Ma05_g06330 transcript:Ma05_t06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIALTSCEEGKMGIVDPGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEAARLQAVNRKLTAMNKLLMEENDRLQKQVSQMVYENGYFRQQTQNAALTTTNASCESVVTNGQQHLTPQHPPRDASPAGLMSIAEETLTEFLSKATGTAIEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTKVAEILKDRLSWFRDCRSVEIINVLPTGSNGTIELLYMQVYAPTTLAPARDFWLLRYTSILEDRSLVVCERSLNSTLGGPSMPTVQPFVRAEMLPSGYLIRPCEGGGSVIHIVDHLDLEPWSVPEVLRPLYESSTVLSQKTTMALRHLRQIAHETTHSSVSGWGKRPAALRALSQRLSRGFNEAVNGFVDDGWSMVSSDGMEDITVLVNSSCSKLMGLNLSFVNGCPSTTSSVLCAKATMLLQNISPPMLLRFLREHRSEWADSNIDGYSAASVKAIPHTLPISRTGCSGGQVILPLAHTLEHEEFLEVIKFESIGNNREMPMPRDLYLLQLCNGVDENAVGTCSELIFAPIDASFADDAPLLPSGFRIIPLDFKMDTNSPNRTLDLASALEVGPTGSRVHNDYSGNRGNIRSVMTIAFQFAFESHLQEDVASMARQYIRNIIASVQRLSLTLSPSHLGSHGGLRIPPGSPEAATLACWICHSYRSYSGAEFLKPSADNSDSLLKMLWHHSDAIICCSLKAMPVFTFANQAGLDMLETTLIALQDITLEKIFVDEGRKMICAELPHVIQQGSICLQAGLCISSMGRPVSYERAVAWKVLDNEDNAHCICFMFVNWSFV >Ma02_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28846068:28849013:-1 gene:Ma02_g24120 transcript:Ma02_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKCSKAPKIQRLVTPLTLQRKRARIAEKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSAASKPSVAA >Ma06_p15990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10857143:10858563:1 gene:Ma06_g15990 transcript:Ma06_t15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFIPPSFSSVSLCLCLLLLLASATRQTRGQKVETFTYVNEGEFGPYITEYDASYRVLPIASSPFQFAFYNTTPDAFYLALRMGTVRSESILRWVWEANRGRPVRENATFSLLPSGNLVLAEADGRVVWTTNTTNKGVVGLKVLPNGNVVLYDAKGRFVWQSFDYPTDTLLVGQSLRLRGPNKLVSRKSAVDGSFGIYSLVFGPGGITMYINSVASKPLAYYNYSDGLLSFSGPGDSVTFVCEPETEDNFAWECKLIINLSRPKYNATLSFLRLDIDGNLAVYTYYDPVDYRAWEKTFAFFSDEKGWLNGCGLTSKCGAFGVCEDEMCVACPSKDGLLGWSTSCATPSLAACKEGVTPKYYKVEGVENFLSTYSDGEGAQKLEECKRRCSMDCKCQGFLYWEKDYRCWLAPLLGTLSKVSNSSHVAYVKYLK >Ma10_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29138759:29140688:-1 gene:Ma10_g17990 transcript:Ma10_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEETGHGWAARDSTGVLSPFAFPLRAMKGDDITLKVLYCGICLTDLCTIKNYWRNAKYPVVPGHEIVGVVTQVGRVVHKFKIGDKVGVGYMAGACRSCDNCRVDDENYCPKLVPVFNSFYPDGTKTYGGFADMVVVNEHFAVRVPETLPLDKVGPLLCAGTTVYTPMKQHGLDQPGKHLGVVGLGGLGHLAVKFGKAFGLRVTVISSTPDKEKDAVELLGADSFIVISNAEQMKAAAGTMDHIVSTSAGALSFSPLVALLKTHGKIIMVGTSTKPMEIPSFDLVSGGKSIVGSMIGGMKVAQEMMEFASEHRVTPEVEVVRMEDVNLAMERLEKGDVRYRFVVDVANAFHDSRGV >Ma02_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21659005:21660105:-1 gene:Ma02_g13130 transcript:Ma02_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMKVVLLVLGTILSISWEEEEGFMTLASANTIVTGTVFCDQCQDGERGFLDYGLSGAKVAVVCRGTDGKEMAYGEDETNWFGSYSVYFDGSPDLSGCYARVVGGPAGCGAAAGPAQGLTLLFRMFGMAMYVVEPLLSEPQVPAGFCPNAPSLPSPTPTPALPVPPPTPPLPLFEVSACPYGKWLMPQYQCYWRAVNPDTRVAIAFGPVAAGRYGVELTLWEALHGRGDLYRTLLREATASLLNSYNTLNFLYPTLSVFDLMNRALVGSPQDALTVALRFRMANSGAFGVETVGCNFTPCRS >Ma09_p31430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41170271:41173614:-1 gene:Ma09_g31430 transcript:Ma09_t31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWQRCLRSVLRQVNTMMERNGRSSAIGYDACTKLYSPGQFAHPWIVSDISSSRIGRPSYQYLQHLQISSSRKLFAEEDANIVPISSPLTPLMGDPMKTGKKDGLSKPLRVQAIKKDIRQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLNPDRLLVDEAFVGKGVHLKRISYHAKGRSGIMVRPKCRLTVVVRETTPEEEAKIAKLRVSNFKKLTRRERQLFPHQLIETTPRWGRKREAAAPV >Ma00_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46200332:46201266:1 gene:Ma00_g05380 transcript:Ma00_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYVMCICMCVVCVVCVLCLVCVWSMTTVSLSLSLSLSLHGGKTT >Ma07_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7344943:7347961:1 gene:Ma07_g09810 transcript:Ma07_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQITEGVNNLSVAADSFKENRILVSNTKKPLFFYVNLAKRYMQQYDEAELSALGMAIGTVVTIAEILKNNGFAVEKKIMTSMVDVEDETRGRLLQKARIEILLGKTENFDELMAAATQRRDGDGEQH >Ma10_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25804652:25807293:-1 gene:Ma10_g12780 transcript:Ma10_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNANESKMVVPLHTWVLISNFKLAYNMLRRPDGTFDRHLAEFLDRKVPANASPVNGVVSFDVVIDRTNNLLARIYRPAPPNPTTPLADLHRPPSPDPFPVIIFFHGGSFAHSSSNTAIYDSLCRRFVSLCGAVVISVDYRRSPEYRYPCAYDDGWAALKWASTEPWLHSGKDAKLRVFLCGDSSGGNIAHHVAVKAAESGIEVSGNILLNPMFGGNHRTESEKRLDGKYFVTIQDRDWYWKAYLPEGADRDHPACNPFGPNGMKLEELPFTKSLVIVAGLDLVQDWQLAYTEGLKKAGKEVKLVYREQATIGFYLLPNTDHFYEVMEEIRNFVTCNLKQPANI >Ma05_p10150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7302202:7305379:1 gene:Ma05_g10150 transcript:Ma05_t10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGENDQQSRKRKKKTAVGKEIGGRWPPVKPKRDLQINRLKGTNLFTIPNFFTSSESKAFVRAAESIGFIHQGSLGPAKGEAYRDNDRISVTDQGLAESIWQSGLKRIFDDIKLQGKVSVGLNPNIRFYRYKVGQRFGRHIDESVDLGGGHVTQYTLLVYLTGKGSNHDTSMQSLVGGETVFYDRGIVEEVAPEEGMALLHIHGSKCMLHEARVVTKNIKYVLRSDVVFA >Ma05_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7302202:7305385:1 gene:Ma05_g10150 transcript:Ma05_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGENDQQSRKRKKKTAVGKEIGGRWPPVKPKRDLQINRLKGTNLFTIPNFFTSSESKAFVRAAESIGFIHQGSLGPAKGEAYRDNDRISVTDQGLAESIWQSGLKRIFDDIKLQGKVSVGLNPNIRFYRYKVGQRFGRHIDESVDLGGGHVTQYTLLVYLTGKGSNHDTSMQSLVGGETVFYDRGIVEEVAPEEGMALLHIHGSKCMLHEARVVTKNIKYVLRSDVVFA >Ma05_p10150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7302202:7305379:1 gene:Ma05_g10150 transcript:Ma05_t10150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGENDQQSRKRKKKTAVGKEIGGRWPPVKPKRDLQINRLKGTNLFTIPNFFTSSESKAFVRAAESIGFIHQGSLGPAKGEAYRDNDRISVTDQGLAESIWQSGLKRIFDDIKLQGKVSVGLNPNIRFYRYKVGQRFGRHIDESVDLGGGHVTQYTLLVYLTGKGSNHDTSMQSLVGGETVFYDRGIVEEVAPEEGMALLHIHGSKCMLHEARVVTKNIKYVLRSDVVFA >Ma02_p11060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20405025:20408856:1 gene:Ma02_g11060 transcript:Ma02_t11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAPPKPPPSTAEHDDDDDDYEDYIPVKKRRAIEAQKILQRKGRPTSAGDSDAANRPQALAEAKPSLLVKASQLKRDLPEISPTEQLVQQEKEMIEHLSDRKTLMSVRELAKGITYTDPIPTGWKPPLAIRRMPARHADAIRRQWHILVEGENVPPPIKNFRDMRLPEPILKKLKEKRIVQPTPIQVQGLPVILAGRDMIGIAFTGSGKTLVFVLPLIMTALQEEVMMPIVPGEGPFGLVVCPSRELARQTYEVVEQFLVPLRDHGYPELRPLLCIGGVDMRSQLEVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAIASFKSGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDALTDASGVKGCAYCGGLGHRIRDCPKLEHQKSMAIAGSKRDYFGSGGYRGEI >Ma02_p01590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10497099:10503127:-1 gene:Ma02_g01590 transcript:Ma02_t01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPPPAEPPGPHRTNHNHHHHHHRHVIPIAAIGGAAVVLTVTLVVLLYRRFARGSPTPTAPSPPPPPAGPLRRFTYAQLRRATASFAPSLRLGQGGFGPVFRGALPSGEEVAVKLMDSCGSLQGEREFHNELALAANILAVPSYAAAVVPTIGFCSDDDGRHRRWWPWWRRREAEEPLELSEAAAAAAPPGRKLLLVYELMHNGSLQDALLDRRCPELMDWQRRFAVVLDVARGLHFLHAVCDPAVIHGDIKPSNILLDAHLSAKIGDFGLARLRSTATEDHLPTEEEIKVDIDLDKHAVNDSKTSGKERKKDSVTVGGQDDASAVTMGETAESITTTTTGFEEQAPNAGANGIATTDRSAEEDEDFMVASPATAVDVASVSEAGVGFDRVSVDSGKDASASRKGCGKKKAGASSGKDWWWRQDINGGGPNSESGGSVKDYVMEWIRSEIKKERPKSDWVTASTATAVEECLPKPSNGGRSERKKSHRRMEWWASLDEEKPKKKEKSRPAREWWREEFCEELMKKQKRQPMAKSKSSHEWWQNDDDFASPSEKSNRRKKNRSYSRGSRSSIDWWMDRISGEIRPTGRRSSRDWASGDIPKSGTVSSTPSMRGTVCYVAPEYGGGGPLSEKCDVYSFGVLLLVIISGRRPLQVTASPMSEFERANLISWARHLAHLGRLLELADPCLRCVDKEQALLCITVALLCLQRSPARRPSSKEILGMLTGESEPPHLPLEFSPSPPGGFSFKSRKKARNQAKNSIQFRKWDYCNYIS >Ma02_p01590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10497101:10503127:-1 gene:Ma02_g01590 transcript:Ma02_t01590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPPPAEPPGPHRTNHNHHHHHHRHVIPIAAIGGAAVVLTVTLVVLLYRRFARGSPTPTAPSPPPPPAGPLRRFTYAQLRRATASFAPSLRLGQGGFGPVFRGALPSGEEVAVKLMDSCGSLQGEREFHNELALAANILAVPSYAAAVVPTIGFCSDDDGRHRRWWPWWRRREAEEPLELSEAAAAAAPPGRKLLLVYELMHNGSLQDALLDRRCPELMDWQRRFAVVLDVARGLHFLHAVCDPAVIHGDIKPSNILLDAHLSAKIGDFGLARLRSTATEDHLPTEEEIKVDIDLDKHAVNDSKTSGKERKKDSVTVGGQDDASAVTMGETAESITTTTTGFEEQAPNAGANGIATTDRSAEEDEDFMVASPATAVDVASVSEAGVGFDRVSVDSGKDASASRKGCGKKKAGASSGKDWWWRQDINGGGPNSESGGSVKDYVMEWIRSEIKKERPKSDWVTASTATAVEECLPKPSNGGRSERKKSHRRMEWWASLDEEKPKKKEKSRPAREWWREEFCEELMKKQKRQPMAKSKSSHEWWQNDDDFASPSEKSNRRKKNRSYSRGSRSSIDWWMDRISGEIRPTGRRSSRDWASGDIPKSGTVSSTPSMRGTVCYVAPEYGGGGPLSEKCDVYSFGVLLLVIISGRRPLQVTASPMSEFERANLISWARHLAHLGRLLELADPCLRCVDKEQALLCITVALLCLQRSPARRPSSKEILGMLTGESEPPHLPLEFSPSPPGGFSFKSRKKARVTLLEE >Ma01_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26340694:26345543:1 gene:Ma01_g22980 transcript:Ma01_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRAICLHSYHLHLFFNLQAKAACVLIDLCFGPLSPWIYTITAKVDLAIELPEDLLVRTVPYQANLEMPVRYDVSILALKYILLALSEHMDDVLSKYKEFKHRLLFLLEMLEPFRDPALLVGLFYIMLVIQNQNSHLLHCIILEELLTTMA >Ma01_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1673346:1673588:1 gene:Ma01_g02470 transcript:Ma01_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMGSERSSPGRKAFDLKEALDMFGARSNADLVPDGWEAWEIITDVRMLRQV >Ma08_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9427075:9427407:-1 gene:Ma08_g12450 transcript:Ma08_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKMASFYQGHVNATQLGTYFVCQYYQIHQQRSELVDQFYTNFSSTVRCDGRRSLLKGRW >Ma04_p31380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31698667:31702289:1 gene:Ma04_g31380 transcript:Ma04_t31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MATAASWKPLLLRALDANAHLKQSAFFQLATIGSNGRPSNRTVVFRGFQEDTNVIQINTDRRSAKICWYFTESWEQFRINGKIDIIDGANSDACKLQQREKAWFASSLKSRLQYLAPAPRLPDISNGSGEENQLDPSEGPVEAFCLLLFDPEQVDYLNLKSNERFIFTSRSSEQGFKLWMSEKVNP >Ma04_p31380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31698667:31702289:1 gene:Ma04_g31380 transcript:Ma04_t31380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MATAASWKPLLLRALDANAHLKQSAFFQLATIGSNGRPSNRTVVFRGFQEDTNVIQINTDRRSAKIEEIKHCHFGKICWYFTESWEQFRINGKIDIIDGANSDACKLQQREKAWFASSLKSRLQYLAPAPRLPDISNGSGEENQLDPSEGPVEAFCLLLFDPEQVDYLNLKSNERFIFTSRSSEQGFKLWMSEKVNP >Ma05_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:204788:206003:1 gene:Ma05_g00290 transcript:Ma05_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTCHWAAAGSHNGGGLVKAGKKGTIHVSAKAMNITWANDARFWKWIPLSKNELPKAFSKDDMSFDAAAELIQVNWLEARGSLDSAVHKQPRLSGSGRYEIIYHLRFKVDAFGWSNAPVIFELITPDGHRQQKSVMLEPYRRRSNEWQEIHGGELKMTGKVEFAMFQVESHGWKGGIIFGGVSLRPR >Ma11_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1397494:1398350:-1 gene:Ma11_g01930 transcript:Ma11_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAASVWARIRLADRRDVPNIHRLIRQMAEFELLTHLFSATEASLSDTLFPSPALPPFLSFTVLILELSHSPFSEDSDAPLFAPIVRRIDLESAVEDPEAAEFASPRGEGIVVAGFVLCFPNYSTFLAKPGLYIEDIFVRATWRRRGLGRMLLAAVAGQAAQMGMGRVEWCVLDWNVNAIKFYEDMGAEVMPMWRICRLTGPALQAYLHEK >Ma04_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17327486:17328124:1 gene:Ma04_g17130 transcript:Ma04_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVIFLCLFLSALHHCAAQSCVNDTFSGDKLYASCSSLPYLNASLHWNYHPSNGTVDVAYRALQTSDGWVAWAINPDGSGMIGANAFLAFPGSNGAVTVYTTQFSSYGVQPSDVKDENLTFAVYSRESEYSDGYYTIYATLELPRNDTKQNTVWQASTTFSDGVPYGHPSGDHYLSKTSLDFLTGQLE >Ma04_p38780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36178237:36185752:-1 gene:Ma04_g38780 transcript:Ma04_t38780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSPSSSSSPPEVAEPSSSSSSSLTQPEQRTKLFVGGISSDTQEKDLEEHFRAFGELKQVMVLRDRVTGIGRGFGFVLFAHPEGAETALKNPKHVILRRTVEVKQAIPRDKIHQNQNPNQTRGSTRNSNGDINNGRNTNSKKIFIGGLPRSITQHELKSYFEKFGSVVDTVVIYDNVTQRPRGFGFITFSSEDSVAMVLQKSFHELDGKPVQVKIGVPKDDTNCTNNRNNNNHHSPRAFGGRGGRRPVYVPYQDPFYQSFGGNGYSQYCAATPFPHYPYGQPYGGVYNSGIGYRGHYGNSGSPWNNLTGMVAPVAYTSYVNIGYGSNMFVSTLNYTQDHGSYSDNENQDGPNVQTGTVGTATET >Ma04_p38780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36178237:36185752:-1 gene:Ma04_g38780 transcript:Ma04_t38780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSPSSSSSPPEVAEPSSSSSSSLTQPEQRTKLFVGGISSDTQEKDLEEHFRAFGELKQVMVLRDRVTGIGRGFGFVLFAHPEGAETALKNPKHVILRRTVEVKQAIPRDKIHQNQNPNQTRGSTRNSNGDINNGRNTNSKKIFIGGLPRSITQHELKSYFEKFGSVVDTVVIYDNVTQRPRGFGFITFSSEDSVAMVLQKSFHELDGKPVQVKIGVPKDDTNCTNNRNNNNHHSPRAFGGRGGRRPVYVPYQDPFYQSFGGNGYSQYCAATPFPHYPYGQPYGGVYNSGIGYRGHYGNSGSPWNNLTGMVAPVAYTSYVNIGYGSNMFVSTLNYTQDHGSYSDNENQDGPNVQTGTVGTATET >Ma04_p38780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36178237:36185752:-1 gene:Ma04_g38780 transcript:Ma04_t38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSPSSSSSPPEVAEPSSSSSSSLTQPEQRTKLFVGGISSDTQEKDLEEHFRAFGELKQVMVLRDRVTGIGRGFGFVLFAHPEGAETALKNPKHVILRRTVEVKQAIPRDKIHQNQNPNQTRGSTRNSNGDINNGRNTNSKKIFIGGLPRSITQHELKSYFEKFGSVVDTVVIYDNVTQRPRGFGFITFSSEDSVAMVLQKSFHELDGKPVQVKIGVPKDDTNCTNNRNNNNHHSPRAFGGRGGRRPVYVPYQDPFYQSFGGAITEILGALGII >Ma10_p20180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30448685:30451931:1 gene:Ma10_g20180 transcript:Ma10_t20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGMALSEIQARGEGSGYCGSERRVSLAELQGIMDRIRYGTEEHKVQAALEIRRLTKTSSRNRRNLSAAIEPLVSMLRFGSSGSSEAAILGLLNLAVKDERNKISIVEAGALEPLIVFLESTNSDLQEYATAALFTLSAASVNKASISASGAIPLLVNVLKDGSQQAKKDAVAALYNLSTITDNLKTILLLHPIPPLIGFLKTHKKSSKTAEKCCALLESLVGFDEGRTALMAEEAGVLTVVEILEEGSLQSREHAVGALLTMCESDRSRYREVILKEGVIPGLLELTVQGTSKSQGKAHRLLELLRDSPYPRSELQADTLQNIVSSIVSKIDGHEQAEKAKKMLAEMVQISMEQSLRQLQQRALMCTPSELPAGKRRSEVSSK >Ma10_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29548724:29549337:-1 gene:Ma10_g18730 transcript:Ma10_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVEPGEEHADEECPVCLDELTSNVGGETASEEEAASAVVREMPCRHRFHGGCIDKWLGMNGSCPVCRYRMPAAEEEEPK >Ma08_p09230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6682184:6687008:-1 gene:Ma08_g09230 transcript:Ma08_t09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARKVQAKTIPRCWLDSREQSEELGNGILPCDHEDKLHRRHDCTWGIWQGRKIIRVNDQLIARGSLLSLTLWILDVSYTSAFWQCYWWQDLLCFTL >Ma05_p02950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2036891:2046810:1 gene:Ma05_g02950 transcript:Ma05_t02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MATKKNPTVFLDISVDRNPAERMEIELFADVVPKTAENFRALCTGEKGIGDSTRLPLHYKGSFFHRIVKGFVAQGGDFSRRDGSGGESIYGRKFPDENFKLKHDGPGLLSMASSAPNSNGSQFFITFKATPQLDGKNVVFGKVVSGSNLLKKIEQAGSEKGKPLCLVKVVDCGEASDGKTQVVPGKEKEKKCNESSLDLCSNDSSGGEQRSSHKRTIKDKRKKRKRRYSSSDSSSSDDSDSDSYSSDSGSSSYSDSSDSSSSSGARYKRRKRTSRKKKNRNGKGKRDHHTDKRQRRHDKKSTRKPKWSSSSSDSESETTSGSSSSGSERAGHRDDSRKAKHSLQTADTSLEVGKKQSLSIVPGKESATERTKKSERVAAQVNASRESGLVFQETRDDPEDSYRSIGKFNKMANQPPKSNEKSSRSSSPIIPEGDRIGSPRVHADKGPTSSPSGVPHIGGANQSSVGGISRSKSHSASPTRSPGRKASEPALKQLDVSRKPSPSGPPKRIRKGRGFSDKYAYVRKYRTPSPERSPVRSYYYRGRYEQEWGRNRRTFNSERSPARRYQGSPRGSSPPRYRGRRDRSRSMSRSPVGYRSRRRDRTQSPRRSRSPLDDQKPALGNRIQSRLGPQGGGYRSVSGRSRSRSPHPSAFKSDAGPQDMEDKGGSQSSSRSSSPAPNNGLVAYGDGSPDR >Ma05_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2036891:2046810:1 gene:Ma05_g02950 transcript:Ma05_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MATKKNPTVFLDISVDRNPAERMEIELFADVVPKTAENFRALCTGEKGIGDSTRLPLHYKGSFFHRIVKGFVAQGGDFSRRDGSGGESIYGRKFPDENFKLKHDGPGLLSMASSAPNSNGSQFFITFKATPQLDGKNVVFGKVVSGSNLLKKIEQAGSEKGKPLCLVKVVDCGEASDGKTQVVPGKEKEKKCNESSLDLCSNDSSGGEQRSSHKRTIKDKRKKRKRRYSSSDSSSSDDSDSDSYSSDSGSSSYSDSSDSSSSSGARYKRRKRTSRKKKNRNGKGKRDHHTDKRQRRHDKKSTRKPKWSSSSSDSESETTSGSSSSGSERAGHRDDSRKAKHSLQTADTSLEVGKKQSLSIVPGKESATERTKKSERVAAQVNASRESGLVFQETRDDPEDSYRSIGKFNKMANQPPKSNEKSSRSSSPIIPEGDRIGSPRVHADKGPTSSPSGVPHIGGANQSSVGGISRSKSHSASPTRSPGRKASEPALKQLDVSRKPSPSGPPKRIRKGRGFSDKYAYVRKYRTPSPERSPVRSYYYRGRYEQEWGRNRYSRRTFNSERSPARRYQGSPRGSSPPRYRGRRDRSRSMSRSPVGYRSRRRDRTQSPRRSRSPLDDQKPALGNRIQSRLGPQGGGYRSVSGRSRSRSPHPSAFKSDAGPQDMEDKGGSQSSSRSSSPAPNNGLVAYGDGSPDR >Ma05_p30800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41034217:41034327:1 gene:Ma05_g30800 transcript:Ma05_t30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPTLNTPGRRPSPPWPSCVRAPKRQGKTLYEYGG >Ma03_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3372429:3373799:1 gene:Ma03_g05180 transcript:Ma03_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLPSVGLKDHVSLPLRLHGEGQEEGKEVEAARKRNGFCLEGRVEAEESSESSSIGAASSSSEKDEKEEEEEEEAVESKRKDGAFGSLDPLEDSLPIKRGLSNFFSGKSKSFASLSDAAMASAGELVKPENPFNKRRRLLMASKMRRASYTSLVRPPLPPLLPSAHTVEEEADDDDDDDDDKEQQQEDDDTNKNKRHGTDNTNTNKNKNKKQGAAFRSPRSFSLSDLQQL >Ma06_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5251466:5253606:1 gene:Ma06_g07390 transcript:Ma06_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHSSPPPPSPPPPPQEMKTKTYELAAHSIFYAKPAAVHPLKLLLHPCRPSPPPHYILCDVSLTARPGEILAIVGPSGAGKSTLLDVLAARTAPTAGYVRLNSSPLHPASFRRLSAHVPQHDASLPLLTVFETFAFAARLLHRCHASAIIASLLADLRLSRVAHTRLSGNLSGGERRRVSIGLSLLRDPSVLLLDEPTSGLDSLSAHLVLQSLRSVAASRCTTVVLSIHQPSSRLLSSIDSLLLLSNGSVIHHGSLSSLDRFLLSSGFSAPSQINPLEFAMEVLHQLPHPTTATVKAQRASAAKLKESKIEGEEELSVHYSSSRVREIVTLYGRCWKLVYRTKQLLLANTLEALIVGFLLGTIYMNVSFDDEGMAKRLGLFAFTLTFLLSTTTETLPIFVEERPILLRETSSGLYRLSSHLIAGTLVFVPYLLAISLLYSVSVYFLTGLCASWSALANFVLIVWALVLTANSFVLFISSLAPDYIAGTSLVTVSLAGFFLFSGYFIAKENMPEYWAFAHYLSPFKYGLDALLANEYGCHANRCFAWAGQEMGGACLVTGRDVLERRGLKEGEGWANLQVLWGFFVFYRLLYWIVLRRRASASKK >Ma09_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12483781:12484046:-1 gene:Ma09_g16990 transcript:Ma09_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKFTLLVFWLCHVTSLWNPSFYISLHFLQYWICSQQTFFQKVADLALPPALCA >Ma07_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10628047:10633623:1 gene:Ma07_g14190 transcript:Ma07_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKECKGLKKEGSPCPESFPSLFRYIATRSFADFDITHSPGGDLAFTKVREAISDNIQAKKLSISSPTSPIQMIEHYHVHSISISMPASPSAFDRERSTGILHSEKGGSYTTKGKINHPISATAGLQQQNQSKFHSQPIPIGNSHTNTSPEIKILDSLGCQQQISQNSRIKDKHYESFKTWSGKLERQISNLRGKPQEPEEVTDAKNAKPEAVPAVGRYFDALEGPELDKLKASEELILPKDKKWPFLLRFQVSSFGMCLGISSQAILWKTLATSPSMTFLHVSPTINLTLWCISLALMGLVSAIYMLKIIFYFEAVRREYYHPVRVNFFFAPWITCLFLAIGAPPSVAVKLHAELWYVLMAPIFCLELKIYGQWMSGGQRRLSRVANPSNHLSIVGNFVGALLGASLGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKITGNFGYCSKIAYFTALFLYASLAVRINFFRGFRFSLAWWAYTFPMTGASVATIRYSAEVENTFTRTLSIVLTAISTLTVAVMLVSTIIHAFVLHDLFPNDISIAITERRVKGCKGRMHTVTSSSDTVDSEACAASKVEGHLHLPASSGAPQVQL >Ma11_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6936961:6938007:1 gene:Ma11_g08720 transcript:Ma11_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGDAAIKLFGKIITLQCGGDEEKALQSKDFREEENAPESSDSQETNEHESTRNSTPEERPSDAGGWKEKAARKPEKILPCPRCRSSDTKFCYYNNYNVNQPRHFCRNCQRYWTAGGAMRNVPVGAGRRKNRNTSHDRHVVLRFGSEAAGNIGDEQSSKESEGTASVPVPFYPAVAEWSCTVPPGAWSTPWLSPVESSSPESSSPTIRKRSRMDDPEEAARSSVLKAFQRKVDVKDHGMEAPLLLHVNPAALSRSLSFQESSKRSAAVGERRSGLY >Ma06_p21330.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15525424:15537437:1 gene:Ma06_g21330 transcript:Ma06_t21330.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKVILPPNLPPEVADDEIEVSDEDLEFVGRNREYVGFLTKLDTKSIDRHVKRVANHEDDELEALYEKRNRKPSLLRLKEEEDKLQVDPVDALPVKTLDGKLEYRTADRSKSGDGTNGKESAMGIEDDEKIAGMMKLTKPEKRLKLKKSRKEAKKLAKVEEKGDGGEEKLHSEVLAKVEEDLSAEELFRKKKIRLAEIGLQLLENPEENIKALKELLQICDDEDQNIVKLGLMSLLAVFKDIIPGYRIRLPTEKEMEMTVSKAVRQQRFYESTLLRSYKAYLMKLLTLEKQPSIRQVAVRCLCSLLDAVPHFNFRESILASVVKNISSSDDTIRKLCCEATRSIFSNEGKHGGEATLEAVRLIADHVKFHDCQLHPDSIDVFLSLTFDEDIGKSDVLKEPVKPKKRGKWKNQDGSKEVQGSDRKKNKHELMKKTREEVSADLKAVSFAPDSKDRKMMQSETLTAVFETYFRILKHSMDPSASRSKVNAVSLSCGSGSYPLLASCLNGLGKFSHLIDLDFMGDLLECLKKLAGYSDHNDGSMQNHLSVSERLQCCIVAFMVMRNNLDALNVDLQDFYVQLYNLLLDYRPDRDCGEVLAKALKTMLWEGKQHDMQRAAAFIKRLATFSLSFGSAEAMAALVTVKHLLQKNSKCRNLLENDAGGGSLAGLVAKYRPDATDPNISGALASVLWELSLLVKHYNPSISSMAASISSMASMNPAHSQVLFSTASPLQAFADLSTERELFKPASKAASLRRKRTREIEKDFVVMDPDQIRKYENMIDEGVLTRKFKDHFILNKGIMENERLRRELNHTMSSISLYEDYKRQKKHKKER >Ma06_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15525430:15537437:1 gene:Ma06_g21330 transcript:Ma06_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKVILPPNLPPEVADDEIEVSDEDLEFVGRNREYVGFLTKLDTKSIDRHVKRVANHEDDELEALYEKRNRKPSLLRLKEEEDKLQVDPVDALPVKTLDGKLEYRTADRSKSGDGTNGKESAMGIEDDEKIAGMMKLTKPEKRLKLKKSRKEAKKLAKVEEKGDGGEEKLHSEVLAKVEEDLSAEELFRKKKIRLAEIGLQLLENPEENIKALKELLQICDDEDQNIVKLGLMSLLAVFKDIIPGYRIRLPTEKEMEMTVSKAVRQQRFYESTLLRSYKAYLMKLLTLEKQPSIRQVAVRCLCSLLDAVPHFNFRESILASVVKNISSSDDTIRKLCCEATRSIFSNEGKHGGEATLEAVRLIADHVKFHDCQLHPDSIDVFLSLTFDEDIGKSDVLKEPVKPKKRGKWKNQDGSKEVQGSDRKKNKHELMKKTREEVSADLKAVSFAPDSKDRKMMQSETLTAVFETYFRILKHSMDPSASRSKVNAVSLSCGSGSYPLLASCLNGLGKFSHLIDLDFMGDLLECLKKLAGYSDHNDGSMQNHLSVSERLQCCIVAFMVMRNNLDALNVDLQDFYVQLYNLLLDYRPDRDCGEVLAKALKTMLWEGKQHDMQRAAAFIKRLATFSLSFGSAEAMAALVTVKHLLQKNSKCRNLLENDAGGGSLAGLVAKYRPDATDPNISGALASVLWELSLLVKHYNPSISSMAASISSMASMNPAHSQVLFSTASPLQAFADLSTERELFKPASKAASLRRKRTREIEKDFVVMDPDQIRKYENMIDEGVLTRKFKDHFILNKGIMENERLRRELNHTMSSISLYEDYKRQKKHKKER >Ma06_p21330.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15525430:15537437:1 gene:Ma06_g21330 transcript:Ma06_t21330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKVILPPNLPPEVADDEIEVSDEDLEFVGRNREYVGFLTKLDTKSIDRHVKRVANHEDDELEALYEKRNRKPSLLRLKEEEDKLQVDPVDALPVKTLDGKLEYRTADRSKSGDGTNGKESAMGIEDDEKIAGMMKLTKPEKRLKLKKSRKEAKKLAKVEEKGDGGEEKLHSEVLAKVEEDLSAEELFRKKKIRLAEIGLQLLENPEENIKALKELLQICDDEDQNIVKLGLMSLLAVFKDIIPGYRIRLPTEKEMEMTVSKAVRQQRFYESTLLRSYKAYLMKLLTLEKQPSIRQVAVRCLCSLLDAVPHFNFRESILASVVKNISSSDDTIRKLCCEATRSIFSNEGKHGGEATLEAVRLIADHVKFHDCQLHPDSIDVFLSLTFDEDIGKSDVLKEPVKPKKRGKWKNQDGSKEVQGSDRKKNKHELMKKTREEVSADLKAVSFAPDSKDRKMMQSETLTAVFETYFRILKHSMDPSASRSKVNAVSLSCGSGSYPLLASCLNGLGKFSHLIDLDFMGDLLECLKKLAGYSDHNDGSMQNHLSVSERLQCCIVAFMVMRNNLDALNVDLQDFYVQLYNLLLDYRPDRDCGEVLAKALKTMLWEGKQHDMQRAAAFIKRLATFSLSFGSAEAMAALVTVKHLLQKNSKCRNLLENDAGGGSLAGLVAKYRPDATDPNISGALASVLWELSLLVKHYNPSISSMAASISSMASMNPAHSQVLFSTASPLQAFADLSTERELFKPASKAASLRRKRTREIEKDFVVMDPDQIRKYENMIDEGVLTRKFKDHFILNKGIMENERLRRELNHTMSSISLYEDYKRQKKHKKER >Ma06_p21330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15525430:15537437:1 gene:Ma06_g21330 transcript:Ma06_t21330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKVILPPNLPPEVADDEIEVSDEDLEFVGRNREYVGFLTKLDTKSIDRHVKRVANHEDDELEALYEKRNRKPSLLRLKEEEDKLQVDPVDALPVKTLDGKLEYRTADRSKSGDGTNGKESAMGIEDDEKIAGMMKLTKPEKRLKLKKSRKEAKKLAKVEEKGDGGEEKLHSEVLAKVEEDLSAEELFRKKKIRLAEIGLQLLENPEENIKALKELLQICDDEDQNIVKLGLMSLLAVFKDIIPGYRIRLPTEKEMEMTVSKAVRQQRFYESTLLRSYKAYLMKLLTLEKQPSIRQVAVRCLCSLLDAVPHFNFRESILASVVKNISSSDDTIRKLCCEATRSIFSNEGKHGGEATLEAVRLIADHVKFHDCQLHPDSIDVFLSLTFDEDIGKSDVLKEPVKPKKRGKWKNQDGSKEVQGSDRKKNKHELMKKTREEVSADLKAVSFAPDSKDRKMMQSETLTAVFETYFRILKHSMDPSASRSKVNAVSLSCGSGSYPLLASCLNGLGKFSHLIDLDFMGDLLECLKKLAGYSDHNDGSMQNHLSVSERLQCCIVAFMVMRNNLDALNVDLQDFYVQLYNLLLDYRPDRDCGEVLAKALKTMLWEGKQHDMQRAAAFIKRLATFSLSFGSAEAMAALVTVKHLLQKNSKCRNLLENDAGGGSLAGLVAKYRPDATDPNISGALASVLWELSLLVKHYNPSISSMAASISSMASMNPAHSQVLFSTASPLQAFADLSTERELFKPASKAASLRRKRTREIEKDFVVMDPDQIRKYENMIDEGVLTRKFKDHFILNKGIMENERLRRELNHTMSSISLYEDYKRQKKHKKER >Ma06_p21330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15525430:15537437:1 gene:Ma06_g21330 transcript:Ma06_t21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKVILPPNLPPEVADDEIEVSDEDLEFVGRNREYVGFLTKLDTKSIDRHVKRVANHEDDELEALYEKRNRKPSLLRLKEEEDKLQVDPVDALPVKTLDGKLEYRTADRSKSGDGTNGKESAMGIEDDEKIAGMMKLTKPEKRLKLKKSRKEAKKLAKVEEKGDGGEEKLHSEVLAKVEEDLSAEELFRKKKIRLAEIGLQLLENPEENIKALKELLQICDDEDQNIVKLGLMSLLAVFKDIIPGYRIRLPTEKEMEMTVSKAVRQQRFYESTLLRSYKAYLMKLLTLEKQPSIRQVAVRCLCSLLDAVPHFNFRESILASVVKNISSSDDTIRKLCCEATRSIFSNEGKHGGEATLEAVRLIADHVKFHDCQLHPDSIDVFLSLTFDEDIGKSDVLKEPVKPKKRGKWKNQDGSKEVQGSDRKKNKHELMKKTREEVSADLKAVSFAPDSKDRKMMQSETLTAVFETYFRILKHSMDPSASRSKVNAVSLSCGSGSYPLLASCLNGLGKFSHLIDLDFMGDLLECLKKLAGYSDHNDGSMQNHLSVSERLQCCIVAFMVMRNNLDALNVDLQDFYVQLYNLLLDYRPDRDCGEVLAKALKTMLWEGKQHDMQRAAAFIKRLATFSLSFGSAEAMAALVTVKHLLQKNSKCRNLLENDAGGGSLAGLVAKYRPDATDPNISGALASVLWELSLLVKHYNPSISSMAASISSMASMNPAHSQVLFSTASPLQAFADLSTERELFKPASKAASLRRKRTREIEKDFVVMDPDQIRKYENMIDEGVLTRKFKDHFILNKGIMENERLRRELNHTMSSISLYEDYKRQKKHKKER >Ma06_p21330.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15525430:15537437:1 gene:Ma06_g21330 transcript:Ma06_t21330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKVILPPNLPPEVADDEIEVSDEDLEFVGRNREYVGFLTKLDTKSIDRHVKRVANHEDDELEALYEKRNRKPSLLRLKEEEDKLQVDPVDALPVKTLDGKLEYRTADRSKSGDGTNGKESAMGIEDDEKIAGMMKLTKPEKRLKLKKSRKEAKKLAKVEEKGDGGEEKLHSEVLAKVEEDLSAEELFRKKKIRLAEIGLQLLENPEENIKALKELLQICDDEDQNIVKLGLMSLLAVFKDIIPGYRIRLPTEKEMEMTVSKAVRQQRFYESTLLRSYKAYLMKLLTLEKQPSIRQVAVRCLCSLLDAVPHFNFRESILASVVKNISSSDDTIRKLCCEATRSIFSNEGKHGGEATLEAVRLIADHVKFHDCQLHPDSIDVFLSLTFDEDIGKSDVLKEPVKPKKRGKWKNQDGSKEVQGSDRKKNKHELMKKTREEVSADLKAVSFAPDSKDRKMMQSETLTAVFETYFRILKHSMDPSASRSKVNAVSLSCGSGSYPLLASCLNGLGKFSHLIDLDFMGDLLECLKKLAGYSDHNDGSMQNHLSVSERLQCCIVAFMVMRNNLDALNVDLQDFYVQLYNLLLDYRPDRDCGEVLAKALKTMLWEGKQHDMQRAAAFIKRLATFSLSFGSAEAMAALVTVKHLLQKNSKCRNLLENDAGGGSLAGLVAKYRPDATDPNISGALASVLWELSLLVKHYNPSISSMAASISSMASMNPAHSQVLFSTASPLQAFADLSTERELFKPASKAASLRRKRTREIEKDFVVMDPDQIRKYENMIDEGVLTRKFKDHFILNKGIMENERLRRELNHTMSSISLYEDYKRQKKHKKER >Ma06_p21330.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15525430:15537437:1 gene:Ma06_g21330 transcript:Ma06_t21330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNKVILPPNLPPEVADDEIEVSDEDLEFVGRNREYVGFLTKLDTKSIDRHVKRVANHEDDELEALYEKRNRKPSLLRLKEEEDKLQVDPVDALPVKTLDGKLEYRTADRSKSGDGTNGKESAMGIEDDEKIAGMMKLTKPEKRLKLKKSRKEAKKLAKVEEKGDGGEEKLHSEVLAKVEEDLSAEELFRKKKIRLAEIGLQLLENPEENIKALKELLQICDDEDQNIVKLGLMSLLAVFKDIIPGYRIRLPTEKEMEMTVSKAVRQQRFYESTLLRSYKAYLMKLLTLEKQPSIRQVAVRCLCSLLDAVPHFNFRESILASVVKNISSSDDTIRKLCCEATRSIFSNEGKHGGEATLEAVRLIADHVKFHDCQLHPDSIDVFLSLTFDEDIGKSDVLKEPVKPKKRGKWKNQDGSKEVQGSDRKKNKHELMKKTREEVSADLKAVSFAPDSKDRKMMQSETLTAVFETYFRILKHSMDPSASRSKVNAVSLSCGSGSYPLLASCLNGLGKFSHLIDLDFMGDLLECLKKLAGYSDHNDGSMQNHLSVSERLQCCIVAFMVMRNNLDALNVDLQDFYVQLYNLLLDYRPDRDCGEVLAKALKTMLWEGKQHDMQRAAAFIKRLATFSLSFGSAEAMAALVTVKHLLQKNSKCRNLLENDAGGGSLAGLVAKYRPDATDPNISGALASVLWELSLLVKHYNPSISSMAASISSMASMNPAHSQVLFSTASPLQAFADLSTERELFKPASKAASLRRKRTREIEKDFVVMDPDQIRKYENMIDEGVLTRKFKDHFILNKGIMENERLRRELNHTMSSISLYEDYKRQKKHKKER >Ma08_p19540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33311775:33315078:-1 gene:Ma08_g19540 transcript:Ma08_t19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MARRSADLCRLRHFDPLHKASFPSFLRSQCHLHLRTKAARRRPDDDPDDRHRRNDAGGGGEPWDRSFRLDKGRGQYLLTNPRVLDTIARVAGLRPSDTVLEIGPGTGNLTARLLQSARRVVAVEIDPRMVDSVLARASRLGVDDRLTVITGDALKTEFPEFDICVANIPYGISSPLIAKLLFSTSRPSSFRSAVLLLQKEFARRLLAVPGDSEFNRLAANVSLVATVELLMDVSKKDFVPCPKVDSTLVRLRPRTDVPEVDLDEWLAFSRTCFSNKNKTLGAIFKQKKRIAELLGRSKSEGRSIKVFMEEEQNDNGAEENDDDNRDDDDLLEQNASEVSSFKEKAVGILKSGGFEGKRPSKLSNEELLHLLQLFNQNGVQFR >Ma05_p27970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39066292:39071335:-1 gene:Ma05_g27970 transcript:Ma05_t27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTPAVIQNENLPFHGGKGVNGVKADISKPARVGRQDRKALRDLSKTGKPALSCASKAPTLKDKSGLRAPEPTKVGSKNNYLTEDELKRCQEWAKEGIEQIHFSGNDSQKLQQEKDEERVNKKVKKVLAALRDWTDMSYSFAIPSKEVASDSEDIIKMELVPEELPRSITRFTNLGEEEFDDLLTNQDFPFLDRTFELKLKEDYGSDISSF >Ma05_p27970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39066292:39071335:-1 gene:Ma05_g27970 transcript:Ma05_t27970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTPAVIQNENLPFHGGKGVNGVKADISKPARVGRQDRKALRDLSKTGKPALSCASKAPTLKDKSGLRAPEPTKVGSKNNYLTEDELKRCQEWAKEGIEQIHFSGNDSQKLQQEKDEERVNKKVKKVLAALRDWTDMSYSFAIPSKVHHLEVASDSEDIIKMELVPEELPRSITRFTNLGEEEFDDLLTNQDFPFLDRTFELKLKEDYGSDISSF >Ma11_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:902412:905227:-1 gene:Ma11_g01270 transcript:Ma11_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCEPQEGNAGQGQCGRSKSDIRSSTTHDRVGPKLSFLFIFLLFYRSFTGFYWLMGWIFRKFAATLERKSQAYQRGTKEQHEQVRNETQFDPPLPSTVSSSTCFDGCTAIDVDDCNMHSDIALPMVDEMEEVDTSDPKEIEMEDLVIETAPDIDSCDSNNPLAVVEYVEDIYSFYRQTEVTSCVSPDYMSHQFDINEKMRAILVDWLIEVHYKFELMEETLFLTVNIIDRFLARMTVARKKLQLVGVTAMLLACKYEELSVPMVEDFVLITDRAYTREEILEMERSIINTLQFNLSVPTPYVFMRRFLKAAESDKKLELVSFFIIELCLVEYKMLKFQPSLLAAAAIYTAQCSLRGFFKCWTKTSELHTSYSEEQLLECSRLMVEFHHKAGQGKLTVVHRKYSSYRYGYAAKSEPALFLLDTGH >Ma11_p19890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24567368:24568279:1 gene:Ma11_g19890 transcript:Ma11_t19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTVFKLDLHDDKAKGKAMKDVCGFEEIDFIGMDMKEKKMTVIGSVNPLKVLIKLRKFWPADIVSLGPVKAEEAKKEETNEPQQQMRAASVNPYAAYNLERIEENPNPCAIM >Ma07_p11760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8736469:8744476:-1 gene:Ma07_g11760 transcript:Ma07_t11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEATIDPSLLEAASASASAKAAGDPYHGWQKVTYAKRQRRPQPPPANDRSNEVPDRSHVFASLEQKALERRRAIESDSTVTEATAARSTPATAASDVGDDDSGAEAPPGAHEKGTEAAKKVKQKKPKVTVAEAAANIDAEDLGVFLVEISASYESQQNIQLMRFADYFARSFASVSASQFPWVKMFKESPVNKIADVPLCHVSDSIYKISADWIAEKSPECVGDFILWCLDGIISDMASQQATVKGSKRHVPQAPSKAQVAIFVVLAMALRRKPDILISLLPKIRDNPKYQGQEKLPIIVWAIAQGDTVIGMYLWAHYLLPMVCGKSSVNPQSRDLVLQLVERLLSGPKARPILLNGAVRKGERLIPPVALDLLMRNAFPASTARVKATERFEAIYPTLKELALAGSPGTKTTKQASQQLLPLTIQAIQESNSELTKEATDIFIWCLIQNAECYRQWEKLHLENVDASVAVLRKLTNEWKDYADKISLGTLRETINHLRAKNEEALSGDVGISKQASIKDADKYCKVVLRKSASRSCFTKFGVVLMLMIAICFSLFPNMKLLAWKKLNSVFQFQ >Ma07_p11760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8736437:8744476:-1 gene:Ma07_g11760 transcript:Ma07_t11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEATIDPSLLEAASASASAKAAGDPYHGWQKVTYAKRQRRPQPPPANDRSNEVPDRSHVFASLEQKALERRRAIESDSTVTEATAARSTPATAASDVGDDDSGAEAPPGAHEKGTEAAKKVKQKKPKVTVAEAAANIDAEDLGVFLVEISASYESQQNIQLMRFADYFARSFASVSASQFPWVKMFKESPVNKIADVPLCHVSDSIYKISADWIAEKSPECVGDFILWCLDGIISDMASQQATVKGSKRHVPQAPSKAQVAIFVVLAMALRRKPDILISLLPKIRDNPKYQGQEKLPIIVWAIAQSSQGDTVIGMYLWAHYLLPMVCGKSSVNPQSRDLVLQLVERLLSGPKARPILLNGAVRKGERLIPPVALDLLMRNAFPASTARVKATERFEAIYPTLKELALAGSPGTKTTKQASQQLLPLTIQAIQESNSELTKEATDIFIWCLIQNAECYRQWEKLHLENVDASVAVLRKLTNEWKDYADKISLGTLRETINHLRAKNEEALSGDVGISKQASIKDADKYCKVVLRKSASRSCFTKFGVVLMLMIAICFSLFPNMKLLAWKKLNSVFQFQ >Ma03_p33240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34654274:34658086:1 gene:Ma03_g33240 transcript:Ma03_t33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDAPHSRDDGEGAFCEADGTQRLLVKKPAVTSRRRLEIRRARAGGLAVKRTMDPVEGSNSSSPSDRDTEDGIVGILAAGGEIRGREGQGARLPCLSHGAVSLIGRRRVMEDTVAVAPGFAGGGGVPVYDFFGVYDGHGGARVAQACRERLHVVLAEEVAAGGWPRAEGRWREVMTASFSRVDGEVEAAAQGEAERTVGSTAVVAVVGTKRIVVANCGDSRALLSRGGAAVPLSLDHKADRPDEMERVEAAGGRVINWDGYRVLGVLATSRSIGDCYLKPFVISEPDVTVTERTEKDEFLILASDGLWDVVSNEAACKIARQCLSGRMARMFPDAVSGCTAAEAAALLAELAISRGSEDNISVVVVELKRLRGRTS >Ma10_p29590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36131603:36132942:-1 gene:Ma10_g29590 transcript:Ma10_t29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIATGRRGGIGRPGLVHWTAARRSTSGAAPRRGMCGKAKAGDGDSCSSSSIGQDSDNVAGGSASEGEESRETEMESGSKGPLDTLEALEESLPMRRGLSGFYAGRSRSFQNLTDAEACSSAGEIGKPENAYTRKRRNQLASSIVQEKSHNDETGGSNEDRSPKRHAAAGGSTVTTCTITSGGSAGYDATAIEEARDPTQSSPPGLLREEVVIKLKRNAL >Ma10_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31826879:31830481:-1 gene:Ma10_g22440 transcript:Ma10_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSHSRRTRCSSYSRSVSRSRSPYRYSGTVSRSLSRSRSRSRSMDSNAAKNPGNNLYVTGISSRVTKDELEKHFASEGKVVDVHLVVDPWTRESRGFGFVTMSTIEEADSCIQYLNRSVLEGRVITVEKARRRRGRTPTPGRYLGVKTSAHHHSGSYSPYARRRYSSPGYTSDGDRSYSPYYRRRAYSPYFRRRRSRSVSPCSRRQRSYSRDLRSSSSGCSASPYYHRRCEHSWSRSISPRSRYRRHYSPSISPRRSSRRGFSHSYSPRDRRSRRSYSRSYSPRYRRSQSYSPKVRPSKRCPSSSNSPGPRKRYSRESYAHSRSVSSSSSSRSRSPAGSARSS >Ma10_p22440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31826874:31830481:-1 gene:Ma10_g22440 transcript:Ma10_t22440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSHSRRTRCSSYSRSVSRSRSPYRYSGTVSRSLSRSRSRSRSMDSNAAKNPGNNLYVTGISSRVTKDELEKHFASEGKVVDVHLVVDPWTRESRGFGFVTMSTIEEADSCIQYLNRSVLEGRVITVEKARRRRGRTPTPGRYLGVKTSAHHHSGSYSPYARRRYSSPGYTSDGDRSYSPYYRRRAYSPYFRRRRSRSVSPCSRRQRSYSRDLRSSSSGCSASPYYHRRCEHSWSRSISPRSRYRRHYSPSISPRRSSRRGFSHSYSPRDRRSRRSYSRSYSPRDQRLRRSYSRSYSPRYRRSQSYSPKVRPSKRCPSSSNSPGPRKRYSRESYAHSRSVSSSSSSRSRSPAGSARSS >Ma06_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10364133:10366234:-1 gene:Ma06_g15230 transcript:Ma06_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEMLRLALLACSLAVLLFSCFKVAYSLWWKPKALERHLRQQGIRGNPYRFFYGDLKDDMHARIIASSKPMDLSHQFVPRVAPLLHKIVQKYGKISVVWIGTTPRVIIHDPELMTKVLLDRMQQFRKSRLNPLVKLIGMGVPTLDGEEWARRRRVINPAFHLEKLKEMAPAFLKSCVDMVERWRNLTSPEGSCEVDVWNEFQNLTADIISRTAFGSSYKEGKKIFELQKEQAVLVMEAARAPYVPGFRFVPTAKNKRRMHIHREIKSMLMDMINKKLDSIAKGESTADDLLGLLVQYSNDGDHGNCSITTDDVIEECKLFYFAGQETTSVLLTWTLILLSIYPTWQQRAREEVVSVCGKNSPDFESTSRLKTVTMILHEVLRLYPPATGILRRTIKTTKLGGFSFPAGIELFLPILLIHHDRETWGEDAEEFKPERFSEGVSKASRTPNAFVPFGWGPRICLGQSFAMIEAKMALACVLQHFSFELSPSYAHAPHDVVTLQPQSGAQLILHQL >Ma07_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3338768:3339250:1 gene:Ma07_g04510 transcript:Ma07_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELGSEAHRIPLFRPPFSPYIFVCERSVHMRETMDGYISQEYAAARRKEKMAKKAKETKQSEDSTARVSKEDEKRKTILAQEAIKQKKAVDDGGSVEEDAMCSCCSEESVV >Ma11_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3960683:3963453:-1 gene:Ma11_g05120 transcript:Ma11_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKKCYGFQASNCQKRLTVKTFTSDIDVLIEDKEFVELLMDGQPWKAGNFSRSLRLSLWLEHLGPRVGQINQIQDPVADATYRDVRMATAKTNATIYRDVFSCVPNDLIHSRSAFRQSTNYCKEKIGNTTIDLGIALEKLEAYQNGAIKNTDPMERLQSVRGHLVSFPLDFAHVQ >Ma10_p15710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27718031:27720789:1 gene:Ma10_g15710 transcript:Ma10_t15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLWKPYTIVTSISKSPSHRSPSSSRSSTIVMASAERSGTAARRSPSAWETRISLVLALASQASAVSQRYLVELASETAKYAFPRRRFEARNLEEALMSVPDLETVKFRVLKRTNEYEIREVESYFIAETAMPGKTGFDFNGSSQAFNVLAAYLFGKNITSEQMEMTTPVYTRKSQSDGVKMDMTTPVITNKSPNQDKWQMSFVMPSKYGSNLPMPKDSSVSIKEVPKKIVAVAAFSGFVTDEDVMQRESKLREALRSDTEFQVKEGASVEVAQFNPPFTLPFTRRNEVAVEVERKA >Ma10_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27718031:27720817:1 gene:Ma10_g15710 transcript:Ma10_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLWKPYTIVTSISKSPSHRSPSSSRSSTIVMASAERSGTAARRSPSAWETRISLVLALASQASAVSQRYLVELASETAKYAFPRRRFEARNLEEALMSVPDLETVKFRVLKRTNEYEIREVESYFIAETAMPGKTGFDFNGSSQAFNVLAAYLFGKNITSEQMEMTTPVYTRKSQSDGVKMDMTTPVITNKSPNQDKWQMSFVMPSKYGSNLPMPKDSSVSIKEVPKKIVAVAAFSGFVTDEDVMQRESKLREALRSDTEFQVKEGASVEVAQFNPPFTLPFTRRNEVAVEVERKA >Ma07_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9451665:9457319:-1 gene:Ma07_g12560 transcript:Ma07_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDRGAVASDDLAQFRSLVHAADRKFARVRDLPPWARGPLHVLHCRKAFKAYTRLWQFQQQRRRELLAGGLRRWEIGDIASRIGQLYYGQYQRTSEVRFLLEAYVFYEAIVSRGYFEAARAASAPDLNLRYKELRFYVRFLIVALLLNRTDEVRQLADRFRALVEESKAAFPATNFKEWKQVAQEISRFLKADASSKISRPLRYNVMFDAHPSSVPHIARFHANRVLRLQDALLTSYCRNEIKLAELTLDTFRMLQCLEWEPSGSSYQLPKKESCENGAFSDQSGTSGLIDINLAADLMDPDLPPNPRKAVIYHPSVSHLLAVTATICEELSSDSILLVYISASGKTDCSVASQKDFHGKSSNSSKANHASRKKDSSLSQPAADDTLNSNTNLRSYVCLGSQGTGGSNLYPEDLIPFTRKPLFLIIDGENSQSFKTIHGAERGETSALLLSHEKLLSVSDTGLTSSGSQFTYFLTAPLQAFYQLVGLSSDVEDDAYSNAESLLSSALAEWEVALCTSYSLDQVWAQVLSDPFLRRLILRFIFCRAVISLFCSSGDSAGHLPECLPNLPESLSPESAVTQIYIHHLAERLGVSNLFQFRDSIRDSLLSR >Ma02_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13656999:13666435:-1 gene:Ma02_g02420 transcript:Ma02_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMRRWLCCYHQVDEPYHVSENEYRKSPTNNTDGPHRGSKYSTAPKSEPPKTPPPIEVPALSLEELKEKTDNFGSKSLIGEGSYGRVYFAVLDNGKQVAVKKLDASSEENSEEFLTQVATVSRLKHANFVEMLGYCVEGNMRMMAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWTQRVRIAVDAAKGLEFLHEKVQPSVIHRDIRSSNVLLYEDFTAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRLKGDYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLMNKQAPVPPPVAAPSQSPPDP >Ma01_p00550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:392520:404614:-1 gene:Ma01_g00550 transcript:Ma01_t00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSPPKHRHDGTSPLPLGMDWSPPPKRWDGRNTIWPHDPQTGWSYCVMIPSWIVQTEPGGTHESFLNPIVFYRIHVGIQSPEGISTSHGLLRRFSDFLKLYSALKKTFPRRDIPAAPPKHAFLRINASRMLLEERRRALEEWMGKLLSDIEFSRSALVAGFLELEVAARSAFQYVNNHPTEPSSTDSTAAPSLIPARPSSSASVADCSKIPSKSHTVAAKSPSMPSDICSDNADETSDLETPRKGKIREFNTSTEDLTFHDVNNGILGESFLDQPEDFIKTKLNQRRGYLVSERDMTGGSTFRDRVESISSDHDHDKLYGHARRLSSESIGSDISSIRGSELSFTGATNSLWDGSLDVPVGAEISNAMDAFAGLGTQSLDNAQIVLPIDQRHKLNRVLLTMQRRLGTAKTDMEDLIARLNQEMAVKEYLTTKVKDLEVELEATEQKGKENLQQAVFIERERVTQMQWDMDELRRKCSEMESKLKLEQNEKSRAELEKMTASDEKKLLLQELGSKQEELLNMRKHLEEQESKSKADIKVLVKEVKFLRKSQAELKELMNQTLKEKSELEGFLHKEKQKWSNAKSASKNLLHECRVLRDRLQECSVNFLADEEDKFTISPSSLSDALDLLATSDNRIGLLLAEAQLLARNDEEACIDDDDAQTSEFSGSGIASNGDNPMRADDNDDEMRNILTDMFIDNARLRKQVNSVIRCALNTVIKPEREESNEVSSRKTVLNRFLER >Ma01_p00550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:392520:404614:-1 gene:Ma01_g00550 transcript:Ma01_t00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSPPKHRHDGTSPLPLGMDWSPPPKRWDGRNTIWPHDPQTGWSYCVMIPSWIVQTEPGGTHESFLNPIVFYRIHVGIQSPEGISTSHGLLRRFSDFLKLYSALKKTFPRRDIPAAPPKHAFLRINASRMLLEERRRALEEWMGKLLSDIEFSRSALVAGFLELEVAARSAFQYVNNHPTEPSSTDSTAAPSLIPARPSSSASVADCSKIPSKSHTVAAKSPSMPSDICSDNADETSDLETPRKGKIREFNTSTEDLTFHDVNNGILGESFLDQPEDFIKTKLNQRRGYLVSERDMTGGSTFRDRVESISSDHDHDKLYGHARRLSSESIGSDISSIRGSELSFTGATNSLWDGSLDVPVGAEISNAMDAFAGLGTQSLDNAQIVLPIDQRHKLNRVLLTMQRRLGTAKTDMEDLIARLNQEMAVKEYLTTKVKDLEVELEATEQKGKENLQQAVFIERERVTQMQWDMDELRRKCSEMESKLKLEQELGSKQEELLNMRKHLEEQESKSKADIKVLVKEVKFLRKSQAELKELMNQTLKEKSELEGFLHKEKQKWSNAKSASKNLLHECRVLRDRLQECSVNFLADEEDKFTISPSSLSDALDLLATSDNRIGLLLAEAQLLARNDEEACIDDDDAQTSEFSGSGIASNGDNPMRADDNDDEMRNILTDMFIDNARLRKQVNSVIRCALNTVIKPEREESNEVSSRKTVLNRFLER >Ma08_p31930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42702033:42704513:-1 gene:Ma08_g31930 transcript:Ma08_t31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQHLGSLPKAPTKRKFIAFRTVIGHPDLLVTFVDRFHSLCGPIQDIELKPSRKDVWQHNGQRFAACGLWYAMGFSRSKHDLTETEFIVEPATENEAPTSSSVRILEEAERICKILSNQPNSNITSCLDEAGVTVCPTLVAEVVKKLGNAGMLALVFFRWAEKQQGFNHTSEIFHHLIEALGKIKQFRLIWSLVDFMKHRNLLKKETFALITRRYARARNIREAIETFEKMTLFGLEPELSDYNCLIDIISKSKHVERAQEIFNEMKRRKRFTPDLKTYTILLEGWGHVRDLSSLKAVFHEMIDEGFKPDVVTYGILINAFCKSGRCDDAVKIFHEMEANNCKPSPHIYCSLINGLGSEKRLDEALKYFELSKASGFPPEIPTYNALVGSYCWVMKFEDAFRVVDEMKSCGIGPNARTCDIILHHLIKAGKTEEAYEIFRRMGRDIGCEPQLNTYTMMVSMFCSVERIDMAMKVWNQMNAKGILPCMHMFSALINGLCYENRLDDACRYFQEMLDKGIRPPGQLYSKLKETLLDGGRRDLAVDFGLKLDQLRKTPLTG >Ma08_p31930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42702033:42704513:-1 gene:Ma08_g31930 transcript:Ma08_t31930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRSKHDLTETEFIVEPATENEAPTSSSVRILEEAERICKILSNQPNSNITSCLDEAGVTVCPTLVAEVVKKLGNAGMLALVFFRWAEKQQGFNHTSEIFHHLIEALGKIKQFRLIWSLVDFMKHRNLLKKETFALITRRYARARNIREAIETFEKMTLFGLEPELSDYNCLIDIISKSKHVERAQEIFNEMKRRKRFTPDLKTYTILLEGWGHVRDLSSLKAVFHEMIDEGFKPDVVTYGILINAFCKSGRCDDAVKIFHEMEANNCKPSPHIYCSLINGLGSEKRLDEALKYFELSKASGFPPEIPTYNALVGSYCWVMKFEDAFRVVDEMKSCGIGPNARTCDIILHHLIKAGKTEEAYEIFRRMGRDIGCEPQLNTYTMMVSMFCSVERIDMAMKVWNQMNAKGILPCMHMFSALINGLCYENRLDDACRYFQEMLDKGIRPPGQLYSKLKETLLDGGRRDLAVDFGLKLDQLRKTPLTG >Ma01_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4718927:4724519:1 gene:Ma01_g06590 transcript:Ma01_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRTDRKPPGVGRGRGRGRDDTSGRPAKGIGRGQDDGSSKGGGRGRGGAGGKGGGVRGGGRGRG >Ma01_p06590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4718913:4725186:1 gene:Ma01_g06590 transcript:Ma01_t06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRTDRKPPGVGRGRGRGRDDTSGRPAKGIGRGQDDGSSKGGGRGRGGAGGKGGGVRGGGRGRG >Ma00_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36572666:36573685:-1 gene:Ma00_g04360 transcript:Ma00_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTRTTTDSNWWVFTLPAFFGVDTLHCDLPLLLLSLFIAFVSFGLIAWVLSPGGRAWSHGRARRGTVPIPGPRGLPVFGSLFSLVRSLPHRALAELSAAAGAKPLMAFSIGSTPAVVSSDPAVAREILSHPAFSDRPLKRSARELMFARAIGFAPSGSYWRLLRRVASAHLFSPRRVAAHEPGRQADCSAMLSAIAAEQRLAGCVRLRPHLQNAALNNIMGSVFGRRYEVSVPGGEQEAEELKAMVREGFDLLGAFNWSDHLPWLVQFYDPANVKKRCAALVPRIRSLVTSIIAEHRLQGPSREQDNGDFLDVLLSLDGHEKLDEDDMIAVLW >Ma05_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1067567:1068581:1 gene:Ma05_g01730 transcript:Ma05_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVASRERYVMGNCAPVSSKVRQQQQQQQRRWTAQWSSSATAKVIRADGKMEEFETAVPAGRVVARSPGYYVCSGEAMQVGAHPPVVGEGEKLQPGQLYFLLPLSYSHRPLSLPDLCLFAAKASAALRLPS >Ma04_p14460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10967018:10967693:1 gene:Ma04_g14460 transcript:Ma04_t14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLISFNNHVGDRLVYIYIHYPTQQDPFKSIFPPNCFLPQPNLFFLSTGLLAVGFSFAKQTNNGPVVHFIGNVTVI >Ma06_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8116067:8118819:-1 gene:Ma06_g11640 transcript:Ma06_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSRMGSRREKPRSRHHGLNQQKRQEIKEVFDLFDTDQSGSLDAKELNVAMRALGFEMTEEDINRLMTEIDKDRNGAIDFEEFLHMMTAKIGERDSKEELTRAFRILDQDNNGRISDVDIQRVANDLGEKFTLSEIREMIEAADLNGDGEVDAEEFMRIMKRTSYGY >Ma10_p27610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34816688:34824505:1 gene:Ma10_g27610 transcript:Ma10_t27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEERMSVYRASRSIKRMDNSLYNALRSIYEDSTFVAEIAGLWPSLPLVANLRCGLWYAPRFEATCYFKSTDGHTNNWCFSTSRLNLHLARLAGQRGGCLIVDSTRKGKRFPDSMSKTIPIWACVINCAIKNYLQRMLGDNHQGQELESNISSNETSDMRLKSADWDCSLHLPIWVSNSEKAIIETHLQEWTVLLEACGADISSLASNLRKPLRPLWISQKTLIWLNEIPDHDSWEFIPLILVSASASDGISQSRTSSGFSWHYIPGAGDDEESWARGLSPDLFWKHAFELIDTGPELCNRQVAEIVEKERVYLAQRGKYSPQVTLKTGKSSTINHPISLEEPNIVSELMETEFSINSMSTISSCKEHTIFWLGLTNVAVSTTSHVFNASDDVDCVLNCDSNSGSFSFPSASSQLHLPIVTSKVDRFSLIRNLPSAIDFAKLNLSRGRKLLVCCHNGEDISICVCLAILMSFFDEKGSFDGGNSFMHTTITKWDVRRRLVFICKFATSARPSRGNLKQVFGFLSREQESAIIAESALDAT >Ma10_p27610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34816688:34821711:1 gene:Ma10_g27610 transcript:Ma10_t27610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEERMSVYRASRSIKRMDNSLYNALRSIYEDSTFVAEIAGLWPSLPLVANLRCGLWYAPRFEATCYFKSTDGHTNNWCFSTSRLNLHLARLAGQRGGCLIVDSTRKGKRFPDSMSKTIPIWACVINCAIKNYLQRMLGDNHQGQELESNISSNETSDMRLKSADWDCSLHLPIWVSNSEKAIIETHLQEWTVLLEACGADISSLASNLRKPLRPLWISQKTLIWLNEIPDHDSWEFIPLILVSASASDGISQSRTSSGFSWHYIPGAGDDEESWARGLSPDLFWKHAFELIDTGPELCNRQVAEIVEKERVYLAQRGKYSPQVTLKTGKSSTINHPISLEEPNIVSELMETEFSINSMSTISSCKEHTIFWLGLTNVAVSTTSHVFNASDDVDCVLNCDSNSGSFSFPSASSQLHLPIVTSKVDRFSLIRNLPSAIDFAKLNLSRGRKLLVCCHNGEDISICVCLAILMSFFDEKGSFDGGNSFMHTTITKWDVRRRLVFICKFATSARPSRGNLKQVFGFLSREQESAIIAESALDAT >Ma05_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20446055:20450395:1 gene:Ma05_g17500 transcript:Ma05_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGIFILVLVIAYHYVMADPK >Ma05_p17500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20446055:20450328:1 gene:Ma05_g17500 transcript:Ma05_t17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDQDLGFFANFLGIFILVLVIAYHYVMADPK >Ma05_p12410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8968046:8971354:-1 gene:Ma05_g12410 transcript:Ma05_t12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQASRLVTRALSGGGHRSTASFRPFSTDLPAAPSEDTAFVEAWRKVAPNIDPPKTPLAFMKPRPPTPSSIPSKLTVNFVIPYQSEISNKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNEVTKYFVSSGFAFVHANSVTDIVAVEAVPVDRIDPSLVQKGLADFTQKLNSATTDLEKAEAQIGVDVHSALNAALSG >Ma04_p02790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2300027:2301820:1 gene:Ma04_g02790 transcript:Ma04_t02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSVGRISLMGARPDGCLLCFAVLALSSSVLLSASPLRGVSPQDEMYLAGPVIGCRDGSRTFTKDRLNDGFCDCPDGTDEPGTSACPESKFYCRNLGAKPEFVFSSRVNDYICDCCDGSDEYHSGVHCPNTCRKDQNVSEESTDDSTSETNNLDDTNEEDRKIRVDREDLIQKLRGLKVVLVIQVLSAMLIIVLCLYHRRSRFRRRRYHLKW >Ma04_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2300027:2301822:1 gene:Ma04_g02790 transcript:Ma04_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSVGRISLMGARPDGCLLCFAVLALSSSVLLSASPLRGVSPQDEMYLAGPVIGCRDGSRTFTKDRLNDGFCDCPDGTDEPGALFLLLDLGTSACPESKFYCRNLGAKPEFVFSSRVNDYICDCCDGSDEYHSGVHCPNTCRKDQNVSEESTDDSTSETNNLDDTNEEDRKIRVDREDLIQKLRGLKVVLVIQVLSAMLIIVLCLYHRRSRFRRRRYHLKW >Ma02_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20800461:20802617:1 gene:Ma02_g11790 transcript:Ma02_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKEAQGGLEWRVSVPEGSAAEMELESKWIHRARTWLIQLVMTVVSKVTSFGKKAGKIGADDPRKVIHGVKVGIALTLVSLFYYTRPLYDGVGGCALWAVMTVVVVFEFTVGGCLYKGINRAVATSTAGTLAVGIHWIASKSGEKVEPIILSSSVFLLASAATFSRFIPNIKARFDYGITIFILTFSLVAVSGYRVDELLRLAQVRICTIAIGIAICLVVCVLVRPVWAGQELHLLVSRNMDKLADSLEGLVEDYFMKDKKVEGEVSCSQRSQGYKNVLNSKASEDSQANLARWEPGHGKFGFKHPWSQYLKVGAAMRYCAYCMEALNGCINSEIQAPEHMKRHLRDVCMRLSLGSSKVLKEISSSVKSMKESRSIQVLVGEMNDAVEELQVALRSLPKQLTQSPAAAAEAATIEKRHCVPATTISLMEVMPLFTAVSLLIEVSERVGGVVDAVGTLATLACFEPIDHKKASSSVVPQDEESMKPSQEV >Ma10_p17100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28621265:28621826:1 gene:Ma10_g17100 transcript:Ma10_t17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRTAAMAILLLSMTFEGGEAVCNMTQEGFDACKPSVTPPNPPPPSVACCKALANADLPCLCSYKNSPLLPALGIDPGLAMTLPPKCNLKLPANC >Ma01_p22890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26179127:26188735:-1 gene:Ma01_g22890 transcript:Ma01_t22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIENFRSSEVKYAAENSWRPELRRPILFWKMSRMSNESDERLIPRDQIDSPSIEQGCSTGSVKGGNHMLKKGPWTSASAEDAVLVGYVKKYGEGNWNSVQKHMGLGR >Ma05_p28650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39587775:39596532:1 gene:Ma05_g28650 transcript:Ma05_t28650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASVSKELDEKHGKILEGLLKLPENKECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQTMGNEKANSYWEAELPTNYGRVGIESFIHAKYKEKRWVPQNKGFKLSLMAKEEMASKNKQKSSDTDGEENNIIVKSLDKQDNTLQRTRKDNNVLPKIPSLVSSESVVATGRTLVGSPNSPELPPAIVNATTTMPSKVDHTVDLLNMLSVDSPSENGSDSSFVDDNAWVKFESAELTTASEMNDTAKFVKSKNETTVGVKDLFNGSTSLLQPSTQKKLQRYSSMISPVALHQQQQPFLPQKKGFPMAADKSGDAHRAFSARGIHQRSVSDSSTITGNISVQSWANFSYQVPGNVPLARQLYSNNSSQQMRNMNCPHPSGSYGPVTASRRRAPGTSASVNGETTVNKPHASLPTSTYNNRSLSDYDFSSLTQGMFSKH >Ma05_p28650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39587775:39596532:1 gene:Ma05_g28650 transcript:Ma05_t28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASVSKELDEKHGKILEGLLKLPENKECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQTMGNEKANSYWEAELPTNYGRVGIESFIHAKYKEKRWVPQNKGFKLSLMAKEEMASKNKQKSSDTDGEENNIIVKSLDKQDNTLQRTRKDNNVLPKIPSLVSSESVVATGRTLVGSPNSPELPPAIVNATTTMPSKVDHTVDLLNMLSVDSPSENGSDSSFVDDNAWVKFESAELTTASEMNDTAKFVKSKNETTVGVKDLFNGSTSLLQPSTQKKLQRYQPFLPQKKGFPMAADKSGDAHRAFSARGIHQRSVSDSSTITGNISVQSWANFSYQVPGNVPLARQLYSNNSSQQMRNMNCPHPSGSYGPVTASRRRAPGTSASVNGETTVNKPHASLPTSTYNNRSLSDYDFSSLTQGMFSKH >Ma05_p28650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39587775:39596532:1 gene:Ma05_g28650 transcript:Ma05_t28650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKASVSKELDEKHGKILEGLLKLPENKECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQTMGNEKANSYWEAELPTNYGRVGIESFIHAKYKEKRWVPQNKGFKLSLMAKEEMASKNKQKSSDTDGEENNIIVKSLDKQDNTLQRTRKDNNVLPKIPSLVSSESVVATGRTLVGSPNSPELPPAIVNATTTMPSKVDHTVDLLNMLSVDSPSENGSDSSFVDDNAWVKFESAELTTASEMNDTAKFVKSKNETTVGVKDLFNGSTSLLQPSTQKKLQRYSSMISPVALHQQQQPFLPQKKGFPMAADKSGDAHRAFSARGIHQRSVSDSSTITGNISVQSWANFSYQVPGNVPLARQLYSNNSSQMRNMNCPHPSGSYGPVTASRRRAPGTSASVNGETTVNKPHASLPTSTYNNRSLSDYDFSSLTQGMFSKH >Ma11_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23378705:23387385:1 gene:Ma11_g18290 transcript:Ma11_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCHFPSAPSSPRLFPLSRLLSPVSRASKPQHLLLAACPIENSIPNASTAGSRLRCFSSSPNAAASPVTLPSDERESRSLGDITRPDFPILRQVVNGFNLVYLDNAATSQKPSAVLDVLNEYYETYNSNVHRGVHYLSAKATDAYEEARTKVATFVNAMDRREIVFTRNATEAINLVAYSWGLLNLRSGDEILLTVAEHHSAIVPWQIVAKKTGAILKYVGLTKEEVPDLDLLKGLLSKNTKLVVTHHVSNVLGSVLPIDDIVVWSHNVGAKVLVDACQSVPHMIVDVQKLGVDFLVASSHKMCGPTGVGFLYGKIELLSSMPPFLGGGEMISDVFQDYSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSSISMQTIHNYEVELSNYLYHSLCSVPNLHIYGPAPSETVHRAALCSFNVEKIHPTDIATFLDEQHGVAIRSGHHCAQPLHRALGVTSSARASLYFYNTKEEVDAFTQALKDTIDFFTSAL >Ma02_p22850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28065741:28086464:1 gene:Ma02_g22850 transcript:Ma02_t22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPILQFEDKIAETVAENPVVVIIGETGSGKSTQLSQILHRRGYTRSGAIAVTQPRRVAAVTVARRVAFELGSRLGEEVGYAIRFEDRTSDKTCIKYLTDGCLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLINRRSSNLKVLITSATLDGVKVSRFFSGCPVLNVPGILFPVEKLYSTERPTNYVECCLKTALDVHVREPPGDVLIFMTGQDDIDKMVFKLEEKIQNLEEGSCMDAIVFPLHGSLPPELQIRVFSPAPPNCRRFIVATNIAETSLTVDGIVYVIDSGFVKQRQYNPSTGMYSLDIVQISRVQADQRAGRAGRTRPGKCYRLYPRTVYDEEFLDATIPEIQRSSLAGSVLYLKSLSLSDIDILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITEIGKAMAELPLEPSLARTLIEANELGCLSQALTVTSMLSAEVAIRPAHSKNKEKKRKQSFSKSKLPDGSGWGDHIQLLQVYESWDRADYDPNWCTDNDLQVRSMMFSKDVRKQLSQIVQKMGKGSLDVESGMRQKKSDKDYKKLRRALCRGYGNQLAERMLLHNGYHTLGYRSQLVQVHPSSVLEADEDGQLPDYVVYHELINTSRPFMRNVCAVEISWVQPISKKLEKMNIDKLSGGSGASENNETIENKTINSPLQAVDASGPPGSMDSKIQAARERYLARKANR >Ma01_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26347148:26357446:1 gene:Ma01_g22990 transcript:Ma01_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPINSLQLLTGDQPVILICLDFPKKARKTHSWLTPQVKKGARPCISNRWLASSLLWHKYQKAREGSSHKRNAQKELLEVISHRLHVDNSIEFIGKLLFGSKQGSEVLKTVRPAGQPLVDDWSCLKSMVRTFEAHCGSLSQYGMKHMRSLANICNAGISKEMMAEVSAEACISIPAGQWSSLQKGFSA >Ma08_p20620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34744750:34754159:-1 gene:Ma08_g20620 transcript:Ma08_t20620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARQGRLRSKKLEDIPVPPQPFGQAENPILPAPNRRRGGAGRGRGSKAAAVAKGPSVAPARPTFGGRGRGIALIDLDPDQPCEILPRAAAGGAVAGGAQDFILNQAAEGVAVKAMDGASAEKVLGAEDESTTAPVPERVQVGNSPVYKIERKLGKGGFGQVYVGRRLSGGSERTGPDAFEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDYYILVMDMLGPSLWDVWNSVGQTMPPNMAACIAVEAVSILEKLHAKGFVHGDVKPENFLLGQPGTADEKKLFLIDLGLASKWKEASSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPDILCCYCPPPFKQFLEIVTNMKFDEEPNYSKLISLFDSLIEPCTSLRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADIRLQQHIEKGMEDGLYISCVASSANLWALIMDAGTGFCSQVYELSPIFLHKDWIMEQWEKNYYISAIAGTTNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGGRWGVVMSRNSGYSDQVVELDFLYPSEGIHRRWESGYRITSTAATPDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVC >Ma08_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34744750:34754477:-1 gene:Ma08_g20620 transcript:Ma08_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARQGRLRSKKLEDIPVPPQPFGQAENPILPAPNRRRGGAGRGRGSKAAAVAKGPSVAPARPTFGGRGRGIALIDLDPDQPCEILPRAAAGGAVAGGAQDFILNQAAEGVAVKAMDGASAEKVLGAEDESTTAPVPERVQVGNSPVYKIERKLGKGGFGQVYVGRRLSGGSERTGPDAFEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDYYILVMDMLGPSLWDVWNSVGQTMPPNMAACIAVEAVSILEKLHAKGFVHGDVKPENFLLGQPGTADEKKLFLIDLGLASKWKEASSGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPDILCCYCPPPFKQFLEIVTNMKFDEEPNYSKLISLFDSLIEPCTSLRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADIRLQQHIEKGMEDGLYISCVASSANLWALIMDAGTGFCSQVYELSPIFLHKDWIMEQWEKNYYISAIAGTTNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGGRWGVVMSRNSGYSDQVVELDFLYPSEGIHRRWESGYRITSTAATPDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVC >Ma05_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:51934:56738:-1 gene:Ma05_g00090 transcript:Ma05_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGGSSSARFHGVDRFYCPPAVRRHLEQQQQKLQQKKSSTQRPARPRTRPSLAPEESREAAENRAKSDDSSSKPSVSSSASSLPTPAGNLDRFLEFTTLIVPAHYFPKTNVRGWRNCDDALKSHPYFCLGDLWESFKEWSAYGAGVPLVLNGNDSVVQYYVPYLSAIQIYVDTSTPTLRSRPGEESDGQHYLDTSSDSSSESEVDQIQEGFASLGTTNHHERGCFVDGDGESCNPATVPVFEYLERDPPYSREPLADKISGLASTYPNLKNYKSCDLLSLSWMSVAWYPIYRIPTGPTLRDLDACFLTFHSLATHRSGPSPNSEGFGSCSVSNIKRSNMSATVSLPAFGLASYKFRGSIWTSSGLYERQLAGSLLQAADNWLRLVRVDHPDYSFFSSHHNTFRR >Ma03_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29063239:29065206:-1 gene:Ma03_g24840 transcript:Ma03_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGGEEKSRRRRRHSRSPSSSPSSLDEEETSRKRRKRDGREKKSRKDESRSKERKKEKKRDESPEHSKSRDGKEKKSKEKHKRRRKEMGSFEELSKDDYFAKNNEFATWLKEERGIYFSELSSDAARELFSGFIKEWNSGKLQPVYYEGIASGPRTAHNWRIKHEK >Ma11_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6284212:6287407:-1 gene:Ma11_g07880 transcript:Ma11_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSLFLLLFLLLPIGPAVAGCTENTSFAGFEADLAMVQHQVRGVVRIVDGCSFSVRGFDMLAGSDQVRWFGAAGDDLHNLTMGSRISDLPLNRTFRNESLTIRLSDNASWDQIAVLAIWDEATASDFGHVLLRNAGDNETEPTVAPSPDLSPAPSPASDSLVEHKSKSQIHRQPTMFTNCFALSSRFRLRWTLDPESDSVDIGLEATVGSEYYMAFGWTTPGSSSHMLNADVTVTGFTEEGNPFSDDYFITGYSECLLNKDGKVEGVCPDTIYEGSDPVGLVNNTKLVYGHRRDGVAFVRYERPLVSIDKKYDVPVNVTQNMTVIWALGLLKPPDSIRPYYLPQNHGKPRETAYNYLSLNLSKEVDNCFGPLDAEDKEDQDIIIADAKTPLVVTSGPALHYPNPPNPTKVLYINKKEAPLLRAERGVPVTFSIQAGHDVALYLTSDPIGGNATLRNMTEVMYAGGPEFEGVPASPTELTWLPDRNTPDQLYYHSLFGQKMGWKVQVVDGGLSDMYNNSVLLDDQQVSFFWTLSEDSISIAARGEKKSGYLAIGFGSGMIDSYAYVGWIDNNGKGHVNTYWIDSKDAMNVHPVSENLTFVRCGQENGIITFEFTRPLSPSCSGKIECKNIIDPTTPLKVIWAMGSQWMADNLSERNMHSVASNRPVSVLLLRGSAEADQDLRPVLSVHGFMMFVAWGILLPGGILAARYLRHIKGDGWFQLHVYLQYSGIAIMLLGVLFAAAELRGFYLSLVHVKFGVTAILLACAQPLNACVRPKRPAEGEIASPKRIIWEYFHIIVGRSAIVAGVAALITGMKHLRHRYGSENVQGLTWALILWVLAFALLVMYLEYMEIKRRRIHRSSLKGNWVLGNSEDDDSVDLLHSERTVTKSESQTSGIMEVQLEPLSR >Ma10_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29906562:29911873:1 gene:Ma10_g19320 transcript:Ma10_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKGKRVVISVSSSSDSDREASSDFDRGEDDDDSDDEDYVNDDLDDVEDEEDEEGESEVGEEESISNEDDEGDSVDKRSTDEERCNKVIDLLKRKGSLDTLKLEDCKSYLRKHGLRLSGTRSTCIKRILEHYRLKDGNGEKLYPRSSFVINCTGDVCKGDVVLFRQRVYDKFDKVSRTANIIGKRIIAGRVVKESYGAAKQQHTFTVEVVWCKGKNALPPLFPLLVKGRNLYRLKTFRQRWSNEIERSKVLAEKHQRGAAARRIRELSKAKSGKGSKCQQSSSDARPHSKERRMEDSKHGSETKKQKVPCASAPFNVHTSMKATMTRNVSSQKISSANAPFSAHANIQATMTRNIESSYTAPRHASYHESRIHHQRLILERNPFYNHHNRLMELHHSTAPPQTRWNFHPAYNPNYSMDVTGVAHHHALNMEHTFCHPWASATPNVGPWTARQQWRQSDYDPGPPLPPGMQFHRYRRP >Ma10_p19320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29906598:29911873:1 gene:Ma10_g19320 transcript:Ma10_t19320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKGKRVVISVSSSSDSDREASSDFDRGEDDDDSDDEDYVNDDLDDVEDEEDEEGESEVGEEESISNEDDEGDSVDKRSTDEERCNKVIDLLKRKGSLDTLKLEDCKSYLRKHGLRLSGTRSTCIKRILEHYRLKDGNGEKLYPRSSFVINCTGDVCKGDVVLFRQRVYDKFDKVSRTANIIGKRIIAGRVVKESYGAAKQQHTFTVEVVWCKGKNALPPLFPLLVKGRNLYRLKTFRQRWSNEIERSKVLAEKHQRGAAARRIRELSKAKSGKGSKCQQSSSDARPHSKERRMEDSKHGSETKKQKVPCASAPFNVHTSMKATMTRNVSSQKISSANAPFSAHANIQATMTRNIESSYTAPRHASYHESRIHHQRLILERNPFYNHHNRLMELHHSTAPPQTRWNFHPAYNPNYSMDVTGVAHHHALNMEHTFCHPWASATPNVGPWTARQQWRQSDYDPGPPLPPGKGCLFR >Ma06_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8646937:8649050:-1 gene:Ma06_g12470 transcript:Ma06_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGETNVEPHSHPQTVKPESNPLPPSKRKPDSEALVEEETKHRKLEVASDPAPPIQDGANEDEPADANGDRNAAVDKGKGVLTAVDKGKGIAVDEEGEEEEEEDGGDDKDSDDSSDVGSGDEIVGEEDDDSDFVDDPLAEVDLENILPSRTRRREPPPPGAYFDPDQHEDDSDDSE >Ma05_p28730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39636890:39641320:1 gene:Ma05_g28730 transcript:Ma05_t28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQFMGFVFLLSLLIAMASLSHAKDCLEVGQSINDGERLISAGEIFELGFFSPGSSKNRYVGIWYHSFSTDTVLWVANRDAPVADASGRLAIGSDGNLVVLQDGCTIVWSSNVSLKSNQSTVQLLDTGNLVLNNSGDVAWQSFDNPTDTYLPGMKVGLDLDTNVNQVFRSWKSKDDPGVGSYSMGMDPKRSTQIFVWEGTKPRWRSGRWNGQVFIGIQNMVPTYIYGFKLSNLEDEQKMYYYFTVSNNSQRWVLTWDGIEEHTIWKGDTKFWHSDWAQPSTACELYNRCGSYGSCTDENTSTCSCLKGYVPAVEDEWNRSNWTRGCVRRTRLQCERNSSDGGSVEADGFWKMERVKLPDLSDWYSDIGDEDGCRATCSMNCSCKAYAFVGGIGCLVWGVDLVDIHVFSSDGNDMYLRLAGSELETETKTSGHVIAILVSALVLAFGCIYLAWKCKKRLRVFIIRRRGQRILPVNPNRDEDLIEGAEDQQCQELPSISFESITASTSGFSNENLIGEGGFGPVYKGTLPGGQEVAVKRLSRGSGQGHTEFKNELILIARLQHRNLVRLLGCCIHGEEKILVYEYMPNRSLNTFLFDPQKKGLLDWKTRYNIIEGIARGLLYLHRDSRLRIIHRDLKAANILLDKDMNPKISDFGMARIFGSDDNESNTKRVVGTYGYMSPEYAMQGIFSIKSDVYSFGVLLLEIVSGRKNSIFTHQESSVSLLGYAWRLWNADNVMEFVDPAIRDSCSQKQASTCVNVGLLCVQNHANDRPSMSSVIIMLESGTDANSQPRQPTFTAERSPHDTESSPYDLRLLSANNSITLVTGR >Ma02_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15599443:15600163:1 gene:Ma02_g04130 transcript:Ma02_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLEAQKNSPTHSHLLISQTSLGKNTSSSSSSSSSSFSTSSFLEHCFLCRRRLQEGSDIFMYRGDRAFCSEECRCRHMFVDEESRRAEHCSSAAPASAAGKGRATPGGFAY >Ma04_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2490393:2490811:1 gene:Ma04_g03160 transcript:Ma04_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGEGTGDGSCNKKHIILVHGACHGAWSWHKVTTQLRSDGYQVTVPDLAASGVDERRFQDLRSFIHYSQPLLDILACLPPGERVILVGHSLGGLNIALAMDRFPEKIAAAVFVTAFMPDSVNPPSYVIDKVSLFEF >Ma04_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20151359:20152403:1 gene:Ma04_g18310 transcript:Ma04_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDETTDPRPVAKSKKAKAPKEARAKKAAAPRKPSAHPPYAEMIKEAITTLKERTGSSPYAIGKFIEDKHKAHLPSNFRKILFLQLKKLAAAGKLTKVKSSYKLSTIVHAAPAEPKSAAGPKKPAAVQTKLKAKAKPAAAASKTKAKIATTAKAKSKHVASTVKPKPKAAAAKPRVAPKRKSPVKPKPKPKPRPTRAAKTTAKESPGKKAAKRTSSRSAPTKKPKPAVKKAAAAAKKAKK >Ma09_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35479736:35479924:1 gene:Ma09_g23700 transcript:Ma09_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEGTNWVRNLILTGCLFCGPLFLTFCFLKILLL >Ma05_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9814689:9819666:-1 gene:Ma05_g13540 transcript:Ma05_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62750) UniProtKB/Swiss-Prot;Acc:Q9SI75] MAGETMRITASATCGGLHGPRRRLLPLAPRRGLLGPNYFFTAGLRHAAASDLFGSLRLRSSPAAPALQEKCRRVPVVSMAGDETKRQVPLKDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNNHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGARPLVIQLPVGAEDKFQGVVDLLKMKAIIWSGEELGAKFVYEDIPADLQEIAQEYRNQLIETIVELDDEVMEKYLEGIEPDEQTMKQLIRKGTISGCFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGSDSDNPEVTIERPPSDDEPFAGLAFKIMSDPFVGSLTFVRVYSGMLSAGSYVLNANKGKKERIGRLLEMHANSREDVKVALTGDIVALAGLKDTITGETLCDPEKPIVLERMDFPDPVIKVAIEPKTKADVDKMAIGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKISEVQYIHKKQSGGQGQFADITVRFEPLEPGSGYEFKSEIKGGAVPKEYIPGVMKGLEECISNGVLAGYPVVDVRAALVDGSYHEVDSSVLAFQLAARGAFRDGMRKAGPKLLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAQFDVVPQHIQNQLSAKQEAVSA >Ma02_p10270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19890387:19894535:1 gene:Ma02_g10270 transcript:Ma02_t10270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDATLADREVREELATEKGKSSSKKRRQKKLASMPRAVQRLFETCKEIFAEGGPGIIPSPNDVERLRSFLDTLKPANVGLSSNMPFFRNVGMDGPPPVTYLHIYACPKFSIGIFCLPQAAVIPLHNHPGMTVFSKLLFGSMHIKSYDWVNDPQGSNEKIKSSSGACLARVNTDAIFKAPCETSVLYPTTGGNMHCFTAVTSCAVLDVLGPPYNDDEGRACIYYKEYAYSSFPGDAIVLSGESEEYAWLEERGSEPDDLVVRGAEYKGPKVVDC >Ma02_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19890385:19894535:1 gene:Ma02_g10270 transcript:Ma02_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDATLADREVREELATEKGKSSSKKRRQKKLASMPRAVQRLFETCKEIFAEGGPGIIPSPNDVERLRSFLDTLKPANVGLSSNMPFFRNVGMDGPPPVTYLHIYACPKFSIGIFCLPQAAVIPLHNHPGMTVFSKLLFGSMHIKSYDWVNDPQGSNEKIKSSSVTSCAVLDVLGPPYNDDEGRACIYYKEYAYSSFPGDAIVLSGESEEYAWLEERGSEPDDLVVRGAEYKGPKVVDC >Ma04_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14701474:14701820:1 gene:Ma04_g16050 transcript:Ma04_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLMVATIGSG >Ma06_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13255111:13257083:-1 gene:Ma06_g19340 transcript:Ma06_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDVYVYIVHTCNKLASRHIRVQIAEPSAVGQCDICEKSPAFFFCVIDGSSLCMECDVIVHVGGKRSNERYLLLRQKIEFLEDEPGHFEDLMLQHHDNTEDRLENNMVLHKKQFLENIREKMDRCRMPPVSISKLDINRNRLGPTLFDLNARPHRVQDHITDSSQVVPLVVLSFELLFLSNLLPSNELNLLDNPGIYLNCSRLPCSYEFCLNK >Ma06_p31440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32573658:32577442:1 gene:Ma06_g31440 transcript:Ma06_t31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPVPSSLKSSVGSKSTNAHIGGRDGGGGGGEGEGGFFYHHGERSRGLCSSWISRLHMRLLSLPPTAAPPPPTPPARRKLPADAGEGGVVLSRRNDDNYPHTISTDESRTRTSISNLNSPFVDRRYLNLFERRPIAGVPRLRSPLPPPRIVYGDEGERSVSGFGPFQLRSRNAALRRGAQESLGRDSSGGERVLGSGKYHHRGYFPLFRRMAHLGEASNGEKGMLGSRLGSRIESVHGEEQLDQVNVCFPPRRAPDVHDQVKLSPFFQFRPSLNSDYTIARNKAIVGHPTDDGNSKILEHRSQLRSSLPMSYFPPQQVADDLPSWRPYEKRHFSTDQWSASCTSVQHAARSRGAAYNDGADGAGPIRTFCDPHILPQNATVNHLERTSVCLSEPEEPFSFWNMGRFKRRNTVSSTIGLTRNLSIKRNANLDDSLPPKFRKLGHED >Ma04_p28870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29874552:29880601:-1 gene:Ma04_g28870 transcript:Ma04_t28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGMEAAVDGPVQNLMSKRLRALRKKYNRILQMEESLAQGKPLNKEQEEVLRSKPAITVLIDEYEKLRQPLAVAVQEELARAAAASPPPPPAPQEEPTAAPSADDDKEELGPAVEDLLTLLYFGCLFDVKPQSEFAATMLTRTHERGCCLTYDYVTDDATDLLGEQDLDAISAIGSLVTSRPVYSGISHKNALQVCLQHAKLWLLNVDQPIHPGSSVTYAGMREKLNKILASDYFTTTPEMKAPGDVAAAVGKYGAACQVQISESTTMPSPMVQTEDSSVPVGYQHKEDEQQEFREMEAHLDNEENLVDESLKMDEPDTGSPVDAASDQQDQQKLEVEMEEQNLRDTEKKEQHNPRRSYHNQRGATRGGGGSGGRRGYANGRGGRGGGGGYQNGRSQYYDSGYHPRNYYNARGRGGRSSGSAVYTNHGGHTSANVELDTSA >Ma11_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4790938:4795124:-1 gene:Ma11_g06010 transcript:Ma11_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSTMSTIGSLGAATCLHADKASAEKFSKFSSLASISSGSSSSRRQNLLMQKRCNSRIRAMAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTTKALVAELKLMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKITNARDLINVLEDAIRGGYPVVIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILSGATVIRDEVGLSLDKAGKEVLGTAAKVVLTKETTTIVGDGSSQEEVTKRVAQIHNLIEASEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKETLENDEQKVGADIVRRALSYPLKLIAKNAGVNGSVVVEKVLSNDDFKFGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVSKTFLTSDVVMVDIKEPESVPAGNPMNNSGYGY >Ma04_p17760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18795717:18798573:1 gene:Ma04_g17760 transcript:Ma04_t17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Ma04_p17760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18795691:18798573:1 gene:Ma04_g17760 transcript:Ma04_t17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Ma05_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21718025:21722722:1 gene:Ma05_g17800 transcript:Ma05_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAAAVVLSRSPAVASFFSTNRCFPPSQCLISISRHNPFKRPRLSLFSSGSSCSSPDDDLRELNDPGFVVPWDGASHDRENRQEASVSMKDFTFLQEAAVEDRETLAAKPLDAGKSSKEAVLIAKVVRASGSNFDDNTEKILRRFRGNLNESLMIDALRLVSIPDLALRFFIWAGQQIGYSHTGQTYNALIEILGFDKKSRVPQHFLREIGQEDREVLGRLLNVLVRKCCHSGFWNEALEELGRLKDFGYKPSKVTYNVLVRVLLSADRLDSAVLVHREMSESGFCMDRFTMGCFAHALCKAGQWVEALNIIKAEDFTLDTVLCTQMISGLLEASLFEEAMSFLHRMRSNSCVPNVVTYRTLLSGFLSKKQLGWCKRILNMMIIEGCNPSPSLFNSLMHGYCSTGDYAYAYKLLKKMNACGCRPGYVTYNIFIGGICGNKELPSSDMLDLAEKAYEEMLDAGFVLNKINVGNFAQSLCHMGKFDKAFQIINEMMKKGFVPDTSTYAKVIGLLCQASMVEKAFLLFQEMKKNDVVPDVYTYTILIDSFCKVGLIEQAWRWFKEMEREGCLPNVVTYTALIHAYLKAKRLSKANELFKSMISMDCVPNVVTYTALIDGLCKAGEIEEACHIYAKMRGICEDAVGSNYFEGGSNEVAQPNVFTYGALVDGLCKAHKVVEARDLLNAMMSAGCEPNHIVYDALIDGFCKVGKLDDAQEVFVRMSAHGYTPNVYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVITYTEMIDGLCKVGKTDEAYKLLMMMEEKGCNPNVVTYTALIDGYGKASKVDMCLELFRQMTEKGCAPNFITYNVLINHCCTAGLLDKAHKILEEMKQTCWPRHISGHRNIIQGFSKKFISSLGLLDEITHYNVVPIAPAYIILINSFSSAGQLEIALELHREIEGYLSCSSVANSNMYFSIIQGLCLASKVEKAIELYSQMLRKGYVPELIIFFCLIKGLLRVNKWDEALQLLYTTYNMGIEWHNEETSEGN >Ma01_p10850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7806313:7812853:1 gene:Ma01_g10850 transcript:Ma01_t10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLPERALDSENPAGNSDDSRDKDPIAVVSEEKSADTKSSKASILASFLSSTLAMFETRMESSSDDCKATKPTSYGLSTAVSNVMISGPIRRLQERILGTTRMEALSSTSEIWLLGKCYNASAEESSGGEDPGNSYAEFLEDFSSRIWITYRKGFEPIGDTKFTSDVNWGCMIRSSQMLVAQALLSHHLGRSWRKPSQKPYDPKYIEILQLFGDSEACAFSVHNLLRAGRHYGLAAGSWLGPYAMCRTWETITRANREQANLDKGKESLPMVVYIVSGDEDGEQGGAPVVCTDVVARLCSSFNMALHAWAPILLLVPLVLGLERINPRYIPLLQETFSFPQSLGILGGKPGASTYIVGVQEDKALYLDPHEVQQAVDLKSDSSEVDYISYHCRTVRHVPFDLIDPSLAIGFHCRDKDDFEDFCSRASQLGDKSNGAPLFTVTQFPQPSKTVPYCDGDNVGNSRNCMMDNTFDVENFGDDDAQPGEDEWQIL >Ma07_p11200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8345267:8349764:-1 gene:Ma07_g11200 transcript:Ma07_t11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAVALSAIAPGGVCFSSRSTSHGSSLDYEQNARFSSCSSPAVSSSSTCSRKPMQGGLSCLFSSSPSARLAPTTASTSLNDEHSSVCHDNELGSSYSYSPCSSSIKCREHSPVSVFQSLASCSSRSSPLLRIPRDSNRVVDLRAGFQEDRKREPSRKREVLPGALGSYLNYDSPSLPVSGGVGSVNAEDLPFDFEESLGELGTSVEPYANELLAGAQSRHKIFTDEFVVKAFYEADKAHKGQMRVSGDPYLQHCVETAVLLAKIGANATVVAAGLLHDTVDDSFMTHDYIRREFGAGIADLVEGVSKLSHLSKLARLNNTANRTVEADRLQTMFLAMTDARAVLIKLADRLHNMMTLDALPMVKQQRFAKETLVIFVPLANRLGISSWKEQLENLCFKHLYPEQYKKLSLKLLKSFDEAMISSAIKTLEKALKDRGISYQFLSGRCKSLYGIYSKMLKKNQTMDEIHDKHGLRLIVENEEDCYTALGIVHDLWPEAPGSFKDYIAHPKHNGYRSLHTVVLSQDMCPLEVQIRTKAMHLQAEFGIAARWRYKEGDHQHSSFVLQIVEWARWVVSWQCEALNIDRPSSFGDDDSIRPPCPFPSHSDSCPYFYSQQCDYTGPIFIIILENEKMTIQELPTDSTVMDLLERVGRGSARCPGYGFTVKEELRPRLNNQPVNDPNQKLRMGDLVELTPAISDRSLTEYREEMQRMYDQD >Ma01_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1799467:1802533:1 gene:Ma01_g02700 transcript:Ma01_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWLMLLAALLTAAGSDAAGIGFEFHHRFSDRVRQWAEDRAIPGAWWPQKGTAEYYAALAHHDRALRGRSLADASASELTFADGNATFLLSSLGFLHYAVVELGTPNVTFLVALDTGSDLFWVPCDCQQCASTHLQGIELSVYSPSNSSTSQKVPCSNSLCDNQNACTGTNGSCPYNVQYLSANTSSSGFLVEDVLYLTTEDATPRIVEAPIVFGCGDRQSGAFLDGAAPNGLFGLGMEKVAVPSILSSKGFTSNSFSMCFGEDGVGRINFGDKGSSDQQETAFFIDSRHPSYKINMTGIAVGNSSTDMVFDAIVDSGTSFTSLADPMYTYIAENFNAQIKEKRYKSESNSTFEYCYELSPGQTSVLLPVINLKTLGGSVFPVNDPIISVLGPANQTLYCLALLKFSGVNLIGQNFLSGLRVVFDRERLVLGWKKFDCYTVDNTAALSTPTSSPSNAVAPVPSSYTQEMTKTDPNAAQVPARSPPSNYSPHSKAMSNLSPVVLLMLSLALLW >Ma10_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15284342:15292134:-1 gene:Ma10_g04650 transcript:Ma10_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTAKGEEFEKKAEKKLAGWGIFGSKYDDAAELFDKAANCFKLAKNWDRAGSVYIKLANCHLKLDSKHEAASAYVDAANCYKKISVQDASQSLSQAVKLFLEIGRLNMAARYCKELGELNEQEQNLEKAMDYFEQAADLFQSEEVTTSANQCKQKVAQFAAQLEQYPKAIEIYEAIARHSINNTLLKYGVKGLLLNAGICQLCKNDVVAVTNALERYQELDPTFSGTREYKLLADLADSMDEGDVVKFTNALQEYDSMTRLDPWKTTLLLRVKNAIKAKEEEDDDLT >Ma08_p31260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42228091:42231406:-1 gene:Ma08_g31260 transcript:Ma08_t31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSLRHLLLVALLLAAAGDARAETDPSDLQAMRVLAAGLGADRSPSLAWSLSADPCAAWAGVSCSDGRVTAIQAGKCGLSGSLSPAVRNLTALVRLELQQNRLAGPLPSLADLSSLQVLLLHGNRFSSLPDGFFAGLSSLQSAFLDDNPLAPWPLPDSLRDAAALVNFSVNTAGVSGPLPAFLATAFPALDHLGLAFNRLSGPVPAAFAAAPLRSLWLNNQRGPARLSGGIAFVENMTALEELWLHSNDFSGPLPNFSQLTSLRDLQLRDNRLTGVVPKSLTQLPSLTKVTLTNNLLQGAVPVFPSSVKEVDVDPKDESFCLPSPGACDPRVNVLLAVAKDLGYPARFAENWKGNDPCGWLGISCNDNGNITVINFQRMGLNGTISPEFGSIVSLQKLLLSNNNLTGTIPAKLASLPSLKELDVSNNSLWGQVPSFSKNVLVNTAGNQNIGKIVVGGDAGSASAGQGSNSNPSGSTDSRSRGSGKSSSAVAGAIAGSVIAAVLGIILVGLLVFCHYRRKQQNLGRVQSPNTTVIHPRHSGSDPDIIKITVAGSSVNGGAAASETITSPTTSGTSDVHVLEAGNMVISIQVLRNVTDNFSEENVLGHGGFGTVYKGELHDGTKIAVKRMEAGAMGTKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERLLVYEYMPQGTLSRHLFDWKEGGQKPLEWKKRLSIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMKAKVADFGLVRLAPDGKGCSVETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMEMITGRKALDESQPEESMHLVTWFRRMLLDKEAFRKAAIDPAIDMDEETTASVGTVAELAGHCCAREPHQRPDMGHAVNVLSSLSELWKPCDPDSEESYGIDLDMTLPQALKKWQAFEDRSHIDGATSSFLASLDNTYTSIPTGPPGFADSFTSADGR >Ma08_p29480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41047645:41050603:-1 gene:Ma08_g29480 transcript:Ma08_t29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MDGQFERVNLYLPDDCLLMIFQKLQNRADRNAFGLTCHRWLQIQNIAQRSLALQFSYDPNIYRNYVIYLPRLLTRFPHLSSISLAGCTELPDSALLRLRDFGSNIRYLSLYCCFGISEHGLAHVSTGCPHLVSITLYRCNITDIGLRILAKHCKVLENIDLSYCMQISDRGINALSSECTKLHCLVISYCKAVRGIGFAGCSSTLTYLEADSCMLTPEGLSEAVSGGGLEYLNISNPRICVGADGLAMIGAGSATKLRYLNLRMCRFVSDDSVIAIAQGCPLLEEWSLSVCHEVRNDGWSTIGSSCRNLKILHVDRCRNLCDQGLHALGGCVRLTVLYMHGCHRVSYTGLETFKMLRQDVQIRREGCVSVGPPINKFFAY >Ma01_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8935691:8937847:-1 gene:Ma01_g12330 transcript:Ma01_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVGASRPHFVKALIPGFSKRMLIPRHFTERLACENHETATILSPLGKFWHVSIERDGHDMYFGNGWKEFTEAHDLCVGYFLVFCHEGNMVFTVKVFDWSGCLKEYNEIAGGFSEETKTTVEEDQAIDNASKSDIRKGVDSDSITSKLKTDNLECSDEEEESTPF >Ma00_p02540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:18755671:18756316:1 gene:Ma00_g02540 transcript:Ma00_t02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCSKLDGLIGQGLFSNAISSGPNAMLNSVRYMSSTRLFVGGLSYGTDDQSLRFWKCGYLIIDAARVITDRDTGRSRGFGFVNF >Ma04_p32980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32839542:32840398:-1 gene:Ma04_g32980 transcript:Ma04_t32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFVYGSFHPVLPKPVIFFCLILAYVRHAISLLLHYLGLYMLPEPLITPWGEYELHLHEAAEDAAPPSSSIKKRLRVVEFGSLSMTGQMHRDPTCVICLGELEATHKVRELGNCGHGFHLECMDRWVDVGQITCPLCRARLLPSVEKHGMWAKLLRLQ >Ma08_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5859349:5864707:1 gene:Ma08_g08430 transcript:Ma08_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLGPSRKGTRRHARAGVSRKMVLNLDLNSPPVECQLPEGTSGCRHPRISRGTSISVDPQSGNPTGRQQGSSAPSNDCLNGGLIDVELIEDDVVILSSPRGLPLRRNHSRRDHPVTVVLDEDPETNLRRSGEQVVRSSLNTSNKRYKSSTSTAVTSYDLYLGLEEDYNSKRKNMMKSKLEPAEVIRKEPAFTCPVCMDALVEAASTICGHIFCLKCIKASIQAQKKCPTCRRKLTKNNFHRVYLPFSD >Ma04_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10224391:10228185:-1 gene:Ma04_g13530 transcript:Ma04_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESTLEAAIGRINQGSNTPIASLSTVEKETDLSPKKKHVGDGVFTGKLVECRICQDEDEDANMEIPCSCCGSLKYAHRKCVQKWCNEKGDTTCEICLQQFQPGYTAPPKLFLYGTTPMNFRGNWEISRRDIHNHQYITMTQTDRAFLASSYYDHAASNTRSIMYCRTVAATFMVILVLHHSLPFIAGGAEQYSIPLFTLLLLRIAGIILPLYIMLRALTTFYQRQQLQEMQESSLSASEREDEHLHPSSQSTQPPSHLIHIH >Ma08_p10070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7339707:7348889:1 gene:Ma08_g10070 transcript:Ma08_t10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGSVDAAVKLRSRFSLEDISAQNQVKASVQRKIRQSIADEYPGLEPLLDDILPKKAPLIVTKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGTLDEEVLEETPVAIMAEGKQHALAIGYTKLSAKDIKTINKGIGVDNMHYLNDGLWKMERLE >Ma08_p10070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7339707:7348889:1 gene:Ma08_g10070 transcript:Ma08_t10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSLEDISAQNQVKASVQRKIRQSIADEYPGLEPLLDDILPKKAPLIVTKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGTLDEEVLEETPVAIMAEGKQHALAIGYTKLSAKDIKTINKGIGVDNMHYLNDGLWKMERLE >Ma03_p33390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34758376:34762918:-1 gene:Ma03_g33390 transcript:Ma03_t33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPFPLPPRSLLIAAGATALCCIWALWVRRSTDGGVLRRPRRKRRCSCACVCGGAGTGGGADRDSFAGDGGTAVGHKKATVAEWQAGGSMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQDTVNPSNGWKAYYAATKAIININAEFYNIIRERSLPAMSRLWLNADYVKCMHGSGELFTGYGAVIDSWALALNWGQGGGQEIDLQIRDVRARILDGMAWVTMTTYIGVDLEAYYVTNIYEFHDGRWYMVHHQSSMMLG >Ma02_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28402786:28404519:-1 gene:Ma02_g23360 transcript:Ma02_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEENCPPPFTRAAAQLPDEPPLKRKFSELSTLSDATVPCTPVPSKPRYKARKEEEGSREAASPQITAPADGDSAVDDPQMCATHASPMYRYLRSLEVEAKRRPSPNYMDAVQRRVTAGMRGVLVDWLVQVAEEYRLVSDTLYLAVSYIDRFLSFNAIGRERLQLLGVASMLVAANYQEICRPSAEEFCYITDNTYTKQEIVKMESDILECLEFAMGGPTIDTFVWRFTGAGQEDGKVSVHSLRMHSASDLIFSFVRAIVNSMQHWGAQLEFLASYLAELSLVDYGCVQFLPSVIAASSVFVARFTLNPKSHPWNRKLEQWTEYKASDLKDCVRAIHDLQSQRRAASLVAVSEKYKQNKFHCVSMLLPYIEIPAIYFDDPEESLERALLMPVKLPPSSCSSCVVTYRR >Ma03_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24291621:24294954:-1 gene:Ma03_g18780 transcript:Ma03_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRLSCAGSSYDRDFFTAVQAGHLETVRSAVDEDPSLLRRTTVYDRLSALHIAAANGHVEILSMLLEKYANPDIVNRHKQTPLMLAAIHGKIACVQKLLEAGANILMFDSINGRTCLHHAAYYGHSNCLQAILSAAPILVADSWGFARFVNVGDDNGATPLHLAARQRRPECVHILLDNGALVCVSTGGYGHPGSTPLHLAARGGSLDCVRELLAWGADRLQRDSSGQIPYVVALKRNHGACAALLNPSAAEPLVWPSPLKFISELDPDAKALLEAALMEANKEREKKILKGTKYLVLSPANSDEVVDDMSEASDSDLCSICFHQVCTIEVRDCGHQMCAHCTLSLCCHNKPNPTTLSVPSPSCPFCRCNISRLVVAKTKAADEGDNATNSMLRRSRRSRNFSGGSGSFKGLTLAIGSFGRMGRGSGRIMDSDDTVDKP >Ma04_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10428186:10432175:-1 gene:Ma04_g13760 transcript:Ma04_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAPSLVDTLFQRSLDDLIRSLRSSSSSSVLEAAAITRALDEIRREIRSPDLDTKAVALQKLTYLASLHHLDMSWAAFHALELLPSPSLPHPRVAYLAASLSFHPSSTDLLPLATHQLRKHLAPSPANTPALVAPALHVLALASSADLACHLAPDLLPILSGQSSNPLRPKAIATALRALAVCPDAAPVLFKPLVDCLSLSSEPSAVSAAVSAFCELALAAPDPSPYLPLAPEFYRLLVDSRNNWVLIKVLKIFARLAPLERRLAVRILDPVCQLLRRSPAKSVVFECIRTVLSSLSDHDSAVRLAVDKIKEFLASDDDPNLRYLGLQALAMLGPAYLWAVDESREVVIRSLNDTDTNIRHQALCLIMGMLRESNVVEICNLLIKYAMKSDPDFANEILDAVLATCGRNVYELIVDFDWYVSLLGEMARNPHCAKGDEIERQLVDIGLRVRDARPELVRVARDLLIDPALLGNPFLCRVLSAAAWVSGEYVESSRNLLELVEALLQPRTNLLSLLVRAVYIQAVFKVVTFSFIFFIKQIQAFDTKSSADRNAAFENENDVATADKAVIFDSIDNNETFKHESLSHLLDFVETTVGPLSECDNVEIQERARNVLGLIHIIRETQYWDIVAEHELTRDKKISEIVELMEAAFSEELGPVSTNAQKRVTVPEDLILNENLSDLAEVLGDIDVTPCTSISFSLRCHHPTETKEDSAPVIEASSLLAEHRKRHGLYYLPTEKDEVESNDYPLANEPLLAVSHVDDAKDIVKLTAQSFIPRKEKPMKPRPVVIRLDEGDGDSSSGLTMVKEFKDDLLSGAIRNVLLGNESKPSSSCDMSSDRTSRRGETDASNNNELFSQPKESNALDGREHRKSSSRKSRHHTRHKEKNGSLGNEDIEETSHRHSTRSSRHQGRHKHRERGDAPPDVVSQEPVIQDFLL >Ma01_p22170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23826585:23827030:1 gene:Ma01_g22170 transcript:Ma01_t22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTATIVILEEEYLLGPIIFKGPCKGKMVMQVKGQLLASTHLEAYTQNWLDFQYIDELVISDGGIFHGQGASAWPYNQCPKTQKCKLLPANLVFGFVTNATISSIYYYNFIFE >Ma06_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4117464:4122508:1 gene:Ma06_g05500 transcript:Ma06_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MEGRVDLEPTPFLSLFAAFSYGIASMAMVFINKAVLMQYAYSMTLLTLQQLATTLLIHFGKVMGYTKAKAVNMPTAKKLLPVSVFYNANVAFALASLKGVNIPMYIALKRLTPLAVLVFGFFRGKSRPSTQVSLSVIFTAIGVVIAALGDFSFDLHGYTMALTSVFFQTMYLVLVEKSGAEDGLSSVEIMFYNSLLSLPFLLFLIIATGEFPHSVAVLSGKAYSFAFLVILVISLIMGIVLNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVHALNVTGLVINTAGGVWYSYAKYQQKKKIPRKVLSEEEQLKNTN >Ma07_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7352683:7359406:-1 gene:Ma07_g09830 transcript:Ma07_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGNLMAFDPTHHFESVPSSRQELTRACLEKGTELVQAIANAVFALPSTDDPDGPIVRLPPPTTALPREKHLPKPKPPTKWELFAKMKGIKNHKKDKRVFDEQTGTWKRRHGYDRVNDDKDIPIIEAKLSDEPGEDPFSKRKAEKKQRVEKQEKNRLQNLKQAAKAGALPSHVQLAATALPITGTHGEAPKKASKEELQNVAGMAATATASGGKFDKKLPGEKPAKHAGKYRKFLPVVEGKGMSSQEKQQTAKILDQLMNKSSHGMLDVNKAVTMFNVKKEKQRNKEKEHKTSSAASKLKPKKKSLKKSSKKKH >Ma05_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7306029:7306569:-1 gene:Ma05_g10160 transcript:Ma05_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEATCHQFGLMDDSLHGFSEETLQILVLPFSMGLVIHIFSKKKKRRHA >Ma06_p34950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35029214:35031168:-1 gene:Ma06_g34950 transcript:Ma06_t34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNSTEHPPKAVLLNAFTTTDPSADLSLTFADLVPAATIPVYINLYFAEMTSLSSSDVRSFRIDMDGKTSDPIVPPYQKVLEFSFADRGVTASSQMALRATADATLPPIISAMEIFTGSSLSNGTAESDAKALTILQLQFKALSDWNGDPCLPANYSWDWVGCSSDPVPRIIALYLAGYGLAGDLPDFSDLSSLQTIDMHNNSITGEILDFLGRLPNLIQLNLADNKLSGAIPSSLTSNNRIELL >Ma11_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1510188:1512784:-1 gene:Ma11_g02130 transcript:Ma11_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQALAMALALAQAQAQATVRALVVVRAEVMAVAEAVEAAAEWALARAPAPATVPVPVLATVAVEEMATTRFTQYRCWKVAGIRALRLYQQKSNPLLELAPEVSEAGVEEVKLVVRPDMAPATALDMVRVPVGATAGGYGKRGGGGGAGHGSGYGPGYGSGYDEGAGGGSAGGYGKGGGGGGEGSGEGSGYGAGNGYGSGYGEGASGGSAGGYGRGGGGGDGGGGGKGGGAGSSSGYGRGYGSGYGEGAGAGNAGGYGKGSGGGGGGGEKIL >Ma08_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16986852:16987758:-1 gene:Ma08_g16080 transcript:Ma08_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHHHFFHHHKNEEDEKPAEEVIYSHTAYTSGGGVYAADDAYTGGEYSTGYGQTAGGAYADDADSAGSGYTTGYSQTAEEAMVTESSIDEYDKYKKEEKQHKHKEHVGEMGALAAGAFALYEKHEANKDPEHAHRHKIEEEIAAAVAVGGGGYAFHEHHEKKEAKEETKEAHEKKHHHFF >Ma03_p27250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30700123:30701185:-1 gene:Ma03_g27250 transcript:Ma03_t27250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVEALSAAYDEFVAAAAAALEAKEQSGGQKTPVTDAALEAFKQRWELFRVACDQAEEFVESMKQRIGSECLVDEATGAAPSKAGRPATAPGIPPISAVRLEQMSKAVRWLVIELQNGSGVGAAAAAAAHPHVSAPFDARFSDDAGQ >Ma03_p27250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30700123:30701280:-1 gene:Ma03_g27250 transcript:Ma03_t27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVEALSAAYDEFVAAAAAALEAKEQSGGQKTPVTDAALEAFKQRWELFRVACDQAEEFVESMKQRIGSECLVDEATGAAPSKAGRPATAPGIPPISAVRLEQMSKAVRWLVIELQNGSGVGAAAAAAAHPHVSAPFDARFSDDAGQ >Ma08_p10860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7971820:7973274:1 gene:Ma08_g10860 transcript:Ma08_t10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDQQQPEQQQQQQTYRHYCRICKKGFGCGRALGGHMRAHGIVDDYAADAEDDPSGCSDWDGRMNSAAAGTKRMYALRTNPARLRSCRVCENCGKEFLSWKSFLEHGRCSSEEEEEEEEEEGYESVPASSRSEGDVDLAGWSKGKRSRRAKVVGMSEEEDLASCLMMLSAARVEPAAIAETEESCASASKEDDRRQQTVAVGVTTEIPQGPAFLPPAQPSVPRGTFECKACKKVFSSHQALGGHRASHKKVKGCFAVKHDGLDEAPPDDEVITHENVAAASTSTAIVPFDDPAPLAITPLRKRSKVHECSICHRVFTSGQALGGHKRCHWITSSSPDPGLKLQPLPHHANLPHQLTLRPMFDEPLDLNQPARADEIARGTRDIGSPLRLQMPAAIYLQAWIDRRDVGRNRACATSSDKNDDHNNVHGKDDNNTEMSGLNVDDEVDSNVKRAKLSELKDINMGEDSSPWLQVGIGSSANESSEA >Ma04_p25770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27424487:27431363:-1 gene:Ma04_g25770 transcript:Ma04_t25770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSSCFKIMGCGGRDAVDDDDLEPEEAKTSSDTHRWSFRKKSSRQRVLSNTVISEPISVCSSKQGQEVSNTNYHSLKYSSPVKAQVQERPIETSSSPSDIVNTEDRSSSDKNTDPVAPAFNDTDAIEVQSVIRGYLARKKFHKLRSIVKLQAAVRGHLVRKQAIGTLRCIQAIIRMQALVRARHACQLVEKFSPENTKFQGKGDSFEKSYKTSIKNLLSNGLARQLLETTPKTKAIYIKCDLSKSDSAWKWLERWMAVTSSGVGQQQEQNLNHISRPLEENAKMADSEPAKEIPLADSSVLSDSKFAPTELVMVSNGKSSSMTENTENFEFQTLEIAPENSSKSLLKDDVEHLELKVELLNTTVEDYTETWMVNEESLNSITDNKQLQPNPTSEILVETIPNKLECAKDSSSPSPESTSYEALENEGKKSVIGSRKPCNSAFVVAQSKFEELSSRSIVDQSVTPVSQIVASKSKTESQNIQVDSFSNSKEEISAESSMLHESIVQAAVSECGTEISLSSTLDSLDRSEMEGGEIVLEIGALEKQIHSTNADAENAFSISHLGGDEDGSRFSSDLTMPQRPDGRDQTVADLNASVDSVQVDQQPAEPTISDGQTYLEGMIGQASSPQGTPRSHATATDLHGTPSSDVSVNAKKSKKDNSRHTHRQRSHLVGKRSPSNPNIDSDGKSSTENLTKGLRISMRHNSFGMAKTDHVDQEPRLSSSSSLPGYMQATASAKAKAHVITSQKSSPDLNDIQPKKRHSLPIENGKQSSTPRIQRSASQAPQSTKGNGAHSPHNSTGVQ >Ma04_p25770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27424487:27431377:-1 gene:Ma04_g25770 transcript:Ma04_t25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSSCFKIMGCGGRDAVDDDDLEPEEAKTSSDTHRWSFRKKSSRQRVLSNTVISEPISVCSSKQGQEVSNTNYHSLKYSSPVKAQVQERPIETSSSPSDIVNTEDRSSSDKNTDPVAPAFNDTDAIEVQSVIRGYLARKKFHKLRSIVKLQAAVRGHLVRKQAIGTLRCIQAIIRMQALVRARHACQLVEKFSPENTKFQGKGDSFEKSYKTSIKNLLSNGLARQLLETTPKTKAIYIKCDLSKSDSAWKWLERWMAVTSSGVGQQQEQNLNHISRPLEENAKMADSEPAKEIPLADSSVLSDSKFAPTELVMVSNGKSSSMTENTENFEFQTLEIAPENSSKSLLKDDVEHLELKVELLNTTVEDYTETWMVNEESLNSITDNKQLQPNPTSEILVETIPNKLECAKDSSSPSPESTSYEALENEGKKSVIGSRKPCNSAFVVAQSKFEELSSRSIVDQSVTPVSQIVASKSKTESQNIQVDSFSNSKEEISAESSMLHESIVQAAVSECGTEISLSSTLDSLDRSEMEGGEIVLEIGALEKQIHSTNADAENAFSISHLGGDEDGSRFSSDLTMPQRPDGRDQTVADLNASVDSVQVDQQPAEPTISDGQTYLEGMIGQASSPQGTPRSHATATDLHGTPSSDVSVNAKKSKKDNSRHTHRQRSHLVGKRSPSNPNIDSDGKSSTENLTKGLRISMRHNSFGMAKTDHVDQEPRLSSSSSLPGYMQATASAKAKAHVITSQKSSPDLNDIQPKKRHSLPIENGKQSSTPRIQRSASQAPQSTKGNGAHSPHNSTERRWQR >Ma07_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31304768:31309769:1 gene:Ma07_g23780 transcript:Ma07_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGDLFRRIRGRDGFTTVALDLFSTTANPSPCSYSGSAKRLRIGDAIPAAVVAEEDRHDLVDSLSEEILFLILDRLESDPLDKKSFSLVCRSFYAAESRHRRALTPLLSDLLPAALARYPLASRLDLSLCPRITDAALASVRGALRSSLRSIDLSRSTGFSQAGIGNLAVNCAALVEINLSNATDLSDAAAAAIGRSRNLERLWLARCKMVTDMGIGCIAVGCQKLRLLCLKWCLGISDLGVGLVAVKCKRLRTLDLSFMPITKKCLPAVLQLPHLEDLALVGCLSIDDEGLISLKQECKSLQVLDMSNCQHVSHAAFSSVLNKAPGLRQMTLAYNCLVTHSLASSLQKLSKLRCIRLDGSEVTTSGLGTIANSCKSLRELSLSKCSGVTDEGLSSIVMKHKGLVKLDVTCCRNITDFSLASITSSCNSLTSLRMESCTLVSKEGLRLIGQHCHLLEELDLTDNDLDDEGLRAISGCQKLCILKIGICLKIRDEGLIHVAKSCSKLQEIDLYRSIGITDTGVMAIARGFPLLQTINLAYCTGITDDSLRSLSKCSNLYTLEIRGCPQVSSLGLAAISVGCQKLTNLDIKKCYHVNDAGILFLACFSQNLRQINLSYCSVTDVGLLALASVSCLQNMTILHLGRLTPSGLAAALLACGGLTKVKLHSSFKPLVPKPLLKHIEARGCVFQWRDKPFQVELEPSEVWKQHSQEMHVE >Ma06_p36510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35888803:35891196:1 gene:Ma06_g36510 transcript:Ma06_t36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRLGLKSNALKRQKDFFNKDQSRLERNELTYSEEFGGASSTAPSGYVADHNSSTNLLQCENYLEDLCHKRKSIGEEALQKLIVEFEKHVLNEFVQSRYITLTYRCLKLMTKGPTTEAYLACRVIGLLALTVDNGNAAHEIMKESVPQLCEAFKSGSNAFKILVLQCLAIVTFVGASNPDEVQLSMKYIWETCNSADSTHTVLAAALSAWSFLLSAIDGWRIHSEYWKGSILFLSILLEKDQHSLCVAVAEAVALISEIDRINNFSKEACATSVESLKCKLCAEVKKLYECEDIIHDKEFLQNILQYFEVVVFFLFYMFTYS >Ma02_p19630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25841793:25852991:-1 gene:Ma02_g19630 transcript:Ma02_t19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MSPSPISMPPSSPIADDTTDDNALQPFFVLHKALPQKCDRKAAGSTRTRRKIDLPPSSPKSPEKSDAVASSVGPNDANYERLRLEAFDMTWSKIDTTIKEVLTRVHRNLFAEVHQWALESFLALKSGKPRNAFEIQTPYPLLDDVICKQIPTAMILTKNAEFVDDMLTFQELGEHLKSKGCHIANLSAMDFCAKDGIAGCLRSLLRQLVMDAPDMADMSVLSSWYCEPENYDHPVIIVIDDMERCSGAILADFIRLLSEWVIKLPVIFIMGVATTDTAKKLLPSDALQHLQSSKFTLGSPLERMNALVEAVLVKPSFGFNIGHNVAVFMRNYFLGHDGTITSFVRALKLACVKHFSMEPLSFLGIDILDENQVFLHGKCDALPDSLLKYAFNLPSCKRGKDCKNSRDDLGEGLSDLRKLLKAWSSVILCLYEVGKHNKIQLLDIFCEATDPTLSSLDPSNHKLERSFNGQNLAEGRLDFKGGLIGQLIHTVRELPLSSLIKLLDSWSIHTEELCEVHGEVRELQSVVKSSNGGNNLKDRQIDCHRMSMSLCAGRTSLSVNGKAAALLDNMVRKFLMPIECLCFHEIICFRNVDILQSALIGNPRRNIQVDLMKSTTYIKCSCCSGNENVLSPTMHDTSVMYNLAQEHGDLVNLQDWYHSFKSTVLGTNKLKCPLQHSPMSKKVKSSPSESEASIQARFCRAVIELQIAGLFRMPSKKRPDFVQRVAFGL >Ma03_p05990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4137475:4141723:-1 gene:Ma03_g05990 transcript:Ma03_t05990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAAPAPKQDELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHFLVMLGTTVIIPTALVPQMGGGNDEKARVVQTLLFVAGINTLFQTLFGTRLPAVIGGSYTFVVPTISIILAGRYSNIVDPHEKFLRIMRGTQGALIVASTLQIIVGFSGLWRNVARFLSPLAVVPLVALAGFGLYELGFPGVAKCIEIGLPQIILLVIFSQYIPHSLHSEKPVFDRFSVILSVAIVWLYAYFLTVGGAYRHTPPKTQLHCRTDRSGLVGGSPWIRFPYPFQWGAPTFDAGEAFAMMAASFVSLVESTGTFIAVTRYASATPLPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRIGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGLSVFMGLSVPQYFNEYTSVAGYGPVHTKARWFNDIINVIFSSKPFVAGLVAFFLDNTLHRHHDATKRDRGYHWWKRFRSFKADTRSEEFYALPFNLNKFFPSV >Ma03_p05990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4137475:4141783:-1 gene:Ma03_g05990 transcript:Ma03_t05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAAPAPKQDELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHFLVMLGTTVIIPTALVPQMGGGNDEKARVVQTLLFVAGINTLFQTLFGTRLPAVIGGSYTFVVPTISIILAGRYSNIVDPHEKFLRIMRGTQGALIVASTLQIIVGFSGLWRNVARFLSPLAVVPLVALAGFGLYELGFPGVAKCIEIGLPQIILLVIFSQYIPHSLHSEKPVFDRFSVILSVAIVWLYAYFLTVGGAYRHTPPKTQLHCRTDRSGLVGGSPWIRFPYPFQWGAPTFDAGEAFAMMAASFVSLVESTGTFIAVTRYASATPLPPSVLSRGIGWQGIGILLDGLFGTANGSSVSVENAGLLALTRIGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGLSVFMGLSVPQYFNEYTSVAGYGPVHTKARWFNDIINVIFSSKPFVAGLVAFFLDNTLHRHHDATKRDRGYHWWKRFRSFKADTRSEEFYALPFNLNKFFPSV >Ma05_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7888071:7889751:1 gene:Ma05_g10800 transcript:Ma05_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGNEASSSGYGLDASGPLIQVVGGDDHGRGLSSQPKTFANVFISIVGAGVLGLPYAFRRTGWVAGALLLLAVAALTFHCMMLIVRTRRRLDLDRSAKIASFGDLGLAVSGPLGRLAVDVMIVLSQAGFCVGYLIFISSSLTNLLPVSLPLLPFLSSKALYVLAMLPFQLGLNSIRTLTLLAPFSIFADVVDIGAMGVVVVEDVSVMLTRPPPLHAFSAPSVLLYGAGVAVYAFEGIGMVVPLEAEAAEKSKFGRTLGLSMAFIALIYGLFGVLGYAAFGDETRDIITANLGAGALTVLIQLGLCINLFFTFPVMMNPVFEVAERWLCGKMYCWWLRWALVAAVSLVATLVPNFADFLSLVGGSVCVVLGFVLPAVFHFKVFSAELGLAGATADIAIIVVGVALAISGTWSSLMAIFNSEQA >Ma10_p22150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31628124:31631912:1 gene:Ma10_g22150 transcript:Ma10_t22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGTLQQFAHPKVELEQYPTGPHIASRLLYTAENTFGDISGKVVADFGCGCGTLGLASALLGAEQVIGIDIDPQSLEIASINAADMELDIDFIWCDVNKLRWRDDVVDTVVMNPPFGTRKKGSDMEFLAKALKVASQAVYSLHKTTTRDYIKRTAIRDCNARSADILCELRFDVPQIYKFHKKKEVNIAVDLWRFVPYPTQESADS >Ma06_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4477905:4485039:1 gene:Ma06_g06080 transcript:Ma06_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNANPEDLGMYGHDDAAVDASGGQGAIEGATGGEKMGVSLIPTSDQDHLAEAMDAAAPLMSVTSNQLTLLFQGEVYVFDSVSPEKVQAVLLLLGGCEVPTGAAGMTLSGQQDDRGYDDLLHRTNIPAKRIASLIRFREKRKERNFDKKIRYNVRKEVALRMQRRKGQFAGKAITQEGALASSSGDPLQSSIQEDPPRESKCQNCGISEKMTPAMRRGPAGPRSLCNACGLMWANKGTLRSPSRAKIGTPSTANPSEHGQAIDSELISDNKLHVHTPSNHDAVVSNSEIVGDGTTADVQQADTVGLKTELREV >Ma06_p06080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4477905:4484770:1 gene:Ma06_g06080 transcript:Ma06_t06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNANPEDLGMYGHDDAAVDASGGQGAIEGATGGEKMGVSLIPTSDQDHLAEAMDAAAPLMSVTSNQLTLLFQGEVYVFDSVSPEKVQAVLLLLGGCEVPTGAAGMTLSGQQDDRGYDDLLHRTNIPAKRIASLIRFREKRKERNFDKKIRYNVRKEVALRMQRRKGQFAGKAITQEGALASSSGDPLQSSIQEDPPRESKCQNCGISEKMTPAMRRGPAGPRSLCNACGLMWANKGTLRSPSRAKIGTPSTANPSEHV >Ma08_p31910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42681642:42695923:-1 gene:Ma08_g31910 transcript:Ma08_t31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGSDEAEAIARASAAAEELYHLRDTYFPRDSEEKTSKLRTLADTALALLDSLPLEQRKSPLQRANYEYLRGKMLDVFPDYIKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLPSAKNCFSLALSKGPNKKILCQLSMLERSLAQGAENKAASIEESIKHAREAVMLDIKDGNSWYNLGNACLTSFFVTGAWDHAKLQQSLKAYQNAEKDELMHSNPDLYFNCASANKYLENYERALHGFEAAASKDPGLNADDEAQKITSLLDKIESSLRGLSRSKRLASLVSSLGEVILKSSHGKATVNVLKEGLNKAVAIIGKVLLFIKHENVAPLYYLICDSDQTCFVLSVYGLHSDAIKEGDRVILMEPHYRVIDFSWKGTHYHFQSIRVDFVEQLLVNEKVPAPHHLVRACIHAQHKA >Ma08_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1439524:1440598:-1 gene:Ma08_g01720 transcript:Ma08_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPTDLGVVDPFPPPPSSPQQQQQRKPPSRYESQKRRDWNTFLQYLRSHRPPLSLARCSGAHVIEFLKYLDQFGKTKVHATGCTYFGHPNPPAPCVCPLKQAWGSLDALVGRLRAAYEENDGVPESNPFGARAVGIYLREVKESQGRARGVPYHKKKKKKKKRKLLQDTAAATSGGEASLFPAVGASIADDATGARNISAVAAALSSSEAGEGSRATPAGSSSSS >Ma04_p02810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2305692:2307379:1 gene:Ma04_g02810 transcript:Ma04_t02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDHEEADRYHIPFHDELSSLFPQKPAGGDANGTGSLGFDQQAESPLVNFTDAFRGSNMDYGVIARALGLSCPAPSKFLRSSGTASRELMVDVGNGRKSLVPSLGCSLGATPMTPNSSISSSSTEAAGEEAAERCKKDEKKQGEEQKKQAKGDEEGGDKPKTLSTAKKKAEKRQREPAFAFVTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQDPKIVVTTYEGKHTHQSPAAVRGSTHLVAPPTTMPVSFYHDLMMHQVPQLSNTCQQGNTNPNMYLANLPPALQQHQFPEYGLLQDVLFSFDHGSQP >Ma04_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7194163:7202705:-1 gene:Ma04_g10040 transcript:Ma04_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLISSKMMLAGSNRRIHAVHRHSGMAVAGLAADGRQIVARAKSEATSYERVYGDPIPVKELADHVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYTIEPSGISYRYFGAAIGKGRQAAKTEIEKLKLSEMTCREGIIEVAKIIYGVHDEAKDKAFELEMSWVCDESNRQHQKVPDDLLEEAKAAAKAALEEMDAD >Ma02_p19550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25784316:25793491:-1 gene:Ma02_g19550 transcript:Ma02_t19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESNALLEEAARLAEAARELQEAAAILISRTRNEEQSLRQRAVALESDLRRLQSSLDSTVRKKGQSATGGGVDPKIAEKVDEELCRARCVINDGDVASLLLSKTHGRFLKMFLGPVNVRATRKEVQLKVKEEYNSYRDRTAFLFLLFPSTLLLLRSWVWDACLPALPVQLYQVWLLYLYTTLALRENILRVNGSDIRPWWICHHYCAMLMALISLTWEIKRQPDCAYKQRGVQLFLMWAMMQGVSMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLWLLCPILFILQGFEAYVGLLLLRTAFVGVICEWQASHCIKFYFDSDVIACGILLVLMAIGNFANTMQTLIAKSRFKAKMKRTRSNCLLLDCAAAACSTAFISFSACPCILCLPLHTCLRLIHAPIWFC >Ma05_p30180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40689490:40691384:1 gene:Ma05_g30180 transcript:Ma05_t30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAFLVFVSLLGLSAVRAEDPYLFFTWNVTYGTISPLGVPQQAILINGQFPGPNINSTTNNNIVINVFNNLDEPFLFTWNGIQQRKNSWQDGMLGTNCPIPPGTNYTYHFQVKDQIGSFFYFPSVGMHRAAGGFGGLRVNSRLLIPVPFDDPADDYTVLIGDWYTKSHKALAGILDAGRSIGNPTGILMNGSPGKNAAGKDDAPLFAMEAGKTYRYRICNVGLKVSLNFRIQNHLMKLVEMDGSHTVQNDYESLDVHVGQCLSVLVTANQEPKDYYMVASTRFTKYMLTATGIVRYAGSNVPPSSELPAGPVGWAWSFNQWRSFRWNLTASAARPNPQGSYHYGSINITRTIKLASSVGLVNGKRRFALNGVSHVETETPLKLAEYYGIADKVFKYDSISDEPPAASATITAAPNVLNATFRDYIEIILENPERSIQSFHLDGYSFFAVGMGHGKWTPASRKTYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWSLRSELWERHYLGQQLYISVLSPARSLRDEYSIPDNTLLCGDVTTLPKPPPYV >Ma01_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2478522:2482306:1 gene:Ma01_g03710 transcript:Ma01_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78560) UniProtKB/Swiss-Prot;Acc:Q93YR2] MTILRSPPCSPSAALSPPQCRTVRPFYTNPIVLLSRRRIALFTRPCGSGPRRPDDVDERGFERCRRRSTMAAAAAKRLLAPARAASNPLADSGADGESERSLREVIVRAGEVLSLAFPLWVGSACVLALWKPSSFLWAHKNWQILGLTLTMLGMGMTLTLQDLRGALLMPKELAAGFVLQYTVMPLSGFFVSKLLDLPSHYAAGLILVACCPGGTASNIVTYLARGNVALSVLMTAASTFTAMIMTPFLTSKLAGQFVAVDPVGLFLSTVQVVLAPVLLGALLNQYCNSLVEFVSPLMPLVAVGSVALLCGSAIAQNASAILSSGLQVVISVCCLHGSGFFFGYVLSRMLGIDVSSSRTISIEVGMQNSVLGVVLAGQHFANPLTSVPCAVSSICQSVYGSILAGIWRRMTPTTQAKD >Ma05_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9575240:9582412:-1 gene:Ma05_g13200 transcript:Ma05_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEVVHDDCKDGMVKCAIENGWVKPSMCQNNFTCKPVQDEIHGVLFPASEDEAMEVEHLLLEPQIEKDLSNNIMLMGSEHSKECLAIEDFTFAVDYTDCKADFGKIYSDAALIQKHEFVDSMLQGVNEGNLHGQSNFCSICPELFWDNDCAESLQVKCASQNTSCIKNFRSDLQTKSIGIHSDAGEFSDLSNALNSPYDAATPDRDAFLFDDVSTDQLPDVFRSTLGWDTSVEDKQSLEHHILFELQNLTEINKFSSPSQCSSMPNEIDDETIWIPKNLFEEVSSPFGSIFDTTKLVVGIGAEKPVQCGRDVRVGNFSEAHKTHLGDEDSAVITNKRLRKPTRRYIEETSDLKSRCCRETRGLVIQKQRGLHASRVQRRKNAAVMLFSEAFS >mito8_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000019.1:289589:292661:-1 gene:mito8_g00050 transcript:mito8_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase (Fragment) [Source:Projected from Arabidopsis thaliana (ATMG00520) UniProtKB/TrEMBL;Acc:P93307] MQKEGSKFRPLTVVLSIEKIMKEAIRMVPSSIYDPEFPDTSHSSSGRGCHSVLRRIKEEFGTSRGFLEFDIRKCFHTIDRHRIISILKEEIDDPKFFYSTQKLKLAGRLVGGEKGPYSVPHSVQLSALLGNIYLHKLDQEIGRIRHKHEIPLVQRIRSVLLRTGKSMVDDKEQSGESASFKAPQDNRAIIVGRVKSTQRKATFHSLVSSWHTPNTSTPRRRRDNKTPFVFPLGRAAFLNKPSSLLCAAFLLEAAGFPPKSGMEGINYAMRRNHLIKSCKIRGLQIELGGESVLVIRSDRGLARKLAPLKTHDSLIRICYARYADDLLLGIVGAIELIIEIQNRITHFLQSGLNLLVGSAGSTTIAARSKVEFPGTVIREVPPSTTPNPLLRELEKRLRVKHRIHLTAFNLRSAIHSKFRDLGNSLPIKELTKGMSYRGRLQDAVKLAPTHGTAGVISPQACIFWGTYIWQGSREISLLHSSGRSNVPSDVQQSVPINCSVTDARKCSLYTPSSRKAAGEIEGHWARSFSSEFPIQIRAPMKKILRRLRDRGIISQRRPRPIHIASFTNVSDGDIVNWYAGFAISLLSYYRCCDNLYQVRTIVDYQIRWSAIFTPAHKHKSSARNIIQKYSKDSNIVNPEGGKTLAQFPNSIELGKSI >Ma04_p23630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25742232:25745526:1 gene:Ma04_g23630 transcript:Ma04_t23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMTENGSTAAGQEASSLKFLIYGRTGWIGGLLGRLCSERGIPFAYGAGRLENRAQLEADIASAAPTHVFNAAGVTGRPNVDWCETHRVETIRANVVGTLTLADVCRERGLILVNYATGCIFEYDGGHPLGSGIGFKEEDTPNFVGSFYSKTKAMVEELLTNYENVCTLRVRMPISSDLTNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGAVSHNEILEMYRDYIDPNFTWKNFTLEEQAQVIVAPRSNNELDTAKLKKEFPELLPIKESLIKHVFEPNQKTSTT >Ma04_p23630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25742232:25745581:1 gene:Ma04_g23630 transcript:Ma04_t23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMTENGSTAAGQEASSLKFLIYGRTGWIGGLLGRLCSERGIPFAYGAGRLENRAQLEADIASAAPTHVFNAAGVTGRPNVDWCETHRVETIRANVVGTLTLADVCRERGLILVNYATGCIFEYDGGHPLGSGIGFKEEDTPNFVGSFYSKTKAMVEELLTNYENVCTLRVRMPISSDLTNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGAVSHNEILEMYRDYIDPNFTWKNFTLEEQAQVIVAPRSNNELDTAKLKKEFPELLPIKESLIKHVFEPNQKTSTT >Ma08_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10408190:10409080:-1 gene:Ma08_g13110 transcript:Ma08_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGWVVAVIAAAAALLMLGGVEARRGHDDVKVVHYDGKVLCQDCSKGWNEWTKGKPVKGAKVAVTCLDSRRRAVCHTSDTTDDKGEFDLVVSKFVYGKAVRPEDCTVRLVSSPDPTCNVMTDFGGGKCGVRPHHPSVVHRGMLKYTVGPFYFTSPSCDEPETSPHEDDDD >Ma04_p34270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33558391:33561443:-1 gene:Ma04_g34270 transcript:Ma04_t34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGLVNVVVVLFSCLVAVAVPLIVAQICLPGWLYPAPLVELKQWYGEVFGDYLVTEKPHFFTGLVWVDIAFVWPLSLANVYGILARRPWVSTTSLMAGVSTATSMAAIMAELLGSGKASEQLLQIYFPFGVFALLAILRGLFYRPRRATSTSHASSSRKKRA >Ma11_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8586229:8587999:1 gene:Ma11_g09490 transcript:Ma11_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADLREKFELTVRQGEPTLVPPAEETEKGFYFLTNLDQNIAVIVQTIYCFKSEEKGNEMAAEVIKDALAQVLVRYYPLAGRLTISNEGKLIVDCTREGAVFVEAEADCKMEDVGDITKPDPDTLGKLVYSVPGAKNILEMPLLAAQVTKFSCGGFILGLAMNHCMFDGLGAMEFVNSWGETARGLPISVPPFIDRSVLRSRDPPVINFPHREFSEIEDVSDATTLYQEEMLYRSFCFDTEKLERVKKKAMADGTLDGCTTFEALSGLVWRARTEALKLQPGQKTKLLFAVDGRSRFDPPLPKGFFGNGIVLTNSLCTAGELLGRPLSFAVGLVQDAVGMVTDEYMRSAMDYFEATRARPSLTATLLITTWSRLSFHITDFGWGEPVQSGPVTLPEKEVILFLSHGKESKSINVLLGLPSSAMASFQKLMDI >Ma04_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3095991:3101378:-1 gene:Ma04_g04030 transcript:Ma04_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIARRSSAPLLLLRLAFLYSLLLQRPVFAARKAYVVYLGEHSHGSGSASSWEGSKRATESHYELLGSVLEDKEKVQDAIFYSYTHNINGFAAYLEEQVALKISKYPGVVSVFPNRGYKLHTTHSWEFLGLERDGRVPKQSLWRKARFGEDTIIANLDSGVWPEAQSFKDDGLGPIPSKWKGICQNDFDESFSCNRKLIGARYFNKGYEALVGPLNATFKSPRDYDGHGTHTLSTAGGGFVPSANIFGYGNGTAKGGSPWARVAAYKVCWPPVNGSECFDADILAAFDAAIRDGIDVISVSLGGDPVDYFQDGLAIGSFHAVKKGITVVSSAGNSGPNLATVSNLSPWMFTVGASTMDRQFPSVIVFDDKRIKGESLSPKGLPGKRLYPLISSAEAKLVNASARQARLCYLGSLDPAKVKGKIVVCLRGITARVEKGEAVHQAGGIGMVLANDVDNGNEIVADAHVLPATHITYSDGLTLFSYLGATKSPLGYITRPKTKLGAKPAPFMAAFSSKGPNTITPEILKPDVTAPGVSVLAAYSGAVGPTGLVFDGRRVAFNAESGTSMSCPHISGVAGLLKTLHPDWSPAAIKSAIMTTARTRDNMKEPMLNSSFNKATPLGYGSGHVRPNHAMDPGLVYDMTTTDYLDFLCSLGYTTAQLAMFNGEPYACPSTPLHIRDLNYPSITIPDLQSSTKVARVVKNVGPPGTYVVRVVEPRGVSVTVSPASLTFEKVGEEKRFEVTAGIKEGKTDGGGYVFGGLIWSDGKHYVRSPLVVKCSSSSWEP >Ma09_p28680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39328686:39330054:-1 gene:Ma09_g28680 transcript:Ma09_t28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKEVLRLTPEEEEEACQRALQLSSVVIFPMTLKVAIELGLFEIIVGADPGGALSAEEIAAQLPAKNPQAANWVDRLLCLLAANDVITCTVVSADGRSLRKYSMKPVCKYLITNEDGSIAKYALLEHDKINIDIWHHVKESVLGGVHPVEAAYGMSAFDYMGTDPRFSKVFNEGMLSHSGIMIKQLLRNYNGFAGINVLVDVGGNDGATLRMITSQHPHVKGINFDLPHVISTAQPIPGVQHVSGDMFDSVPSGDAIFLKWILHDWSDDLCVTLLKNCRKSLPENGKVLVVEYIRPVAPAATAQAQFVFQLDLVMLAHCIGGKERTEEEFRALATEAGFAGFNAAATFAGMSVMEFTK >Ma10_p27370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34705440:34709610:-1 gene:Ma10_g27370 transcript:Ma10_t27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAASVSCHNFYSSYAHASSGPLPVPRRTPSVALTRCGRLFARAKFENFDAPSDVPPLSSDGPNSGTAAVPEQQELDQDSDLPSDLEGAIRQSSQASASFISSGGMRAIVELLIPQIQFLDAEGAQAELWELSRIFLESLIEESGGQRVKAIFPDAGAAALLKYQWPDANFGFSSLSDRKPVDNEDEVVVMVVPDYQMLEYVERVATLLSEDPPRPLIMWNPRLVSEDVGVGINVRNLRRYFLSTFTVVYSMRPLPTGAIFRCYPRMWKVFYDDVNRPGRYLLAKEQPGRPDATDIELIFGNVDEKSEKAPSFLDKAVSMFTSINRFMKAISR >Ma03_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26235059:26237612:-1 gene:Ma03_g21230 transcript:Ma03_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGCGKTTLLNALAGRLGARTKQSGHILVNGRRQRLSFGNSAYVTQDDILMTTLTVREAVYYSAELQLPKTMTRSEKRERAETTIRDMGLQAAMDARIGGNFSSTGISGGQKRRVSICIQILTHPKLLFLDEPTSGLDSAASYHVMSRIVNLAKHDGRTVLASIHQPGSDVFELFDDLCLLSDGKTVYFGPTSGTNEFFALNGFACPTFINPSDHFLRTINKDFDEEREEGSSAGLMNATKAIDVLTTAYKQYKTCQYVERRVAEICNMDRDLVENRAQVSFFTQVLVLTKRSLVNMHRDLGYYWLRLAVYLMLGICIGTLFHDIGHSYGSIQARGSVLMFVGGFLTFMAIGGFPSFVEDMKIFGAERLNGHYGVAAFMISNTLSSAPFLALVSLIPAASGYFLIGLQRGFDHFLFFCLVLFASMMVVEGLMMIVASVVPNYLMGIISGAGIQGLMMLSGGFFRLPGDLPKPVWKYPMYYISFHKYANQGYYKNEFAGLTFPSNQAGGAATITGEEVVKDYWQMEVGYSKWVDLAILFAMVIIYRLLFLVVVKMTEKVKPLLVELLNAPPKRTMQVVGQPLED >Ma03_p15720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16965717:16967821:1 gene:Ma03_g15720 transcript:Ma03_t15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRTGVSFTATSSSKRSFEESPVTTSTSWLSKASLATYLRGWGDRLTRSMSEYLSSFTLRELFVFPLF >Ma06_p37270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36382692:36383343:1 gene:Ma06_g37270 transcript:Ma06_t37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKLEYCNSHEAKVHALMRRNALQRNSLSHQSIPRSAKQNNILQRNPDSSSRNGKPVARKQLLYLIFSGFLMRRKTLVPIEFLQFMPLCHQGASLALVSYPLDLSFISRHCIMCCGFKSPL >Ma02_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26055991:26057269:1 gene:Ma02_g19980 transcript:Ma02_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVLGSKQGDGGAPAAGFRESGGRQDQLNAPAASTRRWNEPPLILGAQMGIPEFVSTILRVCPQAATYLDTGGRSVLQVAIKHGNREIVRTIREMTRGNNPILPSWLLSRVDKGTGRTILHLASANAPKHTQDALQMQDELRWFERVRDMVPKELVYSRNAQEMTAEEMFTLSHQALLKNCKDQLMETGRLCSGLVAAVVFASSFSVPGDKDPATGNPVYFGRAAFTVFSHTYVFGLSCAATSLVLFLSLAMSPYKEQQFRRIIPIKYFFARSSFGLAMLSFLVAFTCNIYLQLYGWQKTKSKDLIPFILELTAFPFICFLVLFFYGSKFFYGSKFGLSFLFRSWR >Ma06_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:197906:199493:1 gene:Ma06_g00270 transcript:Ma06_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFVRGPSRKRRSGAVPVYLNVYDLTPINGYAYWLGLGVYHSGVQAHGVEYAYGAHEHPTTGIFEGEPRQCPGFTFRKSILIGRTDLGPREVRALMEEMAAEYTGDTYNLVSKNCNHFCDEACLRLTGKPIPKWVNRLAKIGYLCNCVLPVQVASVRQRATDEGKSGSRGGGVENERRTLRSNSTTNSFATSAASSSDDPPTRISQPVVTISSRSAASRSRRPSSSGGGISSTLTV >Ma04_p25320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27136841:27137525:-1 gene:Ma04_g25320 transcript:Ma04_t25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQHQTASLPLILPAALLLLLGATITEARVLRRVNDRSLFDATSECKKASYPDLCNSLAPSASVGSLTAASIDVATSKAKEAAAISAKLMKAPGTEKLMKSTLCVCRDAFSSVADSLQLSAKNLKDAAHTDLMVNLSAAMSLKANCADAFQDWPGLVSPVADINDHLSKLVSNSLDLASTLKN >Ma06_p36680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35979988:35982818:1 gene:Ma06_g36680 transcript:Ma06_t36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMEPLRLLLLLLLMLCCSSTAVVAAVAKKRTYIVHMAKSQMPPAFAEHRHWYDASLRSVSDAAEIIYAYDTAAHGFSARLSPAEARALEHRPGVLGVVLEERYELHTTRTPEFLGLDRSEGLIPQSNTESDVVVGVLDTGVWPERKSYDDAGLGPVPASWKGVCEEGKDFKAADACNRKLVGARFFSKGYEASMGPIDETKESRSPRDNDGHGTHTSSTAAGSVVPDANLLGYAAGTARGMSTRARVAVYKVCWLGGCFSSDILAAMDKAIEDGCGVLSLSLGGGMSDYYRDSVAIGAFNAMAKGVVVSCSAGNAGPGTSTLSNVAPWITTVGAGTIDRDFPAYVVLGDGKNYTGVSLYSGKPLPSSSLPLIYAGNATNATNGNLCMVGTLLPDKVSGKIVLCDRGINARVQKGFVVRDAGGAGMILANTAANGEELVADAHLLPATAVGEKAGDAIKSYLFSDPNPKATVAFGGTKVGVMPSPVVAAFSSRGPNAVTPDILKPDLVAPGVNILAAWSGSVGPTGQAADPRRTEFNIISGTSMSCPHVSGLAAFLRGAHPDWSPGAIKSALMTTAYADYPGGGGILDVATGRPATPFDFGAGHVDPPKALDPGLVYDLTVDDYLDFLCALNYTTLQIASVSRRSNFNCDNKKAYAVSDLNYPSFAVAFATASGAGGGGSAATTVKHTRTLTNVGAPGTYKATVSAPQEAKVTVDPSELSFAAAGEKKSYTVAFSAASQPSGTAAFGRLEWSDGKHVVASPLSFTWT >Ma04_p33350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33022664:33025261:1 gene:Ma04_g33350 transcript:Ma04_t33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPNGDDHEGVSVVGFEVPRSPDSSYSNPIPGNEDEAREPPLVPPHLHHTLLNYSHSQDDPGSLIAPQNVILNHLYIENQDGPSSVVALGITHRFRSKYVTVVLYKPVQRRLVQVEGLGWNHLFLHVKGIHPYIWAPTQAHITTTTANRIMWARSLVSGTHEYRLLSPGAEVLPPSLTRWWKRRSQVI >Ma07_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7018940:7020200:1 gene:Ma07_g09370 transcript:Ma07_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQAQNLFFSSSSSSPSGHRKLRASLHVPANLRTKGVSLPKLNLGGLSLRQDDTATSFTQPPRPTTASEDEHLVSKIHAILDAVADRAEMHAIIGAQRNDWNHLFTNSINAISLTASLMAGISSIPVGEAAPHLLAFKLSSVILFTAATGMMLITSKIQPSQLAEEQRNATRLWKQLGRSIETTLVLRAPTQRDVDEVMEKVLALDKAYPLPLLPGMLEKFPEIVEPTRWWPKSQPKQSPQAGSNGWSHELEEEMRGILRVLKAKDEQQYITLGKLVLNMNKTLAISGPLLAGLAAISSGLIGAPALGPMPAFLGVIGGVLATAVHTLEHGGQVGMVFELFRNCAGYYRRLQEEIESNLGETDVQKRENGELFEMKAALQLGRSLSDLKGLATYASPSCEDEDMKEFAGKLF >Ma09_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9004988:9005573:-1 gene:Ma09_g13350 transcript:Ma09_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVVVMGELPLVPPIKTTPIRDKPAEGRRPEVTSTDDDDCVTPKSEEHVLKPLLVCPPAPKKPKPARRAPVAPSREFCAVPRDLTSVFLSLPPKKRIRVV >Ma07_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8659778:8660615:-1 gene:Ma07_g11630 transcript:Ma07_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRGTHHHHISSFNPSCCFRPSPIEDTPKRSAPTDKTSYSSSHSKQKRLFRIGSLSFTLSSPRISWMGQIKRCRPSSHDSSSSSSSSSATTSSTRSGSWSSRGSSKLIRITKVLLGRSASPPVSPGEGADDHSASGVSISVVDMDPPLPVLRRAARSEESNSLWERRCGGAALRGLQTQLPQQQLCAVVF >Ma05_p30340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40783338:40788369:1 gene:Ma05_g30340 transcript:Ma05_t30340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDRDESAVEMVPAVRLHQDPDAPEHVDDAVSLIRSFQVLGPTRHRRHVLGLLRAPSLGGWIEKLQLFSPSRIFRHTVDVREEVSLSVSSPVDSQRRFGISFLGSINWSSVSASFKEWIEHPRNAALLIWLICVSVSTAMQGLLLLGLLDEAFPTKASRNHWIEINNQVLNALFTLMSLYQHPSLFHHLVLLCRWRPEDITALRKIYCKDGAYRPHEWVHMLVVLLLLQITCFAQYISCGLYWGYASEARPEFAEIFFFGLGFAAPVLAGAYAVYSPLGRECDAELDEESQGGPNHEQSSVKPSIRLHKLRALVSDPKWAGGLFDCSDDITVSFLSCFCTFCVFGWNMERLGFGNMRHGGDRRHRALCVWSALWWFLEDPNEEKIQTSRQ >Ma05_p30340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40785780:40788710:1 gene:Ma05_g30340 transcript:Ma05_t30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDRDESAVEMVPAVRLHQDPDAPEHVDDAVSLIRSFQVLGPTRHRRHVLGLLRAPSLGGWIEKLQLFSPSRIFRHTVDVREEVSLSVSSPVDSQRRFGISFLGSINWSSVSASFKEWIEHPRNAALLIWLICVSVSTAMQGLLLLGLLDEAFPTKASRNHWIEINNQVLNALFTLMSLYQHPSLFHHLVLLCRWRPEDITALRKIYCKDGAYRPHEWVHMLVVLLLLQITCFAQYISCGLYWGYASEARPEFAEIFFFGLGFAAPVLAGAYAVYSPLGRECDAELDEESQGGPNHEQSSVKPSIRLHKLRALVSDPKWAGGLFDCSDDITVSFLSCFCTFCVFGWNMERLGFGNMYVHITTFLLLCFAPFWIFNISALNIHDNVIGDMVGIAGIVLCVFGLLYGGFWRIQMRKRFRLPGNELCCGSKSLTDYLQWLFCWSCSLAQEVRTGNSYEVEDDSLYRKHSEDEEEDIHPFLHPLPRESGLNSLGKLQYDSSRLISYPAKSPASTAAAAESKSQEDEPHDIKEAYLVLDFGGNMKPPLQQLILSKDPMNV >Ma10_p08760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23062094:23068825:-1 gene:Ma10_g08760 transcript:Ma10_t08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEVRKVSRQDIQLVQNLIERCLQLYMDQKEVVETLSLQAKIEPSFTQLVWQKLEEENREFFEAYHVRLILKNQILVFNRLLEKQVELMQEACPTGISTISLPNGSNAPALHQAPSCYMSQQTSTSSRLDDMLCAGGFTSALVNGGPSGHGNYLGNDSAILAGSMNASTSMLSTPNTNMGRIPGMNGMIVKSEPNYPNNSEFPFSNDNSMLEAHQPIGDTSAGSFGSSELSGQPLQDNLLDIDTSSLGFGQIPRNFSFSDLADDFTHCSDILENYDRSPYLPPDSNNLSDSPAREFKEEDIRKLDTISEGVSYEEFGSD >Ma08_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:328938:338114:1 gene:Ma08_g00360 transcript:Ma08_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIHVPAAAASIFSPAYSFSFDDDDGFGDFKFASSVQPFPSHPPPPPTQQQQQDDYDWGDFVVSPIGSHPVESPSPPPLFDAFPPLYAAASDKIAGVKQWEKPSGALPLSIFGEEEVDEPEPLHPPVLFSASLSSSIPARDRKGPVAAHGELRDLITSLYGQASQPVGGDNDGLCLAEGKEDDSDESSWEYKDASSSSPNSVLKEDGIRNEKSGLQCTETADFREIEVTRSMRESNLDDWPIHSHSSVKEESDVSPYHDKNGYFYEPTFVGSATKDIISGPSAGLSNNILDLFIEVDKEDAIYSEKDATKKGQNSDMVDSVQRDHDLGGTQWKFLKTLELVVSNQDPACEINKYHSTNLSYNTPVDLYHRLKDGSIFLLNCHLDNLEKAYGVASLSGEQIIEMKRKEEIKAVYKKLEEAKGTRNIIRGEHLPVDVYVSQLLKAVEEPNFRAFEQEYHLSERILSAGKEASAAIELLEHTTSVLHILALASREEQRAYIDVWSNMAVACVQELQHGAKVLKESVQAQTLMQILFGEAKYFIALGEIYRVTEVLRASMKRYKPWILLNCGSLSKLSTSLDKCAEAWTISGLEESLKNFSNANDAEYAGLAKALLASIKIIRDLDLSHYSFNHDRRICKLSLFSMEELQDMKMGLWCGEYYFVKLANLWANRISCDPPQLPCLHV >Ma06_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15991029:15993955:1 gene:Ma06_g21660 transcript:Ma06_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAEDASTAAAVGKAVMVVGIDDSEHSFYALQWTLLHFFSPAVRPSESYKLVIVTAKPTPTSVISVASPGAADVLPFVESDLRKISSQVIEKAKDICAAHSVLDVEYEVVEGDARNVLCEAVDKHHAEMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKPKH >Ma02_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13602977:13605312:1 gene:Ma02_g02360 transcript:Ma02_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEEEEVFDSYFGYVRFSPRTTTVATSTAAIRMRPGFRSYDDYIKRQLNKTLDPRLRRLWATRDWDRKVRVFARFFADLAAEGLVSNASAALCVGARMGQEVAALRGIGVVGAVGMDLAPAPPLVVAGDFHAQPFTDDSFDFEFSNVFDHALYPERFATEVERTLRPGGVAVLHVAVHRRGDKYSANDLLGGVNGLLGLFKRSDLVRVRKIDGFGLDTEVVLRKKNSHK >Ma04_p15250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11554465:11560141:-1 gene:Ma04_g15250 transcript:Ma04_t15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSNLQWMLASIPLTKTLQLALSSSFWYSCINLQICSLWMSFGVYVTGILFQTASFVSFMLISHGYCIIYERLSVRERRMTAALSCILYLTLVGYRAAVPYFTAFLLLNYSLSFYIIFRHTSQNLSVLHEQLTFIENEDTHAMHNALRTKYTMLKKFQGAMQFVAVVEAMIYLNVDETLDNYWFRLLVREWAQFCIFLYIGWTFRTQEVSLHFSVMPALKSKMEMIVPPVYSIEMDAADFNDLVSQECHVGVQTCFPSSTNEHFFKPVLIIVQNPRSASRHSTEALTRSTSNSARSTSKCGLVEDRV >Ma04_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11554465:11559073:-1 gene:Ma04_g15250 transcript:Ma04_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSLPPPPNARFLFGSSALDDSYRPLPSLYLAFLAIWAISAFAWTINTWRNRFPQTSNLQWMLASIPLTKTLQLALSSSFWYSCINLQICSLWMSFGVYVTGILFQTASFVSFMLISHGYCIIYERLSVRERRMTAALSCILYLTLVGYRAAVPYFTAFLLLNYSLSFYIIFRHTSQNLSVLHEQLTFIENEDTHAMHNALRTKYTMLKKFQGAMQFVAVVEAMIYLNVDETLDNYWFRLLVREWAQFCIFLYIGWTFRTQEVSLHFSVMPALKSKMEMIVPPVYSIEMDAADFNDLVSQECHVGVQTCFPSSTNEHFFKPVLIIVQNPRSASRHSTEALTRSTSNSARSTSKCGLVEDRV >Ma00_p02790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:21593642:21594674:-1 gene:Ma00_g02790 transcript:Ma00_t02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVSNPGGEGGHGAVVGGDGDWKGTRYRGVRKRPWGRYAAEIRDPAKKCRVWLGTFDTAEEAARAYDAAALRFRGPKAKTNFPCPVDPAAAVAAAPAGFGSIAAAPASTSSSTVESSTPSRQRAARHPPLDLDPGQPSARFLFPHAAAAVAACPRPLVLFDPIAASTKAAAAAKAVRPAMVKVFRSLSGVESDSDSSSVVDCPCSPSASPKGRVRFDLDLNMPPPPEVA >Ma05_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5170401:5172832:1 gene:Ma05_g07060 transcript:Ma05_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLIDVSSEDDLLTSPHCGDPNDQSSSGESQVKTFKQMEQAIQEAELKQLKSGKLRLRKSLDWDRDFFTSEGVLNHEELATLNSTFKKTEAYSLPTILEDARRKAESNSTLDNDSWALENLEVDLFENVGACKQKALVNGEKALSLLHSSKKNNPVKEDEQPHGSSVKFEPFSHIKNKSLMPSERQGVNRHLHKHNSGGATVVTNVV >Ma08_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9645989:9650266:-1 gene:Ma08_g12650 transcript:Ma08_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRSRSRSRQAGHPPSFPCHNCLLLPLFRFCFLLPLILLLPSFSSSSLASANQEVTALYRWINSSSSSPLSRALSDWNPAHATPCKWSHITCNAAGSVSTITIQSVPLTVPLPVGLCSALPSLTTLVVSDANLTGTIPTDFAACSLLTLLDLSSNSLSGAIPPALSRLPYLASLIINSNQLSGPIPEELSAAASLRHLIIFDNRLSGPIPDSLGDLSLLETLRAGGNRDLSGSIPDSLSRCANLSVLGLADTKISGPIPASLGRLSNLQTLSIYTTMLSGSIPPELGNCSSLVNLYLYENSLSGPLPPSLGRLPKLERLLLWQNVLSGPIPDEFGGLSSLRSMDLSINSISGAIPPSLGALSNLQDLMLSDNNISGSLPPSLANLTSLFQLQIDTNQISGLIPAEFAALKSLAVFFAWQNLLEGAIPLSLASLSNLQALDLSHNHLTGPMPPGLFLLRNLTKLMLLSNDISGPIPAEIGRCTSLIRLRLANNRIAGPIPVEIGGLKSLDFLDLSANRLTGPVPASIGNCSQLQMVNLSNNTLSGAVPDSLSWITRLEVLDLSLNQLTGPIPGSFGKLASINKLVLSGNSLTGPIPPSLGRCLNLELLDLSSNQLTGGIPDDLCLIEGLDIALNLSRNALTGPIPEKISILSKLSVLDVSYNLLDGSLAPLSELENLVTLNVSNNNFTGYLPDTKLFRQLSASDLAGNQGLCTHGGDVCFVTLDANGRPIMTAEAESRRVHRLKLAIALLITSTVAMLLGLIATIRAKRMAAGNGSEDDDSETGGGMSWPWQFTPFQKLSFSVDQVVRSLVDANVIGKGCSGVVYRVQMDNGEAIAVKKLWPTSASAGKMAAKEDCNSSRVRDSFSAEVRTLGSIRHKNIVRFLGCCWNKNTRLLMYDYMANGSLGGLLHERTGFSLEWDLRYQIVLGAAEGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYLADFGLAKLVEDGDLARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVMLEVLTGKQPIDPTIPEGLHVVDWVQRRRGSLEVLDPSLKGRPETHVQEMLQVLGVALLCVSATPDERPTMKDVAAMLKEIRHEREEFAKVDFLLKKAEPSAAVDATTSASSSALGVPIGS >Ma09_p05040.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3230565:3234669:-1 gene:Ma09_g05040 transcript:Ma09_t05040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Ma09_p05040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3230565:3234640:-1 gene:Ma09_g05040 transcript:Ma09_t05040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Ma09_p05040.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3230565:3234694:-1 gene:Ma09_g05040 transcript:Ma09_t05040.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Ma09_p05040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3230565:3234633:-1 gene:Ma09_g05040 transcript:Ma09_t05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Ma09_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3230565:3234700:-1 gene:Ma09_g05040 transcript:Ma09_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Ma09_p05040.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3230565:3234694:-1 gene:Ma09_g05040 transcript:Ma09_t05040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEAKDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Ma05_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7113997:7126434:1 gene:Ma05_g09900 transcript:Ma05_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIHDYLLKRNLQATAKAFKEEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSQVAAAYIETQRTKAKEEHQRQLHMQQLQVMQQQHAQMHRRGANHPAIGGTINATNTNGNLGPSTASLLAAKMYEERMKQPQSVDTEISPQFLDANRVALLKSATSHPGQFIQSNPVGGSAAMQHIQGQNQQTSGVMGAEQRSLHMDPSSLYSQGIMQAKSGLSGAGMNQGVNGLPLKGWPLRGIDQLRQNFGSQVNKPFVPNQSQFQLLSPDHQQQILAQAQAQSNTDSSNYGMDPRRLRALPRSELGGKDGQLNGNDASIGSPSQSSSPKVRQDQAFMKMQQSSNQQTHELVQEQQLQQNTRKRKPSTSGAANSTGTGNTVARSANSPPSTPSIHTTGDGVSMASNLQNASTMSKSLMMYGTDRAGGLVSSSNQMDDLENFGDVGSLDDNVESFLSNDDGDGRDIFAALKSTAEHNVESLNGTFNEFGCIRTSNSKVVACHFSSDGKMLASGGHDKMVVIWNMDTLQTVSSSQEHSHIITDVCFQPNSSQLATSSFDKTVRIWNAAESIHCLNTFTGHGSHVTSLDFHPKEMDIFCSCDDNGEIRYWNANQYLCTRVSKGATVQVRFQPRSGQFLAAAAENVISIFDVETHTPTRTLQGHKKEVHSICWDANGNTLASVSQDLVKIWSLHTWDCIHELNSNGNPFHSCIFHPRFPQILIIGGYQSLELWNVIENQTISVPAHEGVIAALAQSHSTGMIASASHDKSVKIWK >Ma08_p05490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3736389:3739062:1 gene:Ma08_g05490 transcript:Ma08_t05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase 14 kDa subunit [Source:Projected from Arabidopsis thaliana (AT2G29540) UniProtKB/TrEMBL;Acc:A8MRK9] MEHGSATDSSSSTFSLMDEDHTLANSVRYTLNQDPRVIFCGYSIPHPSENKVNIRVQTTGDPAKDVLKDGFQNLMVMCQHVRSTFGKAVDDFKSSEGMKIDTP >Ma03_p31350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33598281:33599426:1 gene:Ma03_g31350 transcript:Ma03_t31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDSCLLRPCLQWIDSAEAQAHATVFVAKFFGRAGLMSFISAVPPSQRPALFQSLLFEACGRTINPVNGAVGLLWAGNWHLCQEAVETVLRGGTLRSLFGHGDDETPEADGPCPRPRIGFSSFSAAKRRKAPAPSDAAAACDLDLCLTRRSPAGGFEEKRRRRPATPSMNSEGSVTTSGGESDSSTAEPRLLNLFA >Ma03_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27866308:27867936:-1 gene:Ma03_g23240 transcript:Ma03_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGIRYFRELVNRSFFQEALLGSKYVMHDLIHDLAQFISEGEFYKIEDDESKEIPNTTRHLSATLIGKTKLPEFSCYEKLRTLMINYQSFCYGIRVDGSLFLQFERLKNIRVLILKSCGLRELPETIGDLIHLRYLDISYNRQIWRLSESLCGLYNLRVLDLSECELQSLPYCMSKLINLMHLNAEDKIISEINDVGKLTSLQGLCSFKVLKDQGHKVAQLGGLKQLHGQLRITNIENVESKQEASKANLNNKQYLDALALQWTSDDGSSLDGNELVMSEEVLEGLQPHQALKRLTIRGYNGVRSPSWLQAQLLSNLITLELENCTAWEDLSCIGQLPNLKNLYVKGMPAVKQISHGLSTESKFLPNLEELVLENMVALEELPSLLQLSNLKVLHIERMPAVKEIGHEFFNHIDKNWLPKLEKIHLEDIPACERLPCIGQLPCLKILRIERMSAVTKVGHEFFGCRDQGKCFPSLEELKFSDMPAWQEWSWADGGELFPCLRRLEIVRCPKLQRLPPLPPLETLRLDEVGLTGATLFCFR >Ma05_p00270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:191381:191921:1 gene:Ma05_g00270 transcript:Ma05_t00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMTRRLSRVADSSQHPAVEDTKGPHQAVRGGEGRQHSRRVPRGHVPMCVGKEMQRFVVRAEILGRPAFLELLRLSAQEYGYEQQGVLRIPFPAPLFRRLLLLLSSSSSDPALEELFRSLPDDIPRSPSASPAQLSLR >Ma05_p26460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38054395:38076137:1 gene:Ma05_g26460 transcript:Ma05_t26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRKVVPACGALCFLCPSLRERSRQPVKRYKKLLADIFPRAQDEEPNDRKIGKLCEYASRNPLRIPKITTYLEQRCYKEMRNERFGSVKVVMCIYRKLLIACREQMPLFASSLLSIIHTLFDQTRHDEMQIIGCYTLFDFVNSQVDGTYQFNLESMVPRLCSLAQEVGEDENAGSLRAAGLQALSSLIWFMGEFSHISSEFDSIVSAVLENYGVPKKKSEDGQQSEQVTQSRWVEEVLKTEGHVTPSPFVITRVPSWKSIVNDRGELNLTTDETKNPNFWSRVCVHNMAKLAKEATTVRRVLESLFRYFDNNSSWSVENSLARYVLLDMQLLMEKAGQNTHLLISILVKHLEHKAVLKQPDIQLNIVEVTASLAEQSKAQASVAIIGAITDLVKHLRKSMHCALGSENLGDDIVKWNNNFQTAVDECIIQLSKKIGDAGPVLDMMSVMLENISTNVSMARSTISAVYRTAQIIASIPNLTYQNKAFPESLFHQLLLAMVHPDHETRVGAHRIFSVVLVPSSVCPQPCSVTPESPKNSDLQRTLSRTVSVFSSSAALFEKLRREKGSLTEKPYQQNVNIVPYSYDGRENSSNEAQLYKLQSSRSRARSIKVTPPVTADNVTMNKSNKDSVLLRLNNRQITLLLSSIWAQALSPENMPDNYEAIAHSYSLTLLFSRAKTSIHECLCQSFQLTFSLRSISLGGGSLPPSRRRSLYTLTTAMFIFSSKAFNIGPLIPIVKSSLNERTVDPFLRLVEDGKLQAVNTASNNFSIAYGSQEDDNNALESLQAVELTESQSKESIVSLIMNSLSDLSDSEISTIKTQLLSDFLPDDVGPLRPQFVETSGQILPFESQKENTLEVTSRNLIDFDNFPEGFETVTDHSQLANGTFDLLSVDQLLETVLETAWPVGRFSASSTSDVPFKEMAGHCEALTMGKQQKMSVFTSAQQNHDILFGGPLEELYEEKKSSFSNTNQSEKSGNPFLDEKLCADLQRQFCGNNMILNAEFHHQPQCLRLPASSPYDNFLKAAGC >Ma08_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:229256:236040:-1 gene:Ma08_g00260 transcript:Ma08_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKDKLAAKAAKEQAKVSSKPSLAPSYGNGSSSNAYNPDSGTFHTFDTMASGSLPAGQLNGHFGTIDEMEEHSGSSSLGTTGEFDSMSNHNGYSGESEDQKEKSTTNNAIRVESVPGCDTDKREKIRQKNEKKHQRQKERRAQELHERCCGYLMSRKLEMLSQKLVAMGFSSEQATMALIQNEGRVEESIAWLLEGNEESKQQIAANIDSSVNLKIDITAELAEISDMVVKFKCTKQEVERAVVACEGDLEKAEETLKAQKQEPKSSPLKLEETGDSATASDLDNKIAIPIQNATSRHQQKGLASVGTQQQRRDERDPNYLKTVTNGDVESTSRNLQSLRRVQSKPDWGRTQVAAPVEKKWSNFSPTPSISYSLSSLQVAASPTTRSVMATSESRANMPSIKLREPVSVMQRPQSVNAKHNITSTSPSISVSPPASTGWYSNGISSMEMMMANGGLGHGPHYLGLNGSSAQQLVPQSHFQTSSGFDGSWNAAGSSSSSSSSLVVPPSLGIFTGWGSSGSSFSSPADWSSGGSTPCDYTSIDWSLDTTLLRPSTKSDRLSATWSTMFMGGRAARPGMDATGGIYVPGMHDDGLSTDSSYLSGSLEWSSPFAGRDLFSVPRRFVTNSSSL >Ma03_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15043309:15048020:-1 gene:Ma03_g15240 transcript:Ma03_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPMDGISNTFYFSRTGNSQKLEHKKHGFEAPRNSFDISIDKSEGYHCVSEDIRYSFQVKQHSSKMNHLPNGTSMKKLMHGPNLVARLMGIESIPSERSTKIPVKEHEVDRSRKAMEMSNKPMDNSSGLGTPCSSTSSRQTKTRRPQPREHPQEELLQRFKKDFLAWQASKLWEHPRSKKSHVLGDGKDRQAHAPKNLESKKSLKRTQLKEVVSPARRDVNGQGSSSRKTMKLGSRVNGPEHFPVAKADRQHERTCSPTRIVILKPNCDRSDDNEESSLVASSDELEKEYSMDDFLEEVKERLRNETPNVKGRGNRMGTPLSERSSDPKQIARGIARHIRESVTKDRGTTLARSESTRSIRSDIQINTPDSPEFIRRDTRKFLSAKSKNILKDEILLGDSGAFSLIRDKAIPDQGKSVDHWKDKKAVIESIPRKREKSVAQRNLIRSFSAPVSGTAFGKLLSEDQHITGAQIRRKHEASEHNLSELRNQRKDGLDLKGRVSNLKHSFNLKGKLFGKKTELIKEQPAASGFNTVKETPTAPPAVTSAGITQENSTEVPPSPASVSSGSPDEFCRQDSPSPVSPLEVMEYHTTACISEALSSDAPARISLPDPHLSEQAEHGGSEMAATEQPHNQETTVSADQASSKSGAVTRPISHQVFEEEEEAYGKYWKSSIVHNDDIAVRHKLLFDLVNEALQSVLGPQIHCSMFKRRSPGQAASPQAKSLLLDLWNQIQVYMSPPLHESDVNSVVVEEVKMTTWPSMLHEDVDVVGRQIERVVLRDLIDDIVQAMIALPSMRPAGCL >Ma03_p15240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15043309:15048020:-1 gene:Ma03_g15240 transcript:Ma03_t15240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPMDGISNTFYFSRTGNSQKLEHKKHGFEAPRNSFDISIDKSEGYHCVSEDIRYSFQVKQHSSKMNHLPNGTSMKKLMHGPNLVARLMGIESIPSERSTKIPVKEHEVDRSRKAMEMSNKPMDNSSGLGTPCSSTSSRQTKTRRPQPREHPQEELLQRFKKDFLAWQASKLWEHPRSKKSHVLGDGKDRQAHAPKNLESKKSLKRTQLKEVVSPARRDVNGQGSSSRKTMKLGSRVNGPEHFPVAKADRQHERTCSPTRIVILKPNCDRSDDNEESSLVASSDELEKEYSMDDFLEEVKERLRNETPNVKGRGNRMGTPLSERSSDPKQIARGIARHIRESVTKDRGTTLARSESTRSIRSDIQINTPDSPEFIRRDTRKFLSAKSKNILKDEILLGDSGAFSLIRDKAIPDQGKSVDHWKDKKAVIESIPRKREKSVAQRNLIRSFSAPVSGTAFGKLLSEDQHITGAQIRRKHEASEHNLSELRNQRKDGLDLKGRVSNLKHSFNLKGKLFGKKTELIKEQPAASGFNTVKETPTAPPAVTSAGITQENSTEVPPSPASVSSGSPDEFCRQDSPSPVSPLEVMEYHTTACISEALSSDAPARISLPDPHLSEQAEHGGSEMAATEQPHNQETTVSADQASSKSGAVTRPISHQVFEEEEEAYGKYWKSSIVHNDDIAVRHKLLFDLVNEALQSVLGPQIHCSMFKRRSPGQAASPQAKSLLLDLWNQIQVYMSPPLHESDVNSVVVEEVKMTTWPSMLHEDVDVVGRQIERVVLRDLIDDIVQAMIALPSMRPAGCL >Ma03_p15240.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15043309:15048020:-1 gene:Ma03_g15240 transcript:Ma03_t15240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPMDGISNTFYFSRTGNSQKLEHKKHGFEAPRNSFDISIDKSEGYHCVSEDIRYSFQVKQHSSKMNHLPNGTSMKKLMHGPNLVARLMGIESIPSERSTKIPVKEHEVDRSRKAMEMSNKPMDNSSGLGTPCSSTSSRQTKTRRPQPREHPQEELLQRFKKDFLAWQASKLWEHPRSKKSHVLGDGKDRQAHAPKNLESKKSLKRTQLKEVVSPARRDVNGQGSSSRKTMKLGSRVNGPEHFPVAKADRQHERTCSPTRIVILKPNCDRSDDNEESSLVASSDELEKEYSMDDFLEEVKERLRNETPNVKGRGNRMGTPLSERSSDPKQIARGIARHIRESVTKDRGTTLARSESTRSIRSDIQINTPDSPEFIRRDTRKFLSAKSKNILKDEILLGDSGAFSLIRDKAIPDQGKSVDHWKDKKAVIESIPRKREKSVAQRNLIRSFSAPVSGTAFGKLLSEDQHITGAQIRRKHEASEHNLSELRNQRKDGLDLKGRVSNLKHSFNLKGKLFGKKTELIKEQPAASGFNTVKETPTAPPAVTSAGITQENSTEVPPSPASVSSGSPDEFCRQDSPSPVSPLEVMEYHTTACISEALSSDAPARISLPDPHLSEQAEHGGSEMAATEQPHNQETTVSADQASSKSGAVTRPISHQVFEEEEEAYGKYWKSSIVHNDDIAVRHKLLFDLVNEALQSVLGPQIHCSMFKRRSPGQAASPQAKSLLLDLWNQIQVYMSPPLHESDVNSVVVEEVKMTTWPSMLHEDVDVVGRQIERVVLRDLIDDIVQAMIALPSMRPAGCL >Ma03_p15240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15043309:15048020:-1 gene:Ma03_g15240 transcript:Ma03_t15240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPMDGISNTFYFSRTGNSQKLEHKKHGFEAPRNSFDISIDKSEGYHCVSEDIRYSFQVKQHSSKMNHLPNGTSMKKLMHGPNLVARLMGIESIPSERSTKIPVKEHEVDRSRKAMEMSNKPMDNSSGLGTPCSSTSSRQTKTRRPQPREHPQEELLQRFKKDFLAWQASKLWEHPRSKKSHVLGDGKDRQAHAPKNLESKKSLKRTQLKEVVSPARRDVNGQGSSSRKTMKLGSRVNGPEHFPVAKADRQHERTCSPTRIVILKPNCDRSDDNEESSLVASSDELEKEYSMDDFLEEVKERLRNETPNVKGRGNRMGTPLSERSSDPKQIARGIARHIRESVTKDRGTTLARSESTRSIRSDIQINTPDSPEFIRRDTRKFLSAKSKNILKDEILLGDSGAFSLIRDKAIPDQGKSVDHWKDKKAVIESIPRKREKSVAQRNLIRSFSAPVSGTAFGKLLSEDQHITGAQIRRKHEASEHNLSELRNQRKDGLDLKGRVSNLKHSFNLKGKLFGKKTELIKEQPAASGFNTVKETPTAPPAVTSAGITQENSTEVPPSPASVSSGSPDEFCRQDSPSPVSPLEVMEYHTTACISEALSSDAPARISLPDPHLSEQAEHGGSEMAATEQPHNQETTVSADQASSKSGAVTRPISHQVFEEEEEAYGKYWKSSIVHNDDIAVRHKLLFDLVNEALQSVLGPQIHCSMFKRRSPGQAASPQAKSLLLDLWNQIQVYMSPPLHESDVNSVVVEEVKMTTWPSMLHEDVDVVGRQIERVVLRDLIDDIVQAMIALPSMRPAGCL >Ma03_p15240.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15043309:15048020:-1 gene:Ma03_g15240 transcript:Ma03_t15240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPMDGISNTFYFSRTGNSQKLEHKKHGFEAPRNSFDISIDKSEGYHCVSEDIRYSFQVKQHSSKMNHLPNGTSMKKLMHGPNLVARLMGIESIPSERSTKIPVKEHEVDRSRKAMEMSNKPMDNSSGLGTPCSSTSSRQTKTRRPQPREHPQEELLQRFKKDFLAWQASKLWEHPRSKKSHVLGDGKDRQAHAPKNLESKKSLKRTQLKEVVSPARRDVNGQGSSSRKTMKLGSRVNGPEHFPVAKADRQHERTCSPTRIVILKPNCDRSDDNEESSLVASSDELEKEYSMDDFLEEVKERLRNETPNVKGRGNRMGTPLSERSSDPKQIARGIARHIRESVTKDRGTTLARSESTRSIRSDIQINTPDSPEFIRRDTRKFLSAKSKNILKDEILLGDSGAFSLIRDKAIPDQGKSVDHWKDKKAVIESIPRKREKSVAQRNLIRSFSAPVSGTAFGKLLSEDQHITGAQIRRKHEASEHNLSELRNQRKDGLDLKGRVSNLKHSFNLKGKLFGKKTELIKEQPAASGFNTVKETPTAPPAVTSAGITQENSTEVPPSPASVSSGSPDEFCRQDSPSPVSPLEVMEYHTTACISEALSSDAPDPHLSEQAEHGGSEMAATEQPHNQETTVSADQASSKSGAVTRPISHQVFEEEEEAYGKYWKSSIVHNDDIAVRHKLLFDLVNEALQSVLGPQIHCSMFKRRSPGQAASPQAKSLLLDLWNQIQVYMSPPLHESDVNSVVVEEVKMTTWPSMLHEDVDVVGRQIERVVLRDLIDDIVQAMIALPSMRPAGCL >Ma03_p15240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15043309:15048020:-1 gene:Ma03_g15240 transcript:Ma03_t15240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPMDGISNTFYFSRTGNSQKLEHKKHGFEAPRNSFDISIDKSEGYHCVSEDIRYSFQVKQHSSKMNHLPNGTSMKKLMHGPNLVARLMGIESIPSERSTKIPVKEHEVDRSRKAMEMSNKPMDNSSGLGTPCSSTSSRQTKTRRPQPREHPQEELLQRFKKDFLAWQASKLWEHPRSKKSHVLGDGKDRQAHAPKNLESKKSLKRTQLKEVVSPARRDVNGQGSSSRKTMKLGSRVNGPEHFPVAKADRQHERTCSPTRIVILKPNCDRSDDNEESSLVASSDELEKEYSMDDFLEEVKERLRNETPNVKGRGNRMGTPLSERSSDPKQIARGIARHIRESVTKDRGTTLARSESTRSIRSDIQINTPDSPEFIRRDTRKFLSAKSKNILKDEILLGDSGAFSLIRDKAIPDQGKSVDHWKDKKAVIESIPRKREKSVAQRNLIRSFSAPVSGTAFGKLLSEDQHITGAQIRRKHEASEHNLSELRNQRKDGLDLKGRVSNLKHSFNLKGKLFGKKTELIKEQPAASGFNTVKETPTAPPAVTSAGITQENSTEVPPSPASVSSGSPDEFCRQDSPSPVSPLEVMEYHTTACISEALSSDAPARISLPDPHLSEQAEHGGSEMAATEQPHNQETTVSADQASSKSGAVTRPISHQVFEEEEEAYGKYWKSSIVHNDDIAVRHKLLFDLVNEALQSVLGPQIHCSMFKRRSPGQAASPQAKSLLLDLWNQIQVYMSPPLHESDVNSVVVEEVKMTTWPSMLHEDVDVVGRQIERVVLRDLIDDIVQAMIALPSMRPAGCL >Ma09_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:865204:866899:1 gene:Ma09_g01170 transcript:Ma09_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAWLKRASVNSSVAANTLGFKGFWIPLVEIPRGFPLFSFFVCFRFGFLAEATIDNSSDLQAFLKQEGQKAMIGQMVGKLTDQCWDKCISGTPGSKFSSKDSACLGNCARRFMDMSMLIMKKLQSMQ >Ma08_p28950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40737448:40741184:-1 gene:Ma08_g28950 transcript:Ma08_t28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MMLRSAIFFLPSPSSSPCFPSLPPKSLIISPRVLLSLRSFCHQRRWQARKKPPFSLCRSTQTDAAFPFPSFQHRQPPEAEDDKWDSSKYEALLRGGEQVTSVLQDMVNLLADMDMDEASERVAVEIAAQGVIGKRVDEMESGFMMAIDYMIQMAEKDNDDQRKSLLEVVKQTVLDHLAKKCPPHVQVIGLLCRTPDKESRHELLRRVAAGGGVFKSEKGLKVHLPGANLNDIANQADDLLETMESRPSIPDRKLLARLVLIREEARNMMGGGLLDERNDRGLNTLPEAEVNFLSTLVSLRPGSTVQKMISDVMHGKNEGADNVGSGDEDPNSEQNTLGGFAGRGSVTGRRPRPVRPGMFLETVSKVLGGIYSGNVSGITAQHLEWVHQKTLQILQEMAF >Ma07_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22223749:22224920:-1 gene:Ma07_g17820 transcript:Ma07_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGVVTVYGNGAALTEPKKSSTFSVKVGLAQMLRGGVIMDVVTPEQARVAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTPADDQHHINKHNFRVPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIVEAVRHVRSVMGDIRALRNMDDDEVFAFAKRIAAPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYTDPEILAEVSCGLGEAMVGSNLNDANVERFASRSE >Ma03_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23237302:23248404:1 gene:Ma03_g17640 transcript:Ma03_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLLSDARQHLQQHPAPPPPPPPQHPPPFGSGGGGGLGFLKGSLGELEEVSDLLQSVDGAVDVKCLPRSKSDEVDEDMYLAIAHQNYKAAKYKQALEYGNAVYERNPRRKDNLLLLGAIYYQLHDYDMCIARNEEALGIDPHFSECYGNMANAWKEKGNVDLAIRYYLTAIEIQPNFCDAWSNLASAYMQKGRLNEAAQCCRQALALNPFLVDAHSNLGNLMKAQGFVQEAYKCYLEALRIQPTFAIAWSNLAGLFMEAGDLSKALMYYKEAIKLKPSFADAYLNQGNVYKAMGMLQEAIMCYRHAVQARPNYAMAYGNLASTFYEQGQLDLAIHHYNQAITCDPRFVEAYNNLGNALKDSGRVEEAINCYRSCLALQSNHPQALTNLGNIYMEWNMMTAAASFYKATLSVTTGLSAPYNNLAVIYKQQGNYADAIACYNEVLRIDPMAADGLVNRGNTFKEMGRVSEAIQDYIKAVVIRPTMAEAHANLASAYKDSGHVEEALRSYKQALLLRPDFPEATCNLLHTLQCVCDWDGRDSKFVEVEGIIKRQIKMSVLPSVQPFHAIAYPIDPLLALEISRKYAAHCSLIASRYGLPAFAHPPRMPVKSEGRSGRLRVGYVSSDFGNHPLSHLMGSVFGMHNKENIEVFCYALSPNDGSEWRQRIQSEAEHFTDVSSMSSDMIGRLINEDKIQILINLNGYTKGARNEVFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTRFSHIYSEKLVHLPHCYFVNDYKQKNRDVLSPICRHKRSDYGLPEDKFIFACFNQLYKMDPELFNAWCNILKRVPNSALWLLRFPAAGEMRLRAYAASKGVRPDQIIFTDIAMKNEHIRRSALADLFLDTPLCNAHTTGTDVLWAGVPIITLPLEKMATRVAGSLCLATGVGEEMIVSSMKEYEEKAVALAQNPLKLQALTNRLKEARMTCPLFDTIRWVRNLERAYFKMWNLYCTGQHPQHFKVTENSDEFPYDR >Ma08_p25240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38172499:38174672:-1 gene:Ma08_g25240 transcript:Ma08_t25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLEHLFMQVFDRKAWIEGQLREQIESYGQSLAYNLLADGRRPPPWLWDTGSDALRPKELIREQRVQGIIFPLPWATTPSTNHNTLHTLPALKSTNMCQYSSFASEMCISNECIYPEHNDGDTSDAIYKDHEKECYIACSEDVKDSPVFDQIASAQCYRSMQWKPESHLNQKLNAANSGNECTVKQYARRATRTMAASLMPEHDEETSDMKNSMVPNGIATQTLDCLPSLDNISKPLSPSGFITSKATANQASFMQTVSREAPDLIANSPHDASAKEQCTGALAKEFVLNGSNSVVPGLEAVPSENSHHSHNQLLAVVKKLSFDEK >Ma11_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24491955:24494830:1 gene:Ma11_g19760 transcript:Ma11_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRGGGGGGAAAVAGGVFSRYFSRKRSPDLRRINPKVPTEEATVISRNLYRIVKNNGPLSVSHTWNHAKEAGIDGLNSKTHMKILLKWMMGRKMLKLSCTHVRNAKKFHYSILPEDPQARKNLSSPSPAPDTTKASGKVEKQQQKRSRKGK >Ma09_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2293851:2296299:-1 gene:Ma09_g03410 transcript:Ma09_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWIFFPLIVSLILSAAAQQDEFIYTGFSAAADGGVNGGGRNISLIKTAEIQDGGILRLTNETTRLIGRAFYPEALRFRNAADGSAFSFSTAFAFAIVPEYPKLGGHGFAFTIAPSKELPGAQPSQYLGLMNSSDIGNATNHVFAVEFDTVQDFEFLDINDNHVGIDINNLASNRSAAVAYFGSDGAKNDLNLKGGHTVQAWVDYDGVAKVVNVTVSPFSSKPDTPLISFPVDLSPILQDEMFVGFSASTGLLASSHYLLGWSFKMNGVARSLDLSSLPSLPEPSKKNIPLIVAAPVTAFVVLVAAIAAAAYLFYKIKNADVIEPWELTCGPHRFSYEELKRATKGFRDRELLGFGGFGKVYKGTLPGSRVEVAVKRVSHESRQGIREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDKFLFCDDRHGQPPGPLLSWSQRFRILRGVASALLYLHEEWEHVVIHRDVKASNVLLDAELNGRLGDFGLAKLYDHGANPSTTRVVGTLGYLAPELTRTGKATTSSDVFAFGALVLEVVCGRRPIEPKAAPEELVLVDWVWERWTAGQWADVVDPRLGGEYDREEVAVALKVGVWCSHPSAAARPGTREVARYLDGGDAAEVPPMPGPAEYSAAGESSVGFDDFVHSYPSSFEKVSLCSAAVVEEAAGPAYSPVSRFSQVSL >Ma08_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14904432:14906875:1 gene:Ma08_g14980 transcript:Ma08_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKEYGRLVVIVDVIDQNRALVDAPDMVRGQMNFKRLSLTDIKIDIPRVPKKKTLISAMEAADVKNKWENSSWGRKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKENAA >Ma07_p28490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34511003:34512007:-1 gene:Ma07_g28490 transcript:Ma07_t28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLERRELGNTGLKLSCLGFGASPLGNVFGDTVLGNCLHELNVPREEIVVSTKCGRYIDGFDFSAERVTRSIDESLARLKLDYVDILHCHDIEFGFLDQIVNETIPALQKIRETGKARFIGITVLMTQP >Ma10_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5027753:5030715:1 gene:Ma10_g01690 transcript:Ma10_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEIGEHASMTEWIDEKIHEYKGSSSSSSDSENDKPSSHGWRKKHLFGRKASVHAVLGGGKSADIILWRNKQLSGSILAGVTVIWLLFEWIGYHLLTFICHSFIFSLAVFFVWSNAASFVNRSPPKFPEVILPEDVFLTTAHAVRYKINEAFATFRCVASGKDLKKFLRVIGGLWILSMVGSWFSFWTLFYIVFLVLYATPVLYEKYEDHVDIAAEKAMVEINKHYAVFDAKVLQKIPRGPFSDKKQH >Ma02_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24300822:24305380:1 gene:Ma02_g17390 transcript:Ma02_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGSSWVRRAKFSHTVYHRLDSSKLPSIPLLVRSESNLELKPKRTAEASKLASISLPVNRDAGPKETGTISHSTTLPSLQFLEDSHHGSEAGKPSSKSPASVNSDQQPSANAMNSMRESLISPKMGNKHPNLKFKTLRNLEFSDFSFPVDRESKVSPETMSSGSSAFTFQRDVDRRLSARGSISGTTSVSRTSVKAQLDSDSQKSGHFSYRNGQNYKLKQRSISPLPTTTLSDVFKEARANERRFSTPPPRRRGSDKGVFGKLFSREVRDHHVPRYLPPPETNPLNHFSLVKASDKHKSQKEALWTSYFEHGEGKVNAVDTLHEWMVDLSQLYLGLRFASGAHSKLHHGIYKDRPVAVKIITQPDDDENGLMAARLEKQFTREVTLLSHLYHRNVIKLIAACKEPSVFCIITEYLSGGSLRAFLHKLEHKSLPLEKLIAFALDIARGMEYIHSQGVIHRDLKPENILFDQDFCVKIVDFGIACEEAYFDALAEDPGTFRWMAPEMIKHKAYGHKVDVYSFGLVLWEMATGRIPYEEMTPIQAAFAVVNKNLRPVVPPECPDALRALIEQCWALQPDKRPDFWQIVKVLEQFESALAQNGTLDTVANMNCQDHKNRLLHWIQKLKPTHTDGSGHPTPKLLTSMPKLL >Ma05_p09510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6875676:6878122:1 gene:Ma05_g09510 transcript:Ma05_t09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNWFSVENWLQATPSSPASFFIIAGESFWAWSNGKVYSPMHGVTVSGKETRYSVLLFAMPKNERPIQAPVELVDDKHPPIFKPYYYDDYLRFCFSEEGMMQQCKLVAYCGTDATKEADA >Ma06_p21530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15756987:15760294:-1 gene:Ma06_g21530 transcript:Ma06_t21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIHRPKWEGGEYEGDDSRRFNALCLAMELRAEYIDIELKVAGDFVRFISGKRPETFKLIVSSHNYQSTPSRDVLGSLVAGIQAVGADIVKIATTALDIVDVTHMFQIIVHCQGRNQLLDSRLSLTC >Ma04_p29840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30656501:30658097:1 gene:Ma04_g29840 transcript:Ma04_t29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCSRSSLLLQLLEKCQSMRQLKQTQAQIITTALAQDAFFLSRLLAICSHPTHGSLSHAHLLFRHIHSPTLCVRNTMIKAFLLREDYANPFEIYCGLLRDGLFPDNYTFPYVLKSCAGLRDLRAGAQVHSHVVKLGFCSDTFVGNTLALMYVACGDVSAAREAFDGILQRDAASWTVMISGYSQLGDVETARMMFDESPVKDRGIWGAVISAYVHNNCFKEGLSMFRLLQAEGLEPDEGVLVSALCACAQTGAEDIGSWIHHYVNRVGFALSVRLGTALVDMYLKCGSLNSAKKVFDGMTCKDTVCWNVMILGLAMHGDGEGALELFTCMKKEGLKPDDATFVAVLSACSHSGMVEEGLEVFKSMRSLYHVEPRSEHYVCVVDFLGRSGRFQEAKEVIEGMPCNSSPAERAMAWRALLSACRNHTEARWAEVAAGHLLELEDHSGVYVLLSNIYDTYGKQDDARRMRNCMKLRGVPKMPGCSSIQLGGHVHEFVAGEQIHPGMKEVYSVLETMNEQL >Ma06_p36330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35782941:35784938:1 gene:Ma06_g36330 transcript:Ma06_t36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGMRSLFTSSSAPKVGPALHLRGPRYGAAGGPLSPSCFTFSDRSMEENIAAAAEVIREWGPEVDDSLFGSGRADSGRFLQAVSDLHRSMLFFSSPSAVSCASTAVRSAALLRAHSLLSDAICRLERELKLLLSAHGQLLNPLDSLRSASFSDSSDVECAADSITEVESAADVAIRDLRAVAETMISAGYGKECVRVYKTMRKSAVDESIHRLGCELLTQAQVRKLDWAEFESKIRDWLAAAPIAFRTIFSGERLLCDRVFASSDAIRESCFADVARDAAASLLAFPETVARSKRSPEKLFRILDLYDAVSELWPEIESMFSFEATTAVRLQALASLLRLAEVARSTMANFEAAIQKDASRSRVPGGGVHSLTRYVMDYLVFLADYELPLTDIFADFPFRAQSPLPESFDAALLATPSLSAPSSPSADSTTTSFESSPWSSSPSPASSAVGSISVRIAWLVLVLICKLDGKAELYREVALSYLFLANNLQYIVQKVKESGLRLLLGDEWVARHGAKARHYAASYERLAWAKVAAAIPEDGTVTTAVEAWERMRGFNTALEAACRGQAGWVVVADGGLREEVMSAVAALVVPAYRAFYKRSRPVLRVSGAASAAVVRFSPEDVRNRIYGLFGGSMGSGSSGDSGTGSSRGSTGFGAPVGSNRLE >Ma01_p19340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14861580:14863942:1 gene:Ma01_g19340 transcript:Ma01_t19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSACVSAHDLRCFGSEKDTVGDMEEGMRPSDAERNSKASTSHAETLMSRSNSSRWQGLFRLWKQKSMRRLSSFPPVGFRKWSKKRGNRDAHPSPASRPDAHPAADFCFFRPTWKNFTISELEKATDNFSPENKIGKGGYADIYRGRLENGRLVAVKRISRGTKDERTHNFLCEMGILVHLHHPNIAKLIGVGVEGGMHLVFELSHHGSLENLLHDSKDKLAWEVRYKIAIGAAKGLEYLHERCQRRIIHRDIKVDNILLTEDFEPQICDFGLAKWLPDEVTHHTLSSFEGTFGYVAPEYCTHGVVDEKTDVFAFGILLLELITGRRAVDSSQKSLLMWAKPVLEENKVKDLVDPLLGDSYDCKQLLFAARTAFMCIQYSSVLRPRMSQVLRILRGEEGRSDNVRVLQKPFIRRTFSEGILDAEEYNSTRYLKDITRHKQIAFDC >Ma01_p01850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1242507:1248844:1 gene:Ma01_g01850 transcript:Ma01_t01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MAARRIPTTLDGPFRPVTRRLDPSLRRGSDDLPIDHPRLARKVRPPFPEQIALAASYSPSSIWVSWITGDAQIGTNVTPADPSTVASEVWYGEESGKYSFLERGTATVYSQLYPFRGLLNYTSGVIHHVRLQGLKTATRYYYKCGDSSLMALSKEHSFVTLPSCGPRYPHRIAVIGDLGLTSNSTSTIDHLSKNDPSMILMVGDLSYANQYLTTGGKGAPCFSCAFPDAPIRETYQPRWDAWGRFMEPVISKIPMMVIEGNHEIEPQVDGLTFKSYLARFAVPSQECGSNSNFYYSFNAGGVHFIMLGAYVDYNMTGAQYAWLRTDLHHVNRQVTPWVVAAWHPPWYNSYSSHYQEFECMRQEMEGLLYNHGVDIVFSGHVHAYERMNRVFNYALDPCGPVYITVGDGGNIEKVDVEFADDNRKCPSESDNIPEYGGVCHLNFTSGPAKGKFCWETQPEWSAFRESSFGHGILEVINSTYALWTWHRNQDAYKESRKGDQIYIVRQPELCFMKSAKITSEN >Ma01_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1242507:1248844:1 gene:Ma01_g01850 transcript:Ma01_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MAARRIPTTLDGPFRPVTRRLDPSLRRGSDDLPIDHPRLARKVRPPFPEQIALAASYSPSSIWVSWITGDAQIGTNVTPADPSTVASEVWYGEESGKYSFLERGTATVYSQLYPFRGLLNYTSGVIHHVRLQGLKTATRYYYKCGDSSLMALSKEHSFVTLPSCGPRYPHRIAVIGDLGLTSNSTRGKGAPCFSCAFPDAPIRETYQPRWDAWGRFMEPVISKIPMMVIEGNHEIEPQVDGLTFKSYLARFAVPSQECGSNSNFYYSFNAGGVHFIMLGAYVDYNMTGAQYAWLRTDLHHVNRQVTPWVVAAWHPPWYNSYSSHYQEFECMRQEMEGLLYNHGVDIVFSGHVHAYERMNRVFNYALDPCGPVYITVGDGGNIEKVDVEFADDNRKCPSESDNIPEYGGVCHLNFTSGPAKGKFCWETQPEWSAFRESSFGHGILEVINSTYALWTWHRNQDAYKESRKGDQIYIVRQPELCFMKSAKITSEN >Ma05_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3271955:3275553:-1 gene:Ma05_g04290 transcript:Ma05_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl-N-methylethanolamine N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) UniProtKB/Swiss-Prot;Acc:Q9SAH5] MGAATAVAVAVSVGVVLPFPFYYLLWNHPQAWVDLCGKGVDPSHRMAQISHALKAIQFVSLLSVARISRPPWYCVVLFLLGQLLNFKVYQLLGEAGTYYGVRFGKNIPWVTEFPFGYIKDPQYVGSILSLLACLCWVPFQYIFLWILGYVFMIWVESTEDPTTRAKPLN >Ma09_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33536631:33551580:-1 gene:Ma09_g21690 transcript:Ma09_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFHPFDLLDPIPPTLSYLESSLFTFPPRLSQAPALVEELDHYHYLGFTLDPLNPTSLPPFGFPPQCLLRAPSIARLDLLAVNDPFGFERSAIASFKRLRQRAETELCFRDLSDRVASLEFGLGRARSQDVDRKYTWTAEFSGPKGLGLDRKHRWTATAKAGGERGVKCAVEIKGVEEEEEEGFDRKFVWATEAKGVGKRYEKWTAEFKGKGKFSPLSRTYTWAASAKPREEEEGQEKAAVNKEKKKKGKKEKQGTVHVVEIEVKNPGAVAIRKAFTKRCNKGKKKELSPQDAALLIQMTFRSHLARRSQILRCLRELAVAKARLKEIRAIFYNFSYRRRVANDAEERQRFSEKIIVLLLTVEAVEGPDYMVRAAKKSMVEELEAMLEVVDPQPSGKLGSMRRRRFDLPDGGPISKEMMAGVAEVVEMLDQE >Ma05_p29280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40000882:40007525:-1 gene:Ma05_g29280 transcript:Ma05_t29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQETVSGVESIAAAFEWGGLLDFAVDHDEDNSLFPPWTMGASDSPMPPLPPHTATLPLVRPPSALAGEGSALARKRDPRFVCSNFVAGRTPCSCPAEKEDAGTTGAAGSERKRARKARAAPVAACRCQVPRCEADIGELKGYHRRHRVCLRCACASSVVLDGESKRYCQQCGKFHMLSDFDEGKRSCRRKLEHHNKRRRRRHADSISMTEQDKEPQKGLQPNECGTEMLNGLACQTDEKVVTDKLIERNVPFESDDGYDQQTNYGSGFLPFATSDETQNEEKADNSKSPISSTLCNSKSAYSSVCPTGRISFKLYDWNPAEFPRQLRHQVFKWLSSMPVELEGYIRPGCTILTIFIAMPEFMWEKLSQNAVSCIRYLVYAPDSLLIGRGNIHIYLCNTIVQILEDQTSLMSTRMEVQVPRLHYVYPTFFEAGQPVEFIACGSNINQPKFRFFVSFAGKYLGLYQEEITPCKVNDMDSLCHCEHQMFRINIPQTDSDIFGPAFIEVENKLGISNFVPILFGNKLICSEFEKIYRKICDDCCLDDIYRTTDADATSRSCKSFVSKQTGISALLLDFAWVLRNPVLEKREELLSSTNIWRLMRLSKFLLQMESFNLLEVVLHYLDGINLPSLKCNANDTWDDDWCLFLNYMNQAREILSQRRIYHMRLELGSENSSCRSDFSQSDGDSDKKNNVLYGNQDTGRRNKDGDKEEEEEEEEEHDATPVLMSERGQIPNCHPKEGGLRGTSLTRKTAMHVAVLVAVSAVVCFSACLALFHLNKVEDIALFLKRRLFDKQQP >Ma04_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25128568:25136407:1 gene:Ma04_g22950 transcript:Ma04_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSLADRNAGRLDGLNSENSMATDSKNIRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYASLAPLYYRGAAVAVVVYDITSQETFKKAQYWVKELQKHASPGIVMALVGNKADLHEKRAVSSQDAMEYAEKNGMFFIETSAKTANNINQLFQEIAKRLPRTSS >Ma11_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:58822:59901:-1 gene:Ma11_g00150 transcript:Ma11_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPLPPPPPEPVRYFPPAAEAARGASSSAVSLSPSLFIISAIIAFVFVASASIHLLLRFFSSRRLSSSVAAAPQLPPPVLHRRRSYSSSATVAAAAAPPDSGLSDKDKAALIDSLPLFSLASSLAVLPKSSPDCSVCLCPFRPQDELRLLPACRHAFHSQCVDPWLRSIPSCPLCRASIALPALPLPPPTVSAHAGPSRSGSFRVEIGSVSRRRSPSEVGPAVNHPPHLRTYSLGSSFEYVVDEEVEAVVARIRRQTEKDEKRTTVSEEVASGPAPPGVEVAEAAGGGGRGWLREYVDRLASSSFSSFRFSGRWSHRYDNGGGGGMGRISWDLEGSERREAEEGGYHGFYRWLIGA >Ma06_p15690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10615874:10622045:-1 gene:Ma06_g15690 transcript:Ma06_t15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAPPAKAEEKRSWADEESDEEQEAAAPPSQAADEAQPSELKKIESLSISDVKDGGDRAPEDSDGGGRLLDDPDDSDIKAVTSGETVYNSAVAFEDLKLSDELIKGLYVEMGFSRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPRKKIPQAICICPTRELAQQNHAVLLKMGKYTGITSMCAIPSDSANYIPINKRPSVTDQVVIGTPGTIKKWTSARKLSTRDVKILVFDEADHMLAEDGFRDDSERIMKEIQRSSGGCQVLLFSATFDEAVKAFVSRVIKDGNQIFVKKEELTLEKVKQYKVQCPDELAKVEVIKDKIFEFGQKVGQTIIFVRTRNSARMLHQSLTEDGYECTSVQGALKQEDRDLIIKEFKAGLTKVLITTDLLARGFDQRQVNLVINYDLPVKHENPSEPDCELYLHRVGRTGRFGSKGAVFNFLCTDRDRSVMEKIERHFQHHIPEIPNWRSEEDFESALKDAGLL >Ma03_p30000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32791787:32792140:1 gene:Ma03_g30000 transcript:Ma03_t30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSISSTQFLLLYLILLFVPSPTVAFTWQVCSTSAGNYTANSTYESNLNLLLSSLVSNGSAPGFFTDTVGQIPNQVQGLVLCRGDTNLTTCGSCLSNVTVQILRLCADNKDAVVWV >Ma09_p03130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2144063:2147323:-1 gene:Ma09_g03130 transcript:Ma09_t03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAKTIERLPLCPLFVLRRLPHRCVQVSSFPLLSQKRRSSGAIRSYMSQSVDNSEIGSKVRAGVILPATDDRIEEAIEAIKTEQVIAVPTDTLYGFACDACSAEAVNKIYEIKGRKQTSPLAISVAGVSDISRFATVDHLPHGLLDSLLPGPVTVVLRRGDSSILDKSLNPGLDSIGVRVPDFNFIRAVARGSGSALALTSANLSGQPSSVGIKDFENLWQYCAYVYDGGVLPSGRAGSTVVDLIKPGLFKILRPGSARQETTAILEKFGLEEVP >Ma09_p03130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2144063:2147305:-1 gene:Ma09_g03130 transcript:Ma09_t03130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSVDNSEIGSKVRAGVILPATDDRIEEAIEAIKTEQVIAVPTDTLYGFACDACSAEAVNKIYEIKGRKQTSPLAISVAGVSDISRFATVDHLPHGLLDSLLPGPVTVVLRRGDSSILDKSLNPGLDSIGVRVPDFNFIRAVARGSGSALALTSANLSGQPSSVGIKDFENLWQYCAYVYDGGVLPSGRAGSTVVDLIKPGLFKILRPGSARQETTAILEKFGLEEVP >Ma08_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2941554:2942411:-1 gene:Ma08_g04200 transcript:Ma08_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAFHLHHTLDYVLFIKDIISKIEEKRGATMSDSGASQVKVSSALANELRSEPLPKSSAQNSLTKSRPSCDEDWGPTVKKTTNASLPTEFSHQPEQSFPILQSALEPAIPLQSLLAVPIHQTSMACTPVDIEWPPSNSYSGFGAQLSVNEKQNPAAIFNSAFDNLDPFANWPPKPSNSASNLAYVTVPTQSHDISGSGMSSIESSTGQSKPQ >Ma09_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35934855:35942792:1 gene:Ma09_g24250 transcript:Ma09_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MATLQLAHLNQSLLPSSSASPFAAYTRKTPGRHSSRRLLPIASLPSGPAPTTRREFIFETAAAPLALAALAGAPAPARSEEPALSEWERVYLPIDPGVVLLDIAFVSDDMNHGFLLGTRQTILETKDGGNTWVPRSISSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAELPGDMVYIKATGEKSAEMVTDQGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSLDGKYVAVSSRGNFYLTWEPGQAFWQPHNRAVARRIQNMGWRSDGGLWLLVRGGGLYLSKGTGITEDFEEVPVQSRGFGILDVGYRSKDEAWAAGGSGILLRTTNGGKTWTRDKAADNIAANLYSVKFVTDEKGFVLGNDGVLLRYLG >Ma09_p02780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1915494:1917901:-1 gene:Ma09_g02780 transcript:Ma09_t02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTRGGKDYPGKLTLFVFLTCVVAATGGLIFGYDIGISGGVTSMDSFLEKFFPEVYRKEKLDKSTNQYCKFDSQLLTTFTSSLYLAALIASFFASTVTRTFGRKWSMFGGGLVFLIGAALNGAAKNVLMLILGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAANLINYGTAKIEGGWGWRVSLALAAVPAGIISLGALFLPDTPNSLIERGHPEEAKHMLRRIRGTDDVDEEYDDLVAASQESNRVKHPWANIVKRKYRPQLTMTILIPFFQQLTGINVIMFYAPVLFKTIGFGNDASLMSAVITGLVNVFATFVSIFTADKLGRRKLFLQGGSQMIVCQIIVGTLIAIKYGTSGEGHFSKAYAAFVVLFICVYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLFTFVIAQAFLAMLCHMKFGLFYFFGGWVLIMTIFIALFLPETKNVPIEEMILVWKAHWFWGKFIADDDIHVGNVEMGIDRSKIYA >Ma05_p04830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3706419:3718926:-1 gene:Ma05_g04830 transcript:Ma05_t04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSFPNISTSKDQQDGRKLANGDCKNQQVLARRQLILQHRKSLPIASVERRLVEEVRKNDTLIIVGETGSGKTTQLPQFLCNAGFCRDEKIIGVTQPRRVAAVTVAKRVAEECNVELGQKVGYSIRFEDATSSSTRIKYMTDGLLLREALLDPLLSRYSIIIVDEAHERTVHTDVLLGLLKKVQLARSHPTTNQHNFIKANAKGREDESRSFSPLKASESAKSTSLKLIIMSASLDARCFSEYFGGAKAVHVHGRQYPVEVLYTYQPEPDYLDATLITIFQIHLEEASGDILAFLTGQEEIESVERLVHDRIQQLPDGSQNLLTVPIYASLPSEQQMNAFKPAPSGFRKVILATNIAETSVTIPGIKYVIDPGLVKARSYNPVTGMESLIIIPTSKAQALQRSGRAGREGPGKCFRLYPESEFSKLTDSTVPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRMAIVKSLEQLFLLGALSDDYKLSSPVGQQMARLPLDPMYSKALILAKEFKCLEEMLIVVAMLSVESIFYFPREKMEEARAARKSFSSPEGDHITLVNVYRASAECLEKSKTTNSKEKTMEKKLNKWCRENFINYRSLRHARDIHSQIEGHIRQMGFSPSSCGDDMLQFRRCLTASFFLNAAMKQPDGSYRALSSSQTVQVHPSSVLFRTKADCIIFNELVRTNQNYVRNVTRVDPLWLPELAPQYYAADS >Ma10_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30458213:30461869:-1 gene:Ma10_g20210 transcript:Ma10_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLASVARELFFLRVGGRRRRGTSSGSIGYLLLSSSFSSSSSPNAPFSPLAFPTRGSRPSSCSLSASAKSLIPKGPVFSEGREEDERRLVCPGCGIFMQDTDPDLPGYYRKKVVAPRKEEENHFGGLSSDSDGFLEEEEEEEEGGIDGLASGSDLEFDMDDLSDRILMEGAKEGEAVDDGIDWDLDWDIEEDDEEDNLRKELDGFAPAGVGYGNNTEETLEKRKKEKVSKSEKKRRMKEVKRRDTEEDSVTVCARCHSLRNYGQVKNQKADNLIPDFDFDRLVTSRLMKPATSAPVVVMVVDCVDFDGSFPKRAVKSLFKALEGGNRHYKPSKLPKLVLVATKVDLLPSQISPTRLDRWVRNRAKAAGAPKLNAIYLVSARKDLGVKNLISRIKESAGPRGNVWVIGAQNAGKSTLINAFAKREGVKTTRLTEAAVPGTTLGILRIPGILPAKAKMYDTPGLLHPYLMTMRLTREEQKMVEIRKELQPRTFRMKVGQTAHVGSLMRLDLTQASVETIYVTIWASSNVSLHMGKTDNADEIRTKHFGIRLQPPIGQDRVAELGEWRQREMKVSGNSWDVNSIDIAVSGVGWFSLGLKGEATVVLWTFDGIEVTQREPLVLDRAPFLERPGFLLPKAISDAIGKQSRMEAEKEKMREGQTDFLLNASI >Ma10_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24000990:24002789:-1 gene:Ma10_g09870 transcript:Ma10_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g59720, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G59720) UniProtKB/Swiss-Prot;Acc:Q0WQW5] MPSSLSSALPPPPLPLPPLSDLRPPAANATASSVSWNALIRACARSPDRKHLALVLYRRMLPTARPDNFTFPAVLTACAFLSALPEGFQIHAQLLKLGFGGNLYALNSLIHFYASCGHMPLARKLFDGMSSRSRVSWNVAIDGHVGNGEYDAALALFRAMQHEFSPDEYTIQSVIGACGAVAALSLGMWAHALVLRKFDRMVADDVLINNSLIDLYAKCGSIAMARQVFERMPARDLASWNAMILGFAMHGRDEECFDTFARLASEKKFRPNSITFVGVLSACNHGGLVNDGRRYFDSMIREFGIEPRIEHYGCMVDLIARAGRIGEALDLVSSMTCKPDAVIWRSLLDACCKQNAGVEVSESVARQALESDNVDSSGVYVLLSRVYASANRWNDVGSVRRLMSNEGVKKEPGCSSIETDGAVHQFVAGDTSHPQSNRIYEKLNEVEHRLAMVGYQPDSSQAPLVAELDSVKWDSLRLHSERLAIAFGLLDAKPGEPIRVLKNLRVCRDCHTMIKLISRVYDVEIVVRDRIRFHEFKDGSCSCQDYW >Ma09_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11290258:11292464:1 gene:Ma09_g15930 transcript:Ma09_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRMNKILHLFFADISMSCNKIGKPLVRTGHFLTCKGQRYKCSGTNFRH >Ma07_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6531714:6555507:1 gene:Ma07_g08750 transcript:Ma07_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFVVVLVFLLLIFPDIDNPRLASALDATVADDDGPYRSEQFSILSYESLWHDYSPPAPPPSPPDPPSSSCEGDLGGTGDFNTLCELHTSVQLSSDFFAKAHGSFVLYPDVVLSCSVAGCSIVVNLTGEIRIGRNAKIAAGTVRMQAGNMSLAEGAAIDTVALAGDPPPQTSGVPTGTNGDGGGHGGRGASCVVKEGQTQEDSWGGDAYSWSTLMKPDNYGSKGSSTSSERDYGGGGGGRVYLVVNDVIEVNGSITADGGEGGSLGGGGSGGSIFISAAKMKGTGKISASGGSGLAGGGGGRVSIAVFSWHDDPHAFVHGGRSFGCPENAGAAGTLYDAVPKSLIVSNHNLSTQTDTLLLEFPYQPLWTNVFITNCAKVAVPLLWSRVQVQGQLSLLSGGMLAFGLTRYPYSEFELMAEELLMSDSIIKVFGALRMSVKMLLMWNSKMFINGGGDALVATSLLEASNLIVLKESSMIHSNANLGVHGQGLLNLSGPGDLIEAQRLILSLFYSIHVGRGSVLRGPLINASGDDMAPRLNCEEKDCPLELIHPPEDCNVNSSLSFTLQICRVEDIDVAGLVQGTVVHFHRARSVVVSPTGRISATGLGCKGGVGQGNILGNGLGGGGGHGGRGGDGRYGGSIVKGGIAYGNAELPCELGSGSGNDTVPSSTGGGGIIVIGSMEHPLVSLSVYGSVEADGEDFMEAGVTIGSPDGGPGGGSGGTILLFLHSLTLSDSSILSSVGGHGSQSGGGGGGGGRIHFHWSDISTGDEYLPVATVKGTINTRGGISKGHGLAGENGTLTGKACPKGLYGIFCEECPLGTFKNVTGSDETLCFQCPSNELPHRAVYTSVRGGVAETPCPYKCISERYHMPHCYTALEELINTFGGPWLFGLLLSSLLVLLALVLSVARMKFVGTDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHSPPEQITDIVYEDAYNRFVDEINALAAYQWWEGAIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRFPMCLVFGGDGSYMAPFSLHSDNVLTSLMSQAVPPTIWYRLVAGLNAQLRLVRHGHLKVTFMPVLSWLETHANPSLRQRGICIDLAWFQATTLGYCQLGLVVYAVQGETETNAIDGGCRTLKVNQILRVHSPHRNTKAGSLRNKEAVTHKRISGGVLDSYSLRMLAEKKDLFYPFSLIVHNTRPVGHQDLVGLVISILLLADFSLVLLTLLQLYSFSMADVFLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLINVIVAFICGFVHYKSSSQKHQNLQPWNLGTDESGWWLFPVGLVLCKCIQARLVDWHVANLEIQDRSLYSNDPTLFWQS >Ma02_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13636021:13644736:-1 gene:Ma02_g02400 transcript:Ma02_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDPNDAVLSDVEGDDDPIPIVLPSPSPSASTVPSPSAATIAAAEQRVRDLLAELEKERGARKSAEGTFNRLKSLAHDAIRQRDEALRDKEEAVRRRDEAIRDKEEAARSAERTVAELSDALRLKDEASKQKDSLRSEMETAAQMLLSGIGKISGKVSGFKNFSASGGLPTSQKYTGLPAVAYGVIKRANEIAEELMKQIDASSKARDQAREQVEQRNYEIAIEVSQLEAAIVGLREEASKKTSEIESLEKMVAERGSRISEMEDEISKLRQHGDDRDAKIKGLETKLESQRPLIFDQLNYTSKAYGQIREILKCVDKDNDDLSESSDSLFMWEEMDVDDNLRSLLDGTKSVYELASVAAEKVRDWLEDSNNKVNDLNEKVMELLAEKQHIGTLLRSALSSKTDEVLHVAEEGLREVGIELILDRHDEHDSNDSGENEVYILADALGTTVKESQIKIIELQHLVEALRAECSLLKSRLDAQAKEISQLKHHIKQLEEKERSANENVEGLMMDIAAAEEEITRWKLAAEQEAAAGRAVEQEFQLQLSALRKELDDAQQAAIESENKLKFKEETAAAAMAARDAAEKSLRLADIRSTRLRERLEELTRQLEESDNQDDLRNRNGYRYVCWPWQWLGLNLVRYHQTDVQQDNNEMELSEPLV >Ma09_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17621237:17622112:-1 gene:Ma09_g18310 transcript:Ma09_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPSFIVVICSSFVRGCRSIDRTTYIWCSGVLVAFILSGLLSLLCCQNYPLVNILGLALTITVTNSIVLRQIGKLTQLQKLSIDFTVRNGRTDVHIFPEDTRRTEHFVELLSKLDSCLRSLTILDNSNGLGQILNNLHRPPVLLHNIYLVGFIQTLPDWFSSLNRIVKITLRFTFLLRDAVQVLKILPALTQLVLGFNSLDECDEQLVFDCNGFKGLKLLHLESVDVRFEEGALPNIQWLKLIGYFGNQSISGMQHLHSLKEIYLDIRNQRLQEMLRTTARVHLNRSKFVIA >Ma04_p21890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24341630:24345219:1 gene:Ma04_g21890 transcript:Ma04_t21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPAVSLFGAKAADSAIRRWLSPLLFLAVVALSVSLLYFAATPAVSFPPMTTVRVELSPPVAVWQPVGAAEASDPISRSSLPPVQRPVDAAVASDPEPISSAPSVQNMPESQDVKLERVLKAAATEDNTVILTSLNAFWASPGSVLDLFLESFRIGNGTSELLSHLVIVAVDDKAYGRCLAIHPHCFHLKTEGVDYSGEKVFNTPEYLDMMWARLDFLRLILEKGYNFIFSDVDIMWFRNPLLYFYTDGDFQTSCDNFLGDPTNLKNWPNNGFNYVKSNNRSIEFYKYWYSSRARFPGVHEQNVFNIIKYDQQIQQIGVRIRFLSTERFGGFCEPSRDLNKVCTMHANCCIGLRRKIDDLRAMLDDWRKFVSLPPDTRISRRFSWSVPRSCRL >Ma04_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24341620:24344653:1 gene:Ma04_g21890 transcript:Ma04_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPAVSLFGAKAADSAIRRWLSPLLFLAVVALSVSLLYFAATPAVSFPPMTTVRVELSPPVAVWQPVGAAEASDPISRSSLPPVQRPVDAAVASDPEPISSAPSVQNMPESQDVKLERVLKAAATEDNTVILTSLNAFWASPGSVLDLFLESFRIGNGTSELLSHLVIVAVDDKAYGRCLAIHPHCFHLKTEGVDYSGEKVFNTPEYLDMMWARLDFLRLILEKGYNFIFSDVDIMWFRNPLLYFYTDGDFQTSCDNFLGDPTNLKNWPNNGFNYVKSNNRSIEFYKYWYSSRARFPGVHEQNVFNIIKYDQQIQQIGVRIRFLSTERFGGFCEPSRDLNKVCTMHANCCIGLRRKIDDLRAMLDDWRKFVSLPPDTRISRRFSWSVPRSCRYNINPYYNRSKS >Ma08_p27120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39485667:39488398:1 gene:Ma08_g27120 transcript:Ma08_t27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAVIVMNTQGKPRLLKFYEFQPPEKQHELTRSVFAVLSGRPDNVSNFVEADAIFGPGTRLVYKHLATLYFVFVFDSCENELAMLDLIQVLVETLDRCFKNVCELDIVFNFNKMHTILDEIIFGGQVLETSSERVLKAVEEISRLEKSSSSVSFVPKSVSGRFGR >Ma09_p26970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38095164:38098655:-1 gene:Ma09_g26970 transcript:Ma09_t26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGFPRRPDKATAYKQLKRHLGIMGAFIVVIRVTPYVLHYLSQEKEELKLEL >Ma03_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8485007:8487667:1 gene:Ma03_g11230 transcript:Ma03_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPILSSMSLGIFLLIWSYFQWVTLGDNPSTKVSVSVPSSTAKLYIVDLGERQSEDPQLVTASHHDMLSSVLGSKEKARDSIVYSYKHCFSGFAATLTPSQARQIAELAEVISVSPSRTFPLHTTRSWDYLGLRSGQQQPTGLLEKGKEGDGIIIGVVDTGIWPESRSFNDDGYGPVPSRWKGKCEVGQNFTVNHCNRKIIGARYYSKDVDPSEVARDYDSPRDANGHGTHTASTAAGSLVSDVNFHGLGAGTARGGAPRARLAIYKVCWGSGICGEADVLQAIDDAVDNGVDILSLSIGGYGHFPASLGAVRKGITVVFSGGNDGPVPQTINNAVPWVITVAASTIDRSFPTDIILGNGRTLVGQSMCYASSDPGDKVLVAFDSCSVVPQYLTQLADKIVLCFDRAFAAAVDAAGETSQLLARLSQAGARGAIIARFPRSILPDCSGITCVLVDFDVGGQIANYANVETASRRIPVVRVSPASNIVGSQVMSPRVAAFSARGPHTSYPDLVKPDITAPGVNILAAVRDGYQFMSGTSMACPHVSGIAALLKAVHPDWSPAAIKSALVTTGE >Ma08_p17630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26352837:26354880:-1 gene:Ma08_g17630 transcript:Ma08_t17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRITNYHRTSRRFRSTPYPLPSYHRPIPEREENLKKAASFTLEKKDWKGATCPVCMEFPHNAVLLLCSSHDKGCRPYMCATSYRYSNCLEQFKKANAKMTSTLDNQIHYSPAWKKSEVRELVCPLCRGQVKGWTVVEPAREYLNKKRRSCMQDNCSFIGNYKELRKHVRTDHPCAKPHAVDPTLEQKWRNLEYQTERADVISTIRSSMPRAVILGDYVIEMGDSDPDSDYDNEDDDSFFDNGNVIFGRRNHRSFFNALLRESTRHRRLSRNHVSEVGEGSSGYLPTIVDHASLDATFSYPLEEYDDEESTISIIHPERQHHRRRSLGRSVHGARLL >Ma08_p17630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26352837:26355135:-1 gene:Ma08_g17630 transcript:Ma08_t17630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRITNYHRTSRRFRSTPYPLPSYHRPIPEREENLKKAASFTLEKKDWKGATCPVCMEFPHNAVLLLCSSHDKGCRPYMCATSYRYSNCLEQFKKANAKMTSTLDNQIHYSPAWKKSEVRELVCPLCRGQVKGWTVVEPAREYLNKKRRSCMQDNCSFIGNYKELRKHVRTDHPCAKPHAVDPTLEQKWRNLEYQTERADVISTIRSSMPRAVILGDYVIEMGDSDPDSDYDNEDDDSFFDNGNVIFGRRNHRSFFNALLRESTRHRRLSRNHVSEVGEGSSGYLPTIVDHASLDATFSYPLEEYDDEESTISIIHPERQHHRRRSLGRSVHGARLL >Ma08_p17630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26352837:26356961:-1 gene:Ma08_g17630 transcript:Ma08_t17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRITNYHRTSRRFRSTPYPLPSYHRPIPEREENLKKAASFTLEKKDWKGATCPVCMEFPHNAVLLLCSSHDKGCRPYMCATSYRYSNCLEQFKKANAKMTSTLDNQIHYSPAWKKSEVRELVCPLCRGQVKGWTVVEPAREYLNKKRRSCMQDNCSFIGNYKELRKHVRTDHPCAKPHAVDPTLEQKWRNLEYQTERADVISTIRSSMPRAVILGDYVIEMGDSDPDSDYDNEDDDSFFDNGNVIFGRRNHRSFFNALLRESTRHRRLSRNHVSEVGEGSSGYLPTIVDHASLDATFSYPLEEYDDEESTISIIHPERQHHRRRSLGRSVHGARLL >Ma11_p07220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5774887:5775894:-1 gene:Ma11_g07220 transcript:Ma11_t07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERHSTLSKLENVREAADENEVLSPTVLKRPKEFKALMGNSSSEKGMGGSADRDALLAQVENDKRISLIRAWSAGEKTKADNKAQRKMSSILSWENTKMATIEAEIKKNEERLEKKKADSEEKAKNKIAMIHKEAEERRAMVESKHGEELLRAEEAVAKYHSTGHTPKKGAGCFSSFSP >Ma03_p06990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4798170:4800105:1 gene:Ma03_g06990 transcript:Ma03_t06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNKLVVISSFVAFFLLQATLAASQEVEDEKEFSYVTGSELGPEHWGEIHKDWVACGDGHMQSPIDLSHKRVRILPNLGHLRRSYRPAMAIVENRGHDIMLKWEDEAGVIWINGTKYALKQLHWHSPSEHTINGRRYSLELHMVHESADKNIAVVGILYKMGRHDPFLAKLERYIKKIADKHDAEEVAGMVDPRHIRKGSRKYYRYMGSLTTPPCTEGVVWTIIKKVRTVSREQVALLREAVHDDSEMNARPTQQINGRIVGFYRPQQFQY >mito2_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:592240:592401:1 gene:mito2_g00090 transcript:mito2_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPDSVLMNSPNIEESIVALVYGKLNEPTGAQIRVGLTALDHGSCYELWDVH >Ma10_p06600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19973746:19984881:-1 gene:Ma10_g06600 transcript:Ma10_t06600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMDSFSIREYAARMRSVDYDKCWPFADERTGRSLPPIPTRRFRWWVDEMRTARSAGEAVDPVERAAVGIVVETRDGPGVDSADDGRGLDAGGEEALALEGQMKAPPPSMAKQRTLKKRSLLELFAVAPMIHGVQELDGLDDGGGIEQGEEAAAAKSDCGIGDGGDVLELMKRKKRVKEGRKRRVREQIGANKNLKVTRKMMAKKKKLKVEIRAAKKGKKFKMASPIDTCKLLRNKVYKQFGKMHKKHVHNQTKPTTVRTFLKNYIFRLVQYSKLVSRNQVVAWVPPAHTPLKKQKRSISTKKRKTIERENMKCDDIVELCCKSAKRLSFSDLENLEKCPSVTEGAQLNLNDRTAQLNLNDGVIAGNIETGEISFEKQLTGSYGHVNNKDSAVTKMTPLVGFDLNQPVLDCVDLNHDSLDGSTLTPSPTYLAEHKDPSLVNKHGLDSDPGNCQEQSFSLTSDDIYSFHDSIRQSVSVSNAKTSQCHYLNRDKQHWIYCSDQRIMQSTEGQTHMMDPMKYVCDEFPEFQPVHHLPKDMVISTCSSIISKAAVEASPNVRPFWRDTCTEEGFTGLPLNSQGEFIQLHPGNRGFHEVDKMPNSALNSLQVLPSSSHFQPQSNHVRTNDKFPFAPIYHEDDQHWFLKHYYPASKVVISDCSTELQGLGKVEHQSDDKTQFDSCDSRQMEICCCGCTDHIVTGNCFDRLNSHPERDLELGVWPAIQPTMRLMGKNVTVGSYHKENQDCNGGKTYTDKETMTTSCDTTRVYDKPILKRRHDEECILQAESRASREFPYKSLEVPSNYCCISADKHMFDWTHHGFRSHWLISNANSPSGNCGFQNINPIPCQSFLSKASTSAAHCSPVTQFLDMGQFKTFGTSHPQKKCQHMLLNSSQCRHNHSISYNIPSTSHPPYANQVPIQTSRGPFSQKIPHWLLNATSQQPPFIPFHPVAEDQSCTIAENSGSPYASYSKHITTFPCGSSSMSQTYDSYAPMSVVYPSSVSALTTDNFSSMSSDYGYNIKAKDGMRFDFSHVKNQNHSKRCRKPAAKDDKIIERVKGPNLKLQEDLNSPTSVIKEQLHGGKPNIIGSLEISACANRRIDVLSDADHETDIVVVSGLSFPLKSGHTRSRPVKLSAGARRILRPNESLDQDKSHPIHSTVPSTQGTSAGKDDVSQEKAAKICKF >Ma10_p06600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19974123:19984881:-1 gene:Ma10_g06600 transcript:Ma10_t06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMDSFSIREYAARMRSVDYDKCWPFADERTGRSLPPIPTRRFRWWVDEMRTARSAGEAVDPVERAAVGIVVETRDGPGVDSADDGRGLDAGGEEALALEGQMKAPPPSMAKQRTLKKRSLLELFAVAPMIHGVQELDGLDDGGGIEQGEEAAAAKSDCGIGDGGDVLELMKRKKRVKEGRKRRVREQIGANKNLKVTRKMMAKKKKLKVEIRAAKKGKKFKMASPIDTCKLLRNKVYKQFGKMHKKHVHNQTKPTTVRTFLKNYIFRLVQYSKLVSRNQVVAWVPPAHTPLKKQKRSISTKKRKTIERENMKCDDIVELCCKSAKRLSFSGKDGGSVLAKRCLPLQLPYLQTLCKIVSDVLAAPTDLENLEKCPSVTEGAQLNLNDRTAQLNLNDGVIAGNIETGEISFEKQLTGSYGHVNNKDSAVTKMTPLVGFDLNQPVLDCVDLNHDSLDGSTLTPSPTYLAEHKDPSLVNKHGLDSDPGNCQEQSFSLTSDDIYSFHDSIRQSVSVSNAKTSQCHYLNRDKQHWIYCSDQRIMQSTEGQTHMMDPMKYVCDEFPEFQPVHHLPKDMVISTCSSIISKAAVEASPNVRPFWRDTCTEEGFTGLPLNSQGEFIQLHPGNRGFHEVDKMPNSALNSLQVLPSSSHFQPQSNHVRTNDKFPFAPIYHEDDQHWFLKHYYPASKVVISDCSTELQGLGKVEHQSDDKTQFDSCDSRQMEICCCGCTDHIVTGNCFDRLNSHPERDLELGVWPAIQPTMRLMGKNVTVGSYHKENQDCNGGKTYTDKETMTTSCDTTRVYDKPILKRRHDEECILQAESRASREFPYKSLEIMDTTSKLKMA >Ma10_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19973746:19984994:-1 gene:Ma10_g06600 transcript:Ma10_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMDSFSIREYAARMRSVDYDKCWPFADERTGRSLPPIPTRRFRWWVDEMRTARSAGEAVDPVERAAVGIVVETRDGPGVDSADDGRGLDAGGEEALALEGQMKAPPPSMAKQRTLKKRSLLELFAVAPMIHGVQELDGLDDGGGIEQGEEAAAAKSDCGIGDGGDVLELMKRKKRVKEGRKRRVREQIGANKNLKVTRKMMAKKKKLKVEIRAAKKGKKFKMASPIDTCKLLRNKVYKQFGKMHKKHVHNQTKPTTVRTFLKNYIFRLVQYSKLVSRNQVVAWVPPAHTPLKKQKRSISTKKRKTIERENMKCDDIVELCCKSAKRLSFSGKDGGSVLAKRCLPLQLPYLQTLCKIVSDVLAAPTDLENLEKCPSVTEGAQLNLNDRTAQLNLNDGVIAGNIETGEISFEKQLTGSYGHVNNKDSAVTKMTPLVGFDLNQPVLDCVDLNHDSLDGSTLTPSPTYLAEHKDPSLVNKHGLDSDPGNCQEQSFSLTSDDIYSFHDSIRQSVSVSNAKTSQCHYLNRDKQHWIYCSDQRIMQSTEGQTHMMDPMKYVCDEFPEFQPVHHLPKDMVISTCSSIISKAAVEASPNVRPFWRDTCTEEGFTGLPLNSQGEFIQLHPGNRGFHEVDKMPNSALNSLQVLPSSSHFQPQSNHVRTNDKFPFAPIYHEDDQHWFLKHYYPASKVVISDCSTELQGLGKVEHQSDDKTQFDSCDSRQMEICCCGCTDHIVTGNCFDRLNSHPERDLELGVWPAIQPTMRLMGKNVTVGSYHKENQDCNGGKTYTDKETMTTSCDTTRVYDKPILKRRHDEECILQAESRASREFPYKSLEVPSNYCCISADKHMFDWTHHGFRSHWLISNANSPSGNCGFQNINPIPCQSFLSKASTSAAHCSPVTQFLDMGQFKTFGTSHPQKKCQHMLLNSSQCRHNHSISYNIPSTSHPPYANQVPIQTSRGPFSQKIPHWLLNATSQQPPFIPFHPVAEDQSCTIAENSGSPYASYSKHITTFPCGSSSMSQTYDSYAPMSVVYPSSVSALTTDNFSSMSSDYGYNIKAKDGMRFDFSHVKNQNHSKRCRKPAAKDDKIIERVKGPNLKLQEDLNSPTSVIKEQLHGGKPNIIGSLEISACANRRIDVLSDADHETDIVVVSGLSFPLKSGHTRSRPVKLSAGARRILRPNESLDQDKSHPIHSTVPSTQGTSAGKDDVSQEKAAKICKF >Ma10_p07590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21927867:21933824:-1 gene:Ma10_g07590 transcript:Ma10_t07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTPTAALLRDRKISEEVAKPMTVYIWDMDETLILLKSLLDGTYAGAFNGLKDRRKGIEIGKLWENHILQVCDDHFYYEEIEDYNEPFLDALSEYDDGRDLSKYNFNDGFGFPYDDWNKRKLAYRHRSIAEKYSQGLCKILDQQTVKLWNDLYSLTDSYTDGWLSSGHALLEQTLGKTSLPSNYLLSSDPTTRSVTSKYQNINVLVTSGSLIPSLAKCLLYRLDDVIAANNVYSSWEVGKLRCFSWIKERFGGPNVRFCVIGDGTEECEAAQAMRWPFIKIDLRPSSPCRFPGLTMKVVQSYTDVMYGSPDVEVEETEEF >Ma06_p08870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6208934:6211468:1 gene:Ma06_g08870 transcript:Ma06_t08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSGGAGGGKVSFKVILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGGSLLDV >Ma03_p28740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31847518:31848192:-1 gene:Ma03_g28740 transcript:Ma03_t28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGRAGVADRSGQEKGGHAKLECPHCKTTVPDLKSMQIHHDARHPKIPFDESKLVNLHTSHAPETSKARPGVRGSFKK >Ma06_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14608363:14612496:-1 gene:Ma06_g20510 transcript:Ma06_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGEGNLVHKMLFMVRGHLHSSQVLRDGVKSYCTLGLGNFSGDELLSWCLRHPSMDRLPLSSSTLVAVELTEAFGLDAVDLRYVMQHFRHTFVSEKVKRSTRYYSSRWRTWATVAIQLAWWRHRHRLTVTSLLLVRPCWPPPRSSLMEENRLRQYTALLTSPKPNSACRPNNKQFACECFVSQNCVVLNSL >Ma06_p29320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30725778:30733899:-1 gene:Ma06_g29320 transcript:Ma06_t29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRNWRGSDSMESGPYPERPGEPDCAYYIRTGLCRFGMTCKFNHPPNRMLAVAATSIRGGYPERVGQPECQYYLKTGTCKFGATCKFHHPREKAGISEQVQLNILGYPLRPNEKECAYYLRTGECKFGSTCKFHHPQPSNAVLALHGSPVYPSAHSPTTPSQQTYPAEMTNWTLSRSSFIPSPQWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPESQQTIGTAQFYSAPQQGETSTGAHGKFPSYRPGPVPVGMYAVPRENIFPERPGQPECQFYMKTGDCKFGAVCKFHHPRERLVPVPNCVLSPLGLPLRPGEPLCDFYSRYGICKFGPNCKYDHPIRTYTYGLSASSMAEVPTAWHLSSILPEPSVLTLPLETAANGSSGVSRRISQSESQHATAGDENDDEES >Ma09_p31250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41055161:41056022:-1 gene:Ma09_g31250 transcript:Ma09_t31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGLTISHVKSHLQMYRITSSSAKLAENLKRPRRPPHDSSGLPEDPHRSSAFICEYMFQMPSVEELLREWVSRKSNIHPDRVSDQNHKMEEEMDDCELTLSSVNHHKSTETDSGSSIHSSDATEVAVPRSTNSGDGSTGCGASAANHLLNLELTIASPGGSRQLVL >Ma04_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2725995:2728123:-1 gene:Ma04_g03550 transcript:Ma04_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDSNINGDGSSSSNSSGEEDGDAAWKAAINSVATVGFGLSASNGRPKTDPTAVDSSEDDDDDATAQLHQQGRWQAPKLKLYQIKAQKILDDLLDRSLMMVRNPIPSIDEFPQSEEAGIRLFSNSPAGIILDPVDEHPLPRTKPRLLPGEEINEKSKKFKSQIRSVVLNGNDIMATAAEACERALARSEAKEAAAKAAAKREEERVSELKKARGEKWLPSVAKYMQA >Ma07_p28390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34464685:34465342:1 gene:Ma07_g28390 transcript:Ma07_t28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKRMLGFVQSFGVREWSCNSL >Ma01_p01220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:840076:840955:1 gene:Ma01_g01220 transcript:Ma01_t01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFVPASTKRKDLEVVYDRQPDLSLFSETKRIKISLDGKPPLILEEEVAMSSPAFEAQLQVDGLPQENRNLVMTLPVDEMAIDGEVATATIASSLDPTENHEREETGAEEPMEVEEQEGLLQRPGRTDPVIWSGCKERTWFLRP >Ma05_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37054642:37055874:-1 gene:Ma05_g24950 transcript:Ma05_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTIAPGRKWEVMVNLFF >Ma08_p24550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37676154:37679566:1 gene:Ma08_g24550 transcript:Ma08_t24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGEDAEPEARPQPSHPRPLRRTGDFLGKHRLSAAISRLNQEIQYLQAELDEIETMKPSSEACKAVVLSTEGKSDALLPVTPGPENPAWHRWFQRVPSSHSRKWWTHKGSDVS >Ma08_p24550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37676159:37679566:1 gene:Ma08_g24550 transcript:Ma08_t24550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGEDAEPEARPQPSHPRPLRRTGDFLGKHRLSAAISRLNQEIQYLQAELDEIETMKPSSEACKAVVLSTEGKSDALLPVTPGPENPAWHRWFQRVPSSHSRKWWTHKGSDVS >Ma06_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8753893:8760888:-1 gene:Ma06_g12670 transcript:Ma06_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRAIHFALLLHLLLSLALLASSLRPRPLVLVITNEDFAESSSNPSSSAITDDSDRSDDSAEWDEFGDPESSAAATGDYDPGSWLPFIESASSPPSLANSSSDPLEALYSSGVRHMITAASSSDPADMEDAAAEIEAAASAGFPHAQSALGFLYGTGLMRPQSRPKAFLYHHFAAEGGNMQSKMVLAYTYFRQDMYEKAVKLYAELAEAAVASFLISKEPPVIEPIRIHSGTEENKDALRKSRGEADEDFQINEYQALKGNSAAMYRIGLLYYYGLRGVRRDHAKALHWFSKAVEKGDPRAMELLGEMYARGAGVERNYTKAFEWLTLASKHKYYSAYNGLGYLYVKGYGVEKKNYTKAREYFEKAAENKEPGGHYNLGVLYLKGIGVKRDVAAACKLFLTAANAGQPKALYQVARLFQKGIGLKKNLQMATYLYKTVAERGPWSSLSRWALESYLKGDVGKSLLLYSRMAELGYEVAQSNAAWILDKYGEQSICIGESGFCTDTERHLRAHTLWWQASEQGNEHAALLIGDAYYYGRGTDRDYERAAEAYMHAHSQANAQAMFNLGYMHEHGQGLPLDLHLAKRYYDQALETDPAAKLPVKLALTSLWIRKNYADSFLVKTIDSLPQVYPRLEAWVDEVLMDEGNVTILTLFACLLAVLYLRERQRRQVVVPRQPDDDVPN >Ma03_p32690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34385484:34385873:1 gene:Ma03_g32690 transcript:Ma03_t32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRKKIDALSRVNHKNFVSLLGYFEENEPFMRMMVLEYAPNGTLYEHLHVEEFKHLDWSAR >Ma01_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5665249:5666917:1 gene:Ma01_g07870 transcript:Ma01_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGDDLLPSFEATGGVASAIRVSSAADAGLFGKGRYKFWALTAILLLAFWSMVTGTVTLRWSAGDLNRLDRDLNAPIHSDLDALEMEEREKVVRHMWDVYAHNHRIRLPRFWQEAFEAAYEELAGDDPAARDGAIAEIARMSVRMVDLEDPARNTKAAETNRNQGVGGAELSMRSTSLPSVEAR >Ma07_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5452117:5455876:-1 gene:Ma07_g07370 transcript:Ma07_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRLLSTRSNSSLIKENKSFKRKLEKKQKFYAKVKDAAVSLSAKKAISKKKRLRSRQKKMKVYDLSALSELLPDTNTTEQSSSTNNLKLNCKTRQKLVQREGAQLRQVLNDPSFQLDPLAAIHQHLQRTQPPACDKEIISGKAKRNKKRMKKPSSSSQSMDI >Ma08_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5141690:5144315:1 gene:Ma08_g07510 transcript:Ma08_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHQWRGRLQSDRQPSAGLPKLQFLDHQQSPASAISLLGPEHNSSLDNTLSPFPRIGYFTLAQWQELELQALIYKYMIAGVSVPLELVLPIKTSLLGASPFSHRPQLYHQLQPSWLQTGYWGRWVVDPEPGRCRRTDGKKWRCSREVVVGQKYCERHVHRGRNRSRKHVEIPTPTSTNGFKAALSSPAGLPRANHFDPSPSLPTLDILPLDQRDSDSNTVERSFQSDRSNFCLGDNKAEGYVLQKFFDEWPKSQQEANDTVNYTSHPASATHLSISIPGNLSSDFSLKLSTGNDAKKPRQASNNDGADGQLVCSSNNWSGWGHHGEASMGGPLAEALRSSASTHSPTSVLHKPNGSVSETSGISP >Ma01_p06550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4699628:4706485:1 gene:Ma01_g06550 transcript:Ma01_t06550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMATALTSPAKLRAFDPLRPFQVGFSVLVPNPTYPISSSRASPINGRLGGATIRSLRPVIIAHSSPAKYTPDAKFYKIEAIMRSWRVPHVSSGLLQMGIRGVTVSDVRGFGAQGGSTERHGGSEFSENSFIAKVKMEIVVCKDQVEAVLEKIIEETRTGEIGDGKIFLVPVADIIRIRTGERGEKAERMDGGLVDMIPT >Ma01_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4699628:4706485:1 gene:Ma01_g06550 transcript:Ma01_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATALTSPAKLRAFDPLRPFQVGFSVLVPNPTYPISSSRASPINGRLGGATIRSLRPVIIAHSSPEYTPDAKFYKIEAIMRSWRVPHVSSGLLQMGIRGVTVSDVRGFGAQGGSTERHGGSEFSENSFIAKVKMEIVVCKDQVEAVLEKIIEETRTGEIGDGKIFLVPVADIIRIRTGERGEKAERMDGGLVDMIPT >Ma02_p18220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24790532:24796576:-1 gene:Ma02_g18220 transcript:Ma02_t18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIALRQLLRSLCHNTQWDYAVFWKLNQGSEIILSWEDSYFDDTKARVILEDTLYDGSLHVNPGMISFTDSKDAQRQSCASHPIKVALANLKYHHYSLGEGFVGKVALTQGHYWIFACELKSKVQSDSCKDWQLLLAADIKTILLVPVAHYGVVQLGSLEMVVEDLALVFHIKDSFSTLYHHLAARDSGTSDLSVHCPSISSPINKPLLANSSTSILSSFSSAQSQQLLTIDPNVLSFFMVNHNSPTPQNVMEKKLDIHAIDADKNLTKERSNYLWFASTEEPQCFGHPNNLSEGNMSDFSFNESETKITFQPDPLDCKSISGQKHHGYTTSVEDITYKESDKNYISRFPSFPIESELHEVLGMTSVEEYDGCFLNTTLPVDDGHGPISSITNFQTVGSEYYDRTFNELGSWLIEENDTEYLLDTMVSSLLCDSNDDAFEGKFLRSFRNNSSEKLIESSVRESESSVLHMGSLLTTTHPRSTSISKDEEYMNSPTISSCISICGSTNGDNNNRMAKGHNSRKLSMINKRGGRKGHSHKPRPRDRQLIQDRVKELRELIPNGSKCSIDTLLDRTVSHMLFLQSIPSQAEKLKQTAHTKVKTEVDNSVKPHTQANGANSTCEQGSQPEVWPIVVEYLDQPGQILVE >Ma02_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24790178:24796576:-1 gene:Ma02_g18220 transcript:Ma02_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTIALRQLLRSLCHNTQWDYAVFWKLNQGSEIILSWEDSYFDDTKARVILEDTLYDGSLHVNPGMISFTDSKDAQRQSCASHPIKVALANLKYHHYSLGEGFVGKVALTQGHYWIFACELKSKVQSDSCKDWQLLLAADIKTILLVPVAHYGVVQLGSLEMVVEDLALVFHIKDSFSTLYHHLAARDSGTSDLSVHCPSISSPINKPLLANSSTSILSSFSSAQSQQLLTIDPNVLSFFMVNHNSPTPQNVMEKKLDIHAIDADKNLTKERSNYLWFASTEEPQCFGHPNNLSEGNMSDFSFNESETKITFQPDPLDCKSISGQKHHGYTTSVEDITYKESDKNYISRFPSFPIESELHEVLGMTSVEEYDGCFLNTTLPVDDGHGPISSITNFQTVGSEYYDRTFNELGSWLIEENDTEYLLDTMVSSLLCDSNDDAFEGKFLRSFRNNSSEKLIESSVRESESSVLHMGSLLTTTHPRSTSISKDEEYMNSPTISSCISICGSTNGDNNNRMAKGHNSRKLSMINKRGGRKGHSHKPRPRDRQLIQDRVKELRELIPNGSKCSIDTLLDRTVSHMLFLQSIPSQAEKLKQTAHTKVKTEVDNSVKPHTQANGANSTCEQGSQPEVWPIVVEYLDQPGQILVEVLCSDYGLFLEIAHVIRRLQLTILKGILESRSDKLWAHFIIEVSRGFHRMHILWPLMQLLQRNRASKPTKV >Ma03_p19670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24936406:24939633:1 gene:Ma03_g19670 transcript:Ma03_t19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPVALPCLSSLLLLLLLVASPASSSNSEGEALHAWRTRLTDPTNVLQSWDPTLVNPCTWFHVTCDSQNRVIRIDLGNSNISGPLASELSRLEHLQYLELYRNNFEGKIPAELGNLKSLISMDLYENRLQGEIPKSFAKLKSLRFLRLDNNRLSGAIPRELVLLSNLKVLDVSNNDLCGTIPIDGPFANFPLQSFDNNSRLNGPELQGLASYDFGC >Ma09_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2928997:2932220:-1 gene:Ma09_g04490 transcript:Ma09_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIECWSGRPSTDEDMVEQVLMQTHDRSEAFHRSAASSPACNSSPSSSSSSFSSPPSPSAAFPPPKRWQRIGRNFAGAIAALRSSLNLDSSRDPSPARFDRLFRGGGGGGQPPDKLVASARRHFDSLPNSYAQAGFDMKDVLLHVRLIEQASAGDHPAVHLQEIHDDGGAERSVFQLTFACGSPLSWPAMAEALDSSLICCKKIQIFEKKGLTLGVVSVLVQQGKERHFKARIEASLKAAVKKPRNNGVKLPFGLCGRQEERPRSAEEDARGDGNDGQGIDGEGLRRIQLPNPLPMSSVVVSIDEWQSIRSGGDEIRRWVLSSDEVELVDRTGPISFKGVHRGRRVWVKKLRGCDRGSAYDVEVRQDLLQLMSCGQRSILRFHGILFQENQGLCVVTRMMDGGSVHDVMQKNKKVPMREVMRMALDVAEGLLFMNNHGVAYRDLNTHRILLDRQRNACLGDMGIVTSSNIAGEVTEYETAGYRWLAPEIISGDPEIVSETWMSNVYSYGMVLWEMVAGVAAYSSYSPVQAAVGIATCGLRPVIPEDCPQVLRSLMHRCWNSNPAKRPRFAEIVSILSKQNV >Ma01_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12653225:12662538:1 gene:Ma01_g17280 transcript:Ma01_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEENSSLFPIFILTIMAIPIVPYTILRLSRAFTRKTKSIHCQCDVCSRSGKYRKSIFKRISNFSTCSNLTLLLLWVIMGILVYYIKHISREIQPFEPFSILGLDPGASDSEIKKAYRRLSILYHPDKNPDPEAHKYFVDFISKAYQALTDPISRENFEKYGHPDGRQGLQMGIALPQFLLNFDGASGGVLLLGIVGVCILLPLLIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLTRESTLLSSSLLNDYRRMLELAPRLLEELVKIAVIPRSPHGHGWLRPAIGVVELSQCIIQAVPLSARKATSGNPEGIAPFLQLPHFTESTVKKIARKKVKMFQELRDMTVADRVELLTQFAGLSDTEVRDVEHVLEMIPSIAVDITCETEGEEGIQEGDIVTMYAWVTLRRGNGLIGALPHAPYYPFPKEENFWLLLADPVSNDVWISQKVSFMDEAAAIAGASKAIQETKESLGASVKEISAAVREAVEKVKSGSRVVMGKFQAPAEGNYNLTCFCLCDAWIGCDRKTNLKLKILKRSRAGTRGHIAEEGPTADEGIEEEEEEEEEEYDDYESEYSDDEDDEKEKKGKVANGIANKKEESTPEGSGSDEE >Ma01_p17280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12653288:12662573:1 gene:Ma01_g17280 transcript:Ma01_t17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEENSSLFPIFILTIMAIPIVPYTILRLSRAFTRKTKSIHCQCDVCSRSGKYRKSIFKRISNFSTCSNLTLLLLWVIMGILVYYIKHISREIQPFEPFSILGLDPGASDSEIKKAYRRLSILYHPDKNPDPEAHKYFVDFISKAYQALTDPISRENFEKYGHPDGRQGLQMGIALPQFLLNFDGASGGVLLLGIVGVCILLPLLIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLTRESTLLSSSLLNDYRRMLELAPRLLEELVKIAVIPRSPHGHGWLRPAIGVVELSQCIIQAVPLSARKATSGNPEGIAPFLQLPHFTESTVKKIARKKVKMFQELRDMTVADRVELLTQFAGLSDTEVRDVEHVLEMIPSIAVDITCETEGEEGIQEGDIVTMYAWVTLRRGNGLIGALPHAPYYPFPKEENFWLLLADPVSNDVWISQKVSFMDEAAAIAGASKAIQETKESLGASVKEISAAVREAVEKVKSGSRVVMGKFQAPAEGNYNLTCFCLCDAWIGCDRKTNLKLKILKRSRAGTRGHIAEEGPTADEGIEEEEEEEEEEYDDYESEYSDDEDDEKEKKGKVANGIANKKEESTPEGSGSDEE >Ma10_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24986818:24991666:1 gene:Ma10_g11450 transcript:Ma10_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSSTAILPEAFQGTRDDITQQMGIVWEQIRTPVVVPLLRLSVFLCLVMSVMLFVEKVYMAVVIVLVKLFRWRPETRYKCEPMGDDPELGNAGYPMVLIQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKELVQIECQRWASKGVNIKYEIRDNRNGYKAGALKEGMKHSYVKHCDYVAIFDADFQPEPDFLWRTIPFLEHNPRIGLVQGRWKFVNSNDCLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRINALNEAGGWKDRTTVEDMDLAVRASLKGWKFIFLGDLRVKSELPSTLTAYRYQQHRWSCGPANLFRKMVLEIAKNKKVTLWTKVHVIYSFFFVRKIVAHIVTFIFYCVVIPATVLVPEVQVPKWGLVYIPSIITLLNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDGMKTKAGAKAAKIPRIRIGERLHLLELLTGAYLVFCGCYDLAFGKNYYYLYLFFQAVAFFIVGFGYVGTFVPHP >Ma06_p12450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8641313:8643320:-1 gene:Ma06_g12450 transcript:Ma06_t12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRVAAAMEAALPADDGDELPRCRHLLASLSAAASTAQSFRGLWSSVASAVSRLSAALDGLAAHSPNPLASDLLRSLALTLAPALALAIRCRSPDPPAARLRTQSDIAAASAALHQLAADADVLLGSGALLDPPSPPLEAAGGSSRLESVRAEARSLVTRLQIGSSASRIAALDSLLDLLREDDKNVVVAAASGLVPALVRLLDSAAGSASCHETREKAAAAIARISAVQSCRHLLLAEGPPLLNHLSRVLESEGGAAKEKACVALQTLTLTWENAVIIGSRGGIAALLGICRSGTPSAQATAAAVLKNLAMVQELRQNFMEENGVPVLIRVLAFGTPLAQANAVGCLCNLSAGEESQSIKLSIFKEGALECLKNHWEASGSGDVQNLEAAIGLLGNLASFRYIAEIVATAGLLPRVISALENSQPGTRTEAAKAVAELGLVIGRTRKEFGDAVPLLVRMLEAKAGEEKEAAARALASLMSFPEYQRLLRKEEKGIVNVVQLLDPLVRDLDKRYAISVLALISQSSKCRKQMVAAGACGYLQRLVGMEVDGAKKLLENLGRGKILGVFPRT >Ma11_p08440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6716267:6729043:-1 gene:Ma11_g08440 transcript:Ma11_t08440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDAAAITPLRTSDRLRQRPKYFGRPFLYYKPVIRKKIKSKKRTAASQIAKKLLRPRNHPVQMPPPDSIAANLRRSTRKRKISINLEDYETDTSGTEDDDLMAPRYRSSKNKAENNASHDEASTSPRNKKITKTNSLPRREGLRPRRLLSRRRAQPYEESEEDQDSSEDQAAEDETENGNDTEEDAGNEEDGDGGDEVAGDGDDEDGEEEQEGRRRYDLRKRSENHRLSSEKEGKERPRSPRRVLHHGMGSKSNRYLRKGGSRVHRRHRLSLPDDSDDSLLVDEMDQGPSIPWTRNGSRSGTPWLLGGLDMHGATAWGLNVAASGWVYQGDNITYLTSGIQTAGPSSKGGADIQPLQVDESVSFEDIGGLSEYIDALKEMVFFPLLYPDFFAKYHIAPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFVFPLPGYEARAEILKIHTRKWKEPPSKELKMELAASCVGYCGADLKSLCTEAAIRAFREKYPQVYTSDDKFVIDVDSIKVEKHHFLEAMSTITPAAHRGSIVHSRPLSSIVAPCLERHLQRIMKHVSDIFPCLPAVDVSRLSVLSFGSALPLVYRPRLLIYGDASAGLFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHIWWETAHEQLKAVLMSLLEELSSNLPILLIGTSSVSLSKMDEDSTSIFALCNVYQVDKPAADDRSRFLEKLVEDILTLEVDESTSKLKKVTSLPELPKAPQEVSGPKSSELQAKAEAEQHALRRLRMCLRDVCNRILYDKRFSVFHYPVLDEDVPDYRSIVHNPMDMATLLQHVDCGQYLTCAAFLQDIDLIVANAKTYNGDDYNGARIVSRAYELRDVVQGMLSQMDPALVSFCDNIAAQGGPLLLADDVEALNVPTAPVVQLANVPRTSDRLCNVQPNVNLAQSYEAIKLPKKNTDRTGSGREERGTAEPDQIKVSSHPGFQEPDRNGPWRQPENHTNRGPAEVSEAAFSQPANENIDDATKADADISEQIDSVKKHLIERTEGYGVPQLERLYTRVMKGVMAAGSQHREDSRQLVLGHLLKFVEDDKNF >Ma11_p08440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6716267:6729043:-1 gene:Ma11_g08440 transcript:Ma11_t08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDAAAITPLRTSDRLRQRPKYFGRPFLYYKPVIRKKIKSKKRTAASQIAKKLLRPRNHPVQMPPPDSIAANLRRSTRKRKISINLEDYETDTSGTEDDDLMAPRYRSSKNKAENNASHDEASTSPRNKKITKTNSLPRREGLRPRRLLSRRRAQPYEESEEDQDSSEDQAAEDETENGNDTEEDAGNEEDGDGGDEVAGDGDDEDGEEEQEGRRRYDLRKRSENHRLSSEKEGKERPRSPRRVLHHGMGSKSNRYLRKGGSRVHRRHRLSLPDDSDDSLLVDEMDQGPSIPWTRNGSRSGTPWLLGGLDMHGATAWGLNVAASGWVYQGDNITYLTSGIQTAGPSSKGGADIQPLQVDESVSFEDIGGLSEYIDALKEMVFFPLLYPDFFAKYHIAPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFVFPLPGYEARAEILKIHTRKWKEPPSKELKMELAASCVGYCGADLKSLCTEAAIRAFREKYPQVYTSDDKFVIDVDSIKVEKHHFLEAMSTITPAAHRGSIVHSRPLSSIVAPCLERHLQRIMKHVSDIFPCLPAVDVSRLSVLSFGSALPLVYRPRLLIYGDASAGLDHVGPAVLHEMEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHIWWETAHEQLKAVLMSLLEELSSNLPILLIGTSSVSLSKMDEDSTSIFALCNVYQVDKPAADDRSRFLEKLVEDILTLEVDESTSKLKKVTSLPELPKAPQEVSGPKSSELQAKAEAEQHALRRLRMCLRDVCNRILYDKRFSVFHYPVLDEDVPDYRSIVHNPMDMATLLQHVDCGQYLTCAAFLQDIDLIVANAKTYNGDDYNGARIVSRAYELRDVVQGMLSQMDPALVSFCDNIAAQGGPLLLADDVEALNVPTAPVVQLANVPRTSDRLCNVQPNVNLAQSYEAIKLPKKNTDRTGSGREERGTAEPDQIKVSSHPGFQEPDRNGPWRQPENHTNRGPAEVSEAAFSQPANENIDDATKADADISEQIDSVKKHLIERTEGYGVPQLERLYTRVMKGVMAAGSQHREDSRQLVLGHLLKFVEDDKNF >Ma11_p08440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6716267:6729043:-1 gene:Ma11_g08440 transcript:Ma11_t08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDAAAITPLRTSDRLRQRPKYFGRPFLYYKPVIRKKIKSKKRTAASQIAKKLLRPRNHPVQMPPPDSIAANLRRSTRKRKISINLEDYETDTSGTEDDDLMAPRYRSSKNKAENNASHDEASTSPRNKKITKTNSLPRREGLRPRRLLSRRRAQPYEESEEDQDSSEDQAAEDETENGNDTEEDAGNEEDGDGGDEVAGDGDDEDGEEEQEGRRRYDLRKRSENHRLSSEKEGKERPRSPRRVLHHGMGSKSNRYLRKGGSRVHRRHRLSLPDDSDDSLLVDEMDQGPSIPWTRNGSRSGTPWLLGGLDMHGATAWGLNVAASGWVYQGDNITYLTSGIQTAGPSSKGGADIQPLQVDESVSFEDIGGLSEYIDALKEMVFFPLLYPDFFAKYHIAPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFVFPLPGYEARAEILKIHTRKWKEPPSKELKMELAASCVGYCGADLKSLCTEAAIRAFREKYPQVYTSDDKFVIDVDSIKVEKHHFLEAMSTITPAAHRGSIVHSRPLSSIVAPCLERHLQRIMKHVSDIFPCLPAVDVSRLSVLSFGSALPLVYRPRLLIYGDASAGLDHVGPAVLHEMEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHIWWETAHEQLKAVLMSLLEELSSNLPILLIGTSSVSLSKMDEDSTSIFALCNVYQVDKPAADDRSRFLEKLVEDILTLEVDESTSKLKKVTSLPELPKAPQEVSGPKSSELQAKAEAEQHALRRLRMCLRDVCNRILYDKRFSVFHYPVLDEDVPDYRSIVHNPMDMATLLQHVDCGQYLTCAAFLQDIDLIVANAKTYNGDDYNGARIVSRAYELRDVVQGMLSQMDPALVSFCDNIAAQGGPLLLADDVEALNVPTAPVVQLANVPRTSDRLCNVQPNVNLAQSYEAIKLPKKNTDRTGSGREERGTAEPDQIKVSSHPGFQEPDRNGPWRQPENHTNRGPAEVSEAAFSQPANENIDDATKADADISEQIDSVKKHLIERTEGYGVPQLERLYTRVMKGVMAAGSQHREDSRQLVLGHLLKFVEDDKNF >Ma02_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23908909:23909286:-1 gene:Ma02_g16620 transcript:Ma02_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAYFLAALAVCFSMAAAVATASNTPGHNPCSFAELPIQYCKPFLQKGRHCSKPSLICCALVFDIFMVNPSCLCEAMKPSFLGVAVDPARVSLLPELCHLPTNNLHCFGTSFACCLCLFAWVT >Ma10_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26635863:26636621:-1 gene:Ma10_g14100 transcript:Ma10_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDDVISSSPSWPPLSDFNGSLFDLSSIMAELPIKRGLSKYFEGKSQSFGSLSDVRCTEDLAKEESPRRTKMKTCHGFAGPSPNQRPCDMASIYSKTTSKKVSRGSCDSVLTRRSFSPMTCCPDLVIRAAVGAVLVVGDAVGVRLAGADGDHDDVTELTK >Ma06_p35700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35458011:35459003:-1 gene:Ma06_g35700 transcript:Ma06_t35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRSVFGGSAAPMEEEEERVAFWSSPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRASTPRGVIPVASCLTVKGAEDVLNRAFAFEISTRGETMYFIADSEKEKEEWINSIGRSIVQHSRSLADAEVVDYDSTKRSAKPSSSSD >Ma07_p04140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3124667:3129656:-1 gene:Ma07_g04140 transcript:Ma07_t04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVTIANTVVAVGDPRSQSLAGYSLKPSNKSPVICDPLSFSLRPACRRRAGVSGYRGQLMVQNAYRDGRRPSNASIFTGGFVLGGIVVGTLACVYAPQISKALTGTDKKDLMKRLPKFIYDEEKALEKTRKILTEKIAQLNSAIDDVSSQLRADDEPNGVAVASDEVEAAI >Ma08_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35670065:35672428:1 gene:Ma08_g21920 transcript:Ma08_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRKASILASEAFSMKHSSFSNGRKNGKYSLFVIIFSVFLFITFMYNEDVKSIAEYPFSSRSKSPDMSHEEFPIVEPSKEEYHETNNFRHAQEQADEEFAEDTVKVVLPQDDDVRVVDVHEKPAKEPEPERERVVLDVPESCDLFTGDWVYDEVSYPIYKEPECEFLTEQVTCMRNGRRDDDYQKWRWQPQGCSLPRFDAQVMLERLRGKRLMFVGDSLNRNQWESMVCLVQSAVPPEKKTLTKNGSLNVFRALEYNATVEFYWAPFLVESNSDDPNMHSIQNRIIMPKSIAKHGRNWKNVDYLVFNTYIWWMNTLRMKVLRGSFEEGSTEYDDVDRPVAYRRVLDTWAKWVQRNVDPSRTMVFFMSMSPNHIKSTDWDNPEGIKCALETQPVTNLSHPLDVGTDWRLLTVAEEVMEGMKERVPVSFVKITALSESRKDAHTSVHTLRQGKLLTAEQQADPDTYADCIHWCLPGLPDTWNEFLYARIASAPWRPDRWLTAA >Ma03_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28683274:28693542:1 gene:Ma03_g24430 transcript:Ma03_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELINVGQKQAALQALHDLITSKRYRAWQKSLERIMFKYVELCVDMRRGRFAKDGLIQYRIICQQVNVSSLEEVIKHFMQLSTEKAEQARSQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRSVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLTNLNKYKDQRDRPDLTAPESLQLYLDTRMEQLKIATDLELWQEAFRSVEDIHGLMSLVKKSPKTQLMVVYYAKLTDIFWVSDSHLYHAYAWFRLFTLQKSYNKNLSQKDLQLIASSVLLAALSVTPYDQKHGASHLELENDKERNLRMASLINFTLDHKGESRETLSRSSLLMELINKGVMACVSQEVKDLYNMLEHEFFPLDLASRVQSLLGKISKLGGKLSSASSVPEVQLSKYVPALEKLASLRVLQQASLVYQSIKIETLSKMVPFFDFSLVEKISVDAVKYNFLSMKVDHLKGVVLFGNVDIESDKLSDHLAVLADSLSKAKKLIYPPVRKQSKLAENLNGLIETVSKEHRRLLARKSIIEKRKEEHERQMLEMEREEESKRLKLQKITEEAEQKRLAEEYIRREEQRIRREIEERELQEAQALLLEAQKGAKKKGKKPLLEGEKVTKQTLIELALSEQLKERQEMEKKLQKLAKTMDYMERAKREEEASLIEQAFQQRLVQEKIIHEREQLKEIELSRQHHAGDLQEKNRLARLLDNKVIFQQKIVDHRELEYNRLKKEKEDKINQIVAARKHEREMKRKMLFYLKSEEERLTRLREEEEARKREEEERRKKEEVERRAKLDAIAERQRQREREAEEKERLRREALLRRPTEPLHRPADPVSVPHPSEPVPAAAAAAAAATPAPGPGKYVPKFLRERNEGPKATPPEPDRWGRQDNRPTPSGDIWRSEERRSSFGGARTSSSSSSWSSSRPRGAP >Ma06_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3431457:3443366:-1 gene:Ma06_g04620 transcript:Ma06_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIQAKGPSMDSPLGESHLNVMMRENDYFPVRRDDDKQQPLVIRPPPGRGARVSVVMCEEESEGKAVVPENTLLPPSQLVKPVVGDADELVDGWPRWLVNNVPPEMLAGLIPKSADSYEKLDKVGQGTYSNVYKARDRDTGKIVALKKVRFDTSESESVKFMAREIMILQKLDHPNVIKLEGLATSRMHYSLYLVFDYMRSDLARVISRSDARLTEPQVKCYMRQILSGLQHCHERGILHRDIKGSNLLIDKNGVLKIADFGLANFYNPNKKCSLTSRVVTLWYRAPELLLGTTDYGVGIDLWSAGCLLAEMFVGKPIMPGRNEVEQLYKIFKLCGSPSEDYWRKLKLATIFQPPPPYKPSIVETFGDFSSSALNLLMVLLALDPSNRGTAASALQSDFFSTRPSACDLSDLPVMYKEADEPIQLYERRKRNASSKQQSRRQRDGERKLVTVNTKSDSGSSKEEKPTDLLTDSQEPGSSTGSSSSSIKAEGSTPIQRESQRTRLPDSPYV >Ma06_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7857154:7858271:-1 gene:Ma06_g11190 transcript:Ma06_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGDHKRRRGEEEGDGSPEISSPEAKRLRENLLLDDILDDDAGAGASDSDQDLASVMKSLEEEIAFPSPPPPQPLAVVAIDQPDLGYLFEASDDELGLPPPPPPAPSMSDEGGEPPEAAIAVAPGVGGEVDGIGFGQIWGFDDEINGYGWLDEFGIRPEESAEAEVNGVVFDGGLFDYADLAWRSETLPAV >Ma06_p22490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18411333:18417046:1 gene:Ma06_g22490 transcript:Ma06_t22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEVLLGLPGPWAEDYREKADHYTTKIGGLPDWPIPEVDISRELLHCSLCGGRLSLVAQVYAPISLPKLNIEDRAIYILGCLALNCGTDPRSWRALRIQKYHEEMKPSLTSSNAVSLEKESVPAPRVNSWLEDNMLGNESSKVNDESDSDTEMEDLARALSEAAALASSSKKQNGHKNTDASARGTVANPRVEDTGVPVLPCFYIYSQKVESSGDMDAVCSGYTSLSLKNHCASPDTEDEEQWERETYEYDRALGADRTYLKFKKQMDAHPEQCLRVAVHSKSQQRVAVGKLLRRLSSFRMIKLLFLRSKNQTAYPVCLFL >Ma06_p22490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18411333:18417055:1 gene:Ma06_g22490 transcript:Ma06_t22490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEVLLGLPGPWAEDYREKADHYTTKIGGLPDWPIPEVDISRELLHCSLCGGRLSLVAQVYAPISLPKLNIEDRAIYILGCLALNCGTDPRSWRALRIQKYHEEMKPSLTSSNAVSLEKESVPAPRVNSWLEDNMLGNESSKVNDESDSDTEMEDLARALSEAAALASSSKKQNGHKNTDASARGTVANPRVEDTGVPVLPCFYIYSQKVESSGDMDAVCSGYTSLSLKNHCASPDTEDEEQWERETYEYDRALGADRTYLKFKKQMDAHPEQCLRYSYGGNPLFAKTKLPKPDICKRCGSSCIYEMQLMSPLLYFLQQAVDGSSACSADGWSWITLIVYTCSRSCCSFKESTESCCWEVAEEAIVIQDD >Ma06_p22490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18411333:18417046:1 gene:Ma06_g22490 transcript:Ma06_t22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEVLLGLPGPWAEDYREKADHYTTKIGGLPDWPIPEVDISRELLHCSLCGGRLSLVAQVYAPISLPKLNIEDRAIYILGCLALNCGTDPRSWRALRIQKYHEEMKPSLTSSNAVSLEKESVPAPRVNSWLEDNMLGNESSKVNDESDSDTEMEDLARALSEAAALASSSKKQNGHKNTDASARGTVANPRVEDTGVPVLPCFYIYSQKVESSGDMDAVCSGYTSLSLKNHCASPDTEDEEQWERETYEYDRALGADRTYLKFKKQMDAHPEQCLRYSYGGNPLFAKTKLPKPDICKRCGSSCIYEMQLMSPLLYFLQQAVDGSSACSADGWSWITLIVYTCSRSCCSFKESTESCCWEVAEEAIVIQDD >Ma07_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5387800:5389445:-1 gene:Ma07_g07340 transcript:Ma07_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MSSSWSFVPPTLQSSFLLPRPQLSPSFHPNFKWNRLSIRKNKAPRCVSPKTQSSQLDKFALLLQYGAILAAVEAPSALAVTGNNTEEDLVTTLISGGIVAVFYLFVIPPIIMNWLRLRWYKRKFFETYLQFMCVFIFFPGLMLWAPFLNFRKLPRDPTMEYPWSTPKDDVPLYKSR >Ma07_p07340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5387800:5389446:-1 gene:Ma07_g07340 transcript:Ma07_t07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70760) UniProtKB/Swiss-Prot;Acc:Q9CAC5] MSSSWSFVPPTLQSSFLLPRPQLSPSFHPNFKWNRLSIRKNKLQAPRCVSPKTQSSQLDKFALLLQYGAILAAVEAPSALAVTGNNTEEDLVTTLISGGIVAVFYLFVIPPIIMNWLRLRWYKRKFFETYLQFMCVFIFFPGLMLWAPFLNFRKLPRDPTMEYPWSTPKDDVPLYKSR >Ma10_p17440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28841795:28842178:1 gene:Ma10_g17440 transcript:Ma10_t17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLLRKLKDMLKHRPHSSHHRPAAAGPSSDAACRVASVDGGDKQGDGLHLVYVGKSRRRYLISSDVIDHPLFQIIAQRHADGDSSGGAGTVVGCEVVLFEHLLWMLVNADLQPDSVDELVEYYTC >Ma08_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15336263:15337156:1 gene:Ma08_g15280 transcript:Ma08_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKERDQSVESTATTAPHMPSIKPDSATSTTDPSFVPVSLFPWASTHTSSISLLLLHQNVRGRSTYVIDGGRGHGQLHRYPPGHHPPSTGCLPQVRMPGGVLDLPAVDVVRVPPGHHLCCVCHHQVNSFLLLQGF >Ma08_p22460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36033825:36046714:1 gene:Ma08_g22460 transcript:Ma08_t22460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAGPTGFRNAPVTRTLVITSAVLSVTSAVSGRPRRLGLSFQDIFENHDLLKAIPSVFAFSSIPELVAGFYLLYYFRVFERQIGSNKYSVFVLFCIVASTFFEILALALLKDSHVLASGPYGLIFAAFVPFFVDVPVMSRFRVLGINFTDKSIVYFVGFQLLLCGWKWSFIPGMCGVLAGFLYFVNAFGIRRLKFPKKVASVVSRLFLSSSTSSTHNSTANIRRNARVPYADHRVQHNYSSVGHISMPEPPESSIATLVSMGFDGNAARQALMQARNDLNVATNILLEAQ >Ma08_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36033868:36046714:1 gene:Ma08_g22460 transcript:Ma08_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAGPTGFRNAPVTRTLVITSAVLSVTSAVSGRPRRLGLSFQDIFENHDLLKAIPSVFAFSSIPELVAGFYLLYYFRVFERQIGSNKYSVFVLFCIVASTFFEILALALLKDSHVLASGPYGLIFAAFVPFFVDVPVMSRFRVLGINFTDKSIVYFVGFQLLLCGWKWSFIPGMCGVLAGFLYFVNAFGIRRLKLDHVQFPKKVASVVSRLFLSSSTSSTHNSTANIRRNARVPYADHRVQHNYSSVGHISMPEPPESSIATLVSMGFDGNAARQALMQARNDLNVATNILLEAQ >Ma08_p29350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40975842:40978208:-1 gene:Ma08_g29350 transcript:Ma08_t29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSAGVRPTQPSPLSPSLRCLQILDAREAYIGFSRSSLQCQYTIINKANSRGSNHWKEILSTTRNLMFDLFF >Ma03_p18110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23742815:23743087:1 gene:Ma03_g18110 transcript:Ma03_t18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVKDHSSRTVPHSVVPKRCQKQAGEKAERLG >Ma04_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5525828:5535572:1 gene:Ma04_g07640 transcript:Ma04_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRDLNDGSPRSVSSFVLWRPAFLVRTADFAFCLGSTKKMGSVGRRIITRDIAITLFKIKRSILLCMLELMADRLHAESRRLYSWWWDSHISPKNSKWLQENLADTDMKVNTIIKMLEEDADSFARRAEMYYKKRPELMKLVEELYRAYRALAERYDHATGALHQAHRTMAEAFPSQFPLVMSDGSPYGSFGNEAEPHTPEVPPALRALFDPNELQKDALCLSLDSHVLRRNGLYSEQSSLSSRNKGLKQLNEMFAIGDGAARMTSDGRARKGLNFQEEEGESVDNNSKFWPVENTKLMEKQDSSYVAKGHQQDISQLSAGNHNLKILIMAESDRSNKTENEHQGLNRTLSKMSSEKDADNIQYQVSPERTSVLESCLSATQNELNKLNDEMLSKVKNLQSCEELNQSLSMVLEMLRKKADMQEYKLIQNQQALEKFHNTIEDKHQKCMLAEMAVLLEEKLHTQSQEEVNHLSQEIQRGINELRDMELYSIDLQEKICKLNDENDRLNEQNLHSSLMNKMLQDKINLLAEKKRILEDEVGLLVGEKEIFIQELCHIKEIRNDLEVKHQELMEEKVAARIHAESLETAIKDLQNENSDLKAICKKYEADFVEKLRERDDILKKNTALESSLSDVHIELGVVREKILALKELHESLNRKISTNIAEKNVLISKVEILSKDVDTLSREKTLLENSLFCLSTELGCLRPKLKIFEESYQSLSDQHFALLAERNSLLSQVESLTQNVEKHSEKSLILENSLSDISSEVGYLSSKLKDFEESCQSLSDQNSGLLAKRNSLLSQVEILTLNGEKLSDKNSFLEKSLSDMNNEAGNLRSKLKESEESCQSLIEQKSDIFAERNTLLSKVEILIQNVETLLDKKSFLEKSLSEMSNEVECLRSNLKDSEEFCLSISGQNSGLLAEKTALVCQVQFLTQNMEKLSQKSSVLENSLSDANNEVGCLRSKLINLESSCSSLCDQNFCLISERGTLLSQAAILTQDIEKLSEKNSFLENSLINASSEVECLRSKLKVSEESTQSLGNMKSVFLAERENLLSQLEILTQNVNKISDKYSNLENSLSNISTLVGCLRSKLKDSEESCQSLRNQHSGLLVERNTLLSQVEVLTQNVEKLYVKNSFLEDSLTVVSSEVGSLRSKLKDLEESCQSLSNHNSGLFAERNNLLSKLEILSQIVEKLSYKNSFSENSLSEVRNEAVFLKSELKDLEDSYQSLRAQNSGHFVEDTLVSQVERITLNLINLESMFTDLKDKNLKLTRERDFLTHQVKDLQDHLKLEKEEHETHIQSYKSRIATLENQIFLLRQENQLKEEELEAEENNLIGALMGNFILQRSLFDVNGRNLDLSIECQKHIQNCNSAETIISELEQEKLMHINNILLLSEQKENLNNGIHLLWNTLIFDKDFGNLDEIQDEFNIILTEIKKLLNFTSEAEGDNQQLHIEISVFATLLRHIIQDLISLRSEKCSLERELDIKTEELLALGKQKHKILSLNEKLVKDVEANNQREVVLETEIKAVHGQLTDLQDALQMSKCEILNLIEEKMILMEESYSLKQKHRMLEEEHIDVLAEAIELDHIFVFFKSLSAERLLELRSLSYNLDSLNVINKGLDAENNRLNWKIKVLEEEKMHLGESITCLEEDFRNHLLLSEFDLITTTKVLDELNLQSQCVETLLIQKQTQLSETNQKFQSSQQKNSELCRILKGLQLDDEVNKLVKEELEQKISTLSKVLDHRNDEIRYLNEANEVLQEEINQMCAEVKLLVNSEEDLISELQKEIAENEMSKLVKEDLEQNISTLSEALAQRNIEVRYLYEANMVLQEEINQMCEEVKLLVNSEEDLISDLQKEVAENERCEGEITALLSDVQLSTAYAALYEEKVHELLLAGEVSLILQKETLDMEAPLTKDYVDTLKKKNDDLEGENNGLKAVVDVYPACITSLWNGIISLEKLIMTMSKHTQSNHYEKEDLPLVSYLHHGSCQSGEGHKVLDVKGVPELEKLITKVEALQKMIIHIRSYRVQENFDADSNLEASSNDVDGLNNEALAGQCDVDDEGQNVNEISRGKYGQMMKDNKLEQESSYLHYRTICSDGPSRIDIDDQLWEAAERDCSNQKWKASTAAMEHGIESIEEENSKYPSSELVVEKELSVDRLEMPNRALASQQELSKMVLPRLQTDLRKLLDLEMDVKDLKRKMESSQMGKLPASLGYNTIYPQLNDAEGAVIELIDTNNKLTNKAEELHSSDSMDTNSGDGGSRRRRQIAEQARRESDKIERLELELHKIQYVLLKLEEQHARKDTRVRDRSRILLKDIIYGRRDCGRKMKKNLFCGCMRPKTKGDH >Ma08_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14736019:14741307:1 gene:Ma08_g14800 transcript:Ma08_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQRSHLAGSSTAELPALGEHPLSNETYDDRPAAVSERYWRLFDDPGLPPANGAPTDPLPVTPEAFHDLTHQVWTLTSMVQTIIPIISQRTPPHAPQQEAPAQTHAQLPERPVSPQNAAAEDTASRPEPEVPTADSANALRAQLRLVSQRLDEVQQEVRKSKGELGADGRLGSPFTPEIQGQAIPPHFRLPSLNPYDGATDPADHVAAFRAQMALFGTSDALMCRAFPTTLRGPARTWYSGLKPGTVTSFDQLAKDFELNFLANARPKPSMALLLGLNQKEDEPLSHFVSRFATQIRGLSDAHPSFLMQAFMTGLRPSRFFWSLVERPPVTVPEMLQRASQFVAAETWMAGRREEHKKVKSEPPRQQQPAVSRRKLDRPDTRAPLPVLNASRTEIFLHEKGKGLLKDPRPMRNPRELADRSRYCRFHRQHGHDTEQCYELKKQIEELILRGHLGRYLRPNKEQSPHPEGPVERHIDVIAGGPASGGGSMSGRKAYARAAPDKVSGHEPEPEITFPTGASERPDHDDALVISARVANAQMRRIMVDTGSSADILYLDAFQKLGLVKENLSPMCSALTGFTGDSISPLGAVTLPLTLGTPPKSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYYQTIKFPTHAGVGEATGSPRESRRCYLTAVSLGRRARTESHLEDPREAKKSTSHPEPKGTTVDIPLREARPDQTIRIGSELPEQERGRLVGLLRENTDVFAWTPSDMTGVDPKVAEHHLNIPPDARPVKQKARRQAPDRQRAIQEEVDRLLAAGFIEEAKYPQWLSNVVLVKKHNGSWRMCVDYTSLNDACPKDCYPLPKIDQLVDATAGHSQLSFMDAYLGYNQIRMAPDDREHTAFLTDQGVYFYKVMPFGLKNAGATYQRTVNKMFAHQIGRNIEVYVDDMIVKSREAGTHLADLAEAFTTLRKFGMRLNPAKCAFGVTSGKFLGFIVHQRGIDANPEKVQAIINMKSPRTVKDLQQLNGRLVALSRFISRLGDRCLPFFRALKNPKNFQWTSECEEAFKQIKQHLADLPRLASVPTGEKLGLYLAASQHAVSSVLVKESSGQQLPVYYVSHVLSGPEERYPPIEKLALALVLSARRLRPYFQAHPVEVITNQPLRQVLTKFDVAGRLLKWAVELGEHDIRYAPRNAIKAQAVADFIAELTQLEDTDLEKVPEAWTLHVDGSANLKGAGAGLVLLAPDGRSFERSLRFGFRATNNEAEYEALLAGLRLALEMQVTAIHVLTDSQLVAEQLNGGYEAWDATMAKYLARVRDLTTKFRYFTLSNVPREENGRADALAKLASKPTPEAWPGVEELPARAVEIATTAPDGTPTTWVQELLRFKQDGTLPPDEDAARRLRRTHAWYTVECGHLYKRSFAYPLLRCLEPDEAQMVLTETHEGVCGEHIGGRTLAHKILRQGYYWPTMRRDAKAYVQRCASCQQHARTPRQPAVPLSPIDCTWPFAQWGLDLLGPFPPASGQRKYIIVGVDYFTKWVEAEPLATITEHQVEKFVWKNLITRFGLPKAIITDNGPQFAGKRFRKFCTDQGIQLRFSSVAHPQTNGLVEVTNRSLLEGLKRRVSSARSAWTDELPSVLWSMRTTPKAATGESPYSLTFGTEAVLPPEIAISTLRTKGYDEEVSNEGLRANLDALEERRADAHLKILSYQRAVARVYNRKVRPRPIRLGDLVLRKTEVSDPARARGKLAPKWEGPYRVVEVVRPGTYRLTSANGRPLPRTWNAENLKKYFV >Ma04_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3757818:3766766:-1 gene:Ma04_g04950 transcript:Ma04_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFYLLTDMVWLSPLMLQTEGQNPASKGMDLPQDSGPTTPLPDKKLLVFILDRLQKKDTYGVFAEPVDPEELPDYHDIIKHPMDFATIRKKLSGGAYANLEQFEKDVILICSNAMQYNAPDTIYYRQARSIQELAKKNFENLRQESDDNEPEPKPVRRGRPPSKNIQKKVGRPPVDRAGSNFSSNATHSNAGDSSHWTSLSHDLSRKGLDKTSSSELPAKPYGLRIIESHSLTGDHKSERNEDNSGSAVKGFSMKYPKKSLVIDENRRITYSHPQVFGSISEPSVLTTFEGERKQLIPVGLYMEHAYARSLARFAANLGPIGWEIAAKRIESVLPPGTKFGRGWVGDNEVPQQVLDSGEVIARNHESAFKPQSAVGGQGNWQKIPFHLNQAAPAMQPTLNGFNNPLGFNHPSQVGKSVITCASPESFSSEAMRTHSRARDMVARNSSQTTISNFNMDKVTMVADPSTSSSSGSHLPDSGHDSQGTQGIVDKSEVGFYSQNPNLSLQL >Ma01_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11792309:11798472:-1 gene:Ma01_g16270 transcript:Ma01_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PURA MTISSVAFGPASPALGRRCPTCSVGGRNWKPLGASSFCISRGLEWPRSAAARVVAPAASLSAVEEIDQVDRIASLSQVASVLGTQWGDEGKGKLVDVLAKNFDVVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFQEIDGLESNGISCKGRILVSDRAHLLFDFHQVVDGLREAELANSFIGTTKRGIGPCYSSKVIRNGVRVCDLKHMDTFAQKLDTLLRDAASRFEGFKYSVDMFNEEVERYKRFAERLEPFIADTVHVLNESILQKKKILVEGGQATMLDIDFGTYPFVTSSNPSAGGICTGLGIAPRCLDDIIGVVKAYTTRVGSGPFPTEILGKDGDLLRVTGMEFGTTTGRPRRCGWLDIVALKYCCQLNGFSSLNLTKLDVLSELSEIKLGVSYMQNGGEKVSSFPADLRLLEQIQVEYEVLPGWQSDISSIRNYNDLPKTAQRYVERIEELVGVPIHYIGVGPGRDAIIFK >Ma04_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3381530:3384055:-1 gene:Ma04_g04440 transcript:Ma04_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLASKVSPFPLFPTLASSSIFFFVCLSVFFSFSSSEPIAADVILLLDKIKPALQGSAANAELSSWNASLPLCLWRGLRWSAGGAATALRCEDDPAVRSNLSLSSDPSLHLLSIRLPAAALAGSLPPELGQFSYLESLYLSVNSLIGPIPLELGNAPALADLDVAGNILEGALPPSIWNLCDRLVSLRLHGNKLSGAVPDPAEPSTNCDKLKILDLGNNRFQGPFPKFIAEFRELVELDLSSNSFFGSVPGSLAGLSKIERLNLSYNSFTGPLPESFRKSRFTAEAFQGNNLVICGPSTVGKCGSTSGLTSRSIAGIVIGSLAGAVVLASVSFVWVQARKRRNMGKKATGEEGLVFEEDGTDGGDGKLMVFQGGEHLTLEDVLNATGQVMEKMSYGAVYKARLVDGGHISLRLLREGSCKDQAECVPVIRQLGRVRHEYLSALRAFYQGKRGEKLLIYDYHRNRTLHDLLHYSRGGKPLLNWTRRHKIALGVARGLAHLHAGLETPITHGNVRSKNVLVDEFFVPRLIEFGLEKLMAPAVADEVVSAARSDGYKAPELQKMKKCNARTDVYAFGILLLEILIGKKPGKGTVRDGDVVDLPSLVKVAVLEEATMEVFDAEVLKGVRSPTEEGLVQALRLAMGCCAPVASVRPDMNEVVKQLEENRPRNRSALYSPTDRSESGTPF >Ma04_p32060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32202815:32203567:1 gene:Ma04_g32060 transcript:Ma04_t32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARARAHNRKAGLHERCEVVCGNFLEMPFDDASFDGAYSIEATCHAPRLEDVYREVFRVLKPGALYVSYEWVTTALYRADNPAHVETIRGIERGDALPGLRAHHEIAEVARQVGFEVVEERDQALPPAEPWWTRLKMGRIAYWRNHLVVSALAALRIAPKGVVDVHEMLCETARHLSEGGETGIFTPMHIILCRKPLVPAS >Ma09_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36699781:36701876:1 gene:Ma09_g25130 transcript:Ma09_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEFPCGWRSGWLEKATPKSKLTVEMEETRPAEAAVCASPEKRRRRASRREDSQWQPSLVAISEDGIPVAAPPPALAAAVVSKGRGSGKPKAKPKPKPKPATRVASRVAKDDYRHYGVVPTFAPAAFFF >Ma06_p26990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29022082:29024949:-1 gene:Ma06_g26990 transcript:Ma06_t26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGPILMQRFEVGRLLGQGNFAKVYYARNLRTGQSVAIKMIDKEKVFRVGGAEQIKREISVMRLVRHPNIVRLFEVMASKSKIYFVMEYVKGGELFDKVAKGRLQEDVARGYFQQLVIAVDYCHSRGVYHRDLKLENLLLDDNENLKVSDFGLSALADSKRQDGLLHTICGTPAYVAPEVISRTGYDGAKADIWSCGVILFVLLAGYLPFRDSNLMEMYRKIGGSEFRFPSWFSSDVRKLISKILDPNPSTRISMSTITESKWFRKETDDKKLNSKVFMDVSRAFNSVGSKTDEGMQESDEVTKLNAFDIITLLSGFNLSGLFREWNQDKEALFTSNMPPSTIISKFEDLAKHLKLKIKKKDTGVIKIERLDEGKKGVLAMDAEIFEITPLFRLVEIKKSNGDTVEYQKLIKEGMRPALKDIVWTWQGD >Ma04_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1559587:1561070:1 gene:Ma04_g01760 transcript:Ma04_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRQRPPMRRTTSMTEFAADVEVMQQPFDQEKVSNALHHQRDQSRERQTASDWLETKNLGNPAAPSPRGGRCPRNSAELVVVDTAPFLRACGLCKRRLGPGQDTFMYRGDAFCSFECRQQHITQDELQEKCSLTSMKDTSTATTGSEPAGDSEMVAAA >Ma03_p26110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29962863:29967749:1 gene:Ma03_g26110 transcript:Ma03_t26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSATKGVCVRSMTYLYVQKRPTAMEWPPVEELRRREGVGRWGAERRSLARRRAQAVRCEGCNGSEPRSGRSRSWTRKRLQAVASPVPTTTKRVFTFGKGKSEGDKSMKSLLGGKGANLAEMASIGLSVPPGFTVSTEACQEYQEGGRKLPDGLWEEILEGLSAVEEVMGARLGDPSKPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVVAGLALKSGERFAYDSYRRFLDMFGNVVMGIPHSLFEEKLEVLKAVKGVEQDADLTASDLKELVTRYKNVYTEAKGEHFPTDPKRQLYMAVIAVFDSWDSPRANKYRSINQIKGLKGTAVNVQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPEDLDKMKDCLPEAYYELVENCNILERHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVDKRSAIKMVEAGHLDQLLHPQFEDPSAYKDKVIATGLPASPGAAVGQVVFTADDAEAWHAQGKAVILVRTETSPEDVGGMHAAEGILTARGGMTSHAAVVARGWGKCCVSGCSDISVNEADKVVVIGDEVVQEGDWLSLNGSTGEVILGKQPLSPPALSGDLETFMSWVDETRQLKVMANADTPDDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAANLEERQRALDLLLPYQRLDFEGIFRAMDGFPVTIRLLDPPLHEFLPEGNIEDIVTELAAETGTSEEEVFSRIEKLSEVNPMLGFRGCRLGISYPELTKMQARAIFEAAISMSNQGVKVLPEIMVPLTGTPQEFEHQVSLIRTVAQQVFTEMGTSISYKVGTMIEVPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQNDPFEVLDQKGVGQLIKIAAERGRRARPDLKVGICGEHGGEPSSVAFFAQAGLDYVSCSPFRVPIARLAAAQVVVV >Ma05_p28540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39502121:39512469:1 gene:Ma05_g28540 transcript:Ma05_t28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDALTERAAFLRDSLDKSRTHTDRMVSMLGSFDHRLSALEAAMRPTQVRTYAIRMAHENIDKTLKSADVILGYFERTREAELKLSRGPRDNLESYLTAIDQLRGILQFFSSNKSLRSNDGVVNQANNTLAKAIMKLEDEFRSLLVTHSKPVPPDSLFGSLPEISQPLSGSLDQQSESAKNLSSTNHSEHQVKSSEAAALTPPKLIPPRILPLLHKLAQQLVQAGRQQQCLKIYREVRSSTLEKSLSSLGVEKLSKEEVQKLPWESLETKIGNWIHHMRIAVKLLFAWERELCNQIFEGIGSVKDQCFSEITANSMLVLLSFGEAIAKSKRSPEKLFVLLDMYEVMHELQPEIELIFDGKSCSEMRDSALSLTKQLAQTAQETFGDFEEAVEKDASKTFIADGTVHPLTSYVINYVKFLFDYQATLKQLFLEFGHGDGTRNQLASITMRIMQALQSNLDGKSKMYKDPALQCLFLMNNIHYIVKSVRRSEAKDILGDDWIQRHRRSVQQNANQYRRFGWAKILQTLSAQGLTSSGGGSIPGSDGGSSGLVPKPILKDRFKSFNMQFEELCLRQCEWAVPDQELRESLRLAVAEVLLPAYRSFIKRFGPMLENNKNPSKYIKYSPENIETKLGELFEGKSAAKMTTEPRRS >Ma04_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23027250:23029309:-1 gene:Ma04_g20340 transcript:Ma04_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEDIPKHHAIFVAAPFQGHFTPAANFAVKIAARGFIVTFVTTEAFHHQRTASGAVSVDGHEVFADARSMGLDIRYELVSDGLPVTFDRYMHRDQFSDAFYHLLPAHVEELMRKLLLAENPIDVLISDTFAVWPSTLAKKFGLPYVSFWTEPALVFAIYYHMHLLVENGHFGSPTETRKDTITYIPGVPSIEPTDLVSFFHAPEASWRLLRNVGKAFEEVKGADFVLCNTVQELEVEVIGALQQEWPFYAVGPIGPDSGEGGAATSLWPELDCSQWLHSMPPRSVLYISFGSIAPVSKRDTDEIAYGVLDSKSRFIWVIRPGSGSTSEATPPLPEGFIDACKGRGMVVPWCRQKQVLQHPAVGGFLTHCGWNSILESMWCGVPMLCFPLFSDQPTNRKMVVEDLRIGIDVGSIGEVSRAEVSRRIDSLMGGGVGGALRKEMEEAQRAVKSAVTPTGSSSKNMEQFTVDLLKHLSEKKREQ >Ma07_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4074887:4075795:1 gene:Ma07_g05620 transcript:Ma07_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANHSPSSDNAAMATADEMFSKRGCCCFFVPWPNKAWERIMPPASERTDGVCPAASRRWWCRGWKAILKVREWSELVAGPRWKTFIRRFRRRPRNGGGGKPGGRFGYDPMSYARNFDEGQGSDSDGDTVRRGFSARYATPPALAKSSMDLGGLNDAPLLVGYAH >Ma09_p28760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39419150:39429009:-1 gene:Ma09_g28760 transcript:Ma09_t28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agmatine deiminase [Source:Projected from Arabidopsis thaliana (AT5G08170) UniProtKB/Swiss-Prot;Acc:Q8GWW7] MSKCLEEKPALLGFHMPAEWEPHQQCWMGWPERLDNWREGAFPAQSTFVKVATEISKFEPVTMCVSSAQYMKAYDWLHGNGNIRVVEMSMNDAWFRDIGPTFVRRDRKPSLRDQECEVAGIDWKFNCWGGPEDGCYSDWSLDVLVAKKILELDRLPRFSHRMVLEGGSIHVDGEGTCITTEECLLNTNRNPDMTKEEIEQELMMYLGVSKIIWLPRGLYGDDDTNGHVDNMCCFVKPGMVLLAWTDDELDPQYERSVEAFSVLSNTTDAKDRKIEIIKLHVPGPLYITKEEADGLVTLDESAKPRLAGTRLAASYVNFYIANGGIIAPAFGDEKWDQQAYHVLTSAFPNHEVVMIQGAREICLGGGNIHCITQQQPATP >Ma07_p27700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33972032:33975524:-1 gene:Ma07_g27700 transcript:Ma07_t27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLFSEIGKNAKDLLNKDYTYDQKLTISTSSASGVGLTSAAVKKGGLYSFDIGSQYKYKNTLIDVKVDTNSNISTTVTISDILPYTKTITSFKLPDYNSGKLEVQYFHDHASFASVVALKHSPIVELSGTVGTRGVAFGAEASFNTASGDFTKYSAGVGLTKPDYSASIILEEKLDTLRASYVYHLDELQKSSVVAEIVRRFSTNENIFTVGTRYAVDPQTTVKTRLNNSGKLAALLQHELKPKSVLTLSGEFDTKALERAPKFGLALALRP >Ma08_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33200334:33201369:1 gene:Ma08_g19440 transcript:Ma08_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPDDGGRTNLASCLVATAFLLLVVAAVAAALFVLFRPRDPEIQVSAVRLPGFAAANGTLRFAFDQYASVRNPNRAAFSHYDSTLQLVYAGNQVGFMFIPAGQIAGGRTQYMAASFAVDSFPLAAVPPAPGGTVEVNSRMRVKGRVRVLRFFTHHVEAVANCRVRASSADGSVLGFRC >Ma05_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7964119:7966811:1 gene:Ma05_g10950 transcript:Ma05_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPPPYPDDPAVWYGSIQYLLNISAAGAASCLLLFLLVKLRSDHRRSPGLSALASKLLAVYHATTAQIALHCGADAAQFLLIERASFAILLAVALVALCAGLPLNLWAGSAPLADQFARTTISHIRPGSPLLWLPFLLAAIVVAIAHVGISRMEDDLRITRFRDGNRHPSDPNSGSVSMFTVMVQGIPKSLAANKAQLEEYFQHRYPGKVYRVIVPFDLCTLEYLAAKWTKVQNEISSLEARIGTPSLSDDDLYGSQLNQHQLWRRAKEAWAMIVVKLGLTKEERLKKLHDLRSVLQTKLLDYKEGRAPGAGIAFIVFKDVYTTNKAVRDLRTERKRRPTGQFFPVMELQLGRNRWRVERAPPAVDIYWNHLGLSKVSLRMRKIAVNGCLLLMLLFCSSPLAVINAMKSAARIINAEAVDNAQLWLTWFEGSSWLGAVILQFLPNVLIFVSMYIIMPSALSYLSKFECHLTVSREQRAALLKMVCFFLVNLILLRAMVESSLEGAILGMGKCYLDGEDCRRIEQYMSASFLTRSCLSSLAFLITSTFLGISFDLLAPVPWIKNILKKFRKNDMVQLVPEENDGYPLEENDEENYLRMPLVSEREDTYGSNGVEEHDLSVYPVNRSFHVPKQTFDFAQYYAFNLTIFALTMIYSLFAPLVVPVGAVYFGYRYVVDKYNFLFVYRARGFPAGNDGKLMDRVLCIMHFCVVLFLLSMLLFFTVQGDSTKLQAIFILGLLLCYKMLPSRIDGFQPSLLEGMQNIDSFVDGKTDYEVFSNLEFN >Ma10_p24910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33289376:33290135:-1 gene:Ma10_g24910 transcript:Ma10_t24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKEGPSSSPLTAVACCMCGDPGISDELFRCKICHFRLQHKYCSNLYPKIKHYSSCNWCLREGGGNSAVQESTMDQSHSLSSSSDLAAGAGFGVKFHRDVSATHLKTLNKTVKKRRTTARLSPPAVIEKSQSDLPSLSSSRPKQAFRSKIRKFKLLEEVCS >Ma06_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14025091:14027028:1 gene:Ma06_g19940 transcript:Ma06_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVLSKRVAALGKVGRGARGKQSALRSSAGGEKLEDFGGQLRLDLLIFSSSPCQDIFVGGIDTSSTTLEWAMSELMRNPETMKRAQEEVREAMRGKGKVEERDAEGLSYLKLVIKLTLRLHSPAPLLIPRVGRETSQVLGFKIPAGSRVVVNAWALGRDPTYWGDDAECFRPERFQGSPVDFKGANFEYIPFGAGRRMCPGVELLLAHLLFYFDWELPHAMKPGDLDMTENMGGTASRKSELFLLAAPRIPLPDVDISWS >Ma06_p37200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36327816:36329069:1 gene:Ma06_g37200 transcript:Ma06_t37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MAIAGAARAIVCDLGQQRKDSPLPPPVLRVRLAEPSAESGKILLQPRLCTLRSYGTREGGVMRRTSVGDASSFFASLADYIESSRKSYHFEIVSGRLAMVAFAAAVSVEVVTGNSIFKKLDLQQIAEAAGVCVAVVACAATFAWFSSARTRIGQMITLGCNSFVDALIDNIVEALFYDSELSDWSDEI >Ma10_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22930406:22932705:-1 gene:Ma10_g08590 transcript:Ma10_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKLWVRRNSEFVHSLESLANGITWLLPERFSNSEIGSEAVYALLGIISTVNQHIIDTTPTRMRPHGFGKSTFPWSLCVSALKDVETVVEVAAQQFAGEERKWNYLTVTEAVKAFVRLTAFRDSGYKMLLQGGELVNMDERLEVSGVYHARNGDSGVSGGYNRPEHIHGYHGSIPRSLEGRAISALSRFGENAKMASNPMWLNKLCPSSEATPALLFQKPTFSTLWFQKGLSGRLFLTGEVLSVLRPLVYVLFIRRYGIRSWKPWLISLAMDLAGMNLLSHSTSWHRRSNDKFYQLSTYEKDELRRRKLVWALYIMRDPFFSQYTKHHLEKTDRYLSRIPLVGFLTAKLVELIVGAQTRYTYTSGS >Ma02_p20810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26659051:26663466:-1 gene:Ma02_g20810 transcript:Ma02_t20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFSVPPVVFPSSAGNPNVPHQRRAPGTAPQPFQPPRPSNPGPANPPLPFMSFDIGSAPASSSFSAPVFSSASVPGSFEDEPPLLEELGINTRQIWRKTVSILNPFRVSPSLHEDADLSGPFLFLMAFGLFQLLAGKFHFGIILGWVTVATLFLYVVFNMLAGRNGNLDLYLCLSLIGYCMLPMVIFSALSLLVPHDGVVIFLLAAVFVLWSTRVCTGFLVELASCGDEHRGLIAYACWLVYMLFSLLIIF >Ma02_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26658959:26663466:-1 gene:Ma02_g20810 transcript:Ma02_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFSVPPVVFPSSAGNPNVPHQRRAPGTAPQPFQPPRPSNPGPANPPLPFMSFDIGSAPASSSFSAPVFSSASVPGSFEDEPPLLEELGINTRQIWRKTVSILNPFRVSPSLHEDADLSGPFLFLMAFGLFQLLAGKFHFGIILGWVTVATLFLYVVFNMLAGRNGNLDLYLCLSLIGYCMLPMVIFSALSLLVPHDGVVIFLLAAVFVLWSTRVCTGFLVELASCGDEHRGLIAYACWLVYMLFSLLIIF >Ma02_p20810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26658646:26663466:-1 gene:Ma02_g20810 transcript:Ma02_t20810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFSVPPVVFPSSAGNPNVPHQRRAPGTAPQPFQPPRPSNPGPANPPLPFMSFDIGSAPASSSFSAPVFSSASVPGSFEDEPPLLEELGINTRQIWRKTVSILNPFRVSPSLHEDADLSGPFLFLMAFGLFQLLAGKFHFGIILGWVTVATLFLYVVFNMLAGRNGNLDLYLCLSLIGYCMLPMVIFSALSLLVPHDGVVIFLLAAVFVLWSTRVCTGFLVELASCGDEHRGLIAYACWLVYMLFSLLIIF >Ma10_p27230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34599032:34600448:-1 gene:Ma10_g27230 transcript:Ma10_t27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSSGPVLKDQSKGYLMYHSASGCCFSSDGVVKKTGVRFGSRGSLESDAVWSPASPLDKGFSSGINGRIICWDGSRVGLGLIDALGDESSPWGKVLGFPRNENIVFASQMRSNISIPKSHQVGLGDDSLGAAPKSLQPRIGSSKPGSVCSGMTIELKGCRLEHEELGLLHSCPVDTGRSLLLTKSIGNILRSNSEVLVSDSENSMDSWSLAKEDTSFSSSYEFISSLSASEIEQSEDYTCITSHGPNPKKTHIFGDCILDSHCIESPTIRNKQRKDNEGSCKKNSEGGKHICMHRVKEAFGSCKCRDRGSSLEKRIEKSRITSSVSPASAFHEETFLDDMKLAS >Ma04_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26720914:26728762:-1 gene:Ma04_g24820 transcript:Ma04_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATVRERYEKLGLAEALSRAHDYPSACHELGLILRLAYADLPKNLQSVVFQETLSAFRLLPEVQTGHGLSSANVLLQAAEVALPKQKKALAVSEFKHAAVAHKRRSRAHHDGGSVVLPYDLLVHIFSFLDLRSLASVGLVCWAWNTAAIDNGLWQMLYSNLFGNYSTCTSKEQDHKLVPDGKDVVFHGCMGVDPTININWKEAVRRKCEGVSSWKFLPNRVVCGHCQSIIWSSGITCRTSHECSKIGNQKLNIRPISPCKVVEYLLGEIELAVMSSDTDDSDSDGPSPSTQHLPRLWAYPKLSSTS >Ma10_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15138767:15139644:1 gene:Ma10_g04460 transcript:Ma10_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREILSFSGNIQSSCLFSGHWEDLIVDQWNKGGLEPAKERPPTMIKPLPITCSIGPHGGCHSRLQFLVVDLLAECLLSDEELEEASLVGLGKIAKLINAGKFDSLELITMKTLNNTGAMGKQIEDGVRLMTRGPEQIISNDQYT >Ma08_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8540615:8542911:-1 gene:Ma08_g11530 transcript:Ma08_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTTTSASIPAPVACSSTHRHCLPCTQRRGSAAASAAAVVALLLATSAWLSLVFSSPSIRLHWAHIRTSASPFRRASPPPLPGPSTRVPPPTGPPNRLRPLSLRHLVFGIGGSAHLWPRRREFVRLWWRPGAMRGHVWLDDRVPRPPRNSSLARSLPPVRVSEDISRFRYTNPTGHPSGLRIARILSETFRLGHRGSRWFVLVDDDTIVCPDNLVAVLAKYDWTEMAYVGSPSESHSANTYFSHAMAFGGGGIAISYPLAVALAGMMDECIERYPKLYGSDDRLHACISELGVPLSREYGFHQWDIRGNAHGLLAAHPVAPFVSIHHVEAVDPIYPGLSTLGSLKRFTKAMKADPMSFLQRSICYDKRRKLTFSVSLGYVVQVFPTIVLPRELERSEQTYIAWNKLNNRNEFDFDTRDTYRSVCKKPVLFFLRDIERDGNTTLGSYRRVKGRDNLKKKVFCFPRTPPLPDMNEIQVFGTPLSENWHLVPRRLCCKLNQRRYGTLKIAVGQCDHGASGSAAGSL >Ma06_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15161279:15161768:1 gene:Ma06_g21060 transcript:Ma06_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMILLPNPNKARHMGTKGGRKGCNMFSSLNSQHLKMLTRKLNMPSPPGTTSWADGFNFANKNLACRRNKQKLLVPVIMVYRYQAKNLGTGNRSLMIV >Ma04_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20878732:20879697:1 gene:Ma04_g18730 transcript:Ma04_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRQATVEDLLAMQACNLLCLPENYQMKYYLYHILSWPQLLFVAEDYGGQIVGYVLAKMEEDTSEPCHGHITSLAVLRTHRKLGLATKLMTAAQNAMESVFGAEYVSLHVRRSNRAAFTLYTSTLGYRIHDVEAKYYADGEDAYDMRKQLKGRPHGHSHGHSHGHGQAHHHHHHHHHGGGCCSGEVKPPAAAGAYASASSASASASASASGE >Ma06_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5894152:5896040:1 gene:Ma06_g08310 transcript:Ma06_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTMVGAQKALLPSSYAKPRTPKSPAISSSNTNFLSSSFSLSTGNLCYDTAASSQQRLRRGSGGLLVVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAAVGGSSPKKYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDVPIISGSALLALEALMANPSIKRGENSWVDKIYELMDSVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTIKIGETVDIVGLRETRNTTVTGVEMFQKTLDDALAGDNVGLLLRGVQKADIQRGMVLAKPGTITPHTKFVAIVYVLKKEEGGRHSPFFSGYRPQFYMRTTDVTGRVTSIMNDKDEEAKMVMPGDRVKMVVELIVPVACEQGMRFAIREGGKTVGAGVIQSIIE >Ma04_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4813035:4815843:-1 gene:Ma04_g06570 transcript:Ma04_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPLGTAGGKAMEMGLQVKEMMYHKIKMNKILSRITGKT >Ma07_p22560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30459461:30460351:1 gene:Ma07_g22560 transcript:Ma07_t22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVTLLLLLLLLPLLAAQDFDFFYFVQQWPGSYCDTKQSCCYPSTGKPAADFGIHGLWPNYNDGSYPSNCDPKRPYNASEINDLMGRMRSSWPTLACPSGDGSRFWSHEWEKHGTCSASVLDQHSYFQTALDLKKRVNLLKLLQDAGVRPDGGFYGLGDISSAIGDAIGYAPGIECNADEFGNRQLYQIYVCVDTSGKELIRCPVYPTTKCSSRIEFPPF >Ma01_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23329228:23330682:1 gene:Ma01_g22120 transcript:Ma01_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSSDDTTTKGRKLLEILNVRMVGAGCRVLVLSHGFGTDQSAWNRVLPYFLRDYRVVLYDLVCAGSVNPDHFEFHRYTTLDAYVDDLLAVLDALGVDRCYFVGHSVSAMIGILAAIRRPLLFLKLILVGASPRFLNDGDYHGGFEREETEEVFAAMEANYEAWVRGFAPLAVGADVPAAVREFSRTLFNMRPDISLFVSRTVFNSDLRGVLGLVQAPCVVIQTANDVSVPPSVAAYLKAHLGGRTTLELLHDEGHLPHLSAPASFVHVLHRALTTTQ >Ma03_p30710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33217497:33218517:-1 gene:Ma03_g30710 transcript:Ma03_t30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRCYSSHHYWVEGNDDEGRKESVVVVMGATGTGKSKLSIELATRFSGEVVNSDKIQVYRGLDVTTNKMPVVERCGVPHHLLGEVDPAAGEVSPATFREMAARAIAGINGRGRLPVVAGGSNSMVHAAMAGSYDPERSPFAADWRWRRKRREGALRYRCCFLWVDVEAAALADQLDRRVEEMVAAGMVEELGRYFAAEVESDAGELRHPGLAKAIGVAELREYFRGEGRGTAAAYQAAMAAIKANTRRLAEEQVRKIERLEEMGWPLRRLDATATVTARLAGSATEQAEAAWERDVAGPSSAAVEQFLKEAVEGHHAVSSHLIYT >Ma02_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19281505:19287760:1 gene:Ma02_g09150 transcript:Ma02_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTEEDLLLRSFMAEVSGVERDNEVARILSCFKLNPFEHLNLSFDSSVDDVKKQYRKLSLLVHPDKCKHPQAKEAFAALAKAQQLLLDLQEREYILSQVNAAKEELRLKRKKELKKDNASKLKAMVDEGKFEQQYEQSEEFKQQLKIRVREILTEQEWRRRKMQMRISEEEGRLKKEEEETKEMWKRKREHEEQWEETRDQRVSSWRDFMKTGKKGKKGEIRPPKLKTEDPNKSYVQRPVKRG >Ma09_p00670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:455775:458204:1 gene:Ma09_g00670 transcript:Ma09_t00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFRNLNRRAKPQKYGVALVAVFILVALTYVTRTRTTSMRFSLGGFVTPEKKPICDTSNRKSDICEADGDVRIMGKDTRMVFVAGGGGGGESWTIKPYARKWDAGSGARVREVTLKLVDGYAQDRRCSVNHTVPAMVFAIGGWTGNYFHDFVDVLVPLFETAYHFGGEVQFLIANLNRPWMDKYQLFFKKLSRYEIIEYDDDDAIRCFKHVTLGLRCTSAEDFQMEPSKSPHGYTMFDFAKFARGAFSLERDYASRMGEEADKKPRLMVITRATTRRFMNVEEIVRMAEEVGYEVVVTEGDPDVSKFSRIVNSCDVLMGVHGSALTNMVFLPTNAVVIQVVPWGNLDWIAGHYFRDPSDQMKLNYLEYSISEEETTLTELYPREHAVFKDPMSLHPQNADWDTFSRIFLKEQNVKLDVTRFRAYLERALRILRHRRGE >Ma11_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2749281:2751509:-1 gene:Ma11_g03690 transcript:Ma11_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKPFLALLICLFASLPLSCLHVDGSDELKAFIVHVKRPENLSFSVAEQWTGWYSSLLSLASLEANDTDFARSRVIYSYRHVVTGFSALLTEREVEAMSKLDWFLHAYPSPVYHLMTTHSPKFLGLRQRIGNGVWNATNMGQGVIVGILDTGVTPGHPSFDDYGLPPPPAKWKGRCDLNASACNNKLIGARSFINYDAVRRRPTDTPIDDEGHGTHTASTAAGALVKHADVDGNARGVAAGVAPRAHIAIYKVCSEIGCAGYDILAAMDAAVADGVDVLSLSLGGGSIPFHSDPVALGGFEAINKGIFVSCSAGNSGPDPYTVTNVAPWLLTVGASTMDRSFLGTVKLGDGQEWEGASLNPPRNFDSKMLPLVYVAGDAAASHCLNDSLNGVDVRGKIVLCDRGDNSRLEKAQVVKSAGGAGIILVNTPEDAYSTIADPLVLPASNVPYVVGLKIKAYINSASAPAASLVFNGTVMHTPHSPSMASFSSRGPSQITPGILKPDITGPGVNILAAWTIQKFNMNSGTSMSCPHLSGIAALIKKAHPDWSPAAIKSAIMTTAYVTDNSRGPILDERHLPADFFAIGAGHVNPRKAIDPGLVYDLTPQDYIPYLCGLYESSLVEVIVGRPVDCSSPNSISEGELNYPSISVTLPANNLTSVRYRRTVTNVGKPTSTYRVKLDLPKEVSVRVTPTKLSFNEVNQKRRFSISFRRNGGGSGAVQGQLLWVSGKHVVRSPISIRLE >Ma10_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31450812:31457992:-1 gene:Ma10_g21860 transcript:Ma10_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPATAIMSASEKATSSPSPSTSPSPPFHLSSSDSKMGFAERAISAAGAALVSSIIVNPLDVAKTRLQAQAAGVPYNTSQHQICMDVRCYPSYIFGERYCASTCCPSDHSRYKGTLDVFYKVIKQEGFVRLWRGTNASLVLAVPTVGIYLPCYDMLRNWMDGIAVNSYPNLTPYVPLLAGSAARTLACLACSPIELARTRMQAFKASRAGGKPPGIRTTLLGVLSPSRSNNHLEKIEGYRVLWMGAGAQLARDVPFSAICWSTLEPIRRRLLGLVGEDGNAAGVLGANFLAGYVAGSLAAAVTCPLDVAKTRRQIEKDPERMLKTTTRQTIVQVWRSEGAKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYFLLRGQMATS >Ma10_p21860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31450812:31457981:-1 gene:Ma10_g21860 transcript:Ma10_t21860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPATAIMSASEKATSSPSPSTSPSPPFHLSSSDSKMGFAERAISAAGAALVSSIIVNPLDVAKTRLQAQAAGVPYNTSQHQICMDVRCYPSYIFGERYCASTCCPSDHSRYKGTLDVFYKVIKQEGFVRLWRGTNASLVLAVPTVGIYLPCYDMLRNWMDGIAVNSYPNLTPYVPLLAGSAARTLACLACSPIELARTRMQAFKASRAGGKPPGIRTTLLGVLSPSRSNNHLEKRYRVLWMGAGAQLARDVPFSAICWSTLEPIRRRLLGLVGEDGNAAGVLGANFLAGYVAGSLAAAVTCPLDVAKTRRQIEKDPERMLKTTTRQTIVQVWRSEGAKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYFLLRGQMATS >Ma11_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4257147:4257765:-1 gene:Ma11_g05550 transcript:Ma11_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGPGPGWAPLPGGPPGLTPGPTGCCGLLSSCFYFLCCCWLLEDCCGPFFGGPLGPPPGPP >Ma09_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8752060:8753142:-1 gene:Ma09_g13000 transcript:Ma09_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLDTPNPPLASPIGFEGYEKRLEITFSEAPIFVDAHGWGLRALSRAQIDSILDLARCTIVSHLSNKNFDSYVLSESSLFVYPYKIILKTCGTTKLLLSIPRILELAAELSLSVFSVKYSRGTFIFPGAQPSPHRSFSDEVAVLNQFFGSLESGSDAYVIGDSANADRKWHIYYAANKPELPMVTLEMCMTKLNTERALIFFKNSVEGHECPAKAMTKLSGISNIIPEMEICDFDFEPCGYSMNGIHGPSLSTIHVTPEEGFSYASYEAMGFNPLTSVQQDLIERVLRCFDPSEFSLAVTIFGGRGLAGTWTDKILLDGYTCKDRVEQDLPGGGLLIYQAFAASAVTAVSPGPTLHY >Ma08_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10468434:10474883:1 gene:Ma08_g13200 transcript:Ma08_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELDRNFSELHVTRDSDCNGKSKILENQAVDGDFQITCFTEDLHDVTLHFQIIRLAKQIFVWIGCNTAKFGHLYAAAITRPNDQVAVTSILGGTSDNTGSGIARRLVLKTGLNIIVACNIPKDSPMLEATAERKLAEKLRSLGYIRPKPGGMSLD >Ma08_p13200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10468434:10474883:1 gene:Ma08_g13200 transcript:Ma08_t13200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELDRNFSELHVTRDSDCNGKSKILENQAVDGDFQITCFTEDLHDVTLHFQIIRLAKQIFVWIGCNTAKFGHLYAAAITRPNDQVAVTSILGGTSDNTGSGIARRLVLKTGLNIIVACNIPKDSPMLEATAERKLAEKLRSLGYIRPKPGGMSLD >Ma06_p03730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2708049:2710540:-1 gene:Ma06_g03730 transcript:Ma06_t03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLIQEPKRPLDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEVKSVEMHHEALQEAFPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGLVKMIPTKPMVVETFAEYSPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAQKKK >Ma07_p12280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9185795:9187819:-1 gene:Ma07_g12280 transcript:Ma07_t12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQCSSQEPNHTKAKHMKEMSELFPILGLLFSLISSTTMASFDDYTSFVYVGCSQSKYILGSPYQFNVDSLLTSVANAATFSSYNNFTSSAASGSSPAYGLFQCRVDLSVADCASCVRSALDQLSSLCPSASGAGVQLKGCFVRYGNDSFLGEPDTTLLYKKCGSVVPGGGYNSDLLGMRDAALSGLSGGGGSYRVGAGGHVRALAQCVGDQSVKQCSDCVAAAVAQLKDGCGVANAGDAYLGKCYVSYWSDGVYTSRHYHGGVSGKTLAIIIGVTLGLVIMIIFLSFIRRTGK >Ma02_p07280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18031098:18037534:-1 gene:Ma02_g07280 transcript:Ma02_t07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAVALTALFDLVVLLLLPAAVVVESATDSLDVQALGVLYASLNSPSQLSGWTPSGGDPCGDSWKGVSCSGSSVTAVQLSGLGLNGSLGYLLSDLLSLKTLDLSNNDIRETIPYQLPPNLTYLNLAGNNLSGNLPYSISAMASLNYLDLSFNNFTGDLPNSFGSLSNLLSLYLQNNQLTGPVNVLSNLSLSNLNIANNQFNGWIPQEFSSISDLEIGGNFFSNSLAPHPPPYMPPPPSRQHSTHNNTQESPNTPQGSEGKSISPDNASNKKKLTTGPLIGIVLGSTLGALCITLAVILLLRNVPKGKDHSINSRNDTGRSAVGGADKANEKEMQELRVKSSSATTPVPPSDTVIIEKLHGKNVPVKRPKIPITATSYTVASLQVATNSFSQDCLVGEGSLGRVYRAEFPNGKVLAVKKIDSAAISLQEEDNFLEAVSNMSRLRHPNIVPLSGYCVEHGQRILVHEYIGNGTLHDMLHFADYSSKRLSWNARVRVALGTARALEYLHEVCLPSVVHKNFKSTNILLDEELNPHLSDCGLAALTPNTERQVSTEVVGSFGYSAPEFAMSGVYTIKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALSKMVDTSLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLVQRASAVKRRSGDELGFSYRVPEQDSSMTDISF >Ma02_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18031098:18037534:-1 gene:Ma02_g07280 transcript:Ma02_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAVALTALFDLVVLLLLPAAVVVESATDSLDVQALGVLYASLNSPSQLSGWTPSGGDPCGDSWKGVSCSGSSVTAVQLSGLGLNGSLGYLLSDLLSLKTLDLSNNDIRETIPYQLPPNLTYLNLAGNNLSGNLPYSISAMASLNYLNLSHNLLSQAVGDIFRNLQDLSELDLSFNNFTGDLPNSFGSLSNLLSLYLQNNQLTGPVNVLSNLSLSNLNIANNQFNGWIPQEFSSISDLEIGGNFFSNSLAPHPPPYMPPPPSRQHSTHNNTQESPNTPQGSEGKSISPDNASNKKKLTTGPLIGIVLGSTLGALCITLAVILLLRNVPKGKDHSINSRNDTGRSAVGGADKANEKEMQELRVKSSSATTPVPPSDTVIIEKLHGKNVPVKRPKIPITATSYTVASLQVATNSFSQDCLVGEGSLGRVYRAEFPNGKVLAVKKIDSAAISLQEEDNFLEAVSNMSRLRHPNIVPLSGYCVEHGQRILVHEYIGNGTLHDMLHFADYSSKRLSWNARVRVALGTARALEYLHEVCLPSVVHKNFKSTNILLDEELNPHLSDCGLAALTPNTERQVSTEVVGSFGYSAPEFAMSGVYTIKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALSKMVDTSLNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLVQRASAVKRRSGDELGFSYRVPEQDSSMTDISF >Ma07_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27422775:27428514:1 gene:Ma07_g19410 transcript:Ma07_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDASSTSMLPMRSPHWHLVSRPAVASVPGDSSVPPWSGSVLGVLASLWSSSSLEELKLSLTWKQAADAWVQVRANVVAPVLQVAVALCMLMSVMLVSEKILMGATSLFAKVFRWRPQRRYKYHPLGEDMEVGYPMVLVQLPMYNEKQVYKLSIGAACGLLWPSDRIIIQVLDDSTDPEIKNLVELECKTWKGKGFNIKYEIRDNRHGYKAGALKEGMKHSYVGHCDFVAIFDADFQPEADFLMQTVPFLIHNPDICLVQTRWKFVNSNECMMTRIQEMSMDYHFKVEQESGSTMHSFFGFNGTAGVWRISALEEAGGWKDRTTVEDMDLAVRATLCGWKFVYLGNVKVKSELPSTLKALRSQQFRWSCGPANLFRKIHKEILKTKKVSPRKKIYLIYSFFIVRRIISHTVTFMFYCVVIPSSVFVPEVKVSTWGVVYIPTTITILNSVGTPSSFHLLLFWIFFENVMSMHRMRAVWTGLLETGKVNEWVVTKKLGSKLNEQKTEEPLKQTRLSISGRILLPELGMALYLFVCACYDLRYGKNNYFFYIYPQAITFFIVGIGYVGTLVPS >Ma07_p19410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27422775:27428513:1 gene:Ma07_g19410 transcript:Ma07_t19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASVPGDSSVPPWSGSVLGVLASLWSSSSLEELKLSLTWKQAADAWVQVRANVVAPVLQVAVALCMLMSVMLVSEKILMGATSLFAKVFRWRPQRRYKYHPLGEDMEVGYPMVLVQLPMYNEKQVYKLSIGAACGLLWPSDRIIIQVLDDSTDPEIKNLVELECKTWKGKGFNIKYEIRDNRHGYKAGALKEGMKHSYVGHCDFVAIFDADFQPEADFLMQTVPFLIHNPDICLVQTRWKFVNSNECMMTRIQEMSMDYHFKVEQESGSTMHSFFGFNGTAGVWRISALEEAGGWKDRTTVEDMDLAVRATLCGWKFVYLGNVKVKSELPSTLKALRSQQFRWSCGPANLFRKIHKEILKTKKVSPRKKIYLIYSFFIVRRIISHTVTFMFYCVVIPSSVFVPEVKVSTWGVVYIPTTITILNSVGTPSSFHLLLFWIFFENVMSMHRMRAVWTGLLETGKVNEWVVTKKLGSKLNEQKTEEPLKQTRLSISGRILLPELGMALYLFVCACYDLRYGKNNYFFYIYPQAITFFIVGIGYVGTLVPS >Ma04_p35040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33992931:33996582:-1 gene:Ma04_g35040 transcript:Ma04_t35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRPLVTVQALEGDMTTDSPASVPLPDVLKAPIRPDVVRFVHANLSKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRRWHRRVNINLRRYAVASALAASAVPSLVLARGHRIESVPELPLVVSDSVEGVEKTAAAIKILRQVGAFPDAEKAKDSQGIRPGKGKMRNRRYISRKGPLIVYSTEGSKIVKAFRNIPGVDVANVDRLNLLKLAPGGHIGRFIIWTKSAFEKLDSVFGSFDKPSEKKKGYVLPWPKMVNADLSRIINSDEVQSVVRPIKKEVKRHTLKKNPLKNLYTLLKLNPYAKTARRMALLAEAQRVKAKKEKLDKKRTELKKEEATAIKAAGRAWYKTMVSDSDYAEFENFSKWLGVTQ >Ma04_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26215131:26216907:-1 gene:Ma04_g24160 transcript:Ma04_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKDDLGLSLSLSSSSHHHHLPPQLHLMPPSSSPAASVPLPSPPFPCHQRTQWTDLLARAEKRPVVDMSGGAAEARSLPRLRGIDVNRAPAGATERDSEEDAGTSSPNSTLSSVSGKRGERDHHLGDELDPDRACSRGISDEEDGDGSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTDENRRLQKEVQELRALKLSPQFYMHMTPPTTLSMCPSCERVSNSTTTSSPSTNAPTPEHHQFLHHQPIPAPWAPIPLRPFLDAPPQRS >Ma09_p31020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40871071:40884674:-1 gene:Ma09_g31020 transcript:Ma09_t31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 10 kDa polypeptide, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G79040) UniProtKB/Swiss-Prot;Acc:P27202] MAASVMSSLALKPAPFLGRPQAKGLPSLVRPSSSFKIQASGKKIKTDKPYGINGGMDLPDGLDASGRKGKGKGVYQFVDKYGANVDGYSPIYDTNDWSPSGDVYVGGTTGLLIWAVTLAGLLAGGALLVYNTSALSQ >Ma09_p31020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40871082:40872490:-1 gene:Ma09_g31020 transcript:Ma09_t31020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 10 kDa polypeptide, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G79040) UniProtKB/Swiss-Prot;Acc:P27202] MAASVMSSLALKPAPFLGRPQAKGLPSLVRPSSSFKIQASGKKIKTDKPYGINGGMDLPDGLDASGRKGKGKGVYQFVDKYGANVDGYSPIYDTNDWSPSGDVYVGGTTGLLIWAVTLAGLLAGGALLVYNTSALSQ >Ma05_p29050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39870768:39872242:-1 gene:Ma05_g29050 transcript:Ma05_t29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILLIALLALAASHALASDPSPLQDFCVADMNSKAVNGFVCKDSKVVKADDFSLSGLDMPGETGNKLGFNVTPANVNQIPGLNTLGISMVRIDYVPKGLNPPHTHPPATEIVTVIEGQLFVGFVTSNGEDGNRLFTKMLKKGDVFVFPQGLIHFQFNPGHTNTVAIGALSSQNPGTITIADAVFGSKPPISDDVLAKAFQVDKKTVDWLQAQF >Ma01_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5853350:5858679:1 gene:Ma01_g08170 transcript:Ma01_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMGKIERAHRMYREGCHEVALGLYTDALAMAKTKAQKIALHSNRAACYLKLHDFKKAAEECTSVLELDHQHTGALMLRAQTLVTLKDYQSALFDVNRLMELNPLSDVYRNLQARLKTQLSLAPIPESEEEASSLEENEEEVQPKGTRTQSEEERKVDPADEKPEAPVTQPANTEEASANTSSKPQGWEAIPKPKGHSGLDYSRWDRVEDDSSEEEEDDTEDQQPRYKFRVRTVGVKPVK >Ma07_p03590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2756932:2758383:1 gene:Ma07_g03590 transcript:Ma07_t03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVSSPLSVALFAAGLAEKIDVGMAAEVSSLATTLGAYEDDAEGESELVTRDLLGGGGGGAGDVDLQLHVPAGWPTRIDLPSGKASLEQRDPDPAPRHLHDLNLSPPSPSVASLALELASPSAEYQSVCTLEKVKSALERESRLVATTGPASPPPSSTTSSSSSSSAVSTKRRAPTSPDEDGAAGSTMAVATCPVCLLYVLVSKAEPRCPRCAAHVPVNDLLKKKPRIDLNSSLPSH >Ma03_p24770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28994563:28998590:-1 gene:Ma03_g24770 transcript:Ma03_t24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGAKFWEVICDEHGIDGTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEYEEEEEELAD >Ma04_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10732325:10733599:1 gene:Ma04_g14170 transcript:Ma04_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYMQQTDKEYVKIAMLKQEEIFRYQVHELHRLYRIQQQLMGDMKIAEMKRQKGRTRADTELLDAEDETGPRRTLDLELPAGVVLDADEESDLELTLATGSGGARRKKKDASLTSDSASSFSSSSNEYGNMHMKRNRNEWVLHQLADESTRFGRDGKSRFDMEEQMRKDGMKQPHWLFPCSKRDMLVSFGL >Ma04_p14170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10732317:10733599:1 gene:Ma04_g14170 transcript:Ma04_t14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYMQQTDKEYVKIAMLKQEEIFRYQQLMGDMKIAEMKRQKGRTRADTELLDAEDETGPRRTLDLELPAGVVLDADEESDLELTLATGSGGARRKKKDASLTSDSASSFSSSSNEYGNMHMKRNRNEWVLHQLADESTRFGRDGKSRFDMEEQMRKDGMKQPHWLFPCSKRDMLVSFGL >Ma04_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23003428:23007798:1 gene:Ma04_g20290 transcript:Ma04_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSGVASRIMGDNGVAARSAASAFRSRSGMVWDNGTPYPEPCIDRLAPTVGKRWRGCAELLRVARFAGRNTNCFLELFYGVAKTRSEQDLELRLGEQVLRVSRLERGGEEKIN >Ma11_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22689853:22690158:-1 gene:Ma11_g17380 transcript:Ma11_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYKVKKLIGPEGQEHELDAADDTYILDAAETAGVELPYSCRAGACYTCAGLTVSGTGNVDQSDGSFLDDSQMAEGYVLTCISYPRSDCIIHTHKEGDLY >Ma02_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26535093:26536391:1 gene:Ma02_g20630 transcript:Ma02_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKCSLYHVLFFSLPSLCFFLSGALADSYGWRNAHATFYGGGDASGTMGGACGYGNLYGQGYGTNTAALSTALFDNGLSCGACYEMRCADNPQWCLPGSVVVTATNFCPPNYALPNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFRRVPCVRKGGIRFTVNGHSYFNLVLITNVGGAGDVHAVSIKGSKTGWQSMSRNWGQNWQSNSYLDGQSLSFQVTTGDGSTVTSYNVAPAGWQFGQTFEGGQL >Ma03_p24420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28673522:28682711:-1 gene:Ma03_g24420 transcript:Ma03_t24420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSENVRRRMSKWDVVVEESNFLDENRQDRMPPVKADDLSKERVSKLDLNDGEQLPLWHDMKNDDNVKKDISQHDKVPMRFPELDDKIIRPSRNSSHMDESPKRAFMEQYDSKKSSWEPDSESETVKYAEANRELGEQDSSFVQDYNSGVSPGPDAWRRRSRNVSPRGGWRRSRSRSRSRGRGRSRSRNRNRSRSRSRSWSRSRSRSRSPFGIKRGSERWSDRGRNIAGGQAPPCRDFASGHCRRGSQCRFLHEDGGRGEFDRHNTHSRETNLERGRFSWHNGKENLSGSWDQTDYARNKPFQRQRSHYDDGDREKPELHRSNKPAELCYDFTKGRCQRGSSCRYLHQEASSHGGWSMKNEAREDNYDRRDPDASFGQKIESRKVNDPPCKYFAEGRCRRGQNCKFSHQGLDGHLEGKPHDGRWDYDQITGDNLSKSASELGGQTIAVDKITPTRWNSGNDGVRSAAPQFIEMGDFTHPQHQQTHGTEDDGGQTFRPEGCQKPASQEQNITHDAAGPHQHASSVSMQVMAQNADKQQYPDDVEMLCQEGGSVITNNIGAKPEMNSVNSMLTVAPITEKCFTQSGPSQYVVPQLLRTQSFTPNVQIPQVVAPLHFSKQMQQVVYPMPPNGQSQFVVPLTPSDAQHLNHSMLNQPALSLPHAVNNQQNFGEQTQQSAPPSPHNGPSQHKLNLSGPNPQFLLSSVNGQNQHNLNPSGQIQQNVLPFNGQSPRNIALMGQSHQSHVPPQNGQSQENVQLLAPNKQNPVLEPPSDNSFISDNHQTSSQNIPGEGLYSRAGSAETKPSPISSGSVISHKVVTSEQAARITDLSASLAQFFGNGPLHVATLGVPPSQPSLGSSSAVLPAAAFPPSIHPSQAVSDSVGAINPDINNLPGNPRMEESETNSKSLLSVSVTDHIGEQNIDAKQVEPTDGDPLKEVNVTDTGGRGKKEEKAHLGDVDADVADGANKQTKDAKGSKMFKCALVEFVKDLLKPAWKEGQLSREAHKTIVKKVVDKVTSAVQGPNIPQTQEKIDLYLVHSKAKLSKLVQAYVEKYVKN >Ma03_p24420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28673522:28682711:-1 gene:Ma03_g24420 transcript:Ma03_t24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENVRRRMSKWDVVVEESNFLDENRQDRMPPVKADDLSKERVSKLDLNDGEQLPLWHDMKNDDNVKKDISQHDKVPMRFPELDDKIIRPSRNSSHMDESPKRAFMEQYDSKKSSWEPDSESETVKYAEANRELGEQDSSFVQDYNSGVSPGPDAWRRRSRNVSPRGGWRRSGRSRSRSRSRSRGRGRSRSRNRNRSRSRSRSWSRSRSRSRSPFGIKRGSERWSDRGRNIAGGQAPPCRDFASGHCRRGSQCRFLHEDGGRGEFDRHNTHSRETNLERGRFSWHNGKENLSGSWDQTDYARNKPFQRQRSHYDDGDREKPELHRSNKPAELCYDFTKGRCQRGSSCRYLHQEASSHGGWSMKNEAREDNYDRRDPDASFGQKIESRKVNDPPCKYFAEGRCRRGQNCKFSHQGLDGHLEGKPHDGRWDYDQITGDNLSKSASELGGQTIAVDKITPTRWNSGNDGVRSAAPQFIEMGDFTHPQHQQTHGTEDDGGQTFRPEGCQKPASQEQNITHDAAGPHQHASSVSMQVMAQNADKQQYPDDVEMLCQEGGSVITNNIGAKPEMNSVNSMLTVAPITEKCFTQSGPSQYVVPQLLRTQSFTPNVQIPQVVAPLHFSKQMQQVVYPMPPNGQSQFVVPLTPSDAQHLNHSMLNQPALSLPHAVNNQQNFGEQTQQSAPPSPHNGPSQHKLNLSGPNPQFLLSSVNGQNQHNLNPSGQIQQNVLPFNGQSPRNIALMGQSHQSHVPPQNGQSQENVQLLAPNKQNPVLEPPSDNSFISDNHQTSSQNIPGEGLYSRAGSAETKPSPISSGSVISHKVVTSEQAARITDLSASLAQFFGNGPLHVATLGVPPSQPSLGSSSAVLPAAAFPPSIHPSQAVSDSVGAINPDINNLPGNPRMEESETNSKSLLSVSVTDHIGEQNIDAKQVEPTDGDPLKEVNVTDTGGRGKKEEKAHLGDVDADVADGANKQTKDAKGSKMFKCALVEFVKDLLKPAWKEGQLSREAHKTIVKKVVDKVTSAVQGPNIPQTQEKIDLYLVHSKAKLSKLVQAYVEKYVKN >Ma03_p24420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28673522:28682711:-1 gene:Ma03_g24420 transcript:Ma03_t24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENVRRRMSKWDVVVEESNFLDENRQDRMPPVKADDLSKERVSKLDLNDGEQLPLWHDMKNDDNVKKDISQHDKVPMRFPELDDKIIRPSRNSSHMDESPKRAFMEQYDSKKSSWEPDSESETVKYAEANRELGEQDSSFVQDYNSGVSPGPDAWRRRSRNVSPRGGWRRSGRSRSRSRSRGRGRSRSRNRNRSRSRSRSWSRSRSRSRSPFGIKRGSERWSDRGRNIAGGQAPPCRDFASGHCRRGSQCRFLHEDGGRGEFDRHNTHSRETNLERGRFSWHNGKENLSGSWDQTDYARNKPFQRQRSHYDDGDREKPELHRSNKPAELCYDFTKGRCQRGSSCRYLHQEASSHGGWSMKNEAREDNYDRRDPDASFGQKIESRKVNDPPCKYFAEGRCRRGQNCKFSHQGLDGHLEGKPHDGRWDYDQITGDNLSKSASELGGQTIAVDKITPTRWNSGNDGVRSAAPQFIEMGDFTHPQHQQTHGTEDDGGQTFRPEGCQKPASQEQNITHDAAGPHQHASSVSMQVMAQNADKQQYPDDVEMLCQEGGSVITNNIGAKPEMNSVNSMLTVAPITEKCFTQSGPSQYVVPQLLRTQSFTPNVQIPQVVAPLHFSKQMQQVVYPMPPNGQSQFVVPLTPSDAQHLNHSMLNQPALSLPHAVNNQQNFGEQTQQSAPPSPHNGPSQHKLNLSGPNPQFLLSSVNGQNQHNLNPSGQIQQNVLPFNGQSPRNIALMGQSHQSHVPPQNGQSQENVQLLAPNKQNPVLEPPSDNSFISDNHQTSSQNIPGEGLYSRAGSAETKPSPISSGSVISHKVVTSEQAARITDLSASLAQFFGNGPLHVATLGVPPSQPSLGSSSAVLPAAAFPPSIHPSQAVSDSVGAINPDINNLPGNPRMEESETNSKSLLSVSVTDHIGEQNIDAKQVEPTDGDPLKEVNVTDTGGRGKKEEKAHLGDVDADVADGANKQTKDAKGSKMFKCALVEFVKDLLKPAWKEGQLSREAHKTIVKKVVDKVTSAVQGPNIPQTQEKIDLYLVHSKAKLSKLVQAYVEKYVKN >Ma08_p20990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35008173:35015872:1 gene:Ma08_g20990 transcript:Ma08_t20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVRLEVRNEYGLGDPELFRGAVRKEDPKAILDGVAVAGLVGILRQLGDLAEFAADVFHDLHEQITATAGRGRKLMTRVRNIESALPSVEKAFKEQTSHIHFAYVAGSDWHAHIRVEQSLLLSSELPGFMMDSYEECRDPPRLFLLDKFDHAGTGACLKRYSDPSYFKRTWAASQMQRSETVRKEKKFHTIKRKGSRLRNGKVQHSVYMSQRDCRTTNSDGCTRFTSPSIDGQSLSAENISIADTKLNPEFSSRSTSFGSKTKESYVEQTSYVNPPVVPDELDYNEVPIPNLHSKDSSLCVSVLHDEPTADCLDDVSQHDSLQEQSIPRSSSVTWDEKIEIFKPTSPVSYDDILVDRVQDSEDIVRVEDLEPLQVNSIPENTEHAEIESLNEEDILFQSSKSPVALSDVNHFDEVTSETDNYVDAPNTLDSETETETECHTKREVESLSNFSSQGMESRTEMSRVIVVQNPDSSDVEAPNSSHSSLNQDATPNFLHLSSSDGSELVQSPYDTEFVQNQEHSVVNDFCKSNALDISETKDHECECIDSSHSPKSGTSSSRTMMLAETTAEKSILRCSTFTDVSNAPSIQLWTNGGLFGVEPSKPPDLGVLNTQSKNSISNSRNFASDFSSCTVKSQTLVNELDAKSDAKVMQNEPSSVDLSSEMKVDGEHSNLDSSIQGSSADDQCSARGYSAVKKSKGSPSFHHSEYDSGVKQDSHISAETTSQQEFEHNHESTCISSGARSSELCMTRNSAVSEAPLRANYSTGSRQDGPSQSSMGVSSSFSELAQRFLANTIQRKALLSTPLGNTSTEIRKPDGTSCVNDSKEASNGVVSQASHEQSTNEKVASISAKEPVSLTSRYSEQSSPPLEYMRISFHPMNGLENPKLKLEFSNGSLHDNSEDFAFPSFQLLQGPVDSLLDVCSDSDDDTFCRSCPYSSEDLLSPRSYSISEQWEQDERSEYIDHELNGVLDKFQSSVISISRSVEFEQSNDSNMNKPGGLEKFDTIVDNSKVPFQSGSTMELPGLDSVLSLRNQQERICYSTPEDLAKVEVQSKDEMPPPPPLPPVQWRTSKSSVTDVTDANTASNFNNLDGLQALRCAPSEKKEQHLPRSPFIVGATSPHCNEKQDQQKLNGPKRSTHCVSHKEVDTREDLLHQIRNKSFNLRRTAKSTPSNIPRPTTDATSGNVAAILKKASAIRQAFVSSDEGGDDDSWSDA >Ma08_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35008394:35015872:1 gene:Ma08_g20990 transcript:Ma08_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPASWGSCGSWAISPNVFHDLHEQITATAGRGRKLMTRVRNIESALPSVEKAFKEQTSHIHFAYVAGSDWHAHIRVEQSLLLSSELPGFMMDSYEECRDPPRLFLLDKFDHAGTGACLKRYSDPSYFKRTWAASQMQRSETVRKEKKFHTIKRKGSRLRNGKVQHSVYMSQRDCRTTNSDGCTRFTSPSIDGQSLSAENISIADTKLNPEFSSRSTSFGSKTKESYVEQTSYVNPPVVPDELDYNEVPIPNLHSKDSSLCVSVLHDEPTADCLDDVSQHDSLQEQSIPRSSSVTWDEKIEIFKPTSPVSYDDILVDRVQDSEDIVRVEDLEPLQVNSIPENTEHAEIESLNEEDILFQSSKSPVALSDVNHFDEVTSETDNYVDAPNTLDSETETETECHTKREVESLSNFSSQGMESRTEMSRVIVVQNPDSSDVEAPNSSHSSLNQDATPNFLHLSSSDGSELVQSPYDTEFVQNQEHSVVNDFCKSNALDISETKDHECECIDSSHSPKSGTSSSRTMMLAETTAEKSILRCSTFTDVSNAPSIQLWTNGGLFGVEPSKPPDLGVLNTQSKNSISNSRNFASDFSSCTVKSQTLVNELDAKSDAKVMQNEPSSVDLSSEMKVDGEHSNLDSSIQGSSADDQCSARGYSAVKKSKGSPSFHHSEYDSGVKQDSHISAETTSQQEFEHNHESTCISSGARSSELCMTRNSAVSEAPLRANYSTGSRQDGPSQSSMGVSSSFSELAQRFLANTIQRKALLSTPLGNTSTEIRKPDGTSCVNDSKEASNGVVSQASHEQSTNEKVASISAKEPVSLTSRYSEQSSPPLEYMRISFHPMNGLENPKLKLEFSNGSLHDNSEDFAFPSFQLLQGPVDSLLDVCSDSDDDTFCRSCPYSSEDLLSPRSYSISEQWEQDERSEYIDHELNGVLDKFQSSVISISRSVEFEQSNDSNMNKPGGLEKFDTIVDNSKVPFQSGSTMELPGLDSVLSLRNQQERICYSTPEDLAKVEVQSKDEMPPPPPLPPVQWRTSKSSVTDVTDANTASNFNNLDGLQALRCAPSEKKEQHLPRSPFIVGATSPHCNEKQDQQKLNGPKRSTHCVSHKEVDTREDLLHQIRNKSFNLRRTAKSTPSNIPRPTTDATSGNVAAILKKASAIRQAFVSSDEGGDDDSWSDA >Ma01_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19437780:19440266:-1 gene:Ma01_g20740 transcript:Ma01_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPESSSSSSKKKRRNLEMRVVENANRRRVTFAKRRQGLFSKAEELGAVCSADVAVVAFTSCGKAFSFGDDAIRRYLRLAREHDGNQDGREECGSVKATGMEDPVRRLRFLEELRRKAIARAEDLAQARAEATAASSSNGSTEAGEPPFS >Ma04_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4613368:4617380:1 gene:Ma04_g06270 transcript:Ma04_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGAARKAEKRPQMHANSSSSSPPLHPPSQCSSFENASGGEGSNVDRVLYKNLVDMVPLVESLMDRRPNPSFKRRASLVYTPTPSHPRKVVDHNGRITAQTCSFKKRSDHGNNGPNKESDKLDGASGFFSSSALTAEDVQKNVEELKLLQEQLDDLHKKLLEKDEALKSLKDSLNEMNVANATVNELKEQLMEKDSLITNANLQLTNTKNRLDERLAALEKLEMEVTESNKKVEELQGILDSTSFEIAAFTKLFDELSNTCPDASLDDNNTSQPMDMFPYIDDIDENGMQKMEEARIAYVAAVATAKENPSEESIAAASEARVHLQAYLFNCH >Ma05_p11940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8702240:8703394:-1 gene:Ma05_g11940 transcript:Ma05_t11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGEIKVFCPEEISAMVLTKMKETAEAFLGKKIKDDVVTVPGNLIHKHWQATKDAGIIAGPNVARIINEPTAAAIAYGLDKKVFEVLATNGDTHLGGEDFDQRIMEYFIKFIKKKHGKDISTGNRALN >Ma06_p05270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3899438:3906187:1 gene:Ma06_g05270 transcript:Ma06_t05270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTDFCFQVMVDIGKRQQRDNDSEGKQQKKRLVNNDGTRDSELVVYRILCPLGVIGSVIGKSGKIINSIRQETNAKIKIVDPFPGADKRVITIYCYVKDKNPVDVDEDILRPLCPAQDALLKIHDAIVNALDNSNEFENKQKEGVYILVPASQAANIIGKSGSIIKKLRSKTNANIKITPKDPSDATHSCAMSFDNFLQITGDAEAIKRALTTVSAIMYKFSPKEEIPLDSSVPDLPHILIPSDVPIIPAGSIYPTADTILPPGSLPPVIAATHQASEIPGFIDASNVWPMYPSTLPVVPGYGGPARSEDLVLRVLCPFDKVGRVIGKQGNTIKSIRQSSGAKINVDDTRDDTDECTITITSKESSNDVKSAAVEAVLLLQEKINDQDDDSVNIRLLVPSKVIGCLIGKSGSIINDMRKSTKANIYISKGEKPKRAASDEELVEVSGEVGKLRDALVQIILRLREDALKDKDGNQNAQKDSNQKVPPVDTLHSNSLSVPPVLPTIPPLAPLNYGQRAETESGMGIFSGNNLYGYSSLQAGENAFGPLSSFSSNTYGGFPTYIEVVIPANALAKVMGKGGTNVDNIRKISGAHIEIVDSKASRFERIARISGTPDQKRSAENLIQAFIMST >Ma06_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3899439:3906187:1 gene:Ma06_g05270 transcript:Ma06_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKITGDAEAIKRALTTVSAIMYKFSPKEEIPLDSSVPDLPHILIPSDVPIIPAGSIYPTADTILPPGSLPPVIAATHQASEIPGFIDASNVWPMYPSTLPVVPGYGGPARSEDLVLRVLCPFDKVGRVIGKQGNTIKSIRQSSGAKINVDDTRDDTDECTITITSKESSNDVKSAAVEAVLLLQEKINDQDDDSVNIRLLVPSKVIGCLIGKSGSIINDMRKSTKANIYISKGEKPKRAASDEELVEVSGEVGKLRDALVQIILRLREDALKDKDGNQNAQKDSNQKVPPVDTLHSNSLSVPPVLPTIPPLAPLNYGQRAETESGMGIFSGNNLYGYSSLQAGENAFGPLSSFSSNTYGGFPTYIEVVIPANALAKVMGKGGTNVDNIRKISGAHIEIVDSKASRFERIARISGTPDQKRSAENLIQAFIMST >Ma06_p05270.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3899438:3906187:1 gene:Ma06_g05270 transcript:Ma06_t05270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAIHRCPRLISVSKSWLILESVSRGIMTVKITGDAEAIKRALTTVSAIMYKFSPKEEIPLDSSVPDLPHILIPSDVPIIPAGSIYPTADTILPPGSLPPVIAATHQASEIPGFIDASNVWPMYPSTLPVVPGYGGPARSEDLVLRVLCPFDKVGRVIGKQGNTIKSIRQSSGAKINVDDTRDDTDECTITITSKESSNDVKSAAVEAVLLLQEKINDQDDDSVNIRLLVPSKVIGCLIGKSGSIINDMRKSTKANIYISKGEKPKRAASDEELVEVSGEVGKLRDALVQIILRLREDALKDKDGNQNAQKDSNQKVPPVDTLHSNSLSVPPVLPTIPPLAPLNYGQRAETESGMGIFSGNNLYGYSSLQAGENAFGPLSSFSSNTYGGFPTYIEVVIPANALAKVMGKGGTNVDNIRKISGAHIEIVDSKASRFERIARISGTPDQKRSAENLIQAFIMST >Ma06_p05270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3899438:3906187:1 gene:Ma06_g05270 transcript:Ma06_t05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIGKRQQRDNDSEGKQQKKRLVNNDGTRDSELVVYRILCPLGVIGSVIGKSGKIINSIRQETNAKIKIVDPFPGADKRVITIYCYVKDKNPVDVDEDILRPLCPAQDALLKIHDAIVNALDNSNEFENKQKEGVYILVPASQAANIIGKSGSIIKKLRSKTNANIKITPKDPSDATHSCAMSFDNFLQITGDAEAIKRALTTVSAIMYKFSPKEEIPLDSSVPDLPHILIPSDVPIIPAGSIYPTADTILPPGSLPPVIAATHQASEIPGFIDASNVWPMYPSTLPVVPGYGGPARSEDLVLRVLCPFDKVGRVIGKQGNTIKSIRQSSGAKINVDDTRDDTDECTITITSKESSNDVKSAAVEAVLLLQEKINDQDDDSVNIRLLVPSKVIGCLIGKSGSIINDMRKSTKANIYISKGEKPKRAASDEELVEVSGEVGKLRDALVQIILRLREDALKDKDGNQNAQKDSNQKVPPVDTLHSNSLSVPPVLPTIPPLAPLNYGQRAETESGMGIFSGNNLYGYSSLQAGENAFGPLSSFSSNTYGGFPTYIEVVIPANALAKVMGKGGTNVDNIRKISGAHIEIVDSKASRFERIARISGTPDQKRSAENLIQAFIMST >Ma11_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22477857:22478516:1 gene:Ma11_g17080 transcript:Ma11_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTELSSPPTLFISRPVHRTLTKRVVKLICSAFLSLLLAACVLLFVLWLALRPHRPRFHVSSFSVTGLSANGSAPLTFSFEVAVRNPNQEIAVSYDSVFVSVYYRDDRVGAAAAPAAGPFFQPPKNTTVISGKAAGVAPAAAAGTVAREARAGSVVFRLQLASVVRFRMSTWDTHRHHLHVSCDVEVGPDGLITVGSKDKRCYIYFL >Ma08_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4082757:4086673:1 gene:Ma08_g06030 transcript:Ma08_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVVESKDGTISVASAFAGHHEAVQDRDHKFLSKAVEEAYCGVECGHGGPFGAVVVRNDEIVVGCHNMVLKDTDPTAHAEVTAIREACKKLGKIELDDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAHLEIKQADGNGAIIAEQIFEKTKEKFQMY >Ma07_p16290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13097787:13103223:-1 gene:Ma07_g16290 transcript:Ma07_t16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLSPWMVESSLIFIASWWVKLLLEKGYTVKGTVRNPDDPKNAHLKAMKGAAERLILCKADHLDFAALREAIDGCQDVFHTASAVTYDPKQMVEPAVSETRYVFEAAADAGTVRVRSTLIEKEGETSKSKKKKKEKEKDNMWSNLFYCKPNEILWLKPKKSNSMNYYLYNNSLDSSTRTRSGTSKPINMVSKKTDLVLGPLLRPTLNASAMHVMKYLDCSARKNANAVQGYADVLDVAEAHALVYEEAEGAPGSAFSAPIECSTERTWSASSPGSSPSIRCPTSAQTSSNPSPQTAIQVLEPKAGGARRGVHAGESQSLRHREEQSLQEKGDLANSFYSWITEVRPGPEGSPMIKCIGSSFDCFGS >Ma11_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24979486:24984147:1 gene:Ma11_g20520 transcript:Ma11_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHALVFGVFIGAIALLGVELLFSLLLYYWISGRRGRAPASPRRYNCCHDSDDERSLPAECNKQGIVWVLEAAKAAEVGGDGGSQATGTKEEAGVVEIVEVYPVLKHAKIKNHKLILTDPDDSRTATIELLDCAVFAVSASDRETRKWANRYPIKLESMNADIYQGSRTCYVYLDTSWEKESWCKALRFASCPDKQKLKTYAKLREDFQRYVASVPEHLSPQKPLKPHCDPSIKTTKFQKTTRIRHLLNRFSKGVSKNNGIITPSLGKKKVDDRVIARNGVILMNEFLNASSPEKSSSCSSDLVRSGSLPPLYSGLHNAASDDKINRDDTAFFWNLVLSRLFFDVKRSAVVNNCIKTQFQRTLSNMRFPSFLGRVECSGLDIGDLPPYIHNVKVVSKDMNEVLTAEIDTEYSGGITFAFEVGQLGCRGSSMDSNLELESGSEMALLHSEGLQNNGEQMSVLDSSGDGEEREEKEKKIDEPEQPQSSNWRSVWLSTWNSVKNSVADQVSQVPLSLSVRISSLSGTIRLHIKPSPSDQLWFGFTSMPEMDWSFESSVGEQRINIGAFTSMFKNLFENAIRGSLVFPNCECLCVPWMLDEKDNWIPVTEAPFKWINQETLADVVELNQETPVCAAKLEEEEEEEEEVFMDTIELKASEPDQLHEATASSQTSPSISSGLLCLTPTIGKEAEMPKSEESQESCGSTPVITPGEIVLWEKTAAAASASASASASTTSEAEEDASTRKKLNVKGLKKMVGETIEGQKRSIGNMVGVSLKKYEKVKMHNIFGRHASTVRSLQ >Ma11_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25339499:25341270:1 gene:Ma11_g21050 transcript:Ma11_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHGINVPKGIAASSVEEAKDVARSVFPTRKRDCGQKPNPCWWQRLGSLQKWTQGWRRLPLPMPI >Ma01_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9246327:9253891:-1 gene:Ma01_g12630 transcript:Ma01_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAASAILLSPESLSAAGRTADKLSLPALQSKMKCDPDGYEAELQLLYRHFESSLHLFRHQSALRPSSDLSLAKDLGDFAMFLAHVTPFYPEKLANFPRQIADLLRVDSRGLPSSLRCHLAQALILLVNRKIIDIEETLELFMDLQILGDRTLRKLAFSHVVHNIRRMNQKHKNEAKNRKLQNTLFLMLQGDEEQRAKRSLVILCDLHRRRVWFDDRTANAICTACFHSSSRIMISALSFLLGYEQIEEEDDSEASSSEDDTTSQQPITLSREAIYKANHKGTVASKKKKKAKLQRVIRNMKRQQRITSQSNSSSYYSPLTHLKDAQGFAEKLFSRLQRCNERFEDRMMMLKVIARTVGLHRLILLNFYPLMNEDLLQDLVLYKKSHEKAVSSAARSLITLFREICPSLLVKKDRGRPVNPKARPKAYGEVSIATDVPDIELLEHVDNSMTDSSDAEASASDLDDEYDSDAETEKEDDSLEDEEEDDEVSDEKNEEDLGNSDNIDGEEDDGDDLDDDIDENAAYDEYDSDTKEDVDLSDDNMDISSELDASRKKSSNDICNDDDLSNHECASDDNDEIKEEEKAKSKKRKFADYVGQLNTSESSLRTLKRLTMAKMSQKASDETDGILSNEDFQRIKELKAKKEAKLVMAQQGLLRKGIDSKVSSFKIPSSEQLSIKPVDPAMLEVHIKRKLSKEERLALVRAGREDRGKYQARTAVKQKKTGGLSNRQKEHKKKMPLSAKRAKVARSRQEKKQRQRRSGKQFQGRKAWK >Ma04_p35180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34069769:34070840:-1 gene:Ma04_g35180 transcript:Ma04_t35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFQPQRHPRQIPVCKPASRSKKRSKSSSSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITHVATDSPLASRIQNLLNLKKQTTSASNPSASNSSPCDPSATCTGASSAASSSIEPESCTSNNSLGSVASCLEIQQDISQMADEVYRPCFSNGGEDLEFWSSSPLPSDSWLFDCLELPKVGPEISEFERMKVERQFSASLYAMNGVQEYFDMVHDPSDTLWDLPPLCHLPCRT >Ma11_p23170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26631783:26636461:-1 gene:Ma11_g23170 transcript:Ma11_t23170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPPNLTFKLVLLGDGRVGKTSLVLRYVNNVFSEKQEATVQAFYLKKHLVIEGVPVTLSIWDTAGQERFHALGPIYYRDADAALLVYDITDNDSFLRVRKWVKELQQMASKDIVMAIAANKSDLVRSKKYDIQEAESYAATIGSKIFVTSAKSGTGVDEVFIDITTRLLQKKKNSTNGLLPAPPKRGILVVDDEPEKEPPPKCCS >Ma11_p23170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26631783:26636461:-1 gene:Ma11_g23170 transcript:Ma11_t23170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPPNLTFKLVLLGDGRVGKTSLVLRYVNNVFSEKQEATVQAFYLKKHLVIEGVPVTLSIWDTAGQERFHALGPIYYRDADALLVYDITDNDSFLRVRKWVKELQQMASKDIVMAIAANKSDLVRSKKYDIQEAESYAATIGSKIFVTSAKSGTGVDEVFIDITTRLLQKKKNSTNGLLPAPPKRGILVVDDEPEKEPPPKCCS >Ma11_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26631907:26636461:-1 gene:Ma11_g23170 transcript:Ma11_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPPNLTFKLVLLGDGRVGKTSLVLRYVNNVFSEKQEATVQAFYLKKHLVIEGVPVTLSIWDTAGQERFHALGPIYYRDADAALLVYDITDNDSFLRVRKWVKELQQMASKDIVMAIAANKSDLVRSKKYDIQEAESYAATIGSKIFVTSAKSGTGVDEVFIDITTRLLQKKKNSTNGLLPAPPKRGILVVDDEPEKEPPPKCCS >Ma10_p21970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31521723:31528596:-1 gene:Ma10_g21970 transcript:Ma10_t21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSASERPRRGDGLPFLCSAGRSMQSLTTNILSHLPFSPFNPSRTTPTTKSPMATPPGGSASVTLPLLLPPSSLSSSAGSSSHPGMSQGAASPSPSSAIKSFTSGVRIEGLVSSSKAGGGPAFVGQVFSMLDPSGNGLMTVTTRFDIPFLSKRTPVWIKKILATVSNNEQKGPVFRFFMDLGDAVSYVKRLNIPTGMVGACRLDVAYEHFKEKPHMFQFVPNKKQVKAANKLLKQIPMKHGKRRVDGVPVFTAQNLNIAIATSDGLKWYTPYFFDKRLLDNILDTSIDQHFHTLMQNRHIQRRRDVIDDNLTADVVEEYSDSLFEPPEVQEMMDEMGQYGIPLSVISKAAEIQLLDVVDKVLLGNKWLRRATGIQPKFPYLVDSFEERSATSSLRSSLLATTAPNTEGSDSEQPDVQFDASRRGSDDNLQGCQAQSSRQTGFQFPFSNLFQNPWSRQQQKQQNFDNQMKSNARSESGAMNELLLNPLLPKITMVGISMGDGQMNKANLKKTMEDLTKELEQASHRSKLIEDMDPLFIANVGDYSGVTRLSSS >Ma10_p21970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31521645:31528596:-1 gene:Ma10_g21970 transcript:Ma10_t21970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSASERPRRGDGLPFLCSAGRSMQSLTTNILSHLPFSPFNPSRTTPTTKSPMATPPGGSASVTLPLLLPPSSLSSSAGSSSHPGMSQGAASPSPSSAIKSFTSGVRIEGLVSSSKAGGGPAFVGQVFSMLDPSGNGLMTVTTRFDIPFLSKRTPVWIKKILATVSNNEQKGPVFRFFMDLGDAVSYVKRLNIPTGMVGACRLDVAYEHFKEKPHMFQFVPNKKQVKAANKLLKQIPMKHGKRRVDGVPVFTAQNLNIAIATSDGLKWYTPYFFDKRLLDNILDTSIDQHFHTLMQNRHIQRRRDVIDDNLTADVVEEYSDSLFEPPEVQEMMDEMGQYGIPLSVISKAAEIQLLDVVDKVLLGNKWLRRATGIQPKFPYLVDSFEERSATSSLRSSLLATTAPNTEGSDSEQPDVQFDASRRGSDDNLQGCQAQSSRQTGFQFPFSNLFQNPWSRQQQKQQNFDNQMKSNARSESGAMNELLLNPLLPKITMVGISMGDGQMNKANLKKTMEDLTKELEQASHRSKLIEDMDPLFIANVGDYSGVTRLSSS >Ma10_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31521724:31528596:-1 gene:Ma10_g21970 transcript:Ma10_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSASERPRRGDGLPFLCSAGRSMQSLTTNILSHLPFSPFNPSRTTPTTKSPMATPPGGSASVTLPLLLPPSSLSSSAGSSSHPGMSQGAASPSPSSAIKSFTSGVRIEGLVSSSKAGGGPAFVGQVFSMLDPSGNGLMTVTTRFDIPFLSKRTPVWIKKILATVSNNEQKGPVFRFFMDLGDAVSYVKRLNIPTGMVGACRLDVAYEHFKEKPHMFQFVPNKKQVKAANKLLKQIPMKHGKRRVDGVPVFTAQNLNIAIATSDGLKWYTPYFFDKRLLDNILDTSIDQHFHTLMQNRHIQRRRDVIDDNLTADVVEEYSDSLFEPPEVQEMMDEMGQYGIPLSVISKAAEIQLLDVVDKVLLGNKWLRRATGIQPKFPYLVDSFEERSATSSLRSSLLATTAPNTEGSDSEQPDVQFDASRRGSDDNLQGCQAQSSRQTGFQFPFSNLFQNPWSRQQQKQQNFDNQMKSNARSESGAMNELLLNPLLPKITMVGISMGDGQMNKANLKKTMEDLTKELEQASHRSKLIEDMDPLFIANVGDYSGVTRLSSS >Ma10_p11880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25242897:25247467:1 gene:Ma10_g11880 transcript:Ma10_t11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDLKVFVGAFASHPGHRPPKPTVPFSKIRFGRVPNGASDLRIVQKWLRAASPASSTVKHSQVCHGMGGNKLSPTVNQDAEGFLLNVVNMSFFDRLSLAWRILFPTTKERNNSNASIAKQRLKMILFSDRCDISDEAKQKIVSNVIEALSEFVEIDSQDKVQLNISTDTDLGTIYSVTVPVRRVRPGYQDSEEDYSGKISNIEYKDTGETSGTVDVTFDFFLPNKK >Ma00_p03580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:29894147:29894560:1 gene:Ma00_g03580 transcript:Ma00_t03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALTGFTGYSISPLRTTVLPTTLGEEPRMKTVMTTFMVVDLPSAYNVILGRSTLNMIQAVVSTYHKTIKFPTSVRIGEAWSDPRELRQCYLTTVALPPKPRPTTVPDPREALVPQMMLEPPESLIEVPLKQGKPD >Ma01_p15460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11193005:11200131:-1 gene:Ma01_g15460 transcript:Ma01_t15460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVLPDSDLDVGCCGCLGFLKKPDEDVDFEKVWMLGRGRKMAPLMLPTNRPICREKPVKETKRLTLDRDASGQKMINEYVKECTIGHGSYGKVVLYRSNNDGKQYAIKAFYKSRLSRLQVTSTETAMTDVFREVSIMKIIEHPNLINLIEVIDDPESDNLYMVLEYVEGSSVCGSSGTLGGIGEITSRRYLRGIIAGLVYLHAHDIVHGDIKPDNLLVTREGAVKIGDFSVSHAFEDGNDVLCRSPGTPVFTAPECCLGSSYHGKVADIWAVGVTLYCMTLGCCPFVGDSLKDTYDKIVHSPLDLPKEVDPELKDLLKGLLCKDPVQRMTLVDVADHPWVVRDCGSIPRTSCLCKSGSSRKENQMGSKSDTNGT >Ma01_p15460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11193005:11200131:-1 gene:Ma01_g15460 transcript:Ma01_t15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVLPDSDLDVGCCGCLGFLKKPDEDVDFEKVWMLGRGRKMAPLMLPTNRPICREKPVKETKRLTLDRDASGQKMINEYVKECTIGHGSYGKVVLYRSNNDGKQYAIKAFYKSRLSRLQVTSTETAMTDVFREVSIMKIIEHPNLINLIEVIDDPESDNLYMVLEYVEGSSVCGSSGTLGGIGEITSRRYLRGIIAGLVYLHAHDIVHGDIKPDNLLVTREGAVKIGDFSVSHAFEDGNDVLCRSPGTPVFTAPECCLGSSYHGKVADIWAVGVTLYCMTLGCCPFVGDSLKDTYDKIVHSPLDLPKEVDPELKDLLKGLLCKDPVQRMTLVDVADHPWVVRDCGSIPRTSCLCKSGSSRKENQMGSKSDTNGT >Ma01_p00490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:342072:345534:-1 gene:Ma01_g00490 transcript:Ma01_t00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPSSGGGGGEGGGSSFLSAWVAAASRRHQHLLDKATPHVRRRWAWLGVLALVYTVRVWIAQGFYIVSYALGIYLLNLFIAFLSPQVDPEIHEVVDGGPGPSLPTRSSDEFRPFVRRLPEFKFWYSITKAFCIAFVLTFFSVFDVPVFWPILLFYWFVLFTVTMKRQILHMIKYKYVPFTFGKQRYTKKKEVVSDDASLPSN >Ma01_p00490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:342091:345534:-1 gene:Ma01_g00490 transcript:Ma01_t00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPSSGGGGGEGGGSSFLSAWVAAASRRHQHLLDKATPHVRRRWAWLGVLALVYTVRVWIAQGFYIVSYALGIYLLNLFIAFLSPQVDPEIHEVVDGGPGPSLPTRSSDEFRPFVRRLPEFKFCYNEKTDSSHDQIQICSIHLRKAALH >Ma04_p33200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32963741:32968099:-1 gene:Ma04_g33200 transcript:Ma04_t33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDPTKYAHSPAHKAVLARDYAGLKCVLAALPRLAEPLSIVTEAAAVAEEEKADAISAVIDRRDVPGRETPLHLAVRLGDAAAVEMLMAAGVDWSLQNEQGWSALQEAICFREENLAKIIVRHYQPLAWAKWCRRLPRLVATMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGSNLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKVSPGSLCMISHKDKEVMNALDGAGTPATEAEVQQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQERSELVGSWKAKVYDMHHVVVSVKSRQVPGAMTDEEFLSTCNDNDTESEGLEDILTEEERKQLENALKMESPDEAQHVPRRHSCCEPRPMAIDDVGSSSNSESRQDRKSWFGNWKKRGGNHKQEGQKKVVPPRSSLCVEEKVSDLLGDSPSGCQSRPARHSIEIVNSNRGDDLRRGRDRDSKRTVTGLENGYRRKESSKESEYKKGLRPVLWLSSDFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPAGAFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFSTPPSSPESNSPETLQSSSWIQWIKAPYRQGYSATSGPSSRVEDIQDPFVIPPDYIWTTPEAKKKKIQENKSKSKKGKGQNQ >Ma10_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24275089:24276437:-1 gene:Ma10_g10260 transcript:Ma10_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPIAELFRRKPLVLLFHQSLRATNYYFLVPQRSDLSQTLTLAMGSEGPSRRPFCLHCDKPARTCLCSRFQSPPLDNSIGVTILQHSLEKKHPLNSTRVAKLGLRNLDVVPVTDVNFHAQLFVRPLERGLDCREEPEAEESSDFSVAPMSDLGQGVAYHDTNVNCGCSAAHSSNLHNPSKIPDAYPPLTFHKQNSFSSLSGSERLDFDRHGDYPIDADDVVTITSAKCTVRCSGSDIKMIVERSAKPKIDWILQTPIGRAAISNGFVVKKMQRKELQPTKEFQDFIEFEILVPPGTALLFPSDKAICMKAVEFEVKHLVVLDGTWPKAKRIYHENPWLQLLPHLKLDLGEDSLYGEVRHQPKAGCLSTIESIVCALKELGGDMEGLDNLLDVFGSMIGDQRFYKDEKFRKMCLK >Ma00_p03230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26749281:26750385:1 gene:Ma00_g03230 transcript:Ma00_t03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGSCASAIALSLAEFIKAFSLSAKIYADFIEVQINFSCDSKLFLRLLLVSLIVILTMSTGTV >Ma03_p09990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7443640:7448611:-1 gene:Ma03_g09990 transcript:Ma03_t09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIFPSGWRLLTTHRTLVLVLWIVGLALVFGWQTSSVDGLAFFRWGTVARPSPRLRAALYNLTDFGGVGDGKTLNTEAFERAVEAISKLGARGGGQLNVPSGLWLTAPFNLTSHMTLFLAEGAVILGIEDESYWPLMPPLPSYGYGREHRGPRYGSLIHGENLKDIVITGHNGTINGQGQAWWTKYKKKVLNYTRGPLVQLMWSKDIVISNITLRDSPFWTLHPYDCKNVTISNVTILAPVSGAPNTDGIDPDSCEDVLIENSYICVGDDAVAIKSGWDQYGIAYGRPSTNITLRNLTVRSVVSAGISIGSEMSGGVSNIIVEDLIVWESRRGIRIKTAAGRGGYVRDIFYHNVTLDNVRVGIVIKTDYNEHPDNGFDPKAVPIIENITFSGIHGQGVRVPVRIHGSEEIFIKGVSFKDMSVGLSHKKKHVFQCSFVEGRVIGSIFPAPCENLDLYNEQGKLVKRSMSQNVTDIDYNI >Ma00_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:952979:955174:1 gene:Ma00_g00350 transcript:Ma00_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPSPATTLLGPPEIRHPPPTSVTAPPPQPPPTPPPTGDPLLDLMDAGFNADPSRPPMGLTENLSPTYISSGDSCLDFFFQVVPGTAAETVAELLSAAWAQDAATALKLACHLRGVRGTGKSDRDGFYAAALWLHRHHPKTLALNLLAIADFGYLKDLPEILHRLIAGDNVRAKAKAERPHRGPQGRCFGRRPCCRQSEKLPPTIKAGTREERIAADLARGKILSAQSTEIRRTKRTEMAIRAIKRHTRDPDYRLLYDRVADVFAELLAADLRHLSAGEVAKISLAAKWCPSIAADLRQVVPLGRKDLPRRCAPRLPPELRSRLPFVSDAHYAYRVRDRLRRAVLVPLRRAIDLPEVYMSAGQWSILPYSRVASVAMKNYKKHFLKHDRERFTQYLDDVKKGKAKIAAGALLPHEIVKDSGDEVAELQWKRMVEDLSKIGSLRNCIAVCDVSGSMDGTPMEVSVALGLLISELSEEPWKGRVITFSERPMLHRIEGESLQEKTRFVRMMDWGMNTDFQKVFDKMLDVAVEGRLPADGMVRRVFVFSDMEFDRASPNPWETDYEAIRRKFEESGYGEAVPEVVFWNLRGTSRSTPVVSTQKGVALVSGFSKNMVKLFLEGDGQLSPREVMSSAISGREYEKLVLFD >Ma10_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32856938:32859028:1 gene:Ma10_g24150 transcript:Ma10_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGTDRTNKCIKNIGRSRRTDRTCKFINNIGKILFFLDD >Ma03_p33300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34711471:34713690:1 gene:Ma03_g33300 transcript:Ma03_t33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKDGVVAMQRAVKQLHFGECDDVKVTAVAEIKRLAASDPRRKRLLAALGVIPPLVSMLLQAQDDRRRQLVVETLIELAHGTFKNKALTVEAGLLLRLPQLMNTEDLPKNQHLTALLLSLSFLAKTTFPINPSPMLPCLIRILDATETSEEMKVTCVAALYNISTKLDNVRAVVSSGAVPVLLKSSQKMRSVAEGALATLGNLMLCETGKKAVEEDAIVPEALMEIMAWEEEPKCQELAAYVLMALAHRSKVQRKKMAELGIVPLLLEVALMGSPLARKRAIKMLQWFKEEGRARTGGHSGPRPDHLSDGRQQIREGKRAVNTMVKQSLDKNMQAIIRRARASEDFSCFKSLAATSSSKSLPY >Ma09_p03630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2403997:2412435:-1 gene:Ma09_g03630 transcript:Ma09_t03630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLQFPTNVTGWICHTLVTSSLLKAVGLGSFSGSSSAASAAAIRWVSKDGLGAIGRLFIGGRLGNIFDDDPKRWRMYADFIGSAGSIFELGTQLYPEYFLPLAAFGNFAKAIARGLKDPSFRVIQNHFAVSANLGEVAAKEEVWEVAAQLLGLGIGILILDLPGIQASYSLLVFTWLSMRLLHLWLRFQSLSVLKFQTINMKRARLLVRSHVLHNTVPGYVTCNKEENFLSWERFLQPKIIFGVSMQNMMGKNGSNDMVKTLLKLYSKESYILYMKQQQGGSTILVTFKVGATGLTVLRSLWQAHWLHECQERTSNHVLSLLEESLLKLENGFDDFLRQLKGAGWDEQQIKLKVPKKLLFDESENLELALDK >Ma09_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2403997:2412435:-1 gene:Ma09_g03630 transcript:Ma09_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLQFPTNVTGWICHTLVTSSLLKAVGLGSFSGSSSAASAAAIRWVSKDGLGAIGRLFIGGRLGNIFDDDPKRWRMYADFIGSAGSIFELGTQLYPEYFLPLAAFGNFAKAIARGLKDPSFRVIQNHFAVSANLGEVAAKEEVWEVAAQLLGLGIGILILDLPGIQASYSLLVFTWLSMRLLHLWLRFQSLSVLKFQTINMKRARLLVRSHVLHNTVPGYVTCNKEENFLSWERFLQPKIIFGVSMQNMMGKNGSNDMVKTLLKLYSKESYILYMKQQQGGSTILVTFKVGATGLTVLRSLWQAHWLHECQERTSNHVLSLLEESLLKLENGFDDFLRQLKGAGWDEQQIKLKVPKKLLFDESENLELALDK >Ma09_p03630.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2403997:2412435:-1 gene:Ma09_g03630 transcript:Ma09_t03630.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLQFPTNVTGWICHTLVTSSLLKAVGLGSFSGSSSAASAAAIRWVSKDGLGAIGRLFIGGRLGNIFDDDPKRWRMYADFIGSAGSIFELGTQLYPEYFLPLAAFGNFAKAIARGLKDPSFRVIQNHFAVSANLGEVAAKEEVWEVAAQLLGLGIGILILDLPGIQASYSLLVFTWLSMRLLHLWLRFQSLSVLKFQTINMKRARLLVRSHVLHNTVPGYVTCNKEENFLSWERFLQPKIIFGVSMQNMMGKNGSNDMVKTLLKLYSKESYILYMKQQQGGSTILVTFKVGATGLTVLRSLWQAHWLHECQERTSNHVLSLLEESLLKLENGFDDFLRQLKGAGWDEQQIKLKVPKKLLFDESENLELALDK >Ma09_p03630.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2403997:2413577:-1 gene:Ma09_g03630 transcript:Ma09_t03630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLQFPTNVTGWICHTLVTSSLLKAVGLGSFSGSSSAASAAAIRWVSKDGLGAIGRLFIGGRLGNIFDDDPKRWRMYADFIGSAGSIFELGTQLYPEYFLPLAAFGNFAKAIARGLKDPSFRVIQNHFAVSANLGEVAAKEEVWEVAAQLLGLGIGILILDLPGIQASYSLLVFTWLSMRLLHLWLRFQSLSVLKFQTINMKRARLLVRSHVLHNTVPGYVTCNKEENFLSWERFLQPKIIFGVSMQNMMGKNGSNDMVKTLLKLYSKESYILYMKQQQGGSTILVTFKVGATGLTVLRSLWQAHWLHECQERTSNHVLSLLEESLLKLENGFDDFLRQLKGAGWDEQQIKLKVPKKLLFDESENLELALDK >Ma09_p03630.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2403997:2412435:-1 gene:Ma09_g03630 transcript:Ma09_t03630.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLQFPTNVTGWICHTLVTSSLLKAVGLGSFSGSSSAASAAAIRWVSKDGLGAIGRLFIGGRLGNIFDDDPKRWRMYADFIGSAGSIFELGTQLYPEYFLPLAAFGNFAKAIARGLKDPSFRVIQNHFAVSANLGEVAAKEEVWEVAAQLLGLGIGILILDLPGIQASYSLLVFTWLSMRLLHLWLRFQSLSVLKFQTINMKRARLLVRSHVLHNTVPGYVTCNKEENFLSWERFLQPKIIFGVSMQNMMGKNGSNDMVKTLLKLYSKESYILYMKQQQGGSTILVTFKVGATGLTVLRSLWQAHWLHECQERTSNHVLSLLEESLLKLENGFDDFLRQLKGAGWDEQQIKLKVPKKLLFDESENLELALDK >Ma09_p03630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2403997:2412435:-1 gene:Ma09_g03630 transcript:Ma09_t03630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLQFPTNVTGWICHTLVTSSLLKAVGLGSFSGSSSAASAAAIRWVSKDGLGAIGRLFIGGRLGNIFDDDPKRWRMYADFIGSAGSIFELGTQLYPEYFLPLAAFGNFAKAIARGLKDPSFRVIQNHFAVSANLGEVAAKEEVWEVAAQLLGLGIGILILDLPGIQASYSLLVFTWLSMRLLHLWLRFQSLSVLKFQTINMKRARLLVRSHVLHNTVPGYVTCNKEENFLSWERFLQPKIIFGVSMQNMMGKNGSNDMVKTLLKLYSKESYILYMKQQQGGSTILVTFKVGATGLTVLRSLWQAHWLHECQERTSNHVLSLLEESLLKLENGFDDFLRQLKGAGWDEQQIKLKVPKKLLFDESENLELALDK >Ma09_p03630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2403997:2412435:-1 gene:Ma09_g03630 transcript:Ma09_t03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLQFPTNVTGWICHTLVTSSLLKAVGLGSFSGSSSAASAAAIRWVSKDGLGAIGRLFIGGRLGNIFDDDPKRWRMYADFIGSAGSIFELGTQLYPEYFLPLAAFGNFAKAIARGLKDPSFRVIQNHFAVSANLGEVAAKEEVWEVAAQLLGLGIGILILDLPGIQASYSLLVFTWLSMRLLHLWLRFQSLSVLKFQTINMKRARLLVRSHVLHNTVPGYVTCNKEENFLSWERFLQPKIIFGVSMQNMMGKNGSNDMVKTLLKLYSKESYILYMKQQQGGSTILVTFKVGATGLTVLRSLWQAHWLHECQERTSNHVLSLLEESLLKLENGFDDFLRQLKGAGWDEQQIKLKVPKKLLFDESENLELALDK >Ma09_p03630.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2403997:2412435:-1 gene:Ma09_g03630 transcript:Ma09_t03630.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MLLQFPTNVTGWICHTLVTSSLLKAVGLGSFSGSSSAASAAAIRWVSKDGLGAIGRLFIGGRLGNIFDDDPKRWRMYADFIGSAGSIFELGTQLYPEYFLPLAAFGNFAKAIARGLKDPSFRVIQNHFAVSANLGEVAAKEEVWEVAAQLLGLGIGILILDLPGIQASYSLLVFTWLSMRLLHLWLRFQSLSVLKFQTINMKRARLLVRSHVLHNTVPGYVTCNKEENFLSWERFLQPKIIFGVSMQNMMGKNGSNDMVKTLLKLYSKESYILYMKQQQGGSTILVTFKVGATGLTVLRSLWQAHWLHECQERTSNHVLSLLEESLLKLENGFDDFLRQLKGAGWDEQQIKLKVPKKLLFDESENLELALDK >Ma06_p34760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34881570:34882652:1 gene:Ma06_g34760 transcript:Ma06_t34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDTTSTKTALYSYSPSPSSSPPAAAVLSPCAACKVLRRRCVDKCVLAPYFPPTDPQKFTNAHRVFGASNIIKLLQDIPVSQRADAVSSMVYEANARIRDPVYGCAGAIFQLQRQVNELQAQLARAQAEAVNVQAQNANVMALICMGMSHTLHDGAALAVDALAAAPLNDSFSLDDGSLHGGAGAAIWEAPIWT >Ma03_p10040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7497416:7498231:1 gene:Ma03_g10040 transcript:Ma03_t10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNVKPVISKIYCSSSPTTLTVRKRPHVINGGGFVVMNASHGAVFVVEGCGILGVKGELALKDGDGGLILSISKRGGIAQALSTRRRWSGYLTDFEGRKKSVFSLTDPKCPMSNAIRIHLESSGHSGGWDFQVHGSFVDRTCTIEDYSGDIVAQLGATDMVGGKELYQVTVQAGYDQAFIVGVLAVLDNIHGESTRC >Ma10_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26446892:26449696:-1 gene:Ma10_g13770 transcript:Ma10_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPKTGLFVGLNKGHIVTKRELPPRPSGRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >Ma04_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17394455:17399706:-1 gene:Ma04_g17180 transcript:Ma04_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDLLQTFDAAKKAADVAAEAGGPSPEVDRCVDALRRLRRIPVTTQNLVETQVGKRLRYLTKHCHSKIQVEASALLEFWKNVVIQETSNSTRNGSSDDKSSIKNFKSERTETVKVNKTSKSGPAIMDGISTSESFKFDKKDRDQSMKAEISKSERNKIENNSSNDSGVLAVNDERIPKGDHQASTTKKPSMVPAGPPKLTTMVKCNDLVRDKVRELLAEAFSKVSCETSEDERDEVRNILDEVDTCDPIRAAVLVESVMFEKLGRSNGSQKLKYRSIMFNLKDGNNTDLRRRVLVGEVKPEKLIAMTPEEMASDKRKLANKQIKEKALFECERGGPPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTFVTCVNCNNHWKFC >Ma03_p27780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31047181:31050289:-1 gene:Ma03_g27780 transcript:Ma03_t27780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSLGVLLLLAGMSPVFSEAAANNTFRPGEELRSYRRVRAHLRRLNKPAVKTIQSPDGDTIDCVLSHLQPAFDHPRLKGMKPLDPPERPKGHRADAATQNSFQLWRVSGESCPGGTVPIRRTKEEDILRASSVKRFGRKLAVRSRHDSETGGHEHAVGYVVGDQYYGAKASLNVWAPKVTNPSEFSLSQVWVISGSFGTDLNTIEAGWQVSPQLYGDSSPRFFTYWTTDAYQATGCYNLLCSGFIQTNNMIAIGAAISPTSTYDGGQFDISLLVWKDPNHGNWWLELGSGELVGYWPSFLFSHLSEHASMVQFGGEIVNTQPQGLHTATQMGSGHYAREGFGRASYFRNLQVVDWDNSLIPVANLRVLADRPSCYDVQGGINSVWGNYFYYGGPGRNDDRCT >Ma03_p27780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31047181:31050289:-1 gene:Ma03_g27780 transcript:Ma03_t27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSLGVLLLLAGMSPVFSEAAANNTFRPGEELRSYRRVRAHLRRLNKPASPDGDTIDCVLSHLQPAFDHPRLKGMKPLDPPERPKGHRADAATQNSFQLWRVSGESCPGGTVPIRRTKEEDILRASSVKRFGRKLAVRSRHDSETGGHEHAVGYVVGDQYYGAKASLNVWAPKVTNPSEFSLSQVWVISGSFGTDLNTIEAGWQVSPQLYGDSSPRFFTYWTTDAYQATGCYNLLCSGFIQTNNMIAIGAAISPTSTYDGGQFDISLLVWKDPNHGNWWLELGSGELVGYWPSFLFSHLSEHASMVQFGGEIVNTQPQGLHTATQMGSGHYAREGFGRASYFRNLQVVDWDNSLIPVANLRVLADRPSCYDVQGGINSVWGNYFYYGGPGRNDDRCT >Ma02_p20740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26584459:26586893:-1 gene:Ma02_g20740 transcript:Ma02_t20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGLQRSSSFKEDSYLASSLKPAEKKALQELKQLLSTSPKPISMWGVPIAPAAADERADVVLLKFLRARDFDVGEAHAMLLRCAEWRREFGADGVAEEEMVGLKEVEGVVAYMHGWDRRGHPVCYNAYGVFKDAAVYERVLGDADKLQRFLRWRVQVMERGVRLLHLRPGGVNSIIQVTDLKDMPKRELRAASNHILSLFQDNYPEMVARKVFINVPWYFSVLYAMISPFLTERTKSKFVIAREGNVAETLHKFIRPEFVPVQYGGLSRPGDLQNGPPKPATEFAIKGGEQVNLEIDGIEGGATIAWDVAVGGWDVDYGAEFVPSDEGSYTVVVQKTRRIPAGAEEAVHDAFTTKEAGKMVLSIDNTNSRRRKVAAYRYCVRKPPM >Ma02_p20740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26584459:26586893:-1 gene:Ma02_g20740 transcript:Ma02_t20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGLQRSSSFKEDSYLASSLKPAEKKALQELKQLLSTSPKPISMWGVPIAPAAADERADVVLLKFLRARDFDVGEAHAMLLRCAEWRREFGADGVAEEEMVGLKEVEGVVAYMHGWDRRGHPVCYNAYGVFKDAAVYERVLGDADKLQRFLRWRVQVMERGVRLLHLRPGGVNSIIQVTDLKDMPKRELRAASNHILSLFQDNYPEMVARKVFINVPWYFSVLYAMISPFLTERTKSKFVIAREGNVAETLHKFIRPEFVPVQYGGLSRPGDLQNGPPKPATEFAIKGGEQVNLEIDGIEGGATIAWDVAVGGWDVDYGAEFVPSDEGSYTVVVQKTRRIPAGAEEAVHDAFTTKEAGKMVLSIDNTNSRRRKVAAYRYCVRKPPM >Ma02_p20740.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26584459:26586893:-1 gene:Ma02_g20740 transcript:Ma02_t20740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCQATAEAVASPKPATKRNLLSSLMEAAAGLQRSSSFKEDSYLASSLKPAEKKALQELKQLLSTSPKPISMWGVPIAPAAADERADVVLLKFLRARDFDVGEAHAMLLRCAEWRREFGADGVAEEEMVGLKEVEGVVAYMHGWDRRGHPVCYNAYGVFKDAAVYERVLGDADKLQRFLRWRVQVMERGVRLLHLRPGGVNSIIQVTDLKDMPKRELRAASNHILSLFQDNYPEMVARKVFINVPWYFSVLYAMISPFLTERTKSKFVIAREGNVAETLHKFIRPEFVPVQYGGLSRPGDLQNGPPKPATEFAIKGGEQVNLEIDGIEGGATIAWDVAVGGWDVDYGAEFVPSDEGSYTVVVQKTRRIPAGAEEAVHDAFTTKEAGKMVLSIDNTNSRRRKVAAYRYCVRKPPM >Ma01_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3672467:3673460:-1 gene:Ma01_g05220 transcript:Ma01_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVTMAKLVDTLIGPAVMLLYPLFSSMLAIKYPSPVDYQKWLTYWVLYALITLFELSCWRVLQQFTLWPELKVVFCIWLVLPCFDGAAYIHENHHIRRYVRTGVDVGSAYMGLKRRVMQMLSLDASRSVERYLDRQGAEALERVVKAAVKEARRQSRKRRR >Ma11_p16720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22159941:22172757:1 gene:Ma11_g16720 transcript:Ma11_t16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MIALRAISRVLSSSSPPPPSTSKILSSHLYRSCLSRSFAARALRQTPAPAPADSDADLPSADFDSSAFSLPTQAPTAPKRPIWDEAHRARADEVLFGKAAAQSRSHPEVVEDEDEDEERERSTRLARALLEAALGQPDEDEEEDMVVKEEDQRSLSVGIIGAPNAGKSCLTNFMVGTKVAAVSRKTNTTTHEILGVMTKGNTQICFFDTPGLMIGQHGYPYRTDVKVRVESAWSSIGLYDLLIMMFDVHRHLTMPDTRVIKLIKQMGEKVHSKQKRVLCMNKVDLVEDKKDLLKVAKEFADLPGYDKYFMISGLKGSGIKDLVQYLMDQAVKRPWDEDPTTMSEEIMKTISLEVVREKMLDHIHQEIPYVIEHRLMDWKELRDGSLRVEQHFITPKPSQRQILVGKNGSKIGRIGIEANEELRSIFKRNVHLILQVRVARKRNA >Ma01_p21410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21081676:21088330:-1 gene:Ma01_g21410 transcript:Ma01_t21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MSSQDHHHHHHHEGDRHHHTHEEGSLAAASWVGPDGRVYHSHDGLAPHSHEPIYSPGFFSKRAPPLVDRDFKERAFTVGIGGPVGTGKTALMLALCQFLRDKYSLAAVTNDIFTKEDGEFLVKHGALPEQRIRAVETGGCPHAAIREDISINLGPLEELSNLYKADILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDIAQAVGADLKIMERDALRMRDGGPFVFAQVKHRVGVEEIVNHVLQAWEAATGNRRR >Ma06_p07150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5101360:5102220:-1 gene:Ma06_g07150 transcript:Ma06_t07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAAKRLWHIVRVVFYMHRKNLSMHKLMVDLHLLLKRGKNAGKALGHLVTFHHHGHLHGVAAMYSGFSCRSMDPDRAFYSPREVEFSCSSTPSYPSLHAIRRRNRHRRYDYDYDAAAVATAFETLDFEISDAESVVPSPSPAGARQLRITDSPFPLTEDEEAAYQHIDQEAEEFIRRFYKQLRLQQRIPVTPEYSHHRHEPLMGRA >Ma03_p04300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2830576:2836356:-1 gene:Ma03_g04300 transcript:Ma03_t04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACYHTLGSIQGQGYDGKTGLLSRLGSLPVKTVSKGVNIEMRLLTRGSCYPRSLKLCVVRASSSHSSVADPVRIPSNSNSSDSQKKSSEASLILIRHGESLWNEKNLFTGCVDVPLTQKGVEEAIEAGKRISNIPIDTIFTSSLIRAQMTAMLAMTQHRRKKVPIIMHNESKQAQKWSQIYSEETNKQSIPVITAWQLNERMYGELQGLNKQETADRFGKDKVHEWRRSYDIPPPNGESLEMCAERAVAYFREQIVPQLLTGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKDGKFIRRGSPVGPSEAGVYAYTRRLALYRQKLDEMFQ >Ma02_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23661455:23664829:1 gene:Ma02_g16190 transcript:Ma02_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIGGARRALAGRGIPSSLISGAATSRAFPSSSARTRAFSRLSRRKLPSGISRSTVELGCAQSLIPFHSVTATALLTSMLSARPGGWTWLSEGFATPL >Ma09_p22000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33986550:33986872:1 gene:Ma09_g22000 transcript:Ma09_t22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQTGGHVPETNYIFMGDFVDRGYNNLEVFTILLLLKAR >Ma04_p21470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24034636:24038210:1 gene:Ma04_g21470 transcript:Ma04_t21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGCLQISPTGIPPAPRYTVTCFVKTNQYVFTVTSGQKCRKHKQKHTELTDTRNRKPFTTYSTLITPNLRDSLPHPVKQACSWSNASMRLHQPLQWILLFFFLLAASFDPLATSLASDDAQLPKVPCESPNYSSFPFCNTSLPVAVRARNLVSLLTLPEKIQQLSNTAGAVPRLGLPTFEWWSESLHGIAVNGPGVAFNGSVRAATEFPQVILTAAAFNRTLWRAVARAIAVEARAMYNVGQAGLTYWAPNINIFRDPRWGRGQETPGEDPLVASEYAVEYVKGFQGEYDNGEAGSSSMMLSACCKHYTAYDLDRWGNYTRYIFDAQVTEQDMEDTYQPPFESCIKEGHASCLMCAYNQVNGVPACARGDLLEKARKEWGFDGYVTSDCDAVAIIYEDQQYSSSPEDSIASVLKAGMDINCGTYLLRFTESAVKSGKVQEEDIDQALLNLFSVQLRLGVFDGDHAKNRFGHLGPKNLCTQEHRELALEAVRQGIVLLKNEEGLLPLRKHEVSSVAIIGPAASYTSVYGGDYTGFPCNPTSFLEGLRSYVPRTTFAAGCMDMPCETTVGFEEAVDIAKDADIVVMVAGLNLTEETEDLDRHSLLLPGKQMDLVRSIASVSKKPLILVLIGGGPIDVSFAKEDPLVASILWIGYPGEVGGQALAEALFGDLNPGGRLPVTWYPESFTSVPMTDMNMRADPSRGYPGRTYRFYTGKAVYEFGYGLSYSNYSYKFLSMPEKIRLARSSAMRGRLDVVHIEEVASCDAMRFHVQVSVINNGGVDGSHTVLLFSRSGTNVRGVPLKQLIGFERVRTTCYEATEVTILVDPCKHLSTVNEHGQRVLPLGAHVIMLEDLEHKLVIEVAESMEEEETKMHDEL >Ma03_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1238585:1245079:-1 gene:Ma03_g01820 transcript:Ma03_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRTLTRAHSVRERIGDSLSSHPNELVALFSRFVHQGKGMLQPHQLLAEYGAVFSEADREKLKDGAFEDVIQAAQEAIVIPPWVALAIRPRPGVWEYVRVNISELAVEELTVPEYLQFKEELADGSSQNSNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLRKHNYKGMSMMLNDRIQSLSALRAALRKAEQHLLSIPSKTPYSEFNHRFQELGLEKGWGDTARRVYENIHLLLDLLEAPDPTTLENFLGIIPMMFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRALENEMLLRIKRQGLHITPRILIVTRLLPDAVGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETYTEDVANELAAELQATPDLIIGNYSDGNLVSTLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFENQYHFSCQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMTIYFPYTEKQKRLTSLHPEIEELLFNPKDNTEHKGVLNDTKKPIIFSMARLDRVKNLTGLVEFYGKNDRLKELVNLVVVGGDHGKESKDREEQAEFKKMYSLIEKYNLHGHIRWISAQMNRVRNGELYRYIADSRGAFVQPAFYEAFGLTVIESMTCGLPTFATVHGGPGEIIVDGVSGFHIDPYQGDKAANIILNFFGKCKEDPTYWDKISQGGLRRIEEKYTWKLYSERLMTLSGVYGFWKYVSNLDRRETRRYLEMFYALKYRNLAESVPLAADGEAAFNGAK >Ma08_p33980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44111707:44118162:-1 gene:Ma08_g33980 transcript:Ma08_t33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAGCDDEETQVLDLDSTAPGEVPILYGETEALDGSDSSDGEGVGGIDQRGKTQLVNEYEDTAVVDSGDEETDRTEVLSGDDEGVLDDGATRCGDREDDAGDAFGPEVLENGGNSGLADEKKVDMVDSDASTDDGGGDDDDDDDGDAGSTVRSFTAVRTEAMRTSGLAAARYFASRKLGHVSRSFNNIEHGEKEDSAVDGQIGLEVTGVNKWTKSRMELTPFRIKVGKLDLDHEIASFERSTQNYCKEGIKSRCCNMRVKRLFNELLPSEKNDSIRKDDSIFSKVDTPYLLSTDDVVAGLSYVNSQEPSIVSQANALESVDKFLLINDVGLSQETKDVETDILKSSLVSGTKRVQLFSEKTNCRSPVGKSQIFDWNDNLEDDGGGEFFSKRKDSFFEQTCGAWKTQSQHPTRHLKSAITRDAVGKSGEVGTNRKLFDEGTVHSDSRLMISSPVRSKRICISETNIRKNLFEDTKAQLDVTEIKRGSDGICDVGPDTQMAAEAMEALVHGFLINAEKEDTGHLDAENLTLNSNSARIPTMNTASSKNVPQENRTSVNDPEVIVTRSKRRKMLSTKSCENRNVPRVSSTSSRMKNSLVDIIAKRQAKRGKGKPDRLINTRSLVSRHDYSKSTLNEKTQQMVDRHFDEQQKQHNDILVDRHLAHRVRYSKRVKMLKQNKDLPDCQKVPNKLTDAHVSRTVEAVHYIVSDTSESVEAEKGCANVGLNLTTEVKRHPATHTKLLNCASPGEEFQTLSLTKDSSRYPKRRRTGQVNSGNMNGDLNKASPVSGIGTSETIERSFKQQGKEKIFTRSISDILDKVQRKKRTVFTYRSLEAARELPSTSVVRMICGMKTRSSLKPSSDKDTEESIRTILLQSVIPTDADILSVDLQNHSLHAEKAEKHMSSSEYVENAEENDKSFGSSEAKGLPNNLACTTPSKEMNAVSPVFTSQYPPRSCNKVVSTSLVARELLRLDATGASTPMMKDMRRRKYMAGVRVLFSHHLAENTIKPQKKILARLGLPTASSISDATHFVTDSCGQAGCFMDEKNYILRDLKKEREIGFSMPVSLARACHCPLLQGKKVFITPNVKPNGDLVSSLVKASRGQVIHLFYATYPKF >Ma09_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15695584:15702133:-1 gene:Ma09_g18120 transcript:Ma09_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGFVVVLTVLILTSALLASSSTPFIVLHGIGDQCENQGVTEFTEFLSSWSGSEGHCIEIGDGMWDSWVMPLVEQANVVCEKVTEMKEFSMGYNIVGLSQGNLIGRAVIEFCDNGPPVKNFISLGGPHAGTASVPLCGSGIICMLVDDLIKSKVYSDYVQAHLAPSGYLKIPT >Ma09_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35878599:35884294:1 gene:Ma09_g24200 transcript:Ma09_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRPLMSREGGRSYPRVFLSRKSSSRYALALMMLLVLSVVLLLLLALGIFSLPVRSDSAPKSQADPRLRSRSLRDVEGLGQLGHQWTEVISWEPRAFVYHNFLSKEECEYLIELARPHMQKSTVADSATGQSKDSRVRTSTGMFLHRGQDKTIRAIEKRIAEYTFIPIEHGEGLQVLHYEVGQKYEPHFDYFLDEYNAKNGGQRIATLLMYLSDVEEGGETIFPDAKISSRSLSWSNELSKCGEKGLSIKPKMGDALLFWSMKPDASLDPLSLHGGCPVIKGNKWSCTKWMHVHEYKV >Ma05_p23780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35916845:35918162:-1 gene:Ma05_g23780 transcript:Ma05_t23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGSSKRSKLLLSCIVFSSLFLLLLTFPSAPKPVAYHHKLRVHSHLHAAAAAVDHCDGTIYPELCVSTLSVFPDLHSKSLPAVICATINATEAAVRGSAKNCTDYLNRRGYNLDGRQRLAIGDCLDLFSQTLDELRAASAGLTSGASAHVDDVQTVLSAAITNQYTCLDGFSYVGKGGGYRSVIKRRLYHVSHLVSNSLAMVKKIQRRRASRPRRELLDGYGKVADGFPDWVSVKDRRLLQAPSNSTTPNLIVAKDGSGNFTTISDAVAAAPNNTKTRFVIYIKAGAYFENVEVTKNKKNLMFMGDGIGKTVVKASRNVVDGWTTFRSATVGKLYPSSSSSSSNIITMLS >Ma03_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4444329:4449138:-1 gene:Ma03_g06420 transcript:Ma03_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRWGTAVGYFAGEGTVADAIAWWDEIDGSEKWQRGIFCALCASYALVSVVALAQLIRIQLRVPEYGWTTQKLFHLMNVVVNGLRAVLFGLYHDVFLIKSKALEMALLDLPGLLFFSTYTLLVLFWAEIYYQARSLPIVKLRPAYLIINGVVYLIQICIWAYAQISPKPVATEVAKLFLSVISFFAALGFLIYGGRLFFMLRRFPIESKGRRKKLNEVGSVTAICCTCFLIRCFVVALSAFDNDADLDVMDHPILNFIYYMLVEIFPSALVLFILRKLPPKRVSDQYHPIN >Ma11_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23037589:23037918:1 gene:Ma11_g17850 transcript:Ma11_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLSVLIVGLLVLSLVMLVASTENPINEPKATCVSIGVPCKSDGDCFGPCGRFGSLSTCKPDGCCCFTS >Ma05_p26090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37841600:37842396:-1 gene:Ma05_g26090 transcript:Ma05_t26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVHIISANKFIQPGISGEAVPHQDNSFLFTDPPSCTGLWLALEDATITNGCLWAIPGSHKYGLKRRFIRDENGVHFNHPSPSYDHKDFVPLEVKAGSLVVIHGDLIHQSFENKSPNSRHALSLNVVDTNGHV >Ma05_p26700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38243367:38244531:-1 gene:Ma05_g26700 transcript:Ma05_t26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSAERRPGPPPPPPRKPAPGQPWSHIETAHLIDSYEERWHALKRGQLKAHQWEDVAATVAARCGLDEPSKTGTQCRHKIEKLRKRYRAERLRPVPSAWPFFGRMDRMERGPLPLSIRPPGRPPPSSALSTDEDDADEADDDDDEGERAGSNTRSINGILREPNWGPSKVRRNHVLPKRRNFEVEEGDSEQEESEGEGASGVEAMSQLAAAVRGFSDTLVRMEKRRMELMREMERDWMEMETKRAEMLRESQRCLLDMIADAFPSSKKAKKSGNL >Ma03_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14864142:14867937:1 gene:Ma03_g15100 transcript:Ma03_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLSFKRSDSIAEGMPEALKESRYQMKKCFARYVSKGKRVMKNPQLMEELEKSIDDEAEKAKVMEGFLGYIICSTQEAVVLPPFVAFAVRPHPGIWEYVKVHSVDLSVDGITPCEYLKNKETIYDEKWATDEHALEVDFGALEPSTPLLTLPSSIGKGAQFISRFISAKLNASSESMKPLLDYLLALNHGGQKLMINNTFDTVNKLQTALLLAEVFVSGLPKNTPFQKFEPRFEEWGLEKGWGDTAATVKETLNCLSEVLQAPDPVNLEKFFGRVPSIFNIVILSPHGYFGQADVLGLPDTGGQIVYILDQVKAFEEELLLRIKQQGLTIKPQILVVTRLIPEAKGTKCNQELEPILNTKHSHILRVPFKTETGVVQQWVSRFDVYPYLERYAQDAAAKVVDILQGKPDLIIGNYTDGNLVASLMATKLGVTQGTIAHALEKTKYEDSDVKWKELEPKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKDRPGQYESHHAFTLPGLCRFVSGIDVFHPKFNIASPGADQSVYFPYTQKQKRLTSLHPAIEELLYSKTDNEEHTGYLEDRKKPIIFSMARLDTVKNITGLVEWYGKNKKLRGLVNLVVVAGFLDPSKSKDREEISEIKKMRSLIEKYQLKGQMRWIAAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPTDGEEASGKMADFFERCKDASYWNKISTAGLQRIYECYTWKIYATKVLNMGSIYGLWRQLNKEEQLAKEKYLQLFYNLQFRNLAKTVPIATDQAQQEAKPKPVAIPASQPSQNPIRKLLAICTRKHKGGQ >Ma01_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5409627:5411714:1 gene:Ma01_g07480 transcript:Ma01_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLERIKSGFEHFKKEVYEKKPDMFAQLKEGQSPKFMVFACADSRVCPSVVLNFQPGEAFAVRNIANMVPPYDQTKCCGAGAAIEYAVHHLKVENIVVMGHSRCGGIKGLMSIKDDGTTSTDFIEDWVKICNAAREKVKAEHSALSLEDQCTRCEMEAVNVSLQNLKTYPFVKEAVEKNSLKLIGAHYDFVSGSLETWEC >Ma02_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16504080:16506588:-1 gene:Ma02_g05190 transcript:Ma02_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFIHKYFRRLMKATGGDKESNKHEQGGENKIEEPSEACATGCCSIPLLNLVESGNTKRQRRATTTRISRLNFVKLTTDSIFPNTNFVNDESLPAFPDAFSSFITVYPQYGETQQADHIRNSEYYHLSSHVCLDYTGFSLFSHTQMHSSVASSSSNPPPSTLLQPPVFSISYQSASLKSQVQYGNQDTALESSIRKRIMHFLNILDDEYSMVCTANRSTAFRLLAESYPFHANKGLLCVYDYESEAVTAMIESAQKRGAKVTSASFSWPSLRIHSGSLMEKLSKRKKKKRGLFVFPLQSRITGARYPYLWMTVAKENGWQVVLDACALGPKDLDTLGLSLIQPDFIICSFFKVFGENPSGFAGLFIKKSSIAMLEPSTIARSIGIVSIIPARRLSQQTDDYSGTDLDAHSSRNQFEEDDIETISSFSGPIPTQICNGSAGADDVFGESAVTEKQKQVKKSNQGESSKAQDEKEETSSSIVELELDHSMQAEETTSGADKSMEIVCRGLDHADSLGLQLISSRLRCITNWLVVALKKLRHPHSESGHSLVKIYGPRIKFDRGPALAFNVFDWKGEKIKPALVQKLADRSNISLSCGFLNNIWFADKYEAEKDKVLERRSSCEITIAGNKKKENVNMGISVVNASLSFLTNFQDAYRLWTFVAKFLDADFVEKERWRYMTLNQKMIEI >Ma00_p04480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:38570344:38570616:-1 gene:Ma00_g04480 transcript:Ma00_t04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIHPNGMHSSRVISLTHIILSLSTKSKACRSSPMTAGIPPPPSPMPSSSTSTIPSSCCYITRKDC >Ma01_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19146768:19149701:-1 gene:Ma01_g20600 transcript:Ma01_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGELPPTGSIPRGKEGKGRPLWRKVKYQLVEYHSLPGYLKDNEYILGYYRAEWPLKQIFLSIFTIHNETLNVWTHLIGFFLFLALTVYTAMKVPKVVDLQSLQHLPDVLKKADLQKIQSELVACLPSLPHLSHLQRLKNEVKTSLASLDMLPSLSHRHILQLLSNCLPHRYAHANYSNVSVLSAMKDDVANMIAPLVVRPISRWPFFAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRIDYAGIAALISTSFYPPVYYSFMCNPFFCNIYLGFITTLGIAMIAVSLFPVFQSPKFRVIRASLFFGMGVSGVIPVLHKLIVFWHRPEALHTTGYEILMGLLYGLGALVYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLVYLKWRDLEGC >Ma04_p17710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18659325:18662662:1 gene:Ma04_g17710 transcript:Ma04_t17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHIGLGRGLVAVLSLVACLSCAAAGGRSGGGEARQRLEVRRHLKRLNKTPVKSITSPDGDIIDCVHVSHQPAFDHPFLKNHTIQMRPTFHPEGLFDENKVTSQRKSPSIAQLWHQNGRCPEDTIPIRRTSRDDVLRASSVKRYGRKKHRSTPNPLSVDPDLLNESGHQHAIAYVEGDKYYGAKATINVWQPRTQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNAIAMGASIYPISNYDGSQYDINILVWKDPKEGNWWMQFGNGYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGEHTSTEMGSGHFPEEGFSKASYFRNIQIVDGSNNLRAPKGIGAFTEQSNCYDVQNGNNGEWGQYFYYGGPGRNSNCP >Ma05_p16170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15501834:15506636:-1 gene:Ma05_g16170 transcript:Ma05_t16170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPLFLLSLLALASPSPSPSPSASASATKATIPKSCGESCGGLSIPFPFHLNASCGPSVPAFRLACSANDSTLRLSLPPTSDLRVVAFLPSGSLLLDYSSPAAACDRWYADVNRSFGLDSSHFFAVTADNLLRLYDCEDSSICRAGCDRIGPLAGDTGCEGNRTDFGCCYPLSDGSVWKVGNRFSVFAGFGCRGFSSWAVMRYASGDGTATVERGIEVEWAVPRGYGNGTECAEGAVVVNATAVRQGVRCACAPGLVGDGFAGGAGCFKPCGNDAQVENDRACCKGIFCKKRVVVIAGVLLSVFILLMTAALCFCLRRPIKENMSDLDPACLPKIIGKACNARQFTYKELNEATKGFEEEHKLVVDIVDGTVHSGKLGDGTLVAIQKLKCRSKKNLRKILHRAELLSRSSHGNIARIIGFCFESDNTLLIVHEHFSNGTLEEHLQHERGNGLSWYLRINIASEIASALAYLQYEISTPSYIEDLKTSDILLDIYYSAKIAGFKFLKSGLVNGSCSYVVSRDTDVVYDFGLILLELIMGSKPGNISEVVLPKIDGRKFHEILDPYLRFDNHLPPQREQLEKVVGVVVQCLSSKENGGPCMVDIVKELICILKDNMGSSSRVEPALENAN >Ma05_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15501834:15506636:-1 gene:Ma05_g16170 transcript:Ma05_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPLFLLSLLALASPSPSPSPSASASATKATIPKSCGESCGGLSIPFPFHLNASCGPSVPAFRLACSANDSTLRLSLPPTSDLRVVAFLPSGSLLLDYSSPAAACDRWYADVNRSFGLDSSHFFAVTADNLLRLYDCEDSSICRAGCDRIGPLAGDTGCEGNRTDFGCCYPLSDGSVWKVGNRFSVFAGFGCRGFSSWAVMRYASGDGTATVERGIEVEWAVPRGYGNGTECAEGAVVVNATAVRQGVRCACAPGLVGDGFAGGAGCFKPCGNDAQVENDRACCKGIFCKKRVVVIAGVLLSVFILLMTAALCFCLRRPIKENMSDLDPACLPKIIGKACNARQFTYKELNEATKGFEEEHKLVVDIVDGTVHSGKLGDGTLVAIQKLKCRSKKNLRKILHRAELLSRSSHGNIARIIGFCFESDNTLLIVHEHFSNGTLEEHLQHERGNGLSWYLRINIASEIASALAYLQYEISTPSYIEDLKTSDILLDIYYSAKIAGFKFLKSGLVNGSCSYVVSRDTDVVYDFGLILLELIMGSKPGNISEVVLPKIDGRKFHEILDPYLRFDNHLPPQREQLEKVVGVVVQCLSSKENGGPCMVDIVKELICILKDNMGSSSRVEPALEVTFSNSSLLQMVSMSPDSMHASLCQNAN >Ma06_p31840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32844307:32860340:1 gene:Ma06_g31840 transcript:Ma06_t31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPSHHELVEISPYRFSHDRHEEDKLPGATWYLSRRDIEENSPSRRDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIMHKKDPAAVQRIKQREVYEQQKELILLGERLVLATLGFDLNVQHPYKPLVEAIKKFKIAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEISNQMLELYEQNRPAPTAHGNETEGSSASGANHRAPAKAPVELEEPTTQSGYSQVSKNATLQSTGPASSSTLSLPEQFHSDKLSGNRGVLHADGNEHARHEPRPGTSDNKVEGKDWWHHELVNNPETTGSRSHYGPEQEVEELAIPATDGMAETKERIPVYNEGSKVHSPMMAAMKKIDKDKVKAALEKRRKSRADIAKKVDLLDEDDLIERELEHGVELAVEDERNKLKSHSWSKPTYRQEPDHIIENGYHGAEKAMENAEEGELSVDSQDIQSLETGNQKRKAVSPPGRHFSAKDVYDLPHYHASSSKSQETYDDFRPSGRFDRADRDHKKLRQENQV >Ma06_p34270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34574094:34583356:-1 gene:Ma06_g34270 transcript:Ma06_t34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREHNRAALHEKLQVLRSLTNSHALRKSSIILDASKYIKELKQKIQRLNQEIESAHSTVHDNPLPSVTVETLKKGFLINVFSEKSCPGLLVAVLEAIESLGLSVVEAKASCTNAFLLELFGREGESVDAQVVKAAVLHAVEN >Ma06_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2071663:2072442:-1 gene:Ma06_g02720 transcript:Ma06_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNCHPAQGLTQEEMAELEPVIRAHHTFPRAPGTCTSLITQRIDAPLCAVWPVVRSFDRPERYKHFIKSCRLVRGDGGVGSVREVTVVSGLPASTSVERLEILDDDRHVLSFCVMGGEHRLRNYRSVTSVTELHREGKAYTLVLESYVVDIPEGNTEEDTKMFTDTVVKLNLQKLAAVAMASSRAEPCTASGRT >Ma10_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25370335:25372804:1 gene:Ma10_g12100 transcript:Ma10_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVHVSDVPSLDQLPEAPVVALSISSRLHKGAGWENKAERLVVIGHRGKGMNALASPDPRLREVKENSLRSFNEAARFPIDFVEFDVQVTKDDCPIIFHDDLILTEDEDGKISEKLVTHISLEEFRSYGPQRDHHTKVGKPLLRKAKDGRILNWAVKADDSFCTLQEAFQGVDPRVGFNIELKFVDDVVYEEEELTRSLRATLRVVYEFANERSIIFSSFQPDAARLIRKLQNDYPVFFLTNGGTQIYGDARRNSLDEGIKLCLAYGLQGLVSEVKAIFSNPTAIARIKDSKLALLTYGQLNNVPEAVYMQHLMGINGVIVDLVQEITEAVSEFISPDAEDAVEAKVKEASRPKFSQHELSFLLRLIPQLVQQ >Ma04_p16570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15976607:15980127:1 gene:Ma04_g16570 transcript:Ma04_t16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRATTEADASPQPSAVAGMAFSPSDKAELPSPDSSLVHVTNSSGSPIMALPPKQSNDVDANTSSYSSQIITTKEILTAEATTPAARATEEGNVVKEKKKCDVFDGRWVYDRKRYPLYPSQWCPFLTDQVSCQRNGRPDSDYEHWRWQPNGCDLPRFNGSEMLERWRGKRVVIVGDSLNRNMWESLACMLYSSVRRKRADVKLHGSDYKVFRALDYDCSVEFFWSPFLVELKEREDHAKILRLDKLPAEERRWLGADVMVFNTGHWWTHRGKMRAWNYFECSEGLMEDMEAEEAFQRALRTWAQWVDRNVDPARTAVFFRSISPEHKRENLHWCYNQTHPITNDTYLQQFPRSMVSLAETTIGKMRTPVTYLNITRLSEYRRDAHTSIYTSRQGKLLTAEQRKEPARYADCSHWCLPGLPDTWNVLLFASLLMGTTPSIIS >Ma04_p16570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15976233:15980127:1 gene:Ma04_g16570 transcript:Ma04_t16570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPPPLVNRKWLLCTLACFLGYLLISYSLQKVYRPSTTIASFPDLDGTAHVSKKPSTVPSLAEASAETYVEVPPVSTAPAPCEAFVQKLEPTPTPPSVIAAMYPRATTEADASPQPSAVAGMAFSPSDKAELPSPDSSLVHVTNSSGSPIMALPPKQSNDVDANTSSYSSQIITTKEILTAEATTPAARATEEGNVVKEKKKCDVFDGRWVYDRKRYPLYPSQWCPFLTDQVSCQRNGRPDSDYEHWRWQPNGCDLPRFNGSEMLERWRGKRVVIVGDSLNRNMWESLACMLYSSVRRKRADVKLHGSDYKVFRALDYDCSVEFFWSPFLVELKEREDHAKILRLDKLPAEERRWLGADVMVFNTGHWWTHRGKMRAWNYFECSEGLMEDMEAEEAFQRALRTWAQWVDRNVDPARTAVFFRSISPEHKRENLHWCYNQTHPITNDTYLQQFPRSMVSLAETTIGKMRTPVTYLNITRLSEYRRDAHTSIYTSRQGKLLTAEQRKEPARYADCSHWCLPGLPDTWNVLLFASLLMGTTPSIIS >Ma11_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17087716:17092795:1 gene:Ma11_g13030 transcript:Ma11_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEAPKSVIERYMKCPGSFRMVREGEEEAWMATHRIGETGLSDSGPSTRSVSYGVHGTSLAASNFFDQEGAAYFGELEEALMQGVDGIREIEDRKSFFGPRPATLEIFPSWPMRFQQTPRGKAHSARSTDSGSAQNTPSHPGSDSTSSRKETLMMMMTSGASMTGTTPTLQPTSQDKTLRRLAQNREAARKSRLKKKAYVQQLESSRLRLKQLEQELQRARSQGLFLGVAGSSNEAISPGAAMFDMEHARWLDENCKLMSELRGALQAHLPEASFTVIVDQCIMNYDELFQLKAEVAKSDVFHLLSGSWTTPAERSFLWMGGFRPSELLQILIPQLDPSTEQQLIYNLKQSSQQAEEALSQGLEQLHQSLADTVAGGPLSDGVYVGNYMGHMVLALEKLAHLEGFVRQADNLRQETLHQLRRILTSRQAARCFVAIGEYYTRLRALSSLWASRPRQNLIGDDSVGSSTTDLQIVHPSMQDHFSGF >Ma11_p13030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17087661:17092795:1 gene:Ma11_g13030 transcript:Ma11_t13030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEAPKSVIERYMKCPGSFRMVREGEEEAWMATHRIGETGLSDSGPSTRSVSYGVHGTSLAASNFFDQEGAAYFGELEEALMQGVDGIREIEDRKSFFGPRPATLEIFPSWPMRFQQTPRGKAHSARSTDSGSAQNTPSHPGSDSTSSRKETLMMMMTSGASMTGTTPTLQPTSQDKRKMTDSTIEKHGKQLDAKTLRRLAQNREAARKSRLKKKAYVQQLESSRLRLKQLEQELQRARSQGLFLGVAGSSNEAISPGAAMFDMEHARWLDENCKLMSELRGALQAHLPEASFTVIVDQCIMNYDELFQLKAEVAKSDVFHLLSGSWTTPAERSFLWMGGFRPSELLQILIPQLDPSTEQQLIYNLKQSSQQAEEALSQGLEQLHQSLADTVAGGPLSDGVYVGNYMGHMVLALEKLAHLEGFVRQADNLRQETLHQLRRILTSRQAARCFVAIGEYYTRLRALSSLWASRPRQNLIGDDSVGSSTTDLQIVHPSMQDHFSGF >Ma11_p13030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17087608:17092795:1 gene:Ma11_g13030 transcript:Ma11_t13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEAPKSVIERYMKCPGSFRMVREGEEEAWMATHRIGETGLSDSGPSTRSVSYGVHGTSLAASNFFDQEGAAYFGELEEALMQGVDGIREIEDRKSFFGPRPATLEIFPSWPMRFQQTPRGKAHSARSTDSGSAQNTPSHPGSDSTSSRKETLMMMMTSGASMTGTTPTLQPTSQDKRKMTDSTIEKHGKQLDAKTLRRLAQNREAARKSRLKKKAYVQQLESSRLRLKQLEQELQRARSQGLFLGVAGSSNEAISPGAAMFDMEHARWLDENCKLMSELRGALQAHLPEASFTVIVDQCIMNYDELFQLKAEVAKSDVFHLLSGSWTTPAERSFLWMGGFRPSELLQILIPQLDPSTEQQLIYNLKQSSQQAEEALSQGLEQLHQSLADTVAGGPLSDGVYVGNYMGHMVLALEKLAHLEGFVRQADNLRQETLHQLRRILTSRQAARCFVAIGEYYTRLRALSSLWASRPRQNLIGDDSVGSSTTDLQIVHPSMQDHFSGF >Ma07_p19250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27293304:27294194:1 gene:Ma07_g19250 transcript:Ma07_t19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRISEEEINELISKLQSLLPETRRRGAGRASSAKLLQETCNYIRSLRREVDDLSDRLSALMETMDNNSAEAEIVRSLLRS >Ma08_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37802366:37808103:-1 gene:Ma08_g24710 transcript:Ma08_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLISSKMMLAGSNRRIHAVHRHSGMAVAGLAADGRQIVARAKSEATSYERVYGEPIPVKELADRVASYVHLCTLYWWLRPFGSGIILGGYDRDGPQLYMIEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLSEMTCKEGIIEVAKIIYGVHDEAKDKAFELEMSWICDESNCQHQKVPDDLLEEAKTAAKAALEEMDAD >Ma11_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17850603:17868277:-1 gene:Ma11_g13470 transcript:Ma11_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKLTDEQRFKEDKMMRMLFGQGMDRMDRDGFDGSKQEHHIFMEVFSVTSVDTASNHNIALNTMDLQKDEGSQSKLVESSNCESSVLTSSFSTKDSSGEASQPACVYARQVSGSRCFADISSCCGVADPYDADIKCMKVSSIEQQNHKSVMQSYSLSVTGDDLLDLDRLQNSSSMLMQRQQQSPCLVIETCSHDILSSCYLSKTNKEIDGSDDMDDGNALDIECKSQDIRDDKIIIEAKSVTSPVSQESFASGLLVVNAAAAPAETPGVPIHMKHIVEESSILNSGSTDVASKRALIRELPERLRLHTNRLLTDAGWKIEPRVRSDRTKLASYYIAPKEKLVVTSLSQAWKACGRRLYSSAMDSELEDNGREWTNIDRFWSDLTDTLFYVEENTQSTKISISLLKRWQLLDPFVAVVCINRKVGVLREGKSLKAVSSATFILSEDGNTILAGESKDRVIKLATLDSNSGSYLNYNVLPASQLDHEFGVTEVSRNQQRIHNCHDLQNSSRPKQQKRKFSCSAGTQGKNVKSLAACSGNGLHGTKCSHHVSCEDSSSPNYAVNGADTSFAVKDSFSNPIDGLLAQDSLAPVLETLPTDALAPFLKTSPTDDSNACKSASTDKSLFLQESNVPSSSNAEHLVGNGPCNCKPNRKGFKEARQQRTVISAKRRVYGKHLTKSVHCDRLDLLSHENTANIIVVVDERLATQPSDLIPGCEDGLLASNIHSDIITEKVAIKASESKDQNFSVNQVSDSGKKVHKKSKKISEIRASKVDGTNDEKSMEALNFRFDDRSNDYTETQCNQEDSQTLAFESTLGCMKENTCATVEDCSNVKKKMVKSESKAPRQHLKGRKGKFAMSLVNKEASKSIPAVIDEEHMPFLKRNSCNAKGLSSQVLPPPNLPSLLNKAHKCTVFDDSVVAPDDGNTSTGGKTINAEVLCSDNPTEENKKLSKPKVPEACKKRKWKRPRGFRINDDDLLIAAIIKNKDYISCNDKKGPKLGVSRPKILKKHESQKGGCKLLLRTPGKGGLSKDGKGINLGARSVLCWLIDRGVLSLKDVLQYRNPKNNALVKDGWITRDGILCKCCMEIFSVSAFKAHSGSKFQKPSSNLFLQSGRSYTLCQLQAWSADYKARKGRKRDMRVEEVDQNDDTCGLCIDGGELICCDNCPSTYHQTCLTIQVLPEGSWYCHNCICKSCGDVVDATTSSSFAVLECSQCEHKYHGTCVKKRNLGSGEVESGTWFCGKKCKEVYLGLRSHVGILNCLADGFSWTILRCNHDDQKINSTQKIALMAECNTKLAIALSIMEECFLPMVDPRTHIDMIPHVLYNRGSNFTRLNYQGFYTVVLEKSDEVISVASIRIHGVTVAEMPLIATCSEYRRQGMCRRLIDAIEKMLKSFGVKMLVISAIPILVETWTSHFGFKPIEDVERRQLNHVNLMLFPGTALLIKQLEEPTTEESGSKSDVCLKGYQSVNPDGSNEVTNITTEVQADRTARTDSDDEALLPTADVTYQMEQEHDWQQKDLLVSSYDTLNSIAKPNDNDANISEPFRRKIANLDIDKKSQFDSAEHTEQEIFTSLSVVSKSTALHSCSGSRIICATDDRYFAESVPINDGESEKVDMISEAEAKNILEEDNRLFSEGDGIEQDITNHSSEAKCSSFDG >Ma11_p13470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17850603:17868277:-1 gene:Ma11_g13470 transcript:Ma11_t13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKLTDEQRFKEDKMMRMLFGQGMDRMDRDGFDGSKQEHHIFMEVFSVTSVDTASNHNIALNTMDLQKDEGSQSKLVESSNCESSVLTSSFSTKDSSGEASQPACVYARQVSGSRCFADISSCCGVADPYDADIKCMKVSSIEQQNHKSVMQSYSLSVTGDDLLDLDRLQNSSSMLMQRQQQSPCLVIETCSHDILSSCYLSKTNKEIDGSDDMDDGNALDIECKSQDIRDDKIIIEAKSVTSPVSQESFASGLLVVNAAAAPAETPGVPIHMKHIVEESSILNSGSTDVASKRALIRELPERLRLHTNRLLTDAGWKIEPRVRSDRTKLASYYIAPKEKLVVTSLSQAWKACGRRLYSSAMDSELEDNGREWTNIDRFWSDLTDTLFYVEENTQSTKISISLLKRWQLLDPFVAVVCINRKVGVLREGKSLKAVSSATFILSEDGNTILAGESKDRVIKLATLDSNSGSYLNYNVLPASQLDHEFGVTEVSRNQQRIHNCHDLQNSSRPKQQKRKFSCSAGTQGKNVKSLAACSGNGLHGTKCSHHVSCEDSSSPNYAVNGADTSFAVKDSFSNPIDGLLAQDSLAPVLETLPTDALAPFLKTSPTDDSNACKSASTDKSLFLQESNVPSSSNAEHLVGNGPCNCKPNRKGFKEARQQRTVISAKRRVYGKHLTKSVHCDRLDLLSHENTANIIVVVDERLATQPSDLIPGCEDGLLASNIHSDIITEKVAIKASESKDQNFSVNQVSDSGKKVHKKSKKISEIRASKVDGTNDEKSMEALNFRFDDRSNDYTETQCNQEDSQTLAFESTLGCMKENTCATVEDCSNVKKKMVKSESKAPRQHLKGRKGKFAMSLVNKEASKSIPAVIDEEHMPFLKRNSCNAKGLSSQVLPPPNLPSLLNKAHKCTVFDDSVVAPDDGNTSTGGKTINAEVLCSDNPTEENKKLSKPKVPEACKKRKWKRPRGFRINDDDLLIAAIIKNKDYISCNDKKGPKLGVSRPKILKKHESQKGGCKLLLRTPGKGGLSKDGKGINLGARSVLCWLIDRGVLSLKDVLQYRNPKNNALVKDGWITRDGILCKCCMEIFSVSAFKAHSGSKFQKPSSNLFLQSGRSYTLCQLQAWSADYKARKGRKRDMRVEEVDQNDDTCGLCIDGGELICCDNCPSTYHQTCLTIQVLPEGSWYCHNCICKSCGDVVDATTSSSFAVLECSQCEHKYHGTCVKKRNLGSGEVESGTWFCGKKCKEVYLGLRSHVGILNCLADGFSWTILRCNHDDQKINSTQKIALMAECNTKLAIALSIMEECFLPMVDPRTHIDMIPHVLYNRGSNFTRLNYQGFYTVVLEKSDEVISVASIRIHGVTVAEMPLIATCSEYRRQGMCRRLIDAIEKMLKSFGVKMLVISAIPILVETWTSHFGFKPIEDVERRQLNHVNLMLFPGTALLIKQLEEPTTEESGSKSDVCLKGYQSVNPDGSNEVTNITTEVQADRTARTDSDDEALLPTADVTYQMEQEHDWQQKDLLVSSYDTLNSIAKPNDNDANISEPFRRKIANLDIDKKSQFDSAEHTEQEIFTSLSVVSKSTALHSCSGSRIICATDDRYFAESVPINDGESEKVDMISEAEAKNILEEDNRLFSEGDGIEQDITNHSSEAKCSSFDG >Ma10_p08600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22933068:22937659:1 gene:Ma10_g08600 transcript:Ma10_t08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATITASPFTFHLASPFPFFRSPPPPPLRSWSPRNPKASIRSPPSGFDYKAEVMQDSRAAAAAAHPELMDLVEDGSLVLVEKGRFGPVPSWRTEFVEPETIWLIGTSHLSEKSASDVERVARAVRPDNVVVELCRSRAGIMYASTSAEDVPSLKLNMFSLSGAKFFGAINRSINLGGQSALALRLLLAVFSSKISSDANRSFGDEFRAARKVSEELGVQIVLGDRPIEITLERAWSSLTWSEKVRLVLSLFRGISSSSSELPESNMKDQEMDGSPYKLYESLSVSYPSLLQPLIHERDTYLAWSLKRSKAVNKSKKVLGVIGKAHMNGVVYALISDLGDLRFRDLVGKTSGESNSGWLSNFLESLVRDTLLGFILWILYEQLINTFQHP >Ma10_p08600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22933118:22937391:1 gene:Ma10_g08600 transcript:Ma10_t08600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTSAEDVPSLKLNMFSLSGAKFFGAINRSINLGGQSALALRLLLAVFSSKISSDANRSFGDEFRAARKVSEELGVQIVLGDRPIEITLERAWSSLTWSEKVRLVLSLFRGISSSSSELPESNMKDQEMDGSPYKLYESLSVSYPSLLQPLIHERDTYLAWSLKRSKAVNKSKKVLGVIGKAHMNGVVYALISDLGDLRFRDLVGKTSGESNSGWLSNFLESLVRDTLLGFILWILYEQLINTFQHP >Ma02_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22324031:22336071:-1 gene:Ma02_g14200 transcript:Ma02_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGGQSLVSSPPVFSHDGKKLLVCTGCTVSIFSTSTGMKIMELEGGHTDRVTSVVVVPVAAPSSKFISYCWTSSIDGTICYWDFSVPELIKKVKVQLPIHSMVIPNISCTPIGSSEKTSNHYAFISVEDPTISADENKAFRGQIQIYNLTKSRRVRGLLAETRKPELLSVSKNGEFLGITNKRKLDIWKIPSNDFRIEEIKKIKLHHTKKLTALAFHPKLRMVAGGDVTGRILMWIGFGRRKFSGDSQATNKKKNLTDEEKPGVRNNDDADSCSTWHWHPSEIKFLSFSSDGAYLYSGGKEGVIVVWQIDTGKKKFKPRLGSPLLYFTESPDPSLSCVTCADNHIHLLKMPTMEITKSIAGIKLPFSYPVGHKGLCTQVAYDETAGLIAVLTEDYCVQFFSLFDNHEVSQVQVCKRNFQPVDDVTLYVALVSVSLDGSLMGTVDVKIPEDGLGGLVSLKFWMRGSRIAEYSLSTVIYEPHSDAEISSLAFRPGHCMAVTSSFGGDFKIWIHNLGIKLNDQLHQKTGWRCQSVGSYKGRPMTAAAFSADGSVLAIAAESVVTLWDPDSNVLVAVIGDTHSPITSLSFIGNSEYLVSLTGGSTPQLAVLSTSKLRLSWSYKLVAEALSSSRDTSQFSVLVLLNSQCGIEKENGVILLFDVEDPVPVATWMVKKAKGGSLAFVPANPSFDEIKAMDGEKPSLLVYVNGDHEYIIFDPHNMDNRIGKSSRTSQIALEEAGMFGYASIYGDLSNLNPKQDQVPEITFAPSERPWETIFNGSSHALPPLTKLCSTFLASLIEKKPSMNE >Ma11_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25321212:25324911:1 gene:Ma11_g21010 transcript:Ma11_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPLPPTSHPSLLPEIGPDGIARESPIIAYTEKIIEAEQLQLKRYIQENYSKIREVERELESLTLELKLTAGPKKAALEHLRKKIELSTERIHMAKLKEEQTKKAWEAAVQAVKDEEDIKQQLCDDLNQLVQESTSTQYLRLEELKRRLEALNPSRISSDVSDGKLMQQIPSNVIAATITQNQPVERRIPDATAPATNKTEPASDARNQRPVEVKEKKRTMNSGRAKGSMLMPKGRGPSGSGWTGAGF >Ma01_p02610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1746275:1751719:-1 gene:Ma01_g02610 transcript:Ma01_t02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYKKSSPWVSSAHLQQSHNLSDPPYFWPEANMTSQPCNLRSISMPSRPHPVIFRVEEELCKLRTFVATSSPSSPQMISDGLRELAAVYESIKEVLRLPCNHCSLQKKWLEDELDGSVRLLDLCSDLKDGLAMIKEHIKDLRLALRRRDVAAAERTVNAYLRFTKKADKDVKNCFRSLKVEGKCADKDCGKPIVSRLLMELKAITLSLIHLVPSFLSMQAGRPKTGRWSFVSKALSKKQVACEREHEDGNGIERSDLFSLHASLEYISCKDVGDGRVVKFHDQLQMLEDNTKDLESGIESLFKQLIQSRVHLLNILSSILKAIQDHIVKMVDSPATPIQPFHARSTSMPSRDHPYMLRVAEELQKVKSSVVQSSSTAYVICERLRGIQGLYRCIEELLCLPSSNQIFSNPQQRKWVEVELDVSVRLLDFLGTLRDSTNSIKEQIRDLEMTLRRQGETVAHSKMQAYIRPEKKAEKDVKNCFRFLKQMDDKYALCFTDDKESDSWMLVRTLKEAREITISLLQSIFNFLSMPRPKTKTSRWSLISKTLHKRKVACEGEHADIEANDGRVQKAKARDQLQTVQNSFDGIEAGLECLFRSLVRNRVSLLNIISL >Ma06_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22493394:22496031:1 gene:Ma06_g24120 transcript:Ma06_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCNGFLLVAAVVWVVVSSGGAQAQLSPAFYATTCPNLQGVIRSVMTQAVGRDPRMGASILRLFFHDCFVNGCDASVLLDDTPTMIGEKNAMGNANSLRGYEVIDAIKSRVEAACRSTVSCADILALAARDAVTLLGGPSWTVPLGRRDARTASMAAANANLPPASDSIGNLVARFASKGLSLRDLTALSGAHTVGAARCSSFRPHVYRDANVDPGFAMFRRRICPASGGDSNLSPLDPTSPNRFDVSYYRDLMARRGLLHSDQELFNGGPADNLVRLYSSNGGAFNRDFAAAMVKMGNIGPLTGSAGEIRLNCRRAN >Ma10_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:2713357:2713455:-1 gene:Ma10_g00800 transcript:Ma10_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAKCDTWCELQNPVNHRVFERMLRPRPSG >Ma04_p30330.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31025883:31040634:1 gene:Ma04_g30330 transcript:Ma04_t30330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVTSSNNTSGSTRHRKEKRLTYVLNDHCAGINCLSVLKSAPPNGCDYLFTGSRDGTIKRWALNNTEASCSATFESHVDWVNDAVLAGNTLVSCSSDATVKMWNSLSDGACTRTLRQHSDYVTCLAAAEKNSNIVASGGLGGEVFIWDVDAALSPIAKPVDTTEDKISNGNDGHPLSSLCCSNADNNMSIHNSQSHGYSPIPAKGHKESVYALAMNDSGTLLVSGGTEKVVRVWDPRTGSKKMKLKGHTDNIRALLLDSTGRLCLSGSSDSMIRLWDLGQQRCVHCYAVHTDSVWALASTPTFTHVYSGGRDLSLYLTDLSTRESILLCTKEDPILQMALQDDSIWIATTNSSVDRFPAEVHNPQKIFQRGGSFIAGNLSFTRARASLEGSAPVPVYNKPYFTIPGNLGIVQHEILNNRRYVLTKDTAGSVKLWEITKGVVIEDHGKVSFEKKKEELFEMVSIPAWFTADTRLGCLSIHLDSPQCFSAEMYAIDMNIPGAQDDVKINLAQETLRGLLAHWMAKRSQRKGSPISSNGDVATEKDVSIRNLSHSKLEVDDGAENSKSGMLPSFEFSTVSPPSIITEGSHGGPWRKKITDLDGTEDEKDLPWWCINCVLNGQLPQRENTKCSFFLLPCEGSTVPVITQGKLSAPRILRMNKVISYVMEKMVLDKPLDGGSSDATIVLGVSMTKTQVPSSGVKQSMKSWPKLMPSIDILCNNQVVSPEMSLATVRTYIWKKPEDLILNYKVVQTR >Ma04_p30330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31025883:31040634:1 gene:Ma04_g30330 transcript:Ma04_t30330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVTSSNNTSGSTRHRKEKRLTYVLNDVDDKRHCAGINCLSVLKSAPPNGCDYLFTGSRDGTIKRWALNNTEASCSATFESHVDWVNDAVLAGNTLVSCSSDATMWNSLSDGACTRTLRQHSDYVTCLAAAEKNSNIVASGGLGGEVFIWDVDAALSPIAKPVDTTEDKISNGNDGHPLSSLCCSNADNNMSIHNSQSHGYSPIPAKGHKESVYALAMNDSGTLLVSGGTEKVVRVWDPRTGSKKMKLKGHTDNIRALLLDSTGRLCLSGSSDSMIRLWDLGQQRCVHCYAVHTDSVWALASTPTFTHVYSGGRDLSLYLTDLSTRESILLCTKEDPILQMALQDDSIWIATTNSSVDRFPAEVHNPQKIFQRGGSFIAGNLSFTRARASLEGSAPVPVYNKPYFTIPGNLGIVQHEILNNRRYVLTKDTAGSVKLWEITKGVVIEDHGKVSFEKKKEELFEMVSIPAWFTADTRLGCLSIHLDSPQCFSAEMYAIDMNIPGAQDDVKINLAQETLRGLLAHWMAKRSQRKGSPISSNGDVATEKDVSIRNLSHSKLEVDDGAENSKSGMLPSFEFSTVSPPSIITEGSHGGPWRKKITDLDGTEDEKDLPWWCINCVLNGQLPQRENTKCSFFLLPCEGSTVPVITQGKLSAPRILRMNKVISYVMEKMVLDKPLDGGSSDATIVLGVSMTKTQVPSSGVKQSMKSWPKLMPSIDILCNNQVVSPEMSLATVRTYIWKKPEDLILNYKVVQTR >Ma04_p30330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31025883:31040640:1 gene:Ma04_g30330 transcript:Ma04_t30330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVTSSNNTSGSTRHRKEKRLTYVLNDVDDKRHCAGINCLSVLKSAPPNGCDYLFTGSRDGTIKRWALNNTEASCSATFESHVDWVNDAVLAGNTLVSCSSDATVKMWNSLSDGACTRTLRQHSDYVTCLAAAEKNSNIVASGGLGGEVFIWDVDAALSPIAKPVDTTEDKISNGNDGHPLSSLCCSNADNNMSIHNSQSHGYSPIPAKGHKESVYALAMNDSGTLLVSGGTEKVVRVWDPRTGSKKMKLKGHTDNIRALLLDSTGRLCLSGSSDSMIRLWDLGQQRCVHCYAVHTDSVWALASTPTFTHVYSGGRDLSLYLTDLSTRESILLCTKEDPILQMALQDDSIWIATTNSSVDRFPAEVHNPQKIFQRGGSFIAGNLSFTRARASLEGSAPVPVYNKPYFTIPGNLGIVQHEILNNRRYVLTKDTAGSVKLWEITKGVVIEDHGKVSFEKKKEELFEMVSIPAWFTADTRLGCLSIHLDSPQCFSAEMYAIDMNIPGAQDDVKINLAQETLRGLLAHWMAKRSQRKGSPISSNGDVATEKDVSIRNLSHSKLEVDDGAENSKSGMLPSFEFSTVSPPSIITEGSHGGPWRKKITDLDGTEDEKDLPWWCINCVLNGQLPQRENTKCSFFLLPCEGSTVPVITQGKLSAPRILRMNKVISYVMEKMVLDKPLDGGSSDATIVLGVSMTKTQVPSSGVKQSMKSWPKLMPSIDILCNNQVVSPEMSLATVRTYIWKKPEDLILNYKVVQTR >Ma04_p30330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31025883:31040640:1 gene:Ma04_g30330 transcript:Ma04_t30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVTSSNNTSGSTRHRKEKRLTYVLNDVDDKRHCAGINCLSVLKSAPPNGCDYLFTGSRDGTIKRWALNNTEASCSATFESHVDWVNDAVLAGNTLVSCSSDATVKMWNSLSDGACTRTLRQHSDYVTCLAAAEKNSNIVASGGLGGEVFIWDVDAALSPIAKPVDTTEDKISNGNDGHPLSSLCCSNADNNMSIHNSQSHGYSPIPAKGHKESVYALAMNDSGTLLVSGGTEKVVRVWDPRTGSKKMKLKGHTDNIRALLLDSTGRLCLSGSSDSMIRLWDLGQQRCVHCYAVHTDSVWALASTPTFTHVYSGGRDLSLYLTDLSTRESILLCTKEDPILQMALQDDSIWIATTNSSVDRFPAEVHNPQKIFQRGGSFIAGNLSFTRARASLEGSAPVPVYNKPYFTIPGNLGIVQHEILNNRRYVLTKDTAGSVKLWEITKGVVIEDHGKVSFEKKKEELFEMVSIPAWFTADTRLGCLSIHLDSPQCFSAEMYAIDMNIPGAQDDVKINLAQETLRGLLAHWMAKRSQRKGSPISSNGDVATEKDVSIRNLSHSKLEVDDGAENSKSGMLPSFEFSTVSPPSIITEGSHGGPWRKKITDLDGTEDEKDLPWWCINCVLNGQLPQRENTKCSFFLLPCEGSTVPVITQGKLSAPRILRMNKVISYVMEKMVLDKPLDGGSSDATIVLGVSMTKTQVPSSGVKQSMKSWPKLMPSIDILCNNQVVSPEMSLATVRTYIWKKPEDLILNYKVVQTR >Ma01_p22340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24403144:24411541:-1 gene:Ma01_g22340 transcript:Ma01_t22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVVQRWSRRIATLLPWLLIPLLLFWAMSPFLPPGLRFEITSPRLACVMVLLVTLFWYEILMPQLSLWRSRRSARLRERRRALAIEMQKLRKTATRSCRNCHTPYRDQNPGGGRFMCSYCGHVSKRPVLDLPRSVGSSGVNDLVGKNGWMCNQDWSAEGGRNWVNPLPHYWLRDDQCSMERSCSSVVLFPCKLLFCFLASVNWLCRKAFRFSLREDGSDAVHRGSSNKRGDNGGNLQESRWEKVRRKAEEKRQAKLEKEMLEEEERKQREEVAKLVEERRRIRDEMLKAEKELSENNAADRERENRKVAEKGRHERRKDKDKGSSKSNSDVEDIDKRISRESERKREFDKKYENERRDSLKTTTEIHKSYTSGTLHGNKVTASKPRYFSRMTGNFLSSSRGLSGASFFGRNTQIPTTVNKVSKPTIGFMDHVSENKRDSQVAGDKMVKATSNGDSKVQAANIHQPPGQVAPRRTWHQLFTYSAAVCPYPDTTASTCQNVNNQLEAQSAQLVNQRSPANYSVDSQNNMGPPLPFTAYSSVASASEAFSSSGSSLSAELLFPSAKDPELRSIADEAELFEDPAYIPDPISLLGPVSESLDNFPLDLGAGFLSSDKVEPQVLKSVSASGNISKPSPIESPISRLRVSDEKQTVFGQASCNSSSQDSHPVNANASQGTWQMWGTPLAQDGLGLGGPSSWFLPIGQKHLKQEVTEFPFPHNSMASLSEKESPTLLGIQSSQHVCDGNHQNGETYSLLGARMNISDHLMQKSPLQSLPVDGESLSLPPSLIDTMQHTDSTHSSPNRSSADCHFEPSPANCWFSDRGLLGLGRMEWALDGPQRDEKSTLANPNVEGLFSASPDTESVWSFSQNETV >Ma01_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24402821:24411541:-1 gene:Ma01_g22340 transcript:Ma01_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVVQRWSRRIATLLPWLLIPLLLFWAMSPFLPPGLRFEITSPRLACVMVLLVTLFWYEILMPQLSLWRSRRSARLRERRRALAIEMQKLRKTATRSCRNCHTPYRDQNPGGGRFMCSYCGHVSKRPVLDLPRSVGSSGVNDLVGKNGWMCNQDWSAEGGRNWVNPLPHYWLRDDQCSMERSCSSVVLFPCKLLFCFLASVNWLCRKAFRFSLREDGSDAVHRGSSNKRGDNGGNLQESRWEKVRRKAEEKRQAKLEKEMLEEEERKQREEVAKLVEERRRIRDEMLKAEKELSENNAADRERENRKVAEKGRHERRKDKDKGSSKSNSDVEDIDKRISRESERKREFDKKYENERRDSLKTTTEIHKSYTSGTLHGNKVTASKPRYFSRMTGNFLSSSRGLSGASFFGRNTQIPTTVNKVSKPTIGFMDHVSENKRDSQVAGDKMVKATSNGDSKVQAANIHQPPGQVAPRRTWHQLFTYSAAVCPYPDTTASTCQNVNNQLEAQSAQLVNQRSPANYSVDSQNNMGPPLPFTAYSSVASASEAFSSSGSSLSAELLFPSAKDPELRSIADEAELFEDPAYIPDPISLLGPVSESLDNFPLDLGAGFLSSDKVEPQVLKSVSASGNISKPSPIESPISRLRVSDEKQTVFGQASCNSSSQDSHPVNANASQGTWQMWGTPLAQDGLGLGGPSSWFLPIGQKHLKQEVTEFPFPHNSMASLSEKESPTLLGIQSSQHVCDGNHQNGETYSLLGARMNISDHLMQKSPLQSLPVDGESLSLPPSLIDTMQHTDSTHSSPNRSSADCHFEPSPANCWFRMEWALDGPQRDEKSTLANPNVEGLFSASPDTESVWSFSQNETV >Ma08_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12827119:12831692:1 gene:Ma08_g14540 transcript:Ma08_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDEAQPSVAQDPFAAAAQPLLLPPAADLVDPSASSPPSPDEDSYPPISYHHGRRPIRDLPALLLFLLLSLATFALGIAAVARRNPAASHASSFVYDRSTSSCVLPSSNSFSSSSSSTFLKDLIWTLVVTLLLAGPIALAVLWLLRHYAKQVVYASIPFFIVIPSFLNVYWFVACAVGHDCRHAFPLAYRIIVLVFVFLLIGIFLWIIVANLHRIELTIQIVRVAATALANNIGLLAVLPLLGIGLLAYFSPIIVFLVFSTWNGRVVPREVEGTSKEYYKCVWKQESWVPAYFAIAIITMIWSAATLMEAKVYVISGTVAQWYFNKEESRPTKSIRSSLRNAFGPSFGTVCFSGMTVGVVRVVRAIVDSGKQDEGARGFINLILKCCANFVLAAIDFVNKFTIIFAAITGEGYCSAATMTYELLRRNLLSAVFVETVSTRILIGIIFVLSAFYAIAVCAILRGISALGMEMYFVAAFAWLLLIVVLGYFVHVLDNVIDTIYVCYAIDRDKGEVCKQVVHEVYVLLPVSRNHGSSVNRSSVIP >Ma06_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3474421:3487922:-1 gene:Ma06_g04680 transcript:Ma06_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTALNLAESISTSSTASPSPSGPFLEPRQEAAASPFGAGSVAAAEEPGAALVSSRDAGGGGPGGQDAVTVERRGHHSAVCRWTVPQFPRAKARALWSRYFEVGGYDCRLLVYPRGDSQALPGYLSLYLQIVDPRGSSSSSGGNKWDCFASYRLSLSNHLDDAKSVARDSWHRFSSKKKSHGWCDFAPFAAVLDQRSGFLLPPSDSLVITADILLLHETIAFNRDHEPQPPPADVVGGKFTWKVHNFSLFREMIRTQKIMSPVFPAGDCNLRISVYQSSVAGADHLSMCLESKDTEKTAAATAGPSAPALERSCWCLFRMSVLNQRPGLNHVHRDSYGRFAADNKSGDNTSLGWNDYMRMEDFVGPDAGFLVDDTAVFSTSFHVIRESSNFTKNFGPVLGSSGGRGVARKSDGHFGKFTWRIENFTKLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNMASEWSCFVSHRLSVVNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSTMQEFSDTEPALVPVCPASQVDAISKRGSFTWRVENFLSFKEIMETRKIFSKFFQVGGCELRIGVYESFDTICIYLESDQSSGIDSDKNFWVRYRMAIVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDLLESDAGFLIRDTVVFICEIIDCCPWFEFSDLEVLASEDEQDALSTDPDELIESEDSEIISGDEEDMFRNLLSRAGFHLSYGDNTSQPQVTLREKLLMDAGAIAGFLTSLRVYLDDPAKVKRLLLPTKLSSSSCSKKDASNGDANSPSLMNLLMGVKVLQQAIIDLLIDIMVECCQPSEGRTGYDSSETSSKTSGSSGASTPPETGGDSEVSADYAQCDMYQRLEPGIGEINHTYAVQSSYPNACEIVDRTDQERHIFPHEASSGDQPACDDLVQVSKTKWPEQSEELLGLIINSLRALDSAVPQGCPEPRRRPQTVQKIILVLDKAPKHLLPDLITLVPKLIDPSEHSLAACALLDRLQKPDAEPSLQLSVFGTLGQLEFGSEVWERILYKTFELLMDCSDEHLVAAMSFVFKAASQCQHLPQAVRAFRLRLKSLGTEVPQCVLDILTKILHTSADVAEAIMSDIDSDSGLDGNCTISCDTYSIGANEISPDGLHVGIDQVVHGCHNHTDVYILIEMLSIPGLFVEVSQVFERALIRGAIGLQSIALVLERRHSQMLNIKSRSILDDSQNRQVLVDGSIDSLPVQEDDFTSVLSLGEVLSLSRDVRVQDFVRMLYAIMFKIYAEEHYRFRMLKGLVERATNMSNSCRVVDIDMDVLVFLVREEDGIARPVMNMLREVAEVAQVDRSNLWHQICSVEVEHIRFREEKQAEISKVADEKASLSQRLNESEATTNRLKAELKAEVEQYARERKELTEHMLDIENQLEWLRSEKDEEIAKLSADRRVLQDRLHDAETQLSQLKTRKRDELKRVVKEKNALAERLKSAEAARRRFDEELKRYATETVTREEVRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMEATLQACQQYIHTLEASLQEEMARHAPLYGAGLEALSMKELETLARIHEEGLRQIHAIQQMKNGNNSLVSGQSLPQVHGLYSSAPPMPVGMPPSINPNGVGIHGNGHMNGSVGPWFSPT >Ma10_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24685208:24687802:-1 gene:Ma10_g11070 transcript:Ma10_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSSKAAEESKPVELCRERVELIRAARDLRYALAAAHAAYFRALADVGDALHRLVWEDLAPASALPASPVLVLPSSESKGKPGSVRGSVVAAAASTSSSATPLSHSLSPEGSHLPLSSGSEEVSPRAGSGEGSEAGKKDGSGNDGAEGGESSSPRQRFRPRSPDSSFMRSSTAIPTVVYQDPLTPPWSNSAYDGYGYEFGYPPYGVPIPSLLQEREDRMDPSVPAVAPGTPPPPPPPATSSWDFFDPFNFYEGFFPDYSGGRYGVRFSVSSPDINEVRKQEGIPDLEEEAEAQPTEAKKQMKVVMDDLGRKNPVVGSSNTVSTPEIGRKDDNVGDIELADKVSVSSSPNSKVRSSGEDDISIRKKKGVTFEDVSYDTEKSVPSGDKPLSAHIDEPLSFKGSKDVMEVAREIKKHFRSAAGCGEEVSRMLEVGKLPYQSRSNKMYRVISSRILDPKTLHLPLSSYPSFNWSRHSTESTTISRKASMTSDHSTTGSSNISSTLEKLYLWEKKLYKDVK >Ma05_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4332245:4333823:-1 gene:Ma05_g05700 transcript:Ma05_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELVSRRGRTLQRYNSGCRLVAGCIPYRFKKTDKPSLGDIDQAIEVMVVSSQKGRELMFPKGGWELDESMQAAAAREAFEEAGVRGKFEGKLGKWPSKEQDKIHHMFAMRVTEVLPQWPEMNARERKWVSVAEAREVCKHAWMSEALDKLQELLSTSSEQDNCSAQHALTPLTENSILSCT >Ma06_p00110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:72177:78760:1 gene:Ma06_g00110 transcript:Ma06_t00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWGWVEHGIGSFLRPAAGVSGGGAWILGTGGGSGRWHAGVALGVTAMAGLALAATLVISSRRGSLKSPWSRRKRKHLLTRQQWNDFFSPDGRLRGGGVKLLKKVRSGGIDPSIRDEVWPFLLGVYDMNSSRAERNAIQVQKRKEYEKLRRRCQQHLKLSRDDHKELKEIVEMGNADSPCFVEGCDSVCSEEVYCAQESLSIEQGSTEYGTLEQPVKEIFASNLTTVDEDVNSGLTHLDVSEDETESSDSKSSIEEPESMLMSSPAKPNMDCRFAKISSVKGNITKANRTAEDFATWQRIIRLDAIRANAEWIAYSPAQAAIPEVKALQSAGAVGLTDYDDLEPCRIYHAARLVAILEAYALYDPEIGYCQGMSDLLTPILAVMEEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKFKDSHLYQHLEKLQAEDCFFVYRMVVVVFRRELIFEQTLCLWEVMWADQAAIRVGYGKSAWGRMRLRAPPTEDLLLYAIAASVLQRRKLIIEMYSSMDEILRECNSMAGQLDVWKLLDDAHDLVVTLHDKIE >Ma06_p00110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:72177:78763:1 gene:Ma06_g00110 transcript:Ma06_t00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSHTSSASSSSPSSSSSSPKSSWTHLRSLLVVAPSPVPDRGSLKSPWSRRKRKHLLTRQQWNDFFSPDGRLRGGGVKLLKKVRSGGIDPSIRDEVWPFLLGVYDMNSSRAERNAIQVQKRKEYEKLRRRCQQHLKLSRDDHKELKEIVEMGNADSPCFVEGCDSVCSEEVYCAQESLSIEQGSTEYGTLEQPVKEIFASNLTTVDEDVNSGLTHLDVSEDETESSDSKSSIEEPESMLMSSPAKPNMDCRFAKISSVKGNITKANRTAEDFATWQRIIRLDAIRANAEWIAYSPAQAAIPEVKALQSAGAVGLTDYDDLEPCRIYHAARLVAILEAYALYDPEIGYCQGMSDLLTPILAVMEEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKFKDSHLYQHLEKLQAEDCFFVYRMVVVVFRRELIFEQTLCLWEVMWADQAAIRVGYGKSAWGRMRLRAPPTEDLLLYAIAASVLQRRKLIIEMYSSMDEILRECNSMAGQLDVWKLLDDAHDLVVTLHDKIE >Ma06_p00110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:72177:78761:1 gene:Ma06_g00110 transcript:Ma06_t00110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWGWVEHGIGSFLRPAAGVSGGGAWILGTGGGSGRWHAGVALGVTAMAGLALAATLVISSRRGSLKSPWSRRKRKHLLTRQQWNDFFSPDGRLRGGGVKLLKKVRSGGIDPSIRDEVWPFLLGVYDMNSSRAERNAIQVQKRKEYEKLRRRCQQHLKLSRDDHKELKEIVEMGNADSPCFVEGCDSVCSEEVYCAQESLSIEQGSTEYGTLEQPVKEIFASNLTTVDEDVNSGLTHLDVSEDETESSDSKSSIEEPESMLMSSPAKPNMDCRFAKISSVKGNITKANRTAEDFATWQRIIRLDAIRANAEWIAYSPAQAAIPEVKALQSAGAVGLTDYDDLEPCRIYHAARLVAILEAYALYDPEIGYCQGMSDLLTPILAVMEEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKFKDSHLYQHLEKLQAEDCFFVYRMVVVVFRRELIFEQTLCLWEVMWADQAAIRVGYGKSAWGRMRLRAPPTEDLLLYAIAASVLQRRKLIIEMYSSMDEILRECNSMAGQLDVWKLLDDAHDLVVTLHDKIE >Ma02_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29041869:29043019:1 gene:Ma02_g24400 transcript:Ma02_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCVEEASSDSEKRLQAPLHVMEALQRMGSGASVVLDPILRGGTEAESRKLTSSQYKGVVPQPNGRWGAQIYERHNRVWLGTFGDEAEAARTYDVAAQRFRGRDAVTNFRPLSDSAYDDAGELSFLAAHSKAEIVDMLRKHTYRDELQQSKRAFGAGRSATVGRRKTPGYSRSAREFLLDKVVTPSDVGKLNRLVIPKHHAEKHFPSKSGSSLACKGVLLNFEDASGRVWRFRYSYWNSSQSYVLTKGWSRYVKEKGLKAGDLVSFWRSTGPEKQLYINCRTGAVANNPYLPSVIRLFGVNISELPASHGEGKRGKEATEFFPPPKPLEKPFVETL >Ma04_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9663610:9670150:-1 gene:Ma04_g12800 transcript:Ma04_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OS9 [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/TrEMBL;Acc:A0A178UMY2] MGFVRFLCGLCLLLHLFDGSSRSSVLADQIFANSGGRFGRSSREPKYKVEFHPEHSPFHPKDGQEAVVMSNKEGRNYNCFLPLIEETKHLRVTQENSSSIITESERKIVFKTPDELIEILNDKCFYRHEGWWTYEFCFRKHVKQLHVEDEKVVQEFILGMFDPDATADFNQNQSDFSIVKDPRSKDASQRYHAHQFTNGTICDLTIQPRETEVRFVCSEPSVLISSIKEASTCKYVVTVQCPLLCKHPMFQQEQPMWHTIHCNEVGADSKISTVEDGLKGTHITIIADDSA >Ma09_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35581759:35582511:-1 gene:Ma09_g23860 transcript:Ma09_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVKKVKDMASTAKEKLKEHEVKAEEKVEKATARTKEEKVAAEERAKAKKAEAKMKMHQEKARHSDDAAAHRGSHLLHGGHHHLPIGAATPTPPGVAVPTFPVSSVRPAATKY >Ma11_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4761064:4772628:-1 gene:Ma11_g05980 transcript:Ma11_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGANSDRLLARDVTSSEIENPRQPLINGVTSSESYSATAAILPFFFPALGGLLYGYDIGATSGAKISLQSSSLSGTTWYNLSSLEIGLVVSGSLYGALIGSALAFTIADFLGRRRELLLSAVLYFVGALLTALAPNFPVMVIGRFVYGTGIGLAMHAAPMYIAETCPTQIRGRLISLKEFFIVFGMLLGYISGSIYVDLIGGWRYMYATSAPICLIMGIGMWWLPPSPRWLLLCAIQGKGSLPHAKEVGITCLCRLRGVAFSSSASEQVDLILDELSYVDQEKQAAFSEIFRGKCLKALIIGAGLVFFQQVTGQPSVLYYAATILQSAGFSAASDATRVSILLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAISLFLLSSYYTLLNSLPSVAVIALLLYVGCYQLSFGPIGWLMISEIFPLRLRGRGLSIAVLVNFASNALVTFAFSPLETLVGTGVLFAGFGVIAISSLLFIFFIVPETKGLTLEEIEAKIL >Ma06_p35650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35431791:35438995:1 gene:Ma06_g35650 transcript:Ma06_t35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSKVAWLPSSLPRAATHATGNCLYILPVLDLVPHHFEGGAMELPRVLLTSQYVRILLLLLLHSLLFLCHSATVDQPSGSETDRAALLAVRAAITEDPRGIMNSWNNTVHFCQWPGVACVDRDHRERVTTLALESKSLRGVISPSIGNLTYLGYLLLSNNSFYGEIPPEISRLAQLKYLNLSYNALGGTIPVSLGLCTNLLGIDFTGNLISGNIPAQLGSLLKLLALNLGVNKLVGDITPFLGNLSSLQRLDLSSNELTGEIPSSLEKLFNLSHLDLSVNGLVGAMPSSLGSLSSIRLLDLTGNGLTGAIPPKMANLLTLRHLDLSYNRISGEIPPLLGGVVRLQYLVLNNNNLTGSMPNSLGNLASLIYLDLSSNSLSGTIPPSITNLSSLQVLALSSNKLGGRLPEEIGRLTRLVFFQVSENGFSGPTNLREAKPIGPHCSPSGQPSPKTLAAS >Ma02_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16226277:16230486:-1 gene:Ma02_g04810 transcript:Ma02_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNGITASRCLDIKFRPCYLKHRSSLRYAPLCVSATSPKFRRLSIAVEGRNVLPFSGSLQLSRSSSSFLCWEHHGNALRMPLLARSNKVRCRSPVISGASKSYFTYPPMTSKPKWWWRTLACTPYLLPLHLMWMHADSAYHLHPLLQDWDFLVNPFLDTIALMPSWILMVLMFSAYYFVVRRKEWPHFLRFHIIMAMLLENAYQAIAIACTWFPKTLYRGELGMHFWLAVTFVQLYTVVECMRCALCGKYADVPFVSDTAYIHSDPKIF >Ma02_p17350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24283242:24287317:1 gene:Ma02_g17350 transcript:Ma02_t17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRTKPAPNKRMDKLDAVFCCPFCNHGSSVECRIDMKNLIGEASCRICLESFSTTATALTEPIDIYSEWIDECERVNLEDDGA >Ma03_p32730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34400129:34403545:-1 gene:Ma03_g32730 transcript:Ma03_t32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLLTALIDPYLEAELDRRFKLFRLWELPPAQRREFLRENAEAIRAVVGSPALGADAEMIDLLPRLEIVANFGVGLDKVDLRRCRDRGVRVVYTPDVVTDDTADLAIGLAIAAMRRICDSDRYLRDGSWKGKGDYKLASKFSGKTVGILGLGRIGQAVAKRAEGFGCPICYCSRSEKRHTNYKYYPSVLELAANCQVLVVACPLTEETHHIINREVIDALGPKGVLVNVGRGPHVDECELVSALLDGRLGAAGLDVFEHEPHVPEQLFGLDNVVLVPHIGTDTLETSKAMADLILENLEAHVSNRPLLTPVF >Ma03_p32150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34091023:34092044:-1 gene:Ma03_g32150 transcript:Ma03_t32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGESLYRCFSGFRHASHSAPLPASQNAPASSSSCDSPVVFKNFNSLYDPASDSETLSLTLPSSAVAVAAAAAAAGSSFTSSCDAPDDDGGGGGSCPSERDLFSAIASRRLLPASPGRSNSIVDSAAVAVGAGTGVPVPTYSPDPYRDFRRSMEEMVAALGLDARDHRAHLHELLLCYLALNRKHAHKYIVSAFADLLLALASTPAVDKA >Ma08_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:679081:680833:1 gene:Ma08_g00720 transcript:Ma08_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRRASQCLGPPTQINDHAACRKPCKRRRGPPTLSQGLEPCARKRPWSSAVPAPAATEEESVDYFDGLPDDIVVSVLSELSSSADRPSDLISALLTCKRFHVLGHRPLVLSKAGASCIAVRAKSWCDSAHRFLKRCVDCGNLEASYVLGMIRFYALENRGSGAALMARAAIGSHAAALYSLAIIQFNGSGGSKTDKDLRAGAALCARAAFLGHVDALREIGHCLQDGYGVRRNVTEGRRFLIQANARELAAAVSSWPAWQEQRRQATAAAGITLPGCCPLLSDYGWSLPAPEPHPANQFLAEWFGASAGAAGEGLRLCSHRGCGRPETRRHEFRRCSVCGLVNYCSRACQALDWKLSHKAKCNPTDGWAAVEGGAATH >Ma06_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4558747:4562734:1 gene:Ma06_g06230 transcript:Ma06_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLVGGSGGGLNPNVVRFVLVVMALGLAGYIVGPPLYWHLAEALGRSSACPLCAPCDCSAQPLLSLPQELINVSSTDCAKHDPEVSEEMDKNFTDLLAEELKLREEEATEAQHRADVKLLEAKKLASQYQKEADKCNSGMDTCEEAREKAEAALSEQKKLTSMWELRARQRGWKNTHAY >Ma06_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:910744:915279:-1 gene:Ma06_g01110 transcript:Ma06_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDPDGCPTTKARKLKFTPKIPARKAPKPSIAEAEPSEANDDVVSKELLLKIQKTTSGAALGRRFPRSDRNVAPTEVAFGLGNSTLARSFPRVGDKGNQTQTQDMSDEPSPKVKEYVEPWDCARSYYPMTLPWRKPNSGNPEILNEKEFGEASASAALDETQINPAEELGLMEKMEEAQMLFLQFPANLPLVNRSASSMSSSSTVGKKEHGAMKGCKLEDLSAGYMGKIMVYKSGKVKMKLGDVLFDISPGVKCEFVQDIAAINTKEKHCCILGKLNKRAVLTPDIDSLLASIDNSN >Ma10_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25035314:25037325:-1 gene:Ma10_g11550 transcript:Ma10_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLDVLLGRRSSRQMPMLKSLLSLTVCRLAVLRNRRQARCDQAREDVAQLLQLGHVDSALLRVEYVIKEQNMLDAFAMVEHYCHLLGERAVLLDHRECPEELREAIASLIFAASRCAELPELHEVRGIFSSKYGKEFVCAALELRNDCCVNAKMIQKLSTAQPSLEIRQRVTKEIAAEKGHKLGCYDPSSDVAEVSILYRIYLASQAAVPIEGEPVVKPPVDFIRDQFLPDENLWPRSHSHHKYNDAAGAAQAAFESAAYAAAAARAAVELCRSESQGKGSDDENNAGSHKTSAIKEAKTAKAETSAGAYGSDAEEGTKAKQKDLLREERFREKCIKQQRRISSSSSDSSDEEDNMSWNAQHSTGINIKNILFDESGHEIGRNETGRGGSLARRTLYVGNEQPSRRGNNGHEGSEQRQFAASLEDEHGEEYDLLPPAYRRGKEIRSGEKYINSLHEETNKVGLRSGTSGNNAMYNNAENAYLDSVELRRLY >Ma09_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34015441:34016667:-1 gene:Ma09_g22040 transcript:Ma09_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDASAALSVRQKVQKFLDAARSGNLDLFKKLAKQLDEGKGLATTVADVKDANKRTALHFAAREGRTEVCKYLLEELKVDIDVRDDDGETPLIHASRQGHNLTAKYLLEQGADPSASSDLGATPLHHAAGTGELQLYGQRFKCASLEFC >Ma01_p13290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9719040:9720731:1 gene:Ma01_g13290 transcript:Ma01_t13290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCMDNYRSSSFSAPTSVKICSVLEDVQWMMLKWCPPNANAVKICSLDCCFWCLEGDTTYFVVGCLREVFGSRDDGCSMEVPLLLRGEAVVHHSVFSFVSIQVNLLRLLVEDVFHSNKCRVSGLKNAAALLDEMKLLGDVPSKPSARKVLNSEFWHACAGPLVSLPQPGSLLNYFPQGYSEQVTASTRKIANSQISAYTDLPSQLM >Ma01_p13290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9719040:9720731:1 gene:Ma01_g13290 transcript:Ma01_t13290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCMDNYRSSSFSAPTSVKICSVLEDVQWMMLKWCPPNANAVKICSLDCCFWCLEGDTTYFVVGCLREVFGSRDDGCSMEVPLLLRGEAVVHHSVFSFVSIQVNLLRLLVEDVFHSNKCRVSGLKNAAALLDEMKLLGDVPSKPSARKVLNSEFWHACAGPLVSLPQPGSLLNYFPQGYSEQASGQVTASTRKIANSQISAYTDLPSQLM >Ma01_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9717138:9720731:1 gene:Ma01_g13290 transcript:Ma01_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCINDANCMKYLLISRYSFPKSNVIMLTGCLREVFGSRDDGCSMEVPLLLRGEAVVHHSVFSFVSIQVNLLRLLVEDVFHSNKCRVSGLKNAAALLDEMKLLGDVPSKPSARKVLNSEFWHACAGPLVSLPQPGSLLNYFPQGYSEQASGQVTASTRKIANSQISAYTDLPSQLM >Ma09_p19680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25944029:25946830:-1 gene:Ma09_g19680 transcript:Ma09_t19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVHESAPAAKILVGVSLDARASSQLLSWAVTVAARPNDTVIALHVLVRKAEKRLKSERSSLRQAQASVISAVGEFAGVCQTKRVKLEAKVRTCSSVGEGLADEAALVEANLLVLRRNSFEIVRYCLKNAPEGCSIVGVDVDVDVDVQALPRKDGDVDSLTYDDNNSSSSSRLTYKDNNNNMVNALSPLRKFFGSTSKRERRHSSSESICEKESPRGVLEGPEAASTPADDCSSSSSSVVGRRSHTNNWRRLSVARLFFPLPPSPEDSISMEGDACSSYAEEVKPSWRCFSYEEISRATNKFHPDNLVGRGGFAEVFKGSLRSGQNVAVKRLAKGEGDQLKEKEFLVELGILGHVRHPNTANLIGCCIENGLHLVFDLSCNGSLASALHSKHGRVLEWSARYKIAIGIARGLHYLHKCCRHRIIHRDIKASNVLLGADFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDVFSFGVLLLEIVTGRRPADTSKQSLLVWAKPLMQSGRIAELADPKLEGKYDMDQMQRLVVTASYCVRQSSIWRPPMSKVLGLLTNDRDSVEAQIRSIPECQVDEMDDYNLATDCSLDY >Ma06_p34820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34926270:34930226:1 gene:Ma06_g34820 transcript:Ma06_t34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLNLASSGESTALEDKLVPESSGARQMDDSGITNSSVLNTEGSVDMADEDSCSTLPAMAFEFGILKCSTSAEGENEAEEGIGGHASGVLEQPGLITRQLFPSATMLIPDGFQLPVVASSPSSSRLPWMNLTFCQTDSQSELRILQQQQQKQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMRNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKYNGRDAVTNFEPSTYEGELLFEGDTEGHDVDLSLRISQSVVQGPKGYQNSSNSQFEASDCNIAKENESEKRTAVGLQALPIWNWPTNGHCPFPLFSSAASSGFSPTTVTAALPPLKPPPPTTHHVHFSPRATSNYRFMNPNQ >Ma07_p26970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33520681:33526117:1 gene:Ma07_g26970 transcript:Ma07_t26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDSLNTELSQKTPVFGLRLWVVIGICVGVLIVFILSILSIWVTSKRKTKRNFDNLPISQIPNVSKEITVDRVGNHCFAQTFPEREGPFFLSHDNYSDMDSGKTLAHLTLNKSSDADNMSQSSSVYHNDRAGSSYSGDEGSSGPTRKAYTGYALVSASPLIGLPESSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGRLINGSEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLSWENRMKVILGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEYNGKISDFGLAKLLGSDKSHVATRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLETVTSRDPVDYSRPANEVNLVEWLKMMVGNRRAEEVVDPNLEVKPATRALKRTLLVALRCVDPDADKRPKMGQVVRMLEADEVSYREDRRNRRNQTGNVEIEAQKESNSSSDMENKVGRREFQTSERFQA >Ma02_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24314789:24315349:-1 gene:Ma02_g17410 transcript:Ma02_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEMLMAFCPKNTFDAPQRPRRPSLEGIQRAVSDLSFQLTKEPAEATLPPISEVEDASCECCGMSEECTPEYIHRVREKFSGKWICGLCSEAVKEETAKNGGKQQEALEAHMSMCERFSRIGRTHPVLYQADAMREILRKSSRARAQSSSPRDRESAKKSSITRSSSCIPAITKEMNDCTALK >Ma04_p27690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28865654:28870004:-1 gene:Ma04_g27690 transcript:Ma04_t27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAFPSTSLMASTPRLLRKPSSLASSSSLFLVSGGGRAARAPLLRRQHGSGALGCRCLFGLGVPELVVIAGVAALVFGPKKLPEIGRSFGKTIKSFQQAAKEFETELKKDPEDSSNPPSVESPKAVSSEDDKKELETSGTKDST >Ma02_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28187629:28188231:1 gene:Ma02_g22990 transcript:Ma02_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGTHACMPHHWTRQSCRRNDYKKPGGSHLRRLKIHERASFDKVSTASLYHGSHSPLVKPKSSARYSWPSCVSCQGTISPIASALTKSYCLILHQTKLKIK >Ma10_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31999419:32004933:1 gene:Ma10_g22760 transcript:Ma10_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRPFFLLLLLLLPSSSSCATPNRHRAYPRHLLWSAESLIRDLNLLPGIQELGAGTEADDPSSPRLVERRLDLRILGESGSEIPVEQLGHHAGYYRLEHTHAAKMFYFFFESRGRSSDPVVIWLTGGPGCSSELALFYENGPFKIADNMSLIWNDYGWDKVSNIIFVDQPTGTGFSYSTDLRDLRYGEKGVSDDLYDFFQAFFKEHSGFLKNDFYITGESYAGHYIPAAAERIHRGNKEKQGLLLNLKGFAIGNGLTDPAIQYGAYADYALEMGIIGQRAHNSITRIYPVCQLGIKLCGSSGVISCVASLIVCNSIFNAILLLAGDINYYDIRKKCEGSLCYDFSNMEKFLNQESVRDALGVGSRKFVSCSPLVYEAMITDWMKNLEVGIPTLLEDDIKLLVYAGEYDLICNWLGNLRWVNSMEWSGSQNFTKAPFRQFAVHGKEAGILKTHGPLSFIKVHDAGHMVPMDQPETALEMLKRWMKGNFNEEWNITAVAVM >Ma10_p11470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24999616:25003646:-1 gene:Ma10_g11470 transcript:Ma10_t11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRLDLDGNPIRPMTICMIGAGGFIGSHLCEKLMAETPHTVLAVDVYSDKIKHLLDPPTAAEGQQARHSWDGRIQFHRLNIKHDSRLEGLIKMSDLTINLAAICTPADYNTRPLDTIYSNFIDALPVVRYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRKEPDFYVLKEDASPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPERANGHIFNVGNPNNEVTVKQLAEIMTQVYSKVSGEPPLEVATIDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLDSTLTYQHRTYAEAIKRAMAKPVASSS >Ma04_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9727824:9745816:-1 gene:Ma04_g12870 transcript:Ma04_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMENGEDCCVKVAVHIRPLIGDERLQGCKDCVTVVPRKPQVQIGTHSFTFDHVYGSTASPSSLMFDECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGCKDDSQTGLIPQVMNALFSKIETLRNQAEFQLRVSFIEILKEEVRDLLDSSSVSKLDVASGQVGKMTVPGKPPVQIREASNGVITLAGSTEVSVSIQKEMAACLEQGSLNRATGSTNMNNQSSRSHAIFTIMLEQMRKHGPIVSTEGVQIEDVNEDYLCAKFHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPISEEIQRMRQHIEYLQAQLACYRGEGASDEIQALKEKVSWLEATNEDLCRELYEYRSQSSQNEHFDIDSQKSGMFFLKAEGLKRSLHTTEGFDYQMTETLRGNSREIDEEVAKEWEHTVLQDSMGKELNELNRRLEQKESEMKTFGGFDTLALKQHFKKKLMELEDEKRAVQQERDRLLAEVENLAAASDGQVQKLPDNHLQKLKDLEAQILDLKKKQESQVQLLKQKQRSEEAAKKLQEEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKSEEAAMATKKLKELLETRKSSAVITNGHLSGVQFNEKSLQCWLDHELEVMVHVHEVRKEYEKQSQIRAALAEELAILKHEEALSISGNPPRVKNGHSRVSPLSPNARLARIASLENMVSISSNVLVAMASQLSEAEERERAFAGHGRWNQLRSMGEAKSLLHYVFNVAADARCKLREKEIDIKELKEQLNELVSLLRLSEARRKEMEKQKMFEEQAVATALATSHSVSSNGSQKHCADETSDPSSPVAVPAQKQLKYTAGIVNSPSKGTAAFDHRPLKMVPITQLPLAKKLAIVGQGGKLWRWKRSHHQWLLQFKWKWQKPWKLSESIRHSDETFKRAKRRTLPLRDIL >Ma06_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15402400:15414877:-1 gene:Ma06_g21270 transcript:Ma06_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQANLSRPFETLILGSRRLVHPWRSARIRCSAAASPRRSYSITLLPGDGIGPEVVSVAKDVLSLVGSLQGIEFRFREVPVGGAALDSTGVPLPDETLAAAKESDAVLLGAIGGYKWDANEKHLKPETGLLQLRAGLGVFANLRPATVLPQLVDSSTLKKEVAEGVDIMVVRELTGGIYFGKPRGFGSNDRGEDIGFNTEVYSASEIDRIARVAFEVARKRHGKLCSVDKANVLEASMLWRKRVNGLASEFPDVELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMLTGSIGMLPSASIGDLGPGLFEPIHGSAPDIAGQDKANPLATVLSAAMLLRYGLGEEEAAKRIEAAVINTLNRGFRTGDIFSGGTTLVGCKQMGEEVLRSVEAGKQVAALH >Ma05_p29560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40181038:40182810:1 gene:Ma05_g29560 transcript:Ma05_t29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKDFFKSFLLLCRFLLPRRPSTCHTNHGRSQRYPSLESLPSQTVVCDVEGALLRTSSTFPYFMLVALEAGGFLRGLLLLLLHPLISCLSHEVGIRIMVMVCFFGLRKEDFRVGRAMLPKFFLEQVGLEGFEVLRRGGRRVCVSSMPTVMVEGFLKEYLDVEVVLGRELKVFGGYYTGLMEDGVIGFGHVDFAHHQLFTHCKEVCLVGEAEKRRGHHPLPRSQYPKPLVFHDGRIAFRPDAVSTLCMFLWLPLGFALAFARALVFLFLPYALSIPLLASLGMHSRLITSSDKEERRGDGSQLYICNHRTLLDALYISAALRRHVTATTYSVSPISEWFSPIRTVRLTRNREEDAARMKKLVQEGDLMVCPEGTTCREPYLLRFSPLVAEISREVVPVALESWASMFYGTSTGKLKFLDPLYFLMNPFPCYEAEFMATVATGAIGGEECSSYEMANHLQAEIGRRLGFHCTSLTRKHKYVLLAGNEGTIERERERTRDGDVRMERR >Ma07_p06040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4370749:4372725:-1 gene:Ma07_g06040 transcript:Ma07_t06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDITSLTMEYDKSIDYAEIFRSSSLYRENMELVSELSKIRPNSEDLHFASEYWQNFGSQCSACLWKLHKSYWKNPEFNVVRFVTTVGTSNLFAIVFCKIGSNITSEQDIFNIFRVMYASALFQGFVNAILMQPLVWMERTVLYREGSAGMYTSMAYTIAQVAVETPFVILQVLLFSFIFYPMIGFQLSIVKFLWFLLFMLLNLSYFTMYGMMTVTLTPTPEIASSVSFLIYLLWSFFSGFFISRKMIPVWWRWLYWVNPAAWTLYGLMFSQLGDLDKPIHVPGNLDQPINVFVQDLFGFQDNDFTIIMALHFGVIMLFLSFFGFSIEKLNFQIR >Ma09_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7231676:7233502:1 gene:Ma09_g10660 transcript:Ma09_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLFSAAALLLVAVLTASADDAHDALQAFGLPKGLLPDSVSSFSLAENGKFVVELRAPCYVKFTDLVHYGKTIRGQIRYGIISEISGIQIKKSFVWLSISDIVAHPADGTVEFIVGFLSELRPATLFESVPHCRVNASPRGVFSPEELLPLPVSEV >Ma11_p14940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20655693:20658024:1 gene:Ma11_g14940 transcript:Ma11_t14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENGAAGGLRVETDPLNWAAAAEALAGSHLDEVRRMTWEFRQPLVKLEGSTLRISQVAAIAAGAHSAVRVELSESARDEVCASSRWVVDSTAKGTNSNGMTAGFGAASHRRTKEGGALQKELIRFLNVGIFGSGAESGHTLPPSATRAAMLVRINTLLQGYSGIRFEILEAMASLLNSGITPCLPLRGTITASGDLVPLSYIAGVLTGRPNAKACDPAGETMDAAEAFRRAGIPHEFFELQPKEGLALVNGTTVGSGLASVVLYEANVLALLAEVLSAVFCEVMQGNPEFTDHLTHRLKHHPGQIEAAAIMEHILDGSSYMKMAKKLHEQDPLQKPKKDRYALRTSPQWLGPQIEVIRSSTKSIEREINSVNDNPLIDVARNKTIYGGNFQGTPIGVSMDNARLALAAVGKLMFAQFSELVNDLYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGFISSRKAAEAVDILKLMSATYLLALCQAIDLRHLEENLKNAVKNTVSQVAKRVLTTGAFCEKNLITVADREHIFGYIDDPCSSTYALMPKLRMALVEHALNNGEKEKDETTSVFQKITAFEEELKAVLPKEAEAARAAVESGNPAMVNRIKECRSYPLYRFVREELGTEYLTGEKVRSPGEEFDKVFVAIDRGLVIDPLLECLKEWNGVPLPMC >Ma08_p32130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42821738:42828152:-1 gene:Ma08_g32130 transcript:Ma08_t32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEGSSSGDGRNAKSHRICAWAAVVALVAVALAIAAGSRNAPEIPGSATGNKSCECLPGSRSYTGLVEDCCCDYETADSLNEQVLHPILQELVKTPFFRYFKVKLWCDCPFWPDDGMCHLRDCSVCECQESEFPEPFKKSSGVLSADDVICQEGKPQAAVDRTLDDKIFNGWIEIDNPWTYDDETDNTAMTYVNLQLNPERYTGYAGPSAQRIWAAVYQENCPQYPSEDLCHEKKVMYKLISGLHSSISVHIASDYLLDAFTNLWGQNLELLYDRVWKHPDRVRNLYFVYLFVLRAVTKAADYLEQAEYNTGNPIEDLKTRSLVRQLLYNPKLLSACPVPFDEAKLWQGENGPELKQQIQKQFRNISAVMNCVGCEKCRLWGKLQVNGLATALKILFSVDGENNQNQPLQLQRNEVIALFNLLNRLSESIKFVHDMEPLMEKMERHDSNPTATS >Ma08_p32130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42821738:42828152:-1 gene:Ma08_g32130 transcript:Ma08_t32130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEGSSSGDGRNAKSHRICAWAAVVALVAVALAIAAGSRNAPEIPGSATGNKSCECLPGSRSYTGLVEDCCCDYETADSLNEQVLHPILQELVKTPFFRYFKVKLWCDCPFWPDDGMCHLRDCSVCECQESEFPEPFKKSSGVLSADDVICQEGKPQAAVDRTLDDKIFNGWIEIDNPWTYDDETDNTAMTYVNLQLNPERYTGYAGPSAQRIWAAVYQENCPQYPSEDLCHEKKVMYKLISGLHSSISVHIASDYLLDAFTNLWGQNLELLYDRVWKHPDRVRNLYFVYLFVLRAVTKAADYLEQAEYNTGNPIEDLKTRSLVRQLLYNPKLLSACPVPFDEAKLWQGENGPELKQQIQKQFRNISAVMNCVGCEKCRLWGKLQVNGLATALKILFSVDGENNQNQPLQLQRNEVIALFNLLNRLSESIKFVHDMEPLMEKMERHDSNPTATS >Ma05_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20463907:20464017:-1 gene:Ma05_g17530 transcript:Ma05_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRSMNLLLLCLRSQSTRVALVGGNHTAARFCTR >Ma07_p06320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4560243:4561160:1 gene:Ma07_g06320 transcript:Ma07_t06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVIPTTGAARHGSTTRGRPTSAFSEPPGIESDQTVMAPHDDDIREATAEHEDDPRCRLCRKSFRNMKSLYGHMRVHERNWKGVNPPTQDENASASPLIGSAWPGRGNRGRRGKPDLDPDDFDDEEHRAAKHLQRLSEEFRARQKQDERRNDVAPRPPPPPPPSSSSTCTASEMKKKMKAEDATRQYVRGTCNKAFASRHALGGHMVSHNKGRKKSSQVFGTSVGEPKRADDAAMIDPPHDVRMKASDGEHRCELCGKVFLSGQALGGHKRAHYQQKLAAMGNTTSQASHPPYTIDLNKEPQP >Ma03_p04930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3252822:3253271:1 gene:Ma03_g04930 transcript:Ma03_t04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKDVMQDSEVFCDRLSSGDSARSVGRSSRVYYRACGQVPFEWEIEPGQPKNPAESVPLPPLSPPPAMQSARLARWSRLDHPSAPTKTRRSKRRWRAGESKCIWSNGHPTSATPSPGCFLGSLKLTVSGSVKRWDLSRAILGMFGGR >Ma03_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1093689:1096198:1 gene:Ma03_g01580 transcript:Ma03_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSEKIDYVFKVVLIGDSAVGKSQILARFARDEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGALLVYDVTKRQSFDHIPRWLEELRSHADRNIVIMLVGNKTDLEDQRAVTTEDAREFAQKENLFFLETSALEATNVENAFQTVLTEIFNIVNKKNLTSDPQSNNAAPTQPGKKIIVPGPAQEIPTNKTCCNTS >Ma06_p38530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37268445:37269939:1 gene:Ma06_g38530 transcript:Ma06_t38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGMDRLPEGCVAHAIALTSPRDVCRFSAVSSAFRSAAAYDTVWDRFLPSDYRSILSRAVRPVVCSSKRDLFFRLCDSLLIDAGKMDESGTKLGCCLMLAELLVGEVEWGQVLHALRPIAVHYVGRHAPVLEVGSSVRLQVLGGRRTGKRVLAGDPWQDPKSHAFWADDVRCLSHLQTSGLVAWSRPPTPRSIRHDGGAAFIHPRGPSSAK >Ma06_p38530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37268388:37270203:1 gene:Ma06_g38530 transcript:Ma06_t38530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGMDRLPEGCVAHAIALTSPRDVCRFSAVSSAFRSAAAYDTVWDRFLPSDYRSILSRAVRPVVCSSKRDLFFRLCDSLLIDAGKMSFWLERSSGAKCYMLSARSLSITWGDTPQYWRWVPLSDCRFSEAAELVNVCWLEIRGKIQSRMLSGRTTYAAYLIFRLADWSRGLGHPPQEASVTMGVQHSSTHVVRLQPNDSPSRVRARRNRIRFGPLVRWGAMMLEIAADQEAAAEEVGDARDDGWMEAELGELYIDEGEDGEVEMSLMEVRGGHWKKGLIIQGIEIRPKA >Ma07_p01490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1167342:1174061:1 gene:Ma07_g01490 transcript:Ma07_t01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQELVGSQPVELSKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHQSKLAARKYARIIQKLGFPAKFKDFKVQNIVGSCDVKFPIRLEGLAYAHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLAEFRKVQQW >Ma07_p01490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1167342:1175199:1 gene:Ma07_g01490 transcript:Ma07_t01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQELVGSQPVELSKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHQSKLAARKYARIIQKLGFPAKFKDFKVQNIVGSCDVKFPIRLEGLAYAHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLAEFRKVQQ >Ma06_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2775114:2776332:-1 gene:Ma06_g03840 transcript:Ma06_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVRSKVQRLYDACASVFRTGEDEPLTFEQIRWLQSLLDGVGPADVGIDGYGDEGPRVDERSPTSRRGLVLAHALEQITYIHIHECKDFSMGVFCFPAGATMPLHDHPGMVVLTKVLYGSVSWNAYDWVSNPRKNGLAKVVAEERILQASTRTSVLFPRSGGNIHSFTALTPCAILDVLTPPYSDELGRPSTYYIDIPIPWLPGFCILEEAELPDDLVVAGAPYLGPELIVVDEEEEDDDDDMY >Ma00_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:38558064:38568827:-1 gene:Ma00_g04460 transcript:Ma00_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFHHCRIRLAVELAVLLKLIRLMILFKMEMSQTDSANTPKSYTLNMFKDFVPMCVVSESNQGKFSLEGKVEHKFDMELHSENFSDYGKLCRERTNKAMIKTRQVQVIDKDHGVLMRPMPGMVALVPSGSKDKKKLTPTKGSDAKRTRRDRRELENIIFKLFERQQNWALKQLVQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTEELDNIGRPRKLLSMVLNTPSPPKTEVDATTATPGPPGTPLVFDAAFHSQQADIPKQFVWPEDETPTPDADEELVVPMIDLGGVMFGDPAAAAMITRSIADACQQHGFFQVINHGIDAGLLAEALRWAEAFFVMPLAEKQRAQRRTGESFGYASSFTGRFTDRLPWKETFTFRFSPSPLAGDIVQDYVVRTLGEDFRHFGEVYQRYCDAMSNLSLQIMEVIGLSLGMGRAHFRDFFGDNDSIMRLNYYPRCQKPELTLGTGPHYDPTSLTILLQDDVQGMQVFADGKWRTISPKPNAFVVNIGDTFMALSNGRYKSCLHRAVVNSKVARKSLAFFMCPATDKVVRPPPELVDADHPRAYPDFTWPELFQFTQKHHRPDMNTLDAFTSWIAAAVPQ >Ma09_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:372545:376340:-1 gene:Ma09_g00470 transcript:Ma09_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGLTLRSLFASFQHHPTTAAATNGASLSPSPSLPSPSHLQPSIRFKPKSNFCSAGRLRSSSSAVPAALAQSTKPIKLTYLEGNSWLWELGGVNILVDPILVGNLDFGQPWLFDGAKKILKNFKSLDDHCHVKTLTPLSKMLPGLPVISTPNAEAILSKLFKNVTYLEPGQSIDVEVKDRGMVNVCATAGPILGPPWQRPENGYIVESGQSHLTVYYEPHCVYNHSFLQNYQADIVITPVIKQMLPAFTLVSGQEDAVQLAKLLKAKYIVPMKNGDLDTKGIITSILYDVGTTESFKELLSRELPNVRVLEPTPGIPLEIPVASVVS >Ma09_p00470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:372523:376348:-1 gene:Ma09_g00470 transcript:Ma09_t00470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGLTLRSLFASFQHHPTTAAATNGASLSPSPSLPSPSHLQPSIRFKPKSNFCSAGRLRSSSSAVPAALAQSTKPIKLTYLEGNSWLWELGGVNILVDPILVGNLDFGQPWLFDGAKKILKNFKLQDLPDLDCLLITQSLDDHCHVKTLTPLSKMLPGLPVISTPNAEAILSKLFKNVTYLEPGQSIDVEVKDRGMVNVCATAGPILGPPWQRPENGYIVESGQSHLTVYYEPHCVYNHSFLQNYQADIVITPVIKQMLPAFTLVSGQEDAVQLAKLLKAKYIVPMKNGDLDTKGIITSILYDVGTTESFKNHAGIAVKGATKCPSVGAYPRYPSRDTCGFGCLIV >Ma09_p00470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:372523:376340:-1 gene:Ma09_g00470 transcript:Ma09_t00470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGLTLRSLFASFQHHPTTAAATNGASLSPSPSLPSPSHLQPSIRFKPKSNFCSAGRLRSSSSAVPAALAQSTKPIKLTYLEGNSWLWELGGVNILVDPILVGNLDFGQPWLFDGAKKILKNFKSLDDHCHVKTLTPLSKMLPGLPVISTPNAEAILSKLFKNVTYLEPGQSIDVEVKDRGMVNVCATAGPILGPPWQRPENGYIVESGQSHLTVYYEPHCVYNHSFLQNYQADIVITPVIKQMLPAFTLVSGQEDAVQLAKLLKAKYIVPMKNGDLDTKGIITSILYDVGTTESFKNHAGIAVKGATKCPSVGAYPRYPSRDTCGFGCLIV >Ma09_p00470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:372571:376348:-1 gene:Ma09_g00470 transcript:Ma09_t00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGLTLRSLFASFQHHPTTAAATNGASLSPSPSLPSPSHLQPSIRFKPKSNFCSAGRLRSSSSAVPAALAQSTKPIKLTYLEGNSWLWELGGVNILVDPILVGNLDFGQPWLFDGAKKILKNFKLQDLPDLDCLLITQSLDDHCHVKTLTPLSKMLPGLPVISTPNAEAILSKLFKNVTYLEPGQSIDVEVKDRGMVNVCATAGPILGPPWQRPENGYIVESGQSHLTVYYEPHCVYNHSFLQNYQADIVITPVIKQMLPAFTLVSGQEDAVQLAKLLKAKYIVPMKNGDLDTKGIITSILYDVGTTESFKELLSRELPNVRVLEPTPGIPLEIPVASVVS >Ma05_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2553055:2566269:1 gene:Ma05_g03500 transcript:Ma05_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSFHAAALLPPSSAVPPKFLRRRFPPLSSSASSSGTLGIYSRFERPLEDVYNVRVERRLSRERLAELGVDRWLVWKTGGRCRLPWDWHVDQQVYVVSGEVRVVPEGAKSGERFMRFVAGDLVRYPKWFEADLFFDGPYEERYRFLAYGDE >Ma08_p12080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9043963:9044632:-1 gene:Ma08_g12080 transcript:Ma08_t12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFCYFSFPTPYVLLLDLFGLVRYAIFVVSMHFGFLFRRSDAESPTGDINHLVPHMDTRPPLSPTSLKARLPVVRFERLVERWATREEEGKHVCVICKRSFEGSHEVRELSNCAHAFHMACLDSWMDEGRWTCPLCRSYLLSS >Ma03_p24260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28551957:28558396:1 gene:Ma03_g24260 transcript:Ma03_t24260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVPCKPPLPPRSPTRAKRKENVDEASLDKRRRVVAGKTGASPNDRGRQVLSAVNAGPDPVGNRDQVAPAEGSDGGNVAAIEFESREDVERLLGEKMKGKNKNDYKGKSEQMMEYIKKLRVCIRWYMDLEDKYLAEQENLRNLMTAEENRHSDIENQMRAKVTELEATIEELKRECESLQERFKKEEADKLAAIKTYEDERDARIAIESSRAALSQDLERVSQETGRLNDQLKIVQDNNKRLQEYNASLQLYNSNLQADALQNGETISRLQNEKSAIMENLSGLRDHINSLKSQLDSSRSSQQVAVKQKEDLMKEISCLRSELQQVRDDREHSLEQVQSLTQEVAKFKEITGKSSKDLDMITTKTIALEETCASQRDQIRLLQHQLAASNEKLKQADMTATETMSEYEEQKKTVNDLQNRLVEAEFQILEAEKLRKKLHNTILELKGNIRVFCRVRPVLPDNDSSGTDGAVVSYPTSMETAGRGIDLMHTAQKYSFTFDKVFNHEASQEDVFFEISQLVQSALDGYKVCIFAYGQTGSGKTFTMMGNPEIREQKGLIPRSLEQVFETSQSLQCQGWKYKMQASMLEIYNEAIRDLLSPGRPSSLEANAAVNKQYSIKHDSGGNTIVSDLTIVDVCSIKEVSFLLQQAAQSRSVGRTHMNEQSSRSHFVFTLRIFGVNESTEQQVQGVLNLIDLAGSERLARSGATGDRLKETQAINKSLSALSDVIAAIAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPESSSAGESICSLRFAARVNSCEIGIPRRQTQSRPLLDSRLSYG >Ma03_p24260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28551937:28558396:1 gene:Ma03_g24260 transcript:Ma03_t24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVPCKPPLPPRSPTRAKRKENVDEASLDKRRRVVAGKTGASPNDRGRQVLSAVNAGPDPVGNRDQVAPAEGSDGGNVAAIEFESREDVERLLGEKMKGKNKNDYKGKSEQMMEYIKKLRVCIRWYMDLEDKYLAEQENLRNLMTAEENRHSDIENQMRAKVTELEATIEELKRECESLQERFKKEEADKLAAIKTYEDERDARIAIESSRAALSQDLERVSQETGRLNDQLKIVQDNNKRLQEYNASLQLYNSNLQADALQNGETISRLQNEKSAIMENLSGLRDHINSLKSQLDSSRSSQQVAVKQKEDLMKEISCLRSELQQVRDDREHSLEQVQSLTQEVAKFKEITGKSSKDLDMITTKTIALEETCASQRDQIRLLQHQLAASNEKLKQADMTATETMSEYEEQKKTVNDLQNRLVEAEFQILEAEKLRKKLHNTILELKGNIRVFCRVRPVLPDNDSSGTDGAVVSYPTSMETAGRGIDLMHSTQKYSFTFDKVFNHEASQEDVFFEISQLVQSALDGYKVCIFAYGQTGSGKTFTMMGNPEIREQKGLIPRSLEQVFETSQSLQCQGWKYKMQASMLEIYNEAIRDLLSPGRPSSLEANAAVNKQYSIKHDSGGNTIVSDLTIVDVCSIKEVSFLLQQAAQSRSVGRTHMNEQSSRSHFVFTLRIFGVNESTEQQVQGVLNLIDLAGSERLARSGATGDRLKETQAINKSLSALSDVIAAIAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPESSSAGESICSLRFAARVNSCEIGIPRRQTQSRPLLDSRLSYG >Ma03_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28551957:28558396:1 gene:Ma03_g24260 transcript:Ma03_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVPCKPPLPPRSPTRAKRKENVDEASLDKRRRVVAGKTGASPNDRGRQVLSAVNAGPDPVGNRDQVAPAEGSDGGNVAAIEFESREDVERLLGEKMKGKNKNDYKGKSEQMMEYIKKLRVCIRWYMDLEDKYLAEQENLRNLMTAEENRHSDIENQMRAKVTELEATIEELKRECESLQERFKKEEADKLAAIKTYEDERDARIAIESSRAALSQDLERVSQETGRLNDQLKIVQDNNKRLQEYNASLQLYNSNLQADALQNGETISRLQNEKSAIMENLSGLRDHINSLKSQLDSSRSSQQVAVKQKEDLMKEISCLRSELQQVRDDREHSLEQVQSLTQEVAKFKEITGKSSKDLDMITTKTIALEETCASQRDQIRLLQHQLAASNEKLKQADMTATETMSEYEEQKKTVNDLQNRLVEAEFQILEAEKLRKKLHNTILELKGNIRVFCRVRPVLPDNDSSGTDGAVVSYPTSMETAGRGIDLMHSTAQKYSFTFDKVFNHEASQEDVFFEISQLVQSALDGYKVCIFAYGQTGSGKTFTMMGNPEIREQKGLIPRSLEQVFETSQSLQCQGWKYKMQASMLEIYNEAIRDLLSPGRPSSLEANAAVNKQYSIKHDSGGNTIVSDLTIVDVCSIKEVSFLLQQAAQSRSVGRTHMNEQSSRSHFVFTLRIFGVNESTEQQVQGVLNLIDLAGSERLARSGATGDRLKETQAINKSLSALSDVIAAIAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPESSSAGESICSLRFAARVNSCEIGIPRRQTQSRPLLDSRLSYG >Ma08_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6494924:6497955:1 gene:Ma08_g08970 transcript:Ma08_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGYQQSRLLYELCALLLAALPLSPPAPEAGVPAPGSRAARRAQVSPAGFVAFLLGASLAMILCGSVTFLIGFLLMPLVIGFLMLLYVVGIFSNLSGLWRAIHCPRSSSSPKEVSDPLFSKLPTI >Ma10_p07920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22280862:22285255:1 gene:Ma10_g07920 transcript:Ma10_t07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCLQSVLKMLNSMIGLTGMGMILYALWMLRSWYKHLDGTSFGVSGSTPPWFIYTFLGLGISLCLITCAGHIAAETANSYCLSCYMVFVFLFITLEAAITAFIFLNRDWEEDFPEDPTGEFNELKNFVKSNFEMCEWIGLLVVATQALSIFAAMVLRALGPDSGTDCDSDDDAIPARLPLLRNQV >Ma10_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22280862:22285244:1 gene:Ma10_g07920 transcript:Ma10_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWVRSCLQSVLKMLNSMIGLTGMGMILYALWMLRSWYKHLDGTSFGVSGSTPPWFIYTFLGLGISLCLITCAGHIAAETANSYCLSCYMVFVFLFITLEAAITAFIFLNRDWEEDFPEDPTGEFNELKNFVKSNFEMCEWIGLLVVATQALSIFAAMVLRALGPDSGTDCDSDDDAIPARLPLLRNQVNR >Ma08_p30940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42019452:42025800:1 gene:Ma08_g30940 transcript:Ma08_t30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFSKRFRLDKLFKNGAIRKSGLTALTLHDGTSGHGVASRYLSHEPLSYSEDSYTKCIPPLFHPLPGLNLPICLPDSAEQNATKVTTLPNGLRVASEDALGPAACVGIYVDSGSIYETEESIGVTHLLERLAFKSTKHRSHLQIVHEVESTGGNVGASASREQMGYSYDTLKAYLPAAVELLIDCVRNPVFLESEVQEQVNRIKWEIGDITKDPQQFLLDSLHLAGFSGALGKPLMAPESALERINGSKIGKFYQENYTADRMVLAAFGVDHEHLLAIAEPLLYDLERGIAMEVPKSTYTGGDLRHKIYSEKTHVALAFEVPGGWRHEKDATALTVLQTLMGGGGSFSAGGPGKGMHSRLYLRVLNKYQEVQSFLAFSSICNDTGLFGIHSITGSDFVSKAVDVAVNELHAIATPGQVTELELHRAKNATRLAILLNLESRAIAVEDIGRQILTYGCRKPVDYFLRCLDELTLDDLTILAQKMLSSPLTMASWGDVDRVPSYASVAQRFQASI >Ma02_p23980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28755372:28760921:-1 gene:Ma02_g23980 transcript:Ma02_t23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPLAGLLDLRLSPASFKVSPPGPPPLPLRRSFSGPPPAPTTTRSKVVPQALSRSTMELFSTTECSDGSVIFRFGDATEAKRDEVADVAPAESTVLEKDLETLGKSEAKDSEEKGSCEINQQKAERYDLEMKESESVVIGEVRDEGWSVSEIRDLGLVETDPNMDDGICLKESVIASDETITAVETEMQEKPRGFDGNEELKRTVAELSEESCISVVDGVESLIEDQETDVNSVDVGKRINEEKYSDELTNKLKGGDASANDKVAEDIDLDIHVSSESLLVETPDENSDSSLGASEVYVAPVNDNEIEVNSVFETLPLSIGSDVDESKDESGDGTTILETLKHSEERISDMDFESSNGTNLEETLKQSEEGISDMDVELRDDSPDRITTEATQERNELRSPMDVEVEDDSSGGLLQQTGHIEAVEIPVHERVEIMETQFEGSEASRVSSAAKDITDMAVESIPSCAEDHGNSGDVEILARAETTEGTRDEENGEGADSNRADHVPASLLFLSSGAAILPHPSKALTGGEDAYFAALDSWFGVADGVGQWSFEGINAGLYARELMENCVKFVSKYEGTKPDEILIKSAAEARSPGSSTVLVGYFDGKVLHVANIGDSGFIVLRNGTVFRRSTPMVYGFNFPLQIERGDDPSRYIEMYEIDLDEGDVIVTATDGLFDNIYEQEIAAIVSKSLQASLKPREIAEFLAMRAQEVGRSASARSPFADAALSAGYPGFTGGKLDDVTVVVSVVQRSNQ >Ma09_p13660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9262049:9262458:1 gene:Ma09_g13660 transcript:Ma09_t13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPNAVAPTQLTILYDGAVNVYDGVPPEKAQAIMLVAAAAATTAANGPSKVGRTDTASTAAATVLTRSLSLQIPPANYELVGVLSRLHWLACDHAKVVPFFPP >Ma11_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25364207:25368022:1 gene:Ma11_g21090 transcript:Ma11_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPSNPTKSAKAMGHDLRVHFKNTRETAHAIRKLPLSKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKGRHPNGQGRWPAKSARFILDLLKGAKSNAEVKGLDVDALFISHIQVNQAPKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQIAPSKPKKA >Ma04_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6737674:6741198:-1 gene:Ma04_g09500 transcript:Ma04_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MTFYHVFQQCSKHHDGDTGGQAHARMLVSGFVPTTFVANCLIHMYITCSNLDYAHQVFVRMPQRDTVSWNAMIAGYARNGSVDVAKSLFDRMPERDVISWNSLMSGCLQNGGLYEPINLFIQMLWNGIVPDRTTFAVILKSCAALEELNMGIQIHGAVVKMGLHFDVVTGSALVDMYAKCKSLDESICLFSEMPERNWVSWSAVIAGCVQNEHYATGFEMFIEMQRAGVGQSQSAYASVFRSCAALSSVKLGRQFHGHAFKNNYSSDVIVGTAIMDMYAKGDSLDDARRVFQWLPTRSLQSWNAIIVGFVRNDQGHEAIELFKLMNHSGVGFDEISLSAVPSACAEVKGYLQGLQVHCLALKSGVISDVCVANAVLDMYGKCKALEEACDIFKEMDWRDSVSWNAVITAFEQNGQYEETLLHFHQMLHCGLEPDEFTYGSVLKACAGLQSLDCGVKVHNKMIKSGLSLDSFVGSALVDMYCKCGMMGEAQKLHDRIDKQTLVSANAIISGFSLQKQSEEAQSFFSQMLDNGLEPDNFTYATVLDTCADLATIELGKQIHAQIMKLDLDKDVFISSTLVDMYAKCGNMNDSLLTFEKMLNRDLVSWNAMICGYAYHGLGLDALRMFERMQLEKVRPNHTTFVAVLRACGHVGLFDEGMSYFHLMTNHYKLEPQLEHYSCMVDIVGRSKGIIEALELIDKMPFEADAVIWRTLLSVCKIQGNVEVAEVAANSLLVLDPEDSSSCVLLSNIYADAGRWGEVSKMRRLMRQSKLKKEPGCSWIEIKNELHTFLVGDKAHPRCSEIYEGLDELVAEMKWVECPPNLDSFIEDEEEDQQEHLAMGNG >Ma03_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29220081:29223248:-1 gene:Ma03_g25090 transcript:Ma03_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSNDPWNRCYFSCEDIDAGVKIFEEYISSRPPTAYLHLTLIEGAMVGYTPRGMQLAQESLEKMNARGFFLNPKMGSDLLLAAAGEKMGGYTTANYVWDLLQSHKITPWLPAVKAFYEGLKEREIPSDNPRLVLVGRT >Ma07_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9636603:9637415:1 gene:Ma07_g12810 transcript:Ma07_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKLQDKELKEGNDIGAPPPPSSETSPAHEFSFSSSLQPSFTSIPNHKTLIYNKNTATILNLPSAGDIFSHGHQLHLHPPSQPSVAAPRSRNVFAGSFSRPLEHTRSGLSLNRHLIDHRDSARENEESIKNKTFSFFFGHRKWRKEGDSGDKEEVKKKKKKGFDMSCWIAKKYASTMEIFFGEKHELLRRPYRKKRDGWWKKKGQLSAPTSMRTSPSNSGRLSATTLTFASSADSAVEEIHSGIQAAIAHCKSSNTNKDEKCKCCHVK >Ma05_p12120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8801520:8810590:-1 gene:Ma05_g12120 transcript:Ma05_t12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAWLAGVLFAAGVTFATLSIVNKNASGAKQQVEPLASEQEILMSSVDASEKDNRVGEVSNVVTIGEESIINDRNEDNETGTSENFTPTNVNGDVSESRYGDMQDLESSLSENIKSVGEGVIEIKRASTLDDLEVAKNVDNLPLFAGLSNSTHELPTYEDGFSHETSKSDDLGCATTFDMLESDIGKDVNADGTVTLVGLTSVNTASTNAISNNLEETINSNSETPSQFLGEFESQVPSNSITPDAKRIDSDEIDYQFSFEDVVANVSLLKDQDIEQNNMLQLPAKDCTECPIVHDKNETVPIEKLFDLVPDHSEEQMLQFDSISSAGGHNLNDSDLASVQSVVVSTDPTKKEPDLKCDNETERNSLFESLLPKKSFSHSGIPAPSLVYAAQQVPPGKILVPAFVDQVQGHALAALQVLKVIEVDAQPGDLCTRREYARWLVTASNVFSRNTFSKVYPAMYIENLTELAFDDVTPKDPDFPFIQGLAEAGLISSKLSRSDLDVSVNILDDYVLFSPDSPLSRQDLISWKMALERRQLPEVDKNHLYQCTGYIDVDKINPDAWPALIADFAGGEQGITALAFGYTRLFQPDKPVTKAQAAIAIATGDAAEVVGEELARIEAESLAETAVNAHTTLVAQVEKDLNASFEEELAKERQKTKDLEKLAEEARLELNRLRTQREEEKNALITSHATVESEMEVLSRLRHEVEEQLQNLMSNKLEISFERDRMNKLRKEVESENQVIIRLQYELEVERKALIMARSWAEEEAKRAREQAKALEEARERWERHGIKIVVDGDLQDDASIGTTWLIAGDQPPVDETIGRGETLVKKLKEMAAEMKHRSSVTIEKIIQRIVMIISALKQWVSVASNHATELRIAGISKAKNAMIEFKESASGFSLVIVDKARRVVADCKGSVGKISQKFKA >Ma05_p12120.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8801520:8810591:-1 gene:Ma05_g12120 transcript:Ma05_t12120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMCPSSPNSLRLQFGFRCRESSAVFLRVRFRPTNRRVVVSFAGGEAARSGGRGRPWNGSDGSPDGFAGWLETGTGAGQSKKKGGLREMLGAWLAGVLFAAGVTFATLSIVNKNASGAKQQVEPLASEQEILMSSVDASEKDNRVGEVSNVVTIGEESIINDRNEDNETGTSENFTPTNVNGDVSESRYGDMQDLESSLSENIKSVGEGVIEIKRASTLDDLEVAKNVDNLPLFAGLSNSTHELPTYEDGFSHETSKSDDLGCATTFDMLESDIGKDVNADGTVTLVGLTSVNTASTNAISNNLEETINSNSETPSQFLGEFESQVPSNSITPDAKRIDSDEIDYQFSFEDVVANVSLLKDQDIEQNNMLQLPAKDCTECPIVHDKNETVPIEKLFDLVPDHSEEQMLQFDSISSAGGHNLNDSDLASVQSVVVSTDPTKKEPDLKCDNETERNSLFESLLPKKSFSHSGIPAPSLVYAAQQVPPGKILVPAFVDQVQGHALAALQVLKVIEVDAQPGDLCTRREYARWLVTASNVFSRNTFSKVYPAMYIENLTELAFDDVTPKDPDFPFIQGLAEAGLISSKLSRSDLDVSVNILDDYVLFSPDSPLSRQDLISWKMALERRQLPEVDKNHLYQCTGYIDVDKINPDAWPALIADFAGGEQGITALAFGYTRLFQPDKPVTKAQAAIAIATGDAAEVVGEELARIEAESLAETAVNAHTTLVAQVEKDLNASFEEELAKERQKTKDLEKLAEEARLELNRLRTQREEEKNALITSHATVESEMEVLSRLRHEVEEQLQNLMSNKLEISFERDRMNKLRKEVESENQVIIRLQYELEVERKALIMARSWAEEEAKRAREQAKALEEARERWERHGIKIVVDGDLQDDASIGTTWLIAGDQPPVDETIGRGETLVKKLKEMAAEMKHRSSVTIEKIIQRIVMIISALKQWVSVASNHATELRIAGISKAKNAMIEFKESASGFSLVIVDKARRVVADCKGSVGKISQKFKA >Ma05_p12120.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8801520:8810585:-1 gene:Ma05_g12120 transcript:Ma05_t12120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAWLAGVLFAAGVTFATLSIVNKNASGAKQQVEPLASEQEILMSSVDASEKDNRVGEVSNVVTIGEESIINDRNEDNETAQQVPPGKILVPAFVDQVQGHALAALQVLKVIEVDAQPGDLCTRREYARWLVTASNVFSRNTFSKVYPAMYIENLTELAFDDVTPKDPDFPFIQGLAEAGLISSKLSRSDLDVSVNILDDYVLFSPDSPLSRQDLISWKMALERRQLPEVDKNHLYQCTGYIDVDKINPDAWPALIADFAGGEQGITALAFGYTRLFQPDKPVTKAQAAIAIATGDAAEVVGEELARIEAESLAETAVNAHTTLVAQVEKDLNASFEEELAKERQKTKDLEKLAEEARLELNRLRTQREEEKNALITSHATVESEMEVLSRLRHEVEEQLQNLMSNKLEISFERDRMNKLRKEVESENQVIIRLQYELEVERKALIMARSWAEEEAKRAREQAKALEEARERWERHGIKIVVDGDLQDDASIGTTWLIAGDQPPVDETIGRGETLVKKLKEMAAEMKHRSSVTIEKIIQRIVMIISALKQWVSVASNHATELRIAGISKAKNAMIEFKESASGFSLVIVDKARRVVADCKGSVGKISQKFKA >Ma05_p12120.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8801520:8810590:-1 gene:Ma05_g12120 transcript:Ma05_t12120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMCPSSPNSLRLQFGFRCRESSAVFLRVRFRPTNRRVVVSFAGGEAARSGGRGRPWNGSDGSPDGFAGWLETGTGAGQSKKKGGLREMLGAWLAGVLFAAGVTFATLSIVNKNASGAKQQVEPLASEQEILMSSVDASEKDNRVGEVSNVVTIGEESIINDRNEDNETGTSENFTPTNVNGDVSESRYGDMQDLESSLSENIKSVGEGVIEIKRASTLDDLEVAKNVDNLPLFAGLSNSTHELPTYEDGFSHETSKSDDLGCATTFDMLESDIGKDVNADGEFESQVPSNSITPDAKRIDSDEIDYQFSFEDVVANVSLLKDQDIEQNNMLQLPAKDCTECPIVHDKNETVPIEKLFDLVPDHSEEQMLQFDSISSAGGHNLNDSDLASVQSVVVSTDPTKKEPDLKCDNETERNSLFESLLPKKSFSHSGIPAPSLVYAAQQVPPGKILVPAFVDQVQGHALAALQVLKVIEVDAQPGDLCTRREYARWLVTASNVFSRNTFSKVYPAMYIENLTELAFDDVTPKDPDFPFIQGLAEAGLISSKLSRSDLDVSVNILDDYVLFSPDSPLSRQDLISWKMALERRQLPEVDKNHLYQCTGYIDVDKINPDAWPALIADFAGGEQGITALAFGYTRLFQPDKPVTKAQAAIAIATGDAAEVVGEELARIEAESLAETAVNAHTTLVAQVEKDLNASFEEELAKERQKTKDLEKLAEEARLELNRLRTQREEEKNALITSHATVESEMEVLSRLRHEVEEQLQNLMSNKLEISFERDRMNKLRKEVESENQVIIRLQYELEVERKALIMARSWAEEEAKRAREQAKALEEARERWERHGIKIVVDGDLQDDASIGTTWLIAGDQPPVDETIGRGETLVKKLKEMAAEMKHRSSVTIEKIIQRIVMIISALKQWVSVASNHATELRIAGISKAKNAMIEFKESASGFSLVIVDKARRVVADCKGSVGKISQKFKA >Ma05_p12120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8801520:8810586:-1 gene:Ma05_g12120 transcript:Ma05_t12120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMCPSSPNSLRLQFGFRCRESSAVFLRVRFRPTNRRVVVSFAGGEAARSGGRGRPWNGSDGSPDGFAGWLETGTGAGQSKKKGGLREMLGAWLAGVLFAAGVTFATLSIVNKNASGAKQQVEPLASEQEILMSSVDASEKDNRVGEVSNVVTIGEESIINDRNEDNETAQQVPPGKILVPAFVDQVQGHALAALQVLKVIEVDAQPGDLCTRREYARWLVTASNVFSRNTFSKVYPAMYIENLTELAFDDVTPKDPDFPFIQGLAEAGLISSKLSRSDLDVSVNILDDYVLFSPDSPLSRQDLISWKMALERRQLPEVDKNHLYQCTGYIDVDKINPDAWPALIADFAGGEQGITALAFGYTRLFQPDKPVTKAQAAIAIATGDAAEVVGEELARIEAESLAETAVNAHTTLVAQVEKDLNASFEEELAKERQKTKDLEKLAEEARLELNRLRTQREEEKNALITSHATVESEMEVLSRLRHEVEEQLQNLMSNKLEISFERDRMNKLRKEVESENQVIIRLQYELEVERKALIMARSWAEEEAKRAREQAKALEEARERWERHGIKIVVDGDLQDDASIGTTWLIAGDQPPVDETIGRGETLVKKLKEMAAEMKHRSSVTIEKIIQRIVMIISALKQWVSVASNHATELRIAGISKAKNAMIEFKESASGFSLVIVDKARRVVADCKGSVGKISQKFKA >Ma05_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8801520:8810245:-1 gene:Ma05_g12120 transcript:Ma05_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAWLAGVLFAAGVTFATLSIVNKNASGAKQQVEPLASEQEILMSSVDASEKDNRVGEVSNVVTIGEESIINDRNEDNETGTSENFTPTNVNGDVSESRYGDMQDLESSLSENIKSVGEGVIEIKRASTLDDLEVAKNVDNLPLFAGLSNSTHELPTYEDGFSHETSKSDDLGCATTFDMLESDIGKDVNADGTVTLVGLTSVNTASTNAISNNLEETINSNSETPSQFLGEFESQVPSNSITPDAKRIDSDEIDYQFSFEDVVANVSLLKDQDIEQNNMLQLPAKDCTECPIVHDKNETVPIEKLFDLVPDHSEEQMLQFDSISSAGGHNLNDSDLASVQSVVVSTDPTKKEPDLKCDNETERNSLFESLLPKKSFSHSGIPAPSLVYAAQQVPPGKILVPAFVDQVQGHALAALQVLKVIEVDAQPGDLCTRREYARWLVTASNVFSRNTFSKVYPAMYIENLTELAFDDVTPKDPDFPFIQGLAEAGLISSKLSRSDLDVSVNILDDYVLFSPDSPLSRQDLISWKMALERRQLPEVDKNHLYQCTGYIDVDKINPDAWPALIADFAGGEQGITALAFGYTRLFQPDKPVTKAQAAIAIATGDAAEVVGEELARIEAESLAETAVNAHTTLVAQVEKDLNASFEEELAKERQKTKDLEKLAEEARLELNRLRTQREEEKNALITSHATVESEMEVLSRLRHEVEEQLQNLMSNKLEISFERDRMNKLRKEVESENQVIIRLQYELEVERKALIMARSWAEEEAKRAREQAKALEEARERWERHGIKIVVDGDLQDDASIGTTWLIAGDQPPVDETIGRGETLVKKLKEMAAEMKHRSSVTIEKIIQRIVMIISALKQWVSVASNHATELRIAGISKAKNAMIEFKESASGFSLVIVDKARRVVADCKGSVGKISQKFKA >Ma04_p33490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33076519:33077997:-1 gene:Ma04_g33490 transcript:Ma04_t33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTCNLCFRRFPNGRALGGHMRSHVISAAPPVPQAQHPGNSSASISSDRPAAEQEVGEEAEEEVGGSYGLRMNPRKSFRLVDPQFSSSENRRRSKRSRRDTASPTEQPEAEPASSVSDATPEEDVALCLMMLSRDSWATAAAEEEARLRYQCGACKKVFRSYQALGGHRASHKKTNGCVPAVEPRIYGEADSADANADAKVHECPFCFRVFSSGQALGGHKRSHFTSSATMLTENSPVIGMIDLNFPAPSMDDVELSAVSDMDFVANPRPN >Ma05_p31380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41323750:41325681:-1 gene:Ma05_g31380 transcript:Ma05_t31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDICCGVVNCGAEAAAMRSAEPGSGGARRRRMEIRRLRFDAESEAPAVEDEPSGKRQRMDGGAAAGSAEKSGSTSGGGSSPQHTVAAPEELDLSDRFPRFGMAAVCGRRRDMEDAVSIRPDFVGGDHRIPARHHFFGVFDGHGCSHVASLCKDRMHEVVAEEVETLAPGAGTPSQAWRVAMERSFARMDSEAVDGGGGGGRPSPDCRCELQPPRCEHVGSTAVVAVIGPTRIVVANCGDSRAVLCRNGAPIPISSDHKPDREDELQRIEAIGGRVIYWDGARVLGVLAMSRAIGDSYLKPYVVSEPEVTVTEREEGDECLILASDGLWDVVSNETACGIARMCLRGGGDDEEEEGGGGGDSLCSDAAILLTKLALARQSADNISVVVIDLRSKPKRRRTATATATTTW >Ma06_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4767545:4775072:-1 gene:Ma06_g06590 transcript:Ma06_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MEEEPVANGTTMVCVPSHPLIKHWISILRNEQTPCATFKNAMAELGRLLIYEASRDWLPVVNGEIRTPMGVATVEFVDPHEPIMIVPILRAGLALAEHASSVLPATRTYHLGMRRDEMTLEPSLYLNKLPDKFQEGSQILLIDPMLATGGTVIAAVDLLKDRGAEDKQIKVISAIAAPPALRKLSQKFPGLHVYTGIVDPILNEKGFIVPGLGDAGDRSFGT >Ma05_p08880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6519315:6534768:-1 gene:Ma05_g08880 transcript:Ma05_t08880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGDSALSEQGTSSSTWWSSDFVESFESVSLDPREETACNIGKAELSCHTASQILWSTGTFSGSIPNGFYSVIPDKKLKELYETIPSPDDIYSLGMEGFKADIILVDAEKDKKLCMLKQLSAAMVKGLHSNPAFLIKKIASLVFDAYKRPTSELSPAKAAAEDISHWMDNKGVQLLGQIRHGSCRPRAILFKVLADSVGLESKLVVGLPSDGGVECADSYKHMSVVVTLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLPDKLEAEGPEQDENPQSLYQRRVDASSNLTGPTLRNIMLRSTTFTERKLSASHSEPNIANAIGRHSHRKAVAGQLRTASSSPEHPLSRARGRSILSGDRQLFREYTDGVAASRSDGASTSDVPRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLPRDRGDESSCLFSTKDKNQLNDPPNNDEAPFQHSGAYNSLRKQSGSNQKAISLPSSPHEYSSKSTERNGDSSRAEDMVLTWNKVLQSSSFLNKPLLPFEEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMRPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMIDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELCTLNRPWEGIPSVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILARLLDCEYTLS >Ma05_p08880.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6519315:6534762:-1 gene:Ma05_g08880 transcript:Ma05_t08880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGDSALSEQGTSSSTWWSSDFVESFESVSLDPREETACNIGKAELSCHTASQILWSTGTFSGSIPNGFYSVIPDKKLKELYETIPSPDDIYSLGMEGFKADIILVDAEKDKKLCMLKQLSAAMVKGLHSNPAFLIKKIASLVFDAYKRPTSELSPAKAAAEDISHWMDNKGVQLLGQIRHGSCRPRAILFKVLADSVGLESKLVVGLPSDGGVECADSYKHMSVVVTLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLPDKLEAEGSPEQDENPQSLYQRRVDASSNLTGPTLRNIMLRSTTFTERKLSASHSEPNIANAIGRHSHRKAVAGQLRTASSSPEHPLSRARGRSILSGDRQLFREYTDGVAASRSDGASTSDVPRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLPRDRGDESSCLFSTKDKNQLNDPPNNDEAPFQHSGAYNSLRKQSGSNQKAISLPSSPHEYSSKSTERNGDSSRAEDMVLTWNKVLQSSSFLNKPLLPFEEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMRPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMIDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELCTLNRPWEGIPSVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILARLLDCEYTLS >Ma05_p08880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6519315:6534768:-1 gene:Ma05_g08880 transcript:Ma05_t08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGDSALSEQGTSSSTWWSSDFVESFESVSLDPREETACNIGKAELSCHTASQILWSTGTFSGSIPNGFYSVIPDKKLKELYETIPSPDDIYSLGMEGFKADIILVDAEKDKKLCMLKQLSAAMVKGLHSNPAFLIKKIASLVFDAYKRPTSELSPAKAAAEDISHWMDNKGVQLLGQIRHGSCRPRAILFKVLADSVGLESKLVVGLPSDGGVECADSYKHMSVVVTLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLPDKLEAEGSPEQDENPQSLYQRRVDASSNLTGPTLRNIMLRSTTFTERKLSASHSEPNIANAIGRHSHRKAVAGQLRTASSSPEHPLSRARGRSILSGDRQLFREYTDGVAASRSDGASTSDVPRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLPRDRGDESSCLFSTKDKNQLNDPPNNDEAPFQHSGAYNSLRKQSGSNQKAISLPSSPHEYSSKSTERNGDSSRAEDMVLTWNKVLQSSSFLNKPLLPFEEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMRPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMIDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELCTLNRPWEGIPSVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILARLLDCEYTLS >Ma05_p08880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6519315:6534768:-1 gene:Ma05_g08880 transcript:Ma05_t08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGDSALSEQGTSSSTWWSSDFVESFESVSLDPREETACNIGKAELSCHTASQILWSTGTFSGSIPNGFYSVIPDKKLKELYETIPSPDDIYSLGMEGFKADIILVDAEKDKKLCMLKQLSAAMVKGLHSNPAFLIKKIASLVFDAYKRPTSELSPAKAAAEDISHWMDNKGVQLLGQIRHGSCRPRAILFKVLADSVGLESKLVVGLPSDGGVECADSYKHMSVVVTLNSVELLVDLMRFPGQLIPFSTKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLPDKLEAEGASHSEPNIANAIGRHSHRKAVAGQLRTASSSPEHPLSRARGRSILSGDRQLFREYTDGVAASRSDGASTSDVPRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLPRDRGDESSCLFSTKDKNQLNDPPNNDEAPFQHSGAYNSLRKQSGSNQKAISLPSSPHEYSSKSTERNGDSSRAEDMVLTWNKVLQSSSFLNKPLLPFEEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMRPPHLSMVTEYMEMGSLYYLMHMSGQKKKLSWRKRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRVMIDGPLRDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELCTLNRPWEGIPSVQVIYAVANEGTRLEIPEGPLGKLISDCWAEPHERPSCQEILARLLDCEYTLS >Ma04_p00810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:761372:768406:1 gene:Ma04_g00810 transcript:Ma04_t00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLPSAKEASQSRVEILNKYGEKLVGVLHDAGSKKLVILCHGFRSSKDESIFLNLTSALTSQGISVFRFDFAGNGESDGIFRYGNYRREAEDLRSVILYFSEQKYEISAILGHSKGGNVVLLYASVYHDVHTVINLSGRFALDRGIEGRLGRDFMQRIEKDGFIDVKDRTGKVEYRVTEESLKDRLDTDMHAACHSIDKECRVLTIHGSKDEIVPSEDAMEFAKLIPNHKLYIMEGANHSYAAHQGGLASVVLDFLKSNQVEDADTMRSI >Ma04_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:761372:768176:1 gene:Ma04_g00810 transcript:Ma04_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLPSAKEASQSRVEILNKYGEKLVGVLHDAGSKKLVILCHGFRSSKDESIFLNLTSALTSQGISVFRFDFAGNGESDGIFRYGNYRREAEDLRSVILYFSEQKYEISAILGHSKGGNVVLLYASVYHDVHTVINLSGRFALDRGIEGRLGRDFMQRIEKDGFIDVKDRTGKVEYRVTEESLKDRLDTDMHAACHSIDKECRVLTIHGSKDEIVPSEDAMEFAKLIPNHKLYIMEGANHSYAAHQGGLASVVLDFLKSNQVFLGTSENRTVFSRL >Ma02_p21090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26823513:26826938:-1 gene:Ma02_g21090 transcript:Ma02_t21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPFKKRRVSFSDLGEDQDDSNNTKEGRIAPQKTVSFKEERKKTNYWPQRQFSSPISDVNDVSDMEFAIAVAAAAYAITSMEEESMNQNKTIEQLMHPTAKTKSKREDSIDKTPDYIRLIRWFTGKEAREDERESGNSSVKKPVTLPGKLLEEPGADHTISGKAKETNLTIKRTPTFSDEYLNETGSSSSVHGQNQKGQQASSVIKPTSFSSKENEAKRITNTTNVETEVDVWEREKMDKIRERYEKEISKTLEWENAKKLKAKRQLEHKEMDLKLKHSRALREYHNEISRINKTAGGKRALAEERKKNNELKTKEKAHKRHYNRESSLCMFCF >Ma09_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3138801:3140629:1 gene:Ma09_g04890 transcript:Ma09_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPASLPPGFRFHPTDEELILHYLRNQAASLPCPVSIIAEVDIYKLDPWDLPGKAVFGEREWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKPIHDSRGNERIGVKKALVFYEGRPPKGSKTNWIMHEYRLEEARRSNCYKLKHASMRLDDWVLCRIYKKKGNLQPVPPSMDDRERQDPADSASSSFHGMNRALSMADLMEDYSAPSHLFANLPVMQGSELGFLRAQPRMDHQLLRPGNIDGSSDFHYQLARQKKPSDATIYTADFSSLSQRLLDLPGSHL >Ma05_p25550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37453942:37456875:1 gene:Ma05_g25550 transcript:Ma05_t25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAKNPKKANLVDHYSIKHLLDESVTEVVKSQGYAEDVRLSNVRLLIGSIIIAIALLAQFYPKKFPENRDFLVTCIGLYVVFNGLLQFISYTKEKNAFLFTYPPPGSFNSTGLIVSSKLPRFSDMYTLTIASADPKSISANKPVVLTKSVTKWFTKDGLLVEGLFWKDVERLMDDYNGDRKNK >Ma05_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21646176:21647498:-1 gene:Ma05_g17780 transcript:Ma05_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPAPRPGLLSHDVSGRRRRVSAKSVAASTVALRPAGAVAAPSVGIKQYTAVAAAPSRPALASMWREIQGADDWEDLVEPLNPLLRDEIVRYGELVVACYKAFDLDPASRRYLNCKYGKRSMLREVGMVDSGYEITKYVYATPDISIPTQSGTCCSRWIGYVAVPSDEAVRRLGRRDILVSFRGTVTNTEWIANFMSSLTQARLDPHDPRPDVKVESGFLSLYTSDDSSSKFSSGSCREQLLSEVSRLIHKYKDEELSITLAGHSMGSSLALLLGYDLAELGLNRDGLRQEVPITVYSFGGPRVGNSGFKDRCEELGVKVLRVVNVNDPVTKLPGVFLNENFKVLAERYELPWSSSCYAHVGVELALDFFKMENPVCVHDLDSYIGLLKCPKVAQVKKNGADLLSKARRFLSEQSFDTWRWQDAAMQVGNLVQSLRI >Ma01_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8522280:8527406:-1 gene:Ma01_g11750 transcript:Ma01_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVSLFDVVDESSSRRYHEILEKRQTLPVWHQKDEFLKVLKASQCSSLFTRTSLSGLTRILSHVLIPQFVLEYDDLGKHPMVACTQPRRVAAMSVSRRVAEGMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERDEAHEQTLATDVLFGLLKEVLRNRPDLKLVVMSATLEAEKFRDYFSGAPLMRVPGRLHEAEIFYTQERERDYLEAGIRTVVQIYVCEPAGDILVFLTSEEEIEDACKKITKEINNLGDQIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIQKSFKNDLQDQTYPEILLSNLANMVLNLKKLGIDDLVHFDFMDPPAPETLMWVL >Ma01_p10570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7596646:7598492:1 gene:Ma01_g10570 transcript:Ma01_t10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGAKFWEVVCAEHGIDATGRYCGDSELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGHYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADVEEDYEEEEEEEEEA >Ma09_p29100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39655397:39661074:-1 gene:Ma09_g29100 transcript:Ma09_t29100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESPREENVYMAKLAEQAERYEEMVEFMETVVKTINGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTLIKEYRSKVEAELSKICDGILKLLDTHLVPSATTAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEIKEAPKKESGEGQ >Ma09_p29100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39655397:39660957:-1 gene:Ma09_g29100 transcript:Ma09_t29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVESPREENVYMAKLAEQAERYEEMVEFMETVVKTINGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTLIKEYRSKVEAELSKICDGILKLLDTHLVPSATTAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITEDGADEIKEAPKKESGEGQ >Ma01_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1687913:1691715:1 gene:Ma01_g02500 transcript:Ma01_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVQLNKEEKLSYSVRGKKSFPITANGLVGINLKGKCYFDKEFKERKPRGAVELAWSIFNFQKDQDVRIKIGYEICDQVPYLQIRENNWTLGADIHGKWNVRFDL >Ma07_p25800.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32770889:32776695:-1 gene:Ma07_g25800 transcript:Ma07_t25800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCLVQEDAKRAPKLACCPSSTPQNDLGNGNTAIAQDNSVPNLMPLNRNSMNANLSPETKWWLQLQPNFGYQKDFIFEQLSSLEDEVDEKDTETMVPTSKLDLESLPIDFNNFALKKEESILEPPSMVSSTVVMHDSETMVKDIRTATSNPQQLPKRKAPVSDYFHKKNELLDMESVDQLSLTRPEKASSDLETPWTGSNNSEPWWRIADKDELALLIAQKSMQQHIENCDLPKPRETIHVTKNLSSSENMDKCGNFQSSSGRKLSADISNANEHSHNTFSFANSENKNLSSGERGYMLHDSEKLYSHTRGYVNDEQHEDNHTSEHDLSRAELLEALHHSQTRARIAEIAAQKAYDEKEHIVKLLFRQASHLFAYKQWLRVLQLDNIFLQLKIKDHQIATIIPVLPWMPLKGKLSGKGNITRNGSKKHKRHICKYAVAFAVGLGLAGAGLLLGWTIGCLFPSI >Ma07_p25800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32770889:32778621:-1 gene:Ma07_g25800 transcript:Ma07_t25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCLVQEDAKRAPKLACCPSSTPQNDLGNGNTAIAQDNSVPNLMPLNRNSMNANLSPETKWWLQLQPNFGYQKDFIFEQLSSLEDEVDEKDTETMVPTSKLDLESLPIDFNNFALKKEESILEPPSMVSSTVVMHDSETMVKDIRTATSNPQQLPKRKAPVSDYFHKKNELLDMESVDQLSLTRPEKASSDLETPWTGSNNSEPWWRIADKDELALLIAQKSMQQHIENCDLPKPRETIHVTKNLSSSENMDKCGNFQSSSGRKLSADISNANEHSHNTFSFANSENKNLSSGERGYMLHDSEKLYSHTRGYVNDEQHEDNHTSEHDLSRAELLEALHHSQTRARIAEIAAQKAYDEKEHIVKLLFRQASHLFAYKQWLRVLQLDNIFLQLKIKDHQIATIIPVLPWMPLKGKLSGKGNITRNGSKKHKRHICKYAVAFAVGLGLAGAGLLLGWTIGCLFPSI >Ma07_p25800.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32770889:32776695:-1 gene:Ma07_g25800 transcript:Ma07_t25800.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCLVQEDAKRAPKLACCPSSTPQNDLGNGNTAIAQDNSVPNLMPLNRNSMNANLSPETKWWLQLQPNFGYQKDFIFEQLSSLEDEVDEKDTETMVPTSKLDLESLPIDFNNFALKKEESILEPPSMVSSTVVMHDSETMVKDIRTATSNPQQLPKRKAPVSDYFHKKNELLDMESVDQLSLTRPEKASSDLETPWTGSNNSEPWWRIADKDELALLIAQKSMQQHIENCDLPKPRETIHVTKNLSSSENMDKCGNFQSSSGRKLSADISNANEHSHNTFSFANSENKNLSSGERGYMLHDSEKLYSHTRGYVNDEQHEDNHTSEHDLSRAELLEALHHSQTRARIAEIAAQKAYDEKEHIVKLLFRQASHLFAYKQWLRVLQLDNIFLQLKIKDHQIATIIPVLPWMPLKGKLSGKGNITRNGSKKHKRHICKYAVAFAVGLGLAGAGLLLGWTIGCLFPSI >Ma07_p25800.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32770889:32783108:-1 gene:Ma07_g25800 transcript:Ma07_t25800.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCLVQEDAKRAPKLACCPSSTPQNDLGNGNTAIAQDNSVPNLMPLNRNSMNANLSPETKWWLQLQPNFGYQKDFIFEQLSSLEDEVDEKDTETMVPTSKLDLESLPIDFNNFALKKEESILEPPSMVSSTVVMHDSETMVKDIRTATSNPQQLPKRKAPVSDYFHKKNELLDMESVDQLSLTRPEKASSDLETPWTGSNNSEPWWRIADKDELALLIAQKSMQQHIENCDLPKPRETIHVTKNLSSSENMDKCGNFQSSSGRKLSADISNANEHSHNTFSFANSENKNLSSGERGYMLHDSEKLYSHTRGYVNDEQHEDNHTSEHDLSRAELLEALHHSQTRARIAEIAAQKAYDEKEHIVKLLFRQASHLFAYKQWLRVLQLDNIFLQLKIKDHQIATIIPVLPWMPLKGKLSGKGNITRNGSKKHKRHICKYAVAFAVGLGLAGAGLLLGWTIGCLFPSI >Ma07_p25800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32770889:32776695:-1 gene:Ma07_g25800 transcript:Ma07_t25800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCLVQEDAKRAPKLACCPSSTPQNDLGNGNTAIAQDNSVPNLMPLNRNSMNANLSPETKWWLQLQPNFGYQKDFIFEQLSSLEDEVDEKDTETMVPTSKLDLESLPIDFNNFALKKEESILEPPSMVSSTVVMHDSETMVKDIRTATSNPQQLPKRKAPVSDYFHKKNELLDMESVDQLSLTRPEKASSDLETPWTGSNNSEPWWRIADKDELALLIAQKSMQQHIENCDLPKPRETIHVTKNLSSSENMDKCGNFQSSSGRKLSADISNANEHSHNTFSFANSENKNLSSGERGYMLHDSEKLYSHTRGYVNDEQHEDNHTSEHDLSRAELLEALHHSQTRARIAEIAAQKAYDEKEHIVKLLFRQASHLFAYKQWLRVLQLDNIFLQLKIKDHQIATIIPVLPWMPLKGKLSGKGNITRNGSKKHKRHICKYAVAFAVGLGLAGAGLLLGWTIGCLFPSI >Ma07_p25800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32770889:32776695:-1 gene:Ma07_g25800 transcript:Ma07_t25800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCLVQEDAKRAPKLACCPSSTPQNDLGNGNTAIAQDNSVPNLMPLNRNSMNANLSPETKWWLQLQPNFGYQKDFIFEQLSSLEDEVDEKDTETMVPTSKLDLESLPIDFNNFALKKEESILEPPSMVSSTVVMHDSETMVKDIRTATSNPQQLPKRKAPVSDYFHKKNELLDMESVDQLSLTRPEKASSDLETPWTGSNNSEPWWRIADKDELALLIAQKSMQQHIENCDLPKPRETIHVTKNLSSSENMDKCGNFQSSSGRKLSADISNANEHSHNTFSFANSENKNLSSGERGYMLHDSEKLYSHTRGYVNDEQHEDNHTSEHDLSRAELLEALHHSQTRARIAEIAAQKAYDEKEHIVKLLFRQASHLFAYKQWLRVLQLDNIFLQLKIKDHQIATIIPVLPWMPLKGKLSGKGNITRNGSKKHKRHICKYAVAFAVGLGLAGAGLLLGWTIGCLFPSI >Ma07_p25800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32770889:32776695:-1 gene:Ma07_g25800 transcript:Ma07_t25800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCLVQEDAKRAPKLACCPSSTPQNDLGNGNTAIAQDNSVPNLMPLNRNSMNANLSPETKWWLQLQPNFGYQKDFIFEQLSSLEDEVDEKDTETMVPTSKLDLESLPIDFNNFALKKEESILEPPSMVSSTVVMHDSETMVKDIRTATSNPQQLPKRKAPVSDYFHKKNELLDMESVDQLSLTRPEKASSDLETPWTGSNNSEPWWRIADKDELALLIAQKSMQQHIENCDLPKPRETIHVTKNLSSSENMDKCGNFQSSSGRKLSADISNANEHSHNTFSFANSENKNLSSGERGYMLHDSEKLYSHTRGYVNDEQHEDNHTSEHDLSRAELLEALHHSQTRARIAEIAAQKAYDEKEHIVKLLFRQASHLFAYKQWLRVLQLDNIFLQLKIKDHQIATIIPVLPWMPLKGKLSGKGNITRNGSKKHKRHICKYAVAFAVGLGLAGAGLLLGWTIGCLFPSI >Ma07_p25800.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32770889:32776705:-1 gene:Ma07_g25800 transcript:Ma07_t25800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCLVQEDAKRAPKLACCPSSTPQNDLGNGNTAIAQDNSVPNLMPLNRNSMNANLSPETKWWLQLQPNFGYQKDFIFEQLSSLEDEVDEKDTETMVPTSKLDLESLPIDFNNFALKKEESILEPPSMVSSTVVMHDSETMVKDIRTATSNPQQLPKRKAPVSDYFHKKNELLDMESVDQLSLTRPEKASSDLETPWTGSNNSEPWWRIADKDELALLIAQKSMQQHIENCDLPKPRETIHVTKNLSSSENMDKCGNFQSSSGRKLSADISNANEHSHNTFSFANSENKNLSSGERGYMLHDSEKLYSHTRGYVNDEQHEDNHTSEHDLSRAELLEALHHSQTRARIAEIAAQKAYDEKEHIVKLLFRQASHLFAYKQWLRVLQLDNIFLQLKIKDHQIATIIPVLPWMPLKGKLSGKGNITRNGSKKHKRHICKYAVAFAVGLGLAGAGLLLGWTIGCLFPSI >Ma11_p23050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26560318:26563703:-1 gene:Ma11_g23050 transcript:Ma11_t23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWVLFQGCGVRLLPAALPRPRSGIPPAAKLKPLRRTRLPSSTSCLCCSVGLAGRRAWALRVSAPLQVAPLEEGSAMGSGVKEEAFDPGTPPPFGLAEIREAIPRHCWVKDPWRSMSYVVRDVVVVFGLAVAAAYLNNWIMWPLYWIAQGTMFWALFVLGHDCGHGSFSNDRKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKLYRSLNAVTRKLRFTLPFPMFAYPFYLWRRSPGKSGSHFHPSSDLFVPNEKKDVITSTVCCATMVVILAGLTYLMGPIQMLKLYGIPYWIFVMWLDLVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGWLNNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPILGKYYREPVKSGPLPFHLFGVLMRSLGCDHFVSDIGDVVYYQTDPRLNGASEAKWHRH >Ma05_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9097290:9102119:-1 gene:Ma05_g12590 transcript:Ma05_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLTVSEADLVVYVHPSKANKVRHAVLRQLSSLLFTYDEIFDGVILAYEIDIPGEHAKILSGLIPYFEVKVKANLLIFSPRPDMLLEGKVVKLGKESIHVIVLGFSSAAIMLEDIREEFRYKIKHGAGVFASSSHKRHVIKTGSMIRFLVKSLDEEILHISGSLVPPHTGCIRWLSRHGVEDGSHPDRSLKELKKDVKRELQGEGITHSARGEKFLNQTRTHKSRKRARE >Ma06_p02090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1680493:1685214:-1 gene:Ma06_g02090 transcript:Ma06_t02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MRRPKPKCSGARKQRRLSEAQEIQLLDSWIEAGIPDPGTNPLAISPPPPDAPIGRTKDGSFSPYAGVRFFRQLPISQRTKDGLAPKYVEMSDIQRASLPHSLCGRDILGAAKTGSGKTLAFVIPVIEKLYRARWGPEDGVGSIIISPTKELAGQLFEELKTVGKHHSLSAGLLIGGRKDVDAEKERVSSLNILVCTPGRLLQHMDETANFECSQLQILVLDEADRILDAGFKTELDAIISQLPKRRQTLLFSATQTKSVKDLARLSLKDPEYISVHAESVTATPEQLTQLAIIVPLDQKLNLLWSFIKANLKSKILVFLSSCKQVKYVYEVFKKLRPGIPLKCLHGRMKQNVRMATYLQFCEETSVLFSTDVASRGLDFSAVDWVVQVDCPEDIPAYIHRVGRTARFRNAGKSLLFLMPSEKEMFTKLRAVEPKIPIKLKKAKEPVSVSALLSSLLVKFPSMQQLAQRAFVTYLKSIFLQKDKDVFDVSKLPIEDFAVSLGLSVTPKLRFLKRKSNVHMSSTKTTEEVEASDDKSKVHNINSQATVRSDDVEDDVLLPKESPSFEPEGDKAELSTRILKKKKLKINMHRPLGTRVKYDDDGNVIPPLAALADKETGDGSIHLGTVKERYEKLREAMKVRDKEDKLLHRQRLRDKRTKEKLKLKKRKEEAEEGDTGDDHSDSDENEQRNPKRTKIYFDDDDNDEVDDAKAAKKSDSVTLAEQEALALDLLRSMHS >Ma06_p02090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1680493:1685208:-1 gene:Ma06_g02090 transcript:Ma06_t02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MRRPKPKCSGARKQRRLSEAQEIQLLDSWIEAGIPDPGTNPLAISPPPPDAPIGRTKDGSFSPYAGVRFFRQLPISQRTKDGLAPKYVEMSDIQRASLPHSLCGRDILGAAKTGSGKTLAFVIPVIEKLYRARWGPEDGVGSIIISPTKELAGQLFEELKTVGKHHSLSAGLLIGGRKDVDAEKERVSSLNILVCTPGRLLQHMDETANFECSQLQILVLDEADRILDAGFKTELDAIISQLPKRRQTLLFSATQTKSVKDLARLSLKDPEYISVHAESVTATPEQLTQLAIIVPLDQKLNLLWSFIKANLKSKILVFLSSCKQVKYVYEVFKKLRPGIPLKCLHGRMKQNVRMATYLQFCEETSVLFSTDVASRGLDFSAVDWVVQVDCPEDIPAYIHRVGRTARFRNAGKSLLFLMPSEKEMFTKLRAVEPKIPIKLKKAKEPVSVSALLSSLLVKFPSMQQLAQRAFVTYLKSIFLQKDKDVFDVSKLPIEDFAVSLGLSVTPKLRFLKRKSNVHMSSTKTTEEVEASDDKSKVHNINSQATVRSDDVEDDVLLPKESPSFEPEGDKAEILKKKKLKINMHRPLGTRVKYDDDGNVIPPLAALADKETGDGSIHLGTVKERYEKLREAMKVRDKEDKLLHRQRLRDKRTKEKLKLKKRKEEAEEGDTGDDHSDSDENEQRNPKRTKIYFDDDDNDEVDDAKAAKKSDSVTLAEQEALALDLLRSMHS >Ma07_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11178438:11181071:1 gene:Ma07_g14890 transcript:Ma07_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSPDAEKSGVCDSSVDYKGRVPLRSSTGCWKASLFIIAMEFGERLSYFGLATNLIIYLTKVLHQEVKTAAKNVNYWNGVTTVMPLLGGFIADAYLGRFITVLASSLIYIVGLVLLTMSQLVPRLKPCDPSSCGRSLRPHEVFFFLAMYLISVGTGGHKPSLESFGADQFDDNDAGERKKKMSFFNWWNFAVCTALSVAVTVIVYVQETVSWWLANVVLAAVMCFSLMVFVGGRPFYRYRAPEGSPLTPMLQVVVAAMAKRHLPLPSDAGELYEIPKTRQPDKRLLYHTSKLRFFDKAAIIEHKYDEAAFAAEKLNSWRLATVTQVEELKLILSMVPIWLTALPFSICVVQANTFFIKQGSIMNREVVNGFKIPAASIFALGAVGIIISVAIYDKVLVPFLRRATGSERGISILKRIGIGMVFSTMGMVTAALVERRRLRVAEVEQTSVVSMSVFWLVPQFMMLGIGDGFALVGLQEYFYDQVPDGMRSLGIAFYLSALGVASFLSSLLITAVDHLTSKEARGSWFAKDLNKSRLDLYYWLVAAISGVNLFGYVFLASRYSYKRVQTEAVGVASSPEADA >Ma11_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3429994:3435645:-1 gene:Ma11_g04390 transcript:Ma11_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTQRLHEAFKGTVERITGPRTVSAFKEKGVLSVSEFVLAGDNLVAKCPTWSWEAGETSKRKSYLPDDKQYLITRNVPCLRRASSMEEEYDAAGGEFLLGNDDDDDGDGWLATHGMPKETKSSEVEGLPSMESLEISKGAAIRSIPAYFGGEAEEDIPDMEDYEDPENLIETDTATLASTYFVANEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLQPELVFEDVSQDHARKTVTSEDHPHLPGKHASVHPCRHGAVMKKIIDVLISRGVEPEVDKYLFLFLKFIASVVPTIEYDYTMDFDLGSSSN >Ma09_p30310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40488938:40490762:-1 gene:Ma09_g30310 transcript:Ma09_t30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTGRSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIVGPHNSVHEGRIYQLKLFCDKDYPDKPPSVRFHSRINLTCVNHETGAVEARKFDMLANWQREYTMEDILTQLKKEMAAPHSRKLVQPPEGTFFE >Ma10_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4882363:4899557:1 gene:Ma10_g01580 transcript:Ma10_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKLAKLRTQLLEPPKGSSGAGEGFEVTKFGHGRVALIGFPSVGKSTLLTLLTGTHSEAASYEFTTLTCIPGIINYNDAKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDIVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTCALTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVIGIDDVDRLARQPNSVVISCNLKLNLDRLLARMWEEMGLVRVYSKPQGQQPDFTDPFVLSTDRGGCTVEDFCNHIHRSIIKDLKYVLVWGTSARHYPQHCGLSHNLHDEDVVQIVKKKEKEEGGRGRFKSHTTGPARISDREKKAPLKT >Ma04_p34740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33795211:33799245:-1 gene:Ma04_g34740 transcript:Ma04_t34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAVTIGPGMDMPIMHDSDRYELVKDIGSGNFGIARLMRDKQTKELVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIQRILSVQYSIPDYIHISPECRHLISRIFVANPATRITIPEIRNHEWFLKNLPADMMDDNTTSNQYEEPDQPMQSIDEIMQILAEATIPAAGTHGLNQYLTDSLDIDDDDMEDLDSDPDLDVDSSGEMVYAM >Ma01_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23305702:23316929:-1 gene:Ma01_g22080 transcript:Ma01_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPGLFSDIGRTAKDLLIKDYTCDQKLTISTSSASGVALTSAAVKKGGLYSFDVGTQYKYKNALINVKIDTDSNISTTLTMAEILPSTKTIASIKLPDYNSGKLEVQYLHHHASVASVVALKQNPLVELSGTVGAQGIAFGAEAGFDTASGTFIKYTAGIALKKPEYNASIILADKGDTLRASYVYHLDEMQKSSVVAEIVRRFSTNENTMTVGGQHALDPQTTVKARLNNSGKLGALLQHELKPKSILTISGEFDTKALERTPKFGLALALKP >Ma10_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28942684:28945333:-1 gene:Ma10_g17600 transcript:Ma10_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 29 [Source:Projected from Arabidopsis thaliana (AT3G13840) UniProtKB/Swiss-Prot;Acc:Q9LRW3] MTFEGGPDPNLSPNRHHHHHVLDWLEDSVSFLSSFLDDSYAGNTADQITNYEWWTQEEDQANMIHNPLVSTLATSNNSPLLPQAEISKKRKLSTNPTTPNKTPTHPNRQRIAIEGDGGFEEEGEANKKPAATGRKGHGKGNAGSSSNGVSKEVRWAEQLLNPCAAAIEAANISRVRHLFCVLRELQSFSGDANHRLAAHGLRALSNHTSAAGIAIPDRAGTSAATFATTEPKLFRSAIIKFHEVSPWFAFPSALANASILQTMTLDPSRHARSLHVVDIGVSHGVQWPTLLDALARNPGGAPKLVRLAVAGGAAPPGPFSVAPPGYDFQSHLLRYARSIDLNLRIDHTEDLGAGSVALTVGETLVVCVQFRAGHGSADDRTAFLRSIRELEPDLVVLSEIDGGGGEVGFPAGFARNAELLWRFLDSTSAAFKGRESAERRVMEGEAARFLETTAEAAAAEGRDLWRERMAAVGFREEAFGEEALDAGRALLRKYDGNWEMRAAPAEAAVGLWWKGHPVSFCSLWKPHRRN >Ma10_p28250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35193187:35197485:-1 gene:Ma10_g28250 transcript:Ma10_t28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLTTTFCCSVVSSFFSTFLGGCSAKMGLAEIAEAIEVAERIPKEAKRILYDLASAWVDVKDSKALEVVQLKGAMTNEVYQVNWPTQSKDGVSRKVLVRIYGEGVDVFFDRENEIQTFECMSQHGQGPLLLGRFANGRVEEFIHARTLSAPDLRDPEVSALIASKLREFHVLNMPGRRKVFLWERLRHWHKEAIKMCSSEEIEEFHLDTFDDEITTLENILSTEDQSIGFCHNDLQYGNIMMDEEFRQVTIIDYEYASFNPIAYDLANHFCEMAANYHTETPHILDYNKYPDVEERKRFIQIYLSSGNETSEDTEVEQMLRLIEKYALASHLHWGLWGIISEHVNEIDFEYMEYARQRFQQYWSMKPEVL >Ma10_p28250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35193187:35197485:-1 gene:Ma10_g28250 transcript:Ma10_t28250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLTTTFCCSVVSSFFSTFLGGCSAKMGLAEIAEAIEVAERIPKEAKRILYDLASAWVDVKDSKALEVVQLKGAMTNEVYQVNWPTQSKDGVSRKVLVRIYGEGVDVFFDRENEIQTFECMSQHGQGPLLLGRFANGRVEEFIHARTLSAPDLRDPEVSALIASKLREFHVLNMPGRRKVFLWERLRYIIVVYRISNLNVSTEVVAHATYSFVRHWHKEAIKMCSSEEIEEFHLDTFDDEITTLENILSTEDQSIGFCHNDLQYGNIMMDEEFRQVTIIDYEYASFNPIAYDLANHFCEMAANYHTETPHILDYNKYPDVEERKRFIQIYLSSGNETSEDTEVEQMLRLIEKYALASHLHWGLWGIISEHVNEIDFEYMEYARQRFQQYWSMKPEVL >Ma05_p28960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39770524:39774797:-1 gene:Ma05_g28960 transcript:Ma05_t28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIRKVQIGLLSPTKLRMKLLGPRNSARKGGSNSAKMPPSKNEDMKSARNNLLVGDIDTEESSKDFKGDLRPENIANSDASATGLHDKESSSFDSVNRSLSNEKINTSCIKQDYCRSAPHQAYSNSSLSIIHPVRTIDEGGGNCLHSRNDTVSSFEFHRVERIIQQPSLGLFSGHVPSKWNDAEKWIINRQIASPSVKKKTPAQKQGSYQTSSLCRLETDSTIAEHKASVVQALDSMRNEFNQTASQDVVEKFSFVPHASNNKAVESVDSSPYSGTSGSCSRSGEEVNHQEFSIMKRLASEPTVVPPMQSVSMRDIGTEMTPVPTPLGSITPTRSSISSLPSTPRQGVASQLSTEEMKDTEQDSVTKGGKDKLTEREMRLKIRREIAALGIQLGKMNIASWASKEEVEQCSPSPKALVADPTEKEYKACAAAWEEAENTKHMARYKREEVKIQAWECRWKAKIEAKTKSIEAKAERVRAHAIEKMAEKLAMTRRQVDEKQAAAQARMNKQASRTAHKAEHIRRTGQIPSPNYLCCGGFS >Ma04_p26610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28008865:28015068:1 gene:Ma04_g26610 transcript:Ma04_t26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVCSFLIALLLLASPLVQVARCQSDEDVAAAEVVEGSDLGIVGDDTQVFDGALDPAPGIDTVCVFPKNTAKLVPAGEETELLVGLHNEGEAALKVVAIHASLHLPFDHHMFVQNLTVQEFYNATVPVSTQATFPYVFAVSRYLQPGSFDLVGTVVYEIDQQPYQNCFYNGTIEVVEAGGLLTIESVFLLTLGVALIGLLGFWAYGQIQQLSKKTKRSPKVEVGTKTTDADMDEWLQGTAYAQSLSKSKKKK >Ma05_p02200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1405709:1406267:1 gene:Ma05_g02200 transcript:Ma05_t02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPRTSGSHGHVGRTCEGEHTTAATYPPPYPSPREQSGRASTGSETPLINTSFSAAPLLTPKPPPHASIVLVDF >Ma05_p15260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11353519:11358656:-1 gene:Ma05_g15260 transcript:Ma05_t15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIEVYKSMQWRSIMRLVLLSGATCVEERKPNPLWQR >Ma02_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20890508:20891118:-1 gene:Ma02_g12010 transcript:Ma02_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 45 [Source:Projected from Arabidopsis thaliana (AT3G56891) UniProtKB/Swiss-Prot;Acc:B3H6D0] MFRFRRQKTSTSDALSIVELMVHMDCEGCERRVRKAISKLNGVDTVEIDMDKQKVTVTGYVEERKVIKAVRRTGRKAELWPFPYDAEYYPFALQYLEDSTFSSTHNYYRHGYTSTVHGYFPDPAYSMIVDDHAFALFNDDNVHACVIM >Ma11_p02770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2025829:2069414:1 gene:Ma11_g02770 transcript:Ma11_t02770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPPKFHVLMILVLWTVVTAAFIGIAEGHRHHRRAGGATEVEVFHYAAAGARGCRAHVASLTDFGGVGDGVTSNTAAFAAAVANLSKVAYDGGAMLVVPAGRWLTGPFNLANHFTLFLDHDAVILATQDINEWPIIDPLPSYGRGRDTAGGRYSNLIMGYNLTDVVITGHNGTVDGQGETWWEMFNNKELNYTRGYLIELMYCRQVLISNITLVNSPSWNVHPVYSSQVIVSGITILAPVNSPNTDGINPDSSSNVRIEDCYIVSGDDCIAIKSGWDEYGIAFNMSSKHIVIRRLTCISPTSAVIALGSEMSGGIQDVRAEDITAIHSESGVRIKTAIGRGAYVKDIFVRRMNLHTMKWVFWMTGTYGQHPDDKFDPKAIPVVQNISYSNVVAENVTMAAKLEGIPGAPFTGICIYNVTAEVVRSKKPIWNCTDVEGVSSHVTPTPCALIPESPDRITHCPFPEDVLPVDCVGLKECSYQRTKP >Ma11_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2025829:2037164:1 gene:Ma11_g02770 transcript:Ma11_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPPKFHVLMILVLWTVVTAAFIGIAEGHRHHRRAGGATEVEVFHYAAAGARGCRAHVASLTDFGGVGDGVTSNTAAFAAAVANLSKVAYDGGAMLVVPAGRWLTGPFNLANHFTLFLDHDAVILATQDINEWPIIDPLPSYGRGRDAAGGRYSNLIMGYNLTDVVITGNNGTIDGQGETWWKMFRNKELNYTRGYLIELMYCKQVLISNITLVNSPSWNVHPVYSSHVIVSGITILAPVNSPNTDGINPDSSSNVRIEDCYIVSGDDCIAIKSGWDEYGIAFNMSSKHIVIRRLTCISPTSAVIALGSEMSGGIQDVRAEDITAIHSESGVRIKTTIGRGAYVKDIFVRRMNLHTMKWVFWMTGTYGQHPDDKFDPKAIPVVRNIIYSDVVAENVTMAAKLEGIPGAPFTGICIYNVTAEVVKSKKPIWNCTDVEGVSSHVTPTPCAQIPEYPDRITHCPFPEDDLPVDYVGLEECSYQRTKP >Ma11_p12620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16508711:16513522:1 gene:Ma11_g12620 transcript:Ma11_t12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGGDEDCGVAAGLACGSSEDAVDSSPSHMALGLPAEMMRSAAKKKPSKKSSLDEDDFLSLLHGSDPVKIELNRLHNMVKDKDRALFETYSEIKGLRLMERAKDKALTEVSEELQKMVEKFQASEVALENKNLEIKRITEEKKEALAAQFAAEATLRRVHAAQKDEELPTLEDILFPLEAEIKLLRQEISKLQDDSRALERLTKTKEAALLDAEREVQIAKIKAALVDDLQNKNQELMKQNEINQEEYKILDRMHRQKVAEVEKLGQTVRELEEALLSGAAAANAVRDYQRQVNELKGEKKTLERTLSRAMVAENRAALVMANEWKDANDKVIPVKQWLEERRVLMGEMQQLRDKLSIAERAAKAEAQLKERLQLRLKVVEDGLRSSLRSGIRYDIRSSPIGLGRSRSINGSETSSGSLSGGTGSRKPFAPIGKSSTISSPSSTLLKHAKGASKSFDGGRVIDVDDYRSKSSEDTYVHDSLEKDIEQLSATGALMEELSSGKPSHAMDDVRDDYVSVLFYDILQKEVLTLRKSLHEKDQCLKDKDNSTEMLSRKIDTLTKAMEVETKKLRREKTALEKEVATLQIDKQQEQKARRLKGMINNLHS >Ma11_p12620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16508711:16513522:1 gene:Ma11_g12620 transcript:Ma11_t12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGGDEDCGVAAGLACGSSEDAVDSSPSHMALGLPAEMMRSAAKKKPSKKSSLDEDDFLSLLHGSDPVKIELNRLHNMVKDKDRALFETYSEIKGLRLMERAKDKALTEVSEELQKMVEKFQASEVALENKNLEIKRITEEKKEALAAQFAAEATLRRVHAAQKDEELPTLEDILFPLEAEIKLLRQEISKLQDDSRALERLTKTKEAALLDAEREVQIAKIKAALVDDLQNKNQELMKQNEINQEEYKILDRMHRQKVAEVEKLGQTVRELEEALLSGAAAANAVRDYQRQVNELKGEKKTLERTLSRAMVAENRAALVMANEWKDANDKVIPVKQWLEERRVLMGEMQQLRDKLSIAERAAKAEAQLKERLQLRLKVVEDGLRSSLRSGIRYDIRSSPIGLGRSRSINGSETSSGSLSGGTGSRKPFAPIGKSSTISSPSSTLLKHAKGASKSFDGGRVIDVDDYRSKSSEDTYVHDSLEKDIEQLSATGALMEELSSGKPSHAMDDVRDDYVSVLFYDILQKEVLTLRKSLHEKDQCLKDKDNSTEMLSRKIDTLTKAMEVETKKLRREKTALEKEVATLQIDKQQEQKARRLKGMINNLHS >Ma05_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19141927:19147598:-1 gene:Ma05_g16950 transcript:Ma05_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKVYADRMSQPSRAIIIFCKVNGIDFEEVRIDLVKRQHRSPEFKEINPMGQVPAVVDGRYKLFESHAILSYLSCAFPGIPDHWYPADLFTRAKIQSILDWHHTNLRRGAATFVLNRALGPALGLPLNPQAANEAEIILRASLSKIESLWLKGNAKFLLGNFQPSIADLSLVCEIMQLEVVDEKDRDRILGPHPMILQWIENVKSATSPHFEEVHGILYKVKARLHSRLANAKPNDTHLSMKPNPKL >Ma02_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26429745:26435534:1 gene:Ma02_g20440 transcript:Ma02_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFHRRGPSGFSSSSTAEEVTEGLDGSGLTAIVTGASSGIGTETARVLALRGVHVVMGVRNLSAGHNVKEAIIKDIPTAKVDVLELDLSSMASVKKFTSQFGALNLPLNILINNAGIMATPFMCSHDGIELQFATNHIGHFLLTHLLLEKMKNTTRSCNVEGRIVNVSSEGHRLTYLKGIRFDKINDKSGYYCYFAYGQSKLANILHTVELSRRLKEEGVNITANSLHPGVIVTNLFRHHGFVNAILGTAGKLVTKNVHQGAATTCYVALHPQVKGVTGKYFSNSNFATPSSQTSDAYLASKLWDLSMDMISSCL >Ma10_p31160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37353762:37356501:1 gene:Ma10_g31160 transcript:Ma10_t31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKTASEVAEMLDLKPHPEGGFYSETFRDFSITLGKSQLPPRYKVDRPVSTSIYFLLPSGSVSHLHRIPCAETWHFYTGEPLMIFELHDDGHIELTVLGSDLDAGHRPQYTVTPNVWFGSFPTLDVASFASDGSLLVKSPKRDPESHYSLVGCTCAPAFQFEDFELAKLADLKALGPHAEPFLNYLVLPS >Ma01_p11300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8154696:8155103:-1 gene:Ma01_g11300 transcript:Ma01_t11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGIVQLTLSKPRGSITGVKHHGVGNLLEVKNREDGRGYWDVVWNGSDLDSGIFDIVHGTEFEVVHQVANQVEVSFRTQWDPS >Ma01_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10606036:10606524:-1 gene:Ma01_g14480 transcript:Ma01_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHNMLLGRHSNIFDALRGFPSDDSGADDTRMDWKETPEAHVFKADLPGVRKGDVKVEVEDGRVLSISGERSGDPIDDKEKCEWHCSERSRGRFYRRFRLPEDAKADEMKASIENGVLTLIVPKRQMKKPEARSVEITGGAASKKRGKEKDVVCCSFWPL >Ma02_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:138822:139404:1 gene:Ma02_g00010 transcript:Ma02_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDLFSIAADRKRLISVVFVRLWCLCGYYLNGTLAESVRQFVKVALVFRNDLNKGCVLFDLV >Ma05_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8940348:8941523:-1 gene:Ma05_g12350 transcript:Ma05_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHVDAIQTATPGKVASPGQARRIVTATPLGLEVLQRRFQVVLYYGKAREEEVESPLAVAAWIKESLSAALPRHPVLSGRLRNGGGSWEVKFNDSGVRLVQATAETTMSEFLASEDRDGKEAQLAYWEDINEGDPNFSALFYIQVTQFQGNGYAIGISCSLLLADPLFLSRFLRSWSQTHTELLAQGRLTKPPMFHLSCFRRPDRPRRVKSVPFTSSSATSTTMLFKADPPPDARSYGELAAACLREATRRLGAEAVPEFSLMISDHTGDLKVESHGATGLASPNQVLDVVWWGQLGMEEVAFTPGNTPVHVSYQIVSCGHSSLVVVMMASDVEGDPKLMISVTVPKN >Ma06_p14240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9778555:9779907:1 gene:Ma06_g14240 transcript:Ma06_t14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAPRSPAPATTFVRTDAATFKELVQRLTAGPQIDDAHKHHPAPSSSSSSHKLKTPGLKRQHEQRRGVPRRRFTALRPRPLSLPASPAAISPAMSPVVASPSTSFARLHIREEGGNKVQVDPNEEEEKAIKERRFYLHPSPRPRNAEAPELLPLFPLSSSSQPSDQP >Ma09_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35605733:35613383:-1 gene:Ma09_g23910 transcript:Ma09_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFVASLSLLILASSMYLQARGESRGSVVFLDGSPHRYIRNHAQDSSGKVNSMSSNEIAATISVLLGFAPSLSLSVDSSYKLNEVLFPNPFDRPNAIFLLEVSGVEEPVLSSEYLSSQTANVFRSRISGSSNTKLELPGEDEVSVVSLDNSLDLECNAACLDKELSDLAKWMGGSYVGTIESLDGKLTVPLASGSTLSLHLAKKADLQFASILVSLVRNVKMAVEIHKDLSESSFMPSEIMTGRFTGIEALRAEYGSGDTARQGVELLQTTLLKLSDMLQVSYKGKLVGVVILNNESSPESGMLLDVTSTARFSRLLEEESSSTTESEVLLVRRSLAWITGVILLLSTLIGVYLLLNMPLTRDTLLYSNVKLD >Ma02_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20586667:20587734:1 gene:Ma02_g11450 transcript:Ma02_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPASAVPLLLRFAAAAITGAAALVALRSVHREEAVAALRRDVRDALRRLDEPPAVLFTGFRAHGKSALINTACRALAAEAGPVLLRTETAPRGPGGATLARLVDAVVEMVDAPALPEPGILTRSDVEAAVCGSPGNPPPDCVILVLRCGGPSKESRVAVKKLADIATVVRERGLQFVVVLTHKKHIKSKRQAEELRREIALRARTDCVYFIENYTAGNMLHLLRPWTSKNNFETHFTVLTMMRQCIEFTKLHRSNLARKANDNANSSRLL >Ma03_p10140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7577106:7577237:1 gene:Ma03_g10140 transcript:Ma03_t10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIERTSKISVTKKRFGSDHIGKKKVSRFNEIKTIINMSYST >Ma10_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29814270:29817497:1 gene:Ma10_g19140 transcript:Ma10_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCLSFTVARDRCYRRAFLSAGLRPSSTALADGATVHCWVPARPDPSRPPLLLLHGFGATAIWQWSAYLRPLLRAGFDLYVPDLLFFGASKALGPDRSESYQASCIAAAMEAAGVRRFGLMGVSYGGFVAYRMAAMYPAAVERVVLCCAGVCLEERDLASGLFVVSDMGEAIEILLPQRPEKLRQLVRLSFVRPPPVMPSCFLWDYIQVMCTNYVREKTELIHALINDRKLSDLPMITQPTLIIWGEQDQIFPLELGYRLKRHLGDNARLVVISNAGHAVNLEKSKELCRHIIAFFLDSPLKNHNGQMV >Ma10_p19140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29814270:29817578:1 gene:Ma10_g19140 transcript:Ma10_t19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCLSFTVARDRCYRRAFLSAGLRPSSTALADGATVHCWVPARPDPSRPPLLLLHGFGATAIWQWSAYLRPLLRAGFDLYVPDLLFFGASKALGPDRSESYQASCIAAAMEAAGVRRFGLMGVSYGGFVAYRMAAMYPAAVERVVLCCAGVCLEERDLASGLFVVSDMGEAIEILLPQRPEKLRQLVRLSFVRPPPVMPSCFLWDYIQVMCTNYVREKTELIHALINDRKLSDLPMITQPTLIIWGEQDQIFPLELGYRLKRHLGDNARLVVISNAGHAVNLEKSKELCRHIIAFFLDSPLKNHNGQMCCGMRWKIFYNFAGLTLRRLASKFQGVPKKAWITSVDV >Ma08_p28290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40277008:40277761:-1 gene:Ma08_g28290 transcript:Ma08_t28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKTLVLLALQLILLVVSFPPSATALSLPPRYGVAVEGAIYCKCELPGYVGSLGASPLPGAVAMLRCNSSRPGASASAVTDVRGRFLIQTTKVTNYEIHKCKLFLVSSPLPGCDVPAGHYGGFPLKFVRNTVAGATKRALYTVGPFKLAPADPSLCPHHP >Ma11_p23030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26552233:26555555:1 gene:Ma11_g23030 transcript:Ma11_t23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAEVCVKAAVGAPDVLGDCPFSQRVLLTLEEKKIPYDTKLIDVSNKPDWFLAISPEGKVPVYKLDDGKWAPDSDVITQILEEKFPNPSLVAPQEYSSVGSKIFSSFIKFLKSKDANDGLEQALVDELHGLDEHLKQHVSGPYVNGENISAVDLSLAPKLFHLIIVLDHFKGWKVPENLTYVHAYVKLLFSRESFVKTKPAKDEYVIAGWAPKVNA >Ma06_p37670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36665536:36666017:1 gene:Ma06_g37670 transcript:Ma06_t37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVGASVGFHKYAQYMNPSINFFGDTNSLYNTRIFLHQLCCHSRGECIDLHDENKLAD >Ma10_p27200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34577016:34581773:-1 gene:Ma10_g27200 transcript:Ma10_t27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAAMEELCSNDGNNSSGGGRERYTEFMEDSCCARNCLGPKPSIARFIYAIIFLVTCLLAWTIRDYGRNALSELERLKGCHGARYCLGAEGVLRISFGCFLFFFVMFLSTVGTKKLEDSRNFWHSEWWPAKIIIWIGFMVVPFFVPSAFIQFYGKFAHFGAGAFLMIQLISVISFITWLNDCCQSDRYARRCRYQVMVLSVAAYVASILGIILMYIWYVPSLSCRLNILFITLTLVLLQLMTLASMHAKVKAGFLAPGLMGMYIVYLCWSAIKSEPQTEICNKKAAVATSADWLIIVSFVIGVLAIVIATFSTGIDSKCLQFRKTEADLEDDVPYGYGFFHFVFAMGAMYFAMLFVGWNAHNTMQKWTIDVGWVSTWVRIVNEWVAILVYIWMLVAPLVWRSRRQADSV >Ma06_p33110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33873152:33876300:-1 gene:Ma06_g33110 transcript:Ma06_t33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1P MFQKSLASPFAPPIPIGSLKPWSKSSRNLNVVVRSEAVDASNRADPKPNPAPSKLFESSSSAAAGPYPGGMGLHTGRDPTVRKPEWLRQRAPHGEKYARLQESLAELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMLLGDTCTRGCRFCAVKTSRNPAPPDPMEPQNTAKAIANWGVDYVVLTSVDRDDLPDGGSGHFAQTVKALKKLKPDILVECLTSDFRGDLEAVSLLADSGLDVFAHNIETVRRLQRIVRDPRAGYEQSLNVLKHAKLCKDGMVTKSSIMLGLGESDEEVKEAMADLRAIGVDILTLGQYLQPTPLHLTVKEYVTPEKFAFWKEYGESAGFRYVASGPLVRSSYRAGELYVQKLLRGGSTLASRT >Ma06_p29560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30907798:30911228:-1 gene:Ma06_g29560 transcript:Ma06_t29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRIRVRGGNVKWRALRLDHGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIIQVDAAPFKQWYLQHYGVEIGRKKKAPSAKKEATEEGEAATEEEKKSNHVTRKLEKRQQGRKLDPHIEEQFGSGRLLASISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAGAAA >Ma03_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24481390:24484050:1 gene:Ma03_g19030 transcript:Ma03_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAPAKFRRPELFPSAGDLSVAQHDGLRFWQFMVAGSVAGLVEHTAMFPVDTLKTRMQAGSPLGMRQALRAVLSLEGTFGLYRGLGAMSLGAGPAHAVYFSVYELSKESLARGNPNNPVVHAASGVLATVVSDAVFTPMDTVKQRLQLKGSPYRGVMDCVARVLREEGFRAFFASYKTTVVMNAPYTAVHFATYEAAKRGMREISPEIASDERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRFSSSSTSEVIRAIIRRDGYTGLMRGWKPRILFHAPAAAICWSTYEATKSLFQKLNDEK >Ma08_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2462340:2464468:1 gene:Ma08_g03380 transcript:Ma08_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLCRSWVFSTFYCLSFAVPLATSLSFNFSSFDQSSLSKIQLQRDAYVANDRIQLTKNQLDGSLISSGGRAVYGEPLLLWDPETRELTDFTTRFAFAINGLNASLIGDGVAFFLSSYPSTIPPISLGGGLGLFNITPLPANSTINNTVAVEFDTYNNSFDTSANHIGIDVNSVISSKVVDWRSNITDGREVNAWVSYNASTHNLSVFMTYAQDAGSSNSSLSYVIDLRDVLPEKVAVGFSAATGNRIETNALLSWSFNSSLQPKTTLPAPPAAKRKSKMGLAVGGVIGAAVLMVLLGSLGLILWRRRTTGRDAEDEEELEFDRNMDDEFERERGPKRFAYQELADATRNFSEEEKLGEGGFGSVYRGYLKDSKLEVAIKRISRGSKQGRKEYVSEVKIISRLRHRNLVQLVGWCHDRGEFSLVYEFMPNGSLDSYLYSTARLLEWPARHRVALGLASALLYLHEEWEQCVVHRDVKPSNVMLDSAFNAKLGDFGLARLVDHDRGSQTTVLAGTMGYLAPECVNTGKASKESDVYSFGILALEIACGRRPVQLMEQASKVRLVEWVWELYGRRRLLEAADEKLGGVFDEKQMECLMVVGLWCAHPDYDRRPSIKQVINVLNLEAPFPELPPSMPVPMYYAPPIEAYRFSYASSAAGTTSASSASTACVTDSSNLNMSRGSSSTTSHLLKFQ >Ma11_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:488516:490015:1 gene:Ma11_g00700 transcript:Ma11_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAQALPMTKRPSSTSRFRRVCVFCGSSPGKKASYQLAAIQLGHELVQRNIDLVYGGGSVGLMGLVSQAVHEGGRHVLGVIPRTLMPREITGETVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYDSLLSFIDKAVDEGFITPTARRIIVSAQTAHELLSKLEVPTAQSLFC >Ma05_p14790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10825833:10826911:-1 gene:Ma05_g14790 transcript:Ma05_t14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVEVPNAAGGGEMRRVNVVYFLSRNGRVEHPHLLRIHRRRRDHVYLRDVKRWLSEFRGKDMADSFAWSYKRRYRGGYIWQDLMDDDPITPFADAEYVLKGSELATDPPVSTPSKQVQHRTPPSVTHSSPLTSCASFAFTDDQVMMPASTSAVDEEPPKVAASSDADTLLVLPPSPTQQKAAPEIDASKVEAKEKPNEEKEEERGASIMDSSSDSNNCSKRATQALRNILRCKTADQTDDGALRPISREGEERSGGGRGGDSEGSREGRTRSGG >Ma05_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6941202:6944927:1 gene:Ma05_g09580 transcript:Ma05_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAAAQPLPDDDDDVFD >Ma09_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5957259:5958158:-1 gene:Ma09_g08950 transcript:Ma09_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLKHYFSFLLKNVCILFFGFLSLLLLWQLLLFINLNFLEFNWIRCNPSKSSYTINLYKMQFLDSPHKLILQ >Ma03_p22010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26866653:26868169:-1 gene:Ma03_g22010 transcript:Ma03_t22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSCVDEAPSHSARCQQTPPHAGEALQRMGSGVSAVLDPTPESGMEAVSRKLSSSQYKGVVPQPNGRWGAQIYEKHRRVWLGTFGDEAEASRAYDVAAQRFRGRDAITNFKPLSESDDDEAYELSFLVAHSKAEIVDMLRKHTYHDELQQSKRAQGGGRVVSRRTTPSYLRSARLMLFDKVVTPSDVGKLNRLVIPKQHAEKYFPLESGSSVASKGVLLNFEDAGGRVWRFRYSYWSSSQSFVLTKGWSRFVREKNLKAGDVVTFWRSTGPEKQLFIDWRTDVIASFRTMTPPPTVNPLRVVKLFGVDISGLLPVNGGDRKRGRETELFPPSQFFEQQFF >Ma08_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9862325:9862619:1 gene:Ma08_g12790 transcript:Ma08_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVGLGMAKVVALMAEGTGRAEEAVEVEASAQGPTRRTVGGTRSPQPLCILDRMKL >Ma03_p26280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30060108:30061928:-1 gene:Ma03_g26280 transcript:Ma03_t26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMEKGKASEQPGLLLAELARVQELAREMEAEHGRHSTDEFRRSLLHELLVSIEKAICMAKSTAPDVNPQPADGDSPRSSGESSRSETSKLTFREQMSKKRKKLPRWTREVRVSSGAGGGVHGPVDDGYSWRKYGQKDILGSKHPRGYYRCTHRIMQGCPATKQVQRSDEDPLLFHVTYHGAHTCLPKSPAAPASASAWLSQEQQQQEEKEPVDRQQQNQDLLLRFQTGLRVKTEDLELGGHGQNSLSFSFASPSTTTDSGFISRASPAFLSPTSDSTYFSFSPYSLKGGGIKLRSPESGITGANSASDSPAAADMDLMLEELDFESDFSSFFS >Ma11_p05580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4278206:4280390:-1 gene:Ma11_g05580 transcript:Ma11_t05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSTHWCYQCRQRVEPCQRHMVCPICNSGFVLELDEIDATPSDHVGVDPDAVHDPWIRIMEATSFLTRRRRVRSRHHGGLIRMLNVNSDFGMEFGSGPLAVSRGGQIPVHESEGHGLDTSLNGHYGVGIRQADMIDSFVEPGLDELIEQFMQNDRHRSMETLLNRHYRVGFRQADMADYFVEPSLDELIEQLMQNGRHRSMDTLLNRHYGVGIRQADTADYFVEPGLDELIEQSMQNDRHGAPSASRSSIDAMPIIKINQRHLRVDSQCPICLERFEIGSEAREMPCTHLYHSECIIPWLEQHNSCPVCRYEMPTQGSGNWSSRSSGQTSGSSSRNSGQRLRDLLSSMWPSHS >Ma02_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10235184:10239268:-1 gene:Ma02_g01540 transcript:Ma02_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMNPRTRIPQPQQHAMRSIPVPRLPFASPLPSLLLLLIASTAPSSPAENTSLPGCPSACGDVYIPYPFGIGANCSRDGFTLTCAMTTTDGGSYKPFLADVEIIDISLSSGQARVYNHISWQCYDGLSGEVVSRRWSLDFTDRPYRFSDGRNRFTTIGCDTLAYIKGHKDGDSYRSGCVSVCDDAGSLANGSCSGIGCCQTAIPRGMSYYEVSFAREFNNSKVWRFDPCSYAVLVDEDWFEFRTSYVTSDELSRTEGGRVPLVVDWAIGEDSCEEARRDRAAYACISKHSECANSTNGPGYLCNCSSGYQGNPYLQNGCQDIDECALKEKYPCFGVCTNKQGRYNCVCPPGTQGDPFRPGACYPESLSLAVKLIIGISTSLVFLLLFGLIIYIMHGRRKIKRIKEAYFKQNGGWLLLEEMKSQQGLAFKIFTREELESATNKFDKNHILGGGGHGTVYRGILKDDRTVAIKKSMMVNERQKKEFVKEMLILSQTNHKNIVKLLGCCLEVEIPMLVYEFVSRGSLFQFIHENNQKSTISLDARLKIALETAEALAYLHSSASPPILHGDVKSSNILLDESYTAKVSDFGASMLVPINETQFATLVQGTCGYLDPEYLQTCKLTDKSDVYSFGVVLLELLTGKKALYLEEFDTERSLALSFILAMKDDRLLEFLDYQVGDKAEVELVQHVAKLAKECLSVRGEERPTMKEVAAELGRLAKLKQWSSVEHIVTEAEHELHPWIQHGNEIEHLLGE >Ma02_p01540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10235184:10239268:-1 gene:Ma02_g01540 transcript:Ma02_t01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMNPRTRIPQPQQHAMRSIPVPRLPFASPLPSLLLLLIASTAPSSPAENTSLPGCPSACGDVYIPYPFGIGANCSRDGFTLTCAMTTTDGGSYKPFLADVEIIDISLSSGQARVYNHISWQCYDGLSGEVVSRRWSLDFTDRPYRFSDGRNRFTTIGCDTLAYIKGHKDGDSYRSGCVSVCDDAGSLANGSCSGIGCCQTAIPRGMSYYEVSFAREFNNSKVWRFDPCSYAVLVDEDWFEFRTSYVTSDELSRTEGGRVPLVVDWAIGEDSCEEARRDRAAYACISKHSECANSTNGPGYLCNCSSGYQGNPYLQNGCQDIDECALKEKYPCFGVCTNKQGRYNCVCPPGTQGDPFRPGACYPESLSLAVKLIIGISTSLVFLLLFGLIIYIMHGRRKIKRIKEAYFKQNGGWLLLEEMKSQQGLAFKIFTREELESATNKFDKNHILGGGGHGTVYRGILKDDRTVAIKKSMMVNERQKKEFVKEMLILSQTNHKNIVKLLGCCLEVEIPMLVYEFVSRGSLFQFIHENNQKSTISLDARLKIALETAEALAYLHSSASPPILHGDVKSSNILLDESYTAKGTCGYLDPEYLQTCKLTDKSDVYSFGVVLLELLTGKKALYLEEFDTERSLALSFILAMKDDRLLEFLDYQVGDKAEVELVQHVAKLAKECLSVRGEERPTMKEVAAELGRLAKLKQWSSVEHIVTEAEHELHPWIQHGNEIEHLLGE >Ma03_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9724250:9725553:1 gene:Ma03_g12610 transcript:Ma03_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGDKKPSMPSGSRLTIRTLPDLNRSSETGSNSDSDRPQEVPVSNPFGMLVQDPLKGGYDVDAIFEQARQMGAMQGPFQPQSSSSRSFTGTGRHGFAVNDGALRRFDDPENASFLEVLIM >Ma03_p26770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30369748:30377283:-1 gene:Ma03_g26770 transcript:Ma03_t26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGLPGYGIQAMLKEGHKHLSGLDEAVLKNIDACKQLSVITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYTKAINKTIEILDELLEKGSETMDVRSKEEVVSRMKAAVASKQYGQEDLLCPIIADACIQVCPKNPANFNVDNVRVAKLLGGGLHNSTVIRGMVVKNDAVGTIKRVEKARIAVFAGGVDTSATETKGTVLIQSAEQLENYAKTEEAKVEELIKAVAESGAKVIVSGAAVGDMALHFCERYKLMVLKISSKFELRRFCRTTGAVALLKLSQPSPDDLGYADSISVEEVGGVRVTIVKNEEGGNSVSTVVLRGSTDSILDDLERAIDDGVNAYKAMCRDSRTVPGAAATEIELARKLKEFSLKETGLDQYAIAKFAESFEMVPKTLAENAGLNAIEIISSLYAEHAAGNVKVGIDLEEGVCKDVSTINIWDLYVTKFFALKYAADAACTVLRVDQIIMAKPAGGPKRDPAAGMDED >Ma04_p28300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29374641:29376644:-1 gene:Ma04_g28300 transcript:Ma04_t28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRENEGKKKKSVMAWKRGAWSAEEDRKLVEYVTAHGDKKWRTLAAKAGLDRCGKSCRLRWLNYLRPGIKRGNMSEEEEDLIIRLHNLLGNRWALIAGRLPGRTDNEIKNHWNTHLSKRSLTIQDLNAKMNQKLESSSGVASPSPALASDALPVLHLTADGSELNVGQPFDFTLNWNATVNEFEAEGYGFADHEELFRGGSSVDAHRHHLPLQLDELSEFIDCEEYYFLS >Ma08_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1233499:1233837:-1 gene:Ma08_g01360 transcript:Ma08_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHEDPDSFQDFLPTMAARLGTEGLIEELCKGFRLLMDPAKRVITVESLKRNSAAMGVAGLGDEDLVEMVRKGDLNGDGALDQMEFCVLMFRLSPELMEGREGSSRDVQVP >Ma09_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2744677:2747963:1 gene:Ma09_g04160 transcript:Ma09_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQESKIIAILVAFLGSLSFIFAIIAENKKPTHGIPIQGKNVVICKYPSDPSVALGILSLLALLLSAIVGHVAVYFPYKGKSVPSHALFRSAALLVFFIIAEAISALALAMMMWVTITEGLHHSRNVHHDLGYQCPTAKTGLFGGAAFLALDASLFWFICQILTLNARADYLDEEDPKVEYGQVYVTDI >Ma08_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4053569:4060312:-1 gene:Ma08_g05980 transcript:Ma08_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome A [Source:Projected from Arabidopsis thaliana (AT1G09570) TAIR;Acc:AT1G09570] MSSSRPTQSSTSSSRSRHSARIVAQTTVDAKLDADFEEFGESFDYLQSVYALRAPSGDQRRSEKVTAYLQHIQKGKFIQSFGCLLALDEKSFKVIAYSENAPEMLTMVSHAVPSVGDHPVLGIGTDVRSLFTSPSTAALQKALGFAEVSLLNPILVHCKTSGKPFYAIVHRVTGCLIVDFEPVKPSEVPMTAAGALQSYKLAAKAIAKLQSLPGGSIQRLCNTVIDEVFELTGYDRVMVYKFHEDDHGEVFAEITKPGLDPYLGLHYPATDIPQAARFLFMKNKVRMICDCRAKSIKIYQDDKLPFDITFCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNEGDEDDDTEAGQQPQRQKRKRLWGLVVCHNETPRFVPFPLRYACEFLMQVFAIHVSKEIELENQIREKNILRTQTLLCDMLLKETSPIGIVTQSPNIMDLVKCGGAALLYQNKVWRLGLAPTEPQIRDIAYWLTDYHMDSTGLSTDSLMDAGYPGASALGDSVCGMAAARITSRDVLFWFRSHTADEVRWGGAKHDPSDKDDGSRMHPRSSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGSLNENDSASKKDTLDSRINDLKLEGLVELQAVTNEMVRLIETATVPILAVDVDGIINGWNLKIAELTGLSVDQAIGKHLLSLVEECSADAVREMLHLALQGKEEQNVQFQMKTYGPRSDDGPVILIVNACASRDINDHVVGVCFVAQDMTGHKMVLDKFTRIEGDYKAIVHNPSPLIPPIFGADEFGWCSEWNAAMTKLSGWQRDEVIDKMLLGEVFGSHVACCRMKNQDTYVILSILVNNAMTGQETEKAPFSFINRNGKLVECLLSVSKKVGEDGMVTGVFCFLHTASHELQHVLQVKQISEQSVMKRLKALGYIRHEIRNPLSGIMHSRKMLEGTDLCDEQRQLLNTGAKCHRQLNRILDDLDLENIMDSWLDLEMVEFVLHDLVVTAVSQVMLASQSKGVRIVYDLSDGFMNEGVFGDSLRLQQILAGFLLVSVKSSPSGGLVEIAASLIKDQLGKSLHVLHLELRITHTGSGVPDDLLSEMFGTSEDPSEEGLGLLVCRKLLRLMNGDVRYLREAGKSGFIVSVELASAPKSRGTRTLV >Ma08_p05980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4053569:4060063:-1 gene:Ma08_g05980 transcript:Ma08_t05980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome A [Source:Projected from Arabidopsis thaliana (AT1G09570) TAIR;Acc:AT1G09570] MSSSRPTQSSTSSSRSRHSARIVAQTTVDAKLDADFEEFGESFDYLQSVYALRAPSGDQRRSEKVTAYLQHIQKGKFIQSFGCLLALDEKSFKVIAYSENAPEMLTMVSHAVPSVGDHPVLGIGTDVRSLFTSPSTAALQKALGFAEVSLLNPILVHCKTSGKPFYAIVHRVTGCLIVDFEPVKPSEVPMTAAGALQSYKLAAKAIAKLQSLPGGSIQRLCNTVIDEVFELTGYDRVMVYKFHEDDHGEVFAEITKPGLDPYLGLHYPATDIPQAARFLFMKNKVRMICDCRAKSIKIYQDDKLPFDITFCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNEGDEDDDTEAGQQPQRQKRKRLWGLVVCHNETPRFVPFPLRYACEFLMQVFAIHVSKEIELENQIREKNILRTQTLLCDMLLKETSPIGIVTQSPNIMDLVKCGGAALLYQNKVWRLGLAPTEPQIRDIAYWLTDYHMDSTGLSTDSLMDAGYPGASALGDSVCGMAAARITSRDVLFWFRSHTADEVRWGGAKHDPSDKDDGSRMHPRSSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGSLNENDSASKKDTLDSRINDLKLEGLVELQAVTNEMVRLIETATVPILAVDVDGIINGWNLKIAELTGLSVDQAIGKHLLSLVEECSADAVREMLHLALQGKEEQNVQFQMKTYGPRSDDGPVILIVNACASRDINDHVVGVCFVAQDMTGHKMVLDKFTRIEGDYKAIVHNPSPLIPPIFGADEFGWCSEWNAAMTKLSGWQRDEVIDKMLLGEVFGSHVACCRMKNQDTYVILSILVNNAMTGQETEKAPFSFINRNGKLVECLLSVSKKVGEDGMVTGVFCFLHTASHELQHVLQVKQISEQSVMKRLKALGYIRHEIRNPLSGIMHSRKMLEGTDLCDEQRQLLNTGAKCHRQLNRILDDLDLENIMDSWLDLEMVEFVLHDLVVTAVSQVMLASQSKGVRIVYDLSDGFMNEGVFGDSLRLQQILAGFLLVSVKSSPSGGLVEIAASLIKDQLGKSLHVLHLELRITHTGSGVPDDLLSEMFGTSEDPSEEGLGLLVCRKLLRLMNGDVRYLREAGKSGFIVSVELASAPKSRGTRTLV >Ma08_p05980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4053569:4060312:-1 gene:Ma08_g05980 transcript:Ma08_t05980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome A [Source:Projected from Arabidopsis thaliana (AT1G09570) TAIR;Acc:AT1G09570] MSSSRPTQSSTSSSRSRHSARIVAQTTVDAKLDADFEEFGESFDYLQSVYALRAPSGDQRRSEKVTAYLQHIQKGKFIQSFGCLLALDEKSFKVIAYSENAPEMLTMVSHAVPSVGDHPVLGIGTDVRSLFTSPSTAALQKALGFAEVSLLNPILVHCKTSGKPFYAIVHRVTGCLIVDFEPVKPSEVPMTAAGALQSYKLAAKAIAKLQSLPGGSIQRLCNTVIDEVFELTGYDRVMVYKFHEDDHGEVFAEITKPGLDPYLGLHYPATDIPQAARFLFMKNKVRMICDCRAKSIKIYQDDKLPFDITFCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNEGDEDDDTEAGQQPQRQKRKRLWGLVVCHNETPRFVPFPLRYACEFLMQVFAIHVSKEIELENQIREKNILRTQTLLCDMLLKETSPIGIVTQSPNIMDLVKCGGAALLYQNKVWRLGLAPTEPQIRDIAYWLTDYHMDSTGLSTDSLMDAGYPGASALGDSVCGMAAARITSRDVLFWFRSHTADEVRWGGAKHDPSDKDDGSRMHPRSSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGSLNENDSASKKDTLDSRINDLKLEGLVELQAVTNEMVRLIETATVPILAVDVDGIINGWNLKIAELTGLSVDQAIGKHLLSLVEECSADAVREMLHLALQGKEEQNVQFQMKTYGPRSDDGPVILIVNACASRDINDHVVGVCFVAQDMTGHKMVLDKFTRIEGDYKAIVHNPSPLIPPIFGADEFGWCSEWNAAMTKLSGWQRDEVIDKMLLGEVFGSHVACCRMKNQDTYVILSILVNNAMTGQETEKAPFSFINRNGKLVECLLSVSKKVGEDGMVTGVFCFLHTASHELQHVLQVKQISEQSVMKRLKALGYIRHEIRNPLSGIMHSRKMLEGTDLCDEQRQLLNTGAKCHRQLNRILDDLDLENIMDSWLDLEMVEFVLHDLVVTAVSQVMLASQSKGVRIVYDLSDGFMNEGVFGDSLRLQQILAGFLLVSVKSSPSGGLVEIAASLIKDQLGKSLHVLHLELRITHTGSGVPDDLLSEMFGTSEDPSEEGLGLLVCRKLLRLMNGDVRYLREAGKSGFIVSVELASAPKSRGTRTLV >Ma07_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10545694:10547474:-1 gene:Ma07_g14120 transcript:Ma07_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATFLVPVKRTEHVVVTTKPLSARPRTVRVFCDDYDATDSSGDESECCHSRRRVRRYVQEVRFEARPDRGGAQAVAEAGKSKAAKGGGAVKKRKGGPFAAASGDGSVQRFRGVRRRPWGKYAAEIRDPFRRVRVWLGTFNTAEEAAKVYDSAAIQLRGPDATTNFARPTATAAAATTRMTPPPPPPKRNLSDNNLTSVSGGYESAEESHNLSSPTSVLRGLSSSFAKPTDSAEKPKPPATVGDEIGGATSPSVQLGGFLPLDDEESLFDGVLGFFDDDPSPIGYLAEDVSDAFLLGSGLDDVDLHASTSTWQGADEFFEGIGDLFPIEPLPAI >Ma08_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1420394:1423243:-1 gene:Ma08_g01690 transcript:Ma08_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLRGRAIRELGRGTSFSFLLRRDGGGGAIAGGGAGFLRSGSSSSASLASSPSLSIWRRKKEMGKEGLFVVQQLKRLASHGPRLQQFMRSHVARLLRTDLLAVLAEFQRQDNVFIAMKIYDAVRKEIWYRPDMFFYRDMLMMLARNKKLEEAKQVWAHLKSEDVHFDQHTYGDIVRAFLDGGLPAFAMEFYDDMRSSPDPPLSLPFRVMLKGLIPYPELREKMKQDFLDLFPDMIVYDPPDDLLDE >Ma03_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28423921:28434059:1 gene:Ma03_g24050 transcript:Ma03_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MKGCDLVNTFLPDELIMEIFRHVEAKSDRDACSLVCRKWRRLERACRRTIRIGASGTADQLVDLVVRRFTGLRNVYIDERLPVTTVQPQRSPPSKRKRHTLPKPNHATEEIYVENELERFCLSDAGLALLAKSCKGLEKLSFIWCSSITSLGLTTVAENCKFLKSVDLQGCYVGDKGLIALGQNCKQLEDLNLRFCEGLTDTGLVGFAQSHGSSLKSLGIAACAWISDVSLQAVASHCKFLENLSLDSELMRNTGVISVAQGCRSLKALKLQCVNISDESLQAVGSYCSLLEQLALYSFQRFTDRSLYAIGNGCKKLRDLTLSDCYLLSDQSLEAIARGCTKLTNLEINGCHNIGTSGLEYIGRLCLGLVELSLLYCPRMGNSALREVGKGCSLLKALHLVDCSSINDDGISAIAQGCRSLRKLHVRRCYEVGDKGIISVGENCKLLADLSLRFCDRVGDAALVAVAQGCSLKHLNVSGCHLITDTGLTAIARGCPDLVNLDISVLQSIGDMALAELGHGCPLLKEIVLSHCRQITDVGLAHLVTGCSRLETCHMVYCPLVTGAGVATVVSSCANIKKVLVERWKVSQRTLRRAYSVLSFLCVEL >Ma04_p08310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5974745:5978034:1 gene:Ma04_g08310 transcript:Ma04_t08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFPDRGTASRFANASQAATASALWRDTGISADLPAPPVFTLDDRIRFFGLGDLPSPPSDRTGSLSPSAASSSSSFVRRTRAEMSGSRAAEVDGEERETGRGSPIDGLIEPGALITPPPPRPREIVKPGSQGCSMRNGGLGADGWVTVYGFPPSDTNMVLREFEKCGVISKHVHGPSNANWIHILYQNPSEAQMALKKNGMQLNSLLIIAVKRIDPMHRHHLDETSDRLNRGSFMVSLPSKSTTLNSSSTATPSTVLTRAHHQQSIATPATSALSKVMDLIFGI >Ma11_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2578196:2578350:1 gene:Ma11_g03460 transcript:Ma11_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECDSRMSQPQGRNLRSYLHNRSIAIQKE >Ma08_p30180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41562041:41563287:-1 gene:Ma08_g30180 transcript:Ma08_t30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRLQGEQLKQLRNIFDRFDMDGDGSLTQLELAALLRSLGLKPDGDQIHAMLAGMDANGNGTVEFDELAAALAPVMTEQAMVDQKQLLEVFRCFDRDGNGFISAAELARSMARMGQPLTFVELTDMMQQADADGDGVISFEEFAAVMAKSAAEFLGLTLVSH >Ma10_p23680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32482426:32487071:1 gene:Ma10_g23680 transcript:Ma10_t23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:Projected from Arabidopsis thaliana (AT4G27040) UniProtKB/TrEMBL;Acc:A0A178V291] MRRRPGIAGLKSAAAARDQYRLVGENVARIRADVMKEQLETFRTQLEDFARKHKNDIRKNPTFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLSTRSHNGGLINLQELCSLLCQRRKVAREAVTEDDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNEILEVAQAQGYVTIEELEKRLSWSSGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVASIFSAPGSDGFRSL >Ma04_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24732610:24734747:1 gene:Ma04_g22380 transcript:Ma04_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVILSSLFLSFAGGMAATFTLTNNCEYPVWPGMLSSAGTPALSTTGFQLQTGESRSLDAPTTWSGRFWGRTLCATDSSGRFSCATGDCGSGGVECSGGGAAPPATLAEFTLDGSGGMDFYDVSLVDGYNLPMLVVPQGGSGGSCSSTGCLVDLNGLCPSDLKVMLSTSDGGSESVACKSACEAFGSPQFCCSGDYGNPNTCKPSSYSQFFKNACPKAYSYAYDDATSTFTCASANYLITFCPSTTSQKSSDTNPEAAGIPSSSNSTMVYIGGEQASHATATVPRIAAVLLAFSFALLAMHLGL >Ma07_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11060663:11067536:-1 gene:Ma07_g14730 transcript:Ma07_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTIVHHIALVLLLLWVLVQLGKGHPVVFFVALLYLYKVNERYTLRLQKRLQFEERKFANQRRLLSDAESVRWLNHAIEKIWPVCMEQIASQQFLLPIIPWFLDKFKPWTARKAVMQHLYLGRNPPMFIDARVLRESSDDDHLVLELGMTFLSADDMSAKLAVQLRKRLGFGITANMHITGMHVEGKVLVGVKFLRQWPFIGRVRLCFAEPPYFQMTVKPIFGHGVDVTELPGISGWLDKLLDVAFGQTLVEPNMLVIDVEKFVLAPEEGWFTVNVKPPIGYAKLEMLEGADMKPSDFNGLSDPYVKGHLGPYRFQTKIQRKTLSPKWLEEFKIPISSWEGTNVLVLQVRDKDTIFDDILGNCSVNINDLRGGQRHDMWLSLQNVKMGRIHLAITVLEEELQKEQKDLGDEEIISNTTEPMTSRSDEKAEKLNAEEYHHHKMADEFEPINIKGQEKTGLWVHRPGSDVSQKWEPRKGRARYPDTRLHREDNGSTKSPSRSSSSRSQQSDSGSNEESADGKKPRLKIIKNGLHKLSSVFHRSPRKGSPRQCQEVVAPPPPPPNLWPIGEKRTSIKVIMPDGFDEKNGVPKLDEERCNSEISERESPGKGSSMLQTPKNFISKSSKSLKSVLSRKESDKLKEVQSPGAEDKDNSQGTNSSSDGLVDDPLVIGGLPISIAPCSHKVTVSAEDDKKGNI >Ma04_p38510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36012479:36013167:-1 gene:Ma04_g38510 transcript:Ma04_t38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGRKHTTASPCAACKLLRRRCTQGCVFAPHFPADEPQKFASVHKVFGASNVSKLLREIAAQHRGDAVSSLVYEANARVRDPVYGCVGAITSLHCQIQALQAQLAVARAKMLHLRMSHAAYLARFSHTAMATASTSYTGSSSMSPEHKQLMEPDTTKSVFPLDMAMLDQSNLGEPQIWSC >Ma04_p33920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33328174:33329487:1 gene:Ma04_g33920 transcript:Ma04_t33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRAPCCDKVGLNKGSWSLEEDMRLIAYIEKHGHGNWRALPKLAGLLRCGKSCRLRWINYLRPDIKRGNFTKEEEDAIIELHRLLGNKWSKIASCLPGRTDNEIKNMWNTHLKKRLASRERKSLATQKPEDSQSSSSSSASRSCSDHGESKSDEEGNEDPSLYFTDLSVEMIEAPIGPDMDMWGVAEYDTHQEEAAVIVPEFLSMMEGGDYSSLIVSTGEEAMEEEEEAKGEGKSSEWVEYLEKELGLCGASEENDSINQESLMRDAAEQQMEMEEEDPVSSYFHKDLVSTCPFGSC >Ma09_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1545320:1545681:1 gene:Ma09_g02140 transcript:Ma09_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIACAILVAAASATTALAAEAPAPGPASASFAVTPAFGAAVGAAVLSFFAFYLQ >Ma07_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31627050:31630976:-1 gene:Ma07_g24220 transcript:Ma07_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSRSALFFCRFSSHSSSSPSQRLKMGSSYLASALKPSSPKIVKLKPIEVTPARFADFGQVISASSDGEEYGPHDAQLELHRGVPRFYIMHLEDRDLKFSKITHHASVTQCLGSVGGEDWYLGVAKASILNESEIINEDGQKPIQSCCGHYYMPPHPDDVCVFRISGPKFLKLNVGTWHAGPLFEKKTMDFYNLELSNTNVVDHTTHDFLKHDKVAFMIEE >Ma11_p04950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3841632:3842210:1 gene:Ma11_g04950 transcript:Ma11_t04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWASYVQMTPKAGATAKLVGIDGVVQLVEAPATAAEVMMEFLGRVVASADVVERTRRADAMRADEELRPGAVYLLLPVGRVGSRLSDRQMEVLLDAGHRPRRGREEVDCGGGTQVFPEVAVGAEGKVAGLEGHVAGYRGKRVGGRGQWRPVLDTVHESESD >Ma03_p07250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5010946:5048743:-1 gene:Ma03_g07250 transcript:Ma03_t07250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKQKNEEQKPECSGAVVVHQKLCVSIDMVKRRIYGYTELKMTIPEGGHVALHADNMTITNISVDGEPADFEYFPHYQVVEEARFCSVSCSSSAANVACSMYTSSLDKEMVPNLLIACNGSVKLDLPQEKENGGNSVQDSCSQQVANGCNGHPEDKNEKLVRIDYWVDRAETGIHFVDNVLLTDNQIRRAHCWFPCLDSISQRCPFDLEFTVDVNLVAVSNGDLHYQVLSKDDPPRKTFVYKLTTPVSAGWISLIVAPFEILSDSHINIISHICLPPSFSKLQNTVGFFHNAFSYYEDYLSTSFPFGSYKQIFIPPELTISSLSLGASLCIFGSHILFDDRVIDQTIDTRIKLAYALARQWFGVFITAHEPTDEWLLDGLAGFLTDTYIKHSLGNNEARYRRYKANFAVCKADVSGATALSSSAASSDLYGTQWIGLYGKIRSWKAISVLQMLEKQIGPDSFCKILQVIVCRAIGSTRSMRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPIMRMGLSYNKRRNMIELAVVRGCTAKSSSAFNQDDENREGHPGWPGMMSVRVHELDGVYDHPVLPMAGESCQLLEIQCHSKLAAKRIQKPKKGSKADGSDDNADVVSTQDMRSGVDSPLLWIRVDPEMEYLAEIHFYQPVQMWINQLEKDKDVVAQSQAISMLEMLPQLSFAVVNALNSFLNDSKAFWRVRIEAAYALAHTTSEDTDLAGLFHLIKFYKSRRFDMDTGLPRANSFHEVSEYFVLEAIPHAVALVRASDKKSPREAIEFVLQLLKYNDNNGNPYSDVYWLASLVQSIGELEFGQQGILFLSSLLKRIDQLMQFDSLMPSYNGILTISCIRTLAQMALKLSNSVPLDKVRELIEPYRNVENTSWKVRIEASRALLDLEFHSKGIDAVLSLFMKFLEEECSLRGEVKLAVHVMHICQANLESESGMQIACPTLVSLLHLLTSRKAFNNVFLRHHLFCILQILAGRSPTLYGISRTQVHASVAAETCEQVTRPASLKLKIPKPQEPLAETVKLSEALPTAETVREGDTVSNCSERRVNVIKIRVKQRSSSSKADDADHQMEYSRGGPNDAELGPCSSVSVDAPARGATEPFNISSQNNEEVSSSHDRESRMTASIGSAKLASEEKLGKELQCTADSRLDALSKDQLSLKNINVEEMVISKTACLQDLSVVRHDGEGAMPPENAEELKEKGKKDKKDRERKRRRDDKVDKKDDPEYIEKKRLKKERKRKEKELAKMQKGGDRSSSDMKISSRPSESRGSLVDSKVEPGVEIHALKNNESISETAQGSITSSKFRIKIKSRNTDNS >Ma03_p07250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5010946:5048743:-1 gene:Ma03_g07250 transcript:Ma03_t07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKPRKQKNEEQKPECSGAVVVHQKLCVSIDMVKRRIYGYTELKMTIPEGGHVALHADNMTITNISVDGEPADFEYFPHYQVVEEARFCSVSCSSSAANVACSMYTSSLDKEMVPNLLIACNGSVKLDLPQEKENGGNSVQDSCSQQVANGCNGHPEDKNEKLVRIDYWVDRAETGIHFVDNVLLTDNQIRRAHCWFPCLDSISQRCPFDLEFTVDVNLVAVSNGDLHYQVLSKDDPPRKTFVYKLTTPVSAGWISLIVAPFEILSDSHINIISHICLPPSFSKLQNTVGFFHNAFSYYEDYLSTSFPFGSYKQIFIPPELTISSLSLGASLCIFGSHILFDDRVIDQTIDTRIKLAYALARQWFGVFITAHEPTDEWLLDGLAGFLTDTYIKHSLGNNEARYRRYKANFAVCKADVSGATALSSSAASSDLYGTQWIGLYGKIRSWKAISVLQMLEKQIGPDSFCKILQVIVCRAIGSTRSMRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPIMRMGLSYNKRRNMIELAVVRGCTAKSSSAFNQDDENREGHPGWPGMMSVRVHELDGVYDHPVLPMAGESCQLLEIQCHSKLAAKRIQKPKKGSKADGSDDNADVVSTQDMRSGVDSPLLWIRVDPEMEYLAEIHFYQPVQMWVRKVSYKINQLEKDKDVVAQSQAISMLEMLPQLSFAVVNALNSFLNDSKAFWRVRIEAAYALAHTTSEDTDLAGLFHLIKFYKSRRFDMDTGLPRANSFHEVSEYFVLEAIPHAVALVRASDKKSPREAIEFVLQLLKYNDNNGNPYSDVYWLASLVQSIGELEFGQQGILFLSSLLKRIDQLMQFDSLMPSYNGILTISCIRTLAQMALKLSNSVPLDKVRELIEPYRNVENTSWKVRIEASRALLDLEFHSKGIDAVLSLFMKFLEEECSLRGEVKLAVHVMHICQANLESESGMQIACPTLVSLLHLLTSRKAFNNVFLRHHLFCILQILAGRSPTLYGISRTQVHASVAAETCEQVTRPASLKLKIPKPQEPLAETVKLSEALPTAETVREGDTVSNCSERRVNVIKIRVKQRSSSSKADDADHQMEYSRGGPNDAELGPCSSVSVDAPARGATEPFNISSQNNEEVSSSHDRESRMTASIGSAKLASEEKLGKELQCTADSRLDALSKDQLSLKNINVEEMVISKTACLQDLSVVRHDGEGAMPPENAEELKEKGKKDKKDRERKRRRDDKVDKKDDPEYIEKKRLKKERKRKEKELAKMQKGGDRSSSDMKISSRPSESRGSLVDSKVEPGVEIHALKNNESISETAQGSITSSKFRIKIKSRNTDNS >Ma03_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5010946:5041326:-1 gene:Ma03_g07250 transcript:Ma03_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MLQFSCKCCLLHEKENGGNSVQDSCSQQVANGCNGHPEDKNEKLVRIDYWVDRAETGIHFVDNVLLTDNQIRRAHCWFPCLDSISQRCPFDLEFTVDVNLVAVSNGDLHYQVLSKDDPPRKTFVYKLTTPVSAGWISLIVAPFEILSDSHINIISHICLPPSFSKLQNTVGFFHNAFSYYEDYLSTSFPFGSYKQIFIPPELTISSLSLGASLCIFGSHILFDDRVIDQTIDTRIKLAYALARQWFGVFITAHEPTDEWLLDGLAGFLTDTYIKHSLGNNEARYRRYKANFAVCKADVSGATALSSSAASSDLYGTQWIGLYGKIRSWKAISVLQMLEKQIGPDSFCKILQVIVCRAIGSTRSMRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPIMRMGLSYNKRRNMIELAVVRGCTAKSSSAFNQDDENREGHPGWPGMMSVRVHELDGVYDHPVLPMAGESCQLLEIQCHSKLAAKRIQKPKKGSKADGSDDNADVVSTQDMRSGVDSPLLWIRVDPEMEYLAEIHFYQPVQMWVRKVSYKINQLEKDKDVVAQSQAISMLEMLPQLSFAVVNALNSFLNDSKAFWRVRIEAAYALAHTTSEDTDLAGLFHLIKFYKSRRFDMDTGLPRANSFHEVSEYFVLEAIPHAVALVRASDKKSPREAIEFVLQLLKYNDNNGNPYSDVYWLASLVQSIGELEFGQQGILFLSSLLKRIDQLMQFDSLMPSYNGILTISCIRTLAQMALKLSNSVPLDKVRELIEPYRNVENTSWKVRIEASRALLDLEFHSKGIDAVLSLFMKFLEEECSLRGEVKLAVHVMHICQANLESESGMQIACPTLVSLLHLLTSRKAFNNVFLRHHLFCILQILAGRSPTLYGISRTQVHASVAAETCEQVTRPASLKLKIPKPQEPLAETVKLSEALPTAETVREGDTVSNCSERRVNVIKIRVKQRSSSSKADDADHQMEYSRGGPNDAELGPCSSVSVDAPARGATEPFNISSQNNEEVSSSHDRESRMTASIGSAKLASEEKLGKELQCTADSRLDALSKDQLSLKNINVEEMVISKTACLQDLSVVRHDGEGAMPPENAEELKEKGKKDKKDRERKRRRDDKVDKKDDPEYIEKKRLKKERKRKEKELAKMQKGGDRSSSDMKISSRPSESRGSLVDSKVEPGVEIHALKNNESISETAQGSITSSKFRIKIKSRNTDNS >Ma01_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25771215:25771443:1 gene:Ma01_g22710 transcript:Ma01_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVLSKYKEFKHRLLFLLEMLEPFLDPVITGTKNTTSEDANDIHLEK >Ma09_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5455658:5470452:-1 gene:Ma09_g08270 transcript:Ma09_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEIEAPDVIKIVLQFCKENSLHQTFQTLQSECQVSLNTVDSLETFVADINSGRWDAILPQVAQLKLPRKNLEDLYEQIVLEMIELRELDTARAILRQTQVMGIMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQALAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEDDIYPTTLAHPIKFGKKSHPECARFSPDGQYLVSCSVDGFIEVWDYISGKLKKDLQYQADDAFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSIVFSRDGSQLLSASFDNTARIHGLKSGKLLKEFRGHTSYVNDAIFSNDGSRVITASSDCTVKVWDVKSTDCLQTFKPPPPLRGGDASVNSVHLFPKNAEHIIVCNKTSSVYLMTLQGQVVKSFSSGKREGGDFVAACVSPKGEWIYCVGEDMNMYCFSHQSGKLEHLMKVHDKEVIGVTHHPHRNLVVTYGEDCAMKIWKP >Ma04_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3503189:3505716:-1 gene:Ma04_g04580 transcript:Ma04_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELVFEDIFTLTRLDPDSKKFDKGKSMLLLKSVNRTV >Ma07_p28690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34647673:34651511:1 gene:Ma07_g28690 transcript:Ma07_t28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb1629 [Source:Projected from Arabidopsis thaliana (AT5G57930) UniProtKB/TrEMBL;Acc:A0A178UP75] MPPPLVAKGMGEVLPLGASFWYSIKSGQLKIIGTQNFCQVHHCAMVVRSNHPQNADLPRYYSKREKKPFPIPILELRRTARERLKNAKKLPRKPTPPPRNGMLVQSLIPVAYEVMNARILLTNNLKRLMKVIPVLACKYCNEIHVGATGHPFKTCRGLRADHRKGLHEWITATVEDVLTPIETFHLSDRLGKRISHEERFSIPRIPAVVELCVQAGVDLPDFPIKRRRKPVIRLGRSEIIDADEDDLPDPRPCKFKKPILDEVPDCEIVPPANAEETASLSEATLEAWETLRQGAAKLMRKYPVRVCGYCPEVHVGPSGHKAQNCGAYKHQQRNGQHGWQSATLDDLIPPRYVWHVPETVLELQRDLRNFYGQAPAVVELCVQGGAAVPECYKPTMRLDIGIPTNLREAEMVV >Ma11_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24452610:24467487:-1 gene:Ma11_g19700 transcript:Ma11_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEMARAKDTKERMAGVERLHQVLESTTRSLSVSEVTTLVDACMDLLKDTNFRVSQGALHALSAAAVLSGEHLKLHFNGIVPAVVERLGDGKQPVRDAARQFLVTLMEVSSPTIIVERAGSYAWTHRSWRVREEFARTVTTAIGLFASTELPLQRVLLPPVLQLLTDSNQSVRDAATSCIEEMYTQVGPQFREELHRHHLPSSMMKEINIRLEKIEPKIQPSDRIGSPHISAETRSSSASHKKSSPKNKIAPKETSFSSGEIDATEKLVVPIKVYSEKELNKEMERIASTLVPDKDWSLRIAAMQRVEGLVFGGAADYPSFLTLLKQLATPLSTQLLDRRSSIVKQACHLLSLLSKELLGDFETCAEMFIPVLLKLVVITVLVIAESADTCIKTILRNCKVARILPRIADTAKNDKSAVLRARCCDYALLVLEYWADALEIHRSADLYEDLIKCCVADAMSEVRSTARTCYRMFTKTWPERSQRLFLSFEPAIQRIINEEDGGLHKRYASPSLRERGVQLTHAPSRTTITSVTGYGTSAIVAMDKSATVSTGTSLSSASFLSQSKTLGKNTERTLETVLHSSKQKVSAIESLLKGVSLLGKQNFSSARSTSLDLGVDAPSTCDPSVSASFPSSNLFSIQSSSLVGSTIENITKGSNRNGGSNKSELLTSQVQLTRDPSKFSYLSDITSDTLSSLSLSHVKRSDQLQEGSGREDNVDLRLSRPISNMQIDKQYMEIPYKDSLYRDSPCSYIPNFQRPLLRKQVTGRTITNRNSFDDGQIPSSVTSSCMDGPASLNDALTEGLSASSDWVARVSAFNYLRNLLQQGPRGILEITQNFEKVMKLFFRYLDDPHHKVAQATFSTLAEIIPACRRPFESYLERTLPHVFSRLIDPKELVRQPCSTTLEIVGKVYNVDSLLPAFIRSLDEQRSPKAKLAVLQFANNSFSKHTINSDNYSNNGFLKLWLGKLAPLVNEKNVRLKEASIAVIISVYSHFDSTSVLNFILSLSIEEQNSLRRALKQYTPRIEVDLMNFLQNKKERQRSKSFYDQSDGVGTSSEEGYFCGSKKGPHLSRYSVDGDSGRKQSLNQETINVDASICYMASDEISYSSKNLDGYTSDPSLQGTGSGTKCLKKTNYIFEHESSVSTPRLDISRLVSSNEHKAIDLNHGGGNSKDSELNHETLSSATVNCHVDLGPSIPQLLHQICNASDVNSSSDKRMALQQLVEVSLNNDSSIWTKYFNQILTAVLDVLDDSDPSIRELALSLIFRMLNNQKNEMKDSVEIVIEKLIHVTKDVFVKVSNEANQCLNIVLSEFDPLRFLNVIGPLLISDDEKTLVICINCLTKVVGRLPHEDLMKHLPSFLPALFDAFGNQSTDVRKTVVFCLVEIYILLGKSFLPYLEGLSSTQLRLVTIYANRISQARSGAPVDANN >Ma11_p13100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17375390:17376323:1 gene:Ma11_g13100 transcript:Ma11_t13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAFGGGGYNDHDGSIFREIYFLRIMALASASW >Ma03_p32420.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34215716:34223719:-1 gene:Ma03_g32420 transcript:Ma03_t32420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRMKRKSDKGCVRLHNVHARHRSSKKSENSPSEINTEVDASIQIHHDGNFSNTISSRMEQSGCDSTITGFDGASAELLNLSSSPPDPLSTERMLQGSSSTAIAPELETIFSPNFEDGDSHLNSTNHGYEVQNENPGLPNLVADEGDDGNSGFSDYQACSLFDFCFSESAPSLPFDDSMDFTDVSCHHYEFTTSDILTDVAERYMMLPFLGRNTETGGAHDNESIQEIMMNSNDAYLATHQESDMNYLSGDLGEIECLNPQLVFRTSPDLSREVSSSCPTLLQKETQQGKPITLVLDLDETLVHSTLEYCDDADFTFPVFFNMKEHTVYVRRRPFLQMFLERVAQMFEIVIFTASLSIYASQLLDILDPDNKIISGRIYRESCIFSDDTYTKDLSILGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDHALVQLLPFLETLVDAEDVRPIIANRFSNKEQQQFV >Ma03_p32420.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34215716:34222064:-1 gene:Ma03_g32420 transcript:Ma03_t32420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRMKRKSDKGCVRLHNVHARHRSSKKSENSPSEINTEVDASIQIHHDGNFSNTISSRMEQSGCDSTITGFDGASAELLNLSSSPPDPLSTERMGSSSTAIAPELETIFSPNFEDGDSHLNSTNHGYEVQNENPGLPNLVADEGDDGNSGFSDYQACSLFDFCFSESAPSLPFDDSMDFTDVSCHHYEFTTSDILTDVAERYMMLPFLGRNTETGGAHDNESIQEIMMNSNDAYLATHQESDMNYLSGDLGEIECLNPQLVFRTSPDLSREVSSSCPTLLQKETQQGKPITLVLDLDETLVHSTLEYCDDADFTFPVFFNMKEHTVYVRRRPFLQMFLERVAQMFEIVIFTASLSIYASQLLDILDPDNKIISGRIYRESCIFSDDTYTKDLSILGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDHALVQLLPFLETLVDAEDVRPIIANRFSNKEQQQFV >Ma03_p32420.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34215716:34223719:-1 gene:Ma03_g32420 transcript:Ma03_t32420.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRMKRKSDKGCVRLHNVHARHRSSKKSENSPSEINTEVDASIQIHHDGNFSNTISSRMEQSGCDSTITGFDGASAELLNLSSSPPDPLSTERMLQGSSSTAIAPELETIFSPNFEDGDSHLNSTNHGYEVQNENPGLPNLVADEGDDGNSGFSDYQACSLFDFCFSESAPSLPFDDSMDFTDVSCHHYEFTTSDILTDVAERYMMLPFLGRNTETGGAHDNESIQEIMMNSNDAYLATHQESDMNYLSGDLGEIECLNPQLVFRTSPDLSREVSSSCPTLLQKETQQGKPITLVLDLDETLVHSTLEYCDDADFTFPVFFNMKEHTVYVRRRPFLQMFLERVAQMFEIVIFTASLSIYASQLLDILDPDNKIISGRIYRESCIFSDDTYTKDLSILGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDHALVQLLPFLETLVDAEDVRPIIANRFSNKEQQQFV >Ma03_p32420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34215716:34223719:-1 gene:Ma03_g32420 transcript:Ma03_t32420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRMKRKSDKGCVRLHNVHARHRSSKKSENSPSEINTEVDASIQIHHDGNFSNTISSRMEQSGCDSTITGFDGASAELLNLSSSPPDPLSTERMLQGSSSTAIAPELETIFSPNFEDGDSHLNSTNHGYEVQNENPGLPNLVADEGDDGNSGFSDYQACSLFDFCFSESAPSLPFDDSMDFTDVSCHHYEFTTSDILTDVAERYMMLPFLGRNTETGGAHDNESIQEIMMNSNDAYLATHQESDMNYLSGDLGEIECLNPQLVFRTSPDLSREVSSSCPTLLQKETQQGKPITLVLDLDETLVHSTLEYCDDADFTFPVFFNMKEHTVYVRRRPFLQMFLERVAQMFEIVIFTASLSIYASQLLDILDPDNKIISGRIYRESCIFSDDTYTKDLSILGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDHALVQLLPFLETLVDAEDVRPIIANRFSNKEQQQFV >Ma03_p32420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34215716:34223719:-1 gene:Ma03_g32420 transcript:Ma03_t32420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRMKRKSDKGCVRLHNVHARHRSSKKSENSPSEINTEVDASIQIHHDGNFSNTISSRMEQSGCDSTITGFDGASAELLNLSSSPPDPLSTERMLQGSSSTAIAPELETIFSPNFEDGDSHLNSTNHGYEVQNENPGLPNLVADEGDDGNSGFSDYQACSLFDFCFSESAPSLPFDDSMDFTDVSCHHYEFTTSDILTDVAERYMMLPFLGRNTETGGAHDNESIQEIMMNSNDAYLATHQESDMNYLSGDLGEIECLNPQLVFRTSPDLSREVSSSCPTLLQKETQQGKPITLVLDLDETLVHSTLEYCDDADFTFPVFFNMKEHTVYVRRRPFLQMFLERVAQMFEIVIFTASLSIYASQLLDILDPDNKIISGRIYRESCIFSDDTYTKDLSILGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDHALVQLLPFLETLVDAEDVRPIIANRFSNKEQQQFV >Ma03_p32420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34215716:34223719:-1 gene:Ma03_g32420 transcript:Ma03_t32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRMKRKSDKGCVRLHNVHARHRSSKKSENSPSEINTEVDASIQIHHDGNFSNTISSRMEQSGCDSTITGFDGASAELLNLSSSPPDPLSTERMGSSSTAIAPELETIFSPNFEDGDSHLNSTNHGYEVQNENPGLPNLVADEGDDGNSGFSDYQACSLFDFCFSESAPSLPFDDSMDFTDVSCHHYEFTTSDILTDVAERYMMLPFLGRNTETGGAHDNESIQEIMMNSNDAYLATHQESDMNYLSGDLGEIECLNPQLVFRTSPDLSREVSSSCPTLLQKETQQGKPITLVLDLDETLVHSTLEYCDDADFTFPVFFNMKEHTVYVRRRPFLQMFLERVAQMFEIVIFTASLSIYASQLLDILDPDNKIISGRIYRESCIFSDDTYTKDLSILGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDHALVQLLPFLETLVDAEDVRPIIANRFSNKEQQQFV >Ma03_p32420.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34215716:34223719:-1 gene:Ma03_g32420 transcript:Ma03_t32420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRMKRKSDKGCVRLHNVHARHRSSKKSENSPSEINTEVDASIQIHHDGNFSNTISSRMEQSGCDSTITGFDGASAELLNLSSSPPDPLSTERMLQGSSSTAIAPELETIFSPNFEDGDSHLNSTNHGYEVQNENPGLPNLVADEGDDGNSGFSDYQACSLFDFCFSESAPSLPFDDSMDFTDVSCHHYEFTTSDILTDVAERYMMLPFLGRNTETGGAHDNESIQEIMMNSNDAYLATHQESDMNYLSGDLGEIECLNPQLVFRTSPDLSREVSSSCPTLLQKETQQGKPITLVLDLDETLVHSTLEYCDDADFTFPVFFNMKEHTVYVRRRPFLQMFLERVAQMFEIVIFTASLSIYASQLLDILDPDNKIISGRIYRESCIFSDDTYTKDLSILGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDHALVQLLPFLETLVDAEDVRPIIANRFSNKEQQQFV >Ma03_p32420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34215716:34222063:-1 gene:Ma03_g32420 transcript:Ma03_t32420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRMKRKSDKGCVRLHNVHARHRSSKKSENSPSEINTEVDASIQIHHDGNFSNTISSRMEQSGCDSTITGFDGASAELLNLSSSPPDPLSTERMLQGSSSTAIAPELETIFSPNFEDGDSHLNSTNHGYEVQNENPGLPNLVADEGDDGNSGFSDYQACSLFDFCFSESAPSLPFDDSMDFTDVSCHHYEFTTSDILTDVAERYMMLPFLGRNTETGGAHDNESIQEIMMNSNDAYLATHQESDMNYLSGDLGEIECLNPQLVFRTSPDLSREVSSSCPTLLQKETQQGKPITLVLDLDETLVHSTLEYCDDADFTFPVFFNMKEHTVYVRRRPFLQMFLERVAQMFEIVIFTASLSIYASQLLDILDPDNKIISGRIYRESCIFSDDTYTKDLSILGVDLAKVVIIDNSPQVFRLQVNNGIPIKSWFDDPSDHALVQLLPFLETLVDAEDVRPIIANRFSNKEQQQFV >Ma10_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25195673:25203305:-1 gene:Ma10_g11810 transcript:Ma10_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVPTKPFQYVVMGLYTPLVTCVFCLYVWCAATDPGDPGIFRCKKNLKVEGCREQILSKESKQEGSTKQLNVETTAQKQFEGSKDSVYTRGELHSKHESERLPCNSVPCLAVLLSWCGMSCMCNWCQSHEQSSEQQMDEEGMFYCSLCEVEVLKNSKHCRVCDKCVDGFDHHCRWINNCIGRKNYRRFFILMASALLLLILQWLIGILVLIFCFLERKHFSAEIISKLGSSFSLVPFVVVVASCTCLAMVATLPLAQLLFFHILLIKKGISTYDYIIALREQEQEQELEQHAIGEPQSPQMSQVSSFTGLSSASSFNAFHRGAWCTPPRLFLEDQFDVVPPETGTSMNHISKKMMAEEPVRKRNAGKVKISPWTLARLNAEEVSKAAAQAKKKSKILQPIVRREILLGHDTDGSIGSSSGRMVLWPDNRRQMNKIGRIPVDLPLEPLAKISDSATDSNVGDLVPETSTSLAPLQLEARSAFRPNMPMSSARVVASSPDSSLGSPDLHPFRISLSGAEEVQRLSSHSVSGTVAPKGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIALGAEHGQMEHDLKFTPSASLQSYMKSQ >Ma05_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4429854:4436439:-1 gene:Ma05_g05860 transcript:Ma05_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGTVMESSVWVQALVLLFTLAAFLGLRSFPKHALSRLRRPSQSSLQARRHFVNGAQLLARARARPSGDSSSGGGSTTALARSACDEADRAIALDPRDAAPHILKALALDLLGRRLPALRSLDAALSPPASKSLAPRERGDALFKRAELHLAVNRRRRLDQALSDLLQAVRLSPENAKAFCLLGECYEEKGLLDEATKAYETAIDIDASLVAADEGLRRLSAAKVGS >Ma05_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9948520:9949918:-1 gene:Ma05_g13710 transcript:Ma05_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESEESSASRPRQREKQQITVPYLWEEKPGVPKRVPVISSSPPPRLVVSVPFEWEEKPGKPIQIPPTLPDLLTAGDLNGLDPRPASSCHLNPFVDEKSGPLNPFVDETEGGAMDSYLEAFSFKMDDNADPSFADVTAAWESFSENGSYRNEDWHSVSGTDGHSSSSSSEAAEVGTDTSVIQFLFPQPSGEDEAPSAVAALRGPNHCSSEHAGMARRGLTLGELILLSRKLSCRRKQNEGRKREHPKDYLKKRVLTCFPFIANGNKMRAYISNW >Ma07_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11473475:11474136:-1 gene:Ma07_g15270 transcript:Ma07_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma09_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5516384:5522366:1 gene:Ma09_g08330 transcript:Ma09_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGETQTAAVSDPLLSPPTSSLGFPFDVPSLLSLSRRGQWRALLDAVADARRDSHLLPHQHLACLALSSLALSKLRRFSDAAAEIDALDASFDSPRFRFETYPAAYPGRSGSMIPFALRFLHADLPQRLGSRSVTLDRLYALLDLVQYKIQEETVAAPAADRWRRREAFVMATLCCNHFAHREFEVVLALIRQLLERDPSDPLLLSRLGYVQLQIGDLTGAKASFARVESLHPEGERTVELENLVGRNRALEFIVAKDYTAAVREYEECIERDPADVVALNNKALCLLYSRDLSDSIKVLEGALERVPTGALNETLVMNLCSMYELAYVNHGDVKKSLSNWIAQVAPDDFDPSCTRI >Ma01_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:217508:220621:-1 gene:Ma01_g00290 transcript:Ma01_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVAESCIDGVIIEMVSAYCKRFYATKPELAARRIEAIGYQVGHQLIERYTVERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSIDPSLDISEASQDSSVVTPENKAAEATSMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVVRVKA >Ma05_p28750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39644072:39649171:1 gene:Ma05_g28750 transcript:Ma05_t28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein FERTILIZATION-INDEPENDENT ENDOSPERM [Source:Projected from Arabidopsis thaliana (AT3G20740) UniProtKB/Swiss-Prot;Acc:Q9LT47] MAKSGLGCEPAVGSLTAARKREYKVSNRVHEGKRPLYAIAFNFIDARYYDIFATVGGNRVTVYRCLEGGLVAVLQAYVDEDKDESFYTLSWACDTDGTPFLVAGGSNGIIRVINAGTEKIHKSFVGHGDSVNEVRTQALKPSLVVSASKDESVRLWNLHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFIASVHSNYVDCTRWLGDFVLSKSVDNEIVLWEPKTKEQNPGEGAVDILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFIWELQSSPPVLIARLSHAQCKSPIRQTAMSFDGSTILTCCEDGSIWRWDTVS >Ma02_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7704244:7704613:-1 gene:Ma02_g01020 transcript:Ma02_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQCRGSISFLCTVSNIRNIDHSKNISSREVV >Ma02_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17556178:17558608:-1 gene:Ma02_g06590 transcript:Ma02_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFFLFVLPFVVVLVVLTVIVRPRPVRIPLKGRHVLISGGSSGIGLALARRAAAEGARVSILARDPDRLRDACDAIRLATGVDAAALAADVRDPEAVACALEAAGHIDVLVCNHGVFLPQELDRQSLEEVRFTVEVNLMGTFHLIKAALPAMKQRARESGLPASIAIMSSQAGQVGIYGYTAYSASKFGLRGLAEALQHEVIADSIHITLIFPPDTETPGLAEERKRRPDVTNMIAASSGGMNADDVAQKALHGLKSAQFVVPCNFEGTMLSIATAGLSPQRSYLMAFVEVLGAGFMRFLGLCFQWNWFNIIDKWYTKKTT >Ma02_p16510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23841765:23842265:-1 gene:Ma02_g16510 transcript:Ma02_t16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTKEPDGGEEKVVLKTHDGQEFTVDMATANRSGTLKNLLSEVGGSSDNPQVIPLPSSITSPVLGKVVEYCQRHAGGGGGTGGVQALEQFDEELVELDKDMLLDVTYAAAFLDVPRLLDLTSQAVADAIKKMSVEEVRHYFGVVSDFTEEEEKVIRSECPWAFE >Ma07_p25190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32273211:32278480:-1 gene:Ma07_g25190 transcript:Ma07_t25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFLQKPPPPPSDGDDGVGGGNRDAVEATLSLLRNIESVLWSVISSCGRYEARLWLCNTVSSIHSIAARDQLHLFMELLRSERSKLDVAPRLLQMIFEKRPQKAGRILAKRCYLLEKFFQGNPRRIFLWFDNFAGVGESGHQKGARALSLYAFANRDVCWEELEWKGKHGQSPAVVATKPHYFQDLDILQTIENFLEYVPDFWSSSELAESVKDGEILKLDSKYFVEQFVGLMYKEDLEDIWAAIEEFILEENFSSLSQNLLILLDEDRLLLFLKSIRKCIHLSGQCQDFGYASCWLENLLATCDDQISLDELLLLNAVIGKGRQLVRLLADEEHEEEKGKVDTILKSKVTLSDADHWALIKECVNMKQQVAIKVVGQLSWVLHYLLARECMTAQSWEILFKTNGIHFQKADDYSLIQADGIRESNLDSDVEGWASGGKKMCRRDRKKRRKKYHRDYNSSDEIEIEQTNAWQGLQAGRSWFLSTDGFSCAWNIADLPEHLSRHCFKTWTKWIYSKC >Ma07_p25190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32273211:32278480:-1 gene:Ma07_g25190 transcript:Ma07_t25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFLQKPPPPPSDGDDGVGGGNRDAVEATLSLLRNIESVLWSVISSCGRYEARLWLCNTVSSIHSIAARDQLHLFMELLRSERSKLDVAPRLLQMIFEKRPQKAGRILAKRCYLLEKFFQGNPRRIFLWFDNFAGVGESGHQKGARALSLYAFANRDVCWEELEWKGKHGQSPAVVATKPHYFQDLDILQTIENFLEYVPDFWSSSELAESVKDGEILKLDSKYFVEQFVGLMYKEDLEDIWAAIEEFILEENFSSLSQNLLILLDEDRLLLFLKSIRKCIHLSGQCQDFGYASCWLENLLATCDDQISLDELLLLNAVIGKGRQLVRLLADEEHEEEKGKVDTILKSKVTLSDADHWALIKECVNMKQQVAIKVVGQLSWVLHYLLARECMTAQSWEILFKTNGIHFQKADDYSLIQADGIRESNLDSDVEGWASGGKKMCRRDRKKRRKKYHRDYNSSDEIEIEQTNAWQGLQAGRSWFLSTDGFSCAWNIADLPEHLSRHCFKTWTKWIYSKC >Ma07_p25190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32273211:32278480:-1 gene:Ma07_g25190 transcript:Ma07_t25190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFLQKPPPPPSDGDDGVGGGNRDAVEATLSLLRNIESVLWSVISSCGRYEARLWLCNTVSSIHSIAARDQLHLFMELLRSERSKLDVAPRLLQMIFEKRPQKAGRILAKRCYLLEKFFQGNPRRIFLWFDNFAGVGESGHQKGARALSLYAFANRDVCWEELEWKGKHGQSPAVVATKPHYFQDLDILQTIENFLEYVPDFWSSSELAESVKDGEILKLDSKYFVEQFVGLMYKEDLEDIWAAIEEFILEENFSSLSQNLLILLDEDRLLLFLKSIRKCIHLSGQCQDFGYASCWLENLLATCDDQISLDELLLLNAVIGKGRQLVRLLADEEHEEEKGKVDTILKSKVTLSDADHWALIKECVNMKQQVAIKVVGQLSWVLHYLLARECMTAQSWEILFKTNGIHFQKADDYSLIQADGIRESNLDSDVEGWASGGKKMCRRDRKKRRKKYHRDYNSSDEIEIEQTNAWQGLQAGRSWFLSTDGFSCAWNIADLPEHLSRHCFKTWTKWIYSKC >Ma11_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26178712:26188224:-1 gene:Ma11_g22400 transcript:Ma11_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEMGVRSMIGSGGDAFDVEELEGLGALLREQRRQEAIDRERELNIFRSGSAPPTVEGSLTAVGGLFGLEVGANVPDLPESKNGDGSLSEEELRSNPAYLSYYYSHVNLNPRLPPPVLSKEDWRSTQRLQVGRSVIEEIGDRRKESRWEEQGHISLFSQQPLFNPQEHAVESRKVPGSGEWLDKPGDELIRLPLGRQKSFADVLQGDTGCKTPISNHPSRPQSRNAYANGLEALGSSDSQLSLNNDVSALGRQQPGEYVMSVDGLPHSFTSVGSSSHMKTTTPDPQLVARAPSPRLPPFVLNVGANIQKDKDNLSAIVDSDDLIAAISGFSLSTDGAVAAENTSRTELQSELDDHHKFLFDSLTNQENIRMRAIMKDSDPHSLIIPSLPHSLKASFPHSTTEGQVEIRNLSSRVGDPIEPRKSTISSVKSYVKSPSLPLVANAGGSPGHYQNLESVAAAFAGSSGLSAYSVNPAFPSMLQNHISTGAVPPSFESGAAASAIASLSMDPMVSGGGIFAPSSLAGLTDLKSLGQIGNQSAIAALQTPPSDPLYLQYLKAAEYTAQVAASYGDPSMERGYVGNSHAELLGVQNAYIASLLQSQKQYDPSLLGKFGFTNHGYYGSPAFDLGLSYPGSPLAGQIDSQIGPGSALKLGEHYTQFPYGLRNLNGGTTGSWYFDQSHNLDKHFRSSLLEEFKNNKTRCFELAEIAGHVVEFSTDQYGSRFIQQKLETATIEEKNMVFEEIMPRAQSLMTDVFGNYVVQKFFEHGSTAQRRKLSDQLNKHVLALSLQMYGCRVIQKAIEVVDLDLKKKMVLELDGHVMRCVRDQNGNHVIQKCIECVPQDAIQFIISTFYDQVVTLSTHPYGCRVIQRVLEYCDDPNTQQIVMGEILQSVSLLTQDQYGNYVVQHVLEHGKPSERSAIIKKLAGQIVQMSLHKFASNVVEKCLTFGSLEERQILVNEMLGSTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIGFQTQHASSIA >Ma09_p30240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40465497:40472770:1 gene:Ma09_g30240 transcript:Ma09_t30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEIMEQIGRGAFGAAILVNHKQEKKKYVLKKIRLARQTERCRRSAHQEMALIARLHHPYVVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKRSNGTYFPEEKLLKWFVQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQEVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCVYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSLKAIIKSMLRKNPENRPSASEILQHPYLQPYVIEHRPCPDPSSVNQSPEMPISTSHGSQKNMSESQSSSVSSSDKDSLRSGDHNISGPTADCQQKTTGADSALTDVLAPNAYTPPVDAIHGNEVSKAAIERKSSSKFIHVDQQPKLESKQSKTVNNILMVLKEEGKARESSPMRATRVRVASGSNHKTNPEPTPKLSKPVSTTSTSKPNSEGQAHEPIQTNRDPTKRASNHNSGIDPSQGTSKPISACSTSKSSAEGQPDEPPKTNGDSEKHVQASHRFKQLLPVSEPCSKTKVRYDGVSPPDRVKYIAEDNISPKTRQRTPPSSISRKPTVPSKKSAGVDHCPVENEIKCVSANLTQEPDSDVNKKCCHPMKVNSQSEDVPLDPSREMQIETGDSNAPPVSTQDSPKPVLKEQNMLSSNTTADSAEKIVLPEISESNPPMPLLSSGTYPSLDDSTAEGHEHDSGPILNSRFSAVDLQNSTSSNEMMSLSSLQEPTSSISDQDVVYKDDLSLNKPDQSQMVTQTGVDRFTVRELLSSIADIGPFVSATPKNSPMENIPATNQMSEKPAAPHLTPVFDDVIHVIRHSSFRVGGDQPILENKEKSVQNIDVGKLLNVVQDEVDMRKMPNNLKPAGFIETVKPKTNASESGIKEKPSSPETAKSSYNTTEVRSASSEHVLRSKEEETPVKETLDVNSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVSPRETAIWLAKSFKGLMSETT >Ma09_p30240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40465509:40472770:1 gene:Ma09_g30240 transcript:Ma09_t30240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEIMEQIGRGAFGAAILVNHKQEKKKYVLKKIRLARQTERCRRSAHQEMALIARLHHPYVVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKRSNGTYFPEEKLLKWFVQLLLAVEYLHSNFVLHRDLKCSNIFLTKDQEVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCVYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSLKAIIKSMLRKNPENRPSASEILQHPYLQPYVIEHRPCPDPSSVNQSPEMPISTSHGSQKNMSESQSSSVSSSDKDSLRSGDHNISGPTADCQQKTTGADSALTDVLAPNAYTPPVDAIHGNEVSKAAIERKSSSKFIHVDQQPKLESKQSKTVNNILMVLKEEGKARESSPMRATRVRVASGSNHKTNPEPTPKLSKPVSTTSTSKPNSEGQAHEPIQTNRDPTKRASNHNSGIDPSQGTSKPISACSTSKSSAEGQPDEPPKTNGDSEKHVQASHRFKQLLPVSEPCSKTKVRYDGVSPPDRVKYIAEDNISPKTRQRTPPSSISRKPTVPSKKSAGVDHCPVENEIKCVSANLTQEPDSDVNKKCCHPMKVNSQSEDVPLDPSREMQIETGDSNAPPVSTQDSPKPVLKEQNMLSSNTTADSAEKIVLPEISESNPPMPLLSSGTYPSLDDSTAEGHEHDSGPILNSRFSAVDLQNSTSSNEMMSLSSLQEPTSSISDQDVVYKDDLSLNKPDQSQMVTQTGVDRFTVRELLSSIADIGPFVSATPKNSPMENIPATNQMSEKPAAPHLTPVFDDVIHVIRHSSFRVGGDQPILENKEKSVQNIDVGKLLNVVQDEVDMRKMPNNLKPAGFIETVKPKTNASESGIKEKPSSPETAKSSYNTTEVRSASSEHVLRSKEEETPVKETLDVNSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVSPRETAIWLAKSFKGLMSETT >Ma03_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26756894:26760268:1 gene:Ma03_g21860 transcript:Ma03_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGEEDEEEVLRCQINEWYPMFKPHTIRTLFHPLPDPFLRYLLGLNPVSPPPHDDSHSDDDDDAPPPFLLPRPASGRDPLPRSAAGIDPTSLLDCSSQLSVSDEDEAEADSAPSFPELEAAVERSIAALGGAAFPKLNWSAPKDAAWISADGTLRCSSFADVALLLHSSDSIAHDLSSRPFPSSSSPPFTFYLALRKWYPSFRPEMEFRCFARRRCLIAISQREVTNFYPALLDRRHHHILPLIQAFFSEVVGPTFESESYTFDVYVTSDGRVKLIDFNPWRAFTLPLLFTWEELEEEAFNSEEEEVDKVQVEEEARLVEFRIVESQCGVRPGLKTAVPYDYLDTGEGSGWDQFLRRADEELRKQAKTTLHPSDDA >Ma07_p27230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33680321:33683225:-1 gene:Ma07_g27230 transcript:Ma07_t27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFRAPSFTGNLNALRRTTLSERPSPSIRFSAQAAPTRRTANYQPNLWSDDRIQALTSRSVTVEEERKTNGIDDLKEDVRKLIYEKKEVEDQLQLVDHLQQLGVAYHFKDDIDDVLRCLYGSLEDINMLLKDDLHATALGFRLLRENGFDVSEDLFNRFRDEKGDFKASLQHETKGILSLYEASYVAKEGELVLDQATDFTTKHLKCLMEEGSLEPRLREHVAHALEIPLHWRMQRLHTRWFIEAYQREATMNPVVLELAKLDFNVVQGMYKGELRELSRWWTNLGLAQKLSFFRDRLTENFLWTVGSAFEPQFWECREIQTKANCLIAMLDDVYDIYGTLNELELFTEAIERWDANKIDKLPDYMKLCFLAIFNAANETGYRVMKEKGLDIIPFLRKAWTDLCKAFLLEAKWYNQGHKPKLDEYLDNAWMSSGGHVFMTNAYCMSDNLTKESLESFSTYPKVARCSAMLFRLYNDLATSTIELERGDAPSSIQCYMLESGVPETAARKKIRELIKANWRGINGDRSSSYGEIFKTVAVGLPRMSQFIYQHGDGYSAPDGETKKQIMSLLFEPLQLSKL >Ma10_p24080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32789842:32797765:1 gene:Ma10_g24080 transcript:Ma10_t24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVLRRNSLLPLFETCRLRDLFFFSSSVDPAAAVGVTTSPDPHFTVEYLVNSCGFSPSEAAKFSKPLAHLRSTEKPDAVLNFMRSQGFDGAGIRKVISGDPRHLCYNVEKNLAPKFQFLRDLGLSESDIVDVIRNNDDILRRNVHRSLAPKLEMWESLLGSRELVLKHLKKTTRFFHSSVEKKLHPNLKFLGDECGIPEERVSVVLRSHPKLISLKPESLRALVARADELGMPRQSRMFVRTLDALHNVSQERFEAKVELMRSFGWSESEFFSAVRKAPTFLCISLDMLRRKVEFFINVVGYTPSFIASHPNLLLLSLQKTVIPRFRVLEMLNTKGLWTRRGKFSSYVQLSNTKFMEKIVLPYKEKVPELLDILRAGDEQ >Ma11_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25206110:25208145:-1 gene:Ma11_g20790 transcript:Ma11_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAPHMGGDTVFTFFLLFLFISYATARDRCVHQSKAAYSSSSGLSVGACGYGSMALGFNGGYTAAGSSTLHRGGVGCGACFQIRCKNTSVCGTEGVSVILTDLNKSNHTDFVLGDPAFMAMARNGKEQELKKLGILDVEYKRIPCEYKNRNLSVRVEESSRSPSHLAIKFLYQGGQTDMVAVDVAQVGSPNWRFMRRDYGPVWSISRAPVGPLQLRMVVTGGYGGRWVWAQKAVLPAEWTTGSVYDLGVQITDIAREGCRIEE >Ma09_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5873196:5874041:1 gene:Ma09_g08830 transcript:Ma09_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIKLAKFNIDQFCPHQRILCFCFYSADHRRHHFFLPRKKVSISHGSCKVRIHRLMLKFQGGIHKIHLPMGCVCS >Ma03_p09080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6689656:6696345:1 gene:Ma03_g09080 transcript:Ma03_t09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYSVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKVPNVHKDAFVAPSASVIGDVQVGQGSSIWYGCVLRGDVNSIHVGSGTNIQDNSLVHVAKSNLTGKVLPTIIGDNVTVGHNAVLHGCTVEDETFVGMGSVLLDGVVVEKHGMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDEEIAFISQSATNYANLAQVHAAENAKSFDEIEFEKVLRKKFARRDEEYDSMLGVVREVPQELILPDNILPNKSPKPSQIG >Ma09_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:69780:71760:1 gene:Ma09_g00060 transcript:Ma09_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRYHYSVADMMIAQYIMIGKQDGLFVSCVASCLNRWAIVMDSGTGFTAQVYELSEQFLPDDWINEQWEEKYYISALAGADNGCSLVVMSKGMQYYFFPFRWIKKKWSEGSYVTSIAIAGNRWAVVMSRNAGFSDQVIFFYVSYLEEKKEPSSEIGEMVNVQQQLPQHLTKLLLYFVYLVGNQTTKHKRYSEHLLFHFWISRRSRQKIFVASLCYGRTVS >Ma06_p11720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8171142:8182157:-1 gene:Ma06_g11720 transcript:Ma06_t11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGSLSRAVSAFCAAPPRRHSSAPTTLRRRAPLVGALCILSLGTLSAIAYRSGFASAQALRRFHFLGSKVVNQPSNRSLHSTIMEGASTTVPSIVVYVTVPNREAGMKLAESIIKERLAACVNRVPGIESVYWWDGKVQTDSEELLIIKTRESLIGALTEHVKSNHEYEVPEVIAMPITGGNHKYLEWIKDSTRDE >Ma10_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7446021:7449008:1 gene:Ma10_g02190 transcript:Ma10_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucuronosyltransferase GlcAT14C [Source:Projected from Arabidopsis thaliana (AT2G37585) UniProtKB/Swiss-Prot;Acc:Q8S8P3] MRKPHGVVDRFPINPKWVVLLLVIPLLALFLLLIGALGPLKPHNPSDLGRASGALRPPKPPRLAYLISGTQGDGRRLKRLLQAAYHPWNFYLLHLDRTAPPEERADLATYAASEATFVQFGNVRMVEDADPVSQKGPTMIACTLHAVAILLREFEDWSWFINLSAADYPLMPQDDILHVFSYLPRDFNFIKHTSDIGWREYERARPIIVDPGFYKPSRTDIFWAKEKRSMPSAFKIFAGSSWVILARPFLEFCIWGWDNLPRTLLMYYTNFLSSSESYFHTVICNSQDFQNTTINDDLRFMMWDDPPRLHPMNLTSEHFNLMAESGAPFAHSFSREDPVLDRIDDELLQRSAGRFTPGKWCLANPEFGTDPCSVRGRPSVIQPTVNSRRLEMLLVKLLDPDDFRPRQCI >Ma07_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8281027:8285328:1 gene:Ma07_g11150 transcript:Ma07_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGGVEEEFFGPPASQHAAPPNRAAPGQGRDPRGPGTMKSGAPAKVLPIEIPAIPLAELNRLTSNFGQKALVGEGSYGQVYQATLSTGEPVAIKKLDPSASNDPDSDFAAQLSMVSRLKNDFFLELLGYCLEANNRILVYQFAAKGSLHDTLHGRKGVQGAEPGPLLNWSQRVKIAYGAARGLEYLHEKVQPPIVHRDVRSSNVLLFDDYASKIADFNLTNQSPDTAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLENDFPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNTKPGQDNHQ >Ma01_p20380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17685365:17688075:-1 gene:Ma01_g20380 transcript:Ma01_t20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQANYDKMLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMARGAIRMVSAHASQQIYTRATNA >Ma08_p03040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2284036:2289903:1 gene:Ma08_g03040 transcript:Ma08_t03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIMKVATVEKSNRVVARASKSNSDQANDLPGEPSAIIESDEAINGTHTDENKGQEVPYLRNVSLGRGRDIAVYKYSAQKILNQKKPAVSKPANAGNRTVPQPSAVATEKHVSGGNRAFGAEATASGDKKARVDGRQSANILRNVQSNSPLISVKPLQPHDTVHPDNEDYCSVASSTAASVRTLKGKTTVAAAPTFRCSERAAKRKEFYSKLEQKHQAMEAEKTQSEARTREEKEAALKELRKSLVFKANPMPSFYHEGPPPKVELKKVPPTRAISPKFTRRKSYSDASPAGENCNGLCGRFHRHSLGTSTEATTIRLQNSHKNVKGKQSLKSDRESSKTLCVKVTDQTRTGVTLQT >Ma08_p03040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2283191:2289902:1 gene:Ma08_g03040 transcript:Ma08_t03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIMKVATVEKSNRVVARASKSNSDQANDLPGEPSAIIESDEAINGTHTDENKGQEVPYLRNVSLGRGRDIAVYKYSAQKILNQKKPAVSKPANAGNRTVPQPSAVATEKHVSGGNRAFGAEATASGDKKARVDGRQSANILRNVQSNSPLISVKPLQPHDTVHPDNEDYCSVASSTAASVRTLKGKTTVAAAPTFRCSERAAKRKEFYSKLEQKHQAMEAEKTQSEARTREEKEAALKELRKSLVFKANPMPSFYHEGPPPKVELKKVPPTRAISPKFTRRKSYSDASPAGENCNGLCGRFHRHSLGTSTEATTIRLQNSHKNVKGKQSLKSDRESSKTLCVKVTDQTRTGVTLQT >Ma01_p20250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17432376:17435020:1 gene:Ma01_g20250 transcript:Ma01_t20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRINKHFLLCIISLMATQGDLSLYSRCPNFSSTLLFFIFICFSVIPSSAVNYISSNYSLSGNQTITSAGGDFALGFFQSGKGTPNRYYIGIWFNKVPKLTSIWVANRDTPIPDPAAAQLKISDDGNLVLLSQSRTQVLWSTNITSMASNSTVAEILDSGNLVLRDRSDPSKLHWQSFDHPTDTWLPGAEFVLNKVTRKGHVLTSWRNSDDPAAGLFSLELDPTGIRQVILLWNMSEQYWSTGPWNGHFFSSIPEMTAYRENPTVVNVSVEFFSNSTTNYFVYQLKGDMITRTVIDVSGQLKQLAWVEAIQDWIQFLSLPKQQCDVFAVCGPFGSCNENGLPFCNCVEGFGEKSPGDWKLGDRSQGCARNTPLQCGNYGSDDGTKDWFLAVSSTRLPDDPHATAAASAEECELFCLDNCSCTAYYYYNGGCSVWYGGLLNLQEPSDGYVGETLYVRLSASELPRQPRINKRTALKFVVVGVAIVLALLAFALAIFLVRRRRRRHGSNEEAEGNLVVFRYGDLQRMTRNFSERLGGGGFGSVYKGTLPDSTSIAVKKLRGLHRQGEKQFRSEVSTLGMIQHVNLVRLRGFCSEGNNRLLVYDYMTKGSLNAHLFRRGSPAIDWNTRYNIAIGTARGLAYLHEQCRDCIIHCDVKPENILLDESFHPKLADFGLAKLVGRDFSKVLTTMRGTVGYLAPEWISGEAITPKADTYSYGMTLLELVSGRRNREQPGEDSPYFPVLAAREVIEGNVLSLLDPRLNANADLRELERACKVACWCIQDDEAHRPSMAQVVQILEGAVEVEVAPIPRALQVLADDQNTKRICIFSETSSGQSSMKTLSGWSSSQMESSTSEV >Ma06_p09140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6436232:6438565:1 gene:Ma06_g09140 transcript:Ma06_t09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of anti-oxidant 1 [Source:Projected from Arabidopsis thaliana (AT1G66240) TAIR;Acc:AT1G66240] MSSGSPKSPTESVDFCVASEISSPSAPMAETVVLKVGMSCEGCVGAVKRVLTKMEGVESFDVDLKEQKVTVKGNVKPEAVLQTVSKTGKKTSFWEAEPETEESTSSAATNTA >Ma02_p04290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15759193:15768055:-1 gene:Ma02_g04290 transcript:Ma02_t04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILAKEASPSPNRSSLGQRRREKVGTAAPVGSGSKSQASLSRIETAVDAAPTNTTATVAEVPDRQEDAKRPEGQPPGRRRRQRLEPRLSKPPGHVHGEQVAAGWPSWLSNVAGEAINGWTPRRADTFEKIDKIGQGTYSNVYKARDMLTGKIVALKKVRFDNMEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPTIKFTEPQVKCYMRQLLLGLEHCHNNGVLHRDIKGSNLLIDNEGLLKIADFGLATTFDPDHKQPMTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCILAELLARKPIMPGRTEVEQLHKIFKLCGSPSEDYWKKSKLPHATIFKPQQPYKCCIKDTFKDFPPSSMPLVETLLAIDSAERLTATDALISEFFNTPPYACEPSSLPKYPPSKEMDAKLRDEETRRLKNGGGKANVNGTKKTRARDRTTRAVPAPEANAELQVNIDRRRLITHANAKSKSEKFPPPHQDGSLGYPLESSHYMDPAFDPPEASFSTVFPYQKGGITAWSGPLINSAAAGHPRRKKKSSGNSQMPTNLKQLAGANATKEAQKDKDITQFR >Ma07_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1248562:1261732:-1 gene:Ma07_g01610 transcript:Ma07_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEENVVEEEVPVESGNSHEVKQETDGQLEAESGEKKWPGWPGESVFRILIPAHKVGSLIGRKGEFIKRMCEESRARIKILEGPPGVPERAVMISAKEEPDASISPAMDGLLRVHKRIIDGLDGESGHAPSGAGNTAATRLLVAATQAGSLIGKQGATIKSIQEASNSIVRILENLPPVALSDDRVVEIQGEPTGMHKAVELIATHLRKFLVDRSVLPLFEKRVSLPNMHLEQNMPPPQPWGHPPGLPPSAGGSAYGGNPQFMPPRAHDNFYPPPDLPPLEKQPHQGISMYGQNAPPMGVHSANQQASSMISQVTQHMQIPLSYADAVIGEAGANISYIRRASGATITIQETRGVPGEMTVEITGSASQVQTAQQLIQNFMAAAPSPAPSQSSMGSMDPGYNSYQPHGPMYGSPPSNTGPVAHSGGGYGSTYGGSYGY >Ma06_p03740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2711432:2717199:-1 gene:Ma06_g03740 transcript:Ma06_t03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MIRSALCSLSLRSALNVSSPSPWRPRFYPSQKFEPRCYTNGSNFGNHKLPKQVLENDEPLASRSWIAYVIPTAVLLIAGTGLYVHYNDEKRAILKGSEQSIVYERNNVNRPAIGGPFKLFDTENNSVTESTFQGNWVLMYFGYTSSPDVGPEEVKKMADVIKVLESEYNFKIKPVFISIDPQRDTCAQVKAYLKEFDPRIIGLTGPVSSVRQAAQEYRVFFRKVDEEGQDYLVESSNNMYLLDPNMEVVRFFGVEYDARQLADAIMMEVNKASR >Ma06_p03740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2711432:2714496:-1 gene:Ma06_g03740 transcript:Ma06_t03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MLLYADGKPTAVLLIAGTGLYVHYNDEKRAILKGSEQSIVYERNNVNRPAIGGPFKLFDTENNSVTESTFQGNWVLMYFGYTSSPDVGPEEVKKMADVIKVLESEYNFKIKPVFISIDPQRDTCAQVKAYLKEFDPRIIGLTGPVSSVRQAAQEYRVFFRKVDEEGQDYLVESSNNMYLLDPNMEVVRFFGVEYDARQLADAIMMEVNKASR >Ma10_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26191478:26193988:-1 gene:Ma10_g13350 transcript:Ma10_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFSSQDKRASRKFDANDESSLAPGPRVVSHKSTELSRRRENHSRITQNAAPEASTSKEAGNGNIAAETFTYRELASATKNFRSECLLGEGGFGRVYKGRLEKTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLLEIPPDQEPLSWYTRMKIAFGAAKGLEYLHEKANPPVIYRDLKSSNILLGKDYNAKLSDFGLAKLGPLGDNLHVSSRVMGTFGYCAPEYVRTGQLTLKSDVYSFGVVFLELITGRRVIDTTKPTDEQNLVTWAEPMFKDQKRCCRLVDPLLQGDYPEKDMNQAVAVAAMCLQEEDAARPFITDVVVALSHLTAAPTNAGGSSGHHHSHSMQPEDRGPDDHDKQAEKINVDRQRAVAQAIEWGSEFKAQLAYPS >Ma07_p21050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29149086:29149382:1 gene:Ma07_g21050 transcript:Ma07_t21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAQASFIACLVLVISSISTHVFARRVNGKQRYHREHEKRPAKEPSVGVITVGIARCKSEKIGRCGYLAGSGKNDSLAEDEKRIVPTGSNPLHNK >Ma11_p25090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27835184:27836318:1 gene:Ma11_g25090 transcript:Ma11_t25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSSDENCNNPNSELHPSKLIMASHFLRALFRIQHTTTDSSFAGRSRKIRRAAYASMAYSVGTKRAWSSALLRKLRSRSRLRFPNPRRAKYAALPGRPRVARPQQREMDQAEALRELVPGGTSMDDCRLLEETADYIRCLSTQVRLMQAIMESVSF >Ma09_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7553735:7560129:1 gene:Ma09_g11160 transcript:Ma09_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLKNNPVPTGDHQQVDMEVPGHGQPAGTGAVQLPYVSLPYQANQMMVTSLPPSAGQMTNPASQVQLTQHHLAYQRAQQQQMNQLHQTLQMFRTNQYQEIAATCDFKNHSLPLARIKKIMKADEDVRMIAAEVPVLFARACEMFILELTYRSWAHAEENKRRTLQKNDIAGAITRTDVFDFLVDIVPMEDVKEDVLASISTDGTNESLPYYYVPSQAGSLKMIMNNSEVDQSLLFMQQSQPYIPQQIWDQQQQQQQQTIHQQKQMQQQTEKDSN >Ma04_p36060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34576494:34576665:1 gene:Ma04_g36060 transcript:Ma04_t36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVVPSWISKIEIAMDRTSILGDAIDYMKELLL >Ma11_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24722909:24728777:1 gene:Ma11_g20160 transcript:Ma11_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVFKQFGGSVYGGSDNVITDSPEYQQHQKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQIEIGNKLSEDSRKYGGENTCTSGNTLSKGALSFGRARAQMENERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQDTEAQAIEVSKRQIKVRETVGGGDYISKLEAAEAKLQELKSNMAVLGKEAVAAMTAVEAQQQRLTLQRLIAMVESERTYHQKVLQILEQLEAEMLSERQRIEASPNPATENFVPPPPSYEEANGIFANSTGDTSTDTMEYFLAEVIQSYQAETDVELNLSVGDYVVVRKVSNNGWAEGECKGKAGWFPCAYIERRDRVLASKIVQIL >Ma01_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11351746:11365819:1 gene:Ma01_g15680 transcript:Ma01_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDALSLIPASVLRNLADKLYEKRKNAALEIEGIVKQLAVAREHEKISALINLLTTEFAYSPQANHRKGGLIGFAAATVGLSAEAAQHLKQIVPPVLNSFADQDSRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDPNVQSAAHLLDRLIKDIVTDSDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPEIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPNVDYGRMAEILVQRAGSPDEFTRLTSITWINEFVRLGGEQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIRADPADGFNIHAILSIARRDLTSEWETTRIEALHWIATLLAQHRTEVISYLNHTYDSLLSALSDSSDEVVLLVLDVHACIAKDTEYFCRLVELVVYNFKIDRALLERRGAMIVRRLCVLLDAERVYREFSLILEGESDLDFSSVMVQALNLILLASSELAGLRILLKHSLVTSLGMDLFVSLYSSWCHSPMATISLCLLAQAYNHASSVIQSLGEEDIDGKFLVQLDKLVRLLETPIFAYLRLQLLEPGKHTWLLKTLYGLLLLLPQQSAAFKILRTRLKTVPSYAVSIEQLKHSSSGNPYSQILQIMEDNKTQDAANVCNAINFPARLQQFEQMQRRHRVHSKSHLMSLNSTSSAVSQEIQILEESHHSSPLPEISRLSLNMPEQSKP >Ma09_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8177556:8181866:-1 gene:Ma09_g12090 transcript:Ma09_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPQLNRHASSLLFCHGGSLPSGRGVPHGPAVMVRSKKTSKRSGVRSIRCALKEFRSSQAETDAVLAIKAVATVKVTVGGLLSNLGLPRGIDDVKDLLGKTLLLELVSTELDPKTGREKETVKAYAKKAKQHGDEIEYEASFKVPKDFGDIGGVFVVNEHRKEMFLEEIKLDASDHTATATTLTITCKSWVHSKSDNTDKRLFFVNKSYLPAQTPAGLRRLREEELEVIRGDGQGERKAFERIYDYDLYNDLGDPDHDVSKTRPVLGGSKEFPYPRRCRTGRPRSKKDPESETRSGFVYVPRDEAFSEVKSATFSSRTLRSALRAAIPSIQTAVIDAKLGFPHFNAIDSLFGDGLTLPKRQGVGFFQGLLPRLVNAITGGTQELLLFDSPEMIERDKFSWLRDEEFARQTLAGVNPYAIELIKEFPLVSKLDPEVYGPPESAITEEMIEEEINGVMTVHEAIENKRLFMLDYHDLLLPYVHKVRELEGTTLYGSRTIFFLTNDGTLRPLAIELTIPASATGPQWKQVFRPRRDATGAWLWRHAKSHVRAHDSCHHELISHWLRTHCCVEPYSIAAHRQLSEMHPIYRLLHPHFRYTMEINALARQALINGGGIIEISFSPLKYSMEISSVAYDQLWRFDMEALPADLIRRGMAVEDPTAEHGLRLTVDDYPFANDGLLIWSAIKQWVEDYVSHYYPDPSHITDDYELQRWWDEVRTEGHGDKKDEPWWPKLNTLESLIHTLTTIIWVASAHHAAVNFGQYGFGGYFPNRPSIARTNMPTEDAQKENFVRFLRKPEVSLLECLPSQIQATVVMAVLDVLSSHSVDEEYLGSELEAAWVKDPVIQRAYERFNGRIREIEGIIDSRNSDPKLKNRVGAGVVPYELMKPFSKPGITGMGIPNSVSI >Ma01_p09940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7124535:7127901:-1 gene:Ma01_g09940 transcript:Ma01_t09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKISAADVEENICKFAKKGLSPSQIGVILRDSHGIALVKSVTGSKILRILKAHGLQPGLPEDLYHLIKKAVAIRKHLERSRKDKDSKFRLILVESRIHRLARYYKRTKKVESTWKYDASIASTMVS >Ma08_p09690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7055627:7058106:1 gene:Ma08_g09690 transcript:Ma08_t09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNRSTHWCYQCRQRVRPRERDMLCPNCDSGFVMELDEMEDTMSHFVGMDPDAVHEPWINMMEAISVMMREGRTGRRPHGGIMRRANMNSGFGMEFGPGPWLLFRGQIPVHAFEDHGLEVLLDGHHGVGVRRTGTADYFVGPGLDELIEQLMQNNRHGPPPASQSSINAMPTIKINQRHLHGDSHCPVCKERFEIGSEAREMPCKHFYHSECIIPWLEQHNSCPVCRCEMPTQGSGSCSSSRSSNQSPGSSLRNSGRSRRSLWSYLWPFRSSSSNSSSS >Ma08_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7055801:7058106:1 gene:Ma08_g09690 transcript:Ma08_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNRSTHWCYQCRQRVRPRERDMLCPNCDSGFVMELDEMEDTMSHFVGMDPDAVHEPWINMMEAISVMMREGRTGRRPHGGIMRRANMNSGFGMEFGPGPWLLFRGQIPVHAFEDHGLEVLLDGHHGVGVRRTGTADYFVGPGLDELIEQLMQNNRHGPPPASQSSINAMPTIKINQRHLHGDSHCPVCKERFEIGSEAREMPCKHFYHSECIIPWLEQHNSCPVCRCEMPTQGSGSCSSSRSSNQSPGSSLRNSGRSRRSLWSYLWPFRSSSSNSSSS >Ma01_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21849507:21850679:1 gene:Ma01_g21790 transcript:Ma01_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGSSQVVWRYASFSTHASGSILSNALLDVYAKCEEMEIAIKRFFDEAPRRDLISWNSLLARYARREIGELNQGRCGHGWVLKVYGNLDAFLGSASIDMYSRCGNIWRSFMVFDNVSEKDVTLWTAMITGLAFHGFGAEALELFHEMQEEGLMPNSVTLVVVLTTCSHAGLVDKALRIFESMKQRCNIEPGVEQYGFVVDLLARSGRLSDALNVIARMPMKPSRSIWGAILSSSKAYGDLELTDSALIEHLKLEPDKSMSCSRMLMLLMGSGATPTKSERLWWEDKV >Ma08_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5920002:5925054:-1 gene:Ma08_g08480 transcript:Ma08_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVALRPESFLCFSPVRSKRNGRSPRVSKASAIRSSSATKVETPKKPFTPHELHVQVTHSMPRQKIEIFKSLEDWAENNILVHLKPVEKCWQPQDFLPDSSSEAFYDEVKELRVRSKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGIRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDLTLAQICGIIASDEKRHETAYTKIVEKLFEIDPDDTVIAFADMMKKKISMPAHLMYDGRDDKLFEHFSVVAQRLGVYTAKDYADILEFLVTRWKVGDLTGLSEKGNKSRDFICNLAPRIRRLEERAHGRAKKAPTIPFSWIFDRKVQL >Ma04_p13850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10499417:10503509:-1 gene:Ma04_g13850 transcript:Ma04_t13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGAMDLATRVGGSIKKEDVQSAVDRYEKYHVCFGGEEETRKANYSDMVNKYYDLATSFYEFGWGQSFHFAPRWKGESLGESIKRHEHFIALQLGLKPGMKVLDVGSGIGGPLREIARFSLTSVTGINNNEYQISRGEELNRLAGLSHSCNFVKADFLKMPFSDNEFDGIYAFDALCHAPDPVACYREICRVLKPGQCFAASEWFMTDHFDPNNQSHQKIKAEIELGNGLPDIKTTRECVDALKLAGFEVVWARDLAVGSPIPWYSPLDVNRFSLSGFRLTAFGRGITRAMVKALEFVRIAPAGSARVSSFLETAAEGLVEGGRKEIFTPIHFFLARKPLSRS >Ma06_p10530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7292226:7294012:-1 gene:Ma06_g10530 transcript:Ma06_t10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMGTKQLAVLSLVILASLPSTALAGRQRRLYGKYAAPAGAITKESAKINEPHQEQVLIVRSRILKIKTNDYGSYDPSPSLSKPPFKLIPN >Ma09_p20220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:28297091:28298167:-1 gene:Ma09_g20220 transcript:Ma09_t20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLRSSGRHRLLLSSSSSSAIRCLRRFSPAGAAAKDDSDDLEPIDPRKLPADYDLATFDPAAPRRSPPTERVWRLVDEIAALSLADVAELSCFLRQKMGMEEPPAIGIANAGAGGAGASAAGAAAAGKEEAKKQEKTVFELRLDSYEAASKIKVIKEIRVFTDLGLKEAKDLVEKAPTVIKKGLSKEEAEQIIEKMKAVGAKVVME >Ma02_p18090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24726762:24730710:-1 gene:Ma02_g18090 transcript:Ma02_t18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGYARLTRCFCCGGFPRHRGRHVAAAVTSEFYDEGLGHSFCYVRPDSCGGGLTSSAAAAAAAAVASSKVHHSEETTTFRAISGASVSANPSTPLSTVPHLVDCAGEGAVPTSAAFESSTSFSSVALQPVPRFSGPLSGPIAAGADRGFMSGPIERGFMSGPLDHRAALFSGPLEKPPSLTGQLLRSLSHGLPTPRRAAAAASLLRGLTKAITRTIYATAPGRGSTKVPKVPDLADSSSRNLSNSSGRNSVEGGKWSDSSDSRNGTLQWAQGKAGEDRIHVVVSEEHGWVFVGIYDGFNGPDATDYLLSNLYFAVHQELKGLLWHDKEDSLSGPIHDPLARVYIEEFGCCEEDKQSSTKRKKLQERSNCEREGERLDVDCVFKEQSSQLCSSGEVNHSQVLKALSQALRKTEENYLDIADKMISENPELALMGSCVLVMLLKGDDVYLMNVGDSRAILAQKAESDSWSSIRKPKLDLHKINEEIVSDIEVFDGLKCFPNLEATQLTLDHSTSVEEEVCRIKSEHPDDSLAISNNRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYIGTSPYLTCNPFLYHHKLGPKDKFLILSSDGLYQYFTNEEAVAQIEMFIETTPEGDPAQHLVEEVIFRAAKKAGMDFHELLEIPQGDRRQYHDDVSIIVISLEGQIWRSCL >Ma02_p16170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23642881:23645499:1 gene:Ma02_g16170 transcript:Ma02_t16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLEATEPTSGESLAQPQSSGSPQPPPAPSERRLPESRYLPDASGDGASVAVNSAPVTPSGTEAKADVDVHFGNGGMGLGSLTGGSPEGIPFAVGDLVWGKTKNHPWWPALVSDPSSAPIDAKKAHLSDVSLLLVYCFGSGAFAWCEPAQLKPFVEDFHRMTRQSSSKSFVAAVEGALDEIRRRLQLELTCGCVPPEAGGKTAECPAGRLPVSNFQPLEFLEHLQDVACDVTMADVLQVAALRSWVIAFGCDSEAVEKEEDTSNPGFGIESTSVNDILLELLCTARNPLHLKWNRSAKMIKSFFIKYRSSMYSSGSDFLTYQKHHNECCQKTGVFGPPFANYHLHYLPPIACSPHPIPPLPYIRESLERMISTLTTTSVKETGASDGMKPAARENLVGGMDGLLKKVNRMLNGAAYQNMVLTSFRLGISDRPCFIRLLNDDL >Ma08_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10361675:10363629:-1 gene:Ma08_g13040 transcript:Ma08_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRRKPPHALLVPYPLQGHIIPAVHLATKLASRGFTITFVYTEAIHHQTSRAASGVDRDIFAAARARGLDVRCELVNDGLPVAFDRSLNHDRFMGSLLHVLPAHVEELMRKLSLYADPPITYLIADTFFVWPSTLAKKFGLPYVSFWTEPALVFTLYYHLDLLIKHGHFASHDNSKDTITYVPGVAAIEPADLMSYLQERDVSSVVHQIIFRAFEEAKGADLVLCNTLQELEPETISALQLEKPFYAIGPIFPAGFTKSAVATSLWAESDCSRWLDSKPPGSILYISFGSYAHISSRDLKEIVQGVLRSKARFLWVLRPDVVSSDEPDPLPESFIEDSKGRGMVVPWCCQTAVLSHRSIGAFLTHCGWNSILESVWCGVPLLCFPLLTDQFTNRKLVVQDWKIGLDLGEKNKVGRKEVAERIESVMGEVGDELREKVKDVRRTLERALGPQGSSQKNLDRFIADLMQHSQQLDGGRESTLRH >Ma06_p13500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9247323:9252685:1 gene:Ma06_g13500 transcript:Ma06_t13500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSAERSSFSAQVVGNAFVQQYYHVLQQSPEHVYRFYQDSSKLGRPDAHGAMSSVTSTDAINAKILSMGSVRAEMTSVDAQESLGGGVIVLVTGHLTGEDNVKRDFTQSFFLARQDKGFYVLNDIFRFVEEVDHQQGHQSLANDSGAPHAPESDLPPEEEQHAPDQTDVLPVEEEEVNEEEVYNPSDNGEVVEEEEPTGEVINEVPSNSESNAVTAQEEMPKKSYASIVKVMKDSASVSVPTRASSMPTSIKAEPQAIPAPPAAPASDMSASSSAAAESSNVQEAETDGYSVYVKSLPMDATPAQLEEVFKKFGPIKPGGIQVRSHKLQGFCFGFVEFEVTSAVQSAIEASPIMIGGRSAYVEEKRAPGSRVGNRGRFAPGRGGGFRNDGRGRSNYGGGMGYGRGDFGSRGGGRGGFSSRGGDVGYQRVDHIRSSSSRGSRTG >Ma06_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9247535:9252685:1 gene:Ma06_g13500 transcript:Ma06_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSAERSSFSAQVVGNAFVQQYYHVLQQSPEHVYRFYQDSSKLGRPDAHGAMSSVTSTDAINAKILSMGSVRAEMTSVDAQESLGGGVIVLVTGHLTGEDNVKRDFTQSFFLARQDKGFYVLNDIFRFVEEVDHQQGHQSLANDSGAPHAPESDLPPEEEQHAPDQTDVLPVEEEEVNEEEVYNPSDNGEVVEEEEPTGEVINEVPSNSESNAVTAQEEMPKKSYASIVKVMKDSASVSVPTRASSMPTSIKAEPQAIPAPPAAPASDMSASSSAAAESSNVQEAETDGYSVYVKSLPMDATPAQLEEVFKKFGPIKPGGIQVRSHKLQGFCFGFVEFEVTSAVQSAIEASPIMIGGRSAYVEEKRAPGSRVGNRGRFAPGRGGGFRNDGRGRSNYGGGMGYGRGDFGSRGGGRGGFSSRGGDVGYQRVDHIRSSSSRGSRTG >Ma06_p13500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9247323:9252685:1 gene:Ma06_g13500 transcript:Ma06_t13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSAERSSFSAQVVGNAFVQQYYHVLQQSPEHVYRFYQDSSKLGRPDAHGAMSSVTSTDAINAKILSMGSVRAEMTSVDAQESLGGGVIVLVTGHLTGEDNVKRDFTQSFFLARQDKGFYVLNDIFRFVEEVDHQQGHQSLANDSGAPHAPESDLPPEEEQHAPDQTDVLPVEEEEVNEEEVYNPSDNGEVVEEEEPTGEVINEVPSNSESNAVTAQEEMPKKSYASIVKVMKDSASVSVPTRASSMPTSIKAEPQAIPAPPAAPASDMSASSSAAAESSNVQEAETDGYSVYVKSLPMDATPAQLEEVFKKFGPIKPGGIQVRSHKLQGFCFGFVEFEVTSAVQSAIEASPIMIGGRSAYVEEKRAPGSRVGNRGRFAPGRGGGFRNDGRGRSNYGGGMGYGRGDFGSRGGGRGGFSSRGGDVGYQRVDHIRSSSSRGSRTG >Ma08_p33460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43746802:43764338:-1 gene:Ma08_g33460 transcript:Ma08_t33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSHLSRVRIPEPTNRIYKTECCISFDTPRSEGGLYVDLSSFIAFGKEGVGWNYEKSGNPVYLHIQQRPKPVPEDRPLKKPTLLAIGVDGGFDHEETEYEETYSIVMLPDYMSLPFPSVELPEKVRLAVNAILIAEGAKCNEQLASWTAEKKKVSAYAMNLQQINTGVVVPPYGWKCCKCDKSDNLWLNLTDGMILCGRRNWDGSGGNNHATEHYEETRYPLAVKLGTITADLEGADVFSYPEDVTVEDPLLAEHLEFFGIDFSSLQKTEMTTTEKELDQNMNFDWNRIQESGQQVEPLFGPGYTGLVNLGNSCYMASIMQVVFTTRAFISRYYEHQSLKMAFLMAPSDPTVDLNAQLTKLAHGLLSGKYSFPVQEKQEGIPLHMFKTIIAANHREFSSMRQQDALEFFLYFLDQVERGNAGKPELDPSRSFKFIIEERLQCPSGKVAYNKRSDYILSLTIPLHKATNGEQLEAFDKLKAEKKLEGKDIARGEIVRPRVPLEACLDCFSAPEEVHDFYSSALNAKTTAIKTAGLSTFPDYLVLHMQKFVLEEGWVPKKLDVYIDVPDVIDITHMRSKGLQPGEELLPETGPCEVEPTHFVASEDIVSKLAAMGFNYVHCQKAAINTANAGVEEAMTWLLSHMNDSDIDDPISQNPHTMELQSVDEASVETLISFGFQEGVAKKALKASGGDIEKATDWIFNHPEASSSVDTELTSSGVQADDPAVPDGSGRYKLMALVSHIGTSTQCGHYVAHVYKDGRWVIFNDNKVGASIDPPKDMGYLYFFSRIDG >Ma02_p07750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18300075:18300566:-1 gene:Ma02_g07750 transcript:Ma02_t07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKILWKHVTNPPAAKSTGIPAKSNKQNLRKSTSQKVASSFTRVCLCAPISSYNEVFRAEVPPRRSYSCTRSKSVVASSERTVSTRTSAEGRRVFRGKSLTDDVLMRRFVVEEEAMTQQRRRNQMEFVRKRNAMRRKKIGPSPLSRMAMAEEEE >Ma01_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7563573:7564210:1 gene:Ma01_g10520 transcript:Ma01_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTLAMGAAAAGGRVFAATAAAKGAGSSGEEKGLLDWILGGLQKEDQLLETDPILRKVEESNGRGRTTGSRKSTTTSVSVPNKKKSGGFGGLFAKK >Ma04_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5317685:5321552:1 gene:Ma04_g07330 transcript:Ma04_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDSSFKMILGSSSRSRRQILSEMGYDFIVLSADIDEKEIRRHTPEELVMVLAEAKADAIISKLRSSGFKEEDAEPTLLITADQVVVHGGLIREKPSSTEEAREFIKGYSAGHASTVGSVLVTNLKTGVRKGGCDKAEIYFHKIPDEVIDSLIEEGDVLYVAGGLMVEHPLTSPFVEAIVGTIDGVMGLPKALTQRLIQEALQSAI >Ma04_p07330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5317526:5321552:1 gene:Ma04_g07330 transcript:Ma04_t07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTALSNRLGSACARFGLILGLLGDAIECQAQVGGYNMALWLEDHHKTPSSHLHLSLGLWSRRVAATHPFHTHVSERLLLQDDSGVVLKVSPADTIRDGIRLHRPECRYRREGDSQAHAGGAGDGLGRSQDAIISKLRSSGFKEEDAEPTLLITADQVVVHGGLIREKPSSTEEAREFIKGYSAGHASTVGSVLVTNLKTGVRKGGCDKAEIYFHKIPDEVIDSLIEEGDVLYVAGGLMVEHPLTSPFVEAIVGTIDGVMGLPKALTQRLIQEALQSAI >Ma06_p19010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13009949:13016292:1 gene:Ma06_g19010 transcript:Ma06_t19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPAWWEGKSKSKPKPSSSRRSAAEQEQSHPVDDEDVTPKRGSGRGKVKGNIFDGILGFRRSKVPSPISAGGGCGGGGRNVSVFGQPLPLPKSVSSPLPSLVSHEHPAVAGSASASTSVSTSSGSSSASSDETPDLGFYRYPDTISMPRGRNVAPDLQSPQYTTEDRQLFSRVAALEHPKYFESSDTPRKESQSHGFDPSSNGRTAYSRVQSSAETLYGRRTAISSPGHPFPTSPVHSKAFGLCPVSPSQRQDNFRSPPHPLPLPPSSPSSSSSSSRSPRLQWKRGKLIGRGTFGHVYLGFNSESGQMCAIKEVKDIFDDKNSKECLKQLSQEIALLSQLSHLNIVQYYGSELAEDTLSVYLEYISGGSIHKLLQEYGPFSEPLIRSYTAQILSGLSYLHERNTVHRDIKGANILVDPNGKIKLADFGMAKHISSCTSIRSFKGSPYWMAPEIIMNGSGYNLSVDIWSLGCTVLEMASSKPPWSQYEGVAAIFKIGNSKDIPEIPDHLSSEGKDFLKLCLQRDPMARPSAAQLMEHPFVRDQITTNAAKFNEIRDTLPSSANRSTRMLTVELSSKENASLHDREYGICQTSGFLSELPSAYKNSRNLTSLRTNMSLPVSPCSSPLRQFRQSNRSCLPSPRHTSYSSGADNYSPVNNILYPARLSNNISNPFSDIGLLKARTPSDSSRRLNLRL >Ma07_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25473857:25475735:-1 gene:Ma07_g18440 transcript:Ma07_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNRERIRRGATVDKTVCRKNLGRLTRLILKAEKERQHNYLKDGPYITPEEAVVIYTTTAHWLESRKFSPIPFPPLWYKHDTKLLVLALERLKESYSVAVRLNQSQREELGLIEQAYDNPHEALSRIKRHLSSQRVFKEVGIEFMDLYSHLLPVYEIEPLEKITDAYLDQYLWYEGDRRQLFPNWVKPADSEPPPLLVYKWCQGINNLQAIWDASDGQCVVVLQTKFEKLLEKIDLILLKRLLCLVLEPSLAEYITGKNNVVLSYKDMSHTNSYGLIPGLQVASFVVQYYGLVLDLLLLGLTRATEIAGPSRMPNEFITYADTRVETRHPIRLYSRYIDRVHMLFRFSREEARDLIQRYLIEHPDPNNENMVGYNNNKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPPSITTLEWENSFVSVYSKDNPNLLFSM >Ma06_p31970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32944756:32948758:-1 gene:Ma06_g31970 transcript:Ma06_t31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIDFKFQDFRRVSMTVSVNVSRFEGVTMAPPDPILGVSEAFRADTNDLKLNLGVGAYRTEELQPYVLNVVKKAEKLMLERGENKEYLPIEGLAAFNKVTAELLFGADNSVIQEGRVATIQGLSGTGSLRLAAAFIQRYFPDAKALISSPTWGNHKNIFNDARVPWSEYRYYDPRTVGLDFDGMVADIKAAPDGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDADAYSVRLFVKRGFELLVAQSYSKNLGLYAERIGAINVVCSSSDAGTRVKSQLKRLARPMYSNPPVHGARIVANVVGDPNLFNEWKQEMELMAGRIKNVRQRLYENLSQKDKSGKDWSFVLKQIGMFSYTGLHKAQSDHMTDKWHIYMTKDGRISLAGLSLSKCEYLADAIIDSFHNVD >Ma03_p03940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2573864:2577367:1 gene:Ma03_g03940 transcript:Ma03_t03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGEIKVELNGEMGHEEPPRFVSPIEIGGGDPAREDTACVSPAEVISSPGVVKANDDSLARSPSNPVGSRSGEATQVVPSKPAPLRGYGLKRRRRIRRDTSKDVISNADSAQILGRRLSHAEPSKARDDNKHMSDGEGEGKGSAASLASMNIGGTPFLVAPTSLDPELDFLVTAAGFSIGIDSENSDDHSSKSSTAASAPRLPYEAIGLGRDRSKPRIGGGKGSGHAVQQRGQRAKGGRIDTGKKFRENRVKNEMENSYSSIESDLMSSNMAFVRVDSMGSNGKQSEKSINFDGEQSDDARLSGEVRSGFYIENGKVGDSSREDLYAHLSGEENNTKSENNQPGTDLDSFLESIVSLQLIKEALENEIQNIGGIAKDTISDDSDNQYEETEGTSSPSVEAKSAELNQKIGHLEGKLVEAVTNVNAKESKILELEAILKKKERECTNLSFLQEKCKVMEVELSNLLEKIIEAEIGYLVMTRTTQSCSVLAEDHISLLEEQRSPSGVPSKMMLTVNSAENKPMMLRRGAEELDKDISVTQEVLRLHGRVFKYSLCLSIETVILCIVFVLFIMQLLPSYNGVTPT >Ma00_p00010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5983:13698:1 gene:Ma00_g00010 transcript:Ma00_t00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKNSPELDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGQKVAIKRIHDIFGHISDAVRILREIKLLRLLRHPDIVEIKHIILPLSRRDFNDIYVVFELMESDLHQVIKANDDLTREHYRFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFGDTPTTIFWTDYVATRWYRAPELCGSFFSKYTAAIDIWSIGCIFAEVLTSKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLSCMRKKQSVPLAQKFPNADPLALKLLERLLSFDPSKRPTAEEALADPYFKGLAKIEREPSCQSITKMEFEFECRRLTKEDVQELIFLEILEYHPQLLKDKVNGTEKTNFLYPSAVDQFRKQFAYLEENGGKSGFGIPLDRKHVSLPRSTVVHSTTIPSLEQPNMGSSRDGPAHKNSRDIGRSSGRIARASQAPQRIPTARPGKVVGPIMPKESGSMKDAYDRRRFTRNPVLPVEPAIPPYCFHSTTGRSENSHRDPIDAERERGPIHHRPSQPGMTSKLTPDIALDTRAAPFYLAGPKADSAERNTMEANVLQAKSPFNGIVTAAGGGGGHRKVGTLQFGMARMY >Ma00_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5983:13698:1 gene:Ma00_g00010 transcript:Ma00_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKNSPELDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGQKVAIKRIHDIFGHISDAVRILREIKLLRLLRHPDIVEIKHIILPLSRRDFNDIYVVFELMESDLHQVIKANDDLTREHYRFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFGDTPTTIFWTDYVATRWYRAPELCGSFFSKYTAAIDIWSIGCIFAEVLTSKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLSCMRKKQSVPLAQKFPNADPLALKLLERLLSFDPSKRPTAEEALADPYFKGLAKIEREPSCQSITKMEFEFECRRLTKEDVQELIFLEILEYHPQLLKDKVNGTEKTNFLYPSAVDQFRKQFAYLEENGGKSGFGIPLDRKHVSLPRSTVVHSTTIPSLEQPNMGSSRDGPAHKNSRDIGRSSGRIARASQAPQRIPTARPGKVVGPIMPKESGSMKDAYDRRRFTRNPVLPVEPAIPPYCFHSTTGRSENSHRDPIDAERERGPIHHRPSQPGMTSKLTPDIALDTRAAPFYLAGPKADSAERNTMEANVLQAKSPFNGIVTAAGGGGGHRKVGTLQFGMARMY >Ma05_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19927058:19927829:1 gene:Ma05_g17320 transcript:Ma05_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLAYQTNLPAMPEWLNNGDNGWQLAAATLVGLVVLYGSIVKKKWAVNSAFMALDAFAATLIIWELVGFRMAFGERLLPFWGKAGPALGQDYLLHRAKLAATTHFFRNGTMENEMIEPFYSMTSLVYFEFMFAAITLIFLAGSVLGHMNIKAWMAFVPLWLLFSHTVGAFTLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWIVALCQAIG >Ma07_p01050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:801556:802981:-1 gene:Ma07_g01050 transcript:Ma07_t01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRVQFIDVFRLVAYQTLYPWSFFQPSLCIVICLQQKSHIHQRNCRLSS >Ma11_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4079824:4083407:-1 gene:Ma11_g05330 transcript:Ma11_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MDGNKDEAARCVKIAKSALASGDKQRALKLIRIARRLDHSLPLDGLLVACEKLDGSDAVNRKEEVAADRVREEPSCSKAAETAGEGHDYTEDHVRLIRQIKMTKDYYAVLGVEKNCSVEEIRKAYRKLSLKVHPDKNKAPGAEEAFKSVSKAFKCLSDEQLRRNYDQIGVAEDSEYNLQNVNMRRRRRRRTTKNDFLDEDFDPDEIFRSFFFGTQGNAFRTQRAYRARGMGQHFREHNVQGGGGGFSFIALFQILPILLFFLFLYFPFPEPHYSLQKTRVYEIPKVTAKDGVQYFVRSEDFEREFPLGSYSRETLEYNVFRDYRSILSRYCRIELQRRQWSRSYPTPYCDKLRNLQVA >Ma07_p19230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27267965:27270802:-1 gene:Ma07_g19230 transcript:Ma07_t19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKKASVLTIRSLSVLSKVSSRSHPPFPPLLPSFPFGTSYENLARATKAPPLIRQWRWLSTAAQRNDFPPDSHDGREATGEPCSNLGRSSPSGRYQSSNWCHGGEPGGVRLQNPEWLKVQNGNGFSGVVEHQRSNLGDTCDGKPYGSYRDNQMTGNGSDRSVACGSEWRTNGESFHNPNSPSQGNYSGAFDQNSNDFIRHHTVGSSKPCPSWNSQIRNGEFCQNPNSHNGKVHQEDLFQSPNIASDYKPVVNAESYQNVNDWSRSGKFYQDPNRQYDGNCQVTFGKNLNVATGHHMMGNGKPYAIEDDRNTKREPHQNFNDQYRGNYQGSFGQNPNVAAVNHTVGGGELYYRPDRGFQQQPDAHYGYNPGNIHHHPSSYSTENPEGDFSSNQQGIYSGRAADIRQTPNVFYKERPVDIQHDPFGNQREDSPVLHQGSAFSQNAAGYDQSLKCLQSTIGSAHEGSPETGSSSTYKGTIEELDDFCREKKVTDAVKVLALLEENVVMVDLPRYLKLMQACDSDKFLEEARQVHNCISRRFVNVEVGVHNKILDMYFRCGSSTDAFQLFDNMPQRNLTTWDTMIMGLANNGLGEDAIDLFTQFKQKGLKPDGGLFVSIFSACGSLGAVDEGLLHFESMKKDFSIVPSMEHYVSIVDMLGRTGYLDEALEFIEQMPVEPSVDVWETLMTLSRLNGNLELGDQCVQIVERLDPSRLNEQSRKGLLLVKDSDLAKEKEKKKTLEIRSRVHEYRAGDTSHPENDKIYAQLRCLQRQMKEAGYVPDTRFVLHDVDPESKEEALLAHSERLALSYGLMTTPARTNIRIMKNLRVCGDCHNALKIISKLVGRLIIARDAKRFHHFEKGVCSCKDYW >Ma07_p19230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27267965:27270802:-1 gene:Ma07_g19230 transcript:Ma07_t19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKKASVLTIRSLSVLSKWRWLSTAAQRNDFPPDSHDGREATGEPCSNLGRSSPSGRYQSSNWCHGGEPGGVRLQNPEWLKVQNGNGFSGVVEHQRSNLGDTCDGKPYGSYRDNQMTGNGSDRSVACGSEWRTNGESFHNPNSPSQGNYSGAFDQNSNDFIRHHTVGSSKPCPSWNSQIRNGEFCQNPNSHNGKVHQEDLFQSPNIASDYKPVVNAESYQNVNDWSRSGKFYQDPNRQYDGNCQVTFGKNLNVATGHHMMGNGKPYAIEDDRNTKREPHQNFNDQYRGNYQGSFGQNPNVAAVNHTVGGGELYYRPDRGFQQQPDAHYGYNPGNIHHHPSSYSTENPEGDFSSNQQGIYSGRAADIRQTPNVFYKERPVDIQHDPFGNQREDSPVLHQGSAFSQNAAGYDQSLKCLQSTIGSAHEGSPETGSSSTYKGTIEELDDFCREKKVTDAVKVLALLEENVVMVDLPRYLKLMQACDSDKFLEEARQVHNCISRRFVNVEVGVHNKILDMYFRCGSSTDAFQLFDNMPQRNLTTWDTMIMGLANNGLGEDAIDLFTQFKQKGLKPDGGLFVSIFSACGSLGAVDEGLLHFESMKKDFSIVPSMEHYVSIVDMLGRTGYLDEALEFIEQMPVEPSVDVWETLMTLSRLNGNLELGDQCVQIVERLDPSRLNEQSRKGLLLVKDSDLAKEKEKKKTLEIRSRVHEYRAGDTSHPENDKIYAQLRCLQRQMKEAGYVPDTRFVLHDVDPESKEEALLAHSERLALSYGLMTTPARTNIRIMKNLRVCGDCHNALKIISKLVGRLIIARDAKRFHHFEKGVCSCKDYW >Ma07_p19230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27267965:27270810:-1 gene:Ma07_g19230 transcript:Ma07_t19230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKKASVLTIRSLSVLSKAPPLIRQWRWLSTAAQRNDFPPDSHDGREATGEPCSNLGRSSPSGRYQSSNWCHGGEPGGVRLQNPEWLKVQNGNGFSGVVEHQRSNLGDTCDGKPYGSYRDNQMTGNGSDRSVACGSEWRTNGESFHNPNSPSQGNYSGAFDQNSNDFIRHHTVGSSKPCPSWNSQIRNGEFCQNPNSHNGKVHQEDLFQSPNIASDYKPVVNAESYQNVNDWSRSGKFYQDPNRQYDGNCQVTFGKNLNVATGHHMMGNGKPYAIEDDRNTKREPHQNFNDQYRGNYQGSFGQNPNVAAVNHTVGGGELYYRPDRGFQQQPDAHYGYNPGNIHHHPSSYSTENPEGDFSSNQQGIYSGRAADIRQTPNVFYKERPVDIQHDPFGNQREDSPVLHQGSAFSQNAAGYDQSLKCLQSTIGSAHEGSPETGSSSTYKGTIEELDDFCREKKVTDAVKVLALLEENVVMVDLPRYLKLMQACDSDKFLEEARQVHNCISRRFVNVEVGVHNKILDMYFRCGSSTDAFQLFDNMPQRNLTTWDTMIMGLANNGLGEDAIDLFTQFKQKGLKPDGGLFVSIFSACGSLGAVDEGLLHFESMKKDFSIVPSMEHYVSIVDMLGRTGYLDEALEFIEQMPVEPSVDVWETLMTLSRLNGNLELGDQCVQIVERLDPSRLNEQSRKGLLLVKDSDLAKEKEKKKTLEIRSRVHEYRAGDTSHPENDKIYAQLRCLQRQMKEAGYVPDTRFVLHDVDPESKEEALLAHSERLALSYGLMTTPARTNIRIMKNLRVCGDCHNALKIISKLVGRLIIARDAKRFHHFEKGVCSCKDYW >Ma06_p32840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33681066:33682258:-1 gene:Ma06_g32840 transcript:Ma06_t32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSASWKRDVESGGGGTGDVEMGTGAAAGGANLDRFFEDVEAIKDELREVERLHRSLHEANEAGKTLHEASAVRELRGRMDADVALALKKAKLIKLRLESLDRANAANRAVPGCGPGSSTDRTRSSVVAGLRKKLRDSMEAFAELRRRIAAEYRETVGRRYYTVTGESPDEATVDALVATGEGERFLQRAIEEQGRGRVLDVVAEIQERHGAVAELERSLLELQQVFMDMAVLVEAQGQQLDDIESNVGRAQSFVRHGTDNLTTARVYQKNTRKWTCIAIILLLIIILVIVLPTVLSLTKK >Ma04_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3292422:3292990:1 gene:Ma04_g04340 transcript:Ma04_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPSLSRFLQSSDNLHAAGQPDPLPVDTDLIVILAALLCALICVLGLALVARCAWLRPSPAAAASSVFRPTGKGLKKKALRSLPTLSFDSSAAPGGSGKLSDCPICLAEFADGDQVRVLPQCGHGFHVVCVDTWLGSHSSCPSCRRILVVPAAALATSPSRSVPAGRGPKTAQDAEPSNPQP >Ma07_p05770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4192673:4195305:-1 gene:Ma07_g05770 transcript:Ma07_t05770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNCSCNFYIFCLIVLVAVVWAENKGNPANEIVDFVNKNRTATKLPKLYNSPGLGCMALQFLLECTQNCTSNNTLACQPAEIDITEVYAPNCGVELPTIDTISGQLVGCYWSHLNPEQAFSTVLVPTKKSLSVIHSKEHHEVGVGYVRGHHGPFLWCILFSSGNATSSTFVLEGGTGIKQRRGCFSGGDVPCNVGTSLLPAHNTLLSSICCLLVQLVLVFG >Ma07_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4192673:4195289:-1 gene:Ma07_g05770 transcript:Ma07_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLVMCKNKEIHGNPANEIVDFVNKNRTATKLPKLYNSPGLGCMALQFLLECTQNCTSNNTLACQPAEIDITEVYAPNCGVELPTIDTISGQLVGCYWSHLNPEQAFSTVLVPTKKSLSVIHSKEHHEVGVGYVRGHHGPFLWCILFSSGNATSSTFVLEGGTGIKQRRGCFSGGDVPCNVGTSLLPAHNTLLSSICCLLVQLVLVFG >Ma10_p12470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25535559:25537214:1 gene:Ma10_g12470 transcript:Ma10_t12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGGSILTLFYETVEMEKCPMRLNTTNQLCQDPMSEKKSRFWQINDQPFPRSEVICPQPRWVTRVPYFMEYCNRVGPNPKGILQIHRVDYASETLDLLQRRECSEGDGDLGSQVGLLCGSPPARTNNPVVRDAEFGKHSQFLPSPIGVSLSKKQAGRVEKGSPSCGSSPEVRIEGFACGNSESHCAVPALA >Ma10_p12470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25534278:25537214:1 gene:Ma10_g12470 transcript:Ma10_t12470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCPMRLNTTNQLCQDPMSEKKSRFWQINDQPFPRSEVICPQPRWVTRVPYFMEYCNRVGPNPKGILQIHRVDYASETLDLLQRRECSEGDGDLGSQVGLLCGSPPARTNNPVVRDAEFGKHSQFLPSPIGVSLSKKQAGRVEKGSPSCGSSPEVRIEGFACGNSESHCAVPALA >Ma10_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25534251:25537214:1 gene:Ma10_g12470 transcript:Ma10_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVEMEKCPMRLNTTNQLCQDPMSEKKSRFWQINDQPFPRSEVICPQPRWVTRVPYFMEYCNRVGPNPKGILQIHRVDYASETLDLLQRRECSEGDGDLGSQVGLLCGSPPARTNNPVVRDAEFGKHSQFLPSPIGVSLSKKQAGRVEKGSPSCGSSPEVRIEGFACGNSESHCAVPALA >Ma08_p23110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36543581:36544458:1 gene:Ma08_g23110 transcript:Ma08_t23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSASPVPTIPRALLPLLLLLMPVASPPSVSASRAVIRDGTSCTMCASCDNPCLPVVSPPPPPPPQPPSTAQCPPPPSSSSTPGTFYYYSPPPPYGGGGGGGYYYPPPANIYYTAPPPPNPFLPYFPFYYYSPPPPSDHNSVAAPSKPFFFTFFSSSILLFLFFLA >Ma04_p34800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33823557:33824749:1 gene:Ma04_g34800 transcript:Ma04_t34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRVLRTCCRPSNFKVTWGASFKTTTLTDSLSLSLCTGTLRERKRERGMGSSNHASALVVCALALAMACTAVAQNTQQDGVDAHNSARAAVGVGPVSWDDDIASYAQKFANKRVTDCQLVHSGGPYGENLFWGTGSAFTLTDAVNTWIAEKQYYDYENNSCADGQVCRHYTQVVWQNTTAIGCARTQCSDGNGIFILCDYSPAGNLVGQRPYEEKAQENNVLSLIVLFESSSVLGVRQL >Ma04_p33050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32868375:32880348:1 gene:Ma04_g33050 transcript:Ma04_t33050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHLFHCRKSSWPAEEYVNRATLQLLDFDGGAPPDHAWRRRLNSHANRLKEFSVTFMEAIRMMRLGVRLWSYVREEASHGRKAPIDPFTREQCKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCETSPVMANQFSIFISRDGGNKKYSSVLAPGQHEGLQKKGDLGISSWDWNLTGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYRESSLPTAVFVYTLVNTGRERAKVSLLMTWANSIGGVSHHSGGHINEPFIGDDGVSGVLLHHKTAKDNPPVTFAIAACETQNVTVTVLPSFGLSGENYVTAQGMWSTMVQDGHFERENFNAGPSMPSSVGETLCAAVSATTWVEPHGRCTVVFALAWSSPKVKFQKGCTYHRRYTKFYGTSERSALNLVHDALKKYKWWEEEIEKWQNPILKDEKLPEWYKFTLFNELYFLVAGGTVWTDGEAPKFEEKLSSGSSHHKSVKSKDQKPVSKDRHINMVAEQTLTDSNLSNEKTLSRTTSVPDLADGDSVRGCEYKESGYVMHQQDDPENVGRFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELTIQRDFARAVLHEDRRKVKFLADGSWGIRKVKGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMSFGRDVWPAVCAAMDYMEQFDRDGDGLVENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAAAMAQRLGDCAYVEKFKIKFLKAKPVFESKLWNGSYFNYDSGSSSNSRSIQADQLAGQWYTASSGLPPLFDEIKTRNTLQKIFEFNVMKVRGGRMGAVNGMNPNGKVDECCMQSREIWTGVTYSLAATMLLHGMEHQAFATAEGIFITGWSEEGFGYWFQTPEGWTTDGHYRSLIYMRPLAIWAMQWALSPPKAILEAPKINMMDRVLISALNMRMVHDTGVRKIAPKNSCFGKTVCHCDC >Ma04_p33050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32868375:32880348:1 gene:Ma04_g33050 transcript:Ma04_t33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHLFHCRKSSWPAEEYVNRATLQLLDFDGGAPPDHAWRRRLNSHANRLKEFSVTFMEAIRMMRLGVRLWSYVREEASHGRKAPIDPFTREQCKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCETSPVMANQFSIFISRDGGNKKYSSVLAPGQHEGLQKKGDLGISSWDWNLTGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYRESSLPTAVFVYTLVNTGRERAKVSLLMTWANSIGGVSHHSGGHINEPFIGDDGVSGVLLHHKTAKDNPPVTFAIAACETQNVTVTVLPSFGLSGENYVTAQGMWSTMVQDGHFERENFNAGPSMPSSVGETLCAAVSATTWVEPHGRCTVVFALAWSSPKVKFQKGCTYHRRYTKFYGTSERSALNLVHDALKKYKWWEEEIEKWQNPILKDEKLPEWYKFTLFNELYFLVAGGTVWTDGEAPKFEEKLSSGSSHHKSVKSKDQKPVSKDRHINMVAEQTLTDSNLSNEKTLSRTTSVPDLADGDSVRGCEYKESGYVMHQQDDPENVGRFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELTIQRDFARAVLHEDRRKVKFLADGSWGIRKVKGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMSFGRDVWPAVCAAMDYMEQFDRDGDGLVENDGFPDQTYDAWTVHGISAYCGCLWLAALQAAAAMAQRLGDCAYVEKFKIKFLKAKPVFESKLWNGSYFNYDSGSSSNSRSIQADQLAGQWYTASSGLPPLFDEIKTRNTLQKIFEFNVMKVRGGRMGAVNGMNPNGKVDECCMQSREIWTGVTYSLAATMLLHGMEHQAFATAEGIFITGWSEEGFGYWFQTPEGWTTDGHYRSLIYMRPLAIWAMQWALSPPKAILEAPKINMMDRVLISALNMRMVHDTGVRKIAPKNSCFGKTVCHCDC >Ma05_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5235387:5236635:1 gene:Ma05_g07180 transcript:Ma05_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPGAPGGTGEGPSSSSDPADPGAASPPPPLPPRQEQQQQQPPLSRYESQKRRDWNTFLQYLTNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHAAGCTFFGQPSPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRSESNPFAARPVRIYLREVRESQAKARGIPYEKKKRKRDPSAATAASASASAATVPEKNSEAGGESSSSALPAAGGTSSATTSAVGVRRDGSSSTS >Ma02_p24840.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29278072:29281538:-1 gene:Ma02_g24840 transcript:Ma02_t24840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDAAASHPLSKWRSDFSRAFRYYLDRSTPHTTGRWLGTAVVAVIYVLRVYFVHGFYIVSYGLGIYLLNILIGFLSPLVDPELEVSDGPALPTRGSDEFKPFVRRLPEFKFWYSITKAFCVAFVMTFFSMFDVPVFWPILLCYWIVLFFLTMKRQIVHMIKYKYVPFNIGKKRYGAKKSPGSSSNISKD >Ma02_p24840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29278072:29281472:-1 gene:Ma02_g24840 transcript:Ma02_t24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDAAASHPLSKWRSDFSRAFRYYLDRSTPHTTGRWLGTAVVAVIYVLRVYFVHGFYIVSYGLGIYLLNILIGFLSPLVDPELEVSDGPALPTRGSDEFKPFVRRLPEFKFWYSITKAFCVAFVMTFFSMFDVPVFWPILLCYWIVLFFLTMKRQIVHMIKYKYVPFNIGKKRYGAKKSPGSSSNISKD >Ma02_p24840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29278072:29281484:-1 gene:Ma02_g24840 transcript:Ma02_t24840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDAAASHPLSKWRSDFSRAFRYYLDRSTPHTTGRWLGTAVVAVIYVLRVYFVHGFYIVSYGLGIYLLNILIGFLSPLVDPELEVSDGPALPTRGSDEFKPFVRRLPEFKFWYSITKAFCVAFVMTFFSMFDVPVFWPILLCYWIVLFFLTMKRQIVHMIKYKYVPFNIGKKRYGAKKSPGSSSNISKD >Ma02_p24840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29278072:29281538:-1 gene:Ma02_g24840 transcript:Ma02_t24840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDAAASHPLSKWRSDFSRAFRYYLDRSTPHTTGRWLGTAVVAVIYVLRVYFVHGFYIVSYGLGIYLLNILIGFLSPLVDPELEVSDGPALPTRGSDEFKPFVRRLPEFKFWYSITKAFCVAFVMTFFSMFDVPVFWPILLCYWIVLFFLTMKRQIVHMIKYKYVPFNIGKKRYGAKKSPGSSSNISKD >Ma06_p31380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32516378:32519904:1 gene:Ma06_g31380 transcript:Ma06_t31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGIMPSDTSVGVAQDAFNTFFSETSAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFSAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEDEPEDY >Ma07_p01830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1405265:1406389:1 gene:Ma07_g01830 transcript:Ma07_t01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTYLEMDLEEWEFLPDDKSFLDSGHGSKKDVLLKEIIVDMNYFICPSHPITSKEGLLPVISVGKSSDDAVVAPVIQANQPDVVSQVFFKKLKENEFVDMKMDSPKSNTRGAIMFHAEPEHVQLEENEEEEMEKEKDRTGPEIKGKACRDGFGFTICNWRVAGIGAFCSMGAAAAATICIFIFGGRQQQRNHKIQFKFYTDDKRVKQVLQQTTRLKQALSAARAAPMTRAHITFGGCYTSV >Ma05_p06270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4661582:4664778:1 gene:Ma05_g06270 transcript:Ma05_t06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGEVYKELQKCKYFSERRTATYISSLAQALIYLHGKHVIHRDIKPENLLIGLQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLFGAPPFEAKEHSDTYRRIVKVDLKFPSKPIVSPGAKELISQMLVKDSSQRLPLHKLLEHPWIVQNADPSGVYRG >Ma05_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4661489:4664778:1 gene:Ma05_g06270 transcript:Ma05_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAESQQEEKSPSKASAVEKRWTLNDFDIGMPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGEVYKELQKCKYFSERRTATYISSLAQALIYLHGKHVIHRDIKPENLLIGLQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLFGAPPFEAKEHSDTYRRIVKVDLKFPSKPIVSPGAKELISQMLVKDSSQRLPLHKLLEHPWIVQNADPSGVYRG >Ma05_p06270.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4661522:4664778:1 gene:Ma05_g06270 transcript:Ma05_t06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGEVYKELQKCKYFSERRTATYISSLAQALIYLHGKHVIHRDIKPENLLIGLQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLFGAPPFEAKEHSDTYRRIVKVDLKFPSKPIVSPGAKELISQMLVKDSSQRLPLHKLLEHPWIVQNADPSGVYRG >Ma10_p24280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32955164:32955966:1 gene:Ma10_g24280 transcript:Ma10_t24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFIWYRRLRKGLADGLICQRRSMQWLQWNLKDQLHWVDQESPTLLSTLLAGCMDFSLIIKT >Ma06_p03120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2302325:2303076:-1 gene:Ma06_g03120 transcript:Ma06_t03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSAKVSSVVAVLLCVAAAASAQQASNVRATYHYYYPAQNNWDLNRVSAYCATWDANKPLEWRKKYGWTAFCGPVGPTGRDACGKCLRVTNTATGTQATVRIVDQCANGGLDLDQGVFSQLDTDGGGYNRGHLIVNYQFVNCGD >Ma06_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13988640:13990720:1 gene:Ma06_g19900 transcript:Ma06_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVGQVIKCRAAVAWEAGKPLVIEEVEVAPPQAMEVRLKILYTSLCHTDVYFWEAKGQKPIFPRILGHEAAGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCLSEESNMCNLLRINTDRGVMLSDGQSRFTIKGKPINHFLGTSTFSEYTVVHSGCVAKINPLAPLDKVCVLSCGFSTGFGATVNVAKPTKGSTVAVFGLGAVGLAAAEGARVSGASRIIGVDVNPKRFEEAKKFGVNEFVNPKDYDRPVQEVIAEMTGGGADRSVECTGNIDAMISAFECVHDGWGVAVLVGVPHKDAVLKTHPVNFLNERTLKGTFFGNYKPRSDLPSVVERYMNKEIELEKFITHEVTFSEINKAFDYMLQGASLRCIIRMDG >Ma09_p26280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37583232:37589091:1 gene:Ma09_g26280 transcript:Ma09_t26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGVSGAPQDNNILLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Ma10_p03460.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14003285:14006316:1 gene:Ma10_g03460 transcript:Ma10_t03460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGNKGFADEIQEGLGSVRSPNDKDQISFHIQSSQVEIVDEEKYQSKFLGFVRTIPRGARFMKLGPSPSAKFRQLAYERDELSRAIHSEHSPRERFHMPLVRKIDWVSLWLMCKEWIRNPMNMALFLWITCVAISGAILFMVMTGMLNKALPSKSQRDTWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWEPKDILALRKIYCKNGTYKPNEWMHMMVVVILLHLNCFAQYALCGLNLGYHRSQRPAIGVGLCISVAIAAPAFASVYKILSPLGKDYETEIDQEVGKAISTDDSKPKTMRIKSLEKRYSFVAREGQSFPASRPKWIGGLFDLWDDISLAYLSVFCSCCVFGWNMERLGFGNMYVHIMTFLLFCLAPFFIFNLAAINLNNEAVREALGISGILLCFFGLLYGGFWRIQMRKKFNLPAHTFCCGQPSVTDCFQWLVCCSCSLAQEVRTADHYDMVEDKLCEKQTDGGGQLVSASPCREEGTPLFASTPSSPYQGQSSPLFRLEYKSSPIRSSAGQSPGTHLPIVAEDSSTTHKGNTMSPPIPPAI >Ma10_p03460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14003263:14006316:1 gene:Ma10_g03460 transcript:Ma10_t03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGNKGFADEIQEGLGSVRSPNDKDQISFHIQSSQVEIVDEEKYQSKFLGFVRTIPRGARFMKLGPSPSAKFRQLAYERDELSRAIHSEHSPRERFHMPLVRKIDWVSLWLMCKEWIRNPMNMALFLWITCVAISGAILFMVMTGMLNKALPSKSQRDTWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWEPKDILALRKIYCKNGTYKPNEWMHMMVVVILLHLNCFAQYALCGLNLGYHRSQRPAIGVGLCISVAIAAPAFASVYKILSPLGKDYETEIDQEVGKAISTDDSKPKTMRIKSLEKRYSFVAREGQSFPASRPKWIGGLFDLWDDISLAYLSVFCSCCVFGWNMERLGFGNMYVHIMTFLLFCLAPFFIFNLAAINLNNEAVREALGISGILLCFFGLLYGGFWRIQMRKKFNLPAHTFCCGQPSVTDCFQWLVCCSCSLAQEVRTADHYDMVEDKLCEKQTDGGGQLVSASPCREEGTPLFASTPSSPYQGQSSPLFRLEYKSSPIRSSAGQSPGTHLPIVAEDSSTTHKGNTMSPPIPPAI >Ma10_p03460.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14003263:14006316:1 gene:Ma10_g03460 transcript:Ma10_t03460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGNKGFADEIQEGLGSVRSPNDKDQISFHIQSSQVEIVDEEKYQSKFLGFVRTIPRGARFMKLGPSPSAKFRQLAYERDELSRAIHSEHSPRERFHMPLVRKIDWVSLWLMCKEWIRNPMNMALFLWITCVAISGAILFMVMTGMLNKALPSKSQRDTWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWEPKDILALRKIYCKNGTYKPNEWMHMMVVVILLHLNCFAQYALCGLNLGYHRSQRPAIGVGLCISVAIAAPAFASVYKILSPLGKDYETEIDQEVGKAISTDDSKPKTMRIKSLEKRYSFVAREGQSFPASRPKWIGGLFDLWDDISLAYLSVFCSCCVFGWNMERLGFGNMYVHIMTFLLFCLAPFFIFNLAAINLNNEAVREALGISGILLCFFGLLYGGFWRIQMRKKFNLPAHTFCCGQPSVTDCFQWLVCCSCSLAQEVRTADHYDMVEDKLCEKQTDGGGQLVSASPCREEGTPLFASTPSSPYQGQSSPLFRLEYKSSPIRSSAGQSPGTHLPIVAEDSSTTHKGNTMSPPIPPAI >Ma10_p03460.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14003285:14006412:1 gene:Ma10_g03460 transcript:Ma10_t03460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGNKGFADEIQEGLGSVRSPNDKDQISFHIQSSQVEIVDEEKYQSKFLGFVRTIPRGARFMKLGPSPSAKFRQLAYERDELSRAIHSEHSPRERFHMPLVRKIDWVSLWLMCKEWIRNPMNMALFLWITCVAISGAILFMVMTGMLNKALPSKSQRDTWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWEPKDILALRKIYCKNGTYKPNEWMHMMVVVILLHLNCFAQYALCGLNLGYHRSQRPAIGVGLCISVAIAAPAFASVYKILSPLGKDYETEIDQEVGKAISTDDSKPKTMRIKSLEKRYSFVAREGQSFPASRPKWIGGLFDLWDDISLAYLSVFCSCCVFGWNMERLGFGNMYVHIMTFLLFCLAPFFIFNLAAINLNNEAVREALGISGILLCFFGLLYGGFWRIQMRKKFNLPAHTFCCGQPSVTDCFQWLVCCSCSLAQEVRTADHYDMVEDKLCEKQTDGGGQLVSASPCREEGTPLFASTPSSPYQGTHLPIVAEDSSTTHKGNTMSPPIPPAI >Ma10_p03460.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14003285:14006316:1 gene:Ma10_g03460 transcript:Ma10_t03460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGNKGFADEIQEGLGSVRSPNDKDQISFHIQSSQVEIVDEEKYQSKFLGFVRTIPRGARFMKLGPSPSAKFRQLAYERDELSRAIHSEHSPRERFHMPLVRKIDWVSLWLMCKEWIRNPMNMALFLWITCVAISGAILFMVMTGMLNKALPSKSQRDTWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWEPKDILALRKIYCKNGTYKPNEWMHMMVVVILLHLNCFAQYALCGLNLGYHRSQRPAIGVGLCISVAIAAPAFASVYKILSPLGKDYETEIDQEVGKAISTDDSKPKTMRIKSLEKRYSFVAREGQSFPASRPKWIGGLFDLWDDISLAYLSVFCSCCVFGWNMERLGFGNMYVHIMTFLLFCLAPFFIFNLAAINLNNEAVREALGISGILLCFFGLLYGGFWRIQMRKKFNLPAHTFCCGQPSVTDCFQWLVCCSCSLAQEVRTADHYDMVEDKLCEKQTDGGGQLVSASPCREEGTPLFASTPSSPYQGQSSPLFRLEYKSSPIRSSAGQSPGTHLPIVAEDSSTTHKGNTMSPPIPPAI >Ma10_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14003285:14006316:1 gene:Ma10_g03460 transcript:Ma10_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGNKGFADEIQEGLGSVRSPNDKDQISFHIQSSQVEIVDEEKYQSKFLGFVRTIPRGARFMKLGPSPSAKFRQLAYERDELSRAIHSEHSPRERFHMPLVRKIDWVSLWLMCKEWIRNPMNMALFLWITCVAISGAILFMVMTGMLNKALPSKSQRDTWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWEPKDILALRKIYCKNGTYKPNEWMHMMVVVILLHLNCFAQYALCGLNLGYHRSQRPAIGVGLCISVAIAAPAFASVYKILSPLGKDYETEIDQEVGKAISTDDSKPKTMRIKSLEKRYSFVAREGQSFPASRPKWIGGLFDLWDDISLAYLSVFCSCCVFGWNMERLGFGNMYVHIMTFLLFCLAPFFIFNLAAINLNNEAVREALGISGILLCFFGLLYGGFWRIQMRKKFNLPAHTFCCGQPSVTDCFQWLVCCSCSLAQEVRTADHYDMVEDKLCEKQTDGGGQLVSASPCREEGTPLFASTPSSPYQGQSSPLFRLEYKSSPIRSSAGQSPGTHLPIVAEDSSTTHKGNTMSPPIPPAI >Ma03_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22855115:22855405:-1 gene:Ma03_g17490 transcript:Ma03_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLANILMQIILVVSTITAFGIGYSIGSFQMMMFTYAGGVVLTALITVPNWQFFNHHHLKWLDPSEAERHPMPQLNDAAVAAPKKKATKIK >Ma08_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4834991:4843603:1 gene:Ma08_g07070 transcript:Ma08_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSKFLGFFSSRTLVGVDKVGNRYFTRVEEIDGIMKVKRWVIFKGEEDPTSIPVEWICWLNGQRTKAPTPEEMIELDARRELVKQNIAILKKKEEEERKSGVRKSKSIGKGGSPDLRSFIQQFPDASFAPKKDEVSDGKDVAKKEERSTEPTGSGKTFKPGAWQPPSP >Ma08_p07070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4834991:4843603:1 gene:Ma08_g07070 transcript:Ma08_t07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSKFLGFFSSRTLVGVDKVGNRYFTRVEEIDGIMKVKRWVIFKGEEDPTSIPVEWICWLNGQRTKAPTPEEMIELDARRELVKQNIAILKKKEEEERKSGVRKSKSIGGSPDLRSFIQQFPDASFAPKKDEVSDGKDVAKKEERSTEPTGSGKTFKPGAWQPPSP >Ma05_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3427754:3433937:1 gene:Ma05_g04490 transcript:Ma05_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRRVDDEMELKKERAVRFYHDEKQNPAKTTYQGLKPGGYISNKVGSINTGKSIVFAEDHEPWRKRILDPGSDTVLKWNRVFLISCLVALFIDPLYFYLPKVEKSDTTCVRMDNNVSVAVTFFRTLADLFYILHIVIKFRTAYVAPSSRVFGRGELVMDPKKIARRYLRSDFIIDLAAALPLPQILIWSAIRNSRADHNNNALALIVLVQYIPRLYLIFPLSYQIVKADGVVTKTAWAGAAYNLLLYMIASHVLGASWYLLSVERQMTCWKSECRKENGTIVSLCRPRYLDCDSLNQADRQRWATSTQVFDNCDPNNANTTFNYGIFQNALTNEAFSTEFIKKYFYCLWWGLQNLSSYGQTLTTSTFIGETLFAILIAILGLVLFAHLIGNMQTYLQSMTVRLEEWRLKRRDTEEWMRHRQLPHHLRERVRRFVQYKWLATRGVDEESILQALPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFIIRGRLESSTTDGGRTGFFNSITLRPGDFCGEELLAWALLPKSTVNLPSSTRTARALVEVEAFVLRAEDLKFVANQFRRLHSRKLQHTFRFYSHHWRTWGACFIQAAWRRYKRRKMAKDLSMMESFNSRTDEQAADESGQEEDEVGTYSTSTSQPKQNLGVTILASKFAATTRKGAQKIKGIDMPKLQKPDEPDFSSETYD >Ma09_p24820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36438224:36445685:-1 gene:Ma09_g24820 transcript:Ma09_t24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGHRQHKRHKPAQFRSIHNKQIMPQNQMKDHPALKIIALIAERDSAIQERELAISEKTAALAELDMALKERDAAFAKRDNAIMERDNAIAALRYAREKSYNGYNEQGCCSWCTPPLWTSHSHQDAHLDVHESPPQLADAPYDHIRQMHITEAYPISMVPDYSEKEMKAKKITTSTQATPHKMSSKSPRKIKEGHGDDSNKLVSHAKKHGKLKGQEIVGGKKDLNEVPTMTDTWRNCNLCFDEFSANELSVPAPVCSCTGKLRQCYRWGDFGWQSSCCTSSLSMYPLPVMPNKRHARMGGRKMSGSAFRKLLRCLAVDGYDLSMAVDLKNHWAKHGTNRYITIR >Ma06_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15000204:15001049:-1 gene:Ma06_g20880 transcript:Ma06_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA2 [Source:Projected from Arabidopsis thaliana (AT5G09830) UniProtKB/Swiss-Prot;Acc:Q9FIC3] MRSQNDIHRFWRRPRRKSRRFKKGARTRIDTQIVEDEREDCRDMGVTKEEVEIALNSSLNPSHLVVIDTSGGCGASFEVTIVSEHFEGKRLLERHRLVNTALAEQMKQIHALSIKKAVTPAQWNPNPTASQQ >Ma11_p14110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19370897:19376104:1 gene:Ma11_g14110 transcript:Ma11_t14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAEAITNKRKAGNDFVGDGSGDGDGAEGDLPAMPEVPSLGVDPKSSAEAPGSYPDLVDVYIASLSDGRYGDSKHRFGYKNPCVGDKEPLSFLAAEIRSGDHILQEKIKLLNNKYAALRRIRGDGNCFYRCFMFSYLEQIVATQDKAEVDRILANVAMCRKTLQVLGDPDFMVDEFFFMFISLLENVLNESCPPISHEELLQISRDESFSDNLVLFFRIVASGEIRRRAAFFEPFIIGLENTSVDQVICDFSSSLHACLVASEVLQGVGGDVGGGKQPCSDRSFI >Ma11_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19370897:19376443:1 gene:Ma11_g14110 transcript:Ma11_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAEAITNKRKAGNDFVGDGSGDGDGAEGDLPAMPEVPSLGVDPKSSAEAPGSYPDLVDVYIASLSDGRYGDSKHRFGYKNPCVGDKEPLSFLAAEIRSGDHILQEKIKLLNNKYAALRRIRGDGNCFYRCFMFSYLEQIVATQDKAEVDRILANVAMCRKTLQVLGDPDFMVDEFFFMFISLLENVLNESCPPISHEELLQISRDESFSDNLVLFFRIVASGEIRRRAAFFEPFIIGLENTSVDQFCKESVEMLGEESNHVQIVALSDALGVPISVEYLDQNSSDGGALTPSDVVTNGSNPSRTYLSSTPRVKLLCHLGHYDVLYPNCQ >Ma07_p16830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15616583:15621846:1 gene:Ma07_g16830 transcript:Ma07_t16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFAFRNGATKAELQARLSASVRSNSTTSTERDMRRSGSEFNSGDVTDSGTDSVGRPQYPSFSQRPINLRVFTFSELRNATKNFSRSLMVGEGGFGCVYRGTIKSLDDPDAKIEIAVKQLNRKGLQGHKEWLTEVNVLGVLEHPNLVKLVGYCAEDDERGIQRLLVYEYMPNGSVEDHLSVLSRTTLSWSMRLRVALDAARGLTHLHEEMDFQIIFRDFKASNILLDEDWNAKLSDFGLARQGPAEGLSHVSTAVVGTFGYAAPEYMQTGRLTAKSDIWSYGVFLYVLITGRQPIDKNRPKGEQKLLEWVRPYITDVKKIRIIMDPKLEGDYSLKSASKLVTVANRCLVRQPKSRPKMSDVLEMVQRIIESTETGAPEAPLRSCSEKGKPGETKKKGVKRIIGDWKMGENMRLAWQGWKAKLVRTC >Ma07_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15616620:15621846:1 gene:Ma07_g16830 transcript:Ma07_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFAFRNGATKAELQARLSASVRSNSTTSTERDMRRSGSEFNSGDVTDSGTDSVGRPQYPSFSQRPINLRVFTFSELRNATKNFSRSLMVGEGGFGCVYRGTIKSLDDPDAKIEIAVKQLNRKGLQEWLTEVNVLGVLEHPNLVKLVGYCAEDDERGIQRLLVYEYMPNGSVEDHLSVLSRTTLSWSMRLRVALDAARGLTHLHEEMDFQIIFRDFKASNILLDEDWNAKLSDFGLARQGPAEGLSHVSTAVVGTFGYAAPEYMQTGRLTAKSDIWSYGVFLYVLITGRQPIDKNRPKGEQKLLEWVRPYITDVKKIRIIMDPKLEGDYSLKSASKLVTVANRCLVRQPKSRPKMSDVLEMVQRIIESTETGAPEAPLRSCSEKGKPGETKKKGVKRIIGDWKMGENMRLAWQGWKAKLVRTC >Ma06_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1704722:1710413:1 gene:Ma06_g02140 transcript:Ma06_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSLLLLLFFSFLFWVQMVRSSDPLLSPKGVNYEVAALMSVKSRMRDEAGVMDGWDINSVDPCTWFMVGCSSDGFVISLEMASNSLSGTLSPSIGNLSHLQTLLLQNNKILGTVPPEIGKLSQLKTLDISSNQFTGEIPKSLGLLTQLVYLRLNRNNLSGQIPEAVANLSGLLFLDVSYNNLTGPTPKILAKDYSVAGNIFLCNTSLLHGCADVPVQFNETKTSGQTNNHQLAVAIPLSVGCTLVLSLLLLVFWLCCCKWSMHFAADDLDYEFEMGHLRRFSYRELQAATENFNAKNILGQGGFGVVYKGHLRNGTMVAVKRLKDPNFTGEVQFQTEVEMIGLALHRNLLRLYGFCMTSNERLLVYPFMPNGSVADRLRDRCQSKPSLDWNKRMRIAIGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDRRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVYGFGILLLEMITGQKTMSSGNGNVQKGMILDWVKTLHEEERVHEMVDRDLNGSFSAAQLENAVDVVLLCTQSNPSLRPKMSEVVKALESIARPAEQTEESNGEIIYGRSCSFSRSFDGANEASSFIIEAIELSGPR >Ma06_p02140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1704722:1710413:1 gene:Ma06_g02140 transcript:Ma06_t02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSLLLLLFFSFLFWVQMVRSSDPLLSPKGVNYEVAALMSVKSRMRDEAGVMDGWDINSVDPCTWFMVGCSSDGFVISLCALLSRCCCLFLFPLNLGFFIYWRWVNREMASNSLSGTLSPSIGNLSHLQTLLLQNNKILGTVPPEIGKLSQLKTLDISSNQFTGEIPKSLGLLTQLVYLRLNRNNLSGQIPEAVANLSGLLFLDVSYNNLTGPTPKILAKDYSVAGNIFLCNTSLLHGCADVPVQFNETKTSGQTNNHQLAVAIPLSVGCTLVLSLLLLVFWLCCCKWSMHFAADDLDYEFEMGHLRRFSYRELQAATENFNAKNILGQGGFGVVYKGHLRNGTMVAVKRLKDPNFTGEVQFQTEVEMIGLALHRNLLRLYGFCMTSNERLLVYPFMPNGSVADRLRDRCQSKPSLDWNKRMRIAIGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDRRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVYGFGILLLEMITGQKTMSSGNGNVQKGMILDWVKTLHEEERVHEMVDRDLNGSFSAAQLENAVDVVLLCTQSNPSLRPKMSEVVKALESIARPAEQTEESNGEIIYGRSCSFSRSFDGANEASSFIIEAIELSGPR >Ma10_p07950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22296789:22305257:1 gene:Ma10_g07950 transcript:Ma10_t07950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSSLHDLKTLSEQNSGEDDDLESDRSYGDAKPFRALQREGATSSFSKDKSYPTIPTTRKKWLWATVGIIALLLLFSLILLCSGFYSTFLSHEASEHYVILDCGSTGTRVYVYKWTFDQNKGHRNLPIALKSLPEGPQRNPRTQSGRAYHRMETEPGFDKLVHDKYGLRAVLQPLLLWAEKQIPKHAHKDTSLFLYATAGVRRLPKSDSDWLLDKAWTILKKSSFLCRRDWIKLISGMEEAYYGWIALNHHMGLLGSLPAGKTYGSLDLGGSSLQVTFETETPIHADTSISLRIASANHHLSAYSLSGYGLNDAFDKSVAHLFRKFVGTGAGLNNKLQLKHPCLNNGYRDKYTCSRCASVKQEGSPLTGGKTMSKKKTGIVVELIGAPQWEECRSLARLTVNRSAWSNFSSGIDCELKPCALSDGLPQPRGKFYAMSGFYVVFRFFNLSSEASLEDVLIMGQKFCGNTWKVAKNSVAAQPFIEQYCFRAPYVASLLRDGLHIKDNQVIIGSGSITWTLGVALLEAGQALSKRVEVKGYEIIYRDIHPAIFVVIFFVSVLLLCCALSCVSNWMPRFLRRSCILLFRYKSLTNSVLNIPSPFRFQRRSPIISGDGRVKTPLSPTISGSQQHPFNMGQGLGGSSVHLSESSVLPLVVSHSYSSGSLGQMQFGSGAGSFWPPHRGKTTLSSRRSQSREDLSSSLADAHVVKV >Ma10_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22296789:22305257:1 gene:Ma10_g07950 transcript:Ma10_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSSLHDLKTLSEQNSGEDDDLESDRSYGDAKPFRALQREGATSSFSKDKSYPTIPTTRKKWLWATVGIIALLLLFSLILLCSGFYSTFLSHEASEHYVILDCGSTGTRVYVYKWTFDQNKGHRNLPIALKSLPEGPQRNPRTQSGRAYHRMETEPGFDKLVHDKYGLRAVLQPLLLWAEKQIPKHAHKDTSLFLYATAGVRRLPKSDSDWLLDKAWTILKKSSFLCRRDWIKLISGMEEAYYGWIALNHHMGLLGSLPAGKTYGSLDLGGSSLQVTFETETPIHADTSISLRIASANHHLSAYSLSGYGLNDAFDKSVAHLFRKFVGTGAGLNNKLQLKHPCLNNGYRDKYTCSRCASVKQEGSPLTGGKTMSKKKTGIVVELIGAPQWEECRSLARLTVNRSAWSNFSSGIDCELKPCALSDGLPQPRGKFYAMSGFYVVFRFFNLSSEASLEDVLIMGQKFCGNTWKVAKNSVAAQPFIEQYCFRAPYVASLLRDGLHIKDNQVIIGSGSITWTLGVALLEAGQALSKRVEVKGYEIIYRDIHPAIFVVIFFVSVLLLCCALSCVSNWMPRFLRRSCILLFRYKSLTNSVLNIPSPFRFQRRSPIISGDGRVKTPLSPTISGSQQHPFNMGQGLGGSSVHLSESSVLPLVVSHSYSSGSLGQMQFGSGAGSFWPPHRGKTTLSSRRSQSREDLSSSLADAHVVKV >Ma10_p07950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22298120:22305257:1 gene:Ma10_g07950 transcript:Ma10_t07950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSSLHDLKTLSEQNSGEDDDLESDRSYGDAKPFRALQREGATSSFSKDKSYPTIPTTRKKWLWATVGIIALLLLFSLILLCSGFYSTFLSHEASEHYVILDCGSTGTRVYVYKWTFDQNKGHRNLPIALKSLPEGPQRNPRTQSGRAYHRMETEPGFDKLVHDKYGLRAVLQPLLLWAEKQIPKHAHKDTSLFLYATAGVRRLPKSDSDWLLDKAWTILKKSSFLCRRDWIKLISGMEEAYYGWIALNHHMGLLGSLPAGKTYGSLDLGGSSLQVTFETETPIHADTSISLRIASANHHLSAYSLSGYGLNDAFDKSVAHLFRKFVGTGAGLNNKLQLKHPCLNNGYRDKYTCSRCASVKQEGSPLTGGKTMSKKKTGIVVELIGAPQWEECRSLARLTVNRSAWSNFSSGIDCELKPCALSDGLPQPRGKFYAMSGFYVVFRFFNLSSEASLEDVLIMGQKFCGNTWKVAKNSVAAQPFIEQYCFRAPYVASLLRDGLHIKDNQVIIGSGSITWTLGVALLEAGQALSKRVEVKGYEIIYRDIHPAIFVVIFFVSVLLLCCALSCVSNWMPRFLRRSCILLFRYKSLTNSVLNIPSPFRFQRRSPIISGDGRVKTPLSPTISGSQQHPFNMGQGLGGSSVHLSESSVLPLVVSHSYSSGSLGQMQFGSGAGSFWPPHRGKTTLSSRRSQSREDLSSSLADAHVVKV >Ma10_p07950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22296789:22305257:1 gene:Ma10_g07950 transcript:Ma10_t07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSSLHDLKTLSEQNSGEDDDLESDRSYGDAKPFRALQREGATSSFSKDKSYPTIPTTRKKWLWATVGIIALLLLFSLILLCSGFYSTFLSHEASEHYVILDCGSTGTRVYVYKWTFDQNKGHRNLPIALKSLPEGPQRNPRTQSGRAYHRMETEPGFDKLVHDKYGLRAVLQPLLLWAEKQIPKHAHKDTSLFLYATAGVRRLPKSDSDWLLDKAWTILKKSSFLCRRDWIKLISGMEEAYYGWIALNHHMGLLGSLPAGKTYGSLDLGGSSLQVTFETETPIHADTSISLRIASANHHLSAYSLSGYGLNDAFDKSVAHLFRKFVGTGAGLNNKLQLKHPCLNNGYRDKYTCSRCASVKQEGSPLTGGKTMSKKKTGIVVELIGAPQWEECRSLARLTVNRSAWSNFSSGIDCELKPCALSDGLPQPRGKFYAMSGFYVVFRFFNLSSEASLEDVLIMGQKFCGNTWKVAKNSVAAQPFIEQYCFRAPYVASLLRDGLHIKDNQVIIGSGSITWTLGVALLEAGQALSKRVEVKGYEIIYRDIHPAIFVVIFFVSVLLLCCALSCVSNWMPRFLRRSCILLFRYKSLTNSVLNIPSPFRFQRRSPIISGDGRVKTPLSPTISGSQQHPFNMGQGLGGSSVHLSESSVLPLVVSHSYSSGSLGQMQFGSGAGSFWPPHRGKTTLSSRRSQSREDLSSSLADAHVVKV >Ma08_p30090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41504355:41506215:-1 gene:Ma08_g30090 transcript:Ma08_t30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVWLQSYVSSFFFIFAATFIAFSGLLLLVRWRPWCNCSVCRAYLSSTWTAEFDNLCDWYAHLLRQSPTGTVQIHVLGNTITANPDNVEYMLKTNFDNFPKGKPFSTILGDLLGHGIFNVDGEAWRFQRKMASLELGSASLRSYAFRIVSGEVHHRLLPLLAFVSDPSGDNTVELQDVFRRFAFDTVCKISFGLDPGCLELSLPMSEFAMAFDRASTLLARRGATIAPLVWKLKRFLNVGSERELRRSIRRVNVLADEVIRQRRVLGSASGHDLLSRFMSSVEDDRYLRDIVISFLLAGRDTVASSLTSVFFLLSQHPKVVAAIRDEVAAVTKGKDENDEVPTYEQLKGMHYVTAVLHESLRLYPPVQFDSKFCLEDDVLPDGTMVTKNARVTYHPYAMGRMERIWGSDCFDFKPERWLRDGLFTPEGLFKYPVFQAGLRVCLGKEMALMEMKAVIVSVVPRFDIEVINGGRSPHFTPGLTATITGGLSVRVHRRTATACE >Ma04_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26808708:26810909:-1 gene:Ma04_g24950 transcript:Ma04_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFRSRSFNDGMMQLEVYGARSSAAAAPPPGLHDFRSYSASYAYMYNGGSHGPDGFKAKADYGSSSSNKGGWVFSDPEFQRKRRVAGYKAYAVEGKVKGSFRRGFRWLKDKYARVVYGW >Ma07_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6936489:6945448:-1 gene:Ma07_g09240 transcript:Ma07_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPHSGGESRAASINPSTQEIGGTQQMNPRRLKGHKAAATCCVASRLRPGVIASSGEDGCICLFDLRCKDVLFTIDVGEEPVSSLCFKTGNDDILYASSGTKVSCFDIQMASSWKPLETYSYNKDEINQISFSTKSNFLAAADDSGEVKIIDSCQQSLYRTLRMVHTSICSCVQFVPWKPWTAITGGLDLKLAIWDFSKGRPHNVIDYGMAEPDSNITNGNAGQCFNPAFVHSIAVPEVDMLPGLNKVCAAARGDGVIDVIDLEVELANTKSKSSSIAKGSQPRSRKADAQSAKTMGQVLRKRTRLDYSLGGHTAGVSCVSFSLFGERGKFLISGGNDASVKLWDWSKCSYPEQASCSTHLSLTVNMNRKVNWLCTTPTDSENLVVCDTSKILKIYTVA >Ma04_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23916531:23918092:-1 gene:Ma04_g21340 transcript:Ma04_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVTSSCLVTPEKETPTQAIWLSTLDLFQIRAHVATVYFYRPPANGDPAGFFSPEALKAGLRKALVPFYLFAGRIGTDGNGRTEIKCNGKGALFVEAKSEELTVDKFGDFAPSPECRRMLVPSVSPDDGDDAVPLLLLQVTYFKCGGVCLGVGLHHLVSDGVASLHFINAWSDITRGVDLAVPPFLDRTLLVPRSPPSVLFPHHEFKRCPAGRNASADKPAVSTAILALSADQLAALKTACAKVASSRRVTTYEAVAGHVWRRACEARRLDAGRETRVYITTDGRRRLRPPLPPGYVGNVIFPTVAVATVGDVTSETTADAASRIHRAITLMDDEYLRSALDFLEMEEDVKSLGRWAGNFTSADLSITCWTSLPIYEADFGWGLPEFMGPATMFYGGLCYIMPKPPAKAGGVLVAVSLEAEFMDRFKELFYDTIAEN >Ma03_p32980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34539890:34540442:1 gene:Ma03_g32980 transcript:Ma03_t32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRTTTPTTKLAGDETTENVRDQNPPIPDAEGDDETGCGRPTFQ >Ma11_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:866004:866090:1 gene:Ma11_g01200 transcript:Ma11_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASTIPNNPLGKILREGLIKLATSEL >Ma04_p24120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26173528:26181096:-1 gene:Ma04_g24120 transcript:Ma04_t24120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDISALRKRVSPAEDILRLSQMNTDLFGGTDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDALYYVKDRFLRFYEFSSQKDSQVVPIRRPGSVSLNQGPRTLSFSPTENAVLICSDADGGSYELYIVPKDTSGRGDYMQDARKGAGASAVFVARNRFAVLDKSNNQAIVKNLKNEIVKKSPLPVGTDAIFYAGTGNLLCRAEDRVAIFDLQQRIVLGELQTPSIKYIVWSSDMESVALLAKHAIVIANKKLVHRYTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDVLIYITKVSGSNIYCLDRDGKNRVISIDSTEYIFKLSLFRKRYDHVMSMIRNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVAAAKEIDDKDHWYRLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLVTGNMEKLSKMLKIAEMKNDVMGQFHNAMYLGDVQERVNILENSGHLPLAYVTAVTHGLKEVADRLSAELGENVPSLPEGKVRSLLMPPASLMCCGDWPLLRVMRGIFDNGLDTVRAGNEEEEEATGADWGDEELDIVDMEAVMQNADDVVAELEEGVANEDNEEGGWDLEDLELPPDADTPKAAGNARSSLFVAPTPGIPVSQIWIQKSSLAGEHVAAGNFDTAMRLLSRQLGIRNFAPMKPLFMDVFVGSHTYMHAFATTPAISTAVEKGWSESDSPNVRGPPALVFKFSQMDEKLKAAYRATTDGKFPEALRQFLNILHTIPLIVVESRREVDEVKELIEIAREYVLGLKMEVQRKEIKVNSVQQQELAAYFTNCKLQKIHMRLVLTNAMTICYKGGNYATAANFARMLLENRPTEIQAKKARQVLQHAGDKNDANQLNYDYRNPFVVCGATFVPIYRGQKDVSCPYCGARFVPAIEGQLCSVCELAVVGSDASGLLCSPTQAR >Ma04_p24120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26173335:26181096:-1 gene:Ma04_g24120 transcript:Ma04_t24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDISALRKRVSPAEDILRLSQMNTDLFGGTDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDALYYVKDRFLRFYEFSSQKDSQVVPIRRPGSVSLNQGPRTLSFSPTENAVLICSDADGGSYELYIVPKDTSGRGDYMQDARKGAGASAVFVARNRFAVLDKSNNQAIVKNLKNEIVKKSPLPVGTDAIFYAGTGNLLCRAEDRVAIFDLQQRIVLGELQTPSIKYIVWSSDMESVALLAKHAIVIANKKLVHRYTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDVLIYITKVSGSNIYCLDRDGKNRVISIDSTEYIFKLSLFRKRYDHVMSMIRNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVAAAKEIDDKDHWYRLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLVTGNMEKLSKMLKIAEMKNDVMGQFHNAMYLGDVQERVNILENSGHLPLAYVTAVTHGLKEVADRLSAELGENVPSLPEGKVRSLLMPPASLMCCGDWPLLRVMRGIFDNGLDTVRAGNEEEEEATGADWGDEELDIVDMEAVMQNADDVVAELEEGVANEDNEEGGWDLEDLELPPDADTPKAAGNARSSLFVAPTPGIPVSQIWIQKSSLAGEHVAAGNFDTAMRLLSRQLGIRNFAPMKPLFMDVFVGSHTYMHAFATTPAISTAVEKGWSESDSPNVRGPPALVFKFSQMDEKLKAAYRATTDGKFPEALRQFLNILHTIPLIVVESRREVDEVKELIEIAREYVLGLKMEVQRKEIKVNSVQQQELAAYFTNCKLQKIHMRLVLTNAMTICYKGGNYATAANFARMLLENRPTEIQAKKARQVLQHAGDKNDANQLNYDYRNPFVVCGATFVPIYRGQKDVSCPYCGARFVPAIEGQLCSVCELAVVGSDASGLLCSPTQAR >Ma04_p24120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26173335:26181096:-1 gene:Ma04_g24120 transcript:Ma04_t24120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDISALRKRVSPAEDILRLSQMNTDLFGGTDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDALYYVKDRFLRFYEFSSQKDSQVVPIRRPGSVSLNQGPRTLSFSPTENAVLICSDADGGSYELYIVPKDTSGRGDYMQDARKGAGASAVFVARNRFAVLDKSNNQAIVKNLKNEIVKKSPLPVGTDAIFYAGTGNLLCRAEDRVAIFDLQQRIVLGELQTPSIKYIVWSSDMESVALLAKHAIVIANKKLVHRYTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIKTLDVLIYITKVSGSNIYCLDRDGKNRVISIDSTEYIFKLSLFRKRYDHVMSMIRNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVAAAKEIDDKDHWYRLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLVTGNMEKLSKMLKIAEMKNDVMGQFHNAMYLGDVQERVNILENSGHLPLAYVTAVTHGLKEVADRLSAELGENVPSLPEGKVRSLLMPPASLMCCGDWPLLRVMRGIFDNGLDTVRAGNEEEEEATGADWGDEELDIVDMEAVMQNADDVVAELEEGVANEDNEEGGWDLEDLELPPDADTPKAAGNARSSLFVAPTPGIPVSQIWIQKSSLAGEHVAAGNFDTAMRLLSRQLGIRNFAPMKPLFMDVFVGSHTYMHAFATTPAISTAVEKGWSESDSPNVRGPPALVFKFSQMDEKLKAAYRATTDGKFPEALRQFLNILHTIPLIVVESRREVDEVKELIEIAREYVLGLKMEVQRKEIKVNSVQQQELAAYFTNCKLQKIHMRLVLTNAMTICYKGGNYATAANFARMLLENRPTEIQAKKARQVLQHAGDKNDANQLNYDYRNPFVVCGATFVPIYRGQKDVSCPYCGARFVPAIEGQLCSVCELAVVGSDASGLLCSPTQAR >Ma11_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24917519:24922409:1 gene:Ma11_g20440 transcript:Ma11_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MTPIQSRNPSFLISLLCLIDVCVSLGSSHPTPPPLPIAPLPSAAQLRWQLGEMALFLHFGPNTFTDSEWGSGHAPPSVFRPGSLDARQWARTAAAGGFARVVLTAKHHDGFCLWPSAYTDYSVRSSPWRAGRGDVVAELAAAAREYGIGMGVYLSPWDRHDPSYGSTVKYNEYYLGQMTELLTNYGDIQEVWLDGAKGDDKEMEYHFDCWFQLIHQLQPGVMIFSDAGPDTRWIGDESGVAGSTCWSLFNRSSVTIGHIDEQSSRHGDPHGIDWVPAECDVSIRPGWFWHSSEHPKSAMELLDIYYESVGRNCLLILNVPPNSSGLISDEDLQVLQDFTALRITIFSHNLAWNATVTASSVRGGDNETRFSPSNVLQKGISSYWAPDESESDWAIFLDLEQLISFNVLQIQEPIQMGQRIIEFQVDILASGEWKTIANGTTIGYKRLLRFPMVETQFLRFIINKSRASPLISYIGIHADPFSMVYDSVTARSSSTKRFSFKRRNKRFGYALESAI >Ma04_p33580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33135303:33142051:-1 gene:Ma04_g33580 transcript:Ma04_t33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFRGLASGRRSLPDIRCALSSFSTTTRPLSAHDDQPQNEVLVEGKASARAAILNRPSALNALTTNMAVRLKKFYETWEDNPDIGFVMMKGSGRAFCAGGDVVALHRLLNEGKMEDCKDFFRNLYTFIYVLGTYLKPHVAILDGVTMGGGAGVSVPGTFRIATDKTIFATPEVHIGFHPDAGASFYLSRLTGYIGEYLALTGERLNGVDMLAVGLATHFSLRARLDWIDERLAKLITDDPSVIDSTLAQYGDLVYPDKTSIVHRLEVIDKCFGHETVEEILDALEVEVARSNQDWCASALKKLKEASPLSLKVSLRSIREGRFQTLDECLVREYRMSLHGISKPVSHDFCEGVRARLVEKDLAPKWDPPTLEKVSKDMVDYYFSPLGEFEPELKLPTHLREAFI >Ma08_p24830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37903440:37904345:-1 gene:Ma08_g24830 transcript:Ma08_t24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFKLYEPMGGDHFNIFAAGLKTADRVITVSRGYAWELTTSEGGWGLHEIINENNWKFRGIVNGIDTVDWNPELDLHLQSDGYRNYSIETLQAGKPQCKAALQKELGLPVREDVPLIGFIGRLDHQKGVDLIAGAMPWIVGQDLQLVMLGTGRADLEEMLRKFDREHHNKVRAWVGFSVKMAHRITAGADVLLMPSRFEPCGLNQLYAMKYGTVPVVHAVGGLRDTVIPFDPFRESGFGWTFDRAEANKLINALGNCLNTYRNQKENWKGLQTRGMAQDLSWDNAAKHYEEVLVSAKYQW >Ma05_p19470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27929345:27929658:1 gene:Ma05_g19470 transcript:Ma05_t19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFDIPVKQSLVAVIFQQSLVVVVVVVVVVAVKVENMANLDSGPSDSSLSCDEDDGA >Ma09_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1199756:1201648:-1 gene:Ma09_g01610 transcript:Ma09_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGEHGGSAGGPAPFLLKTYEMVDDASTNDVVSWSPSMNSFVVWNPPDFAAHLLPTYFKHNNFSSFIRQLNTYGFRKIDSERWEFANEDFIKGQKHLLKNIYRRKPIHSHSRPPGGGLADSERIALEEEIDRLKREKASLQMAVQKFEQLHSGTKIQLGDVERRVADMEKRQLKMVAFLQRVMQNPRLMENLVKMVSSSSIDFSVIHKKRRLPLGADHCQENSENSLCDDHCSTSKFEFGHALNQDFCDKLRLELCSAYLDSNVVMASTQSSNEDTCSSRPKQINRSHCTIESLTLAPETLELCDTGASICPTKNLLFPKTADDGDGIFPCHLSLTLASSAMQIDRSDYSSRIPDAVNQEEANLSEMNNIVTTKENELNTLISAGARAAPLQEAPRTSNETPAVPPVRVNDMFWEQFLTERPGSSDNEEASSGLRAYPGGEQNDERHRK >Ma03_p17500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22860130:22867041:-1 gene:Ma03_g17500 transcript:Ma03_t17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELVVEPPEIREVLRCILHTILFHRALGLVRPKDVECELFDITYVQCEDHELEKKIDEKINQFIGWVEKHPNRKSQVCISFYEVKNKHATWFGNKIERFYWEQWYINLHIISPKPHGKSHNTKAHVVTGENAFEESNSRCAALESSLREVLFQIIKFVNEKKDHIPPLQNSEIVSYPYEISTPR >Ma03_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22859634:22867041:-1 gene:Ma03_g17500 transcript:Ma03_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELVVEPPEIREVLRCILHTILFHRALGLVRPKDVECELFDITYVQCEDHELEKKIDEKINQFIGWVEKHPNRKSQVCISFYEVKNKHATWFGNKIERFYWEQWYINLHIISPKPHGKSHNTKAHVVTGENAFEESNSRCAALESSLREVLFQIIKFVNEKKDHIPPLQNSEIVSYPYEISTPSSSDSSFGWHADVLKRMLQTGHPSMLS >Ma08_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35893449:35894910:-1 gene:Ma08_g22240 transcript:Ma08_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSVLNDVDDCIDLPPGFRFHPTDEEIITHYLTPKVTDLGFTAKAMGDVDLNKCEPWDLPGKAKMGEKDLYFFCHKDRKYPTGMRTNRATEAGYWKATGKDKEIYRDKGVLVGMKKTLVFYQGRAPKGQKTNWVMHEFRLEGKHSLPNLPNSAKDEWVVCRVFHKNIGLKKSPLGDDLMDLATLPPPFMDPPRMESNFTGLPPGVEDPSAYCTLLGSTDVGYLHQDEAMMRAFAAANNDVSAATRKPCKVEQFTDISSSAVSKHYDDLDFTWTSFGF >Ma03_p07570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5324809:5329114:-1 gene:Ma03_g07570 transcript:Ma03_t07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASVMASCSGSLLFMPHMISIPAHWPCKSKICHVKIPGSCIQRPISYFRPICASIRSNDHYGLSYGDGFDREPFWLSVVKGVAMYLKSLAVFLAEQPSQLKYIEWPDFQNTLRTSTLTLVLVALLIVALSSIDACLCYILALLLRKTA >Ma07_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24509480:24518063:1 gene:Ma07_g18120 transcript:Ma07_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASRFLVGMDRSRASLAALFDLAPLLLLSTTLVAVTVESATDSLDVPALGVLYASLNSPRQLSGWTRSGGDPCGTSWLGVSCSGSAVVAIHLSGLGLNGSLGYLLSDLLSLKTLDLSNNNIHETIPYQLPPNLTYLNLAGNDLSGNLPYSISSMVSLNYLNLSHNLLSQTVGDIFSDLQDLSELDLSFNSFTGDLPNSFGSLSNLSNLYLQDNQFMGPVNILVNLDLTTLNIANNQFNGWIPQEFKSITNLEIGGNSFSHGPAPPPPPYMPPPPGRLHRSHNNTRNPSQGSGGKSSAPDHVRNKKSLTAGLLIGIVIGSAFGALCIILAIILCLHNIQKCKNGNVNNRNDSGTSAAVGTNKVTKKEMQEQRLKSSSITSPKPPTDTVMVEKLHGKNMAAKPSKVPITATSYTVASLQIATNSFSQDCLVGEGSFGRVYRAEFPNGKILAVKKIDSAAVSLQEEDSFLEAISNMSRFRHPNIVTLSGYCVEHGQRLLVYEYIGNGTLHDMLHFANDSSNTLSWNARVRVALGVARALEYLHEVCLPSVVHRNLKSANILLDEELNPRLSDCGLAALTPSTERKVSTEVVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGQKPLDSSRVRSEQSLVRWATPQLHDIDALSKMVDAALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQELVRLVQRASAVRRRSGEEIGFSYRVMEQDASMTDISF >Ma06_p31330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32483588:32485109:1 gene:Ma06_g31330 transcript:Ma06_t31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIMDGHGRRCGAVSGLTTVKNPVSLARLVMDRSPHSYLAFDGAEEFAREQGVEVVDNSYFITEENMSMLKRAKEANSIMYDYRVPAPGVDSASAGLEGAKVENGLLMNGLRISVYAPETVGCVVVDSNGWCAAATSTGGLMNKMSGRIGDSPLIGSGTYACGDCAVSCTGEGEAIIRSTLARDVAALVEYKGLSLQEAVDYAIKERLDEGMAGLIAVSRNGEVAYGFNTIGMFRGCATEDGFMEVSIW >Ma07_p24950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32107672:32117146:1 gene:Ma07_g24950 transcript:Ma07_t24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCILKIKLKVPGTGICTNNPPDRDNAVLLFEDNAATDITSSSEEYTPLSNWKDMKRKRGHKAYHKKGKKVKLVKDPSPDLVSVNKEDNLEQVDDDQLGSEIDPEPPNGSNTPSVMSNIDTEMPNDRPSEKAGHSRVKVKLKSSRISEPHRSSSDAQTPSETEKSNPQVTLNIIEAASGKEESTYSDGQTSEMHNAVSEKLPRKAGSIRIKSSRGLGISSEIMQDRNLGKLVIPPQMLSKKNSVVADPARMQDLPVPRNSRQKERTQPYNDARHNEKELSAALVVIKKVMKMDAAVPFNTPVDPVALGIPDYFDIIDTPMDFGTIAHNLEHGLKYMNSEDVFKDVQYIWDNCFKYNNKGDYVVDLMKRVKKNFTKYWLAAGLYSDTAGVSESTQIEDASQSGQDKLYPKSKSKHKRRRYGIDQHKSDCLCAVCVVRRRRKEREAVESQAYASDPNISGELKLEESSPLDNPCSEDATSSLGHSPETDPNADADEADDEEKFETSEQLDSVQLEKQEMDTENEFDHDKNGGNNQSCHQSLENGTEDSKSQLKGRYTEATQPEEQKVIQSKETSAHHYLQMTENQEESPEQDQLNKMQENHLVLRLCNSLFPSNLKSVWNGPHSLSRRNVSFRNGPIHSAVEAFMKQ >Ma09_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1253546:1257129:1 gene:Ma09_g01700 transcript:Ma09_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGLLLLANALAILNEDRFLAPKGWSFNEVSGGARAKSLKGQLIGLIYATQYLRVPLIILNAIIIVVKLVSG >Ma06_p08270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5865984:5867179:-1 gene:Ma06_g08270 transcript:Ma06_t08270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVWLFRTPCVSRFAFLLSYKCREVEAFARLEETPPQKHFRASLSPLSPPTCNETALPPEPVKRSGFAMVGTSCSSSSSCASFGSFDADAAAAKRSPTAATIKFLCSYGGKILPRYPDGKLRYIGGDTRVLAVDRSVPFSELQEKMREMCGWVAVSLRCQLPTEDLDALVSVKSDEDLANIMEEYDLAGREKIRAFLFPPSSKPRSPTHPAATATALPSGRPWILSEKRCIHQISDPMRYPGRYGKSGAISSGDIRYRAHHHHPQHHHHHHHLHGHHAIPKPCNYLVHQGSQWQ >Ma06_p08270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5864843:5867179:-1 gene:Ma06_g08270 transcript:Ma06_t08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVWLFRTPCVSRFAFLLSYKCREVEAFARLEETPPQKHFRASLSPLSPPTCNETALPPEPVKRSGFAMVGTSCSSSSSCASFGSFDADAAAAKRSPTAATIKFLCSYGGKILPRYPDGKLRYIGGDTRVLAVDRSVPFSELQEKMREMCGWVAVSLRCQLPTEDLDALVSVKSDEDLANIMEEYDLAGREKIRAFLFPPSSKPRSPTHPAATATALPSGRPWILSEKRCIHQISDPMRYPGRYGKSGAISSGDIRYRAHHHHPQHHHHHHHLHGHHAIPKPCNYLVHQGSQWQ >Ma11_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2989333:2994554:1 gene:Ma11_g03910 transcript:Ma11_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMAQINHVEGEEEYVLLDLDDVCVRADIPANAPYVLSGLDTVKPVLVIGDLKLIGEYQETMGTCYIFSEGDGAPTVTCSETKPSETNVFKDKQFLDPKQAPSKQVKPIASLHKILKFKLVSEDQNEMAEGVTTSFS >Ma11_p03910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2989327:2994554:1 gene:Ma11_g03910 transcript:Ma11_t03910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMAQINHVEGEEEYVLLDLDDVCVRADIPANAPYVLSGLDTVKPVLVIGDLKLIGEYQETMGTCYIFSEDGAPTVTCSETKPSETNVFKDKQFLDPKQAPSKQVKPIASLHKILKFKLVSEDQNEMAEGVTTSFS >Ma11_p03910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2989088:2994554:1 gene:Ma11_g03910 transcript:Ma11_t03910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMAQINHVEGEEEYVLLDLDDVCVRADIPANAPYVLSGLDTVKPVLVIGDLKLIGEYQETMGTCYIFSEGDGAPTVTCSETKPSETNVFKDKQFLDPKQAPSKQVKPIASLHKILKFKLVSEDQNEMAEGVTTSFS >Ma11_p03910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2989631:2994554:1 gene:Ma11_g03910 transcript:Ma11_t03910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMAQINHVEGEEEYVLLDLDDVCVRADIPANAPYVLSGLDTVKPVLVIGDLKLIGEYQETMGTCYIFSEGDGAPTVTCSETKPSETNVFKDKQFLDPKQAPSKQVKPIASLHKILKFKLVSEDQNEMAEGVTTSFS >Ma02_p14650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22638604:22639920:1 gene:Ma02_g14650 transcript:Ma02_t14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSGFLYPPPPSAIITAMTAISSGALVYFGLSEAWGKHLQYSKFWNAGSGPSGEQIRVSSRVGMLLLYTPALAAAAVAFAVPAVVADDRCRLLALALALHFFKRDFEVLFIHQYSGNMILDSVIPITLSYFTGTVCMIYAQYLTQGMPGPKLDLKVAGEVFFLVGITGNFYHHYLLSKLREKGDKTYKIPKGGLFGLVICPHYLFEIIIFIGFCLISQTLYSFLFALGTLWYLMGRSYATRRWYLSKFENFPKQVKALIPYVF >Ma10_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27126978:27127637:-1 gene:Ma10_g14800 transcript:Ma10_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPLAVSIKHPTSDEEDLAPMPIRRHRRRCLIYGGSCAAVLVILTVVIVALALTVFKVKEPIMTMNSVTVQRLAIASGAPSSTTQPFAINMTVVADVSVKNPNAASVRFGASTTTLYYRAREMGVARGPPGTARAHRTFRLNVTVDVMADRIFGDANLFDDLAGGSIAVTTSTTVGGRVQVLGLFKHHVDVTMNCSITMAVANQSIVDQNCAHKVRP >Ma02_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26471643:26472531:-1 gene:Ma02_g20530 transcript:Ma02_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRTTPPCVFLSLLLLLSAANGLVQDFCVGELSSSDTPAGYPCKEVSTVTCDDFVFTGLGVPGDTSNLIKAAVTPAFVSQFPGVNGLGMSAARLDIAPDGVVPLHTHPGGSELLFVIEGTICAGFISSANDVYFTTLNKGDTMVFPQGQLHFQINAGDVNAVAFVSFSSPNPGLQITAFALFANSLPSPLVEKVTFLDDEQVKKLKKVLGGSG >Ma01_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26484665:26488608:1 gene:Ma01_g23040 transcript:Ma01_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSSGLALLIFGRRNDEQPIKVSPWSHYQLVEQEFEPESQLASRKKQNSQGCTSFICFKCACAQLDGESPSKVGLVNHSETLSDSSCSDRSKVLINDAATVSERKPCLKSNLKKLSRDCSTAYEGDDPHEFLEGVENETSCCTVGRKVQWTDKCGKELVEIREFELSDDGLSDEEFKHEKVRRCECVIQ >Ma10_p18570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29445210:29447890:-1 gene:Ma10_g18570 transcript:Ma10_t18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGCSILVLLLLASVGPAIACSGGECKLLEECSTDSDCQAGLYCFSCPSGFSGSRCVRSTVTDQFKTVNNSLPFNKYAYLTTHNAFAIDGEPSHTGVPRITITNQEDTVTQQLNNGVRALMLDTYDFEDDIWLCHSTGGKCYDFTAFEPAIDTMREIEAFLSANPSEIVTLILEDYVETPNGLPKLFNDSGLTKYWFPVSSMPQNGQDWPLVSDMVASNQRLIVFTSIKSKQDAEGIAYQWNYMVENQYGDDGMEEGKCFNRAESASLSDTTKSLVLVNYFSSIPVKQTTCEDNSGRLINMLNTCYGAANNRWANFVAVDFYKRSDGGGSFQATDMLNGRLLCGCDDVHACVAGSTPGACSSP >Ma04_p37120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35166824:35168080:1 gene:Ma04_g37120 transcript:Ma04_t37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSFFSSASSSSSSSSSYREPSFLPPVFCSAAAPHGLLSDANGCLPPFPSSIPPYTSSPSPYYLHRSSSTHSLPLRHHFPDALNPPPPPPPPLSSSPSSSSCDYFDFNAGPVRRVLSTGDLQGVNALHESYSQEGGVIAGRVGRYSAEERKERIERYRSKRNQRNFHKKITYACRKTLADSRPRVRGRFARNGEMETETEVETETAGASSFGCVGHHNYEQNQSCSVGGDWWSQLQAALATDEEDESCYDEDLLASFADVFTMSNLS >Ma03_p31590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33760510:33760683:-1 gene:Ma03_g31590 transcript:Ma03_t31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVPSTFKIPRVVDALTLQKQSCRNHALQLRLCQLYVEDETFSLRHSRETSNQLWL >Ma04_p33690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33197954:33201839:-1 gene:Ma04_g33690 transcript:Ma04_t33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPTLSSLSRSSLPRLALHPPMAAASYSSSGSGARAYCSGDALSLQVQEPSDASLSSLEIIGARRAILSRFESLRRPYKPFPLIGWNCHVETIFAAFFRSQPAVPLRRECLRTRDDGAVALDWVVGDDRQLPPDSPVLILLPGLTGGSDDTYVRHMLVRARSKGWRVVVFNSRGCAKSPVTTPQFYSASFTEDLCQVVSHVSTRYPKSKIYAVGWSLGANILVRYLGQESQNCPLSGAVSLCNPFNLVIADEDFHKGFNNVYDKALARALREIFKKHALLFEGLGGEYNILMAANARSVREFDEGLTRVSFGFKSVDDYYFNSSSSSSIGCVCTPLLCIQAANDPIAPSRGIPREEIKENPNCLLIVTPQGGHLGWVAGDETPFGAPWTDHVVMEFLEYVNEENVQKAEAGQFDQLDASQQSPSSVSIRVQ >Ma10_p11130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24730989:24733932:-1 gene:Ma10_g11130 transcript:Ma10_t11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKRVPTVLSNYQEDSGESRGCGRNCLGKCCLPVSKLPLYAFKSDARPEISSSGVDEPPSDFFLNSLLLGGWEDRMSRGLFRYDVTACETKVIPGEYGFVAQLNEGRHLKKRPTEFRVDRVLQPFDPAKFNFTKVGQEEVLFRFEAGEGDKARFLESAAVGETNTPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDPDSFLLALHMAAEAGSPYFRLGYNSLGAFATINHLHFQAYFLTMPFPVEKAPTQRIPVVKGLSQSKVKVSKLLNYPVRGLVYEGGNTLKDLSDVVANSCICLQENNIPFNVLISDSGRRIFLFPQCYAEKQALGEVSQEILDTQVNPAVWEISGHMVLKRKKDYEEASEEYAWRLLAEVSLSEARFEEVKAYIFEATGLESEEEKIEPEENKGTPHQSSTTAVAANHFPEGCLILQ >Ma07_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8843244:8844497:-1 gene:Ma07_g11930 transcript:Ma07_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKKTKQSSMASMANSLKTKRRRLSHSKCSKSSLPNDLLIPILSRLPVKSALRFRCVSKEWLALLSDRGPYSIRYLRPTMYGFFYRRRLVRSLQYAPIHPYRDQHLDIKRLTSHLPDHDNLFLLDSCNGLLLFLCVVGDNSFKSMIVCNPFGDEKTNWVIIHLNVALTHLPVGRRFISARLDFHRHDSPQFKCLLFFEDQQANQQSLPWFTILSTNTDQQQNVYVLPQRGLPPLDFYDGTADDDYPKLCIIHDDDTDYAICPSPATSLEGGVRSLMGLSGGVPHYALCNPYDLQIWVLASENGHRTWNLKHSHSNQPLINLHTESYRRHRHDDDRSAYSIAPLGFHPDLDVIFMQIEWRIYALHLPSGSLEEVAGERGANPYGERFLFYPFTMDPSVSLGDKREYHMEIPDMNQA >Ma03_p28600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31728078:31729523:1 gene:Ma03_g28600 transcript:Ma03_t28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVLVGGFETSLKPLTLSMPKSLLILHQVSVGVTEVILAINYQPQASKSEYIAIFLKERSCLGEPDKPFFLLNSDIVCEYPLKEVLSFHQAHGGEASIMVTKVAEPSKYGVVLLDEESGQVKEFVEKPPVFVGNRIDAGIYLPSIDVLSRVLPRLRLLERQVFPSIAAREACTPWFMPDFWMDMGQPKDYLAGLKILPRLRETAFSERFGFTYCSLDLDLDLDSVGTRTIYHPTFWW >Ma06_p36850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36096374:36099026:-1 gene:Ma06_g36850 transcript:Ma06_t36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MRVLMACRMYPLRHGFRTIPDNHLSRLHRRSSYNSRKRPVIIGGNVFSLPNSEPPNSLMHDKLHVKVSAVRRRRAYLQSDTYVLLEPGKSEEFVTEEELRLRLKGWLENWPANALPPDLAEFNTVDDAVSHLVRSVCELEIDGQLGSIQWYQVQLE >Ma07_p19960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27876081:27879267:-1 gene:Ma07_g19960 transcript:Ma07_t19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKTNSSVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFSGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Ma11_p17250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22602047:22607255:-1 gene:Ma11_g17250 transcript:Ma11_t17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVVRDIGSGNFGVAKLMRHKETKELVAMKYIERGPRIDENVAREIVNHRSLLHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICDAGRFSEDEARYFFQQLISGVSYCHFRQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKQADVWSCGVTLYVMLVGAYPFEDQKDPKNFRKTIGRIMSVQYKIPDYVHISQDCKQLISRIFVANPMKRITIREIKSHPWFLKNLPRELTEAAQAVFYRKDNNTPTYSTQKVDEIMKILTEARTPRKPSQPVPGTVGIEDEFEEEEGKEENQEEEQEEDDDDDEYDKTVKAVHASGEF >Ma11_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16484517:16485297:-1 gene:Ma11_g12580 transcript:Ma11_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDATGKVSRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYTKAQAD >Ma09_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12460065:12460535:1 gene:Ma09_g16970 transcript:Ma09_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLHHLTRLFEMLHCHLERLGYLAITQVDSIPSNELIVSGDESSDDAAMVMKRLGLWSSDDDEETESSRLMDAAYKLLEEKEARLEEVDAAFGVFDEDGDGLIGAEELRAVMRRLGLEEGMRLEDCRRMIEAYDEDGDGHVSLSEFKNMLECAL >Ma02_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28659725:28660587:-1 gene:Ma02_g23790 transcript:Ma02_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTSSKLSRSVLMLLLLLSVLLVAASATTEHEAEEKGREGGEPSESWTAWAKDKISGGFGLKKNQEDEEAEDATRKVEEAATTGRERGQEMIHDKAGKAKEAAETSTEKLQEIASEIGSEAAEKMGKAKEAAAEKAAKAKEAAGKTAKEAAAEAKEKGAEAKEEAVKTAEEAAAKARESATGATEKAKEKAKETVDAAKEKVEAAKEYVMGGGAERHEEEL >Ma08_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3528799:3533757:1 gene:Ma08_g05150 transcript:Ma08_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCDSSENGTRIFYKRFGHGSTKVLLITGLAGTHDSWRPQVKGLTRAAGANVDDDAVAAVGDEGLDVRDREAQEGIEVCCFDNRGMGRSSVPTNRAEYTTSIMAKDALALLDHIGWKKAHVFGHSMGAMIACKLAAMVPDRVCSLALLNVTGGGFECLPKIDRQMISLAYRFFRAKTPEQRAIVDLETHYTKGFLDEYVGSCTRRDILYQDYVKAISSSGLQSNCGFEGQVNACWNHKMTSKELDTIRSSGFLISVVHGRYDIIAQLDHARRLAEKLQPAARMVELHGGHLVSHERPDEVILSLLELINASKSKLQPEEWSNMQEKQAGWLMIGTPLTLTNKNNGVASNLLAVYNLLGKLQLSFLYCIGFFIMGYEHMRGILKTMKPVKVAASSS >Ma08_p05150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3528799:3533044:1 gene:Ma08_g05150 transcript:Ma08_t05150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCDSSENGTRIFYKRFGHGSTKVLLITGLAGTHDSWRPQVKGLTRAAGANVDDDAVAAVGDEGLDVRDREAQEGIEVCCFDNRGMGRSSVPTNRAEYTTSIMAKDALALLDHIGWKKAHVFGHSMGAMIACKLAAMVPDRVCSLALLNVTGGGFECLPKIDRQMISLAYRFFRAKTPEQRAIVDLETHYTKGFLDEYVGSCTRRDILYQDYVKAISSSGLQSNCGFEGQVNACWNHKMTSKELDTIRSSGFLISVVHGRYDIIAQLDHARRLAEKLQPAARMVELHGGHLVSHERPDEV >Ma06_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2721062:2721621:1 gene:Ma06_g03760 transcript:Ma06_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTAIAQAVAKVVCLIRPSASFVKEHYVAIVIQTAFEVIWRGGLLQALKGLMKLQALVRGHNVRKQANMTLRCMQALVRVQASVRDQRMRLAQELSAAVSRGSNKSSFNCYTSFWESKHLQELAERRSMVTNMSLRKPKLSFLVMCLPYLVE >Ma02_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26645043:26657470:-1 gene:Ma02_g20800 transcript:Ma02_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein P58IPK homolog [Source:Projected from Arabidopsis thaliana (AT5G03160) UniProtKB/Swiss-Prot;Acc:Q9LYW9] MVVRIRRSAATAVLPLLFFHLLSAFHRPVFSAQDSQTGDALDLLKRASEMIKVKRHHDAVGLLNAAIEADPNLSEAYQQRATAFLHLCRFEDSGKDYKKFLELKPGTSSVEKDLSKSLQAQDALNSAYSHFDSGDHSKALDYINKIVLVYSSGCLEAKILKVKLLLALKDYSSVISESGFILKEDEDNLEALLVRGRAYYYLSDHDVALRHYQKGLRLDPEHSDLKKAYFGLKNLLKKTKSAEDNAAKGKLRVAVEDFKAALALDPNHTAHNVHLHLGLCKVLVKLGRGKDAIDSCTEVLNIDEELVEALVQRGEAKLLTEDWDGAVEDLKIAAQKSPQDMNIREALMRAEKSLKLSKRKDWYKILGVSKTASVAEIKRAYKKLALQWHPDKNVDNREEAEAKFQEIAAAYEVLGDEEKRVRYDRGEDLDEGTGMGGGGFNPFGGGQQFTFHFDGGFPGGGFPGGFGF >Ma07_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6154765:6166740:-1 gene:Ma07_g08260 transcript:Ma07_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEQPPKKRKLYEAISDPPIAPPHHQALVSGAPPPPPSQEEIIRKRRNKDEIRSLVECYRRIKFCASQKDAGLMSELEQAYLSLITASRGCSSVQRIVAELIPRYASFCPTALEAAARVSINMYNWSLAIIVKGEDVDGVAYETVKACIFGLVDICCTASHEAPTSSVIQGICSAVFSNILTFFVSTFEGKDIYQIGSREVLKLQDPMDFLHELKHDTKYDSETVLHRLFLLRALSFLCIFFAFPKNLLAACFELFVSGGTDVALHREGQYFLNQMTSHLSDDENDISHVTDKVTDEPSLCPNLTKPSIDSDGNDQTESVAHSRKTLLQSKNCLLGMAIKNDPSMRVWISSYCSKLSKLHGLQATTESLSMLENLFGSLSKLVDGTDFEGSGGNKFDASNHDDVSNPYLVYKNPLQNEDIGGVSKDSGIPDASPADACKRDDGFAEKAMGEREGNSIHGGERLATTRKLHSGNRKESDTINYQSFNADLGMPSFVSMSGGAAKTFSSPNEHSPAQSSASTQFLWYSDGDPRAMDVTSASKQLWVSSLGHDATETAVRLQFEDFGALQCFLFVPAKDFALVEYRNILDAVKARKCMQGSSIWGGCLHIKFLDRGVGSRAAFNGIAVGDSSFVYVGNVSTQRLKDEILHELLKAGLRNPPLVTSLTSENALLLEFSSAEEAASAIGHIRYFREASGCQAYENRKWTHGAYIKDKSVSGCQLLVKQVDASVPDMELINAFSRFGEITGWQFDRLNGCCYIDFQSHEAANLAKSHLRGARFGSTTVEVEIRSGNTGSAPNNVLFSPRAPSFHDVSADHWKARMSQLSSLFSSLRVKYNLNQNLSYESNKQKNYSLSTKDEVPVSTLYITLPGISFMTIEDDDLRALCNLAVGNLGSVVRLTRIGLQSSCWHVEFSSVDAAVTALDIIRSCSETFLQAEFRNNRAGVYHEESVTPRKLNTLGYSPSPGEFRPAGLDSKQGNPYVRSFTNKPDLNVHIPVSPRVNVENIGFQVQHGQAFQSNWTVASSADMREVPMDLSFPGPATNAGEKIWHYMKKENEPQMFGKVSLPSRTSVTHVGSVIPPPVPTSLVRPVYLTPSNSWDNSGPSPLLNRTSTGMMLIDNRNINACAPVPFIPSSITPLSQLPGGAMQRFDQVVTVPGLSNVVHPPPPPSDVPPPLPLSQPPSVPPPPSSPPPLQQPKDSNLQASISCPHHQWQGTLSKSGVHYCTIYAVREDSVACKYSTTLSEPADWPARLDVTKRTDIQHVKSTFSNTPPHKREVCRLLPSTTNDQRGIQDFISYLKQRDCAGVIKIPAGKSMGSRLLFILPYSLDTCSLLAIAPHPADCLIALILPKEINTEQS >Ma10_p27910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34978525:34979317:1 gene:Ma10_g27910 transcript:Ma10_t27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHHASCSQGLTQEEMAALEPVIRTHHTFPGAPGTCTSLITQRIDAPLQAVWPVVRRFDKPQRYKHFIKSCSLVHGDGGVGSVREVTVVSGIPASTSVERLEILDDDRHILSFSVMGGEHRLKNYRSVTSVTEFHTEGKAYTVVLESYVVDIPEGNTEEDTKMFTDIVVKLNLQKLATVAMASS >Ma09_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11573361:11575732:-1 gene:Ma09_g16220 transcript:Ma09_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAEAQFHVLAVDDSLLDRKLIERLLKTSSFQVTTVDSGSKALEVLGLKEDQTSTPALSPEHNEIEVNLVITDYCMPGMTGYDLLKKIKESSSLKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQLSDMRRLRPHILKGKHKEQQLHQEENSNTNHIIISSSNNNNMSNKRKAMDEEVLSERTRLRFSSSSLNVI >Ma11_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21030963:21085175:-1 gene:Ma11_g15360 transcript:Ma11_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGICGQRSDGKVLNCPNRTHSVKPDELLSSTIQSLCPTITDNVCCSADQFDTLRGQVQQAIPLLVGCPACLRNFLNLFCELSCSPNQSLFINVTSIKKVNGTLAVDAIDFFVTHYFGEQLFNSCKDVKFGSMNTRAMDFVGAGAHNYADWLAFLGRRANLDVPGSPYAITFRSNISDSTGMKPMNTTVYSCGDSSLGCSCGDCPSSAVCLDSSTPAQHAKQSCSINIGSLKIKCLDFSLAIVYLFLVSAFLLWGFVYRKKRAGPSRTKALLNVRDDNNHNSGDKKEAPSQISEEVPPVVKAHRPSVIQLYMSNFFRKYGSFVSKNPTLILCLSLVVPLLLCLGLIRFKVETRPEKLWVGPGSKAAKEKQYFDSHLAPFYRIEQLILAGISGSDAERPPNIITDKNLKLLFELQKKVDDLRANYSGSMVSLADICLKPLGKDCATQSVLQYFKMDPQNYDAYGGLDHVQYCFQHFSSAENCLSAFHAPLDPSTALGGFSGNNYSEASAFVITYPVNNQLDETSTEYRSAVAWEKAFIRLIQDELVPMVQSQNLSLSFSSESSIQEELKRESTADVITIIVSYLVMFAYISIMLGDRGHLSTFFVSSKLLLGLSGVVLVMLSVLGSVGFYSAIGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPCELLLEERISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVSLIIFDFLRAEDDRVDCVPCIKLQSSKISDEGTVKEDPGLLARYMKEVHAPLLSLWGVKIIVIAVFVGFAFASIALCTRVQPGLEQKVVLPRNSYLQDYFDDLAKYLRVGPPLYFVVKDFNYSLEARNTNQICSISQCDSNSLLNEITKASLVPASSYIAKPAASWLDDFLIWLSPDAFSCCREFVNGSYCPPDDQPPCCQPNDGSCTLGIECKDCTTCFRYLDLHDGRPSTVQFREKLPWFLNALPSSNCAKGGKGAYTSSVDLSGYESGIIEASAFRTYHTPLNKQSDYVNSMKAARDFSSKMSDSLKMEIFPYAVFYIFFEQYLDIWRTALINISIGLGAVFVVCLVLTSSIWISAIILLVLAMIVIDMLGIMAVLDIQLNAISVVNLVMSIGIAVEFCVHITHAFLVSSGDRGTRTKLAVSTMGASVFSGITLTKLVGVVVLRFSKSEVFVVYYFQMYLALVLIGFLHGLVFLPVVLSICGPPSRSMPVDMRQHQQTTS >Ma04_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9466370:9468574:1 gene:Ma04_g12550 transcript:Ma04_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQGFPGDRKRDGNKKDKKFEPAASSSRVGRKQRRQKGSKAIAWLPPVTSLSKCCLRILKHRKPRGAHQREPCHRFVVGRTEYYIRILSFVVKDQLELDFAILMHNKRNVLFSLSTSCFGLLPGVKTMDKWVIRLNYLLQILSVVGLLQDEVDPMVSVMKVEIKEAVKLPLTHPELHEDIGIRLPKGVILYGEQGIQFRIIPSGTGGTYWSDRKSVRESLAIDR >Ma10_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26320460:26327228:1 gene:Ma10_g13570 transcript:Ma10_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDEGKKGGLEPPTSNFPAWARTVHECEAEFVVSAHDGLRSEEVVKLREIYGLNELSKHSGPSIWQLVLEQFNDTLVRILLVAAVVSFVLAWYDGDEGGEMGITAFVEPLVIFLILIVNAIVGVWQENNAEKALEALKEIQSEHASVRRNGELIPNLPAKELVPGDIVELKVGDKVPADMRILHLISSTVRVEQASLTGENDAVNKTNHQVESEDIDIQGKECMVFAGTTVVNGSCICLVIQTGMNTEIGKIHSQIHEASQSEDDTPLKKKLNEFGEVLTAIIGVICAVVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVRLVAMGRWADTLRTFKVDGTTYNPHDGIIHDWPTSNMDANLQMIAKIAAVCNDASVNHSAHQFVTSGMPTEAALKVLVEKMGLPGGYETSSLDSDEILRCCKWWNGKAQRVATLEFDRSRKSMGVIVKSKSGTNSLLVKGAVENLLERSAYVQLLDGSVVVLDDRSKSLILDALHKMSTTALRCLGFAYTDDLAEFATYDGEDHPAHKILLDPSNYSSIETGLVFVGLVGLRDPPRSEVYKAIEDCRAAGIRVMVITGDNKETAEAICRDIGVFTPDEEIHSKSLTGKGFMSMSSSDKKTLLRQSGGLLFSRAEPKHKQEIVRLLREDGEVVAMTGDGVNDAPALKMADIGIAMGIAGTEVAKEASDMVLADDNFSSIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYMVIGLYVGIATVGIFIIWYTHGSFMGIDFSGDGHTLVTYSQLSNWGECSSWEGFKVSPFTAGARQFTFDANPCDYFQTGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWANPWLLLAMSISFGLHFLILYVPFLAQVFGIVPLSFKEWLLVLAVAFPVILIDEVLKFVGRHTSSSGAKNWSAKHKDE >Ma02_p07610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18224132:18234695:-1 gene:Ma02_g07610 transcript:Ma02_t07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKPVEAKLLQRLSGADKKKLRRTTKDRFPHASDANIDEILPPKADITVAKYTNRVHVYVIEGGLPMIFDIDGRGTEIYPTVYALWKVPELVPSFLLKGGEVSRYVIGGADLMFPGISIPPEGLPSFLSGQPWAVKVPGNPAPIAVGATTMSSSEALKAGLRGKALRITHYYRDYLWQSAEGHYIPNAGFLEDVVMEDPNLLSVSQPADEPLDASNDEDHVNTAERDRVDTSSIHATTDIDAPMNLDVTDQISEEMTTDISGLKVSDNVTAEEPSDEKEQQTLSSEEIDALLDKCLLQALHATVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQSKSSAGLISAKEDKYKKEVMLLSINRCHQQFMAFKPEKRVPENAEQRHDNSVSEGAHTKLQLEVVEVYKPSTHVKSIFTAVGADTGSYYSASDATDIAFRYVEKENLVKPTDKAMVTLDAALCDALYKGTIKKGSTYPTDVHKKDLGSTFLSRMQIHHRVSKGNEVAVRKGAVRPIQIMTERRQGNKKVTRVSGLETFLMDADSLASELQKKFACSTSVAEIPGKKGQHEVLVQGGVIDDLAKHLVDHYGIPKRHIEVLDKTKK >Ma02_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18224132:18234695:-1 gene:Ma02_g07610 transcript:Ma02_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKPVEAKLLQRLSGADKKKLRRTTKDRFPHASDANIDEILPPKADITVAKYTNRVHVYVIEGGLPMIFDIDGRGTEIYPTVYALWKVPELVPSFLLKGGEVSRYVIGGADLMFPGISIPPEGLPSFLSGQPWAVKVPGNPAPIAVGATTMSSSEALKAGLRGKALRITHYYRDYLWQSAEGHYIPNAGFLEDVVMEDPNLLSVSQPADEPLDASNDEDHVNTAERDRVDTSSIHATTDIDAPMNLDVTDQISEEMTTDISGLKVSDNVTAEEPSDEKEQQTLSSEEIDALLDKCLLQALHATVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQSKSSAGLISAKEDKYKKEVMLLSINRCHQQFMAFKPEKRVPENAEQRHDNSVSEGAHTKLQLEVVEVYKPSTHVKSIFTAVGADTGSYYSASDATDIAFRYVEKENLVKPTDKAMVTLDAALCDALYKGTIKKGSTYPTDVHKKDLGSTFLSRMQIHHRVSKGNEVAVRKGAVRPIQIMTERRQGNKKVTRVSGLETFLMDADSLASELQKKFACSTSVAEIPGKKGQHEVLVQGGVIDDLAKHLVDHYGIPKRHIEVLDKTKK >Ma08_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14821226:14823334:1 gene:Ma08_g14880 transcript:Ma08_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKESLEIVTGEYDQYPFTASYWCCLRDRLHGFGPFPEPQGHTLTTDSFSMSPKST >Ma07_p27050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33586292:33586816:-1 gene:Ma07_g27050 transcript:Ma07_t27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASEMLHHPRRALPPPCSCAAAPSQERVRTACTKRRLLLIISSNTSCIHLETTQREGIAKNCTQHIHQRPTPSQSNSKRWLTSLSICLSHRRERRK >Ma04_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4549343:4554412:-1 gene:Ma04_g06160 transcript:Ma04_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVPMASLAVFLLLGLAAAFAAPDMSIISYDEEHGARGLERSEEEVRRMYDAWVAEHGRVHNALGEREQRFEVFKDNLRFIDAHNADAEAYGFSLGLNRFADLTNEEYRATYLGTRAGGTARRLRAPSDRYRHEAGDELPESVDWREKGAVAAVKDQGSCGSCWAFSTIAAVEGVNKIVTGDLVTLSEQELVDCDSAYNQGCNGGLMDYAFEFIIGNGGVDTEDDYPYKARDGKCDQHRKLAKVVVIDGYEDVPANDEKALQKAVANQPVSVAIEAGGREFQLYKSGVFTGRCGTKLDHGVVVVGYGTENGKDYWIVRNSWSSDWGEAGYIRMERNLNTSTGKCGIAIEPSYPTKKGQNPPNPGPSPPSPVSPPITCDKYFSCPSSTTCCCVYEYGSHCFAWGCCPVEGATCCEDHRSCCPPDFPVCNAQAGTCQLSKDNPLGVKALDRIPAKPHWAYSDDESKKIEI >Ma09_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12486758:12488332:1 gene:Ma09_g17000 transcript:Ma09_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQISIHRFQLAILSKCSFNTSFPWGHERSDLNEISAEDSQPQRPNSGRNGNAELGTSRSATRVSLGLSFAVLVVQRYTQAKVSDLFDQTPRFSSLPIQNASRTFTTG >Ma05_p08570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6319829:6321800:1 gene:Ma05_g08570 transcript:Ma05_t08570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSRASPGRGRRLSELLEEQQEPFLMDVYLVEKGYSERLFTSQATSLCWPITACKRLKSLQGHGFRRRRSCRPSKCMLTKLLNGKAIRKALSWNKKKKIKKAFRLSDTGSKEKAMSGGRAVGDLDGALRRRCTRVDDSKQLSSVSVLELHSQGGSQVHTSDKEEDASTSNLDSPRKDLDIFRELLELAYTSAWDQLTKSKKQLDQHCEQDDERVVDSHECFARETAESEVHETDNSWQTQKEDVSSLTQLTCSDISNARREWYEFQPQVREIGTQVEEAIFEDNIEDVILEMMCLHYRTLEEVNYKYSSFKKALLSAFDMSASQQS >Ma05_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6319829:6321800:1 gene:Ma05_g08570 transcript:Ma05_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYLVEKGYSERLFTSQATSLCWPITACKRLKSLQGHGFRRRRSCRPSKCMLTKLLNGKAIRKALSWNKKKKIKKAFRLSDTGSKEKAMSGGRAVGDLDGALRRRCTRVDDSKQLSSVSVLELHSQGGSQVHTSDKEEDASTSNLDSPRKDLDIFRELLELAYTSAWDQLTKSKKQLDQHCEQDDERVVDSHECFARETAESEVHETDNSWQTQKEDVSSLTQLTCSDISNARREWYEFQPQVREIGTQVEEAIFEDNIEDVILEMMCLHYRTLEEVNYKYSSFKKALLSAFDMSASQQS >Ma09_p30590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40658139:40659067:1 gene:Ma09_g30590 transcript:Ma09_t30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPRKRHAWLATLACLLYLPLVNQAPSKSLHLPSSVPHLAGVHGNFLPCNLFLLGFRMRGSIYRDGRLRCCHCIGKGMAAARDSMGFQDFLPRMAERLGAEGLMEELCKGFKLLMDPERGVITSESLRRNVAALGLVGLGDDELMGMVREGDLNGDGVLDQMEFCVLMVRLSPELIEGSLPDVFNSSPTL >Ma05_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25330257:25342995:1 gene:Ma05_g18780 transcript:Ma05_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLQLVAQWLLWLVLGLSSPLARVVANVEGDALHTLKTYLNDPNGVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNANLSGNLVPQIGLLKNLQYLEIYSNNISGTIPSELGNLTNLVSLDLYLNNFTGEIPDSLGKLTKLRFLRLNNNTLLGLIPTSLTNITTLQVLDLSNNNLSGEVPSTGSFQLFTPISFANNPYLCGPGTTKSCPGSPPLPPPPPFVPPTAPTLRESNASSTGAIAGGVAAGAALLFAAPAIGFAWWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLTDGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPPSEPPLDWPTRQRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLIDPDLQNNYVGSEVESLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQRVEVVQHEAEFVPRNRASDWILDSTDNLRAVELSGPR >Ma07_p25640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32647466:32648034:-1 gene:Ma07_g25640 transcript:Ma07_t25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKPMGEKRGPALAMDRKVDPVNLLPSADTAHYNVVAILTIPPLVLALFFFLRYRDPPAFTVPERERERERGMACQKLNAVLLLCTLLVVSHLGRSEAGQNCFCECMKKCIPVGMLSLEECAKECDEACRKLGFDGEPRGGMEFCRKLGS >Ma00_p03690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30412720:30415461:1 gene:Ma00_g03690 transcript:Ma00_t03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSFSSSSHTIPAALAILLALLLHWSRAQLSPSFYDMTCPGLSDIVLDVVLQAQISDPRMPASLIRLHFHDCFVDGCDASVLLDNSDTIVSEKDAVPNANSARGFDVIDAIKSAVEETCLGVVSCADILALAAEAAVSLSGGPSWEVQLGRRDGTTANISGANNLPGPVDTLAVLLSKFAAVGLDDTDLVTLSGAHTFGRAQCKSFAARLYNYSGTERPDPSLDSAYLALLQDQCPDGEDGTSLNDLDPTTPDAFDGNYYFNLQNGQGLLLSDQEIYAGAGTAAIVDGYAGDESGFFESFAASMINMGNISPLAGSEGEVRLNCRQVNAS >Ma03_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5816196:5824576:-1 gene:Ma03_g08070 transcript:Ma03_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYVGIPEDLRCKRSDGKQWRCSALSMPDKTVCEKHYIQAKRRAANSAQRASERKARRKSLDDADIYLESRSKEPETSRSMSPMNVGGAELPSVNKYKEKMPRGQALYSRGTARSFSAHGVKGRSIQEVQRDALHVEENQVRTVYKTPPLYREAKNYNGSSRGESSGKSSGSSGEADGQICHHCRKNDRASVVWCISCERRGYCSGCISRWYADIPVEDIRQVCPACRGICTCKACLQGDNLVKAKIQEMAAIDKLRYLHSLLKFILPVLKQIYAEQCFEIGVETRIYGPKADIPRVKIDADEQLCCDFCKVPILDYHRHCTNCSYDLCLTCCRDLRRSSSVAVRGECNQGWSSERSKDANAVATCLESSERSASDDCTINFVHQFPRWKANSDGTINCGPMEAGGCGSSKLVLRRIFKINWVAKLVKSAEEMVNGCTICDVDGLMRCPCTGNNTSESNWVSKFTRRQCSMRDGSDDNFLYFPLSEDIKHEGISHFHEHWVKGEPVIVRHTFECPLASSWDPSIIWKGIQETIDERMDENMKVKAFNCYDLSEVEIELVQFIKGYSEGCMHEDGQPEMLRIKDWPTPGAVEEFILCQRPEFLGNFPLVEFVHYKWGILNLAAKLPHDAMQNEVGPKLVISYGTHKELDKGDPVANLQVNMGDMVSLLMHTADAALKRSEVEKSNRTFKDFEAAKPLENVNFMDSNVSLDEHTGISDISSRECSKEDEFSLGLKTKEDTTMDIQEFNHHELSAHERRDSESTNADKHLPDPSERACAGAIWDVFRRQDVPKLNEYLKINWTNLTSSSEFTNLVMPLYNQAVYLNNDQKKMLKEQFRIEPWTFEQHVGEAVFIPAGCPFQVRNLQSSVQLVLDFLSPESLREAARMAEEIRCLPNNHEAKLKMLEVGKMSMYAASSAIREIQKITLDPRLSSDVKFENRNLTALVSENIEKLTKRRQVVCS >Ma05_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32180467:32183085:-1 gene:Ma05_g20530 transcript:Ma05_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLVRLSPFHRRLSRILLSSTVDASVDRAGLPFEIGAAMCCDPFRRYTSSRVQPRSLWEGSSYETLLRKFESTLPDDSLEEAWEAFGNFKMLHGFPEQRLVSKLIASLSYSSSAHWLRKAYDLAIKISKEKPDLVRCESFSRLSLALARTRMPVPASTVLRIVLERGKIPSQDILSSMFLHLVKTRIGSCLASDILIEICEYYLNNFCSSGTRKAKNINLMKPNTIIFNLVLESCIRFGSLIKARQIIELMPQVGVIADANSIVIIAKIYKMMGERGDLNNLREHIDSISSPALSRQYWQFYDSLLCLHFKYNDVDAAAELMLDLFRRTRSLHSSSVLPHVNSNGSQTQCFLQVGSSNLRTGSRIIIDSLNLKNDFLVPAKGQSGLILFVDGKFLPSSKAIAKLINGYVKERNVDKLSNFLINVQKEADIVEVDLCSDVLHACIMLGWLDTAHDILDDLELAKIPVGANPYASLLNAYLKEKMWEESKVLVNQMKKVGFIVSVCDEHGESTCVVENIGANHLDKRTSNLVKKSDLLRFLELEDREYNLGNQLVYEFNSSILFFCKAKMIEDALKTLKQMRRRNVQPTVLTFSYLVDGYSSLGMYRDITILWGEMRRQMEYGMLAADRDLFDCLLWNFLRGGYFERAMEIINYMLKHNMYVDKWKYRREFLKYHKNLYCSLKASDCRTDAQNRRLEYVRAFRKWSGIDR >Ma10_p02900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9921787:9923259:-1 gene:Ma10_g02900 transcript:Ma10_t02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTMNPGGDGSDDPNSTRLPLLLNPVYARTKSTVFDELRNFRVCLKWCALDHSTAAGRAVSYAAFVALALLVPAATSLSVRAPPAASSSASSVSFHKLVQVPASSLAAISFLTLAAFFRRYGLRQLLFLDGALRDDTTFVRHCYARELDRSFRHLVYILLPSFSVELAHKILFFSTVSVAVPLSTAPSGVPWNSIAFIATLASWVYRTGVFLLVCVLFRLTCELQILRFEGFYKMFDEGDGSHCQAIFREHMRIKRQLLVTSHRYRIFILGCLVTISASQLGALMIVLASKSKKTFCNSGDVVVCSAVQLSGFFMCLLGAARITHRAQRVVSIASRWHMILSSAADRKADPPTPAVAESDDISDSGSPEGSVGGAVPHAQASTFEGRHALVLYLQHNGGGITLFGFALDRGLLHTLFVFETTMVLWILSKVVVLS >Ma10_p13890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26515879:26516598:-1 gene:Ma10_g13890 transcript:Ma10_t13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTCARCIGQLSTARFAFHIKPCTGWPWCVEEMDTAMKRLCLLALLAVAGIAGMERADGAGECGRVPVDRVAFQVVTPCGAATRDAKVVVPGRCCAAVQRFGRNPICLCAIMLSTTSKNAGVKPEIAVTIPKRCNLADRPVGYKCGDYTLP >Ma01_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12451486:12452002:-1 gene:Ma01_g17030 transcript:Ma01_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILSEILLSGFMINSTLRRRSHLVQSFSVVFLYWFYVFS >Ma04_p02420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2079305:2082106:-1 gene:Ma04_g02420 transcript:Ma04_t02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCRSLIEFCRTFEQHRHMANTQASSDHRSGPSRRKSKSLNPPSHPLCELTPFAAIDIVMLLLVLGALAGLTVPDIKLIFREAYDLLPAAIDVMGDVLYDAPIAYAAGLVFMFVTVVAAWEFFNYQMRKCGNPYCKGLRKAVDFDIQLETEACVRCLPPLPTGAFGTRPLNLGRDQKELEAELKKMAPPNGRTVLIFRSPCGCPAGRMEVWGPKKARRTKK >Ma11_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4213006:4217736:1 gene:Ma11_g05470 transcript:Ma11_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVQSISLSNRGFLIGPTVTNARNSSLSWASYSNSTCLYAVPSIEFSQCKVCPRLVHSARKSERCAPVYASGKRGNSKSENEPFSWESLKKAIGGFGRELTVQDMLREQARQRQFDGNGGDGISRGRGGDGSGGPEDKGFAGQFDEFLQVIMAVVGVALLYTLMIGGEELTRLTRDYIKYLFGAKPSVRLTRSMEKWCKFYKRIARKGIIKEDWLERKIVATPTW >Ma07_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:587924:588791:-1 gene:Ma07_g00690 transcript:Ma07_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSLLFSLLFLAAAATFCSAARDLDAELAKGHGGGGGGGAGIPGFGSDPGGFFGPGGGFNVPGFGGGWGAGFGGPSGGHARGGVVRPSVVCSDKGPCYKKRVTCPAKCFSSFSRSGKGYGGGGGGGGCTIDCKKRCVAYC >Ma08_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37079941:37080111:-1 gene:Ma08_g23710 transcript:Ma08_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRALLGTIRYGGTEKIIKINFSLIVIALSSFSLSFLSSPWLRPLTSRRWGEERRH >Ma04_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9890670:9890911:1 gene:Ma04_g13070 transcript:Ma04_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKEYSVTVNMLVITLFSGMCFCEAHIRFGNSSITLLLPKEFKSIASVSKLKAIEHNCFLC >Ma05_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9141374:9142316:-1 gene:Ma05_g12650 transcript:Ma05_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSILAFVLLIIAICSGVVAAAARSPAEFVRESCMVTQYPALCEQCLVAYAQAVHRSKHELARAALSVSADRAGSASAFVRRMSAGGGKSLRSREAGAVRDCIGTMQDSEDRLRRSVREMRRMGRPRSPRFAWHLSNVRTWVSASLTDETTCLDGLSQYASPAVRAAIRKKVLEVSQVTSNALALVNQLSPRN >Ma10_p28830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35594777:35611170:1 gene:Ma10_g28830 transcript:Ma10_t28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPAKASSSSDPSTSTPDFDNRVYLVPYRWWKGVMELQAKEDRPSGIPYSISPVAPRLWNEILRGNSNSDFVCNIERDDYWKEDDDAAEGASSLTYALIPFYSWLHVLDWRFVTCFTTKKYECFSSINAPTTDVYPLMLRVSVTQKKILTLKISKKDNSAENYRRSSNIFSSDFKPVHVLDFTGKIDYIQTDEWDKQPHDCFQQPDHEILLSVRVSISENMSSGLHVFPKMQHLKDSMSSPQSKITSISYGDPDTCNKENIDNPVLEIGDFDVVGPYGLTGLKNLGNTCFMNSAIQCLAHTPKLVDYFLGDYSKDINRNNPLGTKGELASLFADLLRRLWTVDRTPVDPCVFKENLGHFSPGYAGCNQHDSHEFLAFLLDGLHEDLNRVKFKSYSETKDSSGDPDEVAAEYWANHLSRNDSIIVDTCQGLYKSTLVCRVCNKVSMTFDPFMYLSLPLPSTNMRRMTITVFSTNGTKEPSAFTINVPKFGKLKDIIQALSIACSLRDDETLLIAQVSADHIMSFLEESLLSISLIRNEDHLAAYQLPKDFENGSVMVFVHQRMGEPHLSDQSTSSWKGFGTPLIGVLPSMVDGNTIRNLFLKLLNPLKRSNTCSIGKQDKNRNNFSDIVTKLEARFHVPGHKNIADTMEEGIDIEDALQFYLTDKKSSAMGSQIQMDEIVSPIRLRKKFYVIVRWKNKALEDYDICLLNTLPVICQQSSKRYQEYVTLYACLEAFLTEEPLDPEGPWYCPCCKKHQQAHKKLDLWRLPEVMVIHLMRFSYSQHKENKLETFVDYPVDDFDLSTYMSSRTDKASYHYRLYAVSNHYGSLGGGHYTAYVYHEGVDGWFKFDDDSVVPIDGVNGVKTSAAYLLFYERV >Ma06_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15986213:15988272:1 gene:Ma06_g21650 transcript:Ma06_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLALREGWQMISSFILKSIILYSAKTNLHSGNSEIGYNGIGC >Ma11_p24940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27722402:27747301:1 gene:Ma11_g24940 transcript:Ma11_t24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHLSFGFLFPSSWEIVVTVSAALLVIVFYSLWGNFPSGGNGGEDEMPLGGDDPPLAARELLLRQSVVKDKMSHIKVDTQGTPAYVVKLELLAAKNLVGANLNGTSDPYAIITCGEQKRFSSMVPGSRNPIWGEEFNFFADILPVQIIVTIYDWDIIWKSTVLGSVTVPVESEGQTGALWYTLDSTSGQVCLHIKTTKLQASFARALNGYAGADARRRLSLDQQVPTLVHQKPGPLQTIFELPPDEVADHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQIKVVISFGDIAEIRRSQHAVINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHTLRALQRTDKNFHASIEAEKKERAHSSSVRDSGRQIEVPNESVVETGKFQAFINEEVLVGIVNENFPCTAEEFFSELLNDDSKFIAEYRSARKDTNLSLGKWHVADEYDGQVREITFRSLCHSPMCPPDTAMTELQHVVLSPDKRTLVFETVQQVHDILFGSNFEVHCRWSMNTISDSSCTIDIRVGAHFKKWCIMQSKIKAGAVEEYKKEVAQMLEIARSYLGKAGSSQEKVEASETPLALS >Ma03_p21380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26342298:26346617:1 gene:Ma03_g21380 transcript:Ma03_t21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFYSSIVLVPKSNYWSSSTTGMDPITRRRVWDIIEDAKRGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSRFGTGYVANISFLGNTPGQTHNVYGSTPVYNPHLQLVKQFFKDRLHVEPKEENKTFFTFTIPHNREELLANFFAELQDREKEFGILDTQLGLTTLEEVFLNIAQLAELESSSSEESLVTLNLLSGSSIQIPKGARFVGIPGTESSEHPRGLMVEVYWGQDDTGTLCISGHSPETDVPRNVRLTVNQARSRIGLYDVPVGFVIDSN >Ma02_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20340475:20344358:1 gene:Ma02_g10940 transcript:Ma02_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGASAETANPKPSPLNPNWAQLQQKLKSRRPPKPSHSADPGARTLAPSVLGKRKERAEPSPEASPSAFLSPTSTDCSLTDALAMDCEMVGVSIEGSKSAVGRVTLVNSWGNVVYDEYVRPIERIVDFRTKISGIRPRNMRKAKEFWAVQKQVAELIKGRILVGHALHNDLKVLLLSHPKKDIRDTSEYEPLRRGGQKRALKHLAAEILGAKIQENEHCPIEDARAAMFIYNKYKKAWEKSMKKNFMFKEKLNKRKKKQMEAKKN >Ma06_p32960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33740767:33745219:1 gene:Ma06_g32960 transcript:Ma06_t32960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGVRKHGAGKWRTILKDPEFSGTLCLRSNVDLKDKWRNLSVTANGWGSREKARIAMKRSQHIVKHDNSPKAVSTSIEDSDDEILDIKPIAVSSENIHVTGQKRSFSRIDNLILEAITNLKEPTGSNKTTIAMYIEDQYWPPPDFTRLLSAKLKTLTASGRLIKVKRRYRIAPTSAFLKGKSSNISIYEGRQREPSGSVVDDFTPLLRSQVDVELARMRNMTAQEAAAAAAQAVAEAEAAMAQAEEAAKEAEAAEADAEAAQAFAEAAMLTIKNRKTADLIVRA >Ma06_p32960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33740767:33745219:1 gene:Ma06_g32960 transcript:Ma06_t32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGVRKHGAGKWRTILKDPEFSGTLCLRSNVDLKDKWRNLSVTANGWGSREKARIAMKRSQHIVKHDNSPKAVSTSIEDSDDEILDIKPIAVSSENIHVTGQKRSFSRIDNLILEAITNLKEPTGSNKTTIAMYIEDQYWPPPDFTRLLSAKLKTLTASGRLIKVKRRYRIAPTSAFLKGKSSNISIYEGRQREPSGSVVDDFTPLLRSQVDVELARMRNMTAQEAAAAAAQAVAEAEAAMAQAEEAAKEAEAAEADAEAAQAFAEAAMLTIKNRKTADLIVRA >Ma06_p32960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33740767:33745219:1 gene:Ma06_g32960 transcript:Ma06_t32960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGVRKHGAGKWRTILKDPEFSGTLCLRSNVDLKDKWRNLSVTANGWGSREKARIAMKRSQHIVKHDNSPKAVSTSIEDSDDEILDIKPIAVSSENIHVTGQKRSFSRIDNLILEAITNLKEPTGSNKTTIAMYIEDQYWPPPDFTRLLSAKLKTLTASGRLIKVKRRYRIAPTSAFLKGKSSNISIYEGRQREPSGSVVDDFTPLLRSQVDVELARMRNMTAQEAAAAAAQAVAEAEAAMAQAEEAAKEAEAAEADAEAAQAFAEAAMLTIKNRKTADLIVRA >Ma04_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8455560:8457838:1 gene:Ma04_g11850 transcript:Ma04_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGSSARKLELLHCPDLSRCLAAFSSASELRRVHALVVTSGLSSDPFTVARLLAACAVPTSGNLRHARSLFASLHRPTPFMYNTMIRALSRSPRPVEPVLLYLRMLRSGLSPDRLTFPFLIRSCSVLGFAGLGRGVHCHAVKFGLDSDVFVVNNAITMYSDWGDMSSAQQLFDEHADVVDVISWTALVTGYSNYGRLDCARWFFERMPERNPISWNAMIAGYAKDGKVKAALHLFNKMPHRNVASWSSIISGFAQSGRCAEALAVFRVMVKREVTPNESTLVSAASACAQLRDLNQGEWVHRYVTEHTVEMSVILGTVLVDMYGKCGSISKALKVFKDMPEKNVYSCNSMITGLAMNGSERQALTLFWKMQLMGLEPNAITFIGLLSACSHSGLINEGQQFFDMMTRVYGIRPLEEHYGCMVDLLGRAGLIKEAVDFVERMPVEPHPGLWGALAGACRIHGDVELGEKVGKQLIELEPHHGGRYVLLANMYGAARRWDDMAMVRKLLNQRKAAKFPGKSSVEAEAAASV >Ma04_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26404031:26407358:1 gene:Ma04_g24400 transcript:Ma04_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MTTSFHLLWGLFLCHWLYCSHCLPTGHVHIVYLGHSHGQDPLLTTKLHVKLLSSVFSRQEEAEEAMVYSYRHGFSGFAAMLNSTQASTLASFQGVISVFRSKLLQLHTTRSWDFMGLSLNIQQRIPWQLDYGDDIVVGIFDTGIWPESESFKEEPGMPPVPQSWRGTCVKGDKFEPKKACNRKLVGARYYLNGFEREFGPLDTSGDGEYRSPRDRIGHGTHTASTAAGSTAANASYFGLGLGAARGGAPRARLAVYKVCWFKDFDGKCTEADVLAAFDDALCDGVSVISASLGSSPPLMRFFRTSTDIGSFHAAQVGVTVVFSAGNDGPEPSLVQNVSPWSICVAAGTMDRTFPTQILLGNNLSFMGEGFVRKEMKMKLVDSIKFFDDGSCSFDKWNHKLATGKIVLCFSSIGQVSSTTAALSVLTANGSGIIFAQTTTEQAATDDYLPSVQVDLSQATQILYYIQSSKDPTVRVLPSRTSIGCSPAPSVAYFSSRGPNSATPNILKPDITAPGVNVLAAWSPKSSPTLLPFDERSVKWNFNSGTSMSCPHVSGIAALIKSVHPTWSPAMIKSALMTTAYARDTSSDSILAGGTLKPTDAFDMGAGHVDPLRALDPGLVYDMGTRDYVVFLCSLGYTQAQIKSMLLPSPGVDTSCGGDESDLDLNYPAITVSDLSCSTTIRRTLRNVGRIKNAVYFASVRSPQGVHAVVWPRLLLFSRRKERITYYVTMIPLKRSQGRYDFGEIVWCDGHHRVRTPLIVHVNTTKDGGSSSSSSSSSSLGGLQVA >Ma05_p09970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7152254:7153653:1 gene:Ma05_g09970 transcript:Ma05_t09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSIRRDGFYMTEEEKQEENSKYLSFVPLSSSFSSSSTSNAFRWHVGPSDSVGRSASDGCNESNFFTGKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLHQTANEKGMLLSFEDRLGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDIVSFGRGVGDSGGDHLYIDWKRRPDNHDLPRLPRIPLAGVSLGRPSGPWSGSPFLIPPPAVFDHRRPGFDYNARNSGTTSGDQFLFFGSSLAGPPQFGVQQGSGPPMAFVNSLPLLGNQSAAKRVRLFGVNLDCPESGGVPASASQLQLSSSLPFLPFPHESIGSSAAPSSTSKEQHLSLDLDL >Ma07_p00350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:303848:315830:1 gene:Ma07_g00350 transcript:Ma07_t00350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSMAASGVAMMPSPVFLWRFKVVLFLLWGISCCKIGWDSVMRMNADKRDLFLYEAFLYFNPLLLVTLMVWLWGVNLWIFSHSSVNYAKVFDLDHTHLTHREIWKCATWMIIIVPTSMAAYLYLYSHGEVSLAASQPVILYAAILMVLIFPFEIFYLPSRYYLLRTVWRIMLPLQAITFSDFFLADIMTSMSKVFSDLERSVCRMVNKQVATIAWFEADSVCGSHSVVIPVVLVFPYLCRFFQCLRQYKDTRERTCLFNALKYSTAIPVIFLSALKYHVFPDSWTKFYRPLWLISSVINSLYSFYWDVTRDWDLSVFTRIFKFKNPHICTNLLYGRKWVYYWVIGSNLIFRCTWTYKLSAHLRHNYLTVFTITALEIMRRFQWIFFRVENEWNKITSSKPSLELSGNEIPKEEDRLLGSATHNV >Ma07_p00350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:303848:315830:1 gene:Ma07_g00350 transcript:Ma07_t00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVPLPSAKSPHLRRAGSRPIFTDQGLVFLTEVNEMKSPSMAASGVAMMPSPVFLWRFKVVLFLLWGISCCKIGWDSVMRMNADKRDLFLYEAFLYFNPLLLVTLMVWLWGVNLWIFSHSSVNYAKVFDLDHTHLTHREIWKCATWMIIIVPTSMAAYLYLYSHGEVSLAASQPVILYAAILMVLIFPFEIFYLPSRYYLLRTVWRIMLPLQAITFSDFFLADIMTSMSKVFSDLERSVCRMVNKQVATIAWFEADSVCGSHSVVIPVVLVFPYLCRFFQCLRQYKDTRERTCLFNALKYSTAIPVIFLSALKYHVFPDSWTKFYRPLWLISSVINSLYSFYWDVTRDWDLSVFTRIFKFKNPHICTNLLYGRKWVYYWVIGSNLIFRCTWTYKLSAHLRHNYLTVFTITALEIMRRFQWIFFRVENEWNKITSSKPSLELSGNEIPKEEDRLLGSATHNV >Ma07_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:303848:315830:1 gene:Ma07_g00350 transcript:Ma07_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVPLPSAKSPHLRRAGSRPIFTDQEVNEMKSPSMAASGVAMMPSPVFLWRFKVVLFLLWGISCCKIGWDSVMRMNADKRDLFLYEAFLYFNPLLLVTLMVWLWGVNLWIFSHSSVNYAKVFDLDHTHLTHREIWKCATWMIIIVPTSMAAYLYLYSHGEVSLAASQPVILYAAILMVLIFPFEIFYLPSRYYLLRTVWRIMLPLQAITFSDFFLADIMTSMSKVFSDLERSVCRMVNKQVATIAWFEADSVCGSHSVVIPVVLVFPYLCRFFQCLRQYKDTRERTCLFNALKYSTAIPVIFLSALKYHVFPDSWTKFYRPLWLISSVINSLYSFYWDVTRDWDLSVFTRIFKFKNPHICTNLLYGRKWVYYWVIGSNLIFRCTWTYKLSAHLRHNYLTVFTITALEIMRRFQWIFFRVENEWNKITSSKPSLELSGNEIPKEEDRLLGSATHNV >Ma04_p29960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30730061:30734876:-1 gene:Ma04_g29960 transcript:Ma04_t29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCVSVGAAQVEGRHVVMQEETVNLTDEMAEMIADLGIQYSNLTIAEEVGICDIEEQLKELEKKILRWDADKSMICLQDVTEVRELGEVLGLLYSSNKDEKHYELLKFAKSILQMAMEMLEDELVELLRQCCQPVEPDITSFHSAEDDSVDNFSSSSFDDESVGGISHGDTIRESENDVTSSIQHGLVSNIISIANLMFLSNYDKECCQAYVAVRKEALEEYLSVLQIDKFSISEVFKMEWKQLNHLINKWKQAMCAFIQDFLARERHLCNLVFGKLPRSARESCFVEISKSSILQLLGIAMAIAIAPPKPERLFQILNTYEVLNDLLVRMEHFFPEDYGSCVLTECDEVLLRLKESVSGTIEEFKNNIQSNRSSTAFAGGGVHHLTKYVMNYIKALSAYSETLGLILEDQQGADQSSSTEDGGRKTSLDQSPLSWHLKSLTTILETNLDHKSQLYNDVSLRNIFMMNNICYMVDKVKLSNLRNFFGDEWIRVHIRKFHVHEQKYERASWTSVLSFLRAEGLHKPGCSIPSLTVLKDRFRGFNHAFEEVYKAQTAWFVPNDGLREDLRISVSAQLIQAYRIFEGRYASHLDGERHRERYIKYSPDDLEEYLLDLFEGSPKSLQSQRRR >Ma04_p30740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31314239:31318040:-1 gene:Ma04_g30740 transcript:Ma04_t30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVAIGALVAAHVFLLPSAAVPDAFKLPKQRAIGYRRTANELGWTQEIAHPQLAKLRISTQKVGITISDSWFAKLWKPPSNRNFVPCVSPSSSFLPPSQSRGYLLVHANGGLNQMRAGICDMVAVSRLINATLVIPQLDKRSFWQDSSNFSDVFDEEHFIHTLADDVEVVKKLPKELMTAAKAVIHFRSWSGLDYYQDEISHMWDKYQVIKAAKSDSRLANNNLPPDIQKLRCCAFYEALRFAPRIEALGKLLVERMRSYGRYIALHLRYEKDMLAFSGCTYGLSSVESDELARIRENISYWKVKEIDPQEQRAKGYCPLTPKEVGIFLSSLGYPSNTPIYVAAGAIYGGGSHLSDLESRFPILLSKSSQMNINCLYGLSITIRAALWWYFLLA >Ma11_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16696367:16700248:-1 gene:Ma11_g12810 transcript:Ma11_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OsGA2ox1 [Source: Projected from Oryza sativa (Os05g0158600)] MVIASVTAESNERIRTLEYPVVDLSWKRGRAAELLVRACEEFGFVKVVNHGVLRSVIAKMEAEGARFFALPPCEKQKAGPPTPLGYGIRSIGFNGDMGELEYLLLHSNPSYISQKAKTICRKDPIHFSGVVNEYVKQVRQLACQLLDMVGEGLGLQDTRVFSRLLQDSENDSLVRLNHYPPWPGSDRAGGDADREPAAGKSRDKRSGGRIGFGEHSDPQILSILRSNDVDGLQILSAEADDGGVWIPVPADPAAFYVIVGDALQAMTNGRLVSVRHRAMANSCRPRTSTVFFGAPSPGTRITALPQMIRPHAPRRYKSFTWAEYKKAMYSLRLGHNRLDLFLADPDDHDQDSGGISN >Ma11_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17536347:17536639:1 gene:Ma11_g13220 transcript:Ma11_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIFVQFLSDEDQHVSYPGGRKLEADEGILHAKLWFLNKVNISSFSTKKKKSNEIWFQFLAVDTFS >Ma06_p01500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1225834:1230631:1 gene:Ma06_g01500 transcript:Ma06_t01500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMESGLGIPGDGRCLFRSVVHGACLRAGKPSPSESIQKELADELRSKVADEFIRRRTDTEWFLEGDFDTYVKQIRKPQAWGGEPELLMCSHVLRMPITVYMSTDSSDGLKIIAEYGQEYGKENPIRVLYHGYGHYDALQMPLTKTKSKWYMKS >Ma06_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1225547:1230631:1 gene:Ma06_g01500 transcript:Ma06_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSESTTGNHLPQLRSIRIPGDGRCLFRSVVHGACLRAGKPSPSESIQKELADELRSKVADEFIRRRTDTEWFLEGDFDTYVKQIRKPQAWGGEPELLMCSHVLRMPITVYMSTDSSDGLKIIAEYGQEYGKENPIRVLYHGYGHYDALQMPLTKTKSKWYMKS >Ma06_p01500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1225567:1230631:1 gene:Ma06_g01500 transcript:Ma06_t01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSESTTGIPGDGRCLFRSVVHGACLRAGKPSPSESIQKELADELRSKVADEFIRRRTDTEWFLEGDFDTYVKQIRKPQAWGGEPELLMCSHVLRMPITVYMSTDSSDGLKIIAEYGQEYGKENPIRVLYHGYGHYDALQMPLTKTKSKWYMKS >Ma01_p00660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:501541:501925:1 gene:Ma01_g00660 transcript:Ma01_t00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQQSGSQSINPGETIWRLERIYHIGASNAKGTA >Ma01_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17113907:17116732:1 gene:Ma01_g20160 transcript:Ma01_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRAWEAWKAVEGRKPKEEAMSDYLTKVKRLLEAAATAAYATLSHLALCSTMVSSISK >Ma02_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20180330:20187493:1 gene:Ma02_g10710 transcript:Ma02_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGATNEDCHEFDKILEETPDATTGYTCTRESIQGFEPISLFEVRRSSASSYLRDPFSTLQAWKDYDIISSDDNFTMSKAPSDQKDDFHDYHGTLTVNVDQDASSLPDDQSLTSAFQVMSFQDRIASNSSNTFLEHNQSSVSHALSLDGNQTACLNKCFSGLDSTERVPSPGMLNGDCLPNPESQGYSAFIIKNVPNKQCRIVDGHHDVRSLKPNFHDSRSQVPSVLDGRREQWPSFQGHSAVMPVKADTQTYVLPGVLAEGIKFPASSFQHQYYMDAPSHAYTPNQHLRNSNIAWYGMENERNYRSHPHYLQQPNNHHLEVHIQRRRNSETEPPSGNASQSYYEDEIVGNRRYNQLDPSLLSGDVSRNHLNEFSSQLQSCLIPQAQKLPSSCGLYFPGTDYGGYNVSNRFSKQTFPRKKLTMSHGVNSRQTLKSGSMGNNQFPEHGGNSRREVSFNYVNSGLVRCDGIPYLGVQRSHGSFSNIADDKYDLNSPYLKYCSLDNVIGQIHILAKDQNGCRFLQKIFEEGNYEDIYKIFVEIIDHVVELMTDIFGNYLIQKLIKVCNEEQITKLLRKISDGDSELFQISCNQHGTRVIQKIIETIKTPEQYSLIVSTLRPYIVSLIKNNNGSHVAQRCLQHLPDEHKENANELNFQRMPIVWQNHFPAVQGICVNTVMFMLLFEAVVANGIELARDRHGCCVLQKCISDLNTEQKFRLISNMTHEACDLSQDPYGNYVVQYILIQEIPWATAGILDQLDFHYGTLSVQKYSSNVVEKCLKHAGNDRRVNIVWELISDPYFHHILQDAFGNYVIQSALRACKGPLRATLSEAIRPHEVALRSHPYGKKILSSAYYGK >Ma04_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3924847:3925023:-1 gene:Ma04_g05250 transcript:Ma04_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDNLKATELTLGLPGTSDAPEKPATVSSRVNKRTNEECCTFARNRSEDTAAPAAK >Ma01_p10840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7787669:7802172:-1 gene:Ma01_g10840 transcript:Ma01_t10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MAEVASRVVHEVLGRRVEDVDAPIINYIINVLADDDFDFGADGDGAFDAVGELLVDSGCVQDYAECRSVCSKLSERFGKHGLVKPKLAVRSLATPLRMNDGMDADETPKKQQEVLDGPMLSERDKAKLERKKRKEERQREAQYQVHLAEMEAVKAGMPVVLVNHDGSNNGPAVRDIHMENFTVSVGGRDLIQDASVTLTFGRHYGLVGRNGTGKTSFLRHMAMHAIDGIPKNCQILHVEQEVAGDDTTALQCVLNSDVERMRLLEEEAHLLSQQRELEYEEETGKSNGKVNGGMNKDAISKRLEEVYKRLEFIDADSAESRGSSILAGLSFTSEMQKRPTKAFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDILHLHGKKLTAYKGDYDTFERTKAEQLQNQQKAFESSEKARAHMQAFIDKFRYNAKRASLVQSRIKALDRMGTVDAVINDPDYKFDFPTPDDRPGPPIISFSDVSFGYPGGPLLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGELQPTSGTMFRSAKVRMAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIVLLDEPSNHLDLDAVEALIQGLVIFQGGVLMVSHDEHLISGSVGELWVVAEGRVAPFSGTFQDYKKKLKASGI >Ma07_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:931882:932625:-1 gene:Ma07_g01230 transcript:Ma07_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRCDPDLCLRLGINGGGDGGHCSTEFSPSRVEEASGSSRSEYQQQQQQLTICYNGRICVCDVTEIEAEAIIAMARQETDDQTRKKRQEQQPKESSTTSSSSPPPLPPPRFLQHLHIDPELSRKRSLQRFLQKRKSRMNAVSPYCHPPQLFFSIKS >Ma01_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9983320:9986284:-1 gene:Ma01_g13640 transcript:Ma01_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVIGAGISGLASAYTLAKAGVDVVLYEKEDYLGGHAKTVTFDGVDLDLGFMVFNRVTYPNMVEFFETLGVDMEISDMSFSVSLDEGKGCEWGSRNGLSSLFAQKTNAFNPSFWRMIREIVKFKGDVLMYLEEHENNPDMDRSETLEHFIKSHGYSELFMRAYLIPICACIWSCPSEGVLHFSAYSVLSFCRNHHLLQ >Ma06_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13894746:13898017:1 gene:Ma06_g19810 transcript:Ma06_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAEAPPGDPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVIWEESTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKQSTA >Ma11_p05840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4567318:4570894:1 gene:Ma11_g05840 transcript:Ma11_t05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKGNLFKGLQKKKSIPPSRHGKAPHTRKGKRATKPSKVTKEMEADQELSKFINHCNEIKAASLASKEGGQLSILKSETDPTTSSKTKDTQKNSDA >Ma09_p29410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39866887:39872569:-1 gene:Ma09_g29410 transcript:Ma09_t29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKPKGQSGVWGTVKPFVNGGASGMLATCVIQPIDMVKVRIQLGQGSAVQITKNMLANEGFGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLLQKAAIGLTAGAIGACVGSPADLALIRMQADATLPVAQRRNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDSLGFGEVSTVLGASAVSGLCASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAMKTLKNGGPFKFYTGFPIYCVRIAPHVMMTWIFLNQIQKIQKSVGL >Ma11_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26667252:26667634:1 gene:Ma11_g23270 transcript:Ma11_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLICKQSFYGARTLTFMMLNLEISQAIIFPWSQLLQREANKRLGSRSGPGSSGNEIENHKWFKSITWKKLMQREIRPSVSGHGCISNFDE >Ma04_p21750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24213949:24215178:1 gene:Ma04_g21750 transcript:Ma04_t21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLIRRYESLFPSKPSSLKPSASSATRSLEEALPLCLRSLLPIPGDSPGVPLRWLHRAVRILALTLAATAALVSDRSLPGGVDSDALTAHLDAGVSFLDACNAASAEIAQLEHRLLPLRVALRILRPDEVDGDENALRRARRAIAEWESTPSGEIGRSAGELIRRMAPDEPPRGSASAVRRVTYAVESLSRLVMAAVVAVLGGGEGKALLGEIRVSGEWPWAEAFNEVAAAVSGRLRVALPGELEAVESAVRRLAGVIDDETEELGMAVEAVELTTEELTVGLDGLTDGVNGAFHAAMGTRTAALKSLRCRLRGCS >Ma11_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6944621:6945221:-1 gene:Ma11_g08740 transcript:Ma11_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKIVPELYRLLGNASVIHSATDCSVALADQHGNTTVNTLVVWIVKFTISCTDYLPVNPSAMEGTLQPVLGPDGKMKEPESNVLRASIENMQYAVTVDVLHRVRRFSHPSVYI >Ma10_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26619700:26623948:-1 gene:Ma10_g14060 transcript:Ma10_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKTPAAQAKDDRMIEMLFSQHEKRCSLQNNSSRLSNERFLFPSGIKNVDLLEKVGALKTEKLPRQTAKDRQGVKKNSVSSSLGNSQKPWPSRKGPGFDEPVMNMSNVPFYLQRLEEGDNIHGKALNFGVLDWGRLERWTNHQKCVTDVGGGHSACDSTQSSAYATFGSFNQSRKTICSPLSRGKKSPVFPHKRSPVTGSRSEMYEGEDSEHLQGVRSSSISSTKFPTQNDNGDFVPSAKLLGNKHDKGNSKDSDLKAISGEVCLPRHSAASRSRYKIDTATATATSTGVAEDQNGSSMKAEKCVDNGHSQSLDTNFPQISQDCKGISDYLQENVDSGCLTSDTPLTADDWLTEGNSYNHSGNLAEDVDIIHQYLHVPHACPLPCTIQNDEPDISCTVLSEGVAPTDTLVRRNGENDLFSKGTCKQLEVNMTKGSTKSEKKTVSAAGRESSNRRLSPDLKSMSKSSSLREGSSEEQIDSVSHLFNSHGDQAARKNKGRQSPLRRILDPIMKPKNNLRSTGPIAALSGSHGSCELSRTDKSNHGVHKPTSVDSTCQVRGNMITSNQLSNNDKGTQKDEKHVAPMKQALLQVAWKNGLPLFMLSSCDSEVFAAAITMRSIISNYNDLECIYKIFSVNGSKKKTMFWSSPGNKSKKHQLISNVVGQLKVSLRNLRSHEGDSSHVMREFVLLGAEQATADSSAMSELAAIVVKVPPPVDKSDTPTRAGHSNCGANLSTRNVSASNDEKRLQTDQHNDDLCQSGISVMLPSGVHGLSTDGEPSPLIERWRSGGACDCGGWDEGCLLTVLTDKSGKCNTFGSDQGCQTTDGTHRSELFTQGGSQEKRLAFSIVSFREGLYTVEFLSSMSMLQSLAICMAVLHGRKTDSPSTEPKILQEHIVNDQSGKAPAGAQGGDPNSYVPNHPPVSPVGRA >Ma10_p14060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26619706:26623948:-1 gene:Ma10_g14060 transcript:Ma10_t14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKTPAAQAKDDRMIEMLFSQHEKRCSLQNNSSRLSNERFLFPSGIKNVDLLEKVGALKTEKLPRQTAKDRQGVKKNSVSSSLGNSQKPWPSRKGPGFDEPVMNMSNVPFYLQRLEEGDNIHGKALNFGVLDWGRLERWTNHQKCVTDVGGGHSACDSTQSSAYATFGSFNQSRKTICSPLSRGKKSPVFPHKRSPVTGSRSEMYEGEDSEHLQGVRSSSISSTKFPTQNDNGDFVPSAKLLGNKHDKGNSKDSDLKAISGEVCLPRHSAASRSRYKIDTATATATSTGVAEDQNGSSMKAEKCVDNGHSQSLDTNFPQISQDCKGISDYLQENVDSGCLTSDTPLTADDWLTEGNSYNHSEGVAPTDTLVRRNGENDLFSKGTCKQLEVNMTKGSTKSEKKTVSAAGRESSNRRLSPDLKSMSKSSSLREGSSEEQIDSVSHLFNSHGDQAARKNKGRQSPLRRILDPIMKPKNNLRSTGPIAALSGSHGSCELSRTDKSNHGVHKPTSVDSTCQVRGNMITSNQLSNNDKGTQKDEKHVAPMKQALLQVAWKNGLPLFMLSSCDSEVFAAAITMRSIISNYNDLECIYKIFSVNGSKKKTMFWSSPGNKSKKHQLISNVVGQLKVSLRNLRSHEGDSSHVMREFVLLGAEQATADSSAMSELAAIVVKVPPPVDKSDTPTRAGHSNCGANLSTRNVSASNDEKRLQTDQHNDDLCQSGISVMLPSGVHGLSTDGEPSPLIERWRSGGACDCGGWDEGCLLTVLTDKSGKCNTFGSDQGCQTTDGTHRSELFTQGGSQEKRLAFSIVSFREGLYTVEFLSSMSMLQSLAICMAVLHGRKTDSPSTEPKILQEHIVNDQSGKAPAGAQGGDPNSYVPNHPPVSPVGRA >Ma06_p30010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31360639:31361593:1 gene:Ma06_g30010 transcript:Ma06_t30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATVAMLNAKCPAPNHLPSSKSAPTKPVSLLSLQNLPKGVHLSKPINTSSPSSLSAAAIAGAFFASLSSSDAAFAAQQIADIAEGDNRGLALLIPLVPAVLWVLYNILQPALNQLNRMRSEKAVVVGLGLGVGGGLVAAGLASAPIASAGELMAVADASSSGDSRGLLLLFVVAPAILWVLYNILQPALNQINKMRSG >Ma02_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22688426:22690480:1 gene:Ma02_g14740 transcript:Ma02_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAICASERDTGGFGGDCEEEESGGGRARREVTGGIAVELWIRNRSRIPLSLVGVCVVGYGRLLDPLLLDAVRLKLSIGFWTPAVSRLVDLQEAYWYFSIAFF >Ma02_p24670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29165734:29179572:1 gene:Ma02_g24670 transcript:Ma02_t24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MQTQARTRAALRFQAFPSFANRAPLPFVISFPPTRRRVRVRCSAASDGGSIGGNPQQQVQQRKAYPFDAIEPRWQRYWEENRTFRTPDEVDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRYKRMKGFNVLHPMGWDAFGLPAEQYAIETGTHPKVTTKRNIERFRSQLKSLGFSYDWDREFSTTEPEYYKWTQWIFLQLFKKGLAYQAEIPVNWCPALGTVLANEEVVNGVSERGGHPVVRKPMRQWILKITAYADRLLEDLDDLDWPESIKEMQRNWIGRSEGAELEFGVVDSQGYEQGLKLSVYTTRPDTIFGATFIVVAPEHPLLSSLTTEAQHEKVEHYRELASKKSDLERTDLQKAKTGVFSGSYAMNPATRETIPIWIADYVLGSYGTGAIMAVPAHDSRDYEFALKYDIPIIRVVSHTDRSDDDSEPYVDDGIMINSSNSLSGLNINGLSCKDAASKVIAWLESTGHGIKKVNYKLRDWLFARQRYWGEPFPVVYLDDSGEVVPLQENELPLVLPDLDDFNPTGTGEPPLAKATSWVKTVDPVSGRHARRETNTMPQWAGSCWYYLRFMDPKNPTALVGKDKERYWSPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFQCLINQGLILGEIEYTAFRDQEGRLVSADSVGITDNHFQERIPAEKVMKVGDFYVIKDNPSIRLVARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLARTWRLIVGPPLPDGSYNCGTIATDDEPTLDQLRSLHRCIAKVRYQKKFKKQDSTQEFLQ >Ma02_p24670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29165734:29180910:1 gene:Ma02_g24670 transcript:Ma02_t24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MQTQARTRAALRFQAFPSFANRAPLPFVISFPPTRRRVRVRCSAASDGGSIGGNPQQQVQQRKAYPFDAIEPRWQRYWEENRTFRTPDEVDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRYKRMKGFNVLHPMGWDAFGLPAEQYAIETGTHPKVTTKRNIERFRSQLKSLGFSYDWDREFSTTEPEYYKWTQWIFLQLFKKGLAYQAEIPVNWCPALGTVLANEEVVNGVSERGGHPVVRKPMRQWILKITAYADRLLEDLDDLDWPESIKEMQRNWIGRSEGAELEFGVVDSQGYEQGLKLSVYTTRPDTIFGATFIVVAPEHPLLSSLTTEAQHEKVEHYRELASKKSDLERTDLQKAKTGVFSGSYAMNPATRETIPIWIADYVLGSYGTGAIMAVPAHDSRDYEFALKYDIPIIRVVSHTDRSDDDSEPYVDDGIMINSSNSLSGLNINGLSCKDAASKVIAWLESTGHGIKKVNYKLRDWLFARQRYWGEPFPVVYLDDSGEVVPLQENELPLVLPDLDDFNPTGTGEPPLAKATSWVKTVDPVSGRHARRETNTMPQWAGSCWYYLRFMDPKNPTALVGKDKERYWSPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFQCLINQGLILGEIEYTAFRDQEGRLVSADSVGITDNHFQERIPAEKVMKVGDFYVIKDNPSIRLVARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLARTWRLIVGPPLPDGSYNCGTIATDDEPTLDQLRSLHRCIAKVSEEIQETRFNTGISAMMEFVNAAYKWDKHPKSILEPFVLLLSPFAPHMAEELWSRMGHQESLAYEQFPEAESEFLKDSSIVLPVQINGKTRGTILVDEACSEDDAFRLASEDEKLSKYISERMIKKRIYVPGRILNVILDHHKVSS >Ma04_p30460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31140587:31141467:1 gene:Ma04_g30460 transcript:Ma04_t30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPSSSSSRLRLLSLALLLHVSFGVAAVDPLYAVCSTSRNYTANDAFGDNLRQLMFMLATKASPIGFALGSVGQGGARANGLALCRGDIKSTACTTCVRTAGARVRDLCPNNKDGIIWFDECMLRYSDVEFFGEIDFDHRFYMWNRENVSDAVMFDGKVENLMNRLKQKAYISPLLFATGEMEIGESQELYGLVQCTKDLSGGDCKKCLEAVIGELPSCCDGKRGGRVVGGSCNIRYELYPFFDA >Ma07_p02270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1778050:1783270:-1 gene:Ma07_g02270 transcript:Ma07_t02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase (EC:1.3.1.42), Jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os08g0459600)] MTQRPSLFSPHQMGRFRLSHRVVLAPVTRCRAIDGIPLPAHVEYYTQRATDGGFLITEGTVISPTGAGFPRCPGIYTREQIDAWKKVVDAVHAKGSIIFCQLWHVGRASNQIYQPGGTAAPISSTDKPVSGRWKILMPDGKYGSYARPRRLATSEIPVIVQHYRQAALNAIEAGFDGVEIHGAHGYLIDQFLKDGINDRTDAYGGSLQNRCRFLVEVTRAVTSAVGPERVAVRISPAIDHLDAYDSDPLRLGLTVIEQLNALQRESGERLAYLHVTQPRYVAYGQTESGLQGSAEEENQMMRALREAYNGSFMCSGGFTRKLAVAAVEQGDADLVSLGRLFISNPDLVERFKLGAPLNRYVRATFYTADPVVGYTDYPFLGQQQQQQRSKL >Ma07_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3485281:3486773:-1 gene:Ma07_g04750 transcript:Ma07_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGFAAVFAIASLLCLTIAPTRGALQAGFYKGKCNGTDVEATVKSIVAARFAQDRSIVPALLRLHFHDCFVRGCDASILIDGSGTEKTAPPNLSVRGYDLVDQAKAQLESTCPGVVSCADIIAIATRDAVVLGGGTQYTYVVQTGRRDGNISLASDASANLPGASLSASQAIAAFRAKGLNASDTVLLLGGHTVGITHCSFILNRLYNYNGSGKPDPDMDPAFVAMLKSRCPQTSAVDNSVFLDNGTPSTVDNSYYKQLLAKRGVLKVDQNLAMDAATNGTVKSLASGSLSFPSLFGNAMVKMGSIQVLTGTQGQIRKSCRVVNK >Ma06_p22630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19089948:19106101:1 gene:Ma06_g22630 transcript:Ma06_t22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MASNASSSASECKSEPSAEHQIVGVKEGNCTPSDVMEIIDSLKKQVFSDRCTYIKKKMDENKQKLSSMTQLVYNLSKVRRNSSDHNSDLDTNLLTRRQDDALCTVNSLEQSAGEKDSGSCQEESSYASSTVLIGNNFGGKNGVRLIKLPEVPKLPPYTTWIFLDRNQIMTEDQSVVGRRRIYYDQNCGEALICSDSEDDFVEDEEEKKEFGTHEDFIIRMTIERVGLSDVALDTLSQSLEKNSVEIRARFENFLKQDSNEECVKNVEVEPNVRVDDAFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQHPWTNSDDGDPCGIHCYKLASKSESTATANSQPHDLEEATHSVGSPGSQLSPRRKIKGSTGRRAKSHQNESASSNAKVVSETSESDTRVNQDNVSAQLSSSPTKNKQRGKCGTRKKTNKRVAERVLICIRKKQKKMMQSDADSIVSGCLVARDMKLRSDTRKDNKNSSSSMINKIIKSSTIRNNRKKAIQHQDSMNSKYVEAQNDNIFQASMEPSATDGDESERKEEFVDENICKLVKTDSKPWKIIEQGLFLKGLEIFGRNSCLIARNLLSGMKTCVEVFQYMNHIQDNATYRAADGAISLVEGHGKVSELRTRSRFLRRRGRVRRLKYTWKSAGYHSIRKRITERKDQPCRQYNPCGCQSACGKQCRCLLNGTCCEKYCGCPKICKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGGPNQRGDNYECRNMKLLLKQRQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPESSVSKKDESQPSSGRAKKLA >Ma06_p22630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19089948:19106101:1 gene:Ma06_g22630 transcript:Ma06_t22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MASNASSSASECKSEPSAEHQIVGVKEGNCTPSDVMEIIDSLKKQVFSDRCTYIKKKMDENKQKLSSMTQLVYNLSKVRRNSSDHNSDLDTNLLTRRQDDALCTVNSLEQSAGEKDSGSCQEESSYASSTVLIGNNFGGKNGVRLIKLPEVPKLPPYTTWIFLDRNQIMTEDQSVVGRRRIYYDQNCGEALICSDSEDDFVEDEEEKKEFGTHEDFIIRMTIERVGLSDVALDTLSQSLEKNSVEIRARFENFLKQDSNEECVKNVEVEPNVRVDDAFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQHPWTNSDDGDPCGIHCYKLASKSESTATANSQPHDLEEATHSVGSPGSQLSPRRKIKGSTGRRAKSHQNESASSNAKVVSETSESDTRVNQDNVSAQLSSSPTKNKQRGKCGTRKKTNKRVAERVLICIRKKQKKMMQSDADSIVSGCLVARDMKLRSDTRKDNKNSSSSMINKIIKSSTIRNNRKKAIQHQDSMNSKYVEAQNDNIFQASMEPSATDGDESERKEEFVDENICKLVKTDSKPWKIIEQGLFLKGLEIFGRNRNLLSGMKTCVEVFQYMNHIQDNATYRAADGAISLVEGHGKVSELRTRSRFLRRRGRVRRLKYTWKSAGYHSIRKRITERKDQPCRQYNPCGCQSACGKQCRCLLNGTCCEKYCGCPKICKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGGPNQRGDNYECRNMKLLLKQRQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPESSVSKKDESQPSSGRAKKLA >Ma06_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19089948:19106101:1 gene:Ma06_g22630 transcript:Ma06_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MLFFVAVVFIMIVGVKEGNCTPSDVMEIIDSLKKQVFSDRCTYIKKKMDENKQKLSSMTQLVYNLSKVRRNSSDHNSDLDTNLLTRRQDDALCTVNSLEQSAGEKDSGSCQEESSYASSTVLIGNNFGGKNGVRLIKLPEVPKLPPYTTWIFLDRNQIMTEDQSVVGRRRIYYDQNCGEALICSDSEDDFVEDEEEKKEFGTHEDFIIRMTIERVGLSDVALDTLSQSLEKNSVEIRARFENFLKQDSNEECVKNVEVEPNVRVDDAFLEKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQHPWTNSDDGDPCGIHCYKLASKSESTATANSQPHDLEEATHSVGSPGSQLSPRRKIKGSTGRRAKSHQNESASSNAKVVSETSESDTRVNQDNVSAQLSSSPTKNKQRGKCGTRKKTNKRVAERVLICIRKKQKKMMQSDADSIVSGCLVARDMKLRSDTRKDNKNSSSSMINKIIKSSTIRNNRKKAIQHQDSMNSKYVEAQNDNIFQASMEPSATDGDESERKEEFVDENICKLVKTDSKPWKIIEQGLFLKGLEIFGRNSCLIARNLLSGMKTCVEVFQYMNHIQDNATYRAADGAISLVEGHGKVSELRTRSRFLRRRGRVRRLKYTWKSAGYHSIRKRITERKDQPCRQYNPCGCQSACGKQCRCLLNGTCCEKYCGCPKICKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGGPNQRGDNYECRNMKLLLKQRQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPESSVSKKDESQPSSGRAKKLA >Ma06_p07480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5309643:5311941:1 gene:Ma06_g07480 transcript:Ma06_t07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPLSAPPPSTSPRRRTRRRPVVVEEIVEARPDEAPPRKARSRAVAAASRNTRRTRRKPEEAQEEIGPPDDDLDRIRKRKRVNHRGASAEKQTSASLVLSSNPPPPSEISSNDRRASLEQIIDLIMWKNVAKSTLLFGFGSTFFLSSCFSRESNFSIISAMSHMGLLVLGTAFVKNTVTRRKQHNSRWKLQLTEDDILHAARIVLPVVNEALTNIQDVFSGDASITLKVAPILLFGANYGHLITLWRLLVTGFFVSFTVPKLYLSYSQQIHRTAENAMHWVQESWISCRFKRLVAASAATIFWNVFSLRTRIFTAFIFLVMLCYRHERRVGEDKSGKESKEEEKQKGTVVSEKSRI >Ma07_p28450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34486379:34487267:1 gene:Ma07_g28450 transcript:Ma07_t28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEYRCFVGGLAWSTDDVSLERAFSAYGEIVDSKIINDRETGRSRGFGFVTFRDEQSMRDAIEGMNGQILDGRSITVNEAQNRRNGGGGGGFRSGGGGGYGGGGYGGGGGGRRDGGGYSRGGGGGGYGRERGYGDGGSRFSRGGDDGNWRN >Ma04_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15230823:15237852:1 gene:Ma04_g16320 transcript:Ma04_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHIAPPSRGSGTITSGVLDDAEAPRVYQAWKGSNIFFLQGRFIFGPDASSLFLTIFLIVAPVSIFCVFVARKLMDDFSHNLGISIMIVAVVFTLYDLSLLLVTSGRDPGIIPRNTHPPEPETNGGDSEVGGVQTPQLRLPRTKDVIVNGITVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGIRNYRFFYMFVFSTTLLCLYVFGFCWVYIISIRDAEQTSIWRAMVKTPASIVLIIYTFLSVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRANPYNKGVVENFKEIFFTSIPPSKNNFRGRVPQEQGLQPQPAGQGFLSPNMGKALGDIEMGRKPISWDEARALAHVGDLEEGINDTKMLDAMNGGLGVLSPDLSKEALPPEAVVGRTPLHTMHSSYTGMLDDMNDRLHVVSSDLRKEALSPEAVVGRTPLHPMHSSYTKTLDDMNDGLDVVSPELRKEAVVRRTPFHPSYSGYTKMLDDMHGVLHDMSPDLSKEALPPEAVLERTALHPRHLSWVRRSGSWEMTPEVLALAAGLGESKRKENGSGTSAGNK >Ma10_p26810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34313509:34327677:-1 gene:Ma10_g26810 transcript:Ma10_t26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDSSSSPDGSPSTARSDKKKAKSNDAAASTPRHTLIKDAVEKHHLQQQQKKKGNDGKDSKGKEAVHAPSPKPSPPPAASFSAPAKLRKGPAAAKDGAGPAAASLSPILASSLGLNRIKTRSGPLPQEGLRVEHGMSGSGSSNLSRGTNGTASSSALSTSMARGKSGCVGSSGAKKDGRALDKVPESFTSSWVNRGGSGGGGAKQWSTASADTPFGWQINNGEANLSWNKFEKLKNNATFASAVESQYDACETPKESESPRFKEIMQATSAPRKKFPGDVKSFSHELSSKGVRPFPFWKPRSIFNLKEILKVIQVKFEKAKEEVNSDLAIFAGDLVSIMEKNQENHPDWKEVLEDLLILARSCCVMTAGEFWLQCEGIVQDLDDRRQELSSGMLKKLHTHMLFILTRCTRLLQFHKESGFAEDEIAMDPRSKVMHLAEVSSVSMKDRKPTKAEENSVEAVVSRKSRSQEQNNLKWKRSQEIKPVDYFSQLDIGKYDSPSTRECMASWKPLPSPASKDQKKSSPLIDESLNEKVDSLHLQKSSEEDLISSNLAEQTSPVDASVHPSVSSKHQHKYSWGFWADQQSISEEGSIMCRICEEYVPTLFVEEHSKVCAVVDRCDQKGLSVDERLLRIAETLEKMIDSYTQKDLPNVTGSPDVAKISNSSVTEESDIPSPKLCDLPQRGLGDMLDCLHEAENPLLLDDLKYLPSMTCKTRFGPKSDQGVATSSIGSMTPRSPLMTPRASHIDMLLAGKSVLSESDDLPQMIELADIARCIANTPVDEERSLNYLVSCLEDLQEVMNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVTSLDMEKVVATSSVMDEEDDVVRSLRASPVHPTTKDRTSIDDFEIIKPISRGAYGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLYGEDEPQVSASEQLNQRECRKKRSAVGTPDYLAPEILLGTGHGASADWWSVGVILFELIVGIPPFNAEHPQKIFDNILNRKIPWPRVHEEMSFEAQDLIDNLLTEDPHQRLGANGASEVKQHVFFKDINWDTLARQKAAFVPSSDNAFDTSYFTSRFSWNPSDEQIYETSEFEDSSDNGSISGNSSCLSNPHDELGDECGGLAEFDSSTSVNYSFSNFSFKNLSQLASINYDLLSKGWKEDPRPKTGT >Ma10_p26810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34313509:34327677:-1 gene:Ma10_g26810 transcript:Ma10_t26810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDSSSSPDGSPSTARSDKKKAKSNDAAASTPRHTLIKDAVEKHHLQQQQKKKGNDGKDSKGKEAVHAPSPKPSPPPAASFSAPAKLRKGPAAAKDGAGPAAASLSPILASSLGLNRIKTRSGPLPQEGLRVEHGMSGSGSSNLSRGTNGTASSSALSTSMARGKSGCVGSSGAKKDGRALDKVPESFTSSWVNRGGSGGGGAKQWSTASADTPFGWQINNGEANLSWNKFEKLKNNATFASAVESQYDACETPKESESPRFKEIMQATSAPRKKFPGDVKSFSHELSSKGVRPFPFWKPRSIFNLKEILKVIQVKFEKAKEEVNSDLAIFAGDLVSIMEKNQENHPDWKEVLEDLLILARSCCVMTAGEFWLQCEGIVQDLDDRRQELSSGMLKKLHTHMLFILTRCTRLLQFHKESGFAEDEIAMDPRSKVMHLAEVSSVSMKDRKPTKAEENSVEAVVSRKSRSQEQNNLKWKRSQEIKPVDYFSQLDIGKYDSPSTRECMASWKPLPSPASKDQKKSSPLIDESLNEKVDSLHLQKSSEEDLISSNLAEQTSPVDASVHPSVSSKHQHKYSWGFWADQQSISEEGSIMCRICEEYVPTLFVEEHSKVCAVVDRCDQKGLSVDERLLRIAETLEKMIDSYTQKDLPNVTGSPDVAKISNSSVTEESDIPSPKLCDLPQRGLGDMLDCLHEAENPLLLDDLKYLPSMTCKTRFGPKSDQGVATSSIGSMTPRSPLMTPRASHIDMLLAGKSVLSESDDLPQMIELADIARCIANTPVDEERSLNYLVSCLEDLQEVMNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVTSLDMEKVVATSSVMDEEDDVVRSLRASPVHPTTKDRTSIDDFEIIKPISRGAYGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLYGEDEPQVSASEQLNQRECRKKRSAVGTPDYLAPEILLGTGHGASADWWSVGVILFELIVGIPPFNAEHPQKIFDNILNRKIPWPRVHEEMSFEAQDLIDNLLTEDPHQRLGANGASEVKQHVFFKDINWDTLARQKAAFVPSSDNAFDTSYFTSRFSWNPSDEQIYETSEFEDSSDNGSISGNSSCLSNPHDELGDECGGLAEFDSSTSVNYSFSNFSFKNLSQLASINYDLLSKGWKEDPRPKTGT >Ma07_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9744308:9749301:-1 gene:Ma07_g12950 transcript:Ma07_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MATCSIRPGIPVILKELEEGSQFFHQGASLRVTGKLQEYSVDTAIAVITEDDVSFKIDTQHLRDLTFRIGSYYQFIGELLILPEDSAILQARVGRNVDGLDINLYHRSLELRRQFEVELMNSRVT >Ma08_p31790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42585524:42589303:-1 gene:Ma08_g31790 transcript:Ma08_t31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPERIRPSSSSTSQEEKFSSSSSSRGGGFAGEGMNHLVVETDDSSSSLLELAANNDVGAFRRSLDRDPSAVDEVGLWYGREKGSNRMLLQHRTPLMVAATYGSLDVLELLLSLPSSVDVSRADGHDRATALHCAASGGSPNAADAVKLLLSAGADSNLVDASGHRPADVVVVPLKVPDVRTVLEELLGRSSNGTCRDHHHRALRTITRSSKTDSLPPSSSLDEDGTPSSDLASFPATIAKAPELQPPAVVTEKKEYPVDPSLPDIKSSIYATDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGTCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCSRRVCFFAHTHEELRPLYMSTGSAVPSSSAAIEMAAAMGLMPGSPSSISTVMHPFTSPLSPSGNGIGHSSLAWAQPNVPVLHLPGINLKGSRLRTSLSAREMPLDDFSAMPEFDAQQLLNDPSHTCLSPSMGNLMARPKILAPSNLDDLFSAEIASSPKYNSDQGAIFSPSHKAAIHSQFQQQQQQQQGLLSPINTVFSPKAMENQLLPGRPTLLHASLGVSSPGMMSPRSMEPASPKSSHLALLGQQEKQQQTLRSLSSRDLGSGTSLVFGSSGNSSWSKWASPSGILDLGVNGEELGRLNQSSSFELQANGEEPDLSWVHSLVRESPSEEVGTASVAPAWPSGLGPAADGVEDSN >Ma07_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10988239:10988697:-1 gene:Ma07_g14540 transcript:Ma07_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKLRRVYIEFASFVFHDGILDNQYTQLQQLQDESEPKFVLQVGTLFLEDSEKLLNELRSILDQQDVDFEKLDAFVRKLTGSSASIGAKRVKDACMAFHDCCKKGSKEGCLKCLQQLTAEYRLVKRKLETLFKMETQILAAGGSVPLLSQ >Ma06_p28260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29978088:29982259:-1 gene:Ma06_g28260 transcript:Ma06_t28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIILESPTRAKPLVFGPLAGPNFHSVLKESIDRYLIEVKKESCDFSAFRLIFFRLLQSSVDPPIEVIWFYSALGYHEAIRSKRDVLDRVFAIRDLLQLLSACSASCNGPKSVALLAPVVSELYHCVREEKKLSGKVAKKMRKEIESLADGVVSYISICSGRSSDGQELCNSYLLPCFIDIVRVWTVQHIGEGDDLNVLFPLVSDEIRASLGLERCWIGHLAGIVVAEAFLLNLSLKVQVDGSPRPDLQKELKVWAVSSISVFQNYIFFDILLRLLLNPPMPVITILNSMDESLVRNILYDAVILVDYSFINPEVEGEHFNDSTMNIIMRRLIVTHEAIQIVRDKGDHNKAVSYTNAFSTSCVPNTLIKWATYQVGMQKLNRPSASTPQCFLKWLLVLEEQGLKIFENITELRSKLKFEEANVVSDTTKFDSDSKKTDDDLFFFDNKGEGDKDAAEDMETVDAAFFSAAHSMKSDTDKGRRKRKEMGYDGESQVKFVKHKIHDKSVKDYFKVAGADSLSSGSEVENPHSSDEMEE >Ma02_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10032040:10032507:-1 gene:Ma02_g01460 transcript:Ma02_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGRTKEFAREMLILSQINHKNLIKILGCCLEVEVPMLVYEFIPDGTLFRCISTDAYKRK >Ma04_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9637635:9637978:-1 gene:Ma04_g12780 transcript:Ma04_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRCCLFLEILISIALPPVGVFLHYGCCSLEFCICLLLTVLGYVPGIIYAIYVLVAVDPEPYRRGYYQPLA >Ma06_p28300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29998896:30001274:-1 gene:Ma06_g28300 transcript:Ma06_t28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLFLSHHLKSLKPYYPRPSFPFASHLRLFSFATPEEAAAERRRRKRRLRIEPPLSSLRQQQKPPSSSAPPRSPRTAPNPNAPKLPEPISALAGSRLNLHNRILTLIRENDLDEAALLVRHSIYSNCRPTIFTANAVLHALLRQSRYADLLSLHRFITQANVSPTVITVNLLLQAFCDCRKTDIALEHFRLLLKDDAPFPPSPTTYRILAKGLVDNGKLDQALELKDDMLAKAFVPPDPIVYNYLMQGFVKKGDPDKVVSLYEELLEKVGGGKILDGIVYGNLMKGYFGKGMEKGAMEIYRDVLGEGSKVRFGAVSYNLVLDALGRNGKLEEAIDLFDRMLKEHDPPRRITVNLGTFNVMVDAYCLAGRFPDAITVFRKMGEQKCIPDTLSYNSLIEHLGSNKLVPEAEELYKEMGERGINPDEYTYVLLVESCFGVDRVDDATGYFQKMVELGLRPNATAYNKVIGGLVNVVRLDEAKKFFDQMLEKEVKPNVMSYELLLKGFIDSGRLDDGLKTLKDLLLDDGVTLSQEIKELMEEALRKEGREEEMGKLYEDVEREKAERLAREAEEKARAEALAKEEEEKKKREAAEKEAAAARASAAAIEAILGRKKDAANEESTAVGSSAPVSGILGEEKNGSESSVQSETADSAANCEVLPEERNDGLDDEAKEGSGDADQQVSASGN >Ma11_p16470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21997567:22003772:-1 gene:Ma11_g16470 transcript:Ma11_t16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNVKVLDKGEKCDLKRKRDSAVYVTNDSHGLVAHPHTAVQSSLKVYTGMSCKTGLICYPGNHIVKNYRNFIKSGLPHRVLFYENDQWEDFPENIVHLVQDDFRSKKGITEAGYQNQHFLLDFIHMVCVILQTGLVKPVAWIDDHGKSFFPELHSHQYALNRCYHSNKEIRAYMSPEPNGTHEVTAQFKISNSAAKSSSSGADNGFIPNLKRVKSEENSTSDQNTYAEVNEVVGENDPGSVFPLNIPAFGACQAPAGGHHVNRAVQNMLLQGLGKFIDPKNIVGIHRTPLRNDVGLVRYNIFQEWVETTKKARGNANVRYAWLASTKDAVEEMMMHGVLKPPFHERLYGNGIHLTPTNCSNICARYCDVDENGIIYLMLCRIIMGNVELIHPGSNQCQPSNENFDTGVDDLHKPKHYIIWDVNLYTYIYAEFTVTFQATSKVEECLLGKESTCNVSALTNSNSPHSLLQDKTFQPNLGCTNQSQVPVSRAAPRIPTSPWMPFSMLFAAISTKVSTEDMDLVHTHYNDFKKRKINRIDLIKKLRQIIGDKLLVSTIMRLQHKLPPMARHEPPEPSSRSLRNNS >Ma11_p16470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21997567:22003772:-1 gene:Ma11_g16470 transcript:Ma11_t16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNVKVLDKGEKCDLKRKRDSAVYVTNDSHGLVAHPHTAVQSSLKVYTGMSCKTGLICYPGNHIVKNYRNFIKSGLPHRVLFYENDQWEDFPENIVHLVQDDFRSKKGITEAGYQNQHFLLDFIHMVCVILQTGLVKPVAWIDDHGKSFFPELHSHQYALNRCYHSNKEIRAYMSPEPNGTHEVTAQFKISNSAAKSSSSGADNGFIPNLKRVKSEENSTSDQNTYAEVNEVVGENDPGSVFPLNIPAFGACQAPAGGHHVNRAVQNMLLQGLGKFIDPKNIVGIHRTPLRNDVGLVRYNIFQEWVETTKKARGNANVRYAWLASTKDAVEEMMMHGVLKPPFHERLYGNGIHLTPTNCSNICARYCDVDENGIIYLMLCRIIMGNVELIHPGSNQCQPSNENFDTGVDDLHKPKHYIIWDVNLYTYIYAEFTVTFQATSKVEECLLGKESTCNVSALTNSNSPHSLLQVPVSRAAPRIPTSPWMPFSMLFAAISTKVSTEDMDLVHTHYNDFKKRKINRIDLIKKLRQIIGDKLLVSTIMRLQHKLPPMARHEPPEPSSRSLRNNS >Ma11_p16470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21997567:22003534:-1 gene:Ma11_g16470 transcript:Ma11_t16470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNVKVLDKGEKCDLKRKRDSAVYVTNDSHGLVAHPHTAVQSSLKVYTGMSCKTGLICYPGNHIVKNYRNFIKSGLPHRVLFYENDQWEDFPENIVHLVQDDFRSKKGITEAGYQNQHFLLDFIHMVCVILQTGLVKPVAWIDDHGKSFFPELHSHQYALNRCYHSNKEIRAYMSPEPNGTHEVTAQFKISNSAAKSSSSGADNGFIPNLKRVKSEENSTSDQNTYAEVNEVVGENDPGSVFPLNIPAFGACQAPAGGHHVNRAVQNMLLQGLGKFIDPKNIVGIHRTPLRNDVGLVRYNIFQEWVETTKKARGNANVRYAWLASTKDAVEEMMMHGVLKPPFHERLYGNGIHLTPTNCSNICARYCDVDENGIIYLMLCRIIMGNVELIHPGSNQCQPSNENFDTGVDDLHKPKHYIIWDVNLYTYIYAEFTVTFQATSKVEECLLGKESTCNVSALTNSNSPHSLLQDKTFQPNLGCTNQSQVPVSRAAPRIPTSPWMPFSMLFAAISTKVSTEDMDLVHTHYNDFKKRKINRIDLIKKLRQIIGDKLLVSTIMRLQHKLPPMARHEPPEPSSRSLRNNS >Ma10_p15260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27442779:27444995:-1 gene:Ma10_g15260 transcript:Ma10_t15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVIVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLTSKASYENIYKKWIPELRHYAPNVPIVLVGTKLDLREDKQFFIDHPGATPITTAQGEELKKMIGAAVYTECSSKTQQNVKAVFDAAIKVVLCPPKPKKKTRKQRTCFIL >Ma03_p08920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6564700:6566502:-1 gene:Ma03_g08920 transcript:Ma03_t08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVEDDVFFADLSKQIALLIMDDEEEFPVQCPQLPIQDLPYMPQVMMPPAYGYELLAYRRESKGTGVFIPRSAAPRRKNNNRSRRSTAADSNTHRQLNKSAAVASQVTNKCSSNSSVPKRQSQEYQMISGSY >Ma11_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21984148:21986403:-1 gene:Ma11_g16450 transcript:Ma11_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISAVVLLSCVVTVTAAFDVTAVPFDEGFSHLFGNDNLVRSSDGRTAHLSLNRYSGSGFVSNDMYHHGFFSASIKLPSDYTAGVVVAFYTSNGDLFEKTHDELDFEFLGNVRGKDWRIQTNVYGNGSTSRGREERYVLPFDPTAEAHRYSILWTSQLIIFYVDDTPIREVVRSDAMGGDYPSKPMSVYATIWDGSTWATGNGKYKVNYKYEPFVSDFSDLVLRGCRADPIQQVDSAAARRCAEANDELLAADFALMTPKKRAAMRRFRERYMTYSCCYDTNRYPVAFPDCDIVPSEQSRFYEWGQSKDPRERRRSRRRSRKARAGDPKHVSSI >Ma09_p23690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35479064:35479682:1 gene:Ma09_g23690 transcript:Ma09_t23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSDILMMMSLMMTKRKRDGSIFMGMSSNFQRRSHCFQLLLDPAHSFLHSQHSSSSSHLLVCSIHTTGEPYSQH >Ma11_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4618169:4618693:1 gene:Ma11_g05870 transcript:Ma11_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGKEVITEAAEGSSSQPKPTKTVVTLVSSDEETFEVDIAVANQSEMIKNLILDMEDDVDEFVVPVLNVTGLVLAKVIQYWEKHAEAIDRDQLEAFDMAFVDMHKELLFQVLIAVNFLESRPLLNLLCKTIADGIKDMSVDEVRAYFSIESDFTEEEERQVRDENQWAFEEQ >Ma05_p07400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5384026:5384390:-1 gene:Ma05_g07400 transcript:Ma05_t07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGTCLHQLSLTSDGLECLVHPIKSNFALMISWKLLACFCRRFCCVKDMVSLTIIFFCLL >Ma02_p21800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27346050:27349180:1 gene:Ma02_g21800 transcript:Ma02_t21800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCFTSAVNSITSPSKASSSTSGLSPKSNLPFPNPTHHGAVSRFQPLRVKQATESSTNSGTKRNSIVCAECDGNGAKLCTQCQGTGVNSVDHFNGRFKAGASCWLCRGKKEILCGNCNGAGFLGGFMSTFDETSG >Ma02_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27346022:27349180:1 gene:Ma02_g21800 transcript:Ma02_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCFTSAVNSITSPSKASSSTSGLSPKSNLPFPNPTHHGAVSRFQPLRVKATESSTNSGTKRNSIVCAECDGNGAKLCTQCQGTGVNSVDHFNGRFKAGASCWLCRGKKEILCGNCNGAGFLGGFMSTFDETSG >Ma02_p21800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27346050:27349180:1 gene:Ma02_g21800 transcript:Ma02_t21800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCFTSAVNSITSPSKASSSTSGLSPKSNLPFPNPTHHGAVSRFQPLRVKQATESSTNSGTKRNSIVCAECDGNGTGVNSVDHFNGRFKAGASCWLCRGKKEILCGNCNGAGFLGGFMSTFDETSG >Ma02_p21800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27346050:27349167:1 gene:Ma02_g21800 transcript:Ma02_t21800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCFTSAVNSITSPSKASSSTSGLSPKSNLPFPNPTHHGAVSRFQPLRVKATESSTNSGTKRNSIVCAECDGNGTGVNSVDHFNGRFKAGASCWLCRGKKEILCGNCNGAGFLGGFMSTFDETSG >Ma04_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3853474:3857802:1 gene:Ma04_g05100 transcript:Ma04_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALGLLLLLLAAALIPAPASAIGFQLHHRFSDRVRRWAEGRAVPGAWWPEKGTAEYYAALAHHDRALRGRALAAASSDLSFADGNATVRLSSLGFLHYAIVSLGTPNMTFLVALDTGSDLFWVPCDCKQCAPTTSPDFGQNVSFNIYSPNASSTSKKVLCSNGLCDLQNRTSCTAEASNCPYVVQYVSANTSSSGILVEDILYLMTEDAAPQIIKAPIVFGCGEIQTGSFLERAAPNGLFGLGMEKISVPSILSSQGLASNSFSMCFGDDGTGRIHFGDKGSLDQQETPFVIDKSFASYMINITGATVGNDSIAAILSALVDSGTSFTYLADPLYTKLTQSFKAQVQEQRLNPDPDVPFEFCFDVSPTQTTISLPEINLTTRGGSIFPVNDPIFLFSLQQNEYFYCLAIMKSNGLNIIGQNFMAGLRIVFDRERLTLGWKNFDCSDTTKTTKGGMNSTRANATQVTMPKPPSNSSTQKKLTRSLFSLLLLSSTIL >Ma11_p11730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14824581:14832396:-1 gene:Ma11_g11730 transcript:Ma11_t11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNHKNYGFRGAMGSRHRQQQGTGSRPRLERCNAVKNIDYEAPAAQASWSACSTASEEPRDLRGTRSLDLWPSTCAHQTSFRIDGSIEGEVEILCHSLGLSGPEDFAISVDDWERRKVRSSFDILPRSRILQMDTPTHEDPSLASNSVPSRPSLVSGEEHISQKIAEEEDCLLLDGSNPGIRVTDSEPVELPCTLPRSRGGDEGIRGVRPPVLLPPPPLSNFEPPPSRPTNDNPLRTLKPPPSMSVPAIDKMSSTWDIIKSFAPERGVLEAGRRESVDSVENEDKEGVFEADEVTEEELRELWLGDTAEDFNGISSYSTMNDDDSSSTTTEPMFMISPNGRFKRRIKSWMRGVLLGSGSYGMVYEGISDEGVFFAVKEVSLLDQGSNAEQCILQLEQEIALLSQFQHENIVQYYGTDKEDSKLYIFLELITQGSLASLYHKYHLRDSQVSAYTRQILNGLNYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMTKFNMLKSCKGSVYWMAPEVVNPRRTYGPAADIWSLGCTVLEMLTRQIPYPNLEWTQALFKIGRGEQPPIPDYLSGDARDFISKCVRVNPNDRPTASQLLEHSFVRGSLRASSGSNLSLFNNKR >Ma11_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14824581:14832307:-1 gene:Ma11_g11730 transcript:Ma11_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSNHKNYGFRGAMGSRHRQQQGTGSRPRLERCNAVKNIDYEAPAAQASWSACSTASEEPRDLRGTRSLDLWPSTCAHQTSFRIDGSIEGEVEILCHSLGLSGPEDFAISVDDWERRKVRSSFDILPRSRILQMDTPTHEDPSLASNSVPSRPSLVSGEEHISQKIAEEEDCLLLDGSNPGIRVTDSEPVELPCTLPRSRGGDEGIRGVRPPVLLPPPPLSNFEPPPSRPTNDNPLRTLKPPPSMSVPAIDKMSSTWDIIKSFAPERGVLEAGRRESVDSVENEDKEGVFEADEVTEEELRELWLGDTAEDFNGISSYSTMNDDDSSSTTTEPMFMISPNGRFKRRIKSWMRGVLLGSGSYGMVYEGISDEGVFFAVKEVSLLDQGSNAEQCILQLEQEIALLSQFQHENIVQYYGTDKEDSKLYIFLELITQGSLASLYHKYHLRDSQVSAYTRQILNGLNYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMTKFNMLKSCKGSVYWMAPEVVNPRRTYGPAADIWSLGCTVLEMLTRQIPYPNLEWTQALFKIGRGEQPPIPDYLSGDARDFISKCVRVNPNDRPTASQLLEHSFVRDLQRYAANMLTNSRLIL >Ma07_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4124622:4127851:-1 gene:Ma07_g05690 transcript:Ma07_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNYRQITLLNLLRSSKWPVQNTNEDLLKHIKSSSSTLTASWSVRTASVALIAFMSTKPDGALGSLEYTKEERHVLAIRSREATPRFGTRVAETHR >Ma08_p02970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2226683:2231490:1 gene:Ma08_g02970 transcript:Ma08_t02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFPPRALRHTGRRGFFPSPERAVPRDGSVLPGMPPPSYARLPYDGSSTAEIVRGGGSRFFGPSLPDFRQMLMSSGKHTVRESFLRQFSTINERDDMSLEEEAERKAGWLLKLFFIGTAALVAFEFFPYMGETLLQQSISLLHVKDPLFKRMGASRLALYAVDDERRMKVVEMGGALDLLNMLKTAKDDKTRKQALKTLVALSHSDEAAEALHQADAIAIVSSTPNSVEYAEVETYKSSLLKRFQELKHEIPSEISLNANFIKKSSV >Ma05_p14600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10678044:10680984:1 gene:Ma05_g14600 transcript:Ma05_t14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKAATSKKADDKTQALKAAKAVKSGSTLKKKAKKIRTSVTFHRPRTLTKERNPKYPRISAPPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKAAVKKMYDIQSKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Ma05_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10677091:10680984:1 gene:Ma05_g14600 transcript:Ma05_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKATSKKADDKTQALKAAKAVKSGSTLKKKAKKIRTSVTFHRPRTLTKERNPKYPRISAPPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKAAVKKMYDIQSKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Ma01_p07120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5130149:5138066:-1 gene:Ma01_g07120 transcript:Ma01_t07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSHRSHKHSRDRSDSEEDENPRERRTTEEELAASLGARISRDPQSEKRRSSHEHAAKELVDTGNGDTSGEKKRKSREEEEVMAADRWNGGAENDHKRTKAEEFGPVELDKYSRSKAADSKGRSSSRHEDSNERDECGGKNESVKGKSDKDSSRRGSTVQYKDGKERDTDKDREAQDSRHHKSDDLGGIKPGSQAVADTEDIAKKKYTKINERLHSAEAEKGLEKHMKRDDSEDKDKWLDESRDFDEKRQSSRDDLSKNRSYRDEKHAGAKYRGKYRDEDDKDRKHRDDKYQDECISKDHTNDKSDKGHLRDENKHLESHYKKSKLQDTDHDGNSYVDDHDTRPKDSKGRKRYSDEKEDHGNLNPRGAKERREVVDKNASTSRTVSRSDKPRSERQHAEKADSSPRYNRLKTSTSSSAYAAKDHNRDVSKVAESAHRESAPEERLRPVRASKGDSLTSSGLRDRSSGTRSGKLTQKDDVESAASKFDKTLKPDHRASPNHSKGRSSSANSGRRFSERSPSKYDRTTRQRLDIEIGQRSSSSKYGDRGESVFDKPILDDMAQTDVCARESTPVGSSSINRSGYLSDRSPNHLHPPPPMRLQIDSPSVLGPYQDDNKAQSSDHKSYNRYKRIGDLGFERGHGNAWKGAPSWPSPVTNGFMPLHHGPPTAGFPPPMSQFSAPPLFGIRPSMDMTHGGVSYHMHDMAERFSGHGQPFGWHNPVDQICHPHMQMWDRSNVMFNNEFHIYARQEWDDNNQLMGSRGWETGSETCKGENSNNMDTPVPKKEQESTTHSPTDELAQLKCSPKPSNDTLATKSAVEFPQKAISKKTPEPLNMQGDKIANYLSRIDISPDLAGLDLYKRCTSLLGMSDVNCAGSLTAYRFIQSNKDDILVKKSMGSVSNSFFPTAKEVIFKRAMSLYQKQNERVNGKHALSAPVYSEEKQKEPPEASDNKKTHGCADHHCSLEKPPVDDADAANNMKAGNSSIAQDSDCPPTVKVQKSDPVSDLAVVYCNGLEASEDLIEECGASPSLAPNSVENTH >Ma04_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2497700:2497906:-1 gene:Ma04_g03190 transcript:Ma04_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQLVVLLDKLKSKMIKSAKKKKKPKEYARLEKSMSVRMEIKSVKARQIITRTLKAADEPGKISISS >Ma11_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3090309:3095503:1 gene:Ma11_g04010 transcript:Ma11_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSVAMRESIGGVAMTTATEMMMVASTRGDLDLNDAPPPAYEYPVMEGLRHAMIQHEMVFKNQVRELHRLYWRQRSLMNEVYWKQYVPMSPHQQPAEKKAGDMRSCLPTVNWMGMKRTSSELHTNSLKSFDLHLPADRSIHDAAKGVTNAFVEVHSSGFGECVLGARHSSGFKAMTDDAANPSHSRVTVSSEFCSVSRIWNESGHRLSEKCSHTEHQNERWQEQNIHSNAGLKEHGGFRSADFLTEKQQPKYKLFHIDLNVAQDDEPVDVFPNTVETFPSPSTSSSVVCHGDKLRISRSNRSEKESTVTVQPGLVCLGSENSREKSDNSLPHEARDSYPPSVQASVQSSNVTDNNWNYKEHIADNEVCGSGIRSSEECCKILVERFSRDYSGKTQGRTNGTVLTDFHCAGKKNIDSSAGQISFVAHSDNRKNASISSNNMENSYLHASARNTSLPPNICGGLEDKETHNEGSDEDTVSSHAIAPDEKHRDELKQCPIGIMHNQLTGDSECTSKKKSVADHAVISNLENSVTTHSDSVMPEGICRKQVPNIEASSHTCIQDKPCNSKALPPKEDLARITKLDNIILKAAEMLLSMSSENPLHSLDRLANHGQAESEFTEGNDQPRSSDSFEIMTLKLPEEVTDVSSICATQIDNETRKDVCGVRLRRGTRLRDFQKDILPGMVSLSRHEICEDLYAIKHEFRKKRSRKVLEDNWLHPVRSRRSSLYNVSKRR >Ma05_p25390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37345275:37353612:1 gene:Ma05_g25390 transcript:Ma05_t25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPSPSRPSQLESLVLWVQTNYAEPLDSLKQSLRIAYVVFAFCSALFLGALKALVVGPVAALLLILGNVGVILGLFPAHVAWTVYSIVKTDSINAALKLAFLFALPIFVGLWLALAIFGSVLVGLGYGFVTPWVSTFEAFRKDGETNKFLHSIVDGTWSTIKGSCTVVRDFADICYHSYPLYLKELRESSHDHQPYSIRLIEVPACVVVALMGLIVDIPLYTVIALIKSPYMLFKGWQRLLQDLISREGPFLETACVPVAGLAILFWPLVVVGSVLLAIVSSIFIGLYGSVVVYQERSFKRGVAFVVAMVAEFDEYTNDWLYLREGSILPKPRYRKRKISHSAEFSVGASSVRGVKAGYGSSGAPAMLVPSLAPSRSVRETIQEVKMVQIWGEIMKSCEMRGKELVDTNIITMFHLSDWLQTKGANQEIVGVGLPSYAFLHTLLDSIKCGSGGLLLSNGIEVTHMNRPQDRLLDWFFHPVTVLMEQIKVIKLKEDEVRFLEKLVLFVGDNSTMGALDNVFMVPQDVIRTAQIQAISRRLVGMTRSVSKFPTYRRRYRQVVKVLMSYLIESEGSLERGRSCRTHSTRSISSAEIVPAEV >Ma11_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:539122:551053:1 gene:Ma11_g00760 transcript:Ma11_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETVRYVASFDPELLQLPELSHLALKERPKMAEELYFQWLSLPETGKLVKSLIEDVKSGSPLNAAGSSSTSNAATNSSLPSMFPAGSAPPLSPRSTSGSPRFMKRSSGAGPSPFGSPLKLVSEPVKEVIPQFYFQNGRPPPKAMKDQCLSRIDYLFFGNMDGLQIQEFKTVTKEICKLPSFLSSSLFRKIDVECTGMVTRDAFIVYWVNGNMMTKDIATQIFTILKQADRKYLTQEDFKPVLRELLATHPGLEFLQGTPEFQERYAETVIYRIFYYMNRSGNGQLTLRELKHGDLIAAMQHAEEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVERIFSQVPRKFKSKADGKMGYEDFVYFILAEEDKSAEPSLEYWFKCIDLDGNGILTANEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENESYFTLHDLKGGKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDIWDESLEAPF >Ma08_p29720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41205065:41208260:1 gene:Ma08_g29720 transcript:Ma08_t29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEGSNGGVFEGGLEVRVLVVDDSPVERRMVEGLLKKSGAMFQVIAVDSGKRAMEVLGLTDDKAEQPTVDDQKVDIILTDYSMPEMTGYDLLKTVKEQSCRKPIPVIIMSSENEPQRISRCRAIGAEDFILKPLQTNDVLRLRSYARPVELSPRTGTKRKMTLELMAENSSSDRRPRLTGLAVS >Ma10_p28860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35613668:35622594:-1 gene:Ma10_g28860 transcript:Ma10_t28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR7 [Source:Projected from Arabidopsis thaliana (AT5G21990) UniProtKB/TrEMBL;Acc:A0A178UNB9] MYNGMMDPELMKLAQEQMSRIPPEELARIQQQMMANPELLRLATESMKNLRPEDMKRAAEQLQHVRTEDMVEVSEKMAKASPEEIASIKARADAQVTYELNAAQMLKQQGNELHSRGQYHDAAKKYLLAKNNLNGMPSSKGGILQMQCSLNLMSCYLKMKQFEDCIREGSEVLAYDSKNVKAFYRRGQAYKEIGNLQAAVSDFNKAHEMSPDDETIADVLSDANEKLIKEGGNKSVRQGLVIEEIVEDEKQNIPSENHRISPAEHCTSPSVEIGESSLNLHQPDPDAAVEKGCLEGFRDNPENIRLFQNYISNADPSNLEALGMQGMSPGMIKTATNMIGKMKPEELQKMFQVASSLNERGPDVSRLGSKFPEMTPEMIKMASDTVSKMSPEDLQNMLKVTSSLNVNSTPPTAATDVCGRRPESGFQSSEAAGTSSFKYSGLEESNSGASFLDSRVGQSSSSIPPSPAALQESMQNSMKDPSMRQQMFASMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSQLSPEDLDRMLRWADRAQQGVQTAKNMKNWLLGRPGMILAVVMLILAFIFHQLGFIGG >Ma10_p28860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35613668:35622607:-1 gene:Ma10_g28860 transcript:Ma10_t28860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR7 [Source:Projected from Arabidopsis thaliana (AT5G21990) UniProtKB/TrEMBL;Acc:A0A178UNB9] MYNGMMDPELMKLAQEQMSRIPPEELARIQQQMMANPELLRLATESMKNLRPEDMKRAAEQLQHVRTEDMVEVSEKMAKASPEEIASIKARADAQVTYELNAAQMLKQQGNELHSRGQYHDAAKKYLLAKNNLNGMPSSKGGILQMQCSLNLMSCYLKMKQFEDCIREGSEVLAYDSKNVKAFYRRGQAYKEIGNLQAAVSDFNKAHEMSPDDETIADVLSDANEKLIKEGGNKSVRQGLVIEEIVEDEKQNIPSENHRISPAEHCTSPSVEIGESSLNLHQPDPDAAVEKGCLEGFRDNPENIRLFQNYISNADPSNLEALGMQGMSPGMIKTATNMIGKMKPEELQKMFQVASSLNERGPDVSRLGSKFPEMTPEMIKMASDTVSKMSPEDLQNMLKVTSSLNVNSTPPTAATDVCGRRPESGFQSSEAAGTSSFKYSGLEESNSGASFLDSRVGQSSSSIPPSPAALQESMQNSMKDPSMRQMFASMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSQLSPEDLDRMLRWADRAQQGVQTAKNMKNWLLGRPGMILAVVMLILAFIFHQLGFIGG >Ma06_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9417047:9417968:-1 gene:Ma06_g13770 transcript:Ma06_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSLAVGQRSDHDVALAPLVTTSKHQDPTVMGGVMGSLRVIELQLVAFIMVFSASGLVPLLDLVFPAFSTFYVIALSRFAFPSIGSGASGGGGRELFRGSRAFRAYVVVGTTVGLFLPLAFVLGGFARGDDHSVRSATPHLFLLSCQILTENIINGMAIFSPPVRAVVTLLYTVRRVFVLVDWVYDVWFNKRLQANASVNDVAWMWFGRSLAIANMLYFSINLFVFLIPKFLPSAFENYFKERDEISAKMAEDKRARVAAEQPTEPKGPESKKAD >Ma04_p37930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35632283:35637070:1 gene:Ma04_g37930 transcript:Ma04_t37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARMMRWRPWPPLSSKKFQVRLVVRRVEGVRAGDEAAAVAELRKVAVEVRWKGPKVALSSLRRTVKRNRTREEEVGDGGVVEWNEEFETVCTLTAHKDSGFHPWEIAFNVFNGLHHGTKNKESVLGMGSLNIAELTSTAEQEIELNLPLSLPGATDSHASLHLALSILELRSSQDSSDMVQRPLSPPSGDVLPSEKDELSALKAGLRKVKILTELVSTRKSKKTCQDDDHSEGKCSARSDDAEYIYPFDTDSPDDDLDEVDDSKEDTNVRKSFSYGTLASVNNIGYEMIDGVYEDWVYYNHRRSDVGCSHMEDTVLSVPELSVSKRSILPWKKRKLSFKSPKPKGEPLLKKAYEEGGDDIDYDRRLLSSSDESLFAGGHKGDHDGAVNRSSVSDFGDDYFVVGNWESKELVNRDGHMKLVTQVFFASIDQRSERASGESACTALVAVIADWFQRYQDMMPVKSQFDDLIREGSLEWRNLCENQAYRERFPDKHFDLETVILAKIRPISVVPRKSFVGFFHPEGTDSNSGLDFLHGAMSFDSIWDEISRIGSEHPGDGRPQLYIVSWNDHFFVLKVEHDAYYIIDTLGERLYEGCQQAYVLKFDDSTSIHKVPSESKVVDGEATAAGNGVAESFHQEKGNIAVEGDLVCRGKESCKEYIKSFLAAIPIRELQDDIKKGRMSSTPLHHRLQIEFHYTESSNELSLATLSSAADAVPDLSWPVELAGAIAPVPAVLVV >Ma08_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36183673:36197276:-1 gene:Ma08_g22660 transcript:Ma08_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENELEEGEAWSGREDDSCIDPDAFSYIDKKIQDVLGHFQKDFEAGLSAENLGAKFGGYGSFLPTYQRSPLILSQPRSAQDVPSQNVTKSPYNPSVKVTNPNVSVTMSASCLKNNSVMVPPVDNSCKRDMCVNEPYIQESNSQHTSLDKPSNITDQKPLKVRIKVGSDNTLARNNVTIYSTLGLDLSSPSSFEDSPHGSIGISSEFQDLPDESPKTIFQVMTCFVVPGGLLLSPLQESLFQLVLKDNSFVNKGKRGMLFQGTPEMNSDFAYYSTCSREVKGQMEQQTKSSEHKGRPRVIKNADGKNDVNLIREIDIETQGGQELAPSSISNNADRKAERLMVGNTAKDDTKMLDHQWKMNEASLKGISTFPGAVDDKPYDLIESTTNNEITNSGNEITCSRGKLNLRASRTEKKLEEQNSNKQKNDNSELQKEVRRKVDKDFGPYSNGQKRRNGQITEPADHIESNSFPHKEKAMGRKDQISDGKKKPKSRINFRSSGEFLKDNISGSSSAALKERKKNSHARTDHAEKKSNALKSHKQSSGASFREFHGNIKWDNKSEPSENEVGSQDFHSKRKGKSMKPKHEKSVVSTHTFKEKSGCKKVEETLTSGTFIDEPILTPLTCNVLATDATVAPPAPAIINDEWVCCDICGKWRLLPYETNPSDLPNTWQCNMLNWLPGMNSCEIGEEETTNALIALYLPLAPENGATLDGCHNVASSSTSFAGGLPLGQRIEVNIQNVHGTGKRKNTLKDASDMLSHSTPKCFPDTVNRGQLASVKCEISNEANKHHPVELNSMNKVGLVNESRSSDFNREKQKIKQKYKQKNLGFYSDEDDHGRQSEKHLKLKSKREVDQDDLGSSKKPRKEILQYSDKECSNHNLSMEAFEETGIGGCSTAGIATNESKQRKLPMPKDLKCDSDVNSAASSKRLRDEVQFNALYVDKSNNLDLSAKKRKVKEWQEGEPNHEALVTSQHLVENEVIVKGALSESKPVKNRKALLSIPEGEGSKATKLNSKMDQKGKLTRMALPTSIEHLPDRINRQASYTMVEEHRSNQSRGNSASPRNLEFNCLKGDMVHSQPLAAANSSSSKVSGSRKSRSNLKETKGSPIESVSSSPSRIPRIEKPSCKRILEQNVDVINAGFSVLRSPKGCADSEVNGGSAPSGNDRKERVFSLQHRGCKSDLGKSKLRISGSCKEMNLQSTKNSIGCRLEDSFGPCESEKGNTQEMDEKDILRKKDVTLKWMTVRQDNISTLTVQENMNANGTSQNEKALNHPCSDRINCGELPSELGRSQLKLTSGNEQETKSWGPPLVSSPLKASKTALEVVDAVSADVLNFVKQHKQPDIRNGLHHNNLRHAAPDGPDPSSPIRKENHSVMLKEARDLKHTANRLKSEGLELESTALYFEAAMKFLHVAALMEPINFDCAKQAEAGQMYFETAKLCKFVAHEYEKIKEMAATALAYKCVEVAYMKSAYSKRPNASKDLNELQAAFQFLPPGESPSSSASDVDNLNNQAILGKDASGKDGSSPQVAGNSVIAARHHQLMWLLRYINDINCAFEATRKSHIAFEAAIVSLQKDRVDGMSYVRKALDCNFYNIEGLLRLVRLSLESIGR >Ma09_p03760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2488740:2491522:1 gene:Ma09_g03760 transcript:Ma09_t03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRKIGVALDFSSSSKRALQWAIDNLLDPGETLIVLHVVRPKSFFHGAGSKHSSDARPLIPLTERRELDLLKSYDLDVDTDVHDMLEAASKQKEAKIVMKLYMGDAREKLCEAAQELKLDSLVMGCRGLTQIQRIFLGSVSNYVLSNVSCPVTVIKDTNFKRR >Ma09_p10520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7148443:7148707:1 gene:Ma09_g10520 transcript:Ma09_t10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYEACKYLDADVVGNASLTREKEWSDHAVRIVKRQITLSV >Ma04_p31210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31580311:31581441:-1 gene:Ma04_g31210 transcript:Ma04_t31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSQQFPPQTQERQPGKEHAMEAVPRATSEEYKPANKLQGKVALVTGGDSGIGRAVCYCFALEGATVAFTYVKSQEDKDANDTLQMLRQNKRSNAKDPIAIPADLGYEENCRKVVEEVMNTYGCIDILVNNDAEQYVRPLITEITEQQLERVFRTNIFSYFFVSKFVVKYMKAGSSIINTTWVNAYKGNAKLLDYTSTKGAIVAFTRALALQLVERGIRVNGVAPGPVWTPLIPASFPQEQVANFGKQVPMGRAGQPIEIAPSFVFLAATPDSWPWISYSSLASLLLCAGGTVVNG >Ma05_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17985381:17987376:1 gene:Ma05_g16690 transcript:Ma05_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNNIFINNDSLSKRTVIGGGWYRRLATDDRRPATGTTSSSTDDEEEMDDGRLSSSCSNSGRNSYR >Ma02_p19110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25406967:25413560:1 gene:Ma02_g19110 transcript:Ma02_t19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLDIGWQYGTMIGNHRHHVQCNYCHRIMIGGITRFKKHLANKKGEIKGCEVAPKEVREVIRKHLASLKPRRPNKKRRKPADGNFVDPLSVNYNMELDASDPDARQKMLTFNEAETHSSRSADQQFEVGTREFVDVFACVQYKDEQDFDPSRATDLGWAHGVMVNGDRQKIQCRYCHKIILGGGISRLKQHLAGERGNIAPCEQVPDDVKAQMQQHLGFKVLERLKKQKGFEVVEYSAQQGIEEYVGDVPNIISPRDGSRNRRGEDACETNFYQKKENCDIIYSSGLSNTSVCFTVYFTRKCRSC >Ma05_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35923748:35924242:-1 gene:Ma05_g23790 transcript:Ma05_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNQTSPSVSPSGTGPSTWLSDENWRLLDPLFAVLNVGLIVFVLYILCRIYYCMSRRSNGTITAAFSFDNPAEISLPTTARLNSDVLSTLPIFVYVMAADDEKLECAVCLTEFETGEKGRLLPGCNHKFHVACIDMWFASHSTCPICRSRVEANAMGSDEAV >Ma10_p29410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36004110:36012402:1 gene:Ma10_g29410 transcript:Ma10_t29410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFRLGLGLSASLLVGILAVVLAAGRDGVVFLSRRDFLFLILGVGVVSSVLLYMLPRKRVVGAENEENQAAADEDQLKKTRGGDLISSSAAMEEENSWANGMEIDAVGSKQAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLQGLGAEIAKNLVLAGVKSITLHDEGNVELWDLSGNFFFSEEDVGKNRALACVMKLQELNSAVTVSTLSGSLSIEQLSNFQAVVFTDLSLEKATEYDDYCHNHQPPICFIKSEIRGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPAIVSCVDDERLEFQDGDLVVFSEVEGMIELNDGKPRKIKNARPYSFTLEEDTTQFGVYKKGGIVKQVKEPKVLRFKPLRDALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFRHDKGRFPIAGSEDDAQQLIDFAVNINESLGDGKLEDIDKKILQHFAYGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLLQFFYFDSLESLPVEPLESGDLRPLNCRYDAQISVFGSKLQKKLEKARVFIVGSGALGCEFLKNLALMGVSCCPRGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAMSINPSLHIEALQNRASPETEDVFDDAFWESLDAVINALDNVTARMYIDGRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNTFLSNPSAYVSSMRNAGDAQARDLIEHVLECLDKDRCETFQDCVRWARLRFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPQPLQLSSSDPSHLQFVMAASLLRAETFGIPVPEWAKNSKKLADAVDKVLVPDFQPRAGVKIVTDENTTSLSVDSIDDAAVINDLIPKLEECAKRLPPGFRMNPIQFEKDDDTNYHMDFIAGLANMRARNYGIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKLEDYRNTFANLALPLFSMAEPVPPKMMKHRDMSWTVWDRWIVEGDLTLRELLQWFKDKALSAYSISCGTSLLYNSMFPKHKDRMDRKVVDLVKEVAKVEVPSYRRHVDVVVACEDEEDGSDVDIPLISIYFR >Ma10_p29410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36004097:36012402:1 gene:Ma10_g29410 transcript:Ma10_t29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRVVGAENEENQAAADEDQLKKTRGGDLISSSAAMEEENSWANGMEIDAVGSKQAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLQGLGAEIAKNLVLAGVKSITLHDEGNVELWDLSGNFFFSEEDVGKNRALACVMKLQELNSAVTVSTLSGSLSIEQLSNFQAVVFTDLSLEKATEYDDYCHNHQPPICFIKSEIRGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPAIVSCVDDERLEFQDGDLVVFSEVEGMIELNDGKPRKIKNARPYSFTLEEDTTQFGVYKKGGIVKQVKEPKVLRFKPLRDALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFRHDKGRFPIAGSEDDAQQLIDFAVNINESLGDGKLEDIDKKILQHFAYGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLLQFFYFDSLESLPVEPLESGDLRPLNCRYDAQISVFGSKLQKKLEKARVFIVGSGALGCEFLKNLALMGVSCCPRGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAMSINPSLHIEALQNRASPETEDVFDDAFWESLDAVINALDNVTARMYIDGRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNTFLSNPSAYVSSMRNAGDAQARDLIEHVLECLDKDRCETFQDCVRWARLRFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPQPLQLSSSDPSHLQFVMAASLLRAETFGIPVPEWAKNSKKLADAVDKVLVPDFQPRAGVKIVTDENTTSLSVDSIDDAAVINDLIPKLEECAKRLPPGFRMNPIQFEKDDDTNYHMDFIAGLANMRARNYGIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKLEDYRNTFANLALPLFSMAEPVPPKMMKHRDMSWTVWDRWIVEGDLTLRELLQWFKDKALSAYSISCGTSLLYNSMFPKHKDRMDRKVVDLVKEVAKVEVPSYRRHVDVVVACEDEEDGSDVDIPLISIYFR >Ma06_p09840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6836984:6842012:-1 gene:Ma06_g09840 transcript:Ma06_t09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHDSGVNELLEGHGGIQKRFSSSPSSTSYMSKNYRFKRTVLVGKRKGSTTPVPLWRMNARSPCSAASFSETSLHQPSKGGAKESQTSVSARKLANALWELNHTPSPEIIRDRHERKLPMDIMRKDRTSGSFGAGSLSYHLSNRLHSPISELCNRPRSSSHRKMLPPVHQKFHCKEHNHVISDLLSKVDLMESEACFQGLVPRSSLGGRKNYLKELQNGLVTSKELLKILVHFCGIGKQQPSAVSLATALYCQLDRALVQVNQLIQEKRSDHTGIRYIMKQLVEEKEAWQNKKREGTKASLRSMIEELETERKLRRRAERISKKLEVELSQLKNSLVDAGKELENERRTREIIEQVCSEMIRGIGEDKAEVEELKRESAKVREELEKEREMLQLADEWREERVQMKLSEAKCQFEEKNAAVDQLRHELEAFLAAKRTEVVSTQQSVADGQDSDRQMQVVHPSRSSKLTNLTVDGRDTSGEKDQEENDGTDSEDSDLHSIELNINNGYSWSHASGVTEDERKVALAKEHVSCGEFSSDRDMTKGNFARNFQHMDDNLDGGRPVGDSSLIDEAQMLDQIGDRYLSAEELRDQTSAGSRIFLPHGLLNSTRQCIRQQASHSIGDQACVGSKVAEVVKAIRESESKASLVRKNKIRSQLQMATIQ >Ma05_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:12272302:12273091:-1 gene:Ma05_g15820 transcript:Ma05_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVSGCLIFLILLLGFSSMACGRCVHRSKAGYFSSLSALSAGTCGYGSMTLGLSGGYVAAASSALLRGGVACGACFQVRCRNTRICRGGGVKVILTDLNESNDTDLVLSRPAFVAMARHSAVGELEKLGTVDVEYKRIPCEHTKNLSIKVEEKSRSPNVLAIKFLYQGGQTDIGAVDVAQ >Ma02_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20838813:20839043:-1 gene:Ma02_g11860 transcript:Ma02_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERFPACEVKGFCRCVLPPCHVKCYKNVVDLIICSVLAYKPLLSVDGNTHSILLFRNLQMANPCSKNFDIYVYS >Ma03_p13530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10657550:10660153:-1 gene:Ma03_g13530 transcript:Ma03_t13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGIIQALPITPMKEKESQRRKMSTDASISPRGPASSSRCTRSQAAADWTTYELLVLVNETAAMDEDWLRALSSYQKWKMISDNCVALDVIRSSYQCKRRWESLLAGYRKIREWESRHGEGSYWSLDSEEKHNLGLPTSFDPEIFGSMDTIIKPHEDQAWWGDVDSENLMDTAGGSEDLIVTMEAGMLDANGAQGSKENNAEDETQKKREKARDMASKLQDNARRIHAILRGELEAADIEFTRRQADQLIEALRELVGPLNQFTELIRAGNFGGIRLI >Ma09_p29630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40038710:40046228:1 gene:Ma09_g29630 transcript:Ma09_t29630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGRPIDTLLEKVLSMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKTLWTWFEPYIKDGEEISPGSNGRLTTMGIYVRDLLLGQYYFDTLFPRIPIPVMRQIVANLEKLKLPTKHCGVTGETSRQGSDDVARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLAPLHERSDGDDHWRSSPSTRRGSSHERPDRDCSDRDHDRDHSERDRVTDRDRRHKHDRCGDRDRDSLRSRNSDRDYGRRDRERSRRDSDEYRRSSSRRSRSRSRSQSRSMHIHGADSDNRPSPFRDENREKTKTASSNLAKLKDLYGDGSDKKSDETADRLRKDTNTEDVIRLGGSTWK >Ma09_p29630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40038710:40046228:1 gene:Ma09_g29630 transcript:Ma09_t29630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGRPIDTLLEKVLSMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKTLWTWFEPYIKDGEEISPGSNGRLTTMGIYVRDLLLGQYYFDTLFPRIPIPVMRQIVANLEKLKLPTKHCGVTGETSRQGSDDVARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLAPLHERSDGDDHWRSSPSTRRGSSHERPDRDCSDRDHDRDHSERDRVTDRDRRHKHDRCGDRDRDSLRSRNSDRDYGRRDRERSRRDSDEYRRSSSRRSRSRSRSQSRSMHIHGADSDNRPSPFRDENREKTKTASSNLAKLKDLYGDGSDKKSDETADRLRKDTNTEDVIRLGGSTWK >Ma09_p29630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40038710:40046228:1 gene:Ma09_g29630 transcript:Ma09_t29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGRPIDTLLEKVLSMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKTLWTWFEPYIKDGEEISPGSNGRLTTMGIYVRDLLLGQYYFDTLFPRIPIPVMRQIVANLEKLKLPTKHCGVTGETSRQGSDDVARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLAPLHERSDGDDHWRSSPSTRRGSSHERPDRDCSDRDHDRDHSERDRVTDRDRRHKHDRCGDRDRDSLRSRNSDRDYGRRDRERSRRDSDEYRRSSSRRSRSRSRSQSRSMHIHGADSDNRPSPFRDENREKTKTASSNLAKLKDLYGDGSDKKSDETADRLRKDTNTEDVIRLGGSTWK >Ma05_p27300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38656476:38658156:1 gene:Ma05_g27300 transcript:Ma05_t27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWKLLLAWMGLALMLRTGEAQLNPNFYQVSCPNVESIVRRAVLKKLNQTIVTVPATLRLFFHDCFVEGCDASVIIASPRGDAEKDAPDNLSLAGDGFDTVIKAKQAVEAQCPGVVSCADILAIAARDVVVLSGGPTFAVELGRRDGVTSRADRVTGNLPGPEFSVDLLSSMFRKNNLTTRDMIALSGAHTVGFSHCNRFADRLYSFNSTSAVDPSLNPAYANALMRACPRNVDPTIAVNMDLNTPITFDNVYYKNLLNGEGLFTSDQVLFTDQRSRPVVKKFAADQNSFFKAFARSMIRLGRLGVKTGSQGEIRRDCTAFN >Ma02_p15280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23046435:23052758:1 gene:Ma02_g15280 transcript:Ma02_t15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQADGNNIRFVATELATDIVINVGDVKFYLHKFPLLSKSPRLQKLVAATNEENSDEIDIPDIPGGPAAFEICAKFCYGMIVTLNAYNVVAARCAAEYLEMHETIEKGNLIYKIDVFLNSSILRAWKDSIIVLQTTKSLLPWAEDLKVVSNCIDSIASKASIDPSDVEWSYTYNRRKLPSENGLDSHWNGVRKQQSVPKDWWVEDLCDLEVDLYKRVIVAIKTEGKMLDVVIGEALKAYAYRRLPGFSKGTVTCGSDSMKTRTVLETIVWLLPTELGSVSCSFLLKMLRAASILECGEIYKKELIKRIGCQLHEAPVSDLLIPAAAGETVYDVDLVSSVVQEFVMQESGTTQSSPEASEELVEIVSPIFVSAGSKVLVAKLVDEYLAEIAKDPNLPLSKFIELAGLVSAASRPVHDGLYCAIDTYLKEHPDLTKSEKKKICALMDCKKLSADACIHAVQNERLPLRVVVQVLFFEQMRAAGAMAGGATELPGNIRAAFLRENGGSYGSSRSAITTNTEDEWDGVPTTAGNLNSLKSVNLSSRGGGSQRSSGSGDVNKHGDDKANDKVKGIPMSKKILNKLWSSKGQGSENSSSDMSESPDSSNQEEAKSTHSRNTRHSVS >Ma02_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23046350:23052758:1 gene:Ma02_g15280 transcript:Ma02_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDAFQADGNNIRFVATELATDIVINVGDVKFYLHKFPLLSKSPRLQKLVAATNEENSDEIDIPDIPGGPAAFEICAKFCYGMIVTLNAYNVVAARCAAEYLEMHETIEKGNLIYKIDVFLNSSILRAWKDSIIVLQTTKSLLPWAEDLKVVSNCIDSIASKASIDPSDVEWSYTYNRRKLPSENGLDSHWNGVRKQQSVPKDWWVEDLCDLEVDLYKRVIVAIKTEGKMLDVVIGEALKAYAYRRLPGFSKGTVTCGSDSMKTRTVLETIVWLLPTELGSVSCSFLLKMLRAASILECGEIYKKELIKRIGCQLHEAPVSDLLIPAAAGETVYDVDLVSSVVQEFVMQESGTTQSSPEASEELVEIVSPIFVSAGSKVLVAKLVDEYLAEIAKDPNLPLSKFIELAGLVSAASRPVHDGLYCAIDTYLKEHPDLTKSEKKKICALMDCKKLSADACIHAVQNERLPLRVVVQVLFFEQMRAAGAMAGGATELPGNIRAAFLRENGGSYGSSRSAITTNTEDEWDGVPTTAGNLNSLKSVNLSSRGGGSQRSSGSGDVNKHGDDKANDKVKGIPMSKKILNKLWSSKGQGSENSSSDMSESPDSSNQEEAKSTHSRNTRHSVS >Ma09_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18660121:18661173:-1 gene:Ma09_g18680 transcript:Ma09_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKDIARIAGVGASLIVLLTAILVVIDCTCSRRSREHQPASSLSALSLQPFTYNELKEASDGFREELGRGGSSVVYKGFLQTEARTCVAIKKLDKIFPETHKEFMNEVETIAKTYHKNLVRLLGFCYEGAERLLVYEYMSKGSLMGFLFANERPEWNQRIPIVLGIARGLQYLHEECFSQVIHCDIKPQNILLDDNFTARISDFGLAKLLRIDQTRTKTNIRGTKGYVAPEWFRNTGITTKVDVYSFGVVLLEIICFRRNVRPEAQNDEESILAYWVNDCFRDGRLDLVVEGDDQAIYDMKRVERFVKVALWCIQEDPSLRPTMQKVTQMLDGSTAVPGPPDPSSYISSIQ >Ma06_p35120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35093861:35094049:1 gene:Ma06_g35120 transcript:Ma06_t35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIEHDGNQLAEADEFEATTKHLTASAILSLLRCTRGGGTPDMAGAWMMMFLQRMAEVQG >Ma03_p29370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32299135:32302083:1 gene:Ma03_g29370 transcript:Ma03_t29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSSTIAFLAFVCFLLRLGLTDGIRFVIDREECFSHSVPYEGDMVYVSFVVVKSDTPWHYSNDGVDLVVKGPHGDQIHDSRDKTSEKFEFLVQRSGLHRFCFTNKSPYHETIDFDVHVSHFTHYNQHAKDEHLAPLLEQIAKLEEALYNIQFEQHWLEAQTDRQALVNEAMSRRAIHKALFESAALVGASILQVFLLHRLFERKLGTSRI >Ma08_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32929125:32932121:-1 gene:Ma08_g19120 transcript:Ma08_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPASMHEHVSENVKRFVPPPPRNRSINRRRPGDRYDKVNYAHGIDEEKSQEFYPRKVPLVEHGEVGGNRVANDNTHPKLIPLDGCSASEAVTFLTERWAAAVHLLDNSSADVSGELYAFVLYDYLNTDKISCL >Ma05_p04160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3127418:3127906:1 gene:Ma05_g04160 transcript:Ma05_t04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSTASWSVCFLGALLLLSTMCEALRPSPVDATAGTKDSGNRRKEDDDLMSKESTGGSSLPDCSHACGPCSPCKRVMVSFKCTVAESCPIVYRCMCKGKYYHVPSN >Ma04_p29440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30395508:30398039:-1 gene:Ma04_g29440 transcript:Ma04_t29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLPSRSCLRWSFDCYASGAEGQWTLKENRAAFSMILFLPRILIVQCRRWPILMESMLPQEQHRQLALLQHCHHGQLPESKRLLLQDQEFDSSSSMCIRIGM >Ma09_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7637957:7639863:1 gene:Ma09_g11310 transcript:Ma09_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTLYYQIPSFSSSSASSSSVRLSSPFVALTRRLGWFRASEMRSKLGPETNGSRFTCWFRFGNRGVDAEGAGIYGSQTRDDFDRDDVEQYFNYMGMLAVEGSYDKMEALLSQNIHPVDILLMLAASEGDKPKIEELMRAGAKYDVKDADGRTALDRAANEEIKELILGFSVQRA >Ma11_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20802500:20804477:-1 gene:Ma11_g15100 transcript:Ma11_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPRCRMRHRLWWPRGHLPRGSEGCVSSVAAAPGRRPATSLQLPSSGMNWSKGT >Ma03_p29810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32668620:32668877:1 gene:Ma03_g29810 transcript:Ma03_t29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMRIMSRWRESAAVAWKARGELSSPSNPICTTPTTGFLLGPAKTAVGGEVWPATTPPAMSPSSTSANPTHTNCGICLTMGKQ >Ma11_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6174782:6176841:1 gene:Ma11_g07740 transcript:Ma11_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRDVPSYVKSAATLSTSNSTPLADITDRRRRPPPPIRPGLKSFSMEDQQ >Ma01_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4228004:4232858:1 gene:Ma01_g05970 transcript:Ma01_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPLAGLPPLRPFRIWLAPNPSPNQAPGISMESSRSLLHLLRLFGRALLSLLRHLATPWRLLSLVTLHEFFLHLTFLFCGLRPVTLHLDEACVHLWAPAPTRRRRLNRHALVLVHGFGGNSKWQWNHQIGALSRSFDLYIPDLVFFGSSRSAGSDRSVGFQASCIAEAMRSLGVARYSVVGISYGGFVAFRMAEGPAAGAVQRVAILASGVCATPLQLRDLTTKEGRDVCELLLPQKAEDLMTLIRRSMYRHPQWIPAFWLQDFIEVIYEKQRKERTELLKRLLEKGIDLDPIPVLDQDTLILWGDKDDIFPLSFAHQLHRHLGEKSRLEVLTDAGHALQLEKPDEVNYLIEQFIKGVAGINLS >Ma03_p30650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33200504:33201520:1 gene:Ma03_g30650 transcript:Ma03_t30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPTVVRSSYYYRPLPSFPNPNMNSKFPTPPLPISAFFFLLLFFSVPAISHPSNVACGEAISSCGNITNITYPFWFVHDDSTSLRSHCGYRGFELICRNNTPIIHLPAGNYTVTNIDYKTHTISLADTGIVFVSEECPRVNHNLTFDPDSVLRYAPSDVNLTFFFDCTDGPPDLHIPCLGSAGGNRSYVFTTETMRESYHRLPRTCRAVVVVPVLQDVLMAYIADDLPTRYGGVLQSGFNLSWPNVTSGDCGRCEHSGGRCGLNRTSNSTWNFTCFCSDGVKTFHPHCAEGMSVYLRIYSLFSTATARSISVFLHYITTFRSSSN >Ma04_p31090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31505443:31506603:1 gene:Ma04_g31090 transcript:Ma04_t31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPLIVQKRGANTFSSPSSPSSSSITTNASTEVARNSEVISSKAAKRKAQAAGDKGAKKRSSDGKQPSYRGVRMRNWGKWVSEIREPKKKSRIWLGTFPTAEMAARAHDVAALTIKGPSAHLNFPELASKLPRPATAAPKDVQAAAALAAAATLGGHSPGLCGSPESAPSQNEPSPIPHSPAPTSPRSDDDGALFDLPDLLLDLREGFGHSSSWNASSAEAGIEFRVEEPFLWEYY >Ma05_p01210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:724398:725224:1 gene:Ma05_g01210 transcript:Ma05_t01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSNPSPSLLFLFLFMISSGIAAQKTTHLHFYFHETVRGPDATSIVVASLHKNSSTFGDIHVFDNALRESADPSSKLIGRAQGLATSSSLTDLSALTAINLVFTAGELNGSTLSLFGRVEGVTGPDDRSIVGGSGLFRLARGFALSRTVNISSTGYIVEFDVHVKHYY >Ma05_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7071296:7071502:-1 gene:Ma05_g09850 transcript:Ma05_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAGSKSAPHVSCPKCNQHSASTVIFISTRVCTIVQVVRVDVTQLHDNYRLHLQNTLLVCIQQFMPC >Ma05_p26980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38464693:38468728:-1 gene:Ma05_g26980 transcript:Ma05_t26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVMMASLPLLLLFLFLLPHSLALQLCTDSMAPTTLKAPLSFCAYDGSSCCNSTDDAALRKQFQSMNISDAACAAVMKSILCAQCDPYSAELFGTEPRTRTIPYLCNSTGSASSSLHNNSSKDFCREVWDTCKDISTRNSPFAGLPVSSSKLTDIWQSATDFCKAFGGSSGDNTLCFNGDSVSFNSIADSPTPKGLCLERIGNGSYLNMVGHPDGSNRVFLSNQAGKIWLATIPEHGSGGTLELDESNPFLDLTDEVIFDTELGLMGLAFHPNFTTNGRFFVSYNCDKLQSATCSGRCSCNSDIGCDPSKLGTDNGAQPCQYQTVVAEFTANDSSTTPSTASSANPLEVRRIFTMGLPYMAHHGGQILFGPADGYLYLMMGDGGNIGDPYNFAQNKKAMLGKIMRLDINNMQSQNQISELGLWGNYSIPKDNPYMVDSELQAEIWALGLRNPWRCSFYVEKPSYFFCADVGQESYEEVNLISKGGNYGWRVYEGPYLYHPPRSPGGNTSLGSINPILPVMGYSRSDINTTMGSASITGGYVYRSLTDPCMYGRYLYADLYGGAMWAGIEVPENSGNYTSTMIPFNCAKNSPIPCDTVPGSPLPSLGFIYSFGEDNRKDVFLLTSEGVYRIVPPSRCNYACPKENTTDTGSPAPGQSSSACQLEILPRRLVLILDMLLLLLLLLR >Ma06_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20183651:20186284:-1 gene:Ma06_g23060 transcript:Ma06_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLATKFLRGSSSREGFLFILAHLNMRMLMDSSSKLSDFYSVGISEQRPPISAMGCVYLSIS >Ma07_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1411610:1412162:-1 gene:Ma07_g01840 transcript:Ma07_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSLFSPLKKLWIRLHSARRKRRGIYILYEDVKSCPYEDVQILWSIVAESRPPASAAAASTAAFGLGEVGGAETGERGMIRF >Ma07_p06560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4700779:4706676:-1 gene:Ma07_g06560 transcript:Ma07_t06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTETANGVDLAACSAPSAGDLVRAEEPASHKTRDLADDDHAAAIDGLTTLRPHHDASAASGFLVKDQKFHRASDRGSAELEQLPDGVAGGGAEGSKRELRDLEDLLSKLNPMAEEFVPPSIAGNIQAASDGAGGVAFGGGLYANGFGASQGFEAGGANGDDGSRMKNGFGQAKRRMNNRTSLAQREEVIRRTVYVADIDHQVTEEDLAALFINCGQVVDCRMCGDPKTVLRFAFIEFTDEEGARAALNLSGTMLGFYPVKVLPSKTAIAPVNPTFLPRSDDEREMCSRTIYCTNIDKKVSQADVRLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVVLGSLPIRVSPSKTPVRPRSNRRPMH >Ma10_p15350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27480148:27481041:-1 gene:Ma10_g15350 transcript:Ma10_t15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAARTPSFVFLSFVLLLICFATGGMQSVQGQKTWCVAKPSSDEATLLGNINYACSQVDCSVLQRGRTCFYPDNLMSHASIAMNLYYQSRGRNPWNCFFKNSGLVVATDPSYGSCGYA >Ma09_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6415940:6418465:-1 gene:Ma09_g09730 transcript:Ma09_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >Ma06_p30570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31813852:31829500:1 gene:Ma06_g30570 transcript:Ma06_t30570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTSVAPNELHLKRELVALRKAPFLRDPETCSSWRSSLSSKSFFANSKPKNGHGMIEKFTGEINHGSLLNLLSRGKNGRQKLHLCSMSSSKPIERAQKLDEEDREESAKESPENFSVSNSLLAEESPENFSLSSSLIVDSKSDTCLEVPVNMYNVAAIDSRIPVRRTIRKFRRKSISKGGLIKHSAALKLLDTTSSSLGILDSIEGSDDSGDYNSENLQHLAQDLSQKIGFISRSASPILHGCGHGCQLSSSRIPRTSKRVGSSQSCTPASSCSYYKYGGQDPSTIGSWDGTATSFDGDGLDQLELPKAQKCGIPSYWSKITRARGGGGFISPSLSDTLKRKGSSILCGSQTLSNKKNLSGCHKQNYLSKSSQGLPLLTNSCDEGHSSLDTSSDELSSKFGELDLEAMSRLNGRRWSSCKSQEGLEMDLPGRTSLEIADQRTLSQKYQPRSFHEIVGQNIVVQSLGNAISRGKIAPAYLFHGPRGTGKTSSARIFAAALNCLSEENKPCWFCRECTAFSSRHGTNFIEANATNKMCIDQVRYLLKSLSMAKTISQYKVFVIDECHMLSSQNWSAFMKFLEEPLPRVVFIFITTGTGSLPRAIVSRCQKYIFLKVKDVDIVCRLRTLSVKENLDIELDALDLIALNSDGSLRDAEIMLDQLSLLGKRITTSLVNDLVGVVPDEKLLDLLEIAMSSDTAETVKRSRELINSGVDPIALMSQLAGLIMDIIAGTYRLADLHSGGTTLGGRNLTEAELERLQLALKILSDAEKQLRHSSERSTWFTAALLQLGSGNNTEPNRSSSSSRQSARRKSNGVSDMDSLSMMHKNRQVTQFQEYNFCFISYTNNIYSNPYIDSAYMANCKVLPANRRSLDRILDNFSHTSDATGKGELRSMTPEMLNEIWNLCIHRCHSNTLRQLLSANGRLLSISENGGILIAFIGFEDSVTKSRAERFLSSITNSMEIVLGYNVEVRMALLPKVHSDVLQSEPSLASNQMEKDKQREARSDNLISHPNIGKIKGSKILDSCEGNPESAHEKTDISALGEMDYVQTSVPVLDGSCNSNDKGQGILAQRPLKAATDEQRLETAWLQTAEPGYVSQPKPDKNQILPQNGVNHLSSKQSLTTTPKSLKNWDDELVQGIKALRTSATEGHHKEQYERADHYAISPSLLHCYKTGNSEKQKMGYESGPGCNGILCWKNPKSRGRKVKQGIHLRSPRVSRAHRLSLFGQCVKLKSAEDRLSK >Ma06_p30570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31813852:31829500:1 gene:Ma06_g30570 transcript:Ma06_t30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTSVAPNELHLKRELVALRKAPFLRDPETCSSWRSSLSSKSFFANSKPKNGHGMIEKFTGEINHGSLLNLLSRGKNGRQKLHLCSMSSSKPIERAQKLDEEDREESAKESPENFSVSNSLLAEESPENFSLSSSLIVDSKSDTCLEVPVNMYNVAAIDSRIPVRRTIRKFRRKSISKGGLIKHSAALKLLDTTSSSLGILDSIEGSDDSGDYNSENLQHLAQDLSQKIGFISRSASPILHGCGHGCQLSSSRIPRTSKRVGSSQSCTPASSCSYYKYGGQDPSTIGSWDGTATSFDGDGLDQLELPKAQKCGIPSYWSKITRARGGGGFISPSLSDTLKRKGSSILCGSQTLSNKKNLSGCHKQNYLSKSSQGLPLLTNSCDEGHSSLDTSSDELSSKFGELDLEAMSRLNGRRWSSCKSQEGLEMDLPGRTSLEIADQRTLSQKYQPRSFHEIVGQNIVVQSLGNAISRGKIAPAYLFHGPRGTGKTSSARIFAAALNCLSEENKPCWFCRECTAFSSRHGTNFIEANATNKMCIDQVRYLLKSLSMAKTISQYKVFVIDECHMLSSQNWSAFMKFLEEPLPRVVFIFITTGTGSLPRAIVSRCQKYIFLKVKDVDIVCRLRTLSVKENLDIELDALDLIALNSDGSLRDAEIMLDQLSLLGKRITTSLVNDLVGVVPDEKLLDLLEIAMSSDTAETVKRSRELINSGVDPIALMSQLAGLIMDIIAGTYRLADLHSGGTTLGGRNLTEAELERLQLALKILSDAEKQLRHSSERSTWFTAALLQLGSGNNTEPNRSSSSSRQSARRKSNGVSDMDSLSMMHKNRQVTQFQEYNFCFISYTNNIYSNPYIDSAYMANCKVLPANRRSLDRILDNFSHTSDATGKGELRSMTPEMLNEIWNLCIHRCHSNTLRQLLSANGRLLSISENGGILIAFIGFEDSVTKSRAERFLSSITNSMEIVLGYNVEVRMALLPKVHSDVLQSEPSLASNQMEKDKQREARSDNLISHPNIGKIKGSKILDSCEGNPESAHEKTDISALGEMDYVQTSVPVLDGSCNSNDKGQGILAQRPLKAATDEQRLETAWLQTAEPGYVSQPKPDKNQILPQNGVNHLSSKQSLTTTPKSLKNWDDELVQGIKALRTSATEGHHKEQYERADHYAISPSLLHCYKTGNSEKQKMGYESGPGCNGILCWKNPKSRGRKVKQGIHLRSPRVSRAHRLSLFGQCVKLKSAEDRLSK >Ma06_p30570.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31813852:31829500:1 gene:Ma06_g30570 transcript:Ma06_t30570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTSVAPNELHLKRELVALRKAPFLRDPETCSSWRSSLSSKSFFANSKPKNGHGMIEKFTGEINHGSLLNLLSRGKNGRQKLHLCSMSSSKPIERAQKLDEEDREESAKESPENFSVSNSLLAEESPENFSLSSSLIVDSKSDTCLEVPVNMYNVAAIDSRIPVRRTIRKFRRKSISKGGLIKHSAALKLLDTTSSSLGILDSIEGSDDSGDYNSENLQHLAQDLSQKIGFISRSASPILHGCGHGCQLSSSRIPRTSKRVGSSQSCTPASSCSYYKYGGQDPSTIGSWDGTATSFDGDGLDQLELPKAQKCGIPSYWSKITRARGGGGFISPSLSDTLKRKGSSILCGSQTLSNKKNLSGCHKQNYLSKSSQGLPLLTNSCDEGHSSLDTSSDELSSKFGELDLEAMSRLNGRRWSSCKSQEGLEMDLPGRTSLEIADQRTLSQKYQPRSFHEIVGQNIVVQSLGNAISRGKIAPAYLFHGPRGTGKTSSARIFAAALNCLSEENKPCWFCRECTAFSSRHGTNFIEANATNKMCIDQVRYLLKSLSMAKTISQYKVFVIDECHMLSSQNWSAFMKFLEEPLPRVVFIFITTGTGSLPRAIVSRCQKYIFLKVKDVDIVCRLRTLSVKENLDIELDALDLIALNSDGSLRDAEIMLDQLSLLGKRITTSLVNDLVGVVPDEKLLDLLEIAMSSDTAETVKRSRELINSGVDPIALMSQLAGLIMDIIAGTYRLADLHSGGTTLGGRNLTEAELERLQLALKILSDAEKQLRHSSERSTWFTAALLQLGSGNNTEPNRSSSSSRQSARRKSNGVSDMDSLSMMHKNRQVTQFQEYNFCFISYTNNIYSNPYIDSAYMANCKVLPANRRSLDRILDNFSHTSDATGKGELRSMTPEMLNEIWNLCIHRCHSNTLRQLLSANGRLLSISENGGILIAFIGFEDSVTKSRAERFLSSITNSMEIVLGYNVEVRMALLPKVHSDVLQSEPSLASNQMEKDKQREARSDNLISHPNIGKIKGSKILDSCEGNPESAHEKTDISALGEMDYVQTSVPVLDGSCNSNDKGQGILAQRPLKAATDEQRLETAWLQTAEPGYVSQPKPDKNQILPQNGVNHLSSKQSLTTTPKSLKNWDDELVQGIKALRTSATEGHHKEQYERADHYAISPSLLHCYKTGNSEKQKMGYESGPGCNGILCWKNPKSRGRKVKQGIHLRSPRVSRAHRLSLFGQCVKLKSAEDRLSK >Ma06_p30570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31813852:31829499:1 gene:Ma06_g30570 transcript:Ma06_t30570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTSVAPNELHLKRELVALRKAPFLRDPETCSSWRSSLSSKSFFANSKPKNGHGMIEKFTGEINHGSLLNLLSRGKNGRQKLHLCSMSSSKPIERAQKLDEEDREESAKESPENFSVSNSLLAEESPENFSLSSSLIVDSKSDTCLEVPVNMYNVAAIDSRIPVRRTIRKFRRKSISKGGLIKHSAALKLLDTTSSSLGILDSIEGSDDSGDYNSENLQHLAQDLSQKIGFISRSASPILHGCGHGCQLSSSRIPRTSKRVGSSQSCTPASSCSYYKYGGQDPSTIGSWDGTATSFDGDGLDQLELPKAQKCGIPSYWSKITRARGGGGFISPSLSDTLKRKGSSILCGSQTLSNKKNLSGCHKQNYLSKSSQGLPLLTNSCDEGHSSLDTSSDELSSKFGELDLEAMSRLNGRRWSSCKSQEGLEMDLPGRTSLEIADQRTLSQKYQPRSFHEIVGQNIVVQSLGNAISRGKIAPAYLFHGPRGTGKTSSARIFAAALNCLSEENKPCWFCRECTAFSSRHGTNFIEANATNKMCIDQVRYLLKSLSMAKTISQYKVFVIDECHMLSSQNWSAFMKFLEEPLPRVVFIFITTGTGSLPRAIVSRCQKYIFLKVKDVDIVCRLRTLSVKENLDIELDALDLIALNSDGSLRDAEIMLDQLSLLGKRITTSLVNDLVGVVPDEKLLDLLEIAMSSDTAETVKRSRELINSGVDPIALMSQLAGLIMDIIAGTYRLADLHSGGTTLGGRNLTEAELERLQLALKILSDAEKQLRHSSERSTWFTAALLQLGSGNNTEPNRSSSSSRQSARRKSNGVSDMDSLSMMHKNRRSLDRILDNFSHTSDATGKGELRSMTPEMLNEIWNLCIHRCHSNTLRQLLSANGRLLSISENGGILIAFIGFEDSVTKSRAERFLSSITNSMEIVLGYNVEVRMALLPKVHSDVLQSEPSLASNQMEKDKQREARSDNLISHPNIGKIKGSKILDSCEGNPESAHEKTDISALGEMDYVQTSVPVLDGSCNSNDKGQGILAQRPLKAATDEQRLETAWLQTAEPGYVSQPKPDKNQILPQNGVNHLSSKQSLTTTPKSLKNWDDELVQGIKALRTSATEGHHKEQYERADHYAISPSLLHCYKTGNSEKQKMGYESGPGCNGILCWKNPKSRGRKVKQGIHLRSPRVSRAHRLSLFGQCVKLKSAEDRLSK >Ma04_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22204597:22211398:1 gene:Ma04_g19600 transcript:Ma04_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANREMAVYCFDTLVAHYNSEQAPPPGFEEGQHPLFVTWKKAINGAEPRLRGCIGTLEARCIINGFRDYALTSALRDRRFPPIQAKELPFLECTVSILTDYEPALDYLDWEVGKHGLIIEFTDPDYNTRRSATYLPEVAAHEGWTKLETIDSLMRKAGYNGAISESLRNRLHITRYQSTLYTMHYRDYASYVKMTRGDSLLINGAKPIH >Ma10_p23620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32445454:32447031:1 gene:Ma10_g23620 transcript:Ma10_t23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREEVEEKKGGAYLSVASKPCDSCRVSAALLYCRADVAYLCRGCDARVHGANGLASRHERAWLCEVCEHVPAVVTCKADAAALCADCDADIHSANPLARRHERIPLLPFLGPAPKPPATGRVGSGDDEETDAEAASSLLPQEGPVLRSAAEFFFSDADAYLDLDYGSSMDEMKTVVGADQPFFLAPGGDYFDLNIAGCKQEADHSLCHSVSSSEAAVVPDVSQPSAVGMPCDPAAARLDREARLMRYREKRKSRRFEKTIRYASRKAYAEARPRIKGRFAKRTEVEAEVGRIYSSAADAVAALMADDDYGVVPSF >Ma06_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4001943:4003493:-1 gene:Ma06_g05390 transcript:Ma06_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDQQQQQQDCREIADLAVSKFKKVISILNRTGHARFRRGPTGPPPPGRPSLPAASKTLTLAPVPLRVKPPDHPLPLPTPQALTLDFTKPIFATAASEVSPPSRYNKGCFSISKSLSSATSSFVSSVTGDGSVSNGRLGTSSLLLPPQPIVVGKSPLSSALHQRGHEHTHAHSDHVAGKYAVPGSRCHCSKKRKSRVKRTIRVPAISSKVADIPGDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERAPDDPSMLIVTYEAEHRHNPTVAGASPKPAAAAAAI >Ma03_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28927568:28927726:1 gene:Ma03_g24650 transcript:Ma03_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPPSCLSSLAGGSQICRYINLISTVCVLRLVLFGLAASIASPAPRER >Ma11_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4997808:5010280:1 gene:Ma11_g06200 transcript:Ma11_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGERRESRAGDCTSKKRRRPDEKLSRLIYLPSRILPLRTATCNPLAEGKTVLLAVYAAAVAGIILSTLLILSSVYTSSPTAGTGDGGNGKSIHLVGTSFLSSSSPPSTSPPLPSPSPNVTRTIKLQREPLDMFTRAVWDVPVSSKMPDLKLFQLTKEMVKHRAKDNFIFVTFGNHAFLDFILNWVKHLTDLNLFNILVGAMDTKLLEALYWKGIPVFDMGSKMIAEDIGWGSSKFHKLGRDKALLINAFLPFGYEFILCDTDMVWLKNPLPYFARFPEADILTSSDQLRPTTTDDSLEVWQNVYDAYNIGMFHWRPTDSAKRLAKEWKDILLGDDKKWDQAAFNDLVRQDLGPSLEGESGLFYAYNGTLKLGILPASIFCSGHTYFVQSMPQQLKLEPYAVHATFQFVDANAKRHRLREAMLFYDQPAYYDTPGGFLSFKPGIPKSLLLDGPHTLQSHFSLVNYQLRQIRTALAVASLLNRTLVMPRLWCRFERMWFAHPGILEGTMTKQPFVCPMDQLFEIHTMVRGLSEEEFGPQIHFREYSFLQNPSMPKHVKESLLNVQLCDAHSKGCNISNETTSRGFIQFPRNSTEQMYMQVFSQYKDIKVLHFSSMANAFKGFSDEAREAKFRSRVKRYVGKWCCLENRDLGHVYYDMYWDEKPGWKPEPPRTNEDDYPPWD >Ma09_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1536831:1540788:1 gene:Ma09_g02110 transcript:Ma09_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSSDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHDVKVKDSKTLLFGEKEVTVFGLRNPEEIPWGETGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEHEYKPDIDIVSNASCTTNCLAPLAKVVHDRFGIIEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVNVSVVDLTVRIEKAATYDQIKAAIKEESEGKLKGILGYADEDLVSMDFVGDNRSSIFDAKAGISLNEHFVKLVAWYDNEWGYSSRVVDLIRHMATTK >Ma00_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28177034:28177750:1 gene:Ma00_g03310 transcript:Ma00_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGSHKASTTRHSMVHGILQFTPGIAFRYVLHRCESRDIRCRESSNGVTVRILASGMQRGPPTSMFVFLGAIRAGVGSSSPRSSRPRADRHSGCCRDEPDEQSLTHSRSSSSVSLDNDPSAGT >Ma04_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24906047:24912401:1 gene:Ma04_g22640 transcript:Ma04_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAVAASIGNLLQGWDNATIAGAVLYIKKEFKLETQPTMEGLIVAMSLIGATIITTFSGAVSDMVGRRPMLIISSVLYFVSGLVMLWSPNVYVLLLARLIDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMANPDWRVMLGVLFVPSLLYFLLTMFYMPESPRWLVSKGRMLEAKLVLQKLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPASELDDQGAIADKEQITLYGPEEGVALVARPVKGQSILGSAFSLLSRRGSMEIQSNIPLMDPLVTLFGSVHEKPPEMGSMRSTLFPNFGSMFSVAEQQPKNEQWDEENLQQESEDYASDAGGDESDDNVHSPLLSRQTTAMEVRDSVPPSDSVFRQTSSLTHINAGEAVSSMGIGGGWQLAWKWSEEEIQDGKKERGFKRIYLHQEGVPGSRRGSLVSLPGGEAQEGSAFVQAAALVSQPALYSKELMDQHPIGPAMVHPSETAAKGPRWSDLFEAGVRHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVEVLLSNIGISSDSASLLISALTTLLMLPSIGIAMRLMDMSGRRFLLLFTIPILIASLIVLVVANLVELGNVVHAVLSTVSVIVYFCCFVMGFGPVPNILCAEIFPTRVRGVCIAICALTFWIGDIIVTYTLPVMLDTIGLAGVFGIYAIVCVIAFVFVFLKVPETKGMPLEVITEFFAVGAKQAAARN >Ma02_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16735631:16736173:1 gene:Ma02_g05420 transcript:Ma02_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLVDRSPVSLRRFAATKGVLVSVTDLLPLNAPRSSFPCAPRPHQSPLLPLPPTNPVPLPSPSKRPRSHQQNKRKPRCQSVTPTKDPKAKAIAVASRDPLQRLVKVEVDENDSRCTDFLQSVYSKSPPPSSLPLPSFPLTKPKSEGSTEAGLGEVKGGICGGGVDAGATDGLRRLLGI >Ma04_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7438376:7441094:-1 gene:Ma04_g10450 transcript:Ma04_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIGILRAGSSIIGVAIAKAGVRALPRTPKISSLPSRALTARCLSTSSAAPNSFQRYPPPPPPSPPPHPSGPRGYPQDHRNANQWPSQNQHQRSPSPFNNPPPPFAGHSPVANVPPPVVPPPPGPVDLVALAREGKLKEVVDLLNQGVRPDPPTFFELVASCSDPKHLEELKKIFEFFFRSPFRADLQINNKLLEMFSKCGSMADARRVFDRMPDRTMDSWHLMIDGYAANNLGDDGLQMFEQMRKAGVCPSARTFLSVLAACASAEAVEEGFIHFDAMYKDYGITPQIEHYIGMIEVLGKSGHLNEAAEFIEKLPFEPPAAVWEVLMNLARAQGDIDLEDRAGELMVFMDPSKSISSKIPTPPAKRRSGLNMLDGRNKLGEYRLPPKIEKKVVKEQVYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >Ma03_p29900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32732053:32732196:1 gene:Ma03_g29900 transcript:Ma03_t29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVVMLNSETVSLRAPSQPAFYMGNGDKVATMSVNQVSMSEPELR >Ma03_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1561499:1563094:-1 gene:Ma03_g02290 transcript:Ma03_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKAVVVGVVDDIGEGMQCMDHPYRSNTGGVCAFCLQEKLGKLVSSSKSSPFFSLQPPPFSSSSSPTSFSSDAGVVVGDALGIGSGHSRTGPAGAGRRTKFSFLAASHREKKKKKNGCAGGGYGSGGRKVMASIATTTNVAADTASACDDTGVVLKRSKSVEPRMAGGLMQGGGGSGTADAVVADSPRKKSFCGNVYRRRSTSSSVEGGGRDITNKQQQQQTSSATAAAREGGNSGEEAESPSGSQASSSLGRKVARSRSVGCGSRSFSGDFLERISTGFGDCTLRRAESHREAKSKIVLHLDQHHNNGEQQQRSATKERVKCGGLFGGLALTSAAADDDFNSNRRISAAAAAAAVHGSRTRSWVWAFASPMRAFRPYSSSSSKPLHGINKASAAPATNIISSINASNDMFNKGNTKLRGNPSSLAIES >Ma10_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1980363:1984002:-1 gene:Ma10_g00690 transcript:Ma10_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGATVGELTSGASGRIIPVLRNIRRSVPSPASLVRVLFFLHSLALRFLLFLLRRSPLSSKTAVAAAGGSPRQRTRGGGGGRWRAAAEEEDVRRRRALAEEVEMVPQSAESEEGGACRWSTFDFVGPRRRVLFCRSWLPASGDLRGITVIIHGLNEHSGRYAYFAKQLMACNFGVYAMDWIGHGGSDGLHGYVPSLDYVVEDTGKFLEKVKSENPGVPCFLFGHSTGGAVVLKAALYPHIEAMVEGIVLTSPAIRVKQAHPIVGAVAPILSLVLPKFQFKGANKRRIPVSRDPAAMLAKYSDPLVYTGPIRVRTGHEILRLSSYLLQNMKSVTVPFFVLHGTADRITDPLASQDLYNVAASRHKDLKLYEGFLHDLLFEPESDKVGADIIDWMLRRLQQQSL >Ma02_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23966417:23968112:1 gene:Ma02_g16750 transcript:Ma02_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHAAIFWLLLLLYPFHEALLAGALGCNWGTRSSHPLPGDIVVRLLKDNGFDKVKLFEADPKALRALGRSGIEVMVGIPNEFLAPLAGSVAVAEQWVTQNVSAYISRYGVNITHVAVGNEPFLKTYKGMFQRTTFPALQNIQAALIKAGLGRQVKATVPLNADVYQTNNQLPSGGDFRPDIRDLMIAIIKFLQDNGGTLTINIYPFLSLYADPNFPIDYAFFSGSAAPVVDGSITYSNVFEANYDTLIWALEKNGFESMPVIVGEIGWPTDGNPNANLDYAHKFNQGLLDRITKRQGTPKRPTPPDIYLFGLVDEDTKSIQPGNFERHWGIFYYDGTVKYPLVLENGQDLVPAKGIKYLSKQWCVLSPERSITDPAIADSVNYACQYADCTSLGYGSSCNELDARSNISYALNQFYQVADQQKGACSFSNLSVITNIDPSQGRCKFEIMIDVGKHEKSVNSGEEGSARIRWNAVVAMVVSAVLLSSASSALL >Ma06_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7049645:7051651:1 gene:Ma06_g10170 transcript:Ma06_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESEAIAARYWCHMCSQLVSPIVEMELKCPHCNGGFVEEMDARGDMDDQALSLWAPIWLGMLGGGSLRRRRVHREEERENSDPDGDRQVETIRRRRRRTSAILHLLHVLREGNRRESDGADAERESGRRRESLILINPFTDPIILQGSSDANQLLSHSSSTTGVSPGDYFLGPGLDLLLQRLAESETSRYGTPPALKEAVDAMPTVKIEKNTSCSICLEDLEVGDEGREMPCKHTFHSGCILPWLDLHSSCPVCRFQMPADESKVVPSAGGSVSREGVGGGESLPWPSHRLFSFPGSQSSSSSSVSSPPSSSSSTSGSNSQPNEN >Ma01_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12468666:12487485:1 gene:Ma01_g17050 transcript:Ma01_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELMDRPSRPRFEGVPSHDDKKVHKSDLEHLEHEKWKRIGSLKKMASSASIKLRQSFRRKSRKKFDTVSNIEELQAIDAFRQSLILEELLPANYDDPHMMLRFLKARKFDIEKAKQMWTEMLRWRKEFGTDTITKEFDYRELDEVLKYYPHGYHGVDRDGRPVYIERLGKVNPNKLMQVTTIDRYVRYHVKEFERCFMTKFPACSIAAKKHIDSSTTILDVEGVGLKNFTKAARELIMRLQKIDNDNYPETLFRMFIINAGSGFRLLWNTVKTFLDPKTTSKIHVLGTKYQRQLLEFIDSSELPEFLGGSCTCTEFGGCLGSDRGPWKDPKILKMVLDGEAQCARQIVTLPNYEGKIVASDEMHYPVVKESDAYIAKSNLVADGSSSKTKNNHVPVPQMTSGKETRMQYSTSVGSPNHEESAPVVKDVDSGWKGQISGPICSPLKGALSLFDTSDAPKMRQGQTVTWLTAFVMAFCTLLLPVTRYGFRRNKEFNACNAEFLEDTMPKEFHPLSPLKVRKADDLSSVLKRLHELEEKVMILQAKPSEIEYQRQELLNAAINRVDALEAELIATKKALHEALIRQEELLAYLDQQKEAKFRKKKFCF >Ma01_p17050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12468666:12487485:1 gene:Ma01_g17050 transcript:Ma01_t17050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTELMDRPSRPRFEGVPSHDDKKVHKSDLEHLEHEKWKRIGSLKKMASSASIKLRQSFRRKSRKKFDTVSNIEELQAIDAFRQSLILEELLPANYDDPHMMLRFLKARKFDIEKAKQMWTEMLRWRKEFGTDTITKEFDYRELDEVLKYYPHGYHGVDRDGRPVYIERLGKVNPNKLMQVTTIDRYVRYHVKEFERCFMTKFPACSIAAKKHIDSSTTILDVEGVGLKNFTKAARELIMRLQKIDNDNYPETLFRMFIINAGSGFRLLWNTVKTFLDPKTTSKIHVLGTKYQRQLLEFIDSSELPEFLGGSCTCTEFGGCLGSDRGPWKDPKILKMVLDGEAQCARQIVTLPNYEGKIVASDEMHYPVVKESDAYIAKSNLVADGSSSKTKNNHVPVPQMTSGKETRMQYSTSVGSPNHEESAPVVKDVDSGWKGQISGPICSPLKGALSLFDTSDAPKMRQGQTVTWLTAFVMAFCTLLLPVTRYGFRRNKEFNACNAEFLEDTMPKEFHPLSPLKVRKADDLSSVLKRLHELEEKVMILQAKPSEIEYQRQELLNAAINRVDALEAELIATKKALHEALIRQEELLAYLDQQKEAKFRKKKFCF >Ma01_p17050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12468666:12487485:1 gene:Ma01_g17050 transcript:Ma01_t17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTELMDRPSRPRFEGVPSHDDKKVHKSDLEHLEHEKWKRIGSLKKMASSASIKLRQSFRRKSRKKFDTVSNIEELQAIDAFRQSLILEELLPANYDDPHMMLRFLKARKFDIEKAKQMWTEMLRWRKEFGTDTITKEFDYRELDEVLKYYPHGYHGVDRDGRPVYIERLGKVNPNKLMQVTTIDRYVRYHVKEFERCFMTKFPACSIAAKKHIDSSTTILDVEGVGLKNFTKAARELIMRLQKIDNDNYPETLFRMFIINAGSGFRLLWNTVKTFLDPKTTSKIHVLGTKYQRQLLEFIDSSELPEFLGGSCTCTEFGGCLGSDRGPWKDPKILKMVLDGEAQCARQIVTLPNYEGKIVASDEMHYPVVKESDAYIAKSNLVADGSSSKTKNNHVPVPQMTSGKETRMQYSTSVGSPNHEESAPVVKDVDSGWKGQISGPICSPLKGALSLFDTSDAPKMRQGQTVTWLTAFVMAFCTLLLPVTRYGFRRNKEFNACNAEFLEDTMPKEFHPLSPLKVRKADDLSSVLKRLHELEEKVMILQAKPSEIEYQRQELLNAAINRVDALEAELIATKKALHEALIRQEELLAYLDQQKEAKFRKKKFCF >Ma05_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32606601:32607557:1 gene:Ma05_g20890 transcript:Ma05_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKCGLRYIRLARERRKLLLSTRIDSSVKCFLERTLSSLCSEIPSVSGTSLEASKMEEKGVVDATILIWSGIKILSHNIFSHGQ >Ma09_p28850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39486186:39488471:1 gene:Ma09_g28850 transcript:Ma09_t28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSVHEAFILMLLKKMFQEQGLLTRQFGCLQGNPIRSSKACSYHHKDFKCKSWTFGMRKALKLVINYLKRN >Ma07_p25780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32758953:32762865:-1 gene:Ma07_g25780 transcript:Ma07_t25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVMEKLKIFVVKEPVVAASCLIAGVGLFLPAVVRPILDSFETAKQVPQPALSDVVAGVTGKRRD >Ma08_p25470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38285503:38289249:1 gene:Ma08_g25470 transcript:Ma08_t25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRLHSVFVYGTLLADEVVRVLLKRVPPSSPAILHNYHRFSIKGRVYPAILPIDCKNVAGEVLRGITDQELDVLDSFEDVEYERRAVEVSLVDNSEKLIVDAYVWGNKDDPNLYGEWDFEEWKRLHLEDYLVMTTEFMEELEKPQD >Ma05_p05790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4385147:4389769:-1 gene:Ma05_g05790 transcript:Ma05_t05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQTGSGKTAAFCFPIISGVMRNRRQFPTKGPSGGDRTTLPRALILSPTRELSCQILGESKKFAYQTGVRVVVAYGGTPIGHQLRDLEKGAEILVATPGRLLDLLERAKVSLKEIKYLALDEADRMLDMGFEPQIRKIVQQMDMPPPGLRQTMLFSATFPQEIQRLASDFLSNYVFLTVGRIGSSTDLISQRVEYVPDVDKRGRLVDLLHAQRENGIHSKQLLTLVFVETKRAADSLERWLSKTGFPATSIHGDKTQPERERALRSFKSGATPVMVATDVASRGLDVPHVALVINFDLPKDIDDYVHRIGRTGRAGKTGKATAFFNEGNQRLAKSLTECMEDANQEVPDWLYNHVARPSYGGGRRRGSSTRRFGGRDFRKDIGGGSPAANSHRGGNVIADVNYASDGGFDHEPIIGGRDYRTGTGCGNPSVKSYGGNIVTDGNDAWDDHRLDHVAISATVGGTRSVSSYGGGNDITDGNNAFENDGSNYGSIIANGWV >Ma04_p34080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33426232:33427006:1 gene:Ma04_g34080 transcript:Ma04_t34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQRRRHKEQRRFPPTGPHPPSPPSPPSAFPAALSNMAVAQICLSTGYTAAEPSALGALSDVAGRYILALGRSAAHIAAANGRTDANILDLVRAIEDLSAAHGFAGAADPTGPPLRSGALSGLMAFVQSVDEVPFPRPIRRDGNQETPGNQWTSFAAAGREPPFRHVPRWLPRFPEEQLGEEGKGIGTREDVEKERMAMVVTDGVGGGEGKKIVELPAERGRVRFRLNGKQKRRRRD >Ma11_p06500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5285820:5286140:1 gene:Ma11_g06500 transcript:Ma11_t06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSFPSAALWALILVLVFLSPASTSRLSQDDDTFSAYVCGRHCHHRAASSSSLLRGLPSWCLRPRPGQLQPPPQPPPGEEEEEVDPRYGVEKRLVPTGPNPLHN >Ma06_p30410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31676448:31677436:-1 gene:Ma06_g30410 transcript:Ma06_t30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSLLMQEQKHPQKRMQWYGRVMEVIILWKTVTRSSAAKPNGVPADANKQKLRKCSSLKVASSFTRACLCAPISSYNEVFRAEVPPRRSYSGPRSKSSSSAAAAPPPERRFVSSTRTSVEGRRVFRGKSLTDDMLMRRFVVEEEAMMQLRRRNQMEFMRRRNAMRRRQVGPSPLSRMAMAEED >Ma01_p01740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1173784:1178766:-1 gene:Ma01_g01740 transcript:Ma01_t01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSSLLSLRPSSSPAASTARTAICPVHLPANRNSSSSSLSSRRHHHSLFSRSTTIYRRPTIAAAHRLAVPLISPDDQWGNWTALFAAGAFGIWSEKTKVGSALSGALVSTLVGLAASSAGIIASDASAYRVVLDYLLPMAVPLLLFNADLRRVLRSTGTLLLAFLVGSVATTIGTVVAYLLVPMRSLGQDSWKIAAALMSRHIGGAVNYVAVSEALGVSPSVLAAGLAADNVICAVYFTSLFALASRIPPEVSTTVNDARMDSETKAGNKLPVLQSATSIFISFAICKAATFITKYYGIQGGNLPCITAIVVALATIFPSQFSGLAPASEAMALILMQVFFAVVGANGSIWNVINTTPGIFAFASVQIAVHLAIILGLGRLLGFEQKLLLIASNANVGGPTTACGMATAKGWTSLIVPGILAGIFGIAIATFLGIGFGLFVLQKM >Ma09_p04110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2684446:2687918:-1 gene:Ma09_g04110 transcript:Ma09_t04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKIGVSFIVLVIVSIRCGHSAFTPADSYLIDCGSLTNTTIGDRVFVADVSLSSTLTPASNNLANTSSSSVPSSYGTALFQNARVFTVPSSYSFQIKAPGRHFVRLYFFPFVYGSYDLTAANFSVSTQDVVLLRDFQPQAKSAIVKEFSLTITLDTLILTFVPSGSTSLAFVSAIEVVSVPDELIVDTAKIVNPQGKYQGLSGQLLETVYRINMGGPKVLPNDDTLWRTWDTDQEFLLDSQLSRSVNFSGNINHMPGGATEETAPDIVYSTATELAASSNTSNARFNVTWQFNVDANSDYLIRVHLCDIVSKAAGDLLFDVYINTWLAAGDLDLSSMTLQSLATPVFIDYVLEADHASDRLSVSIGPSTLLDVLPNGIMNGLEIMKINGSAGSATVITPLGSKKNFVIILVPIVGAIVVAVVAIILCLVLRKRKLAKQYSKTWVPFSINGLTSHSTGSRTSNGTAFTIGQNGNLSYRLPFVVLQEATNNFDENWVIGVGGFGKVYKGVLRDETKVAVKRGNPKSQQGLNEFHTEIELLSRLRHRHLVSLIGYCDEKNEMILVYEYMEKGTLKSHLYGSNLPSLGWKQRLEICIGSARGLHYLHTGQAKAVIHRDVKSANILLNENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELEQIVDSRIAESIRPDSLRKFGETIEKCLADSGVERPSMGDVLWNLEYVLQLQEADSSSMSEVDSINRITEISPLVQNISTSDTTPVREVGTSVLNDLSDVSMSKVFSQLIKSEGR >Ma07_p26340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33184811:33185275:1 gene:Ma07_g26340 transcript:Ma07_t26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSRETMMEEELQEADILWPEHEQKEEAMTETCCSADGDRGKASDPIKIPSKSAYARRRRTSNNDDSGDGEDDDDDGGRIPPHVIIARRAADRMEFSVRVGKGRTLKGRDLRRVRNSILQMTGFLERR >Ma08_p00280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:259244:259514:1 gene:Ma08_g00280 transcript:Ma08_t00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYASSYPIHSMLKLVDILMNRLSCILSLEKCTSCSEDPSVMFPF >Ma06_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11538450:11543440:-1 gene:Ma06_g17030 transcript:Ma06_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSSALMKIVSPCWKPVDGRGGRHGGSAARADGLLWYKDLGRHAVGEFSMAVVQANNLLEDGSQIESGPLSWNEAPHGTFVGVYDGHGGPEASRYITQHLFPNLKKFASEQEGMSVDVIRKAYSATEEGFISLVRKQWLSKPQMASVGSCCLTGVISGGMLYVANVGDSRAVLGRFDRGIREVTAVQMCAEHNASVESVREELCSLHPDDPQIVVLKHKVWRVKGLIQVSRSIGDAYLKDAEFNREPLLSKFRLPEPFQKPILSAEPSVVTHKLCPEDQYLIFASDGLWEHLSNQEAVDMIHNSPRSGIARRLVKAALKEAAKKREMRYSDLKKIDRGIRRHFHDDITVIVVFLDPTMISKNFYHGPVLSLKGAGVPV >Ma00_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:44111673:44113412:-1 gene:Ma00_g05100 transcript:Ma00_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRAFPTTLRGPARAWYSNLKTATIASFDQLARDFELNFLAHAKPKPSVAILLGLNQREDEPLSHFVNRFTTQIRGLSDAHPSLMMQAFMMGLRPTRFFWSLVERPPTSVPEMLQRANQYIAAEAWMVGKRDERKRVKPEQSQQQQPATSRRRAGGLNDAAPRSPPPGLNSSRTEIFLHIKEKGLLKDPYPMRSPRELADRSKYCRFHRQPGHDTEECRELKRQIEELIRRGHLGSYLRPDKELSPRPEGPIERHIDVITGGPASGGSSMAGGRAYARASRAEASKHEKGPEVTFPTGEPEPAEHDDALVISARIANAQVRRIMVDIGSSADILYWDAFQKLGLVKENMKLVRSTLTGFTGASISSLGVITLPLTLGVFPKAKTVMTSFLVVDLPTAYNAILGRPTLNKTRVVISTYYQTIKFPTHDGVGEVAGNSWESRRCYLTAVSLNKRARVQSPLEDPWEGKKPTPRPEPKESTIDLPLIEGRPDQTVKIGSGLPEQEQQQLVGLLRANADIFAWTPTDLVGVHPEVTLHHLNISSDARPVKQRPRRQAPDRQLAIREEVNRLLAAGFIEEARYP >Ma09_p23020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34924004:34936798:1 gene:Ma09_g23020 transcript:Ma09_t23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGPSIDAAKSSLLLRERGRFSPARYFVEEVITGYDETDLYKTWVRAAAMRSPQERNTRLENMCWRIWNLARKKKQIEGEEAQRLSKRRLERERARRDATADMSEDLSEGEKGEAIGDLSVHGDSTRGRMPRISSVDAIEALTSQFKDKKLYIVLISIHGLIRGEDMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQISAPDVDWSYGEPTEMLTPRSSDSFMHEMGESSGAYIIRIPFGPRDKYIPKEFLWPHIQEFVDGALGHVLQMSKVLGEQIGGGQPIWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLDQLLKQGRQTREEINATYKIMRRIEAEEIALDASEIVVTSTRQEIEEQWRLYDGFDVVLERKLRARIKRGVSCYGRNMPRMVIIPPGMEFNHITIHDGDVDGESEGTDENSAVLDPPIWSEIMRFFTNPRKPMILALSRPDPKKNITTLVKAFGQCRPLRELANLTLVMGNREDIDEMSSTNSSVLTSILKLIDKYDLYGQVAYPKHHKQADVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAANGLPIVATKNGGPVDIHKVLDNGMLVDPHDQHAISDALYKLVSDKQLWTRCRQNGLKNIHQFSWPEHCRTYLSKIASCRPRHPQWRRSEEGIEDSEPDSPNDSLRDIKDISLNLKLSLDGEKGEDDSAIGKALVSEDVTANGKSNLENDIVKLSKGVTSSTQKDGSSERTDNYSSKLPMLRRRKYIFVIAVDSVCDADLVGIIKGTFEASSGDRMSGSIGFILSTRLTISEIHSLLMTGGIPATDFDAFICNSGSDVYYPSSSSDDLLYPYELPYALDIDYHSQIEYRWGGEGLRKTLVRWAASITDKKGESEEQVVVEDVERSSTYCYAFQVKNPSLVPPIKELRKHMRIQALRCHVLYSHDGSKLHIIPVLASRSQALRYLFVRWGIELSNMIVFVGESGDTDYEGLLGGVQKTVILKGAVNTAPSQVHSTRSYLLKDVVAFDSPNILQIEGCGTNDVQSALKQLGILKN >Ma00_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43098831:43099818:-1 gene:Ma00_g04960 transcript:Ma00_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHDDARSSDSPSSEEDDLRKGPWTVEEDLLLMNYIAVHGEGRWNSVARCSGLKRTGKSCRLRWLNYLRPDVRHGNITPEEQLLILELHSSWGNRWSKIAQHLPGRTDNEIKNYWRTKVQKHAKQLQCDVNSKQFKDIMRYLWMPRLMERIRAASVHQHERIANSETHELVQEKSSAFGSSDAESFEMQDPSPAASDCFAVSTQGSDHDMTGDWFEKSQFSTWWRETLPSPGSYDDVFGLVDFDQSRWGQSLFGMDDDMWLRRQQF >Ma04_p28350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29409545:29415523:1 gene:Ma04_g28350 transcript:Ma04_t28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYANFYTWAYALILLILLKAEANFVDITYVESAIPKGAVCLDGSPPAYHLSPGFGSGVNNWLVHLEGGGWCNNITTCLARKNTRLGSSGQMAKQLAFSGILSNTQSFNPDFYNWNKVKVRYCDGSSFTGDVERVDPATNLHYRGARVWLAVMEELLARGMSKAENALLSGCSAGGLASILHCDSFRNLLPASAKVKCLSDAGYFINVKDISGAEHIKAFYNDVVTTHGSAKNLPLCTSMIEPGMCFFPQYMAQEIESPLFILNAAYDSWQIKNILVPTVADRHGSWHNCKLDIKLCSSAQLQIMQEFRLEFLNAVNGLGTPSSRGLFINSCYAHCQSEMQETWLLPNSPMLDKIPIAEAVGDWFYNRSAFQKIDCPYPCDSTCHNISLQDNSEA >Ma04_p28350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29409531:29415523:1 gene:Ma04_g28350 transcript:Ma04_t28350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYANFYTWAYALILLILLKAEANFVDITYVESAIPKGAVCLDGSPPAYHLSPGFGSGVNNWLVHLEGGGWCNNITTCLARKNTRLGSSGQMAKQLAFSGILSNTQSFNPDFYNWNKVKVRYCDGSSFTGDVERVDPATNLHYRGARVWLAVMEELLARGMSKAENALLSGCSAGGLASILHCDSFRNLLPASAKVKCLSDAGYFINVKDISGAEHIKAFYNDVVTTHGSAKNLPLCTSMIEPGMCFFPQYMAQEIESPLFILNAAYDSWQIKNILVPTVADRHGSWHNCKLDIKLCSSAQLQIMQEFRLEFLNAVNGLGTPSSRGLFINSCYAHCQSEMQETWLLPNSPMLDKIPIAEAVGDWFYNRSAFQKIDCPYPCDSTCHNISLQDNSEA >Ma04_p28350.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29409545:29415523:1 gene:Ma04_g28350 transcript:Ma04_t28350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYANFYTWAYALILLILLKAEANFVDITYVESAIPKGAVCLDGSPPAYHLSPGFGSGVNNWLVHLEGGGWCNNITTCLARKNTRLGSSGQMAKQLAFSGILSNTQSFNPDFYNWNKVKVRYCDGSSFTGDVERVDPATNLHYRGARVWLAVMEELLARGMSKAENALLSGCSAGGLASILHCDSFRNLLPASAKVKCLSDAGYFINVKDISGAEHIKAFYNDVVTTHGSAKNLPLCTSMIEPGMCFFPQYMAQEIESPLFILNAAYDSWQIKNILVPTVADRHGSWHNCKLDIKLCSSAQLQIMQEFRLEFLNAVNGLGTPSSRGLFINSCYAHCQSEMQETWLLPNSPMLDKIPIAEAVGDWFYNRSAFQKIDCPYPCDSTCHNISLQDNSEA >Ma04_p28350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29409508:29415523:1 gene:Ma04_g28350 transcript:Ma04_t28350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYANFYTWAYALILLILLKAEANFVDITYVESAIPKGAVCLDGSPPAYHLSPGFGSGVNNWLVHLEGGGWCNNITTCLARKNTRLGSSGQMAKQLAFSGILSNTQSFNPDFYNWNKVKVRYCDGSSFTGDVERVDPATNLHYRGARVWLAVMEELLARGMSKAENALLSGCSAGGLASILHCDSFRNLLPASAKVKCLSDAGYFINVKDISGAEHIKAFYNDVVTTHGSAKNLPLCTSMIEPGMCFFPQYMAQEIESPLFILNAAYDSWQIKNILVPTVADRHGSWHNCKLDIKLCSSAQLQIMQEFRLEFLNAVNGLGTPSSRGLFINSCYAHCQSEMQETWLLPNSPMLDKIPIAEAVGDWFYNRSAFQKIDCPYPCDSTCHNISLQDNSEA >Ma08_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14909083:14929736:-1 gene:Ma08_g14990 transcript:Ma08_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDTVRDMASLDPELLQLPEVSHLALKAKPKIAEELYFQWLSFPETGKLVKSLIEDAKSGSPLNAVGSSSTSGAAATNSLPSMFPAGSAPPLSPRSTTGSPRFMKRSSGAGPSPFGSPLKLVSEPVKEVIPQFYFQNGRPPPKALKEQCLSRIDHLFFGNMDGVQIQEFKTVTKEICKLPSFLSSSLFRKIDVECTGIVTRDAFVEYWINSNMMTKDIATQIFTILKQADRKYLTQEDFKPVLRELLATHPGLEFLQGTPEFQERYAETVIYRIFYYMNRSGNGQITLRELKRGDLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVERIFSQVPRKFKSKADGKMGYEDFVYFILSEEDKSAEPSLEYWFKCIDLDGNGIITANEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENESYFTLRDLKGGKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDIWDESLEAPF >Ma08_p14990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14907957:14929736:-1 gene:Ma08_g14990 transcript:Ma08_t14990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDTVRDMASLDPELLQLPEVSHLALKAKPKIAEELYFQWLSFPETGKLVKSLIEDAKSGSPLNAVGSSSTSGAAATNSLPSMFPAGSAPPLSPRSTTGSPRFMKRSSGAGPSPFGSPLKLVSEPVKEVIPQFYFQNGRPPPKALKEQCLSRIDHLFFGNMDGVQIQEFKTVTKEICKLPSFLSSSLFRKIDVECTGIVTRDAFVEYWINSNMMTKDIATQIFTILKQADRKYLTQEDFKPVLRELLATHPGLEFLQGTPEFQERYAETVIYRIFYYMNRSGNGQITLRELKRGDLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVERIFSQVPRKFKSKADGKMGYEDFVYFILSEEDKSAEPSLEYWFKCIDLDGNGIITANEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENESYFTLRDLKGGKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDIWDESLEAPF >Ma04_p16430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15610320:15612843:-1 gene:Ma04_g16430 transcript:Ma04_t16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLPFSYINLHNLLFFETILQADVCHAYQILRRGGLKDENIIVFMYDDIANNEENPIPGVIINDPQGENVYAGVPKDYVGDDVNVNNFFAVLLGNRTALTGGSGKVIDSGPDDYIFVYYTDHGGPGVLGMPTYPDLYADDLIAVLKHKHATGSYKSMVFYLEACESGSIFEGLLPNNINIYATTAANAMESSYATYCPGMFPSPPPQFTTCLGDLYSVSWMEDSYVHDWRTETLAQQYQLVKNRTAVHNTYYFGSHVMQYADLRLNTQKLSLYVGFDPSGDGVTPVANPLPSSTQTVVVNQRDAELIHFWLKLHKASEGSPQKLDAQKQLLQVLEHRSHVDKSVRLIGNLLFGSENGPRVLESVGAAGQPLVDDWSCLKSMVRAFETYCGSLSRYGMKHLRSLANICNAGVRVEAMTKVAAEACPNVPSNTWSLLPKGFSA >Ma08_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14869807:14870176:1 gene:Ma08_g14930 transcript:Ma08_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWISRKLHLYNVTMGLYMLDRWERFLFNMLILVFLWFVCYNGSRSATEFYER >Ma07_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31148603:31151350:-1 gene:Ma07_g23540 transcript:Ma07_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARILEARRREAVTFLLRSLRYFVLLLFLCFDLPPRSPMAASLQGLVPLRRPDPDIYGIRPGADSFPVVVAPCFLGPAHSPLSKRRLGARLVSVDRRSMKIIPLAASREEQNRSDIELEKGAGASQETWKKALQRFMTEALKVMAVSEGAYGIYSKKAIEILMDTFEKLKIQADKARDDLRIIAKEFSKEGKEYFSAAAKNSPDSLRDILEIYASPSDLKNTSAIRDFYLGIPYGSFLAVGGFIYFMLTGSIPAIRFGVILGTAILALSVSSLRSWKNGKETSLLLIGQTAISSIIFCRQWLLCSERGSFSSLLTVLIRLLVSLRTLYLYNKLSKLD >Ma02_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26541154:26546473:1 gene:Ma02_g20650 transcript:Ma02_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLFTKKSLALASAFRPIAVASAAGDQRLGSGLSQTRGLTVAALPDLPYDYGALEPAISGEIMRLHHQKHHQAYVTNYNNALEQLEAAIAKGDATAVVRLQNAIKFNGGGHVNHSIFWKNLKPVNEGGGEPPHSSLGWAIDTDFGSLEALVQKMNAEGAALQGSGWVWLALDKGFKKLTVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVIDWKYASEVYEKETA >Ma01_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12236542:12237105:-1 gene:Ma01_g16800 transcript:Ma01_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEVRLIGAWPSPYVLRPRVALNLKGVEYEFLEVKFGEKSELLLRSNPVYKKIPVLLHHDKPVCESMIIVEYVDGAWANSGQAILPADPYERALHRFWAVYIDDKWFPSMVGIGKAETEEAKAESAEQARAGLKLLEEAF >Ma07_p26920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33499986:33506907:1 gene:Ma07_g26920 transcript:Ma07_t26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDSVSEDMAWSLVWGVGGLLLLVWALRTLNRAWWTPRRLERALRAQGLNGTPYRFPKGDLKENVRLDKEALSTPMPLTHNIVPRVLPFLRRAIDEYGKICFTWFGPVPRVTIMDPELVREVLSNKFGHFGKPKGILLGRFLFRGLVSYEGEKWVKHRRIMNPAFHVEKLKRMLPAFCACCSDLMSRWENLVGSEACYELDVWPEFQSFTGDVISRTAFGSSYEEGRRIFQLQTEQAELVIQSIQNLYIPGYMFLPTPKNKRIKAVNREVRALLRGIIKKREHAIKLGQASNDDLLGLLMESNLEHYQEHGNKNAGMTTEDVIEECKLFYFAGQETTMSNTQQACRQPQPAQVGQPQIVHSLQTVPAKVGEQSEPG >Ma08_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2317539:2318921:1 gene:Ma08_g03090 transcript:Ma08_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIKAVKVRVESSKLVKPSYEGEPPSTDLCIRLSVFDKINYKVHGAVIYAFRPPTPPNTDIEKGLVTALSEHREWAGRLHEDSQGEPVILLNDAGARFIEVSSDDVLDRAVIHEPSTALLPLHPWVKGVEELLQVQLTRFACGTLVVGFTSHHWVADGHSMSKFIVAWGLATRGLPMDPLPLHGRGAFFIPRNPPRVEFEHRGVEFAARKAFDAEDAADDIVSTAKKALDTEDDVAKADDIVFHKAHFSREFLEMLKAKVSIGADRRYNTFESLMAHLWRVVSVARGVDERITSGLRISVNGRARLRPPVPDEYLGNLVLWAFPRVKVGDLVNRPLQFAAALIHEETARMDDGYFRSLIDFASLEDVKDEALEATAEANYRVMNPNLEVHNLARFPFWDLDFGSGKPFMFMPCYVPVEGIMFLVPSPTRDGSMDVYVALLKHNVSSFEQLCHMVRPNL >Ma10_p06590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19948201:19949198:-1 gene:Ma10_g06590 transcript:Ma10_t06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHSKAQETNSSSSTNYSRNNGATTPAAAPSPSSPPALPPKTIPKACEVNPYPTTFVHADTSSFKQVVQMLTGSAETVAAAAAASSTAQKAPVAPATKATGPKKPAFKLYERRNSLKNLKVLSPLVPAFFNSSPNPNSLVAAAGFSPRKQPEILSPSMLDFPSLVLSPVTPLIPDPFNRPPHHPTSAAAKWAEDRAIAEKGFYLHPSPRASTDAEPPRLLPLFPVTSPKVSFDLSLAVPHSSTR >Ma08_p29840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41300137:41308449:-1 gene:Ma08_g29840 transcript:Ma08_t29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSISPVWVCNKLMANMRAAANMRSSAPGGKQSFLPPRCPLPTVSPSYGDYGSIGPRGIPRPKDGQRHQRTSSESFLIEEQPLWLDDLLNEPETPVRRGAHRRSTSDSFAYLDGVSLSNVGSVGQEQQSFPALSPWMSQELNHHKDVGHFSYYPEANSSGRPQLKVWESAADVVSYPGSTLLANNKTIHPQPSCVQTESDGLNPDVVEKNEHLDGVQDKKGPFEIKEDSHSKQTEMDSKRVKQQYAQRSRVRKLQYIAELEKHVQALQAEGLEVFAELEFLDQQNLILNLENKALKQRLDSLAQEKLIKRLQQETLEREIARLRTLYQQQQQQQRFQEQPHPTHARRSSRDLDSQFANLSLKPKDKTSGCESVTGPLRF >Ma08_p29840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41300137:41308449:-1 gene:Ma08_g29840 transcript:Ma08_t29840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMRAAANMRSSAPGGKQSFLPPRCPLPTVSPSYGDYGSIGPRGIPRPKDGQRHQRTSSESFLIEEQPLWLDDLLNEPETPVRRGAHRRSTSDSFAYLDGVSLSNVGSVGQEQQSFPALSPWMSQELNHHKDVGHFSYYPEANSSGRPQLKVWESAADVVSYPGSTLLANNKTIHPQPSCVQTESDGLNPDVVEKNEHLDGVQDKKGPFEIKEDSHSKQTEMDSKRVKQQYAQRSRVRKLQYIAELEKHVQALQAEGLEVFAELEFLDQQNLILNLENKALKQRLDSLAQEKLIKRLQQETLEREIARLRTLYQQQQQQQRFQEQPHPTHARRSSRDLDSQFANLSLKPKDKTSGCESVTGPLRF >Ma08_p29840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41300137:41308484:-1 gene:Ma08_g29840 transcript:Ma08_t29840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANMRAAANMRSSAPGGKQSFLPPRCPLPTVSPSYGDYGSIGPRGIPRPKDGQRHQRTSSESFLIEEQPLWLDDLLNEPETPVRRGAHRRSTSDSFAYLDGVSLSNVGSVGQEQQSFPALSPWMSQELNHHKDVGHFSYYPEANSSGRPQLKVWESAADVVSYPGSTLLANNKTIHPQPSCVQTESDGLNPDVVEKNEHLDGVQDKKGPFEIKEDSHSKQTEMDSKRVKQQYAQRSRVRKLQYIAELEKHVQALQAEGLEVFAELEFLDQQNLILNLENKALKQRLDSLAQEKLIKRLQQETLEREIARLRTLYQQQQQQQRFQEQPHPTHARRSSRDLDSQFANLSLKPKDKTSGCESVTGPLRF >Ma08_p02590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1957020:1957653:-1 gene:Ma08_g02590 transcript:Ma08_t02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNAQPFRWHYDMLDDNNFHVHGRGLLLLSFSALLSLALLCLYLWWACRYRRWAAETGSASLPSAAMSPCKAGLDPDTIGSFPVHLHRAPGTGDEASQCSICLSCFIEGDKIKVLPGCDHGFHPECVDEWLRAQANCPLCRASLVRSSVAKEPEAMP >Ma11_p03180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2339992:2366195:1 gene:Ma11_g03180 transcript:Ma11_t03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLLQRYRSDRRKLLEFLVSTNHLRAPALASLDLDTISADYVLECIQSGGDFDPSEASRRYREGLDYPIMINLSSGRFYFLLSRPELSGSPPVRIAPQVEMKTSTSHPSSSAGKLDNLIGKGTGKCQIENAADTSALNLPSQPAKDAKALSLGLPSITAGLSDDDIQQTAYEVLLASFVLSRREADLFQDEKNGKNFRASSEQATNDELGSVTEDCNYSMLEVVRVQLEISEAISALTKKGLRNFTLKMMHKQADVPRITLQLLSVVCSSDFPNERSYVRWQKRQANILEELLLRSISSISVTPAKLSNLISNLRNTEEWISTDRLAETLKALRNYASELSSMPGKFGIPHETLYWTESYHFNIKLYERLLSSVFDVLEDGQLLQEAEEILAFLRLTWPILGITEKIHDALFAWVLFVQFVQTGELKLLKLTVVELHKALSCEDGDMMGQYTSSLSCSVVASGGRRVLNLVDSVIFNINMWCCNQLEDYHLHFNQDNCSTFQDLLALACLTGSSFPYECAEIKHVRPMAENLAASKLAHMFVEKSIGAAYRRVLNFLDAENLEKDHSLVMLANKFKEVAEKEYTLFSPVLCQQYPEAGIVAAVLLHQLYGKHLKPFLEVVSHLSESTIKVLAASNSLESYLTYILHSAYGEKKRSPTANYIHPYQIRSFCSPLIVHWVQTQQNNILEWTQRAINIEDWEPLSNQQRQAASIIEVFRIIEEIVDQFFNLNLPMDIIHLRSLLIGIRQSLEAYLLHIINQQVDKSLVYPTPPALTRYEESANPFTKKKPVERLMLEDKTMNQLNDLTLPKLCVKLNTLHYLREQLDTLEDAIKHSWVLLQTDDGQIFDVAKDDLPTSSGTVEELFTIFDDIRRRAVCASDMIVDFVGARAIFWDLRNSMIFSLYQGSVENARFEIFIPMLDEVLDTVCDLIVDSLRDQVVSSIFEATMDGYIWVMLDGGPARVFSESDATMMQQDLNDLKDLFVANGQGLPQDVVEKEARLGEEILDLYAMKAETIIDMLISASQQIPNHLEIKKPGRRSATDVDTLLRVLCHKKDKYASKFLKIQYQLPKSSDYEDTTGKVPPAKNPLLSDMLRRKSSINWTKKGQRSFKIVKNKFQEATSEIGRPPR >Ma11_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2339992:2366195:1 gene:Ma11_g03180 transcript:Ma11_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLLQRYRSDRRKLLEFLVSTNHLRAPALASLDLDTISADYVLECIQSGGDFDPSEASRRYREGLDYPIMINLSSGRFYFLLSRPELSGSPPVRIAPQVEMKTSTSHPSSSAGKLDNLIGKGTGKCQIENAADTSALNLPSQPAKDAKALSLGLPSITAGLSDDDIQQTAYEVLLASFVLSRREADLFQDEKNGKNFRASSEQATNDELGSVTEDCNYSMLEVVRVQLEISEAISALTKKGLRNFTLKMMHKQADVPRITLQLLSVVCSSDFPNERSYVRWQKRQANILEELLLRSISSISVTPAKLSNLISNLRNTEEWISTDRLAETLKALRNYASELSSMPGKFGIPHETLYWTESYHFNIKLYERLLSSVFDVLEDGQLLQEAEEILAFLRLTWPILGITEKIHDALFAWVLFVQFVQTGELKLLKLTVVELHKALSCEDGDMMGQYTSSLSCSVVASGGRRVLNLVDSVIFNINMWCCNQLEDYHLHFNQDNCSTFQDLLALACLTGSSFPYECAEIKHVRPMAENLAASKLAHMFVEKSIGAAYRRVLNFLDAENLEKDHSLVMLANKFKEVAEKEYTLFSPVLCQQYPEAGIVAAVLLHQLYGKHLKPFLEVVSHLSESTIKVLAASNSLESYLTYILHSAYGEKKRSPTANYIHPYQIRSFCSPLIVHWVQTQQNNILEWTQRAINIEDWEPLSNQQRQAASIIEVFRIIEEIVDQFFNLNLPMDIIHLRSLLIGIRQSLEAYLLHIINQQVDKSLVYPTPPALTRYEESANPFTKKKPVERLMLEDKTMNQLNDLTLPKLCVKLNTLHYLREQLDTLEDAIKHSWVLLQTGARAIFWDLRNSMIFSLYQGSVENARFEIFIPMLDEVLDTVCDLIVDSLRDQVVSSIFEATMDGYIWVMLDGGPARVFSESDATMMQQDLNDLKDLFVANGQGLPQDVVEKEARLGEEILDLYAMKAETIIDMLISASQQIPNHLEIKKPGRRSATDVDTLLRVLCHKKDKYASKFLKIQYQLPKSSDYEDTTGKVPPAKNPLLSDMLRRKSSINWTKKGQRSFKIVKNKFQEATSEIGRPPR >Ma03_p04730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3093329:3106396:1 gene:Ma03_g04730 transcript:Ma03_t04730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFLMKCQRLLFVVIVPLLMSLTNAISPDGEVLLSIKTAIVGSDGVFLNWRQEDPDPCSWRGVTCDSSTKRIIHLRLAYHKLIGSISPEIGKLNYLRLLALHGNSLYGVIPPELGNCTELQYLSLQGNYLSGIIPPELGNLLELQILDISSNTLSGTIPQSLGNLTKLTLFNVSMNFLTGRISSEGALFKFNEASFIGNRGLCGKQIGVVCKDEIPSPSGGSQLFYPDNLKHPSKNSAKLVTSAVATVGALLLVALMCFWGCFLYKKFGKGDISGPAMDVSGGASIVIFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLAMDDGNVFALKRIMKTNEVLDRFFDRELEILGSIKHRYLVNLRGYCNSPSSKLLIYDFLPGGSLDEVLHERAEQLDWDARLNIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLIVENRQREIIDPLCEGVQRESLDALLSVAIQCVSSSPEDRPTMHRVVQMLESEVMTPCPSDFYDSNSD >Ma03_p04730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3093688:3106396:1 gene:Ma03_g04730 transcript:Ma03_t04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFLMKCQRLLFVVIVPLLMSLTNAISPDGEVLLSIKTAIVGSDGVFLNWRQEDPDPCSWRGVTCDSSTKRIIHLRLAYHKLIGSISPEIGKLNYLRLLALHGNSLYGVIPPELGNCTELQYLSLQGNYLSGIIPPELGNLLELQILDISSNTLSGTIPQSLGNLTKLTLFNVSMNFLTGRISSEGALFKFNEASFIGNRGLCGKQIGVVCKDEIPSPSGGSQLFYPDNLKHPSKNSAKLVTSAVATVGALLLVALMCFWGCFLYKKFGKGDISGPAMDVSGGASIVIFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLAMDDGNVFALKRIMKTNEVLDRFFDRELEILGSIKHRYLVNLRGYCNSPSSKLLIYDFLPGGSLDEVLHERAEQLDWDARLNIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLIVENRQREIIDPLCEGVQRESLDALLSVAIQCVSSSPEDRPTMHRVVQMLESEVMTPCPSDFYDSNSD >Ma03_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3093000:3106396:1 gene:Ma03_g04730 transcript:Ma03_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFLMKCQRLLFVVIVPLLMSLTNAISPDGEVLLSIKTAIVGSDGVFLNWRQEDPDPCSWRGVTCDSSTKRIIHLRLAYHKLIGSISPEIGKLNYLRLLALHGNSLYGVIPPELGNCTELQYLSLQGNYLSGIIPPELGNLLELQILDISSNTLSGTIPQSLGNLTKLTLFNVSMNFLTGRISSEGALFKFNEASFIGNRGLCGKQIGVVCKDEIPSPSGGSQLFYPDNLKHPSKNSAKLVTSAVATVGALLLVALMCFWGCFLYKKFGKGDISGPAMDVSGGASIVIFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLAMDDGNVFALKRIMKTNEVLDRFFDRELEILGSIKHRYLVNLRGYCNSPSSKLLIYDFLPGGSLDEVLHERAEQLDWDARLNIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLIVENRQREIIDPLCEGVQRESLDALLSVAIQCVSSSPEDRPTMHRVVQMLESEVMTPCPSDFYDSNSD >Ma03_p04730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3093000:3106396:1 gene:Ma03_g04730 transcript:Ma03_t04730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFLMKCQRLLFVVIVPLLMSLTNAISPDGEVLLSIKTAIVGSDGVFLNWRQEDPDPCSWRGVTCDSSTKRIIHLRLAYHKLIGSISPEIGKLNYLRLLALHGNSLYGVIPPELGNCTELQYLSLQGNYLSGIIPPELGNLLELQILDISSNTLSGTIPQSLGNLTKLTLFNVSMNFLTGRISSEGALFKFNEASFIGNRGLCGKQIGVVCKDEIPSPSGGSQLFYPDNLKHPSKNSAKLVTSAVATVGALLLVALMCFWGCFLYKKFGKGDISGPAMDVSGGASIVIFHGDLPYSSKDILRKLETLNEENIIGSGGFGTVYKLAMDDGNVFALKRIMKTNEVLDRFFDRELEILGSIKHRYLVNLRGYCNSPSSKLLIYDFLPGGSLDEVLHERAEQLDWDARLNIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDSSFIEKGLNIVGWLNFLIVENRQREIIDPLCEGVQRESLDALLSVAIQCVSSSPEDRPTMHRVVQMLESEVMTPCPSDFYDSNSD >Ma05_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8330686:8333232:1 gene:Ma05_g11450 transcript:Ma05_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSPPPPKAEANMQIVPELESRSRSVCRAHSSRRTASLVLPCFSGATPPVDDEPRLVGEAARSDRHNRSSGHPFCGKSQQGHVGDDTDGGRHHTPVGEPGPEEKRGIWSWKPIRALARITMHRLLCLFSVEVIAIHHLPVSVDGLRLSVVVRKKETRDGAVKTMPSRALQGTADFQETLFIPSHLYCSGGAGTGKPLKLESRLFLISTVAVDAPQLDLGTSIVDLSLMVKESIQKNLEGQRIRQWGKDFPLSGKAKGGEMVLKLAFQIMDDGGFGIYNQAETIRTNREKDPDFVVSWKQPRSSFSDANPRTMIEDPSLIPSDDNTSMRRSAATDELALQGHDLNPPVTPVLQKTKSDRLEVGDDLPNYEVIDKGIEIQEERQNEEEMTSEATTKEASVSSEVVKQVVHRRAQQRRLKELRPVTREFEALDSVVTEDVVGLAKTTQEHKLQRLDPEEEAVIKEFLRLLEFEDSEGSKCDVVDLITCSNLGSKEDARNDGESVLLSDLGKSLGPVVQTRNGGYLASMNPCNVPAPRKETPKLAMQISRELILEEKNLESEFEVFRKLAAMGSEKMGSKILSLTAMDELFGKTAGQIAIEGVASAIISGRNKEGASSSAARTITTVKKMAAAMNEGRKERISTGIWNANEKPVTVDEILSLSLQKIEAMAVDALKIQVEMTEEEEAHFDAAPLMESNDSSNPLESAISPEDWSRACSAKDNVMMLVVVQLRDPSRSYEAVGAPMMVVVKAVASDDGQGNNDRRFKLMSLHVGGLKLSSNRKRSVGDGEKQRSTAMQWLAENGLAKAGRRTKRTQAKRGQDVVWSISSRCSAGIWLLPVRNPNVKVLSNNL >Ma04_p37210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35236548:35239526:1 gene:Ma04_g37210 transcript:Ma04_t37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEETLPEWRDKFLSYKDLKRRLKLIAAGDKPAKRPKVAEDGAATGRAASLVTREEEEEEEEEEDFVRLLEAELDKFNTFFVEKEEEYIIRQKDLQDRVAEAVSKDSDEQLMKVRKEIVDFHGEIVLLENYSALNYTGLVKILKKYDKRTGALIRQPFIQKVLQQPFFTTDLLNKLVKECETMLDHLFPKNEPLTSTDDCDRENGEHKQSKPSSSLPRTVPELEEIEYMESLYMKSTIAALRALKEIRSGSSTVSFFSLPPLQSNGRKNKWNNVPILEQEAK >Ma11_p10700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10298179:10298998:-1 gene:Ma11_g10700 transcript:Ma11_t10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDAIDNVKAKIQDKEGIPQDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAQGLT >Ma06_p13310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9122677:9125478:-1 gene:Ma06_g13310 transcript:Ma06_t13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPTNAFSPDFRNREAGTPNLERRRRVQRSAERVHVQCIRVQEACAGRFHGASHPPQPGPLAAHPYPRRPPAEPVARVRCNFHQRSTCSVVASRVSRSRSRLPSPPTEPGNRRPRPRAEREVADWIGGGAQEALPGATLDVGAAGGEAPDGEGSRAGDGEPAERGVGRARAAAERREPDVVHHGQEQRSHRVRPADEPGAGPTPGRLRCRRPRTVRRRRRRRGGVPGRRRAVVLLRGARAAQGLQGVRGTGRVRSAAPLQARLRMQGLRVEDRHVSRLRLHQERVPPSLHVLMKAARSGFVVPCLGFRRPAGRVEWRGIC >Ma06_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9124058:9125478:-1 gene:Ma06_g13310 transcript:Ma06_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPTNAFSPDFRNRALDDVQMMQDPRDLLQLHGGGIGGLGKQEHQILNAGVGFSGRQSEFTCNASGSRKRAREDSMALPILHNPALSPLIPIPGVLLQSRSLESGATSTSGRHVPSSQAVSPVRDLVSLLLQQNLEIDALVRVQSERLRTGLEEARKRHCRALLSMLEQQAAKRLMEKEAELETASRRNAELEEHVRQLSEENQMWFTMAKNNEAIACGLRTSLEQALLQGASAAAGHERCGDGDGGGAAFPADDAQSCCFEVQERRKACKACGERDVCVLLLPCRHVCVCKDCESKTDTCPVCGSTKNAYLQVFMC >Ma02_p10480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20022416:20024123:1 gene:Ma02_g10480 transcript:Ma02_t10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASPCYSDMGMDQSSFKRWELSALDQFSAQQLEVALGSPSSESYTSLPSCHPPNEIVTAAWHSDLHDGAAATAKMKAEMKDVLVLDGSKRKWDIMIRHGTKRPSMGNSSASHNQEHVMAERKRREKLTQRFIALSAVVPGLKKMDKASVLGDAIKYLKQLQEKVKSLEDQVAKRNTESTVLAKRSYDERQRCGSLLVEIEARVFQKSILIKIHCENQKGVLVKALSEIEKLHLSVICTSAMSFAISSLDITVMTQIEEEFCMTAKDLVKKLNSALM >Ma02_p10480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20022308:20024123:1 gene:Ma02_g10480 transcript:Ma02_t10480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASPCYSDMGMDQSSFKRWELSALDQFSAQQLEVALGSPSSESYTSLPSCHPPNEIVTAAWHSDLHDGAAATAKMKAEMKDVLVLDGSKRKWDIMIRHGTKRPSMGNSSASHNQEHVMAERKRREKLTQRFIALSAVVPGLKKMDKASVLGDAIKYLKQLQEKVKSLEDQVAKRNTESTVLAKRSYDERQRCGSLLVEIEARVFQKSILIKIHCENQKGVLVKALSEIEKLHLSVICTSAMSFAISSLDITVMTQIEEEFCMTAKDLVKKLNSALM >Ma02_p10480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20022416:20024123:1 gene:Ma02_g10480 transcript:Ma02_t10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASPCYSDMGMDQSSFKRWELSALDQFSAQQLEVALGSPSSESYTSLPSCHPPNEIVTAAWHSDLHDGAAATAKMKAEMKDVLVLDGSKRKWDIMIRHGTKRPSMGNSSASHNQEHVMAERKRREKLTQRFIALSAVVPGLKKASVLGDAIKYLKQLQEKVKSLEDQVAKRNTESTVLAKRSYDERQRCGSLLVEIEARVFQKSILIKIHCENQKGVLVKALSEIEKLHLSVICTSAMSFAISSLDITVMTQIEEEFCMTAKDLVKKLNSALM >Ma04_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5233358:5240549:-1 gene:Ma04_g07190 transcript:Ma04_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRDRGAAASCFLFLLFLVVGAAGTPEPSLQALSIPGGRSHLVLPLVLSRANSTRRSAVARRFLGRQLTANARMRLYDDLLTNGYYTTRLFIGTPPQEFALIVDSGSTVTYVPCSTCEQCGNHQDPRFQPDLSSTYEPVKCNVDCTCDKEQKQCVYERQYAEMSSSSGVLGEDFISFGKESELKPQRAVFGCENSETGDLFSQHADGIIGLGRGQLSIMDQLVEKGVINDSFSLCYGGMDIGGGAMVLGEITPPPDMVFSRSDPVRSPYYNIELKEIDVDGKPLQLDPKIFDSRHGTVLDSGTTYAYLPEEAFIAFRDAIMSKLSLKQIPGPDPNYKDICFSGAGSDVSELSKFFPKVEMVFGNGENLSLSPENYLFRHSKVSGAYCLGIFQNGKDLTTLLGGIIVRNTLVSYDRQNEKIGFWKTNCSVLWERLHIGGVPSPAPSENTHATMNDSPAPSPSDLFDHFEIGLITFDMTLNITYAKLLPHTEELAELIAHELEVDKNQVHLINITSEGNGTLMRWSIFPAGSSNFFSNTTVMDIISRLTEHRVHLPEDFGSYQLVEWNVEPPSRRTWWQWHTVALLVGVSMVILLSFTTLTVWYIWRRNKGPGQYRPVDSPLPEQELQPL >Ma11_p20750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25146183:25172983:-1 gene:Ma11_g20750 transcript:Ma11_t20750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAVRWPCPSRSPRTQIPPSISTSTTPSRSASPAPIYTPFFPRHTARFPGLLLCSPRRPIGPASSRPRAFPDMDDTDKRDSEKKLHTRLRLWQFPDKYILEPIDGFADSYLSISRADGSINLIGELPECSTTQSPNIRTIFGVIGILKLLLGAYLLVITERECVGSYLGHPIYKVSGMQILPCNHSLKSSSAEQKKMEAQFSELLNAAEGISGLYFSYDVNLTLCLQRLHELGNESKLLPLWRQAEPRFLWNSYMLEALIDNKLDSYILPIIQGCYQNFQAAIGPKVVNVTLIARRCTRRTGTRMWRRGADTEGYVANFVESEQILQSNGFTASFVQVRGSMPFLWEQIVDLTYKPNFEIVRPEEASRVAERHFLDLSKKYGSVLAVDLVNKHGSEGRLSERFAKAMQTIQNDDIRYVHFDFHQICGHIHFERLSLLYNQIEDYLNKHGYFLLNEKGEKVAGQTGVVRTNCIDCLDRTNVTQSMIGRKMLESQLKRIGFFGSDVLITAYPFFDANFKILWANHGDEISIQYSGTPALKGDFVRHGKRTVQGILKDGWNALARYYLNNFIDGTKQDAIDLLQGHYIVSLSRDMSSPAKAGALETYASFRLALTMVLSGLMFALMSLRQAPNDGRHLLLALVWAGLSLGIAVLVRAKGRLFTNRPRLFR >Ma11_p20750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25146183:25172983:-1 gene:Ma11_g20750 transcript:Ma11_t20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAVRWPCPSRSPRTQIPPSISTSTTPSRSASPAPIYTPFFPRHTARFPGLLLCSPRRPIGPASSRPRDMDDTDKRDSEKKLHTRLRLWQFPDKYILEPIDGFADSYLSISRADGSINLIGELPECSTTQSPNIRTIFGVIGILKLLLGAYLLVITERECVGSYLGHPIYKVSGMQILPCNHSLKSSSAEQKKMEAQFSELLNAAEGISGLYFSYDVNLTLCLQRLHELGNESKLLPLWRQAEPRFLWNSYMLEALIDNKLDSYILPIIQGCYQNFQAAIGPKVVNVTLIARRCTRRTGTRMWRRGADTEGYVANFVESEQILQSNGFTASFVQVRGSMPFLWEQIVDLTYKPNFEIVRPEEASRVAERHFLDLSKKYGSVLAVDLVNKHGSEGRLSERFAKAMQTIQNDDIRYVHFDFHQICGHIHFERLSLLYNQIEDYLNKHGYFLLNEKGEKVAGQTGVVRTNCIDCLDRTNVTQSMIGRKMLESQLKRIGFFGSDVLITAYPFFDANFKILWANHGDEISIQYSGTPALKGDFVRHGKRTVQGILKDGWNALARYYLNNFIDGTKQDAIDLLQGHYIVSLSRDMSSPAKAGALETYASFRLALTMVLSGLMFALMSLRQAPNDGRHLLLALVWAGLSLGIAVLVRAKGRLFTNRPRLFR >Ma01_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1936745:1937510:-1 gene:Ma01_g02980 transcript:Ma01_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLHPSLYPNHCYLRRARPLSTSLTRLRLHLLRATNENEVASTGDKPSGDSAASADTASVPASPSRPPPTTLNIRYRRRSRNQARQQSVRKPPPKKDWESMTLGEKAVELYVGEKGVLFWLNKFAYASIFIIAGGWILFRFVGPSLGLYQLDSAPLPPSAIFKG >Ma06_p20370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14429443:14429916:1 gene:Ma06_g20370 transcript:Ma06_t20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRASKLPKKHPRCLADGNELLRICHILRHGFSRKKEMKGRVGVFTTSTSGRALESIQVIEDQPSIRKALLICRVIAGRVHKPVDNLQNLAGQYAFDSVAGKFGPQASIEELYLLDARALLPCFAVICKR >Ma11_p19040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23994276:23996806:-1 gene:Ma11_g19040 transcript:Ma11_t19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPTLTAEKKRWWLSNKKVVDKYLREARVLVSTQEQSNVSAAVGLLDVALAISPRHEAALELRARSLLFLRRFREVADMLQDYIPSFKVGSGGGGDDDSSSSLGGAGDHSSVASSAPISRERVKLLSPARERSDGDRSFRCFSVSELKRRLVAGLSKSSDREGQWRYLVLGQACCHLGMMEDAMVLLQIGRRLASAAFRRESVCWSDDSFTSIAGEEDGTAAPLPSVSETASQLLSHIKLVLRRRAAAMAALDAGLPAEAVRHFSKVLDSRRGLPGSFAAGCLIGRAAAYRATGRLADAIADCNRALALYPSFIAALRSRADLFEAVRALADCLHDLEHLKLLYDAILRDRKLPGPPWRPHRDVRYRDIPANLRALTARIQQLRGRIAGGEGNDVDYYALIGVRRGCSRKELERAHLLLTLKHKPEKAVGFVDRLEFLDDHRDLDAIRDQARMSASILHRMLQKGYANIMVAVMDEEAAVKQRAKEAAAATAAAAAIQVAAAKTADKPRADRNAGGKECCKGSEKAAAAVFQGVFCRDMAVVGSMLSHRAIPVKYEALSC >Ma09_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7405357:7406519:1 gene:Ma09_g10930 transcript:Ma09_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGECCSSSKERRKAVTPPTTVEAERSGEGGGGGGRQRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVYLLRGRSARLNFPDEVLTDATEGGVGGGSPQLDLSASSIRKKAIEVGARVDAAMRSMPASPPPPLPPPPPPPQSQSTPRQPSRPAKNPDLNQAPSPESSDVD >Ma04_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4792935:4795067:-1 gene:Ma04_g06530 transcript:Ma04_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVFSGPVCVCAFFLAASIQQEEEEEEGEEEAAGGVMEQKQLDLVMVPLGLLLLAIYHLWLFFTILKDPRRTVIGLNAQVRQRWVRAMLSDSLKNGVLAVQTLRNNIMASTVLATAAITLTSLISVYVSATTTTSSLVFGNKSSVVHSVKYLAISLCFVVAFLCNVQSIRYYAHVSFLVSQPLAAEGAISLEYVARSLNRGSFFWSLGLRAFYVSFTLFLWIFGPIPMLASSVVMCCLLFFLDTTTEITRRHHVASTVEHEAKEEV >Ma08_p24330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37548102:37557394:1 gene:Ma08_g24330 transcript:Ma08_t24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH7B4 [Source:Projected from Arabidopsis thaliana (AT1G54100) UniProtKB/TrEMBL;Acc:A0A178W3F2] MGGFGRKGYEFLSELGLGPRNPGCYVNGAWTGGGPVVASLNPADNQVIAEVMEASIQDYEEGLRACAEAAKIWMQVPAPKRGEIVRQIGEALRGKLQYLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSVIPSERPNHMMLEVWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIAMTEIVAGVIEKNNLPGAIFTSFCGGAEIGEAIACDTRIPLVSFTGSSKVGLMVQQNVNRRFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLVHESIYHTVLDRLVEVYKQVKIGDPLEKGTLLGPLHTPTSKENFVKGIQAIKEQGGKFLFGGSVVESEGNFVQPAIVEISSNAPVVKEELFGPVLYVMKFQTLNEAIEINNSVPQGLSSSIFTRRPEVIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGSELPLAQGINFG >Ma03_p05250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3424091:3434677:1 gene:Ma03_g05250 transcript:Ma03_t05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLESWRKAYGALKDTTTVSLAKVNSEFKDLDIAVVKATNHVECPPKERHIRKIFVATSANCPRSDVAYCVHALARRLSKTRNWVVALKSLIVIHRALREGDAPFRDELLHYCHKANILQISYFKDDSSSVAWDCSAWVRTYSLFLEERLECFRILRYDIEAEDLRRSSDDSSKNCSRTRTLGCQQLLEQLPALQQLLFRLVECKPEGAAFGNYLIQYALALVLKESFKTYCAINDGIINLVDLFFEMSRHEAIKALSIYKRAGQLAKNLSEFYEFCKALELARNFQFPILREPPPSFIATMEEYIREAPKIGSVSNLTLEYQERNSLAYKQDETSSPESSEKPVVEDTEPSPVEFVEEKEPVAEIPAEPAMMGDLLGLDEIIPVAAELDEINALALAIPPGGDSISTATGDLFSPGDSSGWELALVTTSSNNNNQPTESKLAGGFDQLLLDSLYDDAARRLQNTAVCHGGVAANPYESMDPFAMSNYIAPPPNVQMALMTQQQQQQQQQQNMIVPQTYQPHFSHPQVASSNPFGDPFAAPLQGSSSLI >Ma01_p20230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17410528:17416645:-1 gene:Ma01_g20230 transcript:Ma01_t20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNRDSWRWALGLICIVAVATIWIAASYIVKSVVDSGVSPFLITYICNSLFVVLIPIVEISRCLEDTLENSWYWFRSKNDSDGQQSDNLENVNLLVESCHDTGPLVIMSHSQREIVSVVDSRLEDSVSSNAFTEQERYVITDECRKQVDAKGRWTRTRVAKVSLLICPFWFFAQLTFNLSLKYTSVTSNTILSSTSSLFTFLVALAFLGEKFTWVKLFSVLLCMGGTIIVSLTDSISGINAIVTNPLLGDILALVSAGLYAVYITLIRKKLSDEKEGEGQASTAQFLGFLGLFNLLIFLPVSLMLDFTKLEPFHKLSWKQFGLIVGKGLLDNVLSDYLWAKAVHLTTTTVATAGLTIQVPIAAVVDSLTGNAPRLMDYVGAVAVLAGFAGINVPSDDLCGAQVIQEEQETTSTVVADER >Ma01_p20230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17410528:17416610:-1 gene:Ma01_g20230 transcript:Ma01_t20230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNRDSWRWALGLICIVAVATIWIAASYIVKSVVDSGVSPFLITYICNSLFVVLIPIVEISRCLEDTLENSWYWFRSKNDSDGQQSDNLENVNLLVESCHDTGPLVIMSHSQREIVSVVDSRLEDSVSSNAFTEQERYVITDECRKQVDAKGRWTRTRVAKVSLLICPFWFFAQLTFNLSLKYTSVTSNTILSSTSSLFTFLVALAFLGEKFTWVKLFSVLLCMGGTIIVSLTDSISGINAIVTNPLLGDILALVSAGLYAVYITLIRKKLSDEKEGEGQASTAQFLGFLGLFNLLIFLPVSLMLDFTKLEPFHKLSWKQFGLIVGKGLLDNVLSDYLWAKAVHLTTTTVATAGLTIQVPIAAVVDSLTGNAPRLMDYVGAVAVLAGFAGINVPSDDLCGAQVIQEEQETTSTVVADER >Ma07_p23310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31012141:31017018:1 gene:Ma07_g23310 transcript:Ma07_t23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLQMFQIPVKNAPPEKKHTRTLSDISDPSTPRHIEDSRNIAIYTDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLDKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTAAPLSWATRMSIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRRSVDKTRPSKEQSLVDWARPKLNDKRKLLQVLDPRLDDQYSVRAAQKACSLAYYCLSHNPKARPLMSDVVETLQPLQASSGSESSFQALGSSVLPDRRVHHRLAGSSISCKPTPNVQCSPGALPACRVK >Ma07_p23310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31012101:31017018:1 gene:Ma07_g23310 transcript:Ma07_t23310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLQMFQIPVKNAPPEKKHTRTLSDISDPSTPRHIEDSRNIAIYTDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLDKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTAAPLSWATRMSIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRRSVDKTRPSKEQSLVDWARPKLNDKRKLLQVLDPRLDDQYSVRAAQKACSLAYYCLSHNPKARPLMSDVVETLQPLQASSGSESSFQALGSSVLPDRRVHHRLAGSSISCKPTPNVQCSPGALPACRVK >Ma07_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31012041:31017018:1 gene:Ma07_g23310 transcript:Ma07_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIPVKNAPPEKKHTRTLSDISDPSTPRHIEDSRNIAIYTDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLDKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTAAPLSWATRMSIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRRSVDKTRPSKEQSLVDWARPKLNDKRKLLQVLDPRLDDQYSVRAAQKACSLAYYCLSHNPKARPLMSDVVETLQPLQASSGSESSFQALGSSVLPDRRVHHRLAGSSISCKPTPNVQCSPGALPACRVK >Ma03_p32250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34139572:34147659:-1 gene:Ma03_g32250 transcript:Ma03_t32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid-transporting ATPase 8 [Source:Projected from Arabidopsis thaliana (AT3G27870) UniProtKB/Swiss-Prot;Acc:Q9LK90] MVHCNDPASPQASELNYPDNSISTTKYTVANFVPKSLFEQFRRVANFFFLIVAFISFSPLAPYRAVSILLPLVVVVGATMAKEAVEDWQRKKQDIEVNNRKVKVYDGSFSLYQTEWKKLRVGDIVRVEKDEFFPADLLLLSTNHDDGTCYVETMNLDGETNLKRKQSLEVTSTLHNEDSFQNFKALINCEDPNEKLYSFIGILDYEGVQFPLNPKQILLRDSKLRNTQHVYGVVIFTGHDTKVMQNAMDPPSKRSNIERRMDKIIYVLFASLVFISSISSVFFGIKTKNETSVGNYRWYLRPDNSSIYFDPNRAGLAAFFHFLTVLMLYGCLIPISLYISIEIVKVLQSIFIDHDQEMYCEDSDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIAGIAYGSRSCEMEKAASGIIQYEPFERHDSPSAFERHKSEGTSKKSTKGFSFRDDRLMNGQWVGEPNSEVIHKFFHVLAICHTAIPVVSKSDEILYEAESPDEASFVTAARELGFEFYRRTQTSVSLHEFDPKIGRKVDRTYELLDTLEFSSARKRMSVIVRTESNQLLLFCKGADSVIFERLAKNGQVFEPNTKCHINDYSEAGLRTLAVAYRILSAEEYIPWHDDFVKIKNSVNADHDTIVDEVADRIERDLILLGATAVEDRLQKGVPECINKLAEAGIKIWILTGDKLETAVNIGFACQLLRKGMEQLVITLDTPDINALKKDGDKNALEKSLHENVAKQICEALSHVSKMKGSNVPFALIIDGDSLAFALSTSLEHSFLDLAVACASVICCRTSPKQKALVTRLVKWRTRKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDFAIAQFRFLERLLLVHGHWCYRRISAMCCLHISSSDCTRCF >Ma02_p25160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29503376:29506414:-1 gene:Ma02_g25160 transcript:Ma02_t25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQAQKQEDRQKGAFLNSPTDARSVSLADEKGGASRVAAFPQRKGKFKYTIYGLDLSDPQMGGVGIKVAEAENHFVPQELQPVEEKCKEIEERILSLKAKRDDLPPLLADWKEFLQPKRIDWLALLDRIKERNVDLYLKVIYGSYQVKGLGFQMSIAWALYVFKAVSTKDATK >Ma06_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13269837:13272723:-1 gene:Ma06_g19380 transcript:Ma06_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASAAGGGKVSFKVILTSDPKLPYKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAQ >Ma11_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12718194:12720170:-1 gene:Ma11_g11270 transcript:Ma11_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISVTIIDPADIESLKGALDQNNVTLFFTESPTNPFLRCVDIELVSQLCHDKGALVCVDGTFASPVNQKALTLGGDLVLHSATKFIAGHNDVLGGCISGSEELVSKIRQYHHVIGGVLNPNAAYMILRGMKTLLHLRVEYQNSTAIKMAQFLEKHPKVHEKSNLVFSPSRVFG >Ma11_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9753996:9754853:-1 gene:Ma11_g10340 transcript:Ma11_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHHKEEKPAEEVIYSETAYSGGDDYASGYTETVVAESASDEYEKYKKEEKHHKHKEHLGEMGAVAAGAFALYEKHEAKKDPDHAHKHKIEEEIAAAVAVGSGGYAFHEHHEKKDAKNEAEEASGKKHHHHLF >Ma02_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8122249:8141900:-1 gene:Ma02_g01160 transcript:Ma02_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFAKLFSRLFAKNEMRILMVGLDVAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHCFQNSQGLIFVVDSNGKDRVAEARDELQRMLNEVVLHA >Ma04_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9837987:9839533:-1 gene:Ma04_g13010 transcript:Ma04_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAVADDAYLTAMSRDVARKKRTNRSAKLKQCKLDARREQWLSQVRNKDCVVTSRRPPAASPPRHPVPSEKSNGRLKEEEQKEEHRVVAERDGSISNDSEDGSPTHGSTMNGCPNESVKSLSSYGSSSRSISDAEEEEDDCEERGDEKGGMDDWEAFADALSVVDEQPDSNPVAGNPDSKSIPCGMDKDCHEGLAKPVAKPMVPRAWRPDDASRPQSLPNLSKQRSLPVSTERRLAAAGCAQHGILSTPSSCPICYEDLDPTDSSFLPCNCGFRLCLFCHKRILEADGRCPGCRKQYAPVAGGEVRIGGGGQPFALHLSRSCSMSSRT >Ma06_p37480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36520519:36522016:1 gene:Ma06_g37480 transcript:Ma06_t37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPATTIEDLHADMLTRALRHLDGPALAAASCANVHLRSLASQPDLWCDLCLATWPALHHPRLLRLLSFFPNSYRSFFSDAFPFPSPLTVIPPAAGDDEASLPSELISAVDLQHRGSPILSRVLETDTSTAWFRGAHFRLDALDPKDSSLPTLLAAATPEDLTLNWIFIDPHRRRAVRATSRRPVAIDKHWITGETVVRFATVLGDGCAIGVVATCVEETGQVREVSLTAECVDGVCLSGRDGLAVLRAAMEGSRRREEAAEAERRLWEEFSGLRMRRKEAVSRREQMVDLVCAAVAAAGLLALFAVTVFR >Ma07_p14990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11259062:11262005:1 gene:Ma07_g14990 transcript:Ma07_t14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTEEPDEAEINWLDSSAGELMRELLEEEDDDETSATFISHESSIVNKLISTVYSGPTIRDVESALSTTLGAGDSSCRVSVPEKSTGKMDSKYTLRMKICGNGVADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERSLEDPETLIVTYEGLHLHYTSHFLLPRPQDLFTAACHAAKKPKLQSTDLHAEVPDCVPPEPTVHSPSMLLMQQSQAEAQSLVEGLDQSKDTTDDSLRHGLDEDVMQRSQGLLEDIVPLLVRKPCNSTIYSYGNNLLSHESPPSSSTISWSPSSPCLDVGIFSSIL >Ma06_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8824520:8827121:-1 gene:Ma06_g12770 transcript:Ma06_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGADPTGRRKASARIYGLRTFLDPGCPTDFYGAFRDNVRRFVRECADIEERATAGMPTWRTLLVDEQSGLVVPLYTVEECVRRSPIPFCDYCRCSGWSHHLVSKRRYHLIIPADGDWDKPLRPDAFFVRSHLLHGLLHCNGFGHLILVNGRDGGSNFISGRDFMGLFDRLCTALRVRAVSVEDVSGKALMDLRLLLGVAHGASWFARWGYHFFKGSYGVTQEAYDRALRVLSSLRIEELIVVLANTGQSRELRRVAVAYRNLHLPDRDTKPLVTVRDFVRFLLELKHRPAAQTMPLPPSPSPAPLPRRAMKKAVRKGYRDFTKVAAEMQSRWPLRRLHSSAQVIVDALKRHGRKMTRQEVREAARLTIGDTGLLDFVLKSLGDCIVGDHLVRRTSNPTSRVLEFSLEEFPSPAPAAAAAAAAAKTEQNEDVVVVARPAWPGALQVERDLLTVYRSMLAAQPEAAGKVLDAKHWVKQWGLQDDVDDRLRFLVMWVPNQEELEELTRALPPPEVVVVEATASVGELRAEAERAMRDTYCVMEGFRVEEMEGVEGKDWEPVLLGGAESGALVWVRGDGTDMDSVLRYEGGADTWSVGCACGAQDDDGERMVACDACDMWHHTRCAGIGDGEPVPPLFFCARCGRSVMAAGQM >Ma02_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29201929:29202528:-1 gene:Ma02_g24710 transcript:Ma02_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIVGSCWAFSTVSTIEGITKIKTDKLISLSEQALVDCDFSNGACDGGWQDKAFAFVTSTGGITTEANYPYLGYQGICNITMLSDHAASIAGFEYVPVNDEKALMAAVANQPVSVSIEAKGTDFQLYTGGIFSGPCGTDLDHAVTAVGYGTWQGTNYWIVKNSWGRLWGEKGYVLMRKDDAAKEGLCGIAMAAYFPTA >Ma09_p12550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8448617:8449902:-1 gene:Ma09_g12550 transcript:Ma09_t12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAGKLRRATKIYHIWHTEGLMWQSGVQKCVHSLKGLINEAYKAFDSTAKRRWMPDATAYNVIIHGHCRAGNLIYSLEWFSGNSPCNAKKNHLYQQRLMTMLFIWHKKMISVELDYSTAMFGVCCMVHFLWHRATIQNLDPCLSGMAKAKS >Ma07_p05870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4257269:4258566:1 gene:Ma07_g05870 transcript:Ma07_t05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKSFLPLLVMLLLACSVQGQLLPDFYKSTCPRLQRIVRSATKQAIDKDPRMGAAVLRLFFHDCFVTGCDASVLLDDTPTALGEKNSPPNFNSLRGYEVIDYIKARVEASCPATVSCADILALAARHSVSVLRGPRWQVPLGRRDARTAASQLEAGANLPPAGMEVPGLIGIFASKGLDAKDMVALSGAHTIGETRCGVYRSRIYNDNNVDPNFAAIRKNNCPPFGDDNKWTPLDLQTPTRFDNKYYRNLLGGRGILHSDQALYSGGPADSLVKLYSANNSAFFADFALAMTKMGNISPLTGANGEIRLNCRKPN >Ma09_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31341573:31343177:-1 gene:Ma09_g20940 transcript:Ma09_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDPPFDRYRDLFTGSILQRSQHEGRQVVEEHELPVIDLRSLRSENVEERVSCVSEIVRASSDWGFFQVLNHGVSNELLGEMSREQKRLFELPFEMKASAKLLNGSYRWGTPTAKSLDQFSWSEAFHVPLAKISDPDCCSSEFGCLSDAMERLAGTMSELARMLAGVLAENLGSPGDQFTKSCSKSTCFLRLNRYPPCPFSPETFGLMPHTDSDFLTILHQDQVGGLQLRKDSKWIAVKPNPDALIVNIGDLFQAWSNDVYKSVQHKVMTNTKVERYSVAYFLCPSNESTIGSCREPSVYKKFTFGEFRRQVEEDVKRSGYKVGLPRFLL >Ma04_p32500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32431013:32431579:1 gene:Ma04_g32500 transcript:Ma04_t32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKPFTWKLFVDKAKKRVTFVESDKDFVDVLLSFLTLPNGTIWLNKQYPKEEASRPMLLFPRNASATECKSLKVSIDDTDEVHYVCSSQGLPPLFSLSVKLLPQLQMPGVFVRGTRFVVGEDLAIAPVDDFS >Ma06_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9153172:9154748:1 gene:Ma06_g13360 transcript:Ma06_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKLRALVHFLKRAKKQLDEFVAAMKEVVPQLQDAYQGLDEQWKDKERFLQLMILDGCFMLEIMRAATGASSDYAFNDPIFSSHGMLYTVPYIKRDMMMIENQLPLLALDRLVSVETSKPKHEDYINKLVLKFCVPPNERSPTPIVGLGLHPLDLFRKSLLSEPVHRSAASSAEQGSSEIIRSAIELYEAGIRFKKSKNNSLRDISFHHGVLSLPVIVVDDATEYMFLNLMAFERLHFGAGNEVTSYVFFMDNIIDSAKDVSLLHSKGIIQNAVGSDKAVAKLFNSLSKDVVLDPESCLDDVHRKVNKYCRKNINMWRANLIHTYFRSPWATLSLAAAIFLLVLTVLQTIYTIMPYYVNSDALAAPSPQ >Ma05_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1246421:1254129:-1 gene:Ma05_g01980 transcript:Ma05_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPSSKRTASLLVAVKCRPLTEAEQKRSRHIIQVTNDKSLIVLDPDLSKDYLDLIQNRTKERRYSFDHVFGPGCTNADVYRNISSTIAGVIQGLNATVFAYGSTGSGKTYTMVGTPKDPGLMVLSLHTIFDLIKKDKTSDIFEVSCSFLEVYNEVIYDLLEKSSGHLELREDPEHGIVVAGLRSIKVQSADKILELLNLGNSRRKTESTEANATSSRSHAVLEITVKRKQRNQYQSQILRGKLALVDLAGSERASETNSGGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTIMVATISPADDQYHHTINTLKYADRAKEIKTQVHKNIGTVHTHVADYQRMIDSLQIEVSRLRKELAEKESQLSIKQVETAADNELSWLNILSRETSENVQERINLQKALFEIEETNIRNRTELQHLDDAIAMQEVIEKDGAVVRALRSRRQVILDNIRDNDEAGTGYKKDIEINEKHRHQLQDMIDEAISNNGNRTYLHILSQYRLLGMTNTELQFEMAMRDQVIHDQRDAMRKLWNVLLGLGLDQQQVMDLAAKQGIKIEESTTPQASYLSMMQSPVVSLIRNPPYMLHPGPSMQTYHSNACIFQHHQGHSSVAYAGSQIDSPTVCREEHHSSYYLHSHDHPCSAYYGKGERGSSGGLNPCFYTPEKPAQEACRLCPVLNAQPSPCSKEQSYSSKDLRHQSQETCFASGQSLHEVSPSGSSCRHLNEESVSNGGMNNRLHGTYNFLNLLTKHPDPLPNFGAVGMRPLNSPGL >Ma04_p25640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27347518:27358785:1 gene:Ma04_g25640 transcript:Ma04_t25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAFLEVDRCGLFSLPLSLSPRSFLLPRRQLPGLRLFHPCRLSATLVPSSKAASKSGSHREDSLEGVEAVVVEPKGSQGMQLRKRVIFGLGIGFGAGGVVVAGGWVFTVALAAAVFAGAREYFELVRSRGIASGMTPPPRYVSRVCSVICALMPILTLYFGHIDVSVTSSAFIVAMALILQRGNPRFAQLSSAVFGLFYCGYLPCFWIKLRCGLSVPALNTKLGHVWPVFLGGQAHWTVGLVATLISISSIIAADTFAFLGGRAFGRTPLTHISPKKTLEGVFAGLTGCIVTAVLLSKILCWPRTLLSASAFGVLNFTGSLFGDLIESMIKRDAGVKDSGSLIPGHGGILDRCDSYVFTGALCYSFVKIVLPLLGV >Ma10_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25990856:26008847:-1 gene:Ma10_g13050 transcript:Ma10_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKQRGIKAEYLGSTQTDKTVHFHAESGTYDVLFMTPEKACSLTSRFWANLLNMGICLLAVDEAHCISEWGHDFRKEYKQLNMLRGVLSGVPFVALTATATEKHVSRDLMVEMPVILTSCSFLYFTLIYDNCWQQTIYSTSSQGKKVLVYNDNKLQVPISCGIGLLRKVTFGRTGKAIMYNGTFLEYSEQLIYFVNFVAYAAVQQVRNDIICSLNMNETFIAVGSFDRQNLFYGVKSFNRSLSFVDELVQEVSKYINSAGSTIIYCTTVKDTEQIYESLQNAGIKAGIYHGQMGSSDREKTHRSFIKDELQILVATIAFGMGIDKPNVRCIIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYSRSDFTKADFYCAEAHSESQRKAIMESLRAAEKYCFLATCRRKFLLQYFGETNNDDCGNCDNCTHARRQRDLSRECFLLLSCIRSCGGRWGINMPIDILRGSRSKKIVGNNFDTLPLHGLGKDYSSTWWKALAAQLIANGYLKENLVDVYRTVSISPMGLQFLSSASTIHHRPLVLALTSEMADEEEHGSQKNKLGDLQNPAVLACEGLSEAESKLFFMLLDIRLDLANRYGTAPYAICGDETIKRLAKMRPCNRARLANIDGINQHFVTRYGDEFLTSISKFSQELNLQTDYEGTAQTATIRKVGANIEKRATPAKLEAWRLWQHDGLSFQKIAEIPRNSGPIKEQTVISYVLDAAREGCELNWSRFCKETGLTLEIVSQIHCAITSVGSRCKLKPIKEELPESVSYDNIKTCLTMDELGLSAEEIIGCNSVYEAPNKIMESPSHCPFKNVIRNRAASSTIASESAPTSPYHCETHLKQSRSDDALDLESSARKLPKICENTQHCTGDLVATENAVLEWVRDHDGVSLLDIVEHFKGSKEESVLDLLNHLEGEFVIFKRNDLYKVM >Ma11_p19100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24063787:24064750:1 gene:Ma11_g19100 transcript:Ma11_t19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQEERLVQRVTEIYECVSKLPTLSPSKEVNELFTELVNICIPVIAIDVSKLSSEVQAMRSELIMLCGEAEGLLESHHSDLLASYDNPLDHLRLFPYYSNYLKLSLLEYTLLARHVSSRPGRVAFVGSGPLPLTSIVLAKRHMPVAEFHNYDLDPTANDRASRLVRSDPDMAARMAFHTADVLSVTDELRGFDVVFLAALVGVGHDEKVRVIEHLARHMAPGAVLVARSAHSARAFLYPVVEPAELTGFEVLTVHHPVDEVINSVIVARKPKDDHAAGAAAVTRPCKCCEMVQGFHHFRHGSVMGDAAPEELPS >Ma08_p31540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42403185:42411030:-1 gene:Ma08_g31540 transcript:Ma08_t31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MELSAAAFRFHRCAAPHLALSRSVATANPPSLWNRRVPPIAPLAVRLDRRDPLLLTGGRSRLFSSWLRESKKPAFSAVRAIRGEMEPAGSLSGKIAVASTVTILLAVLNRVLYKLALVPMKEYPFFLAQVTTFGYVAVYFFILYLRYSAGIVTKEMLALPKSQFIAIGFLEALGVASGMSAGAMLPGPAIPILSQTFLVWQLILSVLILGRKYSFIQVFGCLLVTAGVVISVASGANNGEFLSQVELLWPALMVASSAFQAAASILKEFVFIDGAKRLWGKPPDIFVVNSFGSGFQALFVFLLLPFLSNIRGIPFAELPAYLRSGAACFLNVGSLTKGCEGAPLLPLLFIAMNMAFNISLLNLVKMSSALVSSLAITLAVPLSIYILSLPLPYIPEGASLNVHFVVGAAILVLGLIIYNLPRSANQNYKSD >Ma07_p00970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:764441:768489:-1 gene:Ma07_g00970 transcript:Ma07_t00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTSAKDSLRTSKREMTVATRGVEREIGSLKLEEKKLVAEIKKTAQTGNEAATRILARQLVRLRQQITNLQGTRAQIRGIATHTQAMYASTSISTGMKGASKAMAAMNKQMEPAKQAKVMTEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIAVNNKKVDTASRNVAPEKPAVDDLEKRLASLRRI >Ma08_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1477224:1481288:-1 gene:Ma08_g01790 transcript:Ma08_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDRSKRRNRLWKKALLHFALCFVVGFFTGFAPPSTATFFSGGAAERRPVRSIGILPAAPGDVVERVVEPGVAVNRSMVEIPRSVPAATGGNGDVGDDPPPDMEGAGARGPQAPSRRLLIIVTTTSSNDRFQGAFLRRLAHTLRLVPPPLLWIVVQAHAEAPATAAMLRTTGVMYRHLTFRENITDPAAEAHHQRNVALSHVEYHRLTGIVHFAGASNVYDLRFFDEIREIEVFGTWPVAVVSENRKRVVVDGPICHSSKVEGWILKDLSNDRRLLVTSSDVSSRPPNINISGFAFNSSILWDPERWGRPTSLPDTSQDSIRFVHEIILEDETKLKGIPAGCSKIMVWHLYMPRVIPLPFHYQNPR >Ma04_p26070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27673688:27678053:1 gene:Ma04_g26070 transcript:Ma04_t26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVESSSLPPLPPPLASAAQPPIPTPPATDRRPRRPRAREVTSRYLSPSPSSSCSSSETQHFAASPRNVCPAVSLLPLLPKKPHKHHEPPEADENQPLTSTRRSVETPLPVSTKPPSTLKKKAVVRLFADNNAATGAAEQPPRPGDTKRRPRPGTPMPPHLVADGTSVGRRNPRTPTPARVSPFPFEGNSYGHGSGDNRLEETLSENSFSDSETCSVSSQGGLCDSPPLLPPASCRSRPVAEVRSSMPEADLLSARRATEACSSRQTGAEDSACRASTSSFCLRSLTPAMSCRQQRHPLNLSKSVNRPLFSSKPPQPPTAKSSTEVKKGKKESSRKEEVHVLKLLDNHYVQWRFINAKARAAMEARRIAAERSLHGLSATIAELQSSVTEKKIQLEQLKRRESLSSIIRCQMLHLDEWTVLEDEYSSSLSGATKALQDASLRLPINGNVKVDNRELKEVLDYALLMLESLSPCVENFLPKTADIDNVASDLASVISTQKTLVEECGNLLSEAHRLQVKECSLRTQLIQVKQHNVYSSTVLQVS >Ma06_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21858292:21863000:1 gene:Ma06_g23710 transcript:Ma06_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFVHLISIDLRHLHCQANPMLKMDRFACMYWRMHSLVWCEDFTNTLYKFWQ >Ma11_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24186974:24191891:-1 gene:Ma11_g19260 transcript:Ma11_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNMVPSSGLKNTSGTSLGMDKLPQEMSDMKIRDDKEVEATVINGKGTEAGHIIVTTINGKNGQPKQTVSYMAERAVGHGSFGVVFQAKCLETGESVAIKKVLQDKRYKNRELQTMRLLDHPNVIYLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHGCIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGAIEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKGHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDPTTRLPNGRFLPPLFNFKPYELKGVPSEIVVKLIPEHARKQCAFLGL >Ma11_p19260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24186974:24191891:-1 gene:Ma11_g19260 transcript:Ma11_t19260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNMVPSSGLKNTSGTSLGMDKLPQEMSDMKIRDDKEVEATVINGKGTEAGHIIVTTINGKNGQPKQTVSYMAERAVGHGSFGVVFQAKCLETGESVAIKKVLQDKRYKNRELQTMRLLDHPNVIYLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHGCIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGAIEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKGHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDPTTRLPNGRFLPPLFNFKPYELKGVPSEIVVKLIPEHARKQCAFLGL >Ma11_p19260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24186974:24191891:-1 gene:Ma11_g19260 transcript:Ma11_t19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNMVPSSGLKNTSGTSLGMDKLPQEMSDMKIRDDKEVEATVINGKGTEAGHIIVTTINGKNGQPKQTVSYMAERAVGHGSFGVVFQAKCLETGESVAIKKVLQDKRYKNRELQTMRLLDHPNVIYLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHGCIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGAIEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKGHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDPTTRLPNGRFLPPLFNFKPYELKGVPSEIVVKLIPEHARKQCAFLGL >Ma10_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23515462:23531477:-1 gene:Ma10_g09310 transcript:Ma10_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MLRDLRIFRRNSNTGKAPASESNNENLPVDPSGSSTSQLESDPSRAPLITIQEPVQNPKPGLDQGAVSRRKPETTHFRSQVKGSDSSRLPFRTPEKMVSRQRFGWGLKGEPGMSDADNGDDLCYEGPSSQLPPLSRGGSICSGGGFGLITPRMYRTAAKASSVHSDCSSTQSTPTKSVTKPPNSGFSNSRPPVSARTRTMSIGTPRTTPSFATVAHSSEVPHFELKEDPSFWMDHNVQVVIRVRPLSSTEKSLQGLHRCLKQESAHNISWIGQPETRFTFDYVACETINQEMLFRVAGLPMVENCMSGYNSCVFAYGQTGSGKTYTMLGEIGELEVKPSLNRGMTPRIFEFLFARIKAEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPTSSNLLLREDIRKGVYVENLTEYVVENVNDILNLLIQGAANRKVAATNMNRESSRSHSVFTCIIECRWEKDSTVNLRFARLNLVDLAGSERQKTSGAEGERLKEAANINKSLSTLGHVIMVLADVAHGKHRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICSANETLSTLKFAQRARLIQNNAVVNEDASGDVIALRHQIHLLKEELSVLKRQNVSRSLSFRNAIFENRTSEVCDEYVVEKLPEVPEANDDEFQTDEGVDSIRVSMKQLKSLEAILAGALRREKMADTTIKKLQAEIEQLNRLVRQREDDTQSTKMMLKFREDKIRRMENLLEGQMPVDSYLMEEKHALSEEVQLLRARVDKNPEVTRFALENIRLLDQLRRFQDFYQEGERELLLAEVIELRNKLMQVFDGKSKLDQHLKSDMETPTIGNPQFACSSRDNESLLVELNKTHQELKSCKSELQSCLEINERLTREISNLRVELNNFRSANHVQHVNLKHRDIDMLEIPQMDTQVCEKKECSHEHMMEHAEEILNLQLELDILKTILAEEKSSFVEVEERANHTKNELKSANGRILYMGKQYEDINNELKDARSIIEALESEHILLINEMEEVRERCNQQKEILNKQEQEIFLLRNQSGLCPSEIEKQSTVQEELRNIPHGNYENEGSPLQVKLKKMQASLEKARDLNMRCQSDQVSQTSLEQEMDEVRRQVEIETAEVIVCLQEELAALQKQADGSKQNELIAKQNLIGLQTELKELQVRLHVMTEENEKLGDLIEEKDRDLRSLTEDWERLACEIADILVDGNMSLEEATDQVDSISDSFPKRSWIGEQIERIIKGISERDLLIEELQKCLEEAQNIRCDMEWKLRSLRGATLAITEAQQQESNDKEQEILRLTTEITEKMFTINELENTIEVQEEQIKKAELNATVAFMTVNKLSEMNEAHLQEIAHVKLLLEESKEVISNKDSLLHHQISMHADADKEIHALSMQLNQSQEHIAELQKLSQNQERARELEQMKKEEEDVVLSVMVEDLLKAKRIINDFKAGMTTQQSCASVSSEQDNGHTLQSSGDYTVDSEQYITEDQMKIEAVQSVMNFEQQPVASMLCVSSEKLLTDIGHKSTCENVLEYSHDRQSNILHLQKELVIALDHLQYVQTQMVQLLKEKEEIKISEKLYHTSIEKLTIDVLQLKSEITEKERKFELGLLQLENKLRAVEKNTMESNTRWQKTKEALELDVSDAKTIAAHKTIEATHLLTKIEEAQGTMRDADIMVNMLLQANETAKCDIERLQNSETMLCYEKNLLIDEVQHLQSSLHIKEKEYTSLEKNFESNLIEARGLVLELEDSCRNLQTAFAEKFESLACDLDWIKSNLQDYTESIRSCLEKTWSEIIRKDCALSVLHLCHMGILIERITGLNMENGFLQHGLCKSNTLIADLSERNVKAKEELEICSVLKGKLLVDINNSFNRIAKKEDETAEFRTRLNFFEKEILQLQSQEESMLARSNSMGTELAVLVKELDDNNMNTLTALLGQDKLLKEKEVLSKELDDMTRLLHEAHRVNEMFMDSLRGELALLTDEPHPKIQMKVDVPIISNMGFSNESKLLKKLMNYKFESILTDSFAKDIEFLVVVSELEQNAIKCDQMASHVSKLEKENDTLSSVIEKVSIELILSKIDGDLKSKEIHSLHKENEKMRNAKEKLQEDHLRVTKELQDKICSLESLVTCIEMDLDRKEVKLEEMVNSHTVISKELEAKSEFYEIQKERTKILRSENETLKKKFLEFVSEKDEAIQMLGCSLRHGSDLALSMGVVMSRLLHEIAGLFVLIMDRMHQENFEHKKLASKFIDDIDFLENSIKSLLSENSSLRSDLIHKDEVAKGILFDLRLLQESASIAKDQEDELKQMAATMESLEDELASRSCELDEAIVLGKTVEGELIEKNDKILALELELAEKLATINSISVENIELKSHLQQVSAVKSAIQEELNGKLLVTGRLEDEILTMSTFIGERNHLIEDLQGGIAKLKEERDHLSIEVHVLKEKLDMAQALAEENEAIATEARQIAEVRKAYVEEKEEEVKLLERSVEELECTVFALENKVDIVRAEAEKHRLQREEIEMELHTMRDQLSQKNIQVLQKEIAKRDTEILQFKSHISELNMHAEAQAREYKQKFMELEAMAQQVNTDPASSNSASLTSTKSEKGAAKPRGSSSPFKCIGLGLVQQMNSEKDEELTAARRKIEELESLAASRQKEIFMLNTRLAQAESMTHDVIRDLLGVKLDMTSLLDEPEMPKVETARVHNNESQKKDQEVIKLRKELNEFIQERQSWLHEIKLRHTEMVDARMMAEKLRQREQFISTENEMLKVENTKYKKRIVDLEDELKKFSDQQNLQHRIHHHAKIKEENTLLKMENEDLNVRLRRSEEVLLRVKEELARYRSSFGKDPCIDFDEEEQLRMKLQESEEERIHLAQKLLNLCTCILKVAGLTDPTTNISPSAAEDAAYHLKDRINSLENEIEDLKLKCKLLHENIRLYEIRQQFSPQQTKTNDNFLSS >Ma01_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7655115:7660399:-1 gene:Ma01_g10660 transcript:Ma01_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLTVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRTILKKIVSTKESMGEIMRNSSFALTEAKYVAGDNIKHVVLESVKSASLRVRSRQENVAGVKLPRFEHFVDHGAGDVKSDLTGLARGGQQVQACRTAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTINYIKGELDELEREDFFRLKKIQGYKKREIERQQQAAKNFVDEQYADISLKRGMSINSAHNLLVVGAEKDADIIF >Ma10_p14070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26626313:26627916:-1 gene:Ma10_g14070 transcript:Ma10_t14070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQREEEVCLPSDFLCDDHFLEEKRRRDDRFLEEFAMNLDWPAEDECLCMTGLAWQTPGSFLGDTKYCDGRYGFTAGSHGTALRGRFISEKKGSLDAPSLVALPPALSFSNHCETAKSGHCTSPVPIHLQRFQATQLKQQQQLMRQRLFAAWARQSEAKGGVHSHNRGRPTGLSSSARRPLQMQQQQQQPGSGMRAVFLSSPSARRESAGTGVFLPRVGGDTSEPPKKPAAYSTVLVPERVVQALNLNLEEMGGKPYLVLDHGKLLSVHSTLTRKRLHQLTKSYSPPKRTSCASTDALISRRDAVLSHQKRNHRLPHPTPPAIREIRLPHDWTY >Ma10_p14070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26626871:26627916:-1 gene:Ma10_g14070 transcript:Ma10_t14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQREEEVCLPSDFLCDDHFLEEKRRRDDRFLEEFAMNLDWPAEDECLCMTGLAWQTPGSFLGDTKYCDGRYGFTAGSHGTALRGRFISEKKGSLDAPSLVALPPALSFSNHCETAKSGHCTSPVPIHLQRFQATQVALRFSSKDFIGGLRMVSQSSSISSAPNLFHQLKQQQQLMRQRLFAAWARQSEAKGGVHSHNRGRPTGLSSSARRPLQMQQQQQQPGSGMRAVFLSSPSARRESAGTGVFLPRVGGDTSEPPKKPDFGRM >Ma10_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26626313:26627916:-1 gene:Ma10_g14070 transcript:Ma10_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQREEEVCLPSDFLCDDHFLEEKRRRDDRFLEEFAMNLDWPAEDECLCMTGLAWQTPGSFLGDTKYCDGRYGFTAGSHGTALRGRFISEKKGSLDAPSLVALPPALSFSNHCETAKSGHCTSPVPIHLQRFQATQVALRFSSKDFIGGLRMVSQSSSISSAPNLFHQLKQQQQLMRQRLFAAWARQSEAKGGVHSHNRGRPTGLSSSARRPLQMQQQQQQPGSGMRAVFLSSPSARRESAGTGVFLPRVGGDTSEPPKKPAAYSTVLVPERVVQALNLNLEEMGGKPYLVLDHGKLLSVHSTLTRKRLHQLTKSYSPPKRTSCASTDALISRRDAVLSHQKRNHRLPHPTPPAIREIRLPHDWTY >Ma04_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23326300:23326749:1 gene:Ma04_g20530 transcript:Ma04_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMLNEAFFSIQIHLGLYYSLLFFILLTWWYHLLEYCQSGVHVILDLLTLLRIQWLSLKKAILLLHGSFTPNRHLLHS >Ma05_p10400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7492046:7501254:-1 gene:Ma05_g10400 transcript:Ma05_t10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLSDHNLFIDSEEDDDANEEDEEEEGSKACPSEVAGGDADGSDSPSDDDCLPRSRPSSHSTNWPQSYRQSIDMYSGLSPATGFLGTPTLSRLSSSFFSSFRVKHTPEKTASLIKPLLPTTTADEQPQEERQSSDSLLTPLLPSRKPSLEKIQEKVFHELPSSRNSSYGQAVFNGMNVLCGVGILSTPYAVKEGGWLGLSILLTYAVLAWYTGILLRHCLDSQQGLATYPDIGQAAFGTTGRIAISIILYLELYASCVEYIILERDNLSSLFPNAQLNIGGTHIDSHLLFATLTTVIVLPTTWLRDLSVLSYISVGGVIASVLVVLSLFWVGQFDHVGFQNKGTPLNLSGIPIAIGIYGFCYSGHAVFPNIYSSLKKPNQFPSVLFVSFVICTVMYAAVAVMGYTMNGESTLSQFTLNMPQNLLASKVAVWTTVVNPITKYALTLTPLALSLEELLPANSSNSHLYAIMIRTTLVLSTLIVALSIPFFGLVMALIGSLLTMLVTLILPCACFLSILRGRVTRTQGLLCTFIIAIGAVSSIIGTTTSLSKIIGQLSS >Ma05_p22300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34031894:34033683:-1 gene:Ma05_g22300 transcript:Ma05_t22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLKSSPIIEKSEWVKGAALRHPSASVAARSHPATSLAVRAASSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGNYVSGAILFEETLYQSTTDGRKMVDVLLTQNIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHGIERTFEVAQKVWGEVFFYLAENNVMFEGILLKPSMVTPGAECKEKATPEQVAEYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGRPEKVKEAQEALLVRAKANSLAQLGKYTGEGESEESKQGMFVKGYTY >Ma00_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:5399342:5412944:1 gene:Ma00_g01270 transcript:Ma00_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVRNLRRYVGSGTGTGSEALMELETKRILLEIFKERQQRNVQAGSVPSYYKKQCQLDSFLWSPMQLAKHRFMKKQSVLLLNADDLDAIWVRLRENCVIDDSTGDEKMNYEDFCQIASICMEPIGPKC >Ma06_p28380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30075364:30079978:-1 gene:Ma06_g28380 transcript:Ma06_t28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYYRKLRFGEAAILTQFFFIVFAALYPFPLSSLHLSHRQKRDIEAPRPVLPARDAIDRCAALILRRLYAILTINCSLIPLVIGNDEEILKEEGDAGEERFSGWRLCLFCRRVSARRLLLSASGTERLYQRVSPDILPLSHGRKPILNRAWKDETADHSSRNATHFPCEGKPVRARSVLGTADPSPTREHQLPRISFPSDSPSALAASDIQPLLSAPVSFTAFPAPSKSQSRHHEIISGVDGGGDVILQWGHNKRTRAPRAECRASGDAASAHSRQILKIPRRSTAGMAPPPSGGSYARGANLRTSVVVRDAAAPLVSRGVEERSGGPTRSEKRSPSSLPEKAQKNPTNGAADGHMNLPESKLASDQDTGGSGSAPPLVAIGERLNLDQFEWPKICLSLSRKEKEDDFLAMKGTKLPQRPKKRAKNIDKNLQYCFPGMWLSDLTRGRYEVREKKCVKKKRRGLKGMESMDSDSE >Ma10_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33073906:33075333:1 gene:Ma10_g24500 transcript:Ma10_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGVSSPSSCSSAHHVLASLGISPQLHSWRASSFRGIRLEAGVRTATPLSYRSGASGGRMVVNMAKREEEMKEIRAKTTEEINEEVIDLKGELLMLRLQKSARNEFKSSEFGRMRKRIARMLTVKREREIEEGINKRLSRKLDRKWKKSIVVRPPPSLRKKQEEQKAAEAEKSS >Ma04_p11690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8287203:8297139:-1 gene:Ma04_g11690 transcript:Ma04_t11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKPEDAVANKGRPGIVEEVAVFVPGLRAPKNVDFVQSLGDRLPKRLVEHLSALRTKIVVMAAQEAPTVAKPRRKTATQHGGSSLADLLQALEDYLPVLLGLAKDGSQLTDKVQFAWANQMDDAEETKMANAWYEVLSVLHLMAMICFSEANLLLLPKVSGDGDHLKEYEESRRTSIDKFLKAAGYLDCAIQHVLPRLPPDLRKELPVDLAEGVLHSLHIQALGQATDYIAQYPLAEGWGGKHHLFIKLKYVEAKAAAYYYHGVLLDEGNTQKSHTAAVAALKAADDFLKESKRASEAFNVLPPTTRNPLPWGSMKYLCEKIPKHVSSKVRINRDLYTQDRILERAPTLPDFPVALKPDEYRLPEVDPSWNYSMWISV >Ma04_p11690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8287203:8297139:-1 gene:Ma04_g11690 transcript:Ma04_t11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKPEDAVANKGRPGIVEEVAVFVPGLRAPKNVDFVQSLGDRLPKRLVEHLSALRTKIVVMAAQEAPTVAKPRRKTATQHGGSSLADLLQALEDYLPVLLGLAKDGSQLTDKVQFAWANQMDDAEETKMANAWYEVLSVLHLMAMICFSEANLLLLPKVSGDGDHLKEYEESRRTSIDKFLKAAGYLDCAIQHVLPRLPPDLRKELPVDLAEGVLHSLHIQALGQSVDIQLWMAMDSVTATLAVKRRLACEMVKCWQQATDYIAQYPLAEGWGGKHHLFIKLKYVEAKAAAYYYHGVLLDEGNTQKSHTAAVAALKAADDFLKESKRASEAFNVLPPTTRNPLPWGSMKYLCEKIPKHVSSKVRINRDLYTQDRILERAPTLPDFPVALKPDEYRLPEVDPSWNYSMWISV >Ma11_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21382661:21387046:1 gene:Ma11_g15710 transcript:Ma11_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRDVGGGGKRTRQGRRDADGGARAAREEREGAARDPLVVLGPDVVTKILEFADARSVARCTVVSRGWHEIASSDRLWAPKYAKLLKGKAHIPRMSNLRGASRLAAYSMSIVDGKRTRIMKEDLCDHVWEFRYKKAAPEYWRNLDPSWKGTSPPMHRYFHPNGSHTADRDDKVWGGHECTYSIMTSYVGEGQIRDHYVRINRWPPMTVSRKEDWRWEMSNHLYCYISVPDAEKEGGTGPLFPAW >Ma11_p06470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5270995:5273039:1 gene:Ma11_g06470 transcript:Ma11_t06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLPKVRIVWLRISSSSSLVHSLVLIASCIVWIRVKPSKRDDREALRCSRSYQSIRLMAATPLPLRCRLPPPPPPTPQRRRAISSDRLAATATGTTSTRLHQLGGRGQPPTRVNAVGVTDLAPVEITWQIAVGALAGITPFVVAGIEFSKRIIAQRKCEVCAGSGLVLLKDKSYVRCPGCGGFLPWQSWKRFFTG >Ma08_p28590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40429869:40443703:-1 gene:Ma08_g28590 transcript:Ma08_t28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSPMASADPCSALKLGAGTLAPSCVAHYKRNDRRGSRAVWFSALRRSHTISSESRFLGIQRHHPRRTDDLTRCLMPKRNVVATSRSPSTSMSELPEKPMGLYDPSFEKDSCGVGFIAELSGEYSHKTVDNALQMLERMAHRGACGCEANTGDGAGILVALPHEFLNEVTKDLGFELPPPSKYAVGMFFMPTDDSRREKSKAAFVEVAESLGHVILGWRPVPTNNTELGESARRTEPIIEQVFLTPSAQSNADFEQQMYILRRFSMVSVRAALNLKRGAAKEFYICSLSSRTIVYKGQLKPVQLKNYYYADLGDKKFTSYMALVHSRFSTNTFPSWDRAQPMRVVGHNGEINTLRGNINWMRAREGLLKCKELGLSEDGMKQILPIVDASSSDSGAFDGVLELLVRSGRSMPEAVMMMIPEAWQNDKNMDSERKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYITHSGLVIMASEVGVVDIPPDEVARKGRLNPGMMLLVDFEHGIVVDDEALKRQYSQARPYGKWLKRQKICLEDIVNSVSKSDMVPPCIFGAVLFQGDEKIENTGISGLLAPLKAFGYTVETLEMLMLPMAKDASEALGSMGNDTPLAVMSGREKLTFEYFKQMFAQVTNPPIDPIREQIVTSMECMIGPEGDLTETTEKQCNRLSLKGPLLSIEEMEAIKKMDYRGWRSKVLDITYPKKHGRKGLEQTLDRICSEVREAIGDGYTTIVLSDRGFSSEHVAVSSLLAVGAVHQHLVSTLERTHIGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQIDGKIPPKEDGEFHSREDLVNKYFKASNYGMMKVIAKMGISTLASYKGAQIFEAVGISSEVIEKCFKRTPSRVEGATFESLAGDALCLHELAFPMRALPPGSAEAVALPNPGDYHWRKGGELHLNDPLAIAKLQEAARSNSVAAYKEYSKLIQQLNKGCNLRGMLKFKDVTGKISLDEVEPAREIVKRFCTGAMSYGSISLEAHTTLAIAMNKLGGKSNTGEGGEQPSRMEPLSDGSMNPKRSAIKQVASGRFGVSIYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIFDLKNSNPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRAVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLRQKFAGQPEHVINFFFMLAEEVREIMAQLGFQTVNEMIGRVDMLEVDKEVILSNEKLKNINLSLLLKPAAEIRPGASQYCIQKQDHGLDLVLDQKLITLSKAALDKGLPVFIETPIYNVNRTAGTMLSHEVTKLYHMKGLPPDTIHIRLNGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRESKFDPKENIVIGNVALYGAIKGEAYFNGMAAERFCVRNSGATAVVEGVGDHGCEYMTGGIVVILGKTGRNFAAGMSGGIAYVLDVDGKFEARCNLELVDLENVEDEEDITTLRMMIQQHQRHTKSQLAKEILSNFNNLLCKFVKVFPRDYKGVLQNLKAEQASKEAKKKDKKELMKDVSAVSKLATERSDKKETTNRPTQVDNAIKHRGFLAYERHGISYRDPNNRIKDWKEVAVELKPGPLTKTQSARCMDCGTPFCHQDQSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWVKPRPPQRRTGKKVAIVGSGPAGLAAADQLNKMGHLVTVYERADRMGGLMMYGVPNMKADKFYIVQRRVNLMKEEGVKFVVNANVGVDPLYSLDHLRAENDAIVLACGATKPRDLTVPGRELSGIHFAMEFLHANTKSLLDSNLDDGKYISAKDKKVVVVGGGDTGTDCIGTSIRHGCTNIVNLELLPIPPRKRAPGNPWPQWPRIFRVDYGHQEATAKFGKDPRSYQVLTKRFVGDENGVVKGLEVVQVCWEKDSGGRLQFKEVEGSEKIFEADLVLLAMGFLGPESTIADQLGVERDNRSNFKADFGCFSTNVEGVFAAGDCRRGQSLVVWAISEGRQAASHVDKYLMRDETNATKNGVAVA >Ma08_p28590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40429869:40443703:-1 gene:Ma08_g28590 transcript:Ma08_t28590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSPMASADPCSALKLGAGTLAPSCVAHYKRNDRRGSRAVWFSALRRSHTISSESRFLGIQRHHPRRTDDLTRCLMPKRNVVATSRSPSTSMSELPEKPMGLYDPSFEKDSCGVGFIAELSGEYSHKTVDNALQMLERMAHRGACGCEANTGDGAGILVALPHEFLNEVTKDLGFELPPPSKYAVGMFFMPTDDSRREKSKAAFVEVAESLGHVILGWRPVPTNNTELGESARRTEPIIEQVFLTPSAQSNADFEQQMYILRRFSMVSVRAALNLKRGAAKEFYICSLSSRTIVYKGQLKPVQLKNYYYADLGDKKFTSYMALVHSRFSTNTFPSWDRAQPMRVVGHNGEINTLRGNINWMRAREGLLKCKELGLSEDGMKQILPIVDASSSDSGAFDGVLELLVRSGRSMPEAVMMMIPEAWQNDKNMDSERKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYITHSGLVIMASEVGVVDIPPDEVARKGRLNPGMMLLVDFEHGIVVDDEALKRQYSQARPYGKWLKRQKICLEDIVNSVSKSDMVPPCIFGAVLGDEKIENTGISGLLAPLKAFGYTVETLEMLMLPMAKDASEALGSMGNDTPLAVMSGREKLTFEYFKQMFAQVTNPPIDPIREQIVTSMECMIGPEGDLTETTEKQCNRLSLKGPLLSIEEMEAIKKMDYRGWRSKVLDITYPKKHGRKGLEQTLDRICSEVREAIGDGYTTIVLSDRGFSSEHVAVSSLLAVGAVHQHLVSTLERTHIGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQIDGKIPPKEDGEFHSREDLVNKYFKASNYGMMKVIAKMGISTLASYKGAQIFEAVGISSEVIEKCFKRTPSRVEGATFESLAGDALCLHELAFPMRALPPGSAEAVALPNPGDYHWRKGGELHLNDPLAIAKLQEAARSNSVAAYKEYSKLIQQLNKGCNLRGMLKFKDVTGKISLDEVEPAREIVKRFCTGAMSYGSISLEAHTTLAIAMNKLGGKSNTGEGGEQPSRMEPLSDGSMNPKRSAIKQVASGRFGVSIYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIFDLKNSNPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRAVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLRQKFAGQPEHVINFFFMLAEEVREIMAQLGFQTVNEMIGRVDMLEVDKEVILSNEKLKNINLSLLLKPAAEIRPGASQYCIQKQDHGLDLVLDQKLITLSKAALDKGLPVFIETPIYNVNRTAGTMLSHEVTKLYHMKGLPPDTIHIRLNGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRESKFDPKENIVIGNVALYGAIKGEAYFNGMAAERFCVRNSGATAVVEGVGDHGCEYMTGGIVVILGKTGRNFAAGMSGGIAYVLDVDGKFEARCNLELVDLENVEDEEDITTLRMMIQQHQRHTKSQLAKEILSNFNNLLCKFVKVFPRDYKGVLQNLKAEQASKEAKKKDKKELMKDVSAVSKLATERSDKKETTNRPTQVDNAIKHRGFLAYERHGISYRDPNNRIKDWKEVAVELKPGPLTKTQSARCMDCGTPFCHQDQSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWVKPRPPQRRTGKKVAIVGSGPAGLAAADQLNKMGHLVTVYERADRMGGLMMYGVPNMKADKFYIVQRRVNLMKEEGVKFVVNANVGVDPLYSLDHLRAENDAIVLACGATKPRDLTVPGRELSGIHFAMEFLHANTKSLLDSNLDDGKYISAKDKKVVVVGGGDTGTDCIGTSIRHGCTNIVNLELLPIPPRKRAPGNPWPQWPRIFRVDYGHQEATAKFGKDPRSYQVLTKRFVGDENGVVKGLEVVQVCWEKDSGGRLQFKEVEGSEKIFEADLVLLAMGFLGPESTIADQLGVERDNRSNFKADFGCFSTNVEGVFAAGDCRRGQSLVVWAISEGRQAASHVDKYLMRDETNATKNGVAVA >Ma10_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33170951:33183907:1 gene:Ma10_g24700 transcript:Ma10_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MAGPNDVEDEQQQIGAFARFLISHHAPLLRSIVLSPDSNLHYPLLVDFAELLDFDPSLAHLFFSRPSHLLPLFDEAARRCQDVILENPDDLGHTASKKYHVHVRINVGGSPLESPETFPSIGRIRVKHRGILLTLKGTVIRSGAIKMIEWEQLYECRRCKHRFKVHPELEAGKSIKPPTSCPSTGSKTCEGTYFAPIEDSKVCHDYQEIKIQESTQLLGVGSIPRSIPVILMNDLVDMVKAGDDVIITGVLSAKWSSDLKDVRCDLDPILIANYVRRTNQLKSEIGIPDDVIKKFEQFWLHYKTAPLRGRNAILQGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGQYDPNQALSVNTTLSGPLLSRFDIVLVLLDTKNPEWDAIVSSHILAMNGEQGSKNDEFIDNIWSVVMLRRYIHYVKQHFKPVLTKESERVISSYYQLQRRSAIHNAARTTVRMLESLIRLAQAHARLMFRNEVTQLDAIAAILCIESSMTTSAIVDSVGNALHSNFTDNPDEECIL >Ma07_p25390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32463876:32464517:-1 gene:Ma07_g25390 transcript:Ma07_t25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLMGLDGPLMSTLHHLVDLPGEVEKALNAPTRTYVRDARAMAATPADVKELPGVYVFVVDMPELKPNEIKVQVEDDCMLVVSGERRRKEEEEEEEVKYLRMERRMGKFMRRFSLPDNANADVVSATYKDGVLTITVEKLPPPEPKKTKTIEVKVA >Ma09_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11683603:11686353:-1 gene:Ma09_g16330 transcript:Ma09_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSGWKAGTKLLRINFKSTGLYFRFFSTGHARSSPSLNVNRQELIEEKGDALYDVRKGNHVGPYLSLSDCQSQISDPLVNGYQGYSLEKEAETYFASCGLKNALYLLNAKDLKEDLFGILVPCPFQEPTVAIPIADLPEKISVITDEPVKEHLKSEYSSQQKQPSDKSIYAH >Ma08_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2413778:2414905:1 gene:Ma08_g03250 transcript:Ma08_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYGSYCFAWGCCPLEAATCCEDHYSCCPHDYPICNVQEGTCLMSKNSPLRVKASKRTPAIPYWAHSISEGKRSSA >Ma05_p27700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38886905:38891995:-1 gene:Ma05_g27700 transcript:Ma05_t27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFISTDYMTYMFKYDTVHGKWKHHEIKVKDTKTLLFGEKQVTVFGIRNPEEIPWGETGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEHTYKPDIDIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKAASYEQIKVAIKEESEGKLKGILGYVEEDLVSTDFVGDSRSSIFDAKAGIALNENFVKVVAWYDNEWGYSSRVVDLIRHMACTK >Ma05_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6354682:6358894:1 gene:Ma05_g08610 transcript:Ma05_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGGEAPKSVSDDAAPVQKQAPPPPINKEIPAGIQSSQAKTFYRADGQNCGNFITDRPSTKVHAAPGGGSSLGYLFGNGSN >Ma06_p33140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33890324:33896105:1 gene:Ma06_g33140 transcript:Ma06_t33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGLDSEGRGFASAREMWREEIGIGEEGEEAENGAPCKRRDWYQKGIAYWEGVEASVDGVLGGYGHVDDADVKGSEAFLKPLLLDRFGSGARHPVALDCGSGIGRVTKNLLLRYFNEVDLVEPVSHFLEAAREKLATDKDNSDHKAINFYCVPLQEFTPEVGRYDVIWIQWCIGQLTDDDFLLFFKRAKVGLKPNGFFVVKENTARSGFVLDKEDNSITRSDSYFKELFKQCGLHLYRTKDQKGFPEELFPVKMYALVTDRRQGSNNGRGKTRQHKPAVIKS >Ma05_p28620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39558767:39567031:1 gene:Ma05_g28620 transcript:Ma05_t28620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILELRLFRCFTLVLTVLFYVPFSHGYTYEQDVYAINYLYVALGLPPLPEWTSLGGDPCNDGWQGVECVNSNITAIILNGANLGGELGDQLANFTSLITMDLSNNQIGGSIPEGLPITIRKFFLSDNQLKGSIPGSLSELTLLSDMSLNNNLLSGELPDAFQTLTGLINLDLSYNNLTGKLPPSMESLSSLTTLHIQNNQLSGTLDVLQDLPLQDLNVENNMFSGPIPTNLFNIPNFKKDGNPFNTSIAPSPLPTPPTTLPFYQAPAPVIMPANSSEGPTQNDGLSLDNNKISIVKVVGYVGAGVIMLIILVLVVILCISKWQQNKRNNEEDHKQQDIRRHGRPEEPQISTDFNRLHRGGEVLGEPTKRQDCSFNIQGTRAPLMPPLVEKNTVKPIVTDERNPTVILNPPTSLASFSVSSLQQFTNSFSEENLIRDGRFGQVYLAEFPQGKQFAVLKLDNKNSNLPVDEFLKLIKSISEIRHPNIVELVGYCMDFGQHLLIYNYFSNTSLYDILHNDCDLKRKLPWNARIQIALEAAKALGYLHEGCQPPIVHQRFEPRNILINDDLAVQVSECGLNSLLPLDFVTQLSGRETSLFCYDAPEVSDSGYYSEKSDVHSFGIVMLELLTGRKPFDSSLPRAEQHLVRWASSQLHDIDALRRMMDPSIGQFPVRSLSRFADIISRCTQQEPEYRPPMSEVVQDLARVFETQV >Ma05_p28620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39558767:39567031:1 gene:Ma05_g28620 transcript:Ma05_t28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILELRLFRCFTLVLTVLFYVPFSHGYTYEQDVYAINYLYVALGLPPLPEWTSLGGDPCNDGWQGVECVNSNITAIILNGANLGGELGDQLANFTSLITMDLSNNQIGGSIPEGLPITIRKFFLSDNQLKGSIPGSLSELTLLSDMSLNNNLLSGELPDAFQTLTGLINLDLSYNNLTGKLPPSMESLSSLTTLHIQNNQLSGTLDVLQDLPLQDLNVENNMFSGPIPTNLFNIPNFKKDGNPFNTSIAPSPLPTPPTTLPFYQAPAPVIMPANSSEGPTQNDGLSLDNNKISIVKVVGYVGAGVIMLIILVLVVILCISKWQQNKRNNEEDHKQQDIRRHGRPEEPQISTDFNRLHRGGEAVLGEPTKRQDCSFNIQGTRAPLMPPLVEKNTVKPIVTDERNPTVILNPPTSLASFSVSSLQQFTNSFSEENLIRDGRFGQVYLAEFPQGKQFAVLKLDNKNSNLPVDEFLKLIKSISEIRHPNIVELVGYCMDFGQHLLIYNYFSNTSLYDILHNDCDLKRKLPWNARIQIALEAAKALGYLHEGCQPPIVHQRFEPRNILINDDLAVQVSECGLNSLLPLDFVTQLSGRETSLFCYDAPEVSDSGYYSEKSDVHSFGIVMLELLTGRKPFDSSLPRAEQHLVRWASSQLHDIDALRRMMDPSIGQFPVRSLSRFADIISRCTQQEPEYRPPMSEVVQDLARVFETQV >Ma07_p11960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8873208:8874452:-1 gene:Ma07_g11960 transcript:Ma07_t11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMKRMAARTTSLKIGKRRLCHGKCSRYSLPDDLLVQILSQLPVKSTLRFRCVSKEWLALLSDRGPYSIRYLCPTMCGFFYRRSHLARSWQYAAIHPFKDHRFDLHKLISHLPDHRNLNLLDSCHGLLLLGCREDRSYKSMIVCNPFRNDEINWVTIHMNTAVKQLPLQRKFISAKLVSHRASRSFKCLLFFEDYRLNELGAESNFWCTVLYGNRGQSHHIYQIPPHVPPPFDLYDVAFDNNYPKVCVIQENKKDHLISSALNERRNGRVRSLMGVSRGLAHFAFCDEHELHIWILEREDGKRVWKPTHNCSSQPLIKQHKESLRHHKHEGNQRVYSILPLGFHPDLDIIFLQIEWRIYSLHLGSGSMDEVAGERGANPESEMFLFHPITMDPSTSLGERREYHMGLPVMDP >Ma08_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1979105:1981045:1 gene:Ma08_g02640 transcript:Ma08_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELAMISVNSEDDKRKGVATTNSTGKPQTIALPSSSKSDEKLLPHYMRPSTGSCHDFCKYGTKHVYDKEKRVATTTSTGKPHTIAFPPSKSDEKLLPHYMRLSTGSCHDFCKYGIKHVYDGKHSQSNFMTQPQRRQTLRLKKSSVNAKDELTNKIELIEQTDLPSEKIIRVPDSPTNPAGGLAHEFSVLDRNAGSQDQINDPSLDHPVADKDEKAFDDSVPAAAEVQESTYRSSGLFLEPVTARLERKRMQNDVANEEHAAVAQVGGSCEEQPVRIKFMFSSAIQKGVASSEHKSRDPSEGLSVKQGSIELIIATPITDNIASAEYQTANGADKSYDELIEIKMKRLPGSSDGPSSLTPKNYTSKASAECRPVIRAEVSSEEVSIVNLKTPAIQKSTASATHKPTDPARLKSLSSGDKTKIEVNKLKNHKGSFGLNERNMIATEFNPDKLYLKTLKQKLRKHKPCPDRKGESEECGPKRSGENEPNLHREVTQRTYRGVPESTVKSRLRSTSVNSEDGVVTPHKLNFSRGKMINLHSENNSPKRLGFRQVKLMDGNQNGEGKQMRSSIKRVESDGAESDTSEATNIVLRHQDVQDKRDTQSLLNQVIKETASKLLEARKSKVKALADAFETVINLQESQVAAPH >Ma11_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9482703:9488504:1 gene:Ma11_g10200 transcript:Ma11_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRWVSLLLAFGCLFGVLDASAGDADPLYRNCVVQCEKTGNIGDHAIQHCKFSFTDVPLNGSWYMQKPLYLQWKQLNCRSDCRYYCMMQSEYQREKLGLGPAKYHGKWPFKRVFVFQEPLSAVLSAFNLLMHFIGWLTFFILVSYKLPLRPQSRRTYYEYTGLWHIYGLLSMNAWYWSAIFHTRDFDLTEKLDYSSAVAVLGYSFILSLLRIFNVKDEASRVMFAAPILAFVTTHILYLNFYEMDYGWNMKVCLVMGVSQILMWVIWGGVTRHPSRFKLWTAMIGCALAMLLEIYDFPPFHGYVDAHALWHATTIPLTYLWWSFIKDDAKFRTSTLVKKVK >Ma11_p10200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9482712:9488504:1 gene:Ma11_g10200 transcript:Ma11_t10200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRWVSLLLAFGCLFGVLDASAGDADPLYRNCVVQCEKTGNIGDHAIQHCKFSFTDVPLNGSWYMQKPLYLQWKQLNCRSDCRYYCMMQSEYQREKLGLGPAKYHGKWPFKRVFVFQEPLSAVLSAFNLLMHFIGWLTFFILVSYKLPLRPQSRRTYYEYTGLWHIYGLLSMNAWYWSAIFHTRDFDLTEKLDYSSAVAVLGYSFILSLLRIFNVKDEASRVMFAAPILAFVTTHILYLNFYEMDYGWNMKVCLVMGVSQILMWVIWGGVTRHPSRFKLWTAMIGCALAMLLEIYDFPPFHGYVDAHALWHATTIPLTYLWWSFIKDDAKFRTSTLVKKVK >Ma04_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23512561:23513563:-1 gene:Ma04_g20780 transcript:Ma04_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHARLAETLGASRKRKDRESPPDLSRAHHASKAEPAKEPASARSPTAKEGNLLLAGYLAHEFLTKGTLLGKKLGPGRADPGKRPLEPGSAEPAGTYAEVSYLLMRGGAHIPGVVNPTQLARWLQM >Ma04_p35120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34041602:34042437:-1 gene:Ma04_g35120 transcript:Ma04_t35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSICMQIYVGYMGDHTSSMFSTEALHLNLLGRVLDGCSPRESLIYSYTKSFISVSIATEITLYYCVEFAAMEGVVSVFPGRTLRPRTTRSWDFLRFSRTVNRNPPLESGVVVGMIDNGIWPESDSFHDEGIGPPPRKWKGACQNQWGSILQQLHGSYTSSTVAGRSVRNASRVAPREEPCTRAARRRTSWPPSTTPLPTGWT >Ma05_p17730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21214592:21215487:-1 gene:Ma05_g17730 transcript:Ma05_t17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWLVYAFDIKLETKTTVVDGGEKTFNKEGTLVETASSKGFPCIDRLREELSCTICLEICFEPSTCPCGHRQVPTCFCIKCSKCAANKCGNRCPKCREPIS >Ma08_p16810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20778511:20782071:-1 gene:Ma08_g16810 transcript:Ma08_t16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLSAPSSQWIAGERSLDRRSRILTAAPRRRVAVGPIRAGSYTDELVQTAKVIASPGRGILAIDESNATCGKRLASIGLDNTEANRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDCLRQEKIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWAEVFYYLAENNVVFEGILLKPSMVTPGAEHKEKASPEMIAKYTLKMLNRRVPPAVPGIMFLSGGQSEMEATLNLNAMNQVPNPWHVSFSYARALQNTVLKTWQGRPENVVASQKALLDRAKANSLAQLGRYSAKGESEEAKTGMFQKGYTY >Ma01_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13221960:13226325:1 gene:Ma01_g17910 transcript:Ma01_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSVSPTEHICYVRCSYCNTVLKVGVPSKRMIDTVTVRCGHCDHLSFLCPSDHQMALQETCTDYIRGIPLSLPPPPPSSSSSLREQIIQKPPFVTKPPEKKHRMPSAYNRFMREEIQRIKAAKPDIPHREAFSKASKNWARCDPRRSTSVSISGAKSKPASVPQIKESSCPIVESSSIYKQMEQKE >Ma04_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24579009:24581485:-1 gene:Ma04_g22210 transcript:Ma04_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSSSHPPPSPGNPSAASSDPSTGIRDLAWNPFADVEDDDAEANNLALPAPVEEGPVEETLICVPGAIVYLIDPNYSIELGAGDFSLVRLRQGDNTLTVLAVVGDGLVRWPLLRDEIVVKFDHSHYFFSLRVPPNFLDDDDGNDLLNYGLTFTSKTQESLLRELDCLLQAYSSFSAQEIAVKGEDQAATASSSAMIQVLNGSVELEVTPAEGMMKERSAAYWTTLAPDVENYSSTAAKLVAKGSGKIIKGILWCGDVTANRIKQGEDKLKGKVTPCSKPAEISKAAMKRMKRAKRITKLSDKVANGVLTGVLKFSGTVTNSIVTSKVGSKLFRLLPAEVVLASLDGFGKICDAAEVAGKNVLKTSSEVTTGVVCHRYGDEAAKVTHDSLQAAGHAVGTAWSVFKIRNVLNPASSMSAVALAKSSAKATADDLRAKNGKHEKKKKKKKKDRYIEKRIEGV >Ma06_p35930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35620420:35623844:-1 gene:Ma06_g35930 transcript:Ma06_t35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKDVGVTEVILAINYRPEVMINFLKDFEDKLGIKITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMDEETGRVDRFVEKPKIFVGNKINAGIYLLNPSVLDHIELRPTSIEKEVFPKISAGQKLYAMVLPGFWMDVGQPKDYITGLRLYLDSLRKKAPSRLAAGPHIVGNVLVHENAVIGEGCLIGPDVAIGPGCVIESGVRLSRCTVMRGVRIKKHSCVSSSIIGWHSTVGQWARIENMTILGEDVHVSDEVYSNGGVVLPHKEIKSSILKPEIVM >Ma05_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7445191:7445997:-1 gene:Ma05_g10330 transcript:Ma05_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGEEERGRRTMGEEALTSVAPPSSSSSPLRLLGILKQPDSDTVLHLELDESDVVWSTAYDERDSFDGLSSTSSNLVRSPLLDSSCSPSSRLHPFGPERHGLSAALAQDGLPLVLQRRPTSRSTRTVAVAVPAGMAQDASVVGMVGRHQSAPVNIPAWPSWRKGRKADVLDGWAEEKADKEEPEEEGMLPPHVIVARSNEITFSVFEGVGRTLKGRDLRRVRNAVLQKTGFLDA >Ma02_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14369262:14374601:1 gene:Ma02_g03010 transcript:Ma02_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTRKNGSSSSRRNWAGGYQDPADVLRQRSIAAEEGDERESLMWAALEKPPTYDRMRKGIIRQTVDGGGALCSEVDIHRLRRQDRKLLLDRIFRVVEEDNERFLKRLRDRMDRSKQQDIFDILGAIYAAIFFLGASNAIAVQPIVGIERTVFYRERAAGMYSALAYALAQVSIEMVYILAQGLLYSLLLFSMIGFIWQATTFFWFFFFIFMSFAYFVLHGMMIVALTPDHQVASILSSFFYTFWNLFSGFLIPRPSIPVWWRWYYWGDPVAWTIYGVVASQLGQKENLIDIPGESRITVKQFLEDNLGYEHSFLGYVALAHLGFALVFFLVFGYSIKCLNFQKR >Ma05_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35907726:35908664:-1 gene:Ma05_g23760 transcript:Ma05_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPCSQHRRSRPNPSSDSDNLLSATDLWPGSFDDPQHVPTQDKAPRKRERKNLYRGIRQRPWGKWAAEIRDPVKGVRVWLGTFATAEEAARAYDREARRIRGKKAKVNFPNELQPGEVDQHAPFQHVPAIVEPKLEEAPANGDAEVRRLSEELMAYESYMNFFGIPYMEGGGEAAVDGMGACNPPTSADMEMLWSFDDILPTSGDL >Ma06_p14480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9918872:9923419:1 gene:Ma06_g14480 transcript:Ma06_t14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAPVPAPSSTFNLGGLCSDPPPPLRPSGEQLKYCSEALDFFKEKLRTPTRIAQEFHRLQEMRPTMDEIVRKCSVALRDANLDKNRYTDILPFDNNRIVLNSTRDSTSLRNNYINASLIGLASGEKVSQFIATQGPLPETFEDFWEMVFEYRCPAIVMLTRVDNHRMMRKCANYFQAQNGLREFGKISIETKYTRTSDSSLVLRRLEVKHKELVKPALSVLHIQYPEWPDHGVPEDTASVREIFEMTHHLPPEIGPIVVHCSAGIGRTGTYCTIHNTLQRVLIGDMSSLDLKKTISEFRSQRLGMVQTMEQYFFCYDAIVDELEELLSRSAH >Ma01_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15711844:15713459:1 gene:Ma01_g19840 transcript:Ma01_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEHGFLEELMSLRRDAWDSFPAAMGEFFSCDGSLDCFQQTPGLVPPSFTAFDAGVAMPVEPNYDFLSEVYCPLGGVYSATAAAPEIQSSSVRSTLDDGDHGQSACKVEMGQSAEASVMFGPGGDVERKKKKKLEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIKHLQEEIEVGPEQANLLSIIKELNSDEVLVRNSPKFDVERRENDTRIEICCAAKPGLLLSTVNTLEALGMEIQQCVISCFNDFGMQASCSELDMDPRTVVSAEDIKQALFRNAGYGGRCL >Ma09_p06200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3978143:3980231:-1 gene:Ma09_g06200 transcript:Ma09_t06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYETLWSPVARIPTPPLSAMLRSSPFPVISLSPLPGSSCASWITRMLRPPTSTEPEEKINEFLVYKRAAKSFRADLCNRKDSLGLASLKMFLTLLDMTKVTRLMKLVIDSAFDLSSVHKKALYSELQTAK >Ma09_p29980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40310427:40315119:1 gene:Ma09_g29980 transcript:Ma09_t29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLLRRVLKEREEKRFASSDLDPEAAAIENDEESDSPPAGSRFKNPFDLLDDQEDDQQFDVQEQAHDVGGQELVARKPANTIPSSNHKLKKKKKKNKDESKVEKSLDSILEELSINTKPSNNQSLSENVKALGNEAHENNKNGRSSVLIVNPKYLKAENELRKIFGSKVVNSFENQHSVGSSRQMHGGRQAAYNLRKTYLASPSGLWPRWDNSITMELLETKDGMHYFRYMYTPSYKHAQEAFEAAKAANNINAIGDILGHHPYHVDALLTFAELFKYSGEHQTSAEMIEKCLYALECAWHPLFTPLQGNCQLKYSHDTNKPIFSALFSHMKNMDRRGCHRSALEVCKLLLSLDSDDPKGALFCIDYFSLRAQEYRWLEQFVEEYGTDNSLWLLPNVSYSLAVSRFYLECSDESSINHSEKATSKDLMKQALMLHPLVLQKLVTKAPLKDSAWTQILKYSFFGSAKAGSPSLDHLINIYVERSYILWRFPELQALLKDAALLVAESLEQNSSEARDWECVRKEAFSSEKNEYSYLMVSDFSDVIPSLPPEEIRHFMAGPQPVREMPDADRGAVPEIGHAARLDLPRNAAIAFLESILPWADYGVNRNRGPDDHDQNVDG >Ma07_p11310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8410112:8416355:-1 gene:Ma07_g11310 transcript:Ma07_t11310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRNNNGKRSYSQSDYSDNGGSKRRNPGEERDTYAPGPEDTVFRYLCPGRKIGSIIGRGGEIVKQLRSDTQAKIRIGETIPGCEERVITIFSTRRETNTLEDIGDIVCPAQDALFKVHERLVTDEAVDEDIDGDNPQVTVRLLVPSDQIGCIIGKGGQIIQGIRSDTGAQVRILKNEHLPACAISSDELLQIGGEASVVKKALLQVSSRLHDNPSRSQHLLSSGTPQMLPVGGQFGVPGTTAPVIGIGQLISSYGGYKGDATVDWPSFYPTPRDETAGKEFSLRLLCPSANIGGVIGKGGVIIKQIRQESGASVKVDSSSVEDDCIITITAKEFFEDPISSTIDAAVRLQPRCSEKTERESGEPSYTTRLLVSTSRIGCLIGKGGSIISEMRRSTQANIRILSKENVPKVASEDDEMVQISGDIDTARNALVQVTTRLKANFFERENALSSVPASIPYHPLPNDASEGSRYGSRDAKAHGRGFSYSGGYGSSSDLVTSDTYGSYGGSQGGGSSYGAYGGYSSRHGSSGLSGQNTVSHGKHRGY >Ma07_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8410112:8416353:-1 gene:Ma07_g11310 transcript:Ma07_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRNNNGKRSYSQSDYSDNGGSKRRNPGEERDTYAPGPEDTVFRYLCPGRKIGSIIGRGGEIVKQLRSDTQAKIRIGETIPGCEERVITIFSTRRETNTLEDIGDIVCPAQDALFKVHERLVTDEAVDEDIDGDNPQVTVRLLVPSDQIGCIIGKGGQIIQGIRSDTGAQVRILKNEHLPACAISSDELLQIGGEASVVKKALLQVSSRLHDNPSRSQHLLSSGTPQMLPVGGQFGVPGTTAPVIGIGQLISSYGGYKGDATVDWPSFYPTPRDETAGKEFSLRLLCPSANIGGVIGKGGVIIKQIRQESGASVKVDSSSVEDDCIITITAKEFFEDPISSTIDAAVRLQPRCSEKTERESGEPSYTTRLLVSTSRIGCLIGKGGSIISEMRRSTQANIRILSKENVPKVASEDDEMVQISGDIDTARNALVQVTTRLKANFFERENALSSVPASIPYHPLPNDASEGSRYGSRDAKAHGRGFSYSGGYGSSSDLVTSDTYGSYGGSQGGGSSYGAYGGYSSRHGSSGLSGQNTVSHGKHRGY >Ma01_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1573924:1575330:1 gene:Ma01_g02330 transcript:Ma01_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRRTLRSPLFRCRLSPLSTTTSADDPKPSSSPSDTASAAKSAVRLESDPDRLAALFEAAARHPSFYSDRPIYHISIRKLARHRRPDLIERLLEGAKSDPKAPKSEDFLLRLLSLYADAGMLDHAVRIFEAMPGLGCRRSERSLCALLSAFLKNGRVDRLREAFDRAPGEFGVTPGVASYNVLLKALCVSGEVDRARSLLDEMPERGIEPDIICHDTVLDGYLKKGDHAGFDKVLEEINRKNLSPDTGTYNCRIAALCAKGNSLEAAELLEEMKANGIQPDRISFNILIDGFRKEGNMDSAMKVFERMKAVKRPDGGAMSPNFKTYIVLLQGLVEKAEFDEAVEICRECLERKWPPPLETVRGLLDGLTKSSRVEEAKDVMARMRKAIRGDARDAWREIEAAVSLGEAPK >Ma04_p33570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33133019:33134165:1 gene:Ma04_g33570 transcript:Ma04_t33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWVKSLHCKSNAVDDVVYNRTPSSSSSARKPLLSSVSCSNTSHAIKDVFLFPKYLSSSASSSSSLPKKPRPKARPKSKPKPTSARPPASPAAGLVGPAHADPFPTLAELPTGHSSRRVVEIIFSSSWSSSGGRPAAAFPGEIEMLFRVHNPARTVARFEEHRAAVRARSDDARCAADGNEMMRFHCGVGGGVVYDAGVACSAVWSAGGKVEGVRTFAGSGGAHASGGGGTGRRAMLVCRVIAGRVKAEFDTESEAESVTLENGELVVFDLRAVLPCFLIIYKL >Ma02_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19667280:19669795:-1 gene:Ma02_g09900 transcript:Ma02_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDTFLFTSESVNEGHPDKLCDQISDAILDACLAQDPDSKVACETCSKTNMVMVFGEITTKGDIDYEKIVRDTCRSIGFVSDDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEDIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCSWLRPDGKTQVTVEYQNDHGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMITINLDLKRGGNGRYLKTAAYGHFGRDDADFTWEVVKPLKWEKPAA >Ma10_p30910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37132687:37137215:1 gene:Ma10_g30910 transcript:Ma10_t30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQLVAGFLTIAMFAMLGNMIKRDHFDSVKVSLQETSGVQFDALKSKDKSATQVSKESSLELKPCWAKPVPKTEQSKGFITFSLTGGPEHHVSQVTDAVVIARYLGAILLLPDIRGSELGQKRNFEDLYDADKFTTSLIGVVKVVKELPSEVTAENPAVVRVPNRVAKDFIINNIEPIFQTKSYLRLASFFPSINLKAKGKPNTDLDSTACLAMFGSLEMKQEILEVSDRMIERLKILSRNSGSQFIAVDLRVDVLEKKGCKEKGNGKKPCYTPMEIRDFLKKVGFNSDHTIYLTQTWWHESLNPLKEMFPKTYTKDDLVPAEKKAHFLQSGGAELQRALDFQICSKSDVFVPAIAGLFYGNVAGKRIASGRTQILVPSQVPSSSPIASSNFISTYVSKKNHLAYSCFC >Ma10_p30910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37132687:37137215:1 gene:Ma10_g30910 transcript:Ma10_t30910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQLVAGFLTIAMFAMLGNMIKRDHFDSVKVSLQETSGVQFDALKSKDKSATQVSKESSLELKPCWAKPVPKETEQSKGFITFSLTGGPEHHVSQVTDAVVIARYLGAILLLPDIRGSELGQKRNFEDLYDADKFTTSLIGVVKVVKELPSEVTAENPAVVRVPNRVAKDFIINNIEPIFQTKSYLRLASFFPSINLKAKGKPNTDLDSTACLAMFGSLEMKQEILEVSDRMIERLKILSRNSGSQFIAVDLRVDVLEKKGCKEKGNGKKPCYTPMEIRDFLKKVGFNSDHTIYLTQTWWHESLNPLKEMFPKTYTKDDLVPAEKKAHFLQSGGAELQRALDFQICSKSDVFVPAIAGLFYGNVAGKRIASGRTQILVPSQVPSSSPIASSNFISTYVSKKNHLAYSCFC >Ma01_p15850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11550505:11558368:1 gene:Ma01_g15850 transcript:Ma01_t15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELPSPTSSRKHRRSSDDHGAEASSKRRKHHHRRHRHRSRHGRESDDGIEVADPASDPEPASLAAIKTVDDEREEGEILEEDEERAGVDGGPAHGLKIKSDGVDASSDLTMPGAKVATSGKSFKVPIHNQNGLTDSKMLEPAPSCENSSYTDQAKLRGDISDGDTFIREAENLSPSDVVKKTVIQGETILCQLELSEQNEIKHKHTDRNDIKIRVRSRSPGERSPAAKHRKRDGPEDRDSGSVSERLDSKQYPESRDSSRRASDKIRSVSPKTLRDGYRDTRMSPSFSRQHDEGYHRSRSSSHDHTRRQSYEKVLEPSADGNDQVDSHGNMRGDSDRYCALDRYAAGSQAKDKIFLSERESSGNRNGDRHGSRERERNRERNSSIVRERDNVDSNERHHRERGSSNYSRYNRGEGRHGSRERDREKERNSSSYRDRDKVDLDKGVHKQREGSSDYSRYNRREVNDRARDEPSDIRDKFLQRERLRDGGHARDRDGDDRDKNRARHKEVYAHEGRNQGAQLETDKPSSRDRHRESRHSKYGVEHHKGISRSKDDGSSKDNPKEDKQKLIREEEEDYQEKIEQQLAKQDEEDIDKIKEESRRRKQAILEKYRQRHLQQVESSSDGNKNAAEKTSLDKETSHGFHATSADNKVISEELENVHHSGDVYDADLSFTVGKSPMQEGDLTTKKMNNVGGLGEGTPKSERSADMFSDDIFGESPVGVCKMGKGEGPQIDRSFLYDNWDDAEGYYSYRFGEVLDSRYEVIAAHGKGVFSTVVRAKDLKAGKGDPEEVAVKIIRNNDTMYKAGQDELVILKKLAGADPEDRRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPMDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGTFPKKMLRKGAFTDQHFDQDLNFHATEEDPVTKKPVKRLLLSIKPKDIGVLISGFPGEDSKMLSNFKDLLDRIFVLDPEKRMTISQALSHPFITGK >Ma02_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:6019698:6022482:1 gene:Ma02_g00690 transcript:Ma02_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVDLVPSGYRFLPTADELVVDYLANWIAGAPLPSRAVAFADVYGTEPWNLLGSDRHEGYFFAERKPKNNGGSRVDRKAGSGSWTLYKKQEPVKSIVGGREMVVGRKSCLSFNDGRRKNSGWTMYEFEMSSSGFERRVLCHVKRSSHQAISGGTTIKTVESTFTEAATDTVTGDSFIGRKRNREESSILSAKALTLSKKPCWGLVAHSSTALQSDVSPPPTAVVQHPPPESHLSSVVSVAPNEAGVPATSRSSTDVGGGELVISAEELEAFLASSSWECLRKFIKAESANANARHSTLNILGSSRE >Ma11_p11040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11388638:11391400:-1 gene:Ma11_g11040 transcript:Ma11_t11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIIYDSDSNEQPKSTTRRRRPLHDLLGGEKVADILLWRNKYLSAGVLAGATVIWFLFEVAEYHFLTLLCHISITTMLVVFIWSNVAALMDRYPPRIPKVILSEDAFRKFALSFHAKLTRGISVLHDIASGKDLKLFLLAIASLWVVSIVGSCCSSLSLLYLGLLCIHTLPALYERYEDEVNHLAVRGSHDLHTFYRKLDSTVLNRIPRGPIKKMR >Ma11_p11040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11388910:11391400:-1 gene:Ma11_g11040 transcript:Ma11_t11040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIIYDSDSNEQPKSTTRRRRPLHDLLGGEKVADILLWRNKYLSAGVLAGATVIWFLFEVAEYHFLTLLCHISITTMLVVFIWSNVAALMDRYPPRIPKVILSEDAFRKFALSFHAKLTRGISVLHDIASGKDLKLFLLLKLSLPFSFIPWFGSRINKITGSPIGQEIFPTTFSKSRGGFVSWQLHRFGWYP >Ma04_p25340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27142287:27142915:-1 gene:Ma04_g25340 transcript:Ma04_t25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQHQTASLSLILPAALLLLLGATITEARVLRRVNDRSLFDATSECKKASYPDLCNSLAPSASVGSLTAASIDVATSKAKEAAAISAKLMKAPGTEKLMKSTLCVCRDAFSSVADSLQLSAKNLKDAAHTDLMVNLSAAMSLKANCADAFQDWPGLVSPVADINDHLSKLVSNSLDLASTLKN >Ma06_p31110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32295436:32298681:-1 gene:Ma06_g31110 transcript:Ma06_t31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRATRATILLFLFFLSSPLPSSTVIAGGSGEDYVRPLPRKTLSLPSKPKRSSDPQQVHISLAGTQHMRITWVSDDEFSRSIVEYGTSPGEYTSSSQGESAFYKYLLYSSGKINHVVIGPLESSTTYFYRCGGQGPEFQFMTPPSEFPVTFSVVGDLGQTGWTKSTLDHISQCKYDVHLLPGDLSYADYQQHLWDSFGALVQPLASSRPWMVTEGNHEKESIVFFKSGFQAYNARWKMPYEECGSTSNLYYSFEVAGVHIIMLGSYSDYDENSDQYAWLKTDLARLDRERTPWLLVLLHVPWYNSNWAHQGEGKSMMAAMEPLLYAAGVDIFIAGHVHAYERMDRVYNGGLDPCGPLHITIGDGGNREGLAHRFNHPKPEWSVYREASFGHGELKIVNSTHAIWSWHRNDDDESVKSDEVWINSLASTGCIHENRPEYRKILMSP >Ma07_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16300168:16307993:1 gene:Ma07_g17160 transcript:Ma07_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALFRLFLTPFRRTNTKSRRPNLLNPKLPKLFSSPKALITHSKTLVSSLSTTGKTLILTEDERFGSEDAGRNEASPGWGTIAAIVTSMGGGSAAVGIVRLSGPTAVDVAAKVFRPATTSKEGSRVVSWRPRSHFVEYGFVLDRKGNVIDEVLAIPMLAPRSYTREDVVELQCHGTDVCLNRVLRACLEAGARLAEPGEFTLRAFLNGRLDLSQAENVGKLIAAKSLAAAESALAGIQGGFSYLVKSLRKKCIELLTEIEARLDFDDELPPLDPKVLVNQIDDMWNTLQQAMDTANYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSDRAIVTDIAGTTRDVIEASITVKGIPVTLLDTAGIRETDDLVEKIGVKRSESAALAADVIIMVTSAVDGWTEDDRTLIEHIRKNQNSAGSAVPMILVINKIDCAPCVSMQQFKMGTTSFVKHIQTCAVNGKGISELERAVLEVRGFDTIQLGGSRWAVNQRQFEQLLRAQESLSRLKLSISEELPMDFWTIDLREAALALGEISGENISEEVLSNIFGKFCIGK >Ma00_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36095856:36096479:-1 gene:Ma00_g04320 transcript:Ma00_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLTRGSQLVYVIPDTMMTIGDFYRNIQLSILTRGYDSWRNGKANLLITRGMVGRLSNTPNVAFAYEVSGVVDYLTSHGVRALSGRRYSTIELQGRDWVIQPTQTNIPMQPLEVRSRNLLDGRISISFDNYKAASTSSHLHYNANDEETFSEEEEIRSHIIAVILQLTNKEEEDEAEQLCNNLNSYFQDNYNFQGGGEMPYPQKF >Ma04_p36780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35010326:35016006:-1 gene:Ma04_g36780 transcript:Ma04_t36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTLLLLPPSSSPSLRPLSPSSSSQPSPPPPPPRRPSISYRIGISITDASSRQARDDAWSCLVILLTFWFFASMTLILGFYGSSNLVLGPNSSRILRANSWFVQDIQVKAEEGLRLFPTLYGFLEPPPLDVLTTWSENRNVSMPSNFHQEWIYYLNEGAQVEITYSVKSHGTYPLILVIAQGKDDLVQWIERPSHPNITLSWSLIHGNGKIQQTIDKPSDYYIAVGNLNNIEIQVQLTFRIQAVLYNTTGAYYKCSLHQRFCALKLSLQRANVAILTTPAPDPISEWYVKLSYGPRWITYFAGSGLMTLMIFMAFQIFSSLRCDTQENTTHQTAEVTTERRPLLANKDDDSQSLGSSYESVSHDEEDIEEQPATGPELLKDGEINNSQELCAICCDAPRDCFFLPCGHCAMCFTCGTRILGEAGICPICRRKMKKVRKIFAV >Ma04_p36780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35010326:35016006:-1 gene:Ma04_g36780 transcript:Ma04_t36780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTLLLLPPSSSPSLRPLSPSSSSQPSPPPPPPRRPSISYRIGISITDASSRQARDDAWSCLVILLTFWFFASMTLILGFYGSSNLVLGPNSSRILRANSWFVQDIQVKAEEGLRLFPTLYGFLEPPPLDVLTTWSENRNVSMPSNFHQEWIYYLNEGAQVEITYSVKSHGTYPLILVIAQGKDDLVQWIERPSHPNITLSWSLIHGNGKIQQTIDKPSDYYIAVGNLNNIEIQVQLTFRIQAVLYNTTGAYYKCSLHQRFCALKLSLQRANVAILTTPAPDPTMQISEWYVKLSYGPRWITYFAGSGLMTLMIFMAFQIFSSLRCDTQENTTHQTAEVTTERRPLLANKDDDSQSLGSSYESVSHDEEDIEEQPATGPELLKDGEINNSQELCAICCDAPRDCFFLPCGHCAMCFTCGTRILGEAGICPICRRKMKKVRKIFAV >Ma06_p24700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23754360:23756091:1 gene:Ma06_g24700 transcript:Ma06_t24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKRIKNMKPSNDSMSPITRIFFYHTMEPFIHVNSIPLRRLMTGKKASNLLEPMSPKQVMAHLAVGVDCKSDQNNMHAGVRPQIQSGDTSALAAGSPNTDCGVESDSRTSNHQPSLASELNRDNCSDAEIDHRRARSLRETNALMARGNSTSLVHYIVLNNGNVDCIYQISLQIDLLNG >Ma08_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2501840:2506013:-1 gene:Ma08_g03450 transcript:Ma08_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYQRMIPVVVAVLVFSFLSPLALGRSLDSVDYVEVLLEVKNSFTEDPRRLIHDWNSDNQEYCSWTGVACDRDSAVVALNLSSTSLAGSLSPSLGRLIRLATLDFSSNRLTGAIPSQLGRLSALTALFLYSNRLSGTIPFSLGFLYSLRVVRLGDNPGLSGPIPDSFGDLRNLTTLALALCNLSGPISSRLGRLTQLQNLVLQQNQLNGPIPPELGNLANLQILNLANNTLQGEIPSRLGELSLLSYLNLMSNQLKGTIPGSLGKLSALRVLDLSMNELEGEFPAELARLSELEYLVLSDNKFSGHLPENLCPNATKLGYLFLSTNNFSGPIPAGLSQCWSLTQLDLANNSFTGDIPVDLGELTNLTDLLLNNNSLTGSIPREFGNLSILQTLTLYHNELRGRLPEEIGRLRQLQILYLYENQLSDEIPSAIGNCSRLRMMDFFGNQFSGSIPARIGELTQLSFLHLRQNNLSGEIPASMGNCRRLTVLDLADNRLTGGIPATFGLLRSLEQFMLYNNSLDGGIPDEMFECRNITRVNLSNNRLNGSILPLCGSSSLLSFDLTNNSFDQEIPAQLGNSPMLERIRLGSNRLTGQVPPVLGGIGALSLLDLSGNSLTGTIPEDLSSCRGLTHIILSENQLDGVIPTWIGSLQQLGELELSSNSFSGPLPVELFDCSNLLKVSLDDNSLNGSLSPEVGKLASLNVLNLAHNQFSGAIPASIGRLSKLYELRLSQNLFSGSIPVQLGQLQDLQSALDLSFNDLNGGIPLSLASLMKLEDLNLSHNSLTGEVPRQIGEMSSLVTLDLSHNDLQGQLDGRFSRWPPQSFAANRALCGRPLQPCGIASSTKRRSTLSSAAVAVVSVVGALVIVLLLVAALTWIRRWYTKRSSGVNRASSPIGHRQLITKGSIRRELTWEAIMEATCNLSDEFAIGSGGSGIVYRAEFPTGETVAVKKILHYNRENLMLQENSFVREVKTLGRIRHRHLANLLGCLSSNHGEHLLIYEYMEKGSLWDWLHKPEASQKRKRELSWEARLRIAIGLAKGVEYLHHDCVPSILHRDIKSGNVLLDGDMEAHLGDFGLAKAVAESRGSDSTGNTESGSCFAGSYGYVAPEYAYFLKATEKSDVYSMGIVLMELVSGLMPTDRTFEGDMDMVRWVRARMTSSSTAEREELLDHALKPLARHEQSSMFEVLDVALQCTRTAPAERPSSRKVADLLLHISLRMPRMSSGKKVAPYNTRRKR >Ma10_p28780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35545447:35553617:-1 gene:Ma10_g28780 transcript:Ma10_t28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F20D23.9 protein [Source:Projected from Arabidopsis thaliana (AT1G17210) UniProtKB/TrEMBL;Acc:Q9SHI0] MREEVISSGTADAVALARSSSPPPTPAASSAGASSPAAPTNATSIDWLGSGQGSKVGSLSCIDPQPPRTSLSTNAGGSVLGSSEPQCRPWERGDLLQRLSTFKPSNWFAKPKGAGSLSCARRGWINIDTDIIECESCGAQLTFTISASWSPTEVDRAVEAFTDQLDAGHKVNCSWRGNCCADSLVQFPPTPPLALIGGYKDRCDGLLQFPSLPMIASSAIETMRLTRSAQIDRFLSQPSAFFSGELGCKADSTPGKRISRDPPFCDYSHAQKFISLCGWEPRWLPNIQDCEENSAESAKNACSVDHTKDEYDHSDFHVLSKNQLSTSRKQDKGKGKAPIKELKYNTRSPLLDCSLCGATVRIWDFRTVPRPARFGPNTTDTPDAGKKLALTRGVSAASGINGWVAAETMAKEQIDEAAATADDGKSPSNAGVDLNLTMAGGLPSNHSGMPALSEHFEDGGMGRDLIIGQPAGSEVGDRAASYESRGPSTRKRSVEDGGSTVDRPQHRILRADSIEGTVIDRDGDEVDDGTQDSDGPNKRARGFDIFDSYHPPSRIGSSGAGPSRNLCFDIDIDANRVESYKEESDMAIGFPSHRDSARASSVIAMDTICSGEEDSMESVENYPGDVADVRYPSPIMHRNLDMNDTWGSNYSNQVQQNTYNQPAAASVAREVGGSSTIEGEEIVNAETVTAHARDRFSLGISGGSVGMGASHEAEIHGVDVSVHRTNSIVGDAEPVAEVTENLGQTGESAPGPALVDEFVPEAVMREDPHGDSQEMMSRSVGRADSGSKVYGSTKADSVESGEKVSHTLGPDSSAHPSLSCNAMIYSGYEVSKEEVTQTGNASITDDYALMVSSHLPRNGIVNGENEYEVETGEFDPIKHHNRYCPWVNGNVAAAGCNSDSGSSSSNSEVHCGWELTLDALDSFQSLGHVPNQMMQSESAASLYKDDHVTPTQKLLTRHSASKRQGKH >Ma03_p01800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1233563:1234549:1 gene:Ma03_g01800 transcript:Ma03_t01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSTYSPSQSSETRQQKRRLFQKTDWTRCRPACLYPLSLCYALELFDVLPTRRTLEELTLCRAALGTGAANSASGSAYPAFGATTVIVSVFFDEPLLTLQRPELYFHNPLRTCTEQDNDNSTNRSLHISTIAQKAAAECMRWCGSSKKLKLKQKCELSLVLQSI >Ma08_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34340999:34341768:-1 gene:Ma08_g20280 transcript:Ma08_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIKVGAWGGNGGSAWDMGAAYRITNIKIRAGDNIDAIVITFTRYGLTETKHFGGSGGTPYEIPLQEDEYLVGVEGSVDTLGTITLVRNLTLRTNKKSYGPFGTSGGKPFSVPVASGKIIGFFGRAGTMIDAIGVYLAPK >Ma04_p38520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36015070:36016322:-1 gene:Ma04_g38520 transcript:Ma04_t38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVNKREEEEEDVVLPGFRFHPTDEELVGFYLRRKVEKKTPSIDIIKEMDIYKHDPWDLPRVVAAGDESSYFFCRRGRKYRNSIRPNRVTGSGFWKATGIDKPIDSSGDCVGLKKSLVYYRGSAGKGTKTDWMMHEFRLPSGDSSIADDTRSTQEAEIWTICRIFKRSASYRKQSSKWKASSTHKRAPADSSCVTSSFDCDNGSDYRCCASSGYSCSQEHNQVHGWQCNSISQPPSLYSNVVQSPSTNEFFRDGDCWEELGRMMEFMADQSLPMSVDTVRGMYHA >Ma08_p17150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23288032:23334580:1 gene:Ma08_g17150 transcript:Ma08_t17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAPPPPISTPKRLSLRYPSRSRLGWLPAPKCPFTRGNPRLIFSLRNTAFYTEGAVAPRLERPEAEPDDIAILNERIRREHKRREGANNGSSMDSVEADKYIKLVKEQQQRGLQKLKGDKHGNVGGFGYQVDPYTLRPGDYVVHKKVGIGKFVAIKFDAPKDSSSGPIEYVFIEYADGMAKLPVKQACRMLYRYNLPNETKKPRALSKLSDPTTWQRRRIKGKIAVQKMIVDLMELYLHRLKQKRPPYPKSPSMAEFAAHFPYKPTPDQKQAFIDVERDLTERETPMDRLICGDVGFGKTEVALRAIFCVVSAGKQAMVLTPTIVLAKQHFVVISERFSQYPHIKVGLLSRFQTKAEKEEYISMIKSGHLDIIVGTHALLGNRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVRIKTHLSSYSKEKVLSAIKFELDRGGQVFYVLPRIRGLEEVKDFLEDSFPNATVAIAHGKQYSKQLEKTMEKFSLGEIKILICTNIVESGLDIQNANTIVVQDVHQFGLAQLYQLRGRVGRADKEAYAYLFYPDKSLLSDQATERLAAIEEYGDLGQGFHLAERDMGIRGFGNIFGEQQTGDIGNVGIDLFFEMLFESLSKVEENRLLSVPYNRVQLDINIKPHLSSEYITYLDNPLELIKEGERAAENDMWSLIQFTEQLRQQYGKEPHSMELLLKKLYVRRMAADLGISKIYTGGKTVIMDTKMSKKVFKLMTESMTSDIYRNCLNFTGNEIKAELLLELPKEQLLNWIFQCLAEFYAVLPALVKY >Ma06_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6089689:6095249:1 gene:Ma06_g08640 transcript:Ma06_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MLLRSAVAPPSCHPLLSTSLFYGPSRTLTLTRTTPRRFPSLLSSSIAPSQPLPLLAVAASRESHPRIITLPFPFSSPLFQIAPFLRVEWEAVLKGWLCSIVAVSCLCRAVPKLGYLPSLLADIRSPLVLREGAVLAALACARSAAAYLQQAYLLEASTRSVWRLRVHVFDRVLQRDMAFFEGKDAILAGDVAYRMTAEAADVSDALHALLNTTVPNALQLVAMATQMVAVSPLLSLLTALAIPCVLLAIAYLGEILRKISKKTNLSSARLSAYLNEVLPSMLVVKANVGEPKESLRFQRLAYDNLVYQLKKKKMKALIPQLVQALYVGGLLVLCAGSVVVSRNSCDCSSFLSFVTALTLLIEPIKGVGKAYNELKQGEPAIERLLDLTRFKPKVTEKSDAIDLGYVDGDIKFCGVSFRYGDDMPYILDGLNLHIRPGERVALVGPSGGGKTTLSKLLLRLYDPQCGSILVDNHNIQDIKLRSLRKHIAIVSQESMLFSGTVAENIGYRDLTGQINQENVENAARIANADEFIAKLAKGYATNIGQGGSRLSGGQKQRIAIARALYQEASILILDEATSALDSRSELLVRQALEHLMANHTVLIIAHRLETVQMADRVLVLDRGKLTEVSKSSFLGRGSHCDSHALNEHII >Ma03_p02110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1436655:1440077:-1 gene:Ma03_g02110 transcript:Ma03_t02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALREEVARGLSPGRSRSENPSTVAELLLPRRWRRQPPRGFPDELLVPASGSLAPLAEGPEGDDLGRRKEGWGRWVKGQLSRAPSASCRSSDLRFLLGVMGAPLAPVHVSPTNSLPPLSIKDTPIETSTAQYILQQYTAATGGLKLLSSIRNSYAMGKVTMVVSEFETASRVIKNRCSPKDAESGGFVLWQMAPDMWYVELAIGGSKVHAGCNGKLVWRHTPWLGAHAATGSVRPLRRALQGLDPLAVVNVFADAQCIGETKIDGEDCFILKLCADPQTLKARSEGSVEIIRHVFFGYFSQKTGLLTCMEDSHLTRIQSSAGGDAVYWETTINSRIHDYRPVEGMMIAHSGHSVVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPADVKKDGSVSEARELPPQAERGRSTMAGSHRAKVAALEKSHDVSDDNIWWRVEV >Ma03_p02110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1436655:1440077:-1 gene:Ma03_g02110 transcript:Ma03_t02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALREEVARGLSPGRSRSENPSTVAELLLPRRWRRQPPRGFPDELLVPASGSLAPLAEGPEGDDLGRRKEGWGRWVKGQLSRAPSASCRSSDLRFLLGVMGAPLAPVHVSPTNSLPPLSIKDTPIETSTAQYILQQYTAATGGLKLLSSIRNSYAMGKVTMVVSEFETASRVIKNRCSPKDAESGGFVLWQMAPDMWYVELAIGGSKVHAGCNGKLVWRHTPWLGAHAATGSVRPLRRALQGLDPLAVVNVFADAQCIGETKIDGEDCFILKLCADPQTLKARSEGSVEIIRHVFFGYFSQKTGLLTCMEDSHLTRIQSSAGGDAVYWETTINSRIHDYRPVEGMMIAHSGHSVVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPADVKKDGSVSEARELPPQAERGRSTMAGSHRAKVAALEKSHDVSDDNIWWRVEV >Ma05_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6583022:6584087:1 gene:Ma05_g08930 transcript:Ma05_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKLAPSVSEILGEGRVTMRKSAAKAKPAAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSDGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Ma01_p00860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:606153:610966:-1 gene:Ma01_g00860 transcript:Ma01_t00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSVIGNSFGCSTSGERLLSAAREGDLEEAKALVEYNPRLARYSTFGVNNSPLHFSASHGHHEIVSVLVETGANINLRNYSGQTPLMLACLHGHWEVVQILILFKANIHKKDFLSGGTALHFAALNGHNRCIRLLLADCVPSSPQFWNTMRGRLASEDSLVDFDEVDLCKIVNRRADGGITALHLAALNGHAESVQLLLDLGACVSEVTVNDGATIDLIGAGSTPLHYAACGGNAVCCQVLIARGASLTAENANGWTPLMVARSWHKNWLESILRKEPEGQIAVLPSKYLALPLMSIVKIARECGWRKTKHEPTCVDPCAICLESKCTVAAQGCHHEFCTTCALYLCSTNNTSATVHGPPGSVACPLCRRAIVSFIKLPGTTNMTKDLVRANLSRSLSVTHSTTRSNPATSKAKQITKPDFQYKQIKQRSSSFRSLGFQQFL >Ma01_p16990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12424468:12443152:1 gene:Ma01_g16990 transcript:Ma01_t16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESVEKMDAGAGSKDGKSEEDREESGRADAGRSRDANATSQVRIAEFDYSVENFFAAMDAIGDLCRTSGGESVDTSEIQRFSSTITFLKEWKFFCYEPKVINFTCEEVKDAAEDISLPQFSSAAVPKISQLPDERKQSESNDFILHAGGLVWALDWCPGSHEKRDSKIKCEYLAVSAHPPSSAYHKIGVPVIGRGMIQIWCLLNLDEEIEIPIASSRGRGRPRKENIIQKHDLDGINVSATRRPRGRPRKKPEIEKSTTPRPRGRPRKRPASSSDEEFSPTRPQGRSEKLMQSAVDLNGLNDVSLQNLTRSPVKSTRTCSNDDRISSLRKSRGRPRKHSIPGIINVNEKEAAPPLGNNEIKNTVNFVVPLCVDSGKNSVDLPVLSAECKEDLIQPKRRGRPRKKPILSLNNSVSSANESGNDATTLPNPSEHGTNGDTLHLFAENEILKTRDNVPSLSESQNNSLSLPVLPALNCKEEPIQPKRRGRPRKKQVQSLNNFISSSLDVLGNDTNVLPNSGRLGISDGKEKLLCSNNENLKTGDNVLSLSVESQNNLADVKCKEELIQPRSRGRPRKEVLNLPLSVCVSNALPRKDTNDKDENIGKGQTGVESVPSDVTVRREEKGNNKEDHNCESTILSELSRDGKLSAECVPTHDHHYEDSVLFNHETVDKRSPEVESVHPLIPHILALPRVVFCLAHNGKVAWDVKWKPPTTNAACKHRMGYLAVLLGNGSLEVWEVPLPGLVKALYTSSRDEVSDPRFLKLTPVFRCSKLKYGDRLSIPLTVEWSPSMPHDLILAGCHDGTVALWKFSTQCSSQDTRPLLCFTADSAPLRALAWAPDASEVESSNVFVTAGHDGLKFWDLRDPYRPLWEINSIQRTVLSVDWLKDPRCIVITLDDGTVRFLSLYHAAYDVPVTGTPFVRSKYQGLHSFSCTSFAIWSIHVSQTTGFAAYGCADGSTVRFQLTTRFVDKDPRRGRTPHFLCGSLSSEGGTFKIYTPLPNTPLAHVPFIQKKPSNECRNANRTIQSNFLDTEQTKGEDSPFPASSSGGDRAMLSGAGGSQVPSKTTAKSRNGTKRHDPSQMTDQSFGISKEGQKISEKCKTQDDKEEYEVFPPKVLAIHRVRWNMNKGSERWLCYGGAAGIIRCQQMF >Ma04_p17980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19329202:19334312:1 gene:Ma04_g17980 transcript:Ma04_t17980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPLMPQLPEMWRFPMVAAPPPSASGPRAGRTGGDASVAGSTVTEQSGRSRGRRRRGDPPPGRAAEDESSKLESTSSGDDLTDTEAKRLKTFKSTDENDDIKTEAEASLGISSKLADQNPQPPEAPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQALQRQVEFNAQPYDTTSSLAFSSQATREYEQVSGTEWLHMQVGSAFKRVT >Ma04_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19329143:19334312:1 gene:Ma04_g17980 transcript:Ma04_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPLMPQLPEMWRFPMVAAPPPSASGPRAGRTGGDASVAGSTVTEQSGRSRGRRRRGDPPPGRAAEDESSKLESTSSGDDLTDTEAKRLKTFKSTDENDDIKTEAEASLGISSKLADQNPQPPEAPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQALQRQVEFLSMKLEAVNSHMDTAIEAFPPKDFNAQPYDTTSSLAFSSQATREYEQVSGTEWLHMQVGSAFKRVT >Ma10_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22351907:22390059:1 gene:Ma10_g08120 transcript:Ma10_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGSAAKLIVEALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVAQHTPGPLLEALLRWRESESPKGANDASTYQKKLAVECIFCSACIRFVECCPQEGITENLWSGLENFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRTPHKRKSELYHALCNMLSSILAPLAESGKGHWPPLGVESALALWYEAVARIRGQLMHWMEKQNKHITVGFPLVTLLLCLGDPQAFNTNFGPHMELLYKNLKDKNHRSMALDCLHRVVKFYLNVYADFQPKNQVWDYLYSVTAQLLTVLKKGLLTQDVQHDKLVEFCATLAESNLDFTMNHMILELLKPDSLSEAKVIGLRALLAIVMSPSNQQFSLEVFHVHGIGHYVPKVKSAIESIIRSCNKAYSQALLTSPKSTIDNATKEKSQASLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISFDPGVREEAVQVMNRIVRHLPHRRHAVVRGMATFILKLPDEFPLLIQTSLVRLVELIRLWRACLSDKLLVNDAHSIKRPCLDGDKVNRSPFLQSADPSEFQTTEVDALGLFFLSSVDVQIRHTALELLRSVRVLRNDIRNLSANGRADNKLFEVEPIFVIDVLEENGDDFVLSSYWDSGRPFDLRREFDPVPPDITLQSILENPDKNRWARCLSELVKFAAELCPTSVQEARLEVIRRLALVTPVELGGKAYQSQDAENKLDQWLMYAMFACSCPTDNREDRAFTAAKELFHLIFPALRHGSEIYTHAAITALGHSNLEVCETMFGELASFVEEVSSEAEGKSKWKNQKSRREDLRIHIANIYRTIAEKVWPGMLSRKAILRLHFLKFIEETYRHICASQSDSFQELQPLRYALASVIRYLAPEFVESKSDKFDVRIRKKLFDLLLSWCDDTGSTWSLENISEYRREIERYKLGQHNRSRESIDKFNFDKEVFEQVEAVQWASMNAIASLLYGPSFDDNARKMTGRVISWINNLFMEPAYRAPFGFSPADPRTPSYTKYTGDGGRVSAGRDKQKSGHLRLLLAKTALKNLLQTNLELFPACIDQCYSPDSSIADGYFSVLAEVYMCEEIPKCQIQRLVSLILYKVVDQSKQVRDDALQMLETLSVREWAEDEFEGTGRYQASVVGNLPDSYQQFQYKLSSKLAKDHPELSELLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFLKLKESGWGERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNNRNIIPVLGFLITKGIEDCDSNTSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEEIEDPVRPSKDDPLASFILEFSQGPTTSQVTTIADSQPHMSPLLVRGSLDGPHRNTGGNLNWRTSAITGRSISGPLSPLHPEGNIIAPSTGRSGQLLPALMNIPGMSLSGPLMSVRNSTGNLRSRHMSRDSVDCLIDTPNSGEDILHLGGIELQGISASELQSALQGHQQHLLSRADIALILLAEIAYENDEDFREHLPLLFHVTCVSMDSSEDIVLLHSQNLLVNLLYSLAGRHLELYKVESGDRCGKSLPKEGGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRMTVPYCDSIYGSPETRLLMHTTGLLPWLALQLMKDPIATDSVTPLRLQYQKACSVASDLSFWCRAKALDDLAEVFLAYSHGEITSSEDLFACVSPPICSAWFPKHSSLAFGHLLRLLEKGPLAYQRVVLLTLKALLQKTPMDVAQSPHVYAVVSQLVESTLCWEALGVLEALLQSCSSVAGGHMDDPGSNENEYDASDRVLHGMLAPQSSFKARNATLQYLGGSAFGTGLPAQGAGSTTDGGLSAGEVALQNTRLLLGRVLDSCALGKKRDFKRLVPFVASIGT >Ma04_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6797167:6802101:-1 gene:Ma04_g09590 transcript:Ma04_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVSLRGPLPVSGEETFANGTSDAARQPPIRDAIDLQEIAGYSNLHTFTYGELRLATDNFRPDKILGQGGFGLVYKGAIDDNVRPGLEYTLVAVKELNPRGFQGHKEWLAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMAYGSLEKHLFRRSCATLPWLTRMKIALDTAKGLAFLHGAERPIIYRDFKASNILIDAEYNGKLSDFGLAKEGPMGEQTHVSTRVMGTYGYTAPEYILTGHLTSKSDVYGFGVVLLEMLIGRKAMDPSKRSRQHDLVKWARPLLVRSRKLLKIIDPRLEGQYSNKDVERVARLAYDCLNQNPKMRPVMSEVVDVLQAMLRKEETILPHSPEAAMAPPEVGSAVGEQREAVGHRKGDVALPQVGQEDVDGSAVEEEKGEAVEHGKSEVGNEDVGGFGVEEQREAVGKETVNGAAVEEKGEAVRQLKDNDDGHQHHEDYSGPQAENRNELNGSLHKFSQEIQVIYC >Ma00_p00830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3083057:3085541:1 gene:Ma00_g00830 transcript:Ma00_t00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFWQRKVKRKDFEEVYDEFAEFSLSSPPSKIRRLDSELTPIVEEVEPAFTPVSNRHQSQGIVSADVSSMNEAMPAIPSNNEERAIVLYKPVEAPSILSSDRTNVSLQISSDLIHGLRNHIFKPGNQSFDEKTDVDSSCLAVVPWVPSEAAMTVDGSGGYKSQSKLPQEPMASAEAEAESMEVEEAREQAITYGESGEGFQQWWQHCMTPRLLPTMSSPMMWSW >Ma09_p28270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39088149:39091480:1 gene:Ma09_g28270 transcript:Ma09_t28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEIWGTWEELLLACAVNRHGTRRWESVAMEIQSRTSVSQLVTPQGCRQRYRDLQRRFGVGVVNGSGDDDGGDADPDSKADVPWLEELRRLRVTELRREVERYDMSIGSLQLKVKSLQEERERSLRQAESGEEKPDHETKKEAGPLGSTPESLAGNRISSGGDSVPSCDQSHSNDPQQIPGEDCREQGKASASVPPGSDATDPSAVADEKAAEGSYNGSTGSPTVGAAARKQAMGDSGESIAESKRGEEGEGGEKESSDVQSSASLSRRRRKAAFIGRSGGGEEAAAESQPLVDLLQIFRSDKYGSVFERRLESQVSVRYRSLVRQHVDLEMVRAKLDRRAPGRSYSTAEFFRDLLLLCSNAVVFFPKDSLESVAAVHLRRLVTKELDATFRTPKEPTPPPPPQPKPAAPKPNPEPDLAGALTDKTISSPPPIVSRKRSSISNKVAVAAAKKEEEEEKPDPARKESDNEEKSLTAKERTVLSGTTRGLRSSKVRGGKGEGGSAAKRSNLAPTPGLKSKPVDNVAAVEEVVKPDKKKQSATSFLNRMKRSSNGTLMEMLKSSSGAGGGREQKKEAKGDARKDQSSRQATGGGGDSGKKVAEASGVSGKRSVGRPPKRAAAYVTPPPAKRKREEAEAAAAAPAKTPASTSRKRRRR >Ma10_p11150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24746482:24750798:-1 gene:Ma10_g11150 transcript:Ma10_t11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MACVRNSIGSSWKLPAMTLSKNRFCGGLSHKVCFLGQRRTWSALSVSGAPESLTLFPRGNFVTRASIGVNGKSDDSDLSGSDNGSAKDSGERVVALVIGGGGREHALCFALQRSPSCDGVFCAPGNAGISHSGSATCISDLNIFDSKAVIAFCRKWGVGLVVVGPEAPLVAGLVDDLVEAGIPTFGPSAEAAALEGSKDFMKKLCDKYGIPTAKYRTFTNDSLAKQYVKEQGAPIVVKADGLAAGKGVIVAMTLEEAYEAIDSMLVDGAFGSAGSQVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTEELQSVVMESIILPTVKGMAAEGCKFVGVLYAGLMIERKTGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGSVSLNWSTESAMVVVMASEGYPGPYKRGTMIRNLEEAELLSPMVKIFHASTDFNSNGDFIAAGGRVLGVTAKGKDIEEARERVYDAVQAIDWPEGFCRHDIGWRALHLRQLTNNP >Ma10_p11150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24746482:24750709:-1 gene:Ma10_g11150 transcript:Ma10_t11150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MACVRNSIGSSWKLPAMTLSKNRFCGGLSHKVCFLGQRRTWSALSVSGAPESLTLFPRGNFVTRASIGVNGKSDDSDLSGSDNGSAKDSVFLSGERVVALVIGGGGREHALCFALQRSPSCDGVFCAPGNAGISHSGSATCISDLNIFDSKAVIAFCRKWGVGLVVVGPEAPLVAGLVDDLVEAGIPTFGPSAEAAALEGSKDFMKKLCDKYGIPTAKYRTFTNDSLAKQYVKEQGAPIVVKADGLAAGKGVIVAMTLEEAYEAIDSMLVDGAFGSAGSQVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTEELQSVVMESIILPTVKGMAAEGCKFVGVLYAGLMIERKTGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLAACRGELGSVSLNWSTESAMVVVMASEGYPGPYKRGTMIRNLEEAELLSPMVKIFHASTDFNSNGDFIAAGGRVLGVTAKGKDIEEARERVYDAVQAIDWPEGFCRHDIGWRALHLRQLTNNP >Ma05_p08460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6225020:6226180:1 gene:Ma05_g08460 transcript:Ma05_t08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSCSVDPPRHVHLLSLLLLLSSLHPLCLTEGRPFLMLQEVVKEGEEMKVGEAMLGSRPPRCEGRCLTCGQCEAIQVPAVPQETSGTRHFSTAITARGDDSSIYKPLSWKCKCGGMILNP >Ma10_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7944464:7965889:-1 gene:Ma10_g02480 transcript:Ma10_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEMSVAFENRVFNRRSGLGGGRMGCTLITGFLGGGKTTLLKHLLDHRGDLRLAVLVNEFADSDVDSLLLDSARLNRAFNLSTVSLTHGCACCEVSGPFRESLQRIVDSKHNFDCLLIETSGLARPDKFVAELKEVGIHLDLVVAVVDAESLDKIIKIDIVRKQLEHVDIVLLNKCDLATLSQISNAEDILEELTAGAKVVRSQFCKVPVDLIIDCSKLQALNIEKNDESMPGVLSHESLPKMAFQRYIYDNSPLQFSSSGHTSNQPSVGGDSSSTDDLHPGFPHGESFSSATFEAEVPLSLVVFQSKVLKSMRCASSLIRAKGIIWFAENRESRFVFQWSGLKRVEAISGQPWESSPKSCIVLIGTDKSELQTIISQLSASANPHNEVSSEYGNLREHAKSFASMVASDDRFKEPSLNKTPLVIFGLKGSPLRGVKESQLSGALMHVVNGKGNIFLTATTFGEDYNLQILLDEKSTVIDAWSEIRSATSIVVSKVCKNFCPCRSDLTAHVH >Ma10_p02480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7944464:7965887:-1 gene:Ma10_g02480 transcript:Ma10_t02480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEMSVAFENRVFNRRSGLGGGRMGCTLITGFLGGGKTTLLKHLLDHRGDLRLAVLVNEFADSDVDSLLLDSARLNRAFNLSTVSLTHGCACCEVSGPFRESLQRIVDSKHNFDCLLIETSGLARPDKFVAELKEVGIHLDLVVAVVDAESLDKIIKIDIVRKQLEHVDIVLLNKCDLATLSQISNAEDILEELTAGAKVVRSQFCKVPVDLIIDCSKLQALNIEKNDESMPGVLSHESLPKMAFQRYIYDNSPLQFSSSGHTSNQPSVGGDSSSTDDLHPGFPHGESFSSATFEAEVPLSLVVFQSKVLKSMRCASSLIRAKGIIWFAENRESRFVFQWSGLKRVEAISGQPWESSPKSCIVLIGTDKSELQTIISQLSASANPHNEVSSEYGNLREHAKSFASMVASDDRFKEPSLNKTPLVIFGLKGSPLRGVKESQLSGALMHVVNGKGNIFLTATTFGEDYNLQILLDEKSTVIDAWSEIRSATSIVVSKVCKNFCPCRSDLTAHVH >Ma10_p02480.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7944464:7965808:-1 gene:Ma10_g02480 transcript:Ma10_t02480.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEMSVAFENRVFNRRSGLGGGRMGCTLITGFLGGGKTTLLKHLLDHRGDLRLAVLVNEFADSDVDSLLLDSARLNRAFNLSTVSLTHGCACCEVSGPFRESLQRIVDSKHNFDCLLIETSGLARPDKFVAELKEVGIHLDLVVAVVDAESLDKIIKIDIVRKQLEHVDIVLLNKCDLATLSQISNAEDILEELTAGAKVVRSQFCKVPVDLIIDCSKLQALNIEKNDESMPGVLSHESLPKMAFQRYIYDNSPLQFSSSGHTSNQPSVGGDSSSTDDLHPGFPHGESFSSATFEAEVPLSLVVFQSKVLKSMRCASSLIRAKGIIWFAENRESRFVFQWSGLKRVEAISGQPWESSPKSCIVLIGTDKSELQTIISQLSASANPHNEVSSEYGNLREHAKSFASMVASDDRFKEPSLNKTPLVIFGLKGSPLRGVKESQLSGALMHVVNGKGNIFLTATTFGEDYNLQILLDEKSTVIDAWSEIRSATSIVVSKVCKNFCPCRSDLTAHVH >Ma10_p02480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7944464:7965808:-1 gene:Ma10_g02480 transcript:Ma10_t02480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEMSVAFENRVFNRRSGLGGGRMGCTLITGFLGGGKTTLLKHLLDHRGDLRLAVLVNEFADSDVDSLLLDSARLNRAFNLSTVSLTHGCACCEVSGPFRESLQRIVDSKHNFDCLLIETSGLARPDKFVAELKEVGIHLDLVVAVVDAESLDKIIKIDIVRKQLEHVDIVLLNKCDLATLSQISNAEDILEELTAGAKVVRSQFCKVPVDLIIDCSKLQALNIEKNDESMPGVLSHESLPKMAFQRYIYDNSPLQFSSSGHTSNQPSVGGDSSSTDDLHPGFPHGESFSSATFEAEVPLSLVVFQSKVLKSMRCASSLIRAKGIIWFAENRESRFVFQWSGLKRVEAISGQPWESSPKSCIVLIGTDKSELQTIISQLSASANPHNEVSSEYGNLREHAKSFASMVASDDRFKEPSLNKTPLVIFGLKGSPLRGVKESQLSGALMHVVNGKGNIFLTATTFGEDYNLQILLDEKSTVIDAWSEIRSATSIVVSKVCKNFCPCRSDLTAHVH >Ma10_p02480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7944464:7965808:-1 gene:Ma10_g02480 transcript:Ma10_t02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEMSVAFENRVFNRRSGLGGGRMGCTLITGFLGGGKTTLLKHLLDHRGDLRLAVLVNEFADSDVDSLLLDSARLNRAFNLSTVSLTHGCACCEVSGPFRESLQRIVDSKHNFDCLLIETSGLARPDKFVAELKEVGIHLDLVVAVVDAESLDKIIKIDIVRKQLEHVDIVLLNKCDLATLSQISNAEDILEELTAGAKVVRSQFCKVPVDLIIDCSKLQALNIEKNDESMPGVLSHESLPKMAFQRYIYDNSPLQFSSSGHTSNQPSVGGDSSSTDDLHPGFPHGESFSSATFEAEVPLSLVVFQSKVLKSMRCASSLIRAKGIIWFAENRESRFVFQWSGLKRVEAISGQPWESSPKSCIVLIGTDKSELQTIISQLSASANPHNEVSSEYGNLREHAKSFASMVASDDRFKEPSLNKTPLVIFGLKGSPLRGVKESQLSGALMHVVNGKGNIFLTATTFGEDYNLQILLDEKSTVIDAWSEIRSATSIVVSKVCKNFCPCRSDLTAHVH >Ma10_p02480.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7944464:7965893:-1 gene:Ma10_g02480 transcript:Ma10_t02480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEMSVAFENRVFNRRSGLGGGRMGCTLITGFLGGGKTTLLKHLLDHRGDLRLAVLVNEFADSDVDSLLLDSARLNRAFNLSTVSLTHGCACCEVSGPFRESLQRIVDSKHNFDCLLIETSGLARPDKFVAELKEVGIHLDLVVAVVDAESLDKIIKIDIVRKQLEHVDIVLLNKCDLATLSQISNAEDILEELTAGAKVVRSQFCKVPVDLIIDCSKLQALNIEKNDESMPGVLSHESLPKMAFQRYIYDNSPLQFSSSGHTSNQPSVGGDSSSTDDLHPGFPHGESFSSATFEAEVPLSLVVFQSKVLKSMRCASSLIRAKGIIWFAENRESRFVFQWSGLKRVEAISGQPWESSPKSCIVLIGTDKSELQTIISQLSASANPHNEVSSEYGNLREHAKSFASMVASDDRFKEPSLNKTPLVIFGLKGSPLRGVKESQLSGALMHVVNGKGNIFLTATTFGEDYNLQILLDEKSTVIDAWSEIRSATSIVVSKVCKNFCPCRSDLTAHVH >Ma10_p02480.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7944464:7965834:-1 gene:Ma10_g02480 transcript:Ma10_t02480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEMSVAFENRVFNRRSGLGGGRMGCTLITGFLGGGKTTLLKHLLDHRGDLRLAVLVNEFADSDVDSLLLDSARLNRAFNLSTVSLTHGCACCEVSGPFRESLQRIVDSKHNFDCLLIETSGLARPDKFVAELKEVGIHLDLVVAVVDAESLDKIIKIDIVRKQLEHVDIVLLNKCDLATLSQISNAEDILEELTAGAKVVRSQFCKVPVDLIIDCSKLQALNIEKNDESMPGVLSHESLPKMAFQRYIYDNSPLQFSSSGHTSNQPSVGGDSSSTDDLHPGFPHGESFSSATFEAEVPLSLVVFQSKVLKSMRCASSLIRAKGIIWFAENRESRFVFQWSGLKRVEAISGQPWESSPKSCIVLIGTDKSELQTIISQLSASANPHNEVSSEYGNLREHAKSFASMVASDDRFKEPSLNKTPLVIFGLKGSPLRGVKESQLSGALMHVVNGKGNIFLTATTFGEDYNLQILLDEKSTVIDAWSEIRSATSIVVSKVCKNFCPCRSDLTAHVH >Ma06_p33490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34113000:34118328:-1 gene:Ma06_g33490 transcript:Ma06_t33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLTPVRAGLLLDFPNMPSPTRSLAAADRSRTHRHHRRCSRRWQNRDFRIAAAATAAAEGFSSRDDVADDYYAVLGLLPDATPRQIKKAYYNCMKSCHPDLSGNAPDVNNFCMFVNEVYAVLGDPVQRMVYDEIHGYTTTAVNPFLDDSAPKDHAFVDEFSCIGCKNCANVAPDVFQIEEEYGRARVCCQSGNPDLVQEAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSSTDVFRMASTRWDKRQAKVLEKAKIRVTKQRENDKSGSWSNIWGVPKNYPSTEEAAVKERAKRAAAAARRWREYSRRGADRPPTYKLPETISSKES >Ma01_p22370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24465756:24478025:-1 gene:Ma01_g22370 transcript:Ma01_t22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANSQNIAHLKSSFLARWPSPRRPGDRFARQFLPASILPRPAAALRRDTDAGDGEVRGMAKRREFLLLPSLAMAVGFLHSVAAAAAAEEKAPEPLPAAASAPTVVDADKGKEKEKEKEEEGEPEILSRVYDATVIGEPQAVGKDKRRVWEKLMGARVVYLGEAEMVPDRDDRVLELEIVKNLRNRCLEQQKTVSVALEAFPIDLQQQLDQFMDGRIDGGSLRSYTFHWPSERWQEYEPLLNYCRDNGVKLVACGTPLKVLRTVQAEGIRGLSKEERKLYAPPAGSGFISGFTSISGRSLIDRISSDPSVLFGPSSYLSAQARVVDEYNMSQSIMKAASDGSSSGMLVVITGASHVIYGSRGTGIPARISKKLQKKNQAIILLDPERQQIRREGEVPIADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQTGLDLGIVSPEILQNFFDLEKYPLVSELIHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKGRFLEEIDYVLTDTIRGSVVDFFTVWLPAPTLSFLTYADDSSSLESFELLKGLLGSIPDNAFQKSIVGKDWNSIQRFASVIVGGLKLAGVGFISSIGAGIASDVLYFVRGTLKPALTVNSRRKRSPIFKSAIVYGCFLGTSANLR >Ma01_p22370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24463592:24478039:-1 gene:Ma01_g22370 transcript:Ma01_t22370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIANSQNIAHLKSSFLARWPSPRRPGDRFARQFLPASILPRPAAALRRDTDAGDGEVRGMAKRREFLLLPSLAMAVGFLHSVAAAAAAEEKAPEPLPAAASAPTVVDADKGKEKEKEKEEEGEPEILSRVYDATVIGEPQAVGKDKRRVWEKLMGARVVYLGEAEMVPDRDDRVLELEIVKNLRNRCLEQQKTVSVALEAFPIDLQQQLDQFMDGRIDGGSLRSYTFHWPSERWQEYEPLLNYCRDNGVKLVACGTPLKVLRTVQAEGIRGLSKEERKLYAPPAGSGFISGFTSISGRSLIDRISSDPSVLFGPSSYLSAQARVVDEYNMSQSIMKAASDGSSSGMLVVITGASHVIYGSRGTGIPARISKKLQKKNQAIILLDPERQQIRREGEVPIADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQTGLDLGIVSPEILQNFFDLEKYPLVSELIHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKGRFLEEIDYVLTDTIRGSVVDFFTVWLPAPTLSFLTYADDSSSLESFELLKGLLGSIPDNAFQKSIVGKDWNSIQRFASVIVGGLKLAGVGFISSIGAGIASDVLYFVRGTLKPALTVNSRRKRSPIFKSAIVYGCFLGTSANLRYQIIAGIVEHRLSDYLLSYNSGLLLVNALSFTVRTINSYWGTQQWVDLARFTGLQTHNKEAVPDQVVDTPDIPLLECSSEKVNEVDESNNQSGDTPT >Ma05_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33993686:33997721:1 gene:Ma05_g22260 transcript:Ma05_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRSVMALALALLAAALVAPCFAGDPYAYFDWDVSFITAAPLGVKQQVIAINGQFPGPIVNVTTNWNVVVNVLNDLDEPLLLTWNGIQHRKNSWQDGVQGTNCPIPSGWNWTYQFQVKDQIGSFFYFPSINFQRAAGGYGGFTVNNRDVIAVPFDKPDGDITLFIGDWYNKDYKDLRKALDNGKQLGMPDGVLMNGKGPYRYNKTLVPDGIDYETVHVHPGKTYRFRVHNVGISTSLNFRIQNHNMLLVETEGSYTVQQNYTNLDIHVGQSYSFLVTMDQNASSDYYMVASARFVNESRWARVTGVAILHYSNSKGKASGPLPDPPNDVYDKTFSMNQARSIRWNLSAGAARPNPQGSFRYGSINVSQVFVLRNKPPVVIRGTHRATLNGISYTAPATPLRLADEYKLKGVYTLDFPTRPLKGAPKVGRSLINGTYRGFMEIIFQNNDTKVQTYHVDGYAFFVVGMDYGEWTEESRGTYNKGDGVARCSTQVFPGAWTAILVSLDNVGIWNVRAQNLDTWYLGQETYIRVINPEDTNKTELPVPDNALYCGLLQKYQNEQTPHHKATSSAPLTHQVYRVLVLMVLMVAAATLP >Ma05_p27180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38579183:38580820:1 gene:Ma05_g27180 transcript:Ma05_t27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLLPTTVVQMIKSNGITKVKLFDADSWTVSAFAGTGIELMLAIPNDMLKRISSDYSHAQDWVKENVTKHDYDGGVNIKYVAVGNEPFLSSYNGSFMKTTFPALKNIQKALDEAGVGNRIKATVPLNADVYNSPESNPVPSAGDFRGDIHSLMVDMVRFMHSNGAPFVVNIYPFLSLYQNPDFPIDFAFFDGGGRNLNDKGHQYTNVFDANYDTLVWSLKKAGVSDMKIIVGEVGWPTDGDKNANTENAKRFYDGFLKKMGQNEGTPMRPGHMDVYLFGLIDEDMKSVLPGNFERHWGIFTYDCRPKFPMDLSGKGNDKYLKRATGVQYLPEQWCVLNPDVKQLSAMGPNLDYACSLSDCTALGYGSSCNDLDRGGNASYAFNMYFQMQDQDVRACNFEGLAVITTKNASRNGCLFPVQIVSAAPPAAVATARALLVAGIAAILLL >Ma09_p03640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2415126:2420816:1 gene:Ma09_g03640 transcript:Ma09_t03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKEAVVVWRPIPGLGDAPRRFPPPRAVFASVSNGGDGGVASGVRDREKDKEDGREREAFPSPEQLLRHPLALLALVPDGAALFTAGAIAGAAAKTLTAPLDRAKLLMQTHGLRAVERTGKKAIGFIDAITLIGKEDGIKGYWKGNLPQVIRIIPYSAVQLFSYELYKKLFSKKDGELSIVGRLAAGACAGMTSTLVTYPLDVLRLRLAVEPGCRTMSQVALNMLRDEGLASFYSGLGPSLIGIAPYIAVNFCVFDLVKKSLPEKYQKRPETSLATALVSATIATLMCYPLDTVRRQMQMKGSPYNTIFDAFPGIVERDGFFGLYRGFVPNTLKNLPNSSIRLTTFDMVKSLISSGRKELERISAGNKVKLVS >Ma09_p03640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2415126:2420886:1 gene:Ma09_g03640 transcript:Ma09_t03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKEAVVVWRPIPGLGDAPRRFPPPRAVFASVSNGGDGGVASGVRDREKDKEDGREREAFPSPEQLLRHPLALLALVPDGAALFTAGAIAGAAAKTLTAPLDRAKLLMQTHGLRAVERTGKKAIGFIDAITLIGKEDGIKGYWKGNLPQVIRIIPYSAVQLFSYELYKKLFSKKDGELSIVGRLAAGACAGMTSTLVTYPLDVLRLRLAVEPGCRTMSQVALNMLRDEGLASFYSGLGPSLIGIAPYIAVNFCVFDLVKKSLPEKYQKRPETSLATALVSATIATLMCYPLDTVRRQMQMKGSPYNTIFDAFPGIVERDGFFGLYRGFVPNTLKNLPNSSIRLTTFDMVKSLISSGRKELERISAGNKEHLIGCAEAKEGKI >Ma05_p28120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39220807:39222685:-1 gene:Ma05_g28120 transcript:Ma05_t28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MAAPHCLLLFRCSTQVPREATRLCLPPLCARSPARRQMRHARLGSPSLVSVGLCFAKPCTTARASKAVELVLGDGRDEEDARRWNSAKTESDESDEDEDEDGPSLMSEDERKEMRRKIREVLDTNLNVEEETDPVQRRIKMQKLLADYPLVVEEEDPNWPEDADGRGFNLDQFFNKITIKNVRNDDDDYNYDSDKEVVWQDDNYIRPIKDITAREWEDTVFKDFNPLVILVHNRYRRPRENEQARNELERAVEMFWESGLPSPRCVAIDACVEHDLVDALQVSIYPEILFTKAGKILHRDKVVRSADEWSKIMAFFYYKAVRPSCLDETAGKDEEKIPSLS >Ma09_p23310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35191793:35195101:1 gene:Ma09_g23310 transcript:Ma09_t23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAEAARNAKETLELAFQMSNILETGLDRHTLSLLIALCDRGVNPEALAALVRELSPAPRATPPDPSTTTNSSSSSRPNTVHH >Ma09_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35191793:35195228:1 gene:Ma09_g23310 transcript:Ma09_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAEAARNAKETLELAFQMSNILETGLDRHTLSLLIALCDRGVNPEALAALVRELSPAPRATPPDPSTTTNSSSSSRPNTVHH >Ma05_p03490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2545269:2551857:1 gene:Ma05_g03490 transcript:Ma05_t03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSIFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIINDPFMLNSVILVFANKQDMPYKL >Ma05_p03490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2545220:2552421:1 gene:Ma05_g03490 transcript:Ma05_t03490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSIFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIINDPFMLNSVILVFANKQDMRGAMTPMEVCEGLGLYDLRNRVWHIQGTCALRGDGLYEGLDWLASTLKELQPSGRATSVGTSSS >Ma01_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8583835:8584857:-1 gene:Ma01_g11830 transcript:Ma01_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSGRSRGTVKWFNDTKGFGFISPDDGGEDLFVHQSSIKAEGYRTLAEGEVVEFMVAEGDDGRTKAVDVTGPDGSNVQGGGGGGGGGGGRRDGYGGGRGGGMRGGVYGGGYGFNGGGRGGGGRGRASGGFGGGGACYTCGETGHLAKDCYQGGGGGGGGGGGGGGACYNCGEMGHLARDCYQGGGGGGGGGGVGGGGGRYGGGGGGSDRSCYNCGEMGHFARECPGKN >Ma04_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4283735:4287768:-1 gene:Ma04_g05730 transcript:Ma04_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISIPSSTSGPPSATVSSLPNSVQLHHRPDRSRCFRITSPSCKLNRFSTPPPRQRVDVGTSRCLGCSRSAWGGKRKDSASCSVTANRDGEVESGVEFPRDYFELLQQAKEATALALKDDKQLLEIEFPTSGLESVPGDGEGGIEMTECMQLIRGFCDRLIAPEKSTRTRVFFPEANEVEFARRSAFEGTALKLDYLTNPSFFEDFGFITKVKMTDRVKPDDEMFLVAYPYFNVNEMLVVEELYKEAVVNTGRRLIIFNGELDRIRSGYYPQFFYPKLAALTKTFLPKLETVYYIHNFKGLKGGTLFRSYPGPWKVLRKLRGRYICLHQQEEMPSLKEVALNILPSA >Ma10_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30337481:30340501:-1 gene:Ma10_g20020 transcript:Ma10_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRWLFPLVSVSFVAVLLVLSAISGFIASSAFFAGQPDAADVHRGAAHPPAFAYYISGGRGDASRMIRLLLAVYHPRNRYLLHISADAPNSERADLAIRVRLSMPAVRAFENVDVVGKASPMTPMGSSELAARLHAASVLLRLDGSWDWFVTLNAADYPLVTQDDMIHVFSSVPRDFNFVDHTSDLGWKEYQRVQPIIVDAGIYLANRKQFFQASQKRDTPESFKFFTGSPWVILSRSFIEYSVLGWDNLPRTLLLYFTNVILSQEGYFHSVMCNSPDFQNTTINSNLRYMVWDNPPKMEPHFLNMTDFDRMMESGMPFARQFHQDDPVLNKIDDKVLGRRHHQAVPGAWCSGRKRWWTDPCSHWSNANIMRPGPQAEKFAQVMKDLLDKWKSDSRSCKSHENATISS >Ma10_p03050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11137470:11139801:1 gene:Ma10_g03050 transcript:Ma10_t03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGGAAAEGDLEAAEKLIMRWDSTASSAADERMIFDGADRSDAERYLRAVDELRRSIKDPVIVGSPRRSSSSSSHSSSENAIQIAMARLEDEFRNLLLTRANEVEVDALVDLSSLSLNSSASMDGYGDLSDAEGGGGGDGGGEGEAFSSDSVGASSSIRRSSIRSTRSIREIDLLPADAVDDLRSIAERMIAAGYGRECVQVYAGARKAAVDVCFRNLGVEKLSIGEVQRLEWDALEAKIRRWIRAARVCVRIIFASERRLCEHIFEGLGIADDAPFIETVKGASIQLFGFAEAISIGRRSPEKLFKILDLHDTISDLLPDIAVVFLSKSAESIYTQATEILSRLAEAVRGILSEFENAVFRDPPKTPVPGGTIHPLTRYVMNYISLISDYKPTLIELIVTRPSASSRFSGDDLAAAGAAVPELDFPVSENQTPLAAHLIWIIVVLEHNLENKANLYKDNALSHLFLMNNVHYIVHKVKDSPELREMIGDDYLRKLTGKFRLSATSYQRATWMRILHCLRDEGIHVSGSFSSGVSKSTLRERFKAFNAAFDEAHKTQATWYVPDTQLREELRISISEKLLPAYRSFLGRFRLHIENGRHPEMYIKYSVEDLEISLSDFFEGCAPSLHNRRRSH >Ma10_p20550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30659866:30663386:-1 gene:Ma10_g20550 transcript:Ma10_t20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGAAAVGLRSTSHVVLATVNKAASDLSSHQRKVFKIDDHIGVAIAGLTADGRVLSRYLRNECINHAFVYESPLPVSRLVVRLADKAQVCTQRSWKRPYGVGLLVAGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYMERRFEAFNNYSRDELIKDAIFAIRETLQGEKLTSSICTVAIVGIDEPFHVIDQKIIQEVIDSVEIKEDAAAAADQAPAQDDEDKSSEAAPMDI >Ma07_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:86353:87279:-1 gene:Ma07_g00060 transcript:Ma07_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSSITKREESLAKGKPAAASATVHRDPLVQRDSRPPETEAEEAVKEVLLETPRPRSTPPLPTTRTSACEDANSKEVEIIEKGYATGVPLNGIAPLSIDSSNGCDSISEDASEAWSVSAKSETLSASATTAERRRGAVAEAGMRATREERSPAKYQRKRSVSGDFACRRDRSVAVGCGSRRSSFSPAGRRSENAAVARTNSAREFASSRASRPGATGDLGERSGRRSVSPVMKRAAARANGTKQQMPGDEGEKKLCVKDEGIVGGEAAGSAGEAKESLENPLVSLECFIFL >Ma11_p12870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16845833:16846132:1 gene:Ma11_g12870 transcript:Ma11_t12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWYPHHQHHRLTVFPVRVGGEEMAASGWGVTGNDGRCCDFSDCVSRCREPKCCALLRDDYLECLHHSKEVIPLNPYSRLQDLLILIGPSRHHASFTF >Ma03_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25035083:25038898:1 gene:Ma03_g19780 transcript:Ma03_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVVAMHALSGAVPAHFSPSLFANAPHVAIHYKTRGKYRRKSRALAASCSTSRMRNGCLRDYGFRNINNRLLSLKCKCQRAESTSGDANKTWFTEPTSKADQILGELNGQKVVDFENGSVIMPNDVSVNQSSDKTRGNSVEDVAWRLLQESVVYYCGTPVGTIAAKDPSDSGSSVLNYDQVFIRDFIPSGMAFLLMGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKIRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALVCAREMLAPEDGSADLIRALNNRLIALSFHIREYYWVDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPEKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSLAILDLIEAKWSDLVADMPFKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAARAIEVAEKRIAADRWPEYYDTKRARFIGKQARLYQTWSIAGFLVVKLLLKNPDAARNIWNDEDAEIVNALNIIVDSNPRKRGRKVLKKTYII >Ma05_p26520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38107284:38109899:1 gene:Ma05_g26520 transcript:Ma05_t26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIISSALHTAFLGLLLQLMLLHLPPLPLCFEASLLWLAGLQSRRMRQLYSKSRSLKRLMSVAIGRRSREEESTEEIAAPVKSTWRCFSYEEIHAATDGFRKDNLVGRGGYAVVYRGVMADGQAIAVKTMVGASTDEQREKDFLTELGTVGHVRHPNVSALLGCCIDRGLHLVFEFSSRGSVSSNLHDASSPSMAWKLRHGIAVGTAHGLHYLHKECPRRIIHRDIKASNVLLTANFQPQISDFGLAKWLPSEWTHRAISPIEGTFGCLAPEYFMHGIVDEKTDVFAFGVFLLEIISGRKPVDGSHRSLLSWARPFLDDGSLQMLVDPRLGDDYDMEQLKRLSFAASLCIRVTAALRPSMTEILELLEGGEISQDRWKMLEEEEVEEEFWGFDDLDDDDDDDCDTPSTPSTGSS >Ma07_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7906755:7907201:1 gene:Ma07_g10600 transcript:Ma07_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQCSLVKTCSETQFDGWSMWTLVCTHPNCWCSPHCIDGKHLMILFLFIFMLQSEKCPTRSQPIQELLCRPQIIDGCNWYFSSLFHVLFLCFWNKHLNLLAQACIRSHYMQS >Ma10_p28570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35418133:35425888:-1 gene:Ma10_g28570 transcript:Ma10_t28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIGGSVSVEFGCLRKYEVRRLSTRQGSGRMLSIRASVVSSPDTLELSKANAKQAEKMVRVGVLGASGYTGAEIVRLLANHPHFGITLMTADRKAGQSIGSVFPHLITQDLPNMVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPKGLKIVDLSADFRLRDVNEYEEWYGLPHKASELQKEAVYGLTEVLRGEIQNARLVANPGCYPTSIQLPLIPLIKAHLIELRNIIIDAKSGVSGAGRGAKEAHLYTEIAEGLHSYGITKHRHVPEIEQGLSDASNSKITVSFTPHLMPMSRGMQSTIYVEMAPGVNIDDLYEHLNNTYQTEEFVRLLEKGVVPHTRHVRGSNYCLMNVFQDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGFPEDTGLQYQPLFP >Ma10_p28570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35418133:35425888:-1 gene:Ma10_g28570 transcript:Ma10_t28570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIGGSVSVEFGCLRKYEVRRLSTRQGSGRMLSIRASVVSSPDTLELSKANAKQAEKMVRVGVLGASGYTGAEIVRLLANHPHFGITLMTADRKAGQSIGSVFPHLITQDLPNMVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPKGLKIVDLSADFRLRDVNEYEEWYGLPHKASELQKEAVYGLTEVLRGEIQNARLVANPGCYPTSIQLPLIPLIKAHLIELRNIIIDAKSGVSGAGRGAKEAHLYTEIAEGLHSYGITKHRHVPEIEQGLSDASNSKITVSFTPHLMPMSRGMQSTIYVEMAPGVNIDDLYEHLNNTYQTEEFVRLLEKGVVPHTRHVRGSNYCLMNVFQDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGFPEDTGLQYQPLFP >Ma08_p33010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43526784:43528473:1 gene:Ma08_g33010 transcript:Ma08_t33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALWETLLLLLANLFWGAIAARPCSGSGSGSASDMNYCLSWRVAVEANNAQAWRTVPAQCTRYVEDYMLGGQYNRDLDTAIDQIFIYLNGTLAADDGMDAWILDVDDTCLSNLLYYKDKHFGGDPYDPLAFKSWAQKGVCPAIPAVLQLYKRLIEKGFKVFLLTGRDEVVFSSSTSQNLHAQGFTGHERLILRNQAYRGQGAAVFKSAIRKQLVSEGYRIRGNIGDQWSDLSGDCIGNRLFKLPNPMYFVP >Ma06_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9726523:9729243:1 gene:Ma06_g14190 transcript:Ma06_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVHEFEDWEVLSSSSSAASDGFVVIHGTADDVRSENFDSDARTPTPSSSEEQEEEESGDGGLDSDYLRWGFPDSDSERAADGREELVVSYREDSNAEYETKMIDWSHGEAMAGYDHEGSNSDDETKTIDLRHGGDLMTQRAAERGDETVVSDLEDSNERQMIDSSDGGDSMTQSSSSDDLILKESCSNGIDGIRGANEIEHEEAWELTSDEIEELKEEYGETDASGISGCCGEERRGVMWWSMPFRNLKLNLFKVKPIWSVSVLAAFVGFAVLRRMLNSIKQENPSVSHRALTGDKMAPQLTVDAASPARRNVSVVKAFLEGGDVSRRPVSSLR >Ma11_p02280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1630845:1635512:1 gene:Ma11_g02280 transcript:Ma11_t02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGAPDKDTNLGSMQNCCCYCCCYSFDDDGCGCDGSGYDCDGDGCDCDGGGCDCDGGGCGCDDGGCGCDGGGSYSEEERWGNAGEHSTRDAADEGNRCWRRVAVEKTIVIRRRGKICHTDGLMMEKQCGINGRGYSSEETASDGEEEEEMGATMDPPVALGPDIMMKILESSDACSVARRTLVSLRWHEIAASDFLWAQKCEELFKGKAHSVKTSSLFYITLHSNTSLSLILFGLASRRRTFVIMFGNFIFNCSRILAEP >Ma08_p16180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17181125:17181460:-1 gene:Ma08_g16180 transcript:Ma08_t16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFFRIDCVRYEFGLCLNGVAMYREGEASSESLVERSYSKFWRQFRLPDKADLDSVSAKLEDGVLMVALLKLALEKIRDRRLVSIAGGDDAGDKAKLQGSSSKAKKVDL >Ma04_p10440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7431398:7436331:-1 gene:Ma04_g10440 transcript:Ma04_t10440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPTQISKQKPRATEISSSPLASEDVVVADSNLSDAPESSGLPKEIEEKTSEETELEFEAKSSRDGAWYDVAMFLAHRVLSSGELEVRVRYQGFGAEEDEWVDVKKAIRERSIPLESSECRKVSAGDLVLCFRENSDQATYFDAHIVEVERKLHDIRGCRCLFLVRYDHDQTEEKVHLRRLCRRPVY >Ma04_p10440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7431398:7436690:-1 gene:Ma04_g10440 transcript:Ma04_t10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLRSPPTPKTQSPRVSWSPEENGMMPPTQISKQKPRATEISSSPLASEDVVVADSNLSDAPESSGLPKEIEEKTSEETELEFEAKSSRDGAWYDVAMFLAHRVLSSGELEVRVRYQGFGAEEDEWVDVKKAIRERSIPLESSECRKVSAGDLVLCFRENSDQATYFDAHIVEVERKLHDIRGCRCLFLVRYDHDQTEEKVHLRRLCRRPVY >Ma06_p12440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8629509:8633231:1 gene:Ma06_g12440 transcript:Ma06_t12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MATRAAASLAVSTLFPPPNRVLPSSSARIPSSSVVKPSVFLASPAAIREPRSRSRRSTRAYGFSGANMSVAAQETSLAVKKKAAEILNDLKGTSIFLVGMNCTMKTDLGKILADALRYCYFDSDSLVEQAAGGVSAAKSLRKEDEKGFCDSETEVLKQLSSMGRLVVCAGDGSVQTSTNLAYLRHGISIWVDVPLDYLANEMLMGEVSSPITVNAPESDSFSEQVLESLMQQYNELKEGFGTADTTVSLQKVASQLGCEDFTSVTPEVMVLEVLKGLDRLMSVKKMMEAAAKPF >Ma09_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2497646:2498136:1 gene:Ma09_g03780 transcript:Ma09_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRFHCMVLLLLVSTFQVHISLSTAEAGPLPFTKQERYVAVLESLGIKCQCCDGAGGECRSSWDSNCSKLDCHNLKFL >Ma09_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34127447:34130199:-1 gene:Ma09_g22140 transcript:Ma09_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHVFALGFVFLGIKMKPAVSTPCLQAGIRSSPRNMRYLKSRTLPPHINIGITFPRSGSSYNSMNDTLETLIMGIIRFGDELRLNPRFISFNGADSEPAILKAFGPRKLLIEGSVSSSRRGMDPFHLETKISVKSPRLEPDASAMSDDPKRLRFSTPLKKRSLESALIGPDSPKHGAAVKLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFVLLKLSSVSFFVIEKPESAVSRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLQFYYDCWLQCESTEPFFYWLDVGEGKEVDLEDQCTRSKLQQQCIKYLGPKEREAYEVVIEDGKFMYRQSRQLLNTSGGPKDAKWIFVLSTSKNLYVGQKKKGTFQHSSFLAGGAISAAGQLVVEDGALEAVWPHSGHYRPTEENFKEFMSFLDENNVDLSDVKKSPTGEDDERDGGLKKSHSERNLAEKDITPAEPETTEDASSSVAFEVANVSSFLADLKLRGEESSDRQQRYIFRKKNLFLEEGEEDDEGFVPSELILRRINSKKGIGSGQLGKQLSFRWTTGAGPRIGCVRDYPSELQFRALEQVNLSPRSAGASRFALPRTAACGTPTAQQQPH >Ma11_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21279417:21280987:-1 gene:Ma11_g15600 transcript:Ma11_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVATPLKAKHVPLLVATSRPSLILRRRLSLPKPASPRRCGRVYASMLPTPPPYPPPPGEQVYQPFRPPPSPLPAKYRSLGTAERLEILRDRLGLWHEYAPLISSLGHDGFNPPSIEEVTGISGVDQNRLIVAVQVRDSLVSSSFDPELLAFFDAGGGADLLYELRLLNAAQRAAAAYRVIEHRLDPKAAQELARAMKDFPRRRGDVGWDRFSAASPGDCLAYTHFRLSRETMSPAERMASLDRAVEAAETDEARKRIEEEIERASRQGEGGAVAAVEEAEELRVTVPVVRLRYGEVAEASTVVLLPVCRAEEGEEGIAAAPGRCRAEGELGVMAAERGWARWVVLPGWGPVVAAGNGVAVEFVDGRVLPWRGSRGWEEAVLVVADRRRREVGAEDGYYLVGGEEEGRGKGLGVERGGKLMGKGVKEALGTVVLVVRPPREEEDDMLRDEDWD >Ma04_p05390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4014168:4014284:-1 gene:Ma04_g05390 transcript:Ma04_t05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLMILLYLWSCFIGSIVENLWSSPGPSEKNWITSYG >Ma04_p04170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3199634:3200878:1 gene:Ma04_g04170 transcript:Ma04_t04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 40 precursor (EC 1.11.1.7) (Atperox P40) [Source: Projected from Oryza sativa (Os08g0302000)] MVTIIVCAILVLVVAGQLNALSKDCIGVGGGGGSGGFDGGSLNFDFYISSCPQAEAIIFSGVQQAMASDPRMAASLLRLHFHDCFVNGCDASVLLDDTPNLVGEKTAGPNLNSLRGFDVVDGIKTELEMACPDTVSCADVLAIAARDSVVLSGGPTWVVEVGRRDGVTASLSMATANIPAPTSDVATLVQKFQNLGLSTKDMVVLSGAHTIGKARCSSFISRLNGGGIADAAADRIFLQSLQQLCAGSNGTLAHLDLATPATFDNQYYVNLLSGEGLLPSDQVLVNGGGEVGSLVQAYAMDPVLFYEDFAVSMVRMGRLAPPPGSEAEVRRCCRVVN >Ma03_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1681826:1685358:-1 gene:Ma03_g02470 transcript:Ma03_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPHAGCYAVALAAVAFSRPRPLYSSLFQHRSLAFSSRASLRFILSAGMEHFSTKGSMDKNEGLVEQLKHYGMIKSDKVAEVMQIVDRGLFVPEGSPAYVDSPMPIGYNATISAPHMHATCLELLEEHLQPGMRALDVGSGTGYLTACFAMMVGPQGRTVGVEHIPELVASSINSIERSAASSLLNEGSLSVHAADGRKGWPELAPYDAIHVGAASPDIPRALLEQLKPGGRMVIPVGNFFQNLKVVDKNDDGSVHVYSEASVRFVPLTSRAAQLQDY >Ma02_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24479491:24480195:1 gene:Ma02_g17640 transcript:Ma02_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGNRKPHFVLVPLLVQGHMIPVIDLARLLALQAVAVSVVTTPGNTARFKVLIDRANAAGLLIQFGELRFPCAEVGLSEGCQSYDVIPSWELVKNFHLRQQCPKPSCIISDASDTFEPFLVPDLPHKVEVVRAQSMSFFDYPGWEKLRDEVAEAESTADELVMNSFRELEAAFIDSYQKALEKKVWAPGPV >Ma10_p26220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34013381:34014835:-1 gene:Ma10_g26220 transcript:Ma10_t26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIALGNHHEAAEPGCIRAVLAEVVLTFLFVFAGVGAAMAAEKMVGGDSIMGLTAVAVAHALVVAVMISAGLHISGGHLNPAVTLGLAVGGHVTVVRSLLYVVAQLLGSTLACLLLKYLTGGLDTPVHTLAAGMGAVQGVIMEIVLTFSLLFSVYATMVDPKKGIIAGLGPLLVGLVVGANILAGGPFSGASMNPARSFGPALAAWNWTDHWIYWVGPLAGGGLAGLVYEHLFMVSTHVPLPREDEGF >Ma05_p05800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4403267:4405393:-1 gene:Ma05_g05800 transcript:Ma05_t05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFACITLLLASCSVALLNVNEANQAEQLIRLTQSKRSTWSDQPDPWLDLDAADSASSSVYVGPQDGLSDADKITALPGQPRGVDFDQYAGHVTVDPQKGRALFYYFVESPRGSSSKPLVLWLNGGPGCSSLAYGAMEEFGPFRVKSDGKTLERNPYAWNEEANVLFLESPAGVGFSYSNTTTDYDQSGDNRTAEDTFTFLLNWLERFPQYKNRMFFIAGESYAGHYVPQLASLILHRNKLANQTLINLSGIAIGNAYVDDATNSEGRYEFLWSHALISDETFARIRRSCNFSAIINQECNKATTDADAEAGNIDPYNAYAPVCVDSNSSSESGISMADIDPCSDTYVEAYLNDPEVQRALHANVAKIDGPWSSCTDLPWNDSPKSTVPVMKQLVDSGLRVWLFSGDTDTVCPYRGTVEAIKIMKLPIKNPWRSWYSDKQVGGYVVGYGGGLTLVTVRGAGHMVPSYQPERALLMFSSFLRGELPPPS >Ma08_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4207952:4218035:1 gene:Ma08_g06210 transcript:Ma08_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFIVSSPWTLPPPPPGCGVSSLIRGSAKPNPRRCVGHLRRLRLRPSGCPIRRLDVFTSKCFATNTDVDGDNVAAENTVAENPRPLSSSCSISVHLKSDILDSIPLDLSSKKTDANRVFTNLHVLSKREQDALAATPTHPTSLYVLYAISLVGNLVEQLWNFVWPAAVAIVHPSLLPVAVVGFFTKLAIFVGGPLVGKLMDCFPRVPAYHSLNVIQTAAQLLSASMIIYALNNTIKQSSTSSVILQPWFMVLVVAGAIERLAGLALGVTMERDWVVMLAGRNRPVALAQANSVLSRVDLLCEIAGASLFGILLSKYNPVTCLKLACGLTISVQPILVILGHVINSLSSGVLDCSRSGVKPVGSSSLFDARKIVENGLDAFGHGWKEYKHQPVLPASLAYVLLYFNIALAPGAIMTAFLVHHGINPSIIGGFSGLCSIMGFAATFMSASLVRQLGILKAGAAGLIFQAFLLTLAVSVYWAGSITQQARLLIFLSLIVVSRLGHMSYDVVGTQILQTGIPTAKANLIGITEVSMASLAELLMFGVAIIANDVSHFGFLATLSVSSVAVAALIFCWWLANPTDGQKKLFKFDAPL >Ma08_p06210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4207952:4218035:1 gene:Ma08_g06210 transcript:Ma08_t06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFIVSSPWTLPPPPPGCGVSSLIRGSAKPNPRRCVGHLRRLRLRPSGCPIRRLDVFTSKCFATNTDVDGDNVAAENTVAENPRPLSSSCSISVHLKSDILDSIPLDLSSKKTDANRVFTNLHVLSKREQDALAATPTHPTSLYVLYAISLVGNLVEQLWNFVWPAAVAIVHPSLLPVAVVGFFTKLAIFVGGPLVGKLMDCFPRVPAYHSLNVIQTAAQLLSASMIIYALNNTIKQSSTSSVILQPWFMVLVVAGAIERLAGLALGVTMERDWVVMLAGRNRPVALAQANSVLSRVDLLCEIAGASLFGILLSKYNPVTCLKLACGLTISVQPILVILGHVINSLSSGVLDCSRSGVKPVGSSSLFDARKIVENGLDAFGHGWKEYKHQPVLPASLAYVLLYFNIALAPGAIMTAFLVHHGINPSIIGGFSGLCSIMGFAATFMSASLVRQLGILKAGAAGLIFQAFLLTLAVSVYWAGSITQQARLLIFLSLIVVSRLGHMSYDVVGTQILQTGIPTAKANLIGITEVSMASLAELLMFGVAIIANDVSHFGFLATLSVSSVAVAALIFCWWLANPTDGQKKLFKFDAPL >Ma09_p08480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5617654:5618578:1 gene:Ma09_g08480 transcript:Ma09_t08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTRIQSLVLEIYSRRLLLHAALPWQRSPPTPGIADISDSQGRVVDPTAVIVVLTALLCALICALGLKSIIRCALRWSNRPAQTGLRRKALQRLPRLVYSAGVRLTGAVPECAICLSEFVPGEHIRVLPSCNHGFHLKCIDRWLTARSSCPTCRRCMLVAKTAACAGEGQPGPQPVHAVLVPLEPEGLAATHHS >Ma04_p06080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4491780:4494762:-1 gene:Ma04_g06080 transcript:Ma04_t06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPLTPIIRLQLLHSRRLRPVLGARPLSSATDHPDKAPPASSSSSVAPQSEVEARSEAYRRLENLDFMTAAKILFTTPPKRKKFGLDFHLVQLFFACLPSLAVYLVAQYARYEIRRMEAELEEKKKQDEEKQKAKEAEMSNDEEKSEAELSKVKARLDALEEAVKEIADEKKKIPTSKLSKDQDQDKREKVTSVDEKSSTQSQLNTRSSDTERVGGSGP >Ma04_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4491780:4494762:-1 gene:Ma04_g06080 transcript:Ma04_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPLTPIIRLQLLHSRRLRPVLGARPLSSATDHPDKAPPASSSSSVAPQSEVEARSEAYRRLENLDFMTAAKILFTTPPKRKKFGLDFHLVQLFFACLPSLAVYLVAQYARYEIRRMEAELEEKKKQDEEKQKAKEAEMSNDEEKSEAELSKVDEEKSEAELSKVKARLDALEEAVKEIADEKKKIPTSKLSKDQDQDKREKVTSVDEKSSTQSQLNTRSSDTERVGGSGP >Ma11_p01360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:959904:962812:-1 gene:Ma11_g01360 transcript:Ma11_t01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESREQQQPVCNSHNQMHVDAKTPIPQSKEIDSSGEEQAPRVRKPYTITKQREKWTEEEHSKFLEALQLYGRAWRRIEEHIGTKTAVQIRSHAQKFFSKVVRESGSNDSTVTLKAIEIPPPRPKRKPLRPYPRKSGNSSSKETPAALKQLERPPLQTHTICEEENRSPTSVLSAVGSEALGSMFSNSQNICSSPAASAAGSNDQDNGGQLTTMTVQEKHKLPRFGPRLDQSSMVIDQCSDMHTSPEAQVPALKLFGKMVVLTDLNKSSAPSNGNAAKAKLTVAVDTKEWQENKAFDLNSKLEMCLQSAAHGVPPRDSTRSAWNAYPGVIPPMFYCFPLVGEDSVEHMFLPPPWSMYGDLPFPFVYPQLQHPQQSPMEAAGEQEMQGEGSWSGSNTASTSGWGLSDQNVDGVDSKKAAKAGGGSSARGFVPYRRCAIESEAQHSATVSDDGEGQAIRLCL >Ma04_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21824667:21835164:1 gene:Ma04_g19240 transcript:Ma04_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSLGGLSTLLWIYLVCGRWQLSDSLNGEGRALLALKGKVEVDPYGALANWDEEDDDPCSWCGVECTDDGRVVVLNLKNLCLKGTLSPEVGKLIHLNTLILHNNSFYGAVPGVIGELQMLKVLDLGHNNFSGVLPSDLGDVASLETLVLRGNRFAGDLLSVWSKFKMLSEVQVDKELLPSKRQFITMSGDVVGAITRGLLGVEFKQNDDAHDNKNPGAPDPPANLNSLPPRSPPSANLKSPPPPHPPSPLPPPPPPPPPPPHPPSPLPPPPPPPPHPPSPLPPPPPPPPPPPQSHPISSSSSLIISLSVGGVVCFLIVLSVIYLYHKQTKKVVSVMPLMTVLNGQSQKPLVTGVPALRRLELETACEDFSNIIGSLSNCNLYKGTLSSGVEIAVTSAIVTSAKDWSVQDEAHFRKKISDLSKVNHKNFMNLLGHCEEEAPFTRMMVFEYAPSGTLFEHLHIKEAEPLNWTARLRIAMGLAYCLEHMMQLNPPLIPRNLNSSSIYLTEDDAAKISDLEFWNVETEADMASSEITKESSIVYKFGIILLEIVSGRLPYSEDDGLLVLWARSYLSGKRLIKDMVDATLDPVPDEDIDALVEVIQSCIDEDPNERPTMKEVADRMMLITAIPPEEANPKVSPLWWAELQIISSEAT >Ma07_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28973981:28975550:-1 gene:Ma07_g20990 transcript:Ma07_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSAEEDAELKAYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDQIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKRLLGKRKESSRSHRLHLKQALDEEGDGMNHDTPSQALSASALERMQLQMQLQGLCNVPFSFYNPAALWPKYHPLGDRVFQTQLTDASVATHETAIDSAQQVVFPMKPETPKSIGANMQRELDCSALGFQSPSSGGTLSMQACVAAGVQADLHSLLYCKSTSSVGDEEHQQLADLDCYKEIYGEKESSDWWTTTDFEDKLLMGPWDSASALHSDPMIPDYAPRYEDL >Ma04_p32120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32237512:32239724:1 gene:Ma04_g32120 transcript:Ma04_t32120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAYKKVKAGRLVFKGGDVAGVDKKKRKKKKKAEADAEKNPFAAGEDADGESASAGAEAYTIDAAKRMKYEELFPVESKKFGYDPANKSRTSARTIEEALDDRVKKKADRYCK >Ma04_p32120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32237512:32239724:1 gene:Ma04_g32120 transcript:Ma04_t32120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAYKKVKAGRLVFKGGDVAGVDKKKRKKKKKAEADAEKNPFAAGEDADGESASAGAEAYTIDAAKRMKYEELFPVESKKFGYDPANKSRTSARTIEEALDDRVKKKADRYCK >Ma04_p32120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32237502:32239724:1 gene:Ma04_g32120 transcript:Ma04_t32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAYKKVKAGRLVFKGGDVAGVDKKKRKKKKKAEADAEKNPFAAGEDADGESASAGAEAYTIDAAKRMKYEELFPVESKKFGYDPANKSRTSARTIEEALDDRVKKKADRYCK >Ma04_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3407105:3412894:-1 gene:Ma04_g04470 transcript:Ma04_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSTLVFDSPLVPKSRRRPRTRKARRSPLQGSLSELLNSKDGSASGMTDISLEASIGPLSIDNEKIPNLLKCSISWDGKDRETILESVEVPVLEVESVDQISLMDTCKTVFARQACKNGLNSNDRVLTHSLAGPAPFTVDGSLCPTGRNGDSWLAPGSTVWAKTAYHDWWPAEVMDERSLECTKNNHIGHILVQLYGSKEHAWLEPARDLSEFDYCYEERSRNPLQAFQDALKQALCKHVHTSPRTLLERCLDNPKSSYQHDKLGTWQTSSKTSNNSADEGRSLRKRKMKVHFDELTCAENPKRRNRRLRIMRYLGLMAPVGSPFSLQH >Ma05_p21790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33566610:33567388:-1 gene:Ma05_g21790 transcript:Ma05_t21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLILLPIFLYALSAEATIFASVRDTDRRSLRPGHEYYVLPLIRGHGGGLSLAARSNDTCPLAVAQESSEVSDGLPLTFSPVNPKDKYVKMSTDLNVWFAAETICVQSTVWRLGDAEATGRRYVVTGGLKGDPGLATVSNWFKIERYGESDYKLVHCPSVCRFCKVVCGDVGVFVEGGKRWLGLSDEPFPVMFKNAAHPVRL >Ma06_p08210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5801022:5806359:-1 gene:Ma06_g08210 transcript:Ma06_t08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRHSAAFPFLLLLALLICIPALVSGVWLNDDGGGVEEEGEQFSEELFLKPLPDRKVLAHFHFQSTVPPSAANGRHHHLFPKAIAQLVQKFQIKELELSFTQGRWNYESWGGSDPISSTNAKPPGVELWALFDLPVMEIDATWKDLTHALSGLFCASINFLESSTSYFAPSWAFRSNVSNLRYGALPREAVCTENLTPWLKLLPCRDKAGLASLLDRPSIYKGYYHSQRLQLTSTKSTGIVLKQTLTVVLKPDVQRNSAHSSLDKSIQPSWSVSSMFKKNVTGLCVPAKTSRIFFELETNMVVELEKLGYGDSWSNSIFDLSPNPVRVFKESNPLPGRSNSIIYEFINYSEHEPLDLGMKWKLPFIWSCSQAPFHVSRFLMGSGNERGSIAISLQSTESNKEFLAKSHGCNVQVLIFQVVPWYVKVYYHTLQVFIDGKPQSVSDVIEKIQVSPSEDKLFPGTLELMLRYPCSVQSVALTLDFDKGFLHIDEYPPDANQGFDIPSALVTFPNFHSSRSYVEDNFSINSPLLLKFQEKNVVRSYTEALLVPLTTPDFSMPYNVITFTCTVLALFFGSLLNVLRRRVGEEERLLNSKDTTRIRLIPLLLSKFSSKLKGRTDGTPKSSSLLGSKFLLILVAVLAVLWHYISN >Ma06_p08210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5801022:5806359:-1 gene:Ma06_g08210 transcript:Ma06_t08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRHSAAFPFLLLLALLICIPALVSGVWLNDDGGGVEEEGEQFSEELFLKPLPDRKVLAHFHFQSTVPPSAANGRHHHLFPKAIAQLVQKFQIKELELSFTQGRWNYESWGGSDPISSTNAKPPGVELWALFDLPVMEIDATWKDLTHALSGLFCASINFLESSTSYFAPSWAFRSNVSNLRYGALPREAVCTENLTPWLKLLPCRDKAGLASLLDRPSIYKGYYHSQRLQLTSTKSTGIVLKQTLTVVLKPDVQRNSAHSSLDKSIQPSWSVSSMFKKNVTGLCVPAKTSRIFFELETNMVVELEKLGYGDSWSNSIFDLSPNPVRVFKESNPLPGRSNSIIYEFINYSEHEPLDLGMKWKLPFIWSCSQAPFHVSRFLMGSGNERGSIAISLQSTESNKEFLAKSHGCNVQVLIFQVVPWYVKVYYHTLQVFIDGKPQSVSDVIEKIQVSPSEDKLFPGTLELMLRYPCSVQSVALTLDFDKGFLHIDEYPPDANQGFDIPSALVTFPNFHSSRSYVEDNFSINSPLLLKFQEKNVVRSYTEALLVPLTTPDFSMPYNVITFTCTVLALFFGSLLNVLRRRVGEEERLLNSKGNTTRIRLIPLLLSKFSSKLKGRTDGTPKSSSLLGSKFLLILVAVLAVLWHYISN >Ma05_p17080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19297218:19324384:1 gene:Ma05_g17080 transcript:Ma05_t17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIEIDSTDSEDDFEIGSVSSEKSFTDGIMDKWGHNSQGMSNQNSDASAKFPTHSSDNNDCGTSMPQSTAGSTSFTKTSGASTGNHVRSNGIGEVRLSDHERLVDTGRKLDVMDGHNLHENLYNVVPKRSLPASFLSSVNRTAPKGSSDVKDRSQIYNKEKMHASIRPDYDGMMNVALKRNGETDTSKNGHRILPSSFAGGDAVDALAKFQPPTFVDEKFHNMPKASVESRDALHILGNVITNRMLPSTLLYGKSVNNLQPPGTSDGQKHLGLVDDRRIEHDERHIYQEALQNLGQPRLEDDLPEGLLAVPLLKHQKIALAWMVQKEKSTHCAGGILADDQGLGKTISMIALIQKQMLILDEAQTIKNHRTQVARACCGLRAKRRWCLSGTPMQNAIDDLYSYFRFLKYDPYSVYSSFCASIKYPISKNTSSGYRKLQAVLKAVLLRRTKGTLIDGEPILKLPPKSICLKKVDFSHEEREFYLKLEADSRQQFKDPPEDAVVAMCGHVFCYQCISERLTGDENLCPAPGCRDVLGTESIFSRSTLKSCISDNFDDEASTSCSFDDGSIVHSGYISSKIRAALDILKSISCPSSEVHNLMICGSKSDANSSDHISTLLNSNADMPAKAIVFSQWTSMLDLLELSLNECLIQYRRLDGTMSLMLRDKAVKDFNTDPEVTVMLMSLKAGSLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVSRITIKDTVEDRILALQEEKRKMVSTAFGEDQTSSHATRLTVEDLRRLFNCFDD >Ma08_p08530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5999083:6001053:1 gene:Ma08_g08530 transcript:Ma08_t08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGGSFTRLGVNYGTLGDDLPSAARSVALLQSLGAGAVKIYDANPAILCALAGTGLRVSIMVPNEIVPSLGANASLADAWVADNLAPFYPAVRVRYVLVGNEILSDASLANSTWPFLVPAMANIHRALRARSVCDVKVGTTLAMDALATAFPPSAGAFRADIAGPVMRPLLDFLSRTRSYYFVDAYPYLAWASNPSSIRLDYALFAANSSLSYIDPGSNLTYTNLLDQMFDAVVAAMRRLGFGGVPIAVAETGWPNAGDLDQIGANVHNAATYNRNLARRMAVRPAAGTPARPGAAMPVFIFSLYNEDRKPGPGTERHWGLLSPDGKQVYEVDLSGRRPLESYPPLPPPENDVPYMGKIWCVLDAEGKATANTTAVGAALAYACGQGTGTCDAIRPGGPCYQPNTLVTHASYAFNSYWQQFRQAGGTCFFDGLAVQTKADPSYGSCKYPSLTK >Ma08_p08530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5999083:6001053:1 gene:Ma08_g08530 transcript:Ma08_t08530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLLLLLLCFSSPPVKGGSFTRLGVNYGTLGDDLPSAARSVALLQSLGAGAVKIYDANPAILCALAGTGLRVSIMVPNEIVPSLGANASLADAWVADNLAPFYPAVRVRYVLVGNEILSDASLANSTWPFLVPAMANIHRALRARSVCDVKVGTTLAMDALATAFPPSAGAFRADIAGPVMRPLLDFLSRTRSYYFVDAYPYLAWASNPSSIRLDYALFAANSSLSYIDPGSNLTYTNLLDQMFDAVVAAMRRLGFGGVPIAVAETGWPNAGDLDQIGANVHNAATYNRNLARRMAVRPAAGTPARPGAAMPVFIFSLYNEDRKPGPGTERHWGLLSPDGKQVYEVDLSGRRPLESYPPLPPPENDVPYMGKIWCVLDAEGKATANTTAVGAALAYACGQGTGTCDAIRPGGPCYQPNTLVTHASYAFNSYWQQFRQAGGTCFFDGLAVQTKADPSYGSCKYPSLTK >Ma03_p32810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34435443:34453534:1 gene:Ma03_g32810 transcript:Ma03_t32810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQETTNGESKETTFEDEDDREDIEFENERCGICTDVIIDRGVLDCCDHWFCFACLDNWATITNCCPICQNEFQLITCLPVYDTTGSIRIENYSLSREVDWSVQGKNNTLSFPSYYIDEDAVACLDGDGCKVRSGLTTADDGLNFDTSIACDSCDIWYHAFCVGFSPEYTSVSSWLCPRCLSIEEPQKMDDIPVQDQGWHSILKAADHGWAVDHSFLGKVSVSVADDGETALVVSMVQRDQTSKDDTSASEDLIETNTRKETETSFSESNVDNPKLNVQVDKSGDFKLNCDDLICSNDSVFDGMVADTDSSYENLLDTSPAMTREDNELNNISSALTLLESAPSNIVDSQLSLSHGGPISSSDQPLIHSLLEANHTEETMCNSSRYNDHDDSFSLSYQNIDELRQDKLGELVDVDVKDPLVMSRSLHNPEQCRISVDDIITSSVEDVVNAICQEDFKVTGLVEEHTTESNLHLMDVDSIIKQKGKRKLEFQVKAMTEDNAKKSKSDETSLMLPSGSQADASIPDDFKSYPKAATICEDDDTKCNAEDSALHTDIMSIVREADNKHDGQIGEKHKNNVVGKKDDSTGLRVKKIMRSVGDKAEKEILVQKLGKEIKEAVQVKTSNCNFEDNAFHGKLLTAFREAIVKPRDEVANKFIPSLRMRKQLLQKGKVRENLTKKIYATSSGRRRRAWDRDWEIEFWKYRCPKLKPEKIETLQSVLELLKKASTSCMENPEMDQGPQGDMSNSILSRVYLADASVFPRKDDIKPLSAAAVIGNDQKEKSLVTTLSEKDSQNVASNKTKSQASDSQGKNFGASIIPKKAPCKRGSPDVQNTATSSILAASKVKGQNKNETSISIKSGQILKEQANVSNMAKNDKRKWAMEILARKNALTSSNSVNDGQEEGNMLKGNYPLLAQLPSDMRPVVAPSRHNKVPISVRQAQLYRIAEHYLRRTNLSVICRTADVELAVADAVNVEKDISERSNSKMVYINLCAQVLSQCTRLQSDAGPSDSLVNTKSSADQAVEKSENCIDRVAKKAISEPKPVVFGDVEEALRVAGLSDSPPNSPEKNVKGTNEDVDSSVYGGQEYVDNDIDIHPLFGTYGDTKNKLEDQGYAASCSVAKASEVSENENSKMKGMFSIIGSEEPLKCPNYESQEPASSTKSLVATNQDDLIVEVPSNCSTLLQYQNVCGKGNAHVNVEVDVSTATKIFQGDEINVLSSTEHNKLHGPEKEPPLDTSSELMGEACKIMEREAAGKSSINNEKEDNSSKDEFAVPKLEIGNCSKSNILGDKVPFEGRSSGRRNSPNSIMSEIAPKVEQAKPSTADSSDSSHPIYKKVEAYVKEHIRPLCKSGVITVEQYRWAVTRTTDKVMGHHCNAKNANFLIKEGDKVKKLAEQYAEVAQQKM >Ma03_p32810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34435442:34453534:1 gene:Ma03_g32810 transcript:Ma03_t32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKKRHLKMRMIEKILNLRMKDVEYVQMSSLIEGFWTVATIGSVLHVLTTGLPSQIAAQFAKMSFSLSHVFQCMTPLAVSELRITHFPGFVLWLYAVCGLEVDWSVQGKNNTLSFPSYYIDEDAVACLDGDGCKVRSGLTTADDGLNFDTSIACDSCDIWYHAFCVGFSPEYTSVSSWLCPRCLSIEEPQKMDDIPVQDQGWHSILKAADHGWAVDHSFLGKVSVSVADDGETALVVSMVQRDQTSKDDTSASEDLIETNTRKETETSFSESNVDNPKLNVQVDKSGDFKLNCDDLICSNDSVFDGMVADTDSSYENLLDTSPAMTREDNELNNISSALTLLESAPSNIVDSQLSLSHGGPISSSDQPLIHSLLEANHTEETMCNSSRYNDHDDSFSLSYQNIDELRQDKLGELVDVDVKDPLVMSRSLHNPEQCRISVDDIITSSVEDVVNAICQEDFKVTGLVEEHTTESNLHLMDVDSIIKQKGKRKLEFQVKAMTEDNAKKSKSDETSLMLPSGSQADASIPDDFKSYPKAATICEDDDTKCNAEDSALHTDIMSIVREADNKHDGQIGEKHKNNVVGKKDDSTGLRVKKIMRSVGDKAEKEILVQKLGKEIKEAVQVKTSNCNFEDNAFHGKLLTAFREAIVKPRDEVANKFIPSLRMRKQLLQKGKVRENLTKKIYATSSGRRRRAWDRDWEIEFWKYRCPKLKPEKIETLQSVLELLKKASTSCMENPEMDQGPQGDMSNSILSRVYLADASVFPRKDDIKPLSAAAVIGNDQKEKSLVTTLSEKDSQNVASNKTKSQASDSQGKNFGASIIPKKAPCKRGSPDVQNTATSSILAASKVKGQNKNETSISIKSGQILKEQANVSNMAKNDKRKWAMEILARKNALTSSNSVNDGQEEGNMLKGNYPLLAQLPSDMRPVVAPSRHNKVPISVRQAQLYRIAEHYLRRTNLSVICRTADVELAVADAVNVEKDISERSNSKMVYINLCAQVLSQCTRLQSDAGPSDSLVNTKSSADQAVEKSENCIDRVAKKAISEPKPVVFGDVEEALRVAGLSDSPPNSPEKNVKGTNEDVDSSVYGGQEYVDNDIDIHPLFGTYGDTKNKLEDQGYAASCSVAKASEVSENENSKMKGMFSIIGSEEPLKCPNYESQEPASSTKSLVATNQDDLIVEVPSNCSTLLQYQNVCGKGNAHVNVEVDVSTATKIFQGDEINVLSSTEHNKLHGPEKEPPLDTSSELMGEACKIMEREAAGKSSINNEKEDNSSKDEFAVPKLEIGNCSKSNILGDKVPFEGRSSGRRNSPNSIMSEIAPKVEQAKPSTADSSDSSHPIYKKVEAYVKEHIRPLCKSGVITVEQYRWAVTRTTDKVMGHHCNAKNANFLIKEGDKVKKLAEQYAEVAQQKM >Ma01_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25808575:25812249:1 gene:Ma01_g22730 transcript:Ma01_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVELRSSSSYSSFVRLACLLCFLAAVRPSDAGDLDLLMSFKAAVANPQLLPSWDPLRGPCSFAGVTCSVGGRVSVVELQGVPLGADFRAVSSSVLALGGLESLSLSAVNLTGNVAGTRCGGGLSELDLSGNDLRGSLADVSSLAAACSGLKSLNLSGNSVGIPPMAAGDGLAVAGFDLEELDLSFNEISGEDDLRWLLSNLGALRRLDLVGNHLSSGIPAIANCSYIQHLDLSLSGLSGEIGVGVFGGCRSLTYLNLSSNHFTGTLPSDLSSCTSLSSLSLSSNNFSGEFPVDTLTAMPYLATLEFAFNDLNGSLGDSITQMPMLQVLDLSSNRLTGSIPSDLCPNPAFALKTLYLQNNQLTGSIPKSLSNCTRLVSLDLSLNYITGAIPSGLGSLPSLRDLIMWQNLLEGEIPPELTNLLSLENLILDNNGLTGSIPAGFAGCTSLNWLSLSSNHLSGTIPSWIGQLHNLAILKLGNNSFSGQIPPQLGDCRSLVWLDLNNNQLSGSIPPTLANQSGKIAVGLVTGEPYVYLKNDGTSGCRGTGNLLEFAGIRPEDLDRLPSRRFCNFTRVYKGLTQYTFNNNGSMLFLDLSFNQLSGKIPRELGSMYYLLILNLGHNLLSGLIPPELGSLRYVAVLDLSHNALEGPIPSSFAGLAMLAEIDLSNNKLNGSIPELGQLATFPRYRYENNSGLCGFPLPSCEDIAGANSSTQHQKSHRRQASLAGSVAMGLLFSLFCIFGLIIIAVESKKRQKKKDNGNCSRDIYFDSRSHSGTANSNWKLTATKDALVINLATFETPLRKLCFADLVEATNGFHNDSLVGSGGFGDVYKAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGRIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLHDRNNVGIKLNWVARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSTVDTHLSVSALAGTPGYVPPEYYQSFQCTTKGDVYSYGVVLLELLTGRRSTDSTDFGDNNLVGWVKQHSKIRISDVFDPELSKEDPSLELELLEHLKIACACLDDRPFRRPTMLRVMTMFKEIQAGSSMNSVPSAPSASTAADFS >Ma01_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21537698:21539113:-1 gene:Ma01_g21620 transcript:Ma01_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGTSDALMCRAFPTTLRGPARTWYSGLKPGTIASFDQLAKDFELNFLAHARPKPSMALLLGLNQKEDEPLSHFVNRFTTQIRGLSEAHPSLLMQTFMTGLRPSSQFIAAETWMAGKREEHKKVKSEPPRQQQPAASRRKLDRPDPRPPLPALNSSRTEIFLHEKGKGLLRDPHPMKNPRELADRSKYCRFHRQHGHDTEKCYELKRQIEELILRGHLGQYLRPNKEQSPRPEGPVERHINVIAGGPASGGGSMSGRKAYARSAPDEASRHKSEPEITFPTGAAERPDHDDAFVISAKVANAQMRRIMVDTGSLADILYFGAFQKLGLARENLSPRCSALTGFTGDSISPLGAIALPLTLGTPPKSKTVMTTFLVVDLPTAYNAILGRPTLNKVKAVVSTYYQTIKFPTREGVGEVTGSPRESRRCYKVRFRRHLREIPWVHRTRERN >Ma04_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2047300:2051667:1 gene:Ma04_g02360 transcript:Ma04_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTRLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIIEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRHRHWYIQSACATSGEGLYEGLDWLSNNIVTKA >Ma03_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22479934:22480161:1 gene:Ma03_g17240 transcript:Ma03_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIRPFLTNILLVLLLATSLGQADITEDGTEPMKASDLVSKVSTNE >Ma11_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25291203:25292117:-1 gene:Ma11_g20940 transcript:Ma11_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEPQSPLPSYEFPAGFRFRPTGIEILKYYLLNKVSGQALPYDGGFEEMDVYRHDPDQLPIDISDGHSRWAYFFVSTATPDEDGYDNVRSTPHGLWKLQRPDRPVQDDDDDDRVIGYKRSFVFYSTKAHCRSARTGWRMNEYRLSRKFDRSKDDSQISACEIYILMEDRASNHDYKACSERSKSQVERRMLVPVDSAQA >Ma03_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7241197:7241913:-1 gene:Ma03_g09750 transcript:Ma03_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIRKKFLLKMISILIKKTFSCTDYVVDFKGLSKRLEQLSMTPLTSVICMYMSLPNQLEYKPAMMEFFSSRKATPSLWKKACAASIDAIFVVIRWV >Ma04_p37030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35125185:35130841:1 gene:Ma04_g37030 transcript:Ma04_t37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEEKSLAERKPRSVFDLPPGFFDSCRLLRSLQSETLRRIPDPSPVTAETGVAGSVGERVDVEVESVRAGEMGKEAAASSSRWTCNTCKAEFDSLQDQRSHFKSDLHRLNVKLSIAGKNIIKEDDFDNLGGDPVYEDFDVSSISGSEDEFENDPIHQSCLSSKGKERDKQKPCFYLQSGDIVSVWRCLLMDETEDISFENSKSNHMVSGDTYLEETELINRLKTLVCEPRDRSHLRIVLLASGGHFAGCVFDGNFVIAHKTFHRYVVRAKAGKRQSAKDATGKAANSAGSSLRRYNEAALKKEIQELLVSWKSFIDSSSCIFIHAPSRSRQMFFDGEKPQLSSQDHKIRHVPSTVRRPTFKEAVRIYQQLTSLAYEVDENHIPEEDTSSRVSCEGNSIPQSNDSNSSGHLEIKKSSSGSGSGVIQGLAIAVEAVNASSYRDETTPLHEAAKCGDAERTLELLEQGLNPCIKDGRGRTPYVLASEKEVRNIFRRFMAINPDKWDWHAANVPSPLTKEIEEAQAAKQAEKDAKRKAKAKELKKLRKAKEKAKAQAAISENASAAVSHNQGATASAHIQQSQSNQPVLSKEEEQRRALAAEREKRAAAAERRIAALNARLTSTTVAPSSSSAPKSGAGDAICSCCSASLEGKVPFHRYDYKYCSTSCMHVHREMLEDG >Ma06_p16960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11494289:11502009:-1 gene:Ma06_g16960 transcript:Ma06_t16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDDGKKGVETPISNFPAWARSVQECEAEFKVSAKYGLRSDEVLTRREIYGLNELEKHSGPSIWHLVLEQFKDTLVRILLVAAVVSFVLAWCDGDEGGEMGITAFVEPLVIFLILIVNAIVGVWQENNAEKALEALKEIQSEHATVRRDGELIPNLPAKELVPGDIVELKVGDKVPADLRILHLISSTVRVEQASLTGENAAVNKTNHVVESEDIDIQGKECMVFAGTTVVNGSCVCLVTQTGMNTEIGKIHSQIHEASQSEEDTPLKKKLNEFGEVLTAIIGVICAVVWLINVKYFLTWEYVDGWPSNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVVRLVAMGRWTDTLRTFKVDGTTYNPRDGKIHEWPSSDMDANLQIIAKIAAVCNDSSISQSGHHFVTSGMPTEAALKVLVEKMGLPGDCDTSLVDSDDILKCCKWWNEMAQRVATLEFDRIRKSMGVIVKSKSGTNCLLVKGAVENLLERSSYIQLLDGSVVLLDDRSKSLILDALHDMSTNQLRCLGFAYKDDLTEFATYDGEDHPAHKLLLDPSNYSSIETGLIFVGLVGLRDPPRKEVHKAIEDCRTAGIRVMVITGDNKETAEAICRDIGVFTLDEDIHSKSFTGKDFMSRSSNEKKSLLRQNGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKMADIGIAMGIAGTEVAKEASDMVLADDNFNTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDNDIMKKPPRRSDDSLITAWILFRYMVIGLYVGIATVGIFIIWYTHGSFAGIDLSGDGHTLVTYSQLSNWGECSSWEGFKVAPFTAGARSFTFDDNPCDYFQTGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWANPWLLLAMSISFGLHFLILYVPFLAQVFGIVPLSFNEWLLVLVVAFPVILIDEVLKFVGRCTNSSGAKRRPTKHKDE >Ma08_p12600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9583179:9584906:-1 gene:Ma08_g12600 transcript:Ma08_t12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMTDRVQCFPPRCVWVNGPIIVGAGPSGLAVAACLKEHGVPFVILERSDCIASLWQKRTYDRLKLHLPKQFCQLPKFPFPDDFPEYPTKKQFIDYLESYAQHFQIRPRFNQSVQSARFDETCGLWMVRTVGSGTAAASRSHEVEYISRWLVVATGENAEKVVPELVGLGEFGGDVMHVCDYKSGEAYRGKRALVVGCGNSGMEICLDLCDYGAFPAMVVRDSVHVLPREVLGKSTFELAALLMNWLPLWLVDKTLLVLARLVLGNVEKYGLRRPSTGPVELKNTEGRTPVLDVGALGKIRSGDIKVVPGIKRFSPGKVELVDGRILHIDSVILATGYRSNVPQWLQGCDFFSKDGFPKTPFPNGWKGQCGLYTVGFTRRGLSGVSSDAVRIADDIGSMWKEELKLARRPIACHGRCISQI >Ma04_p34570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33693146:33694388:1 gene:Ma04_g34570 transcript:Ma04_t34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIFIEEQGNEMPAFSTWNSEVSDFPDRIAPWLGRWEGHSMTKHSGVYGATIAIKVVDMYLLDKRKHNIVLKALIFFSDDTTSTNNESGTAGTISNNLVVPFDGGFQLTLLPGGMYMGCPCDIGKNVVQSQSFHLELCWMESPEKRQKLVPTYDVEGLVVSSTYFYEIKVLRSTCMDHAAAYPWLHWIDSS >Ma04_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1281766:1285280:1 gene:Ma04_g01420 transcript:Ma04_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKTFDADLSNFDQVFGGFTKSETTEGSPSEPGQLKFLLFLADKDPSTNRSWCPDCNVAEPIIYEKLEASNSNLVLLRAYVGDRPTWRNPSHPWRVDPRFKLKGVPTLIRWENEDVAGRLEDYEAHIGDKIDSILASN >Ma05_p06570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4864923:4869146:1 gene:Ma05_g06570 transcript:Ma05_t06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKLSKAEKKVVYDKKLCSLLDEYSKVLIAVADNVGSNQLQNIRKGLRGDSIVLMGKNTLIRRCIKIHAEKTGNKNYLNLLPLLVGNVGLIFTKGDLKEVSEEVAKYQVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSEAALLAKLGIRPFSYGLIILSVYDNGSVFSPEVLDLTEDDLIEKFAAGVSMVTSLSLAVSYPTLAAAPHMFINAYKNVLAVAIATEYTFPQAEKIKEYLKDPSKFAVAATPVTEEAAAAPAAAPAEEKKEEPAEESDDDMGFSLFD >Ma08_p26540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39069194:39074203:1 gene:Ma08_g26540 transcript:Ma08_t26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPTGVMATLWSFISFLPFFFLLLALGVVKAAVGPVVTVVVLVGNSSVVIGMWPAHFIWTYYCVMKTKRLGLVLKIFLLVMLPLPLILWPILGILGSLVVAIGYGFFAPLIATFEAVGEGFVDKLYHCFSGGCVDTIKGACTLVVDFTDFCFHSYFSYMDDLCEKVAVGETPMDVKLTKLPSCLLVCLLGVLLDVPMISIVALCKSPYLLLKGWHRLFHDLIGREGPFLETVCVPFAGLAIVLWPLAVIGALVSAFLCSFLLGLYGGVVVHQEDSLRMGLVYIVAVISIFDEYTNDLLYLREGSWLPRPRYRKSQVDQEHREQKNEVEQNKTKNGKVLSGLNRTKLASERSRTMKRAIQQLKPIQIWDWLFRSCEINGRILLGEGLITVADIEECIVKGKCKKLSVKLPSWCILQCLLRSAKSDSYGLLISDEVEVSNFNWPNDKVLDWILGPLLIMKDQIRRLQLDENEEACLRKLILTSKTEKPEDWDGEGFPSDDNIRKAQLQAIFRRLQGIVTNMSRIPSFRRRFKSLVKSLYLEAIETGALTEARGGSASGSKTSDGRKRQNKNIGRWSSLDVGGSIV >Ma03_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27815105:27827867:1 gene:Ma03_g23190 transcript:Ma03_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKRSAVDKSPSDTLDPSGFRGQPIPSQFHSKRQEDLANSLAEEAMEKRLQEQSFSFRDCTITHTGNFSDAADATELQFFRDISQNSSSTKSKPSSGKSGTTKVSEVGLVLSKAGSVGLGKAVEVLDTLGSSMTNLHLSSSFVSGVATKGNQISILSFEVANTIVKGSNLMQSLSMENIKHLKEVVLPSEGVQYLISKDMDELLKISAADKREELKVFSKEVIRFGNRCKDPQWHNLERYFDKLASELTPQSQLKEVAKTAMEQLMTLAQYTAELYHELHALDRFDQDYRRKNQEEGSTGGVQRGDTLQMLRQELKSQRKHVKSLKKRSLWSKNLEEVLEKLVDIVHFLHFMIHDSFGSADTDKPTEETMKNQERLGPAGLALHYANIITQIDTLVSRSSCVPTNTRESLYQGLPPTIKHAFRSRLQSFQIKEELTIPQIRAEMEISLRWLVPIANNTTKAHHGFGWVGEWANTGSEVNRKPSGQIELMRMETFHHADKDKTEAYILDLLMWLHHLVRRSKPGSGGIRSPIKSPVCSPAQLLTTTSPATKPSVPSSMLTQEDQEMLQCVNFRKLTPGISRSQEFDTAKTKSGKHSRLSKSNSHSPTSCSKKDFFVPWLLPVIDFDIDKMKALDMIDRLDDIRKP >Ma03_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5281740:5284832:1 gene:Ma03_g07510 transcript:Ma03_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEGVDQRSNGAPGDGGGGVARPIKIEFDPVTPGGGETLYSVICDFVAGVASPPPPSLLQRLRSSAAKAAPRLREASRISVRDLLLWTRRGGPLRALFVISVGTISLLALTGLLSFIIFLFVATLNAVVVSLLMSLAAAGGFLAVFFACLTAIYIGAVSFAIFIISTATISAIIAIIIATGWIGFFWIIWLAVKKSLVLTKRSVRMTSSAISAYSVARQTNQNPRVESKE >Ma06_p34900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34986988:34991542:-1 gene:Ma06_g34900 transcript:Ma06_t34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMALQFEKGERDLELGPASLNYSPPFAPIRTMVLSHSGRRLDQEVAASSSSAPATPALVLSNSGKRMDQAASPSLVLSNSGKRMDPSGKKKYVKQVTGRHNDTELHLAAHRGDLAAVRQILGEIDAQMTGTAMGADFDAEVAEIRAAVVNDVNEEDETALFTAAQKGFLDVVVELLKYTDRESLTRKNRSGFDVFHIAAREGHQAIVQVLLGHDPTLVKTFGQSNATPLITAATRGHTEVVNLLLEQDDSLIELSKKNGKNALHFAARQGHVEIVKALLGKDPQLARRTDKKGQTALHMAVKGTSCEVVKALLNTDPAIVMLPDRAANTALHVATRKKRAEIVHLLVLLPDINVNALTRDHKTAFDIAEGLPLSEESSDIKDSLSRCGACRANELNQPRDELRRTVTEIKKDVHIQLEQTRKTNKNVNGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGTGDDGVAEVVHKGSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASICTTVAFIASSYIVVGRHFQWAAILVTLIGGLIMAGVLGTMTFYVVKSKRTRSIRRREKSMRSTSNSWHHNHEFSDSEVDRIYAI >Ma02_p13260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21736850:21740612:1 gene:Ma02_g13260 transcript:Ma02_t13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAPGSSKCLLVTGPPGVGKTTLIMRVLEALRTSHPHLTVQGFYTREVREGADRVGFEVVTLDGRRGPLASSKISSTESFRWPTVGKYKVDVASFESLALPQLQIKEGIDLFIVDEVGKMELYSSSFFPAVLRVLDSNIPFLATIPIPKFGRDIPGVARLRNHPGATVFTLNPGNRDAMKDTIYSQIVNLLQNH >Ma02_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15301079:15301981:1 gene:Ma02_g03840 transcript:Ma02_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKAEAEKGMKPLSDLAEGLGTEFVFGNAILWKKWLIKQWKSQKIFDDTDFRQVLFLQNSRRTQNRGTLNLLTDQLMRRNVLKTTIDVPKAGELNLHCTHLDHLDERTGG >Ma05_p31590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41444204:41448329:-1 gene:Ma05_g31590 transcript:Ma05_t31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGTRLYVGHLSSRTRSRDLEDLFSRYGRVRDVDMKHDYAFVEFSDPRDADDARYSLNGREFDGSRIIVEFAKGVPRGSGGSREYPGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCHNSPKNLKPGRSYSRSPSPRRGRSRSYSRSRSYSRSRSPAPRRDGRNRDRGDRRSRSPGYDRSPRSRSPPPSKGRKHSLSPDGSKSPRGSRSPSPEERRDVEHNGSDYNRSPVRENSRSTMSQDRENSPVGDRYRSPEPNGRSPSPKDDGDDDHHASPSGRESQD >Ma05_p31590.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41444204:41446814:-1 gene:Ma05_g31590 transcript:Ma05_t31590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYLSSDGLILSGNHFRRVRDVDMKHDYAFVEFSDPRDADDARYSLNGREFDGSRIIVEFAKGVPRGSGGSREYPGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCHNSPKNLKPGRSYSRSPSPRRGRSRSYSRSRSYSRSRSPAPRRDGRNRDRGDRRSRSPGYDRSPRSRSPPPSKGRKHSLSPDGSKSPRGSRSPSPEERRDVEHNGSDYNRSPVRENSRSTMSQDRENSPVGDRYRSPEPNGRSPSPKDDGDDDHHASPSGRESQD >Ma05_p31590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41444204:41448296:-1 gene:Ma05_g31590 transcript:Ma05_t31590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGTRLYVGHLSSRTRSRDLEDLFSRYGRVRDVDMKHDYAFVEFSDPRDADDARYSLNGREFDGSRIIVEFAKGVPRGSGGSREYPGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCHNSPKNLKPGRSYSRSPSPRRGRSRSYSRSRSYSRSRSPAPRRDGRNRDRGDRRSRSPGYDRSPRSRSPPPSKGRKHSLSPDGSKSPRGSRSPSPEERRDVEHNGSDYNRSPVRENSRSTMSQDRENSPVGDRYRSPEPNGRSPSPKDDGDDDHHASPSGRESQD >Ma01_p22540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25331346:25331911:-1 gene:Ma01_g22540 transcript:Ma01_t22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFDQHHDMRLDIDNMSYEELLALGERMGNVSTGLSEDAITKCLTEIVYCSSDPIQEDNHEQDSSTICLVRDHLGRLNCKQLFHSSCIAKWLLSKNICPVCITSALEENFK >Ma00_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46096913:46097220:1 gene:Ma00_g05350 transcript:Ma00_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLPEFRTITAQWDDIGLPPNTVVEVKGLWKHATLEKRFMNELRADVHHHAYKMFLLMPLTLSEEDESKV >Ma03_p10350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7783225:7789377:1 gene:Ma03_g10350 transcript:Ma03_t10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAEPYQAPAKRLKSLAGTMAPSSGSTGKGKEKMERELTAAAGEGSSAAVEEEEGETCGICFSDCKRSTRGRIDSCDHYFCFVCIMEWAKVESRCPLCKRRFRSIRRPPVDGVFVVERVVDVPVRDQVYHPLGNESVALSDPYAHVSCSICHGSQNEELLLLCDLCDSGSHTYCSGLGTIVPEGDWYCPDCTISRDKHLKSQFDDDCCPQDSVKNVDTTEVPEQSVSIYDIVADEITSNSSRRFSTIDVLDPQSTGCISPSRNSHHGENQDSGLQTDQSSSVSTVGKTLCGPAKCIDTGARTLRNCRNLHDRIQALRENWNSLRAGSLHFSSNFLGAKTNEKRQSLPARTSPNISRQRTTTSHINREQTSASAYGSPHISRQQATTSNTNRENTSANVYGKTANIGNSQDIDKAWKMMKIAKATQATQRDSKSDNFLNSPGKRNVVQDAVNYPSKANVLKGTEKLQSGSSNCNGKSRVGKITLGTGSDDRCFTLYQSQWSQSDFNQTPDAHKVTDLQGPRWKGDLAQQLVSSGTSTRSNFQESMVNPGSVACSQSCVSTPPSKKLINFNTSNIEANSKEVNGNKMDGNLTMNNDRAKSNSSSKSKSLDEINLSLPSRERHFDNRKCDLGTHVCGSLMKTNGLADNSSKSEIQSLVKLNLKLLSRDQQLGAARFKEVARIATHTILAACGLEHSKSSARSFPSPVCQHSEQIKQHRKSKLMPSSCRECFYTFVQNVVNSVMTEKNL >Ma03_p10350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7783476:7789377:1 gene:Ma03_g10350 transcript:Ma03_t10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSLSGSSMFRSATSLQVYHPLGNESVALSDPYAHVSCSICHGSQNEELLLLCDLCDSGSHTYCSGLGTIVPEGDWYCPDCTISRDKHLKSQFDDDCCPQDSVKNVDTTEVPEQSVSIYDIVADEITSNSSRRFSTIDVLDPQSTGCISPSRNSHHGENQDSGLQTDQSSSVSTVGKTLCGPAKCIDTGARTLRNCRNLHDRIQALRENWNSLRAGSLHFSSNFLGAKTNEKRQSLPARTSPNISRQRTTTSHINREQTSASAYGSPHISRQQATTSNTNRENTSANVYGKTANIGNSQDIDKAWKMMKIAKATQATQRDSKSDNFLNSPGKRNVVQDAVNYPSKANVLKGTEKLQSGSSNCNGKSRVGKITLGTGSDDRCFTLYQSQWSQSDFNQTPDAHKVTDLQGPRWKGDLAQQLVSSGTSTRSNFQESMVNPGSVACSQSCVSTPPSKKLINFNTSNIEANSKEVNGNKMDGNLTMNNDRAKSNSSSKSKSLDEINLSLPSRERHFDNRKCDLGTHVCGSLMKTNGLADNSSKSEIQSLVKLNLKLLSRDQQLGAARFKEVARIATHTILAACGLEHSKSSARSFPSPVCQHSEQIKQHRKSKLMPSSCRECFYTFVQNVVNSVMTEKNL >Ma03_p10350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7783225:7789418:1 gene:Ma03_g10350 transcript:Ma03_t10350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAEPYQAPAKRLKSLAGTMAPSSGSTGKGKEKMERELTAAAGEGSSAAVEEEEGETCGICFSDCKRSTRGRIDSCDHYFCFVCIMEWAKVESRCPLCKRRFRSIRRPPVDGVFVVERVVDVPVRDQVYHPLGNESVALSDPYAHVSCSICHGSQNEELLLLCDLCDSGSHTYCSGLGTIVPEGDWYCPDCTISRDKHLKSQFDDDCCPQDSVKNVDTTEVPEQSVSIYDIVADEITSNSSRRFSTIDVLDPQSTGCISPSRNSHHGENQDSGLQTDQSSSVSTVGKTLCGPAKCIDTGARTLRNCRNLHDRIQALRENWNSLRAGSLHFSSNFLGAKTNEKRQSLPARTSPNISRQRTTTSHINREQTSASAYGSPHISRQQATTSNTNRENTSANVYGKTANIGNSQDIDKAWKMMKIAKATQATQRDSKSDNFLNSPGKRNVVQDAVNYPSKANVLKGTEKLQSGSSNCNGKSRVGKITLGTGSDDRCFTLYQSQWSQSDFNQTPDAHKVTDLQGPRWKGDLAQQLVSSGTSTRSNFQESMVNPGSVACSQSCVSTPPSKKLINFNTSNIEANSKEVNGNKMDGNLTMNNDRAKSNSSSKSKSLDEINLSLPSRERHFDNRKCDLGTHVCGSLMKTNGLADNSSKSEIQSLVKLNLKLLSRDQQLDVAFCRSCEIQRSCKNCYPYHLGCLWVGTLQVKCSFFPQSSMPTLGTN >Ma09_p28570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39281394:39285443:1 gene:Ma09_g28570 transcript:Ma09_t28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQFPPKSPLLESTNRGQKQRSEIEADPEEERRGREIDSIEGRLLIRVPFTDGGSLRFSSHAINDPPPLSGIRSAFIWIGIAQICRFRVQFSSGSHRIEFALDSSTGVAPLLGCKRGFARVNRTTGTGIAEATVLLLQPCYSSSSLSSCLGSNSSYNAFTRFDSMGVDGESFDDGGKSSAGLDGGDSIGGGSVMWSWERWMQAILLQITSS >Ma03_p07880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5634500:5641840:-1 gene:Ma03_g07880 transcript:Ma03_t07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAP2 [Source:Projected from Arabidopsis thaliana (AT2G26310) UniProtKB/TrEMBL;Acc:A0A178VYJ7] MKNNSLFANVDFADTPNLPTDFQLFQVLQEAFRFISKFAGSLSIGLSTQSDFNLLHKVPADVFGSIPSHSESCAQIKRVASSRQNLAALHFVFGSDNKYAIKAYLTKLASSTLSFFCEEVRVHHGFGLPILSLAAAFIPPFDNKSSKALANSITLESTSDQISGHVNQSHRKDYYHGCATLAASNINWKGDAIEPKTGIKFPTFLEDNFSLTTKVLVGIGSRSMRIIKLKSLKLYAFGLYVHPDSICEKLGSKYASVPVAELKNHSDFFEDLLREDINMTVRLVVNCNGLKVNTVRDAFEKSLRTRLQKMNPDTDYHCLRVFGSYFTQDIALPAGTTIDFRQTANGQLITEIGGRQVGAVHSKDLCRAFFDMYIGDLPVSLQAKEEVAENVAGLIRRC >Ma08_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23349494:23351195:-1 gene:Ma08_g17180 transcript:Ma08_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFKPSVGSLDGVKNIIPPVHRLNWRKMLGPATAVHLPLSPPSLIVSPLLPFRCPVPRLAFRPRTAAARPLVSAAQPPDLSAAAAEVDMFCGRDGVWTARQQTVVVLWDLDNKPPRGPPYEAAVALRRAAELFGRVVEVSAYANRHAFVHLPQWVLEQRRERHRLNVLERKGLVAPAESYTCGVCGRKCRTNLDLKKHFRQLHVRERQKKLDRMRSLKGKKRQRYRERFIAGNHKYEDAARTLLTPKTGYGLASELRRAGVFVRTVADKPQAADSAVKRQMLHSMTRGIDWLFLVSDDSDFSDMIRRAREADLRTVVVGDGRTALGRQADIWVPWVRVENGEVGKELLQSGWGAQFLDGEEANSYYDADDNMEGLFASAGFYEDGELQDLDVVIDEIVIGNSRLGDLEISAFSEEEVVGEGTFGEEFGYEGIQSFGSPTPNNELLWDSEAEEEDPYI >Ma08_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1835247:1835594:1 gene:Ma08_g02310 transcript:Ma08_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLIFSAASCILWLTTSNLVAVLWALVDGLLATTLNATFQMPNLKAWLNT >Ma08_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32070622:32071809:-1 gene:Ma08_g18540 transcript:Ma08_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPPRCDRDGVKKGPWTPEEDIVLVSYIQEHGPGNWKAVPTNTGLIRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLGTGNDRQTSSGRPSCRSISKGKWEWCLQTDIHMAKRALSEALSMEKSDDLPDSMASSAEYNFNIRPSTYASSTENISRLLEGWLRGSPKPGVASASSASGCSMSPEPLESLFGINESMAETRTPLSLLESWLFDESVGHGQESLPDMAPGDDACDQFLF >Ma10_p31230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37428895:37430888:1 gene:Ma10_g31230 transcript:Ma10_t31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLRWKLSFRRPPAAAASSPSHSASSSPSFTTEMPVEFLCPISRSIMADPVIVPSGHTFERSCIQGCLDLAFPPPGLPLDLQLPFSSPAAAPQLLLIPNAALKSAIFAWCARCGLPPPHPVPTEAALALVRCLMPRDRSTPPSAIVPAATATPSDGGKDENDGACNGGKGEDVRASEFFEGGKSQKDDVLASESEVTLIIPPSPFEREREKNKCSSSSTVSTPSSSSCHSSSSYSSSEIVVVVVDERLDRERIPSVANSPSLRTTDAMEEILIRLRDLDAGQQESAAAALRKATRESRDRRVALCTPRLLGALRPVLLSPCAAVQINAAAAIVNLSLEPVNKVRILRSGMVPALVEVLRRGHPEARDHAAGALFGLALEEENRAAIGVLGAISPLLNMFAGPSADGPRARRDAGLALYHLSLAVANRSKIARTPGASHALLAVAAERDEEDAAAPGEQGQGLGPATIAVMVIHNLAGCNEGRAALMGAGAVTALVRLIKGPPLTAAEEECVAALYWMSQGSLRFRCLAKAAGAEQVLMKVATEGGGGGPLREMARRAVRAMRREEDDEVAVVAHGFGAEADGSSAVSDGLISFRWRRNGFGGRPGGIKSAKF >Ma04_p12760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9625792:9632903:-1 gene:Ma04_g12760 transcript:Ma04_t12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDRTEISLRPGGGNRGGRILGPRFDSAASAGGASDLPALRPHGGAGTALPFKIGDSRFESREHIRYTRDQLLQVREAVQTPEDIVKIKQEIEAELFPEDQTWGRSDSNLASQSQSRYFEPDGRDWRGRSGQPTSFGNERSWDSIHDNKESNISISGYQEIKQSNKQGSQFPSSTQVSSTQVGPTPALIKAEVPWSARRGNLTDKDRVLKTVKGILNKLTPEKFDILKGQLIDAGITTPDILKDVITLIFDKAVFEPTFCPMYAQLCSDLNEKLPVFPPEQEGEKEITFKRVLLNNCQEAFEGADNLEAEVRKLTAPDQEMERRDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIAQKLLGHDGKTCPAEENVEAICQLFNTIGKQLDESPKSRRFNDVYFSRLKELTSNPQLAPRLRFMVRNVLDLRSNNWVPRREEVKAKTISEIHSEAEKNLGLRPGATASMRNSRDGGSLGGLSPTGFPNNRPGTGGMMPGMPGARKMPGMSGLDGDFWEVPRSKSMPRGEANRAHSSLVAKSPAINPKLLPQGSGSLIAGRTSALLQGSGPPSRSTLATGMGDSPSQNLVPLRPAAQMPPPAIPEKLVAKPKFNPNELHKKTVALLEEYFHIRILDEALQCVEELKSPEYHPEVVKEAINIALDKGVSCLEPLVNLLEYLLVKKVFTPRDLGTGCLLYGAMLDDISIDLPKAPTYFGEVVGKLVLIGGVDFKVVEEILKKVEEASFQSAVFDAVMRVIKSGPNGEAVLGTQAAEIKACEKLVN >Ma09_p26350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37654934:37655125:1 gene:Ma09_g26350 transcript:Ma09_t26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIVKLHFIIFWCQIAPVGVVCFEFYSCAYAIYLKKKKRRMISELLHKLWCNSSYFFVTYVT >Ma09_p25500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36946058:36946753:-1 gene:Ma09_g25500 transcript:Ma09_t25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQRRQRQQQKQPSRVPCARCGSEDTKFCYYNNYNTSQPRHYCRTCKRYWTHGGTLRNVPEGGCSKKIKKKPSLPSSSASSKKLPQAQAPVIPQMQDTAAGALGHPTRAPMPTPFFHAGGRGAAGWEDHILDESGLPVFNPVPPPPPGFNRLQSLDGISLQQMAQRRRLNLPLHQNLTMFQQEHQMNPPMFTPAASSVAATATSEARNRNNSMSAAFRPPPSSSSSFM >Ma11_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18909613:18910364:-1 gene:Ma11_g13760 transcript:Ma11_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGNQANHSHHQQERRVPRVHRPSEFLTRPQPTHPAFWLVGACCTLLWVAIILAGLAILIVYLVVKPKGPQLEISSANLNGAILDGGGLLNADLSVLANFSNPNQKVDLRFGQVQLDLYFRSVLIATQGLGPFRERRREAVLRYVRMVSSSVALPADAAEAWRAGETGNRHAMKVVASFRTRSDIGGWLHYTFRTRRHCNIVVGAPPAGVLLATSCTSKH >Ma00_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19468268:19493407:1 gene:Ma00_g02600 transcript:Ma00_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDTRSRVSDASKHQQLALQSRYDVYLGSEDMDGGEDDSRPEFTCPFCSEGFDIVGLCCHIDDEHPIEARNGVCPLCAARAGMDMVGHIMTEHANLLIVRQRRRFCKGSLGHYSTLLLLKKDLRDGTLQSLGGSFAPSNVAPDPLLSSFIFNLPDIDPSKDARPESLDEGSMVDKTSDEKLVQSVVPSPIYKDQVESTRRSEFVRQIVISTVFDEDAL >Ma07_p07420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5491874:5504431:-1 gene:Ma07_g07420 transcript:Ma07_t07420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MDEKSFCNDFVNLAHSMGVFLYEDLLCIMSLRYQTIHILQVRDSGNLVDVRNIGSFCLEDDELFLNSHAQCMTVPNKSKPVGSVHESANGIHHQPVAQASSFLTGIKQRLLSFIFRKAWSEEADPILRVQHLKKKFYFHFQDYVDLIMWKAQFLDRHHLLIKFGSVDGGVSRSTDQHPAFFAVYNMETTEVIAFYQNSSEELYSLFEQFYDHFHANSRESVHANFISSHSNNIHALEQLWSMKNKQSSFSQFVKKMMTSVPYTCQSQSPSPYFDVSLFRYDEKLISSTDRHRHSTDHPIKFISRRQPNVLKFKIKPGLETSGAEARTKKICSFLFHPYLPMALSIQQTYMQPTVVNLHLRM >Ma07_p07420.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5491874:5509635:-1 gene:Ma07_g07420 transcript:Ma07_t07420.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MFRGTNVAARVFERQILTPRPGASINLVRHFYENLVPSCTICDIDCPDHSLRKITDDGRYLVSFSRNLQDIIVYRPTWLSFSFKGENCDYQRLTQKAKKFDSFFTQLYTVSLGSSSEFICKDFFLYLEDPQFGLFATSTAQSHEVPAIEGAIHGVPSIEKITFHLVRLKDGVVMDEKSFCNDFVNLAHSMGVFLYEDLLCIMSLRYQTIHILQVRDSGNLVDVRNIGSFCLEDDELFLNSHAQCMTVPNKSKPVGSVHESANGIHHQPVAQASSFLTGIKQRLLSFIFRKAWSEEADPILRVQHLKKKFYFHFQDYVDLIMWKAQFLDRHHLLIKFGSVDGGVSRSTDQHPAFFAVYNMETTEVIAFYQNSSEELYSLFEQFYDHFHANSRESVHANFISSHSNNIHALEQLWSMKNKQSSFSQFVKKMMTSVPYTCQSQSPSPYFDVSLFRYDEKLISSTDRHRHSTDHPIKFISRRQPNVLKFKIKPGLETSGAEARTKKICSFLFHPYLPMALSIQQTYMQPTVVNLHLRM >Ma07_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5491874:5509289:-1 gene:Ma07_g07420 transcript:Ma07_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MDEKSFCNDFVNLAHSMGVFLYEDLLCIMSLRYQTIHILQVRDSGNLVDVRNIGSFCLEDDELFLNSHAQCMTVPNKSKPVGSVHESANGIHHQPVAQASSFLTGIKQRLLSFIFRKAWSEEADPILRVQHLKKKFYFHFQDYVDLIMWKAQFLDRHHLLIKFGSVDGGVSRSTDQHPAFFAVYNMETTEVIAFYQNSSEELYSLFEQFYDHFHANSRESVHANFISSHSNNIHALEQLWSMKNKQSSFSQFVKKMMTSVPYTCQSQSPSPYFDVSLFRYDEKLISSTDRHRHSTDHPIKFISRRQPNVLKFKIKPGLETSGAEARTKKICSFLFHPYLPMALSIQQTYMQPTVVNLHLRM >Ma07_p07420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5493518:5509635:-1 gene:Ma07_g07420 transcript:Ma07_t07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MFRGTNVAARVFERQILTPRPGASINLVRHFYENLVPSCTICDIDCPDHSLRKITDDGRYLVSFSRNLQDIIVYRPTWLSFSFKGENCDYQRLTQKAKKFDSFFTQLYTVSLGSSSEFICKDFFLYLEDPQFGLFATSTAQSHEVPAIEGAIHGVPSIEKITFHLVRLKDGVVMDEKSFCNDFVNLAHSMGVFLYEDLLCIMSLRYQTIHILQVRDSGNLVDVRNIGSFCLEDDELFLNSHAQCMTVPNKSKPVGSVHESANGIHHQPVAQASSFLTGIKQRLLSFIFRKAWSEEADPILRVQHLKKKFYFHFQDYVDLIMWKAQFLDRHHLLIKFGSVDGGVSRSTDQHPAFFAVYNMETTEVIAFYQNSSEELYSLFEQFYDHFHANSRESVHANFISSHSNNIHALEQLWSMKNKQSSFSQFVKKMMTSVPYTCQSQSPSPYFDVSLFRYDEKLISSTDRHRHSTDHPIKFISRRQPNVLKFKIKPGLETSGAEARTKKICSFLFHPYLPMALSIQQTYMQPTVVNLHLRM >Ma10_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26872737:26873372:1 gene:Ma10_g14410 transcript:Ma10_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSGSRWKCRKEHFTAPSSVRRVLMSALLSADGVTSTTRGRSRCARTKRCRWRRGTHKGRDGKIVQVYRRKWVIHVERITREKVNGTTVKVNINLCKVVITKLKLDKYRKAHGKFSAEKVVAGAPSLQEDNRSHGYDQGSADEMFLSLRSCKEVLNEKTNAAKKTSRTIPKKTKRKTAEEGPEKGSSNSHRGKPWKKNSRTNGRES >Ma10_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:13144055:13147033:1 gene:Ma10_g03310 transcript:Ma10_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesol kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G58560) UniProtKB/Swiss-Prot;Acc:Q67ZM7] MYSEVSLLCYAAPPIRSSAHLGRRSFPSVFGSPTLFPPGFRSSCFPHRRLLATMVDPISLESSVVHDLGAAALTSATALGLLRFWEELAKRGVFEQKLNRKLVHISIGLVFLLFWPLFSSGSQAPLLAALAPGINIIRMLLLGSGIWKNDAMVKSISRHGDYRELLKGPLYYACTITFATWLFWRTSPIAIAAICNLCAGDGMADIVGRRFGRKKLFYNHNKSFAGSVTMTLAGFLASVGYMHYYHTFGFIEESWGMIIRFFVVSLASALVESLPISTEVDDNLTVPVTSLLVGGLVF >Ma06_p33630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34183359:34187750:-1 gene:Ma06_g33630 transcript:Ma06_t33630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGYLNLGSAANLFTLSTCDFPSRVQLREDSTDVTLRLNSPSSSSLYSSKIKGAKRMWGYMNGNKGSEHPLLALGLGQSLNPSDSKASSIILCKTSTGETDEHSIELGLDFQPNVRNVKKSNPSNSLFATVNASHTENVIDLELSLSVGPSESVMTSIKPILSQHQGSLETSEMVSSVPTTDEEGTASSSWIVNSCLEPSSHNSETNGDFTSSKKIQIEVDPVAVVPEPPSTMAQTVKSPVVCTSTDVCSQHHNSNMKNCQFQGCVKRARGASGLCIAHGGGRRCQKPGCQKGAEGRTIFCKAHGGGRRCEHLGCTKSAEGRTDYCIAHGGGRRCGHDGCSRAARDKSGLCIRHGGGKRCQRENCTKSAEGYSRLCISHGGGRRCQFPACSKGAQGSTLFCKAHGGGKRCSFSGCTKGAEGSTPFCKGHGGGKRCSFQGGGVCPKSVHGGTLFCVAHGGGKRCAILGCTKSARGRTSFCVRHGGGKRCKSMDCRKSAQGNTDFCKAHGGGKRCAWDQAGSKFGTGDAPCDRFSRTKAGLCAGHDALVQDHCVRGGGTIEISPTKYPASIRSEKMKDVAVDGGKRVFGFCSSETEMHSPLTQCRLVSLPEGRVHGGSLMAILATSSTGIRKHNYHGKYYFRAECST >Ma06_p33630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34183359:34187750:-1 gene:Ma06_g33630 transcript:Ma06_t33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGYLNLGSAANLFTLSTCDFPSRVQLREDSTDVTLRLNSPSSSSLYSSKIKGAKRMWGYMNGNKGSEHPLLALGLGQSLNPSDSKASSIILCKTSTGETDEHSIELGLDFQPNVRNVKKSNPSNSLFATVNASHTENVIDLELSLSVGPSESVMTSIKPILSQHQGSLETSEMVSSVPTTDEEGTASSSWIVNSCLEPSSHNSETNGDFTSSKKIQIEVDPVAVVPEPPSTMAQTVKSPVVCTSTDVCSQHHNSNMKNCQFQGCVKRARGASGLCIAHGGGRRCQKPGCQKGAEGRTIFCKAHGGGRRCEHLGCTKSAEGRTDYCIAHGGGRRCGHDGCSRAARDKSGLCIRHGGGKRCQRENCTKSAEGYSRLCISHGGGRRCQFPACSKGAQGSTLFCKAHGGGKRCSFSGCTKGAEGSTPFCKGHGGGKRCSFQGGGVCPKSVHGGTLFCVAHGGGKRCAILGCTKSARGRTSFCVRHGGGKRCKSMDCRKSAQGNTDFCKAHGGGKRCAWDQAGSKFGTGDAPCDRFSRTKAGLCAGHDALVQDHCVRGGGTIEISPTKYPASIRSEKMKDVAVDGGKRVFGFCSSETEMHSPLTQCRLVSLPEGRVHGGSLMAILATSSTGIRKHNYHGKYYFRAECST >Ma06_p33630.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34183359:34187767:-1 gene:Ma06_g33630 transcript:Ma06_t33630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGYLNLGSAANLFTLSTCDFPSRVQLREDSTDVTLRLNSPSSSSLYSSKIKGAKRMWGYMNGNKGSEHPLLALGLGQSLNPSDSKASSIILCKTSTGETDEHSIELGLDFQPNVRNVKKSNPSNSLFATVNASHTENVIDLELSLSVGPSESVMTSIKPILSQHQGSLETSEMVSSVPTTDEEGTASSSWIVNSCLEPSSHNSETNGDFTSSKKIQIEVDPVAVVPEPPSTMAQTVKSPVVCTSTDVCSQHHNSNMKNCQFQGCVKRARGASGLCIAHGGGRRCQKPGCQKGAEGRTIFCKAHGGGRRCEHLGCTKSAEGRTDYCIAHGGGRRCGHDGCSRAARDKSGLCIRHGGGKRCQRENCTKSAEGYSRLCISHGGGRRCQFPACSKGAQGSTLFCKAHGGGKRCSFSGCTKGAEGSTPFCKGHGGGKRCSFQGGGVCPKSVHGGTLFCVAHGGGKRCAILGCTKSARGRTSFCVRHGGGKRCKSMDCRKSAQGNTDFCKAHGGGKRCAWDQAGSKFGTGDAPCDRFSRTKAGLCAGHDALVQDHCVRGGGTIEISPTKYPASIRSEKMKDVAVDGGKRVFGFCSSETEMHSPLTQCRLVSLPEGRVHGGSLMAILATSSTGIRKHNYHGKYYFRAECST >Ma06_p33630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34183359:34187750:-1 gene:Ma06_g33630 transcript:Ma06_t33630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGYLNLGSAANLFTLSTCDFPSRVQLREDSTDVTLRLNSPSSSSLYSSKIKGAKRMWGYMNGNKGSEHPLLALGLGQSLNPSDSKASSIILCKTSTGETDEHSIELGLDFQPNVRNVKKSNPSNSLFATVNASHTENVIDLELSLSVGPSESVMTSIKPILSQHQGSLETSEMVSSVPTTDEEGTASSSWIVNSCLEPSSHNSETNGDFTSSKKIQIEVDPVAVVPEPPSTMAQTVKSPVVCTSTDVCSQHHNSNMKNCQFQGCVKRARGASGLCIAHGGGRRCQKPGCQKGAEGRTIFCKAHGGGRRCEHLGCTKSAEGRTDYCIAHGGGRRCGHDGCSRAARDKSGLCIRHGGGKRCQRENCTKSAEGYSRLCISHGGGRRCQFPACSKGAQGSTLFCKAHGGGKRCSFSGCTKGAEGSTPFCKGHGGGKRCSFQGGGVCPKSVHGGTLFCVAHGGGKRCAILGCTKSARGRTSFCVRHGGGKRCKSMDCRKSAQGNTDFCKAHGGGKRCAWDQAGSKFGTGDAPCDRFSRTKAGLCAGHDALVQDHCVRGGGTIEISPTKYPASIRSEKMKDVAVDGGKRVFGFCSSETEMHSPLTQCRLVSLPEGRVHGGSLMAILATSSTGIRKHNYHGKYYFRAECST >Ma06_p06400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4643190:4643661:-1 gene:Ma06_g06400 transcript:Ma06_t06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSSSHPPLDKKFLHSFGILMLHQVFNLLAMFLCLPMLLLINSLENLDERSKLY >Ma05_p09280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6730239:6731962:-1 gene:Ma05_g09280 transcript:Ma05_t09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQKKVVGSSKTLKVGPWGGHGGSTWDDGINTGVREITLVYDQCIDSIRVEYDKNGKPFLAEKHGGNGGSMTTKIKLEHPEEYLTTISGHYCPMAYGGSPVIRSLTFKSNQRTFGPFGVQDGIPFTLPMEGGMIVGFSGRCGWYLDAIGWCISPFRTVKLYETLQHKIQRIGTMASRTLGNRDDQNGALATTKPRKTYA >Ma02_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17251319:17266331:-1 gene:Ma02_g06220 transcript:Ma02_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDQEMSGWTDLLHSSTKLLEHAGPSAHFPSLQRNLDQLESLSKKLKSKTIRAEAPSQSISATRLLAREGINAERLARDLKSFELKTTFEDVFPSEATTVEEYLQQIHEMAMVSSIQEAQKDNLRSFNDHMMQVLEEDWQKEKRDFLQSLSRLSTLPRGNSSILSSGFSSTVQMPPQASSPHVSKTSSNMEVMPMANKSIIEKKASVYAEILRNLNDARGRGLHFKPATAFRDAYESMGLDFSGMRSVTMQKIWHLIQALVGEYSNNRQKFSRKMSLVIGARRHLEWGHEKYILDMIKTHPSQAALGGAVGNLEKIQAFLRVRLRDHGMLDFDTTDIRRQPPIDTTWQQIYYCLRTGYYDEAKKVAESSRAAHQFAPQLAEWIASGGSVSPETAAVASEECEKLLRMGDRAGRPGYDRKKLLLYAIISGSRRQVERLLRDVPSLFGTIEDFLWFKLSAIRDCPGGSSSIVLSEGLVPYNLDDLQGYLNKFEPSYYTKNGKDPLVYPYVLLLSIQFLPAVLYLFKEVGEEGYNVDAVHISIALADNGVLSDGIGTDRKIGVMDACAEVASIIRQYGSVYLRQGNLELALEYYAQAAAAMGGGEVSWIGRGSSDQQRQRNMMLRQLLTEVLLQDGGISLLLGPRGTGEEGALSKYIMDWNSRQQFLLEAARQCQEAGLYDKSVEIHKRVGAFSVALETVNKCLSDVICAMSRGRLDGESRAASLIQSGNDILENFKYSSGVSLQEKEPVSEQQTVLRQLEAILHISKLARAGQHVDALREIIKIPFLPLNPRVPDVHYDVFQKLSPHVQACVPHLLKITLNCLDNVKDTDGTLRALKSKIANLVANNMNRNWPQDLIEKVAQSI >Ma10_p29770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36239258:36241507:1 gene:Ma10_g29770 transcript:Ma10_t29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSHRHHHPLFHLLHRDQCTTLHQLKSIHARITVQGFASLHPSLAFTKILFSLTLLLIPPSLPPADSCTAYALALFRSIPSPSTFPHNLLIRVHTLLSSPIPALLLFAHMRRSSVAPDSHTFPFVLKACARLRSPNLGRSLHSQALKFGFAADLYVRNSLISTYNSCLSLLEAQGLFDECPSARDVVTYNTLIDGYVKAGDFAFARKLFDDMPERDVVSWGTLLAGYSQMGQFEEAISFFDQMLATGTRPDEVALVSVLSCCAQLGKLDRGEAIHEYIKKNRAKLNVYLSTGLVDMYAKCGCISVAVEIFESTPRKNLFTWNAIIVGLAVHGNGQLSLEYFDRMRAVGVEPDGVTFLGVLVACSHAGLIEMARSLFDEMESIYGVERELKHYGCMADLLGRAGLVEETMEMIKGMPMKGDAYVWGGMLAGCRIQGNVEIAEIAAQHLLQLNPEDSGIYSIMSDVYATAKRWEDVARIRKLMGDKRVKKNVGCSSVEVGDGSLHGRLNYAPFR >Ma08_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5855745:5858803:-1 gene:Ma08_g08420 transcript:Ma08_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGQEEDKKPADQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGGLPSA >Ma07_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2779140:2782864:-1 gene:Ma07_g03630 transcript:Ma07_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) UniProtKB/TrEMBL;Acc:Q8GYJ9] MASTAALTTSSLLRRSRALRGHVFPKPLPNPSSINLARPPPVLLLPRRFMCRNAASGNEEAAAKAAAEIADTGAPTIFDKIIAKEIPSTIVYEDDKVLAFRDVNPQAPVHILVIPKIRDGLTQLGKAEPRHAEVLGHLLFAAKLVAEKEGIVDGFRVVINNGPEACQSVYHLHLHVLGGRQLKWPPG >Ma08_p10760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7887788:7895050:1 gene:Ma08_g10760 transcript:Ma08_t10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLYWGAVVVLLAASAPTSSSIPFVVLHGIGDECASKGVSQFTQLLGNWSRSEGYCIEIGNGVWDSWIMPLQQQADVVCEKVKEMGELRAGYNIVGLSQGNLIGRAVVEFCEGGPPVKNFISLGGPHAGIASVPLCGSGILCKLVDNLIKLQIYSDYVQAHLAPSGYLKIPNDIPGYMEGCMFLPKLNNEDPSKRNATYKERLSSLQNLVLIMFEHDTVLVPRETSWFGYYPDDNFNPILPPNQTTLYTEDWIGLKTLDDAGRVKYISVKGNHIKISQSDMRKHIVPYLEENPEKFMQKLPMKE >Ma10_p28050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35064137:35066073:-1 gene:Ma10_g28050 transcript:Ma10_t28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRWLLSVAKKKENVVTGLLLGTFVTLAVRSSVQEREIDALEAEKSSLRADISSLYSSMWSCRQDLFALAAAADPTSSPKQPFIPLSRLRAIYGEEEPPSNSAVLPSAVDPQGVGVDAGKESISIA >Ma05_p20640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32321310:32324755:-1 gene:Ma05_g20640 transcript:Ma05_t20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLIFNPSVADAPLVVLAYHRLPKFPIKPLLFSPCFEPSSFPSSAPSCINRMSWFGKLGFVLGGRGAAPLASSAIAQGRDDDVPATGQEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGTLHEPTYEDVCSGTTNHSEIVRVQYDPRGCSYGDLLNVFWARHDPTTVNRQGNDVGTQYRSGIYFYTAEQEKAARESMERHQKASSRKIVTEILPAKKFYKAEEYHQQYLEKGGRFGHKQSASKGCSDPIRCYG >Ma03_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9421496:9425711:1 gene:Ma03_g12150 transcript:Ma03_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYGDARHQAAAAAMAPPPATLKRPRAEYQYVPSGSDVFAYYPREDEGTAHPTVRDTESLGASYDRYLRNGISSYGAGESVRPVGGGINNQPIDDRRIISIESLDGRSAGYGGRRPEPPLPPDASNTLFVEGLPADCTRREVSHIFRPFVGFQEVRLVNKESRHPGADPLVLCFVDFVTTAQAAIALEALQGYKFDESDRESANLRLQFARFPGPRSFSGPRGRR >mito2_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:590335:590642:-1 gene:mito2_g00080 transcript:mito2_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIKGHYLNATAGTCEEIHHEEWGMIHFCVLAKALRMSGIDHIHTQVQ >Ma02_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22752170:22760230:-1 gene:Ma02_g14830 transcript:Ma02_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEENNHACKRSWAAGNGGQAAKIGRTEHQDEDEEDGERKGGGGADTSRWGPWQHHPSSRIFRVSRASGGKDRHSKVYTAKGLRDRRIRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAINELPPLDCFPKPPDPCGDETMNADRDVEPSYDQQQQQQHPSNKSGCSSSSDTSKGSVLSLSRPESSIKARERARGREAKNKEDRDDSGYIVASHHRNLNPQTSSFTELLTGGSNRAVPVAAGDGNSSHNCIQKQVSNADYFGQDCLFAQSQRSHPLPSGFSSQSHFGNSSPMGMLSFNIAAAGDHPEMQQFSSLQDHLFPFAATGNYNHNFSISSGLAGFNRGTLQSNSPAHLPQLHHDSHNHLQRLSSTVDGSNLQFFFGSAAAAANAENQLPAAFDGRLQLYYGDGYRHSDLKGKGKS >Ma04_p13290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10049664:10051314:-1 gene:Ma04_g13290 transcript:Ma04_t13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISFLMLLTALALSPLCFAFPHGGPFLFPQFYDRSCPKAHEIVKSIVAKAVAKEARMAASLLRLHFHDCFVKGCDASILLDSSKTIVSEKMSVPNRNSVRGFEVIDEIKSALEKACPHTVSCADILALAARDSTVLVGGPYWEVPLGRRDSLGASIQGSNNHIPAPNNTLQTIITKFKLKGLDLVDLVALSGSHTIGQSRCTSFRQRLYNQTGNGLADFTLDPAYAASLRSRCPRSGGDQNLFPLDLVSPTKFDNHYFKNLVAKKGLLSSDEILFTNSPATKKLVELYAANGELFFQHFARSMVKMGNIAPLTGSMGQIRKNCRKVNHA >Ma07_p11190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8343916:8344939:1 gene:Ma07_g11190 transcript:Ma07_t11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGGGGGLREEAGEPRDARVVKELLRSMGLGEGEYEPRVVHQFLELAYRYVVDVLSDAQVYAEHASKTAIDPDDVRLAIQSKVNFSFSQPPPREVLLELARRRNKIPLPKTIAPPGSIPLPPEQDTLISPNYQLLIPRKQPPQVEETEEDVNGSNVNPTLVANSSQEQQTLQRVSFPLSSAAKRPR >Ma10_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29019410:29024298:1 gene:Ma10_g17790 transcript:Ma10_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIRVTALLLCFGVTGVVSDASDHRYKQGDPVPLYANKVGPFHNPSETYRYFDLPFCVPEHVTEKKEALGEVLNGDRLVEAPYKLDFRVDRDSEPLCKKKLTKEDVAKFRSAVTKDYYFQMYYDDLPIWGFIGKVDKERKDLSEYKYYLYRHIHFDILYNSDHVIEISVHTDPNSLADLTEDKDIEASFFYSVKWKETTTPFEKRMNKYSQTSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRFPKNKSLLAACLGSGTQLFALTVFIFILALVGVFYPYNRGALFTALVVVYALTSGIAGYTASSFYCQLEGTNWVRNVLLTGFLFCGPLFLTFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNGKTEFQAPCRTTKYPREIPLLPWYRRTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYIIYSILFIVFIILLIVTAFITVALTYFQLASEDHEWWWRSYLCGGSTGLFVYGYCFYYYFARSDMSGFMQTSFFFGYMACICYGFFLMLGMVGFRAALLFVRHIYRSIKCE >Ma03_p12390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9556800:9557325:1 gene:Ma03_g12390 transcript:Ma03_t12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLACPKSFLRSPSLPRRRPPSCSVAVGSSSATLYDVLGVAADATGVEIKVAYRRLARSCHPDVVAAQGKGASAADEFMRVRAAYEILSDPEKRVDYDRRVKAVVFSALRTSWCSSQDRRPRTWETDQCW >Ma10_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22155995:22156291:-1 gene:Ma10_g07850 transcript:Ma10_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPVTPELTRTRPLAMARLIEDRGRAALPSKDDEEAFRTPTSEESKLPSVAPSCPPAPRKTRRVLQCKRKLWPGPESVAAREAQLLGKKRCVIITAD >Ma10_p05700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17054040:17054231:-1 gene:Ma10_g05700 transcript:Ma10_t05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSCIPTTFSHTKYSGEQAKPKVMNSWMSMRTTATSLHLDSGSSEKALEWDSSWSPKAASG >Ma01_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2863240:2871885:-1 gene:Ma01_g04330 transcript:Ma01_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSRPAVHPVEAPPLTDAAENPPRVRMKDIQGMPGTPGGLALRLFQFAFAVAALGVMVSTSDFASVTAFCYLVAAVILQCLWSISLAFLDIYALLVKRSLRNSRALCLFTIGDGITSTLTFAAACASAGITVLIGNDLNVCSQNHCTSFETATAMAFISWFAVSPSFLLNFWSLASR >Ma06_p12860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8867808:8876751:1 gene:Ma06_g12860 transcript:Ma06_t12860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNAVADPMQLPVGGGASEVPQQWFVDERDGFISWLRGEFAAANAIIDLLMHHLRITGEPGQYDHLAGCIHQRRFHWAPILHLQQYFPVANVMYALQRVEGKQRPQIPQRRSYGPKENGRKNGFGHGYGHRSDGVLDTHGSLASGMAMSDDVNTEKRDDKLETYTDTSQKTDAPPHAEKDGVCNVPSSKAYSSLKEIANVVEPNCYESEPAGDSRALDCKGTRNDSAKGDVDITSNPGENQKGYLMPKEFLAKEISDGTMVNVVEGLKLYEDFLNSSEIIRLVSLAYETRAAGHRKELPGQTLVTLKRPMKGHGREMIQFGIPINEGPQEDENTTVSSGEKKVAAIPSVLQVMLHSLVQLQVLPVKPDFCIIDLFNEGDHSQPHTWPPWYGRPVCNLLLTDCDIVYGRAVGSDHRGNYNGSLKLSLTAGALLVMEGKSADLAKRAIPSLRKQRILLTFGKSQPKNFSRHLPGRKQQVPPMHPQSMSLPNGVQPLFMAPPVVPPTTVGWTVAVPPMHPNPPFPVPGTGVFLPPGSVHSPPSQQLPVAPISSGAFYAPHIFASSESNGAQKPNCNNDASPKNTQDLTEPKLEYDGCLSIDNAAPDEQKNVAAKKLVKKLTENSAR >Ma06_p12860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8867808:8876751:1 gene:Ma06_g12860 transcript:Ma06_t12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNAVADPMQLPVGGGASEVPQQWFVDERDGFISWLRGEFAAANAIIDLLMHHLRITGEPGQYDHLAGCIHQRRFHWAPILHLQQYFPVANVMYALQRVEGKQRPQIPQRRSYGPKENGRKNGFGHGYGHRSDGVLDTHGSLASGMAMSDDVNTEKRDDKLETYTDTSQKTDAPPHAEKDGVCNVPSSKAYSSLKEIANVVEPNCYESEPAGDSRALDCKGDVDITSNPGENQKGYLMPKEFLAKEISDGTMVNVVEGLKLYEDFLNSSEIIRLVSLAYETRAAGHRKELPGQTLVTLKRPMKGHGREMIQFGIPINEGPQEDENTTVSSGEKKVAAIPSVLQVMLHSLVQLQVLPVKPDFCIIDLFNEGDHSQPHTWPPWYGRPVCNLLLTDCDIVYGRAVGSDHRGNYNGSLKLSLTAGALLVMEGKSADLAKRAIPSLRKQRILLTFGKSQPKNFSRHLPGRKQQVPPMHPQSMSLPNGVQPLFMAPPVVPPTTVGWTVAVPPMHPNPPFPVPGTGVFLPPGSVHSPPSQQLPVAPISSGAFYAPHIFASSESNGAQKPNCNNDASPKNTQDLTEPKLEYDGCLSIDNAAPDEQKNVAAKKLVKKLTENSAR >Ma06_p12860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8867808:8876751:1 gene:Ma06_g12860 transcript:Ma06_t12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNAVADPMQLPVGGGASEVPQQWFVDERDGFISWLRGEFAAANAIIDLLMHHLRITGEPGQYDHLAGCIHQRRFHWAPILHLQQYFPVANVMYALQRVEGKQRPQIPQRRSYGPKENGRKNGFGHGYGHRSDGVLDTHGSLASGMAMSDDVNTEKRDDKLETYTDTSQKTDAPPHAEKDGVCNVPSSKAYSSLKEIANVVEPNCYESEPAGDSRALDCKDITSNPGENQKGYLMPKEFLAKEISDGTMVNVVEGLKLYEDFLNSSEIIRLVSLAYETRAAGHRKELPGQTLVTLKRPMKGHGREMIQFGIPINEGPQEDENTTVSSGEKKVAAIPSVLQVMLHSLVQLQVLPVKPDFCIIDLFNEGDHSQPHTWPPWYGRPVCNLLLTDCDIVYGRAVGSDHRGNYNGSLKLSLTAGALLVMEGKSADLAKRAIPSLRKQRILLTFGKSQPKNFSRHLPGRKQQVPPMHPQSMSLPNGVQPLFMAPPVVPPTTVGWTVAVPPMHPNPPFPVPGTGVFLPPGSVHSPPSQQLPVAPISSGAFYAPHIFASSESNGAQKPNCNNDASPKNTQDLTEPKLEYDGCLSIDNAAPDEQKNVAAKKLVKKLTENSAR >Ma02_p20260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26295321:26295799:-1 gene:Ma02_g20260 transcript:Ma02_t20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGTANCIDIILAIILPPLGVFLKFGCKAEFWICLLLTILGYIPGIIYAVYAITK >Ma11_p04530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3531554:3537918:1 gene:Ma11_g04530 transcript:Ma11_t04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGGEEGVLGKYELGRTLGEGNFGKVKYARNVVTGQAFAVKILDRKRIQSLKIDDQIKREIGTLKLLKHPNVVRLHEVSASKTKIYMVLEYVDGGELFDKISLKGKLSEKEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQHLGNDGLLHTTCGSPNYIAPEILSNRGYDGARSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIVRGDTKIPRWLSPGAQNILRRILDPNPVTRMNVAGIKADDWFKEDYAPVFPKDDDDDGDDSYGDSLPVKEQNVSGENGASPTHINAFQLIGMSSSLDLSGFFEKEDVSERKIRFTSDHSPKELLEKIVDIVTEMGLQVQKGHGKLKVTQKQDRRSTKSAASLSLATEVFELTPSVCVVELRKSQGDSSLYRKVCARLSEDLGASKGEQLLGTKKSLTPEASSYT >Ma11_p04530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3535142:3537918:1 gene:Ma11_g04530 transcript:Ma11_t04530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYVDGGELFDKISLKGKLSEKEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQHLGNDGLLHTTCGSPNYIAPEILSNRGYDGARSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIVRGDTKIPRWLSPGAQNILRRILDPNPVTRMNVAGIKADDWFKEDYAPVFPKDDDDDGDDSYGDSLPVKEQNVSGENGASPTHINAFQLIGMSSSLDLSGFFEKEDVSERKIRFTSDHSPKELLEKIVDIVTEMGLQVQKGHGKLKVTQKQDRRSTKSAASLSLATEVFELTPSVCVVELRKSQGDSSLYRKVCARLSEDLGASKGEQLLGTKKSLTPEASSYT >Ma11_p04530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3535142:3537918:1 gene:Ma11_g04530 transcript:Ma11_t04530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYVDGGELFDKISLKGKLSEKEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQHLGNDGLLHTTCGSPNYIAPEILSNRGYDGARSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIVRGDTKIPRWLSPGAQNILRRILDPNPVTRMNVAGIKADDWFKEDYAPVFPKDDDDDGDDSYGDSLPVKEQNVSGENGASPTHINAFQLIGMSSSLDLSGFFEKEDVSERKIRFTSDHSPKELLEKIVDIVTEMGLQVQKGHGKLKVTQKQDRRSTKSAASLSLATEVFELTPSVCVVELRKSQGDSSLYRKVCARLSEDLGASKGEQLLGTKKSLTPEASSYT >Ma02_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24895892:24896823:1 gene:Ma02_g18400 transcript:Ma02_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDSAAAAPFQAAAAKSQIPEPEEKLRCPRCDSTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGALRNVPIGGGTRKSSKRSKTSSSSSSASSSKSSSPPKPGLSPSGHAQPEPISVMLPPLDADHRLLDTSGSFISLLASSGHFGGLLNSFLPGGGASAGVRNPSTVSSSGVDGHEWELQNFTGSSGSESIAATLSTENLSLQVDSECWTGAWPDLSVYNPGSNFL >Ma08_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15538673:15634320:-1 gene:Ma08_g15470 transcript:Ma08_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKVAFLLQKYLGNYVRGLSKEALKISVWQGDVELSNMQLRPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQEPVLVYLDRILLLAEPATQIEGCSEDAVQEAKKNQVKELEMKLLESEQQLKSEMNTSWLGSLINTIIGNLKLSITNIHIRYEDTESNPGHPFAAALKLARLSAVTIDDFGKETFATGGALDRIQKSVELESLAFYFDSDVIPWSIDKPWEDLLPSEWSKIFELERENMKEHNYMLEPVTGNAKYTKLRLDESRSTGQALQKAVVNLDSVTLSLSKDGYRDILKMAENFAAFNQRLRYAHYRPSVSVKSDPISWWKYAYKVVTDETKKASGKFSWEHVLKYAKLRKRYISLYASLLKSDLSHMVADDNKEIEELDRELDIDVILQWRMLAHKFVEQMAESDLSLNKEKAKRSWWSFGWTGSTKDDSEHRGFTEEDWEQLNKLIGYKEGSNDYQLAAEEEDFIHLYLEIHMKHNASKLVADDQKCLAELSCEGLDCNIKTYPEAKVFDLKLGSYKLSSPYGLLAESATAADSLVGVFSYKPFDVQVDWSFVAKSSPCYITYIKESVDQVVAFFKSSSSISQTLALETAAAVQMTIDGVKRTAQEQMSRVLKEQSRFLLDLDIAAPKVTIPTKFYPDDLHATQLLLDLGNLTLTTDDYWECDTSEEKDLYLQFNLVLSDVSAFLVDGDYHWSKTSLDVAAGQTKNDSFLQVIEKCGIVVNFQQIKLENPSYPSTRLAVRLPSLGFHFSPARYHRLMQVMKIFQEDRMEVDVQPWTQADFEGWLSLLTWKGVGNREATWQRKYFCLVGPFLYILENPTSKTYKQYLSLRGKQVHQVPTEFTGGVQNVLAVYDAGQYNSKVVEDTNALILLCDDNEDLRTWQKRLQGAIYRASGPATISSISEISSPAETTKGKSYDIAPTLDVVYMERLFVTGVLDELRVCFSCSFQSNQSLKKMLVSHENRLFEFRAIGGQVELSMREKNIFIGTVLKSLEIEDQFCYEGGRTPRYIARSFINSEEATALHNLSSFTDIGADKVKNNSFKKSDSEKFFEALDDLDDLVDNFSGQDSFPSPKLSLKPPSFCRIPGLTPDAENWSLNLNRNDILDSFVKAQIIIYDQSSSHYNNLDNKVMVTLATLSFFFHRPTILATLEFFNAINISEENDNADEIIQKTPLDRSSQSVLPNEANTTIFEESKAKGLLGSGKTRIIFHLTLNMAMAQIFLMNEDGTSFATLSQNNLLTDIKVFPSSFSIKAALGNLKISDDSVPSNHPYFWVCDMRDPGGSSFVELNFSSFSTDDDDYMGYDYSLTGQFSEVRIVYLNRFVQEVISYFMGLLPSNAEGVVKLKDQVTNSEKWVSKSEIEGSSAIKLDLSLSRPIILMPRHTKSLDYLELDVLQITVHNSFQWLGEDKTEMSAVHQETMFIKDINLTVGIGMKSGETIIQDVEGLSVVIQRSLRDLLHQIPSTEALIKIQVLKAALSNREYDVITECASSNFSETPHVVPSLEKIFGTSENDVLLTSASPSSAVGFLQEPQHKGTWITMKVSVAIDLVELLLHSGMSRDSPLASIQASGAWLLYKSNASEESFIFATLKGFSVTDEREGVKEEFRLAIGKSRTIEYTSFDNGDDDDIRSLVDNGGEKVKERDDLEPVPSMLIFDATLMKSSTSVSFYIQRPKLLVALDFLLAVTEFFAPSVRNMLSNEEDAGPLNMAGTIILDHPIYTQPLHSYSLSPQKPLIVDDERFDHFIYDGKGGNLFLNDRAGKVLSYPSPEVIIFVGCGKRLQFKNVTIMNGEYLDSSICLGANSSYSASADDSVFLERWNEGDSIDSHEETVVSEVLKPVASGSTEIIIDLQAIGPELTFYSTSKDVGESLILSKKVIHANLDILCRLVTKGDSFEMSGNVLGLKVESNGITVLEPFDTCLKFSNASGKTNIHFAVSDIVMNFSFSILKLFLAVEEDILAFLRMSSKKVLVICSQFDKVASVNGYNHTYTFWRPQAPSGYAVLGDCLTPRNQPPSKGVVALNTSFVRVKRPLSYKLVWRSGPHCTDIGQYDGTSHLLNNGDGGQNGGFSVWFPVAPRGYVAVGCVVSSGSAEPPLSAGLCVLASLVSPSSFKDCIALNLNNPNNADIAFWRLENSFGSFLPANPNSTNLIGKAYELRHVLFRNSDTFIEDSKSSRVQTTINDDGSRLERAELLTSGRLFEAVANFKLIWWNQGTSFRKKLSIWRPVVSPGMVFLGDIAVQGYEKPNSAVVLHDPGDESFLKAPQDFQLIGRIKKQKGAESITFWLPIPPPGFVALGCVASRGSPKTDDIGSLRCIRSDMVAGDQFADESIWDTSETRMSEHFSLWSIGNDLGTFLVRNGYRKPPRRFALKLAGSTVSSGSDNTVIDAEIKTISAAVFDDYGGLMVPLFNVCLDKVAFSLHGRPDYLNSTMSFFLTARSYNDKYDVWEPFIEPMDGFIRYQYDLNAPGAATQLRMTSTQDLNLNISVSNANMLIQAYSSWNYLNHIDESYKKIESVPSAFGESSIIDIHHKGDYYIIPQNKLGQDIYIRGTGHMRPSSIIKMPSRDNKPVKVPAPKNMLNSHLKGKTSTISRSLVTIIIADAELTTPEGIGTGEYMIAVRLYIDHPAVSPLRQQSARTSGVLTKHSSSSITTVNWHEIFSFKIDSTENYILEFIVVDLGRGEPVGIHTACLKQMAHELPPGLSSYDSKCHFSWKELSSAKTLDCQSDRQSKFQGRIRCAILLPMEPESKNDDHDSAITNKAGFIQISPTREGPWTIMRLNYAAPAACWRFGNDVVASEVSVKNGNRYVEIRSLVSVTNNTDFFIDLCLKSNSSSEYSRSADEEINIPDRGFDVNKFEMEEFFEIEKNDPLVGWISCSSRIPFASHPKQFSSDGENQGQSVVELPDDWEWTDDWHVDTSSVVTADGWVYASDIEHLKWPESSKELNSDNYARQRKWIRHRKYVPFKENKEISVGLLKAGDTIPLPLPGLSNPVVSYIMQLRPQNSKDEKEYSWSIVLDKHYQTEISGGHEDSPEICVSALNECDVLLFCSQKAGTSSDHSEGLWFCVSIKAKEIGKDINSVPINDWNLIINSPISLANYLPLSAKYTVTANKLSGEQITCSQGNLGPGETIKIHSADLRDPLYMSLLPDGEWQSEHEPVPISHPSKLPSKVINLKNSLSERIVQVILEQNYGKECLVARVIRIYAPYWISSARCPPLNLRFMALPGTRDGTHFLVSFRSYVKTEKLLWEITEEEMVGGYTIASFLNFKLLGLSASISGPGKECFGPVKDLSPLGDMDGLIDLYAYDTDGKCMRMLISSKPSPYQSVPTKVIFIRPYMTFTNRIGEDIFLKFNIADQPKILHASDSRVSFLYSEAGGPDKLLVQLEDTDWSFPLEIVKEESITVVLRKHHGGRSFIRAEIRGYEEGSRFLVVFRLGSSYGPLRMENRTASTKIKIRQSGLDENGWIFLEPLSTAKFSWDDPYGQKFIDVCVISHQETYIQNVSLEMETNSSKDLQALGIQIIVVEMGDYKIVRLIDCKTMTTDSRERTDSVLFMRWGTSSLQKEPHNGTAPLELIMELGVVGVSLIDHRPKELLYFYLERVYMSYLSGFDAGTTSRFKLIFGYLQLDNQLPLTVMPVLLAPEDMPDRKHPVFKTTIMKSNEASDGTQVYPYVYIRVTEKLWRLNIHEPIIWALANFYKSIRVDSIPGSSGTAQVDPEIQLELIDVSEIKLKLSLETAPSQRPPGVLGVWSPILSAVGNAFKIQVHLRKVVHRSRYMRKSSIIPAIANRIKRDLIHNPLHLIFSVDVLGMTKSTLASLSKGFAELSTDGQFLQLRSKQVSSRRITSVRDGILQGTEALAQGVAFGVSGVLRKPVESARQHGLLGLAHGLGHAFLGFVVQPLSGALDFVSLTVDGIGASFSRCLEILNNKAIVQRVRNPRAIHADGVIREYSEREAIGQMILYLAEASRHLGCTDLFKEPSKYAWSDFYVDNYIVPYQRIVLITNKRIMLLQCLSLDKLDKRPCKILWDVPWDDLLAIELAKAGYDKPSHLIIHLKNFRRSESFARLIRCNIGEDEEQEPQAVIICSTLRRTWKAHQTNKKILVLKVPSSQRRVQFAWDESEGRESRSLIRPFIKPRGSSISDERRFIKHSVNFRQIWSSEQESKSRCSLFPKQVVDDGTICSIWRPLCPDGYVSVGDIAHVGGHPPTVAAVYRDSDRNFALPVGYDLVWRNCAEDYAAPLTIWLPRAPEGYVAVGCVAVAAYEEPLLNSAYCVSEGIAEEALFEEHFVWMAPDSYPWSCYIYQVQSAALQLMALRQPKEESEWTPMRVCDYHQSSRALEIAQDETLSSRGKIVKEVG >Ma08_p15470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15538674:15602582:-1 gene:Ma08_g15470 transcript:Ma08_t15470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLRGKQVHQVPTEFTGGVQNVLAVYDAGQYNSKVVEDTNALILLCDDNEDLRTWQKRLQGAIYRASGPATISSISEISSPAETTKGKSYDIAPTLDVVYMERLFVTGVLDELRVCFSCSFQSNQSLKKMLVSHENRLFEFRAIGGQVELSMREKNIFIGTVLKSLEIEDQFCYEGGRTPRYIARSFINSEEATALHNLSSFTDIGADKVKNNSFKKSDSEKFFEALDDLDDLVDNFSGQDSFPSPKLSLKPPSFCRIPGLTPDAENWSLNLNRNDILDSFVKAQIIIYDQSSSHYNNLDNKVMVTLATLSFFFHRPTILATLEFFNAINISEENDNADEIIQKTPLDRSSQSVLPNEANTTIFEESKAKGLLGSGKTRIIFHLTLNMAMAQIFLMNEDGTSFATLSQNNLLTDIKVFPSSFSIKAALGNLKISDDSVPSNHPYFWVCDMRDPGGSSFVELNFSSFSTDDDDYMGYDYSLTGQFSEVRIVYLNRFVQEVISYFMGLLPSNAEGVVKLKDQVTNSEKWVSKSEIEGSSAIKLDLSLSRPIILMPRHTKSLDYLELDVLQITVHNSFQWLGEDKTEMSAVHQETMFIKVKDINLTVGIGMKSGETIIQDVEGLSVVIQRSLRDLLHQIPSTEALIKIQVLKAALSNREYDVITECASSNFSETPHVVPSLEKIFGTSENDVLLTSASPSSAVGFLQEPQHKGTWITMKVSVAIDLVELLLHSGMSRDSPLASIQASGAWLLYKSNASEESFIFATLKGFSVTDEREGVKEEFRLAIGKSRTIEYTSFDNGDDDDIRSLVDNGGEKVKERDDLEPVPSMLIFDATLMKSSTSVSFYIQRPKLLVALDFLLAVTEFFAPSVRNMLSNEEDAGPLNMAGTIILDHPIYTQPLHSYSLSPQKPLIVDDERFDHFIYDGKGGNLFLNDRAGKVLSYPSPEVIIFVGCGKRLQFKNVTIMNGEYLDSSICLGANSSYSASADDSVFLERWNEGDSIDSHEETVVSEVLKPVASGSTEIIIDLQAIGPELTFYSTSKDVGESLILSKKVIHANLDILCRLVTKGDSFEMSGNVLGLKVESNGITVLEPFDTCLKFSNASGKTNIHFAVSDIVMNFSFSILKLFLAVEEDILAFLRMSSKKVLVICSQFDKVASVNGYNHTYTFWRPQAPSGYAVLGDCLTPRNQPPSKGVVALNTSFVRVKRPLSYKLVWRSGPHCTDIGQYDGTSHLLNNGDGGQNGGFSVWFPVAPRGYVAVGCVVSSGSAEPPLSAGLCVLASLVSPSSFKDCIALNLNNPNNADIAFWRLENSFGSFLPANPNSTNLIGKAYELRHVLFRNSDTFIEDSKSSRVQTTINDDGSRLERAELLTSGRLFEAVANFKLIWWNQGTSFRKKLSIWRPVVSPGMVFLGDIAVQGYEKPNSAVVLHDPGDESFLKAPQDFQLIGRIKKQKGAESITFWLPIPPPGFVALGCVASRGSPKTDDIGSLRCIRSDMVAGDQFADESIWDTSETRMSEHFSLWSIGNDLGTFLVRNGYRKPPRRFALKLAGSTVSSGSDNTVIDAEIKTISAAVFDDYGGLMVPLFNVCLDKVAFSLHGRPDYLNSTMSFFLTARSYNDKYDVWEPFIEPMDGFIRYQYDLNAPGAATQLRMTSTQDLNLNISVSNANMLIQAYSSWNYLNHIDESYKKIESVPSAFGESSIIDIHHKGDYYIIPQNKLGQDIYIRGTGHMRPSSIIKMPSRDNKPVKVPAPKNMLNSHLKGKTSTISRSLVTIIIADAELTTPEGIGTGEYMIAVRLYIDHPAVSPLRQQSARTSGVLTKHSSSSITTVNWHEIFSFKIDSTENYILEFIVVDLGRGEPVGIHTACLKQMAHELPPGLSSYDSKCHFSWKELSSAKTLDCQSDRQSKFQGRIRCAILLPMEPESKNDDHDSAITNKAGFIQISPTREGPWTIMRLNYAAPAACWRFGNDVVASEVSVKNGNRYVEIRSLVSVTNNTDFFIDLCLKSNSSSEYSRSADEEINIPDRGFDVNKFEMEEFFEIEKNDPLVGWISCSSRIPFASHPKQFSSDGENQGQSVVELPDDWEWTDDWHVDTSSVVTADGWVYASDIEHLKWPESSKELNSDNYARQRKWIRHRKYVPFKENKEISVGLLKAGDTIPLPLPGLSNPVVSYIMQLRPQNSKDEKEYSWSIVLDKHYQTEISGGHEDSPEICVSALNECDVLLFCSQKAGTSSDHSEGLWFCVSIKAKEIGKDINSVPINDWNLIINSPISLANYLPLSAKYTVTANKLSGEQITCSQGNLGPGETIKIHSADLRDPLYMSLLPDGEWQSEHEPVPISHPSKLPSKVINLKNSLSERIVQVILEQNYGKECLVARVIRIYAPYWISSARCPPLNLRFMALPGTRDGTHFLVSFRSYVKTEKLLWEITEEEMVGGYTIASFLNFKLLGLSASISGPGKECFGPVKDLSPLGDMDGLIDLYAYDTDGKCMRMLISSKPSPYQSVPTKVIFIRPYMTFTNRIGEDIFLKFNIADQPKILHASDSRVSFLYSEAGGPDKLLVQLEDTDWSFPLEIVKEESITVVLRKHHGGRSFIRAEIRGYEEGSRFLVVFRLGSSYGPLRMENRTASTKIKIRQSGLDENGWIFLEPLSTAKFSWDDPYGQKFIDVCVISHQETYIQNVSLEMETNSSKDLQALGIQIIVVEMGDYKIVRLIDCKTMTTDSRERTDSVLFMRWGTSSLQKEPHNGTAPLELIMELGVVGVSLIDHRPKELLYFYLERVYMSYLSGFDAGTTSRFKLIFGYLQLDNQLPLTVMPVLLAPEDMPDRKHPVFKTTIMKSNEASDGTQVYPYVYIRVTEKLWRLNIHEPIIWALANFYKSIRVDSIPGSSGTAQVDPEIQLELIDVSEIKLKLSLETAPSQRPPGVLGVWSPILSAVGNAFKIQVHLRKVVHRSRYMRKSSIIPAIANRIKRDLIHNPLHLIFSVDVLGMTKSTLASLSKGFAELSTDGQFLQLRSKQVSSRRITSVRDGILQGTEALAQGVAFGVSGVLRKPVESARQHGLLGLAHGLGHAFLGFVVQPLSGALDFVSLTVDGIGASFSRCLEILNNKAIVQRVRNPRAIHADGVIREYSEREAIGQMILYLAEASRHLGCTDLFKEPSKYAWSDFYVDNYIVPYQRIVLITNKRIMLLQCLSLDKLDKRPCKILWDVPWDDLLAIELAKAGYDKPSHLIIHLKNFRRSESFARLIRCNIGEDEEQEPQAVIICSTLRRTWKAHQTNKKILVLKVPSSQRRVQFAWDESEGRESRSLIRPFIKPRGSSISDERRFIKHSVNFRQIWSSEQESKSRCSLFPKQVVDDGTICSIWRPLCPDGYVSVGDIAHVGGHPPTVAAVYRDSDRNFALPVGYDLVWRNCAEDYAAPLTIWLPRAPEGYVAVGCVAVAAYEEPLLNSAYCVSEGIAEEALFEEHFVWMAPDSYPWSCYIYQVQSAALQLMALRQPKEESEWTPMRVCDYHQSSRALEIAQDETLSSRGKIVKEVG >Ma08_p15470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15538673:15634320:-1 gene:Ma08_g15470 transcript:Ma08_t15470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKVAFLLQKYLGNYVRGLSKEALKISVWQGDVELSNMQLRPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQEPVLVYLDRILLLAEPATQIEGCSEDAVQEAKKNQVKELEMKLLESEQQLKSEMNTSWLGSLINTIIGNLKLSITNIHIRYEDTESNPGHPFAAALKLARLSAVTIDDFGKETFATGGALDRIQKSVELESLAFYFDSDVIPWSIDKPWEDLLPSEWSKIFELERENMKEHNYMLEPVTGNAKYTKLRLDESRSTGQALQKAVVNLDSVTLSLSKDGYRDILKMAENFAAFNQRLRYAHYRPSVSVKSDPISWWKYAYKVVTDETKKASGKFSWEHVLKYAKLRKRYISLYASLLKSDLSHMVADDNKEIEELDRELDIDVILQWRMLAHKFVEQMAESDLSLNKEKAKRSWWSFGWTGSTKDDSEHRGFTEEDWEQLNKLIGYKEGSNDYQLAAEEEDFIHLYLEIHMKHNASKLVADDQKCLAELSCEGLDCNIKTYPEAKVFDLKLGSYKLSSPYGLLAESATAADSLVGVFSYKPFDVQVDWSFVAKSSPCYITYIKESVDQVVAFFKSSSSISQTLALETAAAVQMTIDGVKRTAQEQMSRVLKEQSRFLLDLDIAAPKVTIPTKFYPDDLHATQLLLDLGNLTLTTDDYWECDTSEEKDLYLQFNLVLSDVSAFLVDGDYHWSKTSLDVAAGQTKNDSFLQVIEKCGIVVNFQQIKLENPSYPSTRLAVRLPSLGFHFSPARYHRLMQVMKIFQEDRMEVDVQPWTQADFEGWLSLLTWKGVGNREATWQRKYFCLVGPFLYILENPTSKTYKQYLSLRGKQVHQVPTEFTGGVQNVLAVYDAGQYNSKVVEDTNALILLCDDNEDLRTWQKRLQGAIYRASGPATISSISEISSPAETTKGKSYDIAPTLDVVYMERLFVTGVLDELRVCFSCSFQSNQSLKKMLVSHENRLFEFRAIGGQVELSMREKNIFIGTVLKSLEIEDQFCYEGGRTPRYIARSFINSEEATALHNLSSFTDIGADKVKNNSFKKSDSEKFFEALDDLDDLVDNFSGQDSFPSPKLSLKPPSFCRIPGLTPDAENWSLNLNRNDILDSFVKAQIIIYDQSSSHYNNLDNKVMVTLATLSFFFHRPTILATLEFFNAINISEENDNADEIIQKTPLDRSSQSVLPNEANTTIFEESKAKGLLGSGKTRIIFHLTLNMAMAQIFLMNEDGTSFATLSQNNLLTDIKVFPSSFSIKAALGNLKISDDSVPSNHPYFWVCDMRDPGGSSFVELNFSSFSTDDDDYMGYDYSLTGQFSEVRIVYLNRFVQEVISYFMGLLPSNAEGVVKLKDQVTNSEKWVSKSEIEGSSAIKLDLSLSRPIILMPRHTKSLDYLELDVLQITVHNSFQWLGEDKTEMSAVHQETMFIKVKDINLTVGIGMKSGETIIQDVEGLSVVIQRSLRDLLHQIPSTEALIKIQVLKAALSNREYDVITECASSNFSETPHVVPSLEKIFGTSENDVLLTSASPSSAVGFLQEPQHKGTWITMKVSVAIDLVELLLHSGMSRDSPLASIQASGAWLLYKSNASEESFIFATLKGFSVTDEREGVKEEFRLAIGKSRTIEYTSFDNGDDDDIRSLVDNGGEKVKERDDLEPVPSMLIFDATLMKSSTSVSFYIQRPKLLVALDFLLAVTEFFAPSVRNMLSNEEDAGPLNMAGTIILDHPIYTQPLHSYSLSPQKPLIVDDERFDHFIYDGKGGNLFLNDRAGKVLSYPSPEVIIFVGCGKRLQFKNVTIMNGEYLDSSICLGANSSYSASADDSVFLERWNEGDSIDSHEETVVSEVLKPVASGSTEIIIDLQAIGPELTFYSTSKDVGESLILSKKVIHANLDILCRLVTKGDSFEMSGNVLGLKVESNGITVLEPFDTCLKFSNASGKTNIHFAVSDIVMNFSFSILKLFLAVEEDILAFLRMSSKKVLVICSQFDKVASVNGYNHTYTFWRPQAPSGYAVLGDCLTPRNQPPSKGVVALNTSFVRVKRPLSYKLVWRSGPHCTDIGQYDGTSHLLNNGDGGQNGGFSVWFPVAPRGYVAVGCVVSSGSAEPPLSAGLCVLASLVSPSSFKDCIALNLNNPNNADIAFWRLENSFGSFLPANPNSTNLIGKAYELRHVLFRNSDTFIEDSKSSRVQTTINDDGSRLERAELLTSGRLFEAVANFKLIWWNQGTSFRKKLSIWRPVVSPGMVFLGDIAVQGYEKPNSAVVLHDPGDESFLKAPQDFQLIGRIKKQKGAESITFWLPIPPPGFVALGCVASRGSPKTDDIGSLRCIRSDMVAGDQFADESIWDTSETRMSEHFSLWSIGNDLGTFLVRNGYRKPPRRFALKLAGSTVSSGSDNTVIDAEIKTISAAVFDDYGGLMVPLFNVCLDKVAFSLHGRPDYLNSTMSFFLTARSYNDKYDVWEPFIEPMDGFIRYQYDLNAPGAATQLRMTSTQDLNLNISVSNANMLIQAYSSWNYLNHIDESYKKIESVPSAFGESSIIDIHHKGDYYIIPQNKLGQDIYIRGTGHMRPSSIIKMPSRDNKPVKVPAPKNMLNSHLKGKTSTISRSLVTIIIADAELTTPEGIGTGEYMIAVRLYIDHPAVSPLRQQSARTSGVLTKHSSSSITTVNWHEIFSFKIDSTENYILEFIVVDLGRGEPVGIHTACLKQMAHELPPGLSSYDSKCHFSWKELSSAKTLDCQSDRQSKFQGRIRCAILLPMEPESKNDDHDSAITNKAGFIQISPTREGPWTIMRLNYAAPAACWRFGNDVVASEVSVKNGNRYVEIRSLVSVTNNTDFFIDLCLKSNSSSEYSRSADEEINIPDRGFDVNKFEMEEFFEIEKNDPLVGWISCSSRIPFASHPKQFSSDGENQGQSVVELPDDWEWTDDWHVDTSSVVTADGWVYASDIEHLKWPESSKELNSDNYARQRKWIRHRKYVPFKENKEISVGLLKAGDTIPLPLPGLSNPVVSYIMQLRPQNSKDEKEYSWSIVLDKHYQTEISGGHEDSPEICVSALNECDVLLFCSQKAGTSSDHSEGLWFCVSIKAKEIGKDINSVPINDWNLIINSPISLANYLPLSAKYTVTANKLSGEQITCSQGNLGPGETIKIHSADLRDPLYMSLLPDGEWQSEHEPVPISHPSKLPSKVINLKNSLSERIVQVILEQNYGKECLVARVIRIYAPYWISSARCPPLNLRFMALPGTRDGTHFLVSFRSYVKTEKLLWEITEEEMVGGYTIASFLNFKLLGLSASISGPGKECFGPVKDLSPLGDMDGLIDLYAYDTDGKCMRMLISSKPSPYQSVPTKVIFIRPYMTFTNRIGEDIFLKFNIADQPKILHASDSRVSFLYSEAGGPDKLLVQLEDTDWSFPLEIVKEESITVVLRKHHGGRSFIRAEIRGYEEGSRFLVVFRLGSSYGPLRMENRTASTKIKIRQSGLDENGWIFLEPLSTAKFSWDDPYGQKFIDVCVISHQETYIQNVSLEMETNSSKDLQALGIQIIVVEMGDYKIVRLIDCKTMTTDSRERTDSVLFMRWGTSSLQKEPHNGTAPLELIMELGVVGVSLIDHRPKELLYFYLERVYMSYLSGFDAGTTSRFKLIFGYLQLDNQLPLTVMPVLLAPEDMPDRKHPVFKTTIMKSNEASDGTQVYPYVYIRVTEKLWRLNIHEPIIWALANFYKSIRVDSIPGSSGTAQVDPEIQLELIDVSEIKLKLSLETAPSQRPPGVLGVWSPILSAVGNAFKIQVHLRKVVHRSRYMRKSSIIPAIANRIKRDLIHNPLHLIFSVDVLGMTKSTLASLSKGFAELSTDGQFLQLRSKQVSSRRITSVRDGILQGTEALAQGVAFGVSGVLRKPVESARQHGLLGLAHGLGHAFLGFVVQPLSGALDFVSLTVDGIGASFSRCLEILNNKAIVQRVRNPRAIHADGVIREYSEREAIGQMILYLAEASRHLGCTDLFKEPSKYAWSDFYVDNYIVPYQRIVLITNKRIMLLQCLSLDKLDKRPCKILWDVPWDDLLAIELAKAGYDKPSHLIIHLKNFRRSESFARLIRCNIGEDEEQEPQAVIICSTLRRTWKAHQTNKKILVLKVPSSQRRVQFAWDESEGRESRSLIRPFIKPRGSSISDERRFIKHSVNFRQIWSSEQESKSRCSLFPKQVVDDGTICSIWRPLCPDGYVSVGDIAHVGGHPPTVAAVYRDSDRNFALPVGYDLVWRNCAEDYAAPLTIWLPRAPEGYVAVGCVAVAAYEEPLLNSAYCVSEGIAEEALFEEHFVWMAPDSYPWSCYIYQVQSAALQLMALRQPKEESEWTPMRVCDYHQSSRALEIAQDETLSSRGKIVKEVG >Ma09_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8670100:8675032:1 gene:Ma09_g12850 transcript:Ma09_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid-related acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT4G31910) UniProtKB/Swiss-Prot;Acc:Q9SZ58] MAANRVDYHEVFTTKTITVCPRDRPPHAHEAKVIHLSNLDRKCPALMYLVFFYRSTPPLHGRQLSSASLFSSLKRGLEEAMSDWYPAAGRLCLAPPPPTGKINLACTNSGALLVEAATRATISDLGDLAQHNDFYERLVCKPPSGACFADTPLVVGQVTKFACGGYSIGVGTNHALFDGAANYSFLSAWASKTVGRAAEGQVELVEPVHERGRLLVCHGQSQVSLSKAADREGEMGGFMALDHLHQLIKQAVSANCIVPGGQLKLSEMDSTGHEHLVLRTFSVSASMVSRLKNKAASGSGGISCSSFEVVAAHLWKVRTKAFNIAKHRMVCLQFAVDARARMRPPLPKGFTGNAYVLSSVACTSAELEEASLATVVGKIKAAKQAVTDSYVMKYLAALNAAPQVALPPLPELTMVSDWTKTPYHSIDFGLGKAVFVTPLATPFPQVAYFMQSPSEAGRMDVRIGLPHMHLQAFTRYFLSAM >Ma08_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6527364:6528768:-1 gene:Ma08_g09020 transcript:Ma08_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSNASDPDRIPSSVFTRTKSTTQKDWSVASNESLFSIHVGNSSLSRDHVLGPVEHLPMSTDSGLNRAGIDAEQVSLELTAAAAANAEAMKDVLPEHAEEHAKKEQHSAEEGSTLPTNSLYRSDESFAFPILTGERSGGSLKAEPGQSPQVDKGEQLPLQTGTPKAAPAAGEKRWFSCFSCGSCC >Ma02_p10510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20046730:20047968:-1 gene:Ma02_g10510 transcript:Ma02_t10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRPPLLCVGLLLLVELLLPPPTLAESDDQACLSNLRRSLTDPNSSLRNWTRANFAAPCNGFTSYLQGVTCNNGRIYKLSLAGLALGGAISPYVSNCTNLQSLDLSSNQLSGPVPSELSALLNLAVLNLSSNRLTGPIPPQLALCAYLNVIDLHANLLSGPIPDQLGLLVRLSTFDVSYNRLEGPIPVLLANRSGLAAGLPRFNASSFIGNRDLYGYPLPPMRGKGLSVLAIVGIGLGSGLLSLVLSFTAVCIWLRVTEQAAMMTNDEGKVSHLMPDY >Ma08_p20560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34684648:34686400:1 gene:Ma08_g20560 transcript:Ma08_t20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRVEAFLFPLVLLLLSSSCLLASSSRSDPEKKRCAMECRGIPEQQQRKLCVHRCLDDSSEQESGREHNPYYFGRRSYQQWSRTEHGRRVFRSQRKGEIIKITEDQIRALNESKTESWPFGLSNEPYNLLENSPSHSNEHGQLHEATGNDCEMLQDLNVDVSIANISERSMMAPNYDTRSTKLAMVVEGRGYIEMACPHRSAEQRRTQEETGSQGEQRVRYRTVRSRVSRGSVFVIPAGHPAAVVAAANENLQVLCFGTRSENNRRYYLAGRNNVLNRLDRAAKAMAFGVPAEEVEEVLNAQPESVFMPGPERRREEEEKWRQLIFKYAGL >Ma01_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8578703:8579984:-1 gene:Ma01_g11820 transcript:Ma01_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLLLQNLVSFFLCLLLHSLTGEGVAFTFVNRCGDTIWPGVLSGSGSPRLETTGFELPAGSSRTLPAPSGWSGRFWARTGCSFDAAGRGSCATADCGSGQVECNGAGATPPATLAEFTLDGSDGRDFYDVSLVDGYNLPMLVEAAGREGCAASGCAADLNRLCPAELKVGHGDSAACRSACGAFGRPEFCCSGEYGSPDRCRPSAYSQMFKSACPRSYSYAFDDATSTFTCAGAQGYSITFCPESSPSQKATMNPSPTTTEPVLEDGSWLASLASGDANPARRRANSFLLLLLLVLLPSAVTATLLFAFS >Ma03_p31460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33668431:33670823:1 gene:Ma03_g31460 transcript:Ma03_t31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRHPNHASSLRSSTASRKSMFRLSRECELHPFWKRRKKETQVVPFIASTHPFPCNIGRPRRSLDGTSLTSVSVEEPWRTCGLGSPLSLSHRDGLPPLRLLFLRQPTKRRSASQPTAPPAPARRPSSPSPSHSTISIPPTPLVPYGCRIPYPLHPLISLSSSSSSVRVSPAIKFDQESVSGALGGDEQTASFFSLVFFLRLFWTCATEAPADAGFLFFRALDSPFEQALGCRIALRGVLLSLGPDVEERFMRSLGYMLTKWCILRELQGGDPRGLLPVGCCPSYAAERHGLWVLKGFAPVPAMARFGSSGSTGSPNLEPRDSVLRYTLADQQLEAVVQLESRVCMRDPRFIRVSVRVDSVRLNVVRHRFGRRKEVDDGVLEAERHFPSRARVWVGPELGSSYATGPSLGRSSGNPEQEVEATRTVKGRFGEGKAAGVKATARTATRARGRSWLWEQEAEGGAGVFDGVLHDGATGAEVAASRPEIGAGGGEADRRAGMRLRYSGSGRAFSKAGGVVVAGDELPETIEWRVGREMEGRVVRWRLGVRVWVSYFANEVKTGYCETRSVEWREEVDLALVAGTSELAAR >Ma03_p28390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31507606:31515532:1 gene:Ma03_g28390 transcript:Ma03_t28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYYGAILPAGRPLPAAPPRLSPAGSLRFLDRPCGRLGGGAFASPPLSYTRRCLTAIANAGDKRSEGEISGDSPLFLNSDDDEKQAIVVARKMEERCFAGTPYIPVYVMLPLDVINDHGKLANPEILIEQLRALKSIKVDGVMVDCWWGIVEDSAPRVYNWDGYRHLFQIVRQLKLKLQVVMSFHECGGNVGDDVWIPLPHWVIEIGNSNPDIYFTDRAGRRNTECLSWGIDRKRVLRGRTAVEVYFDYMRSFRVEFDEFFEDGTISEIQIGLGPCGELRYPSYPAKHGWKYPGIGEFQCYDAYLLQSLEEAAKARGHPLLGKVPQNSGSYNSQPHETGFFRDGGDYDNSYGRFFLGWYSKVLVEHGDLVVSLAKLAFEGSCIAVKISGVHWWYKTASHAAELTAGFYNPCNRDGYAPIASMLKKHGATLNFTCVELRTLDQHVDFPEAMADPEGLVWQVLNAAWDVSVPMASENALPCYDRDDYNKILDNAKPLSDPDGRHLSTFTYLRLNPVLMYAHNFKEFGRFVQRMHGEWVPLAG >Ma03_p28390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31507606:31515532:1 gene:Ma03_g28390 transcript:Ma03_t28390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYYGAILPAGRPLPAAPPRLSPAGSLRFLDRPCGRLGGGAFASPPLSYTRRCLTAIANAGDKRSEGEISGDSPLFLNSDDDEKQAIVVARKMEERCFAGTPYIPVYVMLPLDVINDHGKLANPEILIEQLRALKSIKVDGVMVDCWWGIVEDSAPRVYNWDGYRHLFQIVRQLKLKLQVVMSFHECGGNVGDDVWIPLPHWVIEIGNSNPDIYFTDRAGRRNTECLSWGIDRKRVLRGRTAVEVYFDYMRSFRVEFDEFFEDGTISEIQIGLGPCGELRYPSYPAKHGWKYPGIGEFQCYDAYLLQSLEEAAKARGHPLLGKVPQNSGSYNSQPHETGFFRDGGDYDNSYGRFFLGWYSKVLVEHGDLVVSLAKLAFEGSCIAVKISGVHWWYKTASHAAELTAGFYNPCNRDGYAPIASMLKKHGATLNFTCVELRTLDQHVDFPEAMADPEGLVWQVLNAAWDVSVPMASENALPCYDRDDYNKILDNAKPLSDPDGRHLSTFTYLRLNPVLMYAHNFKEFGRFVQRMHGEWVPLAG >mito11_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000022.1:51112:53432:-1 gene:mito11_g00040 transcript:mito11_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRMTNFYTHFQVDEIGRVVSVGDGIARVYGLNDIQAGEMVEFAVGVKGIAFNLENNNVGIVVFGSDTAIKEGDLVKRTGSIVDVPVGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQINSRLTSDTLYCVYVAIGQKRSTVAQLVQILPEANALAYSILVAATASDPAPLQFIAPYSGCAMGEYFLDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSSTALPVIETQAGDVSAYIPTNVISITDGQICSETELFYRGIRPAINVGLSVSRVGSAAQLRAMKQVRGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVLKQPQYEPLPIEKQILVIYAAVKGFCDRMPLDIISQYERAIPSSIDLYFLNSILDEKGGFNNERKMEPDASLKERALPFL >Ma09_p23390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35234528:35236151:1 gene:Ma09_g23390 transcript:Ma09_t23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGDRKVVVVGGGVAGAMLAKHMQFDADVVLIDSKEYYEVPWARSRSMVEPPFAERSLIQHTDYLSNARVTASPAIDVTESEVLTAEGRAFAYDYLVIATGHVDSTPRSRKDRLEQFKQDNKRIRSSSSVLVIGGGRTGVELSAEIAADYPEKKVTLVHEGPRLLQILGPKASEKALRWLKSKGVDVLLGHSIDLDAISEGQQSFTTSAGATITADCHFVCAGRPLGSSWLQHTLLKDNLDRFGRLKVDENLRVRGRKNVFAIGDVVDLPEIKQGFSARKHAAVAAKNMKLLLRGGKEEKMAVYKPRSAAAAAPAVVSLGRRQAVAQFPFTTVSGYIPGLFKSKDLYVGWNRRKLGLETDII >Ma03_p02010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1372240:1377468:-1 gene:Ma03_g02010 transcript:Ma03_t02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETDSVTDGRGGKSATRVLVRLLRPAESGNTRESPPTENAFKTVKASGITSIGVRGKDSVCVVTQKKVPDKPLDQTSVTHLFPVTKYLGLLATGMTDCNICSTISSAEGLQSYRDRGGSCHNMNLAHQIKDVIEKYQR >Ma08_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4933034:4933514:-1 gene:Ma08_g07210 transcript:Ma08_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEKVMEFYRTYMSTEATGRSVIADFVHDVKHKVVETVINKYIQLPSRTFGDFSRSFQFNQHKD >Ma01_p15290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11068100:11071126:-1 gene:Ma01_g15290 transcript:Ma01_t15290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEALEEKQADATQAPRARSPRRYTDLCGRHRLQARVQLLSREIGFLEEELQSVEGLQPVSVCCKEVDKYVGTTPDPLIPTYKKRHKSCCFSRWLRAMVCFNATCFTGGCSSWQNRPCCTRRPNGSCSSSGGYPSRSCCSVSCSRLRCVIPRLSCPGYSCGCVCSCSNCTKVRLCPTCLCCISHCVCE >Ma01_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11068100:11071126:-1 gene:Ma01_g15290 transcript:Ma01_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEALEEKQADATQAPRARSPRRYTDLCGRHRLQARVQLLSREIGFLEEELQSVEGLQPVSVCCKEAMVCFNATCFTGGCSSWQNRPCCTRRPNGSCSSSGGYPSRSCCSVSCSRLRCVIPRLSCPGYSCGCVCSCSNCTKVRLCPTCLCCISHCVCE >Ma01_p15290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11068026:11071126:-1 gene:Ma01_g15290 transcript:Ma01_t15290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEALEEKQADATQAPRARSPRRYTDLCGRHRLQARVQLLSREIGFLEEELQSVEGLQPVSVCCKEVDKYVGTTPDPLIPTYKKRHKSCCFSRWLRAMVCFNATCFTGGCSSWQNRPCCTRRPNGSCSSSGGYPSRSCCSVSCSRLRCVIPRLSCPGYSCGCVCSCSNCTKKHA >Ma08_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3021194:3021340:1 gene:Ma08_g04330 transcript:Ma08_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTSFGIALTSPPSPSLPSDWEREGGSYLGRRHRERQPDLLQSFFY >Ma00_p02930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22640571:22645710:-1 gene:Ma00_g02930 transcript:Ma00_t02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTWLITGRGIARKVKNATFSDNQIKDIGVEANRECPNCKHLIDNSDVTLDWPGLPAGVKFDPSDAELLEHLAGKIGLENSKLHILIDEFIPMLEEDEGICYTHPENLPGVKKDGSSIHFFHRISNAYGTGRRKRRKINHCALSEERIRWHKTGKTKCVLENGVQKGWKKIMVLYKSAKRGSKPDRANWVMHQYHLGPEEDEMEGQIVVSKIFCQQPTNQLGTYAMDPVKEDNDILNVRVGPRTPKTNTPQPPRLKNDSLHEVNGDSMTILQGQEQHPVSEVPLSPLPVSQPKGEDETPIWWAGESQAVDEMLPSNFHESLLCHEVLDSFPHYEESSIHLNCTNLDCSRNQTVAAVSSTASGFSDLDNILIDTPPDFQLSDLQFGSQESIMSWLDRF >Ma04_p36860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35057002:35058388:-1 gene:Ma04_g36860 transcript:Ma04_t36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLWEMPIGMDIIGMGMEPSYTRSSAAVAPLITHATTTMPAYLYGSLPPAANGNSLRENDLIAFSDHDLLPSAATDTHFYATTGASSMAGSVPLLAQAFSNFQSQQSQFDLCMPQCEDAAELEWLSQFVEDSFSDVPYQPSTSMTAPSCEAPPRAEQLANGRGARSKRSRAAPGAIAGPNAAWSSLVAPPQPPDHNSPSSSSSSSELPPSRPTADKDNKNSRGKKGGWGGVGVEGVVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTRHSNSHRKVMELRRQKELLLHRHQEIDSSDAAAAAARPEQQFDDYGIC >Ma08_p04000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2825019:2826181:-1 gene:Ma08_g04000 transcript:Ma08_t04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLRKSIRVCLSKLKKVPSHLHIPNSPHPAAATAATSWLLSACKYPKTPSFAVDRGRADDDGHDPAATLSDVDRFLCENFRSLYLREDEPANLSEATPRGDEDERPPPAAFCSSERFFVSSGASNSLHRGARRNNASSSWSSPSPSPSSSSRTNEAGAEARGDGVAVVTFSKDPYDDFRRSMQGMVDARHVEPNQPLDWDFMKELLFCYLELNDRKVHKHILRAFTDLTVSFRRRAAAERRRAPAGNKGRRRRRRSAQKAGDVRDAAPRHLLVTD >Ma02_p08420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18785249:18792068:-1 gene:Ma02_g08420 transcript:Ma02_t08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIASSSGGAAAGGGGGGGEGASLASASCVDGGRRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHNLVVHYALHRLMEVSRPFPASAADIRRFHSDDYVDFLASVSPATAALASAQAQPLSDPASASASSRQLKRFNVGEDCPVFDGLFEFCQASAGGSIGAAVKINRGDADIAINWAGGLHHAKKCEASGFCYVNDIVLGILELLKYHRRVLYVDIDIHHGDGVEEAFFTTDRVMTVSFHKYGDFFPGTGHIKDVGFGQGKYYALNVPLNDGMDDESFRGLFRPIIQKVMAVYQPDAVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNVPMLVLGGGGYTMRNVARCWCYETAVAIGVEPDNQLPYNEYYEYFGPDYDLHIRPRSMENKNSPKELEDIRNMLMDYLSKIEHAPSVQFQSRPPDTEAPEEEDEDMEHRMQPKLWSGEYYDSDSEECPKPEPESVP >Ma08_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7363347:7365148:1 gene:Ma08_g10100 transcript:Ma08_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTFIMASDAIAFFLLSSFFRLSPVVSVVPLLAPVDPSTACNSTLDPKFCKTVLPPRGSNNLYEYGRFSVAKSLSNARKFLHLINRYLAHKSNLSPTASLALLDCQLLSELNIDILTAAETTLNDTDKLLDHQADKVHTLLSALVTNQHTCSDGLHATESAWSIKNGLSVPMHNSTKLYGVSLALFKRAWEPHRKNRNVSHSRVPRRKTLLFHEVAVGRDGELPLRMPAQKRELFERWSGRGLLQATDAVLVNDVVRVSQDGSGNFVTITDAVKSAPVDHDENTGYHLIYVAAGVYEEYVVIPKHKKHLMMIGDGINQTVITGNHSVGDGWTTFTSSTFVALGHGFVAINITFRNAAGPAKYQAVAVLNNADMSTFYRCSFEGYQDTLYTHSMRQFYRECDIYGTVDYIFGNAAVVFQNCNVYSRLPLPGQINTITAQGRTDPNQNTGTSMQSCNFLDAADLAATALCLESQESPMTYLGRPWKPYSRTVVMQSYMDSLIEPAGWVQWNSDDLALNTLYYAEYNNSGPGSGTAGRVDWPGYLVISANDAINFTVSNFISGDQWLPMAGVPHDSGLV >Ma06_p16120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10924836:10926591:-1 gene:Ma06_g16120 transcript:Ma06_t16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPHPSEGQMESKGKYRCNIAATSSSAATSSAATSSSAASSSAAASSSTATSSSTATFSSTTTSSSTAAYSSATALL >Ma00_p00960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3529189:3529918:1 gene:Ma00_g00960 transcript:Ma00_t00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRTCSMIMVCYNHLFKKHHLVTPEICRKLKNSWNHGEEDDPMATYILVSVEDRWDFPEVPMVED >Ma08_p23720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37085207:37087176:-1 gene:Ma08_g23720 transcript:Ma08_t23720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMQPFMFYKDAQEEKSRNYVSDEHSSSRFQPVSAIDAFEANQKCFSFDIWRRMNQYPFHAYQPHNTGFVDSSQHKLNHFSPVNMSSPLFKTVGALNGPNIAVISAKQQPFGCGTTISTPVGGPVLGAFNPR >Ma08_p23720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37085207:37087176:-1 gene:Ma08_g23720 transcript:Ma08_t23720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMQPFMFYKDAQEEKSRNYVSDEHSSSRFQPVSAIDAFEANQKCFSFDIWRRMNQYPFHAYQPHNTGFVDSSQHKLNHFSPVNMSSPLFKTVGALNGPNIAVISAKQQPFGCGTTISTPVGGPVLGAFNPR >Ma08_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37085207:37087176:-1 gene:Ma08_g23720 transcript:Ma08_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMQPFMFYKDAQEEKSRNYVSDEHSSSRFQPVSAIDAFEANQKCFSFDIWRRMNQYPFHAYQPHNTGFVDSSQHKLNHFSPVNMSSPLFKTVGALNGPNIAVISAKQQPFGCGTTISTPVGGPVLGAFNPR >Ma05_p25680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37532195:37533810:1 gene:Ma05_g25680 transcript:Ma05_t25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWYADTSSSSSSKEVSSLFAGPTSSLESCHYGRSSKRTCRTFPFMESCLGFQENPQENTEVAHVVGEDNPDGGKTCARGHWRPAEDSKLRRLVELYGPQNWKLIAENLKGRSGKSCRLRWFNQLDPKINRRPFTEQEEEKLMTAHRLHGNKWATIARLFPGRTDNAVKNQWHVIMARKYREQAVVHRRKKLGQHLHRRLGEAAAARHHFFPSIGLHRVAHSGEDAVSGTPFDFFSEDKKDQEKKSFNSNKTPISLAIQRSSHASHVSVNGRPFEGNKESSHLDAVVSPALIDFLGVGAP >Ma03_p27730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31014548:31017153:1 gene:Ma03_g27730 transcript:Ma03_t27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVNSYRAPPSPCHPCFHHRLSLRHRANQPWAVPPAFARLRLGLVRPTARLFPLVCATGDGAAAESKPSSSSASPPDITFDIKLPRRNLLVEFTCDLCGERTKRLINRVAYEKGTIFLQCAGCQVYHKFVDNLGLVVEYDLREETDADSDVTQAEMA >Ma04_p33540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33110952:33112337:-1 gene:Ma04_g33540 transcript:Ma04_t33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLGHDCEANSSSSSSSLSTVTCSSSSSSSSSFFSITASSCPGVHGSKNIKRTSKRMAEATAGGGGGEGRKRSKDGKHPVYHGVRMRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAAMAIKGQSAHLNFPELAAELPRPATASPKDIQAAAALAAAATFCARGDLTPCTSSECSGPGQAEPPASSSPTGDIDDALFDLPDLLLDLRQGFRYSSSSSSSWLPSNDDDGVEFRIEEPFLWEYY >Ma10_p29140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35812800:35815031:1 gene:Ma10_g29140 transcript:Ma10_t29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFWLEAVLPLGIIAGMLCVMGNAQYFIHRAAHGRPKHIGNDVLDVAMERRDKKLMDQYSGAAN >Ma01_p23060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26500626:26506111:1 gene:Ma01_g23060 transcript:Ma01_t23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAIVWDPWLIVSQIVCFQCLYYLTLGSFMAILVGTRVSQMSLMYFFDFSTLTASTVTGWCAIVSFLLSSLAGAIYLLYLVERAKKCLDFSATLYIIHLFICIIYGGWPSSITWWVVNVTGLALMSLLGEWLCIRRELQEIPITRLRSNV >Ma01_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26500626:26506111:1 gene:Ma01_g23060 transcript:Ma01_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAIVWDPWLIVSQIVCFQCLYYLTLGSFMAILVGTRVSQMSLMYFFDFSTLTASTVTGWCAIVSFLLSSLAGAIYLLYLVERAKKCLDFSATLYIIHLFICIIYGGWPSSITWWVVNVTGLALMSLLGEWLCIRRELQEIPITRLRSS >Ma03_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26455597:26463100:-1 gene:Ma03_g21490 transcript:Ma03_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAALLSPPSSLTNSYSPIPPRFLIARDGSRSGPRRKRRNGRASAPVVCCHCTTPTSMNSFRRRNLLLSGLSSSFALILPISDLRASVELDEDVKMDLLVDDINAYSFLYPVKLPAKKFAFKWVESRKPERYSSAAPLSPDARQRIVSERVDMINNLVISVSIGPPNPRFLTSNDKSAWKAKDVADSVLSDKTALRVTSGQRMAESSVLDSHSLDVGGEPYWYYEYLIRKSPTKSAQEPNLFRHNVASTAERDGYLYSLNASTLSKQWEYLGPFLQKTVASFRLLPPTENYVPPYKDPWRFW >Ma03_p21490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26456078:26463100:-1 gene:Ma03_g21490 transcript:Ma03_t21490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAALLSPPSSLTNSYSPIPPRFLIARDGSRSGPRRKRRNGRASAPVVCCHCTTPTSMNSFRRRNLLLSGLSSSFALILPISDLRASVELDEDVKMDLLVDDINAYSFLYPVKLPAKKFAFKWVESRKPERYSSAAPLSPDARQRIVSERVDMINNLVISVSIGPPNPRFLTSNDKSAWKAKDVADSVLSDKTALRVTSGQRMAESSVLDSHSLDVGGEPYWYYEYLIRKSPTKSAQEPNLFRHNVASTAERDGYLYSLNASTLSKQWEYLGPFLQKTVASFRLLPPTENYVPPYKDPWRFW >Ma10_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31234888:31236512:-1 gene:Ma10_g21420 transcript:Ma10_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMEHHARPQETIGSSSGNYNCNDGTPPPPAAPPASSPSPVPPPLISKPNPKPCDANPCPTTFVHADTTSFKQVVQMLTGSAETAAAAAAANPTAPKVPVAPAAKATGPKRPVFKLYERRNSLKNLKSLNPLIPTFLNSSTNSPVGAAGFSPRKQPEILSPSMLDFPSLVLSPVTPLIPDPFNRPPHPSSEAAKWAEDRAIAGKGFYLHPSPRATAETETPRLLPLFPVTSPKVSSDLSLATPQSSS >Ma03_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24270268:24272093:1 gene:Ma03_g18740 transcript:Ma03_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSMATAPSYWCYRCSRFVRVWPRDAVVCPDCGGGFLEEVDSPPRPLPVSAASAEPRRRRFPSTAVDRAGVRRHHRSSTGERSPFNPVIVLRGPPEGRGDADRAAGNSFELYYDDGSGSGLRPLPESMSDFLMGSGFERLLDQLAQIEVNGFGGGGGFEHPPASKAAIESMPTIEIADGHIMMESHCAVCKDPFELGAEAREMPCKHIYHQDCILPWLLLRNSCPVCRHEMPTDAPERGMAEPDADEQTHVAGNEEEMVGLTIWRLPGGGFAVGRFTGGRRAGERGLPVVYTEVDGGFSTGGAPRRISWSSRGSRSREGGGIGQAFRSFFSFFRRLRSSSTTSSRLSSESRSTSTSSLRNRRHSIFSRSSRSRTTNWALEDGNASAIARW >Ma01_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13511222:13512490:-1 gene:Ma01_g18220 transcript:Ma01_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTIPLQLPSVGAKTPFSGTSGSMNWNHRNSPRGSKMRPSVFSTREDLRPRLDEYPEGIISGEWTENFSLLSYDDLRAYLQSQVTVHKVGPSSLLGEVMSTTIVTAIAGQMLEEIDHHFEFVSALPVVDGELRCIGVISRHDRAEASLGAKTKVGEVMSSPAITLSPEKTVTDAAALMLKKKIHRIPIVNEAGQVIGIVTRTDILEALEAAMEE >Ma03_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4889211:4890871:-1 gene:Ma03_g07140 transcript:Ma03_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCFSFERCIACAWFDMFLCSESEITWSLDVSASVSCRSNLAQSQILFQGFNWESWRQQGGWYNFLKDKVSDIANAGVTHVWLSPPSHSVGVQGRYMPGRLYDLGASKYGNQDELKTLIGAFHDKGVKCVADIVINHRCADKQDGRGIWCIFEGGTDDARLDWGPHMICRDDTQYSDGTSNLDTGEDFTAAPDIDHLNTQVQRELTDWLNWLKTDIGFDGWRLDFAKGYSSSIAKIYVEQTHPNFVVAEIWSSLAYGNDGKLAYDQNGNRQGLVNWVQQVGGPTTAFDFTTKGILQAAVEGELWRMRDPQGKAPGMMGWWPEKAVTFVDNHDTGSTQRSWPFPSDKVMQGYAYILTHPGVPSIFYDHMFDWGLKEKITQLAKTRTQNGIHSGSALNILASDADLYMAMIDGKILTKLGSRYDVGNLVPSNFHVVASGNDYCVWEKR >Ma08_p22990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36440775:36448803:-1 gene:Ma08_g22990 transcript:Ma08_t22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-4 [Source:Projected from Arabidopsis thaliana (AT1G09270) UniProtKB/Swiss-Prot;Acc:O80480] MSLRPGTRAEVRKKSYKAGVDVDEGRRRREGNLIEIRKSKREDSLTKKRREVLLQAAQQAIGEPPALSPSGVDKKIENLQGMVQGVWSENPNTQLEATTQFRKLLSIERSPPIEEVIKAGVVPRFVEFLSRHDLPQLQFEAAWALTNVASGTSEHTRVVIEHGAVPKFIELLSSPNDDVREQAVWALGNVAGDSPSCRDLVLSHGALLPVMAQLNEYSKISMLRNATWTLSNLCRGKPPAPFEQTKPAIQILRHLIHSSDEEVLTDACWALSYLSDGTNDKIQSVIETGVCPRLVELLLHPSPTVLIPALRTVGNIVTGDDSQTQLVIEKGALPCLFQLLTQSHKKSIKKEACWTISNITAGNRAQIQAVIDANIISPLVHLLQHAEFDIKKEAAWAISNATSGSSNEQIHYLASQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEIEKDLGKCGVNPYAQLIDECEGLDKIENLQGHDNNEIYEKTIKLLERYWVEEEDEAQDALGSTEGAEHSSEVAISQPSVPSGGFKFN >Ma03_p16330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18553958:18555572:1 gene:Ma03_g16330 transcript:Ma03_t16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQDQEDTTFVTHKGAYYYKVMPFGLKNAGATYQRLVDKLFKHQLGRNMEVYCIFRVNSGRFLGFIIHQRGIDANSKKVRAIAEMHSPRSVKEVQRLAGRMATLSRFTSECEEAFQKLKACLTRLPQLASPELGETLSLYLAASPQAVGSVLVREVPPTQQPIYYVNHILLRPYFQAHTIKVITDQPLQQILSNFDAADLDVGQDNNQNTWTLHVDGSSTTGAAGIGLILKDPLGETYERSLQLQFRATNNEAEYEALLHNLRLALEMHVNDLEIFSDSQLRLAHRFNRFSIDRIPRAQNVRVDALARSTTQLDGGNPPLQDDPDDPAVARRLRRTHAWYCVVGGRLYRRAFSQPLLRCLTPS >Ma08_p04010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2827470:2834074:-1 gene:Ma08_g04010 transcript:Ma08_t04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEIVRTRKRPRLAWDVAPPERREAVRDEAAPPRRGVSPPWREDDREGHYVFDLGENLTPRYKILSKMGEGTFGRVLECWDREAHEYVAVKVVRSISKYRSAAMIEIDVLNLLAKHDKDGSRCVQIRRWFDYRNHICIVFEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVACLGWNYPCDVWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPERMIRKASSSASKYFRRGSRLNWPEGAVSRESIRAVRKLDRLKDMISSHVDYSRASLNDLLYGLLKFEPSERLTAREALNHPFFENPT >Ma08_p04010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2827470:2834080:-1 gene:Ma08_g04010 transcript:Ma08_t04010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEIVRTRKRPRLAWDVAPPERREAVRDEAAPPRRGVSPPWREDDREGHYVFDLGENLTPRYKILSKMGEGTFGRVLECWDREAHEYVAVKVVRSISKYRSAAMIEIDVLNLLAKHDKDGSRCVQIRRWFDYRNHICIVFEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKVPSNKKNAQDEMYFRCLPKSSSIKLIDFGSAAFENQDHSSIVSTRHYRAPEIILGLGWNYPCDVWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPERMIRKASSSASKYFRRGSRLNWPEGAVSRESIRAVRKLDRLKDMISSHVDYSRASLNDLLYGLLKFEPSERLTAREALNHPFFENPT >Ma08_p04010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2827470:2834074:-1 gene:Ma08_g04010 transcript:Ma08_t04010.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEIVRTRKRPRLAWDVAPPERREAVRDEAAPPRRGVSPPWREDDREGHYVFDLGENLTPRYKILSKMGEGTFGRVLECWDREAHEYVAVKVVRSISKYRSAAMIEIDVLNLLAKHDKDGSRCVQIRRWFDYRNHICIVFEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKVPSNKKNAQDEMYFRCLPKSSSIKLIDFGSAAFENQDHSSIVSTRHYRAPEIILGLGWNYPCDVWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPERMIRKASSSASKYFRRGSRLNWPEGAVSRESIRAVRKLDRLKDMISSHVDYSRASLNDLLYGLLKFEPSERLTAREALNHPFFENPT >Ma08_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2827470:2834074:-1 gene:Ma08_g04010 transcript:Ma08_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MEIVRTRKRPRLAWDVAPPERREAVRDEAAPPRRGVSPPWREDDREGHYVFDLGENLTPRCTFGRVLECWDREAHEYVAVKVVRSISKYRSAAMIEIDVLNLLAKHDKDGSRCVQIRRWFDYRNHICIVFEKLGPSLYDFLKRNKYCPFPVELVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKVPSNKKNAQDEMYFRCLPKSSSIKLIDFGSAAFENQDHSSIVSTRHYRAPEIILGLGWNYPCDVWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPERMIRKASSSASKYFRRGSRLNWPEGAVSRESIRAVRKLDRLKDMISSHVDYSRASLNDLLYGLLKFEPSERLTAREALNHPFFENPT >Ma07_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4492071:4495129:-1 gene:Ma07_g06230 transcript:Ma07_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLHLFLLAIAFLSSLQNPAVLATKKAYIVYLGGHSHGANPTSADFESATQSHYQLLGSTFGSEELARSAIFYSYTKHINGFAAMLEEEEATLISEHPDVISVFENTMKTLHTTRSWDVMGGFLNRQGKAHPESIWAKANYGDDVIIANFDTGVWPESDSFDDKGYGPVPKRWRGICQNSTKHSFHCNRKLIGARFYDLSHQANSASPPVEYSPRDSEGHGTHTLSTAAGGIVRGANIYGEANGTARGGSPHARVAAYKVCWGLCADANILAAFDDAIHDGVDVISLSVGGLPYEYIFDSIALGSFHAVQRGITVVCSAGNDGPTPGTVSNIAPWIFTVGASTIDREFYSLVTLGSNKKIKGVSLSSKSLPAHKPYPLIDGSNAKRPNSSAEEAGWCYPGTLDPEKVRGKIVVCTRDTSFARVEKGVDVLKAGGAGMILANSDEEGNSLLADPHFLPASMITYKDALRLSSYLKSTKSPTATISPVTTVLGVKPAPAMASFSSRGPNLINPEILKPDITAPGVDILAAFTEEVGPTMLDLDKRRVRFNVMSGTSMSCPHISGVAGLLKKLHPRWSPAVIRSAVMTTARTRDNTRTPMKDDNREKAIPFDYGAGHVRPNRAMDPGLVYDITFTDYVHFLCSRGYNASNMAQFIGKRFACPSKTMRAEDLNYPSITVPNLQKSFTVSRTVRNVGTPGTYNVRIKAPFGIHVSVKPQTLEFAKVGEEKTFQVRLRSRSESVGVGYVFGGLTWTDGKHYVRSPLVVNAFS >Ma04_p37290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35281429:35284627:1 gene:Ma04_g37290 transcript:Ma04_t37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSKRVECFADDTDLEASSRMPTPDMMAMEVHKVAVLQDRTGLRSFRHSLSEVFFPDDPLHRFKNKPFFKKVVLALQYFFPIFDWGAHYNLKLLKSDAVAGITIASLAIPQGISYAKLAGLPPIIGLYSSFVPPLIYSVLGSSRDLACGPLSIASLVMGSMLRDVVSPEDTKEYLEVAFTATFFAGVFQAGLGLLRLGFIIDFLSKPTLTGFMGGAAVLVSLQQLKGLLGIVHFTTKMAIIPVLKSVFDNRKEWSWQAVVMGLSFLVLLLTARHISTVRPKLFWVSAAAPLTSVIISTIISYVLRSHEKGISTIGYLPEGVNPSSVSMLHFKGPHSSLALKTGIVSGLLALTEGIAVGRTFASMKNYQIDGNKEMVAVGSMNLAGSCVSCYVTSGGFARSAVNFNAGCKTAATNIIMASVVLFTMLLLMPLFHYTPNVILSAIIISAVIGLIDVRGAILLWKVDKFDFLACMSAFLGVLLLSVPIGLSISVGISVLKILFHATRPNIAVMGNIPGTNSYRDLAQYKEAKRMPSFLILGIESPVYFTNSVYLQERILRWIREEEERITNSNERPLKCIILDMAAVTNIDISGIESLKELKRTLDRRSLELVLANPVGEVSQKLSHSGAWELFGPECFYMTVEEAIASTSYKI >Ma01_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7877820:7879286:1 gene:Ma01_g10970 transcript:Ma01_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAPLLLALLLAFVARGHADLYGNPTPPAQPPSRVAGKKFPPDEFICNSPNSDCFGANITCPDQCPSFRPANPNEKACFIDCNNPTCEAVCGTRKPDCNLPGSGCKDPRFVGGDGIVFYFRGKANQHFTLVSDAGFQINARFIGLRPAGRRHDYTWIQSLGILFGKHTVTVSATPAAAWDRSADHLSFTFDGEPFDLGIGHLSSWSSPAAGDLLVERTASVNSVIVVLPGSFEIRATVVPVTKEDDRIHKYNVPADDCFAHLDVQFKFFGLSERVEGVLGQTYQPNFQNPVKRGVPMPIMGGEDRYFTSSLLATDCKRCIFSPEVPVASEVVDASAASFDCTSKMSHGYGIVCRR >Ma06_p17240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11719979:11725997:-1 gene:Ma06_g17240 transcript:Ma06_t17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPGIDKTLTSVRNRPALLSMASEGRVYRSRARSGWSTPRRKAAEGSPPAHTLSPRDSPFQRATSGSEASPEGSSPITSDHRGSSAPSLSSSIEGGAKWLVNKLKGKMQKSLPELLREYDMPIGLFPQDATHYEFNEETGKLTVFIPSICEVGYRDSSVLRFLNIVTGYLEKGKLVDIEGIKTKVLIWSKVTRISTEGSKIHFTTGVKKTRSRDAYQVLRAGITVDKF >Ma09_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27940460:27940558:1 gene:Ma09_g20120 transcript:Ma09_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGVIKGVVLLVVAVLISRINNRKMIEKISI >Ma10_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30360911:30365667:-1 gene:Ma10_g20060 transcript:Ma10_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAASCSSPPLLRPMSLLPRLRVGLSLPLRRRALTAVAAAVRQDAATWTQAPLSLVAPASADASLFHVSVDVSYAPDLAVSYTVPGQYLQLRVPASDKPSFLAIASPPSFASSRGEFQFLVKRVPGSAADLLCGLGRGDVVELSAVMGKGFQVERISPPDAFPAVLIFATGSGISPIRSLIESGFNANERSDVRLYYGARNLQRMAYQDRFKDWESTGVRVIPVLSQPDEKWSGERGYVQAAFSRTKEILNPLLTGAVLCGHKQMAEEVTSELVADGVSKEKILMNF >Ma04_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6123097:6123267:1 gene:Ma04_g08570 transcript:Ma04_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLLGVTRSGHEDVEWLERLIVRELQREPANDRDRHLEKADGIACKPAGSADPS >Ma07_p12210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9108802:9110544:-1 gene:Ma07_g12210 transcript:Ma07_t12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSLVLCFFGFSLLFFLCFANAVTTVIDSLPTLSFEEGYTQLFGDGNLMLLRDGKRVHLSLDERTGAGFASQDLYLHGFFSASIKLPSDYTAGVVVAFYMSNGDAFEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTAVGREERCDLWFDPTEDFHHYSILWNHDRIIFYVDDTPIREIVRAEAAGGVFPAKPMSLYATIWDGSNWATSGGRYKVKYKYAPYVAEFSELVLHGCAVDPIDHSSPCERPENARYDTLTMSSDQRARMTSFRRNHMQYLYCYDRDRYPTPPPECSIDESSKERQSYGLDGVRLGDRRRRHRRNQGERGRVVEADAFL >Ma04_p30550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31184804:31189411:-1 gene:Ma04_g30550 transcript:Ma04_t30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSHLNHHHCRRHLATTIFPVLLFFFSSNNVLYPKEDREQRILLFACRNCDHQEVADDCVAYRMEINHSVGERSQVLQDVAADPALPRTRNVRCANCHHPEAVFFQAPSKAEVGMALFFICCNPNCGHRWRD >Ma04_p33410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33048195:33048907:-1 gene:Ma04_g33410 transcript:Ma04_t33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTALLLCLSLLFFTLVSSSYCPPPPMKPKPSPRPKPTPKPGMPSCPIDTVKLGACANVLGGLINLQIGTPPKKPCCSLIEGLADFEAALCLCTAIKANILGISLNVDVDLTLLLNYCGKKVPYGYLCA >Ma10_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29157614:29161773:1 gene:Ma10_g18040 transcript:Ma10_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGFQASNLGDAIDIVSQMIDWRLSHEAPADDCNEGERDPAYRNSVECKIFLGFTSNLVSSGIRQIIRFLLGCRFLVVEVLVTTAGGIEEDLIKCLAPTYKGDFSLPGDYLCSEGLNKIGNLLVPNDKLSITANLRTGLCQICTRCYMNSVQRNILHLLCLMKMHGQNDDVIVDQSLDSKIWTPSKVIVHLGKEINDESSYLYWAYKNGVSLYCPA >Ma06_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6879507:6886135:1 gene:Ma06_g09900 transcript:Ma06_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEAAVEASPQRSLASSDHLRHVESMTQLPSGAGQISHLNAVILGEPLASEENDRVFPSHDFSRQALVSSPEQYWKMYKRSVEDPAGFWSEIASQFYWREKWYPEVYSENIDVTKGTVKFEWFKGARTNICYNAVDQNVEAGNGGKVAIYWEGNEPGDDGQLTYAELLEKVCQLANYLKHVGVQKGDAVVIYLPMLMELPITMLACARIGAVHSVVFAGFSAESLAQRIIDCKPKVVITCNAVKRGSKAIHLKEIVDSALIESVKNGVPVGLCLTFENQSAMKREDTKWTEGRDVWWQDVVPQFPTKCAVEWVDAEDPLFLLYTSGSTGKPKGVLHTAGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVVFEGAPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMREGNEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNVVGDSQCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGNEIEGECSGYLCIKRSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEIKGQGIYAFVTLVEGVPYSEELRKSLILIVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPSVVDQLIELRDC >Ma06_p01120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:915396:918652:1 gene:Ma06_g01120 transcript:Ma06_t01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSVRYIPCGWAASPHKGKWQQRFGVLQAIQTFKKKVAEEPNDGANYLSILIDCFRSYNAEPTPYAYSFIIRYLFQRHMLSHLPPVLDHLEKAERFDVPQRIFVSVIRSFGRANRLHDAVDIFRRIPNFRCTPSVISLNALLSVLCKSKEGLVLVGDVLLKTPEMNIRLEASTFRILIKALCRNGKLNSAIEILRMMSLHECTPDAKLYSLVLCSLCKQAGSDQVVEFLEEMRNAGFLPNALEYNSVIDVLIREGKANDAYSFITLMRLEGKRPDITSYNSILDGFILANDFQKADELFDEMLLIGLFPNSATYNTYINGLCKQDNLEQSRQMITCMERAGCKPDIETFNALLAGYAKVGDMAKAKELMNEALDKGLQWNSHSYEILIDGFISKGEKEEARQLLMEMISKGFTPVSSTSNALT >Ma01_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8128967:8133541:1 gene:Ma01_g11260 transcript:Ma01_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRGAMMGSGGVSDGYEGTKRQRMMDSNPYFAVSSGSASDGFGAGSKRPRTMDPNPYYAGIGASSFYQPYSSSYNGGSSSIYNFPVVRLRGLPFNCDDLDICKFFGGLDIVDCLLVNKNGRFSGEAFVVFPSPMQAEFALQRDRQNMGRRYVEVFRCKKLDYYNAIAVEVNDGSFENEYHHSSPPSHPKRPAEDKDQMECTEVLKLRGLPYSATQADIVDFFGEFDLSEEKVHIVCRPDGRATGEAYAEFPSAEMAKKAMRKDKMMIGSRYVELFPSSPEEARRAKSRSRQ >Ma01_p11260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8128967:8133541:1 gene:Ma01_g11260 transcript:Ma01_t11260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPYYAGIGASSFYQPYSSSYNGGSSSIYNFPVVRLRGLPFNCDDLDICKFFGGLDIVDCLLVNKNGRFSGEAFVVFPSPMQAEFALQRDRQNMGRRYVEVFRCKKLDYYNAIAVEVNDGSFENEYHHSSPPSHPKRPAEDKDQMECTEVLKLRGLPYSATQADIVDFFGEFDLSEEKVHIVCRPDGRATGEAYAEFPSAEMAKKAMRKDKMMIGSRYVELFPSSPEEARRAKSRSRQ >Ma06_p27920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29776562:29777594:-1 gene:Ma06_g27920 transcript:Ma06_t27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCGNCDCADKSQCVKKGNSYAIETVATEKSYLDAVVDAPAAAETEGDCKCGPSCACVDCQCG >Ma11_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:563152:565689:-1 gene:Ma11_g00780 transcript:Ma11_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKEYGRLVVIVDVIDQNRALVDAPDMVRGQMNFKRLSLTDIRIDIPRVPKKKTLIAAMEAADVKNKWENSSWGRKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKETAV >Ma06_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12723877:12729952:1 gene:Ma06_g18610 transcript:Ma06_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDAVRRRKNKTGRKRMRNKESAVPARVAAKRRRKTGNRRICEGMCFSLPTPDDPFNDRHGMETKQKPSNSVSPPPLSATEESKNQRCDVAVRAEQRASEGGGVGSDCDSDYGWSTKFIMLCLNAIDDAWKDRQAAPDGSLDVSLFACHWGVDFWKCCSSGSHIIDTSGSCPTTEQVAWLVSTASDIITRKEMQGHVVRSVCKPLKALGIHTASLHPDAPLDRQVQGLRSCEPEFLVSTPERLLELVSLKAIDISGVSLLVLDVFNTFLDLGFVDKLNSVRETISGNPQVVLFSDCYSEVFTSFAQHILSGPVTRLCQSDVITCQSAFISQLVHFHTTQEEKVAKSESYEISKDSSCAFSIISDSRKALRVFATDCKSLYEEDLEEFGTTIFMDLPTSIEDYVHVLSMMARHSITGALHSFFCKGDAALAQPLVEVLMQCGQMLPEILQNI >Ma05_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6014239:6014609:-1 gene:Ma05_g08110 transcript:Ma05_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASWLQRYICVFASTKGHEKLSCCCCCCKWLCGGLWHLLPSMERPKGFLRRRDDAATVGKLLGSVTGKFGQAAVSSTAQETWLSAWKK >Ma08_p01820.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1507661:1517234:1 gene:Ma08_g01820 transcript:Ma08_t01820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHRRSHDGVGTKGQATSNHQDQNGHREVRDATAGEGQGLSEEDESKINVVVGDLNNNQIADVAQPSQQCGDPQKQQQQPLGPIVRWERFLPIRTLKVLLVENDDSTRQVVSALLRNCSYEVTAVANGLQAWKILQDLTNHIDLVITEVIMPGLTGIGLLSKIMSHKTCKTIPVIMMSSNDSMNTVFKCLSEGAVDFLLKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKSVESKSSDDSNNSTGSNDDENASVGLNARDGSDNGSGTQSSWTKFAAEVDSPQPMCPSYRLADPPDSTCAQVILPTTETFCQDQVPTSANSDNQGKKELPDDCMIKDLEIRGHRTLEMQYETHQIEQDCIKLTNTKAGNLSETDPKIKGCLGALCNNVLDEPSSNPIGVTANSSDTRVLTTVIQAPSGFSKFSEGQDKINYTSVDLPSLELSLKRLRSIGESRTATQVDRNVLRHSDMSAFSRYHTSSASNQAPTGCGQSCSPLDNSSEAIKTESTYNVISTSNAAPLKQGSNGSSDNNDMGSTTKNVFTKPSAFHPVQFRASESQEPAQQNVENVTIASATGQSREIQHQAQAQHHHHHHYHHHHHHIHNVQQHKPQPPKTHNDLPLNNRAGSAQQCGTSNRLLEGDAANYSINGSNSGSNHSSNGHNGSHTVIQTGGLNIESANGIANQSGPGSGSGSGSLIDENRLAQREAALKKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQSVQEQSSQDADR >Ma08_p01820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1507661:1517234:1 gene:Ma08_g01820 transcript:Ma08_t01820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHRRSHDGVGTKGQATSNHQDQNGHREVRDATAGEGQGLSEEDESKINVVVGDLNNNQIADVAQPSQQCGDPQKQQQQPLGPIVRWERFLPIRTLKVLLVENDDSTRQVVSALLRNCSYEVTAVANGLQAWKILQDLTNHIDLVITEVIMPGLTGIGLLSKIMSHKTCKTIPVIMMSSNDSMNTVFKCLSEGAVDFLLKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKSVESKSSDDSNNSTGSNDDENASVGLNARDGSDNGSGTQSSWTKFAAEVDSPQPMCPSYRLADPPDSTCAQVILPTTETFCQDQVPTSANSDNQGKKELPDDCMIKDLEIRGHRTLEMQYETHQIEQDCIKLTNTKAGNLSETDPKIKGCLGALCNNVLDEPSSNPIGVTANSSDTRVLTTVIQAPSGFSKFSEGQDKINYTSVDLPSLELSLKRLRSIGESRTATQVDRNVLRHSDMSAFSRYHTSSASNQAPTGCGQSCSPLDNSSEAIKTESTYNVISTSNAAPLKQGSNGSSDNNDMGSTTKNVFTKPSAFHPVQFRASESQEPAQQNVENVTIASATGQSREIQHQAQAQHHHHHHYHHHHHHIHNVQQHKPQPPKTHNDLPLNNRAGSAQQCGTSNRLLEGDAANYSINGSNSGSNHSSNGHNGSHTVIQTGGLNIESANGIANQSGPGSGSGSGSLIDENRLAQREAALKKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQSVQEQSSQDADR >Ma08_p01820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1507874:1517234:1 gene:Ma08_g01820 transcript:Ma08_t01820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHRRSHDGVGTKGQATSNHQDQNGHREVRDATAGEGQGLSEEDESKINVVVGDLNNNQIADVAQPSQQCGDPQKQQQQPLGPIVRWERFLPIRTLKVLLVENDDSTRQVVSALLRNCSYEVTAVANGLQAWKILQDLTNHIDLVITEVIMPGLTGIGLLSKIMSHKTCKTIPVIMMSSNDSMNTVFKCLSEGAVDFLLKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKSVESKSSDDSNNSTGSNDDENASVGLNARDGSDNGSGTQSSWTKFAAEVDSPQPMCPSYRLADPPDSTCAQVILPTTETFCQDQVPTSANSDNQGKKELPDDCMIKDLEIRGHRTLEMQYETHQIEQDCIKLTNTKAGNLSETDPKIKGCLGALCNNVLDEPSSNPIGVTANSSDTRVLTTVIQAPSGFSKFSEGQDKINYTSVDLPSLELSLKRLRSIGESRTATQVDRNVLRHSDMSAFSRYHTSSASNQAPTGCGQSCSPLDNSSEAIKTESTYNVISTSNAAPLKQGSNGSSDNNDMGSTTKNVFTKPSAFHPVQFRASESQEPAQQNVENVTIASATGQSREIQHQAQAQHHHHHHYHHHHHHIHNVQQHKPQPPKTHNDLPLNNRAGSAQQCGTSNRLLEGDAANYSINGSNSGSNHSSNGHNGSHTVIQTGGLNIESANGIANQSGPGSGSGSGSLIDENRLAQREAALKKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQSVQEQSSQDADR >Ma08_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1507661:1517234:1 gene:Ma08_g01820 transcript:Ma08_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHRRSHDGVGTKGQATSNHQDQNGHREVRDATAGEGQGLSEEDESKINVVVGDLNNNQIADVAQPSQQCGDPQKQQQQPLGPIVRWERFLPIRTLKVLLVENDDSTRQVVSALLRNCSYEVTAVANGLQAWKILQDLTNHIDLVITEVIMPGLTGIGLLSKIMSHKTCKTIPVIMMSSNDSMNTVFKCLSEGAVDFLLKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKSVESKSSDDSNNSTGSNDDENASVGLNARDGSDNGSGTQSSWTKFAAEVDSPQPMCPSYRLADPPDSTCAQVILPTTETFCQDQVPTSANSDNQGKKELPDDCMIKDLEIRGHRTLEMQYETHQIEQDCIKLTNTKAGNLSETDPKIKGCLGALCNNVLDEPSSNPIGVTANSSDTRVLTTVIQAPSGFSKFSEGQDKINYTSVDLPSLELSLKRLRSIGESRTATQVDRNVLRHSDMSAFSRYHTSSASNQAPTGCGQSCSPLDNSSEAIKTESTYNVISTSNAAPLKQGSNGSSDNNDMGSTTKNVFTKPSAFHPVQFRASESQEPAQQNVENVTIASATGQSREIQHQAQAQHHHHHHYHHHHHHIHNVQQHKPQPPKTHNDLPLNNRAGSAQQCGTSNRLLEGDAANYSINGSNSGSNHSSNGHNGSHTVIQTGGLNIESANGIANQSGPGSGSGSGSLIDENRLAQREAALKKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQSVQEQSSQDADR >Ma06_p02890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2180411:2181696:-1 gene:Ma06_g02890 transcript:Ma06_t02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRVAAAAALRQLGPRLFSTAAISRSAAGGTWEPTLGVSPLALSRFLSTAASIGGEGADERAGAIASASTKREEKALASYWGVAPPRLFKKDGTEWRWTCFKPWDTYSSNVSIDLHKHHVPTTWGDKCARWLVKSLRVPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRHFEHSGGWIRALLEEAENERMHLMTFMEVSQPRWYERALVFAVQGVFFNAYFAAYLLSPKLAHRMVGYLEEEAIHSYTEFLKDLEAGKIENVPAPAIAIDYWRLPADATLKDVVTVVRADEAHHRDVNHFASDIHYEGHALRELPAPVGYH >Ma08_p24810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37885442:37899540:-1 gene:Ma08_g24810 transcript:Ma08_t24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G27600) UniProtKB/Swiss-Prot;Acc:Q8LKS5] MDSAPQRRLRAIAGHLLASSDRDPNARLIANPTAGEFALAQGYSVVLPEKLQTGKWNVYRSAQSPLKLVSRYPQHPDIGTLHDNFEHAVETFRDYRYLGTRIRVDGTVGEYKWMTYGEAGTSRSAIGSGLVYHGIRRAACVGLYFINRPEWIIVDHACSAYSYISVPLYDTLGPDAVQYIVNHASIEVIFCVPQTLSILLSFLSQIPSVRLIVVVGGIDEKMPSVASRTGVEIVTYSKLLSEGHSNLQPFCPPKPEDVATICYTSGTTGTPKGAVLTHKSLIANSVGTSINIKFYPSDVYISYLPLAHIYERVNQISLVYFGVAVGFYQGDNLKLMDDMATLRPTLFSSVPRLYNRIYAGVMNAVKTTGGLRERLFNAAYNAKMQAIANGKNPSPMWDRLVFNKIREKLGGRVRMMTSGASPLSADVMDFLRICFGGQVFEGYGMTETACVISCMDEGDNLTGHVGSPNPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEVQTREVMDEDGWLHTGDIGLWFPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVSQCFVYGDSLNSCLVAIVSVDQDILKAWATSEGIKYEDLGHLCADRRARAAVLEDMDALGKQAQLRGFEFVKAVTLVLEPFSLENGLLTPTFKIKRPQAKAYFAKAIADMYAEVSKADLS >Ma06_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20048020:20057082:-1 gene:Ma06_g22980 transcript:Ma06_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVARPLPNPNLNIRTLPSSSPRGSFTVSRVTFDQWRNRPRLRAGRHRPVAAVANPRSEHDVVVVGAGIIGLAVARQLLLSSDLSVAVVDAAVPCSGATGAGQGYIWMAHKTPGSETWELAARSKQLWEELAESIRDQGKDPAEVLGWKKTGSLLVGRTLEESYMLEERVKLLNQAGLQAEYLPASSLHLEEPALEIGKEGGAALVPDDCQLDASQTVAFIEEGNKQFNSQGRYAEFYNDPALSLLRSSCTQTVEGVQTSKNNLYAKRAVVIAAGAWSGPLMQSLIVQPNLLPNIPVKPRKVFTSSTRSFTPGAVEDDDNLSSISMTATLDVKRNLLLGSSRQFVGFSREMDESIVKRIWDRAGEFFPALKSLDNKIDKIRIGHRPYMPDGKPVIGPVPSLPNVFLAAGHEGSGLCLALGTAEMVADMILGNPITIDHTPYSVEGRFC >Ma06_p22980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20048021:20056789:-1 gene:Ma06_g22980 transcript:Ma06_t22980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPVPVPPEPGYIWMAHKTPGSETWELAARSKQLWEELAESIRDQGKDPAEVLGWKKTGSLLVGRTLEESYMLEERVKLLNQAGLQAEYLPASSLHLEEPALEIGKEGGAALVPDDCQLDASQTVAFIEEGNKQFNSQGRYAEFYNDPALSLLRSSCTQTVEGVQTSKNNLYAKRAVVIAAGAWSGPLMQSLIVQPNLLPNIPVKPRKGHLLLLENFKDLQLNHGVMEVGYIDHQVFTSSTRSFTPGAVEDDDNLSSISMTATLDVKRNLLLGSSRQFVGFSREMDESIVKRIWDRAGEFFPALKSLDNKIDKIRIGHRPYMPDGKPVIGPVPSLPNVFLAAGHEGSGLCLALGTAEMVADMILGNPITIDHTPYSVEGRFC >Ma06_p22980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20048021:20057082:-1 gene:Ma06_g22980 transcript:Ma06_t22980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESVARPLPNPNLNIRTLPSSSPRGSFTVSRVTFDQWRNRPRLRAGRHRPVAAVANPRSEHDVVVVGAGIIGLAVARQLLLSSDLSVAVVDAAVPCSGATGAGQGYIWMAHKTPGSETWELAARSKQLWEELAESIRDQGKDPAEVLGWKKTGSLLVGRTLEESYMLEERVKLLNQAGLQAEYLPASSLHLEEPALEIGKEGGAALVPDDCQLDASQTVAFIEEGNKQFNSQGRYAEFYNDPALSLLRSSCTQTVEGVQTSKNNLYAKRAVVIAAGAWSGPLMQSLIVQPNLLPNIPVKPRKGHLLLLENFKDLQLNHGVMEVGYIDHQVFTSSTRSFTPGAVEDDDNLSSISMTATLDVKRNLLLGSSRQFVGFSREMDESIVKRIWDRAGEFFPALKSLDNKIDKIRIGHRPYMPDGKPVIGPVPSLPNVFLAAGHEGSGLCLALGTAEMVADMILGNPITIDHTPYSVEGRFC >Ma09_p22400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34334263:34342996:1 gene:Ma09_g22400 transcript:Ma09_t22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQSWRKAVGALKDTTTVGLANINSDFKDLDVAIVKATNHVEHPPKERHLRKILAATSITRPRADVAYCIHALARRLAKTRNWTVALKTLIVIHRTLREGDPTFREELLNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDVEAERLVRAAQGPEKGHSKTRELDSEDLLEQLPALQQLLYRLIGCRPEGAAVSNYVVQYALALVLKESFKIYCAINDGIINLVDKFFDMPRHEAIKALEIYRRAGQQATSLSEFYEVCRGLELARNFQFPNLREPPQSFLATMEEYIREAPRVVSVSREPLEFPERLLLTYKVEAVPTTVEEEKPPIDEPKPVPSTTEVVSSPPTLKADSSDTGDLLGLNETNSNVAAIEETNAMALAIVPASFATDSSTVQDKEFDPTGWELALVTTPSSNNATVVESKLAGGFDKLTLDSLYDEAAYRQQQQQQQYYGAPPPNPFMAADPFTVSNQVAAPPAVQMAAMAQQQQQMSMFMQSNPFAQPVYQQHVTMGAASNPFGGNGFGAFPASDPHQQNNPFGNQHLI >Ma06_p29020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30530618:30531639:-1 gene:Ma06_g29020 transcript:Ma06_t29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQCGHQSSTQQCSCGLLCGGAASFSIFFPASGSKFTDDDAFDGSKSDASSSVDCTLSLGTPSTRLTEHKTLPSPTAHIQPPSCMSSFRWDSLSHPKKHASMGTGGSIASGGGNTIGSNMAGDPHLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAASPSSSTSVVTAAAEQTIGYGYIRQQQPQQSPWGCYAPTATKSGPSISVHDDTADEGDVPYLSWRLNLVPPTQFPVRDRPSLFQYN >Ma01_p19350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14879434:14885046:-1 gene:Ma01_g19350 transcript:Ma01_t19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTAGVDDEETQVLDLDSAAPGEVPVLYGETQALDGSDGSDGEGDRGIDERGETQLLDEDEETAAVDSGGEGTDRTEVLSDDEGVSNDDATHYGDREDGGNVDSRPELRVIGGEKLCLAEDKKDNLVDSGALTDGGDGDDDDDRNAGSKMRSCSVVPVAAMCSSGLAAAQYLVSRRLENVFRPFNNGMHSEMENCAVDEQIDLAGIGINNWKNRGNDISSLSNTVDKLDLDHEMAGIQRSNQNYCIDDTKSRCCNMRVKRLFKEFLPSENHKSTSKDDSTLSKVDYSHLLTTDYALAGLSYIDSQEPSDLSQANALEIVDKFLSISDVGSSQEIIKVETDILKSPLVFATKGVQLLAEKTDCRSPVGKPGIFDWNDSIEDEGGGELFSKRKDSFFERSCGARKTRSHPPKSRLAISGTTRDAVDKSGELGDNLKIYDKGTVLVNSDSRLMIPNPVISERFRISEANIRKNLFKDAKGESKIESLEHQLDATEVEGSLDGIHNVVPDTQLAAEALEALVHESLVNAEKEETRDTFTGNLTSNSDKSPIMKTASSKNVSLPKWTSVNDSQVVMTRSKKRKMLSTELRGSLNLPRVWSSSRMKNSLEDTTAKRQAKRGKAKLDGQADMSFISGHDSSMSTKKTKTQAKDDRHLDEKQKQHHNNLVERHPARCARYSKSTKLLKQNEALPHGGKDPNESVNKNALRSVESPDNHVTDKGCPSAGLDLATEVKYRATHAKFLNGAPLGEELQTFSLTKDGCHCPKRRRTSRVNSGNLNDNLNKASAMSDAGASETIGRSSEQVGKRKIFIRSVTDILNKVKRKKRSIFTYASLETDREPSSTTLVRIIRGMQSSLRSPLLEPLSNEDTKESARKHLLCPVTPRNASTLSAEKAEQPKLCSKYVEDAAANNRLYRSLKAKGQPNDLACTTPSRDKNAVSPIYTARYPPRSCNKSVSASSVASMLKDMRRRKDMSSVRVLFSNHLAEDTIKHQKKLFWFSVRFWHA >Ma01_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14876095:14885046:-1 gene:Ma01_g19350 transcript:Ma01_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTAGVDDEETQVLDLDSAAPGEVPVLYGETQALDGSDGSDGEGDRGIDERGETQLLDEDEETAAVDSGGEGTDRTEVLSDDEGVSNDDATHYGDREDGGNVDSRPELRVIGGEKLCLAEDKKDNLVDSGALTDGGDGDDDDDRNAGSKMRSCSVVPVAAMCSSGLAAAQYLVSRRLENVFRPFNNGMHSEMENCAVDEQIDLAGIGINNWKNRGNDISSLSNTVDKLDLDHEMAGIQRSNQNYCIDDTKSRCCNMRVKRLFKEFLPSENHKSTSKDDSTLSKVDYSHLLTTDYALAGLSYIDSQEPSDLSQANALEIVDKFLSISDVGSSQEIIKVETDILKSPLVFATKGVQLLAEKTDCRSPVGKPGIFDWNDSIEDEGGGELFSKRKDSFFERSCGARKTRSHPPKSRLAISGTTRDAVDKSGELGDNLKIYDKGTVLVNSDSRLMIPNPVISERFRISEANIRKNLFKDAKGESKIESLEHQLDATEVEGSLDGIHNVVPDTQLAAEALEALVHESLVNAEKEETRDTFTGNLTSNSDKSPIMKTASSKNVSLPKWTSVNDSQVVMTRSKKRKMLSTELRGSLNLPRVWSSSRMKNSLEDTTAKRQAKRGKAKLDGQADMSFISGHDSSMSTKKTKTQAKDDRHLDEKQKQHHNNLVERHPARCARYSKSTKLLKQNEALPHGGKDPNESVNKNALRSVESPDNHVTDKGCPSAGLDLATEVKYRATHAKFLNGAPLGEELQTFSLTKDGCHCPKRRRTSRVNSGNLNDNLNKASAMSDAGASETIGRSSEQVGKRKIFIRSVTDILNKVKRKKRSIFTYASLETDREPSSTTLVRIIRGMQSSLRSPLLEPLSNEDTKESARKHLLCPVTPRNASTLSAEKAEQPKLCSKYVEDAAANNRLYRSLKAKGQPNDLACTTPSRDKNAVSPIYTARYPPRSCNKSVSASSVASMLKDMRRRKDMSSVRVLFSNHLAEDTIKHQKKILARLGLPTASSISDATHFVTDEFVRTQNMLEAIAMGKPVVTPMWLESCGQASCFMDEKYYILRDSKKERKIGFNMPVSLARACQQPLLQGKRVFVTANVKPNRELIASLVKASHGQAIKRIGRSALKQGKAPDELLVISCEEDYSICMPLLEKGTGIFSSELLLNGIVIQKLEYERHRLFSDHIKQTRSTIRMRLYGGNQFLPVTKCA >Ma00_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8343782:8356554:1 gene:Ma00_g01480 transcript:Ma00_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MSPLMELRALPPPTLAGCMLPSVRQHTHGLRIRLTFKSKDYLMFIQRRIMRHMSLNIRSSAENDSGIKDDMKAASSIKPFYDARILYSMAPAMGHNKESHPESNKRVPAIIDALEKLELSPKYRYSEVFEVQNFRPATMDDIARVHARTYITGLEKAMSKATDEGIIFIDGSGPTYATKTTFEEALIAAGAGISLVDSVVEASMATRNPPIGFALVRPPGHHAVPKGPMGFCVFGNIAVAARHAQHAHGLKKVLIIDFDVHHGNGTCDAFYDDPDVFFISTHQVGSYPGTGKIEQVGQGSGEGTTLNLPLPGGSGDNSMRSVFDEVIVPCAQRFKPDIVLVSAGYDAHALDPLAGLQFTTGTYYMLASSIKQLANELCGGRCVFFLEGGYNLQSLSSSVADTFRAFLGEPSLASQFDDPAMLYEEPLSRVKKVLQKAKHIHSL >Ma09_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6667719:6669455:1 gene:Ma09_g09830 transcript:Ma09_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G63890) UniProtKB/TrEMBL;Acc:A0A178UP37] CTLLNLQAEHGPDSQVVLVVAGDGVDIDAIQAEVSNQCASLPRGEYASKALSHSFVVFARDMVEAISFSNLYAPEHLIINVKDAERWEGLIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLKNIGPHVAKMAEVEGLEAHKRAVTLRLQEIEAALPA >Ma08_p31860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42649823:42654171:-1 gene:Ma08_g31860 transcript:Ma08_t31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVGTLALRPSSPTVPGTYFLRSILHFLFHALGPCNLGISEKEVRFARWRSHALSKPTTPEFGVGLIQNGLPVLHLPENEPQDADEEDDDDGLLELCGSRGIWRMVGEVRAMLRRMGDGEISISAYDTAWVALLKNKDGSGGPRFPSSLQWIVDNQLPDGSWGDAVIFSAHDRMINTLACVIALKSWTIYPDIWRRGLAFIRENMWRLSEEEAELMPIGFEVAFPSLLDIAKALELEIPYGDPSLQEIDAKRSLKLKRIPRDVMHEVPNTLLYSLEGMPGLDWDRLLRLRCSDGSFLFSPSSTAYAVMQTGDDNCLDYLQRVVHRFGGGVPNVYPVDLFEHLWVVDRLERLGISRYLEQEIKDCLDYVYRYWTEDGICWAKNTRVHDVDDTSMGFRLLRLHGYDVSAGVFRHFEKDGEFFCCAGQSTQAVTGMYNLNRASQVAFPGEEILDRARSFSYLYLREKQAADQVVDKWIITKNLPGEVAYALDFPWYASLPRVETRLYLEQYGGSGDVWIGKTLYRMPLVNNDVYLELAKLDYNRCQSLHQLEWFDLEKWYEEAGLRWHRVKRRSLLRDFFLAAACVFEPDRAVERLGWARTATMATAVSSYFSSATCTDEMRRSFILDFLDDRSDGHDISSDVSEFERRMGGKKAGEVLVGLLRQLIERLAADTRPAFQQQLVRHHLQQAWKEWLMAWHSDASDGFGREETGLLLVRTMESCAGRFSSTELTVTRPNYSRLCHLLSSLCHNLRRRQMVAAKSITEECAVTSSCKDKAVEAEMQELARCVLQTSDDLNHHTKQTFLLVAKSFYYAAHCSPAALRSHISEVLFKPVA >Ma10_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31167718:31169610:1 gene:Ma10_g21280 transcript:Ma10_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIGRDISHLNPTVHVPPWPPFDDPTAVTTSSSHYPVCGVATGDGVLDEDTVVTMQLYLGLQEGAGEDAPPVVDAYASDEFRMYEFKVRRCSRGRAHDWTECPFAHPGEKARRRDPRKHRYSGAPCPDFRKAGGCKRGDACDLAHGVFECWLHPERYRTQPCKDGTTCRRRVCFFAHTPDQLRLVPPQPQQSSTSPVAASAESYDGSPLRQQPASQSYVSKNLVSPPASTLISPPTSPPAKSPPISPNGAKLRRDSWQQSSSVNEIVASLRQLQLSRGKSVPSSWSLQTVNDGFASPRGTLAGFNTAFCSLPSTPTVATAGWLEEVEPAERVESGRALRAKMFERLSKDSVFDKAEATPDVGWVSDLLK >Ma11_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20425892:20426603:1 gene:Ma11_g14730 transcript:Ma11_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLGVDDSSTLDFFPHQLHAADFESLLADLPPSSTPPPLHYAAVEPTLSMPLPPAPFAESWPRESAGVSSEAEDQAVGRRYRGVRQRPWGKFAAEIRDPNRRGSRIWLGTYSTALEAARAYDRAAFRMRGCRAILNFPNEVGRSEHRHPPVTDNEVGVGRDGGGEDGESSGAAGIEGGRRPEGNPFAV >Ma04_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:751400:752287:1 gene:Ma04_g00790 transcript:Ma04_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTIASACFSSLSPLSPRFSNGRPSRILAMAPKQKVNRYDDNWKKQWYGAGLFAEGSEELSVDVVKKLETRKVLSGVEKSGLLSKAEELGITLSSIERLGFLSKAEELGLLSLLERAAGFSPSALASVSLPLLVAAVAAIVLIPDDSAALVAAQAFLSAALGVVAAGLFVGSVVLGGLQESD >Ma11_p17820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23027857:23029764:1 gene:Ma11_g17820 transcript:Ma11_t17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPPSISSNTFDHIHRCIPIRWWSALPSPLTNSQAPVLPAKALPSAARSSQLRSIVAFIHSASAVGHLSEAFAAFSDLRLRSPDVALLLRPLSSLLSCCTSRSAIPEGRQLHGLVLALGFQDHAFLLPNLTSFYAAGGLLSDATSLAESSSNNRSLAWNFLVSAHARCRRWKGAIFSYKQMVERGVRVGKHAYSSVLRACGEMGDLGLGREIHVCMDAAGIELDLCAWNSLVAMYVKCGAVDAARRLFDGMPERDVITWNSMISAYASVGRWEEAFELLQSMLEGPGVNTVTWNAIVSGNLQLGNHWEVLRLISRMRISGSAVDHVTLVIGLKACSKVESVRIGKEIHGVAIRIHCDGIENIVNALITMYSRCKHTSYAYILFRINAMQSLIAWNAMISGALHAEKPEEAYFLFHKMIGSSMQPNFVTVITMLSLCTRVMNFEHGRELHCYITKQGFEDHLALGNSLIGIYSKSGRMATAQRLFNIMRDCDVVSYTLLIAGYGMQGEGITSLKLFQQMISSEIEPDHVTMVAILSACSHSGLVTEGQLLFNHMISVYGIAPRLEHFSCIVDLYCRAGLLKKAEELINQMPFEPSVAMLATLVGASQVRGNKEIGQRAAKKLLEMKWGNPSHYS >Ma07_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3194344:3196606:1 gene:Ma07_g04260 transcript:Ma07_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREGFLALLSVRACCFLFFLIRARQAFSQNQTCDSKDLNALLGFANELDLAKLGWVLNGSSSGSCCDWPGVTCGPPTVNGRRVVGLDLGGKSLRGSIPYSLAGLDQLKRLDLSVNYLQGVVPPQLLRLHLLEFIDLSTNQLEGVIPSNLSLPAIQVFNISYNQFTGGHPILGGSSNLTSFDLTSNDFYGPIDAGICNSSAKIRILRFSENRFYGDLPRGLKSCSSLTELWLSMNDLGGDLPDALFDMTSMTQLFLQGNQFSGDLSTNMSNLSNLVEIDLSLNRFSGFIPDVFGSLAKLESFSAQSNKLEGNLPSSLSDLSSLRVLNLNNNSLSGEINLNCTAMPKLSTLNLGSNSFSGPIPDMLPHCVQLTTLNLGNNNLTGEIPHSFKNFTSLSDLSLTGNHFSNISSALQILQYCPKLTRLILTRNFHGGEMMPVDAIQGFRKMELLVIANCALTGSIPSWLANLTRLKVLDISWNRLSGSIPKWVGNLDDLFYLDLSNNSLSGQLPNSLTQMKSLVSGNKSPRVSSTENFPFFIKRNSSRKGLQYNQVSSFPPSLILGDNMLVGQILPGFRNLVVLHVLDLSWNNLSGNIPAELSGMTSLEILDLSHNNLTGAIPSSLTNLSFLSKFDVAYNNLVGQVPAGGQFSTFSRSDFEGNPGLCGFLSSPCESKDPLPPASRENNIVTLKENKTKSAIVSLLIGIGVGIVTIILLAVAYRVFLKSRSGSVMYC >Ma05_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17939237:17940777:1 gene:Ma05_g16680 transcript:Ma05_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVSPSLSVGGGSGSVGGGVGGGGAGGGAGGGGGGGGGLGPCGACKFLRRKCMAGCIFAPYFDAEQGAARFAAVHKVFGASNASKLLLHIPAHKRHDAVITICYEAQARLRDPVYGCVAHIFALQQQVVSLQAELSYLQAHLATLELPSPPPPPPPPSLLAPTPFSTSDLTSASSLPSTGDLSTMFDPQVQPEWPLQQQQQQQQRPPTELRPHLSNRARNVLGNPGCGGGDLQALARELLDRHRSTTSEPPPISK >Ma05_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18182522:18239053:-1 gene:Ma05_g16770 transcript:Ma05_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLEFYQGGGCIMFQVLLSHPDAILEDFETLECIAWEALLVDECQNSRVFKHLELLKRLSSSFRLLLLSGHLKDNIAEYLNLLLFLDLGTDGNLGCIMKSDSVGVVGTLALLKERLSQNLAYDRKPDSSKFLEYWVPVQLSNVQLEQYCATLISNAIPLCSCSKIDLVGALGNILISTRKCCDHPYLVDESLQSSLTRGLPVTEYLDIGVNASGKLLVLDKILQMIQNQGLRVLILFQENVKDMAQVFLEYIMNNYHVSPEPKMILQAFKISLCWRAASFLKHKIDHEESLALAKKYLKFACNEEQASNVYSKLRILKKKFLDRDNVIISKHEPSLLEPGSSVSGKYLTGELALEMTSNSTGFSLHEFEKCGLQQSPQSHSVLEQPMLQEQEQVPVLETSADLHENLGSLKAKLLKKQTDLIHNICLRREEDLLLKQQEEISEFRVCKEKLELNLKRAHHEHLGHILDLVMDSADKNDKIRMFKVEFAKKKGGFGKHMDCQFFKLKGMQSVARDKELQIKNHWFEEAKAGKLTETFDSIPLSESGFRLEEFRGDQDDVHDGLGNRIYDSRTSVPFQNKHTVGSITVGHLVTSGLSSKSSGGSAVLSPIGAGCLPSQIDTSTCQSSGLNETEVYGPRGMHLEVPSTIPPPEMVVMPMETETLASEIPTGKVDDMPINSGTAATVETEKQRDAENSDMSCSITCPLESIRQGRSTDNGEVACSATFFPQNLVDSPSFIHEVTSTGCESGVSSSQEPCFNGHERPEDSAGLDVQDCGFPQEIPALNSADFGTLQVNPTNHNMIVLELFLDPLAVCTGASLVAQNQGSVVSSQIGEEPFDQIQQSSQQNDVPLQVPVVLSSLLVGQSNPLVPQFIENSVLPNSERRLQGNISEDLTCTFTQPESVHYPLFPFAQLMPTQGLHPEPLKNELTRIRIHEDIISKMHDDKKSKLKLECDKELDQVRRRYDVLLQDEESQFRQNKEILETIYNKVFMNQVIAEEFRAKFIDNKGRASSSSQAQRTMQQLLQSSQTQFFQRSVSPSTSVPTALSTVLPAVAPTSLVSVRLRASSISSGQIARLITPVYSSNSVRPHFSPMLPSLANLQIGSETRAPAPHLHRFRTNTSMPSQNLVCTNGITSQQHYLVNVGSATMEQVASVPTSRRSSVSNTLSVCRGSSPSDVEFHVDTEDSGRANQPNSSQLADFTPKFNSWSSTNRTSTTCGLSQSR >Ma05_p16770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:18182522:18239053:-1 gene:Ma05_g16770 transcript:Ma05_t16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLEFYQGGGCIMFQVLLSHPDAILEDFETLECIAWEALLVDECQNSRVFKHLELLKRLSSSFRLLLLSGHLKDNIAEYLNLLLFLDLGTDGNLGCIMKSDSVGVVGTLALLKERLSQNLAYDRKPDSSKFLEYWVPVQLSNVQLEQYCATLISNAIPLCSCSKIDLVGALGNILISTRKCCDHPYLVDESLQSSLTRGLPVTEYLDIGVNASGKLLVLDKILQMIQNQGLRVLILFQSTGRAGKTSIGDILDDFLRQRFGGDSYERIDRGIAMSKKLAALNMFNDKERGRFVFLIENRACLPSIKLACIDAIIIYDSDWNPLNDLRALQKITIESQRDYVAVFRLYSSFTIEEKLLILAKQDMILDNNMDNVSPSVCHSLLSWGASCLFHRLEKFHQLQSLDNYSPNSSDKMLLLNDVLEILTKIPAYIPSKCSILVKVQQSGASYSRNIVLAGEQGASSFDKDLCSFWSNLLEGRHPQWRYISKPSHSQRSRRKVHNMDKLVMPPESENEEAEKKRRKVVSSNTVDPLYSECSFQGRQDEGNSNLLSGNHDQPSLSFMTKAAFMSSSLQTETEAQLTCQGKDVSHVSPMSDGTSDVNKPHEVDLNGREKLSSSQRNLHLSLKPKLSKLCEVLKLPENVKDMAQVFLEYIMNNYHVSPEPKMILQAFKISLCWRAASFLKHKIDHEESLALAKKYLKFACNEEQASNVYSKLRILKKKFLDRDNVIISKHEPSLLEPGSSVSGKYLTGELALEMTSNSTGFSLHEFEKCGLQQSPQSHSVLEQPMLQEQEQVPVLETSADLHENLGSLKAKLLKKQTDLIHNICLRREEDLLLKQQEEISEFRVCKEKLELNLKRAHHEHLGHILDLVMDSADKNDKIRMFKVEFAKKKGGFGKHMDCQFFKLKGMQSVARDKELQIKNHWFEEAKAGKLTETFDSIPLSESGFRLEEFRGDQDDVHDGLGNRIYDSRTSVPFQNKHTVGSITVGHLVTSGLSSKSSGGSAVLSPIGAGCLPSQIDTSTCQSSGLNETEVYGPRGMHLEVPSTIPPPEMVVMPMETETLASEIPTGKVDDMPINSGTAATVETEKQRDAENSDMSCSITCPLESIRQGRSTDNGEVACSATFFPQNLVDSPSFIHEVTSTGCESGVSSSQEPCFNGHERPEDSAGLDVQDCGFPQEIPALNSADFGTLQVNPTNHNMIVLELFLDPLAVCTGASLVAQNQGSVVSSQIGEEPFDQIQQSSQQNDVPLQVPVVLSSLLVGQSNPLVPQFIENSVLPNSERRLQGNISEDLTCTFTQPESVHYPLFPFAQLMPTQGLHPEPLKNELTRIRIHEDIISKMHDDKKSKLKLECDKELDQVRRRYDVLLQDEESQFRQNKEILETIYNKVFMNQVIAEEFRAKFIDNKGRASSSSQAQRTMQQLLQSSQTQFFQRSVSPSTSVPTALSTVLPAVAPTSLVSVRLRASSISSGQIARLITPVYSSNSVRPHFSPMLPSLANLQIGSETRAPAPHLHRFRTNTSMPSQNLVCTNGITSQQHYLVNVGSATMEQVASVPTSRRSSVSNTLSVCRGSSPSDVEFHVDTEDSGRANQPNSSQLADFTPKFNSWSSTNRTSTTCGLSQSR >Ma07_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28406926:28408222:-1 gene:Ma07_g20540 transcript:Ma07_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVQQAQTDRRERSQWQSY >Ma07_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8438939:8440475:-1 gene:Ma07_g11360 transcript:Ma07_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVAPKTPFAFENSTSSARDDSFSTYLALVRESFPPEIGSPTPESLSCQVILNRRRTADGEIEIFDAEKYFSGVMDGDAPPAPENGLGTPSKKEVKVRVRSRSRAGSTSSETSCNSRSQLLRDSRKHPIPCGQRDANSKRFLSVFPCPCSRKNATDVDKEALSDARSDNLKKQLVQHGERCGGDDHLVSELTLKRISPGLIKQEVFAFPPCLSSAAGEGTVGKEVKEEEKVGRGPKAVVGQRRSFTMLTSAKIVVGDDGGRDDDVRSEASSDLFEIESLSMTSHPFISHGGTESAPSEASIEWSVVTASVANFSIASESEDQMASLRRPRRASGSGLLLGCVSDKAVNVTAGTAKMPERISFDRRDSAGVDGSVTAAARYRAESCGVDLGFGRAGRVLPPTSFGSSRPSQD >Ma10_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30431765:30432677:1 gene:Ma10_g20150 transcript:Ma10_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSNHLHDVSGDSIPLLLLAAAAASIAYLRSLLLRLLPLSLSSSPADADADPEPSVGSGLAGLVVLADHLTSNRSFAFASRSSGGEGRRAECAVCLCGLADGDRVRRLPCCHVFHGECLEGWFHHLNLTCPLCRSELAAPEVRAAADRRIGAELVAWLSHH >Ma06_p27380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29405749:29408656:-1 gene:Ma06_g27380 transcript:Ma06_t27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71190 [Source:Projected from Arabidopsis thaliana (AT1G71190) UniProtKB/TrEMBL;Acc:Q9C989] MERGKQLCAWGAAVLIFVVLMVVTPAIPQSEEYHNFADQRELFLGIPNTLNVISNIPFLFVGVVGLTLCFYRNYFRLRLQGEIWGWSIFFLGVTAVAFGSSYYHLKPNDARLVWDRLPMTVAFTSIMAIFIIERVDEKTGTTSIAPLVIADFSMIYALMHSSSSFLASLYL >Ma06_p27380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29402601:29408656:-1 gene:Ma06_g27380 transcript:Ma06_t27380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71190 [Source:Projected from Arabidopsis thaliana (AT1G71190) UniProtKB/TrEMBL;Acc:Q9C989] MERGKQLCAWGAAVLIFVVLMVVTPAIPQSEEYHNFADQRELFLGIPNTLNVISNIPFLFVGVVGLTLCFYRNYFRLQGEIWGWSIFFLGVTAVAFGSSYYHLKPNDARLVWDRLPMTVAFTSIMAIFIIERVDEKTGTTSIAPLVIAGILTILYWRFFDDLRPYALIQFLPCIIIPLMAILIPPMYTHSSYWLWAAGFYLLAKVEEAEDKQIYKWTNHIVSGHTLKHLFAAMVPVFLTLMLAKRDIEPERRSLLQKWKIYWIRIKENGLKAERLECEYSEVSTTV >Ma06_p27380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29402601:29408656:-1 gene:Ma06_g27380 transcript:Ma06_t27380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71190 [Source:Projected from Arabidopsis thaliana (AT1G71190) UniProtKB/TrEMBL;Acc:Q9C989] MERGKQLCAWGAAVLIFVVLMVVTPAIPQSEEYHNFADQRELFLGIPNTLNVISNIPFLFVGVVGLTLCFYRNYFRLRLQGEIWGWSIFFLGVTAVAFGSSYYHLKPNDARLVWDRLPMTVAFTSIMAIFIIERVDEKTGTTSIAPLVIAGILTILYWRFFDDLRPYALIQFLPCIIIPLMAILIPPMYTHSSYWLWAAGFYLLAKVEEAEDKQIYKWTNHIVSGHTLKHLFAAMVPVFLTLMLAKRDIEPERRSLLQKWKIYWIRIKENGLKAERLECEYSEVSTTV >Ma04_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16225265:16230270:1 gene:Ma04_g16630 transcript:Ma04_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVCLKTRPRFTKEIFGSHLTCSAGLPSLSVLSKRISISASLDQKQREGRRGFLKLLLGNVGLSLTTIVGARKAYADDQGVLSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRIQRVRVQLPGLSQELLQKLREKSIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGLGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDIPDIRGRTEILKVHAGNKKFESDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLIPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISKQQLFARIVGGLGGRAAEEVIFGESEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDSSAQSGDVIMRMMARNSMSEKLAEDIDAAVKQISDKAYEIALSHIRNNREAMDKIVEVLLEKETMTGDEFRAILSEFVEIPVENRVPPARPAAVPA >Ma05_p21900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33652611:33655222:1 gene:Ma05_g21900 transcript:Ma05_t21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEKKEEGTSSRGVDWEVVSLTASAYAASPGPEFDPTDESREIGVTERESSAALFMSGHFVFPPSEHENLPIEPNVSEIHIKPERHNVSSAVVDVGNDGVDNSDKEKMQIVLDDDLHAVKFFDEGSRIAVRDMGFEDSNRSQRQNLVGMEQDIYADPDVAIRSEECEGGRKFDGEEAFDVHMDSPRDHTEPDEDEFDGSNLPCQSWWKRHAVSLYRQAKEADTFWSVVVAAAVVGIIVLGHRWQRNKWQLHQIKWRFSINDGRMMKMLRPIGRYKDVLVGAASGAR >Ma09_p03320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2244457:2250147:1 gene:Ma09_g03320 transcript:Ma09_t03320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTVEQVKKIVTVLNEAEVPPEEVVDVVVSPPFVFLSLVKSLLRSDFQVAAQNCWARKGGAFTGEVSAEMLVNLGIPWVILGHSERRLLLGETNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDIVAAQTKAIAGWISDWNNVVLAYEPVWAIGTGKVATPTQAQEVHTALRKLLHDNVSPEVAASTRIIYGGSVNGANCKELAAQPDVDGFLVGGASLKPEFVDIIKSATVKSSA >Ma09_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2244656:2250147:1 gene:Ma09_g03320 transcript:Ma09_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVACLFSDCFFDISESPGLWLFYGFRNNGTVEQVKKIVTVLNEAEVPPEEVVDVVVSPPFVFLSLVKSLLRSDFQVAAQNCWARKGGAFTGEVSAEMLVNLGIPWVILGHSERRLLLGETNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDIVAAQTKAIAGWISDWNNVVLAYEPVWAIGTGKVATPTQAQEVHTALRKLLHDNVSPEVAASTRIIYGGSVNGANCKELAAQPDVDGFLVGGASLKPEFVDIIKSATVKSSA >Ma05_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24589142:24597700:1 gene:Ma05_g18690 transcript:Ma05_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQRKRNFKIEAFKHRVDLDPKYAEKTWKVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKHGEKLYSGLVTTMTWHLKEISQSIEAVQGDLFLEELNRKWGEHTKALQMIRDILMYMDRTFITSSRKTPVYELGLNLWRDNIIRSSKIQDRQLNTILELIHKERTGEVINRGLMRNITKMLMDLGSSVYQEDFEKPFLDVSASFYSGESQQFIECCNCGEYLKKAERRLNEEMERVSQYLDTKTEPKITCVVEKEMIANHMKQLVHMENSGLVNMLIDDRFEDLIRMYNLFQRVTEGLRLIRDLMTSHLRETGKQLVTDPERLKDPVDFVQRLLNEKDKYDKIIAAAFNNDKSFQNALNSSFEYFINLNSRSPEFISLYVNDKLHKNLKGVSEEDVEIVLDKVMMLFRYLQEKDIFEKYYKQHLAKRLLSGKIVSDDAERNLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASQGFEAGEGPTLVVQVLTTGSWPTQPSAACNLPAEILGVCDKFRAYYLGTHSGRRLTWQTNMGTADLKATFAKGQKHELNVSTYQMCILMLFNSVDRLSCKEIEQATAIPSQDLKRCLQSLACVLGKNVLRKVPMSKDIAEDDIFSINDKFMSKFFKIKIGTVTAQKESEPEKQETRQQVEEDRKPQIEAAIIRVMKARRVTGYNSIVMEVTKQLQPRFLPNPVMIKKRIESLIEREFLERDEDDRKLYRYLA >Ma02_p24680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29188673:29191528:1 gene:Ma02_g24680 transcript:Ma02_t24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQMKLFRNAGQSQRFRFVVLVIGCFLVSMTFIVVSRPLTFPSLSSRLRPQKSDAEREKAADTSSYSAPSTEKKKEENFQGKKNHTIDDPIEDDKTGIDEVMETTVEAEEERTDRDEDHNRMTLPTVSNYTIDDTLQVDKKTTPEQPAREVKAVEKMLSCDFSDPRVDICEMSGDIRIPGNSSDVIFVESPQRDQAFRFRPYARKGDQTAFGRVRELTVKSSTAAPQCTVSHNVPAVVFSTGGYTGNLFHDFTDVLIPLFLTARQYDGEVQFVVSDMNPWWVYKYRLLFQKLSKYPPIDFDKDKGVHCFTKVVVGLRANKELSIDPARAPNGYSMVDFGKFMRSALSLERESMSNIEDLAGRRPRILIVARKRSRKFVNLFEIAQLAEQLGFEVEVNEAEVGSDVAQFAKLVNSVDVMVGVHGAGLTNLVFLPPNATVIQVVPWGGLEWLSMLDFGDPAKDMKLNYVQYSIDIEESSLTEQYPRDHPVFKDPFSFHKQGFHVLRSTFMANQNVKLDINKFKSVLWKALEHIIQ >Ma04_p27470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28637992:28651549:-1 gene:Ma04_g27470 transcript:Ma04_t27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTAAAATDAAYPKLSPFLVIFLCFLCGCSHLCAAATVTYDHRALVIDGTRRVLISGSIHYPRSTPEMWPDLIEKSKNGGLDVVETYVFWNLHEPVQGQYDFEGRKDLVRFVKTVAEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKREMQRFTTKIVEMMKQEKLYASQGGPIILSQIENEYGNIDSSYGAAAKTYINWSASMATSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSNKKPKMWTENWTGWFLSFGGGVPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRTTGGPFIATSYDYDAPIDEYGILRQPKWGHLRDLHKVIKLCEGALVATDPTYTSLGQNLEAHVYKTASGSCAAFLANVGTQSDATVTFNGKTYNLPAWSVSILPDCQNVVFNTAQINSQSTLLETKYVKTYSQASDQPINSSEISESSWTFIKEPVGITKSSAFTKVGLLEQINTTADASDYLWYSTSIDINGNEPFLFNGTQTTLHVESLGHVLHAFVNGQLSGSGMGNSDNAKVTLDKSIMLAPGKNKIDLLSATVGLQNYGAFFDLWGAGITGPVMLKGQKSTTDLSSNDWTYQIGLKGEELGIYEESGDSSIWISQSALPKNQPLVWYKGYFDAPEGNDPVAIDFTGMGKGEAWVNGQSIGRYWPAYVSPQTGCTTSCNYRGAFSSNKCLKNCGKPSQRLYHVPRSLIQSGKNRLVLFEEMSGDPTQISFATRQTVSLCGHVSESHPALLDAQGTAPSNVPMLRLECPYPNQKISSIKFASFGTPHGTCGSYNHGKCSSDDALAVMQQACIGVQSCDVEVSIKLFGDPCRNVIKSLAVEAACS >Ma07_p06880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4958261:4991005:-1 gene:Ma07_g06880 transcript:Ma07_t06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G13560) UniProtKB/Swiss-Prot;Acc:Q9SIU0] MAISSSLPRLLRRTPSSLPLRWRLRMFLAGERSRPFTTCEGSRPSIVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMTPQQQIDRFMVDLKRLELNASDGPSDTNALAKWRILNRLHDRNETMYYKVLIENIKEYAPIVYTPTVGRVCQNYSGLFRRPRGMYFSAADHGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNENLLQDPLYLGLQEHRLDGEKYLSVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRSTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKLKIVVAGAGSAGIGVLNAARKTMARMLGNNEFAFEGARSQFWVVDAMGLLTEGRMNIDPEALPFVRKLKESERHGLHEGASLAEVVTKVKPDVLLGLSAVGGLFSKEVLEALKDSSSTRPAIFAMSNPTQNAECTPEEAFSTVGDHIVFASGSPFHDVNLGNGKIGHCNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAQCLASYMKEEEVLNGIIYPSISSIRDITKEVAAAVVREAVAEDLAEGYRDMDPQELQKLTQEETVAYVKKNMWEPHYPTLVYKRE >Ma05_p22330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34064056:34067907:-1 gene:Ma05_g22330 transcript:Ma05_t22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPQQQQQPPPQLQQQGGSQFGADMAPFASSGASPPAHVLQIPGAGPLHPPPQPSSQLVESAPALVSRRPPAPTDAANFDELAPAVAGGFHDDEALTVGYEAERGGTPGSRWPRQETLALLKIRSEMDAAFRDATFKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKDGRAGRQDGKTYRFFNQLEALHSSGGGGATTPTVTPAMLLTTTSPAQISFTTAPAGPPATRVQASSVSAAAPPPVATPSWVVPDLGPQANSSLAAAGISFSSDSTSSSSSESDDEETEDAGESREGRKRKRSGGSRARQQMMTFFQGLMRQVMERQEAMQQRFLEAIEKREQDRLIREDAWRLQEMARLSREQELLSQERAMAASRDTAVISYLQKISGQPVTSSSAVPASAAAISLTPLPLPPSHAPPPPQPPPQQQQEHQQKQGDRHHTPKPDVTATQRVTVSSEPEEGHCFEPVSSSRWPKEEVHALIKLRSGLGSRYQEAGPKGPLWEDISAGMHRLGYNRSAKRCKEKWENINKYFKKVKDSNKKRPEDSKSCPYFHELEALYRKKTLGSDIAAGDPGGGSSAVGQQKQEQDTNPSPLGQQQQRHPAVAEGQNGDNNKNNRSGGNPEAGGGSTVVQVQTRNGQQPPSFPDQEGTMKVPTEQRHPPAVMDEHYKMDKPSSDNLDQDDDYEDEDDEDEVQYKLQFQRQIPSGDTAIATTAAATATASGTFLAMVQ >Ma05_p22330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34064056:34067907:-1 gene:Ma05_g22330 transcript:Ma05_t22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPQQQQQPPPQLQQQGGSQFGADMAPFASSGASPPAHVLQIPGAGPLHPPPQPSSQLVESAPALVSRRPPAPTDAANFDELAPAVAGGFHDDEALTVGYEAERGGTPGSRWPRQETLALLKIRSEMDAAFRDATFKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKDGRAGRQDGKTYRFFNQLEALHSSGGGGATTPTVTPAMLLTTTSPAQISFTTAPAGPPATRVQASSVSAAAPPPVATPSWVVPDLGPQANSSLAAAGISFSSDSTSSSSSESDDEETEDAGESREGRKRKRSGGSRARQQMMTFFQGLMRQVMERQEAMQQRFLEAIEKREQDRLIREDAWRLQEMARLSREQELLSQERAMAASRDTAVISYLQKISGQPVTSSSAVPASAAAISLTPLPLPPSHAPPPPQPPPQQQQEHQQKQGDRHHTPKPDVTATQRVTVSSEPEEGHCFEPVSSSRWPKEEVHALIKLRSGLGSRYQEAGPKGPLWEDISAGMHRLGYNRSAKRCKEKWENINKYFKKVKDSNKKRPEDSKSCPYFHELEALYRKKTLGSDIAAGDPGGGSSAVGQQKQEQDTNPSPLGQQQQRHPAVAEGQNGDNNKNNRSGGNPEAGGGSTVVQVQTRNGQQPPSFPDQEGTMKPEDVVKVPTEQRHPPAVMDEHYKMDKPSSDNLDQDDDYEDEDDEDEVQYKLQFQRQIPSGDTAIATTAAATATASGTFLAMVQ >Ma11_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25663029:25665289:1 gene:Ma11_g21550 transcript:Ma11_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGTNYQLAEAALSRRQPAEASPKTTTGPASKKTSRKLPIRSSLGNNNDHSIRKFNEEKSDPSEGSVKTNCSWKRKQTHSVCIRFPIPVSERGILYYSPNTNCSLLHLSVSSASETPFQRSSRVCRFSASPSCSPRNSSLFLSSTPWARARRWRSSPTNHTLSLLTFRVFFYSSVDLHSPAKSQSSHLSKKNKMIRNSYSPVISTLLSFRTGNGMKSSSSPRPKEENMASSDVQALPTPTIYLVQEFIEMSVCIMFLHSLKYYVGHGVKN >Ma03_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11271461:11276723:1 gene:Ma03_g14120 transcript:Ma03_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDRFFGPVEMRVAMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFCNTDALIYVVDSLDRERIGNAKEEFQAIIRDPFMLNSIILVFANKQDLRGVMTPMEISEGLGLYDLRNRTWHVQGTCALTGDGLYEGLDWLVTALKELQDSGRH >Ma01_p11230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8104196:8108143:-1 gene:Ma01_g11230 transcript:Ma01_t11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIANSLVSVRDSRFQALYGFTLKPSSDKSLVVCPPSSLSLRPISRRKDGIASHKRQFVLRAAYRSGGRPNSASIFVGGFVLGGIVVGALACVYAPQISKALTETDKKELMRRLPKFIYDEEKALEKTRKILTEKIAQLNAAIDDVSSQLRADDEPNGVAVAQDEMEAAT >Ma06_p31420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32563132:32569289:1 gene:Ma06_g31420 transcript:Ma06_t31420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSANLEAVVSLGRKWPLQVLLVLALLYGALVFFFEYPFLSYRIPSPSSAYAASGLLGGDAFSLPLNLGAEVRPAPLRPSRRARLLHNSASGPAGPRLPSDPRRMMSASGLDFRVLNVTAVGGRPFSGLHKAAKDAWEVGRKMLEELKTVPLADLATTKDENRTEDNCPHSIILSGEKFLERGRLMVLPCGLTLGSHITLVAKPYKAHPEYDPKITALSEGKKEIMVSQFMMELLGIKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGKSQRCEGWTSMANEESVDGLLKCEKWIRDDDNGMEESKATWWLNRLIGRTKKISVDWPYPFVEDKLFVLTLSAGLEGYHVTVDGRHVTSFPYRTGFVLEDATGLSVNGDLHVQSIFAGSLPTSHPSFAPQKNLEMSAEWQALPLPDGPVELFIGILSAGNHFAERMAVRKSWMCEVKKSSNMVARFFVALHGRKEVNIELKKEAEFFGDIVIVPFLDSYDLVVLKTVAICEYGVRTVAARYIMKCDDDTFVRVDSVMNKVRKVPSDKSLYVGNMNYYHKPLREGKWAVTYEEWPEENYPPYANGPGYVVSSDIAQFIISDFEKHKLRLFKMEDVSMGMWVEQFNSTRAVEYKHSVKFCQFGCIDDYYTAHYQSPRQMTCLWDKLQAGKPQCCNMR >Ma06_p31420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32563132:32569289:1 gene:Ma06_g31420 transcript:Ma06_t31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSANLEAVVSLGRKWPLQVLLVLALLYGALVFFFEYPFLSYRIPSPSSAYAASGLLGGDAFSLPLNLGAEVRPAPLRPSRRARLLHNSASGPAGPRLPSDPRRMMSASGLDFRVLNVTAVGGRPFSGLHKAAKDAWEVGRKMLEELKTVPLADLATTKDENRTEDNCPHSIILSGEKFLERGRLMVLPCGLTLGSHITLVAKPYKAHPEYDPKITALSEGKKEIMVSQFMMELLGIKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGKSQRCEGWTSMANEESVDGLLKCEKWIRDDDNGMEESKATWWLNRLIGRTKKISVDWPYPFVEDKLFVLTLSAGLEGYHVTVDGRHVTSFPYRTGFVLEDATGLSVNGDLHVQSIFAGSLPTSHPSFAPQKNLEMSAEWQALPLPDGPVELFIGILSAGNHFAERMAVRKSWMCEVKKSSNMVARFFVALHGRKEVNIELKKEAEFFGDIVIVPFLDSYDLVVLKTVAICEYGVRTVAARYIMKCDDDTFVRVDSVMNKVRKVPSDKSLYVGNMNYYHKPLREGKWAVTYEEWPEENYPPYANGPGYVVSSDIAQFIISDFEKHKLRLFKMEDVSMGMWVEQFNSTRAVEYKHSVKFCQFGCIDDYYTAHYQSPRQMTCLWDKLQAGKPQCCNMR >Ma11_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5897084:5904930:1 gene:Ma11_g07410 transcript:Ma11_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAEEAARLDRLESQVENGGGGAEEYLCLVRKLKARRSDKVLKHGLSILNDPKARSKLGGEEWTLYEQVAFAAMDCQQHDVAKDCIAILSKEFPGSTRVGRLEGLLLEAKGAWAEAEKAYERLLEDNPLDQLIHKRMVAIAKAQGDLSAAVNLLNKYLEIFMADHDAWRELAEIYMSLQMYKQAAFCYEELILSQPTVPPYHLAYAEVLYTIGGLENLQTAKKYYASTITLTGGKNTRALYGVCLCSIAINQLTKGRNKEEKEGSNLQSLAAEALQRDYKERAPKKLPLVISMLKNMKLNS >Ma07_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1292181:1301369:-1 gene:Ma07_g01660 transcript:Ma07_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDANSLQDHQMYRVDGPATADGGGGHDAETIDVTSEPPPPDQDGHVAEAVDAAAPLMNMTSNQLTLLYQGEVYVFDSVTPEKVQAVLLLLGGCEVPSSISSTTLPDAQDEKGYDDILRRANIPAKRIASLIRFREKRKERNFDKKIRYNVRKEVALRMQRRKGQFAGKASLQEGATASSSCDPVQDATLEDPPRESKCQNCGISEKMTPAMRRGPAGPRSLCNACGLMWANKGTLRSPFKAKSVAPSLANSSDHGEVIVSELGSDNKSIEHAPNNHEAVATSQIAKEGMQTDVQLTEVERQA >Ma05_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1424968:1430028:-1 gene:Ma05_g02240 transcript:Ma05_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTSPKDALRTSKREMAVATRGVEREIASLQLEEKKLVAEIKKTAQTGNEAATRILARQLVRLRQQITNLQGTRAQIRGIATHTQAMYANTSISTGMKGASKAMAAMNKQMEPAKQLKVMKEFQKQSSQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIAVNNKKVDTASRNVAPESSQVDDLEKRLASLRRI >Ma01_p13400.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9795004:9804294:1 gene:Ma01_g13400 transcript:Ma01_t13400.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MDRSRGRGGDPHSSGGGSSNTAAVRATNDDAAASKLSCVKKGYMKDEYVQLFVRRPVRRAPIINRGYYARWAALRKLLLQFLKSERKTDDKNQTKKQILSLGAGFDTTYFQLLDEGIAPYLYVELDFKEVTSKKAAIINHYSQLRDKIGPEASIYIERGEVLGNHYKLLPADIRNIQTLDDVMHRAQLDPSLPTFIIAECVLIYLDPDSTCGIVRWASKTFPTAVFFLYEQIHPDDAFGEQMIKNLESRGCPLLGINATPKLQSKEKLFMDHGWKRAVAWDMLRIYNEFIDIQERRRIERLELFDEFEEWYMMQEHYCVAFAVNDAEDMFQGFGFSEDQHGDNQSQEPHPEVSKDSP >Ma01_p13400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9795004:9804719:1 gene:Ma01_g13400 transcript:Ma01_t13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MDRSRGRGGDPHSSGGGSSNTAAVRATNDDAAASKLSCVKKGYMKDEYVQLFVRRPVRRAPIINRGYYARWAALRKLLLQFLKSERKTDDKNQTKKQILSLGAGFDTTYFQLLDEGIAPYLYVELDFKEVTSKKAAIINHYSQLRDKIGPEASIYIERGEVLGNHYKLLPADIRNIQTLDDVMHRAQLDPSLPTFIIAECVLIYLDPDSTCGIVRWASKTFPTAVFFLYEQIHPDDAFGEQMIKNLESRGCPLLGINATPKLQSKEKLFMDHGWKRAVAWDMLRIYNEFIDIQERRRIERLELFDEFEEWYMMQEHYCVAFAVNDAEDMFQGFGFSEDQHGDNQSQEPHPEVSKDSP >Ma01_p13400.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9795004:9804722:1 gene:Ma01_g13400 transcript:Ma01_t13400.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MDRSRGRGGDPHSSGGGSSNTAAVRATNDDAAASKLSCVKKGYMKDEYVQLFVRRPVRRAPIINRGYYARWAALRKLLLQFLKSERKTDDKNQTKKQILSLGAGFDTTYFQLLDEGIAPYLYVELDFKEVTSKKAAIINHYSQLRDKIGPEASIYIERGEVLGNHYKLLPADIRNIQTLDDVMHRAQLDPSLPTFIIAECVLIYLDPDSTCGIVRWASKTFPTAVFFLYEQIHPDDAFGEQMIKNLESRGCPLLGINATPKLQSKEKLFMDHGWKRAVAWDMLRIYNEFIDIQERRRIERLELFDEFEEWYMMQEHYCVAFAVNDAEDMFQGFGFSEDQHGDNQSQEPHPEVSKDSP >Ma01_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9795004:9804294:1 gene:Ma01_g13400 transcript:Ma01_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MDRSRGRGGDPHSSGGGSSNTAAVRATNDDAAASKLSCVKKGYMKDEYVQLFVRRPVRRAPIINRGYYARWAALRKLLLQFLKSERKTDDKNQTKKQILSLGAGFDTTYFQLLDEGIAPYLYVELDFKEVTSKKAAIINHYSQLRDKIGPEASIYIERGEVLGNHYKLLPADIRNIQTLDDVMHRAQLDPSLPTFIIAECVLIYLDPDSTCGIVRWASKTFPTAVFFLYEQIHPDDAFGEQMIKNLESRGCPLLGINATPKLQSKEKLFMDHGWKRAVAWDMLRIYNEFIDIQERRRIERLELFDEFEEWYMMQEHYCVAFAVNDAEDMFQGFGFSEDQHGDNQSQEPHPEVSKDSP >Ma01_p13400.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9795004:9804220:1 gene:Ma01_g13400 transcript:Ma01_t13400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MDRSRGRGGDPHSSGGGSSNTAAVRATNDDAAASKLSCVKKGYMKDEYVQLFVRRPVRRAPIINRGYYARWAALRKLLLQFLKSERKTDDKNQTKKQILSLGAGFDTTYFQLLDEGIAPYLYVELDFKEVTSKKAAIINHYSQLRDKIGPEASIYIERGEVLGNHYKLLPADIRNIQTLDDVMHRAQLDPSLPTFIIAECVLIYLDPDSTCGIVRWASKTFPTAVFFLYEQIHPDDAFGEQMIKNLESRGCPLLGINATPKLQSKEKLFMDHGWKRAVAWDMLRIYNEFIDIQERRRIERLELFDEFEEWYMMQEHYCVAFAVNDAELNLNFVSGRCAGHVPGFWILRGPTW >Ma06_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15132668:15133231:1 gene:Ma06_g21030 transcript:Ma06_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVTIYNSTPEGTLTIDMVKDSLLNEDARRKEQGESSSGAFVTAKQERRGRSHSRNPYGYKGRSKSRRDIKCFHCNKPGHMKKECSNWVINSSVLFHVTSYGDFLRSYTVDDFGNVKMGNSGIYIYIYIYIYIYIYIRLWVLEIFA >Ma11_p00220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:122032:123396:-1 gene:Ma11_g00220 transcript:Ma11_t00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGGCAIGELRFTIPKAVRSKVYRADDRIAPNPNAATTRFVKGFSGVRPVKEEMRRKMEKKRRRRMEMESSAVREMVSALRMLGDGCLRMEQRKMEMAREIEEERMEMELKRTELILDSQRRILDAFLKGLSGKKRAKVSAED >Ma11_p00220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:120462:123396:-1 gene:Ma11_g00220 transcript:Ma11_t00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGGCAIGELRFTIPKAVRSKVYRADDRIAPNPNAATTRFVKGFSGVRPVKEEMRRKMEKKRRRRMEMESSAVREMVSALRMLGDGCLRMEQRKMEMAREIEEERMEMELKRTELILDSQRRILDAFLKGLSGKKRAKVSAED >Ma08_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6550141:6552659:-1 gene:Ma08_g09060 transcript:Ma08_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPPPPLPVSPSTSSSSNSSTFSSCDVLQVSKPKKPRRSKRAPATAIGNGGDNGKRSSAFRGVTRHRWTGRFEAHLWDKHCWNPIQNKKGRQVYLGAYDAEEAAARTYDLAALKYWGPETVLNFPLQTYAEEYEQMQSMSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFSTQEEAAQAYDLAAIEYRGPNAVTNFDISCYMKCPQKPLLPKPEPQPPQEEQRSEELIDQTPGDESMMEIPWTPCMDHGFSIYPDHHAGLHRTDYLHGFLDGGGFEDNIECLFEGSEANEGTDGGGGDEARDAGNADISIATQAEEVGEMASCQMNSVSYASPISICS >Ma11_p24330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27333398:27336667:-1 gene:Ma11_g24330 transcript:Ma11_t24330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKKKSRWAADLSLSPPSSSSASASSSSSSSSGDGGRDAGEKGGDDRLTELPDTVRLHILSLLPLKYAIRTGALSSRWRALWRLRWPHPAALEFSPPTDLGDSASDEFVAGVDRCLSARGLGRRIDALFVALPPGRRYDADIKRWLEYAASCGVEDLSLVVSPLSLAATSARPGRRARRNDRAAVSSAFFFSISECSSLARLTISGLHLSSPSANIKRLSSLEVLSLQAAHVTDAALRRIIAACPFLRSLDLCLCRKLRRIMITAASSRLTSLTIVGCVRALEVTISSAGLRRFRFSGNYLTTYSFDSPSRLEDVYISSGSPVSSLPRSNWVKALGELSNVKVLTLCNLSLQYIVAEGVKAIGEFRNFRNLRELQLLMGMMTDDNLMDIYGFFRLCQCPRLEKLFIELPTNMGDPFIEKYLVVSEEEPPEVDFEYLKMIKINSFKGHSNEICLVKFLLGKAGSLESLVMISPKELIGEQHNKNILDGCPDFLHFLQSQLLSFTRASVGAQIILSEHDDNKFSPTHWEVYSKV >Ma11_p24330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27334615:27336667:-1 gene:Ma11_g24330 transcript:Ma11_t24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKKKSRWAADLSLSPPSSSSASASSSSSSSSGDGGRDAGEKGGDDRLTELPDTVRLHILSLLPLKYAIRTGALSSRWRALWRLRWPHPAALEFSPPTDLGDSASDEFVAGVDRCLSARGLGRRIDALFVALPPGRRYDADIKRWLEYAASCGVEDLSLVVSPLSLAATSARPGRRARRNDRAAVSSAFFFSISECSSLARLTISGLHLSSPSANIKRLSSLEVLSLQAAHVTDAALRRIIAACPFLRSLDLCLCRKLRRIMITAASSRLTSLTIVGCVRALEVTISSAGLRRFRFSGNYLTTYSFDSPSRLEDVYISSGSPVSSLPRSNWVKALGELSNVKVLTLCNLSLQYIVAEGVKAIGEFRNFRNLRELQLLMGMMTDDNLMDIYGFFRLCQCPRLEKLFIELPTNMGDPFIEKYLVVSEEEPPEVDFEYLKMIKINSFKGHSNEICLVKFLLGKAGSLESLVMISPKELIGEQHNKNILDGCPDFLHFLQSQLLSFTRASVGAQIILSEHDDNKFSPTHWEVYSKV >Ma04_p36530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34861804:34862469:1 gene:Ma04_g36530 transcript:Ma04_t36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDNALTTMTPDNAAAALTTAAPSSQPATPPSRQGNMRCIAITAVGLIVLVGLVVLVFWLALRPMPLEYTIDDAHIRGFNITAHALNGVFDLRLRSYNRNTRFSVYYDAMEVTVWYGEQTVAISKVAPFYQHRHYVQTITVSATAQSTPLLRPVEKNLRHNESAGVVELEVRARARIRFAVGVVRKHYKLKAYCAPVVMHCSPSSHFDRVYCTSATLTSV >Ma08_p13390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10575573:10575944:-1 gene:Ma08_g13390 transcript:Ma08_t13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCRSTDRNYETDAVEHLVEGVTDSTGTYHIEVEDNHEEEICEVSLLQSPQPGCTEVAQSRNRARVLVTGRSGLASDIRYANSLGFLKDEPLQQCGLLLQQYALGVDD >Ma05_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10302963:10303512:-1 gene:Ma05_g14170 transcript:Ma05_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSNVTGLDAVFRFFDKDGDGKISAAELTLCMRTVGEELSLEDAEAFVALVDGDGDGLLGFEEFVKVVEVEGEEETERGLRAAFEMYESEGEGCITPRSLKRMLSRLGTSSGIHECAAMICRYDLDGDGVLSFEEFRSMMTMV >Ma05_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9707629:9710895:-1 gene:Ma05_g13370 transcript:Ma05_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLFQRIVVRCGLTSCRSMKKQPVKIMVTGAAGQIGYALVPMIARGVMLGSDQPVILHLLDIPPAAEALNGVKMELIDAAFPLLKGVVATTNVEEACGGVNIAIMVGGFRRKEGTERKDVMSKNVSIYKAQASALEQYAAANCKVLVVANPANTNALILKEFAPSIPAKNITCLTRLDHNRALGGIAQRLNIHVSDVKNVIIWGNHSSTQYPDASHATVITQAGEKSVKELIADDKWLHDEFISFVQQRGAAIIKARKLSSALSAASAACDHIHDWVLGTPKGTWTSMGVYSDGSYGVPPGLIYSFPVTCNKGEWSIVQGLRIDEPSRAGMDATAKELIEEKTLAYSFLD >Ma06_p17380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11813201:11814608:-1 gene:Ma06_g17380 transcript:Ma06_t17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTKVAASSVADRPAVQSLIRIRETAAHLGVMIRPLFLEHAAAKSTFDELMDSVSRALTLAVVEETAAVADTGEDQLREISGGKSKISSVGERRRLCRRRSRPYSWTKVISSSMDDGHTWRKYGQKVIQSAKYPRSYFRCTHKHDQGCMAVRQVQKSQDDPSTYIVTYLGEHTCKSPSMAPQVIISAMDSRNSSHLISFGADSEKITRETPHPSLKKECDEEVLSNLTTVSSSPAATSNPAVAAPVAPLAGHDHGDVTSGFQAPTSSVEMEFMEGLLEWESLLGVDSDCFFL >Ma05_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6443748:6451839:-1 gene:Ma05_g08740 transcript:Ma05_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVLVLKDSLKRESGSKVHHANIQASKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAATFIDKNYHPTVICRAYNKALEDSISVLDKIAMPIDVTDRATMLSLVKSCIGTKFTGQFGGLIADLAIEATTTVGVDLGQGLREVDIKKYIKIEKIPGGQLEDSKVLKGVMINKDVVAPGKMRRRILFPRIILLDCPLEYKKGENQTNAELVKEEDWEILLKLEEEYIQNMCMQILKFKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGAVVVNRPEELQESDVGTGAGLFEVKKFGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSIEGIEKWPYEAAAVAFEAIPRTLAQNCGVNVIRTMTALQGKHADVGNEWTGIDGNTGDIVDMKERKIWDSYNVKAQTFKTAMEAACMLLRIDDIVSGIKKKQAPGAGPTPSKPKVEEEGDADSEQILPE >Ma11_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25086576:25091713:1 gene:Ma11_g20700 transcript:Ma11_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MKVDVEMGGGSPKLLERKQAVYRNLDERYEIHGETYKGQQYSHIYFTRLHYMRNLLYSLVPKWKPNLPVNTVLGLEEEKECIIVGTIYKHMKLKPSILDEYSKERSKVPLAKPHNFVHPDDHLILEDESGRVKLAGSLLDPSAFVTGIVVAVHGKETSEGKFFVLDMLEAGLPPQIDQPPSSTEDKYVVFVSGLSIGSSTFNPLQFQLLVDHITGHLGDENEQTFASQIVHFIIAGNSVQILQGLLNGQTIAPRDQSTLTEPIKELDILLNQLAAAMPVDIMPGPSDPANFSLPQQPLNRCLFPGASAYNTFISCTNPHQFELDDIQFLGTSGQNIDDLFKYSEAKNKLEFMERTLRWRHLVPTAPNTLGCYPFTDKDPFLVESCPHVYFVGNQDKYETTLLQGSDNQSVRLICIPKFCETGVAVMLNLRNLECHSLSFSTGLNV >Ma06_p18270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12408337:12408704:-1 gene:Ma06_g18270 transcript:Ma06_t18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGSGVASTTVDMKPSVEATRPPECRGHWHNSAGYFKMPLHYPRYTKAQYESMDEVQLDLLLREYGLPSNGDVTQKRKDAIDHFLWSDD >Ma11_p15440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21153741:21154744:1 gene:Ma11_g15440 transcript:Ma11_t15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCVIVATETSATAMALSLHQSWEAAGHPHPGVGLLHDSQSEVADALLGFFSDPPDAAHLPIDYLLDPPGDCFLHDDAMANPLPCFSAPSAVSLPPGLHAPGDDFDLLHRPKRPRSCSDLYRPSDLTFEASSCNVASWPAPELMAELLVAAPPPARGIPACDGDRKLGAGCLSAQTVAARARRKRISEKTQELGRLIPGGNKMNTAEMFQAAYKYVKFLQAQVGILGLMGSIKGWKAPQEVEQKLQALLASTAVQEKLSGEGRCIVPEAVIGAISADRDIESNMPVSRDLDRFIESSRQLNRDSEN >Ma04_p39870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36869511:36870744:-1 gene:Ma04_g39870 transcript:Ma04_t39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPHHFLHSPTTVHHHHPQSPSSHLNSQLIKNPSFPLSKPSSTSSKHFYRPKTQSFAARCSSSSSSSPPPERPVANDLVELPLFPLPLVLFPGAVLPLQIFEFRYRIMMHTLLQTDLRFGVVFSDGGSVADVGCVGEVVKHERLVDDRFFLICKGQERFRVSRVLRSKPYLVAEVSWLEDRPPPRPAEGDDLEALAAEVENYMRDVIRISNRLNGKPEKEGVMDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTSARLRRERDTLRNTLNYLTAASAVKDAFSPSNSS >Ma02_p21560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27134506:27139441:1 gene:Ma02_g21560 transcript:Ma02_t21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEGKSKGGPQQYGTFQGAPSYAQPAIGFPQPVPPPGHTAAHPFPPPQQSGPAYYARGYHAVPGYAPVIEVVEGTPLRQPRLACCGLGIGWLLFIVGFFLPAIPWYVGAFILLCVRVDYREKPGLVACTIAAILAAIAATVGATKGADVW >Ma04_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19170907:19172220:-1 gene:Ma04_g17920 transcript:Ma04_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQLYRSSLPANLLWLLVLLSPFLVLVTATSLGSQARALLHWKSTLQGGQLLASWNIDSRPCNWTGVACSVTRKGRLVITDVHLPDMSLAGPLDVFNFSALGSLATLNLSCNRLNGTIPPAIATLSQLVTLDLTGNLFVGRIPIEMGSMKGLQFLSLSQNQIMSSVPPSLSNLSGLTHLDLRQNKLRGVIPRELGRLEKLRFLELGDNQLSGSIPPSLGNLTRLYHLALYENQLTGSIPPQLGDLRDLVYFSLSNNSLTGTLFFILGNLTKLQYLFLWRNHLSGFIPLEIGNLIEVSNLDLSSNMLTGHIPSTIGNMSNLNILYLFDNELSGSIPPQIGNLLEITNLSLQMNMLGGHIPFSLCNLTKLQSLFLWKNQLSGSIPHEIGNLLEITDLSLHMNMLGGHIPFSLCNLTKL >Ma01_p18730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14008859:14010893:-1 gene:Ma01_g18730 transcript:Ma01_t18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKPNKIMEIVRLQQMVKKWKKLAVAPKSNSKGIKFLKRTLSFSDTSSAFSGDIPKGHLAICVGEEMQRFVIPMDYLGHEAFRILLGEAEKEFGFQQEGVLRIPCEASVFESILKVIEKNKEGPRYCSSKAEVAKYHQPPKPVCR >Ma02_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22807823:22809737:1 gene:Ma02_g14890 transcript:Ma02_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPALLEPGVDVDKLSYEIFSILESKFLFGFDDHKPLPLQSSPASASPVPPQPPAPRAPAGRVRILSVDGGCRPSDALLAAASLARLESFLRDTPARVADFFDVAAGSGAGGVLVAMLFTRGPDGRPLFAADEALRLFASWSTGSPSGSFSPPRKGPLGWVLRRKPGGLFRRVFGDATLRDTLKPVLVPCYDLATGAPFLFSRADAMEADGYDFRIWEVCAATCASPAAAVEMSSADGRTRIAAVGGGVSMGNPAAAAITHVLNNKQEFPFAASVDELMVLSLGSSAAGVDSASGRRRPVPSAAELVRIASEGVADMVDQAIAMAFGHNRTNNYVRIQANLFGLDNNYSARTSNADRLICAMEERLSQRNVESLLFRGKKISDQTNAEKLEWFASELIKEHERRKKSLIPVIVLKQVMTPRSSTATTTVITGTTTTSTTSTAASL >Ma10_p28090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35090420:35090942:-1 gene:Ma10_g28090 transcript:Ma10_t28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVVCLIFVERNITAKVLERFIKKVCFLSHFTVSYLAGGSSSVDALTPKTQKDILDSFRSGKANLLFTTDVAEEGTDVPDCSCVISFDLPKTARSYIQSHGRARQAGSHFRLQFILDVKLLFRLNLCRLRIACNFFSVEIYI >Ma03_p29600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32456402:32459402:-1 gene:Ma03_g29600 transcript:Ma03_t29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVWDLNDAASGAQDGSSPMASVDIGYRGDVVENHGFGSSAVVVEASEEREDDGVAGRIFGFSFSGRCGESPSAGSKLAVVTQQLVAFGDAEGAGAGGSCSAPQPRGHLIEDGIWQSSEPVGAGMATEAPPPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYAAARAYDRAAIKFRGMDADINFRLDDYEEDIKQMGNLNKEEFVQVIRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKHVYLGLFDTEIEAARAYDKAAIKCNGTDAMTNFDPKIYEDELGIQTNHVEHNLDLSLGRSGSGSKRNKLEIMDDEGSNVVDQQLPMAFESEWNRDTRLKFDDKLKLPERKDSSSSFTQSPGLSKANETFNYVPVQTTIKMPSAVEIIPQQINRSKYHQHFGSINEEKTSNWLSLSSGGEQQQRLLGWEQSMEIRYHIPNCLPPLILQHHQDSQDR >Ma03_p29600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32456402:32459402:-1 gene:Ma03_g29600 transcript:Ma03_t29600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVWDLNDAASGAQDGSSPMASVDIGYRGDVVENHGFGSSAVVVEASEEREDDGVAGRIFGFSFSGRCGESPSAGSKLAVVTQQLVAFGDAEGAGAGGSCSAPQPRGHLIEDGIWQSSEPVGAGMATEAPPPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYAAARAYDRAAIKFRGMDADINFRLDDYEEDIKQMGNLNKEEFVQVIRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKHVYLGLFDTEIEAARAYDKAAIKCNGTDAMTNFDPKIYEDELANHVEHNLDLSLGRSGSGSKRNKLEIMDDEGSNVVDQQLPMAFESEWNRDTRLKFDDKLKLPERKDSSSSFTQSPGLSKANETFNYVPVQTTIKMPSAVEIIPQQINRSKYHQHFGSINEEKTSNWLSLSSGGEQQQRLLGWEQSMEIRYHIPNCLPPLILQHHQDSQDR >Ma11_p11220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12660437:12671244:1 gene:Ma11_g11220 transcript:Ma11_t11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARGRETLAIGVLFACILVGNPGGVGGATDQNDVSTLNVLFTSLNSPSQLTGWIANGGDPCGQSWLGVTCTGSAITTIKLSGLGLSGTLGYNLQTMTSLAELDMSNNNIGSGGQLPYNLPPNLQSLNLGGNQFSGNIPYSISLMVTLKYLNLAHNQLQGNLTEMFGGLTNLTTMDLSFNQLTGDLPQSFSNLSSLTTLYLENNQFTGHIDILANLPLQDLNVANNRFTGWIPDQLKKINNLRTDGNSWSSTPAPPPPPYRPPPPGRKSNPGQHSGGSNKSSGGGNNSGIGAGVIAGIVISVLVVGGILAFFLMRRKSQKHSKEEIFEKDPPFAPLASNDAKEMKTIQASSTSHTAILPPPAPISLKPPPIERHKSFDEDDFSNKPIVKKANTTPIKAAVYSVADLQIATDSFSIDNLVGEGSFGRVYKAQFSDGKIMAVKKINSSALPNQLPDCFIELVSNISRLHHPNLCELVGYCSEHGQHLLVYEFYKNGSLHDLLHLSDEYSKSLSWNARVKIALGTARALEYLHEVCSPSLVHKNFKSSNILLDMELNPHLSDCGFESLVPDAEFQASEQNMASGYSPPEVAMSGQYTLKSDVYNFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDRMIDPDLKGLYPAKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSSKRTVGGEGPETRRADESDDYTF >Ma04_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5742617:5743065:1 gene:Ma04_g07950 transcript:Ma04_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFYCSTRLNHMGKPGIKQELASGSKSVLRSSFASCSLGARACQWQWLKEPRLLDSTLLP >Ma02_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27274387:27281887:-1 gene:Ma02_g21720 transcript:Ma02_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSRRAVESYWRSRMVDGVTSDEDKVAPVYKLEEVCELLRTSPASIVKEVSDYILKRLDHKSPIVKQKALRLIKYAVAKSGNEFRREMQRHSVAMRQLFHYKGQLDPLKGDALNKAVRETAHEAVAAVFASDDNKTVAPTQGLDKRIQGFGNTNFEFQAEEKRSFLSEVVGLGSASIRQGLSTIAAAHSSRKNDTGSYKSPNLRRSLTTEIDSPNGYQGIEHHGESWEGSGISKRNSGTWGPDSRLSISTSVANEDTSSTNTGAKSREERLLETIVTSGGVRLQPTRDALQAFLAAAAKLDGVAMSHALEMKLQSHLWQVRMKTICVLESILKTKDDEHFFSIVSYFTENKDSVVRCTELPQASLREKAIKVLSLLGGEQTSGAREETSDGKATSAPPVVQMPDLIDTGDFDDYGSQDSMETQGKQSTAELKPSNSLVDDLFASDPLADINTSGNKNQDDPFADVSFHVTEDKEGNDLFSGLTVDDKKSDIVLDVPEIKKPDLLDVFGAYSVQHQEEAGKDRGNMHDLMTGLSLNGIQENEPPGSVGASAAFSGLGMLASSTQPSQVPANGSMKSNQDLNSVYSQAPMQYGMPPNIMFNQGFVAQPMNYEAMSAFIAQQQFLLQNIGNLNTGFGQTAGNAMEGSYAAPLPDIFQLSNNPVKSHASTTKSPKEDTKAFDFITDHLAAARGGSKRIT >Ma06_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3455370:3456500:1 gene:Ma06_g04640 transcript:Ma06_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLIAATLFLLIFTLLHSSHACPKCPYPTPSIPHPKHHPKHPPKVKPYPKPKPHPPKPPVVGPPKPPPVVPPPLPPVVVPQPPPYVKPPPVPPVVGPPKPPPVVYPPVPPVVGPPKPPPVVYPPVPPVVGPPKPPPVVYPPVPPVVGPPKPPPVVYPPQPCPPPPPPPPKETCPADTLKLGACVDLISGMIPIRIGADAKSTCCPVLQGLADLDAALCLCTTIKAKLLNLNLVLPVALELLIGCGKSLPPGYQCPA >Ma07_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29874238:29880900:-1 gene:Ma07_g21800 transcript:Ma07_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTEDPPDPACSSKLSAVRTNARSSYTSLPSQEEDPVVLEERTTPNFSIRDYVFTSRSKGIEASWPFAPQFLQLCLKHGVNDLLPPFEHPDIVRTQSIGEVEESVQSAACSKPEKLAIHSYVLEPTDPVPSDGEPVTIQQESVSTLQELVLDCLDPTIHSSPKDAKSTFYEGFKANKLSHSDVGIALTARTSQQAEVISSQIDILPCSISQSGNSVKTQFQPEFSDPSHNLEKFGEPLEKNRLVPQLGTILETSQADHLVNNPGMIMHPMASKVCPVCKTFSFTSITTLNAHIDQCLSMGSNCKEVVNHVAKYTVKPRKKRLMVDIYATAPCCTLEDLDKRNGTNWASELALLAAPINKVSTHSKRTEVSPTNSTHDGNGTVHVDSCGGKLSVLSMFNEQTLSSENFELRNHAKESKESMGFLSSKKNNFAPEHLKSMNSEAQKEQLISFDMLPKQIQAAAEKDCRTESHQKNAKSPSHVSDSRDHDKSFASATIKQWARSRRSDILQKWTRKGNCSKLDDMIPITRSTQITSIQPDPGRSTDMKTQPLKLPRLSENMTGSPKTNRVGFLHNAVCSMDERKRESPELPSSSSRWPSKGAGSANGLILKLSRSSGHFTCSSIMKSKETNTGTQEHFDNTSKRKMVISKSCSMLRDRRSPTLKKNVMVKRPFCLEARKVRAIEKQSIFKKFHKHRTILRTGQKGLPRSNNAGVCSPTDNTHLLRKKVKRTLRSHQSYTPGSITKIGEGEVMNEVLPSRENIREYSSIMEQQVNNSLENTTAGAQSLDTEIETSGTQVAIVDSGDYVTKTCVEEAVCDPTAYDNVNSEKTEPRLSTQSHSCSCEEDVQPISESEAGAEQPKQICDDQQKFLGNGSSNEIGNQEIPMADVRGTKDSCAIQPKECHTDSSSVQESSDCLTSHGDVDLELAEKGSSINSVRIAASHIENLDSKGEPSGSSVSTVSAVSLPSPTDSKSQNFETEPTSRAISDQDNLHLAIPSAGRTEATRYLNMEKRKQEKRGSVPDKEPDRCLDDKCFFYSCKESLSRDSEICSENSIARTTKLKCVPNLCIGPRNSSSFSIYENHTSNAVVNSKTGPPNQFASAKSSLDPTCNSTLPQTQSVSSPTLRLMGKNLVVVNHKDLVQPQTTAFDCTQQVNLHRNGCTSTNNRLKQENFLYQHGQLSSGSPSFGPALLMSDHHMSLNLHVTPVSGFAWTPLQNGYPAKPDQQTQQRNSHKKLKSSHSIMDKVIVIDDSPKHQTDAEVSLSASASTLPLTPSERPVTCYPLQQQIRDYPRPLLPNVYSSANSSFMNQGIEKGPFLSSPTLFQFPIAQRGPSMCYERTLH >Ma07_p21800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29874238:29881198:-1 gene:Ma07_g21800 transcript:Ma07_t21800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTEDPPDPACSSKLSAVRTNARSSYTSLPSQEEDPVVLEERTTPNFSIRDYVFTSRSKGIEASWPFAPQFLQLCLKHGVNDLLPPFEHPDIVRTQSIGEVEESVQSAACSKPEKLAIHSYVLEPTDPVPSDGEPVTIQQESVSTLQELVLDCLDPTIHSSPKDAKSTFYEGFKANKLSHSDVGIALTARTSQQAEVISSQIDILPCSISQSGNSVKTQFQPEFSDPSHNLEKFGEPLEKNRLVPQLGTILETSQADHLVNNPGMIMHPMASKVCPVCKTFSFTSITTLNAHIDQCLSMGSNCKEVVNHVAKYTVKPRKKRLMVDIYATAPCCTLEDLDKRNGTNWASELALLAAPINKVSTHSKRTEVSPTNSTHDGNGTVHVDSCGGKLSVLSMFNEQTLSSENFELRNHAKESKESMGFLSSKKNNFAPEHLKSMNSEAQKEQLISFDMLPKQIQAAAEKDCRTESHQKNAKSPSHVSDSRDHDKSFASATIKQWARSRRSDILQKWTRKGNCSKLDDMIPITRSTQITSIQPDPGRSTDMKTQPLKLPRLSENMTGSPKTNRVGFLHNAVCSMDERKRESPELPSSSSRWPSKGAGSANGLILKLSRSSGHFTCSSIMKSKETNTGTQEHFDNTSKRKMVISKSCSMLRDRRSPTLKKNVMVKRPFCLEARKVRAIEKQSIFKKFHKHRTILRTGQKGLPRSNNAGVCSPTDNTHLLRKKVKRTLRSHQSYTPGSITKIGEGEVMNEVLPSRENIREYSSIMEQQVNNSLENTTAGAQSLDTEIETSGTQVAIVDSGDYVTKTCVEEAVCDPTAYDNVNSEKTEPRLSTQSHSCSCEEDVQPISESEAGAEQPKQICDDQQKFLGNGSSNEIGNQEIPMADVRGTKDSCAIQPKECHTDSSSVQESSDCLTSHGDVDLELAEKGSSINSVRIAASHIENLDSKGEPSGSSVSTVSAVSLPSPTDSKSQNFETEPTSRAISDQDNLHLAIPSAGRTEATRYLNMEKRKQEKRGSVPDKEPDRCLDDKCFFYSCKESLSRDSEICSENSIARTTKLKCVPNLCIGPRNSSSFSIYENHTSNAVVNSKTGPPNQFASAKSSLDPTCNSTLPQTQSVSSPTLRLMGKNLVVVNHKDLVQPQTTAFDCTQQVNLHRNGCTSTNNRLKQENFLYQHGQLSSGSPSFGPALLMSDHHMSLNLHVTPVSGFAWTPLQNGYPAKPDQQTQQRNSHKKLKSSHSIMDKVIVIDDSPKHQTDAEVSLSASASTLPLTPSERPVTCYPLQQQIRDYPRPLLPNVYSSANSSFMNQGIEKGPFLSSPTLFQFPIAQRGPSMCYERTLH >Ma07_p21800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29874238:29881198:-1 gene:Ma07_g21800 transcript:Ma07_t21800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTEDPPDPACSSKLSAVRTNARSSYTSLPSQEEDPVVLEERTTPNFSIRDYVFTSRSKGIEASWPFAPQFLQLCLKHGVNDLLPPFEHPDIVRTQSIGEVEESVQSAACSKPEKLAIHSYVLEPTDPVPSDGEPVTIQQESVSTLQELVLDCLDPTIHSSPKDAKSTFYEGFKANKLSHSDVGIALTARTSQQAEVISSQIDILPCSISQSGNSVKTQFQPEFSDPSHNLEKFGEPLEKNRLVPQLGTILETSQADHLVNNPGMIMHPMASKVCPVCKTFSFTSITTLNAHIDQCLSMGSNCKEVVNHVAKYTVKPRKKRLMVDIYATAPCCTLEDLDKRNGTNWASELALLAAPINKVSTHSKRTEVSPTNSTHDGNGTVHVDSCGGKLSVLSMFNEQTLSSENFELRNHAKESKESMGFLSSKKNNFAPEHLKSMNSEAQKEQLISFDMLPKQIQAAAEKDCRTESHQKNAKSPSHVSDSRDHDKSFASATIKQWARSRRSDILQKWTRKGNCSKLDDMIPITRSTQITSIQPDPGRSTDMKTQPLKLPRLSENMTGSPKTNRVGFLHNAVCSMDERKRESPELPSSSSRWPSKGAGSANGLILKLSRSSGHFTCSSIMKSKETNTGTQEHFDNTSKRKMVISKSCSMLRDRRSPTLKKNVMVKRPFCLEARKVRAIEKQSIFKKFHKHRTILRTGQKGLPRSNNAGVCSPTDNTHLLRKKVKRTLRSHQSYTPGSITKIGEGEVMNEVLPSRENIREYSSIMEQQVNNSLENTTAGAQSLDTEIETSGTQVAIVDSGDYVTKTCVEEAVCDPTAYDNVNSEKTEPRLSTQSHSCSCEEDVQPISESEAGAEQPKQICDDQQKFLGNGSSNEIGNQEIPMADVRGTKDSCAIQPKECHTDSSSVQESSDCLTSHGDVDLELAEKGSSINSVRIAASHIENLDSKGEPSGSSVSTVSAVSLPSPTDSKSQNFETEPTSRAISDQDNLHLAIPSAGRTEATRYLNMEKRKQEKRGSVPDKEPDRCLDDKCFFYSCKESLSRDSEICSENSIARTTKLKCVPNLCIGPRNSSSFSIYENHTSNAVVNSKTGPPNQFASAKSSLDPTCNSTLPQTQSVSSPTLRLMGKNLVVVNHKDLVQPQTTAFDCTQQVNLHRNGCTSTNNRLKQENFLYQHGQLSSGSPSFGPALLMSDHHMSLNLHVTPVSGFAWTPLQNGYPAKPDQQTQQRNSHKKLKSSHSIMDKVIVIDDSPKHQTDAEVSLSASASTLPLTPSERPVTCYPLQQQIRDYPRPLLPNVYSSANSSFMNQGIEKGPFLSSPTLFQFPIAQRGPSMCYERTLH >Ma07_p26530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33294249:33295626:-1 gene:Ma07_g26530 transcript:Ma07_t26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKRGPWSPEEDAVLRSHIEEYGTGGNWITLPKKAGLNRCGKSCRLRWLNYLRPDIKHGGFTEEEDNIICSLYRKLGSRWSVIASHLRGRTDNDVKNYWNTKLKKKLMTPTIITSNIPSPTPASLLPTVKAEPYNNPSDISRSVDSIFALTQDSHEFYSEPSPLPRECRAKETGQLHRHSPSEEVSATSSTFTVDDGSRNSYGNWSASGAGPHDLFLSELDIEYLTDLLGDDGCQAEVPQGLHRSVAYW >Ma07_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12231008:12231630:-1 gene:Ma07_g15980 transcript:Ma07_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLGKGKKCPCLDTNPATSHFLTVPVNPKPFLKNLTGKPVIVKSKWGMEYKGYLVSVDSYINLQID >Ma10_p23140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32218755:32219995:1 gene:Ma10_g23140 transcript:Ma10_t23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDHVDDSISMEYCCLSSVLVRLLTKAALVLSLMVKWLLFPCYDWWPTSSSAAVAASSEGIKGAEARARHRAAAQAVREGLRVSTYGELVAEQEEAAAATCAVCLSEVGRRERVWELRNCRHVFHRGCLDRWLDHDEHLSCPLCREPLLARRSTAGASPLPPPPPPPPSEPSWAVERLLYFFGDDLLLHAPAPLPP >Ma03_p18280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23846258:23849677:-1 gene:Ma03_g18280 transcript:Ma03_t18280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTLNTPFLSSILRTPMTTRPCPTRRSEKKKPQEMDPVPLLLVTLLALLSSCTCTTLQSDALALASIREGFHGSTPELESWNTSNVVFVCSWFGVRCEHDRVVGIDISDLNISGSVPVEISGIDSLVNLSLSGNHLQGEITVANLPSLRYLNISSNQFNGGLDWNYTSLPSLEVFDAYDNNFTASLPLGIADLRRLKYLDLGGNYFTGRIPATYGSLAALEYLSLNGNDLRGRIPSELGNLTGLKQLYLGYYNVFDGGIPVELGKLVNLAHLDLSSCGLGGGIPHQIGYLTNLDTLFLHTNELSGPLPPSLGNLTRLVSLDLSNNELTGEVPQQLAALTELSLLNLFMNRLHGPVPEFVAELPKLDTLQLFMNNFTGGIPQKLGAGGHISVLDISSNRFTGKIPSNLCPFNRLKVLILLRNSFCGPIPESLGECLSLTRARLGQNYLNGSIPSGLLYLPRLNLLELQANYLSGPIPENSDPDQSPTELVQLNLSDNSLTGPLPSSIRNLSSVQTLLISGNRLTGPVPGSIGSLRHVVKLDLSRNGLSGSIPPEVGACRQLTYLDLSQNNLSGPIPPEIAGIGILNYLNLSRNGLNGPIPRSIAAMRSLTAADFSFNDLSGRLPDLGELAFLNASAFSGNPKLCGPGFGNPCDDAAGAARSGHSHGDYKLIFALGLLLCSLALGLAATVRARSRRGGTWRLTAFHEVDFGASDVLGCMKDANVVGRGGAGVVYRGRTRSGGAIAVKRLAALGSDGGFGAEIRTLGSVRHRNIVRLLAVCSDSATNVLVYEYMTNGSLGEALHGKGGGHLSWGRRYRIAVAAARGLCYLHHDCSPMIVHRDVKSSNILLDAKFEAHVADFGLARFLQDDNGGSECMSAIAGSYGYIAPDAP >Ma03_p18280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23845675:23849677:-1 gene:Ma03_g18280 transcript:Ma03_t18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTLNTPFLSSILRTPMTTRPCPTRRSEKKKPQEMDPVPLLLVTLLALLSSCTCTTLQSDALALASIREGFHGSTPELESWNTSNVVFVCSWFGVRCEHDRVVGIDISDLNISGSVPVEISGIDSLVNLSLSGNHLQGEITVANLPSLRYLNISSNQFNGGLDWNYTSLPSLEVFDAYDNNFTASLPLGIADLRRLKYLDLGGNYFTGRIPATYGSLAALEYLSLNGNDLRGRIPSELGNLTGLKQLYLGYYNVFDGGIPVELGKLVNLAHLDLSSCGLGGGIPHQIGYLTNLDTLFLHTNELSGPLPPSLGNLTRLVSLDLSNNELTGEVPQQLAALTELSLLNLFMNRLHGPVPEFVAELPKLDTLQLFMNNFTGGIPQKLGAGGHISVLDISSNRFTGKIPSNLCPFNRLKVLILLRNSFCGPIPESLGECLSLTRARLGQNYLNGSIPSGLLYLPRLNLLELQANYLSGPIPENSDPDQSPTELVQLNLSDNSLTGPLPSSIRNLSSVQTLLISGNRLTGPVPGSIGSLRHVVKLDLSRNGLSGSIPPEVGACRQLTYLDLSQNNLSGPIPPEIAGIGILNYLNLSRNGLNGPIPRSIAAMRSLTAADFSFNDLSGRLPDLGELAFLNASAFSGNPKLCGPGFGNPCDDAAGAARSGHSHGDYKLIFALGLLLCSLALGLAATVRARSRRGGTWRLTAFHEVDFGASDVLGCMKDANVVGRGGAGVVYRGRTRSGGAIAVKRLAALGSDGGFGAEIRTLGSVRHRNIVRLLAVCSDSATNVLVYEYMTNGSLGEALHGKGGGHLSWGRRYRIAVAAARGLCYLHHDCSPMIVHRDVKSSNILLDAKFEAHVADFGLARFLQDDNGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGDGVDIVQWVKRATACRRENAASIVDCRLSSVPTDEVMHVFFVSMLCVQENSVERPTMREVVQMLSEFPHHVTDDQCPLPSSPPPPPPGEDGSGADKEATSYELCPDLLTQCKLFPQN >Ma07_p16800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15558472:15561881:-1 gene:Ma07_g16800 transcript:Ma07_t16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDYHSNRTALFDGIEEGGVRASAYSSHEIDEHDNDLAMEGLQDRVNILKRGNDMDSSRGILSGTMDRFKMVFETKSSCRMATLVASFVALFLLVYFLTK >Ma07_p16800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15558544:15561879:-1 gene:Ma07_g16800 transcript:Ma07_t16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDYHSNRTALFDGIEEGGVRASAYSSHEIDEHDNDLAMEGLQDRVNILKRLTGDIHEEVESHNRMLDRMGNDMDSSRGILSGTMDRFKMVFETKSSCRMATLVASFVALFLLVYFLTK >Ma05_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8909423:8912345:-1 gene:Ma05_g12290 transcript:Ma05_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSPSEKGLRNGGRDGCGRTRLYLNVYDLTPINKYLYWFGLGVFHSGIEVHGQEYGFGAHDYPSSGVFEVEPKSCPGFIFRRSVWLGTTDMSRSEFRILIEDLAGKYHGDTYNLIIKNCNHFTDEVCMHLTGKSIPGWVNRLARLGSFFRCIIPENIRVSAVGQLPTHPTCFEDEFDSFSSSSIEDSDEEDADHHLVKRPNIEFVHSSDEPLRLARDVM >Ma05_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31688692:31694711:-1 gene:Ma05_g20120 transcript:Ma05_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSALPRPVTFPLPARPTALLRHRQLSAFRLLPHHSSPISYPRRALSHLPLPLAPCRVKPSLQLVRASSSPSIAAPNPESTPAPSPQPAQGAKPVPLAISLAVGLAVRFLVPRPVEVTPQAWQLLAIFLSTIAGLVLSPLPVGAWAFIGLTASVITKTLTFSAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTVSEAFIAPAMPSTTARAGGVFLPIIKSLSLSSGSKPGDRSAGKLGSYLVMSQFQAACNSSALFLTAAAQNLLCLKLAEELGVKISSPWVSWFKAASLPAIVSLLATPYILYKLFPPEVKDTPDAPALATKKLEQMGPITTNEWVMVGTMVLAVSLWVFGDALGIPSVVAAMLGLSILLLLGVLNWDDCLSEKSAWDTLAWFAVLVGMASQLTNLGIVTWMSNCVAKFLQSFSLSWPAALSVLQASYFLIHYLFASQTAHVGALYSAFLAMHLAAGVPGALAALALAYNTNLFGSLTHYSSGQAAVYYGAGYVELPDVFRLGFIVAAVNALIWGVVGTFWWKFLGLY >Ma11_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23436566:23437508:1 gene:Ma11_g18340 transcript:Ma11_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPSNTIPDLEFPEPAGARRKDSNTVVLTVWRKSLLFSCSGFTVFDAEGNLVFRVDNYGSGSTGEIVLMDAAGKPLLTIRRKKLSFGATWVIYDGEDVVDPLFSVKKHSNLLHSKVLAHVTSPCRRRRHRGGCGAGYEVEGSYSRRSCTVYDEMRRSVAEIRRKEAVGGVAFGGDVFRLVVHADVDTCLAMAILIVLDQMFGSRVSLIDG >Ma05_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9449834:9462565:-1 gene:Ma05_g13070 transcript:Ma05_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDAMENGMGSYQERPRTFPSMRSKSHYPLIFRMLLGINARVLVVLLLLIFGAVFYVGASTSPIIIFVFSICIISFFFSVYLTKWVLAKDEGPPEMVQISEAIRDGAEGFFRTQYGTISKMAMVLALVILGIYLFRSTTPQQESSGLSRSTSAYITVAAFLLGALCSGIAGYIGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVIGVAVLYATFYVFLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDPGLIVPIEDPMAILQKGYSVTIVLAVLTFGVSTRWLLYTEQAPSAWLNFALCGLVGIMTAYAFVWITKYYTDYKHEPVRVLALSSATGHGTNIIAGVSLGLESTALPVLVISIAIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAHVSFKEVDIAIPEVFIGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFVERPGIMEYQEKPDYGRCVAIVASASLREMIKPGALAIISPVVIGFIFRLLGHYTGRPLLGAKVVASMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSDCHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >Ma02_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20149252:20161696:-1 gene:Ma02_g10660 transcript:Ma02_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEGGGGIGGKFPKRPFRRAPATPYERPPAAVRPARGHPAETRGNGWLSKLVDPASRIIAWSASRLFSSSVFQKRLGPPPAAASQATAKSMEEVSEEHCTKSLQEVQEQHLNVGNNINSCPNAGGAQHGTNSCVDGVLDLEQLLKQKTFTRIEFDRMTQLLRSRTVEPSTPEVAVNSGNKEMANVLYQANNKQQCAIEPTRIQPASSYYKNDKEVVSEQEKRGIIGLNGNDATPVNLIVPKEEAALPTEIAKAYMSSRPFKVSPANLSGQNKLFREDKALPSGTPYGNKISDRPMALRSAVCISGPPETKPSSYISPKLNGRSAIYKMSRSPYFKPHLMGDKLLMDGYGGPSSSSQSMSTNIIHPGGRQMLKRRSSVLDDDIGSFGPTRRTRQKSNLMSPLKSSYSSVGHFLPSSSTHVDRGSIIPRQKLYHLNEQKNNHSESQASENGGMPIVPVPLQSSEMARKILQQLDKLAPSPKEKSSKLKIDTNDSPHMLTQNMLGGQALKSMEEIDTSKFLNVQVNGSLEAASDSHQEGYAISHKKDETEENAYTKHAVKGVQVISTASILKKPNLVGTEAKPTVTTANVAVVPGAATIFTRKKPSFQMSAPEDLDMLDDDSYNIKNSSSPAIIVDNKSESISEVETTHVAKTNLEKSVESSSIGMHVSTAILDRDPHKINNCSGADKMDGFPFPAVPASNSFKLPSVCPMLVTSLEKSATQTEEVSASTSKIVLNTHSFGSPSTYADSSVSKFAVSNATTTDVLEGSNSGEVGKTMKGGDSFNACGSAASSGLSTLTSSGINVFGVSTTSKLNDEAASLTSTTSLVPDASVMASDSSCPSGFSTSISTMPSPSIAVSHAAPALSTISSFQFNTCGSLGASSPVISSTEKCGPANLIESDKSSTFSISSSATLGTSAATSVSVSMINSSSALPVSPLFSTASDFLAGATSSSFSTSSSGLFSFGASSQSSGANSLIFSNNPQNLTKSGDTAGSTFSTQLAVSGTGMSNPLPSSSGTFGSSTPVPIFGSSGTSSSGSASSSFGFSTATKPLCSSSSFSFSSVTGSSSNSGSISTFVPATNFGSSSSFPFSGATVSSLGAGNSNSLVSTPPFGSSSFSFSTGGTLSTKFGDNNSTVGGTGIALFSSSSQSSQSSVSGSTFGSTTSAPATGFPFASAPSGSSPFTFGASVPAFSFTSIGNTNSSTSSAQPVFGVPNQIASLNSPGNDQMNVEDSMADDPAQSSIPPAATFGQPNLLASSNFMFGAPAAPGGLSTFQFGSQQNSFIPQSQSPFQQSGNADFAGGSFSLGSSGGEKSGRRIVRVRRDKHRKK >Ma09_p03390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2281121:2284120:1 gene:Ma09_g03390 transcript:Ma09_t03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTALSSSTHSSPSCSASIRRHLLRLSLFLSAPPQDLPRVPRRDAFSLASLPASLGWSRKKRRAGDSPRWRTTLMRASRRESPYEVLGVSPSASAQEIKGAYRKLALKYHPDVNKEANAQEKFMRIKHAYNTLMNSESQFKYGNQSADFSRTAERSRSAPDEEFYGFGEFLRDVQISLEQFFQDLQAEFRNWEAGISSQEKPKSLWEELAAIGEEFVEFLEKELNINDLDVEKESSWDEYRNGNPSASSRDGNEENSVRDQSKEDSIEDSIDEIEAALAQLKKELGL >Ma11_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3662479:3663832:-1 gene:Ma11_g04700 transcript:Ma11_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIZ1 [Source:Projected from Arabidopsis thaliana (AT2G41660) UniProtKB/TrEMBL;Acc:A0A178VY97] MPTPGPPSIALHLGDSCSSTRATLIAISPYLEQMLPPPPRRPKLRSTAEVAAATMRASSSSAYDDHEGSLSPHHYLPLLRARPTRSFSLLKVVMDMVRSLVPRIHSLISPTCQWPALPVVRPRATLSSGRGRVVAGTFFGHKKGRVSFAVQSESQSEPVLLVELAMLTCDLVKEMASGMVRILLECDRVASPSPAAATSKSIKKHSPLWDEPLWTMYCNGHRCGYAVSRRCTKIDLHVLSMVQPVSVGAGVLPPPPPPSPPLLPAKLCDGSGGAAAGSEVMYMRAKFERVVGSKDSEAFYLINPDSLRHKGSDISGGPELCVFLLRM >Ma04_p19660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22259946:22270494:-1 gene:Ma04_g19660 transcript:Ma04_t19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIKTNSDIEGPLEEADRGRQRMNSGKFADQSRLIFVGDMRVESGTCNVCSAPCSSCMHRIAVAMESNVDCGSSDNTFQMKEADNCSFTRIKSRFCDDLQTAVSETSNLLSGSSSNDSYTENAESKATLRSSFTYDTSEDVDIPPEISTVEAVEGYKFLGRGIPVTGDGMSCRYSRADVHHGELDGEHNVSRCYTNNDSCITGAGDTNMLGLDHNLELEKRSGSLLSTCKFGVRETEMVIQVETTHESCGCNMEANQVKSRKLITFPQESSRKKSPGPLNVGLPSNSVLTNTSLMKNISPKLDSNSPCRSDLISCHNADSNGIEANQPSQVHGESHDCLVADVESSYQVLLSAGGNHGQKSVVFSDSEATKSIQIGSNTSSGEFKNGDQFFESASDFDRSKSNLYKSGTGQASEPESMLYDVNVCDICGDAGREDLLASCSRCIDGAEHTYCMQIMLDKVPERDWLCEECQKKEDVEIKKMKKAESVSGTSNICYLNKKIQNFGSSVNPESSPKLDTRSTNPEACGSSKQIQSPRTTSKKQMDRVDVGPVTKKKASETSDEPLRTASPRIATKFSRESSFKNLHMAQVKQTNIASTLGDHSTNSSQTSRLLTLDRENSFKNLHMAGTKGTIIASLTGDQCTKSSQTSHSLTLDSKSSNVRRELYSPRGFLSKQVSFKKSAEPKVKQLVEGVSQKLAKESTSSNTKQMLIRTVNRSASCKSVSSGHHNVKSLIKAQSLKTPRADPKSFNPNKERGVMERKSSFDLDCQLISPPPVGRKPLPKLDVKIAPPNSNASNKSELSILCSSKGFDRENNFGFKEVKKQSSFKWKSNEICDSENKKPGEFVNKEAVLVNSAATYVSCSDDGEVRSSVSPSIDSSHQGNLDDTTKDFSGTSRSAVTGVNHVVGHVKLTEAKCGQIDRPNMSAAKPSVDVGLVDGTNKRNKWKDALKAAISKNKMSRTVDQSECKLLKNNIICEDSSRSSLTSSSCLKDLPLEGAPYGKVILRVSDTDNGRTDSASDAEQTKHLTESSYVPGVGDLNVNPINPDALNEKSSAQILPDHPSPLTTDFRFLVVPEHKFIWQGAFEILRIGRFPKVVEGIQAHLSNFASPKVHEVACRFPCNIQLEEVPRVSLWPLQFQETGPKEDNIGLYFFAKDAESYEKSYQKLLDAAQKNDLAFKGSINEVELLIFPSNVLPESSQRWNMLFFLWGIFKVRKQDSSKPYTNLQQESSGSKINLESQVQELSSYHACELSTFQKHDLQQYPPKEFSIYDETPGARTLKFNSIDNCQFVSSTVPDNDTHNAENFPSVKSSLDMVTVETESNGVSDHASHYLYSFDYQKICPASKSNGTKSDTDVEVGKSPHVFANSVQQKLDESLPEYLAASGFREGKEGTEGSKGKKRLVKIEDESEENFSIWGSKPSTKCVHSFPTVYCGESSKSTIPNMLQEATFVSLEGGREHKRMKCDSEGHVISLREQSTECRLLPTTQPFSATYLDEQQRDGINYNNEVPESSICTERCFFPQDSFPAGTKMVENSRYFLPRVDEDLSGSRTPDLELALGGKKLPSEEGMFPLFFPSVDKGEPDKPSGSEDDDHDVSTMLSLSIASPATKRRRIQNPIREEDQHHINTTLNLFGGFHDT >Ma04_p19660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22259946:22270782:-1 gene:Ma04_g19660 transcript:Ma04_t19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPERSLKPLDDLKNTVAEPEMASIIKTNSDIEGPLEEADRGRQRMNSGKFADQSRLIFVGDMRVESGTCNVCSAPCSSCMHRIAVAMESNVDCGSSDNTFQMKEADNCSFTRIKSRFCDDLQTAVSETSNLLSGSSSNDSYTENAESKATLRSSFTYDTSEDVDIPPEISTVEAVEGYKFLGRGIPVTGDGMSCRYSRADVHHGELDGEHNVSRCYTNNDSCITGAGDTNMLGLDHNLELEKRSGSLLSTCKFGVRETEMVIQVETTHESCGCNMEANQVKSRKLITFPQESSRKKSPGPLNVGLPSNSVLTNTSLMKNISPKLDSNSPCRSDLISCHNADSNGIEANQPSQVHGESHDCLVADVESSYQVLLSAGGNHGQKSVVFSDSEATKSIQIGSNTSSGEFKNGDQFFESASDFDRSKSNLYKSGTGQASEPESMLYDVNVCDICGDAGREDLLASCSRCIDGAEHTYCMQIMLDKVPERDWLCEECQKKEDVEIKKMKKAESVSGTSNICYLNKKIQNFGSSVNPESSPKLDTRSTNPEACGSSKQIQSPRTTSKKQMDRVDVGPVTKKKASETSDEPLRTASPRIATKFSRESSFKNLHMAQVKQTNIASTLGDHSTNSSQTSRLLTLDRENSFKNLHMAGTKGTIIASLTGDQCTKSSQTSHSLTLDSKSSNVRRELYSPRGFLSKQVSFKKSAEPKVKQLVEGVSQKLAKESTSSNTKQMLIRTVNRSASCKSVSSGHHNVKSLIKAQSLKTPRADPKSFNPNKERGVMERKSSFDLDCQLISPPPVGRKPLPKLDVKIAPPNSNASNKSELSILCSSKGFDRENNFGFKEVKKQSSFKWKSNEICDSENKKPGEFVNKEAVLVNSAATYVSCSDDGEVRSSVSPSIDSSHQGNLDDTTKDFSGTSRSAVTGVNHVVGHVKLTEAKCGQIDRPNMSAAKPSVDVGLVDGTNKRNKWKDALKAAISKNKMSRTVDQSECKLLKNNIICEDSSRSSLTSSSCLKDLPLEGAPYGKVILRVSDTDNGRTDSASDAEQTKHLTESSYVPGVGDLNVNPINPDALNEKSSAQILPDHPSPLTTDFRFLVVPEHKFIWQGAFEILRIGRFPKVVEGIQAHLSNFASPKVHEVACRFPCNIQLEEVPRVSLWPLQFQETGPKEDNIGLYFFAKDAESYEKSYQKLLDAAQKNDLAFKGSINEVELLIFPSNVLPESSQRWNMLFFLWGIFKVRKQDSSKPYTNLQQESSGSKINLESQVQELSSYHACELSTFQKHDLQQYPPKEFSIYDETPGARTLKFNSIDNCQFVSSTVPDNDTHNAENFPSVKSSLDMVTVETESNGVSDHASHYLYSFDYQKICPASKSNGTKSDTDVEVGKSPHVFANSVQQKLDESLPEYLAASGFREGKEGTEGSKGKKRLVKIEDESEENFSIWGSKPSTKCVHSFPTVYCGESSKSTIPNMLQEATFVSLEGGREHKRMKCDSEGHVISLREQSTECRLLPTTQPFSATYLDEQQRDGINYNNEVPESSICTERCFFPQDSFPAGTKMVENSRYFLPRVDEDLSGSRTPDLELALGGKKLPSEEGMFPLFFPSVDKGEPDKPSGSEDDDHDVSTMLSLSIASPATKRRRIQNPIREEDQHHINTTLNLFGGFHDT >Ma04_p19660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22259946:22270782:-1 gene:Ma04_g19660 transcript:Ma04_t19660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIKTNSDIEGPLEEADRGRQRMNSGKFADQSRLIFVGDMRVESGTCNVCSAPCSSCMHRIAVAMESNVDCGSSDNTFQMKEADNCSFTRIKSRFCDDLQTAVSETSNLLSGSSSNDSYTENAESKATLRSSFTYDTSEDVDIPPEISTVEAVEGYKFLGRGIPVTGDGMSCRYSRADVHHGELDGEHNVSRCYTNNDSCITGAGDTNMLGLDHNLELEKRSGSLLSTCKFGVRETEMVIQVETTHESCGCNMEANQVKSRKLITFPQESSRKKSPGPLNVGLPSNSVLTNTSLMKNISPKLDSNSPCRSDLISCHNADSNGIEANQPSQVHGESHDCLVADVESSYQVLLSAGGNHGQKSVVFSDSEATKSIQIGSNTSSGEFKNGDQFFESASDFDRSKSNLYKSGTGQASEPESMLYDVNVCDICGDAGREDLLASCSRCIDGAEHTYCMQIMLDKVPERDWLCEECQKKEDVEIKKMKKAESVSGTSNICYLNKKIQNFGSSVNPESSPKLDTRSTNPEACGSSKQIQSPRTTSKKQMDRVDVGPVTKKKASETSDEPLRTASPRIATKFSRESSFKNLHMAQVKQTNIASTLGDHSTNSSQTSRLLTLDRENSFKNLHMAGTKGTIIASLTGDQCTKSSQTSHSLTLDSKSSNVRRELYSPRGFLSKQVSFKKSAEPKVKQLVEGVSQKLAKESTSSNTKQMLIRTVNRSASCKSVSSGHHNVKSLIKAQSLKTPRADPKSFNPNKERGVMERKSSFDLDCQLISPPPVGRKPLPKLDVKIAPPNSNASNKSELSILCSSKGFDRENNFGFKEVKKQSSFKWKSNEICDSENKKPGEFVNKEAVLVNSAATYVSCSDDGEVRSSVSPSIDSSHQGNLDDTTKDFSGTSRSAVTGVNHVVGHVKLTEAKCGQIDRPNMSAAKPSVDVGLVDGTNKRNKWKDALKAAISKNKMSRTVDQSECKLLKNNIICEDSSRSSLTSSSCLKDLPLEGAPYGKVILRVSDTDNGRTDSASDAEQTKHLTESSYVPGVGDLNVNPINPDALNEKSSAQILPDHPSPLTTDFRFLVVPEHKFIWQGAFEILRIGRFPKVVEGIQAHLSNFASPKVHEVACRFPCNIQLEEVPRVSLWPLQFQETGPKEDNIGLYFFAKDAESYEKSYQKLLDAAQKNDLAFKGSINEVELLIFPSNVLPESSQRWNMLFFLWGIFKVRKQDSSKPYTNLQQESSGSKINLESQVQELSSYHACELSTFQKHDLQQYPPKEFSIYDETPGARTLKFNSIDNCQFVSSTVPDNDTHNAENFPSVKSSLDMVTVETESNGVSDHASHYLYSFDYQKICPASKSNGTKSDTDVEVGKSPHVFANSVQQKLDESLPEYLAASGFREGKEGTEGSKGKKRLVKIEDESEENFSIWGSKPSTKCVHSFPTVYCGESSKSTIPNMLQEATFVSLEGGREHKRMKCDSEGHVISLREQSTECRLLPTTQPFSATYLDEQQRDGINYNNEVPESSICTERCFFPQDSFPAGTKMVENSRYFLPRVDEDLSGSRTPDLELALGGKKLPSEEGMFPLFFPSVDKGEPDKPSGSEDDDHDVSTMLSLSIASPATKRRRIQNPIREEDQHHINTTLNLFGGFHDT >Ma05_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3560313:3564773:-1 gene:Ma05_g04690 transcript:Ma05_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSSGLYVSGRPEQPKEKPPTMSSVAMPYTGGDIKKSGELGKMFDIPTDNSRSRKSGPITNAPTRTGTFGGSASYSGPIIMPNSGSRSNYSSGPVSSTGMPNRQKSNSGPLNKQIDSMKRSSGQQSGGTNPMARQNSGPLALPATGLITSGPVSSGHLNSSGAPRKVSGPLDSSGSAKLHNTLSVHNQAVTNISQEDGFSFKRTFPKPALWAVILLFVMGFIAGGFILGAVHNAIILIVVVALFGIVAALFIWNSCWGRKAMIRFIACYPDAELRTAKDGQYVKVSGIVTCGNVPLESSFEKVPRCVYTSTSLYEYRGWDSKLANPQHRRFTWGLRSMERHVVDFYISDFQSGLRALVKTGCGAKVTPFVDESAVLDINPGRKDLSAEVLMWLAQRNLSSDDRVMRMKEGYIKEGSTVSVMGVVRKNDNVLMIVPPSEPISTGCQWTSCILPANLDGIVLRCEDTSKLDVIPV >Ma06_p15070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10269795:10271656:1 gene:Ma06_g15070 transcript:Ma06_t15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAGLRSRGALFVVACCCLLSLVCSGGNPKTRSAVGDPGMKRDGLRVALEAWNFCNEVGEEAPGMGSPRLADCFDIVNKTEVVHKVADKDNRLGFGDDLPEAPPKSLKNVDLYAVQKELYLGKKCEVADDNTPWQFWMIMLKNGNLDTSAGLCPKDGNKVGPFPPESRFPCFGKGCMNQPLVFHDSTILNGTKLSGRFYGTYDLDGHIGEEVDNASYFSVSWEKELGKGGWSFHHVLKTTKSYPWLMLYLRSDATRGFSGGYHYETRGMTTIIPESPNFKVRLTLDIKRGGGSASQFYLMDMGSCWKNNGDPCDGDVTSDVTRYSEMILNPQTEKWCRADSLHECPPYHTLANGTRIHRTDTANFPYEAYHVYCSPGNALNPEKPYRSCDPYSNPQPQEILQILPHAAWAEYGYPTKPGEGWVGDPRTWELDVGRLSQSLYFYQDPNTTAAKRKWTSLDVGTEIYKSDDAAAEWILSDFDIIVPQ >Ma09_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6919697:6920209:1 gene:Ma09_g10120 transcript:Ma09_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYEVFTPSFEWIRGKAADTLRVRLQGFEKNQIKVQADNEGGLRITGERPLGGNRWSRLWQDFAVPEDCNVEAMQAKFQEETLWVTLPKREAQKGKELLLNAAVAVLVFLGLALYHVREMWTKRSS >Ma08_p17110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23210742:23222082:1 gene:Ma08_g17110 transcript:Ma08_t17110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFSVRIHSLDAPHTEEGCSRSTAAVAPLHPSPELLDDAVGDLHEEAGTSWNPNPNPRIHLLRGIVHLYRSLLPPSTSSSSSSSSTNPQASSESLLPAVRGTLLLILAVPSRLSPEDLLRFCGSYVERSSAIRVIRNDGIEDRYSVLVEFDDQKSADGFYLDVNGWRFSTEGEVCHILFIDSVEFTESTKIAGTPPVGSSELPTCPVCIERLDQDISGIIATTCDHSFQCSCISKWVNSSCSVCQFCQKHSEKPTCSICGTPQNLWICVICGFVGCGRYKEGHAIRHWKDTQHCYSLDLETQRVWDYVGDRYVHRLNQSRSDDKLAKLKSNSRYVGENCINCECSDDLGSSGAILNSKVDVIVDEYNHLLAGQLESQRQYYETLLQKEKENREKYISDAVEKAVSLKLQDIQLKIGNVMREKKALSDINENLMKNQNIWHEKIKEVEERERTTLKIKDDKIRDLEDEIRDFMVFIEAQKTLNAVDTDNIQGGTLLPVPLPQSTSAKTKKSSKINRKRN >Ma08_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:23210742:23222082:1 gene:Ma08_g17110 transcript:Ma08_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFSVRIHSLDAPHTEEGCSRSTAAVAPLHPSPELLDDAVGDLHEEAGTSWNPNPNPRIHLLRGIVHLYRSLLPPSTSSSSSSSSTNPQASSESLLPAVRGTLLLILAVPSRLSPEDLLRFCGSYVERSSAIRVIRNDGIEDRYSVLVEFDDQKSADGFYLDVNGWRFSTEGEVCHILFIDSVEFTESTKIAGTPPVGSSELPTCPVCIERLDQDISGIIATTCDHSFQCSCISKWVNSSCSVCQFCQKHSEKPTCSICGTPQNLWICVICGFVGCGRYKEGHAIRHWKDTQHCYSLDLETQRVWDYVGDRYVHRLNQSRSDDKLAKLKSNSRYVGENCINCECSDDLGSSGAILNSKVDVIVDEYNHLLAGQLESQRQEKENREKYISDAVEKAVSLKLQDIQLKIGNVMREKKALSDINENLMKNQNIWHEKIKEVEERERTTLKIKDDKIRDLEDEIRDFMVFIEAQKTLNAVDTDNIQGGTLLPVPLPQSTSAKTKKSSKINRKRN >Ma08_p26600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39124282:39125699:-1 gene:Ma08_g26600 transcript:Ma08_t26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPLVASFAALVAVLLLAAPATAKLTTLYYQKTCPNVEKIVSDVVTTKQISTPTTAAGALRLFFHDCFVGGCDASVLVSSNAFNRAERDADDNVSLPGDGFDAIVRAKTALELQCPGVVSCADVLALATRELVLMLGGPFYRVRLGRKDALTSTAASITGNLPGPNMTVDQLISLFARRHFTVQELVVLSGAHTVGFSHCSQFASRIFGYNGGARDAHDPAMSPQFAQALQKACANYVNNPTIAAFNDVMTPGKFDNMYYQNLLRGLGLLASDQALALDPRTRPFVQLYAANQTAFFNDFSRAMEKVSVLGVKAGRKGEVRRRCDVFNNLTT >Ma04_p37590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35426366:35431706:1 gene:Ma04_g37590 transcript:Ma04_t37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLPPRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAREWTRLYATGA >Ma09_p02750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1904261:1908286:1 gene:Ma09_g02750 transcript:Ma09_t02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVIGGWFASIFFARLADQVGSYIGKQLKYQKGVKNKLTKLEVNLRKIHAVIHATDLGRHQKEHLEAWLCELKDAAFEAEDVLDGFEYQILRDTVKGKDKRLFFRDEDLNELDKVIERFDKIVATIGNFLLPSDSGAQNQKHGWRETTSIMNESEVLGREHEINSLVRLLLMPDVSSRSNNERFSVVSIVGIGGVGKTTVAQCVYNDSRIDDHFDVKLWVCVSEKFDVRRLTRKMLESVCRDSRHHLTNLDTLQEILKDKLKDKQFLIVLDDVWNEVRSRWEILRKPFHFGKEGSRVLVTSRIPMVANNMGTRAPVILKGLNGADYRKFFERCAFGDANPDDHPKLKLIGERIANKLVGSPLAAKTVGGALKSKLEEEHWRSIMESKLWQMQQKEDDIFPALRLSYEHLPTSGLKQCFVYFSLFPKNYCFEKDRLVRMWMAQGFLQPGERGRRPEDVGEDYFDELLHRSFFQDSLNGQSGNYVVHDLLHQLAESLSAHEYCRLKNLRSLVVNGSLLDTVAKSKLMDFIEVTLKRLKRLRVIVFDGLALDVLPESIGHMRHLRYLEVPGGQFIDLPKWICRLYQLQGLSLQFRSPLHLGRPLPRGMHRLVNMRYLNINPEKVSTIVEIGKLRSLQELREFHVRKKNGYELGQLRDMRQLRGQLSIMNLDMAGSASDCRAAELDNKEHLSALHLYWGQLGRKDNDKHEEVLEALRPHRNLTELRIIGYMGTESPSWLKTSWLSNLEHIELEDCQGWEVLPPLGQLPFLKILHLKSLKLVKHICSGFYGGHSIAFPLLEELLFSDMGEWRQWSGVKASSQLFPRLRRLQIDRCHKLRGSLVLPTVLERLHVVLSDDVTWESYKKPHVVLSDDVIWESSETNDISAILKLSIDNISLLTNCLPAECLPSLQRLDVVYCSSLESFTDEQEKWLQRLSSLEELRFSDCDNLTRLPTDLNSLVLLKTLHIEGCPKIDSRPEKGI >Ma10_p21360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31202050:31205648:1 gene:Ma10_g21360 transcript:Ma10_t21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQSSNRNSLDKGSMVKRVLQIALLLAVVVWLLNRINKNEYAGDQINIDQEYVDFGRKGKAGSENVIVINGQTIDFDDISETNEAGGQAEAFGQHSEEKNEDESFGKEQEESHKNISDTDQTETQEEQLGNKDGNDDSSISNRDVTVGNEMSTSEMDALRLPSQRDSENSQDPNEGENLGDDSLQRNKLVTLGRSKNDTEEEITFDEERIFGSQNQLEGDGKVDEIENKTIDSNGGVESENEISSENSFEVEKETTETNGGGKFQTDGSDSLEDTTANPSSDDASFSLPNNENNEVSINNEPSDKITLDSQGDISTTHSEATEHHTMQNVSSDTRTVDEAAGLPNTTEEKVPVIPTDSEVPKREAVEFQDTSITKAEVTDDDPSVEETNTESDDKLPVTSVADHAENVELPVFDKALERDAADSSGDNASDSGRNDFKEEKMDLESNKEDATSEPQNAGDSFSLGTNSETSSASDAGNSSHNNENILQIPTNEVPKADAENSQVDDFSKGENSRNIEDTTEPNPKEETNSELGNNDASSGGGDNADSWKEGGKDSE >mito3_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:680602:682418:1 gene:mito3_g00080 transcript:mito3_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFFWGCDIYQTKEIQSKQIDATILMEKIDYKNDLLMDKIHYQNDEVQSEQIYSLPHLKEITRLSAEMRIQDCNSLSPLEQFTIHPLILIRGGNYYFSFTNPSLSLLLTLGLVLLLLFLVTKKGGGKSVPNAWQSLVELLYDFVLNPVNEQIGGRNVNQKFFPCISVTLTFSLFCNPQGMIPYSFTVTSHFLITLTLSFSLVIGITIVGFQRHGLHFLSFSLPAGVPLPLAPFLVLLELIPHCFRALSSGIRLFANMMAGHSSVKILSGSAWTILLLNNVFYFIGDPGPFFIVLALTGPELGVAILQAHVSTISICIYLNDATNLHQY >Ma09_p27600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38547033:38551569:-1 gene:Ma09_g27600 transcript:Ma09_t27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVKAGSRPPWVGLAAAVWVQVAAGTAYTFPLYSHSLKSALGYNQQQLTMLGVANDTGENFGLLAGVLCNRLPPWFVLLVGAACCFLGFGTLWLAVSVTVPGLPYWLLWIALCIATNSSAWFGTGVLVTNMRNFPLSRGTVAGILKGYVGLSAAVYTGLYTGVLHSSSTKLLLFLTLGLPVISLAMMYFVRPCTPSLEEDSLEHGHFMFTQISSVFLGLYLLASTILDDVLSLSDAIIYLLFGIMILFLLAPLAIPLKMTLFPATHKKNVASNTCSTSRLPAEDLDHKESLLATSSTNNLENPQEIDDASDVDMLLAEGEGAVKKKRRPKRGDDFEFREALIKADFWLLFMAYFLGVGSGVTVLNNLAQIGVALGLDDTTILLCLFSFCNFVGRIGGGSVSEYFVRSRMLPRPIWMACTQVVMIVAYLLYASALNGTLYASTALLGICYGVQFSVMVPTVSELFGLKHFGIFYNFMLLGNPLGAFFFSGLLAGYVYDKEAANQHPVSSTCLGPSCFRLTFLVLAGMCSLGTLLSIILSVRIRPVYQMLYAGGSFRMPRSSLH >Ma06_p37640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36624948:36626991:1 gene:Ma06_g37640 transcript:Ma06_t37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGEHDSSSPVEEQTANPDPQPVSDAPPARAAAAAEGDGEVESLARRIQEALAVGSRHRFWETQPVGQFKDARDGSLPEGPIEPPIPVSAVKQEPYNLPALYEWTTCDIDDDQTCAEVYNLLTNNYVEDDENMFRFNYSKEFLRWALRPPGYFKAWHIGVRVKATKKLVAFITGVPARIRVRDEVVRMAEVNFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGARMTMSRTIRLYKLPEATVTPGFRKMELRDVAAVTRLLRDYLSQFVVAPDFDENDVEHWLLPLENVVDSFVVESPETHEVTDFCSFYSLPSSILNNPNYSGLKAAYSYYNVAMRTPLLQLMNDALIVAKQKDYDVFNALDLMHNEVFLKELKFGPGDGQLHYYLYNYRLRSALQPSELGLVLL >Ma07_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3243341:3245054:1 gene:Ma07_g04330 transcript:Ma07_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGSGHRQLHFVLLPWLGTSHTIPMIDIGRMLAERGVAVTVVMTPANAARLSPTISHIADSGLAIRFVTLPFPSAEAGLPEGCESFDSLPSFDMVPNLYYASKLLRHPLEVLLRDLTVAPSCIVSGMFYSWTPAVARELGVPCFIFHGFGAFALFCMHNLYCYKPHESVSSPTEPFAIPDLPFPFEITRQRLPIQFQLLPRFMEMCEEGREGELAMDGIIVNSFDELEPGYAARLAVASGKEVRPIGPVSLFCRGSSDMAARGQKPSVEASRCMQWLDSMKPRSVVYVSFGSLARFAPAQLMELGHGLLASRRPFIWVINGAESLSEEVEQWLQEKLEIKEVDSRCLLIRGWAPQVMILSHPAVGGFMTHSGWNSTLESASAGVPVASWPLFADQFINQKLIVDVLGIGVAVGVDTSVELKQAPEDGASVTRDEVAEAIERLMGGGEEGEERRRKAKELAEEARKAVAKCGSSYDNLTLLIESVAQKAKKN >Ma10_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28342068:28342362:1 gene:Ma10_g16740 transcript:Ma10_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDAREGIDVQNVLELTILSRLNRRVTMQQVSSMVQLELLEYVEYITATGQGVTISTYSESLDSMIAQS >Ma09_p05370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3464115:3464332:1 gene:Ma09_g05370 transcript:Ma09_t05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLRVRRAEERAHVNNQLEDKLGRLSNSRAW >Ma04_p34890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33862471:33865822:-1 gene:Ma04_g34890 transcript:Ma04_t34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALGAGASVSPSVGTDASLRKTGFKDHNKLRFDNKLWITLSSVNLKSRYSSTKYQFKVMSMSVQQAVRSKIPVQPLELENANEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGIIPPGENPKKPGSPHNVRLYSIASTRYGDSFDGKTASLCVRRAIYYDPETGKEDPSKNGTCSNFLCNSKPGDKIQLTGPSGKIMLLPEGDPNATHIMIATGTGVAPFRGYLRRMFMEAVTTYKFGGLAWLFLGVANTDSLLYDAEFSSYLKDYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDAKLSQLKKNKQWHVEVY >Ma11_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2088800:2089121:1 gene:Ma11_g02820 transcript:Ma11_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCLPPHEANGMGRRRGLGKDEQGIQDRYWRR >Ma05_p01660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1013498:1015869:-1 gene:Ma05_g01660 transcript:Ma05_t01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVALRSPPLHSCPAFALEIAGPDLSSRSSRPAPRSTVLCDAQRSHASIPKLEPFSRSRIERWLKDPPFLQKTKNDLSDYCTTLEGDNCHSCWRAYFELKDLEEKLPKEDVEKFVWQAGGIKSLIGYLHGLTAMLNNKEKEIPQAMLNKKEKEIPQPKPVTATTERERPFPVPDGLPPTAEELEEAEKARMPDSPFTKLLRSKGRLPAWYTQAPDHETD >Ma02_p22820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28031097:28040722:-1 gene:Ma02_g22820 transcript:Ma02_t22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLMASCSSIFGAKSGSSFASCFSPSIRAHSLRKHALNKVLAVMAPARSPRSPSSTGSVKHAMTMTEKILARASEKSHPEPGENIWVNVDVLMTHDICGPGTIGIFKKEFGQNAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCLEQNIKYFYDIKDLGDFRANPDYKGVCHIALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVMGTGKILLKVPPTLRFILDGEMPNYLLAKDLILQIIGEITVAGATYKSMEFVGSTIEGLNMEERMTLCNMVVEAGGKNGVVPADETTFKYLEDKTSKNFEPVYSDDNARFIQEYRIDVSKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFFAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSLLVPGSGGKTCSQIFEEAGCDVPASPSCGACLGGPHDTYARMNEPQVCVSTTNRNFPGRMGHREGQIYLASPYTAAASALTGYVADPRDFLL >Ma02_p22820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28031097:28040714:-1 gene:Ma02_g22820 transcript:Ma02_t22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLMASCSSIFGAKSGSSFASCFSPSIRAHSLRKHALNKVLAVMAPARSPRSPSSTGSVKHAMTMTEKILARASEKSHPEPGENIWVNVDVLMTHDICGPGTIGIFKKEFGQNAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCLEQNIKYFYDIKDLGDFRANPDYKGVCHIALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVMGTGKILLKVPPTLRFILDGEMPNYLLAKDLILQIIGEITVAGATYKSMEFVGSTIEGLNMEERMTLCNMVVEAGGKNGVVPADETTFKYLEDKTSKNFEPVYSDDNARFIQEYRIDVSKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFFAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSLLVPGSGGKTCSQIFEEAGCDVPASPSCGACLGGPHDTYARMNEPQVCVSTTNRNFPGRMGHREGQIYLASPYTAAASALTGYVADPRDFLL >Ma06_p32400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33324445:33325635:1 gene:Ma06_g32400 transcript:Ma06_t32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKENAAKRALRMTGQDVQPAVHFLVEEQARKILRKQENIQRQAEIFFDRDLAAQALRVNENEVKKALDLLTNPERNCALLDSSGADIEELVSLWYNRSSVVDAVRRSCNKDDALKLLAGANSEDSQHAIINQPKDDQGPSAALANEDKMDLGGEHKYERDEAVEDELAKELTGDPLADYDAEVMKEGEAIAKYLALLDSKANAT >Ma10_p04940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15575892:15588058:1 gene:Ma10_g04940 transcript:Ma10_t04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHLLLPVSLAISCLCLSWISGLASLPPRELLGIAPEDENFYKSDVIKCKDGSKKFTKQQLNDDFCDCLDGTDEPGTSACPEGKFYCRNPGHIPQMIYSSRVNDGICDCCDGSDEYKGNVNCPNTCWEAGKAVCEKLKKKIATHQDGLVIRKREVEKAKQAFAKDEEELSKLKSEEKILKGLVDKLREHKEQIEKAEEEERLKEEEEKKQRDAERQSTEQNKSLEESPLDHSEGTHDTLLETTSQLDKMDIGHESVDQLEKEYNSGIDIPADHTVGQVVDDHHNFIRSDHGSDDTSAELPSGLSTDQEKGSESADGLSREELGRLVASRWTGEHSMKETNEPDDAKEEEHEQHEQNQDSSDSVEEESYDSDIDDDRHKFDDDDFEDEADEEYGEDHVEPDGSYDPDKDYSSELSDLTPSGSSSWVDKIQQTIQNILQAFNFFKSPVDISEASRIKKEYNDANSKLSKLRSRISSLTEKLKHDFGKDKEFYSFYDRCFENKQNKYVYKVCPFKKASQVEDHSTTQLGNWEKFDESYRVMQFSNGDRCWNGPDRSLKVRLRCGVKDELADVDEPSRCEYVAILSTPIVCLEDKLKELQQKLEDLNKSQPSKHDEL >Ma10_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15575892:15588058:1 gene:Ma10_g04940 transcript:Ma10_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHLLLPVSLAISCLCLSWISGLASLPPRELLGIAPEDENFYKSDVIKCKDGSKKFTKQQLNDDFCDCLDGTDEPGTSACPEGKFYCRNPGHIPQMIYSSRVNDGICDCCDGSDEYKGNVNCPNTCWEAGKAVCEKLKKKIATHQDGLVIRKREVEKAKQAFAKDEEELSKLKSEEKILKGLVDKLREHKEQIEKAEEEERLKEEEEKKQRDAERQSTEQNKSLEESPLDHSEGTHDTLLETTSQLDKMDIGHESVDQLEKEYNSGIDIPADHTVGQVVDDHHNFIRSDHGSDDTSAELPSGLSTDQHVYEDKTTTNAGPNYETGAKEIDSDHAAEMEKGSESADGLSREELGRLVASRWTGEHSMKETNEPDDAKEEEHEQHEQNQDSSDSVEEESYDSDIDDDRHKFDDDDFEDEADEEYGEDHVEPDGSYDPDKDYSSELSDLTPSGSSSWVDKIQQTIQNILQAFNFFKSPVDISEASRIKKEYNDANSKLSKLRSRISSLTEKLKHDFGKDKEFYSFYDRCFENKQNKYVYKVCPFKKASQVEDHSTTQLGNWEKFDESYRVMQFSNGDRCWNGPDRSLKVRLRCGVKDELADVDEPSRCEYVAILSTPIVCLEDKLKELQQKLEDLNKSQPSKHDEL >Ma04_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15941648:15942459:1 gene:Ma04_g16530 transcript:Ma04_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCECDCECRPLGWLLGLPFAFLSLLISIVGAAIWIVGLLLSCICPCCLCVTILVEFAIELIKAPLHVMRWFTSQIPC >Ma06_p32360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33286349:33296880:1 gene:Ma06_g32360 transcript:Ma06_t32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEKATTTSTSASPSVGSGDLKSAAEAKVRIAGAWSGVIEVELEAWTLPMLRQEVARRAGAAPDCVNLICGGKVLRDDSRAKPLGQLGLKNNAKVLATVVRGDRGKAINDQAASEAEHAKKLARIRDAAKALSERHADGSLPLEDYNIELEDQNGQKLMLGSESDKKALMMGLMLHTNARSLVRKQKFKEALDVLYMGEEAFSLCDPKLTEMIDNVPILQLDIVWCYFMLQDISCLAVAGIRLENARKGFERSHGKDSTRLRLLQAGYRAELATYLRLELLEGVVAYHSDKYEEARKALSSAQAKYMQLQVPDEALSLLMGMGYKENAAKRALRMTGQDVQSAVHFLVEEQARKILRKQENIQRQAEILEQKSYGATPNHKPINLQELNELVSIGFERDLAAEALRVNENDVQKALDLLTNPERNCALQSRLEKRRKLGVSGSSGADIEELVSLGYNRSSVVDAVQRSHTKEDALKLLVGANSEDSQHATINQPKDDQEPPSTALTNDDKMDLGEEHKYPRDEVMEDELAKELTGDPLADYDMEVMKEGEAIAEYLALLDSKASAT >Ma05_p23230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35253278:35254352:1 gene:Ma05_g23230 transcript:Ma05_t23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSDFAILQAAQSSLQSADDLFRRIIAAQQLGRSSNELCSVANGTITEFKRLLSLLDGSPPPRKRIRKGPLLNTTEIDPTQFMERRAFCSTVPSNGLEQKRNREADVKNLCTQSTGVLEQRLMPSIRRPIYYSSISLNGGNNMAKQLWSYCCSSSSTHGSINCSSLLSYRKQHGSGEGAIAGKHRIRRTIKVPVVGGKVADVPCDDFSWRKYGQKPIKGSPHPRSYYKCSSMRECPARKHVERCLDDANMLIVTYEGYHSHPRSALAASAVAVPR >Ma10_p08750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23057364:23059418:1 gene:Ma10_g08750 transcript:Ma10_t08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIKASTHGHHLPPPFHNRDFHHPLHPFQHLQQQQQPPPAVEEEHSGTSGLHRGMKRDYDGDNNDGNDGGDGKELVSALSGEGDMVRKPRGRPAGSRNKPKPPIIINQDSANALSSHVMEIAGGCDIVDGVATFARRRQRGVCVLSGSGTVANVTLRQPASPGAVVNLHGRFEILSLSGSFLPPPAPPAATGLTIYLAGGQGQIVGGSVVGPLIASGPVIIMAASFGNAAYERLPLDDEEPLQAQQGGLGSPGLVGQSPPLQQQQLHDPSNPLLHGLPPNLLNNVQLPGEPHGWATGGGGRTPY >Ma01_p20690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19337467:19342081:-1 gene:Ma01_g20690 transcript:Ma01_t20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHLKGMLTSSSSINACDSSIYLLGVVLLLFICIFHVISTPHQRSSTVSRLIQQQLEEHHQLKLCRPRTSMAFAEVGYSVVLKGGITTAKEKGVLHGIRGSARPGVLLAMMGPSGSGKTTLLSLLGGRLTGNIIQGSVTYNDEPYDKSFKCRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKEERALSAICWGWRSKKKIEYYNTSKKNPFDSRKLM >Ma07_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10406838:10407380:-1 gene:Ma07_g13860 transcript:Ma07_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTACCNLKATIELIGLSFSGFVVLFVVFVFVRYRLLPQLPPAGPAHDRQPKAGLDPSAVAALPSFAYRHGDGDGKGKWTSLDCAVCLSTVEEGETLRMLPACQHTFHSECIDRWLLSSSTCPVCRTEAESSERSVATSSASSATTIGETSSSSSFPGMWSGRRPLQGDGIRDLENNL >Ma09_p27170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38224637:38225881:1 gene:Ma09_g27170 transcript:Ma09_t27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTVETAVALVPPQPPPRSEMSEDELPPVEGWAKRKRSKRHHRFFDHPPTEEEYLALCLMMLARGGSGHHLPSVSTASAAATPTPTAKVGFKCSVCGKAFGSYQALGGHKASHRKLNASSGGEEPSAAASPAASASGSSTAAAGATTTGGKVHQCSVCFKTFPTGQALGGHKRRHYDGSLGSGSATASTASEGASSSRKGFDLNLPATAEFALDVSRLCVAMAEEEEVQSPMAFKKARLLIPA >Ma11_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18687851:18690499:-1 gene:Ma11_g13640 transcript:Ma11_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRGAMFGIKDEIFGCCFTNIFISSTHIASFHSPFLTSTACRHFINRILNVHAPEWSGEIRSIVYSTDGSSVSVVYRVTLYGTDAEIYREASGTASVKDTEHGDPVQKAEAMAFRRACARLGLGLHLYHEDTS >Ma04_p18680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20757410:20760301:-1 gene:Ma04_g18680 transcript:Ma04_t18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTCDMPLMEDRPDRTVRVGSEPPGQEREQLVGILQENADVFAWSPSDMTGVDLETAQHHLNLSLDARPEIKYPRWLSNVVLVKKSNGNWRICVDYTSLNRACPKDYYPLPRIDQLVDATVGQAHLSFMDAFSGYNQIRMALEEQEHTAFITDLGVYFYKVMLFGLKNAGTTYQRAVNKMFAAQIGRNVECEEAFGQVKRHLANLPRLASVTLKEKLSVYLAASQHAVSSVLTKEVSGEQLPVYYASHVLNGPEERYPPLEKLALALVVASRKLRPYFQAHTIESIADFIAELAEGGNGSPEQTEEAWDLHVDGSTTSSSASAGLVLSAPDGRSFERSLFFGFRATNNEAEYETLLAGLKLALEMQVDVIRVFTDSQLVAEQLSGGYEAREPTMARYLAEVKSLASNFSRFTLSKVPRSQNERADELAKMASGLDHGNHSGVEDIPFRTISISSVTPAKARTTWVQEMLLFKHNGILPDDEATARRIRRTQTWYSEVNERLYKRSFSQPLLRCLEPGEAKAVLAKVHEGICGEHIAARTLAYKILRQGYYWPTMSRDARIYVQRCGPCQRHARMPRQPVVPLSPIDCAWPFAQWGLDLLGPFPLASGQRRYIVVGVDYFTKWTEAEPLAMITKWQAIITDNGSQFTSARFQEFCASYGIQLRFSSMAHPQTNGLAEVTNRSILNGLKRRVSAAQSAWLDELPSILWSLRTTPKAATGESPYSLSFGTEAVLPPKMVFPTLRTASYDERVSTQGLRADLDLLEE >Ma08_p24930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37968878:37969262:1 gene:Ma08_g24930 transcript:Ma08_t24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRRSRSTQSGSPGITDDHIMELMSKLQALLPDHRTRSSKRLSADEVLQDSCSYIRRLHQQVDGLSERLARLLAMSGMNDAEVAVVRRLLHM >Ma03_p31630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33772302:33782739:-1 gene:Ma03_g31630 transcript:Ma03_t31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWELVHADAEAEETADQGASEAGGICGPGVRWGHTCNAVKGGRYLYVFGGYGRDNCQTNDVHVFDTVQQSWSKPMLKGVPPSPRDSHSCTTVGDKLFVFGGTDGKNPLKDMHILDTSSNTWIVPNLNGEGPDAREGHTAVLVDKRLFIFGGCGKSVTHEEKYFNDLYILDTETLTWERAVTSGTPPSARDCHSCSSWKNKIIVLGGEDSSDFYLADVHILNADTLAWEPMKTAGKIFPPRAGHTTVALGSNLFVFGGFTDARNLYDDLYVLNVESRSWSKVIPENRGPSARFSVAGDCLDERKGIIVFLGGCNKSLEALDDMYYLHTDMPVLNGLCDQRPAKLSIRRELRKKCRQDYLPSQRILKNNYFLKKQMISDSLHADHLRETDQAGTMVSDLKPAGEITFEARVTNSNKYGYAIETIIDGKLLHGMLFSCTSNLSQDNHAYHKKRVAVDNMSDKGNMDRHICVANAPVEVRKSSDHGQPNSSSAKESTVCRPLVEAPSSNLMNSTLVKASWNVDQSSRMFMDTVNNDASDGAKPPNDRTFSGNLSISAEAQSSLLIQGYKEQGTTASNGQTT >Ma04_p31630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31877822:31908887:-1 gene:Ma04_g31630 transcript:Ma04_t31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MAEHINKERKPMDVEVVVLEEENEVECIDNKHKEKDDHVHLKENGKGDLHIVKHNKDEGDIGPKECSGSGVEVKVEEKVNNDEDLASDSFEMLVDDSDNEQSSASDYDDKAKNEAPLTDAEVEELVAEFLEIESKAAEAQESLEQESLAQVEREVRSELAESLHGDALELAVSNEMKVLVEEWEDVLDNLETQSALLLEQLDGAGIELPSLYKWIESQVPGGCRTEAWKTRAHWVGSVATSELNQSVKDAEQYLQSCHPVRRKHGRLLESGSSGYLAKNLSVKDGDNLIENSEKDWQIFDEIIHSGRFSDSNSFGSNNWAAVYMASTPQQAANLGLHLPGVNEVEEIGEIEENPFYDEATQNEKEIGLSEEQKRNYRKVREEDDVKITKKLQCRLKQRRKRKRKYQELVENDVLDGVAQLNESQLIFRDPSSSGADVDHPVAVAEDLKPEIPNKPKNEIPIQNDTIKRSCESEDVELDNKRHRTVIIESDDEVQVIDDKSPSHDLIRDQCLTAQVREVVDVIDSDVLSSPTPANNDSLMDIPEKFHCTACSEVLKASEVQRHPTLEVIVCTKCNLLIEEKMRIEDPDLGVYCRWCGKCDDLIRCKSCKMLFCAMCIARNFGETRFLDVETNGWDCCCCSPVLLHQFISECEKALKGFMVSSSESESELSDGQMVVRLGHKKRRKKRIRRIIDDTELGEETRRKIAMEKARQEHLKSMQAQSVGKFCNTRSNESVGEVSLDDAIEGYIVNIAREKDEEPVRIPQSISAKLKPHQIAGIRFMWENIIQSVKKVKSGDIGLGCILAHTMGLGKTFQVIAFLYTAMRKIDLGLRTALIVTPVNVLHNWRQEFVKWRPTELKSLRVFMLEDVARERRADLLSKWRVKGGIFLIGYAAFRNLSLGRHVKDRSTASEICHALHYVPDILVCDEAHMIKNTRADITQALKQVKTQRRIALTGSPLQNNLMEYYCMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTIDDVRIMNQRSHILYEQLKGFVQRMDMNVVKKDLPPKTVFVITVKLSPLQRKLYRKFLDVHGFTGDKISSERTIRPRCFFANYQALAQVWNHPGLLQVAKEHRDYLRQEDAVENFLVEDGSSDDNMENDFSNGEKQKAKDDIFYKRNDGVFYHQETDWWTDLLGEKIYQEVDYSGKMVLLLDILTMSSEVGDKVLVFSQSLTTLDLIEMFLSKLPRKESEGKFWKQGKDWYRLDGSTQSSERQKLVERFNEPTNKRVKCTLISTRAGSLGINLYAANRVIVVDGSWNPTYDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQIHRTMSKEEILHLFDFGDDENADMLEQDHRNPMTSSHDETNEVGCLGNHECLPFNTADKLMENLLRRHYPRWIANYHEHETLLQENEAERLSKEEQDMAWQTYRRSLEWEEVHRTTLDDGDRVANSNTPPEIIVSQQTKGSSRSRPVKQRKCTNLAHLLTLRSQGIKPGFSTVCGECSQEISWENLNRDGRSR >Ma04_p31630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31877822:31908887:-1 gene:Ma04_g31630 transcript:Ma04_t31630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHR20 [Source:Projected from Arabidopsis thaliana (AT1G08600) UniProtKB/TrEMBL;Acc:A0A178VZQ9] MAEHINKERKPMDVEVVVLEEENEVECIDNKHKEKDDHVHLKENGKGDLHIVKHNKDEGDIGPKECSGSGVEVKVEEKVNNDEDLASDSFEMLVDDSDNEQSSASDYDDKAKNEAPLTDAEVEELVAEFLEIESKAAEAQESLEQESLAQVEREVRSELAESLHGDALELAVSNEMKVLVEEWEDVLDNLETQSALLLEQLDGAGIELPSLYKWIESQVPGGCRTEAWKTRAHWVGSVATSELNQSVKDAEQYLQSCHPVRRKHGRLLESGSSGYLAKNLSVKDGDNLIENSEKDWQIFDEIIHSGRFSDSNSFGSNNWAAVYMASTPQQAANLGLHLPGVNEVEEIGEIEENPFYDEATQNEKEIGLSEEQKRNYRKVREEDDVKITKKLQCRLKQRRKRKRKYQELVENDVLDGVAQLNESQLIFRDPSSSGADVDHPVAVAEDLKPEIPNKPKNEIPIQNDTIKRSCESEDVELDNKRHRTVIIESDDEVQVIDDKSPSHDLIRDQCLTAQVREVVDVIDSDVLSSPTPANNDSLMDIPEKFHCTACSEVLKASEVQRHPTLEVIVCTKCNLLIEEKMRIEDPDLGVYCRWCGKCDDLIRCKSCKMLFCAMCIARNFGETRFLDVETNGWDCCCCSPVLLHQFISECEKALKGFMVSSSESESELSDGQMVVRLGHKKRRKKRIRRIIDDTELGEETRRKIAMEKARQEHLKSMQAQSVGKFCNTRSNESVGEVSLDDAIEGYIVNIAREKDEEPVRIPQSISAKLKPHQIAGIRFMWENIIQSVKKVKSGDIGLGCILAHTMGLGKTFQVIAFLYTAMRKIDLGLRTALIVTPVNVLHNWRQEFVKWRPTELKSLRVFMLEDVARERRADLLSKWRVKGGIFLIGYAAFRNLSLGRHVKDRSTASEICHALHYVPDILVCDEAHMIKNTRADITQALKQVKTQRRIALTGSPLQNNLMEYYCMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTIDDVRIMNQRSHILYEQLKGFVQRMDMNVVKKDLPPKTVFVITVKLSPLQRKLYRKFLDVHGFTGDKISSERTIRPRCFFANYQALAQVWNHPGLLQVAKEHRDYLRQEDAVENFLVEDGSSDDNMENDFSNGEKQKAKDDIFYKRNDGVFYHQETDWWTDLLGEKIYQEVDYSGKMVLLLDILTMSSEVGDKVLVFSQSLTTLDLIEMFLSKLPRKESEGKFWKQGKDWYRLDGSTQSSERQKLVERFNEPTNKRVKCTLISTRAGSLGINLYAANRVIVVDGSWNPTYDLQAIYRVWRYGQTKPVYAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQIHRTMSKEEILHLFDFGDDENADMLEQDHRNPMTSSHDETNEVGCLGNHECLPFNTADKLMENLLRRHYPRWIANYHEHETLLQENEAERLSKEEQDMAWQTYRRSLEWEEVHRTTLDDGDRVANSNTPPEIIVSQQTKGSSRSRPVKQRKCTNLAHLLTLRSQGIKPGFSTVCGECSQEISWENLNRDGRSR >Ma03_p18260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23819641:23821854:1 gene:Ma03_g18260 transcript:Ma03_t18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKVIANMDSANTRKDGGVGGNIPPRGRSAEEPDAGEGNAAVDDPNKDKESVGKDSQEDGWQVYTRKSRRRRAGRASLKPWGSSVSSPKAGWSSGKDCAPLEPWGSSISSPKAGSSSGKDCAPLEPWGSSISSPNAGWSCGNNSLQNNKNRPLGRGNSKQKPRHMASAPVIPPPLPHGWQCATRFGPSSSRSKVEEDCHVEVADAKEEEEEIVDDSDDDLTSYYDTDASQRGHETRRKNKWLQKFFGEMDDLTAEEISDPTGEWQCPACHGVPGAIRRYKGLQRLVSHAKTQKKARLKLHGEFASMLEENLGRRGASAAPAGKVFGKWQGPKNMTTDHEIVWPPAVIVMNTRLDHDENEKWIGMGNQELRDCFSSYDVVKVRHSYGPNGHRGMSVLIFEATATGYLEAERLHKHFAEQGTDRDAWAHPCRRLFCAGGKRQLYGYLARKEDMDSFNRHRHGRSRLKYEMRSYQEKVVIPTEQMREDAKQLPRLEKKVMRRERQYQTLEQTLDDITQLLHQVMEENSAVKLRAKLQHEEHKAQMDNQERFLKEQMDKIHKMTKEKERNFEKQLPKERAKAKDSDQRLRNEEIERFINSQVKEVEKFEADREKLKHAHEQKKLELKKRRQAEKVELAKELDAALTKLMEKYTPAGFHASSTSSS >Ma08_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4492668:4497232:1 gene:Ma08_g06630 transcript:Ma08_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MIYTAIDTFYLTDEQLKNSPSRKDGIDEETETTLRIYGCDLIQESGILLKLPQAVMATGQVLFHRFYCKKSFARFSVKRVAASCVWLASKLEECPKKAKHVIIVFHRMECRRESLPVELLDVLSKYTELKNDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWLVFDADKAGIDEVCRVLAHLYSLPKAQYVPVYKDNDSFTSRNKNSDQQAQVQKESSADGDTNGTSTLKLGAPNSDASLSKEAIVKAALDKLKVSKKTDDDTRTVAAEGDHKEESTVKPKIDHKADANTERNYDRERERTKTRDRDSRGRDSDYDGRGRDSDRDRDREKDVEREREKDRRHRAKDKGSGHSEKSRHHSSRDRSDYHSSHSSRDKDRHERHRHHPYG >Ma08_p06630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4492648:4497232:1 gene:Ma08_g06630 transcript:Ma08_t06630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MIYTAIDTFYLTDEQLKNSPSRKDGIDEETETTLRIYGCDLIQESGILLKLPQAVMATGQVLFHRFYCKKSFARFSVKRVAASCVWLASKLEECPKKAKHVIIVFHRMECRRESLPVELLDVLSKKYTELKNDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWLVFDADKAGIDEVCRVLAHLYSLPKAQYVPVYKDNDSFTSRNKNSDQQAQVQKESSADGDTNGTSTLKLGAPNSDASLSKEAIVKAALDKLKVSKKTDDDTRTVAAEGDHKEESTVKPKIDHKADANTERNYDRERERTKTRDRDSRGRDSDYDGRGRDSDRDRDREKDVEREREKDRRHRAKDKGSGHSEKSRHHSSRDRSDYHSSHSSRDKDRHERHRHHPYG >Ma08_p06630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4492668:4497232:1 gene:Ma08_g06630 transcript:Ma08_t06630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MIYTAIDTFYLTDEQLKNSPSRKDGIDEETETTLRIYGCDLIQESGILLKLPQAVMATGQVLFHRFYCKKSFARFSVKRVAASCVWLASKLEECPKKAKHVIIVFHRMECRRESLPVELLDVLSKKYTELKNDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPPELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWLVFDADKAGIDEVCRVLAHLYSLPKAQYVPVYKDNDSFTSRNKNSDQQAQVQKESSADGDTNGTSTLKLGAPNSDASLSKEAIVKAALDKLKVSKKTDDDTRTVAAEGDHKEESTVKPKIDHKADANTERNYDRERERTKTRDRDSRGRDSDYDGRGRDSDRDRDREKDVEREREKDRRHRAKDKGSGHSEKSRHHSSRDRSDYHSSHSSRDKDRHERHRHHPYG >Ma03_p04960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3271542:3273567:-1 gene:Ma03_g04960 transcript:Ma03_t04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERRREREKLRDRDRERDRDRDRERDRNRDRDRERNRDRDHRKRSRSRSLSRSRSRSAERHRRRHHRRSPSPDTHRHKRRREGSDDDRDRRRSSASEHAEGGPKEQKKQAGDDQRDGEAAAPTDADPDEVEMMKMLGIPLGFDSTKGKPVAGNDVTEPLKSDIRPKRF >Ma06_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10434335:10434926:-1 gene:Ma06_g15360 transcript:Ma06_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILGVDKSAEDDDLRKAYRKFAMRWHPDKNPNNKNEAEAKFKQISEAYEVLSDPQKRAAYDQYGEEGLKGQVPPPGAGDTTFFSGSDVGAASFRFRSVDDNFTEFFGFPSPFCGGAMGGSWFPGGMGG >Ma09_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:16346432:16346780:1 gene:Ma09_g18180 transcript:Ma09_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWILHDWSDEHCAKLLKNCWKALPEDGKVIAVESILPVVPEPSPLAQSVCIGDLVMLAYNPGGRERTQKELQHLAREAGFSGFNFTYVFAATWVIEFTK >Ma02_p11120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20444594:20451098:-1 gene:Ma02_g11120 transcript:Ma02_t11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGALRRPPVASSAPHQGFISRALLLLTVLPLALASFAFVLQWRGGVDDLTSRWPAADAQMFPVMDSNNDSHLGSSAADCADILGRSSSPSFPYYRGWNFNLGKDLKPKICITTSTSAGLEQIIPWLFYHKVLGVSQFFLFVEGKAAKPHLSAVLEAIPGVKLIYRTRELEEKQAKSRIWNETWLAAFFYKPCNYELFVKQSLNMEMAIVMAQNAGMDWIIHLDTDELLHPAGAQEYSLTSLLSDVPANVDMVVFPNYESTIERDDIKDPFSEVSMFKKNYDHLPKETYFGLYKEASHGNPNYFLTYGNGKSAARIQNHLRPNGAHRWHNYMKAPHEIKFEDAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRAAFIIASTATEEEMLRWYNEHVVWSDKQVTLMLLRKGILTRIYAPMAIVQSLRESGVFSSAIASAQASSKQKSTSPIENLQNRSSVILDPIDSISKRGRIGGNKIKAQATARKIMEIVGGVESAMPPMSPPGLDDFSMEM >Ma03_p16350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18646738:18649148:1 gene:Ma03_g16350 transcript:Ma03_t16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGEADPLSFLLLSWLLILPLVSSCSGSHGHMPRLRRLVQEDQDADDSSFPNSRLRDAYIALQAWKLAIVSDPMNLTGNWVGPAVCNYTGVFCSPLPSDPTLTVVAGVDLNHGDLAGYLPDQLGLLADLALLHINSNRFCGTLPRSLRRLALLHELDVSNNRLAGPFPDVVLRLPSLRYIDLRINEFEGAVPPELFDRDLDAIFLNHNRFAFDIPDNLGNSPVSVVVLANNRFHGCLPAGLGNMSRTLNEIILMNNGLSSCFPPEIGLLRSLTVLDVSFNRLVGPLPNVGGMVSLEQLDVAHNMLSGSIPASICGLPRLKNFTYSYNFFTGEPPQCFAVESFDDRRNCLADRPKQRSERQCKSFLSHHPVDCSAFPCKPFVPALPPPPQPSPPPPFPPPPSPPRLPPPPPSPPPPSPPPSPPPPSPSPPPPSPPPPSPPPPSPPPPSPPPPSYCIRSPSPPPPVYCSRSPSPPVYCNRSPPPPSPIFPTPAYSPSSLPPSPPHSPPWHSSPPPSIYSAPPPPNSPPPPSYPPPPNSLPPPSYSPPPNSRPPPPPPSNSPPLPPPCVEPPPPPCIEPPSPPCIEAPSPAPSPVYDEPLPAIIGISYTSPPPPVF >Ma07_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1391944:1392642:-1 gene:Ma07_g01790 transcript:Ma07_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRVFTALCLLSTFLCMVGFAIAAPNLVVEGRVYCDTCRAGFETKATEYIEGAKVKLECKNYTTGASTLTAVAVTNNKGTYQIPVSDDHQEESCAVMLVSSPRSDCSEISDGRNHAAVVLTHNVGITSSVRYANSLGFLKDVPLASCGQMLMQYALGVDD >Ma11_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23986816:23991224:1 gene:Ma11_g19020 transcript:Ma11_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDAWGRFSAAASKRHQSALQSRYADASRDPSLRGLRDLYLGFEELDGGEDDTRAEFPCPFCSEDFDIVGLCCHIDDEHPVEAKNGVCPVCAARVGLDLVGHLTTQHGSFFKMQRRRKYRRGSAASHTMLSLLRKDLREGNLHALLGGSSYMAPPPAVAPDPFISSLIYTLPLDEPSKDAQPESFDEGSLVSKSSDKEVVERVEPSLSDKDQKERARRSEFVQGLVLSTIFDDIV >Ma00_p00150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:181890:182385:-1 gene:Ma00_g00150 transcript:Ma00_t00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAIPYRSARGWFPTSPSSSSASPSSSSLGGVTVRMMVAENPVVVVGRRSCCMVDVARRLLLGLGVNPVVREIGEEAAAVEEAAALVEAVAEVGGAGGDRRRSSKLPVVFVGGRLLGGLDRLVTAHITGDLVPILKDAGALWL >Ma04_p08070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5785893:5786463:-1 gene:Ma04_g08070 transcript:Ma04_t08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEVDEATSIKLFGAVILKEDTQAKEKKEAKDETAAAREAASAVALPCPRCQSKETKFCYFNNYNVNQPRHFCRACHRYWTAGGTLRNVPVGAGRRKMRRAPHAGTTPSAGGTTCVMAYPSPSYLAERWLLRQRPEVQPTADFATFNRGLC >Ma03_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28836936:28839673:1 gene:Ma03_g24540 transcript:Ma03_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMRRSGSDDGSSRGSAEEAAAGAREVGGEWEMRPGGMLVQRRGWDPGAPPPEMRLRISYGAARFEVSVCSLATFRELKKILTAETGLQPEEQRLFYKGKERGDGEFLDVCGVKNRSKVVLMEDPTSLERRYVEMRKNARIQSAQRAISAVSMEVDKLADQVTTIEKSITERNKVAEVQITTLIELLMRQAVKLDSIHTEGDASSQKNLQAKRVQKCVETLDLLKIRNGRLKPVVVTTKWETFDPPTTTTQWEYFD >Ma10_p27790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34883509:34891867:-1 gene:Ma10_g27790 transcript:Ma10_t27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCCGLSLRSSASALLDPQGISSSHTCKRNSGDLHRQVLSLRAKQFIPHAYRLDPCLYKEALPPFKYSRKYKGAISAESGRQTWDFGRFIRTLYFFNGPPNPAKFIESLIEKLSSPTSTELIKKMETSDMVLVAGATGGVGRRVVNILRNKGFPVCALVRNKEKARSMLGPDVDLIVGDITKMETLSPEYFKGVKKVINAASVIIGPKEGDTPDRAKYSQGIKFFEPEIKGDSPEKVEYIGMQNLINAVKNSVGLQDGELLFGFKGNITGKLIWGALDDVVMGGVSESGFQVDPKGNEDGGPTGLFKGVVSTANNGGFTSIRTKNFSTPEDLSAYDGIEMRVKGDGRRYKLILRTSYDWDTVGYTASFDTTKEQWQTIKLPFSSFRPIFRARTVTDAAPFDPSKIISLQLMYSKFEYDGKLNPTFVEGSFQLPFSSIRAYINEPITPRFVHVSSAGVTRPGRPGLDLSKQPPAVRLNKELGFVLTYKLKGEDLLRESGIPYAIVRPCALTEEPAGADLIFDQGDNITGKISREEIARICIAALDSPYACGKTFEVKSTVPFSELYVIDPENPPPEKDYDEYFKNLKEGITGKEALEGNPVPV >Ma01_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1357542:1358462:1 gene:Ma01_g01990 transcript:Ma01_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNCCLFIAGVSWLMEGHLAGRLLHDLLSYQLSIHPCQAHGVIVFMGYPHSLMLENRKSYMSCGE >Ma08_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36594822:36596734:1 gene:Ma08_g23200 transcript:Ma08_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDGNSSHRAPSFDTDQFDFGLGGSTRSSASRPLKDQKSSPYATPGTASRPTAPAWSHQPSRPSWTPAAPSVITGAARSGNLANAPVSMVGDIFGKTWASAAPPRSASSGIGIPKSDPNLFGDLVGSALGQGRSGSSNVPLKSAAPRNAFSMGNLSDSLPRSTTTTAASTANSIPMRPSSWGSADLLGNSSAFAQPDPKIRTAMGGGGQPMGSATGVRPVTAKSDPFDSLIGFGSKPSTKAPMSSVNSSNVSSCNAVADDFPFGAFQNINSTKNAHIMTQGQSFSAPPPPPQPTASPKNGTDPLDMFFSSPAPAAGVAPESSASQQFSEINDWDLGSEFGGHETCGPTTELEGLPPPPAGITAPAAMTKGLDNYKQGQFADAIKWLSWAVVLSEKSGDNDSLSEVLSCRASCYKEVGVR >Ma08_p23200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36594822:36596717:1 gene:Ma08_g23200 transcript:Ma08_t23200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDGNSSHRAPSFDTDQFDFGLGGSTRSSASRPLKDQKSSPYATPGTASRPTAPAWSHQPSRPSWTPAAPSVITGAARSGNLANAPVSMVGDIFGKTWASAAPPRSASSGIGIPKSDPNLFGDLVGSALGQGRSGSSNVPLKSAAPRNAFSMGNLSDSLPRSTTTTAASTANSIPMRPSSWGSADLLGNSSAFAQPDPKIRTAMGGGGQPMGSATGVRPVTAKSDPFDSLIGFGSKPSTKAPMSSVNSSNVSSCNAVADDFPFGAFQNINSTKNAHIMTQGQSFSAPPPPPQPTASPKNGTDPLDMFFSSPAPAAGVAPESSASQQFSEINDWDLGSEFGGHETCGPTTELEGLPPPPAGITAPAAMTKGLDNYKQGQFADAIKWLSWAVVLSEKSGDNDSLSEVLSCRASCYKEVGEYKKAVTDCSKVLDKDTTNVPLLVQRALLYESMEKYKLGAEDLRMVLKIDPGNRLARSTIHRLNKFAD >Ma09_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3114451:3117152:1 gene:Ma09_g04850 transcript:Ma09_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGNSTVCPPSDMILLSGLWANEIDIEVLSEFLFPVLIMQTSVILALTRFLAYALKPFGQPRVVAELIGGILLGPAAFGKLTYNSASAENAGSPGTSVVKPLYRFLFPPTSYTLIEAIGFLGLIYYVFLVAVELDVKVFRVMGRKVVAVAMASLTLPLLATAAIVAVFGLPAPMANNSYKASGTEQAAFVLLLSFALSITAFPILARLLAELKIPNPEVGQVVLPSALVGDVVSWLLLALCFALIGPGDKAAAHEYLAPFWMVLAAACLVLVCLYMVRPLLEWTLRQMPEGEPVNNVYMGLVLIFVLGAALASATVGFHPVFGALVLGLAVPKGPLTTTLIERLDDFVIGFMLPFLIVGCGLKADVASLMRPEGNEPTHYVFWLGCIVVVATLAKVAGCMIISSFYSMPRSQGLSLGILMNTMGPAQAIILNMGKSEKVFNQKIYALLVVSSVISTALVSPLVTALDRKCRGPAIYKQRNLELSRRDSDLRLVACVHTVRNVPSVISLLQLSNPTHDSPLLVSAVHLVELTGRTPPMLIVHEAGAIGTLTRRNTDNAPPDLVHSEPIVSAFEKYQQHAGCVTLQALTAVSAYSSMHEDICNIAADHQATLIVLPFHKLLTVDGEMEVINPAIRAVNQGVLTNAPCSVGILVDRGLGEHGKFANAGHYTPLHVAILFFGGPDDREALMYGRRFLEQPGVVLTVVRFLPSYEAEAPAAAPAVWPAKVDRLWDDECIRDFRLQFGDNASVSYTDKVVNDSSATVAAILSMGSIYDFYVVGRASGGGSPLVVGMTEFTDFPELGPIGDLLVSSDMGAMVSVLVMQQYVEERIE >Ma00_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1756604:1761776:-1 gene:Ma00_g00570 transcript:Ma00_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLSLQDLKSFSKLNSREADDLENYRNYGHAEPLCALQKERVASSFSKEKSSPTTPTKREECVRATIGVIALLFLFLLILLCSVYLHTFLSREASQYYIILDSGSTGTRVYVYKWSIDQNDAIQNFPIALKSLPEGPQRNPSAQSGRAYHRMETEPGFDKLVHNESGLRGSLQPLLQWAEKQIPKHAHKGTSLFLYATAGVRRLPSSDSEWLLDKAWTILKNSSFLCRRDWVKIISGMEEAYYGWIALNYHMGLLGSLPAGKTYGSLDLGGSSLQVTFETETPIHDDTSINLRISSASHHLSAYSLSGYGLNDAFDKSVAHLFRKLVGTSADFINEKKLQLKHPCLNTGYMEEYACSRCTSVNLEGSPLIGGKTMSKRRTGTIVELLGAPQWEECSALAKLTVDLSAWSNFSSGVDCKHKPCALSDGLPHPRGKFYAMSGFYVVFRFFNLSSEASLRDVLKRGQEFCGKTWQVAKNSVAPQPFIEQYCFRAPYVASLLRNGLQIKDSQVIVGSGSITWTLGVAILEAGQTLSSKVEPQAYKIVQTDIHPAILLAVLLISLILLCCALSCVSNWMPRFSRRSFLPLFRHNSVTNSVLNIPSPFKFQRWSPIVSGDGRIKTPLSPTIGGSEQQPFSMGHVLGGSSIQLGESSVHPLVASHSHSSGIVGQMQFGNGAGSFRPPHRGQATLSSRRSQSREDLSSSLAEAHMVKV >Ma00_p00570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1756604:1761786:-1 gene:Ma00_g00570 transcript:Ma00_t00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLSLQDLKSFSKLNSREADDLENYRNYGHAEPLCALQKERVASSFSKEKSSPTTPTKREECVRATIGVIALLFLFLLILLCSVYLHTFLSREASQYYIILDSGSTGTRVYVYKWSIDQNDAIQNFPIALKSLPEGPQRNPSAQSGRAYHRMETEPGFDKLVHNESGLRGSLQPLLQWAEKQIPKHAHKGTSLFLYATAGVRRLPSSDSEWLLDKAWTILKNSSFLCRRDWVKIISGMEEAYYGWIALNYHMGLLGSLPAGKTYGSLDLGGSSLQVTFETETPIHDDTSINLRISSASHHLSAYSLSGYGLNDAFDKSVAHLFRKLVGTSADFINEKKLQLKHPCLNTGYMEEYACSRCTSVNLEGSPLIGGKTMSKRRTGTIVELLGAPQWEECSALAKLTVDLSAWSNFSSGVDCKHKPCALSDGLPHPRGKFYAMSGFYVVFRFFNLSSEASLRDVLKRGQEFCGKTWQVAKNSVAPQPFIEQYCFRAPYVASLLRNGLQIKDSQVIVGSGSITWTLGVAILEAGQTLSSKVEPQAYKIVQTDIHPAILLAVLLISLILLCCALSCVSNWMPRFSRRSFLPLFRHNSVTNSVLNIPSPFKFQRWSPIVSGDGRIKTPLSPTIGGSEQQPFSMGHVLGGSSIQLGESSVHPLVASHSHSSGIVGQMQFGNGAGSFRPPHRGQATLSSRRSQSREDLSSSLAEAHMVKV >Ma04_p33610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33148235:33149163:1 gene:Ma04_g33610 transcript:Ma04_t33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFRGFKLGRRLVGVWRWVVRRRRPKHYLRLDTPSKSNLSKTSTMATKIFDWGRHIAGRFCRGPHCRIGARTSADDRKLSAGAPLIEMEGEARRGWTPPPKGHLAVYVGGEKEGGPPRRYMVPVIYFNHPLFGELLREAEEEFGFHHPGGITIPCPAAKFERVRSRIAAGSERLRCKRASSLLSS >Ma06_p35250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35186146:35193666:-1 gene:Ma06_g35250 transcript:Ma06_t35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSKIARPISRSSRRRSGHGWLPSGFGVKSGCSREALLQDPICHGDNGGLRSLRGYLTSVGAHRTLGERNHLADWRFFLANPSFRRFFSSESPNKKNYENYYPKDKKEIPKANENNESDSKEDSNADDSGSLKENLMKALQGYVAPLLFMGLLFSSSSFGSSDQKEISFLEFKNKLLEPGLVDHIVVSNKSVAKIYVRSSARLSNQTKDDQMQETTTPAPPAHSASQFKYYFNIGSIESFEEKLEEAQEALGIDPHEYVPVRYVSEVNWFQELLRYAPTAFLVGLIYFMGRRIQGGIGGGVGKGNRGIFNIGKAQVTRMDKNSKNKIFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKAAAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFAEARQCAPSMIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQIALDKPDIKGREQIFRIYLKKIKLDKDPSYYSQRLAALTPGFAGADIANVCNEAALIAARNEGTQVTMQHFEAAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLLTKEQLFDMTCMTLGGRASEEVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSSKVGLLSFPQRDDTLEMTKPYSSKTGAIIDEEVREWVSKAYERTVALIKEHKDHVIQIAELLLEKEVLHQEDLVRVLGERPFVSSEPTNYDKFIQGFQDEDNTSNNLPEDAALEDDGSSPLNGEVVPT >Ma03_p30510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33125172:33128032:-1 gene:Ma03_g30510 transcript:Ma03_t30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPFVPFHEEYLHGVDNSLLWALSPELPFDCTAAFPELMQEIDPPVAPPPPAHSSAFGRYARSEGAQMSECCSSETRSGGDGGRNIHRRVIEMLRRIPKGEEPSGVETSRGFRHMMRERQRREKLSQSYADLYSMIASRSKGDKNSIIQSAAQYVLELQGVQKALQKRNEELKAEILRNNATDGAKIKISVTNPSSSIDSMIGALRCLKSLDVKAKAIRSDLSSTEFSATMSIDTKMATADVERVVQGALMEVEKKLHRQLPGINTRSQQCHVENMI >Ma06_p27080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29101126:29106028:1 gene:Ma06_g27080 transcript:Ma06_t27080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIAVFRRLRTLCPTLQLRPPSSTTSSATFVRHCSFIRSQLHELGPVTTRTIATQAVRSWMKGVNNIHEITRTNLQASQIRFGTCGHKYSYTLNLRCAFSSNISQLPVITDPDIEAAMKDLLAINWDEIPDSVIRETKKALSKTTEDIAGQEALANVFRAAEASVEFSGVLVSLRMALDDLCGLSGENVGHLPEHLEDAIRASYKRYITYLDSFGPDETFLRKKVELELGTKMIHLKMRCSGIGSEWGKVTLLGTSGLSGSYVELRS >Ma06_p27080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29101104:29106028:1 gene:Ma06_g27080 transcript:Ma06_t27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIAVFRRLRTLCPTLQLRPPSSTTSSATFVRHCSFIRSQLHELGPVTTRTIATQAVRSWMKGVNNIHEITRTNLQASQIRFGTCGISGHKYSYTLNLRCAFSSNISQLPVITDPDIEAAMKDLLAINWDEIPDSVIRETKKALSKTTEDIAGQEALANVFRAAEASVEFSGVLVSLRMALDDLCGLSGENVGHLPEHLEDAIRASYKRYITYLDSFGPDETFLRKKVELELGTKMIHLKMRCSGIGSEWGKVTLLGTSGLSGSYVELRS >Ma02_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26442782:26443411:1 gene:Ma02_g20470 transcript:Ma02_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSLFSSSLGHQSTPLAVTMKRYRGGFRLGRRLVRIWRRVFRLEGGYHRRPGPAPSSAISNARRRIESSAVATRLFDWGRSLSRRLRRRGEDRAPLLEEDAWGAPPKGHLAVYVGGGQPRRYVVPVIYFNHPLFGELLREAEEEFGFQHPGGITIPCPAAKFELIRTRIAAAGCHLRRKSSSSKFLPL >Ma06_p08000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5682429:5683382:-1 gene:Ma06_g08000 transcript:Ma06_t08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYPKGKGKVHPSPAAPPSAGGDEGGSSVHSHDALAVLKLLPAAILALTAALTCEDKEVLAYLITQSINGPAAGMEPRRRREAMPPAHRPLFDCGCFDCYASFWSRWDCSPDRELIHQAIEAFEERLASSENKGGGDGCKGRRRERQARDRAEKGKGKRKKERGKSVEVEASVVHELKLFEASVASGAARFSGEVKEASETAEPATEVKGEERSGEEEAVAVAVSNERRRGWPDLMGLFNPRLWSLWCPGI >Ma06_p37930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36863704:36864304:-1 gene:Ma06_g37930 transcript:Ma06_t37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPMNTILRLSRRSYAVVAAEASRAPAADEEVARAAVVAGEQGAATREKVFWMRDPKTGNWMPENRFGDLDVAELRARFLSFNHGK >Ma07_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6842309:6842582:1 gene:Ma07_g09100 transcript:Ma07_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALPVYKGFLCNFLYASVDWVNPSLEQQQAMQDKQVSIHIQVSKRCNSVYHK >Ma11_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2726346:2728385:-1 gene:Ma11_g03660 transcript:Ma11_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTQILEDPINSLIQRCPDMRTLRQIHAHFLKSPLGSSAYALSKILAFSALSASGDIAYARRMFAQIPNPNVFSWNSIIRGCSLVPEQSKEPISLYKRMLRSGFAHPNSFTVAFVLKACSIVSAFSEGLQVHCHALRYGLFSSPFVQTGLLNFYAKCEKIACARCVFDEISDKNLVAWSAMIGGYARIGLVNEALVLFREMQEVGIAPDEVTMVSVISACAKAGALDLGRWVHAFIDRKGIELDLELSTALIDMYAKCGAIDKAREVFDGMDVRDTMAWSSMIVGLAIHGHVKDALELFSAMLDSQVKPNHVTFIGVLSACAHSGLVSDGRRYWSSMQELGVAPLMEHYGCMVDLLCRAGRLEEAYTFVNGMPIMPNSVIWRTLLVGCKNSRTFDEAETAAEQLLQLEPLNAENYVLLSNLYASRCQWDKVSRMRKKMKDHGVKVVPGCSSTEIDGFVHEFTVGDDTHPEIREIREMLRDIAERVRRAGHEPWTSAVLHDVVEEEKESALCEHSERLAIAYGMLKTEAPVVVRVVKNLRVCGDCHEVTKIISKIYDREIIVRDRVRFHRFVNGSCSCSDFW >Ma10_p10460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24393617:24394234:1 gene:Ma10_g10460 transcript:Ma10_t10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSESRPSPRRQVQGPRPTPLKVSKDSYKIKKPPVAPPPRVPLVIYAVSPKIIHAQPGEFMTLVQRLTGPSSSADPSLTGELSPAARIAAFERATPTHAGDRAGTDGVDQLGIERWPTLDRPASFPGILSPLPWALPPISPKLFSPSFDPSVFSILQELSPVIGSSSSSGGKAFLTSPSNSFLSTPIVPSPGACWDLLSQFPDV >Ma06_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11866049:11870362:-1 gene:Ma06_g17470 transcript:Ma06_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGLLMEGMVYPGGPNYAQLFASNDEKNLSYGSLLHQPSLGECIVGEGDLVDPPPENFAEAGDEESDEDIDVEELERRMWRDRMRLKRLREQQQNKNKEQGDTAKQHQSQEQARRKKMSRAQDGILKYMLKMMEVCQAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAIPGSSSETIPGTVSPHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTGREEWWPELGFPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEIATWLAVVKQEEDVYLKLHPNTCPPPSSGSAISFNSSCSDYDIEGADEGKSEDAVIQNPAADGNTFSLSATMGNEKFVISVPMKEEINCDFIQKRTAAEPQLMLNQRVYTCDNAKCPHHDFRQGFTDRNARNRHQYLCKYQNTFPQSLTMLSDNFQVNESKPPVVPMSSNAQPNATRLGSSLNPVHVSDLGIPSDGQKSINELMGFYDNNVNGNKSLTLGSVSVLEGSNSLQSRIQMEDNFFRQETGTGGSLFEQVGSLVQQPQFFLREDMMPFGQQFSNQPNELSGGFRFRSGLNIPAMDYSDVSQRGMGGSLQKHDGSSWFY >Ma09_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12386654:12387964:-1 gene:Ma09_g16890 transcript:Ma09_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSQETREERAEAAARKAADELAAIRSERGRQREAEHEREESLRLHKDYGLQGGEERRGGVLESIKEGTKSLFGAITGRTQEAAEKTAEKAGETKDAAVQKARAVKDSASQKAGETKDKTVETARETKDSAAEKAREMKDKTMGKAGGYKDYVADKAKEAKDATAEKTRKYKESAEVREREAKDTAAEKAREHKESAEERARQAAEETRETKDMVAEKTKGTTESAKQKMEEYKDAAADAARKARDYLAGTGEVTKERLAEAEEKAEQKMEEAKRKEEQSRREEESRQWTEEGKEAGGGGLFGAIGSMAESIKEKLSVSKDETEPKEEERLTVVCATVRDAEGGDDDVPLRVKEADQSSGQGFNDVGKMGEEGTGPPSLRLGRHGDK >Ma04_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3203224:3207238:1 gene:Ma04_g04180 transcript:Ma04_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDIISDDSAVVSLQEVVILKERSKKKKKKKSRKAQEKAMTEKHVEVKEEPIRVVKLVLGDDIRWAQIPADCSMIHLRETVGKKFPNLKAILIKYKDKEDDLVTITTSEELRWAEESADPQGSLRLYLTEVSPENEPWLADIETSSSLKGQGRNYVDISENGSGNSDEKSLSTYIDDWIVQFAQLFKNQLGFSSDAYLNLHELGIKLYSEAIEDTVTSEEAQEILKLAEGKFQEMVALALFNWGNVHMSRARKKLFLSEDASKESVLERVKEAYKWAHAEYIKAGKRYEEALKTNPDFYEGHFALAQQQFEQAKLSWYYAIGSNIDLDVWPSAEVFGLFNDAEYNMEKGTEMWEKMEELQLKELTQPRELRGLLEKMGMNGYFKELPTEAATEQTSNMRSQINMLWGSMLSERSAVEFKLGLPTWEGCLMAAVEKLKLAGVSSANVAVIIRNHCANATAQEGFSLKIDEVVQAWNEMYDAKRGMDGLPSFRLEPLFHQKAPNLYRMMENI >Ma06_p28760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30358300:30360704:1 gene:Ma06_g28760 transcript:Ma06_t28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAVSASSIQNPSSNPPTVNKKKRSLPGTPDPEAEVIALSPKTLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRTSKEPRKRVYVCPEKTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSRCYAVQSDWKAHSKTCGTREYRCDCGTIFSRRDSFITHRAFCDALAEETARVAASHMSSRVTSIHNGNLNFADGLMRSNVVQNFPSFLKPPVVEGEMVDEARSGLSLLMGQAPPLETLTKADDPFASQLSWIYQNKLVPWGSDELISSITLPMSGMKETEDPYSLLLSAPYHYSTQHHHHLVPPAPDTSATALLKRAAQIGVTASRPTSEAKSPDSNIRNLNTNRSYSATHPGNAMENAADPLRMMYSTRHHAAQKDEGPEGETRDFLGVDAPTIRASSLSGWIRIRN >Ma02_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21895293:21901957:-1 gene:Ma02_g13510 transcript:Ma02_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALPWHSPFCPPPPPSPPYSLLPFSSFPKFRVFHSSWSANPVVARGSLASCCSGKKSSRRGRASKSNEELCHELREFNLAIGLPENRVPSMKELCEKGRKDLANIVRRRGYKAITELLLNSNGESHSGKVLEGKQASINGNLYETAGGQERNVIVSPSCTFSSRNYSLEDMVKSNGAVSTKNHDQVCEDSSESLHLKAAKFEHTEELDIIKGEDTELYQDWAPKAHEQHNQNEINHLKSLLDQKEVELSQLKQQIENEKLALSNLHAKGMAELGDIQRTIAEKDAELHAAEENLDGLKEVHIDYWANGQTVEVTGSFNGWQHRVRLDLHPSSGQTNPRGLRKPLLWTTVLWLYPGVYEIKFIVDDHWRIDFQREIITSGGITNNLLRVDG >Ma02_p13510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21895293:21901957:-1 gene:Ma02_g13510 transcript:Ma02_t13510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALPWHSPFCPPPPPSPPYSLLPFSSFPKFRVFHSSWSANPVVARGSLASCCSGKKSSRRGRASKSNEELCHELREFNLAIGLPENRVPSMKELCEKGRKDLANIVRRRGYKAITELLLNSNGESHSGKVLEGKQASINGNLYETAVILGGQERNVIVSPSCTFSSRNYSLEDMVKSNGAVSTKNHDQVCEDSSESLHLKAAKFEHTEELDIIKGEDTELYQDWAPKAHEQHNQNEINHLKSLLDQKEVELSQLKQQIENEKLALSNLHAKGMAELGDIQRTIAEKDAELHAAEENLDGLKEVHIDYWANGQTVEVTGSFNGWQHRVRLDLHPSSGQTNPRGLRKPLLWTTVLWLYPGVYEIKFIVDDHWRIDFQREIITSGGITNNLLRVDG >Ma00_p04430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:38076127:38077510:-1 gene:Ma00_g04430 transcript:Ma00_t04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLLLLLQFIVLLMLQSINAQPSPGYYPSSRIWPMRFYQGYSYLWGPQHQSVSQDQSSVTIWLDRSSGSGFKSNRPYRNGYFGASIKLQGGYTAGVNTAFYLSNNQAHPGFHDEVDIEFLGTTPGKPYTLQTNVYVRGSGDGRIIGREMRFYLWFDPTADFHHYAILWNPDEIIFFVDDVPIRRYARKMEATFPDRPMWVYGSIWDASSWATENGKYKVDYGHQPFVARFTGFKTAGCSAYAPWSCNPVSSSPAGYGLSSQQDAAMQWAQRNHMIYNYCQDYSRDHSLTPEC >Ma09_p26810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37985434:37990911:1 gene:Ma09_g26810 transcript:Ma09_t26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMNSFEELFDNSDVEDEFQSCCTEDEEWQDTEESLAEGFKDELDELSLRMFFKGVSASDLHSKESRVSGIGVVMERSPGIPLIQVQKKLDFHVEALVAEHLALMDGLLAALQNGARRLYAFTDSEKVYYQIAETEILDDQLLVALGHRILELADQLEDFDLQLVSSFELERPLRLAKEAIGDCAMDTCSICSEEKISSKMIKINCSHKFCSDCMFIYVEHKLRTLQVPIRCPQARCRNFISVSECKPFLPVASYKLLERVAMEADAHNGDRIFCPFQNCSGLINCVNHLSSRASSSTQPDINCVECPECHRDICIGCRVPWHSLMTCDEYQNLPAEERDAGDITLHQLAQNARQRRCQQCRQMIELADGGYHMTCWCGHEFCYLCGAEYRNGIQTCQCAFWGENSLESSATSNHESELWTWESFDSLPTVMDEYSEQERAQLALIQRFLAGGFSLSELHHPSQSPPRCSDSYMDTMRDLHQLPWLERFVSVISDSYHEDFVQ >Ma09_p26810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37985426:37990911:1 gene:Ma09_g26810 transcript:Ma09_t26810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMNSFEELFDNSDVEDEFQSCCTEDEEWQDTEESLAEGFKDELDELSLRMFFKGVSASDLHSKESRVSGIGVVMERSPGIPLIQVQKKLDFHVEALVAEHLALMDGLLAALQNGARRLYAFTDSEKVYYQIAETEILDDQLLVALGHRILELADQLEDFDLQLVSSFELERPLRLAKEAIGDCAMDTCSICSEEKISSKMIKINCSHKFCSDCMFIYVEHKLRTLQVPIRCPQARCRNFISVSECKPFLPVASYKLLERVAMEADAHNGDRIFCPFQNCSGLINCVNHLSSRASSSTQPDINCVECPECHRDICIGCRVPWHSLMTCDEYQNLPAEERDAGDITLHQLAQNARQRRCQQCRQMIELADGGYHMTCWCGHEFCYLCGAEYRNGIQTCQCAFWGENSLESSATSNHESELWTWESFDSLPTVMDEYSEQERAQLALIQRFLAGGFSLSELHHPSQSPPRCSDSYMDTMRDLHQLPWLERFVSVISDSYHEDFVQ >Ma05_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4982395:4986799:1 gene:Ma05_g06780 transcript:Ma05_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAADRFVGGGGGGGDEPMLLHHPQMYDHVPQHSRREKLRFPLEGSSPPSASFLLLHDADAAPSLYPANSLTAFLPSSSSSSSYYSHNPTLNYGDAQFDGHGALPIPAQHQIPIQGFSLSLTSSSSPRPPASRHHLASRPAPLGPFTGYAAVLNRSRFLEPARKLLEEVCRAGHQAAAGSGGGSREMLLDADPPRESLMDHGVDVVAGHGTKEDRAVAGTEQQWKKTRLISMLDEVYRRYKQCYQQVQAVIASFESVAGLSTASPYASMALKAMSKHFKCLKNIISGQLRQTSNKGHGDEGVSREDISSFGLLNSSNYLQKTTNSPATFAQPHVWRPQRGLPERAVSVLRAWLFEHFLHPYPTDVDKQNLAKQTGLTRNQVSNWFINARVRLWKPMVEEVHSLELRQKNKTSASGGSNCATTDEQPQPPPSSRSNPLSSPQFQVASICRNQNSVTEGIHEELTSMRNHIQGGPVNFVYDMSNHQHVAGGASVVAAGGNGNGNGNGVSLTLGLHQDSGVCFSEPLPLNVARRFGLEECNDTYLVSSFGAQERQFGKDVIGGRLLHS >Ma07_p26390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33203840:33214703:-1 gene:Ma07_g26390 transcript:Ma07_t26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSETKGEGTMRKAFGGVLGFFILLLIGALAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGSIWWLLNNLNIPLSVETVCVFTAPIFSANASWATYLLTKEVKGTGAGLTAAALLALVPSYISRSVAGSYDNEAVAIFALIFTFYLYTKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSPRLYIAYAPLVVLGTLLASLVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVTLVVTIGIAVCCAVLAILIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIVACFLPLSDASSFMVLYITTSVYFSGVMVRLMLVLAPAACIMSGIALSEAFDVFTRSIKLQLPKLFESPPPGSGDIDSAQDEVVKVDNKAATDKSETAPKERPSKKNRKKEKEIVETTIKRPLKAKRLLVLPLEASAVAILLLIILGAFYVVHCVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKPAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIREPDYLRDGQYRIDAQATPTMLNCLMYKLSYYRFVETDGKGFDRVRRTEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPPKNRIRGKSKKSKSNSKTSPSNSKRHGNLRKNPWQ >Ma05_p11860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8663537:8669154:-1 gene:Ma05_g11860 transcript:Ma05_t11860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRAFCIEELPAHLILEILSCGRLGAVDLASLETTCRMFSGAHGLLPNKFRSMVEFAAFHICMTHTIFGSLPQSARTDLLGRCHGNWKKVLRFLQSVEQSSCSVETSAGNMQVTTGRYHTLLIHDSSVYSCGSSLCGVLGHGQDTTQCAAFSRINFPSVSHVIHISASHNHAAFVTQTGEVFTCGDNSSFCCGHGEVGRTIFRPTRIEALKGIPCKQVATGLSFTVILTMQGQIYTCGSNTHGQLGHGDTVDRPTPRNIEYFEGLGHVVQISAGASYTFAVTYDGRIHSFGSCNNFCLGHGDQHDELVPRVIQSFRRRNIHILRVSAGDEHAVALDSSGYVYTWGRGYCGALGHGDENDKTSPELLATLKGHLAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFSDRGSSDKVMKPRVLDSLKSHHVTQISTGLYHTVGVTNRGLVFGFGDNERAQLGHEKMRGCLKPTEIVVQRTMDDIAIAAQSG >Ma05_p11860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8663537:8669116:-1 gene:Ma05_g11860 transcript:Ma05_t11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRAFCIEELPAHLILEILSCGRLGAVDLASLETTCRMFSGAHGLLPNKFRSMVEFAAFHICMTHTIFGSLPQSARTDLLGRCHGNWKKVLRFLQSVEQSSCSVETSAGNMQVTTGRYHTLLIHDSSVYSCGSSLCGVLGHGQDTTQCAAFSRINFPSVSHVIHISASHNHAAFVTQTGEVFTCGDNSSFCCGHGEVGRTIFRPTRIEALKGIPCKQVATGLSFTVILTMQGQIYTCGSNTHGQLGHGDTVDRPTPRNIEYFEGLGHVVQISAGASYTFAVTYDGRIHSFGSCNNFCLGHGDQHDELVPRVIQSFRRRNIHILRVSAGDEHAVALDSSGYVYTWGRGYCGALGHGDENDKTSPELLATLKGHLAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFSDRGSSDKVMKPRVLDSLKSHHVTQISTGLYHTVGVTNRGLVFGFGDNERAQLGHEKMRGCLKPTEIVVQRTMDDIAIAAQSG >Ma05_p11860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8663537:8669196:-1 gene:Ma05_g11860 transcript:Ma05_t11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRAFCIEELPAHLILEILSCGRLGAVDLASLETTCRMFSGAHGLLPNKFRSMVEFAAFHICMTHTIFGSLPQSARTDLLGRCHGNWKKVLRFLQSVEQSSCSVETSAGNMQVTTGRYHTLLIHDSSVYSCGSSLCGVLGHGQDTTQCAAFSRINFPSVSHVIHISASHNHAAFVTQTGEVFTCGDNSSFCCGHGEVGRTIFRPTRIEALKGIPCKQVATGLSFTVILTMQGQIYTCGSNTHGQLGHGDTVDRPTPRNIEYFEGLGHVVQISAGASYTFAVTYDGRIHSFGSCNNFCLGHGDQHDELVPRVIQSFRRRNIHILRVSAGDEHAVALDSSGYVYTWGRGYCGALGHGDENDKTSPELLATLKGHLAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFSDRGSSDKVMKPRVLDSLKSHHVTQISTGLYHTVGVTNRGLVFGFGDNERAQLGHEKMRGCLKPTEIVVQRTMDDIAIAAQSG >Ma02_p09100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19250508:19251775:1 gene:Ma02_g09100 transcript:Ma02_t09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAEAANSAVSAARGSLLHKFSFPILKTWGNQRLFRCTSVDGKGEAVAAGGRSASDAARGGIRVPEVSGDERGVEEVREKLFVHLKEAADRMKLAIPPPAPLKGGDADKKTDREAEADAEPSSSSMARSCKLRTRRRGPRTPSVFERQTSASPVAAAEKRPVRLRSGSIAPEERPKFSITLTREEIEEDIYSVTGHRARRRPRKRPRVIQKQLDLLFPGSWLSEITLDTYKVPD >Ma03_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6382365:6385817:1 gene:Ma03_g08670 transcript:Ma03_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSDEEEEIDLHGIEEDKGKRQIESAAPSLASPPPSSPLPPSSVLPPNRRNQNSIVTDQPSTIISLPPPSLEGLPDVSVLLAAPSYESNHMVGDHSSRVAAAIAERASRKRESNGSTFPQPSSKHPRGQSTHPRNVPNTMGGLLVPPQLSGRSNVVTEDVGKLFVSKRGESSR >Ma11_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9695581:9702174:-1 gene:Ma11_g10290 transcript:Ma11_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYADARHQAAAQAAAQAAVVVPTPQPALKRPRSDYPDMPGGSEMLGYYTHDEERTGYRVIRDTETVEASYDRYLRNGISSYGAGEPVRPVAGGITARPVDDRRVMGVAGMDRSGYGGGRMEPPLPPDASNTLFVEGLPSDCMRREVSHIFRPFVGFQEVRLVTKDSRHPGQDPLVLCFVDFVTPAQAAVALEALQGYKFDENDRESSNLRLQFARFPPRSSGGHRGRR >Ma10_p28180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35166419:35167107:-1 gene:Ma10_g28180 transcript:Ma10_t28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGWSICINHRPPGTHHLMEGAGGTKLSHHHYDSRPAAPPDGDVCSSLLCSLLNKSALLYLSINVIVSAYHSRSTPSTLTFILFSYFDLLSLFFCLRRFERLGPGSSPEKKARMKAAIWLLATALNLAFAWRVSGTVPWQLAAAVWALSGCVAIGGFYGFFLYKEHA >Ma09_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8133531:8135038:1 gene:Ma09_g12010 transcript:Ma09_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREDRQPLLRAKVPVSILGLPFLSGLAAALDDVRHLRLDLSTAFASGPSLRLSYRPNDPAAPFSLVLKTGLGPLGSPAMGAPFSMAAEFGLLARPGSAGPSFSILFKPRFGDFAVKKTVSSTAASAAAAAKFDPLGGTEGDGLVNGAETPIVGFGGENRFLANGFGDGIHGLVSGFEVSTTSVLPLRSHTAVKFKWGLRATPELRTAFHDPAAGISFAKLPLLVMSKISIEHGPNGRDAPATEKKGSDGELLEERSSMRRQLEALQAENGTLRRAVEELRAEVGGWKAASPAASGEDDSKGRSNFAAPAGKRARRGDEKAPEHPAKAAPEDVKKELKKALVMGSTGGGI >Ma11_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20723961:20728273:-1 gene:Ma11_g15010 transcript:Ma11_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTASLEGIKHVKSENGVILTKPFLEVCKHILPVLDKFGTAMSLVKSDIGGNITRLETKYNSDTSKFEHLYSMVQIEIEAKTTKGSSSCTNGLLWLTRAMDFLVEIFSNMLEHPGWSMSQVCTDSYSTTLKKWHGWLASSSFTVAMKLAPDRKKFMDVIGGSGDVSADMEKFCTTFAPLLAENHKFLASVGLDDMKAS >Ma10_p31210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37393068:37394343:-1 gene:Ma10_g31210 transcript:Ma10_t31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEREEATTAKEAAEAFTVYEMLAAEALVILTQSGATVSTSEARNTPPPLPAETSTPASNKKRRKRKQRVMAYVPVDVVELILMRMNPKDAVRLGTSCKDWTAAAARVDPTKRKAPWLLVLTNSNTTCALQSVTDPKLSFKIRIHGFVLQHYHFGGSWNGWLVLQPNRRGQISLLNPFSRARWDLPILPRGGLPSRSLFYMSSAPTTSGCVLFVRKLTTLYVWSPGHEFWTAEHVEATEFNSIVSVEGQFYAMNKEGRLISFRVFPLQIKELHVCRPPVYNCPRQERFLVESCGEILYVVIAEHESTEMSVFRLDLRNRAWVKTTRLGDRALFLHWKHGISVSAGEAGCKGNCVYYSSRYDSCNVWRVYDLEKQSFTRFPRRHCPHRSVHDNVWITPEN >Ma06_p33870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34338255:34341084:1 gene:Ma06_g33870 transcript:Ma06_t33870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHQPHQGHNNLLSPRTTFPSERQLFLQRGNTTGEPGLVLSTDAKPRLKWNPELHERFVEAVNQLGGADKATPKTIMRLMGIPGLTLYHLKSHLQKYRLGKNLLAQTNTGSTKNVIGCTLAAEKTSEGNGSSMNIAAPTNKTMQINEALQMQIEVQRQLHEQLEVQRHLQLQIEAQGKYLQSVLEKAQETLGKQNLGSAGLEVAKFQLSELVSKVSVDSCLTSSEGSQKEQDTHAAIMGLRVSHQDFPLYVKQFGEHTRLEQTQNAWHGDLSKQTTFTSSILRDSQRASFPIQRDLNIIQASVKPQREIRDSGTVPEARKKDRDDEDMFLEHTSSKRAAVKQESRKQTDGFELPCLTTDLELKIHEGSEGASSCKKFDLNGFSWN >Ma06_p33870.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34338255:34341084:1 gene:Ma06_g33870 transcript:Ma06_t33870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHQPHQGHNNLLSPRTTFPSERQLFLQRGNTTGEPGLVLSTDAKPRLKWNPELHERFVEAVNQLGGADKATPKTIMRLMGIPGLTLYHLKSHLQKYRLGKNLLAQTNTGSTKNVIGCTLAAEKTSEGNGSSMNIAAPTNNFRTMQINEALQMQIEVQRQLHEQLEVQRHLQLQIEAQGKYLQSVLEKAQETLGKQNLGSAGLEVAKFQLSELVSKVSVDSCLTSSEGSQKEQDTHAAIMGLRVSHQDFPLYVKQFGEHTRLEQTQNAWHGDLSKQTTFTSSILRDSQRASFPIQRDLNIIQASVKPQREIRDSGTVPEARKKDRDDEDMFLEHTSSKRAAVKQESRKQTDGFELPCLTTDLELKIHEGSEGASSCKKFDLNGFSWN >Ma06_p33870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34338255:34341084:1 gene:Ma06_g33870 transcript:Ma06_t33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHQPHQGHNNLLSPRTTFPSERQLFLQRGNTTGEPGLVLSTDAKPRLKWNPELHERFVEAVNQLGGADKATPKTIMRLMGIPGLTLYHLKSHLQKYRLGKNLLAQTNTGSTKNVIGCTLAAEKTSEGNGSSMNIAAPTNNFRTMQINEALQMQIEVQRQLHEQLEVQRHLQLQIEAQGKYLQSVLEKAQETLGKQNLGSAGLEVAKFQLSELVSKVSGECFSDPFPGLEENPRLHMFQLNPAQLADCSVDSCLTSSEGSQKEQDTHAAIMGLRVSHQDFPLYVKQFGEHTRLEQTQNAWHGDLSKQTTFTSSILRDSQRASFPIQRDLNIIQASVKPQREIRDSGTVPEARKKDRDDEDMFLEHTSSKRAAVKQESRKQTDGFELPCLTTDLELKIHEGSEGASSCKKFDLNGFSWN >Ma06_p33870.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34338255:34341084:1 gene:Ma06_g33870 transcript:Ma06_t33870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHQPHQGHNNLLSPRTTFPSERQLFLQRGNTTGEPGLVLSTDAKPRLKWNPELHERFVEAVNQLGGADKATPKTIMRLMGIPGLTLYHLKSHLQKYRLGKNLLAQTNTGSTKNVIGCTLAAEKTSEGNGSSMNIAAPTNKTMQINEALQMQIEVQRQLHEQLEVQRHLQLQIEAQGKYLQSVLEKAQETLGKQNLGSAGLEVAKFQLSELVSKVSGECFSDPFPGLEENPRLHMFQLNPAQLADCSVDSCLTSSEGSQKEQDTHAAIMGLRVSHQDFPLYVKQFGEHTRLEQTQNAWHGDLSKQTTFTSSILRDSQRASFPIQRDLNIIQASVKPQREIRDSGTVPEARKKDRDDEDMFLEHTSSKRAAVKQESRKQTDGFELPCLTTDLELKIHEGSEGASSCKKFDLNGFSWN >Ma06_p33870.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34338255:34341084:1 gene:Ma06_g33870 transcript:Ma06_t33870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHQPHQGHNNLLSPRTTFPSERQLFLQRGNTTGEPGLVLSTDAKPRLKWNPELHERFVEAVNQLGGADKATPKTIMRLMGIPGLTLYHLKSHLQKYRLGKNLLAQTNTGSTKNVIGCTLAAEKTSEGNGSSMNIAAPTNNFRTMQINEALQMQIEVQRQLHEQLEVQRHLQLQIEAQGKYLQSVLEKAQETLGKQNLGSAGLEVAKFQLSELVSKVSGECFSDPFPGLEENPRLHMFQLNPAQLADCSVDSCLTSSEGSQKEQDTHAAIMGLRVSHQDFPLYVKQFGEHTRLEQTQNAWHGDLSKQTTFTSSILRDSQRASFPIQRDLNIIQASVKPQREIRDSGTVPEARKKDRDDEDMFLEHTSSKRAAVKQESRKQTDGFELPCLTTDLELKIHEGSEGASSCKKFDLNGFSWN >Ma06_p33870.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34338255:34341084:1 gene:Ma06_g33870 transcript:Ma06_t33870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHQPHQGHNNLLSPRTTFPSERQLFLQRGNTTGEPGLVLSTDAKPRLKWNPELHERFVEAVNQLGGADKATPKTIMRLMGIPGLTLYHLKSHLQKYRLGKNLLAQTNTGSTKNVIGCTLAAEKTSEGNGSSMNIAAPTNKTMQINEALQMQIEVQRQLHEQLEVQRHLQLQIEAQGKYLQSVLEKAQETLGKQNLGSAGLEVAKFQLSELVSKVSVDSCLTSSEGSQKEQDTHAAIMGLRVSHQDFPLYVKQFGEHTRLEQTQNAWHGDLSKQTTFTSSILRDSQRASFPIQRDLNIIQASVKPQREIRDSGTVPEARKKDRDDEDMFLEHTSSKRAAVKQESRKQTDGFELPCLTTDLELKIHEGSEGASSCKKFDLNGFSWN >Ma06_p33870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34338255:34341084:1 gene:Ma06_g33870 transcript:Ma06_t33870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHQPHQGHNNLLSPRTTFPSERQLFLQRGNTTGEPGLVLSTDAKPRLKWNPELHERFVEAVNQLGGADKATPKTIMRLMGIPGLTLYHLKSHLQKYRLGKNLLAQTNTGSTKNVIGCTLAAEKTSEGNGSSMNIAAPTNKTMQINEALQMQIEVQRQLHEQLEVQRHLQLQIEAQGKYLQSVLEKAQETLGKQNLGSAGLEVAKFQLSELVSKVSGECFSDPFPGLEENPRLHMFQLNPAQLADCSVDSCLTSSEGSQKEQDTHAAIMGLRVSHQDFPLYVKQFGEHTRLEQTQNAWHGDLSKQTTFTSSILRDSQRASFPIQRDLNIIQASVKPQREIRDSGTVPEARKKDRDDEDMFLEHTSSKRAAVKQESRKQTDGFELPCLTTDLELKIHEGSEGASSCKKFDLNGFSWN >Ma10_p30090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36475633:36480784:-1 gene:Ma10_g30090 transcript:Ma10_t30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDFCKIYIANNPLSIRTHELGQRHKDNVAKRLATMRKESAAKEKEQKAAARALKEIEAKAERSYQKDLVSFQSVDTSTGLDSNKKKTDEAQCSAATTNGNGAASKSKGGPAPGLVISTPLNPTRAVKGKPSSVAVNKRKRDDGKAKAISKEEVQALKAREAARKRMEEREKPFMGLYKSY >Ma07_p07620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5667600:5670793:1 gene:Ma07_g07620 transcript:Ma07_t07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSKDLLKNVDWKTVGNAVNSDSLGPVTKKRLPKKIREVPDYYFLPRRSLPSSIAIYGAICAAGVGAGMLLEVWINKKIKEDGGVLWERDKF >Ma07_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5667600:5670496:1 gene:Ma07_g07620 transcript:Ma07_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSKDLLKNVDWKTVGNAVNSDSLGPVTKKRLPKKIREVPDYYFLPRRSLPSSIAIYGAICAAGVGAGMLLEVWINKKIKEDGGVLWERDKF >Ma09_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29868924:29876476:-1 gene:Ma09_g20700 transcript:Ma09_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVAAALLLAGLVVFLVKFATADGDFTLTSRGAPKRDEVEGKVVWITGASRGIGEILANQFTNLGAKVILSARNAVELERVKSEIISKYPASRVEVLPMDLASGEESLKESVYKAESLFSSAGVDYMVHNAAFERPKRKALDETEEGLRATMNINVLGTITLTRLLAPYMLKRGRGHFVVVSSAAGKCPSPGQALYSASKHALNGYFHSLRSELCQEGIKVTIVCPGPIETSKTSETSSSGKSRSLEKRVSAERCAELIIVAATHGLKEAWISYQPVLFVMYLVQYMPTIGYWFMDLIGANRLDAAASKRSAYSWSLLFGHKKSA >Ma07_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9547058:9547912:-1 gene:Ma07_g12670 transcript:Ma07_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSPASSSPPASLACCMCGDAGISEELFRCKVCNFRLQHRYCSSLYPKFERYSTCNWCLSDDGVKSAARESTMDQDRSLSFSTNSTGRGLTVKLHGGATSQHLKKVLNKPVKKQQTRAHERLLLPALTKTSRLALPLPSSRKQNQAFRCRARKFKLLEEVLT >Ma04_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9602370:9606874:1 gene:Ma04_g12720 transcript:Ma04_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLRSYEKLRPDSGLPITKPTPAPAAAGVKLEIEDQLEDEHGPLDKRPKMDTSPLLPWGIGGSMPPTELIQNNLLNEPSPLGLHLRKSPSLLDLIQMRLSQASASVKSSVMRNESLEDVKNEDFKSTGALANIEKMKASNFPASLLRIGTWEYASRYEGDLVAKCYFAKRKLVWEILEGGLKSKIEVQWSDITALKATCPEHGPGTLDLVLARQPLFFRETNPQPRKHTLWQATSDFTDGQASKHRRHFLQCPQGLLNKHFEKLIQCDPRLYALSQELDIILKSPFFEPKISMFEDPDEYKCHPFDKLKDEYGSAIQNFQDSALACAGTSISAKSEIRESTGALSDISIGKIHSPSSVMDHISVETDERKNHNCWDELKYAGLKPSMSISDFVSQIENCISEQISSGNPQLPGTIPDKKMLEELAQCLFSDSQMPTSDEKTVMTKVNSFCCLLQKDAASVQSHQMNAGGTSADDSGSQDGVSEKHTHSLEDEFDNTSGLKTLANISRKDSFGELLMHLPRVASLPQFLFNITEDEEDSCPSN >Ma08_p31140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42136409:42144353:-1 gene:Ma08_g31140 transcript:Ma08_t31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLKALVYGAGGLVVVGMVALVTFQERLVYVPVLPGLARAYPITPARLRLDYEDVFLRAADGVRLHAWFVKHSPPKPGPTVLFFQENAGNIAHRLEFVRIMMQRLQCNVFMLSYRGYGESDGHPSQHGIIMDAQAALDHLTQRKDIDTSRIVVFGRSLGGAVGAMLARNNSDKVSALILENTFTSILDMAAIMFPFLKWFIGGSGSKSPKVLNCIVRSPWSTIDIIDKIEQPIIFLSGLQDELVPPSHMQMLYAKAIENNRDCRFVDFPNGTHMDTWFNGGERYWRTIQLFLDQYIPEIKECNVNCEVDDKVHQGDLASFLCTAAQKMPKL >Ma08_p31140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42136388:42144353:-1 gene:Ma08_g31140 transcript:Ma08_t31140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLKALVYGAGGLVVVGMVALVTFQERLVYVPVLPGLARAYPITPARLRLDYEDVFLRAADGVRLHAWFVKHSPPKPGPTVLFFQENAGNIAHRLEFVRIMMQRLQCNVFMLSYRGYGESDGHPSQHGIIMDAQAALDHLTQRKDIDTSRIVVFGRSLGGAVGAMLARNNSDKVSALILENTFTSILDMAAIMFPFLKWFIGGSGSKSPKVLNCIVRSPWSTIDIIDKIEQPIIFLSGLQDELVPPSHMQMLYAKAIENNRDCRFVDFPNGTHMDTWFNGGERYWRTIQLFLDQYIPEIKECNVNCEVDDKDEAAG >Ma03_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25228696:25230557:1 gene:Ma03_g19990 transcript:Ma03_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHHQHHHHLIHHHKEANPADEVVYTETGSTGKDGYTTGYVKTTEVVTNDGGYEYRKEEKQHKHREHLGEMGALAAGAFAEHEKHKVEKDPEHARRHKMEAEIGMAMAVGSGGYAAHEHHERKEAKEQAEEAHGKKHHHFF >Ma04_p01980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1731890:1733154:-1 gene:Ma04_g01980 transcript:Ma04_t01980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIWWSLLGAAVPALVVGQAIRAKRKNGEEQRFKSAQGHERNSDEIFVCDRVCTSKRMLKKVGALSENPPQDTCASVCGVSELDACADACARSVCVIQHQVPNWNDVCLKRCQTECLRLLATSKY >Ma04_p01980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1731890:1733174:-1 gene:Ma04_g01980 transcript:Ma04_t01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIWWSLLGAAVPALVVGQAIRAKRKNGEEQRFKSAQGHERNSDEIFVCDRVCTSKRMLKKVGALSENPPQDTCASVCGVSELDACADACARSVCVIQHQVPNWNDVCLKRCQTECLRLLATSKY >Ma04_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1731890:1733116:-1 gene:Ma04_g01980 transcript:Ma04_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIWWSLLGAAVPALVVGQAIRAKRKNGEEQRFKSAQGHERNSDEIFVCDRVCTSKRMLKKVGALSENPPQDTCASVCGVSELDACADACARSVCVIQHQVPNWNDVCLKRCQTECLRLLATSKY >Ma01_p19920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15827244:15827654:1 gene:Ma01_g19920 transcript:Ma01_t19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma08_p27350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39623317:39623775:1 gene:Ma08_g27350 transcript:Ma08_t27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPPPEPASVPRRLRPLIVVENPKPKPGSRCAEASGETAAECAAIFCCCPCGLAKLLVFAVIKLPEGLVRKALRRRRKRKKKATGIWRPKYGALDDDDDFSVHGGFLLAAAGAEEAWPAKTPSQELLELEKEMRAKFYGAGFWRSGSQKE >Ma10_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16699397:16699582:-1 gene:Ma10_g05430 transcript:Ma10_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLASLCRMRRIPYNQLALYPVIQTRAVHLPTAKGPAHISWKRPKRKLTLLEEASSGSG >Ma05_p26270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37937195:37941213:1 gene:Ma05_g26270 transcript:Ma05_t26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQSEAFLRCFAARLAARSIATSAARLQSVGWFDKIKGVFTGKPSSDSKPFSLTDFADKLDKARRLRSFKKFDVGRCSAATVSDGFKKHSAILQYLAAIDPFGENLQNSHKQDAAKHCNCTIADVEHILAKFQWAIEAQKKLDKLKEEGKPMPKTFGEVQKLMRSTPLDLGRSNLAKSGQISRNALCPCGSGKRYKRCCATT >Ma02_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21076827:21077327:1 gene:Ma02_g12290 transcript:Ma02_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVPMLALAISLCLLATCFAQSPASSPSNAPSTMVTPPTAFAAPPTTPSPPPATVPPPTAIPSPSTPPPVTTPPLATPPPALVPIASSPPPAPLAPAVAPAPLTTVSPAPAPNAASPISSTSPTTPPSPSPSASATPADGGSRAYVHGVSMRLTAFLGGLALLL >Ma01_p11810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8570925:8577695:-1 gene:Ma01_g11810 transcript:Ma01_t11810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVELFLTALISVIIAFLIGKIAAADDNVDDEDLAADKPAPPPLSADSGPRRMESTAAAAIADLGMGLGAAQEEETGKSSGDACASGVVEDQRLDEEILDGGKAASGFDLQKQDTILGADESSSKKEGSKVGIGIISGDLDEVEPNVGKEVRKVVEMGGEDTLQRGDARAVSEERGGSLLQGEDEWEGIERSELEKLFGVATEFVGSEKGGDAVSKLSSELQMQLYGLHRVATEGSCYEPQPMALKVTARAKWHAWQSLGNMNPDAAMEKYISLLTKSIPGWMGEKSGEEARGHDDNDPSVVQVSGIGQHHLKTSSYCNPETESGIM >Ma01_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8571372:8577695:-1 gene:Ma01_g11810 transcript:Ma01_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVELFLTALISVIIAFLIGKIAAADDNVDDEDLAADKPAPPPLSADSGPRRMESTAAAAIADLGMGLGAAQEEETGKSSGDACASGVVEDQRLDEEILDGGKAASGFDLQKQDTILGADESSSKKEGSKVGIGIISGDLDEVEPNVGKEVRKVVEMGGEDTLQRGDARAVSEERGGSLLQGEDEWEGIERSELEKLFGVATEFVGSEKGGDAVSKLSSELQMQLYGLHRVATEGSCYEPQPMALKVTARAKWHAWQSLGNMNPDAAMEKYISLLTKSIPGWMGEKSGEEARGHDDNDPSVVQVSGIGQHHLKTSSYCNPETESGIM >Ma01_p11810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8570923:8577695:-1 gene:Ma01_g11810 transcript:Ma01_t11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVELFLTALISVIIAFLIGKIAAADDNVDDEDLAADKPAPPPLSADSGPRRMESTAAAAIADLGMGLGAAQEEETGKSSGDACASGVVEDQRLDEEILDGGKAASGFDLQKQDTILGADESSSKKEGSKVGIGIISGDLDEVEPNVGKEVRKVVEMGGEDTLQRGDARAVSEERGGSLLQGEDEWEGIERSELEKLFGVATEFVGSEKGGDAVSKLSSELQMQLYGLHRVATEGSCYEPQPMALKVTARAKWTESSSRSNCSRQHGYISEVTLVKGA >Ma08_p29410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41000240:41005030:-1 gene:Ma08_g29410 transcript:Ma08_t29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLRDTNGITRIIRPSDKITAVHGQLPVSTSNLGLLLGGTTSTAAAAPDAAGTRRRRDSGTSSLAESLWISSPSAAKSSIMVRGRDACWEHCVLVDATRQKVRCNYCHREFSGGVYRMKFHLAQIKNKDIVPCSEVPDDQMVPNIVPPQQVDIMLKMLDLVGNMAVLAHPCYFLSLHLVYNQQQMMLKSKSYKPPTYEGLRSTLLEKVKEEINENHRKLKDEWKDTGCTILSDNWSDGRSKSLLVLSVASPKGTQFLKLVDISSRADDAYYLFELLDSVIMEVGAENVVQVITDSATSYAYAAGLLLKKYPSLFWFPCASYSIEKMLEDISKLEWVSTTLEETRTIARFICSDGWILSLMKKLTGGRELVRPKVARFMTHFLTLRSIVNQEDDLKHFFTHADWLSSVHSRRPDALAIKSLLYLERFWKSAHEIIGMSEPLLKLLRLVDGDMPAMGYIYEGIERAKMAIKAFYKGCEEKYMSVLEIIERRWSTHCHSHLHAAAAFLNPSIFYDPSFKFDVNMRNGFHAAMWKMFPEENDRIELIKDQPVYIKAQGALGSKFAIMGRTLNSPGDWWATYGYEIPVLQRAAVRILSQPCSSYWFKWNWSAFENIYTKNHTRMELEKLNDLVFVHCNLRLQEISQSRGAKCRPVTYDEIDVSSEWPTESESSSLLLDDSWLDNLPFEC >Ma08_p22550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36097021:36100478:1 gene:Ma08_g22550 transcript:Ma08_t22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYDRVEKGVAPGLDIEAGTLYPGLSLGENDLRWGFIRKVYGILAVQVLLTTAVSAATVFYRPVNAALASSPGLALVLALLPLFLLFPLYHYQQKHPLNFLFLGLFTVCLSLSVGVACANTQGRIVLEALILTSAVVLSLTGYTFWASRKGKDFSYLGPILFSALTVLLLTTFIQIFFPLGPTSVAIFGGLGALVFSAFIVYDTDQLIKRYTYDEYIWASVVLYLDILNLFLSIMNMLRGMQSDG >Ma01_p14830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10807998:10811914:1 gene:Ma01_g14830 transcript:Ma01_t14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSGSGSFEGLEGEDVGAIAIPTSEEAKGKREQRFQSLLSDRIEEVEEEEELCSHGQSSVGEKKRRLSLDQVKALEKNFEVENKLDPESKVRLAQEVGLRPRQVAIWFQNRRARWKTKQLERDYSALKARHDALKLDHDGLRRDKEALEANVRELKAALAARSAVAAEKEKRLAIVYKDGTSDTDSSVAFLDEASPYSGVVLDQHSLIGLGSRCSSFLFESTAQDEMGFPYGEMPCSSLLSEEDAPSVFSWYCSEGWD >Ma01_p14830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10807061:10811914:1 gene:Ma01_g14830 transcript:Ma01_t14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSGSGSFEGLEGEDVGAIAIPTSEEAKGKREQRFQSLLSDRIEEVEEEEELCSHGQSSVGEKKRRLSLDQVKALEKNFEVENKLDPESKVRLAQEVGLRPRQVAIWFQNRRARWKTKQLERDYSALKARHDALKLDHDGLRRDKEALEANVRELKAALAARSAVAAEKEKRLAIVYKDGTSDTDSSVAFLDEASPYSGVVLDQHSLIGLGSRCSSFLFESTAQDEMGFPYGEMPCSSLLSEEDAPSVFSWYCSEGWD >Ma09_p19460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24019573:24029345:1 gene:Ma09_g19460 transcript:Ma09_t19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALLFLFALPLLLSLTSLLPLHCNAFSVDRPTDRRLLVLVDDLAIRSSHSRFFSSLQARGYDLDLRHADDPGLALRRYGQYLYDGLILFSPSVQRFGGSLDLAAVLDFVDAGHDLILAADSSTSDLIREIATECGVDFDEDPAAMVIDHTSYAVSETEGDHTLIASDDFIKSDVILGDKKIEAPVLFRGIGHSLNTANSLVLKVLPASPAAYSANPLMKLSSPPSLTGSAISLVSIVQARNNARILITGSLDMFSNRFLKSGVQKAGRSIKHEKSGNEQFVTEISKWVFHERGHLKAVNVHHHKVGETNEPSMYRINDDLEYSVEIYEWSGSSWEPYVADDVQVQFYMMSPYVLKTLATDHKGLYSTSFKVPDVYGVFQFKVEHQKLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFSTMVAFFIFSIVYLYNK >Ma05_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15264659:15266728:-1 gene:Ma05_g16100 transcript:Ma05_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGSKHKDAWSNGNSKPSVSLLSSRDAKPWSSSSSIPRLMIFLVLSIIVIYLVYPLHVLLSSPPCPTSSTTTDSLIHLSSPNQTKRDASRIDTIAKSPPPPSPPPPRPTSAAVLTETATGLQHIVFGIAASAKLWERRKEYIRLWWRPRQMRGFVWLDKPVKEFNSSYTRDLPPLKISGDTSRFPYTHRRGDRSAIRISRIVSETFRLRLPGVRWFVMGDDDTVFIADNLARFLSRFDHRQPYYIGSPSESHLQNIYFSYGMAYGGGGFAISAPLAAALSRVQDRCLRRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLTAHPVAPLLSFHHLDVVQPIFPRVRSRAAALRRLFEGPVRLDPAGVMQQSICYETQRPWTVSVAWGFAVLVARGVTSPREMEMPARTFLNWYRRADYTAYAFNTRPVARHPCQRPFVYYLSATRYDAARRTTVTVYERHRDSRPTCRWRMPDPGALVDRILVYKKPDPGLWDRSPRRNCCRVKASPKEGKRGDRTMAIEVGVCQEGEVTEILDS >Ma05_p15580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11760072:11760896:-1 gene:Ma05_g15580 transcript:Ma05_t15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRPLHSGCIAESIAEKKKLRSLKNHKDGGGKEKANLLSSYINDDDDEEQQDSHRQGSTEFDKFLRDTAINFIFAGRDTTGAALIWFFWLICNNPVVESNILEELRSTPLGKQRSSSNDLTIFDPEELSKLVYLHAALCESLRLFPPLPFHHQAALRHEVLPSGHRVEAGTKILSSLYSRGRMERIWGMDCLEFRPERWISEEGRVRHEPSCKFMSFGSGRRTCLGKEVAFTQMKAVVAAMVYNFQVQVLQGHVVEPKLSVILHMKNEWPEG >Ma08_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2369625:2371893:1 gene:Ma08_g03170 transcript:Ma08_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLLIYSFVARRTMILAEYAEFKGNFTRIAAQCLENLSGRNNKFSFNCDGRTFNYLVEDGYTFCVVAVESVDREIPIFFLERVKEEFNKRYGGNAATAAAKSLSREFGSKLKEHMQYCADHPEEISKMAKLQAQVSELKSAMIEKIEKVLDNKENVDVLVEKAKNLYSQAQDFRLQGTTVRRNPWLQDMKIMLIVIVLGIIIALILIIVLTICLGNKC >Ma08_p03170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2369625:2371893:1 gene:Ma08_g03170 transcript:Ma08_t03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLLIYSFVARRTMILAEYAEFKGNFTRIAAQCLENLSGRNNKFSFNCDGRTFNYLVEDGYSECSRVSVSEIANLAAFCVVAVESVDREIPIFFLERVKEEFNKRYGGNAATAAAKSLSREFGSKLKEHMQYCADHPEEISKMAKLQAQVSELKSAMIEKIEKVLDNKENVDVLVEKAKNLYSQAQDFRLQGTTVRRNPWLQDMKIMLIVIVLGIIIALILIIVLTICLGNKC >Ma04_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19738377:19738782:-1 gene:Ma04_g18140 transcript:Ma04_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSV >Ma01_p17650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12914310:12916277:-1 gene:Ma01_g17650 transcript:Ma01_t17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPREETDSKAQLVREICSTGSMFAACTHRRRPPAFVDWYLVLGVDEEEKVDAIRKRYRQLALQLHPDKNKHPKADVAFKLVSEAYECLSDKAKRNAFNSQRWSNLCKECYRRSRSKEHVHRQRCWQSTGQASSYKVMNNLRQMQKRFREECEVIESCMRANQSYWKESPVFSPYEQLLSSPGYPHSRGATLEKPMSSSHWQARDQCGRGAGCESPIYEIRKHNYPRSRSFCFRF >Ma05_p29840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40420668:40427577:1 gene:Ma05_g29840 transcript:Ma05_t29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHRSRSVSPRRFSRSPPRRKRYDDPRERFRGGGGGGGGRDYRDRRSSGPSGLLIRNVALDARSEDLRMPFERFGPVKDVYLPKNYYTGEPRGFGFVKFRYAEDAAVAKQHMNHQIICGREISIVYAEENRKTPQEMRMSARISERYMGGSYRRRSPPRSPGRRRRSYSRSLSPPRRESRDHDRSTRDDYYSPPRSISRSPDDRDHGLHHQSSSPKGNGRSPSRSRSYSPR >Ma09_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11374614:11375808:-1 gene:Ma09_g16030 transcript:Ma09_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCEGVALDSFSLFLALQACGRLGETALGEMLHSLVFKLGFVVDVFLQTALVKMYGKAAEYVASRKLFDEIPTRDLRDALSWICMIPAYAQSRRSNEALRLFHEMQLANVVPDEVTMVSVLSACSDIGAACGHAGLVSEGQTHFSSMEDVYGVLPKIDHYGCMVDLLGRAGHPYMDGHYVLLSNIYAQAKMWDGVRRMRKLLRSECTERIPGSSSI >Ma06_p28550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30201528:30207859:1 gene:Ma06_g28550 transcript:Ma06_t28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLEKMASIDAHMRLLAPGKVSEDDKLIEYDALLLDRFLDILQDLHGEEIRETVQELYELSAEYEGNHEPEKLEELGNVLTSLDPGDTIVVSKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVTQLKKSKEEVFDALKNQTIDLVFTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQELDESLQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNAELRIRADVLDRSSKKDAKHYIEFWKQVPPNEPYRVILSDVRDKLYNTRERSRHLLSNGYSDIPEEATFTNIEQFLEPLELCYRSLCDSGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVVDAITKHLGIGSYRDWPEKQRQEWLLSELNGKRPLFGPDLPKTDEVADVLDTFHVIAELPSDNFGAYIISMATAPSDVLAVELLQRECHVKEPLRVVPLFEKLADLEAAPAALSRLFSIEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKDYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIQKDIKNLHTLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSQDLWKFGEQLRANYEETKHLLLQVAGHKDLLEGDPYLKQRLRLRDAYITTLNACQAYTLKRIRDPSYHVNVRPHLSKEIVESSKPAAELVKLNPSSEYAPGLEDTLILTMKGIAAGLQNTG >Ma07_p27290.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33729397:33747547:-1 gene:Ma07_g27290 transcript:Ma07_t27290.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA15 [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/TrEMBL;Acc:A0A178V2P1] MSCSFLRWRLSSSTPPKLRDSMSCSIFLKLDFQLRLHAFSGLRCLSSFQSSGKFDLTDLTHPHTWYPLARRKKRNIFLHVGPTNSGKTHNALKCLESSSSGVYCGPLRLLAWEVAQRLNKANVPCNLITGQEREDIDGARHSSVTVEMADVTSEYQCAVVDEIQMVGCRTRGFSFTRALLGISADELHLCGDPAAVPLVQRIIEVTGDVIKVQYYERLSPLTPLKFPLGSFSNIRNGDCIVTFSRREIYKLKKKVESGGKHLCSVVYGSLPPETRTKQAKMFNDESSKFDILVASDAIGMGLNLNISRIIFSTLKKFDGQYTRELTVPEIKQIAGRAGRYGSKFSSGEVTCIDAEDLPLLHSSLESSSPLLERAGLFPTFDLLSLYSRLHSRMGFHGILEQFLEKAKLSPDYFIADCEEMLKVAAVVDELPLGLYDKYLFCISPVDMNDDIAAQGLTQFAENYAKKGVVRLREIFTPGTLEVPKSHNALKELESVHKVLDLYVWLSFRMEDSFPDREVASSQKAICSLLIEEFLERLGWQRQAKGNYQHNSLLLQQRIQSSMLHKYFPQIKVEST >Ma07_p27290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33729397:33747547:-1 gene:Ma07_g27290 transcript:Ma07_t27290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA15 [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/TrEMBL;Acc:A0A178V2P1] MSCSFLRWRLSSSTPPKLRDSMSCSIFLKLDFQLRLHAFSGLRCLSSFQSSGKFDLTDLTHPHTWYPLARRKKRNIFLHVGPTNSGKTHNALKCLESSSSGVYCGPLRLLAWEVAQRLNKANVPCNLITGQEREDIDGARHSSVTVEMADVTSEYQCAVVDEIQMVGCRTRGFSFTRALLGISADELHLCGDPAAVPLVQRIIEVTGDVIKVQYYERLSPLTPLKFPLGSFSNIRNGDCIVTFSRREIYKLKKVESGGKHLCSVVYGSLPPETRTKQAKMFNDESSKFDILVASDAIGMGLNLNISRIIFSTLKKFDGQYTRELTVPEIKQIAGRAGRYGSKFSSGEVTCIDAEDLPLLHSSLESSSPLLERAGLFPTFDLLSLYSRLHSRMGFHGILEQFLEKAKLSPDYFIADCEEMLKVAAVVDELPLGLYDKYLFCISPVDMNDDIAAQGLTQFAENYAKKGVVRLREIFTPGTLEVPKSHNALKELESVHKVLDLYVWLSFRMEDSFPDREVASSQKAICSLLIEEFLERLGWQRQAKGNYQHNSLLLQQRIQSSMLHKYFPQIKVEST >Ma07_p27290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33729397:33747547:-1 gene:Ma07_g27290 transcript:Ma07_t27290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA15 [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/TrEMBL;Acc:A0A178V2P1] MSCSFLRWRLSSSTPPKLRGVYCGPLRLLAWEVAQRLNKANVPCNLITGQEREDIDGARHSSVTVEMADVTSEYQCAVVDEIQMVGCRTRGFSFTRALLGISADELHLCGDPAAVPLVQRIIEVTGDVIKVQYYERLSPLTPLKFPLGSFSNIRNGDCIVTFSRREIYKLKKKVESGGKHLCSVVYGSLPPETRTKQAKMFNDESSKFDILVASDAIGMGLNLNISRIIFSTLKKFDGQYTRELTVPEIKQIAGRAGRYGSKFSSGEVTCIDAEDLPLLHSSLESSSPLLERAGLFPTFDLLSLYSRLHSRMGFHGILEQFLEKAKLSPDYFIADCEEMLKVAAVVDELPLGLYDKYLFCISPVDMNDDIAAQGLTQFAENYAKKGVVRLREIFTPGTLEVPKSHNALKELESVHKVLDLYVWLSFRMEDSFPDREVASSQKAICSLLIEEFLERLGWQRQAKGNYQHNSLLLQQRIQSSMLHKYFPQIKVEST >Ma07_p27290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33729397:33747516:-1 gene:Ma07_g27290 transcript:Ma07_t27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA15 [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/TrEMBL;Acc:A0A178V2P1] MSCSIFLKLDFQLRLHAFSGLRCLSSFQSSGKFDLTDLTHPHTWYPLARRKKRNIFLHVGPTNSGKTHNALKCLESSSSGVYCGPLRLLAWEVAQRLNKANVPCNLITGQEREDIDGARHSSVTVEMADVTSEYQCAVVDEIQMVGCRTRGFSFTRALLGISADELHLCGDPAAVPLVQRIIEVTGDVIKVQYYERLSPLTPLKFPLGSFSNIRNGDCIVTFSRREIYKLKKKVESGGKHLCSVVYGSLPPETRTKQAKMFNDESSKFDILVASDAIGMGLNLNISRIIFSTLKKFDGQYTRELTVPEIKQIAGRAGRYGSKFSSGEVTCIDAEDLPLLHSSLESSSPLLERAGLFPTFDLLSLYSRLHSRMGFHGILEQFLEKAKLSPDYFIADCEEMLKVAAVVDELPLGLYDKYLFCISPVDMNDDIAAQGLTQFAENYAKKGVVRLREIFTPGTLEVPKSHNALKELESVHKVLDLYVWLSFRMEDSFPDREVASSQKAICSLLIEEFLERLGWQRQAKGNYQHNSLLLQQRIQSSMLHKYFPQIKVEST >Ma11_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:796087:798904:1 gene:Ma11_g01100 transcript:Ma11_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFVHSSCNTVSGSNPQRRLLRDSPAASTSTTRRWSRVNLASVARTLFSLINAGRVREAASLFESTEKPDTFLWNLMIRGYANGELYEEAVHVYRRMQDAGVWADHFTFPFVIKSFANSSSYDDGLRVHAKIIKVGLDSDLFICNSLVTMYSKFGLLSAAERVFYEMPERDDVSWNSLVDGYVSNGEGWRSLVCIKQMQEAFGMRLDWFAITSALAACSLELCLEQGKEIHCHVIRNGLEPDIKLQTALLDMYCKNGDMVYAQRLFSSMSRRNIVTWNALIGGYALNDEPLQAFASAIKMQDNYMIPDTITVVNLLPACAELRSMDHGKAIHALAIKKGFLPHLILETALMDMYAKCGDLKPSELLFEKMTEKSLVSWNTMIAAYVQNGRNLEALQLFLHLQEGPLGPDVFTISSIIPACAELASLQQGTQIHSYVLRAGYGRDSVVLNSIVNMYARCGDLNISRQVFDRMMCRDLVSWNILIMGYAIHGHGKAALELFSAMKDTGLKPNQSTFTSVLTACSITGLSDEGWLHFDSMQQEYGMSPEIEHYGCMVDLLGRTGDLRAAIDFINKMPLVPTARIWGSLLTAGRNNRNIEVAEFAAEQILRLEHDNTGCYVLLSSMYADAGKREDVDRVMSLMRQKGLNRTAARSLVEFDGKTCSFVNGDRSHDQSNKIHQVSSVLSRKIEEAACDPGAIFDPIDAVMKKANSPNRHSLRLAVIFRLISSTVGSPVLVKKNVRICNHCHHAVKLISGFSGREIIVGDTKIYHHFSDGTCSCGDYW >Ma05_p22320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34055698:34063755:-1 gene:Ma05_g22320 transcript:Ma05_t22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKFREQVARQQQAVFKQFGGGGYGSSDSIFSDAAEYQQHQKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLSDDSRKYGVENTCTSGNTLSKAALCYARARAQMENERGNLLKALGSQVAEPLRAMVMGAPLEDARHLAQRYDRVRQEAEAQAIEVSKRQIKVRETVGSGDNISKLEAAEAKLQELKSSMAVLGKEAVAAMTAVEAQQQRLTLQRLIAMVESERTYHQKVLQILEQLEAEMLSERQRIEASPSPASENFMPPPPSYEEANGMFTNSTVDGLTESVEYFLAEVIHSYQAETDVELNLSVGDYVVVRKLSNNGWAEGECKGKAGWFPSVYIERRERVLASKIVQIL >Ma06_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1369623:1370839:-1 gene:Ma06_g01680 transcript:Ma06_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDIALLNGPGTHRLPLLTSDSAPPAKLEYRPSVCGEAFGSYQALGGHKASHRKPSSSVEEASASGSFTTSASASTAASGGGRVHRCSLGVPEDVSVGASAGWHKRCHNDGSVGSGTTPAAMTSSEGARSRHMPFYLNVPASPDSEFDDVKRWVAAAVKEEEEVQSPLAFKKQRPVVPHERVNSSSQC >Ma07_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11161327:11162072:-1 gene:Ma07_g14840 transcript:Ma07_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIPRGSGSGSRQSNLFDPFSLDLWDPIDGFPFGSSSSLARPSILFPSEVSAFVGTHIDWKETPEAHVFKADIPGLKKEEVKVEIEDGRFLQISGERKSEEEENTDTWHRVERSRGKFLRRFRLPETARVDQVRAAMEDGVLTVTVPKEEEIKNSDVRSIEISG >Ma02_p15630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23274727:23276624:1 gene:Ma02_g15630 transcript:Ma02_t15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEELTSDSVVKRALNAVGSAAYLFVQMGAYRGGPNSFAVVGLASKPLHVFAKPRFICEWLPLTFHGDDNSSVTASGHTILPDWGYGRVYTVVVVNCTFSVDVGADGTGGCLVLRATTGGGSDRAAETEERFIAMEETPGSVNVTMFSTPPKYDCLYCGSPLYGDLSPQRVREWIAYHANLFGLKSHFVIHDAGGVHGNVMEVLRPWMEKGLLTLQDVREQERFDGYYHNQFLVLNDCLHRYKFMAKWIFVFDMDEFIYLPSETKLEPLLESFSGYTQFTIEQMPMSNTLCQFKDYGKTSRMWGIEKLVYRDARRKVRRDHKYAIQPRSASATGVHLSQNVAGPSLSETGGMIKYFHYHGTLAMRRDPCRELLNVTKIFFDNTPYVLDETLRSVAGEVRMFELKMIGPRLAHTRE >Ma02_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23274727:23276624:1 gene:Ma02_g15630 transcript:Ma02_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEKLKGERTLFAGVTCNNADMKLFLVSLVLFCSLVILIVQFLPSEITICSSSTYKWSWPQSPPHNVSSAVRSLMKEELTSDSVVKRALNAVGSAAYLFVQMGAYRGGPNSFAVVGLASKPLHVFAKPRFICEWLPLTFHGDDNSSVTASGHTILPDWGYGRVYTVVVVNCTFSVDVGADGTGGCLVLRATTGGGSDRAAETEERFIAMEETPGSVNVTMFSTPPKYDCLYCGSPLYGDLSPQRVREWIAYHANLFGLKSHFVIHDAGGVHGNVMEVLRPWMEKGLLTLQDVREQERFDGYYHNQFLVLNDCLHRYKFMAKWIFVFDMDEFIYLPSETKLEPLLESFSGYTQFTIEQMPMSNTLCQFKDYGKTSRMWGIEKLVYRDARRKVRRDHKYAIQPRSASATGVHLSQNVAGPSLSETGGMIKYFHYHGTLAMRRDPCRELLNVTKIFFDNTPYVLDETLRSVAGEVRMFELKMIGPRLAHTRE >Ma04_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6300023:6306560:1 gene:Ma04_g08830 transcript:Ma04_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIASLPVHTSASAHWNRLRESISKNSFDTLLYRFNTPDLRVGTLDSLLALSDDLVKSNAFIEGVTHKIRRQIEELEKASGVEVGALTVDGVPVDSYLTRFDWDEGKYPTMSPLREIVDSIHVQVAKIEDDMKVRAAEYSNIRSQLNAINRKQSGSLAVRDLSNLVNPEDIVASEHLVTLLAVVPKYSQKDWLSSYETLTTFVVPRSAKKLHEDNEYALYTVTLFRKVADNFRNNAREKGFQIREFEYSPEAQESRKQEFEKLIQDQESKRRSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPQFLAVVLAPSVKNEKKVRGILEELCGNVNSSYWKSEDEVGLAGIGGEAEAHPYVSFTINLV >Ma03_p27490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30857461:30861004:-1 gene:Ma03_g27490 transcript:Ma03_t27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRASSAAVAAPPPRLPPAAAKKGFLRRVLPFMLTANLAVGVYAFLSTSKNESVEKDAEVAGEVLAAPVAATESVIPDAKPVAGPVPAPMKVLPPIAEQEQRELYKWMLEEKRKVKPSDRAEKKKIDDEKALLKQFIGAKSIPSI >Ma03_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4805762:4815108:1 gene:Ma03_g07010 transcript:Ma03_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPAPLPRLLLLLLLLSIYSPTLATEFLFNGFKEAANLSLDASALITSNGVLQLTNDSKRQIGHAFLSSPVHMLHNRSVAASFSTAFVFDIVTVNGSGGDGLAFVVATSKTLPGAQNGQFLGLLSTQNNGNISNHLFAIEFDTVKAIGPFTDIDENHVGVDVNSLESNVSKSAAYYADGGKKVSVDLLSAQPIQAWIDYNGVTSILNVTIAPLPLPRPRRPLISHAIDLSPIFKEYMYVGFSAATGKLTSYHYIMGWSFSTDGVASSLDLSQLPLPPRQKEASPASKASILKTAVLSSIVTLLFIVLVISIFMYLRKQAKLSENLEDWESYYPHRFPYKELYKATKGFQDTELLGSGGFGQVYRGTLRRTGEVVAVKKISSNSRQGVREFIAEISSLGRMRHRNLVQLQGWCKRNEDLLLVYDFMPNGSLDAFLYDHDKSQQLSWNHRFKILKDIAFGLLYLHEEWEQVVVHRDIKSNNVLLDADMNARLGDFGLARLHEHGENPHTTHDKLLEVVDPRLGGLYPEQEIKLVLRLGLLCSQSIPEARPTMSQVTRYLDGTDGLTDDVAFVFSEADSMDLASRLSITSSWSGMGSRSLH >Ma10_p23980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32709135:32712975:1 gene:Ma10_g23980 transcript:Ma10_t23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRKKLEVFVRAPELGLPSRFLTLTPDVTLRRLKLAFLPRSFPQTLALESLFFDLGGRPLPDSSTLDAAGVFSSSSTVLDLRLRLRGGGGDGGATGAESRDCYLNMYAVKKPDKVDPNETRLSKWTTCALSAEPLAPPVVVDRLGNLFNKEALVEALIHKKIPKEFSHIRGLKDMIPIHLTPNPSAVASETKFECPITGLEFNGKYGFLVLRGCGHVLSAKALKEVKSSACLICHKEFSESDKLVINGSADEVAVLRERIQEERGKLKERKEKKANASLSGKKHAGDENGGILENGRKDGGAKRFKAVDMVPANATKEVYASIFTSSKKSDYKETFSCRSLPLGRN >Ma01_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:562805:564522:-1 gene:Ma01_g00790 transcript:Ma01_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMGMSGSMGAVSASSMGIYAGRSRVSRGRRSGGDVRCAASTGRLTEQYRTLRVQPGASEKEIKKAFRQLALQYHPDVCKGNNCSVQFHRINEAYDIVMSSLRGPQLEEDRWNDDADETMRGMNDPSWDLWEEWMGWEGAGIRDYSSHINPYI >Ma07_p29150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34944624:34945243:1 gene:Ma07_g29150 transcript:Ma07_t29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERPKLATQQAMHVSFYRDMMVMMFRKERERERLALRFDY >Ma08_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6169488:6170813:1 gene:Ma08_g08720 transcript:Ma08_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKKPILKPFFLIFLSFFLFLHLFLSSKKAPDSPSSSSSSAAAAANTPPLIRIRPGFRSYDDYIKLQLNKTLNPRLRRVWATRDWDRKVRVFARFFSELKAEGLLTNASAALCVGARLGQEVAALRGLGVAGALGMDLVPAPPLVVAGDFHAQPFPDDYFDFEFSNVFDHALYPERFVAEVERTLRPGGVAVLHVAVHRRGDKYSANDLLGGVDGLVALFKRSELVRVRKVDGFGLDTEVVLRKKQK >Ma06_p38640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37333402:37333470:-1 gene:Ma06_g38640 transcript:Ma06_t38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTYSAPLYVNITKTIIKKG >Ma05_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2702216:2708996:-1 gene:Ma05_g03670 transcript:Ma05_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASASFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIYQADRYRKGACENCGAMTHDKKSCMERPRKVGAKWTSMHIAPDEKIETFELDYDGKRDRWNGYDASAYFRVIEQYEARDEARRKYLKEEQLKNLEEKNNKKDDKDSGSDDDDDDDFLKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPVPDSDPNEKFYEGDNQNRVSGQALEFKQLNIHAWEAFEKGQDIHMQAAPSQAELLFKNYKIIKDKLKNKTKDTIMEKYGNAASEEALPRELLLGQSEREIEYDRAGRIIRGQEAALPKSKYEEDVYINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGSAGIEAAEAATKLLKTNMARKEATDDMPVQHEEKRHATWGTEVPDDLVLDKRLLAEALKKEDERKKEERDERKRKYNVKWEDEVTAEDMEAYRMKKIHHDDPMKDFLH >Ma09_p23980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35651499:35656834:-1 gene:Ma09_g23980 transcript:Ma09_t23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative septum site-determining protein minD homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24020) UniProtKB/Swiss-Prot;Acc:Q9MBA2] MASPFPHLLPSIPLSPFHPPLFLPSKILSPQHRRRPAVSAALQWNRKPQLAGETPRVVVVTSGKGGVGKTTTTANVGLSLARFGFSVVAIDADAGLRNLDLLLGLENRVNYTAVEVLNGDCRLDQALVRDRRCPSLELLCISKPRSKLPLGFGAKALTWVVDALRSRPAGPPHFVLIDCPAGIDAGFITAIAPANEAVLVTTPDITALRDADRVTGLLECDGIRDIKMIVNRVRTDLIRGEDMMSVLDVQEMLGLALLGVIPEDSEVIKSTNRGYPLVLNKPPTMAGLAFEQAAWRLVEQDTMTAVMVEEEPKKKGFFSFFGG >Ma01_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25760098:25761925:1 gene:Ma01_g22700 transcript:Ma01_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDYARFALTRPSKPQRDGSRESPSSVAYQKLLDECILKNRSRIFAFKTAPEAPASKLPEFDEPIRPQKKQQRRIPKEPERVLVIHGLLDDNVLNLLDWGSNNVLAIGLEDAAYLWDAANESTKLLQPVEDRGPITCIRWSPDCAVLAVAFGNSDLALIDPATGHVVDGMEDENQTPVLSLAWRSNSTVGRFDGTVVDYDFRKDDMFIYFYYGHRRGVCSLKWSVLSGRSVVGNIAMGSCVVSKRQHIFIQAHGFEIFKYERNRIRFLMIFNMALVSHEDITLHT >Ma04_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9556203:9557798:1 gene:Ma04_g12660 transcript:Ma04_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEAKAVVPESVLKKRKREEQWAVAKKQAVDAKKKKDRTNRKLIFTRAQQYAKEYESQEKELIRLKREARMKGGFYVSPEAKLLFIIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATMNMLQRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIPLTDNAIIEQGLGKHGIICMEDLVHEIMTVGTHFKEANNFLWPFKLKAPLGGLKKKRRHYVEGGDAGNREDYINELIRRMN >Ma03_p32660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34370811:34375138:-1 gene:Ma03_g32660 transcript:Ma03_t32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRAILSRVSSSSISFLGESRSSARRERSDVEEIEGGGRDGVLAAAGEEEEAGRWARMLPELIAEIVRRVEAGGERWPLRKDVVSCACVCRRWREVTRGVVRPPLETGNITFPSSLKEPGPADTPIQCYIKRNKKNSTFYLYLGLSQTFTDKGKFLLVARRFRHGALTEYIISLDVDGLSQGSNAYVGKLRSDFLGTNFTIYDSRPPYGGAKASSSRASRRFASKQISPQVPAGNFEIGQISYKFNLLKSRGPRRMHCTLQCPTALDSTEENPLKSKAHSAGASVVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADANHPSGIGDGETVLLQFGKVGDDMFTVDYRQPLSAFQAFAICLTSFGNGLC >Ma03_p32660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34370817:34375138:-1 gene:Ma03_g32660 transcript:Ma03_t32660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRAILSRVSSSSISFLGESRSSARRERSDVEEIEGGGRDGVLAAAGEEEEAGRWARMLPELIAEIVRRVEAGGERWPLRKDVVSCACVCRRWREVTRGVVRPPLETGNITFPSSLKEPGPADTPIQCYIKRNKKNSTFYLYLGLSQTFTDKGKFLLVARRFRHGALTEYIISLDVDGLSQGSNAYVGKLRSDFLGTNFTIYDSRPPYGGAKASSSRASRRFASKQISPQVPAGNFEIGQISYKFNLLKSRGPRRMHCTLQCPTALDSTEENPLKSKAHSAGASVVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADANHPSGIGDGETVLLQFGKVGDDMFTVDYRQPLSAFQAFAICLTSFGTKFACE >Ma04_p30010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30761026:30772703:-1 gene:Ma04_g30010 transcript:Ma04_t30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNSRGGDRDDLEITSIGALYRGPWDKKYWSASRGKDRYPYPVGYHAVRTHGGNMYRMEIHEGVKGPLFVVTSTDGDSTGHTPDIAWENLQKKNGPRVKNRNGKRFSSKIDGVELFGFRNPFVQRLLRELLANVYGVTEPDYLSPPVGNEALRLDDKMHIQDSLNCSDMLVYSRKRQTARKRCTMNKNCSRINSNAVRAKRIRCQHAPSDSGAGNPEQLNEICSHAEIRKRKFVKENNMSSSRLQTSQLQVSQSHLSYSTNCNTFALRNETCCQASTDCRCDSKNKNRDGGDLDILLGQASAVGGNCHFLLARDELLQEMEDPDNSIEKHVIFVRETKFTSRDQFTSRDPHLTYVDNICDADNNVLDISSNGRLRQLELPSEKVVSSSDDATLKELIKDSLPEAVSSSGSSNPSLNLADQELAKSMMTFLLPRAVPLLEKTCVKGKTRCRSQEVKVDQDKVGSEHFNGNMLQGEFEAGMLLSDTEENFTERLSDPILVSGVNCQYQMKKMEQFDRRIYLDDAEHMIPDSFENDCTVHIKKHSTMAYGIDCTASDITRETMITAKPVIAEAEKVQVPSDSDKVVMMPKETEKGEYLLTDSLEHCLEEALNDDLFVRKENVSDASCSLGACVDHELQYLNPLNTGNGEDVLEEMVIPEKGPHYSSFGKGKIDCLEQCPSAVNSNSNLGERRTSMVVIKESSHINEIPNKELQSSSSDKPVESKIHNITDHKDCKLRDQISAVHTFPLGNSESVANLKGDSASFFHMPNIILSTEGQQPSSFNIGKLLNPSHFSGNCNVLSESIICRNYMDDDATETYLTPRISEMAESTKTRMISSKSNLRLVSQAHDLDTYVNANIKSSKVGDPSTLCAERTADFMNKLQSSTHLWLPPYQECVKDVHNESRVVLEDLTFSVKNPTMRVTVDEESNNFFDKQHKQNGQLDGCSFESNQELGGPFELAGCYMHPEPVLSIFLSSKENTLQISVTCGLQESNERNLFIYTISLKDQGGNCPSFIGYTPLLLPLLTGPSIQRVNYPSEDDVLTAFDTSGLQFTPDSQSLVFLSSIKVPLCSERNINCSCPTCESDCHEENAVRVGQVNFGYVLPLAKLMTTERVSCILVCEPNYLIAAEASGTLHVWLMNCKWSGAFEEFVLPSFDHLTPAIELKMVAKSDSIIVGHNGTGGFGLWDVSKRALLAMFSSPGNLIFQMLPIGIFGFKDETIFSAAQEMEHMQEILKANDWVTEHAANPLPLRQDIAVWILVSAASDLEDQVAYQLKEPNRHPDRWWRLALLLKNMVIMGSVLDPRASSAVASADYGIIGTYDGLVYKWELSTGKKLANLISLKSMGSILCASIESKSGVLAVADDKCRLLIFKQPQVMKVQDLVI >Ma03_p31530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33725762:33728603:-1 gene:Ma03_g31530 transcript:Ma03_t31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISATRIAAWNSDQLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVCEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGISYQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGRKAVQALKEVYANWVPEDRIITTNLWSAELSKLAANAILAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVATYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVSADQIRRDLAMNKFDWDHPIHLQPTSPTAVKEVTVTWDAYEATKGAHGVCILTEWDEFKRLDYAKIYENMQKPAFIFDGRNVVNPEKLREIGFIVYSIGKPLDQWLKDMPAVV >Ma10_p24070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32778540:32779177:1 gene:Ma10_g24070 transcript:Ma10_t24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSRMFLWTVNILQRVSKESFEAKVEFMRRFGWSESEFSSAVRKAPTFIGMSLDTLGRKMEFFINVVGCTPSFIADKSYLLLFSLQKRIIPRFRVTEMLKSKGLLTGQAKFPYILGLSDTKFLEKFVLPHKENVPELLDILRVEGVCKGK >Ma10_p08810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23090084:23094412:-1 gene:Ma10_g08810 transcript:Ma10_t08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFAPRLLLQRLSTLRRARRTSSILVASEAPADVGGGGLFSPPGPWSVLGPRCVSRVSFSTRPSALDERQNPAAVDYRSLMSEEEFHTLADETIHDLLEKFEEYGDAIQVDGYEVDYGNHVLTLKLGSLGTYVINKQTPNRQIWLSSPWSCKVRLGFDG >Ma10_p08810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23089928:23094410:-1 gene:Ma10_g08810 transcript:Ma10_t08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFAPRLLLQRLSTLRRARRTSSILVASEAPADVGGGGLFSPPGPWSVLGPRCVSRVSFSTRPSALDERQNPAAVDYRSLMSEEEFHTLADETIHDLLEKFEEYGDAIQVDGYEVDYGNHVLTLKLGSLGTYVINKQTPNRQIWLSSPVSGPARFDWDSTAKTWVYRRTKAALLQLLEGEVGQLCGEPISLR >Ma08_p22830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36315704:36316032:1 gene:Ma08_g22830 transcript:Ma08_t22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPQGEEGKKAKFRLAVGSQMFEVKSRFDVTVFLVLAIVAIGLGPLIFFPLAEKDRRALIQTSLKSFPS >Ma01_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2186469:2186933:-1 gene:Ma01_g03360 transcript:Ma01_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDGPPMTCEEHEELVLRLRDWRARLSVELPKIEVRFEDVSVETEVYVRRRVLPTLSNTIINTAQVDCMASMLHNIAAGFLHLKQVADAHAKEAIS >Ma08_p20540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34625541:34627358:1 gene:Ma08_g20540 transcript:Ma08_t20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRVEAFLFPLLLLLLSSSCLLASSSKSDPEKKRCVMECRGIPEQQQRKLCEYHGAAGRNPQTFYTSFSNEVLEAAFNTPWDKLGRVFRSQRKGEIIKITEDQIRALNESKTESWPFGLSNEPYNLLENNPSHSNEHGQIHEATGNECEMLQDLNVDVSIANISERSMMAPNYDTRSTKLAMVVEGRGYIEMACPHRSAERRRTQEESGSQGEQRVRYRTVRSRVSRGSVFVIPAGHPAAVVAAANENLQVLCFGIRSENNRRYYLAGRNNVLNRLDRAAKAMAFGVPAEEVEEVLNAQPESVFMPGPERRREEEEKRRQLVFKYAGF >Ma11_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7596486:7598359:-1 gene:Ma11_g09360 transcript:Ma11_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRVAVGVVRGEGGGRRRGGDEVGEGMHCLDHPYRSNASGVCAFCLHEKLSKLVSSSNSSPFFPFQPPPCSTSSSSSSSPTSFSSDAGNGGGGLGFALASDHSRTSANGGGPRTMFPFLADTHSKKKKNSGGGGYGNGARQVMAAVATTTTVSAANDNDVVLRRTKSVATRTTGGLAQGGGVGCIGVADSPRKKSFWSIIYISSTSSTSTSSSSVTNNNSSSSNIMRRRSTSSSSGGGNRNIAKRQQQQQTCTPYNLVDKQAAVAGPRQGENGEKDAESPSGSQASSSLGRKVARSRSVGCGSRSFSGDFLDRISTGFGDCALRRVESHREAKPKSKIVLHLDHDNGDGQRRRPRIKERVKCGGLFRGLGMMSSAYWLSSAAAADDDYDSSSRTSATTPAASGSTAVRGRSSPHGRTRSWGWALASPVRAFRPYFSSKSLYAISNTASAAPVTNASDKLVNSKASRLDVVQPQSAPEDL >Ma02_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21215662:21216989:1 gene:Ma02_g12520 transcript:Ma02_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRSRKQSQETQSLRSQEQELLHPNMDSANCDHVMGIPVNSVTFAAEGLPGNPASASVASRSSSHSKQKKDLIVDWMSKLGEKAEGIRGHVSLGPKVSETMKGKLSMGARILQAGGVERVFRQAFSVGEGERLLKAFQCYLSTTAGPISGMLFISNEKIAFRSDRSLKLSSPKGGLIRVPYKVLVPLRRIKEAIPSQNMSKPNQKYIQIVTEDEFEFWFMGLVNYDTTYRYLRQAISALQ >Ma03_p29760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32600468:32608699:-1 gene:Ma03_g29760 transcript:Ma03_t29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTRGAMVVGDEGDLKATFRQVYGRLKSELFEDAAFDYTDEARQWIDRMLDYNVPGGKLNRGISVIDSYKLLKEGTQLSNEEVFLGCALGWCIEWLQAYFLVLDDIMDNSHTRRGQLCWFRVPKVGLIAVNDGILLRNHIPQILKRHFKGKPYYVDLLDLFNEVEFQTASGQMLDLITTHEGEKDLSKYTMTVYNRIVQYKTAYYSFYLPVACALLLAGENLDNFVDVKNILVEMGTYFQVQDDYLDCFGDPEVIGKIGTDIEDFKCSWLVVQALERANESQIKFLLDNYGKSDPTSVAKVKSIYRDLDLQNVFSEYERTSYERLISAIEALPSKAVQDVLKSFLHKIYKRQK >Ma08_p13050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10363812:10366775:-1 gene:Ma08_g13050 transcript:Ma08_t13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGYGPDGIYRSLRPRIAFPADPALSMVPFLFRSAAAHPDRLALVDADTGDALSFADLRSSVLSAAASLSSLFGLRKGDVVLIFAPNSVHFPVAFLAALSLGAIATTVNPLYTVPELTRQARDARAKVVVTVPQLWSKAAALRLPSIIIGPKDSPLSPPPASPVAYFSDLVANPVPAGFSPPPVYQSDVAALLYSSGTTGASKGVVLTHRNFICTAHMATVDQDSRGDGPNTAICFLPMFHIFGLSVITYSQLWRGNSVVSVSRFDMDSILKAVEGHRVTQFFGVPPVMIALAKHGKVTKYDLSSLRFVCSGAAPISKDVMEDVAKHLPHADVVQGYGMTETCGILSLDLPTIGEVREYGSAGILASEVEAKVVNVDTMESLPPNQLGELCFRGPNIMPEYLNNPEATKLTLREGWLHTGDLGYFNEKGQLFIVDRIKELIKYKGFQVAPAELEGLLLSHPEILDAAVIPFPDAEAGEVPIAYVVRSPTSSLTGEDVQNFIAKQVAPYKRLRRVTFVSSVPKSASGKILRREMIEKVRSKL >Ma09_p04330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2848594:2850315:1 gene:Ma09_g04330 transcript:Ma09_t04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MASLSLSTTRLLFLRRSPPPPQQQQLPCPSHGLAAPVTCGPRDNRGKLLRGRTLSTEAILAVQALKRAAAAGDEARVHRIISVDLGRLIKADLLAALAELQRQNEWGLSSKAFAAARREPWYRTDLALYAEMVSSLARCGASDEIDALVACLLEDEEGWISSENTKEISRFVRALMAAEKAKLVRDVYGNLKSGGFEPDEFLFKFLIRGLRRLGEDAAAEEVERDFEVWYECGSLPLEPLPV >Ma09_p04330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2848594:2850326:1 gene:Ma09_g04330 transcript:Ma09_t04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MASLSLSTTRLLFLRRSPPPPQQQQLPCPSHGLAAPVTCGPRDNRGKLLRGRTLSTEAILAVQALKRAAAAGDEARVHRIISVDLGRLIKADLLAALAELQRQNEWGLSSKAFAAARREPWYRTDLALYAEMVSSLARCGASDEIDALVACLLEDEEGWISSENTKEISRFVRALMAAEKAKLVRDVYGNLKSGGFEPDEFLFKFLIRGLRRLGEDAAAEEVERDFEVWYECGSLPLEPLPV >Ma10_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4685222:4688283:1 gene:Ma10_g01470 transcript:Ma10_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLNQPKQGRKKEKWKLLLLLLLLVLLKSSQCSSVEAAAAWDGVAITQADYQGLQAIRQALADPHGFLRSWNGTGIDACSGAWTGIKCVRGRVVALQLPWRGFGGRISDKIAQLAALRKLSLHDNAIRGPIPPSLGSLRDLRGVYLFNNRFSGGIPPSIGACLLLQTLDLSHNLLTGRIPSSLSNSSRLIRLNLSYNNISGPVPVAITQIPSLVFLLLQHNSLSGSVPDTWGSTVSNGTFQFQSLHLENNLLSGSIPMSLGRLQMLEDVSLSNNQLNGSIPQEITTLSRIKTLDLSDNSIGGSFPASLCNLSSLVALNLEGNQLEGPIPEAIDGLRNLSLLSLKNNQFSGDVPATLGNISSLSRLDLSENNLGGRIPASIEHLTNLTFFNVSDNVLSGPVPILLSDKFNSSSFAGNIQLCGYSASVPCPSPPPASPPSPSMLPTPIRRSLSPKNVILIAVGVFLALLLLLFCVLLIFLIKKRAAGTSKQSTATGGAAAAAGAGRDEKPGPAAGTETEAGCDTGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSQVAVKRLREKIAKSQKEFEAEVNVLGKIRHQNLLALRAYYLGPKGEKLLVFDFMPKGSLAAFLHARGPDTPIDWSTRMKIAMGVTRGLQQLHVDLKMIHGNLTSSNVLLDDDMNARISDFGVSRLMTGAASSNVIATASALGYRAPELSKLKKANAKTDIYSLGVIVLELLTGKSPADAADGVDLPQWVASIVKEEWTNEVFDLELMKDATAGTATGDELLNTLKLALHCVDPSPAARPEAHQVLQQLEQIKPEAADAVASSKDDGFGTAATAEEEEAKTAE >Ma04_p09610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6807707:6815199:-1 gene:Ma04_g09610 transcript:Ma04_t09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNPRDTPAGTAAATARAEANVFSSEPRSFKMDRRSPEASALRDAVSRKLLEFLGSYSDDVLTEYIIVLVCNGKHQNQARDDLEAFLGNESEKFVAWLWDYLSDQINVSKPQLDSLDIKTKSMGSICDPSSYNRNFSSTNEIEDSERCKDWCPSYSPSVDVHTDVPQEQACAAYDKIAESNSSALPCQPLHVPETEQVAKNSQPIIREDIKPKYLSIRSDVQRRFSANNVVVEEAQIARPRGNVWDRLGKPCKDDESILGVEKHHIVKREKINNNVEELQDPRPMLVKPYTRLISDETEKVAVLDKGHGKIISNNHPGGYDIKEHATQGSLDQLVRSKRKRHYNGTTCGNTSASLSGSEENFLQDKDTFHRTKGSLSVKHQCLPRSNELATNAKRTTGMFPEPELSSLRSLSEHKAPADKVVQSLLKENTSSTTAMQNAVPSGSSALYKSKSIKYASENSGTRPVQNEMLDLKLKLHQVEKDMHMLRSKQANLNNSKPVPPSGLQNSLKEDAESRTVFVTNVHFAASREALLSHFMKCGSIAKVTMLTDTTTGQPKGEAYIVFANKWSVDKAISLSGTSFFSRTLTVMPKADMSPGSLTQTPPSMKLPQPRYPELYKKVPVQRHYTKSHLQWRRDESASDTSVPVPTNKMESSSAAK >Ma04_p09610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6807707:6815199:-1 gene:Ma04_g09610 transcript:Ma04_t09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNPRDTPAGTAAATARAEANVFSSEPRSFKMDRRSPEASALRDAVSRKLLEFLGSYSDDVLTEYIIVLVCNGKHQNQARDDLEAFLGNESEKFVAWLWDYLSDQINVSKPQLDSLDIKTKSMGSICDPSSYNRNFSSTNEIEDSERCKDWCPSYSPSVDVHTDVPQEQACAAYDKVGLTNKNQSGLKLYMKASLMFSPKTFSGGEQRIQGESQQQKIAESNSSALPCQPLHVPETEQVAKNSQPIIREDIKPKYLSIRSDVQRRFSANNVVVEEAQIARPRGNVWDRLGKPCKDDESILGVEKHHIVKREKINNNVEELQDPRPMLVKPYTRLISDETEKVAVLDKGHGKIISNNHPGGYDIKEHATQGSLDQLVRSKRKRHYNGTTCGNTSASLSGSEENFLQDKDTFHRTKGSLSVKHQCLPRSNELATNAKRTTGMFPEPELSSLRSLSEHKAPADKVVQSLLKENTSSTTAMQNAVPSGSSALYKSKSIKYASENSGTRPVQNEMLDLKLKLHQVEKDMHMLRSKQANLNNSKPVPPSGLQNSLKEDAESRTVFVTNVHFAASREALLSHFMKCGSIAKVTMLTDTTTGQPKGEAYIVFANKWSVDKAISLSGTSFFSRTLTVMPKADMSPGSLTQTPPSMKLPQPRYPELYKKVPVQRHYTKSHLQWRRDESASDTSVPVPTNKMESSSAAK >Ma01_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8843417:8844163:1 gene:Ma01_g12190 transcript:Ma01_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVVLKALSLFCEAEEKSYLERTGSAGGWDVLFYIFSFLKGISLFTLMILIGTGWSSNPRPRPRRRFSWPSSPSRSSQTWPRSPSTNRATRKPLFLLVDVVCCCTVLFPIFWSIKNLQEAARTAVNRLKLTFFRQYCIVVICYIYITRVVVYALLAITSYRYMWNSVLTGELATLTFYIFIGYEFRPEKYNPYFAINEEEAAAEALKLHTATSNCEKEIEGGFKGVIRKCNLHFDAACKLLGKHPK >Ma02_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27867056:27868788:-1 gene:Ma02_g22570 transcript:Ma02_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSCGSVNGFYAFVAHGVDDLGRCLTSTNFMSVTFLQRCVALLRSVHSQFMSLVQKLHLPPGEKWFDEYMDESARVWDACHVLKLGLASMESYCATGADMISILERHRSNPQLVRQAMRAISVCRRAAAGMQEENRVLTETRIEALSLRTGEKVPSESKLNGFNGFRGVLHAMRNVSSFLLMVLLWGLVHWWPCCSSSQAATASSSSSSFEPTYMLSIARLQQRVVGEIEGTGGRRQGVLLYEFRRARAATEELREGPGDGGEMEESLKLWFGLLRSGTDSIAGQLDDFLDEIVEGRKKLVDLCSHSLQQEESYIVLSTSSNQITYLEKNGHLTSGGCSLLAFSEIKIGRKETGNTA >Ma03_p10260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7713245:7713487:1 gene:Ma03_g10260 transcript:Ma03_t10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKALANTLLKSFVHLQKKSIVPIGFYPLACETSSIKASHSYQTTSVVRGSNNTSSRIRYFMQTSKRNLQKILNLCCQK >Ma01_p00640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:490251:491227:1 gene:Ma01_g00640 transcript:Ma01_t00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKPVRSRYFSIKAQSVSLIYHLCHRLLCSCMADGSSLRFGRDSSCFQNSPSQPLMAATSYRGRYGGGRDHTHSSSLRRSISPSGALRCSSASAFASSSASAFASSSPCSSALLHRSASLIRVIFAGPSPSPRSVRFAVASFHGNHSQKKPAKRPVVGSSDGGGRRRMCMCSPTTHPGSFRCRLHKGIGGRATAVASSSSSLCIRLNARPSAMTNSLVRWRASGCGGLSPPSTVPPPTSSGATPPSSPDPAASPSCPRPATTIPDDRRSPISKQIPGRS >Ma08_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3166852:3183779:-1 gene:Ma08_g04560 transcript:Ma08_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIVMQLSSSSSPSDPSLPTKLAKLEARMAGKSSSSLTIQSAWQPAPPPPIKFVEQEELPDSSSSDDDNGGEFLIQHNTQKRHRLEEDDHDMDLEQSKETTNGSIKTLENFEIRRNLDDPNKKKQGRGRGRPSTGRGRGSKTSDQMRSVSTASINSSNGQLENPPNKENWSNIPLGNDERVALQEEISVLHGKIATLEEELNRSRQEASDYRHLSHQLEKELKELRDRDQQSKTKRIKVLSDLLISVSKAERQEARMKIRQESLRLGNIGVVRAGTIISEGWEDGQALKDLNAHLRSLLEMKESIERHRKSLKKRQSDKGDGSDMETGISDEDFHVHDEIFKSRLASIKREEETYLKEKDRYELEKGRLIREMKRIRDEDGSRFNNFPILNQRYALLNLLGKGGFSEVYKAFDLVENRYVACKLHSLNGQWSEEKKQSYIRHAIREYNIHKTLVHPHIVRLWDIFEIDHNTFCTVLEFCSGKDLDAVLKATPILPEREARIIIVQIFHGLIYLNKRPQRIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGVILYQMLFGRRPFGHDQTQERILREDTIINARKVEFPSKPSVSIEAKELIRRCLTYNQAERPDVLTIAQDPYLSYSKR >Ma02_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14560747:14569594:-1 gene:Ma02_g03250 transcript:Ma02_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNKKSVEAAAAAVPVVSEKSGKRGKRDAEEAIEKAVSAKKHKREVSEKVESKKPKKVLAEVPPKKKAETSSEDGTSSESEEEVKVPTKKQSKQAKAPAKAQLKKKPETSSSEDESSSESEEEVKVPPKKQSKPAKESSSSEESSSDDEPAAPAKKVMVATSKNGSAGAVTKKGKSESSSESDSDEDEKPAKAALEPKKLPASSLKNGTPTVSKKQQESSDGSDSDSSSDDLDEEDAPAEAKVIPAAKKQAAPVGLKKKEKSSDTSESDSDSDEDNAPAAKAGQPAKLQAAAEKKDTQQKRAKVVESSDDSSDESDEEPQQKKLKSSLPSSAGKVNAKVVKKESSSEEDDDSSEESSDDEPSKNQNVNKMPVAKAVKKESSSSDEDEDDSSEESSDDEPSKVQQAKKATQPSKDSSSSEESSEDESEDEQPLKTPKKDKDVEMVDATPKTTTEKKGPKNPATYQNQTLGSRTIFVGNLSFDAGQDDVVEFFKEAGEVVDVRLATADDGRFKGFGHVEFATEEAVQKALEMNGQELFGRAVRLDVARERGAYTPQSGRENYSYQKGSKGNSQTIFVRGFDKSLEEDQIRSSLEEHFGSCGDVTRVSIPRDYESGASKGIAYMDFKDQDAFSQALELNGSELGGYTLTVEEAKPRSDNRDGGWSGGRGGGRDSGGRGGGRFGGRGGGRGGRGGGGRGRGGGGRGRGGTPNKQSAGTASAGKKTTFSDD >Ma01_p23240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27456609:27458364:-1 gene:Ma01_g23240 transcript:Ma01_t23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPWSEEDRAMAVEVLGGRALDYLLAASHASSSDQLATVGSGVPDLQIKLQNLVEARGSPWACAVFWQISRSRFGEFVLGWGDGHCRELGGRADDDDPRGRTTLQRMRKRVLERLHVLSGGSDDENYALRLDQVADPEMYFLASMYFSFAPGEGAPGRSLLSQKHLWIPESAFAGADYFVRASLARTAGFRTVVLVPFDTGVLELASMDSVSESPDELQRIKAVFVHGLSKGTAATACDMESGGATACSTSCFWFGGDAWDHTKIFGKDLNAAPAQMNMKVPTSIAEHHHETPLGAGANLLGWNLNHNLEEATPVHRAYPHRGNGVVMEETVMSQFQSQKQHQHQQQQPRPRAQPKQIDFGGSSTSSRAMPIGRLESLEFVLSDAEASRNGEKPGTTEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRSVVPNISKMDKASLLGDAISYITELQRKLKEMEAEREMLGEPASMEQNSRTHPPQIDVDSLHDELLVRVSCPLATHPIAGVIQAFGDSQIDVVDSKVVVSDDKVTHTFVVRSPGAEQLTKEKLVAAMSHEVGTKSQSTSL >Ma06_p01820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1484139:1492399:1 gene:Ma06_g01820 transcript:Ma06_t01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPERRGRGGEEEGGEDAAAARTRTGVGLGRRRAGDPSSSRLRSADAVWPEHFVEAVAAQVAVDAVRSAGRLAAAPAVVSVFQVCSSWRSVSRSELLWKDLCRRVWSRRRRALPSWRDEFIRLHRTAANFRARRSAHSHLLPPSDAVISCRRLALSDHHLAAGFVDGSVRLFDLPSGRALATYSADPHRDRLGRFSQAISGIVLLADDRLAFASQDGDVHMASLGASGSVRRAHVGNLMEDGTLVDFTGNSRWWVGLLAGVPGRSWRVWDAATEQLVFVGGTLTDSDAILGWHMLTDLSSPVVGRAKIVEPGLVVGCTASTMEVMDLDDTGTILNQLQLRHGVVVDSVDASEGRVMAVDTRGLAKVWEVPRLQEICRFSTVRRAEGRQQGAAGVQGCMNWGYAVVCSAHGGVRVWDATTSEYLYSFRERIGEAAASVAGDRYVAAWADETGLHLWDFGAL >Ma05_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8397613:8397994:1 gene:Ma05_g11580 transcript:Ma05_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTNLLVATSASCSSPSCLSKRHDPLRDKDFLVIFGLLEHLLDNCVRLSISATTFPALSTATVTLQHVASRGNYEKDTDRYNYVGEEERT >Ma06_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2559301:2564894:1 gene:Ma06_g03520 transcript:Ma06_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRTLISENKTGAGPASQNLQKIGSEEPENGLEAMKAAREGILEGGGERRGALETEQEGKRQQSIIHVRLDHCRCIVVHIKFIGLKIEGRFKDNEGTSQKSQNIQHTSRSVFLPMPNCSCSGPIKAQAKLEEGLLAVLPASLHHLHRKRAKLRGWVTMVCPKMVRYPNLEVEVDPFADLPKDQDVPMDNEVLFDNSPKLSPPAS >Ma03_p28830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31897641:31898800:1 gene:Ma03_g28830 transcript:Ma03_t28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTANGTCPLEELGSLQELRYLSIYKLETAWLEAEPGRVTSVLKGKQKLKNLYLECSYAPTSDGHTEEQIERIEKVFDVALHPPSSVVYLGLENFFGLRYPSWMASASISKLPSLEFLEIEGARAVTTIGPEFFGCEAAAASGHERERNSKRPSSSSSSSSSSSSPPFMLFPRLRQLRLADMSNMQSLPEGLIRQATCLTTLNLRDVCALKSIRGFPSVKQLSISGESDLEIVADLPALEVLNLGPFGRRNNHLPEWLAQQSFTTLQRLDVSGTTQQLVRCLQNGADWPMIEHFPIFYIEDFRGNYINYIKHSCTFDTNLVDDDAAFAAVAAAEEEEEE >Ma06_p16220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10976531:10982868:1 gene:Ma06_g16220 transcript:Ma06_t16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MAADGALEIFPQIQALVLDKLQVVSYKWLSRNFSVSSNYAKRLLREFAEKHCNELEVIYTLSGWLKSEPQAYCVKLASTYKLEEVKQVFKDNCSVQVYSVQACIPSDLAVIWNAEFVQAEELFNQPLTEGNCLRDNRFCGVSNSFVKRIVDGKHVGAAAPWPMNGKRVAIESKGISTVKGQPIQHPQQGSGAKNGMQSSIAVSSDNSEKVALDAASKVTKPPLIKESVSGGNTGKNKGQNVKSSSGTTSSLASLWGNASAKSKPAAPAAETAIDTSIAAATAEAQICAHEALDAANSEDEDHHNNHKRERNSVSGRKRRVFLDFSDDDDEEENVTSLSSPDVFKGKHAAESLPKTESLLDRSKPNTAEPKGDRLSDGLDSTKGKNSSLPSDADERIASITMGGISLKKKTNSYNQGDTDKNNKDVATTSTSPKRRKVLKTRIDDRGREVTEVIWEGETADSNMPEKNISVNDSANRPPVANKAQAAGIAASTNPGKGGNKKPGKGGLKDAKQGNILSFFKRV >Ma06_p16220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10976531:10982868:1 gene:Ma06_g16220 transcript:Ma06_t16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MAADGALEIFPQIQALVLDKLQVVSYKWLSRNFSVSSNYAKRLLREFAEKHCNELEVIYTLSGWLKSEPQAYCVKLASTYKLEEVKQVFKDNCSVQVYSVQACIPSDLAVIWNAEFVQAEELFNQPLTEGNCLRDNRFCGVSNSFVKRIVDGKHVGAAAPWPMNGKRVAIESKGISTVKGQPIQHPQQGSGAKNGMQSSIAVSSDNSEKVALDAASKVTKPPLIKESVSGGNTGKNKGQNVKSSSGTTSSLASLWGNASAKSKPAAPAAETAIDTSIAAALDAANSEDEDHHNNHKRERNSVSGRKRRVFLDFSDDDDEEENVTSLSSPDVFKGKHAAESLPKTESLLDRSKPNTAEPKGDRLSDGLDSTKGKNSSLPSDADERIASITMGGISLKKKTNSYNQGDTDKNNKDVATTSTSPKRRKVLKTRIDDRGREVTEVIWEGETADSNMPEKNISVNDSANRPPVANKAQAAGIAASTNPGKGGNKKPGKGGLKDAKQGNILSFFKRV >Ma11_p09600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8675762:8681750:1 gene:Ma11_g09600 transcript:Ma11_t09600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAVRSQSPALKALFKTPEGRYKLLHEKTLPPAAASHGKSVSQLTIAYLKEKPPANTSQAAPSATSSGVRSAAARLLGSGNGSRSLSNGVTRVVSANSRTGGPVGASVGSSAQLASPNYDGKGTYLIFNTADTLFISDLNSMEKDPIKSIQFGNSNPVCHAFDAEASDGHDLLIGLHSGDSSRCTCVAWVPEREGTFVVGHADGNIYVYEKSKDVTADSSFPVIKDQTQFSVAHTRSNKSNPVARWHVCHGSVNSISFSVNGTYMATVTRDGYLRVFDFSKEQLAFGGKSYYGALLCSAWSLDGKYILTGGEDDLVQVWSMEDKKIVAWGEGHNSWVSGVAFDSYWSTPNSEGTEENVVYRFGSVGQDGQLLLWDLVMDELIMPLRCPPGGSATLSSGSHSASWDSMCPMSALLPAPSMRDMPKISPLVAHSVHMDPLSGLIFTNESVITISRDGHIKIWERPQNHECSQSGCSHSVVAAAPIAKHRPVDGIHIH >Ma11_p09600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8675762:8681750:1 gene:Ma11_g09600 transcript:Ma11_t09600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAVRSQSPALKALFKTPEGRYKLLHEKTLPPAAASHGKSVSQLTIAYLKEKPPANTSQAAPSATSSGVRSAAARLLGSGNGSRSLSNGVTRVVSANSRTGGPVGASVGSSAQLASPNYDGKGTYLIFNTADTLFISDLNSMEKDPIKSIQFGNSNPVCHAFDAEASDGHDLLIGLHSGDIYLVSLRQQLQDPGRKLLAAQHYNKEGTTNNSRCTCVAWVPEREGTFVVGHADGNIYVYEKSKDVTADSSFPVIKDQTQFSVAHTRSNKSNPVARWHVCHGSVNSISFSVNGTYMATVTRDGYLRVFDFSKEQLAFGGKSYYGALLCSAWSLDGKYILTGGEDDLVQVWSMEDKKIVAWGEGHNSWVSGVAFDSYWSTPNSEGTEENVVYRFGSVGQDGQLLLWDLVMDELIMPLRCPPGGSATLSSGSHSASWDSMCPMSALLPAPSMRDMPKISPLVAHSVHMDPLSGLIFTNESVITISRDGHIKIWERPQNHECSQSGCSHSVVAAAPIAKHRPVDGIHIH >Ma11_p09600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8675762:8681750:1 gene:Ma11_g09600 transcript:Ma11_t09600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAVRSQSPALKALFKTPEGRYKLLHEKTLPPAAASHGKSVSQLTIAYLKEKPPANTSQAAPSATSSGVRSAAARLLGSGNGSRSLSNGVTRVVSANSRTGGPVGASVGSSAQLASPNYDGKGTYLIFNTADTLFISDLNSMEKDPIKSIQFGNSNPVCHAFDAEASDGHDLLIGLHSGDIDALAWHGCQNVKALLLLVMLMSKDVTADSSFPVIKDQTQFSVAHTRSNKSNPVARWHVCHGSVNSISFSVNGTYMATVTRDGYLRVFDFSKEQLAFGGKSYYGALLCSAWSLDGKYILTGGEDDLVQVWSMEDKKIVAWGEGHNSWVSGVAFDSYWSTPNSEGTEENVVYRFGSVGQDGQLLLWDLVMDELIMPLRCPPGGSATLSSGSHSASWDSMCPMSALLPAPSMRDMPKISPLVAHSVHMDPLSGLIFTNESVITISRDGHIKIWERPQNHECSQSGCSHSVVAAAPIAKHRPVDGIHIH >Ma11_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8675762:8681750:1 gene:Ma11_g09600 transcript:Ma11_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAVRSQSPALKALFKTPEGRYKLLHEKTLPPAAASHGKSVSQLTIAYLKEKPPANTSQAAPSATSSGVRSAAARLLGSGNGSRSLSNGVTRVVSANSRTGGPVGASVGSSAQLASPNYDGKGTYLIFNTADTLFISDLNSMEKDPIKSIQFGNSNPVCHAFDAEASDGHDLLIGLHSGDIYLVSLRQQLQDPGRKLLAAQHYNKEGTTNNSRCTCVAWVPEREGTFVVGHADGNIYVYEKSNPVARWHVCHGSVNSISFSVNGTYMATVTRDGYLRVFDFSKEQLAFGGKSYYGALLCSAWSLDGKYILTGGEDDLVQVWSMEDKKIVAWGEGHNSWVSGVAFDSYWSTPNSEGTEENVVYRFGSVGQDGQLLLWDLVMDELIMPLRCPPGGSATLSSGSHSASWDSMCPMSALLPAPSMRDMPKISPLVAHSVHMDPLSGLIFTNESVITISRDGHIKIWERPQNHECSQSGCSHSVVAAAPIAKHRPVDGIHIH >Ma08_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2232340:2236657:-1 gene:Ma08_g02980 transcript:Ma08_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGGGPGDEGPHGVLSPQSLSVLGEGISLVFSRWTALQMAVENAWGGKDSRSNSEELASTILSWFSQSKGPLYIDDLENLLEENMEALFNTEIDDGSIEEVAEELMIMHEACLEGNYESIEKLRRSGPVVSAVSQSKRVVDDDSEYESSDEEASEMMVDEPMPHEMVVEKPKQKQMPNEDGWSTVTSRKNRGKK >Ma01_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11644101:11646054:-1 gene:Ma01_g16030 transcript:Ma01_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHHSKRPDTPSVLLDDGGGGGGKADIRYVAELSSYEAACRLDPELQGFDSTLQRCTSRVISSLALGVEVRSLSLDSLREITGCLLEMNQEVVKVILECKSDIWKTPELFGLVEDYFENSLHTLDFCAALEKCLKKARDGQLIIQVALQHFAEEEAEPEPEPQKDAKKKYSRTLEELRLFKAAGDPFTEEFFQVFQSVHGQQLLMLEKLQQRKNKLDKQLRSIKAWRRVSSTIFAATFAAVLICSVVAAAVAAPPVAAALAAAASIPIGSMGKWIDSLLHDYQNAVKGQREVLRSMQVGTYVAIKDMESIRVLIDKVEMEMASLLADAEFAMEDAQAVSIAMEEIKKKVEVFMRSVEEVGEQADGCSRDIRRARTVVLQRIIRNPE >Ma07_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10122551:10129262:-1 gene:Ma07_g13450 transcript:Ma07_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGSNVQSNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGIEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEYVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTTTHQHIPYRENKNLTGTARYASINTHLGVEQSRRDDLESLGYVFMYFLRGSLPWQGLKAGTKKQKYEKISERKVSTSIEALCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQIAGATPQALAAGDGPSSGMVPMIANMDRQSGIEEGRTSGWSADPSRWRFSAPAVNAGTTSKEKNPAGNVVVPKETMPSSSTFLGRSGGSSRRAAVSSSREAVMGGEAETSYPRTTEAITGVINRIPARRSSQAVSTDHKLSSSGRHQAFDCESARRGLQCLDLDSDGRVGY >Ma04_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3649947:3654384:-1 gene:Ma04_g04790 transcript:Ma04_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEELTDEQVASMREAFTLFDTDGDGRIAASELGILMRSLGGNPTQAQLKEIAASEGLTAPFDFPRFLDLMQKHLRPEPFDRQLRDAFRVLDKDSTGTVAVADLRHVLTSIGEKLEPDEFDEWIREVDVAADGTIRYEDFILRMVAK >Ma06_p25290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25562154:25562731:1 gene:Ma06_g25290 transcript:Ma06_t25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDVVEKEARDQKPGQQTTGSIGGESFRGDHQPWLDLTLGRRTAPTDGSSSSPRPQPPNRKMFSCNFCMRKFFSSQALGGHQNAHKRERGATRRPHHHLTVHSHSMVPKQHSEKGMSMVARCYQINPDIEVTRIPFALDEARGWKWPGSFQDVSRPTDQPSEQQNLDLSLRL >Ma03_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27316537:27317226:1 gene:Ma03_g22570 transcript:Ma03_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLPLLPRPTSPPSSPATQRVPRRQHLAEPQCGDGAVRFHRRRQLIYGLVAVGVAYSVAPQGAHAVKRRPSPPPPPEEKADPNVSGVLAKVLASKKRKEAMKEAVAKLREQGKSINEPSP >Ma10_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15407955:15417496:1 gene:Ma10_g04760 transcript:Ma10_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQEKNHVMTCGNRGGAWRSREWPKRIHFMAVWDPFYSVAGTSCAKFTWRPSSEPNSSSSSSKQKPSFELSGKLAEETNRVQGVTLLFNELANAHELDINGNYMFSRLGKHSVRRGVADIPTDHSSCSKQHAVIQYRLVEKEQPNGLTSKQVRPYLMDLGSTNGTFIDDNHIEPQHDIMNLKRILLGLATVARNMYHYMRTRVVKPILKDC >Ma03_p01020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:824412:825893:-1 gene:Ma03_g01020 transcript:Ma03_t01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHINAFPRAQGADGPATVLAIGTANPAHFVDQMEYPDFFFRITNAEDKTELKEKFKRICENSAIRKRHMSLTEEILKGNPNLCGYMEQPSFDARQKVVLEAVPKMAAESAEKAIKEWGRPTSDITHLVFCSAAGLDLPGVDYRLVQKLGLPTSVRRVMLYNVGCHAGASALRLAKDLVENNKGARALVVSSEVNVMFFRGPDDDHFENLIGQALFGDGSAALIVGADPVEGVEKPIYEISSASQVMVPESEEMVAGHLREIGLTFHLAKKVPFIVGSNIVRCLQAAFAPMGITDWNELFWIVHTGGRAIIDQVEANAGLAAGKLAPTRHVLSEHGNMQSASVLFIMDEMRKRSAVEGHATTGQGCQWGVLFGFGPGISVETVVLRSFPI >Ma11_p12750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16674425:16674835:-1 gene:Ma11_g12750 transcript:Ma11_t12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMQKMKRRLLMISSRGNRESFRRFSQTS >Ma00_p03000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:23864709:23868325:1 gene:Ma00_g03000 transcript:Ma00_t03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDHIELHRKRHGYRLDHFERKRKKEAREVHKRSAYAQKALGIKGKMFAKKRYAEKALMKKTLAMHDESSSRRKVDDKVHDGAIPAYLLDRDTTTRAKVLSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFRVIRSGKRKTKQWKRMVTKVTFVGQGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGIKKNPNGPMYTSLGVVTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Ma11_p11310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12746247:12754670:1 gene:Ma11_g11310 transcript:Ma11_t11310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTEPLKLQDAGANDCWSLGSNPYPSDRFSKVSIGITINKFPMLASGAKIKDRLTVPACERIHSSQENIVKENKVPEVTETTKQNGKLTNKEQMASEKLSTRFKPHETPSSQRVHVFAEQTTVLDTEEGMLKNIAVVDAKVDQAGKAEKPSAWFSRKPLLHETPTAEKFLFFVNQASVLQSQDGVHKETDSLACGKRLEKDENTERAVAFATMQKMQMLQKGVGYEQPDEVTTDNNGALKKKIWEILGMASESKQNMNLPNSEDKEAYHGKQFGMAPKSNHTMDSSTPGDKMTLSRNQRGEFLKEKSVKPKQNPDAIETHLESPKEIIRGPITHYFTRKRASCRTFQKLHRGNLYPKIPLSASSTESKPKLEDKNIFAFDQEEAKAVTSGRYVSGHPNISKRKRSEQKNKRIKFRAAPLPKKLVSDKTLKSNEKEQTVLSSVKVTSRRQETRSSPCLVFQHDKDQLQTSNQVPILNHHLQLGANKHLAMPPVLSSAETEEHSGSLKRKRNSWKHDKSQVPNDVNLEKEIDHPSVEKTSIPLVDFQCPSFAKDANPPPQLCKLLNEDLCTPVANWRKIPSRTSSRPQILENHKQETDNSNSDTDETIEIHESENVMLPHDAEGKETEKQPSLSPRKNQRYKSFEASDFINEDYRSSEEWFLNSGSLPESPVGIHRMKRVNYLKDTKISKSSLSSPPHVGTSRIEEATGLHEILEHYPEDELARSVCQLVRLLEKFKSKIRSQICKKSSKILLDAGEKVHLQLQHAESQMEADLGKFLGIGKSKGKCLESKFQEQQERLRFMHNKFKEEVDQHLLGCRSTLEEIEAYKIELKGSLDRQKASHRKLLLQVEGAIESQISDAETRVATLHKEADRKINGLKHVLKEWLLEGTVN >Ma11_p11310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12746247:12754670:1 gene:Ma11_g11310 transcript:Ma11_t11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTEPLKLQDAGANDCWSLGSNPYPSDRFSKVSIGITINKFPMLASGAKIKDRLTVPACERIHSSQENIVKENKVPEVTETTKQNGKLTNKEQMASEKLSTRFKPHETPSSQRVHVFAEQTTVLDTEEGMLKNIAVVDAKVDQAGKAEKPSAWFSRKPLLHETPTAEKFLFFVNQASVLQSQDGVHKETDSLACGKRLEKDENTERAVAFATMQKMQMLQKGVGYEQPDEVTTDNNGALKKKIWEILGMASESKQNMNLPNSEDKEAYHGKQFGMAPKSNHTMDSSTPGDKMTLSRNQRGEFLKEKSVKPKQNPDAIETHLESPKEIIRGPITHYFTRKRASCRTFQKLHRGNLYPKIPLSASSTESKPKLEDKNIFAFDQEEAKAVTSGRYVSGHPNISKRKRSEQKNKRIKFRAAPLPKKLVSDKTLKSNEKEQTVLSSVKVTSRRQETRSSPCLVFQHDKDQLQTSNQVPILNHHLQLGANKHLAMPPVLSSAETEEHSGSLKRKRNSWKHDKSQVPNDVNLEKEIDHPSVEKTSIPLVDFQCPSFAKDANPPPQLCKLLNEDLCTPVANWRKIPSRTSSRPQILENHKQETDNSNSDTVSTDETIEIHESENVMLPHDAEGKETEKQPSLSPRKNQRYKSFEASDFINEDYRSSEEWFLNSGSLPESPVGIHRMKRVNYLKDTKISKSSLSSPPHVGTSRIEEATGLHEILEHYPEDELARSVCQLVRLLEKFKSKIRSQICKKSSKILLDAGEKVHLQLQHAESQMEADLGKFLGIGKSKGKCLESKFQEQQERLRFMHNKFKEEVDQHLLGCRSTLEEIEAYKIELKGSLDRQKASHRKLLLQVEGAIESQISDAETRVATLHKEADRKINGLKHVLKEWLLEGTVN >Ma11_p11310.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12746247:12754670:1 gene:Ma11_g11310 transcript:Ma11_t11310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEAGANDCWSLGSNPYPSDRFSKVSIGITINKFPMLASGAKIKDRLTVPACERIHSSQENIVKENKVPEVTETTKQNGKLTNKEQMASEKLSTRFKPHETPSSQRVHVFAEQTTVLDTEEGMLKNIAVVDAKVDQAGKAEKPSAWFSRKPLLHETPTAEKFLFFVNQASVLQSQDGVHKETDSLACGKRLEKDENTERAVAFATMQKMQMLQKGVGYEQPDEVTTDNNGALKKKIWEILGMASESKQNMNLPNSEDKEAYHGKQFGMAPKSNHTMDSSTPGDKMTLSRNQRGEFLKEKSVKPKQNPDAIETHLESPKEIIRGPITHYFTRKRASCRTFQKLHRGNLYPKIPLSASSTESKPKLEDKNIFAFDQEEAKAVTSGRYVSGHPNISKRKRSEQKNKRIKFRAAPLPKKLVSDKTLKSNEKEQTVLSSVKVTSRRQETRSSPCLVFQHDKDQLQTSNQVPILNHHLQLGANKHLAMPPVLSSAETEEHSGSLKRKRNSWKHDKSQVPNDVNLEKEIDHPSVEKTSIPLVDFQCPSFAKDANPPPQLCKLLNEDLCTPVANWRKIPSRTSSRPQILENHKQETDNSNSDTVSTDETIEIHESENVMLPHDAEGKETEKQPSLSPRKNQRYKSFEASDFINEDYRSSEEWFLNSGSLPESPVGIHRMKRVNYLKDTKISKSSLSSPPHVGTSRIEEATGLHEILEHYPEDELARSVCQLVRLLEKFKSKIRSQICKKSSKILLDAGEKVHLQLQHAESQMEADLGKFLGIGKSKGKCLESKFQEQQERLRFMHNKFKEEVDQHLLGCRSTLEEIEAYKIELKGSLDRQKASHRKLLLQVEGAIESQISDAETRVATLHKEADRKINGLKHVLKEWLLEGTVN >Ma11_p11310.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:12746247:12753369:1 gene:Ma11_g11310 transcript:Ma11_t11310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTEPLKLQDAGANDCWSLGSNPYPSDRFSKVSIGITINKFPMLASGAKIKDRLTVPACERIHSSQENIVKENKVPEVTETTKQNGKLTNKEQMASEKLSTRFKPHETPSSQRVHVFAEQTTVLDTEEGMLKNIAVVDAKVDQAGKAEKPSAWFSRKPLLHETPTAEKFLFFVNQASVLQSQDGVHKETDSLACGKRLEKDENTERAVAFATMQKMQMLQKGVGYEQPDEVTTDNNGALKKKIWEILGMASESKQNMNLPNSEDKEAYHGKQFGMAPKSNHTMDSSTPGDKMTLSRNQRGEFLKEKSVKPKQNPDAIETHLESPKEIIRGPITHYFTRKRASCRTFQKLHRGNLYPKIPLSASSTESKPKLEDKNIFAFDQEEAKAVTSGRYVSGHPNISKRKRSEQKNKRIKFRAAPLPKKLVSDKTLKSNEKEQTVLSSVKVTSRRQETRSSPCLVFQHDKDQLQTSNQVPILNHHLQLGANKHLAMPPVLSSAETEEHSGSLKRKRNSWKHDKSQVPNDVNLEKEIDHPSVEKTSIPLVDFQCPSFAKDANPPPQLCKLLNEDLCTPVANWRKIPSRTSSRPQILENHKQETDNSNSDTVSTDETIEIHESENVMLPHDAEGKETEKQPSLSPRKNQRYKSFEASDFINEDYRSSEEWFLNSGSLPESPVGIHRMKRVNYLKDTKISKSSLSSPPHVGTSRIEEATGLHEILEHYPEDELARSVCQLVRLLEKFKSKIRSQICKKSSKILLDAGEKVHLQLQHAESQMEADLGKFLGIGKSKGKCLESKFQVD >Ma10_p26770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34289747:34295757:1 gene:Ma10_g26770 transcript:Ma10_t26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARDVEPTGGTHLINPRWDPLRTSEPPPTSKLKTAEMGMGQELEGIHASPPSEPSVISDPLNETNEIDDTDMKMGGGRGADVRKGLIVPVAVDEETPTTKAPLGSAPKDLFHIAYAVYFTLGAGFLLPWNAFITAVDYFSYLYPGAPVDRVFSVAYMVIGLLLLAVIVLWARRSSAHLRVNAGLALFIAALLVVPVMDAAYVRGKQGRYAAFDVTVGAVVLSGIADALVQSGVIGSAGELPERYMQAVVAGTAASGVLASTMRVITKAIYPRDAHGLRKSAILYFTVGIVMMVINIVCYNMADRLPVVRYYRHIKLQAMEDKRNERGPASGSALGSTLWHITGRIKWIGLGIFLTYAVTLSIFPGYITEDVHSELLKDWYPIMLIAGYNVFDLVGKSLTAVYLVENANVAVSSCVARLLFYPLFVGCLRGPKFFRTEVPVTVLTCLLGLTNGYLTSVLMIMAPKSVPIQHSETAGIVSVLFLAIGLSFGSIVSWFWVI >Ma10_p26770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34291607:34295757:1 gene:Ma10_g26770 transcript:Ma10_t26770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARDVEPTGGTHLINPRWDPLRTSEPPPTSKLKTAEMGMGQELEGIHASPPSEPSVISDPLNETNEIDDTDMKMGGGRGADVRKGLIVPVAVDEETPTTKAPLGSAPKDLFHIAYAVYFTLGAGFLLPWNAFITAVDYFSYLYPGAPVDRVFSVAYMVIGLLLLAVIVLWARRSSAHLRVNAGLALFIAALLVVPVMDAAYVRGKQGRYAAFDVTVGAVVLSGIADALVQSGVIGSAGELPERYMQAVVAGTAASGVLASTMRVITKAIYPRDAHGLRKSAILYFTVGIVMMVINIVCYNMADRLPVVRYYRHIKLQAMEDKRNERGPASGSALGSTLWHITGRIKWIGLGIFLTYAVTLSIFPGYITEDVHSELLKDWYPIMLIAGYNVFDLVGKSLTAVYLVENANVAVSSCVARLLFYPLFVGCLRGPKFFRTEVPVTVLTCLLGLTNGYLTSVLMIMAPKSVPIQHSETAGIVSVLFLAIGLSFGSIVSWFWVI >Ma04_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23730519:23732271:-1 gene:Ma04_g21110 transcript:Ma04_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGTAAAGAPPRHVSPSRASLPSRHGSCLNPQSSRPASVKSSGATTAVTTSATKIHLANLDRVLLKPSPPQPTFQPELDLKQHSNNQRSGAGEKGGMMMNPFNLASLFPDLFRKQAADEIMSPPSLTRLQRLLSDSSRSSPKNFIAANWRQIHGETGWSGLLDPLDENLRRELIRYGDFVQAAYHAFHSLPSPVFPAASPSSRHRHLLLPDRFYRSTKSLFATSSLDLPDWAQPAAPQWLTQRSSCIGYVAVCDNDREVRRMGRRDVTIVLRGTATCLEWAENLRTALVPVDSEAEAAVEVGERDVPKVACGFRSLHKTAGEHVPSLATAVVEEVRRLMEVYEGEELSITVTGHSLGAALALLVADELSTCAPRVPPISVVSFGGPRVGNRAFAERLKRRGVNVLRVVNDKDVVTMVPSAAGLPHLSEEYEHVGSELRVDNRDSPYLRPDAGPACCHDLEAYLHLVDGFMGTGFGFRSDAKRSLVRLLELQRPNIKKVYLSGAQALRLNPVDVRRPVQSKDPACLVSPSV >Ma11_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25304683:25306979:1 gene:Ma11_g20980 transcript:Ma11_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGPYSGVSTLAFVGFFFRVLLFFLSLLLFSLIVSLNWSVARASAVTFGLVYGSVKLSYLQAKAKRHKKAEAKGHH >Ma02_p06910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17777299:17777804:-1 gene:Ma02_g06910 transcript:Ma02_t06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGGWDAKGGAGTEATPPYPASSAWRSPVPYLFGGLAAMLGLIAFALLVLFCSFRHLSGYLESGGEADGDGEAPIDGGDEAKPPLLFEDSIVVIMAGDRKPTFLATPMSSRAASFTDKVDEAGNDGEKAGSTAT >Ma09_p24790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36403753:36404269:-1 gene:Ma09_g24790 transcript:Ma09_t24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWLARTAMQLLPEQPAVRSFSSMLPSAFSEASAAIGSVVPCGRGDKKTKRGKRFKGSFGNSRGKRKKMIQRIKDRVEVPRSTPWPLPFKLI >Ma09_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:180397:184008:1 gene:Ma09_g00180 transcript:Ma09_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTARALLLLSSLLLSLTPPSTASLRKQASVLISIKRSFHSSESFLPSWNPSNHASLCAWDGVRCDAAMHVVLALDLSNLNISGSLSPVIGELKGLTYLSVAGNSLSGLFPSSVGKLAGLRHLNVSNNQFNGTLSWSFSYMAELEVLDAYNNDFFGALPVGLSKLPKLRHLDLGGNYFSGTIPAAYGGFRALSYLSVAGNDLGGFIPPELGNVTTLKQLYLGYYNEFDGGIPAELGSLIDLVHLDLSSCGLEGEIPPELGNLKKLDTLFLQTNQLTGTIPPHLGNLSSLRYLDISNNALTGEIPKEFAELHQLSLLHMFMNRFHGEIPRFVAELPNLEVLKLWQNNFTGAIPAELGRNGRLREVDLSTNKLTGSVPRFLCYGRKLEILILLKNFLFGSLPDDLGDCTTLLRVRMGQNYLTGSLPKGFLYLPELSLLELQNNYLTGAMAEETAKKPAKLVQLNLSNNRLSGPLPSSIGNFSSLQMLLLSGNQFTGELPSQLGVLKHVLKIDVSRNNFSGGIPPEIGDCISVTYLDLSQNELIGPIPARLSQARVLSYLNLSWNHLSESIPQDIGSMKSLTSADFSHNDFSGRIPETGQFAYFNASSFLANPRLCRSASDPCSSSSKDQHHGVKSQLPGKLKLLFALGLLTCSLVFAITVAIKTQSMMKRNSRSWRLTAFQKLEFTSENIVECLKENCVIGRGGAGIVYRGTTPNGEQVAVKRLLGIGKGSTHDNGLSAEVQTLGKIRHRNIVRLLAFCSNKETNLLVYEYMHNGSLGEALHGKRGGYLNWPMRLRIATEAARGLSYLHHDCCPPILHRDVKSNNILLDLDFEAHVADFGLAKYLRDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSYGVVLLELITGKRPVGDLGEEGLDIVQWARMNTNWHKEGVVNIMDRRLIDVPMEEAMQVFFVAMLCVQEHSVERPKMREVVQMLEQTKQSHYARAH >Ma01_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1355797:1356295:1 gene:Ma01_g01980 transcript:Ma01_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRRTGLGLLIVAERSQELSCILQFNLVRQCYFMPTEKRILKKAEEVEISKFFFFLKRRLVLIFPLPWK >Ma06_p12110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8407734:8411775:-1 gene:Ma06_g12110 transcript:Ma06_t12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLEKENDPTSVAPPAVAPPSKKDRHVVTWTPREDDLLREHIALHGTANWRSIAALFNGKTSRQCRRRWYTYMNSECKKGGWSAEEDMLLCEVQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKLEGFSKENTSSSSDLGTSRVIVQDRYAAAMMGESSVSNKKIRYHISHPKETVDRNRKLPGEHVSEQNQRRPPLTALVQNINSINGLTNDTRGSLCDASNKDIQGTFLRKNDPKLTALLQQAESLTSLSMKINAEDTNQSSDEAWKELQDYLIQTEDGESLTRRISRMGCMPDDLRNLIEDVNSTKEEGQQPVRQFDSDEDSQGSSECSTGSTHNVNAGESGSIHHYEDCSLHKDNEVSHLKDDAAHSSMNSSPETILSLSPMPKDEIVNDCTSSEFTSPLQTIPLFQSFADGIPTPVFTSSERNFLLNVLDFSSMGTKTNSSEQPSCKKALLHSFKPS >Ma10_p06050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17470526:17471053:1 gene:Ma10_g06050 transcript:Ma10_t06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGGETCPTPLIPSSSSSSSSSAVSSEESRNKAEVKLTGKLEQEEEVNQSKGDDGAAAAANDDDEGYHTPTSPRHRIPVPLECPPAPRKPSSMLCSKRKVKSSRTQGQAGGGLGDLSLQVFEEIAPVAKKARGDAATTER >Ma08_p31700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42528468:42535389:1 gene:Ma08_g31700 transcript:Ma08_t31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQQQQWVQSHHHQAWAAAAPPTTSSHGYHQPATLEEVRSLWIGDLQYWVDESYLHNCFAHTGEVVSVKIIRNKITGLPEGYGFIEFVSHPAAERILQTYNGAQMPGTEQTFRLNWASFGIGERRPDAGPDHSIFVGDLASDVTDYLLQETFRANYPSVRGAKVVTDPNTGRSKGYGFVKFADEMERNRAMTEMNGVYCSSRPMRISAATPKKTTGLQQQYPAAKALYPATTYTVAQLPPVPPDNDITNTTIFVGCLDPNVTEEELKQICSQFGDIIYVKIPAGKGCGFVQFVARASAEEAIQKLHGSMIGQQIVRLSWGRSPASKQDPTMAWSQQADPNQWAGAYYGYGYDAYAYGASQDPSLYAYGAYAGYGQYPQQVESVPEMTHMVGAVPSMEQREENYDPLAIPDVDKLNAQYLAVHGNAMLGRHLWLKTSLS >Ma07_p23760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31295221:31296768:1 gene:Ma07_g23760 transcript:Ma07_t23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGRCSDRREEISLSVPSPVGGQRRFHVQFLRRIDWRSLFRTCKGWLKNPMNIALLIWLLSVAVSGTMLGLLLLGLLNDAFPTNNMYVHVATFLLLCVAPFWICNIAALNIHNYVIGDVIGIAGVVLCAFGLLYGGFWRIQMRRRFRLPRDAFCFGSASLTDYVKWMFCWACALAQEVRTGNFYDVEDDSLFRKLMDGEEERQTIATETRDGSEVLRGDATTPPVQPLMGSEDVVGGANGSTQLPPASFSAGEEDDAPSST >Ma06_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8746519:8748925:-1 gene:Ma06_g12650 transcript:Ma06_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSAQRLRLLLLILCCSSAVAAKKPTYIVHMAKSRMPPSFAEHRHWYDASLRSVSGSAEVLYFYDTVAHGFSARLTPAEARALARRYGVLSVEPETRYELHTTRTPEFLGLDRGGGFVTSSDSDGDVVVGVLDTGVWPERKSFDDAGFGPVPASWKGGCEEGKDFVPAAACNRKLVGARSFYRGYEASMGPMDESKEARSPRDNDGHGTHTSTTVAGSAVPDASLLGYAAGTARGMSSRARVAVYKVCWVGGCFSSDILAGMDKAVEDGCGVLSLSLGGRVADYYRDGIAIGAFTAMEKGVFVSCSAGNAGPVPTSLSNVAPWITTVGAGTLDRDFPAYVVLGNGENYTGATLYGGDPLPSSPLELVYAGNVTNTTNGNLCMLGTLLPEKVAGKIVLCDRGINSRVQKGYVVSEAGGAGMVLANSAGNGEELVADAHLLPATGVGEKAGNAIRSYLFSDPSPTATIVFRGTKVGVRPSPVVAAFSSRGPNTITRAILKPDLIAPGVNILAGWTGAVGPTGLGMDARRTEFNVISGTSMSCPHVSGLAALLKGAHPEWSPAAIRSALMTTAYAAYPSGDSIIDAATGRAATPFDLGAGHVDPPRAMDPGLIYDLTVDDYLDFLCASNYTPFRIASLTKRSNFTCDATRTYAVSDLNYPSFAVAFPATTNDVVVGDATTVKHRRTLTNVGGPGTYKATVRAWAGTEAGGVKVAVEPSELSFTEVGERRGYTVRFSAAGQPSGTTGFGRLEWSDGEHVVASPLAFTWK >Ma08_p30490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41735495:41736212:-1 gene:Ma08_g30490 transcript:Ma08_t30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEWELLTENGLLNANQQPYFFPPTCSIDADYFAGYPRTTKEWIRHDAEATSREIAPAAAADLEEPEEKESSPPSSFDVSEEDEALVGEYLEREMPDDCNGFCSMWRLAGVGTLCTVGVAAAAVVIMFMVGRDRNQRNKAKSRRKTR >Ma10_p09190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23384545:23398292:-1 gene:Ma10_g09190 transcript:Ma10_t09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDSSAATGTAVASLGSKDGVTGDEGHLPDAEGLAKEAAFLFQGRRFQECVDVLNQLVQKKRDDPKILHNIAVAEHYRDGCSDPRKLLDVLLKFKQGEDLACSSGEQTELGNRSVNNMVSGSKVNNPSDSGRIVYAEEFDTSVILLNIAVVLYHVHEYVQALSVLETLYQNIEPIDERIALHVCVLLLDVALACQDASKAADVIQYLEKSFGVGHAVGQGDIGSCIQHPSNQGLKVSITNNFSAPDASSTDSSGSINVPENALTRTLSEETLEYETLYSTLDTGTENLERPTPNDNSITSADQAASAIDLKLSLHLYKVRLLLLTRNLKAAKREIKLAMNIARFGDSSTALLLKSQLEYARGNHRKAIKLLMTSGNRSDPATLCIFNNNMGCIYHHLGKHHTSTLFFSKALKCSASLGCEKPLKLSTFSQDKSFFIVYNCGLQYLLCGRPLVAARCFDKARPVFYDKPIFWLRFAECCLSALEKGLLAKAGSSSSDGKEVKVHVVGSGRCRQLVIDDFSSGHRYSDCLGEGGLITSDGEHRLSLPFARRCLLNALYLLNKSEKVQSSGSLSRKEEEDTYLATSANSKNLSHKNILSGNSKASNATSTPGTSTNGDSKETKGGILLNTTLQSSLSSYEEICRKEMNMIKQVVLGNLAYVELNLGNPLKALSAAKELQQLPGCSRMYVFLSHVYSAEALCYMNQPKEAAEHLSFYVSEKNEVQLPYSEEDREKWRTDRSGDGEESSGPPNVKTSEEIQGMMFMKPDEARGTLYVNLAAICAIQGNIEQASLLAKKALVALPNNPRAALAAIYIDLLLGRTQDAQVKLKHCRQVRFFPTHVRMGST >Ma10_p09190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23384545:23398292:-1 gene:Ma10_g09190 transcript:Ma10_t09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDSSAATGTAVASLGSKDGVTGDEGHLPDAEGLAKEAAFLFQGRRFQECVDVLNQLVQKKRDDPKILHNIAVAEHYRDGCSDPRKLLDVLLKFKQQGEDLACSSGEQTELGNRSVNNMVSGSKVNNPSDSGRIVYAEEFDTSVILLNIAVVLYHVHEYVQALSVLETLYQNIEPIDERIALHVCVLLLDVALACQDASKAADVIQYLEKSFGVGHAVGQGDIGSCIQHPSNQGLKVSITNNFSAPDASSTDSSGSINVPENALTRTLSEETLEYETLYSTLDTGTENLERPTPNDNSITSADQAASAIDLKLSLHLYKVRLLLLTRNLKAAKREIKLAMNIARFGDSSTALLLKSQLEYARGNHRKAIKLLMTSGNRSDPATLCIFNNNMGCIYHHLGKHHTSTLFFSKALKCSASLGCEKPLKLSTFSQDKSFFIVYNCGLQYLLCGRPLVAARCFDKARPVFYDKPIFWLRFAECCLSALEKGLLAKAGSSSSDGKEVKVHVVGSGRCRQLVIDDFSSGHRYSDCLGEGGLITSDGEHRLSLPFARRCLLNALYLLNKSEKVQSSGSLSRKEEEDTYLATSANSKNLSHKNILSGNSKASNATSTPGTSTNGDSKETKGGILLNTTLQSSLSSYEEICRKEMNMIKQVVLGNLAYVELNLGNPLKALSAAKELQQLPGCSRMYVFLSHVYSAEALCYMNQPKEAAEHLSFYVSEKNEVQLPYSEEDREKWRTDRSGDGEESSGPPNVKTSEEIQGMMFMKPDEARGTLYVNLAAICAIQGNIEQASLLAKKALVALPNNPRAALAAIYIDLLLGRTQDAQVKLKHCRQVRFFPTHVRMGST >Ma01_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2637230:2645384:1 gene:Ma01_g04060 transcript:Ma01_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEETAMEVPWEWIRVNGAVAEASLAADGTLRWGSRGGERCLSLESEVLGLETIGLRITIKAFLEVSEHGFCGLERSSVKRRRVRRDYTLEMPNEAAARRWSAKLRDYMDSLGRPKNLFIIVNPFSGKKCARTVFKKEIKPLLAAADIDCTIIETKHRNHAQEITKSLDLLKYDGIVCVSGDGVLVEVVNGLLNREDWATAIKVPLGVIPAGTGNGMVKSLLDPAGDLCSIPNATFAVIRGHKHSLDVAIVLQGEKKFFSVLLLIWGLVADVDIESEKYRWMGSPRFDIYALLRIMKLRKYHGHVHFVPAPGYEAYGEPIKQVENFKGHILPSKQDQGSATTVKSCGYQGPEISLEGLEWRSIVGPFVSLWVNNVPFSAENYIPAPKAKFSDGCLDVIIVRNCPRSALLGMMLKMSDGSYVKSSYVMYLKVKAFRLEPGKRVGHPTKGGIIDSDGEVIARGDESAVNSEHKYLMDYGPPIQMIVDQGLATIFSPMP >Ma11_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3318387:3320034:-1 gene:Ma11_g04200 transcript:Ma11_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSFQRLGTSIDVPNVQALAASIANPADVPPRYVRQEAKADPVVSDGDSELPVIDFSRLLHHRFSREESAKLHHACADWGFFQLINHGVPDQAMEKIKGDIVEFFKLPLEEKKAFAQLPNSLEGYGQAFVVSDDQKLDWADILTLITRPLQSRNIDLWPAQPLTFRDSLSCYAMELKSVAGTLLEVMTKNLGVAPEEFSTIFQDQTQAVRINYYPPCPRADEVLGLSPHTDGSGLTLLLQVNDVEGLHIRKGGDWYPVKPLPGALIANIGDIIEILSNGVYKSVEHRAIINAKKERHSIATFHGPRKDSVVGPFAEIVKGCKPKYMSMSYREFRKAYFSTKLEGRRLIERLKL >Ma05_p13820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10033679:10046107:-1 gene:Ma05_g13820 transcript:Ma05_t13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPNADNDGTSDQVLVEDCRFTRILTLNRPQQLNALSTPMIMKLLKLFVAYEKDSDVKLLIVKVFAMPETSLGFFPDIGASYFLSRLPGFFGEYLGLTGARLDGAEMLACGLATHFVPSMNLAYLEDLLTKVETSDPFVICASIDQFSQMVPLKASSAYNRLDIIDKCFSKETVQEIISALEKESASMADEWIVVAIQALKKASPISLKVTLRSIREGRLQGVDRCLTKEFRLCCHILRLEASKDFLEGFRAILVDKDRNPKWEPPRLDLVDSKVLDQYFAEVDDANWEDLKLPSRRNLATNYVSKM >Ma05_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10033679:10046128:-1 gene:Ma05_g13820 transcript:Ma05_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPNADNDGTSDQVLVEDCRFTRILTLNRPQQLNALSTPMIMKLLKLFVAYEKDSDVKLLIVKGNGRAFSAGGDVAAVARSVAQGQWALGTEFLRNQYTLNYIIATYGKPQVSILNGIVMGGGAGVSIHGRFRVVTEKTVFAMPETSLGFFPDIGASYFLSRLPGFFGEYLGLTGARLDGAEMLACGLATHFVPSMNLAYLEDLLTKVETSDPFVICASIDQFSQMVPLKASSAYNRLDIIDKCFSKETVQEIISALEKESASMADEWIVVAIQALKKASPISLKVTLRSIREGRLQGVDRCLTKEFRLCCHILRLEASKDFLEGFRAILVDKDRNPKWEPPRLDLVDSKVLDQYFAEVDDANWEDLKLPSRRNLATNYVSKM >Ma06_p31570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32675105:32675653:1 gene:Ma06_g31570 transcript:Ma06_t31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHKGVGAKEEEDREEKKTVPEITLRPFTLSDVDDFMTWATDDRVMRFSRRPTCTTKDECLTHMKDLIMPHPWCRAICIDDDGRPVGLVSVMPAPGADVHRASIGFAIAYDYWGHGIATAAVKKAASAVFEEWPFLERLDAIAEVNNTASRRVLEKAGFQREAVLRSYLALRGGKQGHGHV >Ma03_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27702418:27704539:-1 gene:Ma03_g23040 transcript:Ma03_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLELGAPQGASKCFDDDGRLKRTGTLWTASAHIITAVIGSGVLSLAWAIGQLGWVAGPIAMLLFSFVTYYTSALLADCYRSGDPNTGKRNYTYMDTVRANLDGFKVKLCGYLQYLNIVGVAIGYTIAASISMVAIERSNCFHKNGDDSPCQVNSNPYMIMFGVAEIIFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIVQVIKNGGVRGSLTGISIGTVSEMDKIWRSLQAFGDIAFAYSYSIILIEIQDTIRAPPPSEAKVMKRATLISVAVTTVFYMLCGCMGYAAFGDMAPGNLLTGFGFYNPYWLLDIANVAIVVHLVGAYQVYCQPLFAFVEKWALETWPRSQFIGKEIQVPLPARRSYKLNLFRLIWRTTFVVISTVVSMLLPFFNDVVGLLGAIGFWPLTVYFPVEMYIVQKKVARWSTRWVCLQLLSLACLAITIASAAGSIAGVVSDLKVYRPFKAS >Ma04_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4483921:4484541:-1 gene:Ma04_g06010 transcript:Ma04_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQIDKDALESMSPFSSSFSHSTYTRSDAMDPFLLLFQCSHVLILFYHSKSYKVPSIFPTITVTKQTPRMKLNLLLCFLLLFLRANWGVPTTTSHGAKDQPLQGLNVTVEPRSGGNSGGEKMEFDGVKRVEYSRKSSSGGKGGGRTGGGGSQSLHQPRPQKSSSPTMQQPFGFFSLLLYLYLA >Ma06_p25880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26490239:26500341:-1 gene:Ma06_g25880 transcript:Ma06_t25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPVLVLKDSLKRESGSKVHHANIQASKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAATFIDKNYHPTVICRAYNKALEDSIAVLDKIAMPIDVTDRATMLGLVKSCIGTKFTGQFGDLIADLAIDATTTVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMRRKILNPRIILLDCPLEYKKGENQTNAELVKEEDWEVLLKMEEEYIQNLCMQILKFKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGAVVVNRPEELQESDIGTGAGLFEIKKFGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSIEGIEKWPYEAAAVAFEAIPRTLAQNCGVNVIRTMTALQGKHANAGNEWVGIDGNTGDIVDMKERKIWDSYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGPTPSKPKIEEEGDADNEQILPD >Ma06_p08200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5792380:5798202:1 gene:Ma06_g08200 transcript:Ma06_t08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLATHHRWTLRALVAAYLDLALAYIFLCAASLAFFASKFLALIGLPLPCSCDEIHAAPGSHREQRQQGVGCLQLLLAGLFDSVYGNVCRTSSNVNCDSVQLVGAGDDDGGGGDAVCGLLEMGQGEESCGSFSQRPRPHEFDGRESCSDGDADSVDLREKVVFRHGRPLSVLRRRRRDRNNLTIRRNSLPTSPSPSLLLDWKGEVADASPSKVSNHLPQVEGSGHISEALHLSDDQNAFIQEVAFANEFQTEDKGLSDATEGVCEVEKNESEVIRELKQALEEERSANIALSLELEKERSAAASAADEAMAMILRLQEEKAAIKMEAWQYQRMVEEKSAYDKEEMEILKEIIVQWEKEKLVSDKDAEAYQQMMIGGNGIKKTPDGHLPDATYLIGQNKLASFGSFDDPELMLKEVYESIKKKERVNDEMQCVNEGESLMASVQKSSAEFVKCSSSLEMATDVQYSSSGNPSWCDSLDKYHPGVPDDGNECAVQEKGMVTIEVGPCSLHSHKTRYANESCSPRFNGSQQNDFDDSISQLVDERNSKQDEKVKITVPLDDLCMRHNLNADKESPNSSQLNNEVNVVDVHVINYKVDLAEEGKTKQEYLPQVGYDLGRSHKYGTLNRPSSLGRIDDVPEDSDRGLTQLELNMQRSCLDVTKVGQSTDASIIRASWLDLRRSSMPAVESERFKLENEVELLQKRLKAIEQGREKLSFSLEQREKETYNLRRLEEIAHQLQEIRKATESGNSIYSASLPPSSKGKYP >Ma08_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15995770:15998535:1 gene:Ma08_g15790 transcript:Ma08_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGGHTEVTESPSSSQVPSMAADAVAVGKTTARPSSSIEEEAKPQAGASLLSSYLGLGFALFLGLLPKSSASYVSSLQSRNRILAMKLFEAEDQLRQLRSRLKEGAKANARVAEIFAGHRTQWQQEEKRLLHRIDGADEEIAALTAQVEDMERAKAELRAAVVRLEREVAERDEMLDFMARKVERDGSLSLMEVAGEDSVDDGGAGVRVSDTMPLEERFLERNGESEGMMGLLAQQNGCGREFLMAPIDTKQWTDRWGGWPHESLDLAHSMKHGVARRESPWRVDAESSRVSSKLKSLEDELVNLEKLGKGDTSKIPSSMRKQEKRYQSLAAKIDDLCRKMRVNDPSDPTLGPEFRTQRLTEFLLEAFRLQHRAAETRQKLNTVQAQAAAAAAKSRVGDELTAEAKLNTRKSADSIRSSFKEIQRNLEIWLARIMGDLEGILARDGASRVRDYYLSGYPFVG >Ma04_p25520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27261179:27265545:1 gene:Ma04_g25520 transcript:Ma04_t25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHNGSAKPPASAAGAYTINLENFSKRLKGFYTHWRDHKSDLWGSTDAIAIATPPTSEDLRYLKSSALNIWLLGYEFPETIMIFMNKQIHFLCSQKKANLLGTIKKSAQEAVGADLVIHVKAKNIDGASLMEEVIRAVRVQSKSESPIVGYISKEAPEGKLLESWAEKLGSSTLQLTDVTNGFSELFAVKDVTELTCIRKAAYLTSSVMKNFVVPKLERIIDEEKKVSHSSLMDDTEKAILEPSRVKVKLKAENVDICYPPIFQSGGQFDLRPSASSNDEDLYYDSTSVIICAIGSRYNSYCSNVARTFLIDATAIQSKAYEVLLKAHDAAIGALKPGNTVGAAYQAALAVLQKEAPELIPYLTKSAGTGIGLEFRESGLSLNSKNDRLLKVGMVFNVSLGFQNLQSQTNNPKTEKFSLLLADTVIVSEKPAEVLTAGCSKAVKDVAYSFNEEEEEPPRVRPDLNGSGVLPSKATLRSDNQEMSKEELRRQHQAELARQKNEEIARRLAGGGSSAAEGRGPVRTSSELIAYKNVSDIPFSKELVIQVDQKNETILLPIYGSIVPFHVSTVKSVTSHQDNRTCTIRIIFNVPGTPFSPHDANTLKFQGAVYLKEITFRSKDPRHSSEVVQQIKTLRRHVTSRESERAERATLVTQEKLQLSGNRMKPIKLPDLWIRPSFGGRGRKLTGTLEAHVNGFRYSTSRPDERVDVMFANIKHAFLQPAEREMITLLHLHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGSGRRSALDPDEIEEEQRERDRKNRINMEFQNFVNKVQDHWAQPQFKALDLEFDMPLRELGFYGVPHKASAFIVPTSGCLVELIETPFLVVTLSEIEIVNLERVGFGQKNFDMAIVFKDFKRDVLRIDSIPSSSLDGIKEWLDTTDLKYYESRLNLNWRPILKTITEDPEKFIEDGGWEFLNMDASDSDSENTEESDQGYEPSDVEPVSASDDEDNESESLVESDDDEEESEEDSEEEKGKTWEELEREASNADREKGDESDSEEEKRRRKAKAFGKSRIPDRRDLKGVPAAKRPKFK >Ma06_p31320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32479206:32480199:1 gene:Ma06_g31320 transcript:Ma06_t31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSSTKLMYPQPSSNHKHGGNGISNSVSYRRRIWLVAFLFFFAFVSILTLHNPTGARDPSGLPSSSASSHAAVGSAAAATSWPTPLPALVFDALVHYAVASNYTWKMEEDGLRAIAGVLRRRGPCNLLVFGIGHETPLWRALNQGGRTVFVDENEYYVAHMEARNPGLEAYDVAYTTKVREMPELLAESRRQRRGECRPVQNLLFSDCRLAINDLPNQLYDVAWDVILIDGPKGHEAAAPGRMSAIFTAAVMARYRGRGPVDVLVHDYDRAVERLCSAEFLCSENLVAASSRLAHFLIRSSVGPTNEFCTSRTTTAYGAATSSS >Ma07_p28860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34766501:34769398:1 gene:Ma07_g28860 transcript:Ma07_t28860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCALRGNPSTNRVSSNAKSDSPEVQSPEEREEVSNLPSNPEEVEDLRRDSAANPLVAFSFSEIKLITENFRKSYILGVGGFGSVYRGFITEDPEEGLQPHQVAVKVHDAANGSQGHREWLAEVVFLGQLSHPNLVKLIGYCCEDDHRVLIYEYMAGGSVESHLFSRKVPSLPWRVRMKIAFDAAKGLAFLHEAEKPVIYRDFKTSNILLDEDYNAKLSDFGLAKDGPVGEISHVSTRIVGTRGYAAPEYMMTGHLTASSDVYSFGVVLLELLTGKRSLDKSRPVKQRALVDWAVPSLADKKKVLHIVDPKLDADWPQRDAQKVARLACHCLDQNPKARPFMRDVVDYLESLQRAV >Ma07_p28860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34766501:34769398:1 gene:Ma07_g28860 transcript:Ma07_t28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCALRGNPSTNRVSSNAKSDSPEVQSPEEREEVSNLPSNPEEVEDLRRDSAANPLVAFSFSEIKLITENFRKSYILGVGGFGSVYRGFITEDPEEGLQPHQVAVKVHDAANGSQGHREWLAEVVFLGQLSHPNLVKLIGYCCEDDHRVLIYEYMAGGSVESHLFSRKVPSLPWRVRMKIAFDAAKGLAFLHEAEKPVIYRDFKTSNILLDEDYNAKLSDFGLAKDGPVGEISHVSTRIVGTRGYAAPEYMMTGHLTASSDVYSFGVVLLELLTGKRSLDKSRPVKQRALVDWAVPSLADKKKVLHIVDPKLDADWPQRDAQKVARLACHCLDQNPKARPFMRDVVDYLESLQRAV >Ma11_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2631575:2636637:-1 gene:Ma11_g03550 transcript:Ma11_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAKAKGNAAFAAGRFDEAVRHFSEAIDLAPANHVLYSNRSAAYASLQRYDAALADARKTVELKPDWAKGYGRLGAAHLGLSDAEQAVAAYEKGLELDPANQALKAGLADARTAASRSRGPPPQGASPFGKIFQGPELWAKLTADPTTRSYLQQPDFVKMIQDIQKNPNNINMYLSDPRMMQVIGVLLNVKMRAPTDEMASESPESEQAKPQQEPAKKAPEPEPEPEPMEVAEEETGAKRRKAEALKEKELGNAAYKKKDFETAIQHYTLAMELDDGDISYLTNRAAVYLEMGKYQECIKDCDKAVERGRELHSDFKMIARALTRKGTALVKLAKCSKDYEPAIETFQKALTEHRNPDTLKKLNDAERAKKELEQQEYFDPKIADEEREKGNELFKQQKYPEAVQHYTEALKRNPKDPKVYSNRAACYTKLGALPEGLKDAEKCIDLDPSFSKGYTRKGAVQFFMKEYDKALETYQEGLKHDPNNQELMDGVRSCIEQINKTNRGDISPEELKERQAKAMQDPEIQNILTDPVMRQVLIDFQENPKAAQEHLKNPQVMHKIQKLVSAGIVQMR >Ma06_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1685572:1688285:1 gene:Ma06_g02100 transcript:Ma06_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHLKDLFARFQEQFGSGPGLGPSCGTCLLKVEGAPPAFIKSLFRAAAALFRTEPWKRLRPRHMFGIRIGKDTDWSNKKQLFPCAQFIGGDGGDLGFHLFKSEQDAMRMTGVRETNRVPNVEVLRVLFDQEPLLSPSNKRMIRSLALESSGPDRFPAIDVARCTSTGGLRFRNPTFEELRYVYAFMKAIALVHPLLQWADDSIPRRGRFMNFEPFIETVDVQWPPEVIKGGDLVAVTISHPTSQVYEEKKLVSASAKCLEPPKEELPPAEAMTNWTTLRQCAMCDKEIYGDQSLCCGRCRAVVYCGPVCQKQHWKDAHKGVCGLYRAMMEREEELSIKIFIFPCFVENPCKWLETKGVHQKGMWRRKCNCYSHCPYGLLPVKTSGNSEAWGGLGDGEYPPDSALAGYLNGSSNPTLLSGWSEYYNLRSLPLSSPVSAILSHPLTVHHIVTALAISSKNRLIKGKEVIVHYLGPAGELDWMPAFAEIGHLLNGLGNIQMVMIGPEVPSNLSGTFSGISGRLRVNMVRGMYQEQAPYLSSPHVVVALNCGLENYGSWESAIDLIKSMNVPTFFTETSEISCANAKQVLRGAGLHISHPVTPNPFRSPLQNQAPSSNLPSFSNGFVFGVNT >Ma08_p29930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41359041:41366210:-1 gene:Ma08_g29930 transcript:Ma08_t29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEEEQPAPALPTSPPEASERSSGSGFPRCRSIGRVVRPRCVAALILGLAVLLSAVFWLPPFVKMYGRHDRGSRFTAEVVASFRLQKPVALLNANIGKLQFDIFEEIGVPDSSVAVIYLEPLGGSNWTNVVFGVWPYPRNSTLSTTGLSILRESFMSLVVRQSTLHLTASLFGNSWFFEVLKFPGGITIIPPQRAFLLQKVQMLFNFTLNFSIDQVQDKVSELKDQMKAGLLLNSNENLYVRLTNLEGSTVAPPTIVQTSIILAVGNRQPSLPRWKELAQTIRNSSAGNLGLNHTVFGRVKQIRLFSFPQHSLNNGGNTGSPSPAPQPNPDHNHHYLHHHHHHHGHHHHIPMHLAPAPVPDTAPQPAYQSPTPSGCRYRFSRKPRSKGYLAPVAAPVDAPKHSAAPEAVLGHSTRPASAPQHLLAPRVHENSPVPAPNMNPPSPSPAVSFTHVQPRSEGITDNKPPDRLPSISPAPYSSFASGKSSVHLLLAPLLYVILSC >Ma07_p27100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33612040:33613550:-1 gene:Ma07_g27100 transcript:Ma07_t27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQCGHQCSAYPCSCGFVYSGCGGGGASFSFLFPIAGKHSVDEDFDATHSTSSSPSLVDCTLSLGTPSTRQTESKPSASFNQIQRTSCMSSFRWDIISQSKKNSSMGSTASSGGDANGSSLGGDPLLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAASSVPPSSSPSVTAAAGDQGIGYGYHRQQQSPWSCYASSTMKTNSSIAMYDEMADHGEAPYLSWQFNVAPSPQFPVRDRPSLFQYN >Ma04_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10762086:10764514:-1 gene:Ma04_g14210 transcript:Ma04_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGLFSALEEVVRGLSPARSRAKSQSPSAALLLLPRRWKGHGRDHSLAQLPDAPLIARSGSFRPGGEALAPLMEGPDGDAPEDGGGTRREGWGRWVRGQLSRAPSVTSSPANAAGSSSFRRSDLRLLLGVMGAPLAPMHVSSADPLPHLSIKETPIETSSAQYILQQYTAASGGLKLQSSIRNAYAMGKVRMVASEFETATKVVKNRGGSARAAESGSFVLWQMAPDMWYVELAVGGSKVHAGSNGQLVWRHTPWLGVHAAKGPVRPLRRALQGLDPLTTASMFANARCIGEKKVNGEDCFILKLCADPQTLKARSEGPAEIIRHVLFGCFSQRTGLLVHMEDSHLTRIQSNAGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGEVAMSHTKTRMEEAWTIEEVAFNVPGLSADCFIPPADIRRGSVSETCELPQGERSKTSIGNRAKVAAVDKPHATAASDRIIWRVEV >Ma09_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4600627:4621114:-1 gene:Ma09_g07130 transcript:Ma09_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTGLAVVLRSALSHIPEERKAAEESLNQLQYMEQHLVRLLLIIIDGGCDMAVRQVASIHFKNFVAKNWSPHEPGEPQKILESDKRVVRDNILRFIAQIPPLLRAQLGECLKTIIQDDYPEQWPSLLHWIKCNLQLQDQQVFAALYVLRVLSRKYEFKSDDERTPLHLIVEDTFPLLLNIFNKLIQIMNPSIEVAVLIKLICKIFWSSIYLEIPQKLLDPNVFTAWMVLYLNLLERPVPLEGQPCDPDLRKSWGWWKVKKWTVHILNRLYTRFGDLKLRKAESKAFAQMFQKSYAGKILVCHLQLLGVLRTGGYLPDRIINLILQYLSSSVSKNSMYQLLQPQLDILLFEIIFPLMCFNDNDQKLWTEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKHGKGNLQKLIHFIVEIFKRYDEAPIELKPYRQKDGALLAIGTLCDKLKQSEPYKSELERMLVQHVLPEFSSPVGHLRAKAAWVAGQYAHINFSDQNNFRRAFHCVVSGMRDPELPVRVDSVFALRSFIEACQDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVGKFGEEMAPYAFGLCQNLAAAFWKCLNTSEADEEADDSGALAAIGCLRAISTILESISSLPHLFAQIEPILLPIMQRMLTTDGQDVFDEVLELVSYMTIFSPTISLDMWSLWPLMVEALGDWAIDFFENMLVPLDNYISRSTAHFLTCKDPDYQQSLWKILSTIMSDRKMEDSDIEPAPKLIEVVFQNCKGQVDHWVEPYLRITIDRLHRTKKPYMKCLLMLVIADALYYDASLTLGTLHKLGVASDIFNLWFQMLQDVKKNGMRANFRGLHDKKVCCLGLTSLLCLPVDHFPGEALERVFKATLDLLVSYKGQVAEAEKLNDAAVDMDGFDADEENEEEDSDKEMGDDTEDGDEVDSLELQKLATEARGFQSNDEDYETDDDYSDYDDDSQSPIDEIDPFIFFVETVHALQASDPTRFQNLMLTLDFHYQALASGIAQHAEQRRTDIEKEKLDKAATQ >Ma04_p24020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26087019:26092195:1 gene:Ma04_g24020 transcript:Ma04_t24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALGELEALQTCLLQRITAVELSLQTHSLHISFDCVADGEGAETTEARLSAILRARGVDDFAFKRVPADYYDRPIEVRRDILGAPSVEHLCKSIVLVNTQALASITDCSDRNNSKYYLVVIQYAARLNAENIKNFLYTLNNSKISKKKFNMRLAPEEESLKLTGFVHNAVTCIGMETDIPVILDEAITKLKPNFFWLGGGEVDLKLRIRTSQFISAVNPFVVNCSS >Ma06_p36870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36107190:36110125:-1 gene:Ma06_g36870 transcript:Ma06_t36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELSARAPGCQKDFLTRFGAGGGEQGEKRTPWGDGGSGETELSLGLSLGGRVGLEPKEKRLVRPSSFSTFPTERDFSVNHPVARTCSLQAEAEVEHRKSKELQGLKRLEANGTVGRKNKIFDKEFARFRNGVVLHRPPAWADTAGRVDASKRFGLASPVSIGSEGSSCSSLYDIDSQPNQGLSNISEVRSSLTATALSDQSICKPKATVLGQHVTCVTEVDDRPRKAARGGPKTKVLEKVGKKMIQDMPCVSTIGDGPNGRRIEGLLYKYGKGEEVKIVCVCHGSFFSPAEFVKHAGSGDVAHPLRHIVVSTLPAKFL >Ma09_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19593528:19594964:-1 gene:Ma09_g19020 transcript:Ma09_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLPKDIKVVVNDHDIFTRFADNEGPPVMLFIIATNYHFAGCFLTKIFHPNIATNGEICVNTLRLRHVLLVNRWLLLIEPFPESTLNEQAGKMLLKTTRNKEEEPEKTIMYLSIYLSIYLSIYQVGIESAIPQIVNLNLVFLHATNSNC >Ma01_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11948206:11952379:1 gene:Ma01_g16480 transcript:Ma01_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNL2 [Source:Projected from Arabidopsis thaliana (AT5G19610) UniProtKB/Swiss-Prot;Acc:F4K2K3] MEKSSVVSRVPRRREIGISCMLNAEVGAVLAVMRRPHDPGVASPDEAANPHLLHSLKALRSLLFHPRQLGEWRATDPSVYLSPFLDVVQSDDVPAAATGVALSTVLKVLKLDVFDEHTPGARDAIHSIVFAVTNCRLENTDSASEDAVLMRVLQVLTAVMRTRASVLLTDHAVCTLVNTCFQVVQQSAHRGDLLQRSARHAMHELVQAIFVRLPDVRVPVERPEAEDEVATTGSYGARCMVDIFHFLCSLLNVGEVIDYADSVGSISSDEDVQLFALVLINSTIELGGEAIGKHPKLLRIIQDDLFHHLIHYATHTSPLVLSMICSTVLHLYNFLRRCLRLQLEALFTYVMLRIAAGSNGAQLQEVAVEGITSFCHQPNFVIETYVNYDCDPLRHNVLEEAARLLCKIAFPVGTPMSPLQIQAFGGIVTIITTIADNIEVDQAPDREAYNIDVSDYKPFWVEKCDNSDNSDTWVEFVRMRKLKKKKILIAANHYNRDDKKGLDFLKLSKLVPSPPEPKSLAYFFRFTPGLDKNKIGDFLGDPDEFNIQVLNEFTGTFDFTGVILDIALRSYLETFRLPGESQKIQRILEAFSDRFYEQQSSEIFASKDAVFILCYSLIMLNTDQHNPQVKKKMTEEEFIRNNRAINGGMDLPRDYLSELFHSISTNAITLFGTAGTPTEMSSAHWDDLIKRSRTVEPFITCDYKHKLSREVFVAISGPSVATLAAIFEQTDDEDILHESLDGLISVARIARYGLEDILDELLSCFCKLTNLLNPYATAEETLLTFSNELKPRMATLALFTIANKFGESIRGAWKNMIDCLLKLKRLKLLPQSVIEPDTALAADSKAQTHAKSESGVIFPASHRGAGSNRSVSGLIGRFSQFLSLDNSNDSMISFGSELENNLKIIQQCQIGDIFSKSSKLPDESLQNMGRALIFAAAGKGQKFSTPVEEEEIVGFCWDLLVTIASVNLHRFSAFWPQFHESFAIVSQFPLFSPCPFAEKAIVGLFKIAVKLTASPSRLDKLPEELIFKSINLMWKLDKEILDTCCESIAESTMQILNVHGKNVQTVFGWKTLLHLLTVAGRHPETFDQGVEALVKLMSEGTHITRYNYPYCIEAAFGFAALKISPLDKSSRILSLMADTVNLLIQWHKSGYSDPGSTNSSLSEDGQKAGGSAGNLGANLFMKLVEALRKTSLVRREEIRNQAVAELRKCFAAAEELDFSPANCLACFNLVIFAMVDDLHEKMLEYSRRENSEREMRSMEGTLKVAMEMLVEVYLEFLIPLSQSTGFRSFWLGMLRRMDTCMKADLGDHVGVLQELVPVLLKKMIVEMKEKEVLVQEDGNELWDITNIQIQWIAPSVKDELFPEE >Ma11_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2397913:2400417:1 gene:Ma11_g03240 transcript:Ma11_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAPWHQDIGLVKSMEMVASSSSTVTTTTATASSTFSAARPQVTERRIRPQKEQALHCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTDGGSLRNVPVGGGSRKNKRPSLSSAAATTSATSATTSSTAATSSIVSNPKKLPIDLIPPRVSLSASQKFHDGQDLNLAFPHHGLPEFNGFPGLESSTVNSNSSGTGNCSNPCNAVGALSAMELLRSGMTMRGLSPFVTMPLPEYPTGFELQEFRAPALNFPMEAIAGGEGREGSSGGYGALQGVQESAGGRLLFPFEDVKPVVHTSTAAEFENSRGHGGHPPGFWNGMIGGGGSW >Ma11_p03240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2397911:2400422:1 gene:Ma11_g03240 transcript:Ma11_t03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAPWHQDIGLVKSMEMVASSSSTVTTTTATASSTFSAARPQVTERRIRPQKEQALHCPRRYWTDGGSLRNVPVGGGSRKNKRPSLSSAAATTSATSATTSSTAATSSIVSNPKKLPIDLIPPRVSLSASQKFHDGQDLNLAFPHHGLPEFNGFPGLESSTVNSNSSGTGNCSNPCNAVGALSAMELLRSGMTMRGLSPFVTMPLPEYPTGFELQEFRAPALNFPMEAIAGGEGREGSSGGYGALQGVQESAGGRLLFPFEDVKPVVHTSTAAEFENSRGHGGHPPGFWNGMIGGGGSW >Ma03_p32200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34108988:34117840:1 gene:Ma03_g32200 transcript:Ma03_t32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAKSPEGLRAPAAEGGEGGGRLVSHFAAAREGAVVVSLGSAGTIAYTSEKQNPLLPRLFAVVDNIFCLFQGHVENITSLKQQYGLGKTANEVIIVIEAYKTLRDRGPFPASQVVRDLSGKFAFILFDSSSNSTFIAADADGSVPFFWGADSEGHLVLCDDVDIVKKGCGKSFAPFPKGCFFTTSGGLQSFEHPLNELKAMPRVDSQGQVCGATYKVDDQAKKETKMPRVDSAANWSSEY >Ma07_p24650.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31942701:31947274:-1 gene:Ma07_g24650 transcript:Ma07_t24650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPREASSSVEVDDLSLSRLLNKPKLNIERQRSFDERSLSELSINVRALEGYDSIYSPAGYRSGFDTPSTARNSFEPHPMVAEAWDSLRRSLVYFRGQPVGTIAANDHGSEEILNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLHLQGWEKKIDRFTLGEGVMPASFKVLHDAGRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETHECQKGMRLILALCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALPMLKHDAEGKEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNLVAILSSLATPEQSMAIMDLIEERWEELVGEMPLKITYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAENRLLKDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMVSLEEDKAMKPLIKRSNSWTC >Ma07_p24650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31942701:31947274:-1 gene:Ma07_g24650 transcript:Ma07_t24650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPREASSSVEVDDLSLSRLLNKPKLNIERQRSFDERSLSELSINVRALEGYDSIYSPAGYRSGFDTPSTARNSFEPHPMVAEAWDSLRRSLVYFRGQPVGTIAANDHGSEEILNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLHLQGWEKKIDRFTLGEGVMPASFKVLHDAGRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETHECQKGMRLILALCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALPMLKHDAEGKEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNLVAILSSLATPEQSMAIMDLIEERWEELVGEMPLKITYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAENRLLKDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMVSLEEDKAMKPLIKRSNSWTC >Ma07_p24650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31942701:31947274:-1 gene:Ma07_g24650 transcript:Ma07_t24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPREASSSVEVDDLSLSRLLNKPKLNIERQRSFDERSLSELSINVRALEGYDSIYSPAGYRSGFDTPSTARNSFEPHPMVAEAWDSLRRSLVYFRGQPVGTIAANDHGSEEILNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLHLQGWEKKIDRFTLGEGVMPASFKVLHDAGRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETHECQKGMRLILALCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALPMLKHDAEGKEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNLVAILSSLATPEQSMAIMDLIEERWEELVGEMPLKITYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAENRLLKDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMVSLEEDKAMKPLIKRSNSWTC >Ma11_p08850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7008268:7018440:1 gene:Ma11_g08850 transcript:Ma11_t08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Ha. [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MGVSFKVSKTGKRFRPKPTLVQEETGLSGESSRVLVRTGSKREVDIPEAVNGVDHLLPEHEVSFTLNLYPKGYSIGKPTEVENGQTLLQDVKSLHPYDRASESLFSAIESGWLPGDILDDIPSKYFDGTIVCEVRDYRNCTSEQGTVISASNVVPILHKVRLRMSLENVVKDMPLIADDSWTYSDLMEVEARILKVIQPRLCLDPTPMLDRLCKDSSASKLNLGIGKRRRLQQVSEVTITNSIENSEKNTCIDRIPMNANCVAGGPGTQITNASLQQVYENIPMQHGSSGIPSFRPNTYGQEVIRAALPLPSQSKLQPAINSATVAQDHASGLPASFSGVNAKMSSSQNMMSSYTDTIGSNSPVSMKRENPDAQLTSMAAAMKRQKQTPIGLDGIQQQQQQTGPQLVGLAGTDMQWKNQLLQSQLDIKGIQYSSTLAGQRYPSSAINNVPNREQGTSFYFNQQGMRFGAKEEQTDRQELERSKDALDSDNSALDLQQSRAHLLQHSSMRNHPPTAVQWTNARPVPEKDMGKDDALQRRKSVPSPRVSSGPMVQSPVSSRSGEISSGSVGGQFGGVATASAMGVQKDKLAANTNAAIGAPSVTSSPSDSVRQHQTSGTGKRKQNSKTPAVSAVGSPASVNNVNFPLIANSPSIGTAPVGDQVILERFAKIDAVAQRYQLNIKKNTVDEYPAREPVQYSTQQLAFYLSDSFNCEDFTDQIKPMSKSLIGGTINTYKARTMNFLRSERLYQVPMRLTMTEKPFDGTVSMQYGCVDDSDSHDYHLTLSTTHHADLLAAQFAILMDRDGYQKTDDQIRPVPIRMVAPPSNLAPVSGIMSDGTASEMKQAELATGQPLQVAAPAVANGVGPINSSQNPSTNPRMLTSANNSQALAISQGYIPGAAMPARIQQVDQSLLQQQQQQQQLQQNTQSQLQQQQQLSVSHVQRSSPLLTTNPISQIMGQASNLQMNTNQMVNSKPTPLQLQMLQQQAQQQQQQQPQLARKVMMGLNPNISMGHNVMGIGGLSNVIGMGGVRGISSPMGPISGLGNISPHQMNLASASNFSPGPRSSSLSPAQAAAAAMATKLRMAQSRGLYGQSGIAGMAGNTNQMHPGSPGLSMLGALNRANMSQRGAMSPMGPPKIPGTNFYLNPQQQQHLQQHLQQQQLQQQQQQQQQQQQQQQMQQQISSPLQQPQVGSPPMVGSPTAMVMQHQQISPQQIGQQTAMSPQQLSSGALQHMNNSGNPAAAPASPQLSSQTHGSVGSITSSPMEQLQGANKAASAGNV >Ma09_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:811280:813390:1 gene:Ma09_g01100 transcript:Ma09_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEANEAAHVTEEAKWGTKLMGPPAAPSAHPENQRAALWSPRGDDERPPYVLEREPVSRGPGGSPMESILDFFNSWAKKAEDLAHNIWHNLKTAPSKTEAAMGKLSLTAKAFAEGGFESLYKQTFQTDPTERLKKTFACYLSTTTGPVAGTLYLSNVNVAFCSDRPLSFTAPSGQQAWSYYKVMIPLIKIATVNPVTLGENPSHKYIQIATVDGHDFWFMGFVHFEKASEHLLNDVSDCAAASHGAEQGVSRVTT >Ma07_p29130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34937751:34939274:1 gene:Ma07_g29130 transcript:Ma07_t29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT INITIATION DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G49180) UniProtKB/Swiss-Prot;Acc:Q9M3B4] MEVVIASSPVDAGIGCWDLRSGSEQLRYRSCSSAPHGLLSIAGRFLASSQLRDSPSSASSPIFFWSWDKPQVEVRSFPAEPIGPLVSNSEGTYIMGGGPSGSIYIWEVASGKLLTRWHAHYRSVTCLTLSKDESLLISGSEDGSVRVWSLMMMFDDMAKASAGVLYRYSFSEHALRVTDVVTGHGLCNSIIISSSEDRTCKVR >Ma11_p24300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27314627:27314841:-1 gene:Ma11_g24300 transcript:Ma11_t24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFVSWIPVCLWMDTRSDKEHKPWRKANSCVSSVAVVASVI >Ma01_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11628935:11630939:-1 gene:Ma01_g16000 transcript:Ma01_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPDFFSSSNLLCTEDASAVASGGEDRDHAVAASEWAPACPATASALVDGRGLASLLAAETDHMPRPDYLPRFLSRTLDATARHDAVKWILKVNELYRFRALTAYLSVNYLDRFLSSHSLPGLENGGSGGGWPMQLLSVACVSVAAKMEETHVPSLLDLQILDQRYVFDPHTVGRMELLLMTALGWRMRAITPFDFLPHLVPSCPSALLSRAADLILSSLRVVDFLGYRPSAIAAAAALCAADEVADPSAGGSADVLRCSDEWVNKQVVSGCRQLMEDYLIDTCPSAPRSRAKTPYASREPQEPPPPPPPPRSPVAVLDAAACGSCDSAAQLPSAAEAATFDAHSLPVRPEAPPKRRRLGENRCTDTTCGCSQRKSI >Ma02_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14748977:14750207:-1 gene:Ma02_g03380 transcript:Ma02_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHSEVSFSTIYRPSTFALMVMEHFLLNRLRLMDSMRSQELEFLPNIEEYNLPAANMFQNSEALAEGWETDPSYNPLNNYAIFPTAFEIERHAEKGKKVTNLKEEWEIIIN >Ma02_p19380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25554162:25562720:1 gene:Ma02_g19380 transcript:Ma02_t19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVLRSSSAAAAAGGRGSSLFSDLLFPPASSRAYAKAKTKAKTKAKTKTKAKTKTTTKGKNSRSVSADESAASVDDASHAFDGIDIEFERPTDPLPPTYDPALDVGPGGRPLFALTKSLAPLSRKEACSYVDFSLEEWNAMLPEGLPAGMKKEFEETRRCAVMVRQSFLDLRDNFRRIVDPPIHTGTKNSKKQIVLDGPVSCGKSIILAMLVHWARSEGWLVFYVPEGKEWTHGGFFYKNLHNEFWDTPVQAETILQNFLKFNEDRLQQLPCQIFDPILLGEGAGVGLMKNVDSMAMPEGSTLYDLIQTGITYTHAAVSVVVRLRKELSLVKDVPVLFAIDQYNNWFTFSEYHELLTWQSTRQIHAREVTTVNAYRSMMHDDMMVGAFSHSTAVGKLREELPDVPRGARLMLPRYTLDEAATVCHYYLRQSLIRRDSFSDEKWKKIYYLSNGNGSEMRWLAPFI >Ma02_p19380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25554164:25562720:1 gene:Ma02_g19380 transcript:Ma02_t19380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVLRSSSAAAAAGGRGSSLFSDLLFPPASSRAYAKAKTKAKTKAKTKTKAKTKTTTKGKNSRSVSADESAASVDDASHAFDGIDIEFERPTDPLPPTYDPALDVGPGGRPLFALTKSLAPLSRKEACSYVDFSLEEWNAMLPEGLPAGMKKEFEETRRCAVMVRQSFLDLRDNFRRIVDPPIHTGTKNSKKQIVLDGPVSCGKSIILAMLVHWARSEGWLVFYVPEGKEWTHGGFFYKNLHNEFWDTPVQAETILQNFLKFNEDRLQQLPCQIFDPILLGEGAGVGLMKNVDSMAMPEGSTLYDLIQTGITYTHAAVSVVVRLRKELSLVKDVPVLFAIDQYNNWFTFSEYHELLTWQSTRQIHAREVTTVNAYRSMMHDDMMVGAFSHSTAVGKLREELPDVPRGARLMLPRYTLDEAATVCHYYLRQSLIRRDSFSDEKWKKIYYLSNGNGSEMRWLAPFI >Ma04_p30730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31312958:31313278:-1 gene:Ma04_g30730 transcript:Ma04_t30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFVYLACRKDLVQLFDKINRGSLKEGKKGRQGSPRKRKGPIPGTRGVEKFRSEEAFYENPLPDCLCQQEHEEFDNSPVRR >Ma11_p04580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3574002:3580033:1 gene:Ma11_g04580 transcript:Ma11_t04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNTSLAEHLSQKISPFGLKIWEIIGISFGVLLLCALSLLLMCVCFQNRRRSKRAFGYLPTTQIPAFSRDIKEVPVEKIIKDDSVLLETYDGSSDNESSKVLDLGKLERSDKNSNTSSLHYVEKDRSANYTEVGSTGMVDVNRQHSGYPIVAPSQLSGLPQFSHLGWGHWFTLRDLEIATDWFAKDNVLGEGGYGVVYRGQLINGTHVAIKRLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCMEGTQRMLVYEYVNNGNLEQWLHGAIGQKGSLTWDARMKIILGIAKALAYLHEAVEPKVVHRDIKSSNILVDEDFNAKVSDFGLAKLLGAGKSHITTQVMGTFGYVAPEYANTGLLNETSDIYSFGVLLLEVITGRDPVDYRRPPEEVNLVEWLKWMIGNRRSEEVVDPRIVIRPSTKALKKALLIALRCVDPDSEKRPAMGRVVQMLEPDNPRPLEDQRHPHNRTWKTEIESQRAGYDTNAKPDFKSNGIRDNRKK >Ma05_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7950607:7957804:1 gene:Ma05_g10920 transcript:Ma05_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSPRRRERYRSRSPPRSRSRGRSQSRSQSPIHRSRSRSRSRDRPNATNPGNTLYVTGLSSRVTERDLESHFSREGKVSECYLIVEPRTRVSRGFAFVTMDTVEGADRCIKYLNQSVLEGRYITVEKSRRKRARTPTPGNYLGLKNPRDTIRFDRGRYRGGHSRDDYDGGYRRSPRHSPYRGGRDYSPHRSPYGGRPRRDRSRSPRRSPDRGYGRRANGYAR >Ma05_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1066092:1067189:1 gene:Ma05_g01720 transcript:Ma05_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSSCASAAAVVVGAAGTAKVVLPDGGLREYSRPVTAAGALGKDAACFFVCDADEMEFDAFVSGVGAKEELRPGQLYFALPRSMLKRRLQAEDLARLAVKASAALVGAAGQCGGGVVSPQVFPAGVSVAVPIGGREEEKARRCSRGGGGLRKFAPDLSAIPE >Ma01_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9383031:9388483:-1 gene:Ma01_g12830 transcript:Ma01_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGSSGVGSGNSGTYTPEIAESLRFEEEIQSLIDPSFDASSAAGGSFTALLGLPANQAVELLHHPGPGEPPASAATDTLRLPFGCSSTFVERAARFSVFATDDSPASSSGGGLSPGLKAEPPDSDSPPTLPAPAGKPRRPSKRKECEKSKVSRRPRWGFLSRKAVAKKSKSAEENTSAAKPAAEENTSGDKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCSKITGTALVLDEIINHVQSLQRQVEFLSMKLAAVNPRIDFSGLDHILSAECGPLAVASGCGGGASDPAMWASDTAASTGGIRRMPAPPQIWHLDVVHPQQSSTVWENNGVAHPHFLLSPATSLVGYDPASSVPLNSNRLKTEL >Ma01_p06850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4951012:4952849:1 gene:Ma01_g06850 transcript:Ma01_t06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYLSCRADSSVATCRSISSSDVSSHKPLRKKKHSTNNLTNLSAPKEEAGDFAHHPGIRHFTYRELESATGNFSDDVLLGRGSHGAVYKAVLRGGRQVAVKRPSRRPHHLCSPLPAASVAAATARDEVENEIEILAGIRSPRLVNLIGFTPSASDRKERLLVVEFMPNGTLYDLLHSNPRPPGWARRIRLALQTAKALLTLHSVQPPVIHRDVKSANILLDHSFNARLGDFGLALRDDEDTKFPSARSTPPAGTLGYLDPSYVTPENLSTKTDVFSFGILLLEIMSGRKAIDVAHSPPSVVEWAVPLLRKGKVSTLFDPRIGPPKDPVARRQLAALAASCVRSYKEMRPSMEEVVEQLNVLSKTVLSRAWNGLSVGNPCSVVDVERPLTKLNSTSIDRGRSPASDSRFHREDEPLDKDRDMAVNVKKPPLIIKETRSSRNARRVLLEDTRGSTNLLDLMAQPDRELIKTLAAGVTSNNSPTISRARTLRVIHDFHGSDAILQLRRNGSLTKEPLKSFSRFNEIDTINEKAHD >Ma04_p12180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9176899:9180230:-1 gene:Ma04_g12180 transcript:Ma04_t12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHESQYSQFLLGINPLTQSLEHNHDLLGMLEISSRQQTSHFTGDFVHRDLPEPSSRRFLVPAAEMATAASAWPVADGKLCLPLFNVKPSDAFGVLESLQHSVSGDSLQLRAAEQQQLMQEREGLAAPPPPPFYSHNSKYVKPAQELLGELCSLGGEWNSQERSEKASHKKKREPSSSSSSSSWQQSLCAMNHLELHNLKIKLLSMLEEVGRRYRKYGEQMEGVVSSFESIAGEGSATVYLTLASTAMSKHFKRLKDGIAGQINAVRRAMGEKDPSAPAMTRGETPRLKLLDQCMRQQKALQQGMAQQLPWRPQRGLPEHSVSILRAWLFEHFLHPYPSDVDKIILARQTGLTRSQVSNWFINARVRIWKPMVEDMYAEETMELDGHSNSPTTGHQHSNNQNPKPNSTLERKPLPVQLLDDSESLSSLINSSHHDDQNHSFSSKTLLRQSQQQHQHHQQITGAENFSVVDFDFSSGSYASDNLRSSVSLTLGLQQQSKGGMSSTFSAASQQPLLFARQHAGHGQYVGFSMVDGEEDQPLPYRNLMGIQMLHD >Ma04_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:852754:855011:1 gene:Ma04_g00930 transcript:Ma04_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAKNPSLTTLRCRPSHARLASAAASTDSHGSDGEHLRSEKASLRSCNDTLRSLLRSAVRRHHAEALSVLRGMLAPDSTCRPDSNTCAIVFSSWSKQSLPLDNEALGLLVQMANMGFFPTDAFHFTQLVSRLCRSGATSAAWDFLHAVKDAGGAVETPACNALLTGLAAARDFARMNFLFSEMKGMGVRPSVVTFGILINHLCKSRRLDEAMKVFDVMTSPESGVSPDTVTFNTLIDGFCKVGRLHDGLSLLNTMRSSYDCKPDTVTYNSLIDGFCKSGEIDMAHELFVRMEKEGIPPNVITLNTLVCGMCRHGRIGSAHDFFRRKQVEWPQVKGNVVTYSTLVGAFLHSNNVGKAMDLVDEMHREGHSPDSVTYFTLISGLTQVGRLDDACSVASSMRENGFRLDTKSYNTLISGFCKKKRLDEAGEVLREMCEAGLKPDAFTYNTLIAASCKAGDFATVNQLLNKMVDDGCKLSVVTYGALINGYCKAGELDQAMKTFENLGASMVPANTVIYNILIDSLCKNKKVDIAVSLVEEMQVKGVPPSVTTYNAIFKGLRDRHMSDKAFKLMDRMSEQGCKPDCVTMDVLTEWLTAIGEMERLKRFVQRMTPQDKSTTCDMY >Ma04_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9975303:9978003:-1 gene:Ma04_g13200 transcript:Ma04_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRIVSFAFVSLGSVVNVCVCISCMQEYGGCLVFLILVSSAVGGLATVFSVVDHGAMGDGMTDDLEAFKQTWSAVCGDLSTPTFLVPAGMTFLLSKVSFSGPCNSPILVQIDGTIVALNNLLDTDGNYWINFEHVDGLSINGSGKIDGQGEIWWNCKRSANCVTAPNALSISGGSNFTLSGLDFTNSQQKHIGIYGIVGVQVHGINITAPGDSPNTDGIYIRSCQHVTVSNSTIGTGDDCISIGNGTSDVNITQITCGPGHGISIGSLGEEETQAAVEQVHVSSCNFWETQNGVRIKTWQGGSGYARNITFENINFTAVYHPIIIDQYYCNGDYDCPNMTSAVQVSDVHYIRATGTSSSDMAISLNCSQTIACTGITIDGVDIQPADASVKAASFCYNAQLTTTNDGVVPEISC >Ma07_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3819421:3821495:-1 gene:Ma07_g05240 transcript:Ma07_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAYTPTYYSSFHDTITSLCKSILPFGLKSRRPPLPDQKLAKCHSDSLKWQQDSFHRILHLMGLHKEGMVPESDVAAFCTHMLDTLIAAPRDPDPPGVIRDKLLFLQELLYAKCISAEVYHSSKRPLLQRLAMHGAELDCRDVIVRCPTMSSEEEWSFIELGDKEPPPAVEKAKHRTPIKAFIGNAASWTTGKGKKDSSQTKKGPLGSVDVNAMDPSRPSMENPFWARNQPSDKSSILMPEGSPLIPIKSDKGKRKAFQELFRRERRDENENSEPLIAEPEEKPMRPTKKHWGLDVLKKWKRGSGNEDESTTPYLPPGKRSDEVSSIACTLAASPVGEGPDTKRLKKKMHSDGIVNDFHSLLSLSLKVSAEKIKTELSRIQSELCARNPNLNFSDEQIEAISTKLPIDKADLNDFFPKSWCDRHGAVVLDVVRKEFKGHVGEMEALRSAARDEHGSAEKWVAFQEKDDSFHLNLFSHKS >Ma10_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26468851:26472887:-1 gene:Ma10_g13820 transcript:Ma10_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRELSSTLKNLKFMQRAAQKDEKPKEVEKVTPGADFGAPPSPARRCVVIMDGDPHPAALKGRMSFQSFNPSIDKLNEEAANNQQNRSGTSNNGGISKRMDEASAATDSRTGSSKNVSDLDLKRKQPESETDKTTQKLPKSASEVGGQPWISNDRRASYKQQKREKLDWNLLRPPKSGNRG >Ma03_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6305569:6307735:-1 gene:Ma03_g08570 transcript:Ma03_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAEAQFHVLAVDDSLMDRKLIERLLKTSSFQVTAVDSGSRALEVLGLSEDLTSSAAVSPAHNEIEVNLVITDYCMPGMTGYDLLKKIKGSSSLKDIPVVIMSSENVPSRINRCLEGGAEEFFLKPVQLSDMKRLRPHILKGKSKEQQLQQEEETSNTSISSNKRKARDEELSPERRRPRLSNNSLI >Ma06_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5200055:5203437:1 gene:Ma06_g07300 transcript:Ma06_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLFRRVGLKDLVSNVTVYSGASEVSGGLSLIFRRWATKKTAGSTKNGRDSRPKNLGVKKFGGEKVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGHVRFERHKLSGRKWVHVDPIDGHVLHPIYTNAGLNVDAGSQMC >Ma06_p07300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5200055:5203437:1 gene:Ma06_g07300 transcript:Ma06_t07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLFRRVGLKDLVSNVTVYSGASEVSGGLSLIFRRWATKKTAGSTKNGRDSRPKNLGVKKFGGEKVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGHVRFERHKLSGRKWVHVDPIDGHVLHPIYTNAGLNVDAGSQMC >Ma09_p15250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10537697:10549140:-1 gene:Ma09_g15250 transcript:Ma09_t15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTARVRWRRAMTLAIAPREADDAAATDDEIEYEMELEERMGSSHAASRFPLLRSCLGLGLLGLGLSRNASGGETLLKGFLIHPDNRWYQLWTQFILLWAVYSSFFTPMEFAFFRGLPKKLFLLDIAGQFAFFIDIFVQFRVAYRDSHTYRIVQSPTDIAFRYVKSRFVFDLLGCFPWDYIHKAFGNKEIIRYLLWIRLTRACRVTDFFQKMEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDFNYSHFREIDLWRRYVTSLYFAIVTMATVGYGDVHAVNPREMVFIMIYVSFDMILGAYLLGNMTALIVKGSKTERYRDKMKDLIKYMNKNRLGKDIRDQIKRHVRLQYENIYNEASVLQDIPASIRAKIFQALYKPYIEKLPLFKGCSAEFKNQIVIRLQEESFLPGEVILEQGNTVDQLYFVLHGVLDGIKLVENGLEEAILQLGSDSSFGELATLCNIPQPYTVRVREFCTVLRIDKQLFTNILEIYFVDARTILNNLLEGNESNSHIKQLESDITFHIGKQEAEHALRVNNVAYGGDLNYLKGLISAGADPKKTDYDGRSPLHLAASRGHEDITCFLLQEGVDVNLSDKFGSTPLLEAVKQGHDRVASLLFSKGARLNIGEPGCHLCTAVARGDSDFIRRVLKYGIDPNSKDYDQRTPLHIAAAEGLYSIAKMLIEAGASVFAIDRWGTTPLDEAVKSGNRSMMVLLENAKSDELSMVPECAQEIKDKTQPRRRCTVFPFHPWDPEEKRREGVVLWIPHTVEELIKSSNELLNCSGSRILSEDGGSISDAAMISDNQKLYLVADHNTTDMNNT >Ma01_p00040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:35967:44143:1 gene:Ma01_g00040 transcript:Ma01_t00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6B MDSSRGSSDELKRTNKGRSKTNCMEKESLLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENALDSAESISQLPDIELIIEEITKNKFNTMIGLVDRQRVDEELYDDFETTKAREKRLAKEARMQEIQAKNVALGKKLKEPPAIKSGKGRGEASFFKVTCKDNGRGMPHDEIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEILSATRGQNYASFCRLDIDIHQNIPHVHLHEKRENKDKWHGAEIQIIIEGNWTTYRSKILHYMRQMAVITPYSQFFFKFISGISEKNLTIRFARRTDVMPPAPLETKHHPSAVDILLIKRLIAETSKQTLQQFLQHEFVNISKSYAERLVGEMGPDFGPKMAVKNLTSHQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELQPDMVATCSSSPQVFEGHPFIVEAGISLGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTAMKKINWSSYKINQMQDKIGVFVSIVSTKVPFKGTGKEYIGDDITEISSAVKSAIKQCCLQLRSKIVKKLQARERQERKRNLTRYIPDASRAIMDVLEEMAQNYEPKRQRYDKEDDELLNKIASKEITETTFGESLSQYVEQVDYEMALEYATQSGASEEPREPIYLSSLEGSYSYVDLHSPVFVFRLIT >Ma08_p25970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38632344:38635087:-1 gene:Ma08_g25970 transcript:Ma08_t25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSSAPVQPLLLPAPSPRAHTSSALPRNARRDHSIPSSSSSSLILHKTMRGEFSQSFQLFRELLASGWTPDEFALGSLLKASSNLSDCCLGEQLHAKSIRAGLASERGVRTSLVAMYSANGLLGEARHVFDEVPLAEEADVPTWNSVISAYAFHGYYDECFLLFGAMLGAAQLTPTDATYAIVISACSASKKVRIGKAIHAMIQKDQMLDEIKMHNSLISMYAKWGHLEEAQKVFEAMAARDVVSWNAIISGLEQHGECENALAFLRRLAGLKAPPVVQPNRITFLSALSAIATISGLKLGKEVHARLIRSNLDGETSTGNSLITMYGKCGEVETGRLTFEMLPSRDVISWNSMLSGYAQNSQLGSCYELFKAMQLSGSRPDFHTMTILLCAMSPGSVSSSSCRLGREIHGYLVRRAAVKSLPVSVYNGIITMYFKCDRVGDAEKVFKGMSERDSHTWNSMIDGCSTNERCRVAMMLFVEMHTQGFQPDHSTFSIILTVCSRLVSVELGKQLHASAVKQCLHASSPPTFSLSVNNALVSMYSKCGSIDDAAQLFGRMPKRDVFSWTAMITGFAHHGMAYRSLESFEAMKADGIRPNAVTFLGLLSACAHAGLVEEGAHYFNLMSKESDAEPSIEHYACLVDLFGRSGQFEKAEATIEIATSHLGLEGVSCLWLWKVLLGACHARKQLELGIRVGRRILEVDPDDETTHVLLSNIYAAFGLWKDALEVRRLMREKGLKKEAGCSWVEIGNRKHVFVAADGFHENRKEIYEKLEELDEKCRDIGYVPMTDYVLHDVDEAQKEAVLRYHSERLAVSFALLHTGKRKGGVIRVIKNLRVCEDCHRWMKFASEVEGMEIILRDSRRFHSFRKGKCSCGDYW >Ma06_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3645753:3649457:1 gene:Ma06_g04890 transcript:Ma06_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPCSAILLVLAVAASCLWGAKQASGDSGKDMLDVSKLERFVDELPDMPRLQGYGVKDDLLIPGNLTVGMYEKFWKFHRDLPPTRVFAYGLSQDSATVPGPTIEAIRGVPTHVTWTNHLPLHHILPWDPTIPTARSSSGGVPTVVHLHGGVQPPASDGSAIAWFTADFAAVGPAFAGSSLVYFNRQPPGCLWYHDHAMGLTRANLLAGLFGVYTVRSPELERPLGLPYGPTFDRSLVLFDRAFRTDGSIYMNTTGNNPSIHPQWQPEYFGTAIIVNGKAWPFLRVSRRRYRFRIINSSNARFFRLFFSSDSGLRFLHLGSDANYLPRPVHSRKFLLAPSEIADVIIDFSEASASAAVLTNDAPYPYPSGDPTDELNGKVMRFVIAPEREDDPSRVPRRLLTLPRPSVHRAAATRYIAMYEYETASGEPTHLYLNGKPFEAPATETPKAGTSEVWEVINLTEDNHPLHIHLASFVVLEQRELVELEEFKVCMHKANDAAACKVEEHLKGGRRRRVPRYEQGWKNVFKMRPGVATRILVRFAPLDGGTYAFDVTAEPGYVYHCHILDHEDNVMMRPLKLVY >Ma10_p15190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27400424:27406666:-1 gene:Ma10_g15190 transcript:Ma10_t15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINESIN LIGHT CHAIN-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT4G10840) UniProtKB/Swiss-Prot;Acc:O81629] MPGLVAPPEAPPLRVDVPDDGASQIRRTADDPDPPREPRVASPGPKKTPSPTPGSSRVRSAERGSSSAGKRRLSLERPGSAASAAGSALRDLPAVMDETALDNPDLGPFLLKLARGAIVSGEGPSKALEYAIRASQSLERCGGEERRLELAMSLHVAAAIYSGLGRHEEAVAALERAVAATDPALGPDHALAAFSGYMQLGDTHAVAGRMEQSIVCYTKGLEIQIEALGDGDPRVAETCRYLAEAHVQAMQFEEAERLCRKTLEIHREHSPPASLEEAADRRLMALICEAKGDHESALEHLVLASMALIANGQDVDVAAVDVGIGDTYLALARFDEAVFSYQKALTVFKSTKGDNHPSVAAVLVRLADLYYKTGKLRESKSYCENALRIYAKPVPGSSNEDIAGGMMEIAAIYEAMNEPDEALKLLQKALKLMEDAPGQWSTVAGIEAQMGVMYYMVGKYGEARSSFESAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAALFEEARAVLEQECGTSHPDTLGVYSNLAATYDAMGRVGEAIEILEHVLKLREEKLGTANPDVDDEKKRLAELLKEAGRSRNRKPKSLENLLVTNSQRIRKEVTRRWSGLGFKS >Ma09_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35115775:35122019:-1 gene:Ma09_g23200 transcript:Ma09_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLTQSLGTTFTVSLHRLSIPLRSNSARALRFRTSFLPQPLGSGISFPVSGLSCKAERRERWMRVRCEAAVAEKESEEASGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSLLGDAGDLEIRVKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSRFLNALKENKDVGTDNGLIGQFGVGFYSAFLVAERVVVSTKSPKSDKQYVWEALADSSSYGIREETDPEKFIKRGTQITLFLREDEKFEFADPTRIQALIKNYSQFVSFPIYTWQEKSRTVEVEEEEDPKEGEEAKTEDEKKKKKKTVTEKYWDWELANETKPIWMRNQKEVEKNEYNEFYKKTFNEFLDPVAYTHFTTEGEVEFRSVLYIPGMAPLNNEDIINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQELSENENKEDYKKFWENFGKLLKLGCIEDSGNHKRLAPLLRFYSSKSEEDLISLDQYVENMGENQKAIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQSYKEKKFADISKEDLELGDEDEMKEREDKQEYNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRILEINPEHPIIKDLNAACKNDSSSTEAKRAVDLLYDTALISSGYIPDSPAELGNKIYEMMGIALGGRWGRSESEEATEAAEATSAEAVSSDATEAEVVEPSEVRTENDPWKD >Ma08_p11500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8503251:8505891:-1 gene:Ma08_g11500 transcript:Ma08_t11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G36250) UniProtKB/TrEMBL;Acc:A4FVT2] MESVGVEGVANEVRTDYESGKTRSLAWRRSQLKALQRLLYEEEEGMFTALKQDLGKHQAEAYRDEVGILIKSVNYALENLKKWMTPCRVPVPLLAFPTRGELVPEPLGVVLIFSSWNFPIGLSLEPLIGAIAAGNAIVLKPSELAPASSNFLAKSVPKYLDSMSVKVVRGGPDVGQKLLEQKWDKIFFTGSSRVARIVMAAAAKHLTPVAVELGGKSPAIFDSLSSARDRKVAVERIVGAKWVPCSGQVCIGVDYVLVEEQFAPILIDQLKATLEKFYPRFDCLSRIINEQHFQRLSNLIKDPSVAATIIHGGSLDSETLFIEPTILLDPPLDAEIMNEEIFGPLLPIITLKKIEDSIEFIRARPKPLVIYAFTKDEKLRSRITAETSSGSLTFNDTMIQVLSLCNLIFITPWHRSRSFHCLLVVRVRRIAIWRSGWEWVRTVPRQVLLRHVQSHESRAEEELPAGVHVPVPAMGCMEAALHAIRLPLRLRHSAPSPAGLETRLISTRSPLLLAAMITAAIICRNNRLYLPFDIINR >Ma08_p11500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8503248:8505886:-1 gene:Ma08_g11500 transcript:Ma08_t11500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G36250) UniProtKB/TrEMBL;Acc:A4FVT2] MESVGVEGVANEVRTDYESGKTRSLAWRRSQLKALQRLLYEEEEGMFTALKQDLGKHQAEAYRDEVGILIKSVNYALENLKKWMTPCRVPVPLLAFPTRGELVPEPLGVVLIFSSWNFPIGLSLEPLIGAIAAGNAIVLKPSELAPASSNFLAKSVPKYLDSMSVKVVRGGPDVGQKLLEQKWDKIFFTGSSRVARIVMAAAAKHLTPVAVELGGKSPAIFDSLSSARDRKVAVERIVGAKWVPCSGQVCIGVDYVLVEEQFAPILIDQLKATLEKFYPRFDCLSRIINEQHFQRLSNLIKDPSVAATIIHGGSLDSETLFIEPTILLDPPLDAEIMNEEIFGPLLPIITLKKIEDSIEFIRARPKPLVIYAFTKDEKLRSRITAETSSGSLTFNDTMIQFACDELPFGGVGGSGFGQYHGKFSFDMFSHTKAVLRRSFLLEFTFRYPPWDAWKLPFMRSVYHFDYVTLLLRLLGLKRG >Ma09_p27520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38491775:38498243:-1 gene:Ma09_g27520 transcript:Ma09_t27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSDNPPSTPGSPASAGFSTDRLPHNTSRTTDSYSDDDEAAVDPHVFVDDDGGDGDGNKEDEEGEDLYNDNYMEDYRRMDDQDRYETVGMDDSMEDERDLDQIMADRRAAEVELDVRDGRNGGILDRKLPQMLYDQDMDDDINFRRPKRFRADFRPPAGGRSEDDTEGSTQSSPGRFQRGHSRDDVPVTDQTDDDQYEDEYDGEDDIMCHVQGTLREWVTRDEVRRFIAKKFKDFLLTYVNPKNEHGDIEYVRLINEMVLANKCSLEIDYKQFIYTEANIAIWLADAPQSVLEVMEEVAKNVVFDMHKNYKNIHQKIFVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCPECQSKGPFTINVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVTKKQDLFSAYKLTDEDKAEIEKLAKDPRIGERIVKSIAPSIYGHEDIKMAIALAMFGGQEKIVQGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGVCLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKTFTQNVELTDPIISRFDILCVVKDIVDPVTDEMLARFVVDSHAKSQPKGATLEDQPASNTQDELASSRPVDPEILSQDMLKKYITYAKLNVFPKLHDADLDKFKHVYADIRRESSHGQGIRIAVRHIESMIRMSEAHARMHLRNYVCQEDEDMAIRVLLDSFISSQKFGVQKALQKSYRKYMTFKKDFNELLLHLLRILVKDALHFEEIVSGTTARLTHIEVKVEELRNKAQEYEIYDLKPFFSSAHFTSSNFILDESRGVIKHPLAR >Ma08_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:12434324:12434746:1 gene:Ma08_g14330 transcript:Ma08_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEELLWRASMIPKIQKRPFSRPPKVAFLFLTKGHLPLAPLWEKFFEGNEGFYSIYVHASPLNGSAPKGSVFHGRRVPSKCSEFLEPINNKIHRVK >Ma04_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26718276:26719527:1 gene:Ma04_g24800 transcript:Ma04_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVDWNLPLLPSTMGLQQQQQLLQPAPAASEDKEKGRGAAGRNKKVIRPRFAFQTRSPNDILDDGYRWRKYGQKAVKNSVHPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMEALSPLLKQIQFLTGF >Ma04_p24800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26718276:26719527:1 gene:Ma04_g24800 transcript:Ma04_t24800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVDWNLPLLPSTMGLQQQQQLLQPAPAASEDKEKGRGAAGRNKKVIRPRFAFQTRSPNDILDDGYRWRKYGQKAVKNSVHPSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMEALSPLLKQIQFLTGF >Ma05_p27800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38938044:38949316:-1 gene:Ma05_g27800 transcript:Ma05_t27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEAFDSLLAAASRACCSPLAVFIQIQGCIICLTLAVGWGFAAYVRNRVIQRMKLNIANGNSFAFICEDINDLEHSAQLNLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESAEDPAYHAVSSLILEFQESVDAKIVIAGLSKTCSQKIHNQLVGVERMHKDSKYVLFLDDDIRLHPGSIGALTTEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHAQDFRNDLYGVVSGLQDGGYSDDMTLAAIAGQHNRLIWSPPVAVFPHPLASDLSFPRYWNYLRKQTFVLESYISKVNWLMNRALFATHCYLSWGFVWPYVMALIHLAAFVRAPFCGSTYSEAFSSSCGLSLASCLLISTVIELLSMWNLTKLEIQLCNMLSPEEPTVSLGSYNWGLVFLAMLVDNFLYPISAIRSRFSQSINWSGVRYHLEKGKIIKIERSIANGPSYSDLAWKRLYGKRQIHRSTSFISYLSRKYYPLAPTKEG >Ma05_p27800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38938044:38949316:-1 gene:Ma05_g27800 transcript:Ma05_t27800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEAFDSLLAAASRACCSPLAVFIQIQGCIICLTLAVGWGFAAYVRNRVIQRMKLNIANGNSFAFICEDINDLEHSAQLNLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESAEDPAYHAVSSLILEFQESVDAKIVIAGLSKTCSQKIHNQLVGVERMHKDSKYVLFLDDDIRLHPGSIGALTTEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHAQDFRNDLYGVVSGLQDGGYSDDMTLAAIAGLSLASCLLISTVIELLSMWNLTKLEIQLCNMLSPEEPTVSLGSYNWGLVFLAMLVDNFLYPISAIRSRFSQSINWSGVRYHLEKGKIIKIERSIANGPSYSDLAWKRLYGKRQIHRSTSFISYLSRKYYPLAPTKEG >Ma02_p13930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22095123:22098501:1 gene:Ma02_g13930 transcript:Ma02_t13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKGLMKVALDAVAGDDDDDSRRSHSPSREERSRSTWAQVVSSGHEEDAEPEAGGRNRWSSRKEENDNEGNEGGWETVGSGKTHQQHGQRRRPQKSWQAPAETWSAFKRHPDEQQYMGHAKNAVNVEPTREELDDLSKACSRLWELDLNRLVPGKDYEIDCGGGKKVYQKGDMASECLFSWLSDDILRRPTYSRFCSLLDNYNPDEGCKEVVTSEEKHEQTAFIEEISRTAPVKYLYHYLVSKEIVSDNYEHFKRMMCGLWFDLYGRGGVSGSSSAFEHVFVGEIKRREEKEVSGFHNWIQFYLEEAKGRVDYQGYILPRRRGPYPDSETQVLTIQFEWNGILKSVSTTLLGVSPEFEIALYTLCFFVGGEDNHVNLGPYSVNLKCYRFGNDKIGSVFPIAEC >Ma04_p35950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34493937:34494954:-1 gene:Ma04_g35950 transcript:Ma04_t35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAAEEGVLCAKVMTDEQMEVLRSQISAYATICEQLVEMHRTMTAQQDSLSGTRSSHLFADQPSGGAKISAKQRWTPTAVQVRILESIFYHGNGTPRKQRIKEITSELSQHGQVSETNVYNWFQNRRARSKRKQAFAAPSNTESEAEEESPSEKKAKKEDAFT >Ma03_p31670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33802364:33803830:1 gene:Ma03_g31670 transcript:Ma03_t31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQKEEENKEEAAEEEPPEIVLKVDMHCEGCARKVERSLRRFEGVEDVKTDCKSRTVVIKGKAADPAKICERIQKKTGKKVELISPLPKPPEEEEKKEEAEAPPEETKEEPKPITVILKVRMHCERCAQVLQKRIKKMDGVESVATDLASSQVIVTGFIDPVTLAENVHRRTRKQASIVPEEEKKEEEGEKKDENGDEEKMREEEEEKKEEDGMKDDISKYEYWPSSRDYVEYAYTPQTFSDENPNACSVM >Ma01_p07000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5059098:5060730:1 gene:Ma01_g07000 transcript:Ma01_t07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSSFSCRRVRGGFVHRIMCRKKERDRDHHHPFEVVEITPPPRSLGVRCFPSNIHCGESVTIEGQTYTVSAVTHRYQLRKGKYEPREKRLDVQSTSRYILNLYLENLLEQS >Ma01_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5059098:5060635:1 gene:Ma01_g07000 transcript:Ma01_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSSFSCRRVRGGFVHRIMCRKKERDRDHHHPFEVVEITPPPRSLGVRCFPSNIHCGESVTIEGQTYTVSAVTHRYQLRKGKYEPREKRLDVQSTSRYILNLYLENLLEQS >Ma04_p32690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32633449:32644061:1 gene:Ma04_g32690 transcript:Ma04_t32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MSTLYTSEHDDETRALNALLDAFGTFCTLEDIASAYCKAGRDVCKAGDMLYQLQESSSSGFRHAQIGKNVPQPEESSDEDNLKCSILLGKNSKGTKPKKLSVSVGTISSVLGKKYVGVPSSRNESCETTKPPKLEIKEQMLDVLESESFMPNSVQSSTLNDKDVEEFLFSMLGDGFKLSMDVIRDVLGCCGYNMKKSMEELLIISSNTLEKGKAVDYDDLRKSAGKGSQTEKFPYEDCCPNSPSSVRNIPRHSSMEQKNSNLPMEVIQSLFNVPERSEEEQKIKRREMGLNRMRVVGQKAVTKPLEDLVLSSTTDIPNIRQVVNDGPVAEEDDDYQVLRRAAKLHWDSMKQYYEAAVDAFTRGDNEAASYHIEQGKYYNQKAREADEKSAAMLVEPRKTSNKDEITLDLQPHCAKEALKLSKLLLCSLADIPTLRYLKLVIGADSEDRKQGKKRRLVLKLLENESIKWTESDDNSGTIYIQLDQVNPKKLSFAKE >Ma04_p32690.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32633449:32644074:1 gene:Ma04_g32690 transcript:Ma04_t32690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MSTLYTSEHDDETRALNALLDAFGTFCTLEDIASAYCKAGRDVCKAGDMLYQLQESSSSGFRHAQIGKNVPQPEESSDEDNLKCSILLGKNSKGTKPKKLSVSVGTISSVLGKKYVGVPSSRNESCETTKPPKLEIKEQMLDVLESESFMPNSVQSSTLNDKDVEEFLFSMLGDGFKLSMDVIRDVLGCCGYNMKKSMEELLIISSNTLEKGKAVDYDDLRKSAGKGSQTEKFPYEDCCPNSPSSVRNIPRHSSMEQKNSNLPMEVIQSLFNVPERSEEEQKIKRREMGLNRMRVVGQKAVTKPLEDLVLSSTTDIPNIRQVVNDGPVAEEDDDYQVLRRAAKLHWDSMKQYYEAAVDAFTRGDNEAASYHIEQGKYYNQKAREADEKSAAMLVEPRKTSNKDEITLDLQPHCAKEALKLSKLLLCSLADIPTLRYLKLVIGADSEDRKQGKKRRLVLKLLENESIKWTESDDNSGTIYIQLDQVNPKKLSFAKE >Ma04_p32690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32633449:32644061:1 gene:Ma04_g32690 transcript:Ma04_t32690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MSTLYTSEHDDETRALNALLDAFGTFCTLEDIASAYCKAGRDVCKAGDMLYQLQESSSSGFRHAQIGKNVPQPEESSDEDNLKCSILLGKNSKGTKPKKLSVSVGTISSVLGKKYVGVPSSRNESCETTKPPKLEIKEQMLDVLESESFMPNSVQSSTLNDKDVEEFLFSMLGDGFKLSMDVIRDVLGCCGYNMKKSMEELLIISSNTLEKGKAVDYDDLRKSAGKGSQTEKFPYEDCCPNSPSSVRNIPRHSSMEQKNSNLPMEVIQSLFNVPERSEEEQKIKRREMGLNRMRVVGQKAVTKPLEDLVLSSTTDIPNIRQVVNDVAEEDDDYQVLRRAAKLHWDSMKQYYEAAVDAFTRGDNEAASYHIEQGKYYNQKAREADEKSAAMLVEPRKTSNKDEITLDLQPHCAKEALKLSKLLLCSLADIPTLRYLKLVIGADSEDRKQGKKRRLVLKLLENESIKWTESDDNSGTIYIQLDQVNPKKLSFAKE >Ma04_p32690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32633465:32644061:1 gene:Ma04_g32690 transcript:Ma04_t32690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nuclear RNA export factor SDE5 [Source:Projected from Arabidopsis thaliana (AT3G15390) UniProtKB/Swiss-Prot;Acc:Q9LUQ3] MSTLYTSEHDDETRALNALLDAFGTFCTLEDIASAYCKAGRDVCKAGDMLYQLQESSSSGFRHAQIGKNVPQPEESSDEDNLKCSILLGKNSKGTKPKKLSVSVGTISSVLGKKYVGVPSSRNESCETTKPPKLEIKEQMLDVLESESFMPNSVQSSTLNDKDVEEFLFSMLGDGFKLSMDVIRDVLGCCGYNMKKSMEELLIISSNTLEKGKAVDYDDLRKSAGKGSQTEKFPYEDCCPNSPSSVRNIPRHSSMEQKNSNLPMEVIQSLFNVPERSEEEQKIKRREMGLNRMRVVGQKAVTKPLEDLVLSSTTDIPNIRQVVNDGPVAEEDDDYQVLRRAAKLHWDSMKQYYEAAVDAFTRGDNEAASYHIEQGKYYNQKAREADEKSAAMLVEPRKTSNKDEITLDLQPHCAKEALKLSKLLLCSLADIPTLRYLKLVIGADSEDRKQGKKRRLVLKLLENESIKWTESDDNSGTIYIQLDQVNPKKLSFAKE >Ma05_p12720.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9184728:9192996:-1 gene:Ma05_g12720 transcript:Ma05_t12720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNGLRTMYAWKEMEAHSALPARKVNVEQLCTSGGSGVVSSSLPVLPTTVEEFPKLPDSQNILTGKQIRSDQLTSHYTPFVADSGNVQPLYPSPSGFSSDLDISSILPQERHTNSTPLVSQSLNEVCLPSAYSSYTGAFQVSTSNIPKDPTEVTWCPDSDQGILNCSDDGITGNNQIQSNSIVMSDDLNKQNEWWSEIMNEDWEELLNDKTVAESQPKVVYPAAQSSQNMSVHQLQTHQSVPCHSGEISAVTGPLSTATAAATKPRMRWTPELHECFVNAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPDLSEGMSEKKITQSQEIPSLDLKTGIDLTEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFDKQCKTTMDKLHAPSTVEEPSNISSELTQSTAKIEFPDAGSNPIDSNKTQITEQIGNKRKMPDVEPSNHKDVDAVTDSPSSAAKCARVDDEEA >Ma05_p12720.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9184728:9192862:-1 gene:Ma05_g12720 transcript:Ma05_t12720.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKVNGLRTMYAWKEMEAHSALPARKVNVEQLCTSGGSGVVSSSLPVLPTTVEEFPKLPDSQNILTGKQIRSDQLTSHYTPFVADSGNVQPLYPSPSGFSSDLDISSILPQERHTNSTPLVSQSLNEVCLPSAYSSYTGAFQVSTSNIPKDPTEVTWCPDSDQGILNCSDDGITGNNQIQSNSIVMSDDLNKQNEWWSEIMNEDWEELLNDKTVAESQPKVVYPAAQSSQNMSVHQLQTHQSVPCHSGEISAVTGPLSTATAAATKPRMRWTPELHECFVNAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPDLSEGMSEKKITQSQEIPSLDLKTGIDLTEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFDKQCKTTMDKLHAPSTVEEPSNISSELTQSTAKIEFPDAGSNPIDSNKTQITEQIGNKRKMPDVEPSNHKDVDAVTDSPSSAAKCARVDDEEA >Ma05_p12720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9184728:9191371:-1 gene:Ma05_g12720 transcript:Ma05_t12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGLRTMYAWKEMEAHSALPARKVNVEQLCTSGGSGVVSSSLPVLPTTVEEFPKLPDSQNILTGKQIRSDQLTSHYTPFVADSGNVQPLYPSPSGFSSDLDISSILPQERHTNSTPLVSQSLNEVCLPSAYSSYTGAFQVSTSNIPKDPTEVTWCPDSDQGILNCSDDGITGNNQIQSNSIVMSDDLNKQNEWWSEIMNEDWEELLNDKTVAESQPKVVYPAAQSSQNMSVHQLQTHQSVPCHSGEISAVTGPLSTATAAATKPRMRWTPELHECFVNAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPDLSEGMSEKKITQSQEIPSLDLKTGIDLTEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFDKQCKTTMDKLHAPSTVEEPSNISSELTQSTAKIEFPDAGSNPIDSNKTQITEQIGNKRKMPDVEPSNHKDVDAVTDSPSSAAKCARVDDEEA >Ma05_p12720.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9184728:9192746:-1 gene:Ma05_g12720 transcript:Ma05_t12720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSTFGSTSEEGAFQVSTSNIPKDPTEVTWCPDSDQGILNCSDDGITGNNQIQSNSIVMSDDLNKQNEWWSEIMNEDWEELLNDKTVAESQPKVVYPAAQSSQNMSVHQLQTHQSVPCHSGEISAVTGPLSTATAAATKPRMRWTPELHECFVNAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPDLSEGMSEKKITQSQEIPSLDLKTGIDLTEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFDKQCKTTMDKLHAPSTVEEPSNISSELTQSTAKIEFPDAGSNPIDSNKTQITEQIGNKRKMPDVEPSNHKDVDAVTDSPSSAAKCARVDDEEA >Ma05_p12720.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9184728:9192916:-1 gene:Ma05_g12720 transcript:Ma05_t12720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYAWKEMEAHSALPARKVNVEQLCTSGGSGVVSSSLPVLPTTVEEFPKLPDSQNILTGKQIRSDQLTSHYTPFVADSGNVQPLYPSPSGFSSDLDISSILPQERHTNSTPLVSQSLNEVCLPSAYSSYTGAFQVSTSNIPKDPTEVTWCPDSDQGILNCSDDGITGNNQIQSNSIVMSDDLNKQNEWWSEIMNEDWEELLNDKTVAESQPKVVYPAAQSSQNMSVHQLQTHQSVPCHSGEISAVTGPLSTATAAATKPRMRWTPELHECFVNAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPDLSEGMSEKKITQSQEIPSLDLKTGIDLTEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFDKQCKTTMDKLHAPSTVEEPSNISSELTQSTAKIEFPDAGSNPIDSNKTQITEQIGNKRKMPDVEPSNHKDVDAVTDSPSSAAKCARVDDEEA >Ma05_p12720.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9184728:9191370:-1 gene:Ma05_g12720 transcript:Ma05_t12720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSTFGSTSEEGAFQVSTSNIPKDPTEVTWCPDSDQGILNCSDDGITGNNQIQSNSIVMSDDLNKQNEWWSEIMNEDWEELLNDKTVAESQPKVVYPAAQSSQNMSVHQLQTHQSVPCHSGEISAVTGPLSTATAAATKPRMRWTPELHECFVNAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPDLSEGMSEKKITQSQEIPSLDLKTGIDLTEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFDKQCKTTMDKLHAPSTVEEPSNISSELTQSTAKIEFPDAGSNPIDSNKTQITEQIGNKRKMPDVEPSNHKDVDAVTDSPSSAAKCARVDDEEA >Ma05_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9184728:9193003:-1 gene:Ma05_g12720 transcript:Ma05_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNFPESYRLRLRLPIDDDDSLLPRPLEFAEASYAGEWSTDNVCMERNGSTFGSTSEEGAFQVSTSNIPKDPTEVTWCPDSDQGILNCSDDGITGNNQIQSNSIVMSDDLNKQNEWWSEIMNEDWEELLNDKTVAESQPKVVYPAAQSSQNMSVHQLQTHQSVPCHSGEISAVTGPLSTATAAATKPRMRWTPELHECFVNAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPDLSEGMSEKKITQSQEIPSLDLKTGIDLTEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFDKQCKTTMDKLHAPSTVEEPSNISSELTQSTAKIEFPDAGSNPIDSNKTQITEQIGNKRKMPDVEPSNHKDVDAVTDSPSSAAKCARVDDEEA >Ma05_p12720.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9184728:9193003:-1 gene:Ma05_g12720 transcript:Ma05_t12720.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNFPESYRLRLRLPIDDDDSLLPRPLEFAEASYAGEDAQKPIPGFAFDSVTFGVCSFSTPLEPFVRGPENFSHVVSVLDKNAKQGEWSTDNVCMERNGSTFGSTSEEGAFQVSTSNIPKDPTEVTWCPDSDQGILNCSDDGITGNNQIQSNSIVMSDDLNKQNEWWSEIMNEDWEELLNDKTVAESQPKVVYPAAQSSQNMSVHQLQTHQSVPCHSGEISAVTGPLSTATAAATKPRMRWTPELHECFVNAVNQLGGSEKATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPDLSEGMSEKKITQSQEIPSLDLKTGIDLTEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFDKQCKTTMDKLHAPSTVEEPSNISSELTQSTAKIEFPDAGSNPIDSNKTQITEQIGNKRKMPDVEPSNHKDVDAVTDSPSSAAKCARVDDEEA >Ma04_p33460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33066210:33067957:-1 gene:Ma04_g33460 transcript:Ma04_t33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QWRF7 [Source:Projected from Arabidopsis thaliana (AT4G25190) UniProtKB/TrEMBL;Acc:A0A178V0Y0] MENARPPSPRSPLLLRSRSGACGLTRRPASPSRQSVPLFSSFSNSAPAALTRSHSAAKHRPQVTDPSATSCCLSKENRKSISCGLPPPDPPASLRKPRTAPSAWALSPGRSPPRSTSAPAQRDARKTKTGFSAWARSPSQSKPSPDPSRAATVVTAGGKKGGGGGVLGLFRRRKEAAPGEEESHQLRLLTSRLIQWRFANARAVAAVEAARCNAEEKLFYAWLRIYELRNLVAAKRILVQRRKQKMKLPQILRPQLRLLSQWEPHAKKHVDAVATLVRLLGAAAFSLPLVEGAEANSVSLRRCLSSSMEAMTDIAATAGVFYAKVGDIDTMLYELVETIRLEIQGLEELMEMCTSVTSLEMHEVSLRAHMIQAVKEEDELILCPHHGVAISTHAFTSIRVFPYQRLVGTIY >Ma05_p25250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37227460:37231458:-1 gene:Ma05_g25250 transcript:Ma05_t25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLKPKPKTLVPLRSLRPFSSSSSPPPPSDSDAENETSNSAAVPPSRPSYSSYFSEIKERLKAPPAPPRRIPADPPPPPSLSSPNSGPATSLEDIRKHLAGFRLRAGGAAPPASGDRPASSPPISFQELFKHNVLGKAGGGVEAAEKGEKGEKGEKLSFDSIRESLRQFRSSSREHMGLRGRDGPSRSSFSLETFQDSFRGRMGGTEKGPSILGGENLPDSIFGKEMREKEAGEWEQKKVLKTEFVKMYSYNELGEKLRKLRPEDASKANKDWFTLTELNERLAKLREMEEKETESRMGGVSFRDLRESLVRLKEADANKKANVQRLSIIANLTGQATPTFMLKPPQEHLLERYFHPDHMSSAEKMKLELKRVRDEFKMSESDCGSAPVQVAQLTTKIKHLNTVLPKKDKHSRKGLQQMIQRRKKLLKYLRRTDWDSYCLVLSKLGLRDVPPNSKAKYKN >Ma10_p24400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33017214:33017722:1 gene:Ma10_g24400 transcript:Ma10_t24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFFALPPPQNPRSFLEPLIVALACNPTTSTIKPVSPHLSILTHGDDGSRLRAGLCHEEAQQGEDEESGREDGNGG >Ma10_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26503139:26506640:1 gene:Ma10_g13870 transcript:Ma10_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAGSSSGHATARWSLGGMTALVTGGTRGIGHAVVEELAGLGASVHTCSRKEADLTECLRKWAAKGFRVTGSVCDLSSREQRDQLIREVSATFNGKLNILVNNVGTNIRKPTVEYSAEEYSFLMATNLESAYHLCQLAHPLLKASGMGSIVFISSVAGVVALSSGSIYAAAKAAMNQMTKNLACEWAKDNIRTNSVSPWYIKTKLVEHLLNDKDSLQRIIDRTPLGRVGEPEEVSSLVGYLCLPAASYITGQIISVDGGMTVNGFYPSG >Ma06_p14330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9820530:9821920:1 gene:Ma06_g14330 transcript:Ma06_t14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHRQRREFSTGDQFSFANPTHGASDGQTMERATVAAGTKAELGPWTRRASLNLSGYSQRDGDEDFRWLPKEDGDDSGTPSPPLWKNVGLPATRSADNSPAHKHHHGMQAFPTSRAEEIARYRQEMLDLVRDMPEPAYELSLRDMVEAPRVAKTVQEMIEKRRTESKDRSKEKRRLLRKESIETGVFLKMFVPISIRGGRRKSFGGSNTCSKVSPRPVLAEAEKGGLEVTEGEWWEKELGGRGSSSSSSSSSKSSSSSCSKSSSGSSTSRSGSRKMNGCYAFFLTNKSSSKEI >Ma07_p15150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11405696:11409235:1 gene:Ma07_g15150 transcript:Ma07_t15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRRRSPLLHPACFLLARPHSAGIPVRPPNPPSPDNNERDEGFVKILCDILRSRPQGWRAALASPFVANRLRPRHVERLLLILHHQRHDDPRLALRFFDFLGLHHRFPHSPLSFALLAHALLRAGLHWPASSLLQTLASHPGVLPVDAFRAVAEAGRLCGAPRSTIAFDFLVQAYLQIGRPLDALAVLSLLPEPRTFSDVMHGLAKARMFDLVFAVFDEAARSGITLDVFIYTAVVKAFCELKDLNSAKEIISRMEADGANSSVVPYNVLIHGLCKNRKVTEAMEIKNSLGSSGLKANDVTYCTLVLGLCKVEELETAVEITKEMIDLGLVPTKAVCSTVVDGLRRKGKLEEAFGLVHKLGNVGLIPNLFAYNALINTLCKSGKFDEAESLFAKMKEKGLSPDDVTYSILIDSLCKRGKLNNALQLFDKLKEEGLRVTIYPYNSLINGHCRSGGLTKAEVLFREMTEQGLSPNELTYTSLITGYCREGDLASASKLHRQMPENGLTWNTHTFTALISGYCRAKLMVKAAGLFDEMVELNVLPNQVTYNVMIEGYCSIGDTATAFQFYDGMVKKGITPDNYTFRSLITGLCMCGRVAEAKEFVDDLHSEHQALNEMSCSSLLHGFCKQERIDDAYDLWKEMVERGVNMDLVCYSVLIYGSLMQDKVRSHSLLREMINKGIKPDVILYTNIVDAYSKLEKFSEALVIWDKMAAEGCQPNVVTYNVLINGLCKAGFFNKALMLCKEMLVSGVLPNSVTFGSLLDCLTREGNMNEAVMLHRVMLNGILANTVTYNLLIRGFCRTGRIQDAASLVGHMVQNNIFPDCISYSTLIYEYCRTGNLNEAFQLWDEMLRSGLKPDTLAYNLLIRGCIISGELAKASALYDDMIRCNVKPNWATYTSLIHGICSMGTKGRQTFLIE >Ma01_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10805841:10806506:-1 gene:Ma01_g14820 transcript:Ma01_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASIRFSVLALALMSLAVVSFAQGPAAAPSKPPATAPVKPPAPAPLTPPAAAPSKPPATAPVKPPAAAPVKPPATSPVSTPPSPAPSAKTPAASPPGPPTSASPSESPASTPASVSTPPATAPTTPAGDSGAFALPVSWTAVVAAAAAVALAL >Ma03_p22960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27631787:27633766:1 gene:Ma03_g22960 transcript:Ma03_t22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWDSVLCDSMYSGYVRSSIGDEAIKLFVVALRKSVLPTEFTFATILNSRMCFCSTDLGMQIHCWVWKTGFDADMIVANALVDMYAKLGFVECAMKIFSKMVAKDLVSWNTMIMGLAKNGQGVEALRIFRELQGNGVKPDRITLVGVLLACSYGHMVHEGKRIFSLMEKKYGVMRDLEHYACMVDMMGRAGMLKETLNIIETSPHSHSLSLWNLLLNVCRIHGDLVFATIIAEKLLDLEARFSLPYLVLAQMYGVRGRWESMAGVLKAMEERGVRKVREYSWICVRKHIYVFKTDQLFHWEGKAVYSMLQLLDWVMNGVGCVHEESTLYGDCCGE >Ma11_p05280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4039818:4041549:-1 gene:Ma11_g05280 transcript:Ma11_t05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTLAGPRPETGLGRLRKHSSFGFRSNSGGKAEGAAAGRGIAGSDTGGGDEVAVRVTRSIMIKRPAGCPSPGNATPPASPAGSTPPISPFSGGREWNRFKRKSPFDAYERVGRGEGGGMVGVGTQDAAAPHEV >Ma11_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4039818:4041547:-1 gene:Ma11_g05280 transcript:Ma11_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTLAGPRPETGLGRLRKHSSFGFRSNSGGKEGAAAGRGIAGSDTGGGDEVAVRVTRSIMIKRPAGCPSPGNATPPASPAGSTPPISPFSGGREWNRFKRKSPFDAYERVGRGEGGGMVGVGTQDAAAPHEV >Ma05_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9291643:9293067:-1 gene:Ma05_g12840 transcript:Ma05_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSTVDVSYNELDGPVPDSPAFRRYPAEWFVHNIHLCGVVRGLPPCVTLGTPTTEDRSKRHKIVIVTIIASVVFFLLLFIFIGAFRKREKDTVPVDNNHIKEGAFCILNFVGRDVYKDIIEATEDFDATYCIGSGAYGSVYRAELASGELLAVKNIHLPDTEGTCDEKPFQTEIKTLTQIRHRNIVKLYGFCSSPRRKFLVYEYMERGSLGSVLRSETAAELDWVKRVSIVKDVARALFYMHHDCTPPIVHRDITSNNILLDSEFKACVSDFGIARLLKLDSSNWTMLAGTRGYLAPELAYTMRVTTKCDVYSFGVVTLELLVGAYREELISILSSPSGNNVFVKDVLDQRLSLPVARVADEVIAVLTAALSCANNSPESRPTMKQVYENICAVQAPQGCRSLDALRLSDLMNADI >Ma10_p15770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27739344:27744279:-1 gene:Ma10_g15770 transcript:Ma10_t15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLRSPSHFPSSPRRALSCDPNDGSIGLLSPSNSCGEGEEEEGRRGGAGGASERKRGRSRRGGGGAEVEPVGEEEEEEEEEEQQQLSVLALLLTVFRKSLLGCKTDGAGEEDFGSMDIGWPTDVRHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQCSYDARGNSVPTILLLMQRRLYEQGGLRSEGIFRINADNSQEEYVRDQLNNGIVPEGIDVHCLAGLIKAWFRELPTGVLDTLSAEQVMQCQTEEDCARLARLLPTTEAALLDWAINLMADVVQEEQENKMNARNIATVFAPNMTQMADPLTALMYAVQVMNFLRMLILKTLKDRQEPTLEDASVSNTDPSDDNGHDSPQIHLATGRDEATEQVHVTHEPVLDSLAQIPEEKSAEDEAADSPQPSHDDTTSRGAALHLTTENSAENSAPSGGSSSRGQEFAANDHNSVHSNCRRKKTGRLNSQNHRKGRKAKAQTASRASLPAEKSKVERIVSRIDSKVERVEAWR >Ma04_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6883936:6886269:1 gene:Ma04_g09700 transcript:Ma04_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFVVLLAPLLLLLPPSASCVDVRFDFASFSFLNLTLLGDSYLRNGTIGLTRETDVPSSSAGSAICTLPIRFLDPETDAGAASFATKFSFSVTNPNPDSYGDGLTFFVSPGNATIGSTGGYLGLFNPSGNGSGGGNGSIIAIEFDARLDVGLGDPSGNHVGLDVDSPISKASVDLTPYGIDLKSGKLITAWVDYHQNVTMLKVWLGYTDVKPKDPVLITTIDLSKHFAEYMYVGFSASTEGSTEVHTIEGWIFQAFSSPAVANTTPSVPRNASGGSWSAIPAIPIADARDSPHRMLGLGLAIIGPATFAVAFAVLVWVSAKKWLEKKASKEVFKSEFLKGPRKFSYKELSSATRGFHSSRIVGNGAFGTVYKATLPGSGLTYAVKRSKQAHQSKKEFMGELSIIARLRHKNLVQLEGWCTEKNELLLVYEFMTNGSLDKILYRGQESGTSSMFKWSQRYNAAIGIASVLTYLHQECEQQVIHRDIKTSNIMLDANFNPRLGDFGLARLMDHNESPVSTLTAGTMGYLAPEYLQYGKATEKTDVFSYGVVILEICCGRRPIDSKDNKEHKLVNLVDWVWRLYSEDRLIEAADSKLNGEFDEGEMLGLLLVGLSCANPNCAERPTMRRVLQILNREAEPMAVPKKKPLLMFTSAASFSMKEIVSDIEGSTVITISTQI >Ma10_p28160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35163821:35164281:-1 gene:Ma10_g28160 transcript:Ma10_t28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHRDRAPSPPPQERGDEHDLLTWLLNNPLQAFALLFLTFNVAVSARTSLGDPSTLSFVLFSYVDLLLLFFLLRKFEQLGPGDSPEKRRRMKAAVWLLTAALVLGFSWRVAGIMPWGLAAVVWVMGGSVIVAGFYGLFLHKEHM >Ma02_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19484941:19493453:1 gene:Ma02_g09580 transcript:Ma02_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLGKPASAESCDPFSSPSHKKKKEEATKKETEAEAGATVTEEKAPRPWASPFFPFYSPSPAHSLFAKRSPSAASQGGGSATSTPGRFFKRPFPPPSPAKHIRAVLARRLGSVKPNEAAIPADDREERRAASGLDKNFGFSKGFASKYEIGEEVGRGHFGYTCTAKVKKGESKGQQVAVKVIPKAKMTTAIATEDARREVKILRALTGHNNLVHFYDAYEDNDNVYIIMELCEGGELLDRILSRGGTYLENDAKAVMLQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFKAVLKADPSFTEPPWPSMSPEAKDFVKRLLSKDPRRRMTAAQALCHPWIRNYNDIKVPLDILVFRLIKSYLRSSSLRKAALRALSKTLTVDELFYLKRQFALLEPTKHGSINLENIKMALMKNVTDQMKELHVQDILVSLGALQYRRMDFDEFCAATLSVHQLEGLDRWEQHARCAYELFEKDGNRAIVIEELASELGLSPSVPVHVVLRDWIRHTDGKLSFLGFVKLLHGVSSRSAQSLAKAR >Ma02_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15835901:15839201:-1 gene:Ma02_g04380 transcript:Ma02_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEELDQEEAPKSNSNSVEAEQLSPKEAQKDPSIPVKGTEENEQSSKAESEDNSVDGSDQRPPDGAALDHNSIVESEAHSVDGSDQNSPRGVLDSTSSAESDGNSSSSDTSSVDRSIAPCGTRLESHSVQWRNLIAGFIMRKKRSMTRLSTFPPATGRSSLRRALERIRSSKDGTESGRIVVEVKMARPSWRSFAYEELAAATDGFSPDKLVGKGGHAEVYKGCLGDGQLVAVKRLIKRESEEERIGDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASLLHGSKEALDWRVRFQIAAGIAEGLRYLHEGCQRRIIHRDIKASNILLTEDFQPQISDFGLAKWLPDKWNHHVVFPIEGTFGYLAPEYFMHGVVNEKTDVFAFGVLLLELITGRRAIDSSRQSLVIWARPLLDANSVKQLVDPSLEDSYDAKELARALQVASACIHHLSTSRPTMNEVVRLLRGEEGLMEIIGKESNYKVARPPLIDTCDMDDYTSSRYLNDLHRHKQLALEQ >Ma02_p04380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15835901:15839201:-1 gene:Ma02_g04380 transcript:Ma02_t04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKEELDQEEAPKSNSNSVEEQLSPKEAQKDPSIPVKGTEENEQSSKAESEDNSVDGSDQRPPDGAALDHNSIVESEAHSVDGSDQNSPRGVLDSTSSAESDGNSSSSDTSSVDRSIAPCGTRLESHSVQWRNLIAGFIMRKKRSMTRLSTFPPATGRSSLRRALERIRSSKDGTESGRIVVEVKMARPSWRSFAYEELAAATDGFSPDKLVGKGGHAEVYKGCLGDGQLVAVKRLIKRESEEERIGDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASLLHGSKEALDWRVRFQIAAGIAEGLRYLHEGCQRRIIHRDIKASNILLTEDFQPQISDFGLAKWLPDKWNHHVVFPIEGTFGYLAPEYFMHGVVNEKTDVFAFGVLLLELITGRRAIDSSRQSLVIWARPLLDANSVKQLVDPSLEDSYDAKELARALQVASACIHHLSTSRPTMNEVVRLLRGEEGLMEIIGKESNYKVARPPLIDTCDMDDYTSSRYLNDLHRHKQLALEQ >Ma03_p05470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3583879:3589539:-1 gene:Ma03_g05470 transcript:Ma03_t05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWISLAFGVLSWICLLVSVGGIGANWGTQASHPLSPTTVVQMLKENGFQKVKLFDAEEGTMSALRKSGLEVMVGIPNDMLAMLATSMKAANNWVSNNVSAYINDGVNIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQGALIKAGLSNQVKVTTPQNADVYASPSGRPSDGDFRSDIRDLMLAIVKFLNDNAAPFTVNIYPFISLYSDPNFPVDYAFFEGSSSAVVDGSVTYSNMFDANLDTLVWAMKKNGFPNLPIIVGEIGWPTDGDMNANVQYAQKFNQGFMNHISSGQGTPMRAGPIDAYLFSLIDEDQKSIQPGNFERHWGIYTYDGWPKYQLNLGTTKTGTLLRAKNIQYLDKKWCVLKPSVNLDNSKVAPSISYACANADCTSLGYKTSCGDLDARGNISYAFNSFYQKNDQDDVACGFENLATTTNKDPSTGTCRFGVMIIADSGIRYQGAGLILSVLLSLFLTLF >Ma03_p05470.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3583879:3589319:-1 gene:Ma03_g05470 transcript:Ma03_t05470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGWISLAFGVLSWICLLVSVGGIGANWGTQASHPLSPTTVVQMLKENGFQKVKLFDAEEGTMSALRKSGLEVMVGIPNDMLAMLATSMKAANNWVSNNVSAYINDGVNIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQGALIKAGLSNQVKVTTPQNADVYASPSGRPSDGDFRSDIRDLMLAIVKFLNDNAAPFTVNIYPFISLYSDPNFPVDYAFFEGSSSAVVDGSVTYSNMFDANLDTLVWAMKKNGFPNLPIIVGEIGWPTDGDMNANVQYAQKFNQGFMNHISSGQGTPMRAGPIDAYLFSLIDEDQKSIQPGNFERHWGIYTYDGWPKYQLNLGTTKTGTLLRAKNIQYLDKKWCVLKPSVNLDNSKVAPSISYACANADCTSLGYKTSCGDLDARGNISYAFNSFYQKNDQDDVACGFENLATTTNKDPSTGTCRFGVMIIADSGIRYQGAGLILSVLLSLFLTLF >Ma03_p05470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3583879:3589319:-1 gene:Ma03_g05470 transcript:Ma03_t05470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWISLAFGVLSWICLLVSVGGIGANWGTQASHPLSPTTVVQMLKENGFQKVKLFDAEEGTMSALRKSGLEVMVGIPNDMLAMLATSMKAANNWVSNNVSAYINDGVNIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQGALIKAGLSNQVKVTTPQNADVYASPSGRPSDGDFRSDIRDLMLAIVKFLNDNAAPFTVNIYPFISLYSDPNFPVDYAFFEGSSSAVVDGSVTYSNMFDANLDTLVWAMKKNGFPNLPIIVGEIGWPTDGDMNANVQYAQKFNQGFMNHISSGQGTPMRAGPIDAYLFSLIDEDQKSIQPGNFERHWGIYTYDGWPKYQLNLGTTKTGTLLRAKNIQYLDKKWCVLKPSVNLDNSKVAPSISYACANADCTSLGYKTSCGDLDARGNISYAFNSFYQKNDQDDVACGFENLATTTNKDPSTGTCRFGVMIIADSGIRYQGAGLILSVLLSLFLTLF >Ma03_p05470.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3583879:3589319:-1 gene:Ma03_g05470 transcript:Ma03_t05470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGWISLAFGVLSWICLLVSVGGIGANWGTQASHPLSPTTVVQMLKENGFQKVKLFDAEEGTMSALRKSGLEVMVGIPNDMLAMLATSMKAANNWVSNNVSAYINDGVNIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQGALIKAGLSNQVKVTTPQNADVYASPSGRPSDGDFRSDIRDLMLAIVKFLNDNAAPFTVNIYPFISLYSDPNFPVDYAFFEGSSSAVVDGSVTYSNMFDANLDTLVWAMKKNGFPNLPIIVGEIGWPTDGDMNANVQYAQKFNQGFMNHISSGQGTPMRAGPIDAYLFSLIDEDQKSIQPGNFERHWGIYTYDGWPKYQLNLGTTKTGTLLRAKNIQYLDKKWCVLKPSVNLDNSKVAPSISYACANADCTSLGYKTSCGDLDARGNISYAFNSFYQKNDQDDVACGFENLATTTNKDPSTGTCRFGVMIIADSGIRYQGAGLILSVLLSLFLTLF >Ma03_p05470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3583879:3589319:-1 gene:Ma03_g05470 transcript:Ma03_t05470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWISLAFGVLSWICLLVSVGGIGANWGTQASHPLSPTTVVQMLKENGFQKVKLFDAEEGTMSALRKSGLEVMVGIPNDMLAMLATSMKAANNWVSNNVSAYINDGVNIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQGALIKAGLSNQVKVTTPQNADVYASPSGRPSDGDFRSDIRDLMLAIVKFLNDNAAPFTVNIYPFISLYSDPNFPVDYAFFEGSSSAVVDGSVTYSNMFDANLDTLVWAMKKNGFPNLPIIVGEIGWPTDGDMNANVQYAQKFNQGFMNHISSGQGTPMRAGPIDAYLFSLIDEDQKSIQPGNFERHWGIYTYDGWPKYQLNLGTTKTGTLLRAKNIQYLDKKWCVLKPSVNLDNSKVAPSISYACANADCTSLGYKTSCGDLDARGNISYAFNSFYQKNDQDDVACGFENLATTTNKDPSTGTCRFGVMIIADSGIRYQGAGLILSVLLSLFLTLF >Ma03_p05470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3583879:3589319:-1 gene:Ma03_g05470 transcript:Ma03_t05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWISLAFGVLSWICLLVSVGGIGANWGTQASHPLSPTTVVQMLKENGFQKVKLFDAEEGTMSALRKSGLEVMVGIPNDMLAMLATSMKAANNWVSNNVSAYINDGVNIRYVAVGNEPFLQTYNGSFLQTTFPALQNIQGALIKAGLSNQVKVTTPQNADVYASPSGRPSDGDFRSDIRDLMLAIVKFLNDNAAPFTVNIYPFISLYSDPNFPVDYAFFEGSSSAVVDGSVTYSNMFDANLDTLVWAMKKNGFPNLPIIVGEIGWPTDGDMNANVQYAQKFNQGFMNHISSGQGTPMRAGPIDAYLFSLIDEDQKSIQPGNFERHWGIYTYDGWPKYQLNLGTTKTGTLLRAKNIQYLDKKWCVLKPSVNLDNSKVAPSISYACANADCTSLGYKTSCGDLDARGNISYAFNSFYQKNDQDDVACGFENLATTTNKDPSTGTCRFGVMIIADSGIRYQGAGLILSVLLSLFLTLF >Ma05_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25838618:25839698:-1 gene:Ma05_g18980 transcript:Ma05_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTVYGPPISTAVSRVLACLLEKDVDFKLVNVDMAKGQHKSPDYLKLQPFGQVPAFQDEHATLFESRAICRYICDKYANRGNQSLFGRNGGGPAERAQVEQWLEAESQSFNPPSSALVFQLAFAPRMGLPQDPAAIELNQAKLAKVLDVYERRLGESRFLAGDEFALADLAHLPNAHYICAAGKDDMFASRKNVARWWEEISTRPSWKKVVDMFSAPPA >Ma09_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3445970:3447259:-1 gene:Ma09_g05330 transcript:Ma09_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNDWDLRAVVRGCSATAVDPPDPFSSFPSPLPQKGGTGEFFGFPDPMETPTARHQLEEHCKSCIKPHHQRQLQPPPPVPKPSYPSCSPAASVFPAFATAPHQFQQQPRQPHPPVSRNPLRSKRRKNQHKKVVCQVPADGISPDMWAWRKYGQKPIKGSPYPRGYYRCSSSKGCQARKQVERSRAEPGMLVITYTAEHDHPVPTHRNSLSGSTRQKLPHPSSAAQPPLASSGCRGDGENPLSPGSHPSSSPLSSPAAAAPRANSVENELFPRGRPRILKHSEEEEEEDELTVGDVEMMGEDDMLFLGMEVIDGSTTSTGTTKSPGRVSVSSAFFADDGGFEEYFFQSTWLANSNAAAAAGGGS >Ma07_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:170973:178132:-1 gene:Ma07_g00140 transcript:Ma07_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKSSFSGSTTMTLKDFHGGSIPSQLPLPSAPSASASASARQSDRPGAWGTITAVASGRSDYHHHLLRPRPGSAGAASSSARGLDERPPALLPHSTPNGGHFDEDERKPFDASSAPRRSPAAPDNTLRTPPPTRSDTKRPISSQVVPSPATVPVPVSAFSPPSGNPGSASSAWGQRKEVGTEPPPPLPTQPKATMWSASRLAQASAVEKVSSGRWQPRPPEGEAIRSQESEGLDRRIGEANRVMDDVYRDRQTERPRSVSSLVAYAEVKETTLPGYHTDRESDQERARSPVYPEMEKNVVGFSSEGISRPGSSDGRFGGSNLYQQGVMEVLERPKLTLLPRRKPLESPDIHARGFDSKQVYQTSVSLVQVKNVHEMHGSTNLPRPGPACAEEGSRAAERPRLNLRPRTQPIEQSDGNADRGRQTVFGGARPRELILKERGIDVASNDLEMTTPPNRDDTPKNDSKIEPNATTQSRERSERLPLPAGQRTEKDLERRGYRPDSEKVDPHKGSWRNDYRKNSGGNEKPLEQPRSDTHTWRKPVEQPKPDIQALRSGKAASAMELAQAFSRSVSDAKLENDFTTLRSTPGQTQPPFSRLTNTRELYSGPAQRQINGY >Ma07_p00140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:170973:178132:-1 gene:Ma07_g00140 transcript:Ma07_t00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKSSFSGSTTMTLKDFHGGSIPSQLPLPSAPSASASASARQSDRPGAWGTITAVASGRSDYHHHLLRPRPGSAGAASSSARGLDERPPALLPHSTPNGGHFDEDERKPFDASSAPRRSPAAPDNTLRTPPPTRSDTKRPISSQVVPSPATVPVPVSAFSPPSGNPGSASSAWGQRKEVGTEPPPPLPTQPKATMWSASRLAQASAVEKVSSGRWQPRPPEGEAIRSQESEGLDRRIGEANRVMDDVYRDRQTERPRSVSSLVAYAEVKETTLPGYHTDRESDQERARSPVYPEMEKNVVGFSSEGISRPGSSDGRFGGSNLYQQGVMEVLERPKLTLLPRRKPLESPDIHARGFDSKQVYQTSVSLVQVKNVHEMHGSTNLPRPGPACAEEGSRAAERPRLNLRPRTQPIEQSDGNADRGRQTVFGGARPRELILKERGIDVASNDLEMTTPPNRARDDTPKNDSKIEPNATTQSRERSERLPLPAGQRTEKDLERRGYRPDSEKVDPHKGSWRNDYRKNSGGNEKPLEQPRSDTHTWRKPVEQPKPDIQALRSGKAASAMELAQAFSRSVSDAKLENDFTTLRSTPGQTQPPFSRLTNTRELYSGPAQRQINGY >Ma05_p15280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11362706:11368011:-1 gene:Ma05_g15280 transcript:Ma05_t15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWVLASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTIRVWDIGALRKKVAPADDILRLSQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHTNNVSCVMFHAKMEVIVSNSEDKSIRIWDANKRTGIQTIRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTLFYVKDRFLRLYEFSTQKDNQVVPIRKPGSVSLNQGPRTLSYSPTENAVLICSDVDGGTYELYIVPKDASGRSDYMQEAKKGAGGSAVFIARNRFAVLDRSSNQVVVKNLKNEIVKKGLLPVASDAIFYAGTGNVLCRAEDRVAIFDLQQRVVLGELQTPSVKYVIWSSDMESVALLSKHAIVIANKKLVHRCTLHETIRIKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLEIPIYITKVSGSNIYCLDRDGKNQVISIDATEYIFKLSLLRKRYDLVMSMIRNSQLCGQAVIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYKLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLITGNTEKLSKMLKIAEIKNDIMGQFHNALYLGDIQERVKILENAGHLPLAYVTAATHGLKEVADRLATELGENVPSLPEGKPRSLLLPPAPLMCCGDWPLLRVMRGIFDNGLDLGRAGQEEEEDAPGADWGDEELDIVDIEGAMQNGDIVADIEDGEAIEENEEEGGWDLEDLELPADVDTPKAAGNSRSSLFVAPTPGMPVSQIWIQKSSLAGEHVAAGNFDTAMRLLSRQLAIKNFAPLKPSFMDLHAGSHTYLRALSTAPVISFAVEKGWSESASPNVRGPPALVFKFSQMDEKLKAAYRATTEGKFPDALRQFLNILHTIPLIVVDSRREVDEVKELIEIAREYVLGLKIELQRKEIKDNLVRQQELAAYFTNCKLQKIHMRLVLTSAMTICYKGGNCSTAANFARMLLENSPTEVQAKKARQLLQHCGDKKDVNQLNYDYRNPFVVCGATFVPIYRGQKDVSCPYCGARFVPTIEGQLCAVCELAVVGADASGLLCSPTQIR >Ma05_p15280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11362706:11368011:-1 gene:Ma05_g15280 transcript:Ma05_t15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWVLASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTIRVWDIGALRKKVAPADDILRLSQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHTNNVSCVMFHAKMEVIVSNSEDKSIRIWDANKRTGIQTIRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTLFYVKDRFLRLYEFSTQKDNQVVPIRKPGSVSLNQGPRTLSYSPTENAVLICSDVDGGTYELYIVPKDASGRSDYMQEAKKGAGGSAVFIARNRFAVLDRSSNQVVVKNLKNEIVKKGLLPVASDAIFYAGTGNVLCRAEDRVAIFDLQQRVVLGELQTPSVKYVIWSSDMESVALLSKHAIVIANKKLVHRCTLHETIRIKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLEIPIYITKVSGSNIYCLDRDGKNQVISIDATEYIFKLSLLRKRYDLVMSMIRNSQLCGQAVIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYKLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLITGNTEKLSKMLKIAEIKNDIMGQFHNALYLGDIQERVKILENAGHLPLAYVTAATHGLKEVADRLATELGENVPSLPEGKPRSLLLPPAPLMCCGDWPLLRVMRGIFDNGLDLGRAGQEEEEDAPGADWGDEELDIVDIEGAMQNGDIVADIEDGEAIEENEEEGGWDLEDLELPADVDTPKAAGNSRSSLFVAPTPGMPVSQIWIQKSSLAGEHVAAGNFDTAMRLLSRQLAIKNFAPLKPSFMDLHAGSHTYLRALSTAPVISFAVEKGWSESASPNVRGPPALVFKFSQMDEKLKAAYRATTEGKFPDALRQFLNILHTIPLIVVDSRREVDEVKELIEIAREYVLGLKIELQRKEIKDNLVRQQELAAYFTNCKLQKIHMRLVLTSAMTICYKGGNCSTAANFARMLLENSPTEVQAKKARQLLQHCGDKKDVNQLNYDYRNPFVVCGATFVPIYRGQKDVSCPYCGARFVPTIEGQLCAVCELAVVGADASGLLCSPTQIR >Ma05_p15280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11362706:11368035:-1 gene:Ma05_g15280 transcript:Ma05_t15280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWVLASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKTHRCLFTLLGHLDYIRTVQFHDEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTIRVWDIGALRKKVAPADDILRLSQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDSKAWEVDTLRGHTNNVSCVMFHAKMEVIVSNSEDKSIRIWDANKRTGIQTIRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTLFYVKDRFLRLYEFSTQKDNQVVPIRKPGSVSLNQGPRTLSYSPTENAVLICSDVDGGTYELYIVPKDASGRSDYMQEAKKGAGGSAVFIARNRFAVLDRSSNQVVVKNLKNEIVKKGLLPVASDAIFYAGTGNVLCRAEDRVAIFDLQQRVVLGELQTPSVKYVIWSSDMESVALLSKHAIVIANKKLVHRCTLHETIRIKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLEIPIYITKVSGSNIYCLDRDGKNQVISIDATEYIFKLSLLRKRYDLVMSMIRNSQLCGQAVIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYKLGIEALRQGNTSIVEYAYQRTKNFERLSFLYLITGNTEKLSKMLKIAEIKNDIMGQFHNALYLGDIQERVKILENAGHLPLAYVTAATHGLKEVADRLATELGENVPSLPEGKPRSLLLPPAPLMCCGDWPLLRVMRGIFDNGLDLGRAGQEEEEDAPGADWGDEELDIVDIEGAMQNGDIVADIEDGEAIEENEEEGGWDLEDLELPADVDTPKAAGNSRSSLFVAPTPGMPVSQIWIQKSSLAGEHVAAGNFDTAMRLLSRQLAIKNFAPLKPSFMDLHAGSHTYLRALSTAPVISFAVEKGWSESASPNVRGPPALVFKFSQMDEKLKAAYRATTEGKFPDALRQFLNILHTIPLIVVDSRREVDEVKELIEIAREYVLGLKIELQRKEIKDNLVRQQELAAYFTNCKLQKIHMRLVLTSAMTICYKGGNCSTAANFARMLLENSPTEVQAKKARQLLQHCGDKKDVNQLNYDYRNPFVVCGATFVPIYRGQKDVSCPYCGARFVPTIEGQLCAVCELAVVGADASGLLCSPTQIR >Ma01_p04860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3335962:3340327:-1 gene:Ma01_g04860 transcript:Ma01_t04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRFLRIRAARVPSSSPPLPPLLARFSRTHSTTTDAAPDAASPPPVPHRRYPPPRPHEFSKPCELIGTWTPGANPREAAARLDRLHRDYRKQMKQVRAKYAYEMELLRIENQRKDDARREAARLANVERKKAKAAAAETRAAERKAFKEELRLTLLKERTQKLESWRAKENLREEKEAEKKELLRRQSSVWIKDENFERRMLEAIVDTTPL >Ma10_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7507287:7508622:-1 gene:Ma10_g02240 transcript:Ma10_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEGGWPLGLQPVNVRIGLVRNVGFSGSHSFRTLITASPSSSSSSSSGLDTESTGSFFHDRSSTLGSLIGITSILDLSNRSLRRSRQQENPRANKNRKTKTWFSLCARTQLSGDVTDASPSLGSFLEAERRASNARQVRNGVSITYVLEGLAESRPAPEPNTVFSDGLVLPPPPPPPPPCPQSGTHAVLGLHSGHCFALMFPCVAAQSTE >Ma10_p16950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28511933:28513855:-1 gene:Ma10_g16950 transcript:Ma10_t16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQFRLVTSNGAVDPAMVMATQAKRYGDNDGRSSSPTVLNFLEVKAERPTESFELNGSVSTYLLFPSPPCSSLCSSLVRSYVPASDVPPAGKRDFHLQTHQKLKQRSAPQWLQIHSTNSTSSLQQRLGHGPVKLFRGVRQRQLGKWVAEIRLPRNRTRVWLGTFDTAEDAAMAYELGGDAVHLNFPHAKQQLHVAARGPGHPHAAIASLLEAKLQASGGSACSTSPSWSLNELKRAWEKDLLRVGVDECGPPEMKRHKTASADSSPAFIECSGQGAALGCRWGDDKQDAFLGHGHDMGFSPQTLISEFLDSLRLSLPLSFFLLITSPERPLLRPPDFVPPIRE >Ma01_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2055714:2060351:1 gene:Ma01_g03150 transcript:Ma01_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYWLLFCWLAVVVAAMELSKTNRDRASTSSAFNSFKNNYILVYSLMMAGDWLQGPYVYYLYSQYGYGKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRASVTYCITYILSCMTKHSPQFKVLMLGRVLGGIATSLLFSAFESWLVAEHNKRGFEPQWLSITFSKAIFLGNGLVAIIAGLFANLLTDNLGFGPVAPFDAAACFLAIGMAIILSTWTENYGDPSDSKGLLTQFQVAAAAISSDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSFASRLLARPTPRVESYMQLVFAVAAVTLLLPVITSFLVAPSTVRGGSISFGGCIQLVGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVSAFPMTVMFGMCSCFLFLASLLQRRLMMVSEIHRSKSQDWTDMKERDTEAEPLNIG >Ma06_p25740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26232864:26234331:-1 gene:Ma06_g25740 transcript:Ma06_t25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MSPLIDGLPDEVAIQCLARVPFYFHPQLQLVCRSWRAVLRSPDIFKTHCEVGALEELLCVLAFEPENIWQLYDPLQDRWITLPIMPSEIRHLARFGVASVGGKLFVIGGGSDRVDPLTGDHDRIFASNEVWSYDPFRCEWEQRAPMLVRRAMFACCALDGKIVVAGGFTNCRESIANAEIYDPQLDTWEPLPNLQHSHSSACSGVVIGGKMHVLHKGLSTVQILEDGGKQWDVKDYGWLQGPMAVVHGEPYVLSNGCISKQCGQNLPDRVVSYASEFQSRIGFGMIGVGDEIYMIGGVIGPGPRNQCIKQLSDVDALNVRSERPTWRPLSPMTHCCGSILGCAVLRI >Ma04_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6115323:6118376:1 gene:Ma04_g08560 transcript:Ma04_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIVVLLGMAMPLASAEHDYGKALSKSILFFEAQRSGYLPGNQRVKWRANSGLLDGKANGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIVEYGKQMAASGELGHAMEAVKWGTDYLIKAHSEPFVLYGEVGDGNTDHFCWQRPEDMTTSRLAYRIDVNHPGSDLAGETAAAMAAASMVFRHSNPAYSCQLLSHAKQLFEFADKYRGKYDSSITVARRYYRSYSGYGDELLWAAAWLYHATHNRCYMDYLANNGGSLGGTGWAVTEFGWDVKYAGVQVLAAKFLLQGKEAQHAPVLGKYQQKAEYFLCSCLGKGAHNVQRTTGGLLFRQRWNNMQFVTNAAFLLTIYSDYIASAGRNVACAAGTASPAELLLFAKSQVDYILGDNPRGTSYMVGYGKSYPRQVHHRASSIVSIKADPSFVSCREGYDTWYSRKAQDPNILDGAIVGGPDVYDDFADERDNYEQTEPTTYNNAPMLGVLARLHAGHSGYNQLLPVTALSPPFTIEQKATTSWESKGRIYYRYSTVVTNKSSKIAKELVISISKLYGPVWGLGKSGNDYTFPAWLDSLPAGKSFEFVYIHSASPADIIVSGYTFV >Ma07_p24180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31614722:31615408:1 gene:Ma07_g24180 transcript:Ma07_t24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSQSQTASSAGGGARPATVGPRGTPAAAAGMRRRRLGGGGSAGGFGGGGGGGGGSNMLRFYTDDVPGLKMTPTVVLVMSLCFIGFVTALHVFGKLYRYRSSGGS >Ma01_p07720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5585268:5590146:1 gene:Ma01_g07720 transcript:Ma01_t07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEPTASAYSCGRGGGGEDTVGGTFACSCLYCGHASVRSASWRRSVRRKLDSRAAGARSISRVTEELGAVARVARVEIENEAAALRETLVSHQQSIQKLQAELEEERSAAASAATETMSMILRLQHEKAEAQMEVRQFKRFAEEKMTHDQQEIAVLEDLLFKRDQAVQSLSFEVQAYRHRLLSYGIDIHGDAPPSEPQTPDTATTACAVPHFDLLPDDYPPLRCRGDAGIELDNYPSGETPREHLQKLEQRIFQLERMPSSSFSNVMDKGVVVGQSPRSGSRDLRSFSYGSCCSGLNFNNGEEFPAVMDGASDYGGRDDMCDRIYTVDAVHGASEDYVSTPRELQNRRNLGGEARETEIRKLHTRLQALEADRESMRQTLISVGTDKAQMVLLKEIAQQMQKEATQERSFIKKPSPPKRISIMSMIKSVVSFVFWRKKSSRVKYTFGLSASNVGLLLLLDKSPRVRHRRFLTRT >Ma04_p09340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6659928:6660905:1 gene:Ma04_g09340 transcript:Ma04_t09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKTAPRHESAVPSACNHRMAIQDINRAQELLSQLHAVLLQLHPSNGGDMLEEIRKFTSSALSRLQSCVCGSSDASEDSQRDIYVNDTRKSLKRSWSKPETWSIATTSPFDDGHQWRKYGHKTIKNAKYPKNYYRCVFRDKQGCLAKKTVQQEDSYGDPPRFSVQYRAPHTCKTINVAFPSVMESAPKEPSVHASGSRCTLKLQENHLSPLAHAAIEAQDEGLWISDQAQDCELLGSDMVATEPWDLDMFLEDDDGWYNIKDP >Ma00_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40589874:40591830:-1 gene:Ma00_g04710 transcript:Ma00_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPADQENTAFITDRGIYCYKVMPFGLKNAGATYQRMVDKLFRRQIGRNMEIYCVFGVSSGRFLGFVIHQRGIDANPEKVRAITWMHSPCSVKEVQCLTGKLAALSRFISRSGDRCLPFFQTLQQVNNFTWTPECEEAFKDLKAYLTRLPRLASPPPKQQPIYYLRPYFQSHTIKLSEFDIQYSPQNAIKAQVLADFITELTPEDFGLILKSPTGETYERSIRLQFQATNNEADYEARDPTMASYLAETQRLARLFSRFSITQPITAPTIPSCGIPDDPTAARRLRRTQAWYSLVGGKLYRRGFSQPLLLCLAPPKAHTVLAELHEGICGEHIGGRTLAF >Ma02_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25424187:25428230:-1 gene:Ma02_g19150 transcript:Ma02_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTCIEIRNICILAHVDHGKTTLADHLIAACGGGVLHPKLAGRLRYMDYLDEEQRRAITMKSSSIALRYKDYNINLIDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLRQAWVEKLTPCLVLNKIDRLITELKLSPMEAYNRLQRIVHEVNAIVSAYKSEKYLSDVDSLLAGTSGDADQELIEVEDDEEDMFQPQKGNVAFVCALDGWGFCLSHFAEIYASKLGASMTALVKGLWGPRYYHTKKMMIVGKKGIEGVTKDPQPMFVQFVLKPLWQVYQAALEADGDRRMLDKVISTFNLSVPQRELQNKDPKIVLQAVMSRWLPLSDTILSMVVKCMPDPISAQSARISRLLPKRELVVDSPSFGSDVVAEAEYVRKCVASCDSSVDAPCVAFVSKMFAVPFKMLPQRGLNGEILNNQPTGEAGESDECFLAFARIFSGVLHSGRKVFVLSAVYDPLKGESMQRHVQEAELQSLYLMMGQGLVPVSSASAGNVVAIQGLGQFILKSATLSSTRNCWPFSSMMFQVAPTLRVAIEPSNPADIGALVKGLRLLNRADPFVEITVSSRGEQVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSFKETIEGEGINLLEISKAFSCSTEYVEKTTPNGRCTIRVQVMKLPRTLTKVLEECSDVLEDIFEGQSRKKDGSLGSHITQDDCELVEGVRKRIVDAIESELEFVAKKIDKDRVERCRRMWFEFLQMIWSLGPSQVGPNVLLVPDSGTGNVDSCSQGQRGMLIRGSCDVSKRLGFLNTDIQTGSSLTSLEELADETELLCMEAEALKSSIVSGFQLATAAGPLCDEPMWGLAFLVEPYIFRDTSDASNQSDQYGILGGQVMTTVKEACRAAVLQNKPRLVEAMYFCELNTPTEYLGAMYGVLGKMRARVLKEEMQEGSSLFTVHAYVPVAESFGFADELRKRTSGAASALLVLSHWEELPEDPFFVPKTEEEIEEFGDGSSVLPNMARKLMNSVRRRKGLHVEEKVVQHATKQRTLARKV >Ma10_p05050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15762847:15764394:-1 gene:Ma10_g05050 transcript:Ma10_t05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAVKLHLSPVPDLAESRRLHGRTNHHYHPCLSLPSIPRTFPSISIPLLLPRSANPSLSQTLASTEPPALSSGGPSKPPHGPSDNGRGGGGGDGAPGDDHHSEEPRSSDPGGPLGLFLEGWRSRVTADPQFPFKVLMEELVGVTANVIGDMASRPNFGLNELDFVFSTLVVGSIVNFVLMYLLAPTAASAAASSALPGIFASCPTSHMFQPGPYSLFSRLGTFLYKGATFAAVGFAAGLAGTAISNGLIALRKRMDPAFETPNKPPPTVLNALTWSLHLGLSSNFRYQTLNGLEFLMEKTLPSAGFKASVVVLRCLNNVLGGMTFVMLARLTGSQKAGESEEENGEIKEKLVSHCVPVAEDGDGESQRNES >Ma02_p20120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26153542:26161783:1 gene:Ma02_g20120 transcript:Ma02_t20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTPLSCAVLLPIPASFASLEALISPESHGIFAPKLSGFSDAQASGRAKPVDMPTPTNASRAIPKAPSSQSAAGASNSAAPSVPSKGDTSSAFTLQFGSINPGMMNGLQIPARTSSSPPNLDEQKHEQACTESFRAVPALPTPPAPKQQQQQQQNRKDVIGAHQSSSGESHSLPEVKRNVHAPIPSAPVVPQPKSSVRPIPRMSVPMPMPFRPQQAQLPPQFIGPNPQMQSPSLAANSLQMPMTLPVGNNTRVVQQNYVPNIRPHLVQQQAVMHQGQSLRFAPPIGHQFSPQLGNLGTPIAPQFPQQQSGKFGGPHRTAVKITHPETHEELRFERKKDSVKDGAATVQRPLSSVIPQSQSIPTYSPSHQMNYYSPIQQTTYSQSQLIFPNTVPPVRGQMPTSSQAPRYNYPVSQSGQHLTFTSPSMVNSVPGGKPAFSLPRHSISGGTKLEVFPVSSSLPTTGQVTMKPPVSLQGEKVGPSLSRPPVVISMPSSMAEAPESAKISADDTVPNQRHTEYSPDGPSQQPKSSSGSLNNVQLPVTDSSSTAAAPLLSTLISLSEASAASETAAGDSGLVLTGNDCRKREPARISDSLKDNQRKPSKKDVRNCQQQHQLDAASPEGARLSPSKATKVGSVSTQEVSTKTENIQTHSAFDLPTPLRTSPQAEDSISPEVVASEPVEGKIMPTASDSFGSISEREAHHDSALGYVGSFGAASDDVSIKEYVRAEATTSLGLMVDGTDSESLGANLSVVNTILDYRREELGKSEASNDPSQDFTISKACPSSALAKSSEITDEVMMLKQNDGIGKSWEVKSSKYDEVDIKLLSGSNDDVGREVQESMTLDQLKRPIDTSLHLNDSETAFDKDLSSASDVNDNLDTSSTKCEMKYSEDVGLTDSGVALLETAAVHDTSLSEVVQKPESKALDLPDDKLASATSLGQKEKPLLETLKPKVTAGKKKKRKEMLSKADAAGSSDLYNAYKCPEENHEDFSRSESIDGSTADTKVAHLNSRSKDVAASKEDGQNKAELDDWEVAADTSSTKLKTSVHGQLAVGERKQCDDNGFEATNRKKYSRDFLMTFSQQFTEIPVGFEIASDIADVLMSISVGKSPSSSPRRIIDRPSGASRIDRRTGGTLDDEKWTSSPVSFGTGPVSGRGAANVSLRPGQGASHGVLRNPRGKMPNQFGGGIPSGLVQSQGSMPHGNAGADRWQQARGLIASPQIPLHAMHKAEKKYEIHKVSDKEEAKQRQLKGILNKLTPQNFDKLFDQVKEVNIDNAVTLTGVISQIFDKALTEPTFCEMYANFCLHLAGALPDFSENNEKITFKRLLLNKCQEEFERGEREQAEANQVEEEGDVKQSEEEREEKRLQARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGNCQNPDEEDVEALCKLMSTIGEMIDHAKAKEHMDAYFDMITKLSTNQKLSLRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQSSRLARGPVINNIPRRSHAVDYSHRGSSSSTSSSSHQVGGPRGLPSHVRGHGAQDVRLEDRHQYESRTMSLPLPHRGTNDDSITLGPQGGLARGMSIGGHSSISNVSAAESSPVVGDLHRMTPGPNGTNGMADRISGATYNQPSHQDRGNNYSSRDFKVLGPAFERSATSALPAGRTNDTLDSTLTAVSETITFPEVLQEKSISAIKEYYSAKDENEVVLCIKELNAPSFYPTMISLWVSDSFERKDMERDLLAKLIINLCNSRDCLLSRAQLLQGFESVVSLLEDAVNDAPRAAEFLGRLFAIIVMEKVVPLRDLGRLILEGGEEPGRAREVGLAAEVLGSILETVRSKGGDSVLNDILGSSNLQLEDFRPPQQIKSNKLDAFLQS >Ma11_p22590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26316406:26319040:1 gene:Ma11_g22590 transcript:Ma11_t22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGGGKHRKPENLGNGRITPVQIAFIVDRYLADHHFTATLAAFRSETSNLFSKTNVKEVPKGLMGLDEMLDEYISLKEQRVVLDEDRRRVEMALQGMQEVLRLYHSTGTAHLPPQPFLPSQFVAVPLTPILPALYSGTSGSPAVNRTPVVKYAQPSIKLAHKLEANNSSPMPSSSNGSKRKALKTSSSNGSKRKALKLSTNFPLDPKKQRTQSSAASSATGDVALSSQETVAMRTFKKVDISATKSTPTNFPTNKPTVRGLPVGKVLFSQPSDCQVDSSPRTPPQALQSQAHESDSPSDNTPLAITDGGNSKQQIVPFNCSTFASETLIVSPIKHAGCCAVERSYHISSPYNLNSKSKRGHIKGKLDFDNPDIETSSEEPVAVDSLTPSTEGEMSGNFELDLPDLDILNGDFSFSELLADINLDCDGQHSFAHSVLSHEDDAGNGCSKSDQFDCSSATPIEVVSDNDANIQGY >Ma04_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7661641:7666424:1 gene:Ma04_g10820 transcript:Ma04_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKTVKISNICLSASQRDIQEFFSFSGDIDYVEMQSESEDTQLAYVTFRDSQGAETAMLLTGATIVDRSVNITPAENYKLPPEAYRHMLDGNSSPTNEAVKKAEDVVSGMLAKGFVLSKDALQRAKAFDEQHQLLSTASATVVSLDQRIGLSEKLSTGMAMVSGKVREVDERYQVTEITRSALASAEQTATNAGSAIMSNRYVSTGASWLSSALGKVMKAAEDVSILTKEKVEKAEEERKQLFWDERRGMVSEYAKVHLDEPLSNEPPTVPVYSMDEQKLRIA >Ma10_p09180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23369731:23383378:-1 gene:Ma10_g09180 transcript:Ma10_t09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGRGNRARGDKKKKEEKIVPAAIDVTVITPYESQVTLKGISTDRILDVRRLLSSNTGTCHLTNYSLMHVARGQRLTDGVEIVSLKPCVLRMVEEEYVREEQVVAHVRRLLDIMACTTAFGKHKKQQQQQQHTRSTIRPTTGSTSEVPIPAMSDKFDMAAIHPPPKLADFYDFFSFSHLPSPILFIRRREGGRSAGEGQEGDFFELEVKVCNGKLINVVASVKGFYMTGKRSIFCHSLVDLLQQLSTAFANAYDSLMKAFVDHNKFGNLPYGLRANTWLVPPVFVDSSAKCSSLPVEDEKWRGNGGGHRLDGKDVLRPWATEFSILAKIPCKTEEERLIRDRKAFLLHNLFVDTAIFKAVSTIRCLMNSNIGLSKLQGSSLHEEQTGDLSIVVKRDCSDASMKFEDKIEGSQLLDLCTEEVARRNLLKGLTADESVAIKDTRTLGVVIVKYCGYTATVKVSGHAKDSSSEKENINVDDQPDGGSNALNINSLRVLLRRSSTTEPSGGRQSSSDTNDMSSARSLVRRVLGDSLRKFQKLPHSMERSIRWELGASWLQHLQQKDNSATVEPKDNSKDSSTEPIVKGLGKQFEQLKRIKKKTENAGTISENEDLSSNDKVARKTADSEELIQSDLEEAEEIRKFLPEEAFHHLKDSETGLHKKSIEELTKMAHQFYDDIALPKLVADFASLELSPVDGRTLTDFMHIRGLKMCSLGRVVELAEKLPHIQSICIHEMVTRSFKYIIRAVVAAVENFSDMSAAIAATLNVLVGTSKMEHDDNDMSSEYSLKMEWVETFLLKRFGWRTKHEFNHLRKFVILRGLCQKVGLELVARNYDMDSPNPFEKSDIISMVPVCKHVVLSSADGRNLLESSKAALDKGKLDDAVSFGTKALTKMIAVCGPYHRLTANAYSLLAVVLYHTGDFNQAAIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALYLLHFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGPDHIQTAASYHAIAIALSMMEAYTLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDVSIASKGHLSVSDLLDYINPDQDSKERDGKRKQRHPSFLMQNNSRSIHEQSITNIEALNDEQLTITEEPIQLREFKDDLPEKSKEHDSVVLCKFTQEDMVSPDESSDEGWQEATSKGRSGQVRRNMGPKRPDVHKLTLSNSQIASSTSASFKMKSLSPAAKMALRTSPTDPSYAGNTRKDGSLTSGEDANRSQIKTVDADALSEQSTKASGSGRLAMVASKFVSYKEVAISPPGTVLRSTLEQAEEKEMDNSKENPCLLEISEEEVKLTEATSHSETSSNDIEKEAHSSGVDTSNITEKGDSATLQDLAPSKIATTNGSKLSASAPPFNPGSLLSMSHPYNSVAIRGSYDMRVSNQTTPQPLRILPQSVDSRVPCGPRSTLYYKSGHSFRKKHFYSNSQKAFTSSSNPGSSIMNPHAAEFVPGKALEQQDHSDGSPEAQIPGTEQKEQLQPVMTANDKTTVVLSEERSEIEEVSDEGKNKISKGKDTIQTSQRTELARQILLSFIVRSVKDSLSTTVEAQGTLDSPTQTQTRTNEGNTSNIANTKYGHQANDHGLSKHADKNKDTEGFTVVSKRRRNKQQLANAVSGLCTQQSICT >Ma10_p09180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23369731:23383375:-1 gene:Ma10_g09180 transcript:Ma10_t09180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGRGNRARGDKKKKEEKIVPAAIDVTVITPYESQVTLKGISTDRILDVRRLLSSNTGTCHLTNYSLMHVARGQRLTDGVEIVSLKPCVLRMVEEEYVREEQVVAHVRRLLDIMACTTAFGKHKKQQQQQQHTRSTIRPTTGSTSEVPIPAMSDKFDMAAIHPPPKLADFYDFFSFSHLPSPILFIRRREGGRSAGEGQEGDFFELEVKVCNGKLINVVASVKGFYMTGKRSIFCHSLVDLLQQLSTAFANAYDSLMKAFVDHNKFGNLPYGLRANTWLVPPVFVDSSAKCSSLPVEDEKWRGNGGGHRLDGKDVLRPWATEFSILAKIPCKTEEERLIRDRKAFLLHNLFVDTAIFKAVSTIRCLMNSNIGLSKLQGSSLHEEQTGDLSIVVKRDCSDASMKFEDKIEGSQLLDLCTEEVARRNLLKGLTADESVAIKDTRTLGVVIVKYCGYTATVKVSGHAKDSSSEKENINVDDQPDGGSNALNINSLRVLLRRSSTTEPSGGRQSSSDTNDMSSARSLVRRVLGDSLRKFQKLPHSMERSIRWELGASWLQHLQQKDNSATVEPKDNSKDSSTEPIVKGLGKQFEQLKRIKKKTENAGTISENEDLSSNDKVARKTADSEELIQSDLEEAEEIRKFLPEEAFHHLKDSETGLHKKSIEELTKMAHQFYDDIALPKLVADFASLELSPVDGRTLTDFMHIRGLKMCSLGRVVELAEKLPHIQSICIHEMVTRSFKYIIRAVVAAVENFSDMSAAIAATLNVLVGTSKMEHDDNDMSSEYSLKMEWVETFLLKRFGWRTKHEFNHLRKFVILRGLCQKVGLELVARNYDMDSPNPFEKSDIISMVPVCKHVVLSSADGRNLLESSKAALDKGKLDDAVSFGTKALTKMIAVCGPYHRLTANAYSLLAVVLYHTGDFNQAAIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALYLLHFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGPDHIQTAASYHAIAIALSMMEAYTLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDVSIASKGHLSVSDLLDYINPDQDSKERDGKRKQRHPSFLMQNNSRSIHEQSITNIEALNDEQLTITEEPIQLREFKDDLPEKSKEHDSVVLCKFTQEDMVSPDESSDEGWQEATSKGRSGQVRRNMGPKRPDVHKLTLSNSQIASSTSASFKMKSLSPAAKMALRTSPTDPSYAGNTRKDGSLTSGEDANRSQIKTVDADALSEQSTKASGSGRLAMVASKFVSYKEVAISPPGTVLRSTLEQAEEKEMDNSKENPCLLEISEEEVKLTEATSHSETSSNDIEKEAHSSGVDTSNITEKGDSATLQDLAPSKIATTNGSKLSASAPPFNPGSLLSMSHPYNSVAIRGSYDMRVSNQTTPQPLRILPQSVDSRVPCGPRSTLYYKSGHSFRKKHFYSNSQKAFTSSSNPGSSIMNPHAAEFVPGKALEQQDHSDGSPEAQIPGTEQKEQLQPVMTANDKTTVVLSEERSEIEEVSDEGKNKISKGKDTIQTSQRTELARQILLSFIVRSVKDSLSTTVEAQGTLDSPTQTQTRTNEGNTSNIANTKYGHQANDHGLSKHADKNKDTEGFTVVSKRRRNKQQLANAVSGLCTQQSICT >Ma10_p09180.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23369731:23381042:-1 gene:Ma10_g09180 transcript:Ma10_t09180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRSIFCHSLVDLLQQLSTAFANAYDSLMKAFVDHNKFGNLPYGLRANTWLVPPVFVDSSAKCSSLPVEDEKWRGNGGGHRLDGKDVLRPWATEFSILAKIPCKTEEERLIRDRKAFLLHNLFVDTAIFKAVSTIRCLMNSNIGLSKLQGSSLHEEQTGDLSIVVKRDCSDASMKFEDKIEGSQLLDLCTEEVARRNLLKGLTADESVAIKDTRTLGVVIVKYCGYTATVKVSGHAKDSSSEKENINVDDQPDGGSNALNINSLRVLLRRSSTTEPSGGRQSSSDTNDMSSARSLVRRVLGDSLRKFQKLPHSMERSIRWELGASWLQHLQQKDNSATVEPKDNSKDSSTEPIVKGLGKQFEQLKRIKKKTENAGTISENEDLSSNDKVARKTADSEELIQSDLEEAEEIRKFLPEEAFHHLKDSETGLHKKSIEELTKMAHQFYDDIALPKLVADFASLELSPVDGRTLTDFMHIRGLKMCSLGRVVELAEKLPHIQSICIHEMVTRSFKYIIRAVVAAVENFSDMSAAIAATLNVLVGTSKMEHDDNDMSSEYSLKMEWVETFLLKRFGWRTKHEFNHLRKFVILRGLCQKVGLELVARNYDMDSPNPFEKSDIISMVPVCKHVVLSSADGRNLLESSKAALDKGKLDDAVSFGTKALTKMIAVCGPYHRLTANAYSLLAVVLYHTGDFNQAAIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALYLLHFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGPDHIQTAASYHAIAIALSMMEAYTLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDVSIASKGHLSVSDLLDYINPDQDSKERDGKRKQRHPSFLMQNNSRSIHEQSITNIEALNDEQLTITEEPIQLREFKDDLPEKSKEHDSVVLCKFTQEDMVSPDESSDEGWQEATSKGRSGQVRRNMGPKRPDVHKLTLSNSQIASSTSASFKMKSLSPAAKMALRTSPTDPSYAGNTRKDGSLTSGEDANRSQIKTVDADALSEQSTKASGSGRLAMVASKFVSYKEVAISPPGTVLRSTLEQAEEKEMDNSKENPCLLEISEEEVKLTEATSHSETSSNDIEKEAHSSGVDTSNITEKGDSATLQDLAPSKIATTNGSKLSASAPPFNPGSLLSMSHPYNSVAIRGSYDMRVSNQTTPQPLRILPQSVDSRVPCGPRSTLYYKSGHSFRKKHFYSNSQKAFTSSSNPGSSIMNPHAAEFVPGKALEQQDHSDGSPEAQIPGTEQKEQLQPVMTANDKTTVVLSEERSEIEEVSDEGKNKISKGKDTIQTSQRTELARQILLSFIVRSVKDSLSTTVEAQGTLDSPTQTQTRTNEGNTSNIANTKYGHQANDHGLSKHADKNKDTEGFTVVSKRRRNKQQLANAVSGLCTQQSICT >Ma10_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23369731:23383368:-1 gene:Ma10_g09180 transcript:Ma10_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGRGNRARGDKKKKEEKIVPAAIDVTVITPYESQVTLKGISTDRILDVRRLLSSNTGTCHLTNYSLMHVARGQRLTDGVEIVSLKPCVLRMVEEEYVREEQVVAHVRRLLDIMACTTAFGKHKKQQQQQQHTRSTIRPTTGSTSEVPIPAMSDKFDMAAIHPPPKLADFYDFFSFSHLPSPILFIRRREGGRSAGEGQEGDFFELEVKVCNGKLINVVASVKGFYMTGKRSIFCHSLVDLLQQLSTAFANAYDSLMKAFVDHNKFGNLPYGLRANTWLVPPVFVDSSAKCSSLPVEDEKWRGNGGGHRLDGKDVLRPWATEFSILAKIPCKTEEERLIRDRKAFLLHNLFVDTAIFKAVSTIRCLMNSNIGLSKLQGSSLHEEQTGDLSIVVKRDCSDASMKFEDKIEGSQLLDLCTEEVARRNLLKGLTADESVAIKDTRTLGVVIVKYCGYTATVKVSGHAKDSSSEKENINVDDQPDGGSNALNINSLRVLLRRSSTTEPSGGRQSSSDTNDMSSARSLVRRVLGDSLRKFQKLPHSMERSIRWELGASWLQHLQQKDNSATVEPKDNSKDSSTEPIVKGLGKQFEQLKRIKKKTENAGTISENEDLSSNDKVARKTADSEELIQSDLEEAEEIRKFLPEEAFHHLKDSETGLHKKSIEELTKMAHQFYDDIALPKLVADFASLELSPVDGRTLTDFMHIRGLKMCSLGRVVELAEKLPHIQSICIHEMVTRSFKYIIRAVVAAVENFSDMSAAIAATLNVLVGTSKMEHDDNDMSSEYSLKMEWVETFLLKRFGWRTKHEFNHLRKFVILRGLCQKVGLELVARNYDMDSPNPFEKSDIISMVPVCKHVVLSSADGRNLLESSKAALDKGKLDDAVSFGTKALTKMIAVCGPYHRLTANAYSLLAVVLYHTGDFNQAAIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALYLLHFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGPDHIQTAASYHAIAIALSMMEAYTLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDVSIASKGHLSVSDLLDYINPDQDSKERDGKRKQRHPSFLMQNNSRSIHEQSITNIEALNDEQLTITEEPIQLREFKDDLPEKSKEHDSVVLCKFTQEDMVSPDESSDEGWQEATSKGRSGQVRRNMGPKRPDVHKLTLSNSQIASSTSASFKMKSLSPAAKMALRTSPTDPSYAGNTRKDGSLTSGEDANRSQIKTVDADALSEQSTKASGSGRLAMVASKFVSYKEVAISPPGTVLRSTLEQAEEKEMDNSKENPCLLEISEEEVKLTEATSHSETSSNDIEKEAHSSGVDTSNITEKGDSATLQDLAPSKIATTNGSKLSASAPPFNPGSLLSMSHPYNSVAIRGSYDMRVSNQTTPQPLRILPQSVDSRVPCGPRSTLYYKSGHSFRKKHFYSNSQKAFTSSSNPGSSIMNPHAAEFVPGKALEQQDHSDGSPEAQIPGTEQKEQLQPVMTANDKTTVVLSEERSEIEEVSDEGKNKISKGKDTIQTSQRTELARQILLSFIVRSVKDSLSTTVEAQGTLDSPTQTQTRTNEGNTSNIANTKYGHQANDHGLSKHADKNKDTEGFTVVSKRRRNKQQLANAVSGLCTQQSICT >Ma10_p09180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23369731:23383375:-1 gene:Ma10_g09180 transcript:Ma10_t09180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGRGRGNRARGDKKKKEEKIVPAAIDVTVITPYESQVTLKGISTDRILDVRRLLSSNTGTCHLTNYSLMHVARGQRLTDGVEIVSLKPCVLRMVEEEYVREEQVVAHVRRLLDIMACTTAFGKHKKQQQQQQHTRSTIRPTTGSTSEVPIPAMSDKFDMAAIHPPPKLADFYDFFSFSHLPSPILFIRRREGGRSAGEGQEGDFFELEVKVCNGKLINVVASVKGFYMTGKRSIFCHSLVDLLQQLSTAFANAYDSLMKAFVDHNKFGNLPYGLRANTWLVPPVFVDSSAKCSSLPVEDEKWRGNGGGHRLDGKDVLRPWATEFSILAKIPCKTEEERLIRDRKAFLLHNLFVDTAIFKAVSTIRCLMNSNIGLSKLQGSSLHEEQTGDLSIVVKRDCSDASMKFEDKIEGSQLLDLCTEEVARRNLLKGLTADESVAIKDTRTLGVVIVKYCGYTATVKVSGHAKDSSSEKENINVDDQPDGGSNALNINSLRVLLRRSSTTEPSGGRQSSSDTNDMSSARSLVRRVLGDSLRKFQKLPHSMERSIRWELGASWLQHLQQKDNSATVEPKDNSKDSSTEPIVKGLGKQFEQLKRIKKKTENAGTISENEDLSSNDKVARKTADSEELIQSDLEEAEEIRKFLPEEAFHHLKDSETGLHKKSIEELTKMAHQFYDDIALPKLVADFASLELSPVDGRTLTDFMHIRGLKMCSLGRVVELAEKLPHIQSICIHEMVTRSFKYIIRAVVAAVENFSDMSAAIAATLNVLVGTSKMEHDDNDMSSEYSLKMEWVETFLLKRFGWRTKHEFNHLRKFVILRGLCQKVGLELVARNYDMDSPNPFEKSDIISMVPVCKHVVLSSADGRNLLESSKAALDKGKLDDAVSFGTKALTKMIAVCGPYHRLTANAYSLLAVVLYHTGDFNQAAIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALYLLHFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGPDHIQTAASYHAIAIALSMMEAYTLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDVSIASKGHLSVSDLLDYINPDQDSKERDGKRKQRHPSNNSRSIHEQSITNIEALNDEQLTITEEPIQLREFKDDLPEKSKEHDSVVLCKFTQEDMVSPDESSDEGWQEATSKGRSGQVRRNMGPKRPDVHKLTLSNSQIASSTSASFKMKSLSPAAKMALRTSPTDPSYAGNTRKDGSLTSGEDANRSQIKTVDADALSEQSTKASGSGRLAMVASKFVSYKEVAISPPGTVLRSTLEQAEEKEMDNSKENPCLLEISEEEVKLTEATSHSETSSNDIEKEAHSSGVDTSNITEKGDSATLQDLAPSKIATTNGSKLSASAPPFNPGSLLSMSHPYNSVAIRGSYDMRVSNQTTPQPLRILPQSVDSRVPCGPRSTLYYKSGHSFRKKHFYSNSQKAFTSSSNPGSSIMNPHAAEFVPGKALEQQDHSDGSPEAQIPGTEQKEQLQPVMTANDKTTVVLSEERSEIEEVSDEGKNKISKGKDTIQTSQRTELARQILLSFIVRSVKDSLSTTVEAQGTLDSPTQTQTRTNEGNTSNIANTKYGHQANDHGLSKHADKNKDTEGFTVVSKRRRNKQQLANAVSGLCTQQSICT >Ma10_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26990756:26996014:-1 gene:Ma10_g14630 transcript:Ma10_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGGEETKVRVVRCPNCSGLLPELANLSVYRCGGCGATLQVKRPVSVSEALPEQSEGVNAKYPEVLENSAEKKWVVLDAKSETDRECNGAESMRQKDVGPERAESSHGISVSETEQTIVSKEADSFQLEDSAKGSNLHEGDKAKQSNSRRVCTDGDRDRRQNGAGAGRDQDQAELLRLIDKLRNQVQRTREVSSKQKLSATVDRMTACANRFPDSSWSLNRNPSCRPATLRDHNGNMLGLNDMPGLGDPLARRRAPFQLGGEYPQRLPDNYLNGHFDPDPIMTYNQEPFYHKPACSCARCFRRQFSVLARGPPTTFGHQRVPCFVNNHKLHPVDAPSIFGSRSYNSRFRNASFHSHEPQAYQRAMFSKNVGRSCQPFAGAAPFMVCCNCFELLELPAKLLFSRKKKFNLRCGACSKVILVQRDGSRLVASGLAPTTSLSSKNNDIGCSPGDCVQSTDEKKKKGPSSSSTMSEHVDISNNIFSQDVPTSSDIPLEPQVISRVPSLPIRKHLGSSLSDQANDASGTGSCSRRSEQDKNTPSSSKFRQNSVKDVTMATEMDVSVNEFPNANSSHDYSDMISEHDVQPRVIKAGDSFLAGLKKSFRFHKAMGSGDSKVSVNGHPIPDRLVKKAEKQAGLIHPGDYWYDYHAGFWGVMGHPCHGIIPPFIEEFNYPMPKNCGRGNTGVIVNGRELHHKDLDLLIGRGLPSAPGSYKIEISGRVWDESSGEELDSLGKLAPTVERNKHGFGMWVP >Ma11_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:669004:669516:1 gene:Ma11_g00920 transcript:Ma11_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKGNKLPQAAAIKQMLKRCSSLGRKQGLDEQLPGDVPKGHFAVYVGEKRSRFIVPISYLKRPEFQSLLRQAEEEFGFDHDMGLTIPCEEVVFRSLTSVLR >Ma09_p15510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10823943:10824845:-1 gene:Ma09_g15510 transcript:Ma09_t15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVFLFLLLILLSAADASVQDFCVGDISASDTPAGYPCKDVSHVTTNDFVFKGLGKAGNTSNIIKAAVTPAFVGQFPGVNGLGISAARLDLAPGGVVPLHTHPGGSELLVVTQGMILAGFISSANGVYYTTLHKGDTMVFPQGLLHFQVNAGGVTAVAIVTFSSPNPGLQITAFALFANSLPSALVEKVTFLDDAQVKKLKKVLGGSG >Ma01_p17790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13077915:13078682:-1 gene:Ma01_g17790 transcript:Ma01_t17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWGGICKWKKQKHDPKGTEKICAYASGKFNPIKSTIDAEMHAVMKTLEALKIYFLDKREIIIRTDCQAIISFFDKSTQNKPSRVRWMAFVDYITGSGVDVKFEHIEGTSNVLADSLSRLINILIAGWPSEALLLLTEATQEVQAKPDPRAALHLNQLMNQVISSDNTNRSWISSEPEHDKEYQLMNKDCLKEGLEQSRRKPPDKHVRRYNNSETSTQSKRKNTKEGVEERITGTRTGCQLSSNSNSNWRRPYP >Ma09_p03160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2159035:2162311:1 gene:Ma09_g03160 transcript:Ma09_t03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSGVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKEEYEESGPAIVHRKCF >Ma04_p26740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28099493:28102926:1 gene:Ma04_g26740 transcript:Ma04_t26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAGCSKGKGGSMHFYKKDSRFFGGHGIVGAQVPLGCGLAFAQKYSKEGTVSFALYGDGAANQGQLFEALNNSALWDLPVILVCENNHCKCRMDSGRGRKTGFLFKHFGQSFGLNKSLYEQVDGMDVLAVKQACKFAKEYALQNGPIILEMDTYRYHGHSISDPGSTYRG >Ma03_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24493576:24494250:1 gene:Ma03_g19050 transcript:Ma03_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGLVESLYDSLARGDMKAVAALMAEDVEWWFHGPRRCQYMRRLLTGEAGHGDFRFRPRTVTAIGGWVVAEGWEGKHAYWVHAWAVDGGVITRFREYFNTSVTVRECGGGCTSTVSGDGGTLWQSRAPAQGGRSLPGLVLAV >Ma08_p11090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8182552:8190379:-1 gene:Ma08_g11090 transcript:Ma08_t11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELFDSARMADTKYVGRRWEDMDTDVLVKIFKELNMIQLAPVSRVCRSWRMACSDPFIWNTLDLGHLQSNFIQTTAPPYIWVDERSDRRLTRILRMAMALSFGNVTYMIFHFNLYMKDDHLSYISERSPYLRRLVMPAWNRITKVGICQAIRRWENLESLTMPGIPHPPYIMEEISRSCKNFSQLKVMGTFDVNFAFAITVNLPKLKVLSLRCSIITKQALLLILDSMDSLEVLNISHCLLLETLRRPVHSELDQAILNKGSRIREFFHCQSNSCTTCKRMIEDEGLMRWYRYEDWFWRRDEVSSLDLGDYGKLFDENCVNRLTAS >Ma11_p13690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18751407:18762358:1 gene:Ma11_g13690 transcript:Ma11_t13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKSPASGGGGGGSGGGFPSHSSRYAPFGSSSGSFGFIGDHPSASSSSQPPPDSGQFGHATRSDAERFSYDVSRMPDFPPRNPGHRRAHSEILSLPDDISFDGDLGVVGSYDGPSLSDETEEDLVSMYMDVEKFSSGATSSGLSIGESSLPVLSPVQAPSEGENVAFGSTERPRIRHQHSQSLDGSTAIKPELLMSGGEGPSSVEAKKAMSAAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLTMLQRDTNGLTVENNELKLRLQTMEQQVHLQDALNEALREEVQRLKLATGQMLPNGGQMVNLVPSSFGANQQFYHHNQGMQSLLAAHQLQQLQIHSQHPQQLQQQPQQQQPLHSQTPQHQQQPQPHQTQQQPQQQQALHPLTLQQQRQPPAADLRLKGPLTSQNQLGEMASDEQNAHE >Ma07_p06960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5038171:5039131:1 gene:Ma07_g06960 transcript:Ma07_t06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIGFDDSTLDLIRQCLLGDLPQPDSPGLAPAFPCDGACRFPEPALGRRPSLTISVPPRPVGAAADCDELKRYRGVRQRPWGKFAAEIRDPARRGARVWLGTYDTAVEAARAYDRAAFQMRGRKAILNFPHEIGSSEQWDAAMTTAAQVLSGKRKREAEEEEAARGIKRERSPATEKGGDGGFVPSMSVCPLTPSSWKGVWDWEGADTKGIFNVPPLSPLSPHPSLGCAQVTVS >Ma03_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9531119:9533090:-1 gene:Ma03_g12350 transcript:Ma03_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGSFRKKPKGAPERNSSPAVTSATTTASSSSSTSRSDGSAARKHSSISAGSTTSQKSITDLYEERAHKLHLFTFDELRNATNDFSRMNKIGEGGFGSVYKGYIRHPNGRGGRTLVAIKKLNQKGLQGHKQWLAEVQFLGVFEHPSLVKLVGYCAKDGEGGIERLLVYEYMPNKSLEDHLFKRAYPAIPWNLRLQIALGVAEGLAYLHEEQVIYRDFKASNVLLDKEFNPKLSDFGLAREGPTAGRSHVTTAVVGTYGYAAPDYVETGHLTVKSDVWSFGVVLYEILTGRRSLERNRPPNEQKLLDWVRQNPVETWRFSLIMDPRLRSEFSLGAAREIAKLANSCLAKNPKERPSMREVVECLRRAATDSNRRRTDAVSSTR >Ma03_p12350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9531119:9533090:-1 gene:Ma03_g12350 transcript:Ma03_t12350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGSFRKKPKGAPERNSSPAVTSATTTASSSSSTSRSDGSAARKHSSISAGSTTSQKSITDLYEERAHKLHLFTFDELRNATNDFSRMNKIGEGGFGSVYKGYIRHPNGRGGRTLVAIKKLNQKGLQGHKQWLAEVQFLGVFEHPSLVKLVGYCAKDGEGGIERLLVYEYMPNKSLEDHLFKRAYPAIPWNLRLQIALGVAEGLAYLHEEQVIYRDFKASNVLLDKEFNPKLSDFGLAREGPTAGRSHVTTAVVGTYGYAAPDYVETGHLTVKSDVWSFGVVLYEILTGRRSLERNRPPNEQKLLDWVRQNPVETWRFSLIMDPRLRSEFSLGAAREIAKLANSCLAKNPKERPSMREVVECLRRAATDSNRRRTDAVSSTR >Ma03_p12350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9531119:9533090:-1 gene:Ma03_g12350 transcript:Ma03_t12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGSFRKKPKGAPERNSSPAVTSATTTASSSSSTSRSDGSAARKHSSISAGSTTSQKSITDLYEERAHKLHLFTFDELRNATNDFSRMNKIGEGGFGSVYKGYIRHPNGRGGRTLVAIKKLNQKGLQGHKQWLAEVQFLGVFEHPSLVKLVGYCAKDGEGGIERLLVYEYMPNKSLEDHLFKRAYPAIPWNLRLQIALGVAEGLAYLHEEQVIYRDFKASNVLLDKEFNPKLSDFGLAREGPTAGRSHVTTAVVGTYGYAAPDYVETGHLTVKSDVWSFGVVLYEILTGRRSLERNRPPNEQKLLDWVRQNPVETWRFSLIMDPRLRSEFSLGAAREIAKLANSCLAKNPKERPSMREVVECLRRAATDSNRRRTDAVSSTR >Ma02_p06030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17108411:17108909:1 gene:Ma02_g06030 transcript:Ma02_t06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTLAGIPHVLVLGFRRTLLMDGPFTLDANVGLNEVEGFRCSSQKMHKGYGLQ >Ma02_p24430.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29060178:29064645:-1 gene:Ma02_g24430 transcript:Ma02_t24430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGAHTVQSHGVKVARFHMHDWIILLLLVVIEVILNVIEPFHRFVGRDMMTDLKYPLKSNTVPFWAVPVIGIILPFVIFLAIYFRRRDVYDLHHAILGLLYSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKEVYDNVTTNVICNGENNVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIQAFDRRGHIAKLCIVLFPLLCASLVAISRVDDYWHHWQDVFAGGSLGLIVASFCYLQFFPPPHDANGWGPHAYFQTLADTQSNTRMTHAANPLSIRSLEAEAGYIYTRQQV >Ma02_p24430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29060178:29064645:-1 gene:Ma02_g24430 transcript:Ma02_t24430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGAHTVQSHGVKVARFHMHDWIILLLLVVIEVILNVIEPFHRFVGRDMMTDLKYPLKSNTVPFWAVPVIGIILPFVIFLAIYFRRRDVYDLHHAILGLLYSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKEVYDNVTTNVICNGENNVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIQAFDRRGHIAKLCIVLFPLLCASLVAISRVDDYWHHWQDVFAGGSLGLIVASFCYLQFFPPPHDANGWGPHAYFQTLADTQSNTRMTHAANPLSIRSLEAEAGYIYTRQQV >Ma02_p24430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29060178:29064645:-1 gene:Ma02_g24430 transcript:Ma02_t24430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGAHTVQSHGVKVARFHMHDWIILLLLVVIEVILNVIEPFHRFVGRDMMTDLKYPLKSNTVPFWAVPVIGIILPFVIFLAIYFRRRDVYDLHHAILGLLYSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKEVYDNVTTNVICNGENNVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIQAFDRRGHIAKLCIVLFPLLCASLVAISRVDDYWHHWQDVFAGGSLGLIVASFCYLQFFPPPHDANGWGPHAYFQTLADTQSNTRMTHAANPLSIRSLEAEAGYIYTRQQV >Ma02_p24430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29060146:29067233:-1 gene:Ma02_g24430 transcript:Ma02_t24430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGAHTVQSHGVKVARFHMHDWIILLLLVVIEVILNVIEPFHRFVGRDMMTDLKYPLKSNTVPFWAVPVIGIILPFVIFLAIYFRRRDVYDLHHAILGLLYSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKEVYDNVTTNVICNGENNVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIQAFDRRGHIAKLCIVLFPLLCASLVAISRVDDYWHHWQDVFAGGSLGLIVASFCYLQFFPPPHDANGWGPHAYFQTLADTQSNTRMTHAANPLSIRSLEAEAGYIYTRQQV >Ma02_p24430.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29060178:29064407:-1 gene:Ma02_g24430 transcript:Ma02_t24430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGAHTVQSHGVKVARFHMHDWIILLLLVVIEVILNVIEPFHRFVGRDMMTDLKYPLKSNTVPFWAVPVIGIILPFVIFLAIYFRRRDVYDLHHAILGLLYSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKEVYDNVTTNVICNGENNVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIQAFDRRGHIAKLCIVLFPLLCASLVAISRVDDYWHHWQDVFAGGSLGLIVASFCYLQFFPPPHDANGWGPHAYFQTLADTQSNTRMTHAANPLSIRSLEAEAGYIYTRQQV >Ma02_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29060178:29064111:-1 gene:Ma02_g24430 transcript:Ma02_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGAHTVQSHGVKVARFHMHDWIILLLLVVIEVILNVIEPFHRFVGRDMMTDLKYPLKSNTVPFWAVPVIGIILPFVIFLAIYFRRRDVYDLHHAILGLLYSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKEVYDNVTTNVICNGENNVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIQAFDRRGHIAKLCIVLFPLLCASLVAISRVDDYWHHWQDVFAGGSLGLIVASFCYLQFFPPPHDANGWGPHAYFQTLADTQSNTRMTHAANPLSIRSLEAEAGYIYTRQQV >Ma03_p28940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31987054:31987608:1 gene:Ma03_g28940 transcript:Ma03_t28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDSFISGLVRTLMDMAKEEVDLLLGVPGEIQKLQRTLRNIQSVLRDAEKRRIEDEAVNDWLMELKDVMYDADDVLDECRMEAEKWTPRESDPKPSTSCGFPIFACFREVKFRHAVGVKIKDLNGRLEEISARRSNLQLHVSAAEPRVVPRVSRITSPVMESDMVGERLEEDAEALVEQLTK >Ma05_p27050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38498517:38499878:-1 gene:Ma05_g27050 transcript:Ma05_t27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKGGALPLASLNHISLVCRSVERSLDFYQNVLGFLPIRRPGSFDFTGAWLFNYGIGIHLLQSEDPEKMPRKKEINPKDNHISFQCESLSMVEGKLKEMGIPYIQRRVEEGGIYVDQLFFHDPDGFMIEICNCDNLPVISLSGEPIMACKRVMNLLPQQQQQKAAFQL >Ma03_p12810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9835096:9860509:1 gene:Ma03_g12810 transcript:Ma03_t12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDDPFASGAADLDMALDLDHSRGLGQRPQRPARFQPRVKGKIKAEPSADPEPPRQPPPAPDPVKKHEAEGDPSASSVSSAPDVDAVATEAMDVDEEEKEEEEEDSVVREIGVFFTPAPLDEDTYLYVMQYVLRPSWRPYELNERCEEVRVKPKESKIEVDFSIDANSENYDQDAAEPLRLQKQTLSSSIAPLVTSYAVGILRGDQLHLNPIHAVVQLRPSMAHLDTGLQKKKHNAQSAELSTSNDNSMGKLASVGSDEKIEDDEPWISLEYHAVDSHFTERYHRKMVAEAKNQIPFMMKPSDYVSSLCPGASSDNKRTKGPSRRLLLTLPLEERLKKWLSEGCQINRFDALMHLAPDSSKEDVLNALPLYAVLVQGLWVSKSTLLYEGKVALVRDFILCLFSRNPIIHLDKLKMIKFDGLKSLMSSLAVERRAFSDWKFKEATDFSFIKKYPDIVKNQECVWSDIEKTLPGFCKSMPMSKSSLNPSSSKRVTSVKVDADAHVVKDRAVSTAVTSMSSETQEHLPKALLKIFQEKRIRSLNSVVHGLRELAISKSFRPRDDSKIKALISAAKSGASAPASELQSIVSQIAMNVHGVYVLKSTGNPALDPLRNVVIDLFRGKEPNAKINKQEIRIAAQTRLKKDISDSEYNQVVHELCVSSRGSWILKSGD >Ma03_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4486941:4489442:-1 gene:Ma03_g06510 transcript:Ma03_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRGVFLHNLRIGSLGRAGQLDAARQLFDRMPTRDTVSWNAILTAHWQNSDLEGSKRLFDSMPQRNTVSWNSIIAGCLENARPDEALDYFAKMPLRNVASWNAIVSGLVKYGRFEEAERLFETMPARNVISYTAMVDGLARKGEVDRARDLFDRMPKKNAVSWAAMISGCVENGRYEEARYLFDRMPEKNLAAITAMITGYCKEGNVEQARSIFNGIRHKDLICWNAMMAGYVHNGHGEEVLKLYIQMQNTGMKPDHATLIAVVTACSALGLLQGGKQTHAFAIKTKLLLNVSLCNALITMYSKCGCIGDSQFIFQNIQNRDLVSWNAIIAACSQHGDYDNVLSLFSEMEEKGMIPNEITFLSILSACGHVAKVEECLNWFNLMMSKYRIHPRAEHYACLVDMLCRAGHLEKACKYIREMPYEAETSAWGAVLAACRMNSHVKLGELVAKKLILSDSRTSGAYVMLSNIYAAAGMWREVVKVRGLMKQNGAKKQPGYSWIQIADKVHLFSVGDASHPDIDKILSELERIGFHMKMFSHYANLSL >Ma08_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:828040:832591:1 gene:Ma08_g00890 transcript:Ma08_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MPPLSTPPHPLAALLRSSARRRDRTACRKLHAHLLKSGLHQRRPFPSALLDAYAKSGFLSDARCLFDESSHRDAVLYSALLSALSHSDRPSQALSLFRRMVSVDAVPPDGFIFATLVKTCSRIGSLRLGKQAHAQFLLSSFFTDDVVRSSLVDMYSKCCAVDDARKVFDTVPDKNHVCWTAMVSGYASNGRKSEALGLFWQMPRRDLFAWTALISGFLQSGDSFDAVKLFVEMRRNQVEIDDSFVLSTVVGASSDLAALELGRQLHGLVLALGYKSSMILGNALVDMYAKCSDIRSARAVFEGLVMRDVISWTTMVVGEAQHGRADDALDLYDKMVLAGVKPNEVTFTGLIYACSHAGLVQKGRELFDSMEQDHGIRPSLQHYTCLLDLLSRSGHLTEAENVINSMPYVPDEASWGALLSACKKQGDTSMSIRMADRLLGLKPKDPSTYILLSNTYAAAGKWDSVASVRKLMAEMDVKKEPGYSWIDLGKESCLFRAGEVPYTMRHEIIRLLNELANEMNKRGYVPDTRSVMHDVEENEKEQQLFMHSERLAVAFGLLKSIPGTTIRVIKNLRICLDCHNVLKLISEITGREIVVRDANRFHHFEVGKCSCGDFW >Ma06_p24800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:24029983:24031512:1 gene:Ma06_g24800 transcript:Ma06_t24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNAVLKQQRDKKLDREIADVSPIAHADEEVEPVDELKLKKSKKRRSNSDDSSKQKARKTEQEEMKRLEGFLFGNLYTPIEFNKDTYGDDDGQEQVAKDAPLFFMDRSTSNEMVVFENDFCAMGKEDLSEERKPVWVDEEEERTEVDVVRVNRLRKLRKEADEHVISGADYVARLRAQHAKLNPGTEWANVDRKYARRGISEDESDDESDLTIARGFDGAEGDDILRGNDELVIKGGTKLLPGLLEYSRLVDANAEEPSNGPINSVQFHRNGQLLLTAGFDRRLRFFQVDGKRNPKIQSIFMEDCPVHKASFLPDGTEVIIAGRRKFFYSFDLVKASV >Ma04_p25470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27223198:27225136:1 gene:Ma04_g25470 transcript:Ma04_t25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSLEKLPELCVAHVISLTSPRVACRLSAVSTTFRAAATSDTVWDCFLPSDWQSLVSRAVHPVEFSSFSSKRDIFFHLCDPILIDDGKMSFSVDRSSGAKCYILSARELSITWADAPWYWRWRCLPESRFAEVAELTSVCWLEIRGKIESRMLSRKTAYAAYLIYDTANYAFGLNHPSQEASVKVGVHSSTKLVCLQPTDMLSRRHAQRASALCYRKEAEEVDGDSKEAEEVDESAEEVEEVDGAPQARNDDWMELELGEFYIDEGDDGEVKIRLLEVKGGHWKRGLIIEGIEIRPKQ >Ma10_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:9898250:9898501:1 gene:Ma10_g02860 transcript:Ma10_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIIKFYSKENSGNDSNNLCSFNLSRSTEFKKKNSLFLCISHGFHNYRNWFYNRNGTQRCHFTNTLSWIYWCCAFFLGRNEL >Ma07_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:17600017:17605169:-1 gene:Ma07_g17460 transcript:Ma07_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASCRSESSGAAALRGGVPRGGDCLGRGKVSPLTSSSVSLLRQTGPLGELSEMIYMILRRSKHEVVPENMDGGESDDNDDDEKDGDEDGDDQEDDGGKDLSGRRMMAKEMKMMMTLRPIMEEEAKRRIMKMMMGTRIKRRKGKRKMKKMRMMKRTRNYHNQLPRRGSEAGGVGRVASGPQEFVSFWSLLVRQ >Ma06_p34030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34442126:34445171:-1 gene:Ma06_g34030 transcript:Ma06_t34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEISHADSATDDDRSRNLDSDRAAMSTTSRQLDETQQSWLLAAPGDQGTKKKNKYVDLGCLIVSRKLFLWTVGTVVATAVLAAFITLIVKTIPRHHRPRPPPDNYTLALHKALMFFNAQRSGPIPKHNNVSWRGNSGMRDGLSDPSYGKSLVGGYYDAGDAIKFNFPASFAMTMLSWSVIEYSAKYEAAGELGHVKEIIKWGADYLLKTFNASADTIDRIAAQVGQGDTSGGTTPNDHYCWMRPEDMDYPRPVYECHSCSDLAAEMAAALAAASIVFKDSKTYSKKLVHGATTLFKFSRDQRGRYTSGGSDASFFYNSTSYWDEFVWGGAWMYLATGNATYLKLATHPTLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTGIIMCSYLPVFTTFNRTRGGLIQLNHGRPQPLQYVVNAAFLAAIYSDYLEAADTPGWYCGPNFFSTGVLRDFARTQIDYVLGKNPQKLSYVVGFGARYPKHVHHRGASIPKNGVKYNCKGGWKWRDTKKPNPNTIVGAMVAGPDRHDGFRDVRTNYNYTEPTLAGNAGLVAALVALSGEGNGVDKNTIFSAVPPMFPTPPPPPAPWKP >Ma00_p00410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1095572:1098478:-1 gene:Ma00_g00410 transcript:Ma00_t00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLLRMIAPADIPAGLGSAEAIREGDRFPRKAFRPRNNRNLSRKRRRWSLRSPHADSKYASLRFDPESGMNLPLVSSLLTSTAGEVAVSAEQKVYNVVLKQAALVKQQPRSSAALDVKPDTVIPGSVGLLKEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASQITPTALDRWESRLDDVFAGRPYDMLDAALSDTVSKYPVDIQPFRDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESKATTESVYGAALALGLANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDEDIFGGKVTEKWRSFMKNQIKRARMFFQQAEAGVTELNRASRWPVWASLQLYRQILDEIEANDYNNFTKRAYVSKAKKLLALPVAYGKSLISPSSLSQSNLAKT >Ma00_p00410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1095572:1098458:-1 gene:Ma00_g00410 transcript:Ma00_t00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLLRMIAPADIPAGLGSAEAIREGDRFPRKAFRPRNNRNLSRKRRRWSLRSPHADSKYASLRFDPESGMNLPLVSSLLTSTAGEVAVSAEQKVYNVVLKQAALVKQQPRSSAALDVKPDTVIPGSVGLLKEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASQITPTALDRWESRLDDVFAGRPYDMLDAALSDTVSKYPVDIQPFRDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESKATTESVYGAALALGLANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDEDIFGGKVTEKWRSFMKNQIKRARMFFQQAEAGVTELNRASRWPVWASLQLYRQILDEIEANDYNNFTKRAYVSKAKKLLALPVAYGKSLISPSSLSQSNLAKT >Ma03_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:259557:263312:-1 gene:Ma03_g00260 transcript:Ma03_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIALASLPLGFRFHPTDEELVNHYLKRKITGRIKSEMQVIPEIDVCKCEPWDLPEKSLIRSNDPEWFFFAPKDRKYPNGHRSNRATEAGYWKATGKDRVIRSKSSAAKSTIIGMKKTLVFHRGRAPHGVRTNWIMHEYRTTELEFESGDQGGYVLYRLFKKPEERISSTNAEEMEVNGFSPGDTQHDADMTEETETQMNHDSPESDLQEEPQSMPDSVQKQPADIEMWLTGKSDGSTRVSVKPEMNCFNLDSPDEEAKAREKADPPQDAFAKFCDQEFAQIGHGVPNISSQILPHSSYPLLSDINEELHIGLYPVDSTVGDDLDAILNSILSVDDCSSGASIIPKEPFAESLPEHSPWDSASHRDGESSSDIETEPSLLQHAADLETCEWCCGSSLLPTDSLQIDGSVVSPGATMQLSTLYENASLLPYDISGPDVYSVDYGAESLQDLFNSLEELGSQNPISDCRDDLEGTGIQIRSRQAQQYQPNSDNLLLKQGTAGRRLRLQSSIRKVQFGSASDELSSTNDDRDKESTAEAKRELFYSMEEAISEKNVTNRDDLMDSGIKIRARQVQHSANKLSTQEVQTLSEFTRHNELQFGSISDGVSSIRSNFEDKVGRTEAGDHADDGISESEESRLPAFLDKLEDLSIHDADETSSKYQESKSVLRSRRTSTNDSDNIPTYPSNRKAPRNQSVVAYMIFLVFLVVILLLCLRIWRSMNYPAV >Ma08_p22840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36317084:36317369:-1 gene:Ma08_g22840 transcript:Ma08_t22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFKNRGIHLWKVVNTGCNGTLVCKITSYANLIDVDAKPKEEWNTLNHSLKSFKGSLI >Ma07_p10450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7772410:7783312:-1 gene:Ma07_g10450 transcript:Ma07_t10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12b [Source:Projected from Arabidopsis thaliana (AT1G17440) UniProtKB/Swiss-Prot;Acc:Q940A7] MAENPASASPNKPLTQPAPGVSDASITPSNPQNPNLPSPQIPPSPSMAASDLAQISSPQLSQPQSQALNAAAALDYSTKQQSIQSQQQQQQQQQQLQSQQQMQNQQQNLMSPSSSFQIQQNLQRSGSMPRLSQIQQHLGAAAAASAMRQHAGIYGGQMNFGGAQIQQQQHLAAAAAAAGMARSGMITQAGQISMLPGQTAQHFNLQSQMLAQPRQKSLVQGGQFHSANSSGQAVQGMQNMGMMSSLGFNPQLRANGPLPYGQQRLVHGQMRQQQLSQPTALTSPQKLPGQSLPRTPSAAALNPQVSGLTQNGQSALVQTNLSQQQQQWLKHLQPSLPSPGSPSFHLQQQQRQQQSFLTQQLASSQLHQKSMALTQQQIAQLVQQPLPSQQQQQHLIQQQQLQQIQQLQQQQQLQSPRLPGSAIQKSLNLTGSQPETPASGTTMTGGSSSQGAEATNQLLGKRKIHDLVLQVDPMGKLDPEVEDLLLEIADDFIDSVTSFACSLAKHRKSSTLEAKDVLLHLEKNWNLAVPGYTREEKSYQKESLPLDIHKQRLEMIRELAETHQSDGDISGAKVTNKQAINNSGSDHSIKPSPSSEQLSLPAVGSQLMHKSQRF >Ma09_p07190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4716449:4721699:-1 gene:Ma09_g07190 transcript:Ma09_t07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRKRTAVSSFVRGRSRRRRWSPPSPRPAPPTAAASFADLPFDVLARLAAPFDVPTLWAASTVCQSWREALRPLREAMVLLRVGKGYKRGRGPGIGRPNPHRALEYFLKGVDRGSAPAMVDAGLMYWEMGKKEEAEALYRRAAELGYPAGQCNLGVCYLEADQPKSEEAVKWFYRAAESGYASAQYNLALCLHKGRGVKNDLANAAKWYLRAAQGGNVRAMYNTSLCYSTGEGLVQNIGHARVWMKRAAKGGHSKAQFEHGLQLYSSGDMTEALVYLELSTRAGVADAVGIRNRVLQSLCQASRDHVMLRVERWQPL >Ma09_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4716449:4721699:-1 gene:Ma09_g07190 transcript:Ma09_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRKRTAVSSFVRGRSRRRRWSPPSPRPAPPTAAASFADLPFDVLARLAAPFDVPTLWAASTVCQSWREALRPLREAMVLLRVGKGYKRGRGPGIGRPNPHRALEYFLKGVDRGSAPAMVDAGLMYWEMGKKEEAEALYRRAAELGYPAGQCNLGVCYLEADQPKSEEAVKWFYRAAESGYASAQYNLALCLHKGRGVKNDLANAAKWYLRAAQGGNVRAMYNTSLCYSTGEGLVQNIGHARVWMKRAAKVRGHDGGSCLP >Ma04_p03110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2474748:2475985:1 gene:Ma04_g03110 transcript:Ma04_t03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGSGNKKHIILVHGACHGAWSWHKVTTLLRSAGYQVTALDLAASGVDERRFQDLSTFTDYTQPLLDVVASLPPGERVVLVGHSLGGMNIALAMDRFPEKIAAAVFVAAFMPDSVNPPSYLLDKLKQENTMSYWLDTQFGLAVGERERGPTSMLFGPQFLSKLYKLSPPEDLTLAMTLARPSSFFLEDLVSMPPFSESGYGSVEKVYVVCAHDEGISEEFQRWMIKNNPVKVVKEIEDADHMAMFSTPKQLFQCLSDVVDASA >Ma08_p32870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43419050:43423261:-1 gene:Ma08_g32870 transcript:Ma08_t32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEAAAEVCGSGLFLALPDDVLAFISGRLGLRDLFALALCCRGLWEAIADSEKIWFAQCCRIGPPPHALPLWREGVDSYFTLCRFLASVEPLLGVWVHQNPELRNVVCVLWGFLSVVGVSVIPQEVGPLGLDSGPLLWAPVFEVLADADGAPSRLFLHGRGDRGEDRLYPGTVQPIDSSCNVLLLEVDVRQRDPCFPPNPPRLPYSGCFSFLSEGKYPTFTRHLRRLNTNVISSPPANPFSQLSFSDRNWLLNEVADTVALEIPSDLATAPLFERCSPCNDTKLLAGRWSQLTEMHMLNRRRIKMKATNRLVPGLMKHRSLDGCDGINDHQSTVAGKRKAFFSVFENLRDEPKQYMSRSMFPQVADLVSSSGNYWWSTPDGLLNFLMSSDSIGLSLKAAHKMDATNMAWPDMSYDWFALYKLPMQVPTACHEHAGLWGGTFGWPFEDKPEKALFLVLLSYEEVMGHRLLIATKILEGTQRLLYPNGSPMFTVRLDEAPKNPFPWGIDRESPRVKVKKSYNGEGVVTSYGFLCSDLEPGALYVLQNGLLAFVWEKSDSVITLQRIVLQELLRKGERVPMLPPTADFSYFTKSYSNMFAAVQNG >Ma06_p24990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25184452:25192312:-1 gene:Ma06_g24990 transcript:Ma06_t24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKQIQSPEIQEEIPSIEEPSEVPGIPRQPKFPFYSPSPLRGSYKDSSANTSLNSTPLRFLKRPFPPPSPAKHIKALLARRHGSVKPNEASIPEGSEVELGLNKNFGFSKQFFSKFELGEEVGRGHFGYTCTAKEKKGDMKGEEVAIKVVPKAKMTTAISIEDVRREVRILNSLTGHRNLVQFYDAYEDEDNVYIVMELCKGGELLERILSRGGKYSEEDAKAVIFQILSVISFCHLQGVVHRDLKPENFLFLTKDEKSTLKAIDFGLSDFAKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKEEPSFDEAPWPSLSSQAKDFVKILLNKDFRKRMTAAQALCHPWLWNPEELKIPLDIVVYKLVKAYICSSSLRKSALRALAKTLTVDQLYFLQEQFSLLGPNKSGYISLQNFKMALLRNSTDAMKDSGILDFVNMVSSLQYRKLDFEEFAAAAISVHQMEALDAWEQHARHGYEFFEKDGNRPIMIEELASDLGLSPSVPVHVVLQDWIRHSDGKLSLLGFVKLLHGFSSRTIPKA >Ma11_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5759904:5762556:-1 gene:Ma11_g07190 transcript:Ma11_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGASDDAASARSMNFCFDCSICLEFAVDPVVTLCGHLYCWPCIFKWMQVESISNQQCPVCKALLSQDTLIPLYGRGRHSTKGDSAVPHRPTLHHHRGGATITSALENDSPRMNEAQQPQFRHQHHYPSYAGLGNSYTSESSSSPQTSPWFCSTTGSVLGGLAISILPWVFRNHGASIYYSNSHHLTLGVSSPRLRRQQMQVESSLHQIWFFLFFCAISCFLLF >Ma11_p07190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5759904:5762574:-1 gene:Ma11_g07190 transcript:Ma11_t07190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGASDDAASARSMNFCFDCSICLEFAVDPVVTLCGHLYCWPCIFKWMQVESISNQQCPVCKALLSQDTLIPLYGRGRHSTKGDSAVPHRPTLHHHRGGATITSALENDSPRMNEAQQPQFRHQHHYPSYAGLGNSYTSESSSSPQTSPWFCSTTGSVLGGLAISILPWVFRNHGASIYYSNSHHLTLGVSSPRLRRQQMQVESSLHQIWFFLFFCAISCFLLF >Ma11_p07190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5759904:5762556:-1 gene:Ma11_g07190 transcript:Ma11_t07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGASDDAASARSMNFCFDCSICLEFAVDPVVTLCGHLYCWPCIFKWMQVESISNQQCPVCKALLSQDTLIPLYGRGRHSTKGDSAVPHRPTLHHHRGGATITSALENDSPRMNEAQQPQFRHQHHYPSYAGLGNSYTSESSSSPQTSPWFCSTTGSVLGGLAISILPWVFRNHGASIYYSNSHHLTLGVSSPRLRRQQMQVESSLHQIWFFLFFCAISCFLLF >Ma06_p35370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35236887:35239860:-1 gene:Ma06_g35370 transcript:Ma06_t35370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLDSKSTIGVEFATKTIQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKQKTFDNVQRWLRELRDHADSNIVIMMAGNKSDLSHLRAVSEDDGQVFAEKEGLSFLETSALESLNVEKAFQTILMEIYHIINKKALAAKEAARTAGPIAQGTNINVSDPAGGSKTACCSR >Ma06_p35370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35236888:35239932:-1 gene:Ma06_g35370 transcript:Ma06_t35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLDSKSTIGVEFATKTIQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKQKTFDNVQRWLRELRDHADSNIVIMMAGNKSDLSHLRAVSEDDGQVFAEKEGLSFLETSALESLNVEKAFQTILMEIYHIINKKALAAKEAARTAGPIAQGTNINVSDPAGGSKTACCSR >Ma09_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12533378:12538226:1 gene:Ma09_g17060 transcript:Ma09_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMKGFLLLFIIIAGLHQGSSKTDPADVSALKSLSSQWKNTPPSWRNAGDPCGESGEPWEGVNCSNSRVMEIKLFTMGLEGTLSSEIGNLANLEVLDLSHNQNLRGTIPSSIGMLKQLHTLRLLDCGFNGTIPNEIGNLSSLKILALNSNQFSGRIPASLGSLSNLDYLDLADNQLTGTLPTSASEGSGLDQLVNTQHFHLNKNHLSDSIPSNLFSSNTKAIHILLDNNNLIGGIPESIGLVQSLKVLRLDNNSLSGSVPSSINNLTNLDVLNLANNKLSGPMPNLTGMHVLNYLDLSNNSFDPSEAPNWFSDLHNLTTLIIESGGLHGEVPQDLLSLSKLQEVRLSNNAFSGTLNMSSNITQGLKILNFQNNLLTSVTLSSYYNDTLILEGNPVCSNVQLKHTQYCQGQVQDAQSNASNSVPCLQPYEGPIICRAPFFGYISDNNLEALKKRVTEKLEGTPVTFVIRDSNFDDNAYLRVQLDLCHPTAESFTRQDILLWLDLNTQSLLIPEKYGPCYFNPNRYEFRNGETRGWIVGVAVGSAAAVFIIAGLGTYALWQKKRAKRALYRSNPFASWGSSVKEAGSAPQPKGVRCFSFDELRNCTDGFSKANEIGSGGYGKVYRGLLPDGQMVAIKRSTKGSTQGGEEFKTEIELLSRVHHRNLVDLVGFCFDKGERMLVYEYITNGTLRDCLSGRRSVALDWRRRVKIALDSARGLAYLHEHANPPIIHRDVKATNILLDDHLTAKVSDFGLSTFVLDSEEGHFSLDVKGTPGYLDPEYFMTQQFTAKSDVYSLGVVMLELITAMPPIAKGKYIVREAKIAVDEDDNEYYGLKNMIDPSLLNTRNLDGFRRFTELALQCLDESSVHRPTMNDIVKEIEIMLGKDEPNTDSTSISVHPHDEILVSTDVSGEHMFSSRSSQYLLS >Ma09_p17370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12976159:12980781:1 gene:Ma09_g17370 transcript:Ma09_t17370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPMRVRVEFEDRRLLTKSQRKDGLRRCWILLGPHLPTVADLAAHLARTFALNRSCPRGIRLYMDEFVLPPFESTSIFRDKDIIRVSKKAVKQRQLLGTYLPVNSWTNKRKKRRGSCDFQQNTTNIHAILSEETNLKKKEHMNKTQMLKRKKLNRSNTEKPIITAEPDENVLFEKNEHFVQKRSSLRRILNRKDGTSDGDGRCDSLISNRLHQAHSNYCRNQPESHANCKAERSEYPLLVDVSFLKTISTSDMQGDGSKIAALVNNWEVAVSVASAKESSTHVTEPMPNSDWGQMQQGLGERMKTQLQENRWDCWIANKISATPWPWAVSGRGAVGTADGSFGGKWGNQAPAKYVTNLKSSKS >Ma09_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12976159:12980702:1 gene:Ma09_g17370 transcript:Ma09_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPMRVRVEFEDRRLLTKSQRKDGLRRCWILLGPHLPTVADLAAHLARTFALNRSCPRGIRLYMDEFVLPPFESTSIFRDKDIIRVSKKAVKQRQLLGTCNSAYCMQDSENVVEESMHIDNNLLVHPDLPVNSWTNKRKKRRGSCDFQQNTTNIHAILSEETNLKKKEHMNKTQMLKRKKLNRSNTEKPIITAEPDENVLFEKNEHFVQKRSSLRRILNRKDGTSDGDGRCDSLISNRLHQAHSNYCRNQPESHANCKAERSEYPLLVDVSFLKTISTSDMQGDGSKIAALVNNWEVAVSVASAKESSTHVTGINKLSSSPCQILTGGKCSRVWVRE >Ma09_p17370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12976120:12980781:1 gene:Ma09_g17370 transcript:Ma09_t17370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPMRVRVEFEDRRLLTKSQRKDGLRRCWILLGPHLPTVADLAAHLARTFALNRSCPRGIRLYMDEFVLPPFESTSIFRDKDIIRVSKKAVKQRQLLGTCNSAYCMQDSENVVEESMHIDNNLLVHPDLPVNSWTNKRKKRRGSCDFQQNTTNIHAILSEETNLKKKEHMNKTQMLKRKKLNRSNTEKPIITAEPDENVLFEKNEHFVQKRSSLRRILNRKDGTSDGDGRCDSLISNRLHQAHSNYCRNQPESHANCKAERSEYPLLVDVSFLKTISTSDMQGDGSKIAALVNNWEVAVSVASAKESSTHVTEPMPNSDWGQMQQGLGERMKTQLQENRWDCWIANKISATPWPWAVSGRGAVGTADGSFGGKWGNQAPAKYVTNLKSSKS >Ma08_p25570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38350574:38352398:1 gene:Ma08_g25570 transcript:Ma08_t25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKAIVKKGPWSPEEDAKLKAYIEENGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPDIKRGGFTEEEDQRICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLQKKLFGKRKEPPLHLNHVPTLPASALERMHLQGLLSSPFSFCSNPEPWPNHQPPGDRSILPSISTDSTHQAGFQMRSGILKSESASTRGEVERSALAFHSASTGGSLSVETSTSSFDAVTADLQADELDDLLYCNHSSSFAGHQEHELAGLDCCREVFREKESTDWWIVDGFEDESTMVSWDSASALGSQEYDV >Ma04_p29340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30292774:30303308:1 gene:Ma04_g29340 transcript:Ma04_t29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGGKKKRLVTVTRDGRVRPPRSQSLRPYSSKVELMNIMGISAKWLRSLVGIRKHEKLQHPENDKYTSASANKLNSQKENYVGLDPATTEDELALGSALSTGDANLQSNSDSASSPTAQMTSQTQQNMREEWAATVIQTAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQLGGQTVQEQQSHEEARTREIEEGWCDSVGSVEEIQAKLLRRQEAAAKRERAMAYAVTHQWQAGLRKPAASAGFEPDKNNWGWNWLERWMAVRPWENRFLDISLKDGVKIHENGTAERKNEISGLQTQPQTQNVPSGKRPISNLHSNIINHKSVPLVSHASGFSSSQSANVLATSGFSSSQPKQKPSSEEVCIETTSQTSAVGARSLSNLKDRPSPVESQVKKLSLPTSGLGVGKHAANKVAINQSENRSTLKARLNLKQNTMKS >Ma08_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11280093:11283096:-1 gene:Ma08_g14160 transcript:Ma08_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAAPAISPSSDERLWSRLRDRVDSILDNCGPKIDLPPSSSCRVESECGKRLREDSELLIKGFDSVSSSLSQLSSTLSSTQQRVTYLTKSSVAEELPRERQKTESEEPKAKRHCDSTEQTKYEANSSNKHEAAALKSSADNNKLANSNEGDNEIASYPMQNATMKKAKTLAVMMATKASYLSRELKTIKSELSFMQERCNLLEEENTRFQEDFDKGVRPEEDDLVRLQLEVLLAEKSRLANENANLMRENQCLHQLVEYHHLTSQDLSASYEQAALHGMCLDFSSPMPKSDIDDENGHSDCEVPFTPSTGKLGLFSSLDEHLEIHHDT >Ma11_p22410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26194197:26201249:1 gene:Ma11_g22410 transcript:Ma11_t22410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFANLGRSLLRSARSRSPRVTARRSLLPLLPVALSNGNNGARPVLSGEALLHKPCIGYENVAGTRGYLTSLGANKAVGVGNRLLDWRFLLANPNFRRFFSSGNPNKKNYENYFPKDKKEIPKGNANNKSDPKGDSSTEDHGNFQENFMKQWQSLITPLIFIGLLFSSFSRGSFDQKEISFQEFKNKLLEAGLVDHIVVSNKSVAKVYVKKSPQINNQTQDNEIHGPTTDVSSRHAPSRYKYYFNIGSVESFEEKMEEAQEALGMDPHDYIPVTYVSEVIWYQELLKFAPTAFLLGFIYFMGKRFQGGLSVGGGTGKGNRGIFNIGKAHVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPARVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGREQIFRIYLKKIKLDNDPSFYSERLAALTPGFAGADIANVCNEAALIAARSEETQVTMQHFEAAIDRIIGGLEKKNKVISKLERRTVAYHEAGHAVTGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRASEEVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQREDGFEMTKPYSNKTGAIIDTEVREWISKAYERTVELIKEHKDHVAQIAELLLKKEVLHQDDLAQVLGERPFKLTEPTNYDRFKQGFQEATEKKSQETLEGSIVADGDGSSSLDGEVVPT >Ma11_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26194197:26201249:1 gene:Ma11_g22410 transcript:Ma11_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFANLGRSLLRSARSRSPRVALSNGNNGARPVLSGEALLHKPCIGYENVAGTRGYLTSLGANKAVGVGNRLLDWRFLLANPNFRRFFSSGNPNKKNYENYFPKDKKEIPKGNANNKSDPKGDSSTEDHGNFQENFMKQWQSLITPLIFIGLLFSSFSRGSFDQKEISFQEFKNKLLEAGLVDHIVVSNKSVAKVYVKKSPQINNQTQDNEIHGPTTDVSSRHAPSRYKYYFNIGSVESFEEKMEEAQEALGMDPHDYIPVTYVSEVIWYQELLKFAPTAFLLGFIYFMGKRFQGGLSVGGGTGKGNRGIFNIGKAHVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPARVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGREQIFRIYLKKIKLDNDPSFYSERLAALTPGFAGADIANVCNEAALIAARSEETQVTMQHFEAAIDRIIGGLEKKNKVISKLERRTVAYHEAGHAVTGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRASEEVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQREDGFEMTKPYSNKTGAIIDTEVREWISKAYERTVELIKEHKDHVAQIAELLLKKEVLHQDDLAQVLGERPFKLTEPTNYDRFKQGFQEATEKKSQETLEGSIVADGDGSSSLDGEVVPT >Ma04_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2117035:2124972:1 gene:Ma04_g02480 transcript:Ma04_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAKDKATGQLVALKKTRLQMDEEGIPPTSLREISLLQLLSRSIYIVRLLNVEQVKKNNKPILYLVFEYVDTDLKKFIDSYRRGSNPRPIPSPVIQSFLYQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTIPLKSYTHEIVTLWYRAPEVLLGTTHYSTGVDMWSIGCIFAEMVRRQLLFPGDSELQQLLHIFRLLGTPTEEQWPGVGLLRDWHEYPQWKPQNLARAVPALEPEGVDLLSKMLQYDPSNRISAKAAMEHPYFDSLDKSQF >Ma01_p15270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11061172:11062316:1 gene:Ma01_g15270 transcript:Ma01_t15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVTTLRIYAGSSRERERERERAMKFGKRLKRQIEESLPEWRDKFLCYKDLKKLVKLISAAQPSSKAEAEFICLLDSEIDKFNAFFVDQEEEFIIRQMELQERIKRVAATSAAAEYGRIGTEMVNLHGEMVLLVNYSSVNYTGLAKILKKYDKRTGGLLRLPFIEKVLEQPFFTTDLISKLVKDCESTMDSMFPAAAAAAATAARDEAVMMVEQRVFRNAVAALVTMRELRRGSSTYGHFSLPPLTLPDADLLHSLQLPSPIPIL >Ma09_p29640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40047622:40051456:1 gene:Ma09_g29640 transcript:Ma09_t29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLPTLPWRPPHRSIRVVFSVASSPLSSELEFIHRSCGTVGYRPSVFSTTLPHLAATNCAHLILPFLPLRDRLKDTVEDLFGCEFELFVEFTGLISWCKGACIGWHSDDNKPYLRQRDFAAVCYLNSHEKDFNGGLFHFKDGEPSSIAPTAGDVVVYTADERNVHSVDEVIDGERLTLTLWFTRDSAHDEDAKLINLLSQRLLVEHIEPKPFLPLPASSCMYWLAQEKSGFDVRCARVSILGYDFCPSEGKCSMNDSPCDPLELLDGRLYLGRGDEVLDKEFVNSLHALQVVQFCGWKAAELATEREVHRAGSTRPTVLKRSNNLELLVPCDYKLGEAILGGISRHDPKPSFSWDDLVLGIARWEEYMSELHRKLLILIPYWLSNHTLSFVDATELL >Ma09_p29640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40047657:40051456:1 gene:Ma09_g29640 transcript:Ma09_t29640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASEHPRRLLRGFVSPELCKELEFIHRSCGTVGYRPSVFSTTLPHLAATNCAHLILPFLPLRDRLKDTVEDLFGCEFELFVEFTGLISWCKGACIGWHSDDNKPYLRQRDFAAVCYLNSHEKDFNGGLFHFKDGEPSSIAPTAGDVVVYTADERNVHSVDEVIDGERLTLTLWFTRDSAHDEDAKLINLLSQRLLVEHIEPKPFLPLPASSCMYWLAQEKSGFDVRCARVSILGYDFCPSEGKCSMNDSPCDPLELLDGRLYLGRGDEVLDKEFVNSLHALQVVQFCGWKAAELATEREVHRAGSTRPTVLKRSNNLELLVPCDYKLGEAILGGISRHDPKPSFSWDDLVLGIARWEEYMSELHRKLLILIPYWLSNHTLSFVDATELL >Ma09_p18070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15490164:15490672:1 gene:Ma09_g18070 transcript:Ma09_t18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWFSYFVLDFQVLENIEHNCYFRFRLSDMLEIQIQPKFYILACCTSNFGKIGDFINIL >Ma09_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2597883:2600916:-1 gene:Ma09_g03950 transcript:Ma09_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDASVQSDIKLWPFGVIAGPGDKPMIVVQYRGEEKQFAAEEISSMVLIKMKEIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKVSSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLKDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNNLLGKFELSGIPPAPRGVPQITVSFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIENMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMRNTIKDEKIASKLADADKKKIEDAIEQAIQWLNGNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMAGRMDDDVPSAGGSGTGPKIEDQLVAVVLGRRLRRLIKCDHSVLSAGCFDSRGVVLVWYQRYVVLDFWPHEMG >Ma08_p21780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35567308:35567956:-1 gene:Ma08_g21780 transcript:Ma08_t21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma06_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3146179:3147778:1 gene:Ma06_g04360 transcript:Ma06_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSHDMEKGDEVILPGFRFHPTDEELVSFYLKRKVQQRPLSIELIRQLDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLTDSSLFKRPLDKNIPANDSWAICRIFKKTNSVAQRALSHSWVSPQPEATEPDLFSISRAAHSIHFGSEMVSCITEAGSAPAIQVGCSSQQQQQQQHNTIISYPPLDFSCYRPIDLGHCRPPPSVQMPTNFTFSPAEMQLTSKCTMDVTSMLLNLSPAILGDVEKASHMDFLQQPQQCNEFAVDLPPLETNANFRHQVEEVPMKKMVNACGLNSNRWGGSRKSSVDFPFGLPTMFSDEWKPNLPLNSSSYPSEISTSYSTNKC >Ma07_p21840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29943897:29962582:1 gene:Ma07_g21840 transcript:Ma07_t21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MAMRDLVTGGAACAVPGTAASSSNPFGALANAILGSSSKTQELKGLPGSAVNVPGPSSDFRTGAPLTTIPGLETEGQQHHQPVGQNYEFLAGFRTADHGVLADAWNEIHQPPALQTQGGKIEAQFQEFEQIYNRGANSMLPPAWDGPPQGVLSRFLHSFVDSGRAGVPFRPAALPVLGLSEGDKQCIRDRSFIMAHHIFADKSEEYINAQVNALLHSLDIDGNERLRGPIRGSYPELEEYWTESQGAFRSGMPNPADKWVSEFARQRENGDPEAWAHSFEQLHGTNGWASEFEHEQSQLASVGQVRGANMSHIAAMEQSRMLAHTLAQNTDPKFQNSKFLQFVSKMSRGELIVDENQIKPATGSLTDNWADEYQAQYNAGSSTWADQFVHQDLPQGTDKWVNEFVKENQQQGVDDQWVNEFSKLHVQDWAEEFGQQVAEGALGDSTADDWANTYENFLDEQLLASKQHSGASRGVYVFSDMNPYVGHPNPLREGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGITHAENDDDQQAIAAMMRAQEVDPTNLEVLLALGVSHTNELEQPEALRYLYKWLQNHPKYGVLATPELADSPYYGDVARLFNEAAQMSPEDADVHIVLGVLYNLSRDYDKAIESFQTALNLKPRDYSLWNKLGATRANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEESIRYYVRALAMNPKADNAWQYLRISLSCASRNDMFEACDSRNLDILQKEFPL >Ma03_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5181439:5181936:-1 gene:Ma03_g07380 transcript:Ma03_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKKELCTNGGVCAACGTKIGTRKPSQPERSKRNKVCLLELGSRNWTIRNGFKDLSDEEGENDGLQGDGTVKLKEKIAEERKHRTAAVSEPEKERVAASSAADEAMAKIAKLQNEKGLIEREARLYREMAEQKQSYDDMVIETLHWIIMKLEAGRSADIMRTTV >Ma05_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33803401:33809581:1 gene:Ma05_g22060 transcript:Ma05_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAFAAFHPFPTLRRFPFLRRISAATEFNISFGAAPSPDFKEAVPKTLVPDPSSPDPSAAPIPILIPWIVRGEDGQLTLQSTPPARFLQAMAEAKMAKKEKKKVDKKKPSGATATAPSSLASTTSAPKYSKAARRFYNQNIREQPQRLSKVLAAAGVASRRSCEELIFEGKVTVNGSVCTSPQTRVDLAKDSIYVNGNRLSKKLPPKLYFALNKPKGYICSGAEDSKSVVSLFGDFLKSWSKSNPGLPKPRLFTVGRLDVATTGLIIVTNDGDFAQRISHPSSELRKEYIATVDGKINRRHLGALSEGTEVEGVHCIPDLVELLANQPDASRPRLRIVVHDGRNHEVRELVKNAGLQLYSLKRVGIGGFRLPSDLG >Ma05_p22060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33803401:33810525:1 gene:Ma05_g22060 transcript:Ma05_t22060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAFAAFHPFPTLRRFPFLRRISAATEFNISFGAAPSPDFKEAVPKTLVPDPSSPDPSAAPIPILIPWIVRGEDGQLTLQSTPPARFLQAMAEAKMAKKEKKKVDKKKPSGATATAPSSLASTTSAPKYSKAARRFYNQNIREQPQRLSKVLAAAGVASRRSCEELIFEGKVTVNGSVCTSPQTRVDLAKDSIYVNGNRLSKKLPPKLYFALNKPKGYICSGAEDSKSVVSLFGDFLKSWSKSNPGLPKPRLFTVGRLDVATTGLIIVTNDGDFAQRISHPSSELRKEYIATVDGKINRRHLGALSEGTEVEGVHCIPDLVELLANQPDASRPRLRIVVHDGRNHEVRELVKNAGLQLYSLKRVGIGGFRLPSDLGLGKYVELKQADFKLLEGNAQKNT >Ma08_p01240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1159197:1174354:-1 gene:Ma08_g01240 transcript:Ma08_t01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQGRHFTGGGGGGDPYQQHQPPQQNPFPNPYHHPPQPTTNYSYPPPPPHHHPHHYPSPPPPPPTIPSQHQQQQQQQQWVHPESHLQHHHPPLPPLPPPYPQPMPTYAVQSTPPFHNQYHPHPPLPAPHHVPLPPHQHRLPSPPPPSTAYPPPPQQQWTGPSWAQHQGWEYPERNLSYNTEDDWATRAKAWAAAKSVTDNHQVHSQVMPVGRMEEHSYTYHDQIHNATGPPLDAQQSSLSQSINQQLPNYALDQQKQVNHSHGYASFPAPYVADSHMHYNAGEEAVAPHKDHNSPARNFGSTSSIYEKEVPYSYSSVPGNRDAVNQFPLPVLSAQEGFIHPQAALTDASNKPLDFEPRPASEFEPHTKVSYGPADPTQTMGLMDRDATAMSVNAWTPTVPGVFPQVSLAQSVTQLEPPFAPQPSLGPHPSPVYGRLPGPNFRPGVPPTTAPFGLVTGTSLNQAPMFPADASGPFNISERPKKAAVPNWLREEIIKNKSVIASTYASHQSGSSHNSMGSEDDDKSYRKADQADKSVDSAKSTDDDEDDEDDAQAARSAAINQEIKRVLTEVLLKVTDELFDEIATKVLNEDDPTAEGENNELGNNKIPIPIVSEPKASAKVLTTIKENQENKGVNESTSLHSPGGDILGLASYASDEEDDADGPQISKPSLVQSSNGRSSGNEPKNVENEIILNDRGEPVRGSHDGNGDRVNKHASQKSNARNHVESSLSLDASIPDGKLHNHAREHKSLSGISSHDVAAKCGLASEFSSDDPNSPESKAKTIGKGGVRIEYICGNNKDGESVGNENLIRDSKIVTSSNNHPEGRSHNKESVKKGSTGTDKTDRKTSDSTDTGNRENKIKLKENINLKEISQERGITRGVNARDNRMGSLKDKKERDKEDTEGRKEKARDEKEGNSRRLTKDLRHNSRRSPSPNHRGRNSKENSLHGHGSISSDEPSEISKKRRLQSRSPSPTRSRTRQVSRSPHRKHSHRRHSPYSSERRRSRSTTPVHRRR >Ma08_p01240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1159197:1174354:-1 gene:Ma08_g01240 transcript:Ma08_t01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQGRHFTGGGGGGDPYQQHQPPQQNPFPNPYHHPPQPTTNYSYPPPPPHHHPHHYPSPPPPPPTIPSQHQQQQQQQQWVHPESHLQHHHPPLPPLPPPYPQPMPTYAVQSTPPFHNQYHPHPPLPAPHHVPLPPHQHRLPSPPPPSTAYPPPPQQQWTGPSWAQHQGWEYPERNLSYNTEDDWATRAKAWAAAKSVTDNHQVHSQVMPVGRMEEHSYTYHDQIHNATGPPLDAQQSSLSQSINQQLPNYALDQQKQVNHSHGYASFPAPYVADSHMHYNAGEEAVAPHKDHNSPARNFGSTSSIYEKEVPYSYSSVPGNRDAVNQFPLPVLSAQEGFIHPQAALTDASNKPLDFEPRPASEFEPHTKVSYGPADPTQTMGLMDRDATAMSVNAWTPTVPGVFPQVSLAQSVTQLEPPFAPQPSLGPHPSPVYGRLPGPNFRPGVPPTTAPFGLVTGTSLNQAPMFPADASGPFNISERPKKAAVPNWLREEIIKNKSVIASTYASHQSGSSHNSMGSEDDDKSYRKADQADKSVDSAKSTDDDEDDEDDAQAARSAAINQEIKRVLTEVLLKVTDELFDEIATKVLNEDDPTAEVGENNELGNNKIPIPIVSEPKASAKVLTTIKENQENKGVNESTSLHSPGGDILGLASYASDEEDDADGPQISKPSLVQSSNGRSSGNEPKNVENEIILNDRGEPVRGSHDGNGDRVNKHASQKSNARNHVESSLSLDASIPDGKLHNHAREHKSLSGISSHDVAAKCGLASEFSSDDPNSPESKAKTIGKGGVRIEYICGNNKDGESVGNENLIRDSKIVTSSNNHPEGRSHNKESVKKGSTGTDKTDRKTSDSTDTGNRENKIKLKENINLKEISQERGITRGVNARDNRMGSLKDKKERDKEDTEGRKEKARDEKEGNSRRLTKDLRHNSRRSPSPNHRGRNSKENSLHGHGSISSDEPSEISKKRRLQSRSPSPTRSRTRQVSRSPHRKHSHRRHSPYSSERRRSRSTTPVHRRR >Ma11_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24542848:24546524:-1 gene:Ma11_g19840 transcript:Ma11_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGVVPVPSEREHLLHLRHTERGREETTSIVMVKGKRVIQRIENAASRQATFSKRRNGLAKKALELSVLCDAEVALIIFSSQGKLHDFSSSSVLETIKRYRAHSREDTISISMEHDIEELKHEEACMSKKMELLEASKQKLLGKNLESCSFEELHELEGQIEQGLRDIRVRKYHLLQERIAQLKEKECSLIKENTLLRNECLKSMGLPHSPFTASKEVLSHSRLVQDTDVETQLCIGWPGRGRTRDNR >Ma11_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13776423:13776584:1 gene:Ma11_g11530 transcript:Ma11_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNSLCPLIKGTGQGVFMIATLLTATYVFLIAFIAAPPVDIECLVSLPIQYI >Ma04_p32940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32819151:32822806:1 gene:Ma04_g32940 transcript:Ma04_t32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGGCGSRVEIGAVDRKRINDALDKHLEKSSPSTSRFLNGKEKDRLSVLSTSSGKQPEHRLVSKNKCSNEESETDSEESDVSGSDGEDTSWISWFCNLRGNEFFCEVDEEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMLEKFKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIFYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPSQRYVPRVFGYKIHKP >Ma07_p23960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31436322:31438005:1 gene:Ma07_g23960 transcript:Ma07_t23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSFIKHGVLRLPPGFRFHPTDEELVVQYLKRKVLCCPLPAAVIPEINLSKYDPWDLPGEGDGERYFFSLREATYPKGSRSNGATLSGYWKATGKDRPVVASGSNELVGMKKVLVFHRGKPPHGSRTEWIMHEYHCLAACETRGFSFAQRKKFVHSCMRQTKNDWVVCRILKKRAKMLEAGNTSRSYNDGRVRIDHVEFLDVQRSSSSSSSSSSSSCVTDLSSEEGEEGSSNGVNASPPCGSLP >Ma09_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3103790:3104788:1 gene:Ma09_g04820 transcript:Ma09_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIWSVIINVRYLLYLLDVDIFIYFTH >Ma03_p09960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7411841:7420329:1 gene:Ma03_g09960 transcript:Ma03_t09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKNYDALTGMKDERAAEKKAEGAAPSIPSSSMAIDISLPLDQMKPRIVDLCKDLFKRWSSLDESCFSIETVSGGITNLLLKVSVRDDRGNSDSLTVRLYGPNTDLVIDRKRELQALPHLSAAGFGAKLLGIFGNGMVQSFIDARTLSPSDMSDPKIAFKIARQLHQFHKVAIPGSKEPQLWTDIFKFLDEAAALKIEDSAKQATYESISFQEIQAEINELKDLTDLLNAPVVFAHNDLLSGNLMLNDKEGRLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYSLYPDKDAQYHFFRSYLESDKPHEVSDKDLEALYVETNTFRLASHIYWALWALIQAKVSPINFDYLSYFLLRFHEYKKQKEGCFSLAQKYLSRSISCSSRRNSCPPSED >Ma03_p09960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7411841:7420329:1 gene:Ma03_g09960 transcript:Ma03_t09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKNYDALTGMKDERAAEKKAEGAAPSIPSSSMAIDISLPLDQMKPRIVDLCKDLFKRWSSLDESCFSIETVSGGITNLLLKVSVRDDRGNSDSLTVRLYGPNTDLVIDRKRELQALPHLSAAGFGAKLLGIFGNGMVQSFIDARTLSPSDMSDPKIAFKIARQLHQFHKVAIPGSKEPQLWTDIFKFLDEAAALKIEDSAKQATYESISFQEIQAEINELKICQQDLTDLLNAPVVFAHNDLLSGNLMLNDKEGRLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYSLYPDKDAQYHFFRSYLESDKPHEVSDKDLEALYVETNTFRLASHIYWALWALIQAKVSPINFDYLSYFLLRFHEYKKQKEGCFSLAQKYLSRSISCSSRRNSCPPSED >Ma03_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26650367:26651501:1 gene:Ma03_g21710 transcript:Ma03_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTINMGRTTFRDSSSSSSRRHFQWRIRRSPKEGGGVTERKEWDEVEENAGLGLFSSSYYTYKKYQSSATPTASVAAAAPKRTWASETAVSRLLSVLAAAASGRRNRSTGLGVYVTGTLYGYRRGYVHLAFQTDSKSCPVVLVELATPTSTLVREMASGLVRIALECDRKARSGGGGKAASTRLVEEPLWRSYINGKKCGYAVQRNCGPADWKLLRAVEPVSMGAGVIPGVGSGAGSTDRDVMYMRARFERVVGSKDSEAFYMMNPDGHGSTELSVYLLRV >Ma03_p26730.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30347557:30352715:-1 gene:Ma03_g26730 transcript:Ma03_t26730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSSQAAVTAFQILGGESQIVQIMLKAQEKLIAMPGTVYYISGSIQMDNNYIPENEAGFWQWIFGKRVTSVVISNSGPEDGFVGIAAPSPARILPIDLANFGGEILCQPDAFLCSVNDVRVSSRVDQRPRNLELILKQKLEGQGLAFLLGCGSVVQKILAPGEVFIVDAACIIAMTSSINFQLKYSSPMRRVVFRGDNQLMATLSGPGVVFIQSLPFRRLSQRIARAAATPSLRDNPKFFMQIALLFFLAYVMIVSSLILTDV >Ma03_p26730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30347557:30352520:-1 gene:Ma03_g26730 transcript:Ma03_t26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAQEKLIAMPGTVYYISGSIQMDNNYIPENEAGFWQWIFGKRVTSVVISNSGPEDGFVGIAAPSPARILPIDLANFGGEILCQPDAFLCSVNDVRVSSRVDQRPRNLEVGAELILKQKLEGQGLAFLLGCGSVVQKILAPGEVFIVDAACIIAMTSSINFQLKYSSPMRRVVFRGDNQLMATLSGPGVVFIQSLPFRRLSQRIARAAATPSLRDNPKFFMQIALLFFLAYVMIVSSLILTDV >Ma03_p26730.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30347557:30352715:-1 gene:Ma03_g26730 transcript:Ma03_t26730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSSQAAVTAFQILGGESQIVQIMLKAQEKLIAMPGFWQWIFGKRVTSVVISNSGPEDGFVGIAAPSPARILPIDLANFGGEILCQPDAFLCSVNDVRVSSRVDQRPRNLEVGAELILKQKLEGQGLAFLLGCGSVVQKILAPGEVFIVDAACIIAMTSSINFQLKYSSPMRRVVFRGDNQLMATLSGPGVVFIQSLPFRRLSQRIARAAATPSLRDNPKFFMQIALLFFLAYVMIVSSLILTDV >Ma03_p26730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30347557:30352715:-1 gene:Ma03_g26730 transcript:Ma03_t26730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSSQAAVTAFQILGGESQIVQIMLKAQEKLIAMPGTVYYISGSIQMDNNYIPENEAGFWQWIFGKRVTSVVISNSGPEDGFVGIAAPSPARILPIDLANFGGEILCQPDAFLCSVNDVRVSSRVDQRPRNLEVGAELILKQKLEGQGLAFLLGCGSVVQKILAPGEVFIVDAACIIAMTSSINFQLKYSSPMRRVVFRGDNQLMATLSGPGVVFIQSLPFRRLSQRIARAAATPSLRDNPKFFMQIALLFFLAYVMIVSSLILTDV >Ma03_p26730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30347557:30352715:-1 gene:Ma03_g26730 transcript:Ma03_t26730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSSQAAVTAFQILGGESQIVQIMLKAQEKLIAMPVYYISGSIQMDNNYIPENEAGFWQWIFGKRVTSVVISNSGPEDGFVGIAAPSPARILPIDLANFGGEILCQPDAFLCSVNDVRVSSRVDQRPRNLEVGAELILKQKLEGQGLAFLLGCGSVVQKILAPGEVFIVDAACIIAMTSSINFQLKYSSPMRRVVFRGDNQLMATLSGPGVVFIQSLPFRRLSQRIARAAATPSLRDNPKFFMQIALLFFLAYVMIVSSLILTDV >Ma03_p26730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30347557:30352715:-1 gene:Ma03_g26730 transcript:Ma03_t26730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSSQAAVTAFQILGGESQIVQIMLKAQEKLIAMPGTVYYISGSIQMDNNYIPENEAGFWQWIFGKRVTSVVISNSGPEDGFVGIAAPSPARILPIDLANFGGEILCQPDAFLCSVNDVRVSSRVDQRPRNLEVGAELILKQKLEGQGLAFLLGCGSVQKILAPGEVFIVDAACIIAMTSSINFQLKYSSPMRRVVFRGDNQLMATLSGPGVVFIQSLPFRRLSQRIARAAATPSLRDNPKFFMQIALLFFLAYVMIVSSLILTDV >Ma02_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18850709:18854954:1 gene:Ma02_g08550 transcript:Ma02_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMSGGCQQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPIGHEEDDETECDNMHWNGSDMASDDMKSQKPHKSRVRHHKGSSNKSLSRSYSCDSHSKGSVSSSRGTTKVDLSKLETRALWRYWHHFNLVDASPNPSKEQLIDAVQRHFMSQQLDELQVIIGFAQAAKRLKSVQMTQ >Ma02_p16560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23861318:23866907:1 gene:Ma02_g16560 transcript:Ma02_t16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSTPAGLWALRWALTCAIGAIAVGALVAVHVFLLPSAAVPDAFKLTKQREVGYRRKASELSWTQEIAPPQLAKLKISTQKVGSVREGDSGFEKLWKPPSNRYFVPCVAPSSSYLPPTRSRGYLLVHANGGLNQMRAGICDMVAVARLINATLVIPQLDKRSFWQDSSNFSDVFDEEHFIRTLANDVKIVKKLPKELMTTAKAVIHFRSWSGLDYYQDEISRKWDNYEVIKAAKSDSRLANNNLPSDIQKLRCRAFYEALKFSPQIEALGKLLVERMRSYGRYITLHLRYEKDMLAFSGCTYGLTPAESDELARIRENISYWKVKEIDSQEQRDKGYCPLTPKEVGIFLSSLGYPSNTPIYIAAGAIYGGDSHLADLHSRFPILLSKEKLASAEELGPFKDYASQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRFLGHRKTINPDRKALVHLFDMINQGSLKEGKKLSNIITEIHRRRRGSARKRKGPIPGTRGIERFRSEEVFYENPLPDCMCQQQP >Ma02_p16560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23861318:23866907:1 gene:Ma02_g16560 transcript:Ma02_t16560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRSTPAGLWALRWALTCAIGAIAVGALVAVHVFLLPSAAVPDAFKLTKQREVGYRRKASELSWTQEIAPPQLAKLKISTQKVGSVREGDSGFEKLWKPPSNRYFVPCVAPSSSYLPPTRSRGYLLVHANGGLNQMRAGICDMVAVARLINATLVIPQLDKRSFWQDSSNFSDVFDEEHFIRTLANDVKIVKKLPKELMTTAKAVIHFRSWSGLDYYQDEISRKWDNYEVIKAAKSDSRLANNNLPSDIQKLRCRAFYEALKFSPQIEALGKLLVERMRSYGRYITLHLRYEKDMLAFSGCTYGLTPAESDELARIRENISYWKVKEIDSQEQRDKGYCPLTPKEVGIFLSSLGYPSNTPIYIAAGAIYGGDSHLADLHSRFPILLSKEKLASAEELGPFKDYASQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRFLGHRKTINPDRKALVHLFDMINQGSLKEGKKLSNIITEIHRRRRGSARKRKGPIPGTRGIERFRSEEVFYENPLPDCMCQQQP >Ma06_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:433054:436188:-1 gene:Ma06_g00580 transcript:Ma06_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLRHILLDLLPSFDMKERCRELGIQHHLEKILPDLWAINAVIRDAMIRAFTQPDVEMWTADAGAAIADVQNLLDRILEWTGRAAAPSNPLLRSCLGIRVAFRLSILQELKEMGLRLKELVRRGPALDLRKEMMDAMDPCDEEYFYVLGDEVVGRDEDRDNIVEILQQNQSSSNGEPFVIQIHDEWPFGSLSTGKTTLARMIYHHPWVRQHFHHRIWVDVSFDLSWDQVSIGREFARCITGESCDHLQSHQAIWLLVNERLGQRRYLLILNDILYYDDTEEGLKDKWDQLKHNLLHVGGIGSTVIITTKRASQYSRSLYISRSKEYMLAALSEDAWIKLVMRDTFIGSAQDKENTCTINLLLRFAEHQYETIKGSPLLAKTLGSIFRIQQLPHTIAKLVSLRYLNLSYTEIQALPKYLSHLQNLKILKLTHCENFRKLPGWIHKLEKLLILKLAYCQKLQMLPDSITALSNLQELDVEGCQSFMKLPEGLVSMKKLTMLNVDKCVSLTRLPHGIGQLTNLQKLSVHATVDSLASVILELQSLVNLKELRLKKLNGLSSTEDARALKLEDKILLECLTLSWEWWDIEVALVTDTTLLREQVMENLQPNLALEKLEIVSYMGKKLPSWMACKEGNLWHLREIKLVNLRKCERLPPVGQLPGLKNVEISGMDSISAVDDAFYGDGNGDTFPRLETLIFSEMPLLERWLKAKGEGDMFPMLERLILIQCPKLKELHVRPSSSTRKLLRLELWLNNDKLLSSEFVGWQNLINVYVLEIIGCEELRCLPQGIKYLKHLFRLKIIRCNNLISLPDWLAELKSLEYLIVQDCAMLSFIPERLKQSPRFHIWNEGCPKLQL >Ma08_p27070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39458158:39460838:-1 gene:Ma08_g27070 transcript:Ma08_t27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIILFFLFPTLLVLLAAALGLRNSESRKTKGLNLPPGSMGWPLLGETIAFRRQHPCNSLGEYMEDRINKYGRIYKSNLFGAPTIVSADAELNRFVLMNDDRLFVPSFPKSVADILGKTSMLVLTGEMHRYMKSLAVNFMGIARLRANFLVDSELYVVQNLESWPENTPFPAKEEACKITFNLMVKNILSMNPGEEETERLRKLYMSFMKGVIALPLNIPGTAYRKAVKSRATILKVIERLMEERLHKKKEGTDSIGEADLLGFILEQSNLDAEQFGDLLLGLLFGGHETSSTAITLVLYFLHDCPKAVEQLREEHLEIVRAKKQRGGPPALTWEDYKHMEFTQCVISETLRLGNIIKFVHRKANTNVQFKGYDIPRGWSIIPIFAAAHLDPDVYENPRKFDPWRWQTISSSTARIDNYMPFGQGLRNCAGLELAKMEIAVFLHHLVLNYDWEIAEPDEPLAYAFPEFAKGLPIKARKVSFIV >Ma10_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32320257:32326575:1 gene:Ma10_g23310 transcript:Ma10_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCSLLIHFDKGSPAMANEIKEALEGNDVDAKVDALKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKTDSRGRVLPEMILICQNLRNNLQHPNEYICGVTLRFLCRLSEPEILEPLVPSVLANLDHRHPFIRRHALLAVAAIYRLPGQAGEQLLPDAPELVEKALSSEQDLSARRNAFLMLASCAQPRAVAHLLSHADHVPDWGDLLQMAALDLIRRVCRSNPAEKGKYIKIIISLLNSPSAAVVYECANTLVAMSSAPTAIRAAANTYCQLLLTQSDNNVKLIVLDRLNELKSSHREIMMEMIMDVLRALSSPNLDIRRKTLDIALELITSKNVDEVVLALKKEVVKTQTIELEKNGEYRQMLVQAIHSCAIKFPEVASTVVHLLMDFLGDTIVASALDVVLFVKEIIETNPKLRVSIITRLLDTFYQIRAARVCTCALWVIGEYCLSLSEVESGIATIKQCLGDLPFYTTTEEGEVMDGSKKPQQVNSSATISSRRPVVLADGTYATQSAASETALSAPIVLPGSLGSPGNLRSLILSGDFFVGSVVACTLTKLVLRLEEVQPSKSEANKACTGVLLIMTSMLQLGQSSFLPHPIDNDSFDRIVLCIRLLCNTGDEVRKIWLQSCRQSFAKMLAEKQFRETEEIKAKAQISHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFMKDGDDANKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVLERTVVVLSDIHIDIMDYISPASCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSAVDGECGFLAANLYAKSVFGEDALVNVSVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGN >Ma10_p13230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26115900:26119053:-1 gene:Ma10_g13230 transcript:Ma10_t13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTARKKIQKEKGLEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINSAIQMDVAGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVLIATRRILRPPKKGSAVVRPRSRTLTAVHDAILEDVVYPAEIVGKRIRYRLDGSKLMKIFLDPKERNNTEYKLETFTGVYRKLCGKDVVFEYPVTESA >Ma01_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4855812:4857414:1 gene:Ma01_g06750 transcript:Ma01_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVAPLDLAGVTIPHHFRCPISLELMRDPVTVCTGQTYDRESIESWVATGSTTCPVTRARLADFTLIPNHTLRRLIQDWCVAHRSLGVERIPTPKQPADAALIRSLVAAARSQGGAAASRVAALRRLGSLVRESEKNRVVISTDETRSALVEIAFEGEESGCPDADQPAMDAMAVLSMLSMTEAESAGVAARPERLRRLGEVVRGHPSTEARINAATVIEAVAAGARSAETRAAVGGTEGVMEGLVELVAQRGNGRAGRVGIRGLFALCLAKENRGRALAAGAAAAVVGRVAELASSDVERALATVELLCREDGGRDAVVAGWGGGAVAVAALVRVMAGKASGRAAEHAAAVLVAVLGGSEALHAEAVAAGVVAHLLLMVQGGCSERAKRKAQLLLKLFRSAWPRHDTISNSDDFLQPF >Ma08_p24980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38019081:38019401:1 gene:Ma08_g24980 transcript:Ma08_t24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSAFAAFSYVACAPVCPLFLSCSIWNSFALCSNGSFLNAPLLWILRKGFVLFTVEHRSFLVLLLSSICSKCRNAGPSLCSTPLFSVPRLFLIVGVRSCVVLQS >Ma08_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5251447:5252073:1 gene:Ma08_g07670 transcript:Ma08_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGFTYLFSRMRDAKHASSSVRSDPPLPWTSCRHPRTRSFREEDGDAEHDGMNACSFDLTVSCSESISTAWEDPSSDAAEAVICGLRSDRLFFEPGGATRSIVAEEEAADADSIPFEGSIAMAVDSEDPYRDFKQSMEEMVMAHSVKVDWAWLEEMLTWYLRVNGKKTHEIIVGAFLDLLLSLAPSPFSSSCSSCTFGIEEEESV >Ma04_p31110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31526524:31527776:1 gene:Ma04_g31110 transcript:Ma04_t31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin TIP5-1 [Source:Projected from Arabidopsis thaliana (AT3G47440) UniProtKB/Swiss-Prot;Acc:Q9STX9] MTSTDRSQLREWLSAASLRSYLAEFISTFFFVFAAVGSAISARMLTPDVTSDASSLVATALAQGFALFAAVYIAADISGGHVNPAVTFGLAVAGHIGVPTAIFYWISQLGGSILACLLLRVASAGQAIPTTGIGTEMTGFGGAVVESAITFILVYTVYVAADPGGGGGDGKRKRKMRREVAGPLAVGLTAGACVLAAASLTGGSMNPARSFGPAVVSGNFKNHAVYWVGPLIGAALAALVHQYLVFPSASSDAYPNSTV >Ma07_p09520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7110239:7111114:-1 gene:Ma07_g09520 transcript:Ma07_t09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHRPSRNPSPYCPMETTVSENKPERRPPSAPQLARRRNIDWLCIITVVFLITSAANAAYRSLHDPSSLAFVAFTYADLILIFLCLERFEKRGSGRTPREKKLLKAAVWALVTALTFAFAWRVARMMPRVLAAVVWTMAGSVALGGFYGLFICRLANEAAVRNVYVGDVELSPDEKA >Ma09_p13430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9050956:9058593:1 gene:Ma09_g13430 transcript:Ma09_t13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIELVSMLFFSLPLLALGSGEVYIVTVEGEPVVSYSGGVDGFSATAIDLVEEMDITSESVTSYAFHLEKKHDALLDSLFEVGTYKKLYSYRHLVNGFAVHISPEQAEALSKAPGVKYVEKDMKIKKFTTHTPQFLGLPTGVWPTRGGFDRAGEDIVIGFVDSGIYPKHPSFSTHNTEPYGPLPRYRGKCEVDPETQRDFCNGKIIGAQHFAKAAIAAGAFNPAIDFPSPLDGDGHGSHTAAIAAGNNGIRVKMHGYEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIEQAVLDGVDILNLSVGPNSPPTTTKATFLNPFDAALLSAVRAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAAIDDRRYKNNLTLGNGKILPGLGLSPSTHGNKSFNLVSANDVMLDLSLLKYNPLDCQRPELLNRNKVEGNILLCGYSFNFVSGTASIKKVSETAKSLGAAGFIVAVENTYPGAKFDPVPVDTPGILITDARKTKELIDYYNCSTTRDWAGRPISFDATASIADGLAPILHKSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYIGEGFAMVSGTSMAAPHIAGIAALIKQKNPHWSPGAIKSALMTTATTLDRGGRPILAQQYSETEIMTLVQATPFDYGSGAVNPKAALDPGLILDTTYEDYIRFLCSVPDVDPNEVRNITSSACNSTTGLPADLNIPSITISHLEGTQTVKRTVTNVADTETYVITTRMSPEIALEASPPAMTVLSGASREITVSLTVRSVTGGYSFGEILMKGDRGHRVRIPVVAMGFDS >Ma09_p13430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9047518:9058593:1 gene:Ma09_g13430 transcript:Ma09_t13430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIELVSMLFFSLPLLALGSGEVYIVTVEGEPVVSYSGGVDGFSATAIDLVEEMDITSESVTSYAFHLEKKHDALLDSLFEVGTYKKLYSYRHLVNGFAVHISPEQAEALSKAPGVKYVEKDMKIKKFTTHTPQFLGLPTGVWPTRGGFDRAGEDIVIGFVDSGIYPKHPSFSTHNTEPYGPLPRYRGKCEVDPETQRDFCNGKIIGAQHFAKAAIAAGAFNPAIDFPSPLDGDGHGSHTAAIAAGNNGIRVKMHGYEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIEQAVLDGVDILNLSVGPNSPPTTTKATFLNPFDAALLSAVRAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAAIDDRRYKNNLTLGNGKILPGLGLSPSTHGNKSFNLVSANDVMLDLSLLKYNPLDCQRPELLNRNKVEGNILLCGYSFNFVSGTASIKKVSETAKSLGAAGFIVAVENTYPGAKFDPVPVDTPGILITDARKTKELIDYYNCSTTRDWAGRPISFDATASIADGLAPILHKSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYIGEGFAMVSGTSMAAPHIAGIAALIKQKNPHWSPGAIKSALMTTATTLDRGGRPILAQQYSETEIMTLVQATPFDYGSGAVNPKAALDPGLILDTTYEDYIRFLCSVPDVDPNEVRNITSSACNSTTGLPADLNIPSITISHLEGTQTVKRTVTNVADTETYVITTRMSPEIALEASPPAMTVLSGASREITVSLTVRSVTGGYSFGEILMKGDRGHRVRIPVVAMGFDS >Ma09_p13430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9050389:9058593:1 gene:Ma09_g13430 transcript:Ma09_t13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIELVSMLFFSLPLLALGSGEVYIVTVEGEPVVSYSGGVDGFSATAIDLVEEMDITSESVTSYAFHLEKKHDALLDSLFEVGTYKKLYSYRHLVNGFAVHISPEQAEALSKAPGVKYVEKDMKIKKFTTHTPQFLGLPTGVWPTRGGFDRAGEDIVIGFVDSGIYPKHPSFSTHNTEPYGPLPRYRGKCEVDPETQRDFCNGKIIGAQHFAKAAIAAGAFNPAIDFPSPLDGDGHGSHTAAIAAGNNGIRVKMHGYEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIEQAVLDGVDILNLSVGPNSPPTTTKATFLNPFDAALLSAVRAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAAIDDRRYKNNLTLGNGKILPGLGLSPSTHGNKSFNLVSANDVMLDLSLLKYNPLDCQRPELLNRNKVEGNILLCGYSFNFVSGTASIKKVSETAKSLGAAGFIVAVENTYPGAKFDPVPVDTPGILITDARKTKELIDYYNCSTTRDWAGRPISFDATASIADGLAPILHKSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYIGEGFAMVSGTSMAAPHIAGIAALIKQKNPHWSPGAIKSALMTTATTLDRGGRPILAQQYSETEIMTLVQATPFDYGSGAVNPKAALDPGLILDTTYEDYIRFLCSVPDVDPNEVRNITSSACNSTTGLPADLNIPSITISHLEGTQTVKRTVTNVADTETYVITTRMSPEIALEASPPAMTVLSGASREITVSLTVRSVTGGYSFGEILMKGDRGHRVRIPVVAMGFDS >Ma09_p13430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9050836:9058593:1 gene:Ma09_g13430 transcript:Ma09_t13430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIELVSMLFFSLPLLALGSGEVYIVTVEGEPVVSYSGGVDGFSATAIDLVEEMDITSESVTSYAFHLEKKHDALLDSLFEVGTYKKLYSYRHLVNGFAVHISPEQAEALSKAPGVKYVEKDMKIKKFTTHTPQFLGLPTGVWPTRGGFDRAGEDIVIGFVDSGIYPKHPSFSTHNTEPYGPLPRYRGKCEVDPETQRDFCNGKIIGAQHFAKAAIAAGAFNPAIDFPSPLDGDGHGSHTAAIAAGNNGIRVKMHGYEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIEQAVLDGVDILNLSVGPNSPPTTTKATFLNPFDAALLSAVRAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAAIDDRRYKNNLTLGNGKILPGLGLSPSTHGNKSFNLVSANDVMLDLSLLKYNPLDCQRPELLNRNKVEGNILLCGYSFNFVSGTASIKKVSETAKSLGAAGFIVAVENTYPGAKFDPVPVDTPGILITDARKTKELIDYYNCSTTRDWAGRPISFDATASIADGLAPILHKSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYIGEGFAMVSGTSMAAPHIAGIAALIKQKNPHWSPGAIKSALMTTATTLDRGGRPILAQQYSETEIMTLVQATPFDYGSGAVNPKAALDPGLILDTTYEDYIRFLCSVPDVDPNEVRNITSSACNSTTGLPADLNIPSITISHLEGTQTVKRTVTNVADTETYVITTRMSPEIALEASPPAMTVLSGASREITVSLTVRSVTGGYSFGEILMKGDRGHRVRIPVVAMGFDS >Ma06_p36360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35799591:35810761:1 gene:Ma06_g36360 transcript:Ma06_t36360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEICIWCWHHIIEMAEKEDTEARCPACRTPYDKDRVLKAAPANSERIIAEIFSEKKQRPQRAKTKISAEALKHLSGARVMQKNLVYITGLPFNLCDENILERKEYFGQYGKVLKVSISRAAGTSSQKTSTNNTFGIYVTYAKEEEAVRCIQAVHNYVLEGKSLRACFGTTKYCRAWLRNMTCSNPDCLYLHDIGSHGDGFTKDEMISACTRVPQITSSSSQRRFGNVLPPPMDDFNSRLGLDKHPVKRTCINSSIQVKGSSNISAEKSNALPAAASWGLRGSNCQILASSIQCSRTPAIQNTQAISNSSLPSLLTTSTKQPSARDDEMLITSRVPESKEDVHSTSGSLEPLRLDTRADSPLTSSLETVKIVDFSLRSSLNDNAIVTSKPVEQIKMADLDDRSSPLVSIRSDFDRQQQTLLSSTQVAPVMIGTSQVSSSCLSDTLAVALEDKERGSISSGSDSEPKNATTISKSTVRQFGNSNHDKVINGSAIVNDDMQSFRLGFSSVNIDAHSVKNQLNIDQHQTSVSDLCSTEMLSSRDLNLASVTSSMIKSMDLNSEPLKQQSISIMDMMKDPVKLQHTQFSPCGGHPQNSTSQSNSAPWSIGLGNKQPPFTDGSWDMDRKIEAAMCSIGDDESVIHDGHSEYVINSNSSSSNGSECPGFNCMEEKTIPVDMIDIISSNNDACVDKKQESSIISDLLSLDFDPWDDSLSSANNLARLRGEIEENNCLKLSSSWKSLNSDQSRFSFARPESQAILLEPAREISDAQRLQSSSRVSHKDGFQNRVQSNDFEDVDLFIGSNTLSDRTTGVSRAKITAPPGFLAPTISSPPGFSCPDRGNQEHDMTYLGGNHYRSHLAGSSGDVEFIDPAILAIGKGRIHLEPNNSTLGLKYSFPAQFSTPNNDPRLHLLAQQSVSSPQNLGIPDHMGERFLQLNDAYIASQLLAQSHAGISPIMQLSFQHLRNPLFSNSQWDAWKSVCPGNNIGMSEKFRNGRFGLNDYYTSTDENKFHIPSAGNLYNQAFGM >Ma06_p36360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35799591:35810761:1 gene:Ma06_g36360 transcript:Ma06_t36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGERTCPLCAEEMDLTDQQLKPCKCGYEICIWCWHHIIEMAEKEDTEARCPACRTPYDKDRVLKAAPANSERIIAEIFSEKKQRPQRAKTKISAEALKHLSGARVMQKNLVYITGLPFNLCDENILERKEYFGQYGKVLKVSISRAAGTSSQKTSTNNTFGIYVTYAKEEEAVRCIQAVHNYVLEGKSLRACFGTTKYCRAWLRNMTCSNPDCLYLHDIGSHGDGFTKDEMISACTRVPQITSSSSQRRFGNVLPPPMDDFNSRLGLDKHPVKRTCINSSIQVKGSSNISAEKSNALPAAASWGLRGSNCQILASSIQCSRTPAIQNTQAISNSSLPSLLTTSTKQPSARDDEMLITSRVPESKEDVHSTSGSLEPLRLDTRADSPLTSSLETVKIVDFSLRSSLNDNAIVTSKPVEQIKMADLDDRSSPLVSIRSDFDRQQQTLLSSTQVAPVMIGTSQVSSSCLSDTLAVALEDKERGSISSGSDSEPKNATTISKSTVRQFGNSNHDKVINGSAIVNDDMQSFRLGFSSVNIDAHSVKNQLNIDQHQTSVSDLCSTEMLSSRDLNLASVTSSMIKSMDLNSEPLKQQSISIMDMMKDPVKLQHTQFSPCGGHPQNSTSQSNSAPWSIGLGNKQPPFTDGSWDMDRKIEAAMCSIGDDESVIHDGHSEYVINSNSSSSNGSECPGFNCMEEKTIPVDMIDIISSNNDACVDKKQESSIISDLLSLDFDPWDDSLSSANNLARLRGEIEENNCLKLSSSWKSLNSDQSRFSFARPESQAILLEPAREISDAQRLQSSSRVSHKDGFQNRVQSNDFEDVDLFIGSNTLSDRTTGVSRAKITAPPGFLAPTISSPPGFSCPDRGGNHYRSHLAGSSGDVEFIDPAILAIGKGRIHLEPNNSTLGLKYSFPAQFSTPNNDPRLHLLAQQSVSSPQNLGIPDHMGERFLQLNDAYIASQLLAQSHAGISPIMQLSFQHLRNPLFSNSQWDAWKSVCPGNNIGMSEKFRNGRFGLNDYYTSTDENKFHIPSAGNLYNQAFGM >Ma06_p31960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32940505:32944754:1 gene:Ma06_g31960 transcript:Ma06_t31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRLALWILISCLAVRSGDGFYLPGSYPHRYYSLPFCRPAEGIKDSAENLGELLMGDRIENSPYRFKMFTNESDMYQVNLILENLPAIRYTKKDDYVLRWTGYPVGIMIADAYYVVKENQPIVFTYEVAFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVLVILLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSHPLLLCVLVGDGVQILGMLFFFLVLGIAAGYVAVRMWKTIKTGDHTGWISVSWRVACFFPGIAFLILTTLNFLLWGSHSTGAIPISLFIILLLLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPPQKYPPWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVMILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSVNYLVFDLKNLSGPISASLYLGYSLLMVIAIMLATGTIGFISAFGFVHYLFSSKYL >Ma08_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4396838:4401587:-1 gene:Ma08_g06510 transcript:Ma08_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSFPSAPPALSHPPLISVRLPLPRRLVKASSLPSPRPRTKRPNRLRRETLIPKPRIPPPPAPLPPPPDEPRIVVYEEDVAVVERVVEEVDVEEVVEGGAAVGVDPFAPAAAAAEFRFLPGEVQRLALRFAVLLAVQTVVAVWFLGGERNEPSEQGMTEGKAGIEVREAMELDKKVLEIRAMAKKAREIERRELAEDSGIKGEVGKKLGRLKKSAATKVILDENTFSVSLPVSSENMNEEMNGEKLNLKQKMGREKLNLKRKIGLSKSTNKAGNIPKGFNGSRSNDERGRGVSGGIEQIAEEAAHRPDPEDLRAHASELTQRSPDIENYATSSSRGTVRRVSSGDHLRVSKFQKSKNYVEDTEDMSFSGGTNSSSDIDEVFPKTQIWESSTEVTRTCSSETGKVNDDSRYNFPIESVESKSFVNKVKNSHLDVMNEPWWLKLPYVFAIFLRRGSDGNGPKGLYSLDISSSSVEEKAPSYTIAFQDRGDATNFCYIVKTFFEDLGDVSADIVPLTIKELDQVVKSFDLKVIVVRKGQIHLYAGQPLVEVEAVIRSFLD >Ma01_p21210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20704613:20705283:-1 gene:Ma01_g21210 transcript:Ma01_t21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDLLTSSDRSTGCHQASIFSHSTSSVENLLFLGAHPKHVNFLQPRGETPEDITTVEGYTDNCFKTLQTWSC >Ma05_p22940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34721312:34725510:1 gene:Ma05_g22940 transcript:Ma05_t22940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMDEAMRAQFPLSFGKPSKPQPHTSGAHSATRRNTSSANPAASFPNPNPDSRPDHGPRREVFEPGRAGSSRAADESRVSAEDEDDGTMIGPPPPPPPMAAGSEEGDEGLIIGPPRPPPSSSEQNDSDDESESSELDGDMEDLPRIPLSNEIVLKGHTKVVSALAVDHSGSRVLTGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSLRIWDVADFKSQKQVIKPKLARPLRIPVTACAWDHDGKRIVGGIGDGSIQLWSIKPGWGSRPDIHVGDAHSDDITGLKFSTDGLVLLSRSMDSTLKIWDLRQMRTPIKVFADLPNHYAQTNAAFSPDEQLIFTGTSVEKEGTSGGLLFFFDRRKLELVSQVGISPTYSVIRCTWHPKINQVFATVGDKKEGGTHILYDSSVSQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDAPSRKRLREKALKDPMKSHKPELPVTGPGFGGRVGVTKGSLLTQYLLKQGGLIKETWMDEDPREAILKYADAAAKDPKFIAPAYAETQPEPVFAKSDSEEEK >Ma05_p22940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34721312:34726654:1 gene:Ma05_g22940 transcript:Ma05_t22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMDEAMRAQFPLSFGKPSKPQPHTSGAHSATRRNTSSANPAASFPNPNPDSRPDHGPRREVFEPGRAGSSRAADESRVSAEDEDDGTMIGPPPPPPPMAAGSEEGDEGLIIGPPRPPPSSSEQNDSDDESESSELDGDMEDLPRIPLSNEIVLKGHTKVVSALAVDHSGSRVLTGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSLRIWDVADFKSQKQVIKPKLARPLRIPVTACAWDHDGKRIVGGIGDGSIQLWSIKPGWGSRPDIHVGDAHSDDITGLKFSTDGLVLLSRSMDSTLKIWDLRQMRTPIKVFADLPNHYAQTNAAFSPDEQLIFTGTSVEKEGTSGGLLFFFDRRKLELVSQVGISPTYSVIRCTWHPKINQVFATVGDKKEGGTHILYDSSVSQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDAPSRKRLREKALKDPMKSHKPELPVTGPGFGGRVGVTKGSLLTQYLLKQGGLIKETWMDEDPREAILKYADAAAKDPKFIAPAYAETQPEPVFAKSDSEEEK >Ma04_p39330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36510554:36513696:1 gene:Ma04_g39330 transcript:Ma04_t39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEKAKLLCSFGGDFVNQHGRSLYVGGKTRLVSIDRSASFRTFISKMSELCDVDPRCLDVRFQLPDSGLDSRLISVENDNDVRNMMEEFDSNRKIPIFLFIDKSEHSDDEIAVVYREPASEADIAETLRLSKLQRDSNCDRGRAAHRPAWSEYFSGILRKRLCEASAGRLKHVGCAAEGCTWRVHASKLPQVSTFRIRTLTPEHTCIRSNDAGHRQATAKWIANCIRDKLRQNRNYKPREIINDIHREYGVLITYKRAFLGRQKALEELHDEPGRDMVLADNDYEHIMDNTNEEIQTWSDLDDPPRKKRGYQPHQSKEVRALHCTRCNQIGHNRRTCTIPESIQGGIR >Ma04_p39330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36510533:36513688:1 gene:Ma04_g39330 transcript:Ma04_t39330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEKAKLLCSFGGDFVNQHGRSLYVGGKTRLVSIDRSASFRTFISKMSELCDVDPRCLDVRFQLPDSGLDSRLISVENDNDVRNMMEEFDSNRKIPIFLFIDKSEHSDDEIAVVYREPASEADIAETLRETATVIAEGPLIGQHGPSTSVASSGRDYARHPPVGLSMSGEMFRKDSQSLVVGQEYQDVQTFRNALTSAAIAANFELYMIRSDQRRVTARCAAEGCTWRVHASKLPQVSTFRIRTLTPEHTCIRSNDAGHRQATAKWIANCIRDKLRQNRNYKPREIINDIHREYGVLITYKRAFLGRQKALEELHDEPGRDMVLADNDYEHIMDNTNEEIQTWSDLDDPPRKKRGYQPHQSKEVRALHCTRCNQIGHNRRTCTIPESIQGGIR >Ma04_p39330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36510533:36513688:1 gene:Ma04_g39330 transcript:Ma04_t39330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEKAKLLCSFGGDFVNQHGRSLYVGGKTRLVSIDRSASFRTFISKMSELCDVDPRCLDVRFQLPDSGLDSRLISVENDNDVRNMMEEFDSNRKIPIFLFIDKSEHSDDEIAVVYREPASEADIAETLRETATVIAEGPLIGQHGPSTSVASSGRDYARHPPVGLSMSGEMFRKDSQSLVVGQEYQDVQTFRNALTSAAIAANFELYMIRSDQRRVTARCAAEGCTWRVHASKLPQVSTFRIRTLTPEHTCIRSNDAGHRQATAKWIANCIRDKLRQNRNYKPREIINDIHREYGVLITYKRAFLGRQKALEELHDEPGRDMVLADNDYEHIMDNTNEEIQTWSDLDDPPRKKRGYQPHQSKEVRALHCTRCNQIGHNRRTCTIPESIQGGIR >Ma01_p15110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10951555:10957496:1 gene:Ma01_g15110 transcript:Ma01_t15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTIYPAYFVSAAAGDRRTSDSGAHPRPEKLGSSSFLLGGAPRFSGLSSATILRFPPNFVRQLSTKARRNCSNIGVAQIVAASWSNSSQSFEAPRSAVSADAVVAPEVAAEDANLGAGGGSESRFVESPVGEAKVSAAVAKAAASLSSDGSLAVHAGERFGRGITTDAITTPVVNTSAYWFNNSDELIDFKEKRHASFEYGRYGNPTTQALEEKMSALERAESTLFVSSGMYASVAMLSSLVPAGGHIVITTDCYRKTRIFIENELPKMGISVTVIDPADIESLKISLDQNNVSLFFSESPTNPFLRCVDIELVSQLCHNKGVLVCIDGTFASPVNQKALTLGADLVLHSATKFIGGHNDVLGGCISGSEELISKISLHHHVIGGVLNPNAAYMILRGMKTLHLRVQNQNSTALRMAQFLEEHPKIIRVYYPGLPSHPEHHIAKRQMTGFGGVVSFEVAGDLNTTKKFVDSLKIPYIAPSFGGCESIVDQPAIMSYWDLSGSERVAKYGIKDNLVRFSFGVEGFEDLKADILQALEKI >Ma03_p23170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27799296:27800913:-1 gene:Ma03_g23170 transcript:Ma03_t23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPGLKRGNFTEEDDELIIKLHGLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGLDPKTHLTIGGVPSHRQGIPMAADEAVKPNDMAEDGHSSVTSMDEERCPDLNLDLTISLHGGSPKPPAAEAATPASGPACAQLICLCYHLGLQTSEACSCQASPDLQHVLRFYRPLEEGQ >Ma07_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29209378:29217353:-1 gene:Ma07_g21110 transcript:Ma07_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSNTILPSGLAETCPPRQYPVSLFFKVSPFRRGILRTQENPYVLRLSICQSDALKCSPRLRALAGDVSGNSEGGDEAVGRGSGFLPAEGGPLIERDLDLGGSHEMGVSENLDMVRPIAGGSGSSGSRSGLFRTPISGGVQTATSTHDLPSPALAVRNLMEQARFAHLCTVMSQMHHRRAGYPFGSLVDFAPDSMGHPIFSLSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDIVPLPADQQEWARQQYMAKHQQWSSHQWGNFYYYRMQSISDIYFIGGFGTVAWVNVKEYEATQPDKIAADGGEQNLKELNANFSKPLKELLSMEAEIDDAALISIDSKGIDIRVRQGAQVWKTVQYSTTIVRGGTLDRDSGGSQKSTAEDY >Ma07_p21110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29209378:29217353:-1 gene:Ma07_g21110 transcript:Ma07_t21110.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLSNTILPSGLAETCPPRQYPVSLFFKVSPFRRGILRTQENPYVLRLSICQSDALKCSPRLRALAGDVSGNSEGGDEAVGRGSGFLPAEGGPLIERDLDLGGSHEMGVSENLDMVRPIAGGSGSSGSRSGLFRTPISGGVQTATSTHDLPSPALAVRNLMEQARFAHLCTVMSQMHHRRAGYPFGSLVDFAPDSMGHPIFSLSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDIVPLPADQQEWARQQYMAKHQQWSSHQWGNFYYYRMQSISDIYFIGGFGTVAWVNVKEYEATQPDKIAADGGEQNLKELNANFSKPLKELLSMEAEIDDAALISIDSKGIDIRVRQGAQFNIQRLSFEVEHSIETLEEAKRALQKIIKGYKSNRGRLGKS >Ma06_p27100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29106858:29120317:-1 gene:Ma06_g27100 transcript:Ma06_t27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKQHAGGGRREKRTDRLGRPSPNPPRLSPCKRNPATSSCSSSSSSSSSSFHHLLKKTRYAPDLFLCHSCGLRFPSNNNNNNPLDHLRSQWRVALLCRTCLARVKSADICSYCFSPFSPIADAERSLTCLSCSCRVHLGCVDREHRCLDPCRLEPGSFTCVDCCVIPKFWGRKPGIGSRVSLEVVVREASSVAEKKIEAAARARENALKKADAAKRAAERARNALGAVLAAKGDISEQNADLAAVTDQELALQLHLAMNGSRRISRSSSCSLSSGAVGSIDVKKVCGRGGDQMSRNVDSGDRGICKAAEICAEDNSFLDVAARTVFGQGSDVDSESNRNQQKKLIDDNGRDGHAVMPPKENPGNSSDRIVSSDADFCSTDSVSLDYEKKKVSDVVLFPSTCRRYVIEGSEVQENNSITTPDRYLVKYTARRSRPKGLASMTVMHSSSSTCFGCNHENSCQRI >Ma09_p06000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3875404:3881077:-1 gene:Ma09_g06000 transcript:Ma09_t06000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLRGLKDLSCFMTLTFHDPAIEDALKKLKIDLPAKVNCINTSGPKGGSQYDSTSCISSGDAGSSTKESEVDQEPLVGEQGMYYYGYYYPGSTGLMGEWDDQYYIQGSDSLQVQHPAVQAENGSFVYYFPGYQAGYTPYSPVLPGAIYGVDGQFLSQGSYYPSSVSPQTLVSPGVLPQPVAYGPELVPAYPWDPSFFFTDEIQGSISVDPTNPHYKPNLTSHAHTRALSKTIPTSKSVLGTKGSSLASDVSHPITVQNQAHKPVKKGAAAVLSKGYVPISKFNVYANQGKGELLCSKSAINLKENGRSWIDDEKLKVKNKLNSHGDFDLLNEQNRGPRTNGSRSSSQSGVDPLEALGAEKNDSGSISSDIVNKDEYNRPDFQTKYEHALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFQVAQEKMAEKNSKCPVFLFFSVNASGQFCGVAEMTGRVDFSKDMDFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNDNKPVTNSRDTQEVKFSQGTEMLSIFKSYSFKTSILDDFGFYENRQKVMQEKRNRPNTPSLVNSLPRNNEPIEVPKIDDSKSKTPNLVLIEVEDHQTGIPTSK >Ma09_p06000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3875404:3881624:-1 gene:Ma09_g06000 transcript:Ma09_t06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKAKPEKPIEDALKKLKIDLPAKVNCINTSGPKGGSQYDSTSCISSGDAGSSTKESEVDQEPLVGEQGMYYYGYYYPGSTGLMGEWDDQYYIQGSDSLQVQHPAVQAENGSFVYYFPGYQAGYTPYSPVLPGAIYGVDGQFLSQGSYYPSSVSPQTLVSPGVLPQPVAYGPELVPAYPWDPSFFFTDEIQGSISVDPTNPHYKPNLTSHAHTRALSKTIPTSKSVLGTKGSSLASDVSHPITVQNQAHKPVKKGAAAVLSKGYVPISKFNVYANQGKGELLCSKSAINLKENGRSWIDDEKLKVKNKLNSHGDFDLLNEQNRGPRTNGSRSSSQSGVDPLEALGAEKNDSGSISSDIVNKDEYNRPDFQTKYEHALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFQVAQEKMAEKNSKCPVFLFFSVNASGQFCGVAEMTGRVDFSKDMDFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNDNKPVTNSRDTQEVKFSQGTEMLSIFKSYSFKTSILDDFGFYENRQKVMQEKRNRPNTPSLVNSLPRNNEPIEVPKIDDSKSKTPNLVLIEVEDHQTGIPTSK >Ma07_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11541981:11542218:-1 gene:Ma07_g15370 transcript:Ma07_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATFLEVILAILLPPVGVFLRYGIGVEFWIDLLLTILGYIPGIIYALYVLVG >Ma10_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27852408:27854264:1 gene:Ma10_g15930 transcript:Ma10_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVYQGLSSSFSPSLGRFIPSVRGDQIHAIDARHHMAAGAAAEQPDLLAFQRCVADHFLDLSVVDADGLLSLSWLRKLLHSFLVCHEAFRPLLLDRWSLIARPPLDRLVADFSERTVKALDICNAARDGVDQLRRLETHLEIVVAALGPAAASSARHGHREGQLRRARKALAELAVMLDDRDSGSIPSHRNRSFGRSGSGSAGSSSSSSPSGSRRLSHFRSLSSSVSRSWSLVRQLQAIGSNMAAPRGHEVEATAGLAVPIYTMSVVLLFAMRSLVAAIPCHDRSNQAHFSIPRTFPWAAPIISIHERIVEESKKKERRNSTGLLKEIQQIERCTHQLTELTQANQFPMSEEKQEELRQRVEELAQVCVVLKEGLGPLERQVREVFLRIMCSRIDGFDRLSQTMQ >Ma03_p30560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33164740:33167785:-1 gene:Ma03_g30560 transcript:Ma03_t30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRETTRIPFQTPILKRRNARIKIKACENVSFEAPTAAVETDSCLLHPFDTGAASPSDAIPTTPLLTDLLRLGAVVLPRITFRGWTPPGLGWEAWVRDMASDEKIVAAWRKAGIEDAVMGSVANIMPDRPLMEALFGFWCSVTRTFMFPWGEAAFTLEDAHVIGRLPISGSRLDRVLTDEEEDLSLRLFVEKEKIRELHPKAKATRKVTLDIWLQWFLELQGEEELKHLGFLAYWLAKNVVPSYPAGEVPKVVFGLAARLSCGDQIALAPLVTANMYHDLSKISTSVLRKLEKRRGGSKIETWAQFGLLQAWVWERFERLRLSPQGAGPSVSESHISRWGSRRSATRYNEAIHLFQDEKSYTWRPYSRSFSSWKEPPWNDQETQIVHVEEGMPDWMHDYMAIISPSILQGFYGDGLISSERYQPHRVARQFGYDQAIPVSNVSFAISISNNVCQIGQCVSIPKITRCGNPRRDYRAWWKKHKVGYQKALNEYAKPALDLVKNRKTKSTAKDKDLANGVAVSRTSSEVSYERRHYEGQENKDDNDLMVEPRADKTKHFIEENKQLFSLTVSQGGKSGVKVKGIDTTRSKSLSDEMHQSVERKRKSEVYDTSRNGETLSVSSEEVVVIDDDSDEDVDFDKQDRRLVDELEEFQRCGLLNEWEPSSPEPENEENIGKYNGNDVRENEDPYGHEAIRMYPQFFVMIPQKPHYRGLLDDRVSEEVRKDVYLAKWYRLVDLMRKTLQTTCQTEPLEIENLMMEAHKFEGFGFNVKHIIARLKEPQARLRRLKEAKLKLEEAKSREQQAIEMENLKKHITNLGSKLRVIEKRLEETQEAIGMTQLMNLRKEVENAETNLRVMEHDVEAINDVT >Ma01_p15480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11202685:11204990:1 gene:Ma01_g15480 transcript:Ma01_t15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g37170 [Source:Projected from Arabidopsis thaliana (AT4G37170) UniProtKB/Swiss-Prot;Acc:O23169] MVKVTSRRRFSSASAAAVPSPSKSPPPSFSLTPPQDTISHLCAQGRFGDAIALLSRQRRLLPAAHRPLDLPSSACRVAAAAAASGRPLGLLLSNRLLDLLAKCGVLPEARRWFDSMPYRDLCSWNTLIGGYSSCGDLDEVRRLFDRMPSRDHFSWSTIISGHSRHGHPREALGLYRRMQSEGSGKDSNFGNKFTASSALVAATAIPCLRHGKEIHCHIIRMGFDSDAVVWSALSDMYAKCGSIDNARHVFDRTLDRDVVSWTSMIGRYFDGGRREEGFELFSDMLRAGVRPNEFTFAGVLDALSEMAMEGPGRQLHGHMMRAGYDPLSFSASALVHMYSKCGNIDKARAVFEGMPKTDLVSWTSMVSGYAQNGHPEEAIRYFELMLQSGTRPDHIAFVGVLSACTHAGLVDKGLEIFHSIKDEHGMEHTADHYACVVDLFSRAGRFEEAEEIMDKMPMKPDKFLWASLLGGCRIHKNVRLGEQASEALFEIEPENAATYVTLANIYASAGLWDEVEKVRKTMDRRRVVKKPGSSWIEVKRRVHVFLVGDKSHPRTEDIHAQLEKLYKRMKEEGYVPDTEFVLHDVEDEQKEESLAYHSERLAVAFGIIATPQGTPVKVFKNLRICGDCHTAFKFFSRITQRVIIVRDSSRFHHFKEGSCSCGNYW >Ma08_p31110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42125956:42128568:-1 gene:Ma08_g31110 transcript:Ma08_t31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGFRSPPAPLCTRRSFSSSRVSPARHLAFRVRSSAVEESTSSPPPTDTPVESQKLSASLISAANVQKALRGIAITDADHYGRLGIPRETPYDQVKVAYEKKCEELMSKGLDEEGTSKELELLKESYVVLSSEEERRLYDWSLARSEKPDRYVWPFEVDITQTPTEPPPPQEPEDVGPTRLVGYFFLAWLILSFALSVTLNR >Ma11_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26221581:26223376:-1 gene:Ma11_g22440 transcript:Ma11_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEISARSSPAPSNPKPFPSKSAAAPRGHDASAIVAYEHYLRLPELAKLWSSKDFPQWADESILKPALQGLEITFRFISLALSDPRPYANHREWKRRLESLAARQVELIATLCEEDGGAPIADLSSCKGLLSRDKSSQEVWKVPGTTSVVSRTSEKSLLPRLATWEKSEDVASKILFQIESQMQRCRFTLGLGEPNLAGKPTIEYDLVVRPSDLHALKRSPGGSKDAKDHEDQALCTIQQILESWLFAARELLARIEQRMDAKDWVQAGSDCWLLERVWKLLSEVEDLHLLMDPDDFLRLKSQLGIKATSGSEALCLRSTALLQVTSSCKDLKRTVPWILGVEADPNGGPRVQDAAMRLFHSRRRGEGDNLGKINLLQALQAVEAALKRFFFAYRQVVAAVMGSLETSGNRAVYAPSEALDSLSQMFLEPPYFPSLDAAKTFLGDFWQTDLSRSGGSSSSNTKWH >Ma06_p17430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11843456:11848018:-1 gene:Ma06_g17430 transcript:Ma06_t17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVVGSEMVLPAALPFKRVQMSDKYPKGQSRGRHWKHLKQILQAENYASLPAHEPNYLNIESPPSLYPSKKYCDMTGFEAPYTDPRTKLRYANPDVFKRVRSLPNEYVQRYLALRNAAIILR >Ma06_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11843456:11848018:-1 gene:Ma06_g17430 transcript:Ma06_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVVGSEMVLPAALPFKRVQMSDKYPKGQSRGRHWKHLKQILQAENYASLPAHEPNYLNIESPPSLYPSKKYCDMTGFEAPYTDPRTKLRYANPDVFKRVRSLPNEYVQRYLALRNAAIILR >Ma10_p13700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26419255:26422385:1 gene:Ma10_g13700 transcript:Ma10_t13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDMSLDDIIKNNKQSAPGGRGRGRGSGAGPARRVPNRSANRSAPYSVGKAPDSAWQHDMFAAQMGGVPAPAARASSIETGTKLYISNLEYGVSNEDIKELFSEVGDLKRYSINYDRSGRSKGTAEVVFARRADALAAVKRYNNVLLDGKPMKIEIIGTNISTPAAVPQLSNGAFGNSNGASKSTGPGRGSAGWPRGGGRGRGRDRGRGRGRGEPVSAAALDAELDKYHAEAMQTN >Ma06_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21521539:21524999:-1 gene:Ma06_g23520 transcript:Ma06_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMKDDDVSEGIELNQNEGKAMPSAEQEEQAIKKKYGGILPKKPPLISKDHERAYFDSADWALGKQGGHAQKPKGPLEALRPKLQPTPQQQVRSRRSVYASTENDDGVNAASEGVNENNGDTNSGDQ >Ma05_p26850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38366037:38380299:1 gene:Ma05_g26850 transcript:Ma05_t26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MTSKQEGEDEELGSSFDSDQGYDEESEYGGQEEEEVEEEDEESGTEEACSADQDRKSQNVAALVRGNILVRRQPLIPRILCVSDGAAVARKPFKPPCPYRYKDENDELTRRLWVRKRFVPWCSSRTPLVTIGNILHSPSFTNDDPEERNQPLPPGIEPLVLWLPEGADEANDCVSRIEVDPLLVRYLRPHQREGVQFMFECVSGLSGSDGISGCILADDMGLGKTLQSITLLYTLLRQGFDGQPMVKRAMIVTPTSLVSNWEAEINKWIGGKVQLLSLCESTRADVLSGIENFLRPCSPFQVLIISYETFRMHSSKFEKGGSCDLLICDEAHRLKNDQTLTNRALASLPCKRRILLSGTPMQNDLEEFFAMVDFTNPGVLGDATYFRKYYEIPVVSGREPTATLEERKLGIERSAELSVKVNQFILRRTNTLLSNHLPPKIIEVVCCKLTPLQLELYNHFVQSKNVKHVIAEEMKQSKILAYITALKKLCNHPKLIYDSIKSGSSGTSGFDDCIRFFPTELFSGRSGSWTGGGGIWVELSGKMHVLARLLGQLRKNTDDRVVLVSNYTQTLDLFAQLCRERRYPFLRLDGTTSIGKRQKLVNRFNDPSKDEFLFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLTTGTIEEKVYQRQMSKEGLQKVIQKEQANSQMPQGSHLSKEDLRDLFTFHENVRSEIHENMNCARCKEDGLMAVNNIVNEIEDDDSGDSCDGKQKLSGLVNDIGGFAEIAGCLQNLTSSQRQLGTPSEEDLGSWGHHSNPATVPDLILQSAAGDEVTFVFTNQVDGKLVPVESGVRSTQHLDNQKYEKKDGKSMFLPMQINQQSTKSNGNACLKSFSGLDTPLSKEPKSMKTLRKLHLLKKSNVSFGDQSSLKRMPLASCLSDDDFT >Ma06_p30210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31543402:31550861:1 gene:Ma06_g30210 transcript:Ma06_t30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKHKKGASVHVDYIIHILEIKPWPPSQSLKSLRSVVLHWENGDRSSGSTSIVTPNLGSSATEGRIEFNESFKLDVTLLKDGSSKVNDKGAFQKNLLEFNLYEPRRDKSKGQHLGSALIDLADHGVIKDTMNAGILVSSKRSFRNTAQPVLYVRIQPLNNGSISSSSRETLSKEASLDGKESVSDLMNEEYAEEAEIASFTDDDVSSPSSLANSSSALEANADFSVHNGPDVEDYENVHQKVKEDEEVHDNDSKLLLTSEPAKVDDDDSNLLLAPEPAKVESQVTEVSCAMNVVIDQNVTLPDSLLVGSSNNNKILEDCDGSESKSSQESSMLTMEKSEALSMVSSSFTHIISPEGATGFHTVKEAADENLLMQEIQEKSIDCKSTENIVEVLVQNEDNSVITDIVEPSDFAFQMNKSGISEAKQNFDQEGKSEESRNESEEADHGARYMSAETETEPSVEANAVESHLTENENITSALENTSIATAESAEHISVIQQHSILQNTGAISTDLAISSRRSFGEKHSNTYASERLKTMKLSVRSPPRLMGSVAYGASDQYKEDVKEIDIQEDACNNGTNSSTDDGRDDNESTSSGSSKVKHVSRVNGRGFSNNKVHELEFRVKLLEAELREAAAIEIGLYSIVAEHGSSAHKVHTPARRLSRLYNHASRQWSTKRRASAARSIASGLALVAKACGNDVARLTFWLSNTIVLRAIVTETSKYPDIPKSASIRSTNNGSVKLPKSKSSPLKWESISHKNEKFYFSEEFGDWDDPDTLISALERIENWIFSRTVESVWWQTLTPCMQSGYEGSDQQLGSYSQKSYGRTPSMGDQQGNLSVEIWNRAFRDASERLCPLRSEGHECGCLHMLARLVMEQCVARLDVAMFNAILRESDDEIPTDPVSDPIGDSKVLPIPTSELSFGAGAQLKNGIGNWSRWLTDLFGMDVDDFDTEENDQDDDKIPISVSFKSFHLLNALSDLLMLPKDLLLEKSIRKEVCPTFSASMIKHILSRFLPDEFCPDPIPDAVLQALESEEPFESSQEEIRNIPCDASPIIYSPPSATSIKNIVGEVRRTSFLRRIGSSVLRKCHTSDDELEELDSPLATIITDNFSSPKIETKHASSSFIRYQLLREVWRDDD >Ma06_p30210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31543656:31550861:1 gene:Ma06_g30210 transcript:Ma06_t30210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKHKKGASVHVDYIIHILEIKPWPPSQSLKSLRSVVLHWENGDRSSGSTSIVTPNLGSSATEGRIEFNESFKLDVTLLKDGSSKVNDKGAFQKNLLEFNLYEPRRDKSKGQHLGSALIDLADHGVIKDTMNAGILVSSKRSFRNTAQPVLYVRIQPLNNGSISSSSRETLSKEASLDGKESVSDLMNEEYAEEAEIASFTDDDVSSPSSLANSSSALEANADFSVHNGPDVEDYENVHQKVKEDEEVHDNDSKLLLTSEPAKVDDDDSNLLLAPEPAKVESQVTEVSCAMNVVIDQNVTLPDSLLVGSSNNNKILEDCDGSESKSSQESSMLTMEKSEALSMVSSSFTHIISPEGATGFHTVKEAADENLLMQEIQEKSIDCKSTENIVEVLVQNEDNSVITDIVEPSDFAFQMNKSGISEAKQNFDQEGKSEESRNESEEADHGARYMSAETETEPSVEANAVESHLTENENITSALENTSIATAESAEHISVIQQHSILQNTGAISTDLAISSRRSFGEKHSNTYASERLKTMKLSVRSPPRLMGSVAYGASDQYKEDVKEIDIQEDACNNGTNSSTDDGRDDNESTSSGSSKVKHVSRVNGRGFSNNKVHELEFRVKLLEAELREAAAIEIGLYSIVAEHGSSAHKVHTPARRLSRLYNHASRQWSTKRRASAARSIASGLALVAKACGNDVARLTFWLSNTIVLRAIVTETSKYPDIPKSASIRSTNNGSVKLPKSKSSPLKWESISHKNEKFYFSEEFGDWDDPDTLISALERIENWIFSRTVESVWWQTLTPCMQSGYEGSDQQLGSYSQKSYGRTPSMGDQQGNLSVEIWNRAFRDASERLCPLRSEGHECGCLHMLARLVMEQCVARLDVAMFNAILRESDDEIPTDPVSDPIGDSKVLPIPTSELSFGAGAQLKNGIGNWSRWLTDLFGMDVDDFDTEENDQDDDKIPISVSFKSFHLLNALSDLLMLPKDLLLEKSIRKEVCPTFSASMIKHILSRFLPDEFCPDPIPDAVLQALESEEPFESSQEEIRNIPCDASPIIYSPPSATSIKNIVGEVRRTSFLRRIGSSVLRKCHTSDDELEELDSPLATIITDNFSSPKIETKHASSSFIRYQLLREVWRDDD >Ma09_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32083434:32098996:-1 gene:Ma09_g21240 transcript:Ma09_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEQRKGAEEILYKTKVVQFLGRATPIVLQNDNGPCPLLAICNVLLLRNNLSLSLEASEVSLQKLLSLVAERLIDSNSNVQDKDDGYVTNQRQNISDAIDLLPRLATGIDVNLHFRKINDFEFTRECAIFDLLDIGLYHGWIVDPQDTDTAAAIGSKSYNTLVAELVAFETKRSEGDSRGEEEEDCVDFAAATTATLGVPSPNLSRGRSFDDHQVPVLDDQRKGKGDIQEEEELMRVLNLSRDEVSNPVSASVSSVGGLSDSSLGLDANDKRSCAGNCADTFGEQARDDCNKSNHSDSFALQECNASADCKGVVFAEDGSVLSNVVAGNDTCQTGHEGSVIYDISNKSEEIDMVMPNQATNFQSPDNGGSDRYCSYKDLMIVSANDESISKACSGDCNSQIHENSTDILNKASPYNLQSSNGIEELSNVSEVVTSCPGGEPIYEGEECILESGLTIYADREPVYEGEMVLAEQAEQTEENRHLANTSDEVAHKHWQLIKNFLEHNASQLTIYGLFCLQEGLKERELCVFFRNNHFSTMFKFNGDLYLLATDQGYMNQPDLVWEKLNEHDLGSSDLQLAIALQQQEFEQQQHQQQQQQQQQQQQQQHSQQSSIGGRSRLVTGPQVSRNAGAAQKSDSKTKEKCIVM >Ma05_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8760116:8760618:-1 gene:Ma05_g12060 transcript:Ma05_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDECKLKFLELKAKRNFRFIVFKIDEKLQQVTVEKLGQPEQSYDDLAASLPPNECRYAVYDFDFVTDENCQRSKIFFIAWSPDASRVRSKMLYASSKDRFKRELDGIQVEVQATDPSEMSIDIVKGRAI >Ma09_p19710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26009435:26013487:1 gene:Ma09_g19710 transcript:Ma09_t19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKARSLNVADPDARPVLVPGGNKARSVATAQKPASKPPSKTESTEVAAADEKKKQKKKKASSPRANLPQLRSSLSAPSALRRHEMLLQSNLSLNASCSSDASTDSFCSRASTGRIGRTSSTSKRRESISRTAKILAKVEKNVADDSTMHPPEIVQGKRKCTWVTPNTEPCYVSFHDEEWGVPVHDDKKLFELLVLSGALAELTWPVILGKRHMFREVFLDFDPVAVSKLNEKKIVVPGSTASSLLSEPRLRAIIENARQILKILLELCELQTHCQQNPLSTSGSCEDTESRCHKQRLGSKGLSQCGPDSRILLHAGSWTDE >Ma09_p19710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26009435:26014032:1 gene:Ma09_g19710 transcript:Ma09_t19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKARSLNVADPDARPVLVPGGNKARSVATAQKPASKPPSKTESTEVAAADEKKKQKKKKASSPRANLPQLRSSLSAPSALRRHEMLLQSNLSLNASCSSDASTDSFCSRASTGRIGRTSSTSKRRESISRTAKILAKVEKNVADDSTMHPPEIVQGKRKCTWVTPNTEPCYVSFHDEEWGVPVHDDKKLFELLVLSGALAELTWPVILGKRHMFREVFLDFDPVAVSKLNEKKIVVPGSTASSLLSEPRLRAIIENARQILKIIDELGSFDRYCWSFVNYKPIVSKIRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVVYSFMQVAGLMNDHLISCFRFVECIAAASSSTDEADRAKGRLDSKVEDKTSTDQEPMVGIAVELSRDVDELSIS >Ma08_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35788216:35793395:-1 gene:Ma08_g22100 transcript:Ma08_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLFSKKAFTTASGFRPIAAGDRCFLRQARGLTVAALPDLAYDYGALEPAISGEIMRLHHQKHHQAYVTNYNNALEQLETAVAKGDASAVVRLQSAIKFNGGGHINHSIFWKNLKPVNEGGGEPPHSTLGWAIDTDFCSLEALVQKMNAEGAALQGSGWVWLALDKGSKKLCVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVFDEETA >Ma04_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8208822:8209406:-1 gene:Ma04_g11620 transcript:Ma04_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLYLYLAIFLSAPYAFPAAVQQQTCGSPATVEDACKAVVDTQPAVGYDFCVSSLGAATSLVKPTDLHELAATAAKLAISHATATESKIEELMDLENDATVKSCFNTCLDVYTDATDRLRDALDNLSARLYQKAMAQLDGAMDAADKCEEAFKESKGSFPLASMDKDFSRLASIAHGIIVSIE >Ma05_p07640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5549901:5554910:-1 gene:Ma05_g07640 transcript:Ma05_t07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEQEQPKEGDTSIGLDIVQLTNRGGSSNFVASQLSFEPQSESSDPPPISRNSSSKQAPEKKLTLFAFRLALLEKSASGLGTLAFVWATVVLLGGFAIKLEGKDFLFVTIILVIESTRIFSRSHELEWQHQSASTLGGTGTYSFRALQSSSRLFFRALSAAVNPFSVLQRDGDRSRRPARSNRAVGSSRDDSAVESTAQRIWHGPDVPLIPYAGWVFMSKNISRVLSWLQLLSALACVSLSLLRLIQQDYGNVEQDSRNRKPALNLFYALALAEALIFLMEKAYWTWRILYCNLLETVSRECELGEGGIVSIRRFFYDAYAKCIDGSVFDGLKMDLVTFAKELLNSEFRDEQLIGAQVLEKFAKSAKFADDTLRKIGTSTWVIERLVEMLNWKNPGEEEIRRCAAEIVSKLAGKRTNVLRVAAIPGAMESISSLLHGGKTSNMTPHEMSKRSDAADGTNYDFSVFNLLGLLILKKLAADHDNCWKIGNARGLLPKIIDLTSASKTLLRNDRAPDSQIRTVKRSLQVVKMLVSTTGSTGKFLRQEISEIVFTVSNIREIIQNGESHMVLQKLGIEVLKNLAMDDNAKEKIGSTGGITKLLLSSFLRPGFTEDQNLLRNEAGVTLAVLTLESINNCDRVLKEKEVLEQLMGALTEPVLRINASRILRNLCAYSRAECSDRIGRLTAAMPTVLKSIMEAKEKLLEVSIGLTTQICKLMDPDEFAEALRQASIEETDLVEKLVRTLEKYTFPEIMVPRIRRFVIEQAIWMMKSNRNSIQLFKKFEMENLLGSVAETTSQLECFHIFSGSVGLIQHSKPLSSLLETALDLMIDS >Ma03_p22680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27428334:27430501:1 gene:Ma03_g22680 transcript:Ma03_t22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNGGGGGGEEKGLLWRLPVLKAKDLGKVGPGIGFGAGCGVGFGVGLVGGAGIGAGFPGLQLGFGFGAGCGIGLGFGYGVGRGVAYDDNRRYTNVGKLFHKVRNGSSENQIEILFDELMESTRKLIKATSKEIDKWR >Ma08_p29030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40790877:40797087:-1 gene:Ma08_g29030 transcript:Ma08_t29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATATTRFLISSPTPNHKTLLPSTARLRRRLRPLAVRCLQTPDRTLPYSAVASGLPRHRLVRLVDEFASLTEPVDRVKRLLACAASLPAFPEAGRVPANRVMGCTAQVWLSVAMDELGRVRFAADSDTEITKGFCACLVSVLDGALPEEVLEMTPEAFGDLNVVGLPVRAHSRVNTWHNVLISMQKRTTALIAKREGRPSADPFPSLVIGPDGIEAKGSYAEAQAMFLSPDESKIKELVSVLRKQKIGVVAHFYMDPEVQGILTAAQKLWPHIHISDSLVMADRAVKMAEAGCKYITVLGVDFMSENVRAILDQADFKKVGVYRMSNELIGCSLADAAASSTYMQFLEAASNSPPSLHVIYINTSLETKAYGHELVPTITCTSSNVVQTIFQAFAQVPDLNVWYGPDSYMGANIVELFHQMTDMTDEEISGIHPDHNRNSIRSLLKRLHFYQDGNCIVHDMFGHEVVERIKELYCDAFLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKNRVQEALDRDIDDHLQFVLGTESGMLTSIVAAVRRLLGSRESRCKIKVEIVFPVSSDSVSRTSVNGSQGLNYAIPSDITELAVVPGVANGEGCSVHGGCASCPYMKMNSLNSLLRVCQQLPDKDGTLHAYEANRFNAVTPGGKPVADIGCEPILHMRHFQATGKLPDKLIHHILHR >Ma06_p33000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33812195:33814410:1 gene:Ma06_g33000 transcript:Ma06_t33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVDSDKLSYEIFSILESKFLFGLDDHNKLLFPSSSSPSSTDSPRTPAGAAGRVRILSIDGGGSPSDAFLAAAALARLESSLRRHSGDPSATVTEMFDVAAGSGAGGVLAAMLFTRGHDGRPLFSAADALQVLLAESRRRGDRGFASKRGFLRGVFRRPGGFLRRIFGDATLRDTIKPLLIPCYDLATGAPFLFSRADAVEADGYDFRVWEVCAATCADAGAAAVELRSVDGRTRVAAAGGGVAMANPAAAAMTHVLHNKQEFPFVAGVEDLMVVSLAASAVAPSAAGTAGLVRIAGEGVADMVDQAVAMAFGDCRRSNYVRIQANGFMCGNCTPRMANPKNLMEAAEDIMSQRNVESLLFRGKKVSGETNAEKLDRFSGALIKEEERRKKSPIPTVVVKQVMTPRTSSATNITTATTVTTTTTTTSTTTTSTSPDH >Ma02_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24937637:24939460:1 gene:Ma02_g18470 transcript:Ma02_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSPSGEASVSSNQLVSLASANPNPVKKKRSLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRPKEVRKKVYICPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCERCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESSRGIPANPMASHHPLQFSHPSTSLDTFPLQQTQQQQQHFDQEYSHDNPADAPPPPPLPPTYQVPASSPHMSATALLQKAAQMGATASRPPRIGSFEQASAASPLLQDMMMNPRPSTPGFDGSFADAFGGMLGSKREGNDFMDGAVRSQARTDVGGGGGGNDGMTRDFLGLRAFFPQGYP >Ma08_p28930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40709886:40733289:1 gene:Ma08_g28930 transcript:Ma08_t28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRTLVSPDLDRAISRRTSWPCAAMRGSSQKDLAVFDFSEEEAAVEAAAGMFTAKFQSRLRPKMDDAVYKYHLLESYTSGWTLKGDITSGLRIDMHNRDNGRDPCAVDVPEQGLALNNEKAELDEIMASSTSYDEHGQMHPDEFEDFSNQMGIKIFPDYVIHGGISYGESQVVFSADCIKIKCSDAIGSDEKFSSEWAVADIVHIVCRWSGSVTTASVKLCLGENDVTKNEKHHNDSGFPEVRFSVNDMCWMEREQRIRNLAARYEHIWNASFGDMVCKNDLMDSNASRDFFAIIAGSLEDVIYPKGDPDAVSISKRDIEFLQPETFINDTIIDFYIKYLENKIQPDQKHRFHFFNSFFYRKLVGKDHGGVSEGREAFLRVRRWTQKVNIFDKEYIFIPVNFSLHWSLLVICHPGEVANLEDNEIESDKVPCILHMDSIKGCHNGLKNIIQSYIWEEWKERNPETTEDDSWKFLKLRFVSPEPPQQENSFDCGLFLLHYVELFLKEVPVNFDPFKITRFSSFLGAKWFPPVEASLKRSVIRKLIYEILNDHSEKIDPSTCSIGCPSTSGHPEDNTERDQHVELLSAHCSPAMGVMGGAICQDTEDGEKLDAVEDSQEADTTKAEHRVCEGSQKICASKADCQIVDGREINTNANEVEATDCQVLSATGAADREDGGRELDTNEAGEDGEDCGETTSNAVRQDNKSSVIHITEVESCDGSCQVNQPSLEATIITVDETPSDDNDDVRVTCKKPVQKPRKRGKVMIPEGRRVRTRSMTKEQHNDT >Ma08_p28930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40709886:40733289:1 gene:Ma08_g28930 transcript:Ma08_t28930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRTLVSPDLDRAISRRTSWPCAAMRGSSQKDLAVFDFSEEEAAVEAAAGMFTAKFQSRLRPKMDDAVYKYHLLESYTSGWTLKGDITSGLRIDMHNRDNGRDPCAVDVPEQGLALNNEKAELDEIMASSTSYDEHGQMHPDEFEDFSNQMGIKIFPDYVIHGGISYGESQVVFSADCIKIKCSDAIGSDEKFSSEWAVADIVHIVCRWSGSVTTASVKLCLGENDVTKNEKHHNDSDLPVGFPEVRFSVNDMCWMEREQRIRNLAARYEHIWNASFGDMVCKNDLMDSNASRDFFAIIAGSLEDVIYPKGDPDAVSISKRDIEFLQPETFINDTIIDFYIKYLENKIQPDQKHRFHFFNSFFYRKLVGKDHGGVSEGREAFLRVRRWTQKVNIFDKEYIFIPVNFSLHWSLLVICHPGEVANLEDNEIESDKVPCILHMDSIKGCHNGLKNIIQSYIWEEWKERNPETTEDDSWKFLKLRFVSPEPPQQENSFDCGLFLLHYVELFLKEVPVNFDPFKITRFSSFLGAKWFPPVEASLKRSVIRKLIYEILNDHSEKIDPSTCSIGCPSTSGHPEDNTERDQHVELLSAHCSPAMGVMGGAICQDTEDGEKLDAVEDSQEADTTKAEHRVCEGSQKICASKADCQIVDGREINTNANEVEATDCQVLSATGAADREDGGRELDTNEAGEDGEDCGETTSNAVRQDNKSSVIHITEVESCDGSCQVNQPSLEATIITVDETPSDDNDDVRVTCKKPVQKPRKRGKVMIPEGRRVRTRSMTKEQHNDT >Ma10_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32225677:32227472:-1 gene:Ma10_g23160 transcript:Ma10_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNPQTCREFKCKWENAENGQERQLL >Ma04_p00500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:442386:444997:-1 gene:Ma04_g00500 transcript:Ma04_t00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKESFFISRALPSSCEQESKLAYMTYHLLEITTNKRPPLVEPLELCEAASVKKAKSEDHSEPPLQEIAKLPLDESSYDAHYSDTNSLIVQIGRDMSIKCLLHCSRSDYGALASLNRAFNSLIRSGDLYKLRRQMGIIEHWVYFSCNILEWEAYDPYRGRWITLPKMPQNDFFMRSDKESLAVGTELLVFGRDYTCCISHIVLRYSILTNSWSQGIEMNSPRCLFGSASFGERAIVAGGVDAQGAILRSAELYNSETQTWTILRSMNKPRKMCSGVFMDNKFYVIGGMSSTTELLTCGEEYDMEKHTWTVIPNMSLGLNGPSGAPPLVAVVNNELYAADYAEKEVRKYDKKNNSWITLGRLPERPDSVNGWGLAFRACGERLLVIGGPRVLGGGMIELNSWTPRNGPPEWNMIASKHCGSFVYNCAVMGC >Ma06_p03370.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2470830:2483124:1 gene:Ma06_g03370 transcript:Ma06_t03370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLMKRDLQATAKSFQAEAKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAASYIETQHLKAREQQLQQPSQQQQQQQIQIQQLLLQRQAQQQHQHQQQQQPQRREGSQVLNGNASGLVSADPLMRQNPGTANALATKMYEERLKLPLQRDSLDEASIKRFGEHVGQVMDPNHASLLKSAAAPGQPSGHVLHGSAGGLSGPLQQVQARNQQLPGSSQDIKSEISPVLNPRAAGPDASLIGVPGPNQAGNNLTLKGWPLNGLDQIRPGLLQQQKSFMQSPQSLHQLQFLSPHQQQLLLQAQQNLNSPSAADIDNRRLRMLLHNRNMVLGKDGQSNALNDVIPNVGSSMQTPSPLMSRTDSDLLIKKIAQLQPRQQSSSSQQHALSSHQSQNSNHLLHQEKLGTASMTVDGSMSNSFRGTDQTSNNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMTQLQHNGGSSKPLVMFGDGPGSLTSPTNQLADMDRFVEDASLDDNVESFLSHDDADPRDVVGRSMDSAKAIALSGFMFNEISSAKASTNKVVCCHFSSDGKLLATGGHDKKAVLWHADDLKLKSTLEEHTLLITDVRFSPSMPRLATSSFDKTVRVWDVDNPGYSLRTFTGHSASVMSLDFHPSKEDLICSCDGDGEMRYWSINNGNCAGVFKGGTTQMRFQPRQGRYLAVAAENTICVLDVETQARRHLLQGHTKHVGSICWNSSGDCLASVSEDSVRVWSFGLGSEGECMKELSCNGNKFHSCVFHPSYPSFLVIGSYRSLEIWDMNENKTMTLTAHDGLIAALAVSNVTGVIASASHDKCVKLWK >Ma06_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2470830:2483124:1 gene:Ma06_g03370 transcript:Ma06_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLMKRDLQATAKSFQAEAKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAASYIETQHLKAREQQLQQPSQQQQQQQIQIQQLLLQRQAQQQHQHQQQQQPQRREGSQVLNGNASGLVSADPLMRQNPGTANALATKMYEERLKLPLQRDSLDEASIKRFGEHVGQVMDPNHASLLKSAAAPGQPSGHVLHGSAGGLSGPLQQVQARNQQLPGSSQDIKSEISPVLNPRAAGPDASLIGVPGPNQAGNNLTLKGWPLNGLDQIRPGLLQQQKSFMQSPQSLHQLQFLSPHQQQLLLQAQQNLNSPSAADIDNRRLRMLLHNRNMVLGKDGQSNALNDVIPNVGSSMQTPSPLMSRTDSDLLIKKIAQLQPRQQSSSSQQHALSSHQSQNSNHLLHQEKLGTASMTVDGSMSNSFRGTDQTSNNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMTQLQHNGGSSKPLVMFGDGPGSLTSPTNQLADMDRFVEDASLDDNVESFLSHDDADPRDVVGRSMDSAKGFMFNEISSAKASTNKVVCCHFSSDGKLLATGGHDKKAVLWHADDLKLKSTLEEHTLLITDVRFSPSMPRLATSSFDKTVRVWDVDNPGYSLRTFTGHSASVMSLDFHPSKEDLICSCDGDGEMRYWSINNGNCAGVFKGGTTQMRFQPRQGRYLAVAAENTICVLDVETQARRHLLQGHTKHVGSICWNSSGDCLASVSEDSVRVWSFGLGSEGECMKELSCNGNKFHSCVFHPSYPSFLVIGSYRSLEIWDMNENKTMTLTAHDGLIAALAVSNVTGVIASASHDKCVKLWK >Ma06_p03370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2470509:2483124:1 gene:Ma06_g03370 transcript:Ma06_t03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLMKRDLQATAKSFQAEAKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAASYIETQHLKAREQQLQQPSQQQQQQQIQIQQLLLQRQAQQQHQHQQQQQPQRREGSQVLNGNASGLVSADPLMRQNPGTANALATKMYEERLKLPLQRDSLDEASIKRFGEHVGQVMDPNHASLLKSAAAPGQPSGHVLHGSAGGLSGPLQQVQARNQQLPGSSQDIKSEISPVLNPRAAGPDASLIGVPGPNQAGNNLTLKGWPLNGLDQIRPGLLQQQKSFMQSPQSLHQLQFLSPHQQQLLLQAQQNLNSPSAADIDNRRLRMLLHNRNMVLGKDGQSNALNDVIPNVGSSMQTPSPLMSRTDSDLLIKKIAQLQPRQQSSSSQQHALSSHQSQNSNHLLHQEKLGTASMTVDGSMSNSFRGTDQTSNNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMTQLQHNGGSSKPLVMFGDGPGSLTSPTNQLADMDRFVEDASLDDNVESFLSHDDADPRDVVGRSMDSAKAIALSGFMFNEISSAKASTNKVVCCHFSSDGKLLATGGHDKKAVLWHADDLKLKSTLEEHTLLITDVRFSPSMPRLATSSFDKTVRVWDVDNPGYSLRTFTGHSASVMSLDFHPSKEDLICSCDGDGEMRYWSINNGNCAGVFKGGTTQMRFQPRQGRYLAVAAENTICVLDVETQARRHLLQGHTKHVGSICWNSSGDCLASVSEDSVRVWSFGLGSEGECMKELSCNGNKFHSCVFHPSYPSFLVIGSYRSLEIWDMNENKTMTLTAHDGLIAALAVSNVTGVIASASHDKCVKLWK >Ma02_p09400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19408635:19411278:1 gene:Ma02_g09400 transcript:Ma02_t09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHRVGGGEISGGDRTVSIDASGSWNELSSIRRRRLSSPSPSAFLTPAFDACATATLSSSGIGGGADSSLDLDPSLLRYTRFRPHLSPSDSSDLRSTSTRRRLLQLRSSLSSFPSSSAEGGCCFVSPLSPIDNLPPGRSLPVHMTPLTMQVGEDVVVMDGVLVSDAETTSRRSLHRTEACRAWDENGICRYGSKCQFAHGKEELRGGRRSVKQASEVPAMRSGRSRTQFGSILPSAASSYAVFGSLLPSAASSYAVYDAAAGVTTRNVAPPAAINNHLTADADYQRLIIPAPNQASPAASAGAMAAPSTAEADCKQQTTPLSSPQSTEPSFQWPMTEAEDDQISRILYGPSQRRRLPVFTQFCPE >Ma10_p25420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33570148:33572036:1 gene:Ma10_g25420 transcript:Ma10_t25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MGFKFYAPASLFLLLLSLPAFAYGWGVDGHAIICQIAQDRLSDPAAAAVEDLLPNYAKNNLSRLCSWADRVKFRYRWSSPLHYIDTPDGLCTYDYDRDCKDEDGVKGRCVSGGITNYTNQLLDYGNSSSESQYNLTEALLFLAHLMGDVHQPLHVGFTTDRGGNTINVRWYRRKSVLHHVWDDNIIETAEERFYNDNVEEFTEAIKQNITGEWSDQVAKWEKCSDNKVACPDVYASESIEAACDWAYKDVKNNTQLEDDYFLSRLPVVNLRLAECGVRLAATLNRIFG >Ma10_p25420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33570148:33572036:1 gene:Ma10_g25420 transcript:Ma10_t25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MGFKFYAPASLFLLLLSLPAFAYGWGVDGHAIICQIAQDRLSDPAAAAVEDLLPNYAKNNLSRLCSWADRVKFRYRWSSPLHYIDTPDGLCTYDYDRDCKDEDGVKGRCVSGGITNYTNQLLDYGNSSSESQYNLTEALLFLAHLMGDVHQVLPQTEEETPLTSAGTEGNQCSTMSGMTISLRQRKSDSTTTTWRSSLKPSNKTSRESGQIKLRNGRNAATTKSHVQTYTHLRASRQHVIGHTRMSRTIHSWKMTIS >Ma04_p15430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11709406:11713186:-1 gene:Ma04_g15430 transcript:Ma04_t15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESVYTQRVVVEAGHDSHTWRKLTGDNFSSHASYADLRQRSVTDMGSVSRTDKKIVEGEFCYMYRNPLQDNPAYSVVKYHFVNEDDTVPQKIVVQKSSPGGIHFQRGEPRQREDVYACILTCGGLCPGLNTVIKDVVCRLSYMYGVKMIVGIQGGYRGFYARNTIPLTPKSVNDIHKRGGTILGTSRGGHDAIKIVDNIQDINQKLIYRWFLFPPVYIIGGDGTQKGAAGIRRRGLKLAVAGTPKTTDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESMENGIGVVKLMGCYGGFIAVYATVASRDVDCCLIPESPFYLEGKGGLFECIEKRLKENGHMVIVVAEGAGQELLADSIRSMGHEDASGNKLLLDVGLWLSSQKIKDYFTSRQKMRINLEYIDPTYMIRAIPSNESDNVYCTLLAPSAVPNN >Ma11_p13170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17435592:17435705:1 gene:Ma11_g13170 transcript:Ma11_t13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKVGEKTKLVIDQKIKGFFVLVYWSLVYFIPKKLE >Ma07_p10020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7494847:7503295:1 gene:Ma07_g10020 transcript:Ma07_t10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREEEEDEEQKKEEARAGAGGKMTRRPFRLRAPPTPYDRPPAAGGKERREGDGWVSKILVRPASTIIPSLFSPSPSAASNQDYPPLSESFLGTRQDDADRVSSPDNQVLQAKDRGVFAEKSQPFGDPEVHQLSGDEARNVCDSGGIAVKVISMLNLLFLCREQSNCLMKLLLSRTRDLSHDNRPAAGFVTKADGTLNLKAPRKSAQSAVLPEQRITPKPREASEMRVAHDVGSSPVEIAKAYMEALANESNHNSHDGRLELEKATFDNCASASDMSYSSDVKLPICWPGAFVESSYRYHTPQTHRTKVEPYKFQRTPYYGSNFSRSKFQAGDQSPQIVPLSRWKRSTTFGGVMFFEREVKHQGTSKRTQKLASCIFVEASQKHKYIDPLFCVWNEHYEEFPHTREFCYRSIENEIAIKLMFQIHVPEKYNESTPSKEFGTSNLANFRLMSSATSNDKNLPSPLGMTSEKDSLASSLNFQAAEGSKYNIGPSSVHPKSSQMARKILEHLDRTVPSPKEKMVQLKLEKTGTKDFLNSACLAMDGREKRTDVSIIADDKPNSLSMDTAAAEEATTRLIMDNNHDKRPSITPNSTNQSILRAMEKTSEGFAFTFPVSTPINAPSQAPPTPTMTSSPAVCRMLSNTEDTIPSFTFSSPRADNGLVFSFGSISSPTLTDTTTLQFKFGSEKQRNLSFSSTSKDTYGLWVGS >Ma04_p40000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36949688:36958319:1 gene:Ma04_g40000 transcript:Ma04_t40000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQLLSPPPKLLGFILFLLWLQEFYKLENVLLHSFALVNQQNEEKENTFMLAASPNSAPQPFLPLLAPSPMGTPFFHNSTPKLSGRCTLNFTSVDSLMSTTAVDCWTSFAPFLANVICCPQFQATLTILIGQSSKETGMLALGSTHSKYCLSDIQQILGSQGASSDLQEICSIHSSNLTRGSCPVYDINGFESVVDSSKLLSACRKVDPVTECCSQICQNAVLEAANKLALRNGELMASFSISNSLIEHSSKIDSCRSIVLRWLSSRLNTASAKLFLRRLSNCNVNRVCQLDFPDTKAVAENCGNMIKNNTTCCHSMENYVSHLQKQSFITNLQALSCATLLGLKLQEMNVSTNIYSLCQITLKDFSLQVGTQESGCLLPSLPSDVTFDPSSGFSFTCDLNDNIAAPWPSASQISSSSCNKSVNFPALPAATSSQFGLIAADMMLRVVFSLLLLLVILL >Ma04_p40000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36949688:36958319:1 gene:Ma04_g40000 transcript:Ma04_t40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSQLLSPPPKLLGFILFLLWLQEFYKLENVLLHSFALVNQQNEEKENTFMLAASPNSAPQPFLPLLAPSPMGTPFFHNSTPKLSGRCTLNFTSVDSLMSTTAVDCWTSFAPFLANVICCPQFQATLTILIGQSSKETGMLALGSTHSKYCLSDIQQILGSQGASSDLQEICSIHSSNLTRGSCPVYDINGFESVVDSSKLLSACRKVDPVTECCSQICQNAVLEAANKLALRNGELMASFSISNSLIEHSSKIDSCRSIVLRWLSSRLNTASAKLFLRRLSNCNVNRVCQLDFPDTKAVAENCGNMIKNNTTCCHSMENYVSHLQKQSFITNLQALSCATLLGLKLQEMNVSTNIYSLCQITLKDFSLQAVGTQESGCLLPSLPSDVTFDPSSGFSFTCDLNDNIAAPWPSASQISSSSCNKSVNFPALPAATSSQFGLIAADMMLRVVFSLLLLLVILL >Ma09_p19520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24356420:24364489:-1 gene:Ma09_g19520 transcript:Ma09_t19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKVAKFQKNITKRGSVSESTAKKGNDYPVGPIVLGFFIFVVIGSSLFQIIRTATSGGMT >Ma04_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4783400:4784885:1 gene:Ma04_g06490 transcript:Ma04_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEQQQHDGQSASYYAVLGIGRGASLAEVRSAYRKLAMKWHPDRRRGQPWGAEEANRRFQQIQEAYQVLSDVKRRKLYDAGLYNPLQEDEEEVEGFDDFVKEMVSLMASVRKEGKQYSLEELQKMLLEMAQDLGSPSQPRWSADAGWCPRTSNWFADDMERESRRKTHLHFTGMQLFGSATYH >Ma04_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6731511:6737329:1 gene:Ma04_g09490 transcript:Ma04_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGSTQASQEESREEGRKREGTMGCSLSGLNAFYDAVSGGGDVWINENRFRIVRQLGEGGFAFVFLVKEVVADGLARKKSINPSHISDDGTYAMKKIIIQTEEQLELVRQEIRVSSLFSHPNLLPLLDHAIIPVKGMQDGSLKHEAYLLFPVHLDGTLLDIAEAMQLKKESFTTITVLHIFRQVCGGLKHMHSFDPPYAHNDVKPGNVLITHRKGQPPLAILMDFGSARPARKEIRSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGTSPFEYVLGESGGSLQLAVMNAQVKWPSGLNPPYPESLHQFVVWMLQPQPAARPNIDDIIIHVDKLITKYSP >Ma03_p13500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10604763:10645728:-1 gene:Ma03_g13500 transcript:Ma03_t13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein [Source:Projected from Arabidopsis thaliana (AT1G17690) UniProtKB/TrEMBL;Acc:Q8H1E7] MSPRVGHKRPKTLEKCKIMKHSRKKLLGDKPKDGPLPSSTGHSLDKPLSDVGLEDDTKDASVFDNLLKILGSNSKKLASIYRKRQREQEGRSDTEEEDDSRSQSSSLPDGADTGAGSGTESSSEAAEGDDVQDAVVNHYHIDEDGDEDATSDANDFQDMENEYRIDGEAACTSSFHGHLGHDLTNEEVEKLLKKQWKFKWEMPATEMPMSKWVGTGDAMTMDTSTDLVYGLKQKLYNNWLSICKSSGSSDFGSSRQKFFSLCHTYRDILHCNKKPFYLKGNEEDSSIMDAYIIHALNHVYWTRDLVIKNDAKLTKQQEDKKEEILSGGTYLDQGFTRPKVMFLLPLGSIAMRVVKRLIELTPLANKANVEHINRFTEEFGAVDEEDEGEAENSKSQKPTKPSDFQALFGGNNNDHFMLGIKLTKRSIKLYSDFYSSDIIVASPLGLITKIGEAEVDKEKDVDYLSSIEVLIIDHADVISMQNWSHVNTAVEQLNRIPSKQHGTDIMRIRQWYLDGHARFYRQTILLTSFVNPEMNALFNRSCLNYKGKVKLISQYKGVLPKILLPVRQVYERFDAKSVMDADDARLDYFCKKVFPKLKDSIEGGTLLFVSSYFEFVRIRNFLKSQNASFCLLGEYTKPSDISRARVWFFEGKRKIMLYTERAHFYHRYKIRGIQNIIIYSLPERKEFYPEIINMLDESKNMMCSILFSRFDQFKLERIVGTVAAKKMSSSEKGIFVFC >Ma04_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19884473:19888203:1 gene:Ma04_g18200 transcript:Ma04_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLLSLLICCSQIVLSIKKIKPFCQMVHQNNYNNDDFTMEFGIKMKYELTSVEPRVLAAPKVVLDEVFRFCGDLIAIFNSAGMVRSLSLSNLLIVFVHYHMLHMTFMRGFYGMIKRICESEVVIVSQCLLLYVYGADVTYPLPGEDSSPSISKHVTKFPEVTKYGGLFSGQQHRQEMIQDLFTVIKDTNEGTLYGGMIGNFAPCQDGVSKGQFSHVLLYEMDVIRKAGASLQQGTVVEKKICCPTEFDFYLCSRAGIQGTSRSTHYHVLMDETKFSADGLQMLTHNLYYLAYSSFLSYKMMHFLFDSSTSILCPFGWYYMQGERSDAGSSGRTRDRPVEVQHLPLVKDNVKVVMFSC >Ma08_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1634747:1636936:1 gene:Ma08_g01990 transcript:Ma08_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWVAEVKTDSPSHVVFASGRNSKHGSKKGTVLSASSRRVSASSVPLTPGSEGEILSSSKPKSFTFSELRTATRNFRPDSLLGEGGFGAVFKGWIDEHTFAAAKPGTGLIIAVKKLNPESFQGHREWMTEVNYLTQLSHPNLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRSPYYRPLSWSLRMKIALGAGRGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPSGSKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLVELLTGQRAIDKNRPSEEHNLVEWAKPYLASKRRVIRIMDSRLQGQYSVAGAQRTAGLALQCLSMQAKRRPSMDQVVIALEQLQDATDMERTPKTELKSSGHRGSDRAHNMPRRKISVDVGDGKVARPRPAASHLNA >Ma08_p23990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37311497:37315308:1 gene:Ma08_g23990 transcript:Ma08_t23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNPNPLLSKPLPPPLLRIPSRCFLRCRAAADASSDWFRPRGDPPDGGRMSARDPGTRINATEESTDQSSSGNKKKKKKSKWWWWSRDRESYLANDSDALPLPMTYPDSSPVSPQEIDRRLQCDPSIEDCKPVVYEWTGKCRSCQGTGLVSYYNKRGRETICKCVPCLGIGYVQKITVREDINVMEDVDNGKPP >Ma08_p23990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37311497:37315308:1 gene:Ma08_g23990 transcript:Ma08_t23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNPNPLLSKPLPPPLLRIPSRCFLRCRAAADASSDWFRPRGDPPDGGRMSARDPGTRINATEESTDQSSSGNKKKKKKSKWWWWSRDRESYLANDSDALPLPMTYPDSSPVSPQEIDRRLQCDPSIEDCKPVVYEWTGKCRSCQGTGLVSYYNKRGRETICKCVPCLGIGNYI >Ma06_p00780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:634531:644232:1 gene:Ma06_g00780 transcript:Ma06_t00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCSAKMVALLPNSRIVASHGLGLPRIPFARFRNLHRFASDCGRKRLPGARYPLGPLFSFTNPPSSVGFGGVVRLLSSGFEPKGFDSLRLRAASDGGSGGPNGGDGGSGGGGGDESGGGNDRFFLSWYLMALDKYPVITKAITSALLTLIGDLICQLLVDQVTKLDLRRTFVFTFLGLVLVGPTLHFWYLYLSKLVTFPGASGAFLRLILDQFVFSPIFIGVFLSSVIALEGRPSQIKQKLQQEWFSAVLANWQLWIPFQFLNFRFVPQKFQVLAANFVALAWNVILSFKAHKEIVLK >Ma06_p00780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:634506:644266:1 gene:Ma06_g00780 transcript:Ma06_t00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCSAKMVALLPNSRIVASHGLGLPRIPFARFRNLHRFASDCGRKRLPGARYPLGPLFSFTNPPSSVGFGGVVRLLSSGFEPKGFDSLRLRAASDGGSGGPNGGDGGSGGGGGDESGGGNDRFFLSWYLMALDKYPVITKAITSALLTLIGDLICQLLVDQVTKLDLRRTFVFTFLGLVLVGPTLHFWYLYLSKLVTFPGASGAFLRLILDQFVFSPIFIGVFLSSVIALEGRPSQIKQKLQQEWFSAVLANWQLWIPFQFLNFRFVPQKFQVLAANFVALAWNVILSFKAHKEIVLK >Ma02_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28732541:28733275:1 gene:Ma02_g23940 transcript:Ma02_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVESVQCFGRKKTAVAVAHCKGGRGLIKVNGVPIELVKPEILRLKAFEPILLLGRQRFMGVDIRIRVRGGGKTSQIYAIRQSIAKALVAYHQKYVDEQSKKEIKDILGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >mito3_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:1395701:1396420:-1 gene:mito3_g00190 transcript:mito3_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNYTIMDRGVGKRNRRRIQERAVKEKRDESILVLLEMLEGAKSIGAGAATIASAGAAVGIGNVLSSSINSVARNPSLAKQLFGYAILGFALTEAIASFALMMAFLISFVFRSQKQCLW >Ma05_p16240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15851354:15852561:-1 gene:Ma05_g16240 transcript:Ma05_t16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWGKERQRRGHECPSFSSTLLDAIYRSMDESDAGGAPEPSLAASRRPAMVVSERAGSRPRGLPPISTSSSSDNSSYGGFSSSSEPESASSHRARLRPIRSGEAPARCTAVSSPPTRPPPPPPLQQHQRISSPPVVHHRERTKSSSIRSKLWDLGRSKAPASPGGRLAALLNSLFASAAKRPKKSKTPTATAAGAVGGYDDSACSTLSSHSRSCLVKAPSSRRAPPAEDEGAKRSVRFHPMSVIVGEDLRPCGQKNVYAGDRAAEGRRRTVATEVEGKGKTKTRMRVEELLRRFEDGEEEDGEISDSSSDLFELENLTVMTGGEGYRDELPMYETTHAATNRAISRGLVP >Ma03_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6861251:6862614:1 gene:Ma03_g09310 transcript:Ma03_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCHKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLTRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQSLLGNRWAAIASYLPRRTDNDIKNYWNTHLKKKIKKHEAAADGYEMTCGTSSICLDNMEARKQDIATLTFPGFYKAPSIYASSTENISRLLEGWVCSSPRQGKLQGSAITDSNNNNGSSSSNSNAIILQKVQAESDRGSFETMMHEDLASLLSFENMSGIAGAEAKQGMEDDQPPLSFLEKWLFDEASAQVDGLMYLPADRCSNSLF >Ma07_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7286967:7298000:1 gene:Ma07_g09720 transcript:Ma07_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic aminotransferase ISS1 [Source:Projected from Arabidopsis thaliana (AT1G80360) UniProtKB/Swiss-Prot;Acc:Q9C969] MGTYPKLSKRALETETPVMIQIQELMRGAKDAVSLAQGVVYWQPPEQALEKIKELVWDPSTSRYGADEGLPELRQALVEKLRRENNLNKSSVMVTAGANQAFVNIVLTLCDPGDSVVMFAPYYFNAYMSFQMTGVTDILVGPSDPKTLHPDVVWLEETLSQNPVPKLVTVVNPGNPSGAFIPELVLQKISDLCKRAGAWLVVDNTYEYFMYDGLKHFCIEDSHIVNLFSFSKVYGMMGWRVGYIAYPEAVDGFGAQLLKVQDNIPICASIIGQRLALYSLEVGPEWIRERVQTLVKNRELLVKALAPLGEDAVKGGEAAIYLWAKLPDKFPDDYEVVKWLVRKHRVIVIPGSASGSPGYVRISFGGLQEADCEAAASRLRKGLEELVRDGMVQEHNGPAAIVY >Ma03_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11389940:11390267:1 gene:Ma03_g14230 transcript:Ma03_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLPSTLRHCAPILPPAEAISSSLVVVEN >Ma00_p04600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39510870:39517606:-1 gene:Ma00_g04600 transcript:Ma00_t04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGFSGRKNFGLLISHIERQQPSPPSVIVIGGGISGIAAAHALSNASFKVILLESRNRLGGRVHTDFSFGCPVDMGASWLHGVCNENSLAPLIRGLGLRLYRTSGDNSVLYDHDLESYALFDKDGNQVPQQVVMRVGETFERILKETERVRDENTADMSLLQAISIVLDRNPQLRQEGLAYEVLQWYICRLEAWFAADADTISLKNWDQEHVLSGGHGLMVQGYYPVIQALSKGLDVRLNHRVAKIAQRSNRVIITMEDGNTFVADAAIITVPIGVLKANLIEFEPRLPAWKLSAISDIGVGIENKIALRFNTVFWPNVEVLGLVAQTSYACGYFLNLHKATGHPVLVYMAAGRFAYDIEKLSDEEAINFVMLQLKKMIPEATNPIQHLVSRWGTDPDSLGSYSCDLVGKPADLYERLCAPVDNLYFAGEAASADHSGSVHGAYTSGITAAEVCRSRLSVQHGISDLFHLVMTEEFAEVMVPLQISRM >Ma05_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:569894:571316:1 gene:Ma05_g00920 transcript:Ma05_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRREKGGRVDGLEVKLPSFFRCPISLEVMRSPVSLCTGVTYDRDSIQRWLDSGHRTCPATRLPLPSPVHLVPNLTLRRLIHLWSSPHLLPPPPPSSSPDDLLLDLRSSSSDPLPLLDRLSAFFSSPATDDSEKDRLASSVHFAPALVSRVVDDNAGLEALRAAVRVLALVLGMESRRELAIAALIADLDGSVSALLKVLKSRDGAEEYRIDAATVLESILSSPSCDSERRILIVEKADLFPELVRLIDPPDTMDPAAADAGLRCLLAAAKGRHARAGMARAGAVPALARALTAAELPATTAERALKAMEAAARSTEGRAAVCEAAEVCVGAVMERVLKVGREGREAAVAVLWAACVAAEDRRAREAVAVARGGAAKILVVMQGGCSPAAARMARELLRVFKVDAESCSVGYDTKTTHIMPY >Ma11_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18670958:18672209:1 gene:Ma11_g13630 transcript:Ma11_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPIHNTVYCCVAQGNRAMCSYNSGGPELEILAAECLENAPAFHAWYFHTTGVRTVGLLMADGYTYLAILDLGVCNLAVLQFLENIRDAFLKAPEDGLQDELVRVIEDLIASPENIHQSWLLAEENCEGNVSDEASTSTKETLQRNDEHYGSVVGDNKNVKVDVTTEPTPLRRSLSSSRPQLVGRELWWRQVKIVIATGVILCLISSVVWLAVCKGLHCVSR >Ma10_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27013537:27013969:1 gene:Ma10_g14660 transcript:Ma10_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVEPMARKLPEANGRLGKKHGCDEHYFRMPLHYPRYSKADYEAMPEWQLDRLLAEYGLPAMGDVAQKRSFAMGAFLWTHH >Ma11_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22655860:22657511:-1 gene:Ma11_g17310 transcript:Ma11_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGSSVPFASIGRSILSIRRDQVHTVDGHHHDSPGGGAAHDLELEVFQKHVADLFVDLASSPPAAAAADELLSLAWVRRLLDSFLICQEEFRVILFSCSKGQRVLLARPPLDRLLSDFFDRAVKALDVCNAIRDGVDQLRLWRQHLEIALAALNGGRPLGEGQLRRARKALTDLTILMLDEKETAGGSILNHRNRSFGRSSKETHHRRTGSGGAAASACHFRSSSWSVSRSWSAARQLQAIGNNLAVPRGNEVSATNGLAFPVFTMSSVLFFVVWALVAAIPCQDRGLQTHFSVPRNLPWAASITSLHERIFEESKKKDRKNSCGLLKEIQQIEKCSRHLAELLDPVEFPLTEEKDTELKQGVEELVEVCSALKEGLDPLERQVREVFLRIVRSRTEVLECLNKSHNPE >Ma03_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:416175:417185:1 gene:Ma03_g00480 transcript:Ma03_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGLEMDLPGFRFHPTEEELLDFYLRRMVQGKKLQLEIISTINLYHYDPWELPGMAKIGEREWYFYVPRDRRQASGGRPSRTTERGFWKATGCERPVRSAADPKRLIGYKKTLVYYHQGRAPRGSKTDWVMNEYRLPDPLLKDVVLCKVYRKPASMKELEKRAAAMEGETTMTVSQNSGSTAESASGSYQERLLQESITAVDDVIVIEDASDVVVVIGDEAEEEVDASTASNRRPSLLELEVPKNRELEWLQDSFFTQLTSPWPESWSPYYDTMLNR >Ma00_p02620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19507702:19512658:1 gene:Ma00_g02620 transcript:Ma00_t02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGGGGLFHLFGWNRKSRKKLFPDGNASSEKTIQGNKHDDNMPTSRFHLIEQNQFERVASTKAGSRSAGASSVTNEEGSGLRTPGVIARLMGLDYIPASGISEPYSTPLHDLSSIRDDNSRTVMYGNDDFYHEVTRRVICSGRPELKSQNMPGGPIEEFQTDLMPCRVAKTVAITHQKLLSPVKNPSFISSDSASYIIEAAANVLESELHGGSMGGIQSFKAPLNPSKDHNLNGIIALPRKILMSTESSEGITEPDAPGSLQEWALRRSLKGLKDSTIGQAFPNTNEAHPFAAKGMLNGTKSVPGDASFKKNKIANEHSGNAKVGYPKKDTELPDINKDRLPAGYKNITRKKSLVKQSSCSQRNMFYHDISLDRHGKQVQHNVVMDEHLRWHYDNTQNSVDVVSFTFTAPIRKPMPASQASSLEVEMQDKNYGHSENPCDAAECSDRETSSHLKLHEADVDCLGIILERKMWELTSRVQSPYCKLVNGGGVPAYASVLGDSISAFTEPSIAPAEHKMDLLLRSYDDELSGSFESDSLSSDQAESISYKLQEVKMVDCNSSGVDEKCCHQDQSPLSCYSTESWDNAHGSKMGSSSKLSNLVSPFVHNGDGQMVTEIGISCHSNACSQELGYVREILTNTGFTFQDLIPCAIDHSFEILDPILFDKLEETRTSTAHNVGEVKKLKMRRKMLFDSVNECLDSKCSRYFRAGYHSWAQGVVVAMKELAEELYKEISGWNGTGDGIVDELVNESMNTHLGCWTRFEIEAFEAGVEMERRVFNSLVDEVVVDFS >Ma00_p02620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:19507724:19512658:1 gene:Ma00_g02620 transcript:Ma00_t02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGGGGLFHLFGWNRKSRKKLFPDGNASSEKTIQGNKHDDNMPTSRFHLIEQNQFERVASTKAGSRSAGASSVTNEEGSGLRTPGVIARLMGLDYIPASGISEPYSTPLHDLSSIRDDNSRTVMYGNDDFYHEVTRRVICSGRPELKSQNMPGGPIEEFQTDLMPCRVAKTVAITHQKLLSPVKNPSFISSDSASYIIEAAANVLESELHGGSMGGIQSFKAPLNPSKDHNLNGIIALPRKILMSTESSEGITEPDAPGSLQEWALRRSLKGLKDSTIGQAFPNTNEAHPFAAKGMLNGTKSVPGDASFKKNKIANEHSGNAKVGYPKKDTELPDINKDRLPAGYKNITRKKSLVKQSSCSQRNMFYHDISLDRHGKQVQHNVVMDEHLRWHYDNTQNSVDVVSFTFTAPIRKPMPASQASSLEVEMQDKNYGHSENPCDAAECSDRETSSHLKLHEADVDCLGIILERKMWELTSRVQSPYCKLVNGGGVPAYASVLGDSISAFTEPSIAPAEHKMDLLLRSYDDELSGSFESDSLSSDQAESISYKLQEVKMVDCNSSGVDEKCCHQDQSPLSCYSTESWDNAHGSKMGSSSKLSNLVSPFVHNGDGQMVTEIGISCHSNACSQELGYVREILTNTGFTFQDLIPCAIDHSFEILDPILFDKLEETRTSTAHNVGEVKKLKMRRKMLFDSVNECLDSKCSRYFRAGYHSWAQGVVVAMKELAEELYKEISGWNGTGDGIVDELVNESMNTHLGCWTRFEIEAFEAGVEMERRVFNSLVDEVVVDFS >Ma01_p14120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10338341:10339366:1 gene:Ma01_g14120 transcript:Ma01_t14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKLFGSTKCILLFFLALFLRPPTSASWDNSQPECPYPCLPPPTSVTNCPPPPPAAPATPTAGYPPQPPGTPGYWSYPPPSPQGYYPYLSTPPPPNPILPWFPWYYYKSPPSASVAPPLEKDSLRKIVISMLGMTMCLVFI >Ma07_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30855981:30857747:-1 gene:Ma07_g23120 transcript:Ma07_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDHLTDLFETFSTLRKYGLWLNPAKCAFDVSSGRFLRFIVHERGINVNPKKVQAIIYMQAPRTVKDLQRLNGQFAALSRFLSRSGDRCLPFFRALKNPKDFRWTVQCEEAFGQVKRYLTNLPRLASVILGEKLSVYLAASQHAVSSVLTKEVSGEQLPVYYVSHVLNGLEERYLPLVKLALVLVLAPRKLRPYFQAHMIEVIIDQPLRQVLSKFDVAGRLLKWSVELGEFDIHYVPRTAIKTQSVADFIAELADSGNGSPEQTEEAWELHVDGSVTSSSAGAGLVLSAPDRRSFEHSLRFGFRATNNEAEYEALLAGLKLALEMQVDVIHVFTDSQLIAEQLGDGYEAREPTMARYLAEVKSLASNFSRFTLSKVSRNQNERADELAKMASGLDHGNRSGVKDLPFRTILVSSIAPNEARTMWVQEMLLFKRNGVLLDDEAATRRIRRTQAWYSEVNRRLYKRSFSQPLLRCLEPGEAKAVLTEVHEGICGEHIAARTLAYKILRQGYYWPTMSQDARTYVQRCGPCQWYARTPRQPAVPLSPIDCAWPFAQWGLDLLGPFHRPRGNDDTSSWAWITSPNGPKPSH >Ma03_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1933047:1937176:1 gene:Ma03_g02860 transcript:Ma03_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACMDGGESTVVSVCAVGDGGGTGDGAGIQAAATEYSAASTPSPSPPAAAFPGVVGGVMGMPVPPPEGAAQAMGMMGSGGGGSSGADLFGRKKKGRPRKYGPDGMALALSPTSGSPFSPLSSDGKRGRGRPPGSGKYQLLASLGEWFAYTAGGNFMPHVVTIATGEDIAARIVSFSQKGTRSICILSANGAVSNVTIRQPGSSGGTLTYEGRFEILSLSGSFTTTENGGVRSRTGGISVSLAGPDGRVIGGGVVGLLLAASPIQVVVGSFKLNAFKEQKAKPTQQTPFAAVPANTGMLMDARPISQANPEDDCEIPTSLLTGQSHAENSMHNPTPNSTLHAAGWHGLQSSEHDPSPDINICLQGD >Ma02_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27089967:27094489:1 gene:Ma02_g21480 transcript:Ma02_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLTRDSIACLFAAVLVVFLVSPPSAAQPLSPSQYKTLLRLQRLLEYQPPLAGWSNATALCYLPASLSLSISCSGGRVTGLSIVGVADRPLSANFSSDSLFTTLARLSGLTTLSLVSLGLWGPLPGKVDRFSSLQVLNLSSNYFSGPIPPAISNITSLQNLCLTGNSFNGTVPDLSPLTTLTELDLSGNHLGPEFPYVSSSLVSLLLQNNSFQDRLPPRLASFGHLQKLDLSSNHLHGWIPAFLFSLPSMQYLDLSGNRMTGEVPANSSCGSQLAYVDISDNLLVGGLPSCIQANSSNRVVLSSGNCLNSDDFELQHPNSYCNQGAMAAILPSANKISGSKSKLGLILGIVGGVVAGAVLIGLLVFLLFRKIRTEDAEVSTFHTPTAGKSLMQVAPRSTAEARHMSHAMRKGTLGLMPYHVFSMEELEEATDSFDSSNLIEDGPRGQSYKGWLRDGSCIVVRCLKLKQKLSRQSLLQYMEIVSKLRHRHLVSIVGHCIVSSQDGANTKDTIFLVSEYINSGTLRYQLSEWREHETMKWPQRVSAVIGVARGIQFLHTVAVPGIVGNDLNMDNILLDQTLTTKIRNYNLPTLPNFKNNRVGCDIPLTNAADKGDLGSVENGEKEDIYQLGLILLEVITGKSAGSKYELDSLRHKLQKILVENPANLKGLTDAAIRESSALDSLRTAVEISLSCTSRDPKQRPSIDDVLWNLQYSVQVQDGWTSSERLDIQI >Ma10_p12580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25621343:25625310:-1 gene:Ma10_g12580 transcript:Ma10_t12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEISLEERARHNHQCTRSLPFLCSEKMEEFDKSPKSSSDLNVSFGYQCSAYQSSLCSSEVNYKFELSSGVNIQESNINKMRNSSFSCLSGAALSANHTLANTNICNGVIGEEILPELDSPQSFKRVLSSASLSRLDLHSPSSPSDSGTLEGVRSSSFLNAMDVQMAGGAAGEDRVQAVCSEENGWLFCGVYDGFNGRDAADFLAGTLYENIGYNLFLLECKISQQNNEKNVQHPSGGRTTKSELSLAIESLNNKLKPNLFLNSTEECSTESFRLGVLNCLTSALVQAENDFMYRVEQEMDDRPDLVSVGSCVLTVLLHGMVLYAMNLGDSRAVLATSSLIKDDPPRAIQLTETHTLDNEEEYRRILADHPDDPSPVTGGRVKGKLKLTRAFGVGYLKKRNLNDALMGILRVRDLRSPPYVYTHPFTTSHEVSENDLFVVLGSDGLFDFYTNDEVVQLVHQFIEDHPFDDPAKHLIEQLLLRAAENAGLTTEELMNIPLGRRRKYHDDVTVIVIILGNKQRTSTASTAI >Ma10_p12580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25621343:25625317:-1 gene:Ma10_g12580 transcript:Ma10_t12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEISLEERARHNHQCTRSLPFLCSEKMEEFDKSPKSSSDLNVSFGYQCSAYQSSLCSSEVNYKFELSSGVNIQESNINKMRNSSFSCLSGAALSANHTLANTNICNGVIGEEILPELDSPQSFKRVLSSASLSRLDLHSPSSPSDSGTLEGVRSSSFLNAMDVQMAGGAAGEDRVQAVCSEENGWLFCGVYDGFNGRDAADFLAGTLYENIGYNLFLLECKISQQNNEKNVQHPSGGRTTKSELSLAIESLNNKLKPNLFLNSTEECSTESFRLGVLNCLTSALVQAENDFMYRVEQEMDDRPDLVSVGSCVLTVLLHGMVLYAMNLGDSRAVLATSSLIKDDPPRAIQLTETHTLDNEEEYRRILADHPDDPSPVTGGRVKGKLKLTRAFGVGYLKKRNLNDALMGILRVRDLRSPPYVYTHPFTTSHEVSENDLFVVLGSDGLFDFYTNDEVVQLVHQFIEDHPFDDPAKHLIEQLLLRAAENAGLTTEELMNIPLGRRRKYHDDVTVIVIILGNKQRTSTASTAI >Ma06_p21370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15564626:15564846:-1 gene:Ma06_g21370 transcript:Ma06_t21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFGTRGVRRYNRSQTPRIRWTEELHRLFVEAVDKLGGRNSKF >Ma05_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:17295741:17297129:1 gene:Ma05_g16530 transcript:Ma05_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT4G28560) UniProtKB/TrEMBL;Acc:F4JLB7] MAVDCSLLRSFLVLLLLHHHLAFVVYATEEDQPPLPLLPDGEREAAYLALESINPSIPWRSLYPDDLCLSGPHGIVCDLFPSSSSSSAKADASPHIVELNFGYLSDFSSNPACGLNATLPTSLSSFPFLRRLFFYNCFTTARASITRDFWNLSLAVEELVFHQNPSLAGRLSGRVGGFIRLRRLIVCGTRIFGIIPSEVGNLQQLEQLVLSRNRLRGEIPGSIGRLGLLKVLDLCGNHIGGVLPAGIGRMTTLVKLDLGSNRISGGVPPELGQLRRVELLDLSDNRLTGGVPAALAEMANLRELHLSRNPLGGTIPEIWEKLGGILGIGMSRLGLVGNIPSSMGLLLGNVRYLAMDNNKLEGEVPEQFRRMEGSAVEINLENNGLRGRLPFSAAFVGRLGSKLKLGGNRELCLGDDLASGNAGLRRCNKTAVPHPVLFTSGVSSSYKSFLFLTVILFFFSCA >Ma10_p06710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20161846:20166373:1 gene:Ma10_g06710 transcript:Ma10_t06710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGKVKAAMGFRRSPATPKMATPRRSPSSSPVSQKSSPAAEGGHQTTTAAFARSFGVYFPRASGQVQPRPPYVAELLRLVEELQEEESRLRTQLLEQKLLRETVAIVPFLEKEIADKREELVRAGDRIERLEGENRALKDEVEGLSSEIRSGEEENQRRERRINDLEKELDELKKAASEQGNGRLCAGTGEMDECSSSQRFQGLIDASARSNLLKSLRKPPKSANIVPNQEVQRPECRNPKADGGVIEGVHQQPHGGDKEEVLRPRASRVPKPPPTPSMSCNPSNSSSSSSSSHTTSRVATSKGPSLACLPPIPPPAPPVKSTPQGGARPPPPPPPPPPPTLGSRSAAASVRRVPEVVEFYHSLMRRDSRRESCGGVQEAPPAAASVSNARDMIGEIENRSAHLLAIKTDVETQGDLIRFLIKEVEHAAFANVEDVVTFVKWLDDELSFLVDERAVLKHFEWPEHKADAMREAAFGYCDLKKLESEASSFRDDPRQPCSSSLKKMQSLLDKLERGVYNLSRAREAATKRNKGFGIPWEWMLESGYVNQIKVATGKLAMKYMKRVSSELEIIAGNPEEEELMLQGVRFAFRVHQFAGGFDAETMRAFQELKNKARTLHLQLQNHNRQKLYCKSTSGC >Ma10_p06710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20161846:20167043:1 gene:Ma10_g06710 transcript:Ma10_t06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGKVKAAMGFRRSPATPKMATPRRSPSSSPVSQKSSPAAEGGHQTTTAAFARSFGVYFPRASGQVQPRPPYVAELLRLVEELQEEESRLRTQLLEQKLLRETVAIVPFLEKEIADKREELVRAGDRIERLEGENRALKDEVEGLSSEIRSGEEENQRRERRINDLEKELDELKKAASEQGNGRLCAGTGEMDECSSSQRFQGLIDASARSNLLKSLRKPPKSANIVPNQEVQRPECRNPKADGGVIEGVHQQPHGGDKEEVLRPRASRVPKPPPTPSMSCNPSNSSSSSSSSHTTSRVATSKGPSLACLPPIPPPAPPVKSTPQGGARPPPPPPPPPPPTLGSRSAAASVRRVPEVVEFYHSLMRRDSRRESCGGVQEAPPAAASVSNARDMIGEIENRSAHLLAIKTDVETQGDLIRFLIKEVEHAAFANVEDVVTFVKWLDDELSFLVDERAVLKHFEWPEHKADAMREAAFGYCDLKKLESEASSFRDDPRQPCSSSLKKMQSLLDKLERGVYNLSRAREAATKRNKGFGIPWEWMLESGYVNQIKVATGKLAMKYMKRVSSELEIIAGNPEEEELMLQGVRFAFRVHQFAGGFDAETMRAFQELKNKARTLHLQLQNHNRQKLYCKSTSGC >Ma01_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12070411:12078488:-1 gene:Ma01_g16680 transcript:Ma01_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREALAVPAETQAALKKKTAAARSWILFDSSGEGTILDVDKYAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITADEVLLRDPAEENVVPIVEELQRRLPLANVVNNAHGEGRENVTGQHDVEAVEEDESPFEFRALEVALEAICSYLDARTSELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSSMPNWLPASPTIGSRISRASRASAATIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVSLSIYSLVAGIFGMNIPYSWNDDHGHVFKWVVILSGLISGFLFIFIIAYARHKGLIGS >Ma05_p03170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2260825:2263577:-1 gene:Ma05_g03170 transcript:Ma05_t03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLLMNPALSWTDTEATAALRVRRWRSPISAMIPNIHLPMRSLEVKNRTSVDDIKSLRLITAVKTPYLPDGRFDLEAYDSLIHMQIINGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTSIRVIGNTGSNSTGEAIHATEQGFAVGMHAALHINPYYGKTSLEGMVSHFEAVLSMGPTIIYNVPSRTGQDIPPSVIHTISQNPNMAGVKECMGNDRIKGYVDKGIVIWSGNDDECHDSRWAFGATGVISVASNLVPGLMHELMFQGKNPSLNSKLMPLIKWLFQEPNPIGLNTALAQLGVIRPVFRLPYVPLPRARRLEFVRIVESLGRENFIGEKAVEFLDDDDFILVGRY >Ma05_p03170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2260825:2263577:-1 gene:Ma05_g03170 transcript:Ma05_t03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLLMNPALSWTDTEATAALRFNVKPFCPPAGACYWVRRWRSPISAMIPNIHLPMRSLEVKNRTSVDDIKSLRLITAVKTPYLPDGRFDLEAYDSLIHMQIINGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTSIRVIGNTGSNSTGEAIHATEQGFAVGMHAALHINPYYGKTSLEGMVSHFEAVLSMGPTIIYNVPSRTGQDIPPSVIHTISQNPNMAGVKECMGNDRIKGYVDKGIVIWSGNDDECHDSRWAFGATGVISVASNLVPGLMHELMFQGKNPSLNSKLMPLIKWLFQEPNPIGLNTALAQLGVIRPVFRLPYVPLPRARRLEFVRIVESLGRENFIGEKAVEFLDDDDFILVGRY >Ma09_p18030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:15231679:15232354:-1 gene:Ma09_g18030 transcript:Ma09_t18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPSSPGPPPTSNPSATARKLRYSVETSLKNSAVDAMVVTKVEEELNRARRYALNDDDAASLLPSKAQGRFLKMFLGAVNVRATRKEVQLKVKEYNNY >Ma06_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6170505:6173899:-1 gene:Ma06_g08780 transcript:Ma06_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFIHGAPEVQPDGLQTLFLMNPGYAGYMDAAAPANMVLLNSTMNSLNPIILAQAGQPNQQQQDFVGIPLQPAARLRDSDRPLPIHASHDASVLTRLHHNLWTPTPTSNSVDVASQFSPRRRGLSLSLSPHEMAMTPGSAHEVMFAASVGGANGVSGRRSFLMGSKYLKAAQQLLDEVVDVGKGIKDEAAKGPKSRNTELKGGETTSTKQRADLTTAERQELQMKKAKLISMLEEAEQRYRKYNHQMQTVIASFEAVAGHGSARTYTVLAQRTISKQFRCLRDAIVGQIRATCKTLGEEDTKLGNSRLRFIDQHLRQQRQMIQPNAWRPQRGLPERSVSVLRAWLFEHFLHPYPTDSDKFMLAKQTGLTRSQVANWFINARVRLWKPMVEEIYLEETKHHEQRNADETATKIDANGRSTSKSSTGMTDSLKNDVKQPSAAAQPPPPPPPFEPKLDALSNQELLMKFMDARQRIEEQAYPLIAGSSSQGGDHEAYPTFASRFSGNGVSLTLGLQHSGNLSLSGAQPSIPSSESIWAGGWR >Ma11_p03350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2487632:2489257:1 gene:Ma11_g03350 transcript:Ma11_t03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMDISYSKKRGPKEEEDGRVDEGWAGPLASKRDRSERGGDPTAALASARHEFGEHGGVNMSIEASATFTVMEADTMQRMFKGELGPDRDHYIYSRHFNPTVLNLSRQMAALEGTEAAYCTASGMSAISSVLIQLCGAGGHVVASRCLYGGTHALLSHFLPRACGIHATFVDLHDVEAVRAAVREGKTKVLYAETLANPTLVAADVPRLSEVAREKGVKLVVDNTFAPMVVSPARLGADIVVHSVSKYISGGADVIAGVICGPESLLNSMMDLHNGTLMLLGPTMNAKVAFELSGRLPHLSLRMKEHCHRALVYATRMRKLGLKVIYPGLDDHPHHALLASIANPGYGFGGMLCLDMGTEERANELMHHLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEMSPGERAQAGISPGLIRLSVGYSGTLEQRWSQFERALALLHPPPPQKQQPYLLLSSVAEQKTVASSPAHFRSDAI >Ma06_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14226893:14230921:1 gene:Ma06_g20200 transcript:Ma06_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Ma11_p24260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27301824:27302473:1 gene:Ma11_g24260 transcript:Ma11_t24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQQRRPMEFEDFLPVMAEKLGGDGLVEELCNGFRLLMDPRHRLITFDSLKRNAALLGLDGLRDDELRAMIREGDADGDGALSQWEFCVLMVRLSPQLMEAPSQWMDQHSAFMS >Ma08_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37387000:37417114:1 gene:Ma08_g24090 transcript:Ma08_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MGEPKNRWTWDLPGFEPRKPDEGGEDRGYRPPVRRLSVSQSSLAPRADQPKRLIAVRLQKLKNQLKHAREDYLELRQEAADLREYSNAKLDRVTRYLGVLADRARKLDQAAFESEARITPLINEKKKLFNDLLTAKGNVKVYCRVRPPFEDEGPSIIELPDDFTIRVNTGDESLANPKRDYEFDRVYGPHVGQGEFFCDVQPFVQSALDGYNVSIFAYGQSRSGKTHTMEGSSHERGLYFRSFEELFDLSNSDTTTTSQYTFYVTAFELYNEQVQDLLAKSLSSLPRNQLDYRDSSLELTQEKVDNPLDFSRVLKVALQNRGTDSSKAIMSHLIITIHIHYSNWVTRENLYSKLSLVDLPGSEILMVKDASGDHLTNFLHVSKSLSALGDVLTSLTTKKETVPYENSRMTQILADSMGGSSKTLLIAHVCSNSSNMSETLATLNFSSRARNAELSLGNRDTIKKWKDVANDSRKELYEKEKEVLGLKNEVMGLKVALKDANDQCILLFNEVQKAWKVSFTLQADLKAENIMLVEKQKVEKDQNTQLRNQIAHLLQLEQEQKMQIHERDVAISTLQARIKGIESQLNEALQSSDTRSTSRSETGSTGVVSTPKTAEDGADSSQVIKKLEEELSKRDALIEKLHQENEKLFDKLTEKSSFGGSPQVSSPAVGRTVDIQGGDLNRGENIRGRSTNALLLPASQDKNENAGALVKSSNEKIKTTPAGEYLTAALADFDPDQFESFAAISDGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPKRVLDTMLVSRVRILYIRSLLARSPELQTIKVSPVERFLEKANSGQSRSSSRGSSPGRSLVHYDSSARTVLADEQIHGFKVNIKQEKKSKFSSIVLKLRGIDQETWRQHVTGGKLREITEEAKYFAIGNKALAALFVHTPAGELQRQIRSWLAENYDFLSVAGVDAVGGTTAGQLELLSTAIMDGWMAGLGTARPPSTDALGQLLSEYTKRVYSSQLQHLKDIAGTLATEEAEDLAHVSKLRSALESVDHKRKKILQQMRSDTALLTKEEGGSPIRNPSTAAEDARLASLISLDAILKQVKEILRQTSVSSVTKSRKKSMLASLDELLEQMPSLLDIDHPCAKKQITEARKVVELIPEGDSYDDESRALQPYADSTSTTEIVSQWNVLQFNTGSTASFIVKCGANSSSELVIKADARVEEPKGGEIIRVVPRPSVLSDMSFEDIKKVFDQLPEAISLLALARTADGTRARYSRLYRTLASKVPSLKDLVAELDKGGILKDVRT >Ma06_p16750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11353290:11353823:-1 gene:Ma06_g16750 transcript:Ma06_t16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFMEEKWKLSKKGSRSCNASRRIMGVEGAGPFLKGSASTRQMRRTAAPGSFSSRFASLVKEQRARFYIMRRCVTMLICWRDYP >Ma09_p04790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3093728:3097045:1 gene:Ma09_g04790 transcript:Ma09_t04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRFGSASLLLFLAMAPILVAADNSTYVPRDYILLNCGASGKAIDTDSQTWTGDAGSKYGPSLNAVGFTAPQQDSSVPEVPYLTARVFTSPYTYSFPVGPGRKYVRLHFYPSNYSNHVASDAFFSVTSDTHTLLSNFSAYLTADSLNYAYLAREFSVNVSTGGLNLTFTPSTTHPNAFAFINGIEIVSIPDIFSSANPMLVMGGSALPYTIDQDWALETVYRLNVGGQSLSPTQDSGLFRSWNDDSPYIYGSSFGVTYSNDPNVTISYTDNVPNYTAPVDVYSTARSMGPNANVNLNYNLTWILTVDAGFYYLVRFHFCEIQYPITKLNQRVFDIYINNQTAQEGADVIGWSTGIGIPVFKDYVVVTTGSGQMELWIALHPDTKNKPQFYDAILNGLEIFKLQNSNASLAGLNPPPRPDPEVDASKIFDGQSTKSKSRTAAIAGGVVGGFALLLVGFCLIRICRRQKKKGKDAGSSDGPSGWLPLSLYGNSHSSASAKSNTSGSYASSLPTNLCRHFSFAEIKAATKGFDESLLLGVGGFGKVYHGEIDGGTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTQKPPLTWRQRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEKWVSKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLPKEQVSLAEWAAHCQKKGILDQIIDPYLKGKIAPQCLKKFAETAEKCVADVGTERPSMGDVLWNLEFALQLQESAEESGSISTGISDEAATLMIFGKKVPDDPSMESSTTTTTTTSISIGGRSVASEDSDGLTPSAVFSQIMNPKGR >Ma09_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3093713:3097045:1 gene:Ma09_g04790 transcript:Ma09_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRFGSASLLLFLAMAPILVAADNSTYVPRDYILLNCGASGKAIDTDSQTWTGDAGSKYGPSLNAVGFTAPQQDSSVPEVPYLTARVFTSPYTYSFPVGPGRKYVRLHFYPSNYSNHVASDAFFSVTSDTHTLLSNFSAYLTADSLNYAYLAREFSVNVSTGGLNLTFTPSTTHPNAFAFINGIEIVSIPDIFSSANPMLVMGGSALPYTIDQDWALETVYRLNVGGQSLSPTQDSGLFRSWNDDSPYIYGSSFGVTYSNDPNVTISYTDNVPNYTAPVDVYSTARSMGPNANVNLNYNLTWILTVDAGFYYLVRFHFCEIQYPITKLNQRVFDIYINNQTAQEGADVIGWSTGIGIPVFKDYVVVTTGSGQMELWIALHPDTKNKPQFYDAILNGLEIFKLQNSNASLAGLNPPPRPDPEVDASKIFDGQSTKSKSRTAAIAGGVVGGFALLLVGFCLIRICRRQKKKGKDAGSSDGPSGWLPLSLYGNSHSSASAKSNTSGSYASSLPTNLCRHFSFAEIKAATKGFDESLLLGVGGFGKVYHGEIDGGTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTQKPPLTWRQRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEKWVSKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLPKEQVSLAEWAAHCQKKGILDQIIDPYLKGKIAPQCLKKFAETAEKCVADVGTERPSMGDVLWNLEFALQLQESAEESGSISTGISDEAATLMIFGKKVPDDPSMESSTTTTTTTSISIGGRSVASEDSDGLTPSAVFSQIMNPKGR >Ma09_p12560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8452550:8454905:-1 gene:Ma09_g12560 transcript:Ma09_t12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNAMVPELMRHPSALIEFEQIMSATDGKQIVIFLDYDGTLSPIVDDPDSAFMSDAMRAAVKEVARCFPTAIVSGRCRSKVYDFVRLTGLCYAGSHGMDIKVPKGYTKKKRPVLFQPASEFLPMINEVHRALLEETKSIPGAKVENNKFCVSVHYRCVDEKRRSGLLEQVLSVINEYPELRMTGGRMVWEIRPTIKWDKGKALEFLLESFGFADCKTAVPLYIGDDCTDEDAFKVLRDRGQGFGILVSKNPKETNASYSLGEPSEVMEFLLRLVEWKNLSSKARPKA >Ma09_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1615134:1616411:1 gene:Ma09_g02250 transcript:Ma09_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTNNNKKRGCISTDPSSQRRKEVSPRLKAVAKDGCNYNHSKNKSRLKEVSGLDQAHLQKTGSNFPGPELKGIDVEDQISFIDRLSEDSDYMWLNSDSLSSSLLSSDDSQDVWDFDCMNLLLQVQFSPMSSLSGSSDVSLLDKGNGFYASDESKSSHRSETSLTLSRASSSTGKDVEELIQQGTFGNDYSVSHSERPSCYRTLFEDPSTSNSSCALPTTYVDAPSWDFNRTGIWVSSLDLDNEDSELISDRGEEFDIFGSDFPSPSFGAMRLRQIQSPSSTSLKSVGQGGKVQNLDSDSDEALYWPFDHSLYSNLDVEKFLCMSPRKGESNVGIAGFHGSKLTSFRLPESNPQATRKDAQRHGRASPSLTPKSVKLGCETDGVVGYGAQKTVLHPSRHRRSNTASSHQHLCNTSKIRGRPQIK >Ma04_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3351290:3351617:-1 gene:Ma04_g04410 transcript:Ma04_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSFFYQTYAVNWVNSCKDCIKNHQPQGKEFKKCKLQDTLYILSCLLCKTSRRFTSTSLCQTQPKCFLCSHGK >Ma03_p29250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32215175:32215699:-1 gene:Ma03_g29250 transcript:Ma03_t29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma07_p23930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31420489:31420680:-1 gene:Ma07_g23930 transcript:Ma07_t23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAKLLLSLIRMLVTENDIKYCFLHQCLESINVEVHSLQEDKCSEENSLKLNGFSGFMSFK >Ma10_p15370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27487702:27488697:1 gene:Ma10_g15370 transcript:Ma10_t15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMALSSPSLAGKAVKLAPSASDILGEGRITMRKSAARSKPVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Ma06_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:308219:312248:-1 gene:Ma06_g00390 transcript:Ma06_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKATNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADRVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWSEKTTEKEISDDEDEEDKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEDITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTKKKLNNIKLYVRRVFIMDNCEELIPEYLSFIKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRSKVAELLRFHSTKSGDEMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDDESEDEKKRKEALKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGHMSSKKTMEINPENQIMEELRKRADADKNDKSVKDLTLLLFETSLLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDEKVEDTEMPSLEEADAEESKMEEVD >Ma08_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3663021:3669306:1 gene:Ma08_g05350 transcript:Ma08_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVFRSPATVETLNPRMGSYSRPIPPPRRRSHLDSASYRTLVRIFSLCLDESLVPIEEPCKDPILVSGAASPEVPKSSSAGKDDVVDSHGDGIVAKELRRDDSESVECGNATFNSDSKSEGIEEKFGDGTGGNGETVNGLVCERPQTESGDDKAEASNSMEEMGHQREETGQCVDGGLQEGLIVESKLETEVCMDEATEPPGDNLIFDFPELVELQDSKIGLDGGSHGEVAEGVSGENLSQFPGSKDQVTHLVDESEQRMNNELKDERVKGASSEVLTDEFNALSVSPTRMSSSNELLRDIMFERNRECTNPSATYLSPNEQMLFKPQKNVSKSINETAEKHQQELLGFSMKQLEEDLADALVDPNNVEEIEEGQIPGDFWSLNETGPGVHHNALLQDQKLDEGSCHTDFLQKESPDCLAKLSGKNIMARNNKLKEDVLFMEINEKMDGNDYLSSDERHSIAEMKKSCSNVPVMYSEQQTTAVNAFGSCEEKLTYQNKESIVEAVNEKIKRRRGPLTEERKAKKKKAKKRKRAEKERQQGVKRLKLQPVVKPKAVKLCNFYLMGRCQQGDLCKFSHDATPLTKSQPCKYFACDSCLKGDDCPFDHELSKYPCHNFHSKGSCHRGDRCKFSHKISTVEGSSTPIASNLVSPLTSGKFNAGNQKIASKKLTNASNPPKTATSSIKSVLPKQSEGNLVKIAKEPMQIPNGIRFISFGNGLLESGKLPANSLLPEKHGCSQQKPEKPLADGHKNAVCRSEKSLSKPQMEYSVSLPATNAFSGSYLKNKSFSSDPASRSLQSEASDASKILDEFLFGATG >Ma04_p29390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30346004:30360504:1 gene:Ma04_g29390 transcript:Ma04_t29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRTAPNPRKRPVPLSDPQPRSAPAAAATGTEDHAEASGGRGREDDGDGGGGSDEVHVATMVALLADAGCTLSVLHGGPPSLPADPHRFRRRLEGRLSADPSLRSRFLAGFSAYIRTTENLKRVLIPASHDGVAEKGESLARILLLVAPIQPRIQELLLEKLPEYFHGSGNGGLPLKDDVARLIVNQFRWLDFLVDAEGFIEKLMEVLSISPPQLKKEIVGSLPEMIGDQSNDTVVACLDRLLQEDSDVIVPVLDSFSNLNLDEHLQEQAVNTALSCIRTVEAEHVPHLLRFLLLSATPANVRRIISQIREQLKFVGAINSHAARNKKLKGKSPIDSTEASILDALRLSLLFKNILSEAVLNELKSIDKPCEHKVIDVWFLMLVHKNGGFLQKSVERILKKKILEGCFREVLFDQCIQGHRELVKDYFPSFLSVGAYLLSCKDQRANMFGIHLYASLFEEFNDTYSRQEVLGALVTHIGSGVGHEVSSALETMMLFTSKYPQELIPISSHIIGILDYLDGFHEHNLHKVYEVFCQLALSACSSANSAGSSITNELLIIVRKQVSNPDMRYRKMGIIGTLKIVSTLGGANATTTFTSKQKSNVEEALELLKMSIDSCKLVTLPLILLYDELAILLERNTLQPAITEWICRHVGEFELLFLSDLEGGQFPVKYMSNGIEGELWMNLDGDVSPVCLNILPLVSSSLEQFEQCSSSLQFLPSQFSLLSAIERATNQGSLGGIDALLGCPLHLPSSKYLVGAYGRKLTEKQKRILCSSIYYAINWIRELLNAFSTQITGRFDSITQATRQGAVAKIMKRLRNLVLLEGLLNSYLKFYPLFLPELHYAAGHSGSSLNKYDNSILRGKCGASSTSHNKKHKQKKDSMVTEKADANGKLRQPTIMDVLKRAGAVVSQGVSIGSSSDSTSCEHEAVGSNELGLVDISEKPILLESQRCKFRPLLVDCLFILSFSESRDSCCSDPSAELPLHLYLLRDFHNKLDYLNPPSNHIISHSSVNALAGYCRMTASELLNRVRQLFTSLKKHLDSAISFIKDGTESCQDHWQSCSSSAGNPDIPYVVVLKSSVASSVFGEVLSCYNKLLRLPDLFLDSNMPILRDLLAAFQPIEKFDEFFSGVQPLPTPGSISYLYSGAYLYFEGIIDVACSFSFTLASEVLATLESVVSSIAVVIDKSLEGNGKTTDVGCCQGILTVLSRRLALSAQKLLVHDPGREDTEKGQKNKGDMIQKILQIYLKYSESTSDLLDELACTVLPQVPSCRSMNTQEAIHGFPTLSPTMFLTWYRVLHEENLVILNKTVKDVMGKSRKDMQGEAAEKVLIRLRQTVNVVVSLVNLCKVHDKVAVHAMAVKYGGKYVDRFLKVFDFLEDQFQVHNDIIIQTVKELQKATRTIQTLCSEAKGLKRTMVTSKVPATKRSMERFLFHVKALLHSTPSDCTFWMGNLKHKDLYGQVVSSQVYHNGEDEMGQRGQNQMEDEDEENNVNGTEGPREVEHVDGQGDSEN >Ma10_p27440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34728967:34729290:1 gene:Ma10_g27440 transcript:Ma10_t27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEICVYICLSLLRVKILSFGRLSPCSIETGNTVFSSHMPTRLSRVMSVGGLVTSATRSQNRVPCLGRDKRADSMTYYFGHIMSSHVGVVFWLNVKYFLPPSRERER >Ma05_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9648787:9676586:1 gene:Ma05_g13310 transcript:Ma05_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRVFAEPRGNPARSGAGVVSRIRLENFMCHSSLEIEFGDWVNFITGQNGSGKSAILTALCIAFGSRARGTQRASSLKDFIKTGCSYATVLVQIKNHGEDAFKHESYGDLIMIERRITESSSLIILKDHQGKKVSNRKDELRELVEHFNIDVENPCVIMSQDKSREFLHSGSDKDKFKFFFKATLLQQVSELLQNIRTELSAANEIVDELESSIRPIIRELDELRNKIKAMEHVEEIAQEVQHLKKKLAWSWVYDVDREIQEQNVKLEVLKERIPTCQTRIDKYTALVDELKGLLASKKAEIAHLMEKTSEARKLKDELQHCLSAATKDKLELEGEYSRENNMVRKLTHRVKLLEQQIHDIKEQYKRNTQAEVSKIEEQMKKLQNEVDIAHTNFTRLQEEELSMSEKLSVARNATNEMSKEIDENERKYRELHSQIRQLQQRQTNRVTAFGGEKVLRLLKSIDRHHDKFKSPPIGPIGAHVTLVNGDIWALAVDCAIGKLLDAFIVTNHKDSLLLRACSREANYHDLQIIIYDFSRCRLKIPNNSLPVTNHPTTLSVLHTENPTVFNVLVDMGSAERQVLVQDYEVGKSVAFDQRIPNLKEVYTSDGYKMFSRGSVQTTLPPSRRGRTGRLCSAVDDQIYSIQNEASKVKGHVQECKGRKRVSEEELRDMEGQLHSAKKRRVSEERILTSKQIALQGMKDAYAAEQNAAASETNVEELLKEISQTKVEIQGKELIQEKIRVKMTAAEEKANDLKISFKDLSDSAREDIDAIEKAERELLSTEEKLQSAEAEKVHYEGVMHNKVLHDIKEAEAECEKLQEKRQENFRKASIICPECEMEALGGFAGSTPEQLSAQLSRLKQRLQHESQRYTESIDDLRALYDKKERKILTKRQTYAALREKLNACQKALDLRWCKFQRNAMLLKRQLTWQFNGHLRKKGISGHIKVDYEQKVLSVEVKMPQDASGTTVRDIRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKMSLETLVDFAVTQGSQWIFITPHDISMVKPGERVRKQQIAAPRG >Ma07_p28710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34659242:34659355:1 gene:Ma07_g28710 transcript:Ma07_t28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGYSFVIVALILLQEIALSSASFYEYVLCFLLQQK >Ma03_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9055151:9059103:1 gene:Ma03_g11660 transcript:Ma03_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVILENSLKCLERCTSLRSLQQVHAQIFLNGFNRDNYVAVKLITFCNKKLGERGYARMIFNSLITSANVFLWTAIITSYSSYQSEVTREAIIIYRMMHQKGSHPNHFTLSSVLRACSFLKAIQEGNQIHGHSTKLGFNSSIYVQATLVDLYTKFGWTQEASRLLGTFPEYNIVSYNTVITSYIKADNMKAARDLFDEMADRDLVSWNLMISGYASRGDTPSSRELFDQMPEREISSWNALVAGYCCNGEWDEAIQLFKEMCLATVKPNHISMAILMSACGHLGTLEIARQLHGFLEKSCIEMNCYVFNSLVDMYAKCGNVYEAFRVFSEIPIKDVVSYNIIILGLASHGLGEDAIKSFSEMLDAGIQPDTITFLGILSACSHAGLIEIGHRYFECMSRDYAIEPSADHYACMVDLYGRAGLVEDAYDLVKRMSIKPHAGVWGALLNACRIHSNIDVGRVAAQELFRIEPVNPGNYVILSNLFARAHLWDRVAEIRRLMRGKVPKTAGCSWIEVNGQVNEFLIGDATHPHSKSIHAVLRHLSLQLV >Ma04_p27040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28301638:28304566:-1 gene:Ma04_g27040 transcript:Ma04_t27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASVAAASPPHLRRRLCPLVPQAHLSRSPKPNAFLRRRSFLLSSSSSAAAAAAAAASLLLFPQPGAADTDAPALAPAPSPAQPQSGIVTDRVFMDFGLCPSYLASDRPLGFDLAACPDSEPLGRVVFGLYGKLLPQTVANFKAVCAAVAYRGTFVHKILQGQFFVAGRQGRKEKGGVRPPPGLVRNVETVDPKAFQLRHSRPGTLSLCLSENDDDDAVKLEPDYHNVEFLVTTGPGPCPQLDNENIVFGTVLEGMDVITNIATIPTYKPAERIRQFNDFAQFIGDERAQIARTMWNRPLKTVYISDCGELKVATPSLSPSLP >Ma05_p28510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39490039:39492111:-1 gene:Ma05_g28510 transcript:Ma05_t28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g20540 [Source:Projected from Arabidopsis thaliana (AT2G20540) UniProtKB/Swiss-Prot;Acc:Q9SIL5] MRIPTRAAREMEDHIMPMLRSCPCFAELKRVHARVVVSALSQSCYLATQIISVCSAGGRLEYAALVFDHVEEPNAFLYNEMIRSYAKNSHFLEAVGLYKQMVRQDHVFADGFTYPFVIKACAGLPVLHLGRQVHARVSKSGLGSNSIIQNSLIEMYTKCDDLVDAHCLFDEMAERDVISWNMMITAHARSGQMRKARALFDTMPKRSVVSWTALISGYTSSGCYSDAIKVFHRMQSEGLEPDDISIVSVLPACAHLGALELGKWIHAFCNKRKLLEKTFICNALMEMYAKCGSIDQAHQLFGDMRDRDVISWSTMIGGLATHGRALDAIKLFVEMEEEKGKRVRPNCITFLGLLSACSHAGLVDEGLWYFESMKKVYGLDPDIEHYGCMVHLLSRAGCIRRAVELVDGMPFPPDVSIWGSLLCACRIHGDVETAVKATERLLELEPEDTGNYVMLSNIYAAAGRWDGVAKMRKLVRSRKMKKTPGCSSIEVDNAVHEFIAGDETNPHFVDICRMLDLLASELTRSPRSTAERTSSVDLCQEEEEEEEVFYS >Ma11_p00190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:80966:97026:-1 gene:Ma11_g00190 transcript:Ma11_t00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLTATSRLRLLTVTDACRYRLPTLAATSLHTATNDLCPNHISGVACGKLETSNFKFQQWGGYTVYRCPAVARLSSVANKESSHPTEAVEELYQKMLKSVEAQTMPPNAWLWSLISNCLNREDIKLLFQILQKLRIFRLSNLRIHSNFNSHLCMRVSEACTRADALDYGLKALWKHNIYGLTPSIGSAHYLLSYAKEHNDDKLMVKIMRVLEKNSMSLQPGTADIVFSICHNTNKWDLISKYSARFLKAGVKLHQTAFDIWMEFAAKIGDVQSIWKIGKLREKFVKRPTLSNGFSCAKGHLLEHNPETAAATIHILYKNLPDLKKPQVIDELQKLISQWPQEVIRKQKKEDKQENLHPSCILDGFPNNASTRRREYT >Ma11_p00190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:76998:97026:-1 gene:Ma11_g00190 transcript:Ma11_t00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLTATSRLRLLTVTDACRYRLPTLAATSLHTATNDLCPNHISGVACGKLETSNFKFQQWGGYTVYRCPAVARLSSVANKESSHPTEAVEELYQKMLKSVEAQTMPPNAWLWSLISNCLNREDIKLLFQILQKLRIFRLSNLRIHSNFNSHLCMRVSEACTRADALDYGLKALWKHNIYGLTPSIGSAHYLLSYAKEHNDDKLMVKIMRVLEKNSMSLQPGTADIVFSICHNTNKWDLISKYSARFLKAGVKLHQTAFDIWMEFAAKIGDVQSIWKIGKLREKFVKRPTLSNGFSCAKGHLLEHNPETAAATIHILYKNLPDLKKPQVIDELQKLISQWPQEVIRKQKKEDKQALIEALKRDIPDMVSSLLNMGLDVTMDLKELSKQEA >Ma02_p09130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19270145:19271082:1 gene:Ma02_g09130 transcript:Ma02_t09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B9 [Source:Projected from Arabidopsis thaliana (AT3G18260) UniProtKB/Swiss-Prot;Acc:Q9LJQ5] MSSGQKKLFGRERPLRDVLGGGKVAGIVLWRDKRLSAAILIAVTVVWLLFEVMEYNLLPLLCHISIAAMLLVFIWSNGAALLDLTPPKIPELILSEQAFRKGALLFHSYLSHSLSILHGIAGGNDLRLFLLTIISLWIISAIGNCCSSINLLFFGEHNHCRHS >Ma08_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:54537:56969:1 gene:Ma08_g00070 transcript:Ma08_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGHRRSLLPVFFLCCLRICYTEHASTYTFVKHAVQAPPVSYYDYIIVGGGTAGCPLAATLSEKHSVLLLERGGSPYGNRNITELAYFSQNLADTSPASPSQRFLSEDGVINARARVLGGGSCLNAGFYTRASPEYVRDVGWDGALVNQSYQWVEKMVAFEPPMLQWQSAVRDGLLEAGITPYNGFTYDHMYGTKIGGTIFDRDGHRHTAADLLQYANPDGLMVLLHATVGRILFRQTGGAARPVAHGVVFHDAAGVRHRAYLNNGAKNEIVVCAGAVGSPQLLMLSGVGPRDHLESLAIDVVVDQPMVGQSMSDNPMNAIFVPSPTPVEVSLIQVVGITRFGSFIEGASGSNFASHYSQITHELRFGMFSAQTGQIFTINDMNDLPAASSSMRGGFILEKIMGPLSTGHLDLKNKNPDDNPSVTFNYFKEPEDLQRCVKGIETIEKVIQSKAFSRFRFQYISTEELLRMALNFPMNLLPKHDNASKSLEQFCKDTVMTIWHYHGGCQVGQVVDKDYKVVGVDALRVVDGSTFNFSPGTNPQATVMMLGRYMGIKIQTERRETDDEVRRKS >Ma03_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4416817:4418727:1 gene:Ma03_g06360 transcript:Ma03_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSLTASPSLLSSLSFPKTQTLDLADLQMASLFSSTTIVILLLFLNVSLTISASTTTTSSPSKSPKTQPLGEKSIIDTGEKGGKVQSPTALKKKPILATKNQTKLLKPKKANSTTAAATVATGSKTSNSTIKIKLGKSLNATLKASNSTKLLKTIKPIKSNSTKSSKDHLKSLNSTSNSTKPSKKSAFDPPIAKNKTTSTSKATKPQQPTKPPPSKNPTKTKAKPEESTTWMEGDDDVDDTDLISDFRDLPARLLPDLERLSTTSKAYISAANRGIAEGVKPYVGKSFAPKVAAVLSSIFLALPLLLLTLLFRRFRDYLSLHRLLLFIQAYLAIYFATLAVTALATGLEPLRFFYTTSPASYTWTQAAQTLGYLLYLVLQLVDLVSVFSGGKDAGGSGASARALALAQMVIGLAVGMHYYAAVFHRAVSGEAPRANWRVHGVYAACFLVICACARAERRKKAYYEGGEDGKKS >Ma04_p29880.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30681355:30701620:-1 gene:Ma04_g29880 transcript:Ma04_t29880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADESYLRTWVSDKLMSLMGYSKGVVVQYVIRLSKESPSPADLMCKLVEFGFSSSTETRSFAEEIYAKVPHKKTGPSNYQKAEKEATQFAKKQRSYKLLDADDEDIDSHAPANHVDSQMEKVGSHRKRFRKKSQTDDVEDDEVIRQEGNDRQVRSRTSKVDEDDDEVESEEERRRDQEERAQLEKNIRERDAAGTRKLTEPKLTKEEEEDQIRRFKALEDDDTSELRKVSRQVYLQKRRDQKLVELRDEIIDHDYIFEGVKLTEAEERELRYKKKIYELASEHLEDVDNINEYRMPEAYDHEGGVNQERRFAAAMLRYRDAGAGDKMDPFAEQEEWENYQSGKANLKYGSKDKKQASADYQYVFEDGVDFIKESIMDGVNYKDEMPSEDPDDSIAKTALHKLKEERKTLPIYPYREQLLQAVQDHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGQVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIMKYMTDGMLLREFLSEPDLASYSVIMVDEAHERTLSTDILFGLVKDISRFRKDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHFTTAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSFNPRTGMESLLVNPISKASAMQRAGRSGRTGPGKCFRLYTAYNFQNDLDDNAVPEIQRTNLANVVLTLKSLGINDLVNFDFMDPPPSEALLKALEQLYALNALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSEEVISISSMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLNVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEPTSNLSDLDAVKKAITSGFFHHSAKLQKTGAYKTVKNPQTVYIHPSSGLAEVLPRWVIYHELVLTTKEYMRQVIELKPEWLIEIAPHFYQMKDVEDAAATKKLPRGKGRAAD >Ma04_p29880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30681355:30700628:-1 gene:Ma04_g29880 transcript:Ma04_t29880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESYLRTWVSDKLMSLMGYSKGVVVQYVIRLSKESPSPADLMCKLVEFGFSSSTETRSFAEEIYAKVPHKKTGPSNYQKAEKEATQFAKKQRSYKLLDADDEDIDSHAPANHVDSQMEKVGSHRKRFRKKSQTDDVEDDEVIRQEGNDRQVRSRTSKVDEDDDEVESEEERRRDQEERAQLEKNIRERDAAGTRKLTEPKLTKEEEEDQIRRFKALEDDDTSELRKVSRQVYLQKRRDQKLVELRDEIIDHDYIFEGVKLTEAEERELRYKKKIYELASEHLEDVDNINEYRMPEAYDHEGGVNQERRFAAAMLRYRDAGAGDKMDPFAEQEEWENYQSGKANLKYGSKDKKQASADYQYVFEDGVDFIKESIMDGVNYKDEMPSEDPDDSIAKTALHKLKEERKTLPIYPYREQLLQAVQDHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGQVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIMKYMTDGMLLREFLSEPDLASYSVIMVDEAHERTLSTDILFGLVKDISRFRKDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHFTTAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSFNPRTGMESLLVNPISKASAMQRAGRSGRTGPGKCFRLYTAYNFQNDLDDNAVPEIQRTNLANVVLTLKSLGINDLVNFDFMDPPPSEALLKALEQLYALNALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSEEVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEPTSNLSDLDAVKKAITSGFFHHSAKLQKTGAYKTVKNPQTVYIHPSSGLAEVLPRWVIYHELVLTTKEYMRQVIELKPEWLIEIAPHFYQMKDVEDAAATKKLPRGKGRAAD >Ma04_p29880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30681355:30700628:-1 gene:Ma04_g29880 transcript:Ma04_t29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESYLRTWVSDKLMSLMGYSKGVVVQYVIRLSKESPSPADLMCKLVEFGFSSSTETRSFAEEIYAKVPHKKTGPSNYQKAEKEATQFAKKQRSYKLLDADDEDIDSHAPANHVDSQMEKVGSHRKRFRKKSQTDDVEDDEVIRQEGNDRQVRSRTSKVDEDDDEVESEEERRRDQEERAQLEKNIRERDAAGTRKLTEPKLTKEEEEDQIRRFKALEDDDTSELRKVSRQVYLQKRRDQKLVELRDEIIDHDYIFEGVKLTEAEERELRYKKKIYELASEHLEDVDNINEYRMPEAYDHEGGVNQERRFAAAMLRYRDAGAGDKMDPFAEQEEWENYQSGKANLKYGSKDKKQASADYQYVFEDGVDFIKESIMDGVNYKDEMPSEDPDDSIAKTALHKLKEERKTLPIYPYREQLLQAVQDHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGQVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTIMKYMTDGMLLREFLSEPDLASYSVIMVDEAHERTLSTDILFGLVKDISRFRKDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHFTTAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSFNPRTGMESLLVNPISKASAMQRAGRSGRTGPGKCFRLYTAYNFQNDLDDNAVPEIQRTNLANVVLTLKSLGINDLVNFDFMDPPPSEALLKALEQLYALNALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSEEVISISSMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLNVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEPTSNLSDLDAVKKAITSGFFHHSAKLQKTGAYKTVKNPQTVYIHPSSGLAEVLPRWVIYHELVLTTKEYMRQVIELKPEWLIEIAPHFYQMKDVEDAAATKKLPRGKGRAAD >Ma11_p22660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26362913:26363290:-1 gene:Ma11_g22660 transcript:Ma11_t22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAHHESTPISSFPLYIPLVAPSEIVDVVGEEVEIYMDEKWKVANKEIRNSCSGGRRVVAAAEEEGGPFLKRSASTREGRRRTTTIKTSRSFSSRCANQVKEQRARLYIMRRCVTMLVCWRDYH >Ma03_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22807574:22836863:1 gene:Ma03_g17460 transcript:Ma03_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPFAAGPPRPRPGFAPSANANVAGAPQINQIGRPLPSSTAPSAPLPPSPFSAPLPASSRPGPPQYASRPPAAAFPQGLVASNGPQGLVAPRIPPPSHAQHTPPVGAPASIRAPSPSAAPSQAQVVRPFPGSPPAATPVAAAASRPFTGPLASQQFGRPPISHHLSGSPTSQPPFAGSQPALAGQPTTQLPFNRPPTSQQFGGPPTQQTFTRPPVSQPYMGSSTSQPYAGRPSSPSYVGPPSSQPFPGPPNMGAPFGTPAPAWPSQPRQVPPSMPGNMQAPPGMFGMPPGTPGHTMPPVPPAMGHSPLAGSQVSTPSKIDPNQIPRPLPSSSVTLFETRQGNPANVPPPATSNFVVKDNGNCSPRFMRCTMNQIPCTGDLLSTSSMPLALMVQPLALPHSSEEPIQIVDFGESGPIRCSRCKGYINPFMKFIDHGRRFICNLCGFTNDTPRDYYCNLGPDGRRRDADERPELCRGTVEFVATREYMVRDPMPAVFFFLIDVSMNAVQTGATAAACSAISQSLADLPDGPRTMVGIATFDCTIHFYNLGRASQQPLMLIVPDVHDVYTPLHTDIIVQLAECRQSLEQLLENIPNIFENNKVAESAFGAAIKAGFLALKPTGGKLLVFQSVLPSVGIGSLSSREAEGRTNVSAGDKEAHKLLQPADKTLKAMAGEFAEYQVCVDMFITTQTYVDIASISVVPGTTGGQVYYYYPFSALSDPGKLYNDLRWNISRPQGFEAVMRVRCSQGLQVQEYSGNFCKRIPTDIDLPGIDSDKTIMVTFKHDDKFQENSECGFQCALLYTTVYGQRRIRVINLSLPCTSMLSNLFRSADLDTQFACFLKQAASIIPVSSLSQVREHITNLCINILHAYRKYCATVSSSGQLILPEALKLLPLYTLALAKSIGLRNDARLDDRSYWVSLVTSLSISLAIPLVYPRMITIHNLTAKEDDESLLPASIPLSSENINDDGIYLLENGEDGLIYIGNMANPETLQQIFGVSSVDGLPAQLVLEQFDNDLSKKLNDIVNEIRKQRCSYLRLRLCRKGDPSGMHFMSYMVEDKTPGGLSYVEFLVHVHRQIQTKMA >Ma05_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3071012:3075223:-1 gene:Ma05_g04080 transcript:Ma05_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGMIQHHDSFIYACDVHVDDTYMQYGYHNINN >Ma08_p32670.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43298957:43304953:-1 gene:Ma08_g32670 transcript:Ma08_t32670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFDCMPKKGPAGGIRSRSKQSSKSRSQRKMAASEEELLHRQALAMAIQQHQLSQRFEGSMSRRIGSTSSRRRDLPDSITNGKLHPVSLENLETKKIILVHGEGFGAWCWYKTLSLLEEVGLLPIALDLKGSGIDLTDPNSVTTLEDYSKPLTDYLHSLPDDEKVILVGHSFGGASVSYALECYPKKISKAVFLSATMVLDGHKPFDVFSDKLSSAEVFLKESKFLVYGNGRDKPPTGLMFDKQQIKGLYFNQSPSKDIALAAVSMRPIPLAPIMEKLSLTPENYGTAKRFFIQTLDDHMLSPDVQEKLVRENPPHGIYKIKGCDHCPFFSRPQSLNKILLEIAQLP >Ma08_p32670.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43298957:43304953:-1 gene:Ma08_g32670 transcript:Ma08_t32670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFDCMPKKGPAGGIRSRSKQSSKSRSQRKMAASEEELLHRQALAMAIQQHQLSQRFEGSMSRRIGSTSSRRRDLPDSITNGKLHPVSLENLETKKIILVHGEGFGAWCWYKTLSLLEEVGLLPIALDLKGSGIDLTDPNSVTTLEDYSKPLTDYLHSLPDDEKVILVGHSFGGASVSYALECYPKKISKAVFLSATMVLDGHKPFDVFSDKLSSAEVFLKESKFLVYGNGRDKPPTGLMFDKQQIKGLYFNQSPSKDIALAAVSMRPIPLAPIMEKLSLTPENYGTAKRFFIQTLDDHMLSPDVQEKLVRENPPHGIYKIKGCDHCPFFSRPQSLNKILLEIAQLP >Ma08_p32670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43298957:43304954:-1 gene:Ma08_g32670 transcript:Ma08_t32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFDCMPKKGPAGGIRSRSKQSSKSRSQRKMAASEEELLHRQALAMAIQQHQLSQRFEGSMSRRIGSTSSRRRDLPDSITNGKLHPVSLENLETKKIILVHGEGFGAWCWYKTLSLLEEVGLLPIALDLKGSGIDLTDPNSVTTLEDYSKPLTDYLHSLPDDEKVILVGHSFGGASVSYALECYPKKISKAVFLSATMVLDGHKPFDVFSDKLSSAEVFLKESKFLVYGNGRDKPPTGLMFDKQQIKGLYFNQSPSKDIALAAVSMRPIPLAPIMEKLSLTPENYGTAKRFFIQTLDDHMLSPDVQEKLVRENPPHGIYKIKGCDHCPFFSRPQSLNKILLEIAQLP >Ma08_p32670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43298957:43304953:-1 gene:Ma08_g32670 transcript:Ma08_t32670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFDCMPKKGPAGGIRSRSKQSSKSRSQRKMAASEEELLHRQALAMAIQQHQLSQRFEGSMSRRIGSTSSRRRDLPDSITNGKLHPVSLENLETKKIILVHGEGFGAWCWYKTLSLLEEVGLLPIALDLKGSGIDLTDPNSVTTLEDYSKPLTDYLHSLPDDEKVILVGHSFGGASVSYALECYPKKISKAVFLSATMVLDGHKPFDVFSDKLSSAEVFLKESKFLVYGNGRDKPPTGLMFDKQQIKGLYFNQSPSKDIALAAVSMRPIPLAPIMEKLSLTPENYGTAKRFFIQTLDDHMLSPDVQEKLVRENPPHGIYKIKGCDHCPFFSRPQSLNKILLEIAQLP >Ma08_p32670.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43298957:43304956:-1 gene:Ma08_g32670 transcript:Ma08_t32670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFDCMPKKGPAGGIRSRSKQSSKSRSQRKMAASEEELLHRQALAMAIQQHQLSQRFEGSMSRRIGSTSSRRRDLPDSITNGKLHPVSLENLETKKIILVHGEGFGAWCWYKTLSLLEEVGLLPIALDLKGSGIDLTDPNSVTTLEDYSKPLTDYLHSLPDDEKVILVGHSFGGASVSYALECYPKKISKAVFLSATMVLDGHKPFDVFSDKLSSAEVFLKESKFLVYGNGRDKPPTGLMFDKQQIKGLYFNQSPSKDIALAAVSMRPIPLAPIMEKLSLTPENYGTAKRFFIQTLDDHMLSPDVQEKLVRENPPHGIYKIKGCDHCPFFSRPQSLNKILLEIAQLP >Ma05_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33770714:33771093:1 gene:Ma05_g22030 transcript:Ma05_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEESLPCRSFGRRCRLQAKEQKARFYILRRCIMMLMCWRERGETR >Ma07_p25010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32146211:32154693:-1 gene:Ma07_g25010 transcript:Ma07_t25010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYQGWSQGELSDGDTSQEIPVSQMLDHGSISFGRFPIESLSWERRSVFTHNRCQEELEKFNGLVAKKKAYFEERYRRIRAMKAQQNVRQNQHTELTLDYGGDGSMSSQSGEEDGAASQHERFKDGASNNVHSIPEETKPGVTLEQEINCNEPPQERCFNPDSTLPNPDSSRGIPENTEQDKNTLDTTMLVQPMETESSLPLTGDLKETKSNSSSKLDNKEILLKPKSLESNIEPRRVAPDTKKIKSEQLQSIRKPLHHKSLSKDTKKTASSSGMTSRRGPETKRLIKKRILLTSFKNLKEVKKKPLAVDSHYANLKKIEAHVLGPPKSRSSELPARNKSICNVGTEPHIATAEGMKRKEGNARMREFQRGTIKTTGPSKSGNMPMDTKQALAGRGADVSRGGSKSKLDDPSLDGRKTRCIIFLSHRPNSLDLKFSCSCESNQNALTYV >Ma07_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32146213:32154673:-1 gene:Ma07_g25010 transcript:Ma07_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYQGWSQGELSDGDTSQEIPVSQMLDHGSISFGRFPIESLSWERRSVFTHNRCQEELEKFNGLVAKKKAYFEERYRRIRAMKAQQNVRQNQHTELTLDYGGDGSMSSQSGEEDGAASQHERFKDGASNNVHSIPEETKPGVTLEQEINCNEPPQERCFNPDSTLPNPDSSRGIPENTEQDKNTLDTTMLVQPMETESSLPLTGDLKETKSNSSSKLDNKEILLKPKSLESNIEPRRVAPDTKKIKSEQLQSIRKPLHHKSLSKDPAAVRNGLNMKQETKSNGVHSSKGLKTPSQKTASQTLSKIIASRVKSNAMSTLVDLNQPLTKARSISTTLGPFTLVMERRARSRGNSTKLDSGSSNMLASSQIQAKGGSSVQDTKKTASSSGMTSRRGPETKRLIKKRILLTSFKNLKEVKKKPLAVDSHYANLKKIEAHVLGPPKSRSSELPARNKSICNVGTEPHIATAEGMKRKEGNARMREFQRGTIKTTGPSKSGNMPMDTKQALAGRGADVSRGGSKSKLDDPSLDGRKTRCIIFLSHRPNSLDLKFSCSCESNQNALTYV >Ma07_p25010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32146213:32154670:-1 gene:Ma07_g25010 transcript:Ma07_t25010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYQGWSQGELSDGDTSQEIPVSQMLDHGSISFGRFPIESLSWERRSVFTHNRCQEELEKFNGLVAKKKAYFEERYRRIRAMKAQQNVRQNQHTELTLDYGGDGSMSSQSGEEDGAASQHERFKDGASNNVHSIPEETKPGVTLEQEINCNEPPQERCFNPDSTLPNPDSSRGIPENTEQDKNTLDTTMLVQPMETESSLPLTGDLKETKSNSSSKLDNKEILLKPKSLESNIEPRRVAPDTKKIKSEQLQSIRKPLHHKSLSKDPAAVRNGLNMKQETKSNGVHSSKGLKTPSQKTASQTLSKIIASRVKSNAMSTLVDLNQPLTKARSISTTLGPFTLVMERRARSRGNSTKLDSGSSNMLASSQIQAKGGSSVQDTKKTASSSGMTSRRGPETKRLIKKRILLTSFKSSELPARNKSICNVGTEPHIATAEGMKRKEGNARMREFQRGTIKTTGPSKSGNMPMDTKQALAGRGADVSRGGSKSKLDDPSLDGRKTRCIIFLSHRPNSLDLKFSCSCESNQNALTYV >Ma07_p25010.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32146213:32154671:-1 gene:Ma07_g25010 transcript:Ma07_t25010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYQGWSQGELSDGDTSQEIPVSQMLDHGSISFGRFPIESLSWERRSVFTHNRCQEELEKFNGLVAKKKAYFEERYRRIRAMKAQQNVRQNQHTELTLDYGGDGSMSSQSGEEDGAASQHERFKDGASNNVHSIPEETKPGVTLEQEINCNEPPQERCFNPDSTLPNPDSSRGIPENTEQDKNTLDTTMLVQPMETESSLPLTGDLKETKSNSSSKLDNKEILLKPKSLESNIEPRRVAPDTKKIKSEQLQSIRKPLHHKSLSKDPAAVRNGLNMKQETKSNGVHSSKGLKTPSQKTASQTLSKIIASRVKSNAMSTLVDLNQPLTKARSISTTLGPFTLVMERRARSRGNSTKLDSGSSNMLASSQIQAKGGSSVQDTKKTASSSGMTSRRGPETKSLKEVKKKPLAVDSHYANLKKIEAHVLGPPKSRSSELPARNKSICNVGTEPHIATAEGMKRKEGNARMREFQRGTIKTTGPSKSGNMPMDTKQALAGRGADVSRGGSKSKLDDPSLDGRKTRCIIFLSHRPNSLDLKFSCSCESNQNALTYV >Ma07_p25010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32146213:32154669:-1 gene:Ma07_g25010 transcript:Ma07_t25010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYQGWSQGELSDGDTSQEIPVSQMLDHGSISFGRFPIESLSWERRSVFTHNRCQEELEKFNGLVAKKKAYFEERYRRIRAMKAQQNVRQNQHTELTLDYGGDGSMSSQSGEEDGAASQHERFKDGASNNVHSIPEETKPGVTLEQEINCNEPPQERCFNPDSTLPNPDSSRGIPENTEQDKNTLDTTMLVQPMETESSLPLTGDLKETKSNSSSKLDNKEILLKPKSLESNIEPRRVAPDTKKIKSEQLQSIRKPLHHKSLSKDPAAVRNGLNMKQETKSNGVHSSKGLKTPSQKTASQTLSKIIASRVKSNAMSTLVDLNQPLTKARSISTTLGPFTLVMERRARSRGNSTKLDSGSSNMLASSQIQAKGGSSVQDTKKTASSSGMTSRRGPETKRNLKEVKKKPLAVDSHYANLKKIEAHVLGPPKSRSSELPARNKSICNVGTEPHIATAEGMKRKEGNARMREFQRGTIKTTGPSKSGNMPMDTKQALAGRGADVSRGGSKSKLDDPSLDGRKTRCIIFLSHRPNSLDLKFSCSCESNQNALTYV >Ma10_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25677743:25681254:1 gene:Ma10_g12640 transcript:Ma10_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTSVFAAVCAFCLLSLASAVDFNYPAVFNFGDSNSDTGDLVAAGIGEPLLPPNGQTYFSRPAGRFCDGRLIIDFLMDAMDMPLLNAYLDSIGAPIFHKGCNFAAAGSTILPATANSISPFSFGVQVAQFFKFKDRVLQLLAKGKKFKKYIPQADYFSRGLYMFDIGQNDLAGAFYYKTEDQVIASIPTILLEFENGLKKLYEQGARRFWIHNTGPLGCLAQNIAFFAKDPSKLDEIGCVSSHNQAARLFNLQLHALCTKLQGVFGDASITYIDVFSIKFNLIANYSRYGFENPITACCGYGGLPLNYDSRISCGQTKVLDGGSVMAKACDDTTEYVNWDGIHYTEAANHHVSSQILTGKFADPPFADKMPFALKLKF >Ma04_p38180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35811686:35819901:1 gene:Ma04_g38180 transcript:Ma04_t38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVQSLSDNSETDEQQDQVQSENQHQPSATASLHSGVATHLPGYMVPTSHFDVAQTVAPAAYPFVDPYYGGMFAAYSGQHVIHPQLIGVNHPGVPLPTDAIEEPVYVNAKQYHGILRRRQSRAKAESKNKLAKVRKPYLHESRHLHAVRRARGCGGRFLNSKSEADQQNESQGNQQDEAASDDMAQRSDVPASDERSANKENTKLSSNRAEPSKVGDSDKLPVGNE >Ma09_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34299100:34299338:-1 gene:Ma09_g22350 transcript:Ma09_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSDYQMPPASNGLELDASVLNNVVVSKTSGCKDSSKDRQRNKYEKMVLK >Ma02_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24847170:24854275:1 gene:Ma02_g18330 transcript:Ma02_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSRGRRTLEEIRQKRAAERLHKVPSGSDLESANPYGMQRSEGGVRSTAERDSFAVASRIKEFENKNSELESENQKLLATLDEKEVQIDSLRKHLSDLEQNSLPSLRKSLKDASIEKDAAIVAREDALSQLRTIKKRLKEAEEEQYRAEQDAAALRAELNSLQQQGLGTSFSSVPLGNSPDHVLSLEKEIMELKTELQHISLWRQQEQQKLSEEQFRSSSLMAEKKELEDKIASFTKKISENASDLAVRKAISVDKEKLEKQLHDMAVMVERLESSRQKLLMEIDSQSTEIERLFEENSNLSTSYQEAMGLAVQWENQVKDCLKQNEQLRYLLDKLRSEQASPSQTSDSNIQSDVEGDKGRTSDPSEVASENLSLKLAKEQSRGDALAAEVMKLTAEHRRAAQAYNTLIRLYRPVLRDIENNLMKMKQESYAVAL >Ma02_p18330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24847162:24854275:1 gene:Ma02_g18330 transcript:Ma02_t18330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPAVDERWKKSVRSERPSDCTRSPLARISNPPIHMVCFALPRLSLSLIVRYLLYFWRVAVSLSRFAGMQRSEGGVRSTAERDSFAVASRIKEFENKNSELESENQKLLATLDEKEVQIDSLRKHLSDLEQNSLPSLRKSLKDASIEKDAAIVAREDALSQLRTIKKRLKEAEEEQYRAEQDAAALRAELNSLQQQGLGTSFSSVPLGNSPDHVLSLEKEIMELKTELQHISLWRQQEQQKLSEEQFRSSSLMAEKKELEDKIASFTKKISENASDLAVRKAISVDKEKLEKQLHDMAVMVERLESSRQKLLMEIDSQSTEIERLFEENSNLSTSYQEAMGLAVQWENQVKDCLKQNEQLRYLLDKLRSEQASPSQTSDSNIQSDVEGDKGRTSDPSEVASENLSLKDQLAKEQSRGDALAAEVMKLTAEHRRAAQAYNTLIRLYRPVLRDIENNLMKMKQESYAVAL >Ma02_p18330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24847162:24854275:1 gene:Ma02_g18330 transcript:Ma02_t18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSRGRRTLEEIRQKRAAERLHKVPSGSDLESANPYGMQRSEGGVRSTAERDSFAVASRIKEFENKNSELESENQKLLATLDEKEVQIDSLRKHLSDLEQNSLPSLRKSLKDASIEKDAAIVAREDALSQLRTIKKRLKEAEEEQYRAEQDAAALRAELNSLQQQGLGTSFSSVPLGNSPDHVLSLEKEIMELKTELQHISLWRQQEQQKLSEEQFRSSSLMAEKKELEDKIASFTKKISENASDLAVRKAISVDKEKLEKQLHDMAVMVERLESSRQKLLMEIDSQSTEIERLFEENSNLSTSYQEAMGLAVQWENQVKDCLKQNEQLRYLLDKLRSEQASPSQTSDSNIQSDVEGDKGRTSDPSEVASENLSLKDQLAKEQSRGDALAAEVMKLTAEHRRAAQAYNTLIRLYRPVLRDIENNLMKMKQESYAVAL >Ma01_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8205519:8208615:1 gene:Ma01_g11340 transcript:Ma01_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTEVELEVLLDNGIVQLTLSKPGGSITGVRYHGLDNVNEVKEKEDGRGYWDLVWNPSDRDSGIFQKILGTEFDVAQQDANHVEVSFRTKWDPSHRGKFVPLNIDKRFVMLRGSSGFYTYAIYEHLQGWPDFNLGETRVVFKLRKDKFHHMAIADNRQRIMPMPDDRRDGRSHKLGYPEAVRLIDPTNAALRGEVDDKYQYSSENKDSLVHGWISSDPSVGFWVITPSNEFKSGGPVRQDLTSHVGPTALSMFVSSHYSGVDTVPKFRNGEYWKKVFGPVFIYLNSAPDKSDPKLLWEDAQKQMQVEVEKWPYEFPASEDFHKSEQRGSVSGRLLVLDKHISKEYINGNAAFVGLASPGEAGSWQRESKGYQFWVKADVNGNFFIKNVRTGVYNLYAWVPGFIGDYKSSLNITVTSGNHINLGSLVYNPPRDGPTLWEIGIPDRSAAEFFVPDPDPKHTNRLYVDHSDRFRQYGLWERYADLYPDGDLIYTIGVSDYRKDWFYAQVTRKDGQGSHQATTWQIRFRLDSVHPNGPYKLRVALAAAHLSELQVRFNNPRVQPAHFTTRLIGRDNSIARQGIHGLYWLFSVDVESSWLLEGDNIIFLTQTRCQSPFQGVMYDYIRMEAPADSVASTDDVVE >Ma04_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17613081:17613949:1 gene:Ma04_g17340 transcript:Ma04_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNTFCRSTSSPFPSTSPLPKPPIHHPVVHSPCAACKILRRRCSGGCVLAPYFPPTEPLEFTTAHRVFGASNIIKFLQELPEIQRADAASSLVYEANARARDPVYGSAGIIYQLQRQAEGLQSQLARVRAELAAMQAQHENLISILSVKPWPFPAGFEEHYSPYMYRGGFSSLDEYSQASMWEESLWA >Ma09_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5688604:5692270:-1 gene:Ma09_g08580 transcript:Ma09_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEEEKHARYIVSVEKKKDDFEALVIEHLRMNGAYWGLTTLDLLNKIGAIDVDAVVSWVMECQDKDCGGFAGNLGHDPHLLYTISAVQVLALLDRLDLLDIEKVSDYVAGLQNEDGSFSGDMWGEIDTRFSYCAICCLSLLGRLSKINVEKAVNYIVSCKNLDGGFGTIPGGESHAGQIFCCVGALAITGSLHHVDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLTRFILNCQDKDNGGISDRPDNAVDVFHTYFGVAGLSLMEYPGLKTIDPAYALPVDVVNRIFFRK >Ma01_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26587824:26589657:-1 gene:Ma01_g23120 transcript:Ma01_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEADSSPSTWLPGPLIVGAGPSGLAVAACLADVGIPSTVLEKSSCVASLWQHRTYDRLRLHLPKQFCELPLMGFPDGFPKYPSKHQFISYLESYATAFGIRPRFGTEVLDAAFDRGIGAWRVRTRGGEDFVSRWLVVATGENAEPVVPEFPGMERFGGRVVHTCAYRSGADFTGEKVLVVGCGNSGMEVSLDLFRNDARPHMVVRNTVHVLPREMLGWSTFVVTMALLRWLPLRLVDQFLCAMAHLMFGDTDRLGLRRPKLGPMELKNLAGKTPVLDVGALALIRSGNIKVMRGVKEITRGGAKFVDGMEEQFDAIILATGYRSNVSSWLMDDGGLFTKEGMAKDPFPGGWKGEKGLYCVGFTRRGLLGASHDALNIARDILLRRNNPSSHHLAKQ >Ma04_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:355422:356324:-1 gene:Ma04_g00360 transcript:Ma04_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLHTSTTVVVLHHPHELRRNPLATLPLLARCLRRCHTLPGRRLRLGSHPLLDSLYHGHRGDAPSAVFLFPGGRDLGLLAADAAGPPSVLVVFDGTWRQAKEMVAASLPFLEQFATKVSLGCCEPGVEGPSTFESELVLRKEPFKGCVSTMEAVARALRVMEPDGKGAAVEATLLSLLRAMVGFQACHMKPMKPRSKLRKKDMTMAAGPKKEKEKENEKENANGSTEAAEERHYMEPIC >Ma03_p05120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3337314:3339592:-1 gene:Ma03_g05120 transcript:Ma03_t05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSISGLQADGAPVSGGGLSQDGKFGYGYASCPGKRSSMEDFYETRIDSIDGEIVGLFGVFDGHGGAQVAEYVKQNLFSNLLRHPKFITDTKSAIADAYNHTDAEFLKSENSQNREAGSTASTAVLVGDRLLVANVGDSRAVICRGGDGRPLILKSVLLSSIMCNGFLYFI >Ma09_p12120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8190324:8190751:1 gene:Ma09_g12120 transcript:Ma09_t12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSELFRSLIINELCCREPLRRCPILVDRLPEIIASGLSFDHQLQIEACGRVQKMASYCLSKAGSRQRVDDDRWTSNGQLFPIFGCD >Ma01_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20955268:20968735:-1 gene:Ma01_g21310 transcript:Ma01_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPSRFWFLLFPANEYKIVVAGLENASQATTLYKLHLGEVVNTSPSIGSNVEEVVYKNIRFEVWDSGGDKKG >Ma03_p06940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4763275:4770307:1 gene:Ma03_g06940 transcript:Ma03_t06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSPCHSLPPPNSPRGLTVPSEDLEQSGEEDEGKEEEEGEEEEEYLDPSKHSFSHALRECQNRRCRSEAVDLPGMKKSSAASSRSRSGTFPSPGTPNYGHGAGAAAFHKGWSSERVPLPTRSNRRYGGSGVLLPFTNGRTLPSKWEDAERWIFSPVSGEGVGRSSVPPSHHRRPKSKSGPLGAPAGVVGAYSSASPLVPCFDSSRVGNFAGNSPFMAGVLLTEHSFCGNDGRGEGGGSGGRCTRRVGGGAEAHSADGGAYIVQSAGIHGWSDTLIESSSSVPSSRDEKFEGTRETASTVLASVLRKDMATQMSPEGSTPSSPKQGPSSPSPDLVPCIEELESHFSKLEVRDVQVDDRVTVTRWSKKHIARGSGRCSASIIEWKKKTVEANTSAWEIAETTKSISKYKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMVKILNKLRSAQKKAQEMRSAVTANQAIHTAKATKKGSYFRRSGQISSLSGCFTCHAF >Ma03_p06940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4763275:4770307:1 gene:Ma03_g06940 transcript:Ma03_t06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSPCHSLPPPNSPRGLTVPSEDLEQSGEEDEGKEEEEGEEEEEYLDPSKHSFSHALRECQNRRCRSEAVDLPGRKPQRPQQLLLDFHGQSADVSVLSPRFLAGGLTGMKKSSAASSRSRSGTFPSPGTPNYGHGAGAAAFHKGWSSERVPLPTRSNRRYGGSGVLLPFTNGRTLPSKWEDAERWIFSPVSGEGVGRSSVPPSHHRRPKSKSGPLGAPAGVVGAYSSASPLVPCFDSSRVGNFAGNSPFMAGVLLTEHSFCGNDGRGEGGGSGGRCTRRVGGGAEAHSADGGAYIVQSAGIHGWSDTLIESSSSVPSSRDEKFEGTRETASTVLASVLRKDMATQMSPEGSTPSSPKQGPSSPSPDLVPCIEELESHFSKLEVRDVQVDDRVTVTRWSKKHIARGSGRCSASIIEWKKKTVEANTSAWEIAETTKSISKYKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMVKILNKLRSAQKKAQEMRSAVTANQAIHTAKATKKGSYFRRSGQISSLSGCFTCHAF >Ma04_p13860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10504153:10504734:1 gene:Ma04_g13860 transcript:Ma04_t13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSFGPPLRGVHSSRLHPIKPTPRVVKQSPPCRSQLSAGPAGGGGENEKEKRRSKEGKSWRGLLVEMYGEAGKLGTGLMEGLSPKQKGDWKDVLLMSFSFAVYVYISQKIVCAYCAWMSMINHP >Ma04_p04020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3093872:3094956:-1 gene:Ma04_g04020 transcript:Ma04_t04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPGHPAPPVIGVATGVPYAAASGTYQAYQNLYHQQQQQQQQQLQMFWADQYREIEQTTDFRNHSLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRSWAHAEENKRRTLQKNDIAAAISRTDVFDFLIDIVPREEGKEDVAHALGAPPSDPLSYYYVPK >Ma10_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22096287:22101353:1 gene:Ma10_g07760 transcript:Ma10_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLRQEAHVAQQSRRDKLRIPSHLLQLRDACDPPMFSSSAAGAAPADFAAGSQVPLIVPSSSAILGETAAALRGQPGCDWAVHPSLFPDNHSCHQQPKPGFVGYHDGSMDLHYVSLPSPLPSQGRDFVSAVAQQPCPWAIDSGGNELLFLPGYAADPANAMLMARQPPPQWSGDLMSAKYEDASAVGGSDLRVARSLSLTLASSPVPELGAAQLEAGPSCPYPKFLISDRVYDSGGSLQDVVTSPGGAAARHPFAGYAAFLKNSRFLRPTQQLLDEFCCAVAGSKLLKRCFAEETSRGASRSGAVGEKESSSRVGNSGASTSTLYSSVEAGGERGAGSSSGVPKVHRSEFQQKKAKLLHMQEEICRRYKQYHQQMQMVVSSFESVAGLNSATPCTSLALKAISKHFRSLKNAISEQIQNISKVLGEELLSSPSFSRGEATTTPRSKYLDQSLQKQKVGESTLSFTGYNQPVWKPQRGLPERAVSVLRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRLWKPMIEEIHMLETKGTSGMDFTSANAKHMMPGTDDGARPSMEPVFAADERSQEPWQGDKRSRVEESEMLMSFASYQHAMDIGGIDAVSLTLGLRHEGGQQNPHQMFHDFVG >Ma06_p12530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8685954:8688976:1 gene:Ma06_g12530 transcript:Ma06_t12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFRKVYRGDPGVPHSDPDRYLHSCLGSFAFAAVSWCTSRTADLSRRFNWHDKALKFEHNHWKKVMERKHRNGDLPAASMSKP >Ma05_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21092324:21093965:1 gene:Ma05_g17660 transcript:Ma05_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTMVGAQKALLLPSCSSCAKPKTPKSPSFSSKFLSSSFALSKTDLCGTGTAAASSCRPSLRRGGRLLVVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAAVGGSAPKKYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDVPIISGSALLALEALMANPSIKRGENTWVDKIYDLMDAVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTIRIGETIDIVGLRETRNTTVTGVEMFQKTLDEAMAGDNVGLLLRGIQKDDIQRGMVLAKPGTITPHTKFVAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGRVTSIMNDKDEEAKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSIIE >Ma07_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9913812:9915458:-1 gene:Ma07_g13190 transcript:Ma07_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKSSLKKPFADGSVLGIQAVDSNDSLRELPSGFTKRCKVQWTDTNGNELVKIKEYEPSDDSSSDDEFGYHGGKCQCIIQ >Ma05_p27810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38950688:38951065:-1 gene:Ma05_g27810 transcript:Ma05_t27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTQLVFVLALLLVVVVAYASADSAPAASPSGSAMLSVLTPIATSPLPADADSPSGDVDDSDAGGVGAPLGTTTTKPEGETKSGASLNGVASAAVVAAISGSAYFMF >Ma01_p00200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:172394:175445:-1 gene:Ma01_g00200 transcript:Ma01_t00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDERKTGGNSRETDSPGKGGTAFKHGPYASKPNAKKWFCCMYAAPEA >Ma05_p20650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32325486:32328133:-1 gene:Ma05_g20650 transcript:Ma05_t20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGQMISVHPEELTFELELEKPSYCNIKVANNTEHHVAFKVKTTSPTKYFVRPNASVVQPWDSCTVTVSHQAQKEYPPDMQCKDKFLIQSAKVPPSSDMDEIPSDTFNKDSAKVIEELKLRVVYTFPSQSGHANSEEESGLASSASGRRGSDMFKNSSIEEIQTLQRLKEERDAILQQNHQFQRELDILKRRRNRKSDTGFSLTFAAFAGLVGIMVGFILNLILSTPPATA >Ma01_p12040.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8719445:8723991:-1 gene:Ma01_g12040 transcript:Ma01_t12040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQPSKEDRLWRDTSSEKKEGSLMSFGGLSDGRSGALPYGKAAMTTGELSPPEFISQRLPKPSFVSPGLSLGLSDTSRMAAVGGGSDVDSAKRNKEDENDSRSESDNLEAISGDDTDQVNPRKKKRYHRHTPLQIQELEALFKECPHPDEKQRLELSKRLNLETRQVKFWFQNRRTQMKTQIERHENSILRQENDKLRAENMAIREAARNPVCNSCGGPAMLADISMEEQQLRIENARLKEELDRVCALAGKFLGKSISSLSEPISPSMRSSVLELGVGNNGFLGFGSVAPTISAVPDFLPGIDNPLGKNMDALLGSERSMHLELALVAMEELVNMAQMEEPLWVPSSDGGTETLNHEQYLRTFRRISGTGMPPVGYASEASRQKGVVIINSLALVETLMDARRWADMFPCIIAKATTMEVISGGVGGTRDGALQLMHAELQVLSPLVAVREVYFLRFCKQHAEGVWAVVDVSVDSIRASFSSASCRRLPSGCVVQDMPSGYSKVTWVEHAEYEEGQVHQLYRPLLRSGLAFGAGRWVATLQRQCEGLAILMSSAITARDETETITASGRRSMLKLAQRMTNAFCAGVCTSSAQEWSKLATENVGDEVRVMTRMSVNEPGEPAGVVLSAATSVWIPESPKRLFDFLREASFRSKWDILSNGAPMYEMAHIAKGQDAGNAVSLLRASAASSNQTSMLILQETCTDASGAMVVYAPVDIPAMHLVMSGGDSAYVALLPSGFAILPDRSVEPHQTSGSLGSLLTVGFQILVNSQPTAKLTMESVETVNGLISCTVQKIKAALQCDD >Ma01_p12040.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8719445:8723705:-1 gene:Ma01_g12040 transcript:Ma01_t12040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQPSKEDRLWRDTSSEKKEGSLMSFGGLSDGRSGALPYGKAAMTTGELSPPEFISQRLPKPSFVSPGLSLGLSDTSRMAAVGGGSDVDSAKRNKEDENDSRSESDNLEAISGDDTDQVNPRKKKRYHRHTPLQIQELEALFKECPHPDEKQRLELSKRLNLETRQVKFWFQNRRTQMKTQIERHENSILRQENDKLRAENMAIREAARNPVCNSCGGPAMLADISMEEQQLRIENARLKEELDRVCALAGKFLGKSISSLSEPISPSMRSSVLELGVGNNGFLGFGSVAPTISAVPDFLPGIDNPLGKNMDALLGSERSMHLELALVAMEELVNMAQMEEPLWVPSSDGGTETLNHEQYLRTFRRISGTGMPPVGYASEASRQKGVVIINSLALVETLMDARRWADMFPCIIAKATTMEVISGGVGGTRDGALQLMHAELQVLSPLVAVREVYFLRFCKQHAEGVWAVVDVSVDSIRASFSSASCRRLPSGCVVQDMPSGYSKVTWVEHAEYEEGQVHQLYRPLLRSGLAFGAGRWVATLQRQCEGLAILMSSAITARDETETITASGRRSMLKLAQRMTNAFCAGVCTSSAQEWSKLATENVGDEVRVMTRMSVNEPGEPAGVVLSAATSVWIPESPKRLFDFLREASFRSKWDILSNGAPMYEMAHIAKGQDAGNAVSLLRASAASSNQTSMLILQETCTDASGAMVVYAPVDIPAMHLVMSGGDSAYVALLPSGFAILPDRSVEPHQTSGSLGSLLTVGFQILVNSQPTAKLTMESVETVNGLISCTVQKIKAALQCDD >Ma01_p12040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8719445:8723705:-1 gene:Ma01_g12040 transcript:Ma01_t12040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQPSKEDRLWRDTSSEKKEGSLMSFGGLSDGRSGALPYGKAAMTTGELSPPEFISQRLPKPSFVSPGLSLGLSDTSRMAAVGGGSDVDSAKRNKEDENDSRSESDNLEAISGDDTDQVNPRKKKRYHRHTPLQIQELEALFKECPHPDEKQRLELSKRLNLETRQVKFWFQNRRTQMKTQIERHENSILRQENDKLRAENMAIREAARNPVCNSCGGPAMLADISMEEQQLRIENARLKEELDRVCALAGKFLGKSISSLSEPISPSMRSSVLELGVGNNGFLGFGSVAPTISAVPDFLPGIDNPLGKNMDALLGSERSMHLELALVAMEELVNMAQMEEPLWVPSSDGGTETLNHEQYLRTFRRISGTGMPPVGYASEASRQKGVVIINSLALVETLMDARRWADMFPCIIAKATTMEVISGGVGGTRDGALQLMHAELQVLSPLVAVREVYFLRFCKQHAEGVWAVVDVSVDSIRASFSSASCRRLPSGCVVQDMPSGYSKVTWVEHAEYEEGQVHQLYRPLLRSGLAFGAGRWVATLQRQCEGLAILMSSAITARDETETITASGRRSMLKLAQRMTNAFCAGVCTSSAQEWSKLATENVGDEVRVMTRMSVNEPGEPAGVVLSAATSVWIPESPKRLFDFLREASFRSKWDILSNGAPMYEMAHIAKGQDAGNAVSLLRASAASSNQTSMLILQETCTDASGAMVVYAPVDIPAMHLVMSGGDSAYVALLPSGFAILPDRSVEPHQTSGSLGSLLTVGFQILVNSQPTAKLTMESVETVNGLISCTVQKIKAALQCDD >Ma01_p12040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8719445:8723705:-1 gene:Ma01_g12040 transcript:Ma01_t12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQPSKEDRLWRDTSSEKKEGSLMSFGGLSDGRSGALPYGKAAMTTGELSPPEFISQRLPKPSFVSPGLSLGLSDTSRMAAVGGGSDVDSAKRNKEDENDSRSESDNLEAISGDDTDQVNPRKKKRYHRHTPLQIQELEALFKECPHPDEKQRLELSKRLNLETRQVKFWFQNRRTQMKTQIERHENSILRQENDKLRAENMAIREAARNPVCNSCGGPAMLADISMEEQQLRIENARLKEELDRVCALAGKFLGKSISSLSEPISPSMRSSVLELGVGNNGFLGFGSVAPTISAVPDFLPGIDNPLGKNMDALLGSERSMHLELALVAMEELVNMAQMEEPLWVPSSDGGTETLNHEQYLRTFRRISGTGMPPVGYASEASRQKGVVIINSLALVETLMDARRWADMFPCIIAKATTMEVISGGVGGTRDGALQLMHAELQVLSPLVAVREVYFLRFCKQHAEGVWAVVDVSVDSIRASFSSASCRRLPSGCVVQDMPSGYSKVTWVEHAEYEEGQVHQLYRPLLRSGLAFGAGRWVATLQRQCEGLAILMSSAITARDETETITASGRRSMLKLAQRMTNAFCAGVCTSSAQEWSKLATENVGDEVRVMTRMSVNEPGEPAGVVLSAATSVWIPESPKRLFDFLREASFRSKWDILSNGAPMYEMAHIAKGQDAGNAVSLLRASAASSNQTSMLILQETCTDASGAMVVYAPVDIPAMHLVMSGGDSAYVALLPSGFAILPDRSVEPHQTSGSLGSLLTVGFQILVNSQPTAKLTMESVETVNGLISCTVQKIKAALQCDD >Ma01_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8719445:8723705:-1 gene:Ma01_g12040 transcript:Ma01_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQPSKEDRLWRDTSSEKKEGSLMSFGGLSDGRSGALPYGKAAMTTGELSPPEFISQRLPKPSFVSPGLSLGLSDTSRMAAVGGGSDVDSAKRNKEDENDSRSESDNLEAISGDDTDQVNPRKKKRYHRHTPLQIQELEALFKECPHPDEKQRLELSKRLNLETRQVKFWFQNRRTQMKTQIERHENSILRQENDKLRAENMAIREAARNPVCNSCGGPAMLADISMEEQQLRIENARLKEELDRVCALAGKFLGKSISSLSEPISPSMRSSVLELGVGNNGFLGFGSVAPTISAVPDFLPGIDNPLGKNMDALLGSERSMHLELALVAMEELVNMAQMEEPLWVPSSDGGTETLNHEQYLRTFRRISGTGMPPVGYASEASRQKGVVIINSLALVETLMDARRWADMFPCIIAKATTMEVISGGVGGTRDGALQLMHAELQVLSPLVAVREVYFLRFCKQHAEGVWAVVDVSVDSIRASFSSASCRRLPSGCVVQDMPSGYSKVTWVEHAEYEEGQVHQLYRPLLRSGLAFGAGRWVATLQRQCEGLAILMSSAITARDETETITASGRRSMLKLAQRMTNAFCAGVCTSSAQEWSKLATENVGDEVRVMTRMSVNEPGEPAGVVLSAATSVWIPESPKRLFDFLREASFRSKWDILSNGAPMYEMAHIAKGQDAGNAVSLLRASAASSNQTSMLILQETCTDASGAMVVYAPVDIPAMHLVMSGGDSAYVALLPSGFAILPDRSVEPHQTSGSLGSLLTVGFQILVNSQPTAKLTMESVETVNGLISCTVQKIKAALQCDD >Ma05_p22350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34085896:34086653:1 gene:Ma05_g22350 transcript:Ma05_t22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVIFRASFHVVAARLLLLLLLQGDDCWCYQYKVGDLDYWGLPPPSDPFLYSSWSQNHRFRLGDSLLFLYPPSQDSVMQVTERAFNSCSLTDPIRKLDDGNSLFNLTTPGNYYFTSGAPGHCEKNQKLAVAVPSLNNGTFFPPAVDFAVPPAGSQSYLTVFGPAPAQGHSGNPAAAVTAMGSVVSAALLLGVAFP >Ma10_p25800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33761369:33773570:1 gene:Ma10_g25800 transcript:Ma10_t25800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPKWKIEKTKVKVVFRLQFHATHIPQGWDKLFVSFIPIDTGKATAKTNKVNVRNGNCKWPDPIYETTRLLQDTRTKKYDEKHYKLVVAMGSSRSSLLGDVTINLAGFADALKPSSISLPLANCDFSTTLHVTVQLLTSKTGFREFEQQRELSVKGVQTISSHKNDPTEVEAEAASSEIANELEEANARVRYKEDHMGLLLLEQVEESNEEYEDSTGGADGSSFTSEILHAEKNDLPIIHGKDNFKSMVCGDLPISQIPIHAKEDPNGSQRFTQGRNDWTHGWSSKYSVENDLATAYEENNRLRVRLEVAESAFLQLKLEAKSLQRITDELGAETQCLSEQLLSELASGEQLNREVSMLKSECSKLKDDLEALKSAEVMQRSPDQRTHNPLMLNHGLENDSVDSKLQDDAIAAETHYMYHDLREKWLENLLLIESRVREIQNKARLRYHGSDFDFLGPDFELLGCLIGDLKEDIIQVKGLGRSYRDNSFLKNTVYRMSDSHKVYHEHDTLKKSLEASSLREDKMFDLLPKLEELTTEKESLTKKIDQMQYYYESLILELEESQKQTVKELENLRNEHSSCLYSVSVLKSQIEKMHQEMNEQFITFAEDRSSLESQNKELEKRAIASETALKRVRWNYSIAVDRLQKDLELLSFQVLSMYETNENLAKQAFADAYQQYHEECPEEVRSYTDKDGMSTLFDQEQYQSDLTRIQTGDRHYGTIHKWSPLDNGISTSVSCKTSGAISKVGIPIHVELQAGDEADIEGFNSDETEQLALHHTQVKDKLTADLTSGMQEAELSEMHMLNMDLKVFLEVLLGTLYDVDDGVRLTKDKMLEITQQLHHTTEQKESLMLKLHKALDDAGVLKDDRTKCISRCEDLELKNQVLEAKLEDISYESTILSGKVTEYERMLVKCKVYEKEYKACTEEMDSLKNLLKLEILQKNCLEIEKSSIIEEFKALKEQFDRKSSENEEMQTCIACLQDKLGYLHTCMSSCNEQIGCSALDDISVLQELDDGNYMPVIMNLEQFQQEATKKILHLLKKNRDIEEQRDIAQCLQKKTELEYINMKQKLESDLHEITEKLEMSNALVEKLQVELQNALEKLKLGSEAEEKKKLKNRELSSKLTFLETELQEAIDENKDLVNQFFVLSGVKEELEKTQISLMNCMQEKKSLLISIESGNEVSTQMGNELHGLKENLCCAHRDLQIEKKLRDELDAAVSSLSTQLKERDRELFSFHEQKTEVSHLQEIIVDLEKANTGFQHVVLKNEESQIRLNHENLSLRMQVMDIGNQLATVLENSIAAEIKLTYMRSHFCDIVQESFAQLKILEKELEEMNLKHGNVVTLLNTYYCSEAQLIEENARLSVALQSLQSEYDIVFQEKEDLIDYTNKQNALCTEYEDMKVREADSKLQKQKYENEICQLENMLISFEEEVWNLRSSKDALEVTYIVLQSTLNEQQTKVSLLEERDWELKILQDHHNELRYKLSEQILKTEEYKNLSIHLRELKDKAEVECLQAREKKENERSSQDSLRIAFIKEQHESKIQELKNQLYVSKKYAEEMLFKLQNALDEVESRKKTEVSLAKKIEELLAKISNLESELETVMTDRRELAKAYDRIKNELECTILGLDYCNEAKLKLEDSLKECNEERTKARIELDLVKRLFDNMASHEALNSEGNHDSGFLTTTSIEQMLQDSNFGFSTIFQGMPNDRGTSLGIDAPAGVVDNSLKNIDVDLLKTGGKLSSCEDLEDVMSTSANESSLSCPVPSSQAFKDIEGALERATSFADNTTDVTVIEANLKEQQRLKSGMDMLQKELLKLRNENLSSLIPLEDHQGVPSLRGLERDLSQLDMANEQLRSIFPLFNELPGSGNALERVLALELELAEALQTKKKADLHFQSSFLKQHNDEEAVFQSFRDINELIKEMLELKSRNAATETELKEMQGRYSLLSLQFAEVEGERQKLLMTAKSRVPKSS >Ma10_p25800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33761369:33773570:1 gene:Ma10_g25800 transcript:Ma10_t25800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPKWKIEKTKVKVVFRLQFHATHIPQGWDKLFVSFIPIDTGKATAKTNKVNVRNGNCKWPDPIYETTRLLQDTRTKKYDEKHYKLVVAMGSSRSSLLGDVTINLAGFADALKPSSISLPLANCDFSTTLHVTVQLLTSKTGFREFEQQRELSVKGVQTISSHKNDPTEVEAEAASSEIANELEEANARVRYKEDHMGLLLLEQVEESNEEYEDSTGGADGSSFTSEILHAEKNDLPIIHGKDNFKSMVCGDLPISQIPIHAKEDPNGSQRFTQGRNDWTHGWSSKYSVENDLATAYEENNRLRVRLEVAESAFLQLKLEAKSLQRITDELGAETQCLSEQLLSELASGEQLNREVSMLKSECSKLKDDLEALKSAEVMQRSPDQRTHNPLMLNHGLENDSVDSKLQDDAIAAETHYMYHDLREKWLENLLLIESRVREIQNKARLRYHGSDFDFLGPDFELLGCLIGDLKEDIIQVKGLGRSYRDNSFLKNTVYRMSDSHKVYHEHDTLKKSLEASSLREDKMFDLLPKLEELTTEKESLTKKIDQMQYYYESLILELEESQKQTVKELENLRNEHSSCLYSVSVLKSQIEKMHQEMNEQFITFAEDRSSLESQNKELEKRAIASETALKRVRWNYSIAVDRLQKDLELLSFQVLSMYETNENLAKQAFADAYQQYHEECPEEVRSYTDKDGMSTLFDQEQYQSDLTRIQTGDRHYGTIHKWSPLDNGISTSVSCKTSGAISKVGIPIHVELQAGDEADIEGFNSDETEQLALHHTQVKDKLTADLTSGMQEAELSEMHMLNMDLKVFLEVLLGTLYDVDDGVRLTKDKMLEITQQLHHTTEQKESLMLKLHKALDDAGVLKDDRTKCISRCEDLELKNQVLEAKLEDISYESTILSGKVTEYERMLVKCKVYEKEYKACTEEMDSLKNLLKLEILQKNCLEIEKSSIIEEFKALKEQFDRKSSENEEMQTCIACLQDKLGYLHTCMSSCNEQIGCSALDDISVLQELDDGNYMPVIMNLEQFQQEATKKILHLLKKNRDIEEQRDIAQCLQKKTELEYINMKQKLESDLHEITEKLEMSNALVEKLQVELQNALEKLKLGSEAEEKKKLKNRELSSKLTFLETELQEAIDENKDLVNQFFVLSGVKEELEKTQISLMNCMQEKKSLLISIESGNEVSTQMGNELHGLKENLCCAHRDLQIEKKLRDELDAAVSSLSTQLKERDRELFSFHEQKTEVSHLQEIIVDLEKANTGFQHVVLKNEESQIRLNHENLSLRMQVMDIGNQLATVLENSIAAEIKLTYMRSHFCDIVQESFAQLKILEKELEEMNLKHGNVVTLLNTYYCSEAQLIEENARLSVALQSLQSEYDIVFQEKEDLIDYTNKQNALCTEYEDMKVREADSKLQKQKYENEICQLENMLISFEEEVWNLRSSKDALEVTYIVLQSTLNEQQTKVSLLEERDWELKILQDHHNELRYKLSEQILKTEEYKNLSIHLRELKDKAEVECLQAREKKENERSSQDSLRIAFIKEQHESKIQELKNQLYVSKKYAEEMLFKLQNALDEVESRKKTEVSLAKKIEELLAKISNLESELETVMTDRRELAKAYDRIKNELECTILGLDYCNEAKLKLEDSLKECNEERTKARIELDLVKRLFDNMASHEALNSEGNHDSGFLTTTSIEQMLQDSNFGFSTIFQGMPNDRGTSLGIDAPAGVVDNSLKNIDVDLLKTGGKLSSCEDLEDVMSTSANESSLSCPVPSSQAFKDIEGALERATSFADNTTDVTVIEANLKEQQRLKSGMDMLQKELLKLRNENLSSLIPLEDHQGVPSLRGLERDLSQLDMANEQLRSIFPLFNELPGSGNALERVLALELELAEALQTKKKADLHFQSSFLKQHNDEEAVFQSFRDINELIKEMLELKSRNAATETELKEMQGRYSLLSLQFAEVEGERQKLLMTAKSRVPKSS >Ma10_p25800.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33758393:33773570:1 gene:Ma10_g25800 transcript:Ma10_t25800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPKWKIEKTKVKVVFRLQFHATHIPQGWDKLFVSFIPIDTGKATAKTNKVNVRNGNCKWPDPIYETTRLLQDTRTKKYDEKHYKLVVAMGSSRSSLLGDVTINLAGFADALKPSSISLPLANCDFSTTLHVTVQLLTSKTGFREFEQQRELSVKGVQTISSHKNDPTEVEAEAASSEIANELEEANARVRYKEDHMGLLLLEQVEESNEEYEDSTGGADGSSFTSEILHAEKNDLPIIHGKDNFKSMVCGDLPISQIPIHAKEDPNGSQRFTQGRNDWTHGWSSKYSVENDLATAYEENNRLRVRLEVAESAFLQLKLEAKSLQRITDELGAETQCLSEQLLSELASGEQLNREVSMLKSECSKLKDDLEALKSAEVMQRSPDQRTHNPLMLNHGLENDSVDSKLQDDAIAAETHYMYHDLREKWLENLLLIESRVREIQNKARLRYHGSDFDFLGPDFELLGCLIGDLKEDIIQVKGLGRSYRDNSFLKNTVYRMSDSHKVYHEHDTLKKSLEASSLREDKMFDLLPKLEELTTEKESLTKKIDQMQYYYESLILELEESQKQTVKELENLRNEHSSCLYSVSVLKSQIEKMHQEMNEQFITFAEDRSSLESQNKELEKRAIASETALKRVRWNYSIAVDRLQKDLELLSFQVLSMYETNENLAKQAFADAYQQYHEECPEEVRSYTDKDGMSTLFDQEQYQSDLTRIQTGDRHYGTIHKWSPLDNGISTSVSCKTSGAISKVGIPIHVELQAGDEADIEGFNSDETEQLALHHTQVKDKLTADLTSGMQEAELSEMHMLNMDLKVFLEVLLGTLYDVDDGVRLTKDKMLEITQQLHHTTEQKESLMLKLHKALDDAGVLKDDRTKCISRCEDLELKNQVLEAKLEDISYESTILSGKVTEYERMLVKCKVYEKEYKACTEEMDSLKNLLKLEILQKNCLEIEKSSIIEEFKALKEQFDRKSSENEEMQTCIACLQDKLGYLHTCMSSCNEQIGCSALDDISVLQELDDGNYMPVIMNLEQFQQEATKKILHLLKKNRDIEEQRDIAQCLQKKTELEYINMKQKLESDLHEITEKLEMSNALVEKLQVELQNALEKLKLGSEAEEKKKLKNRELSSKLTFLETELQEAIDENKDLVNQFFVLSGVKEELEKTQISLMNCMQEKKSLLISIESGNEVSTQMGNELHGLKENLCCAHRDLQIEKKLRDELDAAVSSLSTQLKERDRELFSFHEQKTEVSHLQEIIVDLEKANTGFQHVVLKNEESQIRLNHENLSLRMQVMDIGNQLATVLENSIAAEIKLTYMRSHFCDIVQESFAQLKILEKELEEMNLKHGNVVTLLNTYYCSEAQLIEENARLSVALQSLQSEYDIVFQEKEDLIDYTNKQNALCTEYEDMKVREADSKLQKQKYENEICQLENMLISFEEEVWNLRSSKDALEVTYIVLQSTLNEQQTKVSLLEERDWELKILQDHHNELRYKLSEQILKTEEYKNLSIHLRELKDKAEVECLQAREKKENERSSQDSLRIAFIKEQHESKIQELKNQLYVSKKYAEEMLFKLQNALDEVESRKKTEVSLAKKIEELLAKISNLESELETVMTDRRELAKAYDRIKNELECTILGLDYCNEAKLKLEDSLKECNEERTKARIELDLVKRLFDNMASHEALNSEGNHDSGFLTTTSIEQMLQDSNFGFSTIFQGMPNDRGTSLGIDAPAGVVDNSLKNIDVDLLKTGGKLSSCEDLEDVMSTSANESSLSCPVPSSQAFKDIEGALERATSFADNTTDVTVIEANLKEQQRLKSGMDMLQKELLKLRNENLSSLIPLEDHQGVPSLRGLERDLSQLDMANEQLRSIFPLFNELPGSGNALERVLALELELAEALQTKKKADLHFQSSFLKQHNDEEAVFQSFRDINELIKEMLELKSRNAATETELKEMQGRYSLLSLQFAEVEGERQKLLMTAKSRVPKSS >Ma10_p25800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33761369:33773570:1 gene:Ma10_g25800 transcript:Ma10_t25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPKWKIEKTKVKVVFRLQFHATHIPQGWDKLFVSFIPIDTGKATAKTNKVNVRNGNCKWPDPIYETTRLLQDTRTKKYDEKHYKLVVAMGSSRSSLLGDVTINLAGFADALKPSSISLPLANCDFSTTLHVTVQLLTSKTGFREFEQQRELSVKGVQTISSHKNDPTEVEAEAASSEIANELEEANARVRYKEDHMGLLLLEQVEESNEEYEDSTGGADGSSFTSEILHAEKNDLPIIHGKDNFKSMVCGDLPISQIPIHAKEDPNGSQRFTQGRNDWTHGWSSKYSVENDLATAYEENNRLRVRLEVAESAFLQLKLEAKSLQRITDELGAETQCLSEQLLSELASGEQLNREVSMLKSECSKLKDDLEALKSAEVMQRSPDQRTHNPLMLNHGLENDSVDSKLQDDAIAAETHYMYHDLREKWLENLLLIESRVREIQNKARLRYHGSDFDFLGPDFELLGCLIGDLKEDIIQVKGLGRSYRDNSFLKNTVYRMSDSHKVYHEHDTLKKSLEASSLREDKMFDLLPKLEELTTEKESLTKKIDQMQYYYESLILELEESQKQTVKELENLRNEHSSCLYSVSVLKSQIEKMHQEMNEQFITFAEDRSSLESQNKELEKRAIASETALKRVRWNYSIAVDRLQKDLELLSFQVLSMYETNENLAKQAFADAYQQYHEECPEEVRSYTDKDGMSTLFDQEQYQSDLTRIQTGDRHYGTIHKWSPLDNGISTSVSCKTSGAISKVGIPIHVELQAGDEADIEGFNSDETEQLALHHTQVKDKLTADLTSGMQEAELSEMHMLNMDLKVFLEVLLGTLYDVDDGVRLTKDKMLEITQQLHHTTEQKESLMLKLHKALDDAGVLKDDRTKCISRCEDLELKNQVLEAKLEDISYESTILSGKVTEYERMLVKCKVYEKEYKACTEEMDSLKNLLKLEILQKNCLEIEKSSIIEEFKALKEQFDRKSSENEEMQTCIACLQDKLGYLHTCMSSCNEQIGCSALDDISVLQELDDGNYMPVIMNLEQFQQEATKKILHLLKKNRDIEEQRDIAQCLQKKTELEYINMKQKLESDLHEITEKLEMSNALVEKLQVELQNALEKLKLGSEAEEKKKLKNRELSSKLTFLETELQEAIDENKDLVNQFFVLSGVKEELEKTQISLMNCMQEKKSLLISIESGNEVSTQMGNELHGLKENLCCAHRDLQIEKKLRDELDAAVSSLSTQLKERDRELFSFHEQKTEVSHLQEIIVDLEKANTGFQHVVLKNEESQIRLNHENLSLRMQVMDIGNQLATVLENSIAAEIKLTYMRSHFCDIVQESFAQLKILEKELEEMNLKHGNVVTLLNTYYCSEAQLIEENARLSVALQSLQSEYDIVFQEKEDLIDYTNKQNALCTEYEDMKVREADSKLQKQKYENEICQLENMLISFEEEVWNLRSSKDALEVTYIVLQSTLNEQQTKVSLLEERDWELKILQDHHNELRYKLSEQILKTEEYKNLSIHLRELKDKAEVECLQAREKKENERSSQDSLRIAFIKEQHESKIQELKNQLYVSKKYAEEMLFKLQNALDEVESRKKTEVSLAKKIEELLAKISNLESELETVMTDRRELAKAYDRIKNELECTILGLDYCNEAKLKLEDSLKECNEERTKARIELDLVKRLFDNMASHEALNSEGNHDSGFLTTTSIEQMLQDSNFGFSTIFQGMPNDRGTSLGIDAPAGVVDNSLKNIDVDLLKTGGKLSSCEDLEDVMSTSANESSLSCPVPSSQAFKDIEGALERATSFADNTTDVTVIEANLKEQQRLKSGMDMLQKELLKLRNENLSSLIPLEDHQGVPSLRGLERDLSQLDMANEQLRSIFPLFNELPGSGNALERVLALELELAEALQTKKKADLHFQSSFLKQHNDEEAVFQSFRDINELIKEMLELKSRNAATETELKEMQGRYSLLSLQFAEVEGERQKLLMTAKSRVPKSS >Ma03_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1038762:1038946:1 gene:Ma03_g01430 transcript:Ma03_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQWERKTNHAMASHICWCRKLMRKILM >Ma08_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6067641:6068367:1 gene:Ma08_g08580 transcript:Ma08_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAMTRYKVPAFGHWNFNLYDDIPISQYFESAREYGLIRARFFEGDGEDLFEMLRRKGKKGRDGARKKRNYKKEKVWKPKPVDEDLYKIPPQLLYQMPKKKKLWNFCAGCRCLNCIA >Ma10_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29215305:29216377:1 gene:Ma10_g18150 transcript:Ma10_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAWGSTNACRKYAEQKNPGMKHMCHIAHDVYRKDEVLNMEISIIRHMRFDMGSPTVKTFLNLLYCGYRRFTQAGRDDGKYLYANLEFLASYLGELTLMDYGCVWFLPSVVAASAVFVARFTLDPRSHPWSQNLKPCTRYDVIDLKFAFHDLHLNEETSHYMGNRYRYCRDECVCVAPYPEIPATYFDEPRVVSLRRLNEMLLWVANHSM >Ma06_p36060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35668748:35673208:1 gene:Ma06_g36060 transcript:Ma06_t36060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNDGFLDEDGETLMVSDMPSCRERSLKPADRVDDDGNVDGRSWRWGRSPTPVMDSANECKGGKPRKRFIMKGANEGPPHFSGGPMAAFGDEGLDKSGNVELSLKKKRKGTPSTKQGKVGSGSRNTIARGELEAEEGEEDDEIQQLFNGGGKKKKNEKSHAEISLLVEHVMAELEVVTEEDAELNRQNKPAINKLRKLPLLVEALSKKTLQQDFLDHGVLSLLKNWLEPLPDGSFPNMNVRTAILKVLSDFPIDLEQCDRREQLKRSGIGKVIMFLSKSDEETTSNRKLAKELVDRWSRSIFNKSTRFEDMRTSDEEREPYRQLSQKHLTKARRLESQDNWIRLDLDELSNLRRTGQAATRQHVSVPEALPLDFVVRPRSLVDPEEVRAQAKQVMHDQHRLKINKKLRQLKTSKMRQLQALKPSSEGRGIVMYL >Ma06_p36060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35669357:35673077:1 gene:Ma06_g36060 transcript:Ma06_t36060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNDGFLDEDGETLMVSDMPSCRERSLKPADRVDDDGNVDGRSWRWGRSPTPVMDSANECKGGKPRKRFIMKGANEGPPHFSGGPMAAFGDEGLDKSGNVELSLKKKRKGTPSTKQGKVGSGSRNTIARGELEAEEGEEDDEIQQLFNGGGKKKKNEKSHAEISLLVEHVMAELEVVTEEDAELNRQNKPAINKLRKLPLLVEALSKKTLQQDFLDHGVLSLLKNWLEPLPDGSFPNMNVRTAILKVLSDFPIDLEQCDRREQLKRSGIGKVIMFLSKSDEETTSNRKLAKELVDRWSRSIFNKSTRFEDMRTSDEEREPYRQLSQKHLTKARRLESQDNWIRLDLDELSNLRRTGQAATRQHVSVPEALPLDFVVRPRSLVDPEEVRAQAKQVMHDQHRLKCFSLFLGWEASACMAIQRAVFSVSALQFEGNRSIETL >Ma06_p36060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35668748:35673077:1 gene:Ma06_g36060 transcript:Ma06_t36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNDGFLDEDGETLMVSDMPSCRERSLKPADRVDDDGNVDGRSWRWGRSPTPVMDSANECKGGKPRKRFIMKGANEGPPHFSGGPMAAFGDEGLDKSGNVELSLKKKRKGTPSTKQGKVGSGSRNTIARGELEAEEGEEDDEIQQLFNGGGKKKKNEKSHAEISLLVEHVMAELEVVTEEDAELNRQNKPAINKLRKLPLLVEALSKKTLQQDFLDHGVLSLLKNWLEPLPDGSFPNMNVRTAILKVLSDFPIDLEQCDRREQLKRSGIGKVIMFLSKSDEETTSNRKLAKELVDRWSRSIFNKSTRFEDMRTSDEEREPYRQLSQKHLTKARRLESQDNWIRLDLDELSNLRRTGQAATRQHVSVPEALPLDFVVRPRSLVDPEEVRAQAKQVMHDQHRLKCFSLFLGWEASACMAIQRAVFSVSALQFEGNRSIETL >Ma00_p03790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31243399:31244028:-1 gene:Ma00_g03790 transcript:Ma00_t03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPFFFFVFFLRGRKFSILKEQSVPDLSGRSLASAGRNQPPSPFPPIRSRGGAETLSSSFSDGSRRADLHCSASFFPIRRVRRRPLLCSCKRNRADLPPSSISLKEGEQNRFLSLTAQLVNRSLRAETSAPFLVFALLCFTMEEERRPQRV >Ma08_p17900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27366918:27369857:-1 gene:Ma08_g17900 transcript:Ma08_t17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELQSESMDYRTELLSPLREEGDSAAAMVAATPSWRLNVNDFAIQEAAKKDPPLASRVLRRFHGKHRKIAKYYKKQGNLLQGFSEMETIAELGCLAGAPTQEERKDLAKSERLAINLSNIANLILFASKVLASIESKSLAVIASTLDSLLDLLSGFILWFTAYAMKKPNQYSYPIGKNRMQPVGIVVFASVMGTLGLQVLLESGRQLITREHPTFDHAKELWMVGSMCSSAVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSIGLVASILAVKFYWWMDPIGAILIAVYTICSWAKTVVENVWLLIGRTAPPDFLAKLTYLIWNHHQQIKHIDTVRAYTFGSHYFAEVDIVLPAEMPLSQAHDIGETLQEKVEQLPEVERAFVHIDFEFTHRPEHKPKQ >Ma05_p21500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33154726:33187495:1 gene:Ma05_g21500 transcript:Ma05_t21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTNRGTPEKKLYTRLRLWQFPDKYILEPIDGTAESFLSISRFDGSINLIGELSKCNATESPNVRTIFGVIGMLKLLVGTYLLVITERESVGSYLGHAIYRVSGMQILPCNHSLNNSSAEQKKMEAEFSVLLNAAEGTSGLYFSYDVNLTLCLQRLHELGNESKLLPLWRQAEPRFLWNSYMLEALIDNKLDSYVLPIIQGSYQNFQAAIGRKVVDVTLIARRCTRRTGTRMWRRGADMEGYVANFVESEQILQSNGFTASFVQVRGSMPFLWEQIVDLTYKPKFEIVRPEEACRVAERHFLDLSNKYGSVLAVDLVNKHGSEGRLSERFANAMQTIRNDDIRYVHFDFHQICGHIHFERLSLLYNQIEDYLNKHGYFLLNEKGEKVSGQTGVVRTNCIDCLDRTNVTQSMIARKALESQLKQIGIFGSDDSISAYPYFDASYKILWANHGDHISIQYSGTPALKGDFVRYGNRTVQGILKDGWNALARYYLNNFVDGTKQDAIDLLQGHYIVSLSRDMSSPTKAGALETYASFRLALALVLTGLMFALMSLRQAQINVRHILLSLVWASLSLGIAAFVRANGRLFTNRPRLLRSKH >Ma05_p21500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33154020:33187495:1 gene:Ma05_g21500 transcript:Ma05_t21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTNRGTPEKKLYTRLRLWQFPDKYILEPIDGTAESFLSISRFDGSINLIGELSKCNATESPNVRTIFGVIGMLKLLVGTYLLVITERESVGSYLGHAIYRVSGMQILPCNHSLNNSSAEQKKMEAEFSVLLNAAEGTSGLYFSYDVNLTLCLQRLHELGNESKLLPLWRQAEPRFLWNSYMLEALIDNKLDSYVLPIIQGSYQNFQAAIGRKVVDVTLIARRCTRRTGTRMWRRGADMEGYVANFVESEQILQSNGFTASFVQVRGSMPFLWEQIVDLTYKPKFEIVRPEEACRVAERHFLDLSNKYGSVLAVDLVNKHGSEGRLSERFANAMQTIRNDDIRYVHFDFHQICGHIHFERLSLLYNQIEDYLNKHGYFLLNEKGEKVSGQTGVVRTNCIDCLDRTNVTQSMIARKALESQLKQIGIFGSDDSISAYPYFDASYKILWANHGDHISIQYSGTPALKGDFVRYGNRTVQGILKDGWNALARYYLNNFVDGTKQDAIDLLQGHYIVSLSRDMSSPTKAGALETYASFRLALALVLTGLMFALMSLRQAQINVRHILLSLVWASLSLGIAAFVRANGRLFTNRPRLLRSKH >Ma07_p07730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5755854:5757128:1 gene:Ma07_g07730 transcript:Ma07_t07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALPLAGRIAIVTGASRGIGRAIAAHLASLGAALVLGYSTNSAAADLLAAEINSQSISSVSLRPRAVAVRADVSDPSAVRSLFDAAEAAFGSPPHILVAAAGVLDSRCPVVADTAAEVWDAAMAVNARGAFLCCREAARRLVRGGGGRIVCVSSSLVAAPGAGYGAYTASKAAVEAMVRVLARELRGTGITANCVAPGPVATDMFFSVADGGGEEAAQGNAMGRLGEPRDIAPMVGFLCTDAGEWVNGQVVGVNGGIL >Ma03_p22530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27277730:27286938:-1 gene:Ma03_g22530 transcript:Ma03_t22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGSLHLGRAFSDRRWLIPFFASLLVSFTLFMANVLGLFATHNPGDSLSFDVSLDVSEGYNIEPERKEPMDQTVASENEAPRIAYLLTGTKGDSQRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPLFSEVENVRVIAKANLVTYKGPTMIACTLHAIAILLKERLRWDWFINLSASDYPLMTQDDILHVLSSLPRNLNFIEHSPIAGWKLTQRARPIIVDPGLYLSKKFNVFETKERRELPTSFKLYTGSAWVMLTRNFLEYCIWGWENLPRVLLMYYVNFISSPEGYFHTVICNSDQFKNSSISHDLHYIAWDKPPKQHPRYLSTKDFNKMVKSGMPFARKFGKGDSVLDKIDRELLGRSDGQFTPGGWCSQRSDGAEQCSSRGDESKFLPGLGAERLEQLMKKLMSQDFRNGSCSSLQYDQTKRDWIHRNAA >Ma03_p22530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27277730:27282004:-1 gene:Ma03_g22530 transcript:Ma03_t22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGSLHLGRAFSDRRWLIPFFASLLVSFTLFMANVLGLFATHNPGDSLSFDVSLDVSEGYNIEPERKEPMDQTVASENEAPRIAYLLTGTKGDSQRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPLFSEVENVRVIAKANLVTYKGPTMIACTLHAIAILLKERLRWDWFINLSASDYPLMTQDDILHVLSSLPRNLNFIEHSPIAGWKLTQRARPIIVDPGLYLSKKFNVFETKERRELPTSFKLYTGSAWVMLTRNFLEYCIWGWENLPRVLLMYYVNFISSPEGYFHTVICNSDQFKNSSISHDLHYIAWDKPPKQHPRYLSTKDFNKMVKSGMPFARKFGKGDSVLDKIDRELLGRSDGQFTPGGWCSQRSDGAEQCSSRGDESKFLPGLGAERLEQLMKKLMSQDFRNGSCSSLQYDQTKRDWIHRNAA >Ma10_p26670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34250145:34254335:1 gene:Ma10_g26670 transcript:Ma10_t26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFRKLGRHAAHRVSMLRTMVSQLVKYERIETTVAKAKEVRRLADNMVQLGKEGTLHAARRAAAFVRGDDVLHKLFTEFAYRYKDRVGGYTRLLRTRIRKGDAAPMAYIEFVDRENELREAKPPKPQPPERAPLDPWAKSRASQQWASPKEKANSGSEN >Ma04_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5382882:5383800:-1 gene:Ma04_g07440 transcript:Ma04_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLNVHSPVFSACSVLMSLLFAYSASVQLDDPDWYFWFPLYAFAFGINLLHCGFTSNTLSRSAMSILCAGILLLVKVIVEGYVEGVAGLWSLNMRERVVREKLGSGLVVMSMFLHLKASHASKEAKKGRGELAARSAESGMVILVAASIGLTVYFFLTVQEHMKF >Ma09_p27180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38226634:38234239:-1 gene:Ma09_g27180 transcript:Ma09_t27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIPAATAATRTATTRPQAQKKIPNPSPRRRDPICSARPPLSLSEKNNAVAPKCPHTKEMGSRYLASYSPSSSSTSVAKCGSFPSASASSSSKGPSFASSSCYSASTVSSSSSSTANSSHRRFHSPLPTPRPSTPVALPRSCMPSRSKSVDRTRPADAAIRHATNNPTELSSAAARVLRTTTRSLSVSFQGESFSYQTSKVRNVSPSPNRRPTPERRGPVTPAATPARSSFKSESARPFDSHHRWPGTRNHQLTPLTRSLDCSIGEKDSVLAAMRSLRHSIVLNEGARRASFDGGDLSLSFDIDSLSSGGNSVSHERTTVPPRGRATSRGIDVPSRFLQEKGHRLHRLSGPGMPQPSTGLKSTAPTKLVPAKKSLANGLLSSPASAPSTHHGSFRPSSPSKLTAASPRGIPGVQRVTNSLVTSSPNVVSLPVNAASIFNFTADIKRGKTGERRIKDAHQLRILYNRLLQWRWVNAQANDNFLAQKLDDQKYLYDAWITTTKMHESTAIKKFKLQTDRQHVKLNSILKGQITYLEEWSLVDQDHSSALLGAIEALKASTICLPIVNGAKADFQELRNAVSSAVDVMQAIGTSIWTILSKVERRSSMVSELADFATKEQALMDQCRNLLSTVSAIHVKQCSLLGHITQLSRPSLIQL >Ma08_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14658980:14666129:1 gene:Ma08_g14730 transcript:Ma08_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSQVVGCFVPVGNGKGGVDLEFLEPLDEGLGHSFCYVRPVVVDSPAITPSNSERYTVDSSTLDSETRSASFRQEIAAEDLAGLQRASKGASETTFRAISGASVSANASTARTGNPNVLASGDAQEPAASFESTASFAAVPLQPVPRGSGPLNGFLSGPLERGFASGPLERGAGFMSGPLDKGVFMSGPLDSTDTSNFSAPLAYDRRRARLGRLVRSMSRPMRSALSRTFTRRRHGGGSGWMQRFLLHPMTQLVWHSKEPKYRLEPSANCLEAGTSEPEYSSSRNLQWAHGKAGEDRVHVVLSEEQGWLFVGIYDGFNGPDAPDFLMSNLYRAIDKELEGLLWDYEDKAAEAVPTSDITKNGATRTCSSLVEEDECASSQLHPGESQNSQLLDENAGDNGETGVLHVQSNEDNHGSESTIDKGLDAELSGKDQAAEGIGTKDVDKQPSESSHDHNANTGDGDTDTKHHVDVPQKETSCMPTTKLENKTRKSKCLYELLQMELMEDQDKESCLSSGHASVRGSWDMQFSAIEDLRSREISSTVNAVLCSSRSKRKSSGLGEDIGGRRESDDVVSDESKDPKQKYVVPFSALGQKQMIRISLFGSKLRKMYRKHKSSQRKLFPWSYHWHRDASNLDERIVNSSVVIRRCKSGPVDHDAVLKAMTRALEATEEAYVEMVEKALDKHPELALMGSCVLVMLMKDQDVYIMNLGDSRAILAQDRLNQGYSNPILAKEDVRHRSRSREILARVELDRISEESPMQNPKGQLCNINKTRELSLCSLKTRASQLSSDHSTSIEEEVLRIKEEHADDAQAVFNDRVKGKLKVTRAFGAEFLKKPTFNQALLEMFRVDYIGNLPYISCIPSVLHHRLSSSDRFLVLSSDGLYQYFSNEEVVSHVTWFMENAPEGDPAQYLIAELLLRAAKTNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSS >Ma06_p01170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:934973:944107:-1 gene:Ma06_g01170 transcript:Ma06_t01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSGGLDETAPPPRGGGYGRGRGGGGGGGGGGYGGNPGNRGGGGGYGGGGYQGGDRGNRGGGGGNRGGGRGGGVGREGDWRCPNPSCGNLNFARRVECNKCGAPCPGGGAGRGGGSGDSNRGDGGGGYNRGGGDYNGGSGGGRGGYNSGGRGHAGNRGGRSTDYGGRGGSYNNEREDGGYGQVAPPPPAAYGGPVGNYPPAPNNFGANNTYGGDSVPPPSGYGGPNSYPPSYGAPPNAYGTEGPAVRGGPPSSYGAPPSGYSGGYGDRSNPGRDGGAPPRHGGGSYGAAPGDPTATIKQCDENCGESCDNSRIYISNLPPDVTTEELRDLFGGIGQVGRIKQKRGYKDQWPWNIKIYTDESGNNKGDAVLSYEDPAAAHSAGGFYNNYDMRGYKINVAMAEKTAPKAPPAYGHGGGGRGGYGGGDRRRGNYHDGGGSGPDRHNYGGQRSRPY >Ma11_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24758719:24759858:-1 gene:Ma11_g20200 transcript:Ma11_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGKSMIAEPSNVIYLSSILNKEGLIPSHKCDKRCQNEHVFGNMYRCKLTGLTHICDKNCEQRILYDNHSSLCRVSGQFFPLSPAEEQAVRGVRRKLEVTNTDGCAFKRRRDAQLHPSPFERIFSAVSPICSQIGDGMDMS >Ma03_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8961934:8963775:-1 gene:Ma03_g11560 transcript:Ma03_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDETGCQAREGPILCINNCGFFGSAATMNLCSKCHKDMILKQEQAKMAASSIDSLVNGNGNGSGSGKEPVVSGNAVVAVGSMEPKVISAQSSDALASNEAGEAKAKEGPNRCGTCRKRVGLTGFSCRCGNLFCAVHRYSDKHDCQFDYRKAAQDAIAKANPVIKAEKLDKI >Ma11_p13730.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18878481:18889905:1 gene:Ma11_g13730 transcript:Ma11_t13730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMRRWLCCSCHLDESYHAYENEYKKSPTNDTDGPHRGSKYSAAPKSETAKAPPPIEVPALSLEELKETIDNFGSKSLVGEGSYGRVYFAVLNNGKQIAVKKLDTSSEDNSTEFLTQVSMVSRLKHENFVEILGYCVEGNMRLLGYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVRIAVDAAKGLEYLHEKVRPSIVHRDIRSSNVLLFEDFRAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRLKGDYPSKGVAKLAAVAALCVQYEAEFRPNMSIVMKALSPLLVNKQVPPPVAVPSETLYA >Ma11_p13730.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18878481:18889905:1 gene:Ma11_g13730 transcript:Ma11_t13730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMRRWLCCSCHLDESYHAYENEYKKSPTNDTDGPHRGSKYSAAPKSETAKAPPPIEVPALSLEELKETIDNFGSKSLVGEGSYGRVYFAVLNNGKQIAVKKLDTSSEDNSTEFLTQVSMVSRLKHENFVEILGYCVEGNMRLLGYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVRIAVDAAKGLEYLHEKVRPSIVHRDIRSSNVLLFEDFRAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRLKGDYPSKGVAKLAAVAALCVQYEAEFRPNMSIVMKALSPLLVNKQVPPPVAVPSETLYA >Ma11_p13730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18879497:18889905:1 gene:Ma11_g13730 transcript:Ma11_t13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMRRWLCCSCHLDESYHAYENEYKKSPTNDTDGPHRGSKYSAAPKSETAKAPPPIEVPALSLEELKETIDNFGSKSLVGEGSYGRVYFAVLNNGKQIAVKKLDTSSEDNSTEFLTQVSMVSRLKHENFVEILGYCVEGNMRLLGYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVRIAVDAAKGLEYLHEKVRPSIVHRDIRSSNVLLFEDFRAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRLKGDYPSKGVAKLAAVAALCVQYEAEFRPNMSIVMKALSPLLVNKQVPPPVAVPSETLYA >Ma11_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18878541:18889905:1 gene:Ma11_g13730 transcript:Ma11_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMRRWLCCSCHLDESYHAYENEYKKSPTNDTDGPHRGSKYSAAPKSETAKAPPPIEVPALSLEELKETIDNFGSKSLVGEGSYGRVYFAVLNNGKQIAVKKLDTSSEDNSTEFLTQVSMVSRLKHENFVEILGYCVEGNMRLLGYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVRIAVDAAKGLEYLHEKVRPSIVHRDIRSSNVLLFEDFRAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPRLKGDYPSKGVAKLAAVAALCVQYEAEFRPNMSIVMKALSPLLVNKQVPPPVAVPSETLYA >Ma05_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1392646:1400038:1 gene:Ma05_g02180 transcript:Ma05_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGFEGQGGVPGSPGRPRDGPRELGDAVADNALFDASQYAFFGKGVMEEVELGCIEDNGGDNAGFIGIDDEYHFSTVGDREEAVVLDSLSDADDLASTFAKLNRVVNDPRTAGVIGDRGSSSRESSSTVDWTQEGDYLNWIDQRILDAENIQEGKRWWSQPRPSSSLLSESKPLYRASSYPQQQQQQQEQQQQQQQYAWESVFMPNLCVTSYAPPGGRSQPLINLTRHLSIPSLSAGPQLPGPSLVPYSDSQQHLGGLTHGLHYGSDISQIIPPSPGMSSQTNNYLLNRASRLSSDDMFPNLLRQQLSLPSNLRASQILLQHQHQKLQQFQPSLPHFSHLQTRLNPHGSPPQMRYKFDLAIPMFDTRDHRLKASQRGKQTFRFSHQSSDMKSDNKWPQIRSKYMSPEEIENILRIQNAATHASDPYVNDYYHQACLAKKSTGTGRLKHNFCPIAIKDLPSRSHGNNESVDALRKVPLSSVRRPQPLLEFDTTSSSVNGTHDQKSSMKPLEHEPMFAARITIEDGICVLLNVDDIDRLLQFNPPQDGGSQLRRRRQVFLEGLAASLNLVDPLGSNKAGRSVGLGPKDDIAFLRIVSLAKGRKFLSHYLQLLNPGSELMRVVCMAIFRHLRFLFGGLPSDSSAAETITNLAKTVSLCMSKMELNALGACLAAIVCSPEQPPLRPVGSSAGDGATVVVKSILDRATDLLSDPQAANSCSISNRTLWQASFDAFFGLLTKYCLSKYDSILQMLLIQAPNDAVVGSEATRAISREMPVDLLRASLPHTDEHQHKVLLDFAQRLMPVTGFSAHGSDSGSVTYESVPG >Ma07_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28039646:28041518:-1 gene:Ma07_g20080 transcript:Ma07_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFAASSTAAAVLGGARLPVPGSAVLIPPRPRRYSSLPLPLSSSHSHDVCRFSSLRVRASSSSEESSGSVQTDELLADLKDKWDSIENKSTVFLYGGGAIVAVWLSSIIVGAVNSVPLLPKIMELVGLGYTGWFVYRYLLFKESRKELASDIESLKKKIVGTGEQL >Ma03_p17370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22613556:22614074:1 gene:Ma03_g17370 transcript:Ma03_t17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPHALLLPYPAQGHVIPFMALAHFLVNHGFKVTFVNTEFSHARLVAALADMEQAASGRIELVSIPDGLELEDSGARSDHGKLMAGLQKAMHPCLEELIRRSGDAGDQITCMIAFQGMAWALEVAKKMGVRTAAFWPASAAVLATILSIPELIARGVIDENGNRA >Ma02_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28500104:28506508:1 gene:Ma02_g23530 transcript:Ma02_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETGIRTGVCMGSKCGALLRELEKIWTEVGESKEDKDLMLVELERECIRVYRRKVEEAGSNRAHLHRSLAEKEAEVTALMSALGEQSLQLKMEKRLPLKEQLASVNPLLEDLRKKKEERLKQFADIKSQIEKINVEILGSSHHDHSPANPVKIEEDDLSIRKLTEYQSQLCILQKEKSDRLQKVLESVNEMHSLCEHLEVDFRKTVETVHPSLHDTGGGHSPNISETALVGLSQAILKLKTEKKIRTQKLLEAVESLLELWNLMDTPVEERKYFGRVTCILGLPEHDIGCFGLLSLDTIKQMEAEVERLTNLKARRMKELVLRKRMELEEICRTAHIEPDMSTASEKIGALIDSGIVDPSELLTKIEMQIVKAREESMIRKEIMERVDKWLAACEEENWLEDYDQDENKYSAGRGAHLNLKRAEKARAIVTKIPDTVDKLMNKISVWEKERNMPFLYDGVPLVSLLEEYKVIRLKKEELKRRYRDQKKLQNLLLTGKETVYGSKPSPKRSNNFNRKTNENGFMTPTPWRLSAGGATPDLLMQCSYSGHSNGCFKEIRRLSTTSLNLISISKDDRVSSLASVTSSEPGSPPLSQLSGLNR >Ma08_p29780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41256329:41265687:1 gene:Ma08_g29780 transcript:Ma08_t29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKISPLKAAEIAINSIGLGYDIAGDIRLKHCKRDSPDPWLIEIDHDQVHNIVLPGGLSIPNVPKSIKCDKGERIRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNSMFEFSGSWQKDAANTKALAFDGWFITLYALALSKSQIVLRDHVKHAVPSTWDPAALARFIERFGTHIIIGVKMGGKDVIYIKQQHSSNLQPVEVQKRLKELADKRFQETSREYDMASKETYGKDKYELREQRLRFAESSQSSSYCAKEGIVQIFKQRGGRDNWDLPHIEWLNTVQSEPDVILMSFMPITSLLNGVPGSGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQQKQQSTASLQFSFMGPKLLVNTSMVDVGKKPVTGLRLYLEGKRSNRLAIHLQHLCSLPQIFELHDNPHNRASTESYDRKYYEPVDWRHFSHVCTAPVDADDDDLSIVTGAQLHVGHHGFKKVLFLRLQFSTVSNAALVKNPEWDNSPNLVQKSGLISTLISTHFSAAAQKPPPRPMDVNINSAVYPGGPPVPVQTPKLLKFVDTTEMVRGPQDSPGYWVVSGAKLNLERGKISMRVKYSLLTAMLPDDDFE >Ma06_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4999298:5000881:-1 gene:Ma06_g07000 transcript:Ma06_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHFLVVTYPHQGHINPTLHLARRLARVAGARITFSIALSGHRRLFPSSADGEVDDGLISYVPHSDGYDDGFKLGVDDLQAYTHRTRSVSSKTLSAVVRSLEERRRPVTCVIYTLLLSWAADVAHEHGLPSVLYCIQPATVFALYYHYYHGYDGLIASHRQDPLFQVNLPGLPPLRICDLPSFLRITSPDDPYFMFIELFQEMFDVLDGEEARSTARVLVNTFDELESDALVATGKMKLIPIGPTVPSALLEGTEVARGTGSTGVDLFKLDEKQYMEWLDSKPEKSVVYVSFGSLAVIKKRQAEEIVRGLKESGRPYLWVLKKENRRELEGEVEEEGGMVVEWCSQVRVLSHPAVGCFVTHCGWNSTVESIVCGVPTVAAPQWSDQSTNARLVELWGTGVRGELDGEGVLEGAELSRCVETVMGEGETGKEIRRRAEMWKEKAREAVGEGGSSDCNLRAFVEEIATLK >Ma07_p00870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:688989:692742:1 gene:Ma07_g00870 transcript:Ma07_t00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPSISSAFPQIWAPPVSEIPDDEERRGGPWAAPKVRASTPPAPASVGASRGSDINPPGRARCCSPSPSGSWSASAAFSFLLAIFDRTWVSWDPNRSQLIAEWSTGEINIAYNRGSFIRSMKSYLLTSQVSAYGWNCSICYTRYYHEG >Ma05_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10910450:10915770:-1 gene:Ma05_g14880 transcript:Ma05_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASSAVAAARRSTWAAMAASTWIQCASGGSYCFGVYSPMLKSSQGYDQSTLDSVAFFKDVGANIGVLSGLLISSRAGHGRTWVVHLVGAAQCFVGYLLMWLSVTGCVPRPAPALMCLYMLLAAHAQTFFNTANVVTAVENFPDSRGTVIGIMKGFLGLSGAILIQIYQTMFDGNPSSFILMLALLPTFLTLLLMPYVKVHHRNGGSEKKFLDAFSLIAVTVAGYLMLVIIGECVLRIGSVAHILMFAALMMMLISPAAVVIKAQMIEAKALGESSCHERTRLMEDNLEGAPEERTYDRVAADRTCVQHDPTASNKLACGSGHEPSNGDLILLQRGENFSITQAMSTCDFWLLFSAMACGMGSGLATVNNISQIGGSLGYTSLETSTLVSLWSIWNFLGRFGSGYISDYFLRRKGWARPLFISLTLAVMSVGHAVIATGLPGSLYVGSVLVGICYGSQWSLMPSITSELFGLQHFGTIFNTIAIASPFGSYILSVRVVGYIYDMESSTSSSDIHDCIGRHCFMLSFLIMASVSLFGTVVALILFGRTRRFYEQVIYTKLQSHVQ >Ma11_p21350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25547135:25548499:-1 gene:Ma11_g21350 transcript:Ma11_t21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEILKSTLSRHPGEKPNTKELIFTGRRINGKEALSMGLINHCVPSGDAYHKALRVACGLSLKGSFGYKDGLASYQQRHGRRSYVLGSGWGRRMLWATTEHSRPS >Ma05_p19700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:28239069:28240038:1 gene:Ma05_g19700 transcript:Ma05_t19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGEARNLDERLADAALGKAFLLQGSDCAESFKEFNSINIRDTFRILLQMSVALLFCGQMPVIKVGRMAGQFSKPRSEPFEEKNGLKLPSYRRDNINGDAFDEKSRIPNPQRMIRAYCQAAEPPPRHSEQGDRYQELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECHHDNH >Ma08_p26230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38857217:38869569:-1 gene:Ma08_g26230 transcript:Ma08_t26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G64050) UniProtKB/Swiss-Prot;Acc:Q9FEA2] MARLVGSPWARIRVLPELPAPLFRRGFAAKPYISVRRRNLSVSASYANAGPTEGEVRVRFAPSPTGNLHVGGARTALFNYLFARSQGGKFILRIEDTDLERSTRKSEEAMLNDLSWLGLNWDEGPSVGGDYGPYRQSERNSLYKEYAEKLLESGAVYRCFCSNEELEQMKERAKQMQLPPMYTGKWRTASDEEIKQELAKGTSYTYRFRVPKEGNLKITDLIRGEVSWSLDTLGDFVIMRSNGQPVYNFCVTVDDATMRISHVIRAEEHLPNTLRQALIYKALGFSMPSFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTIDQLVEKFSISRVNKSGAIFDSTKLRWMNGQHLRSLPPEELLKIFGDRWKCTGVLLESEGIFIKDSTELLKDGVDLITEVDTALSNLLSYPLYTTLSSEECKPIVQDKLSEVAASLIAAYDGGELPAALEEGHAGWQKWVKGFGKLLKRKGKSLFMPLRVLLTGKLHGPDMGGSILLIYKAGLWGVVNPEAGFITLAERFKMLREVDWEALDKENKQLESVAGQSD >Ma06_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7626915:7655386:1 gene:Ma06_g10930 transcript:Ma06_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MAQLATSFVQACCKGFNESHLTRAISFEAERGHCNTFGRTMRFSNFLHSKLLKWCSRSNHGSSQKLLEEVGDYSTASTSERSKFLNKISVFMGYNNIQDLVAQERDRRQSTTDANDVYEEFDFSLTCGRFPCIKLGDSSLVGLYDEVPHAEEQENLLPPESCNKYLSTSGANWEKCSKLVGTWYSVRPPPTETNPSSPMEDNSFVVQLDSREADSDVEGKVEEQETSKNLLESSSTESVLDRAIRSLPGTTSRQCCQLEGGGFYTVRKLLHHFPHTYSDLLNPLETIEEGRYVMLVGIILSSRGIRAGKSFSFLEVVVGCEFQKNEEDSKIEDDYGTEDKKMIYLHLKKFFRGTRFTYQPFLRSIESKYTEGDCLHVSGKVRKMLAEGHYEMTEYVIGNPDEEERDVNRQKMPYPLYPSKAGIKPQFLRDIISRALKTLTVDMDPMPDEIRKDFNLLNLYDAYMGIHLPKNLNEAYLARRRLIFDEFFYLQLAKLFQMLDAIGTPMEKEKLLDKYKNHELNTVCVKDWSDLAKSFLKALPYSLTPSQQNAVSEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQAAFMVPTELLAIQHYEHLLSLLENIGGTECTPSVALLTRSTSSKQSRMVLKGLQMGEIAMVIGTHRLIAEKVEFSALRIAVIDEQHRFGVIQRGKFNSKLYSASPSLRMNWRNLDDSLEDKAYMAPHVLAMSATPIPRTLALALFGDMSLTQITDLPPGRSPVQTFAIEENEIGFEKVFQMMRDELIAGGKTILEVTNVVCCMGA >Ma06_p10930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7626915:7657728:1 gene:Ma06_g10930 transcript:Ma06_t10930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MAQLATSFVQACCKGFNESHLTRAISFEAERGHCNTFGRTMRFSNFLHSKLLKWCSRSNHGSSQKLLEEVGDYSTASTSERSKFLNKISVFMGYNNIQDLVAQERDRRQSTTDANDVYEEFDFSLTCGRFPCIKLGDSSLVGLYDEVPHAEEQENLLPPESCNKYLSTSGANWEKCSKLVGTWYSVRPPPTETNPSSPMEDNSFVVQLDSREADSDVEGKVEEQETSKNLLESSSTESVLDRAIRSLPGTTSRQCCQLEGGGFYTVRKLLHHFPHTYSDLLNPLETIEEGRYVMLVGIILSSRGIRAGKSFSFLEVVVGCEFQKNEEDSKIEDDYGTEDKKMIYLHLKKFFRGTRFTYQPFLRSIESKYTEGDCLHVSGKVRKMLAEGHYEMTEYVIGNPDEEERDVNRQKMPYPLYPSKAGIKPQFLRDIISRALKTLTVDMDPMPDEIRKDFNLLNLYDAYMGIHLPKNLNEAYLARRRLIFDEFFYLQLAKLFQMLDAIGTPMEKEKLLDKYKNHELNTVCVKDWSDLAKSFLKALPYSLTPSQQNAVSEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQAAFMVPTELLAIQHYEHLLSLLENIGGTECTPSVALLTRSTSSKQSRMVLKGLQMGEIAMVIGTHRLIAEKVEFSALRIAVIDEQHRFGVIQRGKFNSKLYSASPSLRMNWRNLDDSLEDKAYMAPHVLAMSATPIPRTLALALFGDMSLTQITDLPPGRSPVQTFAIEENEIGFEKVFQMMRDELIAGGKVYLVYPIIVESEQLPQLHAATADFQSIPDNFRGYQCGLLHGRMTSDEKDDALKKFRTGETRVLLATQLIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGERKSKCVFLSSTSAGLDRLKVLERSSDGFYLANADLLLRGPGDLLGKKQSGHIPEFPIARLEIDGNILQEAHLAALKVLGTSNDLQLFPKLRAELSMRQPLCVLGD >Ma02_p19360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25539205:25542790:1 gene:Ma02_g19360 transcript:Ma02_t19360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MMESDLASEDKQMLNRNSDLGPIDPERARFPCCIVWTPLPVISWLIPFIGHIGICREDGVILDFAGPNFVCVDHFAFGAVARYVKLNREECRKLTEGMTWDDALRKGTQEFQHRSYSLFTCNCHSFVANNLNRLFYHAHERWNVVNIAAMIFLKGTWVNRRSVVKTYLPFLIVYCIGLFLGGSKFLLGVVAFAVALIGWFLIGTYCFKKLIQL >Ma02_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25539094:25542790:1 gene:Ma02_g19360 transcript:Ma02_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MMESDLASEDKQMLNRNSDLGPIDPERARFPCCIVWTPLPVISWLIPFIGHIGICREDGVILDFAGPNFVCVDHFAFGAVARYVKLNREECRKLTEGMTWDDALRKGTQEFQHRSYSLFTCNCHSFVANNLNRLFYHAHERWNVVNIAAMIFLKGTWVNRRSVVKTYLPFLIVYCIGLFLGGSKFLLGVVAFAVALIGWFLIGTYCFKKLIQL >Ma03_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19127552:19133672:1 gene:Ma03_g16550 transcript:Ma03_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARAFGAVLCRVSLSQGLSPLRSSLCLQGIGLLGSRLPSALPKEARNTQTVRKIWTSRPVCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAIQRGGPNPNSNTVLAAILDKARELDVPKEIVERNIKKATEKGQEAYIEKFYEVYGFGGVGMVVEVLTDKVTRSVAAVRGVVKDCGAKLADPGSILFKFRRVRVVNIKANAADKDQLLSIALDAGAEDVIEPAEDEDDLEEDRPDRYYKIVTSSENYSTILSKLRDDGVAFEPDNGFELLPHNPIEVDDEAMELNKDLMSKLLELDDVDAVYSDQKDT >Ma02_p17960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24673718:24674773:1 gene:Ma02_g17960 transcript:Ma02_t17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSNDGAASASAVAGCSSTDQLLLQTLMSRLHLRPPYLDTNSFLSHSLDDFLLRDRCSDAEGSDGDEEDDDEDGGDGQHGAFFGDGSRNRRLLAKEEAKLEKEIVRIVHSGDAVEALKANSGQSVAIGDHNICVAVHEEVGSEYRVWEWHGHIMLFDEENGYSAEYIYGNYFEKLPEKKKKKGRKQDDDDADEDGEDIARIKVGGNSGLRDLIQDSKDSIGNGAGRVLHRNFLKDGSATR >Ma03_p25580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29571221:29573265:1 gene:Ma03_g25580 transcript:Ma03_t25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKAFLESLYLFYRFMLKRLRNSYTTYGRTHKCPPPDKLSTQTIVCDMEGALLRNSSTFPYLMLVALEAGGFLRGLLLLSLYPLISCLSRELALRLMVFVCFLGVRKESFRMGRAVLPKFFLEDVGLEGFEVLRRGGRRVCVSSMPTAMVEGFLKEYLNVEVVLGRELKVFGGYYTGLMEETEVKAGLALEELLAGGRVTEDGIVGFGGYSSSLHQQLFTCCKEVYLVSEVEKRRWQQLPRSSYPKPLVFHDGRIAFRPTPMSTLCMFLWLPLGLPLAVARALVFICLPYALSTPLLATLGMRNRCVTSSTAHPKADGGSHGSRQLYISNHRTLLDPLCIAAVLRRNVTATTYSVSPINEWISPIRTIRLTRNREEDRRRMKKLLEEGDLVVCPEGTTCREPYLLRFSPLFAEVSQEVVPVALEASVTMFYGTSTSKFKYLDPLYFLMNPSPRYEVEFMGKVATGSIGGKECSSYEIANHLQGQIGRLLGFECTNLTRKDKYLMLAGNDGFVERDINRR >Ma04_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6430794:6431978:-1 gene:Ma04_g09020 transcript:Ma04_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADVACQVEVKLSEHVVVTRKTIPAKRTAVEGSGSRRRVVRVYFLDADATDSSSSDDDRDGVRRRVKRHVHEIGIEVGAAARRRRSVALVGSKAKGEEAPVVREASEERRFRGVRRRPWGRWAAEIRDPYQRKRVWLGTFDTAEEAATVYDMAAVKLKGSKAVTNFPAKPKATAAAGLHSSPTSVLRYGDDDWPPLDDVGDGGIDDFGLDAEPSLLSLTESYWPWPRLWDMEFGDLDAEDFS >Ma09_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13075745:13077071:1 gene:Ma09_g17510 transcript:Ma09_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLDKQGGQPFEITEAESPASSSGRSYCFPLLFLSLALVAAAVFIIIFVLKPKKPSFQLHAIQLDSSDAAFSKGANQSSAIASLLVVAHNPNKLGIRYSSTELGLVYDSSNMGLITVPGFFQPPHSTNVTILVHVFFKTINLGELLTEKSMQGSSIGDLEMRIFGGIQAQLHAFNFRFPKIKVFLDCRISAKLSAMALSRVLSTADTHRAFLLPNFLHLTHKCSLDLCI >Ma06_p36740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36034611:36037351:1 gene:Ma06_g36740 transcript:Ma06_t36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSLPSYEACTRPADPFSDGVFYNERPLSHALPLFLLQIVIMVFTSYAIYFFLRRYNQPRVVSDMISGALLGPTLPYVVTRVLTFFHYLFGLGGAAPDYEHIRQQYLGTVFRAEGLSLMRTAASFGIQMHFFFVCVKMKPGHLKRCGKKAFAIAISSVVVPYLLLNELKKVFKIQDDYMIEGIGYYTCLDYINMITSISSFPVVGSILAELRLLNTELGRLTMSASLIADLGSSFYIVVYTIVTVSRNLSMGVLEAASKMVGYVILVAFLFFVFQPWIRWIVRRTPKGGRVWEGHVIMVILAVIAMGAFSDSYLSSHWEASVYLGLLVPDGPPLGTALIERGEFIPAELLIPLTYLIAGRWIDFTTVTQPKMSVALLLYMFAGYTVKTLSAMVPAIYFNMPIRNAALMGLMLNFTGLVQIANYMGLADAYSGTPIMNQQAYAVLIVSGVCITAISSSLVAIFYDPLSSDHVMGCRTVQHLMPQAELRLVAPVLNEEPVPHILNLLEASSADEQTPICVYVLHLVELMGRATSTIIAHKNRKGQLDAHQMDRLHNVFINYEQTKKGIVAVQPFTAVSPYKSMQHDICSLSVEKNVHFVVVPYPRKELGANAELYQATRSIISNVLTQAPCSVGILVHHALAGFGQIVPGQFQYHVKILFWGGADDREALSCGARMALHAGVSVDVTRVLPPTERNRDKDVICDEELLREFQINNADNERVAIEEVVVSNVEETIGLIKSIDKAYDLVLVGRRQGSSSWLGEVMDEWIESPELGMVGDMLASSEFTDSCFSVLVVQQYV >Ma09_p08280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5472009:5479439:1 gene:Ma09_g08280 transcript:Ma09_t08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFCSKMAVVDRSPSEITLQNGFGGQYAFPYETHGKGQGIYKTLPREEPKKQLSEDPYPFAAMDGFGIIESRAVEPKLSRASSQKSKTTMEKPTASGKSGTTKVSEVSSLLGRAGTVGLGKAVNMLDIVGSSMTNLNSGSGFVSGVISKGNKISILAFEVANTIVKGSNLMQSLSRKNIKHLKEVVLPSEGVQCLVSKDMVELLRIAAADKREELKVFSGEIIRFGNRCKDPQWHNLERYFDKLESDLTPQQKLKETASEEMEYLMALVHYTAELYHELHALDKYEQDYRRKLQEEKNLVAAQRGDNLQILRQELKSQQKHVKNLKKKSLWTRNLEEVMMKLVDIVHFLHLEIHRAFGSADGDKPVEGSISGQQRLGPTGLALHYANIIIQVDTLVSRSISVPPNNRDALYQGLPPGIKSALRSKLQSFQVKEELTVPQIKAEMEKTLQWLVPIANNTTRVHHGFGWVGEWANTGIEMNRRLPGHTDLIKIETLHHADKDKTDAYILDLVLWLHHLITHSRPGNTAIRSPNKSPIRSPKKKRSPVSSPMNKSASPSSKLTPEEQEMLRDVDFRRRTLGRSKSQEFEIGGARLRKDDRLSKSSSHCPRNEISKEFSLMRRQSVAPVIDFDIDRIKVLDIIDRVDSLSNL >Ma03_p14580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14299558:14301155:-1 gene:Ma03_g14580 transcript:Ma03_t14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTGGRAAAAAFSPIEESPSAEKSAEWEVRPGGMLVQMRNPDADFTAAPVPTIRVKVKFGAVYHEIYISSQATFGDLKMAVSARTGVHPLDMKLMYKSKGRDSTAFLDIAGVKDKSKVVLVEDPTAQAKRLLEMRKTDKMEKAAKSISAISLEVDRLATKVSALEAIANKGGRVVDNDVTNLTESLMNELIKLDTVVADGDVKLQRKMQIKRVQKYVETLDAIKIKNATPRAKDQPRPTQHPVQAQQRWELQQSRNPFQQQDHQQLLPQKQSVVATTNWETFDLLTPSTPTATSATTTAASTASSTPHARFDWDLF >Ma06_p27050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29084336:29088693:1 gene:Ma06_g27050 transcript:Ma06_t27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVNNIHEITASNLQASQIRFGTCGHKYSYTLNLRHAFSSNISQLPVIADPDIEAAMKDLLAINWDEIPDSVINETKKALSKTTEDKAGQEALANVFHAAEASVEFSGVLVSLRMALDDLCGLSGENVGHLPEHLEDAIRAAYKRYITYLDSFGPDETFLRKKVELELGTKMIHLKMRCSGIGSEWGKVMLLGTSGLSGSYVELRS >Ma05_p26750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38263519:38266545:1 gene:Ma05_g26750 transcript:Ma05_t26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRGQERSRSLNDERRKGGLKTLPFIFANEVSEKLAVVGFSANMISYLTQQLHMPLTKAANTLTNFSGTASLTPLLGAFLADAFVGRFWTIAVASIVYQIGMITLTISAALPLFRPPQCTGDHNCEEASAWQLAVLYVALLLNAIGAGGIRPCVVAFGADQFDESDPKEKTKTWSFFNWYYFCMGASILLAVTVVVYIQDNVGWGWGLGVPTIAMGISVVSFAAGYPMYRRLEPSGSPFTRLAQVAVAAVRKRKVAMVADPSCLYENDDMDAPISLCGKLVHTKQLSFLDKAAIVTADDQATENSGSRPNPWRLSTVHRVEELKSVIRMAPIWAAGILVITAFAQQNTFSIQQARTMERRLSPRSAFSIPPGSMTVFTMLAMLLTLSLYDRAIVPLARRFTGLHRGISFLHRMGVGFAFSAVATLVAGFVEVRRKRAAASHGLLDDSAATIPISAFWLVPQYALNGIAEAFTSVGHLEFFYDQAPESMRSTATALFWMSISAGSYFSTLLVSMVHRYSAGEDGSNWLPDNLNRGRLEYLYWIITFLQVLNLLYYMICAKYYTFKPVQSRQRTDEGAVELNNKV >Ma05_p21830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33587374:33592124:1 gene:Ma05_g21830 transcript:Ma05_t21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKANLHRAATGGHQIREAESRCLAVRHRLLQSDIPPSSYISLLRLLDAELRSLSRLASSALSLPLSSNVGYLESIARLLLHPSVRCVSRVSRPVPAACKDHPVHVDLVCTLHRRPAWFVVSDRNPVYLSWLGPKGLRARVERVIAAARSAGPLKPTSVLFVFSRGIRDHVSDNLVDEFGAVEVGLLNDEEDVFEELDDGWIGVRWSGSSNSRVFEVKIALDDGRDACRSLEVVDEREEVGVLDDGFGSLVSRIDVDSADVVNFDTTALIAMVSGISNGGCERLMKAPEAEMRARFKGNYEFVMAQVTSELEHPILAELSIAIAGKKGIICESVLSEFQELVSMCAGPNESLRADQLIKHLQVVPDSPSERLVNLPTTRKIALKNKIVFGTGDHWHAPTLTANTGFVRAVSQTSMSLLTIEHRPRALTGD >Ma05_p21890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33646094:33649725:-1 gene:Ma05_g21890 transcript:Ma05_t21890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWSTMWLFTKKSVTGALIGLTISDRYGFIVPVTGSSMHPTFSACDARFPGYLKDFFVYLCSLHPADVVLVEKFCLEKYKFSHGDVITFKSPTDHKQNFVKRLIALPGDWVQVPESSEILKIPEGHCWVEGSSGFDSREGDSCDLATPTNKPSGKKEGYREDFTTLIYFVTDYLPFQVRFIQLLNLQSALCI >Ma05_p21890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33646094:33649725:-1 gene:Ma05_g21890 transcript:Ma05_t21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWSTMWLFTKKSVTGALIGLTISDRYGFIVPVTGSSMHPTFSACDARFPGYLKDFFVYLCSLHPADVVLVEKFCLEKYKFSHGDVITFKSPTDHKQNFVKRLIALPGDWVQVPESSEILKIPEGHCWVEGDNAACSLDSRSFGFVPLGLIQGRVTHVIWPPQRISQVERKKAIERISPH >Ma05_p21890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33646094:33649725:-1 gene:Ma05_g21890 transcript:Ma05_t21890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWSTMWLFTKKSVTGALIGLTISDRYGFIVPVTGSSMHPTFSACDARFPGYLKADVVLVEKFCLEKYKFSHGDVITFKSPTDHKQNFVKRLIALPGDWVQVPESSEILKIPEGHCWVEGSSGFDSREGDSCDLATPTNKPSGKKEGYREDFTTLIYFVTDYLPFQVRFIQLLNLQSALCI >Ma05_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33646094:33649725:-1 gene:Ma05_g21890 transcript:Ma05_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWSTMWLFTKKSVTGALIGLTISDRYGFIVPVTGSSMHPTFSACDARFPGYLKADVVLVEKFCLEKYKFSHGDVITFKSPTDHKQNFVKRLIALPGDWVQVPESSEILKIPEGHCWVEGDNAACSLDSRSFGFVPLGLIQGRVTHVIWPPQRISQVERKKAIERISPH >Ma02_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21981936:21985933:1 gene:Ma02_g13730 transcript:Ma02_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLFINGFPGNLGIGGSGGSTLDTECVEIDPTGRYFRYKEILGRGAFKSVYKAFDEVDGIEVAWNQVRINEVLQSADNLERLYSEVHLLKSLNHENIIKFYTSWVDDQNKTINIITELFTSGSLRQYRKKHKHVDMKAIKSWARQILRGLEYLHGHKPPILHRDLKCDNIFVNGNHGEVKIGDLGLAVVMLQPTARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMITLEYPYSECKNPAQIYKKVMSGIKPAALAKVTDPQVRHLIEKCLVSASERSPAKELLKDPFLQNNSIKEPLPDHVQPSSSIFNMMNLSSSPLSMDIDSDYKPLPTSTGTENSNLTAVTPALEFQRTNRNNEFRLKGEKNDDNSVSLVLRIADTYGRVRNIHFLFYLDSDTALAVAAEMVEQLDLSDYDVVFIADFIDFLIMKLIPGWRPAADHCSSENLSQSKEYGAYDNTELLSELSPQSSVYFEVGYEHADLSQLNLGATVLETAENDDGTSYKKMDEAISPVNYDSVWSGVNGADKVSQRSATSVMFVGSSKSFSGYNTDVDSKGDRGACDVVDGLSLKDSSTPSLTDKDHNELRAELGMIEYQYQRWFYELSAMREDALQNARKRWSTRKSGV >Ma07_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27300612:27302032:-1 gene:Ma07_g19270 transcript:Ma07_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAGGPRRPNFPLQLLEKKEANSYSTSGYASFAISPNPAAGGSGHELVADLPRKPAPKRSSTKDRHTKVDGRGRRIRMPTLCAARVFQLTRELGHKSDGQTIEWLLQQAEPAVIAATGSGTIPANFTSLGISLRRSGSSISTPPDFNTTTTPLTDQRIWDYVGSSDFSSDWRSSSSSSSSSSVLLNFNSGSVGLHASSDANNENITKRWWESEFHHQQQHQMEEHNQASHGRIPGTVWMVTNPNTQGMVGGGGSDSEPIWAFPQVGSSTMFRGPGSSGLHFMKAPTSMALLPSRELGLHPGGSGGGEGHMGIVAAPDSTSRPPNTSQAMRSGPQ >Ma10_p26800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34311288:34313018:1 gene:Ma10_g26800 transcript:Ma10_t26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTEKQRPASAVGAKTARPCDGCLRRRARWFCEADDAFLCQACDVSVHSANPLARRHRRVRLKTASFSGFLSTTEDGLGDHATPAWLHGCKRKPRTPRGKPGVAGAPTAAKVEPLVPDLEALSAEENQFNEEDQLLHCVPILDPVLAELLSQPHHDHANGSDTEAKPAVQLLEQAHVSAVPTADGLGGFHASDLELEQFASDMEVLLGSGLDNDSFCIDGLGWMNGTVEDDSDGQVKVEVDLDVARTSSNFRMEMDLPKWKLDLGFDSQMMAVEVDEHKPLQQAETASDNYRAEAAAKRKMILRLDYEAVIAACSSNVLSLWIDGVRPDFDPNDSWPDVPAMAGGAAAMKEMHYQQEVAHAADEGREARVSRYREKRRRRLFSKKIRYEVRKLNAEKRPRMKGRFVKRPAFPAALACR >Ma06_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2920601:2923351:-1 gene:Ma06_g04060 transcript:Ma06_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVFINFDIDIHISPVLNITIVSAS >Ma07_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2066850:2071470:-1 gene:Ma07_g02580 transcript:Ma07_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRRAPAAAGRSPFPTAHPAAEEEEEATLAISDQRILYLVNIFIGNTARFLNSFASLCQDKLADVHRRILRLDANLTLLEAQLQSTCPDQEFKKEASLEATTSSSETIP >Ma11_p09500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8588656:8589386:-1 gene:Ma11_g09500 transcript:Ma11_t09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGSRKGKKSIIVKVFERCRSLGHRRSSAAAATPKSKPWDRSKSTGRQRAPEGCFTVYVGPTKERFVVRMECVNHPLFRMLLDQAEMVYGFTSPGPLQLPCDVDVFNKILCEMDQEMMAPPTCSFAKCYSTGYRLLSPPRLIVSDHL >Ma07_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21326199:21327850:-1 gene:Ma07_g17680 transcript:Ma07_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSGGAFHYARVSIDAGKGPKYYGASTDLDVFQLSGVSENQASTSQIILSKGERGPRNYITSVQAGWHVNYQWEGDNSTHFFTYWTSDGSQKTGCYNLVCKRFVQISTRLAPGMIYTQSSLSLSIYRDRFTLNWMLYNDREPVGYWPKEIFNNMADCSQVQMGGDVYSPLGEPSPPMGSGVLNEAKFTRVLLTDGRGNDIKVTEYTTINDLPNYIYGVTSDLQTLTYGGPGGRRKA >Ma00_p02940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22647040:22648913:1 gene:Ma00_g02940 transcript:Ma00_t02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLLVASLTLLIFLLLIARLPRKRGRSPRLPPGPPPLPLIGNLHQLGRLPHLSLHRLALRYGPIFYLTLGEIPTVIVSSARVAKEALRTHDLALASRPPLYAAKKLFYGCTDVAFAPYGAYWRHVRKICIVELLSARRVESYAPARAAEVACMLGRIAAAGGVVNLSKLLGMYANGVLCRAAFGREFVEGGEYEKRGFQSMLHEYQVLLGGFSVGDFMPSLDWLNAVTGLKKILERTFRRFDEFFDQIIEDHITERRRRRKKKKGGEEEEEEEVMDLVDVLLDVKENESSEMPLTMDNVKAVILDMFAAGTDTTFITLDWGMTELLMNPTAMKRAQDEVQSIVGDRETVSESDLPHMHYLKAVIKETFRLHPPAPVLVPRESMNSVTIDGYDIPARTRIFVNAWAIGRDPESWRNPNAFMPERFLNSSIDFKGQDFELIPFGAGRRGCPAITFGTASVEIALAQLLHSFDWELPTGVTTEDMDMTEVFGITMHRIEELVAVAKPRLL >Ma05_p01340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:780195:783192:-1 gene:Ma05_g01340 transcript:Ma05_t01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVEQNGFLDAATVTAQKNGHFDVASLTAADIPNPFNHAKPVQQLLPFLMITRFEGFVNSVSRGGAEVGDSGGQKESVPAMFVFGDSLTDNGNNNGLASFAKANYYPYGIDFAGGPTGRFSNGYTIVDEIAELLGLPLIPPYSQASGEAALHGVNYASAAAGILDITGRNFVGRIPFNQQIKNFESTLDQIAGNLGADAVANATAHCIFFVGMGSNDYLNNYLMPNYNTRSQYTGEQFADLLVQDYTHQLTSLYNLGARKFVITGVGSMGCIPSILAQNLLSHCSLEVDKLVMPFNNNVKAMINALNVNLPHSKFIYVDTYHMFMDILSNPGTYGFSVINRGCCGIGRNSGQITCLPFQTPCANRDQYVFWDAFHPTAAVNIILARKAYDGNTNAVFPMNIQQLANLNLEPN >Ma08_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8241993:8247411:-1 gene:Ma08_g11180 transcript:Ma08_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLPPHSFVSTTSSADSNSNPHLFSSRIPLVPRAPHLPHPILCSNSNPTGAGDGERDGSPSLARFLRSVPDWADAVKELGVRKRRPLYTPDDWREHRSSLRHLRHLLSSLSSRVILSLIPPVSALTAVAAALALYNSAVAFAWLPPGLFPLLHASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWMRVMAGASELAGIVMSAKGREDDAGVKRSLLNYIISFPMALKCHVISGSDIKADLQNLLDVDDLTVLMKSKHRPRCVIEFISQSIQILHIEEPKRNLLESKLCCFHEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPVILWDDCKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCKQLHNSIKDAIAIENSVHERLLAKLNIHPDEHSINGWPNSKKEQG >Ma06_p20210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14264521:14269578:1 gene:Ma06_g20210 transcript:Ma06_t20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAAVSSPREVRSVDPQVWKACAGSSARIPAIGSRACYFPQGHAEQAASPPDFSALPAVPDVALCRISAVRLLADTETDEVFSSISLDPRPSVALSPSSSSSSSSPPGVADGGDGFVSFAKILTPSDANNGGGFSVPRFCADLIFPPLDFNADPPVQTIWVCDVHGSLWDFRHIYRGTPRRHLLTTGWSKFVNSKKLIAGDSVVFMKNQSGKIFVGVRRTSRSCGPVDHSPYIPQSTTLVTMTENFSGSVGFSRNVRGRVPAASVVEAVRLAGMGLPFEVLYYPRAGSPEFVVAEETVEAAMRVRWTSGMRVRMSLETEDSARMTWFQGTLSSLGMNDVEQWPRSPWRMLKVNWDEPEVLQNVKNVSPWQVDLVSVSSQIETPFSVIRNFKMPENSEFLGNSTGKTLQMTGTKSKSIGSVSPFFSYIVPAGMQGARHDSISIPHLCDSAINRDKIFIDGPHGVSMLEKNDVSVDQRIGTTSLGGSSQPSQESIQVLDRRILETRSNPVKKPSTGSFQLFGQVIYIDQPTNDDNDRKYKDTEGDKPSSSLSNQHKQLVVQCPRVSAVGACQ >Ma08_p26090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38712439:38713912:-1 gene:Ma08_g26090 transcript:Ma08_t26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVFPLLAASLLLLLAAASAPVAQGYNITKILAANPEFSTFNHYLTVTHLASEINRRLTITVLAVDNTGMAGLLAKHLSVLTIRNVLALHILTDYYGAKKLHQLTGGSTLASSVFQSSGHAPGTTGYINITDHRAGKVTFAAEDSGGAPPVSFVKAVKEMPYNISVLQVSTILSSPEAEAPVAAPAPVNLTALMAKKGCKAFADLLLARPDVLQIFQDNLDSGLTVFCPDDAAVKAFAPKYKNLTADGKASLLLYHGMPVYYSPQLLKANNGVVNTLATDGSNKNFNYTVQTDGTDITLKTRIVTATITSTLIDQDPDAVYAIDKVLQPRELFKLAEVVDAPSPAPAGSKKAKHGAKHASPPAPAGPEEAPADQAAADNVAFRAGSAGRWLVTAAASLAAAVIVVV >Ma08_p26890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39312084:39314018:-1 gene:Ma08_g26890 transcript:Ma08_t26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHSRASPFSFLLLLSSLLLPLASSCSGSHGHIRRLRQLLHDGHDADDSAFPNPHLRDAYIAIQAWKLAIISDPLNLTGNWVGPGVCNYTGVFCSPLPSDPNLTVVAGVDLNHGDLAGYLPHQLGLLADLGLLHINSNRFCGTLPRSLRRLALLHELDVSNNRLAGPFPDVVLRLPSLRYLDLRFNEFEGAVPPELFDRDLDAIFINHNLFAFDIPENLGNSPVSVVVLANNRFHGCLPATLGNMSRTLNEIILMNNGLSSCFPPEIGLLRSLTVLDISFNKLVGPLPGSVAGMLSLEQLDVAHNLLSGGIPASICALPRLKNFTYSYNFFTGEPPQCLAVESFDDRRNCLADRPRQRSDRQCQSFLPHHPVDCSTFGCKPFVPALPPPPPPTSPPPPSPPPPSPPPPSPPPPPSPPPPSPPPPSPPPPSPPPPPSPPPPSPPPPFPPPPPPPPPPSPPPIPYCVRSPPPLPVYCPRSPSPPVYCARYPSPPIYCKQSPPPPPPNFPPPPVYFPPPSPPHSPPPSHPSPPPPINSPPPPPSSPPPPPPNSPPPPPPSYSPPPPPPPPPPPCIESPASPPPCIEPPPPRCIEPPPPPCVDPPSPSPVSEEVEPLPAVVGISYASPPPPPIY >Ma06_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15735987:15753277:1 gene:Ma06_g21520 transcript:Ma06_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVENPPPSSNTHVEETKTLIAALNLLSRNLPLPPDVLRAVASIYHAAHADLPSPTPESEAEAVAGSLPEEAEEDGDAPSSGEEIHLDPTGGTLTSELEDALLKQQLSRMSCSALIETKESRFNGLIQHRLAELEVLPATRGEDLQMKCLLELYGLKLVELQKKVRSDVSAEYCLNKKCAHPEKQLFDWGMMRLRYPFNMYGIGDSFRVEADDRQRKKRYAERMSRLEEDEKNQADIRKRKFFAEILNAARESQLQAQAVLKRRKQRNDGIQAWHARQRQRATRAEKLRFQALKADDQEAYMRMVEESKNERLTMLLTKTNELLVCLGAAVQRQKDADGLEAPKSLEFENLSKNSLSTSETPGEMSLDDDNDFVDADSSQNKKANDLLEGQRQYNSAVHSIQEKVTEQPSLLQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKGVTGPHLIVAPKAVLPNWINEFSTWVPSITAVLYDGRLDERKAMREEYSGEGKFNVMITHYDLIMRDKAFLKKIHWHYMIVDEGHRLKNHECALAKTLVSGYRIRRRLLLTGTPIQNSLQELWALLNFLLPSIFNSVQNFEEWFNAPFVDKCEVSLTDEEQLLIIRRLHQVIRPFLLRRKKDEVEKYLPSKIQVILKCDLSAWQKAYYHQVTDIGRVGLESGIKSKSLQNLSMQLRKCCNHPYLFVGDYNMWQKEQIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTKLIDILEIYLQLYDFKYLRLDGSTKTEERGTLLKNFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEEILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLQEIMRRGTNSLGTDVPSEREINRLAARTEEEFWLFEKMDEERRQKERYRSRLMVENEVPDWVYPKTNEDKPTVNLGQDTQGSEVSGKRSRKEVVYADLLSDVQWMKAVESGEDLSKITSRRKRKEHPSDACESASEEVDRQSMSEHRNVNKYILDEDVSDDSPVRLKSGLLHNKDEGESDASSWPDNITWRTHKRKRSNHGLSSAHRI >Ma08_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1298691:1298915:1 gene:Ma08_g01480 transcript:Ma08_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASRRRPKTKIVCTLGPASRSVEMIERFLMAGMNVARFNFSHGSHAYHQETLENLRAAMDKTGILYAVMLDTK >Ma02_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19843293:19847215:-1 gene:Ma02_g10190 transcript:Ma02_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRKSSKSDASSGTTRSHPINNGTTSMNGNPIQRTSSGNVVPSRSTAVKRTASAIFPSSVVTSIEPLLLFKDVPNTEKQNLFISKLNLCCVVFDFSDPNKNSTEKDMKRQALLDLIDYVDAGSSRFTEPMISASCKMFAMNLFRAFPPNNRSSAGGGETEEEEPMFEPAWSHLQLVYDLFLKFIESSSLDAKIGKKYVDHSFIVRLLHLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWKALIPLHKPKTLGVYLQQLTYCVTQFIEKEPKLASSVIKGLLRYWPVTSSQKEVMFLSELEEVMEATNMVELQKCMIPLFRRISFCINSSHFQVAERALFLWNNDHVLSLVSQHRQAIMPVVLPALERNTRSHWNQAVLNLTQNVKKMLSEMDEELFLACKTKFEEEKTRQTIMEEKRRMTWERLESIAAFRPVTGNTSVLVRPAIAPPVVAALS >Ma07_p23060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30808440:30823676:1 gene:Ma07_g23060 transcript:Ma07_t23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPTKSEKEPIAEDNIFSHSIDEGSREKSIGKDGQVLKKGPWTSAEDAILVEYVKKHGEGNWNAVQKNSGLFRCGKSCRLRWANHLKPNLKKGAFSMEEEEMIVQLHAKIGNKWAKMATFLPGRTDNEIKNYWNTRIKRHQRAGLPLYPNNICFQASDENQQTQIVSCVAQQHSGILQGNSFDIPGTGFENLNNGHADLSYASSFPDLSVSSMICHEYESPDYGYMDNVNHVKQVGAGCDATFYSGPPSASQFLMEPPGQLTFRLHYPYDPDPNFKDLATFGGEIPGRHAFPIDKFSASRPLSGAVKLELPSLQCTETDDSSWTLCHSTPTLDAVNTYVESSPTTVSLQPEAISPRSSGLLDALLHEAQVLSGSKRYSSLKCSSSVIKHNEMVECSGLNISETEWEDYNGPTYPLGHSAPSVLKECTPPVCGSLSHGFPPFKDIPGSQNTFAVAEHLSTPNMEEKNMSSHPDFYRPDALLGSDWLEEGSQVAKDHSVLSDAVTTLLGHDFCKEHKIVPAGTSSDLFRGTKLESHSRGKIPDVSQMPELP >Ma07_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30808440:30823676:1 gene:Ma07_g23060 transcript:Ma07_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPTKSEKEPIAEDNIFSHSIDEGSREKSIGKDGQVLKKGPWTSAEDAILVEYVKKHGEGNWNAVQKNSGLFRCGKSCRLRWANHLKPNLKKGAFSMEEEEMIVQLHAKIGNKWAKMATFLPGRTDNEIKNYWNTRIKRHQRAGLPLYPNNICFQASDENQQTQIVSCVAQQHSGILQGNSFDIPGTGFENLNNGHADLSYASSFPDLSVGAGCDATFYSGPPSASQFLMEPPGQLTFRLHYPYDPDPNFKDLATFGGEIPGRHAFPIDKFSASRPLSGAVKLELPSLQCTETDDSSWTLCHSTPTLDAVNTYVESSPTTVSLQPEAISPRSSGLLDALLHEAQVLSGSKRYSSLKCSSSVIKHNEMVECSGLNISETEWEDYNGPTYPLGHSAPSVLKECTPPVCGSLSHGFPPFKDIPGSQNTFAVAEHLSTPNMEEKNMSSHPDFYRPDALLGSDWLEEGSQVAKDHSVLSDAVTTLLGHDFCKEHKIVPAGTSSDLFRGTKLESHSRGKIPDVSQMPELP >Ma07_p23060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30808440:30823676:1 gene:Ma07_g23060 transcript:Ma07_t23060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLPTKSEKEPIAEDNIFSHSIDEGSREKSIGKDGQVLKKGPWTSAEDAILVEYVKKHGEGNWNAVQKNSGLFRCGKSCRLRWANHLKPNLKKGAFSMEEEEMIVQLHAKIGNKWAKMATFLPGRTDNEIKNYWNTRIKRHQRAGLPLYPNNICFQASDENQQTQIVSCVAQQHSGILQGNSFDIPGTGFENLNNGHADLSYASSFPDLSVSSMICHEYESPDYGYMDNVNHVKQVGAGCDATFYSGPPSASQFLMEPPGQLTFRLHYPYDPDPNFKDLATFGGEIPGRHAFPIDKFSASRPLSGAVKLELPSLQCTETDDSSWTLCHSTPTLDAVNTYVESSPTTVSLQPEAISPRSSGLLDALLHEAQVLSGSKRYSSLKCSSSVIKHNEMVECSGLNISETEWEDYNGPTYPLGHSAPSVLKECTPPVCGSLSHGFPPFKDIPGSQNTFAVAEHLSTPNMEEKNMSSHPDFYRPDALLGSDWLEEGSQVAKDHSVLSDAVTTLLGHDFCKEHKIVPAGTSSDLFRGTKLESHSRGKIPDVSQMPELP >Ma07_p23060.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30810053:30823676:1 gene:Ma07_g23060 transcript:Ma07_t23060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRTDNEIKNYWNTRIKRHQRAGLPLYPNNICFQASDENQQTQIVSCVAQQHSGILQGNSFDIPGTGFENLNNGHADLSYASSFPDLSVSSMICHEYESPDYGYMDNVNHVKQVGAGCDATFYSGPPSASQFLMEPPGQLTFRLHYPYDPDPNFKDLATFGGEIPGRHAFPIDKFSASRPLSGAVKLELPSLQCTETDDSSWTLCHSTPTLDAVNTYVESSPTTVSLQPEAISPRSSGLLDALLHEAQVLSGSKRYSSLKCSSSVIKHNEMVECSGLNISETEWEDYNGPTYPLGHSAPSVLKECTPPVCGSLSHGFPPFKDIPGSQNTFAVAEHLSTPNMEEKNMSSHPDFYRPDALLGSDWLEEGSQVAKDHSVLSDAVTTLLGHDFCKEHKIVPAGTSSDLFRGTKLESHSRGKIPDVSQMPELP >Ma06_p34010.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34426738:34430380:1 gene:Ma06_g34010 transcript:Ma06_t34010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCCYKRRSQGVALLQSISSIMDANEATRMVFSKIQNLDPENAPRIMGLLLLQDDAEKEVIRLAFGHEALLHAVVVKAKEELGLAPPPSAGGSAAPPYLLRLNSAKRLLAVSSPSSGAPNSVFSRSGSSLGDIGLDGSLEQLQNSDELISPSNFSSSPFHGSGGDHVPDQLSFLGGPAAAPNSRSSKPSSGGDVIHPGVGCWSPRGNGDGSLFPYGLGWGLDGYQHQRSCSADDDLFLGAAPTLEFGWDPCLYFARGYCENGTACRFLHGLPEEAAATAVTGAKLDAVMQQRQELLMRSKSQRMGGASHLMAAGFPYSPTGSVRTSPSSTSSKFLSFLLQQQQNESQRAAAAAALMLGGDEANKLMGGSRIERSDLLGNHGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVRLILAKGNPHFVCDSRVLVKPYKEKAKLVPDKYNRKQQQQQQQQAERCTTSAALDAREAYDLHQLGAKMLYSSTSEELLWRRKLEEQQQAAELQRAIELQGRRFMGLQILDLNNQSFPTSATSSSIDSPIITSAQPISNLDRSSSRVASPTEAESLSIAEPDEKVNSSPGSLLKQQHESADEDGDSRGRADPNLPDSPFASPTKSSSMLDSFSTGEDMTTCCIANNGSSGNNSFFT >Ma06_p34010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34426737:34430380:1 gene:Ma06_g34010 transcript:Ma06_t34010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCARPTLSLFLSGACFFAVVCCCYKRRSQGVALLQSISSIMDANEATRMVFSKIQNLDPENAPRIMGLLLLQDDAEKEVIRLAFGHEALLHAVVVKAKEELGLAPPPSAGGSAAPPYLLRLNSAKRLLAVSSPSSGAPNSVFSRSGSSLGDIGLDGSLEQLQNSDELISPSNFSSSPFHGSGGDHVPDQLSFLGGPAAAPNSRSSKPSSGGDVIHPGVGCWSPRGNGDGSLFPYGLGWGLDGYQHQRSCSADDDLFLGAAPTLEFGWDPCLYFARGYCENGTACRFLHGLPEEAAATAVTGAKLDAVMQQRQELLMRSKSQRMGGASHLMAAGFPYSPTGSVRTSPSSTSSKFLSFLLQQQQNESQRAAAAAALMLGGDEANKLMGGSRIERSDLLGNHGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVRLILAKGNPHFVCDSRVLVKPYKEKAKLVPDKYNRKQQQQQQQQAERCTTSAALDAREAYDLHQLGAKMLYSSTSEELLWRRKLEEQQQAAELQRAIELQGRRFMGLQILDLNNQSFPTSATSSSIDSPIITSAQPISNLDRSSSRVASPTEAESLSIAEPDEKVNSSPGSLLKQQHESADEDGDSRGRADPNLPDSPFASPTKSSSMLDSFSTGEDMTTCCIANNGSSGNNSFFT >Ma06_p34010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34426737:34430380:1 gene:Ma06_g34010 transcript:Ma06_t34010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCARPTLSLFLSGACFFAVVCCCYKRRSQGVALLQSISSIMDANEATRMVFSKIQNLDPENAPRIMGLLLLQDDAEKEVIRLAFGHEALLHAVVVKAKEELGLAPPPSAGGSAAPPYLLRLNSAKRLLAVSSPSSGAPNSVFSRSGSSLGDIGLDGSLEQLQNSDELISPSNFSSSPFHGSGGDHVPDQLSFLGGPAAAPNSRSSKPSSGGDVIHPGVGCWSPRGNGDGSLFPYGLGWGLDGYQHQRSCSADDDLFLGAAPTLEFGWDPCLYFARGYCENGTACRFLHGLPEEAAATAVTGAKLDAVMQQRQELLMRSKSQRMGGASHLMAAGFPYSPTGSVRTSPSSTSSKFLSFLLQQQQNESQRAAAAAALMLGGDEANKLMGGSRIERSDLLGNHGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVRLILAKGNPHFVCDSRVLVKPYKEKAKLVPDKYNRKQQQQQQQQAERCTTSAALDAREAYDLHQLGAKMLYSSTSEELLWRRKLEEQQQAAELQRAIELQGRRFMGLQILDLNNQSFPTSATSSSIDSPIITSAQPISNLDRSSSRVASPTEAESLSIAEPDEKVNSSPGSLLKQQHESADEDGDSRGRADPNLPDSPFASPTKSSSMLDSFSTGEDMTTCCIANNGSSGNNSFFT >Ma06_p34010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34426737:34430380:1 gene:Ma06_g34010 transcript:Ma06_t34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARPTLSLFLSGACFFAVVCCCYKRRSQGVALLQSISSIMDANEATRMVFSKIQNLDPENAPRIMGLLLLQDDAEKEVIRLAFGHEALLHAVVVKAKEELGLAPPPSAGGSAAPPYLLRLNSAKRLLAVSSPSSGAPNSVFSRSGSSLGDIGLDGSLEQLQNSDELISPSNFSSSPFHGSGGDHVPDQLSFLGGPAAAPNSRSSKPSSGGDVIHPGVGCWSPRGNGDGSLFPYGLGWGLDGYQHQRSCSADDDLFLGAAPTLEFGWDPCLYFARGYCENGTACRFLHGLPEEAAATAVTGAKLDAVMQQRQELLMRSKSQRMGGASHLMAAGFPYSPTGSVRTSPSSTSSKFLSFLLQQQQNESQRAAAAAALMLGNHGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVRLILAKGNPHFVCDSRVLVKPYKEKAKLVPDKYNRKQQQQQQQQAERCTTSAALDAREAYDLHQLGAKMLYSSTSEELLWRRKLEEQQQAAELQRAIELQGRRFMGLQILDLNNQSFPTSATSSSIDSPIITSAQPISNLDRSSSRVASPTEAESLSIAEPDEKVNSSPGSLLKQQHESADEDGDSRGRADPNLPDSPFASPTKSSSMLDSFSTGEDMTTCCIANNGSSGNNSFFT >Ma06_p34010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34426737:34430380:1 gene:Ma06_g34010 transcript:Ma06_t34010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLMVCCCYKRRSQGVALLQSISSIMDANEATRMVFSKIQNLDPENAPRIMGLLLLQDDAEKEVIRLAFGHEALLHAVVVKAKEELGLAPPPSAGGSAAPPYLLRLNSAKRLLAVSSPSSGAPNSVFSRSGSSLGDIGLDGSLEQLQNSDELISPSNFSSSPFHGSGGDHVPDQLSFLGGPAAAPNSRSSKPSSGGDVIHPGVGCWSPRGNGDGSLFPYGLGWGLDGYQHQRSCSADDDLFLGAAPTLEFGWDPCLYFARGYCENGTACRFLHGLPEEAAATAVTGAKLDAVMQQRQELLMRSKSQRMGGASHLMAAGFPYSPTGSVRTSPSSTSSKFLSFLLQQQQNESQRAAAAAALMLGGDEANKLMGGSRIERSDLLGNHGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVRLILAKGNPHFVCDSRVLVKPYKEKAKLVPDKYNRKQQQQQQQQAERCTTSAALDAREAYDLHQLGAKMLYSSTSEELLWRRKLEEQQQAAELQRAIELQGRRFMGLQILDLNNQSFPTSATSSSIDSPIITSAQPISNLDRSSSRVASPTEAESLSIAEPDEKVNSSPGSLLKQQHESADEDGDSRGRADPNLPDSPFASPTKSSSMLDSFSTGEDMTTCCIANNGSSGNNSFFT >Ma06_p34790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34904943:34908081:1 gene:Ma06_g34790 transcript:Ma06_t34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPVNEFPVALTHGGRYLQYDIFGNLFEITSKYRPPIMPIGRGAYGIVCSVMNSETGDMVAIKKIANAFDNHMDAKRTLREIKLLRHLDHENVIGIRDVVPPPIPQTFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSAKVIHRDLKPSNLLLNANCDLKICDFGLARPTSENEIMTEYVVTRWYRAPELLLNSTDYTAAIDMWSVGCIFMELMNRKPLFPGRDHMHQMRLITELIGTPTEAELEFIQNEDARRYMRHLPRYPRQSFASLYPHISPVAIDLIERMLTFDPTKRITVEEALDHPYLERLHDIADEPTCMEPFSFDFEQHALTEEQMKELIYKEAIAFNPAY >Ma08_p30700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41872913:41873493:1 gene:Ma08_g30700 transcript:Ma08_t30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLIENPANEQSSTIRRKVLLHIPTNEVITSYDNLERKLMSLGWERYYDDPGLLQFHKRSSVDLISLPKEFSRFKSMHMYDIVVKNRESFRVVDM >Ma01_p04690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3202806:3204140:-1 gene:Ma01_g04690 transcript:Ma01_t04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTRAVFLFLGFYIGLVAGDWNILGFMGSKREEDTAVAAGRKNYCESWRMNVELNNIRGFEVVPDECVDYVGRYVTSTQYEVDVQLAAEEVILFLAHTFQLGGDGKDAWVFDVNDVLLSTVPYFKQRQFGGMKRNASAFEAWVKEADAPAVAHMVHLFHHIRTQGLKVFILSSRADYLREATVDNLINVGYHGWTELILRSPEDNYSSAETYKAAEREKLVHEGYRLWGIVGSQWSSLGGYTTARRIIKLPNPLYYEY >Ma02_p08120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18559611:18576152:1 gene:Ma02_g08120 transcript:Ma02_t08120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMQFWQQQLMCKQLQEPQRQQQLQQLDEGARHASPHNQLSAVAKPATGNQPPATLNEMPVNDAYNYVWPNDFVGGMHNLPNNSLIFTAGNTNWVQSNISPAMHNLVNGVVLSDCQSDTMRSVGFMSHQIDQTYHGIPVSGTSTVNQYSHLGISNNCHDLVTEADATHAKASYTSRTFQTDQRSAAQVCLQDKTLATTHNFKGKHFFGNSPVQDLGNDVTSGSFQSTNNLQHSVHFQEFHGRQEQDSSVNLQGKPISQVGTSSGVASLDPIEQKLLFGTDDDNCGFSFGGSLISSMGVDMHGHSSENDHVVTFPSIQSGSWSALMQDAVQASSSNNRLQEGWTGLNSQKSEQLMVKPPIMTNDNGKRPSAWDDRNLQSASSLTSTTVPLFNDADSILGSSTSPSDQHCFKSAHEENSGALTEAPHASFLSTAQGAHNSEFYHSCDQSQLSKGGLHAERPSTSGVWSGQSIKHHDKNPGDIQFKSQNIGSGWGDQQNLTMSNASLQSVTRLNGWKTSHPMACRGGNTSNYHENDENLWNTSENHVNLNSGLQPLKSYIGSPKVQAEDSLVRNLNSNNLILNQDMRQQASNAQQSVLGRHFALNTCVNSEIDQDVEKKQNQPSKRQKIWESSASTTVERLGENHENERDHGTVNLGDGYVGNMTTEKSLLTGKDQYPLVSGSQSFSIQSCQHTVDSKMLQNSLGSLRTMGPSFPPNHKFVGKTEFAGHKASSDPAIVSKMIAVGTKELQSRNTMPVCASNSSFDGSTAQYSQNETISQTSNNMLELLHKVDWSRNDNSVNASDLPAQAAAETSVTHPHFDWSSNLRGFGLRLAPPSQRQPPLKYAPLSQKSIDDANNWQLDKEAGCQNQPLSNSTSSVRPVPSLDEAWQRKNCDKMSSLYVQKHEELPEANEHFIFSSAVASNIPLAGNQLQEQQQQLQQQHISSTQDHLVQQQQQQHISLKTLHDVQDQSVQFSFSNQANASQRVQNSSLVRQPCDSHIMAVPGQSVQTSLPAPAGRFSTPGDASFAETPVPVGSQFSSGGTKYTNSTFASLSQTTSSGQQVPVVGTKSFSQSSISSMSQQVAFPKMLHNMWRNISPQQQQAGINRQILAANILQSIVNNDRITSLWGMPKEGDQVNKEGSATPEVGTSSANSQKEENPLWGKSLNLMHTEKVDDVCKSISASQGEEAAVTKQPLDGGSNVQISTLVDNHQHDTPCSPVLRSPLTSIASSSSDIGISGCMSKPSDVQQQNHSLLHQLQSTKASDSDVNNLTGKGPKGVGFNASQMNFNIDQRFDRRQNKIFRIPADGKVGPASHISFPPDSKPPSFASNDSDESNPSTSTAGQHDLQAHMHPTSTTSTANIMGGSDCTNIGPQMVPSWLECYGTYQNGRSVAVCDAQRSQIAAIQQYFLQKAPATRDDNYLEQRLDSSHIGSYRQGTLATKSTPGEASPSLLPPDIMDHDIIVRSKKRKITTDVPWNKMVTEPQRLPSFSMAELDWAQAANRFIGKVDDEAETMEDEPFVPQSRRRLILTTQLMHQLIPAVPAVTLKEEAAASYRSVTFTVAKSALADVCSLVTSSESDSHVLLGNKNMTLGELKTSTKVANDIFSKLMEDFIGRSKKVGTDFSRLDGKTSLLDVRLECQELERFSIMNRLVKFHGRTHADAVGVSSISGAYRRNIFLQRYITALPVSGNLPEGVLCLSL >Ma02_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18559605:18576152:1 gene:Ma02_g08120 transcript:Ma02_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMQFWQQQLMCKQLQEPQRQQQLQQLDEGARHASPHNQLSAVAKPATGNQPPATLNEMPVNDAYNYVWPNDFVGGMHNLPNNSLIFTAGNTNWVQSNISPAMHNLVNGVVLSDCQSDTMRSVGFMSHQIDQTYHGIPVSGTSTVNQYSHLGISNNCHDLVTEADATHAKASYTSRTFQTDQRSAAQVCLQDKTLATTHNFKGKHFFGNSPVQDLGNDVTSGSFQSTNNLQHSVHFQEFHGRQEQDSSVNLQGKPISQVGTSSGVASLDPIEQKLLFGTDDDNCGFSFGGSLISSMGVDMHGHSSENDHVVTFPSIQSGSWSALMQDAVQASSSNNRLQEGWTGLNSQKSEQLMVKPPIMTNDNGKRPSAWDDRNLQSASSLTSTTVPLFNDADSILGSSTSPSDQHCFKSAHEENSGALTEAPHASFLSTAQGAHNSEFYHSCDQSQLSKGGLHAERPSTSGVWSGQSIKHHDKNPGDIQFKSQNIGSGWGDQQNLTMSNASLQSVTRLNGWKTSHPMACRGGNTSNYHENDENLWNTSENHVNLNSGLQPLKSYIGSPKVQAEDSLVRNLNSNNLILNQDMRQQASNAQQSVLGRHFALNTCVNSEIDQDVEKKQNQPSKRQKIWESSASTTVERLGENHENERDHGTVNLGDGYVGNMTTEKSLLTGKDQYPLVSGSQSFSIQSCQHTVDSKMLQNSLGSLRTMGPSFPPNHKFVGKTEFAGHKASSDPAIVSKMIAVGTKELQSRNTMPVCASNSSFDGSTAQYSQNETISQTSNNMLELLHKVDWSRNDNSVNASDLPAQAAAETSVTHPHFDWSSNLRGFGLRLAPPSQRQPPLKYAPLSQKSIDDANNWQLDKEAGCQNQPLSNSTSSVRPVPSLDEAWQRKNCDKMSSLYVQKHEELPEANEHFIFSSAVASNIPLAGNQLQEQQQQLQQQHISSTQDHLVQQQQQQHISLKTLHDVQDQSVQFSFSNQANASQRVQNSSLVRQPCDSHIMAVPGQSVQTSLPAPAGRFSTPGDASFAETPVPVGSQFSSGGTKYTNSTFASLSQTTSSGQQVPVVGTKSFSQSSISSMSQQVAFPKMLHNMWRNISPQQQQAGINRQILAANILQSIVNNDRITSLWGMPKEGDQVNKEGSATPEVGTSSANSQKEENPLWGKSLNLMHTEKVDDVCKSISASQGEEAAVTKQPLDGGSNVQISTLVDNHQHDTPCSPVLRSPLTSIASSSSDIGISGCMSKPSDVQQQNHSLLHQLQSTKASDSDVNNLTGKGPKGVGFNASQMNFNIDQRFDRRQNKIFRIPADGKVGPASHISFPPDSKPPSFASNDSDESNPSTSTAGQHDLQAHMHPTSTTSTANIMGGSDCTNIGPQMVPSWLECYGTYQNGRSVAVCDAQRSQIAAIQQYFLQKAPATRDDNYLEQRLDSSHIGSYRQGTLATKSTPGEASPSLLPPDIMDHDIIVRSKKRKITTDVPWNKMVTEPQRLPSFSMAELDWAQAANRFIGKVDDEAETMEDEPFVPQSRRRLILTTQLMHQLIPAVPAVTLKEEAAASYRSVTFTVAKSALADVCSLVTSSESDSHVLLGNKNMTLGELKTSTKVANDIFSKLMEDFIGRSKKVGTDFSRLDGKTSLLDVRLECQELERFSIMNRLVKFHGRTHADAVGVSSISGAYRRNIFLQRYITALPVSGNLPEGVLCLSL >Ma02_p08120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18559611:18576152:1 gene:Ma02_g08120 transcript:Ma02_t08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMQFWQQQLMCKQLQEPQRQQQLQQLDEGARHASPHNQLSAVAKPATGNQPPATLNEMPVNDAYNYVWPNDFVGGMHNLPNNSLIFTAGNTNWVQSNISPAMHNLVNGVVLSDCQSDTMRSVGFMSHQIDQTYHGIPVSGTSTVNQYSHLGISNNCHDLVTEADATHAKASYTSRTFQTDQRSAAQVCLQDKTLATTHNFKGKHFFGNSPVQDLGNDVTSGSFQSTNNLQHSVHFQEFHGRQEQDSSVNLQGKPISQVGTSSGVASLDPIEQKLLFGTDDDNCGFSFGGSLISSMGVDMHGHSSENDHVVTFPSIQSGSWSALMQDAVQASSSNNRLQEGWTGLNSQKSEQLMVKPPIMTNDNGKRPSAWDDRNLQSASSLTSTTVPLFNDADSILGSSTSPSDQHCFKSAHEENSGALTEAPHASFLSTAQGAHNSEFYHSCDQSQLSKGGLHAERPSTSGVWSGQSIKHHDKNPGDIQFKSQNIGSGWGDQQNLTMSNASLQSVTRLNGWKTSHPMACRGGNTSNYHENDENLWNTSENHVNLNSGLQPLKSYIGSPKVQAEDSLVRNLNSNNLILNQDMRQQASNAQQSVLGRHFALNTCVNSEIDQDVEKKQNQPSKRQKIWESSASTTVERLGENHENERDHGTVNLGDGYVGNMTTEKSLLTGKDQYPLVSGSQSFSIQSCQHTVDSKMLQNSLGSLRTMGPSFPPNHKFVGKTEFAGHKASSDPAIVSKMIAVGTKELQSRNTMPVCASNSSFDGSTAQYSQNETISQTSNNMLELLHKVDWSRNDNSVNASDLPAQAAAETSVTHPHFDWSSNLRGFGLRLAPPSQRQPPLKYAPLSQKSIDDANNWQLDKEAGCQNQPLSNSTSSVRPVPSLDEAWQRKNCDKMSSLYVQKHEELPEANEHFIFSSAVASNIPLAGNQLQEQQQQLQQQHISSTQDHLVQQQQQQHISLKTLHDVQDQSVQFSFSNQANASQRVQNSSLVRQPCDSHIMAVPGQSVQTSLPAPAGRFSTPGDASFAETPVPVGSQFSSGGTKYTNSTFASLSQTTSSGQQVPVVGTKSFSQSSISSMSQQVAFPKMLHNMWRNISPQQQQAGINRQILAANILQSIVNNDRITSLWGMPKEGDQVNKEGSATPEVGTSSANSQKEENPLWGKSLNLMHTEKVDDVCKSISASQGEEAAVTKQPLDGGSNVQISTLVDNHQHDTPCSPVLRSPLTSIASSSSDIGISGCMSKPSDVQQQNHSLLHQLQSTKASDSDVNNLTGKGPKGVGFNASQMNFNIDQRFDRRQNKIFRIPADGKVGPASHISFPPDSKPPSFASNDSDESNPSTSTAGQHDLQAHMHPTSTTSTANIMGGSDCTNIGPQMVPSWLECYGTYQNGRSVAVCDAQRSQIAAIQQYFLQKAPATRDDNYLEQRLDSSHIGSYRQGTLATKSTPGEASPSLLPPDIMDHDIIVRSKKRKITTDVPWNKMVTEPQRLPSFSMAELDWAQAANRFIGKVDDEAETMEDEPFVPQSRRRLILTTQLMHQLIPAVPAVTLKEEAAASYRSVTFTVAKSALADVCSLVTSSESDSHVLLGNKNMTLGELKTSTKVANDIFSKLMEDFIGRSKKVGTDFSRLDGKTSLLDVRLECQELERFSIMNRLVKFHGRTHADAVGVSSISGAYRRNIFLQRYITALPVSGNLPEGVLCLSL >Ma02_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24024013:24027813:-1 gene:Ma02_g16850 transcript:Ma02_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G01950) UniProtKB/TrEMBL;Acc:C0LGJ7] MLAFPSSLCTPRMDSHFQMLSPAVMLLLLLFMKLPSSLSSSSTSTETDRDALVLFKKSIQEDPNGVLWSWQLNQSPCSWYGVSCWQGRATELDLSSCHLSGTLSFRPLSSLDMLSVLNLSSNAFYINSTSALLQLPPSLQRLDLSSAGLSGLVPEGFSRKYPNLEHVNLSHNNLTGSLPANLMPQSGNLRVLDLSFNNFSGGMSDLVVLGNSCKGLLYLDLSANRIADAIPSSLSNCNGLRALNLSSNGVTGEIPQSFGELRRLERLDLSDNYITGSIPYGLGNACGSLVQLKLSDNNISGALPDSFYSCYSLRLLDLANNNMSGPLLNDMLQNLGSLESLLVSNNFISGPFPSSVLRLQQLRIADFSSNKLTGAIPPDVCSGESSLEELRVPDNLITGRIPAQLSNCSQLKTIDLSINYLQGPIPPELGRLKNLEHLMLWFNGLHGPIPSELGQCSRLRNLIVNNNFISGDMPVELFNCSNLEWIALTSNGITGEIRPEFGLLSRLAVLQLANNSLSGEIPRELGNCSSLVWLDLNSNRLRGEIPPRLGRQLGAKASSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPTLKTCDFTRLYSGAALSDWTHYQTLEYLDLSYNELYGNIPEEFGDMVVLQVLDLAHNRLTGEIPATLGRLRNLGVFDASHNRMQGSIPESFSNLSFLVQIDLSDNNLSGPIPARGQLTTLPASQYANNPGLCGVPLPPCQPPSNLPTAIPSSYGKQERRSSAAAWANSVVLGVLVSVASLCVLIVWAIVMRARHREAEEAKMLSSLQATHAATTWKIEKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAASLIGCGGFGEVFKATLKDGSSVAIKKLIHLSYQGDREFMAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEYMTFGSLEDMLHGRTKPRDAALSWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDDQMDARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVMLELLTGRRPTDREDFGDTNLVGWVKMKVREGKGREVFDGELLLTNGAEEDKEMARFMEITLQCVEDFPSKRPNMLQVVAMLRELNSAAVDGVAT >Ma03_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:518830:525130:1 gene:Ma03_g00650 transcript:Ma03_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTADELLSDSFHCKEIENGMLWEVQGKWVVKGAIDVDIGANPSAEGGEDEGVDDSAVKVVDIVDTFRLQEQPAFDKKQFVTYMKRYIKLLTPKLDEEKQELFKKHIEGATKFLLSKLKDLQFFVGESMHDDGSMVFAYYKDGATDPTFLYFAYGLKEVKC >Ma10_p28560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35417925:35418044:-1 gene:Ma10_g28560 transcript:Ma10_t28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDVTCIDQILFGDRALTLRNINISLDILNLKWYIGLV >Ma08_p26030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38676229:38678917:-1 gene:Ma08_g26030 transcript:Ma08_t26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDDGRMALIPLCCSLSMAAHLSPSSPFLRHDNSAPSAAIFAFRASWSADDWLLAGTGSPFGEREIDAALFPWIKSVGNDTTAAVNGAFLRSFKKVLDTSRLQAEVHAAVADKKQIVFTGHSSGGSIAVLAAIWFLEQRQNFEGRGGQVDPFCVTFGSPLVGDGVFVHALQRENWARFFLHFVMAADIIPRCLLAPLSSFKDELEAILGFLCPKPLLFSPNTVMSSPVTYYRNVLGHALSVSNHQACLLMGCTNPLLEVLTGFVKLTPYRPVGAYVLCSRDGRLLCLRNSNSILHMLFYLFQDVPGADVEEVAHRSLEAHRLYEAMTRDHLNVQNILSVDSSDSIPLTFTNGFDDKAQPVKTLLKDLDLSLEARLCLRAAAEWEKQRLRNQAKIDDNYSKIKEALSFLSDYRATCEVRGLGYYDTFKLQKDVEDFNANVKRLELAGLWDEIVEMLSRYELPDGFEGRQEWVKLGTQYRWLVEPLDIANYYRHSKNEDTGPYMVKGRPRRYRYTQRWLEHAERSPAGTCTESCFWASVEELCIDTGDGKPFLELRSRVVELEREVLRWVTKGSLGRDVFVSESTFARWWVTLPRQHRADSCIARFVNGEDMLETMEASI >Ma08_p26030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38676229:38678917:-1 gene:Ma08_g26030 transcript:Ma08_t26030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDDGRMALIPLCCSLSMAAHLSPSSPFLRHDNSAPSAAIFAFRASWSADDWLLAGTGSPFGEREIDAALFPWIKSVGNDTTAAVNGAFLRSFKKVLDTSRLQAEVHAAVADKKQIVFTGHSSGGSIAVLAAIWFLEQRQNFEGRGGQVDPFCVTFGSPLVGDGVFVHALQRENWARFFLHFVMAADIIPRCLLAPLSSFKDELEAILGFLCPKPLLFSPNTVMSSPVTYYRNVLGHALSVSNHQACLLMGCTNPLLEVLTGFVKLTPYRPVGAYVLCSRDGRLLCLRNSNSILHMLFYLFQDVPGADVEEVAHRSLEAHRLYEAMTRDHLNVQNILSVDSSDSIPLTFTNGFDDKAQPVKTLLKDLDLCPLAFQSLEARLCLRAAAEWEKQRLRNQAKIDDNYSKIKEALSFLSDYRATCEVRGLGYYDTFKLQKDVEDFNANVKRLELAGLWDEIVEMLSRYELPDGFEGRQEWVKLGTQYRWLVEPLDIANYYRHSKNEDTGPYMVKGRPRRYRYTQRWLEHAERSPAGTCTESCFWASVEELCIDTGDGKPFLELRSRVVELEREVLRWVTKGSLGRDVFVSESTFARWWVTLPRQHRADSCIARFVNGEDMLETMEASI >Ma05_p14290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10391161:10399584:1 gene:Ma05_g14290 transcript:Ma05_t14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSSSSSSATGRVEKATSHLLIGPDWTMNMDICDNINNDQWQAKEVLKAVKKRLQNKNSKVQFLALTLLETIIKNCGEYVHFQVAECKILQEMVKIVRKKTDMQVRDKILVLLDSWQEAFGGPGGKYPQYYYAYIELKRFGILFPQRPANTPPILTPPVTHLALTSGHSQVGYGVPSITSTRLDETMATDIGNLSLSDFSHIRDVMGLLKEMLQAVNPNDQGAIKDELIVDLVNQCRTNQKKLMQLVSSTGDEKLLGEALELNDNLQSVLAKHEAIASGSPLLHEQPKSLSQAKEPIKHPVASSSRVEDEDEDDEFAQLARRNSNFKQATSVESPNRSDVTRVTESSTSSSAMSNALVPLDPPPPPPVKTQKEPEQDMIDLLSITLSTYPSSPQTAVTPPSASSQQGYAPHDSYVAPWAQRALPAPQPSPSWAATDATPSTFSSAPSSGADTHAAYLPAYSPTPLQQYNSFGSRINGTTTTSVAARETPVNLIPRHSGTTTSAKPYVLPNRLFEDLIDLRSTSSGQKTGAASNQPMIGGKK >Ma07_p14910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11187755:11203313:-1 gene:Ma07_g14910 transcript:Ma07_t14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37860) UniProtKB/Swiss-Prot;Acc:B9DFK5] MALCCSSGEGYLGNNLVSCRSRNVDFEVKGSRFNLRPTPERCLFSKVTVLVTAFRAGKGNLCCGKRKLNAGIRSELQPSAEVNSGHLDLSSLKECGDVSVKKVSGNIESDDRVDLDHGSGSTDFPNGSGGNGKFPPGGGGGDDGDNSDDYKEGEFGPLLKYEEVIKEVEARGARLPSDMLEAAKASGIQKLLLYRYLDLQASAWPIGVAMKSCAIFRNRMLADPSFLFKVGTEIIIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVVVNVALVGMLAPYVRFGQTSTSNSLLGRVARAYGALPSSVFEAERPGCKFSIQQRVATYFYKGLLYGSVGFACGLIGQGIANFIMTAKRTVKKTEEDIPVPPLIESAALWGVFLAVSSNTRYQIINGLERLVEASSLAKQSPPITMAFTVGVRFANNIYGGMQFVDWARWSGVQ >Ma07_p14910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11187755:11203313:-1 gene:Ma07_g14910 transcript:Ma07_t14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37860) UniProtKB/Swiss-Prot;Acc:B9DFK5] MALCCSSGEGYLGNNLVSCRSRNVDFEVKGSRFNLRPTPERCLFSKVTVLVTAFRAGKGNLCCGKRKLNAGIRSELQPSAEVNSGHLDLSSLKECGDVSVKKVSGNIESDDRVDLDHGSGSTDFPNGSGGNGKFPPGGGGGDDGDNSDDYKEGEFGPLLKYEEVIKEVEARGARLPSDMLEAAKASGIQKLLLYRYLDLQASAWPIGVAMKSCAIFRNRMLADPSFLFKVGTEIIIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVVVNVALVGMLAPYVRFGQTSTSNSLLGRVARAYGALPSSVFEAERPGCKFSIQQRVATYFYKGLLYGSVGFACGLIGQGIANFIMTAKRTVKKTEEDIPVPPLIESAALWGVFLAVSSNTRYQIINGLERLVEASSLAKQSPPITMAFTVGVRFANNIYGGMQFVDWARWSGVQ >Ma02_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18361444:18363713:1 gene:Ma02_g07850 transcript:Ma02_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMKFTLICLLMLLAMMAHSGIRGGAAEDDVRCLTGVKTSLDRGHTLGWNFSNATVGFVCSFVGVSCWNLQENRVLALNLKSMSLAGSVPSDLQYCSAANVLDLSSNTISGPIPNELCSWLPYLVTLDLSNNQFTGGIPPTLSNCRFLNTLVLAGNQLQGAIPATLSQLNRLTHLDLSSNQLDGPIPPPLGDKFDAKSFDGNDGLCGQPVSSHCGRSHTRTNLIIIVAAGVFGAAASLTLAYVVWRCWSPSGKRAAAGRRGEDGGWWAERLRSAHNRLVPVSLFQKPIVKVKLADLMTATADFHPNNIIVAGSQRTGTSYKAVLPDGSALTVKRLQSRPLPEKQFRAEMGRIGPLRHPNLAPLLGFCIVEDERLLVYKHMPNGTLFSALESVDDALDWPARVRIGIGAARGLAWLHHGFQIPFLHQNLCSKAILLDEDNEARITEFGLTRLVRTAAGDGDNSSPFLNGDFGEFGYTAPEYDTNSDPTTKGDVYAFGIVLLELVTGQKATEITTDVAGEVFKGSLVDWVNQLSAAGKTHEAIDRSLRGKGNDDEIIQVLKIASGCVVAQLKERPSMYKVFQALKIIGERYNISEQFDEFPLVYGKDELDSQ >Ma11_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27337749:27342669:-1 gene:Ma11_g24340 transcript:Ma11_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPAIPTVARSVLEEMLDALKLREEKPKDVPPALPARPTMRRRLPSAKKSLPMKFSIGRNEAGSSSKDPGVMEGDARSESREFSIGIKASLEDPEDENGDHSGYVEAEEASDKDHDKLHASRSEELEKQVLKMKTEMRQKEEENVELLQQVQQIEDKWSLCEEKMKSMEELYQKQIESLKVSLAAVQKSLAANDMVKQPGKFDMPADARPPTKHHDSVTGLSKDVDGRNNAVGQLTKEFEKQKQIFEEDARVLSEVKSGQLGSVKKSIEELQKLKVRYAAWKKEYKVRFHDTKASLRKLGKSDVKPKKVGRRGAHCFQLRCYTGFKCCI >Ma11_p18880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23927276:23932195:1 gene:Ma11_g18880 transcript:Ma11_t18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMRVGEWIERGGSPWRDQARALQLRIRDRFRVAVDRRRRWHVESDYSMTLQRWFRRILSVWADQSPGALASPVRFYRKKVDKDAHVNGDSTFVRMLQALAVPFIGNACYVFMHGLNDVKIYGAEKLHQALKQRPEGKSLLTVSNHVAAVDDPLVIASLLPPSVMLNAHDLRWTLCATDRCFRNPMLSAFFHCLKVLPVSRGDGIYQKGMDTALSKLNNGGWVHIFPEGSRSRDGGRTMGDAKRGVGRLVIDADTVPTVIPFVHSGMQEIMPIGTNFPRIGKQVTVLIGDPIFLDDLLIDKDDPQHVSTGILYDAITSRIGRQLQELKVQVDRLALEQRLEVRDCYSVHDREHGCGIWQQVDWEAFGIENYMLEERSQISSGVADDQPMRIHDRSHTTNSPRVIRMGFFDEGGIISRIRGYMNPSELMGFAARGLFINGRIRDESREIVRDVSPLKAWKQFLEGNMFQQWNRL >Ma04_p15600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11889480:11889707:1 gene:Ma04_g15600 transcript:Ma04_t15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWVQSVPTRGLNPRRREIRTTCYGYGLKGIAYVDCFVRQYLFLPPVPFFFPVMHSSSNNIFIVFTSGECLLKL >Ma06_p34870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34957933:34972095:1 gene:Ma06_g34870 transcript:Ma06_t34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSASMKGKENRVLQCAFAVTGIMSTLLVYGILQEKIMRVPYGINKEYFRYSLFLVFCNRIMTSAVSAGVLLASKKSLDPVAPIYKYCAISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTFIMQKKYNGKDYLFALLVTIGCAIFILSPASADITAYNTGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTICSCLLSLSGLILQGHLLSAVDFMFRHQDCFYDVALLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCIWFAHPLSWKQWIGAAIVFGSLYTKSFLRSKPQKPQPHAPGPNASSGNDNS >Ma11_p03500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2593163:2598642:-1 gene:Ma11_g03500 transcript:Ma11_t03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMMPSAVAGSRPPPNYADALSKCLLFYEGQRSGKLPPTQRVTWRKDSGLQDGQDAGVDLVGGYYDAGDNVKFGFPLAFTGTVLAWSIVEFSAEMGPELVHAHEALRWLTDYLLKATTQPNRVFVQSGEPFSDHNCWQRPEDMDTPRPSFQVNDTHPGSEVAGETAAALAAASIAFRSSDPAYADTLLSRAKQVYDFAYRYQGSYSDSLGQWVCPFYCDISGYEDELVWGAAWLNRATNSSDYTKHIIHGIQQIQTQTKLGVNGYDWQFSWDDKGAGNYILLLLMQKTDDDYSRYAQNFACSLLPQSPYISTEYTPGGLIHKASMANLQAVTALSFLLVVYGRHLSNVKGTVTCGNSQFPPSALIDLAKSQVDYILGDNPLNMSYMVGYGNKFPQRIHHRAASVPSVDQHPQHLYCKDGTPYYETDAPNPNLLVGALSGGPNDGSDEYLDYRPWANQSEPATYMNAPFVGLLAYFSRYG >Ma01_p21290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20951138:20951392:1 gene:Ma01_g21290 transcript:Ma01_t21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSHEASVYGKLLHNLCFQLLPFFLLCSDKVGLFTAVFVVSAHALYTFILLSCRYTQSLISMALSLSLFFSLSLSLSLSLSLS >Ma05_p25900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37724689:37737191:-1 gene:Ma05_g25900 transcript:Ma05_t25900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAADNLADSMSTSSSGGGLSGPSLEPRPAAAASPLGGGSIAAAEEPAAALVPPSRDPGGAGAGVGGQDAVTVERRGYHSAVCRWAVPHFPRAKARAVWSRYFEVAGYDCRLLVYPRGDSQALPGYLSLYLQIVDPRGSSSSSGGNKWDCFASYRLSVSNHLDDAKSVARDSWHRFSSKKKSHGWCDFAPFSAVLDPRSGFLLPPSDSLLVTADILLLHETVAFSRDHEPQPPPADVLGGKFTWKVHNFSLFREMIKTQKIMSPVFPAGDCNLRISVYQSSVAGVDHLSMCLESKDTEKTAAAATASPAAPVPERSCWCLFRMSVLNQRPGSNHMHRDSYGRFAADNKGGDNTSLGWNDYMRMEDFVGPDAGFLVDDTAVFSTSFHVIRESSNFTKNSGPLLGSSGGRGAARKSDGHFGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTASDWSCFVSHRLSVVNQKMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSIMQEFNDTEPELAMMCSASQIDAISKRGSFTWRVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDMICIYLESDQSSGSDPDKNLWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDLMESDAGFLVRDTVVFICEILDCCPWFEFSDLEVFASEDEQDALSTDPDELIESEDSEGISGDEEDMFRNLLSRAGFHLTYGDNPSQPQVTLREKLLIDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSGICGKKDSLKGDANSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQTSEGRTGYDSSETSSKTSPGSNGANTPPEHSGDSEVSTEYARCDMYQRLEPGVEEISHTYAVQSSQLNTGEIVQKTNQEQHIFPPQTSARDEPSDDGFVRAPKTKWPEQSEELLGLIINSLRALDSAVPQGCPEPKRRPQTIQKIILVLDKAPKHLQPDLIALIPKLTDPSEHSLAACALLDCLQKPDAEPSLRLQVFGALGQLEFGSEVWERILYQAFELLTDSSDEPLVATMSFVFKAASQCQHLPQAVRAFRLRLKSLGTEVPQCVLHILTKILHTCADVAEAIINDIDSDSELDGNCTISCGTYADGTNGVSPGGMHVGKDQVVHGCHNHADVYILVEMLSIPGLFVEVSQVFERALIRGAIGLQSVALVLERRHSQRLNIKSTSIVDDSQNRQALLDENIDSLSVQEDDFASVLSLGEVLSLSRDTRVQDFVRMLYAIMFKIYAEEHYRFRMLKGLVERAANVSNSCRVVDIDMDVLVFLVREEDGIARPVLNILREVAEVSQVDRANLWHQICAVEDENVRFREERQEEIANFAHEKAALSQRLNESEATTNRLKAELKSEMEQFARERKELTEQILDVENQLEWLRSEKDEEIAKLSADRRGLQDRLHDAETQLSQLKTRKRDELKRVVKEKNALAERLKSAEAARKRFDEELKRYATETVTREEVRQSLEDEVRRLTQTVGQTEGEKREKEEQIARCEAYIDGMEARLQTCQQYIHTLEASLQEEMSRHAPLYGAGLEALSMNELETLARIHEEGLRQIHAIQQMKSSSNSLVGGHSLPQVHGLYSSAPPMAVGMPPSIIPNGGGIHGNGHMNGAVGPWFSPT >Ma05_p25900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37730773:37737191:-1 gene:Ma05_g25900 transcript:Ma05_t25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAADNLADSMSTSSSGGGLSGPSLEPRPAAAASPLGGGSIAAAEEPAAALVPPSRDPGGAGAGVGGQDAVTVERRGYHSAVCRWAVPHFPRAKARAVWSRYFEVAGYDCRLLVYPRGDSQALPGYLSLYLQIVDPRGSSSSSGGNKWDCFASYRLSVSNHLDDAKSVARDSWHRFSSKKKSHGWCDFAPFSAVLDPRSGFLLPPSDSLLVTADILLLHETVAFSRDHEPQPPPADVLGGKFTWKVHNFSLFREMIKTQKIMSPVFPAGDCNLRISVYQSSVAGVDHLSMCLESKDTEKTAAAATASPAAPVPERSCWCLFRMSVLNQRPGSNHMHRDSYGRFAADNKGGDNTSLGWNDYMRMEDFVGPDAGFLVDDTAVFSTSFHVIRESSNFTKNSGPLLGSSGGRGAARKSDGHFGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTASDWSCFVSHRLSVVNQKMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVIFSAEVLILKETSIMQEFNDTEPELAMMCSASQIDAISKRGSFTWRVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDMICIYLESDQSSGSDPDKNLWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDLMESDAGFLVRDTVVFICEILDCCPWFEFSDLEVFASEDEQDALSTDPDELIESEDSEGISGDEEDMFRNLLSRAGFHLTYGDNPSQPQVTLREKLLIDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSGICGKKDSLKGDANSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQTSEGRTGYDSSETSSKTSPGSNGANTPPEHSGDSEVSTEYARCDMYQRLEPGVEEISHTYAVQSSQLNTGEIVQKTNQEQHIFPPQTSARDEPSDDGFVRAPKTKWPEQSEELLGLIINSLRALDSAVPQGCPEPKRRPQTIQKIILVLDKAPKHLQPDLIALIPKLTDPSEHSLAACALLDCLQKPDAEPSLRLQVFGALGQLEFGSEVWERILYQAFELLTDSSDEPLVATMSFVFKAASQCQHLPQAMWLKPL >Ma03_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:479342:482282:-1 gene:Ma03_g00580 transcript:Ma03_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISSSSSSLSLPFRTAEPLRLFRPRPLLSLRSPSDLRLSPCLTQGRGPKPLTALFYERDGPPPCPSRASSSPASAPPPSCSRGEAPLARPRARFIAGGGPDACSLPKVARAAVVTALSAITLTVTNSILAQPSFARVQSASNLGGQLIKTELLSSALAGFLAGCLHTLSGPDHLAALAPLSIGRTKMESAIVGALWGCGHDAGQVLFGLLFLMLRDRLHIEVFRTWGTRVVGLTLLVIGALGIREASEVPASCVALDEPSDLSSTGKRKIGLATFATGIVHGLQPDALMIILPALALPSRLAGAAFLCMFLVGTVFAMASYTVFIGTCTEALKERAPRITEKLTWAASLIAISMGLALLITPFFGFSLF >Ma11_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20780848:20791224:-1 gene:Ma11_g15080 transcript:Ma11_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A/N-InvB [Source:Projected from Arabidopsis thaliana (AT4G34860) UniProtKB/TrEMBL;Acc:A0A178V2X0] MPSFTVDPEDDTENSGTKAGELTPLKIQEFDFSKLEIRPRNHNIDRHRSCEVKALFELSMASASPRHTLKNLDHLKITEHLENAYSPSWRSNVNSPKASIGSHLQAEAWEALRRSLVHFRGRPVGTIAAMDPSEEALNYNQVFVRDFVPSALAFLMSREPEIVRNFLTKTLRLQSWEKKIDRFQLGAGVMPASFKVFQDPIRNTETLIADFGESAIGRVAPVDSGFWWIILLRAYTRATGDSSLADTPDCQQGIRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGIYGYPIEIQALFFMALRCALNLLKQDDKGKEFVELITKRLHALSYHLRSYFWLDFRQLNDIYRYKTEEYSHTAVNKFNVMPDSLPDWLFDFVPNRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPNQSAAIMDLIEARWTELVGEMPLKVCYPALENHEWRVITGCDPKNTRWSYHNGGSWPVLLWLLTAASIKTGRPQIARRAIELAETRLLKDSWPEYYDGTLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMVALEEDKQMTPPLRRSASWSR >Ma03_p06450.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4461325:4465366:-1 gene:Ma03_g06450 transcript:Ma03_t06450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEEPDSRNTPAMASSRNTPFPPPAEAAETTPPVDDSETIAPLPDGGGGGGDPPPPAAGRKRRRRKKQFPGMVPTACLRVLRPSSARSASVVYNEKLLDELIQMQINDAGPRRRGRGRPPSSSLRLARELDVEALIAMAVGFPIDSLTEEEIEANVVPVMGGVAQSNYIVVRNHILARWRSNPSVWVTEAHAMESIRSEHRALVSTAYAFLLDHGFINFGLASAVIAAPRRRPPSLPAPTVLVVGAGVAGLAAARHLLSLGFKVAILEGRDRPGGRVFTKKMECCASSTTPGVVAAADLGGSVLTGINGNPFGVLARQLGFPLHKIRDLCPLYLPDGRPVDTVIDSHLESAFNQLLDKVCKLRQAVIDELSSVDVSLGTALEAFRRAYGFANSSEERMLLNWHLANLEYANAAPLSDLSMVYWDQDDPYEMGGDHCFIPGGNGHFIRALAENIPIFYGRTVTRIQYGCDGVMVYANGQVFRGDMALCTVSLGVLKKGCIEFVPELPQQKQEAIKRLGFGLLNKVLMLFPHDFWGGGIDTFGHLTEDPSDRGEFFLFYSYSSVSGGPLLVALVAGEAAIKFENTSPIKHVERVLGVLRGIFAPKGIEVPEPLQVICTRWGSDKFTYGSYSYVAVGSSGDDYDILAESVGDGRVFFAGEATNRRYPATMHGALLSGFREAANIARASRRRSTIPVMDKVDVMEDPLNLDYLFQTPDFSFGSFSVLYDPYSSGSDSTSLVQVGIGDKNSGSASLFLYCLIPKKIVMDLAEIADDDNRLSMLYRSYGTKLVGRNGLGSAGESLVARIKVARTANLKT >Ma03_p06450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4461411:4465366:-1 gene:Ma03_g06450 transcript:Ma03_t06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEEPDSRNTPAMASSRNTPFPPPAEAAETTPPVDDSETIAPLPDGGGGGGDPPPPAAGRKRRRRKKQFPGMVPTACLRVLRPSSARSASVVYNEKLLDELIQMQINDAGPRRRGRGRPPSSSLRLARELDVEALIAMAVGFPIDSLTEEEIEANVVPVMGGVAQSNYIVVRNHILARWRSNPSVWVTEAHAMESIRSEHRALVSTAYAFLLDHGFINFGLASAVIAAPRRRPPSLPAPTVLVVGAGVAGLAAARHLLSLGFKVAILEGRDRPGGRVFTKKMECCASSTTPGVVAAADLGGSVLTGINGNPFGVLARQLGFPLHKIRDLCPLYLPDGRPVDTVIDSHLESAFNQLLDKVCKLRQAVIDELSSVDVSLGTALEAFRRAYGFANSSEERMLLNWHLANLEYANAAPLSDLSMVYWDQDDPYEMGGDHCFIPGGNGHFIRALAENIPIFYGRTVTRIQYGCDGVMVYANGQVFRGDMALCTVSLGVLKKGCIEFVPELPQQKQEAIKRLGFGLLNKVLMLFPHDFWGGGIDTFGHLTEDPSDRGEFFLFYSYSSVSGGPLLVALVAGEAAIKFENTSPIKHVERVLGVLRGIFAPKGIEVPEPLQVICTRWGSDKFTYGSYSYVAVGSSGDDYDILAESVGDGRVFFAGEATNRRYPATMHGALLSGFREAANIARASRRRSTIPVMDKVDVMEDPLNLDYLFQTPDFSFGSFSVLYDPYSSGSDSTSLVQVGIGDKNSGSASLFLYCLIPKKIVMDLAEIADDDNRLSMLYRSYGTKLVGRNGLGSAGESLVARIKVARTANLKT >Ma03_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4461325:4465366:-1 gene:Ma03_g06450 transcript:Ma03_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEEPDSRNTPAMASSRNTPFPPPAEAAETTPPVDDSETIAPLPDGGGGGGDPPPPAAGRKRRRRKKQFPGMVPTACLRVLRPSSARSASVVYNEKLLDELIQMQINDAGPRRRGRGRPPSSSLRLARELDVEALIAMAVGFPIDSLTEEEIEANVVPVMGGVAQSNYIVVRNHILARWRSNPSVWVTEAHAMESIRSEHRALVSTAYAFLLDHGFINFGLASAVIAAPRRRPPSLPAPTVLVVGAGVAGLAAARHLLSLGFKVAILEGRDRPGGRVFTKKMECCASSTTPGVVAAADLGGSVLTGINGNPFGVLARQLGFPLHKIRDLCPLYLPDGRPVDTVIDSHLESAFNQLLDKVCKLRQAVIDELSSVDVSLGTALEAFRRAYGFANSSEERMLLNWHLANLEYANAAPLSDLSMVYWDQDDPYEMGGDHCFIPGGNGHFIRALAENIPIFYGRTVTRIQYGCDGVMVYANGQVFRGDMALCTVSLGVLKKGCIEFVPELPQQKQEAIKRLGFGLLNKVLMLFPHDFWGGGIDTFGHLTEDPSDRGEFFLFYSYSSVSGGPLLVALVAGEAAIKFENTSPIKHVERVLGVLRGIFAPKGIEVPEPLQVICTRWGSDKFTYGSYSYVAVGSSGDDYDILAESVGDGRVFFAGEATNRRYPATMHGALLSGFREAANIARASRRRSTIPVMDKVDVMEDPLNLDYLFQTPDFSFGSFSVLYDPYSSGSDSTSLVQVGIGDKNSGSASLFLYCLIPKKIVMDLAEIADDDNRLSMLYRSYGTKLVGRNGLGSAGESLVARIKVARTANLKT >Ma01_p03220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2087401:2093055:-1 gene:Ma01_g03220 transcript:Ma01_t03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRSSLNPVLVGGGGASIDPSPLVAGGDDRVRLRGGGPRRPSLRGAARFLRRAGSRRGMREPSLLVREAAAEQLQERQSGWAQSRPVVFLDALWNLAFVAAAGGVLVLSREETPSMPLRLWIGGYALQCVLHIICVSVQFQQRLLQRGVEERARWGRASSGRPSPMEMVEARGYDAEQGHHEETTGVAKYLESANTMFSFLWWIIGFYWVSAGGQALTRDAPQLYWLCIVFLAFDVFFIIFCVALACVIGIAVCCCLPCIIAILYAVADQQEGASDEDIHQLPKYKFRRISNSEKLGAGAQRPVGGSMIECDTDSPIEHVLSAENVECCICLSAYDDGVELRELPCKHHFHRACVDKWLFINATCPLCKYNIAKITNQGGEEV >Ma03_p31840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33902895:33904347:1 gene:Ma03_g31840 transcript:Ma03_t31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQASSRNHRVNGGFREKNALQIGVLVAVCLWLLYRMKCTHDQRKAYEDRFGDDERVAMFGREGLLPDTFEAASLPAEGRTHVVKHEELEKQEHEERSHEAQEQSFKGDDASSEVVHGGHEAEHEERAQAAQERSFEADDASSAVDHVVRVKESESGNILFDPAETTNSSLVHEGTVLEKRPSSRTAPGESDKQEELGMRSRDSSKDEINVRDNYTAISEDWREAERNQSTAVHPTIAVATAEPEVPWQEESDSPETTNDVESIK >Ma08_p33780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43985968:43988019:-1 gene:Ma08_g33780 transcript:Ma08_t33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNLKREKKRRKKVTSIASLQKTISILEKDDKIKVITSKSFYKRIIFHTVFCADLQMSQFCLSDD >Ma08_p33150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43604459:43605056:-1 gene:Ma08_g33150 transcript:Ma08_t33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma10_p05140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15856395:15868171:-1 gene:Ma10_g05140 transcript:Ma10_t05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRPAEDKMLGNSEASQGCPKVTSYSNSQESGDVVQQISVPKNAPVHDPIKANNSMIWERNAVLNQGYSGPFYRQEPYALPYLHTDFVMRQQVQLNAFDSNLYPINYYSFPVGNRFSYMPPVNMFSQSHPQKYQIQEFQYFVVIDFEATCDKDKNPHPQEIIEFPSVLVNSATGQLEAVFQTYVRPAYHQHLSDFCKELTGIQQIQVDRGVLLSEALLMHDKWLEKRGIKHNSFVVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFQEMFQGVRCNLKEAIQLAGLTWEGRAHCGLDDARNTARLLVHLMDMGFKFSITDSLTSQAVEFPIKYEPFRDLLLDQNHYISKSKELVGAPVQFHPFVDPNGKERQTCCYCGVLSSKCVVRKPGPTQGRCFFGCGNWTAARYAVCSYFAWASP >Ma04_p06420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4739559:4741166:-1 gene:Ma04_g06420 transcript:Ma04_t06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISSFLVVLAALALSPLCFAFPYGGPFLYPQFYDHSCPKAQEIVKSIVAKAVAKEARMAASLLRLHFHDCFVKGCDASILLDSSGTIVSEKGSIPNRNSVRGFEVIDEIKSALEKECPHTVSCADVLALAARDSTVLAGGPYWDVPLGRRDSLGASIQGSNNNIPAPNNTFQTILTKFKLKGLDLVDLVALSGSHTIGLSRCTSFRQRLYNQTGKGFPDFTLDPAYAAHLRTRCPRSGGDQNLFPLDFVSPTKFDNSYFRNLMAKTGLLSSDEILFTNNPATMHLVELYAAHNELFFQHFARSMVKMGNITPLTGNKGEIRMNCRKLNHQ >Ma02_p05810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16998940:17003006:1 gene:Ma02_g05810 transcript:Ma02_t05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDIEGILKELPNDGRVPKTKIVCTLGPSSRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETIDNLRIAMQNTQILCAVMLDTKGPEIRTGFLKDGKPIKLKEGQEITITTDYSIKGDENMISMSYKKLPVDLKPGNTILCSDGTITLIVLSCDPEAGTVKCRCQNTATLGERKNVNLPGVVVDLPTLTEKDKEDIMGWGVPNNIDMIAVSFVRKGSDLVTVRQFLGPYAKHIKLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIQAESSLDYEAIFKEMIRSAPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPSVPILSVVVPVLLTTDSFDWSVSDESPARQSLIYRGLIPLLAEGSVKATDSESTEVILQAALKSAVEQNLCEPGDAVVALHRIGVASVIKICIVK >Ma03_p18150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23762023:23762696:1 gene:Ma03_g18150 transcript:Ma03_t18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASHWSVSVIPAVERIFLWDHGVSTSPRIINCSASGSPAHCGTLQRALPSALVRCHRYAGCIPFFDLGIPADCQAYSHNGKTSFSWYVTRVPKINSLWMFSCTNKNLRVLFRKLFSNDQYIASRLARVIVRHFYGKLFFSEISTFLLKI >Ma09_p27770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38694280:38695143:-1 gene:Ma09_g27770 transcript:Ma09_t27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 5 [Source:Projected from Arabidopsis thaliana (AT3G02885) UniProtKB/Swiss-Prot;Acc:Q84J95] MAARLPCTLLLVLLLTLSLTESVRSGSLKPSECNNKCDFRCSATSHKKPCLFFCKECCATCLCVPPGTYGNKEACPCYNNWKTKEGGPKCP >Ma08_p30420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41697107:41697631:-1 gene:Ma08_g30420 transcript:Ma08_t30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPCSKSMPSYAIYRPSASEVDAQPAIDERKRKRMISNRESARRSRMRKQQQLDDLTNQVAQLKTQNGQIEMQISLQRRQYTTVEVENAILRAQLHELTQRLQSLAPVLGITEEIGGMAMGRPEIPAHLLRPWQLPYSERVIVATDNMLPF >Ma01_p03450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2282527:2290066:1 gene:Ma01_g03450 transcript:Ma01_t03450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIESDRWEPKPSAFIVLSAACFASVFLRPYFSKSNAARGSGASSLLDLGPTAPFLRFQRGFLLLYSLASVMDGLESMFGEYEFALYGISREQMTWYLSIGAVAALVFGTFSGVLFDMVGPRKACLLFCILHLFVGVLKSVVRHPTVWISSICLSLASSLFSFCYETWMVMEHEKQGHKQDLLSDTFWLMTFFESLSLIGSQGLANLLVKDLKRRSLSPYVLAALLAILSALCIRKQWSRSYHIISVDSYIKSFSAHVLRDKKILALAWTQASIHFSMSVVWILWAPTLVADGREVNLSMIYPCFIGSRMLGSTVFPWYFSEVKSFGNEDCLTTALGVAGLALLIVAYDYQEIGFLVILFCVFHACVGFSLPSLARLRTMYLPNELRGGMISSSLAPANVAILLFLLKGGYHQNLANSTIMALSAFGLLIAAGCIYKLRSWRKQCRQDWHYT >Ma01_p03450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2282527:2289262:1 gene:Ma01_g03450 transcript:Ma01_t03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIESDRWEPKPSAFIVLSAACFASVFLRPYFSKSNAARGSGASSLLDLGPTAPFLRFQRGFLLLYSLASVMDGLESMFGEYEFALYGISREQMTWYLSIGAVAALVFGTFSGVLFDMVGPRKACLLFCILHLFVGVLKSVVRHPTVWISSICLSLASSLFSFCYETWMVMEHEKQGHKQDLLSDTFWLMTFFESLSLIGSQGLANLLVKDLKRRSLSPYVLAALLAILSALCIRKQWSRSYHIISVDSYIKSFSAHVLRDKKILALAWTQASIHFSMSVVWILWAPTLVADGREVNLSMIYPCFIGSRMLGSTVFPWYFSEVKSFGNEDCLTTALGVAGLALLIVAYDYQEIGFLVILFCVFHACVGFSLPSLARLRTM >Ma11_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19075478:19075657:-1 gene:Ma11_g13920 transcript:Ma11_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMDDLPEYDDQEWLCYSFRLQSKPKTELEADETPQVWAEAIRIGSESVLTLPYVIPF >Ma02_p16400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23779759:23780340:-1 gene:Ma02_g16400 transcript:Ma02_t16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSVVFFCMISALLLLRTPTAVESVTCDPTLLNPCAAAFLFSTRPSSLCCSRIKSQVPCYCQYLNDPSLSGYISGGKKVAAACGVSLPSC >Ma07_p10340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7710102:7712664:-1 gene:Ma07_g10340 transcript:Ma07_t10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRSEEDAVLCAYVKQYGPREWHLVSQRMNVPLLRDAKSCLERWKNYLRPGVKKGPLTEEEQRLVIGLQAKYGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRVVQREISKSVVSSTDPGKYDRILESFAEKLVKEQLMAAPLLPPWLSSNTNGAHRPPPSPSVALTLSPSAAPPARMPWTQAERAVENGPGFVPGFAVVDGRVVADLVECCKELEEGHRAWAAHKKEAAWRLKRVELQLEAEKACKRREMMEEIEAKIRALREEQRAALERIEAEYREQIAGLRREAEAKEHKLTEQWATKHLSLSKFLEQFGCRQWPSVEMNER >Ma07_p10340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7710102:7712656:-1 gene:Ma07_g10340 transcript:Ma07_t10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRSEEDAVLCAYVKQYGPREWHLVSQRMNVPLLRDAKSCLERWKNYLRPGVKKGPLTEEEQRLVIGLQAKYGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRVVQREISKSVVSSTDPGKYDRILESFAEKLVKEQLMAAPLLPPWLSSNTNGAHRPPPSPSVALTLSPSAAPPARMPWTQAERAVENGPGFVPGFAVVDGRVVADLVECCKELEEGHRAWAAHKKEAAWRLKRVELQLEAEKACKRREMMEEIEAKIRALREEQRAALERIEAEYREQIAGLRREAEAKEHKLTEQWATKHLSLSKFLEQFGCRQWPSVEMNER >Ma07_p10340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7710102:7711693:-1 gene:Ma07_g10340 transcript:Ma07_t10340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRSEEDAVLCAYVKQYGPREWHLVSQRMNVPLLRDAKSCLERWKNYLRPGVKKGPLTEEEQRLVIGLQAKYGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRVVQREISKSVVSSTDPGKYDRILESFAEKLVKEQLMAAPLLPPWLSSNTNGAHRPPPSPSVALTLSPSAAPPARMPWTQAERAVENGPGFVPGFAVVDGRVVADLVECCKELEEGHRAWAAHKKEAAWRLKRVELQLEAEKACKRREMMEEIEAKIRALREEQRAALERIEAEYREQIAGLRREAEAKEHKLTEQWATKHLSLSKFLEQFGCRQWPSVEMNER >Ma01_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24746866:24749634:1 gene:Ma01_g22410 transcript:Ma01_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRRAGGGRAWARGSSASSSWFALVAFLCCPLFATVAFSTFRIFGVSFRPVLLPTWQTSVINAVATDHSFLRRESISPTATATATIAAKRGSNNPSSIRIQEAISFPDQVLVFLQFSSSLPRLDLVCLYYPPSNPTTSSSSPVADLRLTAIIPSFPTSFIRCPLAPRGFSISLSPDLPLLKPRPWDHLTYAALLDPHDNSTVVFAKGFNLRPARLSDPSRYECVFGWNFAKPKYLLTSPALTAAQEIIRCNTPPSVLLRFRSQSEPNPLLVSVKTKGRGAMTLPSVARPETLRLSSRKNRHTMCVCTMMRNQARFLPEWIIYHSLIGVERWFIYDNDSDDDIEQVIESLGMSNYVVSRHLWPWVKTQEAGFAHCALRARGYCEWVGFIDVDEFLYLPTNFTLHDVLQNYSSMPWIGELRTACYSFGPSGRKTTPSEGVMVGYTCRLGAPERHKSIVRPEVLNPSLINVVHHFHLKEGMRYVNMEKGLIVINHYKYQVWEVFKEKFYRRVATYVADWQNEENVGSKDRAPGLGTKAVEPSDWSSRFCEVNDTGLRDWVSIAFIDPQTGLLPWQKGRNLI >Ma10_p20280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30504861:30512532:1 gene:Ma10_g20280 transcript:Ma10_t20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRRKLHFGRLYSFACGGSKFDVDHSQIGGPGFSRVAYANEPDCFEAANLNYGSNYVSTTKYTLATFFPKSLFEQFRRVANIFFLFTGCLSFTPLAPYSAVSAILPLIVVIGATMAKEAIEDWRRYQQDLEVNNRKVKIHRGDGKFEFTEWKNLRVGDIVKVEKDNFFPTDLVMLASGYDDGLCYVETMNLDGETNLKLKQALDATSGLQADSSFQNFKAIIKCEDPNASLYTFVGTMEYEEQQYPLSPQQLLLRDSKLRNTDYIYGVVVFTGHDTKVMQNATNPPSKRSKIERKMDKLIYLLLLVLVVISVIGSVIFGIITSDDIQDGKMKRWYLKPDDSSIYYDPKKAAVAAILHFLTAMMLYSYFIPISLYVSIEIVKVLQTIFINQDIQMYHEESDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGHGYTEVERAMARRKGFPLMENEQSNENHEHPKLAVKGFNFDDERIMNGNWVNEPHSDVVRMFFRLLAVCHTAIPEVDEETGKISYEAESPDEAAFVIAARELGFEFYQRTQTNICIRELDPVSGMHVEKSYKLLSVLEFNSTRKRMSVIVQDEEGKLLLLSKGADSVMFERLAQDGREFEDKTKEQMHEYADAGLRTLVLAYRQLDEEEYKSFNEKFMAAKNSVSADRDEKIEEAADSIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIITLDGPEIIRLEKDGNKDAVAKASRDSVIYQINEGKKLLSSSSTESFALIIDGKSLAYALEDDVKNLFLQLAVGCASVICCRSSPKQKALVTRLVKAGTGKVTLGIGDGANDVGMLQEADIGVGISGAEGMQAVMASDVAIAQFRFLERLLLVHGHWCYQRISSMICYFFYKNITFGLTLFLYEAYASFSGQPAYNDWYLSLYNVFFTSLPVIALGVFDQDVSARLCLKFPMLYQEGVQNVLFSWLRILGWMFNGACNGVMIFFFCTTALQHQAFRKGGEVVDFAVLGATMYTCVVWVANCQMALSVSYFTLIQHIFIWGGIALWYLFLLAYGAITPTLSTSAFMVFVEGLAPAPSYWITTLFVVVATLIPFFTYSVIQMRFFPMYHNMIQWLRFDGHADDPEYCQVVRQRSVRPTTVGVSARIDAKVSQLGSRVHHVVHSP >Ma10_p20280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30504861:30512478:1 gene:Ma10_g20280 transcript:Ma10_t20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRRKLHFGRLYSFACGGSKFDVDHSQIGGPGFSRVAYANEPDCFEAANLNYGSNYVSTTKYTLATFFPKSLFEQFRRVANIFFLFTGCLSFTPLAPYSAVSAILPLIVVIGATMAKEAIEDWRRYQQDLEVNNRKVKIHRGDGKFEFTEWKNLRVGDIVKVEKDNFFPTDLVMLASGYDDGLCYVETMNLDGETNLKLKQALDATSGLQADSSFQNFKAIIKCEDPNASLYTFVGTMEYEEQQYPLSPQQLLLRDSKLRNTDYIYGVVVFTGHDTKVMQNATNPPSKRSKIERKMDKLIYLLLLVLVVISVIGSVIFGIITSDDIQDGKMKRWYLKPDDSSIYYDPKKAAVAAILHFLTAMMLYSYFIPISLYVSIEIVKVLQTIFINQDIQMYHEESDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAYGHGYTEVERAMARRKGFPLMENEQSNENHEHPKLAVKGFNFDDERIMNGNWVNEPHSDVVRMFFRLLAVCHTAIPEVDEETGKISYEAESPDEAAFVIAARELGFEFYQRTQTNICIRELDPVSGMHVEKSYKLLSVLEFNSTRKRMSVIVQDEEGKLLLLSKGADSVMFERLAQDGREFEDKTKEQMHEYADAGLRTLVLAYRQLDEEEYKSFNEKFMAAKNSVSADRDEKIEEAADSIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIITLDGPEIIRLEKDGNKDAVAKASRDSVIYQINEGKKLLSSSSTESFALIIDGKSLAYALEDDVKNLFLQLAVGCASVICCRSSPKQKALVTRLVKAGTGKVTLGIGDGANDVGMLQEADIGVGISGAEGMQAVMASDVAIAQFRFLERLLLVHGHWCYQRISSMICYFFYKNITFGLTLFLYEAYASFSGQPAYNDWYLSLYNVFFTSLPVIALGVFDQDVSARLCLKFPMLYQEGVQNVLFSWLRILGWMFNGACNGVMIFFFCTTALQHQAFRKGGEVVDFAVLGATMYTCVVWVANCQMALSVSYFTLIQHIFIWGGIALWYLFLLAYGAITPTLSTSAFMVFVEGLAPAPSYWITTLFVVVATLIPFFTYSVIQMRFFPMYHNMIQWLRFDGHADDPEYCQVVRQRSVRPTTVGVSARIDAKLHSQPSASIRESS >Ma10_p29010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35708629:35715194:1 gene:Ma10_g29010 transcript:Ma10_t29010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGPSRRVTKRSARDNIQSKMVLDVDLNSPPLEIHEQEASSGSRHPAASQGTSASINPRHIYPSGQHQGSAPQPYDVLDSSLIDVDAIEDEVQMLSSPRGFPQARNHSRRNQPVTVVLDEDLDTSSRISEGSATILSLNDHNKHERSSRNISVINCELYPALEEESNVKGKNVMETRPEPAKEPAFICPICMDTLVEPSSTICGHIFCCSCIKASVKSQKKCPTCQRKLSMINFHRVYLPTTTD >Ma10_p29010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35708629:35716455:1 gene:Ma10_g29010 transcript:Ma10_t29010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGPSRRVTKRSARDNIQSKMVLDVDLNSPPLEIHEQEASSGSRHPAASQGTSASINPRHIYPSGQHQGSAPQPYDVLDSSLIDVDAIEDEVQMLSSPRGFPQARNHSRRNQPVTVVLDEDLDTSSRISEGSATILSLNDHNKHERSSRNISVINCELYPALEEESNVKGKNVMETRPEPAKEPAFICPICMDTLVEPSSTICGHIFCCSCIKASVKSQKKCPTCQRKLSMINFHRVYLPTTTD >Ma10_p29010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35708629:35715194:1 gene:Ma10_g29010 transcript:Ma10_t29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGPSRRVTKRSARDNIQSKMVLDVDLNSPPLEIHEQEASSGSRHPAASQGTSASINPRHIYPSGQHQGSAPQPYDVLDSSLIDVDAIEDEVQMLSSPRGFPQARNHSRRNQPVTVVLDEDLDTSSRISEGSATILSLNDHNKHERSSRNISVINCELYPALEEESNVKGKNVMETRPEPAKEPAFICPICMDTLVEPSSTICGHIFCCSCIKASVKSQKKCPTCQRKLSMINFHRVYLPTTTD >Ma11_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26104879:26107831:1 gene:Ma11_g22270 transcript:Ma11_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWNHTFCNELRVAPEEHPILLTEAPLNPKANREKMTQIMFESFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSDVEKSFELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFAGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRGEYEESGPAIVHRKCF >Ma05_p11010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7994153:7995806:-1 gene:Ma05_g11010 transcript:Ma05_t11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLSSPAPVLLLTVHLLFFLFHGGEGATFTFVNRCGETVWPGILSNAGTPQLESTGFELPVASSRSFQAPAGWSGRFWARTGCSSTGGTWSCATGDCGSGQVECNGAGAAPPATLAEFTLAPSSAGQDFYDVSLVDGYNLPMVVEANRRAGASGECAATGCVVDLNRMCPPELRTAQGEACRSACEAFGTPEYCCSGAFASPTTCRPSSYSQMFKAACPRSYSYAFDDPTSTFTCAGEADYTITFCPRSAPSQKASRDSSTSSTSTPKATGGLTLDDDSWLASLATGDATAVRRAVPRLHQSLLLVATTVACTLLLQSYNIIA >Ma07_p23890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31383329:31384660:1 gene:Ma07_g23890 transcript:Ma07_t23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSAISRRSSPSERDMVEEDGEDSPRNGGSSSNSTVEENDRKVAVRQYVRSKNPRLRWTPDLHLCFVHAVERLGGKDRATPKLVLQLMNVKGLSIAHVKSHLQMYRSKNEDSGHANPRNLMQGGQPHAYSLSHLPLLHAFHQRPNSKSRPDDVSWGSHRYWMPNQILARAMATTGSGSYTEMILGEKSRITSNQDTGKGSETQLFHDHTGHKPRETESCYFRTRIEERRQVRREAGDHEPDLALSLHTGPRQEKRPKTWEEEQVDSNLSLALFPPSSSSLDIWSRDDAKASSRSTAG >Ma05_p16490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16815084:16817135:1 gene:Ma05_g16490 transcript:Ma05_t16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26060) UniProtKB/TrEMBL;Acc:F4JBC9] MACTSLPKHPLTFFRAPNPRIPPSSATPSLPRASHSQVYGLRLSTNTTSSLPPPSFPQRMLICAKVSKGDVPPSFTLKDQDGKNVALSKYKGKPVVVYFYPADETPGCTKEACAFRDSYEKFKKGGAEVIGISGDDPSSHKAFAKKYRLPFTLLSDEGNKVRKQWGIPGDLFGTLPGRQTYVLDRKGVVQLVYNNQFQPEKHVEETLKLLQSL >Ma03_p12140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9392060:9396658:1 gene:Ma03_g12140 transcript:Ma03_t12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQASTEDQVWREKNQEKEGYLMSFGGLSDGRSGGALAYGNAAMTAGELSPPQLISQRLPNSAFISPRLSLGLQTNVDGQIDMSRMAGVGGGSDVGSAKRSKDDENESRSESDNLEAISGDDVDQENPRKKKRHHRHTPQQIQELEALFKDCPHPDEKQRLELSRRLCLESRQVKFWFQNRRTQMKTQIERFENTKLRQENDKMRAANMAIREATQSAACKNCGGPVMLGNVSVERLQLRLENARLKEELDRLCAIAKKFLGKSVSSLAGHISPNMPSSVLEIGVGNNIFAGFNSVVAPTISALPDYLPGFSSNPLDAVAPTMGKNMEALLGSDRSMLLELALAAMEELMSMAQMEEPLWLASSQGGPKALNYEQYRRTFRPIAGISPVGSVSEASRETRIVSIDSVALVETLMDASRWVHMFPSIVAKATTTELISSGVSGTRDGALQLMQAELHVLSPLVAVREVSFLRFCKQHAEGVWAVVDVAVDGIRADLSSANCRRLPSGCLVQDMPDGYSKVTWVEHAEYDEGQVHHLYRPLLRSGQAFGAGRWVATLQRQCECLATLVSSTAVARDETASITASGQRSMLKLARRMTNAFCAGVCASPAHGWSKLASETIGEDVRVMSRMSVNVPGEPAGLVLSVATSVWIPAPPKRLFDFLCETRFRSKWDILSNGGPMCEMAHIAKGQEAANRVSLIWATATNASQTSMMLLQETYTDASGAMLVYAPVDIPAMHLVMNGGDSAHVALLPSGFAIVPDGAGYRGELTEEHHSDGAATAGSGSLLTIGFQILVNGQPTEKLTAETVDTVNGLISCTVQKIKAAVHSEI >Ma08_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3121973:3126872:1 gene:Ma08_g04490 transcript:Ma08_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDGRSESSNYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYIVQAKLGWGHFSTVWLAWDTVHSRYVALKVQKSAQHYTEAAMDEIKILKQIAEGDADDTRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTDYRGIPLSKVKEICRYVLIGLDYLHRDLSIIHTDLKPENILLKSSIDPNKDPLRSGAPLILSTNKSEEPLPASPARSNGELTQNQKKKIRRKAKNAAAATSRSAATMAGDADGSEDNGDSRVVNDCGGSGETHSGAPQGHRRGIRATRKRLAMEVDLWCKIVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADMWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLMEKYEFSEQDANDMADFLVPILDFIPDKRPTACQLLQHPWIDAGPRTQEPSLSVSNQNQSSKHGDSEKQKVEKDEREAMAVGLGNIAIDVSSNSVKEPQPNSKPTEANVTSSR >Ma03_p31990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34003218:34008323:1 gene:Ma03_g31990 transcript:Ma03_t31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGNECPPENQEKKPREGGGGWGFSSFSIFSNLQKAAEEISINAVEAIKNAGTTDLQSMDPDAAQEQERKGGEDESENNKLRKSALDRLEKASDDSLFGQGLKVLDNSVETFAFGAWSALGNAWKGGSRLVGRLEHSAANLADSMQHGDLPGKATSLAPSIIETGKTFTTKGMEVLERVGKETMDLLIVETGLEVEKDPVNQQADEEEFEEVTFDRCFYIYGGPDLLEELEALSSHHVLLFNRRKTKLLAEQKSLYNAKLLQVQKFFSLGTIAEENGVDPDIGNVIETTAGDSDTEMKRLCDSSVKRAADIAAGFTSSLGGLTEDDIIQRATGRLETIHSECIHRLSELCCSAISQLLALGKSVISSANKAKNEEISGDSLKIDWPEDSALKAKVIRYKAQSMTGDMETVSNSFITGISDILEAYLTVIQSVSSDKQVGLPHTSVQEKANVITNHFRAGQTSAVEKIQDALHYLTYVVLSTSMPTV >Ma04_p15230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11546953:11548234:1 gene:Ma04_g15230 transcript:Ma04_t15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSNQNPHPKLKTQPRALFSCGIFGNCSQSVLSPTTPPPSSATFLASASPPPAATPPPPSASTPPPPPQQSVASDPPRPAQPGRPPPSSSSSSSSSSFTSQSFTQWRFPLHHHDQQQLPPDTERPPPALDTCAAASFNLAEVFHAAELQFATGVSLPALRLLERSLAPGTAPSPAPCPPKVMAGVVAALRVPASARPAAKVLLALLLADHNRRTAVETGAASAAIEAVVASGPAGATAERALAALELLCRVAEGAAEVRAHSATSAALAGAVEGMAGRGRECAIGVMAAIYGGPAAGSAPPEVGRAVVVAMQGECSSRGRRKGAQLLRAMQECGRLELPTDGC >Ma01_p09680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6939396:6940731:-1 gene:Ma01_g09680 transcript:Ma01_t09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVSVMVLQKDGVWVGHLLSGYALPMEDPNQQKEDKGEKGIGGAWKQAIKVSYEATKTAFPGGEVIAHLDHKSFKSWQRNAVTNFLTEESIKMGKPEDFF >Ma05_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34080061:34085457:1 gene:Ma05_g22340 transcript:Ma05_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLSFAVASDRRRWFGPVPAAVIRVVVPLLLAALAFAVFILVVVHNAVLLVSVLVLSALILAFFLWNAAAYRRKLSLDLFLDRFPDTDLLSAKDSQLVKVTGRMATDFYITDVKSGLRALVKAGQGSKVIPLIEENILVNITSLNRELSSTLKKWLQGRRLSCEARLLRLEEGYVIEGTCLTVMGMLSRKNGVLVIVPLPETISTDCLLQKFLLPVDIDGLLLKTANMSTANIRLS >Ma05_p22340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34080061:34085520:1 gene:Ma05_g22340 transcript:Ma05_t22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLSFAVASDRRRWFGPVPAAVIRVVVPLLLAALAFAVFILVVVHNAVLLVSVLVLSALILAFFLWNAAAYRRKLSLDLFLDRFPDTDLLSAKDSQLVKVTGFASCGDLSLESSYEKAGRCVYTSTILYECCGCHPKQAHVSHQCFQWNLAFVERMATDFYITDVKSGLRALVKAGQGSKVIPLIEENILVNITSLNRELSSTLKKWLQGRRLSCEARLLRLEEGYVIEGTCLTVMGMLSRKNGVLVIVPLPETISTDCLLQKFLLPVDIDGLLLKTANMSTANIRLS >Ma06_p01520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1239743:1253157:-1 gene:Ma06_g01520 transcript:Ma06_t01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MFGDCGLRFPFLRRCIGGCRRRAAADLDPDLDPVVLVTGVGGSILNARNRKSGSIIRVWVRILLANFEFKKYLWSLYNPDTGYTESLNVDDEIVVPEDDYGLQAIDILDPSLWAKLLRLTDVYQFHDMIDMLIGCGYEKGTTLFGFGYDFRQSNRIDKTMDGLKQKLETAYKASGGKKVNIISHSMGGLLVKCFMSLHNDDFSKYVNKWICIACPFQGAPGCIYDSLLTGLQFVYGFESFFFVSRWTMHQLLIECPSIYEMLPNSGFKWKKKPLIQVWRKLSEEKENVKLEEYDPATCISFFEEALKNNELKYNGKSISIPFNFSILKWAARTRKIIDEAQLPRSVSFYNIFGTSFDSPYDVCYGSETSPIGDLSKVCHTLPEYSYVDGDGTVPSESAKADGFAATARVGIKGSHRGLLNDEKVFQLLKQWLGVTEKSRHLSTSKVMDINPDVTLAHLSPKDIL >Ma08_p22140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35817701:35819165:-1 gene:Ma08_g22140 transcript:Ma08_t22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQVVIGVSTLPPSENRDGYYTEAATGVVGQAQSPPPRAVESDEEQREWMKKTRGWLMVVSTLVGSAAFLGGIGRLRSEKLQDMQHTRVGKAYLFAISLAFGFSMGTIIILLLKAVPNTLEIKALLRILRAFIILAMCALGLAFSLASYGKLLWACFFFAALLVFFLVVGTLTWCSNREFKLLRRLFTWSATRHHVEPSAQANGQQYMGSSPGNVGFSHA >Ma06_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13020068:13021561:1 gene:Ma06_g19030 transcript:Ma06_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSASAGSGDAKACPRGHWRPGEDERLRQLVQQFGPQNWNSIAEKLKGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAQQVQGNKWALIARLFPGRTDNAVKNHWHVIMARRHRERSKLFRKSAIFPDQIHPVSAPQEGSHRRHLRFGSDSDFRVVSPSGFMLWRAFSGSRDYPSTPKCSSGVLLDSSQLHGRSLRPHHQAYRSLSVDANCSDYAVSARDMARDGSDSANSRAISEQINDRSKKVAFIDFLGVGITS >Ma09_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32068764:32072023:1 gene:Ma09_g21230 transcript:Ma09_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVPYARRLWVQRLAQRRPYACRLPPLGWCSWRWRLWRSTSPQLAYLRREGQGEPRATVVAQRCVDHQDLRQVL >Ma08_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16772542:16784418:-1 gene:Ma08_g16030 transcript:Ma08_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGFDDVELSLEQIYSLLRVVAAAGSCCPLGKKLLDLWYKKACSAARKDYCRLQLEGELPQAPLKAVRDDRFLEESLQHRYPDDPFDRIWESDTVRRPNYLVDVAPGTETISTDMPVDVSSGERPPEKVMQTAVLGRNGSLTYRLDLDGFPGNGWAFSYFAEIEDFGSNETRKFKLIIPGLPEFSKPTVNVQENAQGKYRLYEPGYTNVSFPFVFSFAFKKTNDSSRGPILNALEIYKYLEINYGSRDATVMASLISHYPLADWAKEGGDPCLPVPWSWLQCSSDPQPTIVSINLSGKNLTGNFPLELTKLTGLVELWLDENSLSGTIPDFSECLNLKTIHLENNELTGVLPSSLGDLPSLRELYLQNNKLSGVVPKGLLHKNIFLNYSGNEGLHEGKKNVNRMVLIICSVLGASLLLVISILCYLFLNKTRKNFSKENANSVQPAQKLSTFFSEVSAESAHRFALSEIEGATSKFEKKIGSGGFGIVYYGKLADGKEIAVKVLTNDSFQGIREFLNEVTLLSRIHHRNLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGPSARERTISWINRLEIAEDAAKGIEYLHTGCFPTIIHRDLKSSNILLDNHIRAKVSDFGLSKHAVDGSHVSSMVRGTVGYLDPEYYVSQQLTEKSDIYSFGVILLELISGQEPISSESFGLNCRNIVAWAKSFIESGNVEAVVDPALQDDYDLQSVWKIAEIAIMCVKPHGAQRPSISEVLKEIQQAIAIQHGSELDGNCVMERDSKKPVGTSMNVDHPLNLPTYQNASFPELFVQPDLR >Ma08_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24432409:24433515:1 gene:Ma08_g17320 transcript:Ma08_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQAALSPCADLVFLTKKRKRGDGEDHAEALFAEETKPVKAEEKEEEIELNLDAPLPLDWQRCLDIKSGQIHFYNTRTHRRTSRHPSKLSSEPPPPPSSRLSLDLDLNLMSPRSHLHEAEAEQMKHGKARNSCMLRTSDEADPGEMVASVCMRCHMLVMMTKAALSCPNCKFVHPPDRSWSALIKPALKLLCCKD >Ma07_p09670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7257407:7264246:1 gene:Ma07_g09670 transcript:Ma07_t09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTAMEEDPHSNVSSSRDRYAEFMKDSCCARYFLGPNPSIARFVYALIFLVTCLLAWTVRDYGRNALSELERLKGCHGARYCLGAEGVLRVSFGCFLFFFVMFLSTMGTKKLEDSRNLWHSEWWPAKIIIWIVFMVVPFFIPSAFIQLYGKFAHFGAGAFLMIQLISVISFITWLNDCCQSEKYAKRCQYQVMVLSATAYVSSISGIILMYIWYVPSLSCSLNILFITLTLVLLQLMILTSMHAKVKVGFLAPGLMGMYVVYLCWSAIRSEPQTEICNKKTEVVTSADWLTIVSFVIAVLAIVIATFSTGIDSKCFQFKKTEAESEDDVPYGYGFFHFVFAMGAMYFAMLFVGWNAQNTMQKWTIDVGWASTWVRIVNEWVATLVYIWMLVAPLVWKSRRQADPV >Ma07_p09670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7257407:7264246:1 gene:Ma07_g09670 transcript:Ma07_t09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTAMEEDPHSNVSSSRDRYAEFMKDSCCARYFLGPNPSIARFVYALIFLVTCLLAWTVRDYGRNALSELERLKGCHGARYCLGAEGVLRVSFGCFLFFFVMFLSTMGTKKLEDSRNLWHSEWWPAKIIIWIVFMVVPFFIPSAFIQLYGKFAHFGAGAFLMIQLISVISFITWLNDCCQSEKYAKRCQYQVMVLSATAYVSSISGIILMYIWYVPSLSCSLNILFITLTLVLLQLMILTSMHAKVKVGFLAPGLMGMYVVYLCWSAIRSEPQTEICNKKTEVVTSADWLTIVSFVIAVLAIVIATFSTGIDSKCFQFKKTEAESEDDVPYGYGFFHFVFAMGAMYFAMLFVGWNAQNTMQKWTIDVGWASTWVRIVNEWVATLVYIWMLVAPLVWKSRRQADPV >Ma03_p33190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34626959:34627327:1 gene:Ma03_g33190 transcript:Ma03_t33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSLDFSSSCDCSLFRWGPRKWRRLDAGFSSFRFLYVSVFQVAKDRCEVFSGSILVCVCFQVTKDRCEVFFVSILVCVCFQVAKDRCEVFLGSILVCVCFQVATDRCAVFFVSILVCVFFA >Ma10_p13720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26424212:26426940:-1 gene:Ma10_g13720 transcript:Ma10_t13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASEDAVVIQPAKRAGEPTVVTVNCPDQTGLGCDLCRAILDFGLYITRGDVSTDGKWCYLVFWVVPRSTSSNIQWPSLKNRLLSLCPPCSIPFYFDMANRPMTSQMYLLKLFSVDRKGLLHDVTKVLCELELTIHRVKVSTTPDGRVVDLFFITDAVELLHTKARQDDTCGRLSAVLGESMNSCEIELAESFQQGFSSLPPAALEELFRLEMSGGEVCSQTLSPEMKRLKKADVNIDNSLSHSHTLIQIHCVDQKGLLYDILRTLKDCSIQVAYGRFLSDKRGSREVDLFVQQSDGKKVIDPDKQDILSSRLKLEMLHPLRVVIANRGPDAELLVANPVELCGKGRPRVFHDVTLALKLLGICVFSAEIGRHTAFGRQWEVYRFLLDDSGELPPANSQARSQIVDRVRRTMMGW >Ma02_p01790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:11956750:11957795:-1 gene:Ma02_g01790 transcript:Ma02_t01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGGIKEVEGIANSAETEQLARFAVDEHNKKENALLEFVRVVEAKEQVVAGTLHHLTVEAVDAGKKKVYEAKVWVKPWLNFKELQEFRHAADSA >Ma09_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3075816:3077291:-1 gene:Ma09_g04760 transcript:Ma09_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDILSQVFDACKLARDLESCLRLSTCPIDPRFLLGSCEDIAGAFSNAVHVIRSQTPSSCTSQLLFREASGEGYMQAIHLLRQGDHPFCAAGPSANDMAFSGLPHLVPEIQSTGAMGGSSYANTPDTDVDALVGGRGEAPVAPSGRTADGSLQQRSCRKRWDPQPRDQRKEGTVTQRVPVLRTGNMEIPPNDGYKWRKYGQKKILNSSFPSDFGRSYYHCNHKSYYRCDAKKMVQRSDDDPYTFQVTYCGSHTCHTSPTPKLIPTLVPSGSVNDNDDGGNGNPQGAPLGLEAMHPVASQSTPIHLQNWLEGNPSSTGALLVAHSGVSSRSSRQVAQVQGGRDIDCSVADALLNTASSGSSMDVIVSPTHGE >Ma06_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20962922:20963672:1 gene:Ma06_g23210 transcript:Ma06_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLKQRCHGVYLRRDEDDEELFDSDPFSSNSDDEDETPSTPDPSSLSPHLNSDRPLYELPSLMEQLPIKRGLSKYYQGKSQSYTSLSVVNSIEDLPKKETAYRRKMKACRSYAGGMDSSHKSAHSPGHCSKTISKKVVPRVTSCASLMTKSSSSCLLCSSKPPRIPVHKNPCPH >Ma02_p07420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18095224:18101630:-1 gene:Ma02_g07420 transcript:Ma02_t07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSKHRRSHRSSYDNGRSHRSSYDNGHSSSGYTPRYSSSSANYVQPETVNRLQRKYSRINDDYQTLNQVTEALAEAGLESSNLIVGIDFTKSNEWTGKVSFNRRCLHDIGNTPNPYEQAISIIGRTLSTFDEDNLIPCFGFGDASTHDQEVFSFYPENQPCNGFEEALGRYKELIPNVRLAGPTSFAPIIETAIGIVDNTGGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTINAIVKASDYPLSIVLVGVGDGPWEMMREFDDNIPSRAFDNFQFVNFTEIMSRNIPASRKETEFALAALMEIPSQYKATIDLQLLGRRRGVTERVCLPPPSRNHYSRSTSFEQGPGITRSAQSVSYESSVIEEKLICPICLWKSKDLAFGCGHQTCYECGKELQRCPICQANISTRIRLY >Ma02_p07420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18095224:18101631:-1 gene:Ma02_g07420 transcript:Ma02_t07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSKHRRSHRSSYDNGRSHRSSYDNGHSSSGYTPRYSSSSANYVQPETVNRLQRKYSRINDDYQTLNQVTEALAEAGLESSNLIVGIDFTKSNEWTGKVSFNRRCLHDIGNTPNPYEQAISIIGRTLSTFDEDNLIPCFGFGDASTHDQEVFSFYPENQPCNGFEEALGRYKELIPNVRLAGPTSFAPIIETAIGIVDNTGGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTINAIVKASDYPLSIVLVGVGDGPWEMMREFDDNIPSRAFDNFQFVNFTEIMSRNIPASRKETEFALAALMEIPSQYKATIDLQLLGRRRGVTERVCLPPPSRNHYSRSTSFEQGPGITRSAQSVSYESSVIEEKLICPICLWKSKDLAFGCGHQTCYECGKELQRCPICQANISTRIRLY >Ma10_p26550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34196876:34198438:-1 gene:Ma10_g26550 transcript:Ma10_t26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAFISVASLLALLAPAAARIPGVYTGGQWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVETAALSTALFDEGQSCGACFEIKCADDPRWCHPGSPSIFITATNFCPPNYALAPDNGGWCNPPRPHFDLSMPMFLKIAEYRAGIVPVSYRRVPCRRSGGIRFTINGFQYFNLVLITNVAGAGDIVRASVKGSRTGWMPMSRNWGQNWQSNAVLVGQPLSFRVTGSDRRTSTSWNIVPSNWQFGQTFEGKNYRA >Ma06_p08690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6117823:6118122:1 gene:Ma06_g08690 transcript:Ma06_t08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVKKACDLLGRKKRDRPNDGFEERVVQVRRVTEVVVKGGKNLPFRVIVVVGDKKMQVGVGVGKAKEVVDAIAKSAVNDLRNIVSVPVTKYLTFPHR >Ma11_p03030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2221824:2231522:-1 gene:Ma11_g03030 transcript:Ma11_t03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQIQEWKRYYINYKLMKKRLKQFVQQTQAGREDRRQVIKEFSKMLDDQIEKIVLFVLEQQGLLASRIQELGEQHKVLLEKPDISQIFELQEAYRTTGYDLLKLLRFVDMNATGLRKILKKFDKRFHSRFTDYYVSSRASHPYSQLQQVFKHVGIGAVVGALSRHLADLQDHQGSYSSIYDQPSRVLKDPVIDQINASVDKLTHSTNFLQFLGQHALILQEDIPTTAEDHADDHKYHFISLILNLTNTFLYMVNTYIIVPTADDYALSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNILYALAYDFKSIVILLIGRLLCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYTLTFNQNTLPGWLMALAWLIYLLFLWISFREPVHEAEENHIPASAHAEHVETENLEDGLVQPLLLSSKDKLDEDEDQDFEDSEESSEDSHKPVTSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITAIYFSWSTSKVAIFLAVLGLTVLPVNAVVGSYISNMFEDRQILLASELMVLVGIMLSFHFTNLYSVPQYVSSALIVFVSAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVFADGTITIAGYLGDDQLLNATLFPSLLICLASIVATFLTYSTLY >Ma04_p22420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24762405:24767003:1 gene:Ma04_g22420 transcript:Ma04_t22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g35020 [Source:Projected from Arabidopsis thaliana (AT4G35020) UniProtKB/TrEMBL;Acc:Q5PNX9] MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAMPISTAQGEELRKLIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPKQRRKKKRKTQNTCSIL >Ma03_p19760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25013120:25024745:-1 gene:Ma03_g19760 transcript:Ma03_t19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEVMGSVNGDRVDEQSVVEVRTDQRSEASEIAGLVIEAGNVDASALVGRYVSKETPGVKRPLVGKVASYDHGSGLYTVVYEDGNRENLDRLQVSKIVIAEDTRVGSNMKLSCRKRKLDLLVSSGSQDTNVPPPRTRSRKNAPCASDGADTSSHGRTDSDLSEDADSSSNSCDYVQGPSSVASPEIHMLELPPSSGDILISEESISYLFSVYSFLRSFNIQLFLSPFGLDEFVGSLKCTVQNSLLDAIHLSLMRALRHHLQVLSSEGLELASKCLRYYDWSLLDALTWPAFVVEYLYIMGYMRGLDGKEYGAMLSNGEYYSLPVTIKLKVLQILCDDVMDSAELRTELEMRENLDDDVEDGTDINFPLGDGPRNVHSRSLKNSASRSMCALQDSKEPPKQIPSSKVTESNADVSSADTDGNSDECQLCGMDGTLICCDGCPSAYHSRCIGLNKAFLPDGPWFCPECTINKLGPTSFRVGRSIKGAEALGVDVCGRLFLGTCNYLLVIGTSLNAEPFYRYYNQTDVTKVLNLMSSVAENSSSYAHISTEISKYWEVPASTLEAGQIKPVGNSLANAETFKHGALFSITLIKRSIDNVTGGNHIYTDRESGADVEAGVRSSVLNSVNQFSFPEQQESAKEKCNDATDKTELASHGILLFPSDEQFSSKVISETVQKIFPDTHTRSSKQLGNGSMMTTMSFSNLPYASQRSIVPDISSCASTNEYGMCRAAAGSSYFSNKNDAVGAFGGGRHGSQYSVVQERNDKASNNRVFFNPQSYVNQYIHGDIAASAAANLAILTTEEKRFSDAHISSNSRKTVAASIALQMKAFSRATMYFLWPTYEKKLMDIPRERCGWCIACKGSITSKKGCFLNLAATNAIKGSARNISGLRPTKHEETHFPVIAAHIANMETTLHGLIVGSFLDTQYKLQWRKLVRESSSCRVLKFLLLELEKNIRGIAFSGGWFKLIIDGPSGFSALSGTSRSGPSQKRGPGRRSKKQYASSESAVVSSEDSGKDVQWWRGGKFSEVILQNGTLPSSLVRKAARQGGFRRIPGICYPESVDLPRRSRQLAWRAAVQMCKNASQLALQVRYLDSHIRWRDLVPPEQTSVDGKGLDGDALAFRNAVICDKRVAEHKMMYALTFSNQKHIPLRVMKNVLEKETINNEYSKLWFSENHIPLYLIKEYEEKIGGKPLSGSMTLGSNVQPKFRKKQVKSRRGDIFSYLAHKGDKPSSTSCASCKEDVILRDATTCSICQGKCHKDCAIPLIERKGTNLAYNITCKICYHAKTAALNASRKEILNSQLPLRRQDQLMSGNKFMPQMTAPCSSGSTGKAEVQGTRSSKLEGNNKRRTCLSYGLIWKRKKGDDSGKNFRLENIILKCKEGINPPRNPTCCLCNSPYHSNLMYIRCEKCLSKCTVDTSIYLFLKLSSLSSHTYLLFADWYHADALELEEAQIFDLVGFKCCRCRRKASPKCPYLKTDSKKLEPEHIDKPNTLEGSMSDLPLLTHSSNPVSHTADGDMVVVNGDPLLHSLGVVEPLPVQTLETGAQSQQKLSVRRPHLLHATDLCFESQSPERNDISHEIDDYDFSMTNDEIFANSSDMVSSYDLQESGGCAAVSVDDADCVYQWPDQMCGSIEDAEYEPQTYFSFTELLASDDDQLHVSDNNMNAVEIGFSSSCGEVRSFEAPAFDGLGSEEVHSTGEELVVKETTFNGVACDICKLAHPSPDLSCEICGQHIHSHCSPWVESEQPSSDANWRCGRCRDWR >Ma03_p19760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25013120:25024745:-1 gene:Ma03_g19760 transcript:Ma03_t19760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEVMGSVNGDRVDEQSVVEVRTDQRSEASEIAGLVIEAGNVDASALVGRYVSKETPGVKRPLVGKVASYDHGSGLYTVVYEDGNRENLDRLQVSKIVIAEDTRVGSNMKLSCRKRKLDLLVSSGSQDTNVPPPRTRSRKNAPCASDGADTSSHGRTDSDLSEDADSSSNSCDYVQGPSSVASPEIHMLELPPSSGDILISEESISYLFSVYSFLRSFNIQLFLSPFGLDEFVGSLKCTVQNSLLDAIHLSLMRALRHHLQVLSSEGLELASKCLRYYDWSLLDALTWPAFVVEYLYIMGYMRGLDGKEYGAMLSNGEYYSLPVTIKLKVLQILCDDVMDSAELRTELEMRENLDDDVEDGTDINFPLGDGPRNVHSRSLKNSASRSMCALQDSKEPPKQIPSSKVTESNADVSSADTDGNSDECQLCGMDGTLICCDGCPSAYHSRCIGLNKAFLPDGPWFCPECTINKLGPTSFRVGRSIKGAEALGVDVCGRLFLGTCNYLLVIGTSLNAEPFYRYYNQTDVTKVLNLMSSVAENSSSYAHISTEISKYWEVPASTLEAGQIKPVGGNHIYTDRESGADVEAGVRSSVLNSVNQFSFPEQQESAKEKCNDATDKTELASHGILLFPSDEQFSSKVISETVQKIFPDTHTRSSKQLGNGSMMTTMSFSNLPYASQRSIVPDISSCASTNEYGMCRAAAGSSYFSNKNDAVGAFGGGRHGSQYSVVQERNDKASNNRVFFNPQSYVNQYIHGDIAASAAANLAILTTEEKRFSDAHISSNSRKTVAASIALQMKAFSRATMYFLWPTYEKKLMDIPRERCGWCIACKGSITSKKGCFLNLAATNAIKGSARNISGLRPTKHEETHFPVIAAHIANMETTLHGLIVGSFLDTQYKLQWRKLVRESSSCRVLKFLLLELEKNIRGIAFSGGWFKLIIDGPSGFSALSGTSRSGPSQKRGPGRRSKKQYASSESAVVSSEDSGKDVQWWRGGKFSEVILQNGTLPSSLVRKAARQGGFRRIPGICYPESVDLPRRSRQLAWRAAVQMCKNASQLALQVRYLDSHIRWRDLVPPEQTSVDGKGLDGDALAFRNAVICDKRVAEHKMMYALTFSNQKHIPLRVMKNVLEKETINNEYSKLWFSENHIPLYLIKEYEEKIGGKPLSGSMTLGSNVQPKFRKKQVKSRRGDIFSYLAHKGDKPSSTSCASCKEDVILRDATTCSICQGKCHKDCAIPLIERKGTNLAYNITCKICYHAKTAALNASRKEILNSQLPLRRQDQLMSGNKFMPQMTAPCSSGSTGKAEVQGTRSSKLEGNNKRRTCLSYGLIWKRKKGDDSGKNFRLENIILKCKEGINPPRNPTCCLCNSPYHSNLMYIRCEKCLSKCTVDTSIYLFLKLSSLSSHTYLLFADWYHADALELEEAQIFDLVGFKCCRCRRKASPKCPYLKTDSKKLEPEHIDKPNTLEGSMSDLPLLTHSSNPVSHTADGDMVVVNGDPLLHSLGVVEPLPVQTLETGAQSQQKLSVRRPHLLHATDLCFESQSPERNDISHEIDDYDFSMTNDEIFANSSDMVSSYDLQESGGCAAVSVDDADCVYQWPDQMCGSIEDAEYEPQTYFSFTELLASDDDQLHVSDNNMNAVEIGFSSSCGEVRSFEAPAFDGLGSEEVHSTGEELVVKETTFNGVACDICKLAHPSPDLSCEICGQHIHSHCSPWVESEQPSSDANWRCGRCRDWR >Ma08_p17580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:26160691:26162881:-1 gene:Ma08_g17580 transcript:Ma08_t17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRGRRENGMMSREERVALLRGEGKEDRVLVRRCLIESKKLWRVVGPAAFSRVVTYSLNVITQAFAGHLGDLELASISIANTVVVGFSFGLMLGMASALETLCGQAFGARKYHMLGVYMQRSWIVLFICAVLLLPMYFFATPILTLIGQPPALAAQAGEVSMWFIPLHFSFAFLFPLQKFLQCQLKNSISATVSAVALVVHLFVTWLFVSKLQLGLIGTTLTLNFSWWVAVFCLLGYVTCGGCPHTWKGFSMEAFAGLWEFIKLSAASGIMLCLENWYYRILILLTGNLNNAEVAVDAISICMSINGWELMIPLAFLAGTGVRVANELGAGNGKGARFATIVSVTTSSVIGLFFCVSIMLLHDKFAFIYTSSSVVLEAVDKLSVLLAFTIILNSVQPVLSGVAVGSGWQALVAYVNIGTYYFVGIPVGILLGWVFRLGVLGIWAGMIGGTAVQTVILAIITIRCDWDKEAAIANARVEKWSGPNKP >Ma06_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:581736:591500:1 gene:Ma06_g00750 transcript:Ma06_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDNMPRLSVIGRLQHCTSTACRPRVDEMEMSNCLIEGRECSSSNSCTEEYGWRRKPRDMFSRDSLIWKTSRNDRNQMIFNKFFRGMPRYVKIVEVGPRDGLQNEKVSVPTAVKVELIQKLASCGLSVIEASSFVSPKWVPQLADAKDVMQGIKDVHGARFPVLTPNLKGFEAAIAAGAKEVAIFASASESFSKSNINCSIEESLTRYHKVVTAAKKLAVPVRGYVSCVVGCPVEGAVPAEKVAYVAKELYDMGCCEISLGDTIGVGTPGTVIPMLEAVMSLIPVDKIAVHFHDTYGQSLSNILVALQMGISVIDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLGKLMAVGDFICTHLGRQSGSKTATALSKITADASKI >Ma10_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31992137:31994432:-1 gene:Ma10_g22750 transcript:Ma10_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIAGPGDKPMIVVQYKGEEKQFSPEEISSMVLTKMKEIAEAYLGTTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKAGSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHRKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSSVTRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVACGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVTAEDKTTGHKNKITITNDKGRLSKEEIEKMVRESEKYKADDEEHKKKVEAKNALENYAYNMRNTIRDDKISSKLSPGDKKKIEDAIDETIKWLDNNQLAEADEFEDKMKELESLCNPIIAKMYQNGGAGMDEGRAKGGATAGAGGAGPTIEEVD >Ma08_p25540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38330317:38331552:-1 gene:Ma08_g25540 transcript:Ma08_t25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQPSLPPPLTPPVTKHSRIDLGELKSQIAKQLDQEQANRYFGYLNGLLSQKLSKHEFNKFCIMTLGHENLPLHNQLIRSILLNACQAKAQLSVNHGRFAHRPTGIMSKNSPESDDGLGFSQALTCSHTWSNGDILPWSNDKFRGGSDNNRIRDCPSPLGQNRRVEVEAVLGENGDLSSCYLKRSRVHELPVKRARIEEPSLLDQGSVHYKVLAEVVPPKYGENMDHRGDLDSFRGPLQAPLGNLFCSANTTGARRRFLSAVTALTDSFCRNYDCSELCDTEILKKRMEKMAQSQGLEGVTMDCANLLNSWLDAYLKQLVKLCIELVGAGTGHVLTKQAVSNLVPYAKPINGVWARNDIQVQGTVGSLEGTHVLSMKDFRRAMELNPQQLGGDWSLLLEKICVHSFEE >Ma11_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25870484:25873684:1 gene:Ma11_g21890 transcript:Ma11_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREHEVRVNRTTVADEASLTGAVKDLEAGESWPSRGGPDAAPVNRLSSREKDSLAAVCDAFLPSIHVPHAPHQSLRTYYANSASMIGTPEVVGGYLSERIQHPRLWQLRLALWLLSTWFGTFILCGTNSLSCRFPFFRSFPEVEAAGREDIIRSWSMSCIFLLRVLYKGFKALVVLFYFTQLNEKNENPAWEAIGYVGPDPRRAIKSSSEEEEGARDGPLYKALVHMAGPMDVLCTSLSRAGLSLVTSQSQPDGLKSKPLPTIYCDAVVVGSGSGGGVVAGVLAKAGFKVVVLEKGGYHARNNLTLLERHTLDHMYEGCGVLTTEDLGVFVLSGSTVGGGSAVNWSASIRTPDHVISEWRHEHGLELFDSDVYAEALDAVSGRMGVQCEVRHESLANAVLRRGCAELGYPVQTVGQNAPPDHDCGWCCFGCRDGSKKGTSETWLVDMAESGNGVIIPGSRALRVLHADAGRNKNVAAGVVFEFRDGWRGKKERCIIRSKVTVVACGALNTPVLLKKSGLRNANIGRHLHLHPVVMSWGYFPESDSRWQPSKENQEKITSYEGAILTTMSTVVSNFATSGYGAVIQTPALHPGLFSVATPWLSGADYKDRMARFSRTTHIFALARDRGSGTVDASGCIRYRMGAADEKNLQRGLEKILRIMAAAGAEEIGTQHCHGDRLNVRSASSHQFERFVKATSGKGLMDLLTPVYSAHQMGSCRMGIHPRTSAVNSRGETWEVEGLFVADASVLPTALGVNPMVTVQAIAYCTAHSVLEELRRRTKRENNHKN >Ma11_p07180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5751130:5758660:-1 gene:Ma11_g07180 transcript:Ma11_t07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWLRSCLQSVLKLVNSVMGLAGMGVILYSLWMLRSWYKHFDGSSFAGAVSSPPWFIYAFLGLGIYLCLITCSGHIAAETANGQCLSCYMAFVFLLVMMEAALIADIFLNREWEEDFPEDPTGKFDELKNFVRSNFEMCKWIGLLVVAAQAFSIFTAMVLRALGPDSSIDYDSDDDFVPARLPLLRNEVQQTYQTAAPSLSLKIDS >Ma04_p12900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9764062:9765387:-1 gene:Ma04_g12900 transcript:Ma04_t12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLLLLLLLSTVLLFISSSLGDRKAIEIGGGVGVNLGFGIGPTPSPSPLDDSDGSSPPAQAPSSGPQPSDFPNLKQYYAYLVIQRFKQSITCDPGGVTQTWVGYRPCTYEGFYCAAPPDSPDTPTIASVDFNGFGLCAPTIVGFVDQLPDLALFHANSNYFSGTIPDLTGLPYLYELDVSSNLHFGAFPTKVLPLSNLIFLDLRFNFFAGAVPASVFAFNLDVLFFNNNNFNEPLPAELGRSPVAYLTLANNGFTGSIPPSIYNASNTLVEVLFLNNRLSGCLPYEIGFLSIATVFDAGFNQMTGPIPWSFGCLLKLEQLNLAGNLLYGEVPDVVCRLAKDGNLANLSLSGNYFTWLGHSCWDLVKTKVLDVRQNCIPGLPEQRSPAECSTFWWGPKQCLPSHDIPCSLPKGPTKRPMSSTPPAYVTYEALHHPPPRN >Ma02_p10970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20362320:20365204:-1 gene:Ma02_g10970 transcript:Ma02_t10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISKKSHENTIRTCPSCGYPIQYQRQVAPSMQDFLGLPAGVKFDPTDQELLQHLEGKARSDPQRLHPLIDDFIPTIEGEDGICYTHPEKLPGVRSDGLVRHFFHRPSRAYTTGTRKRRKVHTDVHGSETRWHKTGKTRPVFAGGDLKGYKKILVLYTNYGKQRKPEKTNWIMHQYHLGFDEEEKDGELVVSKIFFQTQPRQCGSALKDTFQTKLKGLVADEDEEEEDNEDDDGNAVRDGNGGGYYTPSLMAYTQGGQDRVSSPHLFPSFPTMHATGAPPPFLS >Ma05_p25540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37449773:37452599:-1 gene:Ma05_g25540 transcript:Ma05_t25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVVGTLRGFDQFMNLVVDNTVEVNGNEQNDIGMVVIRGNSVAMIEALEPVART >Ma06_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12290193:12299792:1 gene:Ma06_g18140 transcript:Ma06_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G07920) UniProtKB/Swiss-Prot;Acc:Q39017] MDAFEESFWWGKHSSGMAEFWSSLGVYLISAFIGILSLVYLLTVWRRTLSLNWMKAIARTRKRRKAKHKVPGVAHSWSAESGSHAKGLKCCVCLEDVVPPQPLGQMMTTNSSVYLCDVCGAAAHLACSSKAHQDCKCVSMIGYKHVIHQWTVQWTEAADQTEETPCCSYCEEPCSGSFLSGSPVWCCMWCQHPVHVDCHINMANETGDICDLGPFRRLILSPLFVKDLSKTEKSGFLSSITHGANELASTVRGHIMNQTKKYKHDMEISVDSADNNSTNGSSKDSIADSHSVSKGSLGNGEHCNGVTEAGNRHQGGDSDHEDVMKAEPRRSASFTKKKDYHNLVNNQKYEFVDIPSDARPLLVFINKKSGAQRGDSLRRRLHILLNPVQVFELSSTNGPEAGLSLFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLARVLSWGGGLGAIQRQGGLCTVLHHIEHAAVTILDRWKITIEDLQSKHVHPPKFMNNYLGIGCDAKVALDIHNLREENPEKFFNQFLNKMLYAREGAKNIMDRTFADLPWQIRLEVDGVEIEVPEDAEGILVANIGSYMGGVDLWQNEEDNNDNFDPQSMHDKMIEVVSISGTWHLGTLQVGLSRARRLAQGQLIKIQLFVPFPVQVDGEPWIQQPCTLAISHHGQAFMLKRVSEEPLGHAAAIITDVLENAESSHVITASQKRALLQEMALRLS >Ma02_p13740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21985994:21992737:-1 gene:Ma02_g13740 transcript:Ma02_t13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIRGWWWRRQPVVSWCRTYCASPVAAPRNPRAPPAFSTASPGPGSKRVGTHNGSFHCDEALGCFMIRLTSKFSGAEIVRTRDPQQLENLDAVLDAGGVYDPTQERYDHHQKGFSEVFGHAFSTKLSSAGLVYKAIDAIDNGINQYDTDQPPKYVNNTHLSSRVGSLNLEWVDSDHSSEEENAAFQQAMMLAGGEFLECVRFHARSWLPARSIINKCLTSRGNVDPSGEIIVLDRFCPWKHHLFELEKELKINPPIKYVIYQDERSKNWRVHAVAISPEMFESRQPLPQCWRGLMDDELSERSGIPGCLFVHISGFIGVNQTYEGALAMATTALTTPS >Ma02_p13740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21985994:21992737:-1 gene:Ma02_g13740 transcript:Ma02_t13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIRGWWWRRQPVVSWCRTYCASPVAAPRNPRAPPAFSTASPGPGSKRVGTHNGSFHCDEALGCFMIRLTSKFSGAEIVRTRDPQQLENLDAVLDAGGVYDPTQERYDHHQKGFSEVFGHAFSTKLSSAGLVYKHYGKEIIAKELQLDVEHENVQCVYLAVYKNFIEAIDAIDNGINQYDTDQPPKYVNNTHLSSRVGSLNLEWVDSDHSSEEENAAFQQAMMLAGGEFLECVRFHARSWLPARSIINKCLTSRGNVDPSGEIIVLDRFCPWKHHLFELEKELKINPPIKYVIYQDERSKNWRVHAVAISPEMFESRQPLPQCWRGLMDDELSERSGIPGCLFVHISGFIGVNQTYEGALAMATTALTTPS >Ma06_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4261570:4264955:-1 gene:Ma06_g05750 transcript:Ma06_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETEIGETSVALLTNGEVKDGPGLARRAWAELKKLWAIVGPSIIGRLALQTMSVITQAYAGHIGDLELASFAIAFTVVAFLAFVLLLGMASALDTLCGQAFGAKQYHMLGVYMQRSMVVLFLCALLLLPLYIFATPLLELLGQSKEIAREAGYLSLWLLPLHFSFAILFPLQRFLQCQLKNSFLYVVCGGCPRTWKGFSFEAMAGLWEYLKLSASSGVMLCLEIWYYRVLVLLAGNLKNAEIAVDALSVCMNINSWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSVTTSLLVPNHWLSILLAFTVLLNSVQPVISGVAVGSGWQAMAAYVNVGSYYFIGIPIGIFLGWVLKLGVLGIWAGMIGGTGIQTLILTILTIRCDWDREAIIARERVKKWSVPDEEEEAKFLNQTGCFGQKDELNYDGQ >Ma03_p16690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:19367296:19368550:1 gene:Ma03_g16690 transcript:Ma03_t16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMKMMDKTSLASRNKLLRAQTEREILGLLDHPFLPSLYSHFETERLSCLVMEFCSGGNLHSLRQKQPDKHFTEKNARFYASQVLLAIEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLQCSFNPTLVEASSVHGGSSSVRTQGTLASETMLQGCMQPTAFLPRILPKKTHKSKLDLGTGSRALLELMAEPTDARSTSFVGTHEYLAPEIIRGDGHGSAVDWWTLGIFLYELVYGTTPFKGSGNRATLFNIVGQPLMFPEAPAVSVSARDLIRGLLEKEPEKRIGYRRGATEIKQHPFFDGVNWALVRSATPPYIPEPIDVRRLAHEEAVDKGKKGGASDDDSFQDF >Ma09_p21860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33808175:33813574:1 gene:Ma09_g21860 transcript:Ma09_t21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEGVDEDLARMVSETKLDQARERRKVREAFKDVQLNIDHYLFKANHTGLRTKESYEVNARGIEIFSKSWLPENSSIKALVCFCHKYGDTCTFFFEGVAMKLASCGYGVFAMDYPGFGLSEGLHGYIPNFDCLVDDVVEHFSKIKENPEYDGLPSFVFGESMGGAVALKVHLKQPDSWDGAILVAPMCKISENIIPPWPVKQFLIGMAKLLPEKKIIPIKDLGELAYRDRKKLEQISYNVLRYKGKTRLRTGLELVKATREIEQRLEEVCLPLLILHGEFDSITDPSGSKGLYEKASCTDKKLCLYEDSYHALMEGEPDEIISQVLDDIFSWLDNQVNRVRR >Ma11_p18690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23715638:23718247:-1 gene:Ma11_g18690 transcript:Ma11_t18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLAGVVVQDDGSSSSVTSSPLETLSLSPPSLSPHSPWVRELKSDERGLCLIHLLLDCANRVASGGSLDPANAALEQIALLAAPDGDAMQRIASHFAEALARRAIRSWPGLCHALDSARVLPLAEAAVARRHFLDLCPFLRLSFVVTNQAIMEAMEGERVVHVVDLNASDPAQWIPLLQALSSRSEGPPHLKITGIHEHKEVLHYTAIRLSEAAERLDVPFQFIPLVSRLDNLDIERLRVKTGEALAISSVLQLHSLLATEDVGGHLRKAQRIAPISKLTLGEFLEKDHLANTHSTSAESAARPPTRIQSFLASLWGLSPKIVVVTEQEANHNGKTLKERLVEALFYYAAVFDGLDLTVPRQSVERLRVEKMLFGEEIRNIIACEGLERKERHEKLERWSQRMSMAGFRLLPLSYYGLLQARRLLQSFGWQGYKVKEENGCFMMCWQDRPLFSVSAWSCNQAESLKKRSTSVVP >Ma11_p18690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23715638:23718247:-1 gene:Ma11_g18690 transcript:Ma11_t18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLAGVVVQDDGSSSSVTSSPLETLSLSPPSLSPHSPWVRELKSDERGLCLIHLLLDCANRVASGGSLDPANAALEQIALLAAPDGDAMQRIASHFAEALARRAIRSWPGLCHALDSARVLPLAEAAVARRHFLDLCPFLRLSFVVTNQAIMEAMEGERVVHVVDLNASDPAQWIPLLQALSSRSEGPPHLKITGIHEHKEVLHYTAIRLSEAAERLDVPFQFIPLVSRLDNLDIERLRVKTGEALAISSVLQLHSLLATEDVGGHLRKAQRIAPISKLTLGEFLEKDHLANTHSTSAESAARPPTRIQSFLASLWGLSPKIVVVTEQEANHNGKTLKERLVEALFYYAAVFDGLDLTVPRQSVERLRVEKMLFGEEIRNIIACEGLERKERHEKLERWSQRMSMAGFRLLPLSYYGLLQARRLLQSFGWQGYKVKEENGCFMMCWQDRPLFSVSAWSCNQ >Ma05_p16410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16433679:16454944:1 gene:Ma05_g16410 transcript:Ma05_t16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT1G69420) UniProtKB/TrEMBL;Acc:A0A178WF77] MQLDGNINSDATRDELHSESERLPGYTMTWMAAFLSCCGMSFICNWCHSHEQSSEQQLSEEGMFYCSLCDVEVLKYSKHCRVCDKCVDGFDHHCRWINNCIGRKNYKRFFILMASSLLLLILQWLIGILVLILCSLERKRFSAEIISKLGSSFSLIPFIVVVASCTFLAMVATLPVAQLFFFHILLIKKGISTYDYIIALREQEQEQHAVDEQQSPQMSQVSSFTGISSTSSFNAFHRGAWCTPPRLFLEDQFDVVPPKIGTSANYTSKKMMPEEQVKKRNAGTVKISPWTLAHLNAEEVSKAAAEARKKSKILQPIVRREVLQGQDAENNIGRIVLRPENPSRTNKRGRIPVDLPLEPLAKVSTSATDGNVSDLVPKTSTSLAPLQLEARSAFWPNRPMPSARVIASSPDSSLDSPDLHPFRISSSGTEEARGLNSISNPGIIPPRGIQRSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIVLGSEHSQIVDDLKASSSASSQLYTRPQ >Ma10_p01260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4330315:4333393:-1 gene:Ma10_g01260 transcript:Ma10_t01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGCFACTGPKVDEKDRIQQAIDTLKCTASWDVKNHSPWNEGETSSSGSRPIAAHIFSYRELAAATKFFRADFLLGEGGFGRVYKGMLESGNQIVAIKQLNPNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLSPNKRRLDWNTRMKIAAGAAKGLEYLHDMASPPVIYRDLKCSNILLDEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDNSRGAEEHNLVAWARPMLNDRMKFRQIADPVFQGQYPPRGLYQALAVAAMCVQEQPSMRPLMADVVTALSYLSSQPNKPENQQRPNTYRLTATSTPHRARRDGEKKLRWGSESDQLRRLK >Ma10_p01260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4330315:4333393:-1 gene:Ma10_g01260 transcript:Ma10_t01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGCFACTGPKVDEKDRIQQAIADTLKCTASWDVKNHSPWNEGETSSSGSRPIAAHIFSYRELAAATKFFRADFLLGEGGFGRVYKGMLESGNQIVAIKQLNPNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLSPNKRRLDWNTRMKIAAGAAKGLEYLHDMASPPVIYRDLKCSNILLDEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDNSRGAEEHNLVAWARPMLNDRMKFRQIADPVFQGQYPPRGLYQALAVAAMCVQEQPSMRPLMADVVTALSYLSSQPNKPENQQRPNTYRLTATSTPHRARRDGEKKLRWGSESDQLRRLK >Ma07_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11960530:11966316:-1 gene:Ma07_g15760 transcript:Ma07_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASRLEDEEAVQMCKDRRNFIKQAIEQRIRFASGHIAYIQSLKRISRALSDFVDGQEHYDSFSDSFTTPPFTPVKKLSPEIIGIPFKSSASALNQPEKIIGVPFRSSASAQAQNRSRESTLHIARYLRSGGSTSVSVEECPQPTETVRINSYYPMDHYGSDDFFATQSTPLNSSFFSSTYDRPSYPPASPRNSQWDSFWNPFSSLDTYGYAYQGSFDQVINDDDIAGLRQVREEEGIPELEEEGTRGEEECVQPEIQNERHEVDSGHTRIKDAGENSSGTKNKNRKKREVKEHQSKNLETIEVSETKDAIEIKITKEKEVVGKRESAEETPGFTVYLNRRPTSMTEIMKDIECQFIRICDCAHEVSVLLEASRAQYSSTSSEIAVKMMNPIVLFRSASSRSSSSRFFHAAPSSGYDGYESSSDYSQESCMISGSHQSTLDRLYEWEKKLYEEVKAGERIRIAFEKKYMQLRNQDVHGEEPSAVEKTRAVVRDLHTRLKVSIHSVESVSKRIETLRDEELHPQLIELLQGLAKMWRTTADCHRIQKRTIDEAKLLILASAAAAGKGSEDAAPQPRHPRAAAALEAELRNWRACLEAWVAAQRAYARALAGWALRCGDPGGGGARSPLSPPRPSGGAPAVLGLCVQWSRLLESVGEAQVVDGLDFFAAGIGSVSGRAAAEEEGDGDDAAAAAAMATAEMARRVLCAGMSVAVSSLADFAARSAEGYEVLVRWGGDRRAES >Ma04_p01970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1730986:1731175:-1 gene:Ma04_g01970 transcript:Ma04_t01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQIYRRLDDCLMESPSLEILLLVIVVLKIS >Ma06_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13337121:13337791:-1 gene:Ma06_g19480 transcript:Ma06_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma03_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1130356:1137211:1 gene:Ma03_g01630 transcript:Ma03_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEGGRFYWGSKEGREGIVVVFAWLSSQERHLKPYVQLYSTFGWRSLICHADFLTLFFPEKASSLADGVLKELLQELKIRPSPIVFAAFSGGPKGCMYKVLQLINGRCKGLLDLDEYQLVKDCLCGQIYDSSPVDFTSDLGTRFLLHPSVLKRPHPPRVVSWMAKALASGLDTLFISRFEAERADYWQTLYSSVNVGPFLIFCSEDDELAPYQVICNFAQHLQELGGDVKLIKWNSSPHVGHYKFHASDYRAGVFELVGKAAMIYAQRRLQSQGLEGPSISESVCNLHKAAASSSESLRRVATDPSDHFFLPSSMECEDKKDTNLQKGELFHTQNMPSINAHGVLGQILFDICVPKNIEGWDIKPSTSLNGRQTFAVARRHGLFYPMKCIRRSRL >Ma04_p00250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:290370:292155:1 gene:Ma04_g00250 transcript:Ma04_t00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGSGGGGGAVSEGVLKKVLLSYAYVAIWIFLSFTVIVYNKYILDPKMYGWPFPISLTMIHMAFCSTLAILLVRVLRLVDPPSSPPMTRALYLSSVVPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGILFKKESFRTSSMLNMLSISFGVAIAAYGEARFNGTGVGLQLGAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLLVPWSVVELPILRARSASSLRPDLLIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTAINLFGYAIAFLGVAYYNHVKLQALKAKEAQKKAAQADDEAGKLLDQVDGSGGDRKGDSQA >Ma05_p03820.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2838498:2842966:-1 gene:Ma05_g03820 transcript:Ma05_t03820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLQHFDDRVGDENGGPRLDSDAGEELMLVERGVAIALGSRPPDSPGTLYITTRRVIWLSDADKGNGYAVDFLSVCLHAVSRDPEAYSLPCIYTQIETEDGENEESESSDSESHGDLELSNVTEMRLIPSDPGQLDTLFGIFCQCAELNPEPQEEEEENSWFFGSEEMADDGSDSEWQLSEHHANPIGYANGDHDLACTVHELRINDQRFEDAEEVESASRNGHQFSG >Ma05_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2838498:2842966:-1 gene:Ma05_g03820 transcript:Ma05_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLQHFDDRVGDENGGPRLDSDAGEELMLVERGVAIALGSRPPDSPGTLYITTRRVIWLSDADKGNGYAVDFLSVCLHAVSRDPEAYSLPCIYTQIETEDGENEESESSDSESHGDLELSNVTEMRLIPSDPGQLDTLFGIFCQCAELNPEPQEEEEEENSWFFGSEEMADDGSDSEWQLSEHHANPIGYANGDHDLACTVHELRINDQRFEDAEEVESASRNGHQFSG >Ma05_p03820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2838498:2842966:-1 gene:Ma05_g03820 transcript:Ma05_t03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLQHFDDRVGDENGGPRLDSDAGEELMLVERGVAIALGSRPPDSPGTLYITTRRVIWLSDADKGNGYAVDFLSVCLHAVSRDPEAYSLPCIYTQIETEDGENEESESSDSESHGDLELSNVTEMRLIPSDPGQLDTLFGIFCQCAELNPEPQEEEENSWFFGSEEMADDGSDSEWQLSEHHANPIGYANGDHDLACTVHELRINDQRFEDAEEVESASRNGHQFSG >Ma03_p17180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22423476:22426871:1 gene:Ma03_g17180 transcript:Ma03_t17180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFKLPHFFNYPPYFTLQPVRETREKQVQLWKELILDYCRSQKIFVISLEEDFPLFSNPVVERSLSHEARQVFLAALVNEGRAEWIDKSHKKCLILWLRIQDWADYILNFVKENGFEDSVMTVEDIRFGIETRGTELAGIDRGVLLRALKLLEQKGKAAIFKGTSADDEGVKFSA >Ma03_p17180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22423477:22426871:1 gene:Ma03_g17180 transcript:Ma03_t17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFKLPHFFNYPPYFTLQPVRETREKQVQLWKELILDYCRSQKIFVISLEEDFPLFSNPVVERSLSHEARQVFLAALVNEGRAEWIDKSHKKCLILWLRIQDWADYILNFVKENGFEDSVMTVEDIRFGIETRGTELAGIDRGVLLRALKLLEQKGKAAIFKGTSADDEGVKFSA >Ma03_p17180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22423482:22426871:1 gene:Ma03_g17180 transcript:Ma03_t17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFKLPHFFNYPPYFTLQPVRETREKQVQLWKELILDYCRSQKIFVISLEEDFPLFSNPVVERSLSHEARQVFLAALVNEGRAEWIDKSHKKCLILWLRIQDWADYILNFVKENGFEDSVMTVEDIRFGIETRGTELAGIDRGVLLRALKLLEQKGKAAIFKGTSADDEGVKFSA >Ma09_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6419079:6422432:-1 gene:Ma09_g09740 transcript:Ma09_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSDDAAAEGEEEGREPSGEPLVREPRHCRVHFPGAVRQKAYLFDGLGNYFNKEWDLKEGSGKEFCWYHAELPKGNQKLALSAQYLIDVLCPPLKLQDILTLVSNGPFCGHVDGALVFRVNSPGPAASSFTLRLAARVTENSVITVSLGRIPRLDFSPTGQSLLSEIPSIESTGLVRDAEEEEEEGGRSGIIIREHVLEFLLTMNHSEEADNPVPVKVSNLVVHIIDTHVDHVQDIVTKLEMELDAVELELDKGGSTLKKQMLDDRRFPKLHLNLQRLLQVVAHGEQVFPRVKEKCSAKSWFANEDIIALEELIGRLRRLKDNLGFIVNRVTAIQAGLHSWQSEQINKKLYYLSFLSLTFLPLSIVTGIFGMNVGGVPWTAQKDPALQDGFRNVMVLCAMILFLLLVSFSFPSLYARLTSWRRRYAMRRSWSINRKSFLSRTFQRGGFQGGYMRI >Ma08_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7605925:7615383:1 gene:Ma08_g10410 transcript:Ma08_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSRNTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSMIEAACAAHPTADVFINFASFRSAAASSMSALMQPTIRVVAIIAEGVPESDTKQLIAFARANNKVLIGPATVGGIQAGAFKIGDTAGTIDNIIQCRLYRHGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKEGKVHKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKEAGAVVPTSYEAFETAIKETFEKLVEEGKIAPVSEVKPPQIPEDLKSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIQHGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDKGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQQYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Ma08_p10410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7605925:7615416:1 gene:Ma08_g10410 transcript:Ma08_t10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSRNTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSMIEAACAAHPTADVFINFASFRSAAASSMSALMQPTIRVVAIIAEGVPESDTKQLIAFARANNKVLIGPATVGGIQAGAFKIGDTAGTIDNIIQCRLYRHGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKEGKVHKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKEAGAVVPTSYEAFETAIKETFEKLVEEGKIAPVSEVKPPQIPEDLKSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIQHGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDKGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQQYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Ma10_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4946227:4948112:-1 gene:Ma10_g01630 transcript:Ma10_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFLFLDQSWSLMQLRSLLCASYAFLLLATTLVVVAVDNSTYYVPRDDILLNCGASGQASSFDRRSWTGDTGTRYAPSLNGSGGFDALRLDPSVSTVPYSTARVFTSPFTYRFPLSAGPIFIRLHFYPSDYSNHAASDAFFSVTSGPYILLHNFSAYLTADALNFAYLIREYSVNVSTGGLNLTFTPSTTHPNSYAFINGIEILSIPDLFSSATPLLVYGDDDNHAYTIDPDQALETVYRLNVGGQAIPPIEDSGLFRSWDDDSPYIYGAAFGVTDSNDPNVTITYPTSVPNYIAPPDVYSTARSMGPNAQVNLNYNLTWILPVDAGFYYLVRLHFCEIQYPIVKKNQRVFDIYLNNQTAKEKADVIGWSGGIGIPVYRDYVVTTMGREQMDLWVALHPYTLSEPEYYDAILNGLEVFKLQNSNNSLAGLNPGARSQLYVDPGDHRKGSAKHKSEVPVIVGGVVGGFAVLLAGFCLIGMCKCQKKKMVKEGKGAVTSNGPYGLSPLSLYGNTRSVASADFNLCRYFSIAE >Ma03_p17000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22317193:22317794:1 gene:Ma03_g17000 transcript:Ma03_t17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVEALRVIGSKLRKKLDFSVDPCSGDRGWIVAGRSDVPVFADNVTLSPCICSFFSSQLAQEPEFSKPPFLSDLYPTWNYPNGTIPAHWVSLPLVHLSLLGNRVSGTIPEEMGDMITFDDL >Ma04_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23794745:23796078:-1 gene:Ma04_g21240 transcript:Ma04_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19D [Source:Projected from Arabidopsis thaliana (AT3G54940) UniProtKB/Swiss-Prot;Acc:Q8VYS0] MGLRVLAVLLFLLTFSDGALHGSDDPEIVQVTQSRRLGSTERRFRAFLRTFGKEYRTREEYARRLGVFARNLARAAEHQALDPTAVHGVTPFSDLTEEEFETAFTGLSAQDKAGWPGRGAEFPTAAAMEVGGLPSSFDWRNKGAVTDVKMQGVCGSCWAFSTTGTVEGANYVATGKLVSLSEQQLIDCDHTCDAVEKDECNNGCSGGLMTNAYNYLMQSGGLEEEKSYPYTGKQGECKFDKNKIAVSVTNFTVIPLDEEQIIANLVHRGPLAVGLNAAFMQTYVRGVSCPLICPRRWINHGVLLVGYGARGYSILRLGYRPYWIIKNSWGKQWGEQGYYRLCRGHNICGIDSMVSAVAAAS >Ma09_p06410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4096640:4106327:-1 gene:Ma09_g06410 transcript:Ma09_t06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEGFLYDTFGTLGFTVESDPDPAQSYEVFRNHVTSSADSFAEIPATDYFSLDVATASPSADELPGPLETPPPSSVPRGGDGGRASELAWFRSGSRFKSPMLQLHKEILDFCHFISPTPDEQASRNAAVQCIFDIVKHIWPHCKVEVFGSFRTGLYLPTSDIDVVILESEVKTPQIGLYALARALSQRGVVIKMQVIAKARVPIIKFVEKRSGIAFDISFDVDSGPRAADFIKAAVQKIPPLRPLCMVLKVFLHQRELNEVYSGGIGSYALLVMLIVFLQMHWRGQDSRDYRQPMEHNLGILLVGFFDFFGRKLNSWDVGISCNSKSLFFVKNDKGFMNLDKPYLLSIEDPQAPDNDIGRNSYNYYKVRSAFAMAYSTLTDAKAIMRLGPQRSILGTIIRPDPLLLDRKGGNSGQLTFNNVLSGAGEPVAQRFENGDDVVYNWQLVDDEPLPREKLNVEDGSVLSSKRISKSRRRLGRSEKPEVFDSKHEEMTIKRGSVVKRRKGSHHRDVFGK >Ma09_p06410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4096640:4106327:-1 gene:Ma09_g06410 transcript:Ma09_t06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFGTTSPRRPTLSPRSPPPTTSHSTWPLLPLPRTSSPGHLRPLRRPLCLGVVTVAGPPSLPGFAPAAASRAPCFSSTRRSLIFVTSSHQPQTNKPHVMLLCNVYLTLSNISGHIVEVFGSFRTGLYLPTSDIDVVILESEVKTPQIGLYALARALSQRGVVIKMQVIAKARVPIIKFVEKRSGIAFDISFDVDSGPRAADFIKAAVQKIPPLRPLCMVLKVFLHQRELNEVYSGGIGSYALLVMLIVFLQMHWRGQDSRDYRQPMEHNLGILLVGFFDFFGRKLNSWDVGISCNSKSLFFVKNDKGFMNLDKPYLLSIEDPQAPDNDIGRNSYNYYKVRSAFAMAYSTLTDAKAIMRLGPQRSILGTIIRPDPLLLDRKGGNSGQLTFNNVLSGAGEPVAQRFENGDDVVYNWQLVDDEPLPREKLNVEDGSVLSSKRISKSRRRLGRSEKPEVFDSKHEEMTIKRGSVVKRRKGSHHRDVFGK >Ma09_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13711208:13712345:1 gene:Ma09_g17920 transcript:Ma09_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFPPLHGRVFGGGGGARAEFSRLVGYTAAVASVQKQQPVKCPRCDSTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGILRNVPVGGGCRKSKRPSSSSSSKPYSKPSPATADKDHQSRSLRSSTSRCSSDSSSLNGTASSSAPYPDPTLLNSQISISNPNPPFETPLPVDPPLRPAPDIYLDPVAETLMAVPGSMQVFCFSDPSPTQEKPSEGIRPGFEDQTVRVDPMPGGTGGGLAALNWSGSVDPTLLDLVSAVDPAAYWNQSHWGGADPTFYLP >Ma08_p24560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37680274:37682562:-1 gene:Ma08_g24560 transcript:Ma08_t24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDDGISVLLNEPSESDERSVELLDEVSAVLQESIKSDEKTAELKPEEVAWVDSCLEFGPEMSDDKWISLKNALLDALSSYPTSYEATSAAMESNEDDESVQVSEVAAQDHVAEHQPSEETQKEAVDVEVSVSQEEVESRESIFKVWDLETPAAEEEEDELIQQLKKLLTGSREGQEELQPSNSSLALSQENVAELVTSMVDLSLKPFDE >Ma08_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37680274:37682562:-1 gene:Ma08_g24560 transcript:Ma08_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDDGISVLLNEPSESDERSVELLDEVSAVLQESIKSDEKTAELKPEEVAWVDSCLEFGPEMSDDKWISLKNALLDALSSYPTSYEATSAAMESNEDDESVQVSEVAAQDHVAEHQPSEETQKEAVDVEVSVSQEEVESRESIFKVWDLETPAAEEEEDELIQQLKKLLTGSREGQEELQPSNSSLALSQENVAELVTSMVDLSLKPFDE >Ma07_p21120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29219409:29223202:1 gene:Ma07_g21120 transcript:Ma07_t21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKFSPWIGILVFAVAAFILGVISHSFLRGGASVADQNLGLVVGPLLKGPADPPVFAYWISGTGDEGQKMLRLLKAVYHPRNRYVLHLDAGSSALERINLAHSIQSERLFRAFRNVDVIGQNYAVDRTGPSILSATLHGAAVLLRLSADWDWFITLSSSDYPIMTQDDLLHVFTSLPRNLNFIDHTSDLGWKEYDRFDKIVVDPSLYMDKNSQLLISSGTRKTPDAFKIFTGSPWVILSRAFVEHCIHGSDNLPRKLLMYFANVAYSMESYFQTVICNSPEFQNTTVNTDLRYIVWDNPPGLEPLFLNQSYYKAMIKSRAAFARRFMEDDPVLKKVDKKILKRAQNGVGFGQWCSAQLKNNGEKTLKGDTCSSGVDINTVKPSPSAARLKSLVLELISEESLFSNQCKV >Ma06_p13010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8952944:8955142:-1 gene:Ma06_g13010 transcript:Ma06_t13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGTSSQKMDYVYLGVLFPRQPCFRLHQTQGNGQSGYMLNVLFQPTIFLGQHSVSIYMENSWKDISA >Ma06_p06970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4981810:4987869:1 gene:Ma06_g06970 transcript:Ma06_t06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRDDGPLKINSTNVFAALETLKKKKKSNKETKSKAGSSKSQAKEPEPQVFWTPTPLTSTSWADVDDDDDYYATTAPPQSVWGSSEQQQNKESVAVVEEESESEDNGLDSGEDDVEEEPEIEPEIAFASEPIIEKPAPVSVPAKDAERQLSKKELKKKEMEELDAILNELGISGKESNSAQDEANDKKQQEHSGDGEKKENTGAPSESKSSKKKKAKKDKSSKDTKEQEEQLADLNNNKKPDEVAEPGEEDTPTVDMKERLKKVASSKKKKSNKEMDAAAKAAAVEAAARSARLAAAKKKEKSHYNQQPVR >Ma04_p38570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36034315:36039637:-1 gene:Ma04_g38570 transcript:Ma04_t38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLTEPSSSISFASSSYLSNGSSAYHVPGGTAPSPSPPPAAPEGGTNLEVLSLSKLSTNLERLLLDTEFDCTDAEIAVEGAPVGIHRCILAARSRFFRDLFSREGSGGNRQEGKPRYVMNELVPGGRIGREAFMVFLSYLYTGKLRAAPQDVSICVDRFCAHDACRPAIGFAVELLYASSVFQIAELVSLLQRRLLNFVDKAMVEDVIPILQVASHSKLNQLLSHCVQRVARSDLDDIALEKELPQEVAEEIRLLRRESQPKESTATVDPMLEKRIKRIHRALDSDDVELVKLLLSESGVTLDDAYALHYAAAYSDSKVVAELLDLGSANVNLKNDRGYTALHLAAMRREPAVIVSLLTKGASALETTADGQNAVRICRRLTRAKDYFTRTEQGQESNKNKICIDILEREIMRNPLAAEDSATSPLLADDLHMKLLYLENRVAFARLFFPAEAKLAMEIAHANTTSEFTGIAKSRSSSNLRDVDLNETPVVQNKRLRSRVDALSKTVELGRRYFPHCSQVLDKFLEDDLPDVFYLQKGTPDEQKVKKLRFCELKEDVRKAFSKDKAGSLLSGLSSSSSSSSPKSEEKHHTFARN >Ma10_p10420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24367425:24368347:1 gene:Ma10_g10420 transcript:Ma10_t10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESISNLWQTLLSVCVWKNDSSATIPMFPLHPKSLRLIESLNSGTVALRL >Ma05_p01600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:976220:977671:1 gene:Ma05_g01600 transcript:Ma05_t01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAIREYDAERDRVGAEAVERMCEVGHSGGAMSLFTDLLGDPLCRVRHSPPFLMLVAELVSGPEREIVGLVRGCIKTVACGTPLLLPSPAKHHTATAVPIYAKVAYLLGLRVSPAHRSGSRRRGRSTRTWRRRRTTRRLSASSPGGAVTPSSATRPFWCTPCSPTAFPSPHASPSSASRPPMPRPYTAAASPPPSSSPATSTPSWPTRSPSAASSPSPRAAPPRPGGRGPARSWPTRPPRGRWPACGTQRRCSGSRCGGQGGGGGGWRGRAGRWTGRCRGCASRRCRTCSGRSGCTCSTGWAGRAPRQRRTCGRCAGMCTTWRGRTRAAGWWRRRWRRASRSGEGIPHWGRLSCAEDVWCVKRLAEEYSDGSLGDWTKALSPPSIFVDPREF >Ma03_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4517414:4518526:-1 gene:Ma03_g06550 transcript:Ma03_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPLPNDVIAEIISYLPAKAFFKLLPVCKTFYQLSSDSHFLLSQSYYNKAISGFFINSCNIFRSFIPIDPYAGVPSSSIRFLGKRKTIILGSAGGLVFVLQRKFGWFDAIPVLWVYNLARGTRCQLPSPPGKCSEGGIAVRFINNGDGVTTDYKLVYLTRRWSALQHCRVYDSVARAWTMDKELDFGGRELDLEHPVVCDDTVFWASSELRSYMWIESCVVAFDIREERTQIITMPKDAVVDFFDTIGIAKWEGKSLCLIRHSTFSGKFVLWLLNKTGDGAPGWVKVQEISLAELGFREPCYVSFVVLSEMASTKLLVFTIDQVLYSLNINDGELKKLSSLTDYHTPTLFPYSNTLRPCGEQEELLEAI >Ma04_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:729810:731079:1 gene:Ma04_g00760 transcript:Ma04_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFSGVSMACAGTPAWDAVQEQVMPALEFHGCFEAVYNRVASELRLSLLGIAKDLLSLPLQTKLRNTSDAPYGGYLGQFPGLAYESLAIRDATLPHAIPNFASLMWPSGSNPNFCEKARSFTEQVAELEEMVRRMVLESLGVAKYAEEQMQSTYYLLRFTEYGAAGEWEEEKRKLAHMAHRDTNMLSIVCQLNEVDGLQVEAMDGEWHLAAPRSVASFFVIAGEAFHAWSNGRVYASMHGVAMDGTVARYSAILFSRPMSEQTIQAPAELVDGDRPSLFRPFEYGDFLHFCASAEGRKLKKCRLSAYRREEDITKEDEA >Ma07_p07770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5773110:5773580:-1 gene:Ma07_g07770 transcript:Ma07_t07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRRELQGPRPPPLKVSRDSCRVKKPATAAALSRGEHEHREPVVVYLHTPKVFHTRPQDFMSLVQRLTGKSSSSSSSRCRTGSDIDQRGAGMDSLNDTGVGQNVGAGEGGDPLLLTLGQFSSMSSVPSPIISPSLFFCSPNTQACLQDLSPLF >Ma04_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2137909:2141774:-1 gene:Ma04_g02510 transcript:Ma04_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFSRRFSQLINLANQNSEAQLGGDTPARRRVSYYAARSRSLRWHNVLSDNDNDALDQSDLVFGETDSSFSFGGYGGESDASLDTHSILDREMSFQLENESYVSTDTDIDPMHAGLDLFEFDARYDNVGDDRDYGDWIGTNLVEANRSVHTHQQFEDANFSPSGSGMAGAQDGSWFQQTEGETQSRHADFFTDLDVVELRRAYVGNPGDYLDDEVLEQLAEAAGSSGAPPAAVSSVRILPSVVISDDHENNGIQICAVCKDPLPINTEAKQLPCMHLYHPFCILPWLKIRNSCPVCRYELPTDDPDYEEAKRDQNRNERHESWPPDRAEETYEAFTELETDEAHDFSNARAEHDSLEGAVEEANRSSGESSRGRWMFLAAAPIVSLVGFVLVVWLRKPAVYGRTQGSNFSERHLHQTRGSTSPADRNRRWWSIF >Ma08_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4456483:4461887:1 gene:Ma08_g06580 transcript:Ma08_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVSTAPIPTIILRHRLCVPLSPSASSPASLPFLRPQSRQRSPVSMSRAGDSSSSSSSASCPPTGILNDGGLKITSPGVIGQNDLLIVGPGVLGRIVAEKWHKEHPACQIFGYTMTTDHHDELIKLGIIPSLKKSEPTNKFPYVIFCAPPTRTPDYPGDVRLAASNWSGEGSFLFTSSSAVYDCSDNGFCNEDSPVVPVGRSPRTDVLLKAEKEALDIGGCVVRLAGLYKEDRGAHVYWLDKGTVDALPDHVLNLIHYEDAASLSIAIMKKKLRGRVFLGCDNHPLSRQEIMDHVNRSGKFSKKFLGFTGTDGPLGKRMNNSKTRAEIGWEPKFTSFPQFLNLL >Ma11_p12020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15692445:15695404:-1 gene:Ma11_g12020 transcript:Ma11_t12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGLDSPLIPGHTEVLVSGADVVNEAGLKSDGEFFAEIKRQLCLAGPLIASSLLQNILQVISVMFVGHLGELALSGASMATSFAGVTGFSFLLGMSTALDTMCGQAFGAKQYHMLGIHMQRAMLALTLVSLPVAVIWSYTGSILKLCGQDPEIATEAGLYARWMIPTIFAYGLLQCHVRFLQTQNIVFPMMLSTGVTALSHVLVCWLLVFKSGLGSKGAAVAISISYWTNVLLLALYVRLSPACKKTWIGFSREALHDMSTFIKLAVPSATMVCFEFWSFELLVLLSGILPNPKLETSVLSISLNTASMVFMVPFGLGAAVSTRVSNELGAGHPQAASLAVKVVVFLAITEGLIVGLTMILARGIWGYAYSNVEEVVRYVAIMMPILATSNLLDGMQCVLSGVARGCGWQKIGALVNLGAYYIVGIPSALLLAFVLHVGGKGLWMGIICGLFVQVLLFSAIAYCTNWEKEARKAKDRVINSTVPKDTAT >Ma10_p00330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1554533:1559949:1 gene:Ma10_g00330 transcript:Ma10_t00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPVLIYLIVVLFVLLLLSFAPKRPNRPHRRLKLRSAADLSDQTGHDRRGIPFDPVIADIERRREDREWERAHFLPQGHAPAMEAQPEWEDFMDAEDYINDEERFNVSDRIVQLFPKIDVAPADGFVSSDELAEWNLRQVEKEVIHRTQRDMELHDKNHDGFISFEEYEPPSWARRYHDDNATDDKVGWWKEEHFNASDMDGDGLLNLTEFNDFLHPADTNNPKLIHWLSKEEIRERDKDKDGKLNFQEYFNGLFDLIRKDDVYNFTHESDSSTDAPAKRLFSQLDHNNDGYLSEDELIPVIGDLHPSERYYAKQQADYVISEADADKDRRLTLKEMIENPYVFYSAIFAEEDDYNYHDEFR >Ma05_p20700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32354976:32355907:-1 gene:Ma05_g20700 transcript:Ma05_t20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATARLAFPFHHLHSLHSSKPTKTSLPLPCLASKVSAYPIKPSPHLHFAVSSVDVSKEDKPLSSQPDDTSSSPEPSPPEDEKKVDPRRLEERFAVLNTGIYECRSCGYRYDEAAGDPSYPIPPGFQFEKLPDDWRCPTCGAAKSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKALLIYGGLLLGFLFFISGYFLQ >Ma07_p06700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4791075:4792820:1 gene:Ma07_g06700 transcript:Ma07_t06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWLLIFLSVTLATLLLLLLDREVKIRRLPPGPPSVPILGNLLWLRRSLREIEDILRELHARYGPVVTLHIGSRATIFILDRTIAHKALVEHGAAFSDRPAQLPAVRFLSASQHNISTAAYGPIWRLLRRNLSSEILHHSRIKLYAGGRSWVLGVLFQHLRSQADAHHGVVVAMESFQFAMFCLLVLMCFGEKLDEKAIKNVEEAQRSLLLYSRKLSVLAFVPSISRHIFRNRLKTVLEMRERQGQLYLPLIEARKEYKQQQPPNEKERFVYSYVDSLLEIELPEEGGRKLSDDEMTVLCSEFLNAGTDTTSTALQWIMANLVKHQDIQEKLRDEIDGVTDGRDEEEIKEEDLQSMPYLKAVILEGLRRHPPGHFVLSHAVTEDVDLCGYLMPKGTAVNFCVAEMNWDGKVWEEPMEFKPERFLSGGGGAAVDITGSREIKMMPFGVGRRICPGLGLAMLHLEYFVANLVREFEWKRVEGEEVDMTEKLEFTVVMKNPLRARIIPRRRKI >Ma07_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29714321:29718643:-1 gene:Ma07_g21630 transcript:Ma07_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATSSNRSEKQVKTPGNQKVKPNFPHTKHVAKPSKSEPTASKNLVAVGESMTKHILSEVSENKEPNHSLKDTIDSITDKVRSTVMLSSENNDMNPSSDGWKQPTQRADSIEPSGTIILEGNGDQEKKSEHGANNGIVSAKASVEDNSITRASEGAKISDKADVIENRKSSMCRPSTSSDISDESSCSSLSSSITKPHKGNDSRWEAIQVIRARDGFLGLNHFRLLKRLGCGDIGSVYLSELSGTKSYFAMKVMDKGSLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCVVSPTLIKSSNPKSESSRKNNPVYCVQPACIEPPSCIQPSCIAPTTCLGPRFFSSKSKKDRKSKTEIGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPDSPIVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEIDRSPQPMASTSQISAPAINQKRSDNYLEFDFF >Ma01_p00160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:148262:151760:1 gene:Ma01_g00160 transcript:Ma01_t00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMQDHDGASPAKIFIGGLPKDTTLETFVKHFEKYGEIVDSVIMKDRNTNKPRGFGFITYKDAAVVDQVIEDTHVFNGKMVEIKRTIPKGVAPLKDFKTRKIFVGGIPITLTEDEFKNFFSEFGRVEDHEIMRDHTTNRSRGFGFIVFEKEKDVDDLLAKKGNMIDLAGTKVEIKKAEPKKSANAPLSAFGGESRARHYGDSFGGFGGSYGGFGGGYGPSSYRAPGGFGPRPGGYGGYGSVSGEYGGYAGGLGEYHAESSLGYSSRFGSYGGGFGGGGGFGGGYGGGGVRGHGRETGGYRGSSYGGGYDSSPGGYGLYGSRGGGYGGGADRYHPYGR >Ma04_p40120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:37038673:37041440:1 gene:Ma04_g40120 transcript:Ma04_t40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFQTAGTCSGGSVWNPARSITAIDMPAAVSCIADIAGNASSWAAAELVDGKARFCDESSVSGSSVTFRGSRKVQQATAAPSVINSTLQLPILGSATPSMDWSTQGSANRARGDETSFHAMLHEEISARAIFGQYQSIESNQVQTGIETTMNLPRDADQNFVLDHHHLSSGNESGDVGVSSYPLIISSSYGSPSSMLQGILEAESRTLQPPVYDDWATNYQSPMMDCRDSTNELLQSSWPQLLRSSPPKHHPGNLLQFSNNAPFWNATATASVSETNSGSCSTAPSQLDKKVFDEKNIGSNFTDMTNSDGVRDSYSSSSSGKPGQDPAFKKPRIETPSPLPSFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVGVLSTPYLKNGHAMQQQQQGSNKSKDGEGPRPDLRSRGLCLVPIASTYPVTSGTTADFWHPTFGGTFR >Ma11_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5276225:5281416:-1 gene:Ma11_g06480 transcript:Ma11_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGRKNSGRGQQISSKKGRLSGGDDFGHAIAKIAVAQLCESTGFHYSRRSAIDALAEVAVRYICDLGKSANFYANLAGRADCNVFDVIQGIQDLDSWRGFSGASGVHRCLVNTGVVREITQFVSTEEEIPFVQPIPRFPVSRMPKPAPSFVQAGEEPPGEHIPNWLPRFPDPHTYVHTPVWNKRDTDVKADMVELAKRRKKAERSLLSLQQRLACNSTAGFRPTKDGHVGNGNQVNNNPFLASPLPYGEKEVSEIASPLKAYAGKRLSVLETFVPVIKAAKVGSLDFNTNERKLLHGIRPTVHFKVGTVKKSVTASLPSDTLIADKDSWSLKYDEKDDKKKRAEIIFRAVIDKPHELAQL >Ma01_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4082435:4085124:1 gene:Ma01_g05770 transcript:Ma01_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAEAATMPSETATAALSAGRGSLLHKFSFPIFKTWGKQRILRCMSVDGKGEAVGGGSGGARPSEVDGEDEEGIEDVREKLLVHVREAADRMKLVVPPLPPSPRVVKTVTEADRQAEEDADAEPEPSSSPASRPWKLRTRRRGSRAPSGFEPQTSASPETAAEKRPVRLRSGSTERKERPKFSITLTREEIDEDIYSVTGHRARRRPRKRHRVVQKQLDLLFPGSWLSEITHDTYKVPD >Ma06_p03010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2238546:2241923:1 gene:Ma06_g03010 transcript:Ma06_t03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTAAAAAIEGRPPFTASQLEELRVQVLIFKHILMGVPVPPELLLLVRRSLEAMAARLYQHPAYGHCSYFGKKFDPEPGRCRRTDGKKWRCSKDAHPESRYCERHMHRGRNRSRKPVESQPVAPSQSASTVISLAPSGSGGSDSKSIPMHPGTGAQVPFSGGMSCFQLQPGTGSHETGSKEFRYPYGDKSEAGEHGFFPEVSGSARGLEMDFPLDSSWGLMPSQMPNALGFESNYLQLPAFNDLGQVTVSSLAKQDQSQHSFFGNEFGSEEPVKQSQELRPFFDEWPKTRGPWSDLEDERSNRSSFSTTQLSISIPVTSSDFSTSSSRSPIDD >Ma06_p23010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20075762:20076124:-1 gene:Ma06_g23010 transcript:Ma06_t23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLIGSGLVKGTHRSRSESESS >Ma09_p18610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18218065:18223151:-1 gene:Ma09_g18610 transcript:Ma09_t18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESGAPAAEAVEVDEERRAALLRRITEEGGFAFVSSAEKAAGGDLRAAEAAREMAWEQLHSGPWHEVVPAWRDAYAMACLHVAELRAGAGAGDRREALRALDMGLIMGGPLLRRDLDEAVQRIAACAEDVVVADGCTDKWREGISNNRDLAEALRILPNKSLSSKKVEKRPSLSLETFICDYFLHDSPVIISGCIDHWPARTKWKDIAYLQRVAGDRTVPVEVGKNYLCSEWKQELITFSQFLERVHSNNCPSNLTYLAQHPLFDQIQELRDDIMIPDYCFAGGGELRSLNAWFGPLGTVTPLHQDPHHNLFAQVIGRKYIRLYPASASESLYPHTESMLSNSSQVDLDNIDFTEFPKVENLDFFDCVLEEGEMLYIPPKWWHYVRSLSTSFSVSFWWSATAYPSEGA >Ma03_p20430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25609771:25611297:1 gene:Ma03_g20430 transcript:Ma03_t20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISVSFLDSLPLSSLLLLLLFCVVLWLRSFAGSSSSYGPKMHPVIGCLVPFYRNRHRLLDWYTELLASSPTQTIVIGRFGARRTVVTANPNNVEHVLRTNFPNYPKGEAFTDILGDLLGCGIFNADGQLWHTQRKLASHEFTTKSLREFVVETLESEAEERLLPILASACADRRAVDVQDLLRRFAFDTICKVSLGTNPCYLDASLPESELANAFEVASGISARRGAAPMAAVWKLKRAFDVGSERRLRGAVKLIHESIMELIRTRKTEMEKGTEHNDLLTRLISGGHSEEVIRDMVISFVMAGRDTTSAALTWFFWLISRRPDVEAEIVDEVKRFKGRLSYQELKDMKVLEACLCESMRMYPPVAWDSKHAAGSDELPDGTRIKKGDRVTYFPYGMGRMERLWGNNCREFDHRRWLSEHGEVVRASPYKFPVFQAGPRVCLGKEMAFAQMKYIAAAVLGRFELRRDHRHSRPPVFVPLLTAHMAGGLQMVVEKRKGEGAQSSESY >Ma03_p17690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23280964:23293334:1 gene:Ma03_g17690 transcript:Ma03_t17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRPSSSCRFLSLCPLLLLVVLALSPSAGANGVFKVRHKFLGRKPSVGDLLAHDSRRHSRILSSVDLPIGGLGLPTSTGLYFAEIGIGNPSKKYYVQVDTGSDILWVNCISCKRCPQKSDLGVELTLYDPRESVSGSLVSCKENFCVSTYGDIPGCAANLPCEYRVVYGDGSSTAGFFVTDSVQYNQVSSDHQTRPVNASVTFGCGAQQSGDLGSSNEALDGILGFGQSNSSMISQLAASGTARKIFAHCLDTINGGGLFVIGHVVQPKVKTTPLVPDQPHYNVNMKAIEVGGAILELPTDLFDTGDKKGTIIDSGTTLSYLPEVAYKALMNAIFSKYPDLKFYNNQGFLCFEHSGSIDDGFPEVVFHFENSLLLNVYPHDYLFENRDNIYCVGWQNGGLQSKDGRDMFLLGDLVLSNKLVLYDLENQVIGWTDYNCSSSIKVRDGKTGAVFIVDSHNLYSSGKRLQLGGSILLLLLTLLCVF >Ma10_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22755508:22758328:-1 gene:Ma10_g08360 transcript:Ma10_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDASDSGADGTRSSEIGEERGEGDRVAEGVLCVKVMTDEQVEVLRRQIAVYATICEQLVEMHRAFAADQDSLAGLKLGSGYCESMMASGGHKMIPRQRWCPTTKQLQMLENIFDQGNGTPSKQRIKEITLELSLHGQISEMNVYNWFQNRRARSKRKQTAPSNNEYEVDTDCESPNFKIFKSDEPPHEDQLVGTDNYPIHNAQVSNALHPLGPESNQTLGTHGSNESSKSGGMSYQNFLSSQRVDQLMENMDIPGSFSPFHPGKSYGMIG >Ma07_p06440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4638229:4639598:1 gene:Ma07_g06440 transcript:Ma07_t06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDETGCRAPEGPILCINNCGFFGSAATMNMCSKCHEDMILKQEQAKLAASSIDSLVNGSGSGSGKEPVVSGNADVAVGSVESKAISAQPPDVLGSSEAGEAKAKEGPNRCNTCRKRVGLTGFSCRCGNLFCAAHRYSDKHDCRFDYRKAAQAAIAKANPIVKAEKLDKI >Ma07_p06440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4638288:4639598:1 gene:Ma07_g06440 transcript:Ma07_t06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDETGCRAPEGPILCINNCGFFGSAATMNMCSKCHEDMILKQEQAKLAASSIDSLVNGSGSGSGKEPVVSGNADVAVGSVESKAISAQPPDVLGSSEAGEAKAKEGPNRCNTCRKRVGLTGFSCRCGNLFCAAHRYSDKHDCRFDYRKAAQAAIAKANPIVKAEKLDKI >Ma07_p06440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4638091:4639598:1 gene:Ma07_g06440 transcript:Ma07_t06440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDETGCRAPEGPILCINNCGFFGSAATMNMCSKCHEDMILKQEQAKLAASSIDSLVNGSGSGSGKEPVVSGNADVAVGSVESKAISAQPPDVLGSSEAGEAKAKEGPNRCNTCRKRVGLTGFSCRCGNLFCAAHRYSDKHDCRFDYRKAAQAAIAKANPIVKAEKLDKI >Ma06_p38120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36988475:37021699:-1 gene:Ma06_g38120 transcript:Ma06_t38120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MFAVGVFLPYSSYFEPSPHLFVSNPRLPVLPRRALRKFRRRNPSSRSLLSLHRFDLLRCSSNPNSRVNADSCSHRGGLEIDADGILETGDLDFPVEICTTRALPPALSLAEGFDKMKQAVEELKSNPPRMTSGVLRFQVAVPPSTKALTWLCCQHHDLLVYPHFYLITRASSDTSLQLYLLGALKVCGIGAAISVHGSSWARQGFSLISRYLSIDSPLIKAYGFLGINYNKMSSLMEERLDSFYLFIPQVEVTEYKGCSVLAVTLVWDDCMSYKFTNAVHTFELYIHQMINYVHRVNIYDEVFWMNHANGKLPLIAKKDAEMVYLNAEIVAQSAPQNNSFHKKKVPSSCQFYFRHAWTTSIGTTMLMGSYGTNCLIKDCANINAVWASLIVEECVRLGLTYFCIAPGSRSSPLAISACGHSHTTCYSCYDERSLAFHAVGYGKGSHKPAVIITSSGTAVSNLLPAVVEASHDFVPLLLLTADRPPELQDAGANQSIDQVNHFGKFVRFFNLPAATDQVPARMVLTTIDSAVHYATQVPHGPVHINCPFREPLEDSPREWQLDCLKGLDSWLSKKEPYTKYIKMQHLFTDGHHNGQVAEIIEVIQKAKRGLLLIGAIHKEDEIFAASVLAKHLSWPVVSDILSGLRLRRVLASFHEIESLFIDHMDHALLSHSVRSWAQPDLVLQIGSRITSKRIIQLLELCSPSSYILVDEHPCRHDPSHIVTHRIQSTIIEFAHILRKYYFPKQAGTWNIFLKELNMMVAQEIEFQIHSESSLTEPYVAHVIGEVLKDDSALFIGNSMVIRDADMYGRGWLCPMSFDSKMMSNLDLPFQGLRVAGNRGASGIDGLLSTAVGFAVGCNKQVICLIGDVSFLHDTNGLAVLNQRVTRKPITVIVINNHGGGIFSLLPIAKRAQPDVLDKYFYTVHDVSIDRLCTAHSVKHLLVRTKTELHNALCKCRQQQTDCVIEVESSIEGNTYFHSIMSKFACEAANQTLNFLLGLPLSGSLNNGLFLSKIDKVEYSLYRVQLFTRLTSSQVKNDIKNFFREGFVLRIYLDNATVGLGEVAPIEIHAEDLLDVEEQLKFMAHKLEGSEISFVPLLKGSFSQWIWKALGIPPSSLFPSVRCGIEMAILNAIAARQGSGFLDVISGYMSSSRETQLVAGVNGSKQIQICALVDHSGTPKEIADVVSQLVDEGFSTIKLKVARRENPVEDAEVIQVIRQKVGYEVKIRVDANRRWTYEEAMQFGSCVKCLDLQYIEEPVCQEVDIVKFCDESGLPVALDETIDNLSGDFLHELKKFVHPGIVAIVIKPSVVGGFERASLIAKWAQLHEKMAVVSSAFESSISLSTYIQYACYLEEQNAEICRIKGRTPDAAIAHGLGTYCWLKEDVTSRGIDICIRPYSDRMVASVEDARNFIQCVQINKGSIQRNYSGEPVRSYHIKVDGDYFSCSFKLQETGENIDNITIVYLHGFLGTSQDWIPIMKGVSATAHCISIDLPGHGESQVQFSMDKRSKQGIDLSVESVADMLLKLISSITTGGVILVGYSMGARIALHMALKFKEKVMGAVIVSGSPGLRDKTVRKIRGAQDESRARFLVEHGLHSFLETWYSGSLWKSLRDHPHFSYIRSTRDRHNDIQGLAEILSSLSIGKQLSLWEDLRHLQTPLLFIVGEKDAKFRKIAQHMCSEIRGCSKDDPHQQQKLYDTIIVPDCGHAVHLENPLPVINAIRKFVTSFEQR >Ma06_p38120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36988475:37021699:-1 gene:Ma06_g38120 transcript:Ma06_t38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MFAVGVFLPYSSYFEPSPHLFVSNPRLPVLPRRALRKFRRRNPSSRSLLSLHRFDLLRCSSNPNSRVNADSCSHRGGLEIDADGILETGDLDFPVEICTTRALPPALSLAEGFDKMKQAVEELKSNPPRMTSGVLRFQVAVPPSTKALTWLCCQHHDLLVYPHFYLITRASSDTSLQLYLLGALKVCGIGAAISVHGSSWARQGFSLISRYLSIDSPLIKAYGFLGINYNKMSSLMEERLDSFYLFIPQVEVTEYKGCSVLAVTLVWDDCMSYKFTNAVHTFELYIHQMINYVHRVNIYDEVFWMNHANGKLPLIAKKDAEMVYLNAEIVAQSAPQNNSFHKKKVPSSCQFYFRHAWTTSIGTTMLMGSYGTNCLIKDCANINAVWASLIVEECVRLGLTYFCIAPGSRSSPLAISACGHSHTTCYSCYDERSLAFHAVGYGKGSHKPAVIITSSGTAVSNLLPAVVEASHDFVPLLLLTADRPPELQDAGANQSIDQVNHFGKFVRFFNLPAATDQVPARMVLTTIDSAVHYATQVPHGPVHINCPFREPLEDSPREWQLDCLKGLDSWLSKKEPYTKYIKMQHLFTDGHHNGQVAEIIEVIQKAKRGLLLIGAIHKEDEIFAASVLAKHLSWPVVSDILSGLRLRRVLASFHEIESLFIDHMDHALLSHSVRSWAQPDLVLQIGSRITSKRIIQLLELCSPSSYILVDEHPCRHDPSHIVTHRIQSTIIEFAHILRKYYFPKQAGTWNIFLKELNMMVAQEIEFQIHSESSLTEPYVAHVIGEVLKDDSALFIGNSMVIRDADMYGRGWLCPMSFDSKMMSNLDLPFQGLRVAGNRGASGIDGLLSTAVGFAVGCNKQVICLIGDVSFLHDTNGLAVLNQRVTRKPITVIVINNHGGGIFSLLPIAKRAQPDVLDKYFYTVHDVSIDRLCTAHSVKHLLVRTKTELHNALCKCRQQQTDCVIEVESSIEGNTYFHSIMSKFACEAANQTLNFLLGLPLSGSLNNGLFLSKIDKVEYSLYRVQLFTRLTSSQVKNDIKNFFREGFVLRIYLDNATVGLGEVAPIEIHAEDLLDVEEQLKFMAHKLEGSEISFVPLLKGSFSQWIWKALGIPPSSLFPSVRCGIEMAILNAIAARQGSGFLDVISGYMSSSRETQLVAGVNGSKQIQICALVDHSGTPKEIADVVSQLVDEGFSTIKLKVARRENPVEDAEVIQVIRQKVGYEVKIRVDANRRWTYEEAMQFGSCVKCLDLQYIEEPVCQEVDIVKFCDESGLPVALDETIDNLSGDFLHELKKFVHPGIVAIVIKPSVVGGFERASLIAKWAQLHEKMAVVSSAFESSISLSTYIQYACYLEEQNAEICRIKGRTPDAAIAHGLGTYCWLKEDVTSRGIDICIRPYSDRMVASVEDARNFIQCVQINKGSIQRNYSGEPVRSYHIKVDGDYFSCSFKLQETGENIDLMQNITIVYLHGFLGTSQDWIPIMKGVSATAHCISIDLPGHGESQVQFSMDKRSKQGIDLSVESVADMLLKLISSITTGGVILVGYSMGARIALHMALKFKEKVMGAVIVSGSPGLRDKTVRKIRGAQDESRARFLVEHGLHSFLETWYSGSLWKSLRDHPHFSYIRSTRDRHNDIQGLAEILSSLSIGKQLSLWEDLRHLQTPLLFIVGEKDAKFRKIAQHMCSEIRGCSKDDPHQQQKLYDTIIVPDCGHAVHLENPLPVINAIRKFVTSFEQR >Ma09_p11100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7512116:7513359:-1 gene:Ma09_g11100 transcript:Ma09_t11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKHTTVVGGEESKEVRFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGPKAKTNFLYADACSSPSPVAVGSPSSQSSTLESSGYDAAAAAVPPPVPAPSLDLGLLCRGGGGYFPFQPYPPAVPVAHPFFFVDAKSRVANNRHLALCPPMFVACLQPPPAVAAHSDSDSSSVVDLHPDHLSPPRPLPPKAFHLDLDLNLPPPAETS >Ma03_p31830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33899059:33902411:1 gene:Ma03_g31830 transcript:Ma03_t31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIHGGSLMIPTICCGRRDRSF >Ma04_p12890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9761117:9762904:-1 gene:Ma04_g12890 transcript:Ma04_t12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSVSSFDSQELYLGKDRLGCTLSILKLKTSELEEKEKLIKDLLNKIGSEVGTTKCEEVEANATQLANDKKICNPNKKRKLKPQSLGPIALVHQVASEGKVDGRRRRSLRTKSSGLKSESFEFVNDLLKRDDGGSPVTHQVGLEEKVVGRRTSFRTRSCSLKSESHESMELHKIDDAILPVTCQVPSEEKIDRRRKSLRRRSGYLKSESCEPTENSVKMEDAKFLVYTIKNERVSYCSWRLFYFLHIKYFC >Ma11_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1867287:1868725:-1 gene:Ma11_g02580 transcript:Ma11_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLATALHSFLSTIYPFRYQRSHPKSYSNTYYYNSTSSGSSTHSYFDGNLYTGKMTKGKPLSLQTVELKVRMCCTGCERVVKQALLKLRGVDSVEVDLELEKVTVTGYVDRNKVLKEVRRSGKKAEFWPNPDLPLHFTTAKDYFHDEESFRDSYNYWRHGYNGDKHGRLPVTQRGEDAVSNMFNDDDVNACSVM >Ma05_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35888942:35895051:1 gene:Ma05_g23730 transcript:Ma05_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASNRLIYLKSIVSLANKQANYRVAACEFSKQACSFRRLQQSATMALASRASLLVGLMIVAVIAPVAIAQLGNIIVSGTVPCSTSTTTVTAATPVFPNATVLLQCGSNVISSAITNSNGVFTMLVNPVDSLLTLLNSCKLVIPTPLSTCNTSLPSTGILQSSLQLLSGGLLGGILGGILNLVPTLFTLVGNLG >Ma11_p11080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11676830:11681922:-1 gene:Ma11_g11080 transcript:Ma11_t11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MLRGAVGEESFSVVPWVALSLPFFGSLCVVRSPLSDLPSMEDTVSEIQGPEPSEEPLPPPPPAVPDTIPPSQHNHCGSASAFAFWAYLAVVVSLLALLLPSPSPSSSDRRSWFLSLPDDLRQHHGRGKLLKAHAAAPSRPPLQVFAVEAGPRDGDVVLLLHGLGCSSYSFRRVLPSLASGGYRAVAIDLPGSGFSDRPDLPDDDDRRLGGFLGWILDVYKEIREKGIFWGFDQLIETGEIPYDQIGVRVPRKDGTASPGYGSVEMGRVIGEVIESMGFAPVHLVLHDSAFPTGMNWASVNPGYVRSVTVVDSSAESAAFPSWLLATPVLGPLLLRSRFLFSGLLRLCCSRSIDGAAAEAYRLLLKGTDGKKAVVAAGKALNHSFDLAEWTTLDAMKGMPLQILWSNMWSDRWIDEGKRISAAAPMGKFSYHSGGRWPQEDAAEEIAGMIVQFLSSLPKLTKKILEEPPPEHIKRLFDEASDEYHHHHHHHGHGHDHAAAYVDMYGIGQGWGT >Ma11_p11080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:11676555:11681922:-1 gene:Ma11_g11080 transcript:Ma11_t11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MLRGAVGEESFSVVPWVALSLPFFGSLCVVRSPLSDLPSMEDTVSEIQGPEPSEEPLPPPPPAVPDTIPPSQHNHCGSASAFAFWAYLAVVVSLLALLLPSPSPSSSDRRSWFLSLPDDLRQHHGRGKLLKAHAAAPSRPPLQVFAVEAGPRDGDVVLLLHGLGCSSYSFRRVLPSLASGGYRAVAIDLPGSGFSDRPDLPDDDDRRLGGFLGWILDVYKEIREKGIFWGFDQLIETGEIPYDQIGVRVPRKDGTASPGYGSVEMGRVIGEVIESMGFAPVHLVLHDSAFPTGMNWASVNPGYVRSVTVVDSSAESAAFPSWLLATPVLGPLLLRSRFLFSGLLRLCCSRSIDGAAAEAYRLLLKGTDGKKAVVAAGKALNHSFDLAEWTTLDAMKGMPLQILWSNMWSDRWIDEGKRISAAAPMGKFSYHSGGRWPQEDAAEEIAGMIVQFLSSLPKLTKKILEEPPPEHIKRLFDEASDEYHHHHHHHGHGHDHAAAYVDMYGIGQGWGT >Ma06_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1847876:1851844:-1 gene:Ma06_g02380 transcript:Ma06_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAEGVNNLHIAAPDSYKNNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKITTSTVDVKDESRGWPIQKAKIEILLGKTENFDELMAAAAEGDNKEQS >Ma04_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26526121:26532636:-1 gene:Ma04_g24530 transcript:Ma04_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGSEDGRGDLRKPFLHTGSWYRMGMGMGSRQSSLLASSASVIRDSSISAVLCTLIVALGPIQFGFTGGFSSPTQDDIIADLGLSLSEFSIFGSLSNVGAMVGAIASGQLAEYIGRKGSLMIASIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGILPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDADITVEVNEIKRSVASSTRRTAIRFSQLRQRRYKLPLMIGIGLLVLQQLSGINGILFYASNIFKTAGLTNGNLATCGLGAIQVVATGVTTWLLDRAGRRILLIISAAGMTLSLLLVSVAFFLEGVFPEESHSYYIMSILSLVGLVAFVIAFSFGLGAIPWIIMSEILPVNIKSLAGSVATLANWLTSFAITMTANLLLNWSTGGTFAIYTVVSVLTLLFVITWVPETKGRTLEEIQWSFR >Ma07_p08230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6127334:6129094:1 gene:Ma07_g08230 transcript:Ma07_t08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAACRFMRRRCQPECVFASGFPASNMVRFELVHRVFGASNVQRLLAQLRPEQRPEAADSLVYEADARVRDPVHGCVRYMHELQDEWQQIQRQLHDAKKELSAYVGPVATSPSLPQPYHPHRHLQGTPLCSTTACGIAGISTDAADRSTRGIIPGTGIGMGLDLDSSGTSTPPEMGIGNGLYLDPPVTLRGIPTTGTRMGLDPDGPSKLIDTSRMGTEMGLDLDASSSGSPGTCTAMVLDPDAPVTSPHQESLMHEPEQQQQIMDVIAEGEQLARSNLGFPEVRIVYNDQIGGGITLAAAAGPPSGLGLPLAQPFEGPSAAQPQQYTEQQQHLGLVPVQPLQGSAAAQQHRQHFSPVQPFQGSFAAQPQRCIQQHHDMGFLPTQSILGSSTLHPQHHIQQQRQHLGMVPAQQFQGNYAARLEQHMDHTQQQQHLPFQGASATQPQLPLTFPAQTFQWPSAAQPPPYNRQQNHHQQRKHMDLSSAQSTQWPSVAQPHNQTKQQHNQQRRHHPGLVPAQPSQGPLVVQPQHRHTQQRQTTLGPVPAQPFEGPFAAQPQQPIHHHPQYETTQSDDLDYLWSRIDPSS >Ma02_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13350674:13357899:-1 gene:Ma02_g02240 transcript:Ma02_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box only protein 6 [Source:Projected from Arabidopsis thaliana (AT1G27340) UniProtKB/Swiss-Prot;Acc:Q9FZK1] MDGAAVLRQLVGQIQELCELYGSPSLDYPHLNPRWYMVELNNNSLEYDFCGLIMEDNSDQKMVEVNKSLPCKRSRRERNPAKALALISTDLMDQQIWKDFPEDLFEAVIARLPIDSFFRFRTVCRKWNSLLTSHSFSQHFAEVPRLHPWFYTVTPENISSAAMYDPSSRKWHHFSIPFLPAKTIILPVASAGGLICFLDLGHKNFYVCNPLTNSFKELPPRSFRVWSRVAVGMILNGTTANDGYKIVWLGCNGDHEIYDSLQNSWTRNGAFPSSIKLPLSLNFRSQTVSIGNSLYFMHAEPDGILSYDVANGAWKQFIIPSPSHLTDYTLAEYGGQVMLVGLVTKNAVTCIYIWELQKMTLLWKEVDRMPNIWCLELYGKHVRMTCLGNRGLLMLSLRSRRMNRLVTYNMSSKEWQRVPECLLPHGRKRQSIACGTAFDPCPTAFA >Ma07_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26413257:26415603:1 gene:Ma07_g18640 transcript:Ma07_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGSDVKGQLSLYNAAYLGTHGEIILDEAISFTRNSLVSALADLKPPLTTQVSLDLETPLCRRIRRLLARDYISIYQEDATRDDAILELAKLDFNLLQSLHREELKNLTKWWNDLVSSKNLSFARDRLVECYFWILGIYFEPYYSRARVITTKVIALVSILDDIYDVYSTLEESQRLTEAIQMWDAKVVHQLPEYMKDYYLKLMHTFKEFEDLLASNEKYRITYLKEGMKDLSEAYFEESKWRDQHYVPTLKEHLHVSLISSAYPMLECASFVGMGEIATKEAFEWITSFPKIVQASAIIGRIMNDITSHELEQTREHVASTVQCYMKEYGTDVHVACKKLQGLVDDAWKEINEECLNPTAFSIALLERIFNYSRITENTYKYIDGYTNSSTKTKEYISLLLVHPIPL >Ma11_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10875062:10876348:1 gene:Ma11_g10900 transcript:Ma11_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGVVMVYGNGAALTEPKKSSTFSVKVGLAQMLRGGVIMDVVTPEQARVAEEAGACAVMALERVPADIRAQGGVARMSDPGLIKEIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTPADEQNHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRALRNMDDDEVFTFAKRIAAPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILAEVSCGLGEAMVGINLSDAKVERFASRSE >Ma02_p01010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:7695492:7699550:-1 gene:Ma02_g01010 transcript:Ma02_t01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIKIVQSQGVIGSLCTISKIKKINHSQHIYPR >Ma09_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29463488:29468313:-1 gene:Ma09_g20490 transcript:Ma09_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKSSSAVSTQLLLLLCCSLLSFELAIAAGTADGSENWGYVEVRPKARMFWWHYRSPQRVDGGSTPWPTVLWLQGGPGGSGVAIGNFQEIGPLDTGLKPRNSTWLHKADLLFVDNPVGTGFSYVEDESLLVKTDVEAAVDLTTLLKKLYGQNKSWQKSPLFIVAESYGGKFAATVGLSIHKAIKAGELKLKLGGVALADSWMSPEDYVFSWGPLLRDVSRLDVRDAEKSNVIAERIRQEIKEGRNVDATNSWRQLEGFISSASNDVDFYNFLLDTASDPLLKTEVQESRKLTMETYATYLSSKAATSAVDTSSFMNGVIREKLKIIPKSVSWGGQSGLVFDSLSNDFMKPRIKEVDELLSLGINVTIYNGQVDLICSTKGTEAWVQKLKWKGLKQFNSMDRKPLYCHGGEQITKGFLKSYQNLHFYWILGAGHFVPVDQPCISLQMIAAITHSPAVSS >Ma09_p09640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6341832:6344338:1 gene:Ma09_g09640 transcript:Ma09_t09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVVWVVSPKETSRSSGFSQFAGVKRRWWRSSSAGWSCARSASTARRSVSASLVVTPPRSSEALVYDVVLRQAALVGEARRKRAVDAVEAPPAPLRGDLLDAAYERCGEVCAEYAKTFYLGTMLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWSNRLEDLFAGRPYDMYDAALSDTVSNFPVDMQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPHSKASAESVYSAALALGIANQLTNILRDVGEDSRRGRVYLPQDELAHAGLSDDDVFEGRVTDKWRTFMKGQITRARMFFDEAEKGIYELNSASRWPVLASLLLYRQILDAIEANDYNNFTKRAYVGKAKKLASLPIAYAKAVIVGPSRFVGTL >Ma10_p09030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23232567:23236789:1 gene:Ma10_g09030 transcript:Ma10_t09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATFPAGMLEISCWMYTSERQVARLRLAFLRAVLHQDIEAFDTELTTAKVMAGATHHMSTIQDAIGEKLGHFISCFATYFAGVVIAIVSCWEVALLSLMVLPMILAIGATYTRKMEGLSALRMSYLSEATSVVEQHLQTLSHIKTVFSFVGESSSLKSFTRNVKQQYAMSKKEAAIKGLGLGLFQTVTFCSWALVVWVGAVVVTAGRANGGETLAAIMSILFGAISITYAAPDLQIFNQAKAAGSEVFKVIERKPRISYETKGEKLEKIVGGIEINGVSFAYPSRQDKLVLQGFSLSIPAGKVFALVGSSGCGKSTLLSLVQRFYDPISGEISIDGHNIKDLDLEFLRRNVAAVPQEPSLFSGTIKDNLKVGNSEATDEEILKAASAANADSFISLLPDKYSTWVGERQVQLSGGQKQRIAIARAILKDSPILLLDEPTSALDSESEKLVQEALEKVMQGRTVIIVAHRASTVSNADTIVVVEEGRVAQSGSHSDLLVSSTFYNNLFHMHNMNPEMGETQSRNTTPEIIQHTPASEELKEPKNQPSDPPIGRMQTSKSRTANFSRIWFRLNKFELAKTALGSLAAAVAGVSKPLFGFFIMTIGVAYYKQNARETVGKYSIIFCVVGVLALVAHTLQHYHYGVVGEKAMGNLREALFSAVLRNEVAWFEKPENGVGLLATRIVSDTCVIKTIISDRMSVIVQCISSILIATTVSMIVNWRMGLVAWALMPCHFIGGLIQSKSAKGFSGDSALAHQQLAVLASESASNIRTVAAFVHEEQMITKAKLSLEEPMRIGRLQSIKYGMIQGTSLFLWNVAHAVALWYTTILVDKNQSSFEDGIRSYQIFSLTVPSITELWTLIPTVVSAIGILKPAFDILDRETRILPDVPDEVNSERILGGIEFECIRFSYPSRPQVVVLDSFVLTVEAGSSVALVGPSGAGKSSVLALLMRFYDPAQGRILIDRKDIREYNLRWLRQQIGFVQQEPLLFSSTIRENICYGNEGASEAETVEAATEANIHEFISSLPDGYDTMVGEKGCQVSGGQKQRIAIARTLLKRPVILLLDEATSALDAETERTIMRALGSSKWWRREDTSCGITRVTVAHRLSTVISSDIIVVMEKGKVVEMGSHSTLIGEADGVYSKLFHLQNQ >Ma08_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:621522:623666:1 gene:Ma08_g00630 transcript:Ma08_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLQHPLPFAFGMLGNLISFMVFLAPIPTFYRVYRKKSTEGFHSVPYVVALFSCMLWIYYAFVKTNSMLLITINSFGLFIETIYITIYLIYAPKKARIFCIQIFVLLDVVAFAAIVLLTQLVFKGSNRVTVLGWICVGFSISVFAAPLSVIRLVIRTKSVEFMPFFLSFFLTLSAIAWFGYGLFTKDIYVQLPNVLGFVFGIAQMLLYIIYKKKKNVVVEPTVPEHILKIAELITTPASELQVSIEENDRKKKANEDAEGVDNGKTAAAAAAEEGIEINAV >Ma03_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10576074:10582453:1 gene:Ma03_g13460 transcript:Ma03_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQKYAYGASNVFCESNDRSNLSLLKFIISLTTRFYLIHFSPASVLPSCPIMHQGGRETGAMHALMS >Ma03_p29940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32761449:32761727:-1 gene:Ma03_g29940 transcript:Ma03_t29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILSEILLSGFMIDITLRRRTHLAQSFSVVFLYWFYVFS >Ma10_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3918399:3919134:-1 gene:Ma10_g01030 transcript:Ma10_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERDAESVKNEMFASELLQMFGLPYIIAPTEAKAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFVKVSVELERELGLDRDKLIRMALLLGSDYTEGVR >Ma09_p16630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12025763:12039328:-1 gene:Ma09_g16630 transcript:Ma09_t16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPASEAEPVAPAADDAAAAAPMWDWGNLLDFTICDDEPLILPWGVTEEAQTPSSPFPAELPPLPSPELAPSPSTTPDGTSRVRKRDPRLVCPNYLAGMVPCSCPEVDEKVMEEEEVAEVVTGTRKRSRTGGASPVVRCQVPGCEVDISELKGYHKRHRVCLRCANASSVVLDGEHKRYCQQCGKFHVLPDFDEGKRSCRRKLERHNKRRRRKPNDSNSIVEKDLEAQEDSLPDGTCDGEPLTEMLNDSICHTAETVVSIKVLARGTVVESEGGQGSPIGSHPSFKNDGLATSCEVQRAEKITNSKSALSSTFCENKSTYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFHWLANMPVELEGYIRPGCTILTVFIAMPQFMWEKLSQDVAHYVRDLINTPESLLFGRGTILIFLNNMIIHVLQDGTTLTNIKMEVQAPILHYVYPSCFEAGKPMEFVACGSNLEQSKFRFLVSFAGKYLKHDSMRVTSTRKSRSYDGNEVECIESSEHEMFMIKVTHMDSEIFGPAFIEVENVSGISNFIPVLVGNKQICSEFERMEGVFADCYASSIISQNAITDSSPGSCDFFASRQSVMSALLLDIAWLMKAPHLEDKEAFRSLTNVQRLTSLLKFLLQNELFSVLQVIMHHLDSIVDVDGFDKLDKWTDVDQKLFHEYLSHAREILYERTHDMRSEAEMRNPICGLMPQSSQSNMCGEIYTKQGNEAIKDCLFLDSFQPAVEHDVNVLLVNEEISHRQHCHHNLGKKCAFDDLFSNKITRTRFPLFVIVSVVLCLAACIILLHPHEVGEIAVSIRRCMFGGSPA >Ma09_p16630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12028038:12039328:-1 gene:Ma09_g16630 transcript:Ma09_t16630.3 gene_biotype:protein_coding transcript_biotype:protein_coding METSPASEAEPVAPAADDAAAAAPMWDWGNLLDFTICDDEPLILPWGVTEEAQTPSSPFPAELPPLPSPELAPSPSTTPDGTSRVRKRDPRLVCPNYLAGMVPCSCPEVDEKVMEEEEVAEVVTGTRKRSRTGGASPVVRCQVPGCEVDISELKGYHKRHRVCLRCANASSVVLDGEHKRYCQQCGKFHVLPDFDEGKRSCRRKLERHNKRRRRKPNDSNSIVEKDLEAQEDSLPDGTCDGEPLTEMLNDSICHTAETVVSIKVLARGTVVESEGGQGSPIGSHPSFKNDGLATSCEVQRAEKITNSKSALSSTFCENKSTYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFHWLANMPVELEGYIRPGCTILTVFIAMPQFMWEKLSQDVAHYVRDLINTPESLLFGRGTILIFLNNMIIHVLQDGTTLTNIKMEVQAPILHYVYPSCFEAGKPMEFVACGSNLEQSKFRFLVSFAGKYLKHDSMRVTSTRKSRSYDGNEVECIESSEHEMFMIKVTHMDSEIFGPAFIEAICDVSTSLGHCMVNEGTSFGR >Ma09_p16630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12025763:12039328:-1 gene:Ma09_g16630 transcript:Ma09_t16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSPASEAEPVAPAADDAAAAAPMWDWGNLLDFTICDDEPLILPWGVTEEAQTPSSPFPAELPPLPSPELAPSPSTTPDGTSRVRKRDPRLVCPNYLAGMVPCSCPEVDEKVMEEEEVAEVVTGTRKRSRTGGASPVVRCQVPGCEVDISELKGYHKRHRVCLRCANASSVVLDGEHKRYCQQCGKFHVLPDFDEGKRSCRRKLERHNKRRRRKPNDSNSIVEKDLEAQEDSLPDGTCDGEPLTAETVVSIKVLARGTVVESEGGQGSPIGSHPSFKNDGLATSCEVQRAEKITNSKSALSSTFCENKSTYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFHWLANMPVELEGYIRPGCTILTVFIAMPQFMWEKLSQDVAHYVRDLINTPESLLFGRGTILIFLNNMIIHVLQDGTTLTNIKMEVQAPILHYVYPSCFEAGKPMEFVACGSNLEQSKFRFLVSFAGKYLKHDSMRVTSTRKSRSYDGNEVECIESSEHEMFMIKVTHMDSEIFGPAFIEVENVSGISNFIPVLVGNKQICSEFERMEGVFADCYASSIISQNAITDSSPGSCDFFASRQSVMSALLLDIAWLMKAPHLEDKEAFRSLTNVQRLTSLLKFLLQNELFSVLQVIMHHLDSIVDVDGFDKLDKWTDVDQKLFHEYLSHAREILYERTHDMRSEAEMRNPICGLMPQSSQSNMCGEIYTKQGNEAIKDCLFLDSFQPAVEHDVNVLLVNEEISHRQHCHHNLGKKCAFDDLFSNKITRTRFPLFVIVSVVLCLAACIILLHPHEVGEIAVSIRRCMFGGSPA >Ma01_p19080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14510948:14526624:-1 gene:Ma01_g19080 transcript:Ma01_t19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLRDLSKPIDVPLLDATVAAFYGTGSKEERSAADQILRELQNNPDTWLQVVHILQNSQSLNTKFFALQVLESVIKYKWNALPVEQRDGIKNYISDVIVQLSSSEVSFRKERLYVNKLNVILVQVLKHEWPTRWQSFIPDLVSAAKSSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLEILLKFFPIASYRNLTLQCLTEVAALQFGDFYDMQYVKMYTIFMIQLQTVIPPGTNISEAYTNGSSEEQAFIQNLALFFTSFYKSHIRVLESPENRAALLMGLEYLIGISYVDDTEVFKVCLDYWNLLVLELFEAHHNLDNPAVAAGLMGLQRRQLYSGPLSKLRTLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSRQLSGEEWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVITQVGENEPFVSELLSSLPSTVSDLQPHQIHSFYESVGHMIQAEPDPSKRDEYLRRLMDLPNQKWAEIIGQASLSVDVLKDQDVIRAVLNILQTNTSAASSLGTYFFPQISLIFLDMLTVYRMYSELISSSIAEGGPFASKTSFVKLLRSVKRETLKLIETFVDKAEDQPHIGRQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYRGVMMEYVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVMDSIIWAFRHTERNIAETGLSLLLELLKNFQVSEFCNQFYRTYYLTIEQEIFAVLTDTFHKPGFKLHVIVLQHLFCLVDSGALTEPLWDASTVPYPYANNTVFVRDYTIKLLGSSFPNMTTPEITQFVGGLFESRNDLPTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNELQDEMVDS >Ma01_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14510948:14526624:-1 gene:Ma01_g19080 transcript:Ma01_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLRDLSKPIDVPLLDATVAAFYGTGSKEERSAADQILRELQNNPDTWLQVVHILQNSQSLNTKFFALQVLESVIKYKWNALPVEQRDGIKNYISDVIVQLSSSEVSFRKERLYVNKLNVILVQVLKHEWPTRWQSFIPDLVSAAKSSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLEILLKFFPIASYRNLTLQCLTEVAALQFGDFYDMQYVKMYTIFMIQLQTVIPPGTNISEAYTNGSSEEQAFIQNLALFFTSFYKSHIRVLESPENRAALLMGLEYLIGISYVDDTEVFKVCLDYWNLLVLELFEAHHNLDNPAVAAGLMGLQATLIPEMVDGLGSLLLQRRQLYSGPLSKLRTLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSRQLSGEEWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVITQVGENEPFVSELLSSLPSTVSDLQPHQIHSFYESVGHMIQAEPDPSKRDEYLRRLMDLPNQKWAEIIGQASLSVDVLKDQDVIRAVLNILQTNTSAASSLGTYFFPQISLIFLDMLTVYRMYSELISSSIAEGGPFASKTSFVKLLRSVKRETLKLIETFVDKAEDQPHIGRQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYRGVMMEYVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVMDSIIWAFRHTERNIAETGLSLLLELLKNFQVSEFCNQFYRTYYLTIEQEIFAVLTDTFHKPGFKLHVIVLQHLFCLVDSGALTEPLWDASTVPYPYANNTVFVRDYTIKLLGSSFPNMTTPEITQFVGGLFESRNDLPTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNELQDEMVDS >Ma01_p19080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14510948:14526624:-1 gene:Ma01_g19080 transcript:Ma01_t19080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLRDLSKPIDVPLLDATVAAFYGTGSKEERSAADQILRELQNNPDTWLQVVHILQNSQSLNTKFFALQVLESVIKYKWNALPVEQRDGIKNYISDVIVQLSSSEVSFRKERLYVNKLNVILVQVLKHEWPTRWQSFIPDLVSAAKSSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLATLHAFLSWIPLGYIFESPLLEILLKFFPIASYRNLTLQCLTEVAALQFGDFYDMQYVKMYTIFMIQLQTVIPPGTNISEAYTNGSSEEQAFIQNLALFFTSFYKSHIRVLESPENRAALLMGLEYLIGISYVDDTEVFKVCLDYWNLLVLELFEAHHNLDNPAVAAGLMGLQATLIPEMVDGLGSLLLQRRQLYSGPLSKLRTLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSRQLSGEEWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVITQVGENEPFVSELLSSLPSTVSDLQPHQIHSFYESVGHMIQAEPDPSKRDEYLRRLMDLPNQKWAEIIGQASLSVDVLKDQDVIRAVLNILQTNTSAASSLGTYFFPQISLIFLDMLTVYRMYSELISSSIAEGGPFASKTSFVKLLRSVKRETLKLIETFVDKAEDQPHIGRQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYRGVMMEYVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVMDSIIWAFRHTERNIAETGLSLLLELLKNFQVSEFCNQFYRTYYLTIEQEIFAVLTDTFHKPGFKLHVIVLQHLFCLVDSGALTEPLWDASTVPYPYANNTVFVRDYTIKLLGSSFPNMTTPEITQFVGGLFESRNDLPTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNELQDEMVDS >Ma03_p12330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9524826:9526103:1 gene:Ma03_g12330 transcript:Ma03_t12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAQYPSDVILVNGGEETTGIDLSQGLQGFLDSSTLVFTETGGAVNPRKRAREETRVTAVSMANGPTTAQKTDISNLLFLQSNSLSPTYISLAQFPSKSTAPFVSTGLQLAFGAQQKQCTMNHVRPSPPALFEELAPHLTRCQDEIDGFLSEQGKLLQSTLAGKWRSHCRTLVSAAAAAARRRIRAKEAEVEQALRHRAELEERLARLKAESLAWRGKAAAAQSHAVALHAQLQQAAEAAAVAREREGESGGTAAADDAGSATCVDMAPGSCRACRRRNTAAVVVLPCRHLCLCVDCAAAGAAASCPACGRVSTRIVHVAFS >Ma00_p03180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:26419003:26419793:1 gene:Ma00_g03180 transcript:Ma00_t03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFAILKGVDDDFLEQKVLQMIDEVGLMDKVNTMVGALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRSTWQLIKKIKKGRIVLLTTHSMDEADVLGDRIAIMANGRLRCCGR >Ma04_p25950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27570217:27573871:-1 gene:Ma04_g25950 transcript:Ma04_t25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVKRKPETRGGTAAEVVGGEHGGSSDAGRRRQGTADRRILRSRYIAVKNLISSKREDITSAKSERFNSIITEVESLHEHVQRPREQVADAEALLDIANTLLTSVRSQTSNGVTPSDFVTALLRNFGQQQGEANIENILVFNWDDIGHAASHVFRTAPGCPTMIGPMYTELKQRKVVVQRKRTRATESSHPDELIDAGSEVKADTEKNMSTIFEILRRKRNVRLENLVLNRGSFSETVENIFALSFLVKDGRAEITVDDSGHHLVSPRNAPKASAVASGEVSYSHFIFRFDFKDWKLMMDSVAAGEELMPHRTFAMDDVVG >Ma09_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4297532:4300004:1 gene:Ma09_g06720 transcript:Ma09_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETPEGTLPSTPLTDQVKKRAYVTFLAGDGDYVKGVVGLVKGLRKVKSGYPLIVAVLPDVPELHRRLLQAQGCIVREIEPVHPPENQVQFAMAYYVINYSKLRIWNFVEYSKIIYLDADIQVYENIDHLFDMPDGYFYAVMDCFCEKTWSHSRQYSIGYCQQCPDKVAWPAEMGSPPPLYFNAGMFVSEPAQSTYNSLLKALRITPPTPFAEQDFLNMYFEKIYKPIPPVYNLVLAMLWRHPENVELGKVKVVHYCAAGSKPWRFTGKEANMDREDIKMLVAKWWNIYNDDSLDFKEEDAVPEGDTCLRSTSIMGTMSEQKINYIPAPSVA >Ma10_p20040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30349232:30349975:1 gene:Ma10_g20040 transcript:Ma10_t20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSFVSPVCSLGSRLLVKTSLHQKKRSRLVVSARKEDGGGQHYGGRLVDENMATLRRRIHEMKVAENNYEAPREWMEWERRYHARYGSDVSELMGLLQVFLLNARPGVGLAAMAMLALSMPASVILILMSRSF >Ma10_p26020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33898935:33899194:1 gene:Ma10_g26020 transcript:Ma10_t26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGWIGRSGRARRWAGNRVYEGGGVRCNSWVVFAPWKSLTRGAGTEFGILMLRMP >Ma10_p24200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32887032:32889446:1 gene:Ma10_g24200 transcript:Ma10_t24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYLVNSCGFSPSEAAKFSKPLAHLRSTEKPDAVLNFMRSQGLGGAVIRKVISADPRYLRYNVEKNLAPKFQFLRDLGLSESDIVDAILKNHGILLFNVQRSIVPKLEMWEGLLGSRELVLKHLKKTTRFFHSSVEKTLHPNLKFLRDECGIPEERVSVVLRSHPKLISQKPESLRALVARADELGMPRQSRMFMWTVNIIQRVSKERFEAKVEFMRRFGWSESEFSSAVRKVPTFIGMSLDMLRRKVEFFINVVGYTPSFIADKSYLLLFSLQKRVIPRFRVTEMLKSKGLWTGQGKFSCILTLSDTKFIEKFVLPHKENVPELLDILRVAGVCKGK >Ma09_p08930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5930671:5933298:-1 gene:Ma09_g08930 transcript:Ma09_t08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFRHMYPIFRHGFKGFVHSNSHIGLNFRTSRCTYFHPIPFCYRIHSSAECSVQSSEEEVVIALGSNVGDRIHNFNKALQMMKKLGVNITRHGCLYESEPAYVTEQPLFLNSAVRGTTKLGPHELLKALKQIERDLGRQDGIRYGPRPIDLDILFYGKCKIESEDLIVPHERIWERAFVVAPLIDLLGSSIDNDNVASWHSLVGRRGGIFELWEKLGGESLIGSKGLKRVLPMGTHLWDWSEKTHVMGVLNLTPDSFSDGGKFLEVNVAVSQVRLLISEGADIIDIGAQSTRPNASRLSVDEELQRLIPVLDAVVKMPEVEGKLLSVDTFYAEVASVAVNRGVHIVNDVSGGQLDPKILSVVRDLGVPYIAMHMRGDPTTMQSNENLKYEDVCEQVASELYNRLHEAELAGIPIWRIIIDPGIGFSKKTEHNLELIMGLSNIRREIGKKSLAASHVPILLGPSRKRFLGDICNRRSPDERDPATIAAVTAGILAGANIIRVHNVGYGLDAAKVCDAMLKQQKSIS >Ma09_p08930.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5930671:5933291:-1 gene:Ma09_g08930 transcript:Ma09_t08930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFRHMYPIFRHGFKGFVHSNSHIGLNFRTSRCTYFHPIPFCYRIHSSAECSVQSSEEEVVIALGSNVGDRIHNFNKALQMMKKLGVNITRHGCLYESEPAYVTEQPLFLNSAVRGTTKLGPHELLKALKQIERDLGRQDGIRYGPRPIDLDILFYGKCKIESEDLIVPHERIWERAFVVAPLIDLLGSSIDNDNVASWHSLVGRRGGIFELWEKLGGESLIGSKGLKRVLPMGTHLWDWSEKTHVMGVLNLTPDSFSDGGKFLEVNVAVSQVRLLISEGADIIDIGAQSTRPNASRLSVDEELQRLIPVLDAVVKMPEVEGKLLSVDTFYAEVASVAVNRGVHIVNDVSGGQLDPKILSVVRDLGVPYIAMHMRGDPTTMQSNENLKYEDVCEQVASELYNRLHEAELAGIPIWRIIIDPGIGFSKKTEHNLELIMGLSNIRREIGKKSLAASHVPILLGPSRKRFLGDICNRRSPDERDPATIAAVTAGILAGANIIRVHNVGYGLDAAKVCDAMLKQQKSIS >Ma09_p08930.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5930671:5933620:-1 gene:Ma09_g08930 transcript:Ma09_t08930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFRHMYPIFRHGFKGFVHSNSHIGLNFRTSRCTYFHPIPFCYRIHSSAECSVQSSEEEVVIALGSNVGDRIHNFNKALQMMKKLGVNITRHGCLYESEPAYVTEQPLFLNSAVRGTTKLGPHELLKALKQIERDLGRQDGIRYGPRPIDLDILFYGKCKIESEDLIVPHERIWERAFVVAPLIDLLGSSIDNDNVASWHSLVGRRGGIFELWEKLGGESLIGSKGLKRVLPMGTHLWDWSEKTHVMGVLNLTPDSFSDGGKFLEVNVAVSQVRLLISEGADIIDIGAQSTRPNASRLSVDEELQRLIPVLDAVVKMPEVEGKLLSVDTFYAEVASVAVNRGVHIVNDVSGGQLDPKILSVVRDLGVPYIAMHMRGDPTTMQSNENLKYEDVCEQVASELYNRLHEAELAGIPIWRIIIDPGIGFSKKTEHNLELIMGLSNIRREIGKKSLAASHVPILLGPSRKRFLGDICNRRSPDERDPATIAAVTAGILAGANIIRVHNVGYGLDAAKVCDAMLKQQKSIS >Ma09_p08930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5930671:5933819:-1 gene:Ma09_g08930 transcript:Ma09_t08930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICSTPRGRCWAPHDLSGMRMGSVSGGARVALAARSCARPAYAVAESRAGSIDIRHRTAPSGLNFRTSRCTYFHPIPFCYRIHSSAECSVQSSEEEVVIALGSNVGDRIHNFNKALQMMKKLGVNITRHGCLYESEPAYVTEQPLFLNSAVRGTTKLGPHELLKALKQIERDLGRQDGIRYGPRPIDLDILFYGKCKIESEDLIVPHERIWERAFVVAPLIDLLGSSIDNDNVASWHSLVGRRGGIFELWEKLGGESLIGSKGLKRVLPMGTHLWDWSEKTHVMGVLNLTPDSFSDGGKFLEVNVAVSQVRLLISEGADIIDIGAQSTRPNASRLSVDEELQRLIPVLDAVVKMPEVEGKLLSVDTFYAEVASVAVNRGVHIVNDVSGGQLDPKILSVVRDLGVPYIAMHMRGDPTTMQSNENLKYEDVCEQVASELYNRLHEAELAGIPIWRIIIDPGIGFSKKTEHNLELIMGLSNIRREIGKKSLAASHVPILLGPSRKRFLGDICNRRSPDERDPATIAAVTAGILAGANIIRVHNVGYGLDAAKVCDAMLKQQKSIS >Ma04_p39770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36782217:36783459:-1 gene:Ma04_g39770 transcript:Ma04_t39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTPREQPAACGRGRAAGGDGGGKETHFRGVRKRPWGRYAAEIRDPGKKSRLWLGTFDTAEEAARAYDAAARQFRGSKAKTNFPYPSPGIVVVATAAGGGSPSSQSSTVESSGREASAAVPPLAIPLSPSLDRGLVHRGGARFPFQPFPAIAAHAMPAAHPCFLFDSIVRPDKSATAAMNHHRLTLCPPMMIAGLHGRMARGSQSDSDYSSIVDLRCYQHSAPPHKMFTLDLDLNLPPPPEIA >Ma11_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6316098:6316763:-1 gene:Ma11_g07920 transcript:Ma11_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSRRASLPRRGVADGASEGVLARVSSSISQSWIVARGKAAAAETGTVAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNELEMQQSTLLGTPTPPQPYATAAPAK >Ma03_p07900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5651668:5655122:1 gene:Ma03_g07900 transcript:Ma03_t07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRAPPYHIVVSRHQRHLLFLLLSPRRCPFSGASGSGPDPTDLWIAKLVSTVFHLSPSPAIAADRIAPIRHLLSPSVALAALRRHADPLSALAFFELSCSAFGITHSAAAFRFVICSLCQSGFHDDALKVFYQMANEGHGIEESFLEFLAVSCIEAGKLDLAVGLLGRASEFGCWYQSYTLNNLLSLLVGRNLVNDAVLFLRQHLHSQFLTPDTCSFNIVIKGLCRLGDIDAAFNFSDQMRSFGCSPDMVTHNTLIDGLCRAKQLDRAQELLQRIQLDGSSLPNVVTYTSVISGYCKMGKMEEALGVFSEMTGAGIRPSRVTYNVLIDGYGKVGDMLSAVSVYERMLASGCPPDVVTFTSLIDGYCRSGWLDDAMKLWNEMSQRELKPNAYTYAVAINSFCRMNRLNEARKLLKELKGRRDVMPHAFIYNPVIDGLCKCGRVDEANVVVLEMEERRCKPDKFTYTILIIGHCMKGRMAEAIALFQKMVSSGCTPDSITVNSLVSFLLKAGMPNEINKIMLTASERYIGLDKPCQEIPSSLGKSMGISVAM >Ma03_p07900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5651668:5655122:1 gene:Ma03_g07900 transcript:Ma03_t07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRAPPYHIVVSRHQRHLLFLLLSPRRCPFSGASGSGPDPTDLWIAKLVSTVFHLSPSPAIAADRIAPIRHLLSPSVALAALRRHADPLSALAFFELSCSAFGITHSAAAFRFVICSLCQSGFHDDALKVFYQMANEGHGIEESFLEFLAVSCIEAGKLDLAVGLLGRASEFGCWYQSYTLNNLLSLLVGRNLVNDAVLFLRQHLHSQFLTPDTCSFNIVIKGLCRLGDIDAAFNFSDQMRSFGCSPDMVTHNTLIDGLCRAKQLDRAQELLQRIQLDGSSLPNVVTYTSVISGYCKMGKMEEALGVFSEMTGAGIRPSRVTYNVLIDGYGKVGDMLSAVSVYERMLASGCPPDVVTFTSLIDGYCRSGWLDDAMKLWNEMSQRELKPNAYTYAVAINSFCRMNRLNEARKLLKELKGRRDVMPHAFIYNPVIDGLCKCGRVDEANVVVLEMEERRCKPDKFTYTILIIGHCMKGRMAEAIALFQKMVSSGCTPDSITVNSLVSFLLKAGMPNEINKIMLTASERYIGLDKPCQEIPSSLGKSMGISVAM >Ma00_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:4892500:4893244:1 gene:Ma00_g01190 transcript:Ma00_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPEAASKAFVDAVKALADCDLEDVSVAELVSALTGGWKAQLIVEAWAHDASAAIGIALRAAVGHARGRHVCVVPDKQSATKYVDAMRRAGAPVESESVMTGEAEEVMRELEGLDLMVVDCRRRDAGRILRAARPGPRGMVVVCKGSGRRQDGGAAGVFGAGTRVVRSTYLAIGTGVEVVHVGVGKGPSLGRGRARWIRHVDRCTKEEHVFRRR >Ma07_p24430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31805729:31806342:-1 gene:Ma07_g24430 transcript:Ma07_t24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFVAVVLFVLLSPGLLFQIPGKGRLVEFGTLQTSGIAIFVHSIIFFALTAIFILAVGVHVYTG >Ma03_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:319156:337230:1 gene:Ma03_g00340 transcript:Ma03_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLPPLLLLLFLRLSGLVHGTTDPPDVSALNDLYRSLNSPSQLTGWSSSGGDPCGNDWQGIKCSGSSVTEIILSGLGLTGTIGYQLSSLTSVTYFDLSKNNLHGDIPYQLPPNAIHIDLSGNVFTGGISYSISQMTDLNYLNLANNQLSGQLTDMFRKLPSLSLLDLSFNHFSGTLPQSFGSLSSLKTLRLQNNQFSGSLGVLATLSLDDLNVQNNQFTGWIPNKLKSIDDFKIDGNSWSSGPAPPGMSTAADSNGGSSSMYNKQTAGLKGAAIAVIVIAVLVVILILMAFAKRRSSVSSYYMEEQFSQNRSFTPLVDNEFAGVKDTSSVGLKATETAATALKEPFADTQKSFTDNDFANTFNSRRNTDLISFPTYSLADLQAATLNFSSSSLLGQGNIGQVYKAKFADGKVLAVKKIETLNLSGRCSHDFMEIVSDIFKLHHPNITELLGYCSEPDYQFLIYEFQQNGSLHGFLHLSDDYSRPLTWETRVRIALGTARAVEYLHEVHSPSVIHKNIKSSNILLDAELNPHLADCGLAPFFEDTNENLGPGYNAPECTKPSAYTRKSDVYSFGVIMLELLTGRKPFDSSKPRIEQSLVRWVAPQLHDIDTLERMADPALHGLYPPKSLSRFADIVALCIQLEPEFRPAMSEVVQALVHCVHCTSINKRIGGDLGASRRSDDSDSGYY >Ma09_p10170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6934793:6939834:1 gene:Ma09_g10170 transcript:Ma09_t10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEINLEDPVLEFGSPPYAGQSCHRVRLTGMSRLNLKSYASSVSLVLLGGIIFAGTFLGYWSARKFVLSEDGCVDSGIAQFVKWTLRLIGIVSILQSTIDVPLGLLALATCCTFSYLVHSKKWHRRSNNNGSLWQRRPKQAENLSFASKGTRMSFWGGSTSYSPSPNAGKGYSFSKRVKQRDQDYYSTYHNVPRRKFSEEEWEKFTRQSTSAALTEWASTPEVIKWIGNNAHRMRLIEEDHNEDDTSESDSPKETVPRNESEPSFFTWL >Ma06_p36700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35996739:36009477:1 gene:Ma06_g36700 transcript:Ma06_t36700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSTITSTELNYLVFRYLQESGLTHSAFALGYEAGINKCAIDGNQVPPGALITLVQKGLQYIELEANLDNTDVDADDDFSFLQPLDLITKDVNELQQIIKAKKKEKVQKECDKDKNKDSMIAQDRDQQFESERVRERQDRDASQEKEKEMMEVDNEQEREKEKEEEKEKQHVESKDKTKLDEHEVSRGPEQMDITPSSQSLACEISRSEVTILEGHSSEVFACAWSPAGSLLASGSGDSTARIWKIPDGPCGKDVQVLPPNVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSPDGELRNTLVKHRGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEYHSAPTLDVDWRNNYSFATCSTDTMIYVCKIGETHPVKAFSGHKAEVNAIKWDPTGSLLASCSDDGTAKIWSLKQDKCLHDFKDHSKEIYTIRWRPTGQGTNNPNQQLVLASASFDSTIKLWDAEQGRLLCSLNGHRQPVYSVAFSPNGEYLASGSLDQSLHIWSVKEGRILKTYKGRGGIFEVCWNREGDKIAACFANSSICVMDFRM >Ma06_p36700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35996739:36009477:1 gene:Ma06_g36700 transcript:Ma06_t36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSTITSTELNYLVFRYLQESGLTHSAFALGYEAGINKCAIDGNQVPPGALITLVQKGLQYIELEANLDNTDVDADDDFSFLQPLDLITKDVNELQQIIKAKKKEKVQKECDKDKNKDSMIAQDRDQQFESERVRERQDRDASQEKEKEMMEVDNEQEREKEKEEEKEKQHVESKDKTKLDEHEVSRVGPEQMDITPSSQSLACEISRSEVTILEGHSSEVFACAWSPAGSLLASGSGDSTARIWKIPDGPCGKDVQVLPPNVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSPDGELRNTLVKHRGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEYHSAPTLDVDWRNNYSFATCSTDTMIYVCKIGETHPVKAFSGHKAEVNAIKWDPTGSLLASCSDDGTAKIWSLKQDKCLHDFKDHSKEIYTIRWRPTGQGTNNPNQQLVLASASFDSTIKLWDAEQGRLLCSLNGHRQPVYSVAFSPNGEYLASGSLDQSLHIWSVKEGRILKTYKGRGGIFEVCWNREGDKIAACFANSSICVMDFRM >Ma08_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3109755:3110858:1 gene:Ma08_g04460 transcript:Ma08_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTKPNGHGSKHDGVMASPPSISIACTPTHEVSRPPQGNGTAGSFASRARPPTDSLPLQGRVAIVTGGSGGIGSVITAHLASLGAKVVISYIGDPTPANRLVSEINSACTEPGPRAVAVESDVTDEAQVKLLFDRAQLAFGPLIHIVVAAAGVQDPNYPPLAATTLAQWEWVFGTNAKGTFLCCREAANRVVRGGGGRIITMSSSTVGSLRPGYSTYSASKGAIEVMTRVLAKELRGTRITVNGVAPGPIATALFYRGKSEERIEAIEAESPLGRLGQPEDVAPVVGFLASDAGEWINGQIIRINGGYV >Ma06_p07340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5230617:5231376:-1 gene:Ma06_g07340 transcript:Ma06_t07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDRSLAWDCGSSLYDSFELKSFIRQLDSAIAARCMSMPHLSETPPPALAPPRKKRSRFSRSVQKLLRSVLRMKSMFGVQLQSHGHQEQALHAAYLRSGPLESIPEVCEKETASPEIDRVVRKTVSERFTASTVKPLAPIS >Ma08_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34427115:34434048:-1 gene:Ma08_g20330 transcript:Ma08_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAINNTKGDENSHEEQEVPHLRNLSLGQNTAEKRHRTQKSLVQKKPAASRPANAGNIQSHHTVPRPFSLATEKRASGGNRAFISESNLPPMTSKPLQPDNTIYPDNEDSYSVASTALSVRTMRGRTTVATAPTFRCNERAERRKEFYSKLEQKHQALEAEKNQSEARIREEQEAALKEFRKRLTFKANPMPSFYHEGPPPKVELKKVPPTRPKSPKLTRRKSHGEATTPEGDNCNGVCGRFHCHSLGTNMEATNKLQNSSKNMKGKEGLKSKPLAGVGM >Ma08_p20330.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34427115:34433115:-1 gene:Ma08_g20330 transcript:Ma08_t20330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAINNTKGDENSHEEQEVPHLRNLSLGQNTAEKRHRTQKSLVQKKPAASRPANAGNIQSHHTVPRPFSLATEKRASGGNRAFISESNLPPMTSKPLQPDNTIYPDNEDSYSVASTALSVRTMRGRTTVATAPTFRCNERAERRKEFYSKLEQKHQALEAEKNQSEARIREEQEAALKEFRKRLTFKANPMPSFYHEGPPPKVELKKVPPTRPKSPKLTRRKSHGEATTPEGDNCNGVCGRFHCHSLGTNMEATNKLQNSSKNMKGKEGLKSKPLAGVGM >Ma08_p20330.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34427115:34434048:-1 gene:Ma08_g20330 transcript:Ma08_t20330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAINNTKGDENSHEEQEVPHLRNLSLGQNTAEKRHRTQKSLVQKKPAASRPANAGNIQSHHTVPRPFSLATEKRASGGNRAFISESNLPPMTSKPLQPDNTIYPDNEDSYSVASTALSVRTMRGRTTVATAPTFRCNERAERRKEFYSKLEQKHQALEAEKNQSEARIREEQEAALKEFRKRLTFKANPMPSFYHEGPPPKVELKKVPPTRPKSPKLTRRKSHGEATTPEGDNCNGVCGRFHCHSLGTNMEATNKLQNSSKNMKGKEGLKSKPLAGVGM >Ma08_p20330.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34427115:34433864:-1 gene:Ma08_g20330 transcript:Ma08_t20330.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIIKVATGGKSDLVVCDQANDLSSKLFAIVESGRAINNTKGDENSHEEQEVPHLRNLSLGQNTAEKRHRTQKSLVQKKPAASRPANAGNIQSHHTVPRPFSLATEKRASGGNRAFISESNLPPMTSKPLQPDNTIYPDNEDSYSVASTALSVRTMRGRTTVATAPTFRCNERAERRKEFYSKLEQKHQALEAEKNQSEARIREEQEAALKEFRKRLTFKANPMPSFYHEGPPPKVELKKVPPTRPKSPKLTRRKSHGEATTPEGDNCNGVCGRFHCHSLGTNMEATNKLQNSSKNMKGKEGLKSKPLAGVGM >Ma08_p20330.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34427115:34434051:-1 gene:Ma08_g20330 transcript:Ma08_t20330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIIKVATGGKSDLVVCDQANDLSSKLFAIVESGRAINNTKGDENSHEEQEVPHLRNLSLGQNTAEKRHRTQKSLVQKKPAASRPANAGNIQSHHTVPRPFSLATEKRASGGNRAFISESNLPPMTSKPLQPDNTIYPDNEDSYSVASTALSVRTMRGRTTVATAPTFRCNERAERRKEFYSKLEQKHQALEAEKNQSEARIREEQEAALKEFRKRLTFKANPMPSFYHEGPPPKVELKKVPPTRPKSPKLTRRKSHGEATTPEGDNCNGVCGRFHCHSLGTNMEATNKLQNSSKNMKGKEGLKSKPLAGVGM >Ma08_p20330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34427115:34434051:-1 gene:Ma08_g20330 transcript:Ma08_t20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIIKVATGGKSDLVVCDQANDLSSKLFAIVESGRAINNTKGDENSHEEQEVPHLRNLSLGQNTAEKRHRTQKSLVQKKPAASRPANAGNIQSHHTVPRPFSLATEKRASGGNRAFISESNLPPMTSKPLQPDNTIYPDNEDSYSVASTALSVRTMRGRTTVATAPTFRCNERAERRKEFYSKLEQKHQALEAEKNQSEARIREEQEAALKEFRKRLTFKANPMPSFYHEGPPPKVELKKVPPTRPKSPKLTRRKSHGEATTPEGDNCNGVCGRFHCHSLGTNMEATNKLQNSSKNMKGKEGLKSKPLAGVGM >Ma08_p20330.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34427115:34433116:-1 gene:Ma08_g20330 transcript:Ma08_t20330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEIIKVATGGKSDLVVCDQANDLSSKLFAIVESGRAINNTKGDENSHEEQEVPHLRNLSLGQNTAEKRHRTQKSLVQKKPAASRPANAGNIQSHHTVPRPFSLATEKRASGGNRAFISESNLPPMTSKPLQPDNTIYPDNEDSYSVASTALSVRTMRGRTTVATAPTFRCNERAERRKEFYSKLEQKHQALEAEKNQSEARIREEQEAALKEFRKRLTFKANPMPSFYHEGPPPKVELKKVPPTRPKSPKLTRRKSHGEATTPEGDNCNGVCGRFHCHSLGTNMEATNKLQNSSKNMKGKEGLKSKPLAGVGM >Ma03_p28680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31788636:31810003:1 gene:Ma03_g28680 transcript:Ma03_t28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEGDGSPRRSPGMLAPPQPDQDAVENGLAYSSPTSPRPSEPPPPLDSLTGEGCFSSQNVEANSVRQSPRMMRFPGPGSDYPIFEASSANQDAAKNGCIGSSPMKLGSPKPSVYSLKRNSCSFGQKAKKNDLWRLLRALISTRTLSGSTLVSNDGSNIVAKNGGLRRSARISRAENSSSNFTANNDDCMTTHEKLLEKGNLRRSPRINMVSNDVSTLVSNDGSKVVAKNGGLRRSARISRTENSSSNVIVSDGDCMTAPRVKLLEKGNLRCSPRINMRSFSESGKSNDLNGDTHPKKLESRKSPGMKDSRPPKKLKLSSNEVLVHPINPNVTSESSKLCNNNNACFFVGETVPEEVARQRWPHRFGWKDKGGNRKSSTSNIDDEDEIILDVKCHYQKASICGCLFDIGDCAYVKGPKHKPNYIGRILEFFETKKGDYYCRVQWFFRAEDTVLKEQAALHDRKRLFYSDLKNDNLLDCLVSKVRIAEVPASECLESKSIPSCYFYCDMKYSVEYSSFYNMQCRKGNVDLALEKTELGLLDLYSGCGGMSTGLCFGAHFAGVKLVSRWAVDSDEAACESFKLNHKETQVRNESADDFFSLLKEWRKLCKKYCVNVGKLGDSCSKNFILKYSKRITDSNFKISDGEYEVLKIVDICYGDPTSTGKSGLKFKVRWKGYGPGEDTWEPMESLSNCEGRLREFVTEGFKSKLLPLPVSDDVVCGGPPCQGISGYNRYRNFSAPLDDEKNRQIVVFMDIVQYLKPKYVLMENVVDILSFANATLGRYALSRLVSINYQARLGIMAAGCYGVPQFRLRAFLWGCHPNEKLPPFPLPTHEVILKRGSPVEFERNLVGYDEDQPRVLEKEVILEDAIADLPLVKHSEDMDQMPYGKSPQTEFQRYIRMPKDEMLGLKENGAKISKSMLYDHCTLPLGEDDYLRISQIPRKKGANFRDLPGVIVGSDNSVQFDPTRERVLLPSGRPLVPDYAMNFGHGKSLRPFSRLWWDEIVPTVITIPNFRCQAMIHPEQDRTLSIRECARLQGFPDFYRFYGTVEERYRQIGNAVAVPVGRTLGYALAMSWLRKSGDEPLMTLPSNFSFLCKLPTSS >Ma03_p28680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31788636:31810003:1 gene:Ma03_g28680 transcript:Ma03_t28680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MEGDGSPRRSPGMLAPPQPDQDAVENGLAYSSPTSPRPSEPPPPLDSLTGEGCFSSQNVEANSVRQSPRMMRFPGPGSDYPIFEASSANQDAAKNGCIGSSPMKLGSPKPSVYSLKRNSCSFGQKAKKNDLWRLLRALISTRTLSGSTLVSNDGSNIVAKNGGLRRSARISRAENSSSNFTANNDDCMTTHEKLLEKGNLRRSPRINMVSNDVSTLVSNDGSKVVAKNGGLRRSARISRTENSSSNVIVSDGDCMTAPRVKLLEKGNLRCSPRINMRSFSESGKSNDLNGDTHPKKLESRKSPGMKDSRPPKKLKLSSNEVLVHPINPNVTSESSKLCNNNNACFFVGETVPEEVARQRWPHRFGWKDKGGNRKSSTSNIDDEDEIILDVKCHYQKASICGCLFDIGDCAYVKGPKHKPNYIGRILEFFETKKGDYYCRVQWFFRAEDTVLKEQAALHDRKRLFYSDLKNDNLLDCLVSKVRIAEVPASECLESKSIPSCYFYCDMKYSVEYSSFYNMQCRKGNVDLALEKTELGLLDLYSGCGGMSTGLCFGAHFAGVKLVSRWAVDSDEAACESFKLNHKETQVRNESADDFFSLLKEWRKLCKKYCVNVGKLGDSCSKNFILKYSKRITDSNFKISDGEYEVLKIVDICYGDPTSTGKSGLKFKVRWKGYGPGEDTWEPMESLSNCEGRLREFVTEGFKSKLLPLPGDVDVVCGGPPCQGISGYNRYRNFSAPLDDEKNRQIVVFMDIVQYLKPKYVLMENVVDILSFANATLGRYALSRLVSINYQARLGIMAAGCYGVPQFRLRAFLWGCHPNEKLPPFPLPTHEVILKRGSPVEFERNLVGYDEDQPRVLEKEVILEDAIADLPLVKHSEDMDQMPYGKSPQTEFQRYIRMPKDEMLGLKENGAKISKSMLYDHCTLPLGEDDYLRISQIPRKKGANFRDLPGVIVGSDNSVQFDPTRERVLLPSGRPLVPDYAMNFGHGKSLRPFSRLWWDEIVPTVITIPNFRCQAMIHPEQDRTLSIRECARLQGFPDFYRFYGTVEERYRQIGNAVAVPVGRTLGYALAMSWLRKSGDEPLMTLPSNFSFLCKLPTSS >Ma05_p06980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5096802:5101064:1 gene:Ma05_g06980 transcript:Ma05_t06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLEKEEDLESGATSLDTNSPTAALVLSNSGKRMDQATSPKTAPALVLSNSGKRIDPSRKKKYVKQVTGRHNDTELHLAAQRGDLAAVRQILGQIDAQVTETAAGGADFDTEVAEIRAAVVNEVNEVEETPLFIAADKGFLDIVVELLKYSDQDSLCRKNRSGFDALHVAAREGHQAIIQVLLDHDPTLIKTFGQSNATPLISAAIRGHTEVVNLLLKRDASLLELSKTNGKNALHFAARQGHVEIVTALLEKDPQLARRTDKKGQTALHMAVKGTSCEVVNALVDADPAIVMLPDRAGNTALHVATRKKRAEIVKVLLHLRDTNVNALTRDHKTALDIAESLPLSEESAEIKEWLSRYDAVRANELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVYGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGTEDTGIAIVVRSGSFKIFFIFNAVALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTTVSFIASSYIVLGRHNQWAAFLVTVIGGVIMTGVLGTMTFYVVRSKRTRSIRKRAKSLKSGTSSWHHNSELSDSEVDRIYAI >Ma05_p29700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40302464:40305610:1 gene:Ma05_g29700 transcript:Ma05_t29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSVCPAPMTATSNGSWDGDNPLHHSLPLIILQICLVLVVTRSLAFLLRPLRQPRVIAEIIGGILLGPSALGRSKRFMDNVFPKQGMTVLDTLANIGLLFFLFLVGLELDLRSIRRTGKGALAIAIAGITLPFVLGIGTSVVLRHTIVEGAHQGPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVVAWILLALAIALSGSGSPLISLWVLLAGIGFVACVAIFLRPVLAWMARRSQEGEPVKESYVCATLAIVLAAGFATDAIGIHALFGAFMVGVVVPKDGPFAGVIIEKVEDLVSGLFLPLYFVSSGLKTNVATIRGARSWGLLVLVITNACLGKIAGTVIASLIAKIPIREALTLGFLMNTKGLVELIVLNIGKDRKVLNDETFAIMVLMALFTTFITTPIVMGIYKPARRAAPYNHRTVESSDMGSELRVLACFHGVRNIPTMINLVEISRGIHHRRLTLYAMHLMELSERSSAMSMVHKARRNGLPFWNRRDNTDHMVVAFEAYRQLSAVAIRPMTAISDLDTIHEDIVASALQKRTALILLPFHKMQQLDGTLESVGHAYHLINQRVLRHAPCSVAILVDRGLGGAAQVSSSEVSYTVVVLFFGGPDDREALSYGALMAEHPGIALTVLRFLPAPVENLDQSVEDEACIFKFTSNSQPSDGSLAYEESAAADMAGIIAAIKNLGRHNLFLVGRSPPAVALVEKSDCPELGPVGSYLASAEFSTASSVLIIQRYDPRGETSRLVEEC >Ma05_p24350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36615792:36621837:-1 gene:Ma05_g24350 transcript:Ma05_t24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RID2 [Source:Projected from Arabidopsis thaliana (AT5G57280) UniProtKB/TrEMBL;Acc:A0A178UNY0] MPRPEVQAPPEIFYNETEARKYTTSSRIIEIQAKISERALELLALPNDGLPRLLLDIGCGSGLSGETLSENGHHWIGYDISESMLNVALEREAEGDLLLADMGQGLGLRPGVVDGAISISAVQWLCYADKSSHEPRMRLKAFFGSLYRCLARGARAVLQLYAENMDQSEMIMMYAMRAGFAGGIVVDWPHSSKARKAYLVLTCGPPSIQSYLPKGKGEDGAMCSEDEESDDDGNQTVGIYDRTRPKKKAKINKNGKGKEWVFKKKEQMRKKGYSVPPDTKYTARKRKAHF >Ma04_p06220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4581857:4582472:-1 gene:Ma04_g06220 transcript:Ma04_t06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNSGRELQNGSKTSLRKTSRIVLLLTATLLLTIIPLYLFPLLATSLAWRSQSSSSSSSSSSSSSSSDMARDSIAGLGVAQHSCDIFRGEWVPNPNAPYYTNESCRAIQEHQNCMKFGRPDTGFMKWRWKPTHCELPVFNPAQFLELVRGKSLAFVSDSLGRNQMQSLICLLLRVSCPFPVFVLFSLVSP >Ma08_p18950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32723688:32723906:-1 gene:Ma08_g18950 transcript:Ma08_t18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQLRFSLPEMYPKLHRIPFFTSLWKIDKDGKVKGSVDSCFRLLHSHYQYMNLSPMNFFDLDAWRRTMCTE >Ma06_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:537321:540951:-1 gene:Ma06_g00690 transcript:Ma06_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQSSTV >Ma01_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10945072:10946016:1 gene:Ma01_g15090 transcript:Ma01_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQTLKMVSTYYKYSWTWTFQDTHLKVGLKGQPPFISVNLYRPVKTEDCFWSIGWTIHFYTFDQAKSDGMVEILQKMMFEQWQKAMGLPTSDDK >Ma07_p21930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30023038:30023764:1 gene:Ma07_g21930 transcript:Ma07_t21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPQGLESEQGVPLNKGNIYIAENSPPQVVKAYQEQHRKDFSTFLKSRYVELSIGGGMVLTFVGRKSKHPATDELSYLCGFITEALNAMVSQGIISEDKVDTFNLPIYGASMQEVKSVIEEEGSFDVEKAESFESSWDPFDDSDNVLDGKNVASTLQAVMEPLISHHFGDAIPHALFSLVADNITARHLLKDKCNYTELVFALRRKA >Ma10_p28130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35139811:35144230:-1 gene:Ma10_g28130 transcript:Ma10_t28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLDALAESHPIERSLASYIKKFACSNAKTEDLWAVLEDESGEPVKMLMNSWTKQKGYPVVYAKVNNGNLQLEQTQFLSSGCEGNGQWIVPITLCCGSYASQKKFLLKTKSEKLDVPELFNPENQKISGSVWIKFNVDQTSFYRVKYDDELAAGLRRAIEANQLSPTDRFGVLDDSFALCMACKQTLSSLLSLMAAFSEEDEYTVLSQMITISYKVISTAADATPELLADIKQFLINLLWHSAEYVLLHYFG >Ma06_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1398989:1403482:1 gene:Ma06_g01710 transcript:Ma06_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKKAKKSTESINNRLALVMKSGKYTLGYKTVLRSLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHFSGSKYFYVCLFILIQKISPTKKVICPDIFRFSSFCHLSAFPSPLLSGSILDDSPIEQVRLTVPPTDDNTLHVLTFRTWFIGIPICILGSVIAALSSYRQQMFDLPQVCINIIVLIVGKLMANMLPNKVVRMPYTNWSFSLNPGPFNLKEHVVTTKLAGTDSASAGFEILTISKIFYHKDIPLLSAMLLVLSIQSVTRAREKSYSSFVGKKNILCKVLLATKEEYLALCLLVLADEQS >Ma01_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10733321:10735938:1 gene:Ma01_g14690 transcript:Ma01_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRSPLLHCAFPPPLFASIVFLLLLPFVLFYFNRAFTTANSLLLASACLALVSILLVWSRFLKLRAARKGVPVRWFIGDDVAGRVSNRGIKSGKAEREGVVFFGNGDAYEGELHKGRCHGSGVYRFFVKGRYEGDWVDGKYDGHGTESWARGSRYRGQYRQGMRHGFGAYRFYDGDSYAGEWVGGQSHGCGVQTFSEGSCYSGEFKCGVKHGLGQYRFRNGDWYSGEYFGDKIHGFGVYNFANGHCYEGSWHEGRRQGFGTYTFRNGERRSGEWDCGVLKNSLLASDPVVERVLEAARKAAENATLLPLVEEQVKQAVSAANKAVTAARVAAIRAVQNQKDGKCCDLYV >Ma03_p32780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34423707:34427677:-1 gene:Ma03_g32780 transcript:Ma03_t32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSLSPRPSHFLLGSSTLDESYRPLPSLYLAFLVIWALSAFSWIVNTWRNRFVQTNKLQWMLASVPLVKVLQLALSCSFWYSCINLQICSLWMSFGVYVTGILFQTSSFVSFMLISHGYCIIYERLLVRERRITAALGCILYLTLVGYKTAVPYFTVFLVLAYSLSFYMIFHHISHSLLVLREQLIFIEGEDTHTMHNALYAKYIMFKKFQGAMQLVAMSEVLIYMNVDEALDAYWFRLLVREWAQVCIFLYIGWTFRTQEVSPHFSVMPALKSKKEMVLPPVYSIEMNAADFNDLASQQLHVGVPTSFPYSNCGNSFKPLVVIVQNPHCRSTSQDQPPVVLLDK >Ma06_p16640.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11262477:11267836:-1 gene:Ma06_g16640 transcript:Ma06_t16640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYIAREASKLWRKVCVETSVELQLLAEKWKLLLTGLLFQYIHGLAARGVHYLHRPGPTLQDLGYMILPELGRERGYISESLFTIVFLSFVLWTFHPFVYHSKRFYTVLLWWRILAFLVASQLLRIITFYSTQLPGPNYHCREGSKLARLPPPESIAEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWVIAIIQSLLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLPEMPDRSTGSQPMLPLNIKEKDGKPREENLKLLNGNSVDTADWRQRMQVNGTHGDDGNHVHSESAVNGVNR >Ma06_p16640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11262477:11267836:-1 gene:Ma06_g16640 transcript:Ma06_t16640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYIAREASKLWRKVCVETSVELQLLAEKWKLLLTGLLFQYIHGLAARGVHYLHRPGPTLQDLGYMILPELGRERGYISESLFTIVFLSFVLWTFHPFVYHSKRFYTVLLWWRILAFLVASQLLRIITFYSTQLPGPNYHCREGSKLARLPPPESIAEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWVIAIIQSLLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLPEMPDRSTGSQPMLPLNIKEKDGKPREENLKLLNGNSVDTADWRQRMQVNGTHGDDGNHVHSESAVNGVNR >Ma06_p16640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11262477:11267836:-1 gene:Ma06_g16640 transcript:Ma06_t16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYIAREASKLWRKVCVETSVELQLLAEKWKLLLTGLLFQYIHGLAARGVHYLHRPGPTLQDLGYMILPELGRERGYISESLFTIVFLSFVLWTFHPFVYHSKRFYTVLLWWRILAFLVASQLLRIITFYSTQLPGPNYHCREGSKLARLPPPESIAEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWVIAIIQSLLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLPEMPDRSTGSQPMLPLNIKEKDGKPREENLKLLNGNSVDTADWRQRMQVNGTHGDDGNHVHSESAVNGVNR >Ma06_p16640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11262510:11267838:-1 gene:Ma06_g16640 transcript:Ma06_t16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYIAREASKLWRKVCVETSVELQLLAEKWKLLLTGLLFQYIHGLAARGVHYLHRPGPTLQDLGYMILPELGRERGYISESLFTIVFLSFVLWTFHPFVYHSKRFYTVLLWWRILAFLVASQLLRIITFYSTQLPGPNYHCREGSKLARLPPPESIAEVLLINFPHGVIYGCGDLIFSSHMIFTLVFVRTYHKYGSKRYTVNLVVFFIDKKLPEMPDRSTGSQPMLPLNIKEKDGKPREENLKLLNGNSVDTADWRQRMQVNGTHGDDGNHVHSESAVNGVNR >Ma09_p16200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11562178:11567190:1 gene:Ma09_g16200 transcript:Ma09_t16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGLAMKPVIKVAALCGSLRRASFNRGLIRSAIQLCDESIEGMKIEYVDIAPLPFLNTDLEVDGKFPVPVEAFRQRIRGADAFLFASPEYNYSFTGPLKNAIDWASRAPNAWADKPAAIVSAGGNFGGGRSQYHLRQVGVFLDLHFINKPELFVHAFQPPSKFDSDGNLIDPEIRERLKQVLLSLQAFTLRLQNKC >Ma11_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25220719:25227225:-1 gene:Ma11_g20830 transcript:Ma11_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASGSTVFTAARFLAPVRRPRHGYRRTRAEVSAPSSGPAPHADSRKKVVVVGAGWAGLASAHHLCKQGFDVTLLESGSGPAEEIGIRGFWRPYRNIFSVIDELGIQCFTNWMSSALYSPAGMEVKFPVFQDLPWLPTPFGALLYPEFLNLPLVDRLTSVPLISAVIDFDNTDTAWRRYDTMTSRELFKQYGCSERLFQEVFEPFLHAGLFAPAEQCSAAASLGMLYYYILSHQKNFDVAWCRGAVEEKILLPWLESMRLNGLKFWENKRVTDFIINEDTSCISGLVCGQEVYKADAFVLAVGISTIQSTILSSPVLQSRQEFLSVLNLAAIDVISVKLWFDRKVEVPNAVNICFGSDDSISWTFFDLNSIYDEYQDELATVLEAEIYYANQLLPLKDEQIVKKVVAYLSGCVQGFKEAIVMQQNVVRHVKSTTHFFPGSYKHMLRGSTTFPNLFMAGDWIVTRHGSWSKEKAYVTGLEAANRVVDYLGEGEFAKIIAVEEDEPHIQTLRSLNRRVNEIRTQIPSFDFFL >Ma06_p00910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:745494:747844:1 gene:Ma06_g00910 transcript:Ma06_t00910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQTQKSIAGMGLFYPPLLSPPPPPPPPPFHGDSPFSIAKGEWYERRVPSEEHEGIEALNRRLGAEEESERSNGRRVHEDKQPKSSGRGHWRPSEDAKLKELVSQYGPHNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSVEEDEKLLAFHRVYGNKWSLIARFFPGRTDNAVKNQWHVIMARKKREQCSRYMRRKAFTTSPDHWTQALPQMMNMSSGHNAWSGDSSITSTRDESTSTCTGLPLTPSACRFMPCFLKDSGLASHQQAFHFFLGLDEKLQTRRNGCNEKPGEPGTVFNNGKAPMVELAMGSGHFAYSDASFEGSATDPAANHTNNAFLQAERDGGTNKISARFIDFLGIGAA >Ma06_p00910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:745494:747844:1 gene:Ma06_g00910 transcript:Ma06_t00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQTQKSIAGMGLFYPPLLSPPPPPPPPPFHGDSPFSIAKGEWYERRVPSEEHEGIEALNRRLGAEEESERSNGRRVHEDKQPKSSGRGHWRPSEDAKLKELVSQYGPHNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSVEEDEKLLAFHRVYGNKWSLIARFFPGRTDNAVKNQWHVIMARKKREQCSRYMRRKAFTTSPDHWTQALPQMMNMSSGHNAWSGDSSITSTRDESTSTCTGLPLTPSACRFMPCFLKDSGLASHQQAFHFFLGLDEKLQTRRNGCNEKPGEPGTVFNNGSATDPAANHTNNAFLQAERDGGTNKISARFIDFLGIGAA >Ma03_p20470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25633386:25637271:-1 gene:Ma03_g20470 transcript:Ma03_t20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGPPAMALQSSEMLSRDQLLHLFARFDSLTSQPDIKRRIADAVKDKQEAVAVTTAIQEEILLEMGIDPRFGITCLGKVNAMYENDMDLMIQFYRFVAKEEIAIDEAELECSEFEEKMLTQQSMQEQQLDMLKQMRKYHPDAQSALLEALHEQLDKANFDSSAAILTSDQIEEIVSRRQMAAKTST >Ma11_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25274400:25276411:-1 gene:Ma11_g20910 transcript:Ma11_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDIWPLLAAVAWLVVPSSATSASLVGVNYGRVGDNLPPPEAVPRLLASIGVGRARIYDADPGVLHAFANTGVELVIGLPDSCLATMAADPAEALAWARANVQAYLPATKIVAVTVGNEVLTNANDTGFALARCLVPAMEALHSAFASLGLDRDVAVTTAHSLSVLATPSYPPSAAVFRRELLPYVCPLIAFHAGTRSPFFVNAYPYFAYTQESSGVALEFALLDPDATGFTDPGSGLCYTNLLHSQVDAVYHAILAAGGEAGKLVEVRVSETGWPSAGDPDERGAMPENAARYNGNLMQLVAEQKGTPLVPGTPLRAYVFALFNENQKPGPTSERNYGLFKPDGTPVYHLDITVPPENATAAGGGGDGGISTPEPDGESSSGYFSISSAAERRRSQWPWKTEAVVVAQLALASIWWH >Ma11_p20910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25274400:25276411:-1 gene:Ma11_g20910 transcript:Ma11_t20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDIWPLLAAVAWLVVPSSATSASLVGVNYGRVGDNLPPPEAVPRLLASIGVGRARIYDADPGVLHAFANTGVELVIGLPDSCLATMAADPAEALAWARANVQAYLPATKIVAVTVGNEVLTNANDTGFALARCLVPAMEALHSAFASLGLDRDVAVTTAHSLSVLATPSYPPSAAVFRRELLPYVCPLIAFHAGTRSPFFVNAYPYFAYTQESSGVALEFALLDPDATGFTDPGSGLCYTNLLHSQVDAVYHAILAAGGEAGKLVEVRVSETGWPSAGDPDERGAMPENAARYNGNLMQLVAEQKGTPLVPGTPLRAYVFALFNENQKPGPTSERNYGLFKPDGTPVYHLDITVPPENATAAGGGGDGGISTPEPDGESSSGYFSISSAAQERRRSQWPWKTEAVVVAQLALASIWWH >Ma05_p01780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1088129:1089297:-1 gene:Ma05_g01780 transcript:Ma05_t01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVMSKAARWFSPKRSKLSLPADAPPAPGGRDRMEVLWEVFRHFDQDRDGRISCNELRASFVSIGEEMPVAAAEAAIADLDSDGDRLLDFEDFVRLMEERGGGKAAEEGEEEELRKAFEMFEAVKGSGRITPRGLQRMLSRLGDERSMEECKAMIRAYDLDGDGELDFHEFHQMMN >Ma08_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8747877:8749444:1 gene:Ma08_g11750 transcript:Ma08_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQKRDEEVWQRKATGGGGRSLSGVAVPSPGAIPVHRIIVPKPEPIEMLGVGAFQIVRRPASRTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIKWLLEHAEPAIIAATGTGTVPAIATNVGGTLKIPTEAPTSAPVSSTSTIVAADDAPATDDEEAGKKRRKKLQPSRTGGGTVIAGYYPVQDPLLPVGGAISISSGLAPIGPGAQGMMPMWTLGGDAAGASMIPPGALWVLPPPSVAVAPSSQSQIWTFPRAPQIINLTAVRPVSTETRFTTTASGVNVARAAEVQHSAAPPVAAAYAPATGGKQELQLMSNPGALRRGQVHELDDYEDDEDDDDDDDSSAED >Ma04_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26349328:26349528:1 gene:Ma04_g24310 transcript:Ma04_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVYLFGEIVFQLAVLRSTALELPYGIYKTWPLFASFLHFCGLKMSRFKMKARANPINFIVGSA >Ma03_p16910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22109454:22126831:1 gene:Ma03_g16910 transcript:Ma03_t16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGGVARGRRLVGDYIIDRQIGAGAFSTVWLARHRIQGTEVAVKEIAMSRLSEKLRRSLLSEVSILGRISHPNIIALYDFIQIPGRIYLVLELCRGGDLSLYIQSHGRVSEATAMYFMKQLASGLQVLRANNVIHRDLKPQNLLLSTYDENATLKIADFGFARSLSPSTLADTLCGTPLYMAPEVMELKKYDGKADLWSVGVIFYQLVTGKTPFTANNQIELLQNIVNAKQLCFPSHHNLSSDCMDLCQKLLHPNAVERLTFEEFFNHQFLSKQPPVDIARMIYREQESIPLVECSRTRSIGENSHDDYLPFPLDEESIRKKRNLSHSMLKGTVRPDSGFSVDIGHKNRICSPSEVVAISSGYGGHRHDSRESPGVPAKDIFFMVQKPTSSSSKDSAAVDSLELVDQDYVLVPRPPLLMSLSSVSPSRPSNSPCKSEGSQITSPNVRASSAPMPISGAAVSNAPAIRSLGSCGSPASETSHGSIDMSDAVEQPSGHFMTRIISLQQFASVITDVVKEKIENGQRLEAFSVQLVVLAIWRQALHICHAHDASGMEASPSHEVKTQKNCISKTVEYINCTSSQALDAISSEIQRNFLFGVEYGEELSWEIRQMAVATKLPDAMEIIFQSALTLGRQGGVDEMMGNTESASSRYSKAVCLLCFLLVEAPSLVLSPPFSLTNSDRCRLRLYIEVINDRRGQFQLQRTVIKHEGH >Ma03_p28470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31582250:31594639:-1 gene:Ma03_g28470 transcript:Ma03_t28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGKVLLTYKRKRFSANANPANVVKADSSVKTVGEHCFRCGSVDINENLLVCHSCSGSYHLRCIDSSLKNVSEDRWLCPPCNERCDSEISIQHQSYQTSKTTENRSIGESETGAIKLHTQKVLSSSGPSEISSRNGSPSPVDPSMENIVNRASANDLGIESGSACRVVEETLRSQSTNLETVGRSSSLHLKTWTERNTSSRFSEDCASMVVSSDKRSGIILNDEYPKGNTKNFLITFRRRVKKKRDVVSSSTTRNSSAEDKHSSMVTSRSHELGNNCRCSDSTFLLEADGPSRLHALQEDMHDVNKDAGLGPKLSGQGTADKCEEPCQPKPDVAPQGTTSLQYSERVLQCQSDKCTGMPTSDLSKDPTHKSKQSEMAKTGTSIRGEEGNELFTKERGHVGGAELLVVPVVSTHLTSSNPTRPLVDAMAENFLESQRPSAQNCALGRMDEETDGRAKGLQWMETLEKSHQETTKETKSSILINKNSTNCTIASSGRASTAYPVNSSTKIQVNQDVSRECIDRASRLQERLLVTKSNNSIYEKQQRERASHNSMYTDFADQSLILNSEVLSVSMNDIRGMPLPLDLNFKDTVEGYNRQHRWDSMGENASVSRQKQVCENDMNGSRMPKESSLLDNFKRFSDEWSEEELDVLWIGVRRHGLNNWNDILRDPKLCFLKSRVAEDLALQWDKEQIKLLNGTLYHPERPSVLDLSRPLGTDDTCWRKATGRNLYCEKVVSSYLEFPTQRTETKLSLGDVYVQSEKNIKKNVVPNISGLSIANPHGIRNSMAGPFIGSNLIGSVNPRADIRHQNAFKTQGTRYDCESSTSPQKSVERGGHEQRSSANSTLPHWLKEVLTVPQRRSSLPLPSGVSSLNPGSIINYDERVTAFQSAVETALPKDPRGRGILKRKSKASSNHANRVKVLDNSVSVDDTLLQKRLSSLPNLGLTPLKPASANTGSGPSHVLDLNNKSPDPTGPSNLVVIDSDVSSEETISDDQSSRW >Ma03_p28470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31582250:31594646:-1 gene:Ma03_g28470 transcript:Ma03_t28470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGKVLLTYKRKRFSANANPANVVKADSSVKTVGEHCFRCGSVDINENLLVCHSCSGSYHLRCIDSSLKNVSEDRWLCPPCNERCDSEISIQHQSYQTSKTTENRSIGESETGAIKLHTQKVLSSSGPSEISSRNGSPSPVDPSMENIVNRASANDLGIESGSACRVVEETLRSQSTNLETVGRSSSLHLKTWTERNTSSRFSEDCASMVVSSDKRSGIILNDEYPKGNTKNFLITFRRRVKKKRDVVSSSTTRNSSAEDKHSSMVTSRSHELGNNCRCSDSTFLLEADGPSRLHALQEDMHDVNKDAGLGPKLSGQGSTADKCEEPCQPKPDVAPQGTTSLQYSERVLQCQSDKCTGMPTSDLSKDPTHKSKQSEMAKTGTSIRGEEGNELFTKERGHVGGAELLVVPVVSTHLTSSNPTRPLVDAMAENFLESQRPSAQNCALGRMDEETDGRAKGLQWMETLEKSHQETTKETKSSILINKNSTNCTIASSGRASTAYPVNSSTKIQVNQDVSRECIDRASRLQERLLVTKSNNSIYEKQQRERASHNSMYTDFADQSLILNSEVLSVSMNDIRGMPLPLDLNFKDTVEGYNRQHRWDSMGENASVSRQKQVCENDMNGSRMPKESSLLDNFKRFSDEWSEEELDVLWIGVRRHGLNNWNDILRDPKLCFLKSRVAEDLALQWDKEQIKLLNGTLYHPERPSVLDLSRPLGTDDTCWRKATGRNLYCEKVVSSYLEFPTQRTETKLSLGDVYVQSEKNIKKNVVPNISGLSIANPHGIRNSMAGPFIGSNLIGSVNPRADIRHQNAFKTQGTRYDCESSTSPQKSVERGGHEQRSSANSTLPHWLKEVLTVPQRRSSLPLPSGVSSLNPGSIINYDERVTAFQSAVETALPKDPRGRGILKRKSKASSNHANRVKVLDNSVSVDDTLLQKRLSSLPNLGLTPLKPASANTGSGPSHVLDLNNKSPDPTGPSNLVVIDSDVSSEETISDDQSSRW >Ma03_p28470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31582250:31594642:-1 gene:Ma03_g28470 transcript:Ma03_t28470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGKVLLTYKRKRFSANANPANVVKADSSVKTVGEHCFRCGSVDINENLLVCHSCSGSYHLRCIDSSLKNVSEDRWLCPPCNERCDSEISIQHQSYQTSKTTENRSIGESETGAIKLHTQKVLSSSGPSEISSRNGSPSPVDPSMENIVNRASANDLGIESGSACRVVEETLRSQSTNLETVGRSSSLHLKTWTERNTSSRFSEDCASMVVSSDKRSGIILNDEYPKGNTKNFLITFRRRVKKKRDVVSSSTTRNSSAEDKHSSMVTSRSHELGNNCRCSDSTFLLEADGPSRLHALQEDMHDVNKDAGLGPKLSGQGSTADKCEEPCQPKPDVAPQGTTSLQYSERVLQCQSDKCTGMPTSDLSKDPTHKSKQSEMAKTGTSIRGEEGNELFTKERGHVGGAELLVVPVVSTHLTSSNPTRPLVDAMAENFLESQRPSAQNCALGRMDEETDGRAKGLQWMETLEKSHQETTKETKSSILINKNSTNCTIASSGRASTAYPVNSSTKIQVNQDVSRECIDRASRLQERLLVTKSNNSIYEKQQRERASHNSMYTDFADQSLILNSEVLSVSMNDIRGMPLPLDLNFKDTVEGYNRQHRWDSMGENASVSRQKQVCENDMNGSRMPKESSLLDNFKRFSDEWSEEELDVLWIGVRRHGLNNWNDILRDPKLCFLKSRVAEDLALQWDKEQIKLLNGTLYHPERPSVLDLSRPLGTDDTCWRKATGRNLYCEKVVSSYLEFPTQRTETKLSLGDVYVQSEKNIKKNVVPNISGLSIANPHGIRNSMAGPFIGSNLIGSVNPRADIRHQNAFKTQGTRYDCESSTSPQKSVERGGHEQRSSANSTLPHWLKEVLTVPQRRSSLPLPSGVSSLNPGSIINYDERVTAFQSAVETALPKDPRGRGILKRKSKASSNHANRVKVLDNSVSVDDTLLQKRLSSLPNLGLTPLKPASANTGSGPSHVLDLNNKSPDPTGPSNLVVIDSDVSSEETISDDQSSRW >Ma03_p27350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30781089:30786460:-1 gene:Ma03_g27350 transcript:Ma03_t27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPGRHRPSTTAMAAAAAEAGGREAVALPHKVSRRQLLRVASVACGVQFGWALQLSLLTPYVQELGIPHQWASLVWLCGPLSGLIVQPFVGHMSDRCASPFGRRRPYIVGGAAAISTAVLLVGFSADIGSALGDPGGGETRYRAIAVYLLGFWLLDVGNNTTQGPCRALLADLTGKDHRRTRVANAYFSLFMALGNILGYATGSFSRWFSIFPFSVTSACGINCANLKSAFLLDVVILAITTCITVLSAKETPLSSAGGLMDSAGETQVQLNTEHEAFLWELIGSCRYLTLPIWMVLIVTALTWIGWFPFILFDTDWMGREIYKGDPNEGTNYQTGVRMGASGLLLNSVVLGCTSVVLEKICRKWGAGLTWGIANILMFLCFLAMLIISSWAKNKEYPQNGLPPDGVVVASLVIFTILGAPLAVTYTIPYAMISARTEPLGFGQGLAMGILNLAIVIPQVLISVGSGPLDQLFGGGNSPAFAVGALAAFVSGLVAIIGIPRSQIAGRRGQR >Ma02_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26756470:26757912:-1 gene:Ma02_g20980 transcript:Ma02_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKVIADLRNRAGSVDMDRPGVGILAFEAAAAMSLLVSLHLSLAEDEVRRLCADMRSKGVAYLTSKDEPFLLRLAYAELVAELDKAAATVSRLGAKCCDPLLRGFDRLYADLKAGGICSFLRDCRVADLERLGLGSTAKGVEKRIKRMERYVVATSRLYAEMEVLNELEATERRMEQWRRHSGPIPGPKPGVPPAFESVHLELRSRRHKVRRLKEESLWNRTYDEAVELMVRAVITVFTRISAVFGPYVLGMLPGQDRGHRVLIHRSNPDYPGKHSSGPLETPTLKDVLFLRSSAPISMVKESLDKPSENLSKLLKADPTTLGGSGLALLYGNMIVLAEKLLKTRSVEGHGQGDEEEAVEAAARVELYQMMPSGMRTAVRAKLRECWKKEGGTVDGSLAEGWREAAERILAWLGPVARDTLRWQEERNVERQQRFHALPRALMLQTLHFSDRVKTEAAIVEVIVGLSCMCWYQEQRRE >Ma06_p30230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31560688:31560771:1 gene:Ma06_g30230 transcript:Ma06_t30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPVKGTTTVFFVLKGRRHCRLRFSR >Ma08_p33910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44071820:44073654:1 gene:Ma08_g33910 transcript:Ma08_t33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKPTMNSHDRPMCVQADSGLVLTTDPKPRLRWTVELHERFVDAVNQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNEHSLKDASALELQRNAVSSSRMMGRNMNDTSAAHGTEAIRMQMEVQRRLHEQLDVQRHLQIRIEAQGKYMQSILEKAYQTLAADGMTSGDHHHHHHHHHQGLADMAAMKDLDPSMCFPSPHDLQALYGGDQLEMHQQMNASVDGFLLANDNTCLDKISRPHPFTSDEKHPFVWDDDLDVQKLGSAASCIGPQEEASKTHRLGTAPSAIDMDTVVDVFAAKPMMLSADSAGEKKHEGSTKLEPPSPLRAPLPLDGINPTLIGGSFAQARNLSYG >Ma06_p23420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21429333:21433704:-1 gene:Ma06_g23420 transcript:Ma06_t23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDRDGTDRPADVADLKDLTRALSKLNPSAEEFVPSSRRPAATRLSAEAPAFVASMRLFGMMIDGDDGSSCNHQPRWRKYNYDQRPRKVNDRVHGAQKGDCIRRTVYVSDIDHHVSEEQLAALFGNCGKVLDCRICGDLNSFLRFAFIEYANEHGARQALDLDGTVLGYYPVKVMPSKTAILPVNPTFLPRSEDEREMCARTIYCTDIDKQIRQTDLKGFFELFCGEVSRLRILGDHLHSTRIAFVEFVEVESALAALNCSGVVLGACPIRVSPSKTPVRSSLPTDKTIMR >Ma01_p19030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14431888:14435115:-1 gene:Ma01_g19030 transcript:Ma01_t19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSNNLIGVLNIITFVLSIPILSAGIWLARRATTDCEKFLQWPVIALGVFLLLVSLAGVVGACCRNSCLLWFYLLVMFILIILLFCFTVFAFVVTNKGAGEAVSGRGFKEYRLGDYSNWLQKRVDSDKNWRRIKSCLQDGKVCRSLQEKNQTWDQFVNYNLSPIQSGCCKPPTACNFIYVNETFWTKPVGYNTSVPDCNAWQNDQSVLCYDCQSCKAGVLANIKSDWKKVAIVNVVFLVFLIVVYSIGCCAFRNNRRDNAYTGLKTYP >Ma08_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36931849:36937462:-1 gene:Ma08_g23600 transcript:Ma08_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPAEGVFMEAASAPSPDLSLHISLPNTAPSVSGGGIAIDQGNSTIELRQAGGGGNNAYTELSLSYPWAAPQAESSWQQRMLSVRQSPPFDHCCRNRSTLDGLMEGSRPIKGIPVYNNSAFPFLPLDPKYGLPNRVSSYCPQWSSSPYLPSSLSPPSSSSSSFSSNLDMMPSSLLNPVGGVSAYTRMVTPPTRFNGFSPDLLIKNHYQHHQLFQHPHHQHHYGTRPFDSSHNLMRSRFLPKLPGKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTLKTTDKPAASSGQSDGSGEEDSAPSNDDLNFGRLGEQRVSSADGLKPSHGLDSLSSITNTAARWSNPSSFSRDAWAQPSAGDIDELRPSEFSSDTEELKNPSLEFTLGRPDWQGRKHL >Ma11_p10930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10931592:10933691:1 gene:Ma11_g10930 transcript:Ma11_t10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPAHGPVPVPVPPRAWNRCMALLRRCSSERHLRAAHALFVSGGLHRHPFALSRLILAACSLRPPALAYASLLFHRSPSPPNSFMHNALIRAHARGPDPAAALSFFRLLLRAPPASAAADHHSFPFALAACGASGSLATGAQIHALVVKNGLFSADHYVQTAVLRLYCNATDEAAKVFDEISVRDAVHYDVLMNAFIRAGLPSEALRLFDHLLISRIEPDEFAATTALTACAHAGALKQGVRIHKLVEGKGPSFLRDTFLGSALVSMYAKCGCIKDAVDVFNAMPERNTHMWATMIGAYAMHGFSKEAMACLRRMQEEDGLRPDGIVLLGALAACVHAGLVEDGLQLLAEMEARHSVIPEHEHYSCAVDMLCRAGRLDEALHLVREMPMRPLASVWGSLLTGCRVHGNTELAEVAVAELQSFAAGNGDDEGVYVQLSNIYLNADRKEDARRIRKLIGSRGIKKTPACSAIEVDGNVSSFVAGDQVHPQRLEICLMLEVLADHMRPCSEDEL >Ma11_p16130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21705360:21707943:-1 gene:Ma11_g16130 transcript:Ma11_t16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSNGSWQGDNPLDYALPLAIVQICLVITVTRFLAFVLRPLRQPRVIAEIIGGILLGPSALGRSEAFLNTVFPKQSLTVLDTLANIGLLFFLFLVGLELDIRAIRRTGKRALGIAVAGISLPFIMGIGTSVVLRHTVSKGVRAGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALTGSGSPLISLWVLLTGVGFVVFVALLVRPVLDWMARRSPVGEPVKEIYICATLATVLAAGFVTDTIGIHALFGAFIIGIVVPKDGPFAGVLIEKIEDLIAGLFLPLYFVSSGLKTNVATIRGGQSWALLVLVICTASFGKIGGTLVVSLLVKVPMREALTLGILMNTKGLVELIVLNIGKDRKVLNDETFAILVLMALFTTFITTPIVMAVYKPARRSPPYKHRSILRDDAESEFRILACFHGSRNIPTMINLIESSRGTRRRGITVYAVHLMELSERSSAISMVHKARRNGLPFWNKKQCYEDGGDQLVVAFQAYQQLSNVTVRSMTAISDLQTIHEDIITSAEQKRTSFILLPFHKLQQIDGSMESIGHAYHLVNQRVLRCAPCSVGILVDRGLGGAAQVVASEVFYTVAVLFFGGRDDREALALGMRMAEHPGIQLTVTRFIPQADQLRGRDGGGSVTIRMDANEIAADEDYITVFRDKVLPSNESITYDDKVAGGKAEIVAAIKDMGRCNLFLVGQAPATVALTDKNDCPELGPVGSYLASSEFGTTSSVLVIKQFDPTANPPQQADEGLLTSDLPDTPVAGSARH >Ma08_p30850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41964240:41967487:1 gene:Ma08_g30850 transcript:Ma08_t30850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVHQGLTPEAATVVKQSINLARRRGHAQVTPLHVANTMLSSSTGLLRAACLRCHSHPLQCKALELCFNVALNRMPASTLSAPVLGLPLAHHPPSLSNALVAAFKRAQAHQRRGCIDTQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSMDICASTPPNRSPSKPKDASGPFTIPRAITTKPLVQVKNEDVVSVVETLATRRKRSLVIVGECLATTEAVVGGVMDRVNKGEVPEVLRNVQFIPLPLFSFIHMPLQEVNQKVGELRCLVKSCGAERGAILYLKDLNWAAEYRASGEKGRNIYCPLEHAILEIRNMFCEGENSGGRLWLMGSATYQTYIRCRVGNPSLETLWGLRPHTIPTGSLGLSLNCDSDLSQMPIKISGGSQFLTKTEHEIGSHPSCCADSAINFETDARSANRTCYGSYASISSSLPSWLRRYKEEKRGAISDDQIYLQGSLQLKDVFRKCNSISTSAHKTHNHPSEITFNFSSVSPSSSSISSYDHGCPSLHPHQHQQQACLQSLEAKHQRSEQNLWITEVADECPEHKSRSSGPEHAGQTRSNPNSATTSGTMEMEYVSRFKELTAENINTICDALERRVTRKKDLIPEIASTILRCRSGLITRKEKPNSSSEKKEDTWLFFQGGDTEGKERIARELAGIVFASYADFITIGLSNFSSTPSDSTDDVRNKRSREQVGNSYLESLFEAIRENPHRVIVMEDIEQADYHARAGIKTSMERGKIQSSSGEEVRLCDAIIILSCESFDSRSRACSPPVKHKADTEDEKEEACRLDLNLCAEDEEDLHDHFSDDMGLLESVDGAFFFELPEEL >Ma08_p30850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41964240:41967487:1 gene:Ma08_g30850 transcript:Ma08_t30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVHQGLTPEAATVVKQSINLARRRGHAQVTPLHVANTMLSSSTGLLRAACLRCHSHPLQCKALELCFNVALNRMPASTLSAPVLGLPLAHHPPSLSNALVAAFKRAQAHQRRGCIDTQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSMDICASTPPNRSPSKPKDASGPFTIPRAITTKPLVQVKNEDVVSVVETLATRRKRSLVIVGECLATTEAVVGGVMDRVNKGEVPEVLRNVQFIPLPLFSFIHMPLQEVNQKVGELRCLVKSCGAERGAILYLKDLNWAAEYRASGEKGRNIYCPLEHAILEIRNMFCEGENSGGRLWLMGSATYQTYIRCRVGNPSLETLWGLRPHTIPTGSLGLSLNCDSDLSQMPIKISGGSQFLTKTEHEIGSHPSCCADSAINFETDARSANRTCYGSYASISSSLPSWLRRYKEEKRGAISDDQGSLQLKDVFRKCNSISTSAHKTHNHPSEITFNFSSVSPSSSSISSYDHGCPSLHPHQHQQQACLQSLEAKHQRSEQNLWITEVADECPEHKSRSSGPEHAGQTRSNPNSATTSGTMEMEYVSRFKELTAENINTICDALERRVTRKKDLIPEIASTILRCRSGLITRKEKPNSSSEKKEDTWLFFQGGDTEGKERIARELAGIVFASYADFITIGLSNFSSTPSDSTDDVRNKRSREQVGNSYLESLFEAIRENPHRVIVMEDIEQADYHARAGIKTSMERGKIQSSSGEEVRLCDAIIILSCESFDSRSRACSPPVKHKADTEDEKEEACRLDLNLCAEDEEDLHDHFSDDMGLLESVDGAFFFELPEEL >Ma11_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3689860:3693577:-1 gene:Ma11_g04750 transcript:Ma11_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPPAELIVVERDPTGVAVITINRPSSLNSLTRPMMVLLAAAISRLDADPAVGALVITGRGRAFCSGVDLTAAEEVFKGDVKDVDANPVAQMERCRKPIIGAVNGFAVTAGFEIALACDILVAGKDAKFIDTHTRFGIFPSWGLSQKLPRIIGISRAREVSLSGMAITAEMAEKWGLVNHVVDNGEVLKKAKEVALAILRNNRDMVLMYKSVINDGFKLDLGHALALEKERAHSYYNGMTKEQFAAMQKFILSRSSKSSKL >Ma10_p14620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26987859:26990100:-1 gene:Ma10_g14620 transcript:Ma10_t14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAHKKGRSRKALKNFSPSDINISAGESSSPLGEVAKESQLGVSLLVSPKKSRKAPSKPRSSAAADESFADELQELHGRLQQLRLEKEKTEELLKQRDEMLKRKDEEIENRGKEQQRLQEELKKLQKLKEFKPTMVYACTEFVLLFSLKRLIRRRKTRTRPAARRSRNGPAYIAWCKDQWNEVKKANPDADYKETSNVLGAKWKALSAEEKKPYEYKYQREKEAYLQVMRQKKREIDAMKLLEEEQLQKTAMKLLQQYLQFKQETDKEGKRTRKEKDPLKPKHPMSAFFLFSKERREALLRENKNVLEISKIAGEEWKNMTGEQKAPSEEIAKRQKESYNREMELYKQKKQEEAVIIEKEEEEQMKVLRQEALQLLKKKEKTENIIKKTKENRHKKKKEKDEHNADPKRPRKPPSSFLLSSKEARNRLMEERPGIAYSTLNAMVSVKWKEFSESEKQMWNGKAG >Ma03_p20800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25895122:25900549:1 gene:Ma03_g20800 transcript:Ma03_t20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAALGLQSCPWIGPDKVGRKGSGFVRLPNRCLEERRVSTVRFGDPRRGRTLFRPGQAEPKISCSYKKAPGAENIHNSFDEALILKRKSEEVLPYLNGRCIYLVGMMGSGKSTVGKILSEVLCYSFFDSDKLVEQAVGVSSVAQIFKDYSEAFFRDNESEVLKDLSSMRRLVVATGGGAVIRPINWKYMKQGITVWLDVPLDALARRIAAVGTASRPLLHQEPGDPYTKAFAKLTALSEERGKAYANAVARVSLEDMAAKKGHADVSALTPTDIAFEALIKIESYVSETAAVLNRSCIQGRKS >Ma04_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:12028414:12051859:1 gene:Ma04_g15700 transcript:Ma04_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAVQASLFFRPLSSPSLSRPPKRLSPAFLIPSHLHTSRFHCRLALGSVPRALPPENSDPALEPSSPDKDSSLDAQPSRGSQLSQETGGESSRGEPDAAAVVGAVGNGASFVDKSSVEKTRTEGRFRLMAFLMGALASARKGLDAVLMSEWLSWWPFWRKEQRLEWLIADADANPRDAAKQSALLAELNKHSPEATIRRFEQRNHVVDSRGVAEYIRALVLTNTLAEYLPDESSGRPSNLPTLLQELKQRASGSEDKLLLNPGISEKRPLHVVMVDPKASNKSPQFAQELLSTILFIVAVGLMWVLGAAALRKYVGSLGGIGTSGVGSSATYAPRELNKEILPEKNAKTFKDVRGCDDAKQELEEVVDYLKNPGKFTRLGGKLPKGILLTGAPGTGKTLLAKAVAGEAGVPFFYKAGSEFEEMFVGVGASRMRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHAKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPSPDVQGRQEILKLYLQDKPLADDIDVNAIARGTPGFTGADLANLVNIGAIKAAVDGVDKITAAHLEFAKDRMIMGTERKAMFISEESRKLTAYHESGHAIVALNTDGAHAIHKATIIPRGSVLGMVTQLPFQDETSISKKQLLACLDVCMGGRVAEELIFGEESITTGASSDLRTATELAHYMVSTCGMSDAIGPVYVKERPGSELQSRIDAEVLKLLREACDRVRRLLQKHENALHALANALLKYETLSADEIKRILNPYQQKEELALT >Ma08_p22620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36145941:36150642:-1 gene:Ma08_g22620 transcript:Ma08_t22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDSSRLRREECHRTKHDSVFSDWKIVIGSSDWEDHSLGKNGAERYKIHNLPASCSCPGLYELGIAVTPTDGRGTKTRQQALKDIVVVYLGQADNVRTRLQQYGRAGSHLDHGNSFTYSAESETPCLQKGPGLFKEIFSKGYSIVYRWAPMKDKKEAEKMEEQLLNFFDYAWNRRGNGACRREDILLKLDKASTRSNSGLLSKFKQWKWPVLSKNVGIKIDAGLSIDEAESEKTRGFLPQIFKFVKSQRQSVQPNDNLTQDEKVCGVAIGNGHICRNKPVPRRKRCSEHKGKRISVNSNVTSRDIRMIEDNSSLVCSEDSAAGKMVQPKHQRIPHIYKSSSGWESWPPAEEMQKLIPILEVNICGVVAEDGDICRRKPVPGRKRCEEHKGKRVTGSGTSSSAETVRSYVCGVHLDDGSICMNLPLPARKRCTKHKGRKVTKIGQPEVFEFQTKKLSSLN >Ma10_p27410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34719980:34722629:-1 gene:Ma10_g27410 transcript:Ma10_t27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRCLSSPFSPPSAPLRHKILVSKAPTLILACRHRSIALEDKNHRFRSVGKAMVSAEKDLVANEVAKDADDTDYGVVSIHHVGLLCENLEKSLAFYKDLLGLEINEARPHEKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHACIAIRDVSKLKSIFDKAGISYTLSRSGRPAIFTRDPDSNALEFTQVD >Ma05_p16450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16544916:16554310:1 gene:Ma05_g16450 transcript:Ma05_t16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSQRRKAGGDQFDSSDADSVSSISTGLLELANDTECLNSQEFELEKYIDDLYEKRGSTREKALSRLVDAFEGHVLLKFVENKFITLLNQYINSIKRGSTKEACLASRAIENNAHEIMEESVSHLSQALISGSDAQKKSSVLDCLAVVTFIGAIDLAETEISLKAMWQVIYPKSGPNVGLVKKLPSTVLAVAISAWSFLLTTISGWRINPDNWKESVAFLSTLLEDNDRSVRVAAGEAIALFFELGILDLHRSDHIDIDSLNHEVLKHGMLTYMQSMKAKILAKANDLSVEAGGKGTDKKNLNDQRDLFQKILDYVQTGECPEISLKISSKHGLLRASTWTQTIRLNFLKRFLGRGFLKHAQDNELLHDLFNFEQDKTVSLSSIEKKISRSEGDKGRTQKMKKDRKLAQERKRGHLITQEE >Ma05_p16450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16544916:16554310:1 gene:Ma05_g16450 transcript:Ma05_t16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSQRRKAGGDQFDSSDADSVSSISTGLLELANDTECLNSQEFELEKYIDDLYEKRGSTREKALSRLVDAFEGHVLLKFVENKFITLLNQYINSIKRGSTKEACLASRAIGLLAITVGAENNAHEIMEESVSHLSQALISGSDAQKKSSVLDCLAVVTFIGAIDLAETEISLKAMWQVIYPKSGPNVGLVKKLPSTVLAVAISAWSFLLTTISGWRINPDNWKESVAFLSTLLEDNDRSVRVAAGEAIALFFELGILDLHRSDHIDIDSLNHEVLKHGMLTYMQSMKAKILAKANDLSVEAGGKGTDKKNLNDQRDLFQKILDYVQTGECPEISLKISSKHGLLRASTWTQTIRLNFLKRFLGRGFLKHAQDNELLHDLFNFEQDKTVSLSSIEKKISRSEGDKGRTQKMKKDRKLAQERKRGHLITQEE >Ma10_p12740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25763725:25763913:-1 gene:Ma10_g12740 transcript:Ma10_t12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTGRSVALLFLVLMEFLGWKSCVVDANPRRILLDTDVDTDDFFALLYLLKQNQSQFDLKV >Ma01_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8405413:8411664:-1 gene:Ma01_g11620 transcript:Ma01_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEGDEGCGGKKGRSSSSSSSSMSSFWTIFMHADATDVMLMTMGFIGAVGDGLSMPTMLYMTSKIFNNFGNGPSALSVFTDTIDKSAVYLLFLACGSFVVSFLEGYCWTRTGERQASRMRARYLRAVLRQDIAYFDLNAGSGTEVITSVSSDSLVVQDVLSEKVPNFIMNGATFLGSYVVGFFLIWRLALVACPTVVLLIIPGLMYGRILMGLARKMREQYNKAGTVVEQSVSSIRTVYSFAAEDFTMAKFSAALDDSIKLGLKQGLAKGLAIGSNGITFAIWAFMVWYGSRLVMYQGEKGGTVFAVGASIIVGGLSLGSGLSNVKYFSEASSAGERIMAVIRRVPTIDSGSTEGEVLESVSGDVEFRRVEFAYPSRPDNFIFRDFNLKVPAGKTVALVGGSGSGKSTVVALLERFYDPLGGEILLDGVDIRKLQLKWLRSRMGLVSQEPALFATSIKENILFGKEDATMDEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAVLKSPKILLLDEATSALDSESERIVQEALDLASVGRTAIVIAHRLSTIRNADVITVVQDGQVMEMGSHDELISNEDGLYSSLVRLQQTARAREVEGGASSSAAAAMTALTAHSQFGSSGSMSRRFSAASRSSSARSTGTPATDDDGDPEQPKLPVPSFRRLLMLNAPEWKQALLGSLSATVFGAIQPLYSYALGSMISVFFLKDHDEIKSKTRTYAFVFLALSIFSLFINIGQHYNFGAMGEYLTKRLRERMLSKTLTFEVAWFDQDENSTGAVCARLAKDANVVRSLVGDRMALIIQTVSAVVIACTMGLVIAWRLALVMIAVQPIIIVCFYARRVLLKRLSAKAIKSQSESSKLAAEAVSNLRTITAFSSQDRILGMFEAAQEGPRRESVRQSWFAGIGLASSQSLMTCTWALDFWYGGKLIADGYISAKSLFETFMILVSTGRVIADAGSMTTDLVKGADAVGSVFAVLDRCTRIEPNDPEGHRPEKLNGAIDIRGVDFAYPARPDVVIFKGFSLSIEAGKSTALVGQSGSGKSTVIGLIERFYDPLKGSVKIDGRDIKSYNLRSLRRHIGMVGQEPTLFAGTIRENIAYGTEGASTAEIEAAARAANAHDFISCLKDGYDTYCGDRGVQLSGGQKQRIAIARAIMKNPAILLLDEATSALDSQSEKVVQEALERLMAERTSVVVAHRLSTIRNCDLIAVLDKGVVVEKGTHAALLAKGLKGSYYALVSLQQGNKGA >Ma08_p04710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3272245:3275188:1 gene:Ma08_g04710 transcript:Ma08_t04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSKDLVLHKEAAMAAGEKLGSKGQAWFCTTGLPSDIIVEVDGMSFHLHKFPLMAKSGRLQRLITEAEEHPNKAARRGQSKGDDEEEPQKEGGGGGEIKEEEEEEEGYQHISLADFPGNCEAFETAAKFCYGVKIDLSAWNVAPLRCVAEYLEMTEEVCEENLVARTERFLAQSVLPSIKQSIKTLKSCEDVLPVADDLGIAQRCVDAIAAGASVSDPASLFGWPINEGRRGRGGGGSSSEQILWNGIETGLLRRRSGVRSSSFAAAASAGSWLEDLAILSLPMYRRVIAALKSRDLSSVAIEGSLISYAQQSIPGLFRSRRKHSSAPVASEPEQRELLETVIANLPPEKSSAAPAASAAATTKFLFGLLRTAHILHASEAARAALERKIASQLERATLDDLLIPSYSYLSETLYDVDCVERILAHYLDDLEAERAALAPAEAEGEAEGGVDVERRRAASLKLVGKLVDGYLAEIASDANLKVDKFYGLAVALPDDARVYHDGLYRAVDIYLKAHPRMREEEKERVCGVLDCGKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRRVIAGTLLVAEGEAAVPAAAGGEGGGAWRSAMRENQVLRLDMDSMRNRVQELERECSSMKKALSKLDEGGEGSGAAEGDEDGGRRRRRRFGCRFSTQVCDSHERNVVESRRPGRELSR >Ma06_p11560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8054471:8058127:-1 gene:Ma06_g11560 transcript:Ma06_t11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MLWSAPSSSSSSSSSVSSFRAWESLSFPCSKPHSAFPKPNIIFLNPNPERFSVLSSSSPHPPSPLVASTTLPSSTAPVLKKRKRYRKPYPGESEGIVQEMRFVAMRLRNDAGSGEEKEEEQAGGGWETWQPRMEGFLKYLVDSKLVFETIERIVDESTDVAHVYFRNTGLERAARLSKDLEWFSQRDIMIPKPSNPGTSYATYLNELAEKSVPSFLCHFYNIYFAHITGGQKIGKKVCDMLLEGRELEFYKWDSDVHELLKGTRENLNNLGEHWTRDEKNTCLREAAKSFRYLGQIVRLIIL >Ma04_p32400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32371602:32373084:1 gene:Ma04_g32400 transcript:Ma04_t32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGKSKAAASRKDGDKVAGKRKAAGDEDKKAKRGKVGKDANKPKRPPSAFFVFMEEFRKTFKEKHPDNKKVSVVSKAGGNNWKSMSEAEKAPYVDKAAKRKAEYEKSMVLYNKKQSEEVEAEGSDKSKSEVDDEEDGDGDGEEEEDEE >Ma08_p13880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11016428:11019308:-1 gene:Ma08_g13880 transcript:Ma08_t13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNTTEPITFCYRPDNIVGGGVWQSKPFYSYSLPVLLWQMVLVVAISRGLAFLLKPLRQPRVIAEILAGVLLGPTGLRNITFSGGEGGKPLPLGDILFPPASYRQLEAMSTLGITYYLFLIGAEFDIQMVAAMKKKVVAIATVNMAVPIVITVLVAHAMNLQPPEYVSYLAHVAFIGAAMGVTAFPVLVRLLAELKLLNDELGQLVVPPAVLSELTAWVFLAGSVLLPSYGDSPEVVDLYRSSAFAPLWIMLSGIGLGLICWLVVLPIMNWTVQRTPEGEAVSDAHITIVATGVLAAGLIADVIGFPSVFGAFVFGLLMPQGALTTELRNKLEDFVVGLLLPIYFGGSGFKANVSVITMDDEQGAAFVAALTVIMVLCFVAKLGSNLLIAQYYSMPASRGLSLGLLMNTKGSIDMIILNIGKQKGILDERTYTMMVLGYILTTAVIGPALEIFHKTSRSRAAYKRRNLQQCRPDSELRMVACVYTARNVPSIISFLQMSNPTKRSPVFVYVVHLLELTGRGAAMMIVHQASSKHAQEKVGSKSSGCALQADHIIAPFQSYEQQAGGVSVQWVTAVSPYSTMHEDIFNIAEDRHTTIIVLPFHRLQSVAGDFEEADPAIRSVNMNVLAHSPCTVCILVDRGISGVGRSSTGQLAQHHVAVLFFGGPDDREALTYSSRMAEHPGVILTVIRFLPGEEAMVPPSPAPSCASGERAAMTAVAEANMQRQLDDECTNLFRLRHVTNDSVTYTEMVINNIEETVTAVRAMNGVHSMYVVGRGKGMESSPLLAGLTLWSEYPELGPIGDMLVSADFGTQASVLVVQQYVSGEAVVAMESTAEQESPKPDPVQRYLSSANDKAGQRRLNDVWMPGV >Ma03_p33210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34641824:34643931:1 gene:Ma03_g33210 transcript:Ma03_t33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSHASVINEFEALTKDAGRLQRETLREILEQNGEAEYLHNFGLGGRTDPDSFKSCIPLVTHSDLEPYIQRIADGDTSPVLTGKPITSISLSSGTTQGKPKLLPFNDALIHSTMQIYRTSFAFRNREYPIGNGKALQFIYSSKQAKTKGGLTATTATTNVYRSEQFKHTMEDIRSQCCSPNEVIFGPDFQQSLYCHLLCGLIYSDEVQIVSSTFAHSLVHAFRTFERVWEELCTDLREGVLSSRITVPSIRAAVSKLLNPNPGLADSIHSKCSRLSNWYGVIPALWPNAKYVYGIMTGSMEPYLNKLRHYAGSLPLMSADYGSSEGWIGANVNPSLPPELATFAVLPNIGYYEFIPLEKPEGPGTETEKGTASTIHYVEAQPVGLTQVEVGKQYEVVVTNFTGLYRYRLGDIVRIAGFRNSTPELQFVCRRSLMLSINIDKNTEKDLQLAVEAAAKLLAEEKLEVVDFTSHVDTSTETGHYVVFWELCSDAAEEVLRSCCNCLDLSFVDAGYVGSRKAGAIGALELRVVRRGTFQKISDHYIRLGGAMSQFKTPRCVGRSNSEVWQILCRNVTACYFSTAYSM >Ma04_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5034433:5034918:1 gene:Ma04_g06900 transcript:Ma04_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPITASFLGGVSVGDRPSIGRRRSLVVAKAATHVKDTERRDGGENNGRRAVMFAAAAAVVCGIGQGIATADEEPKRGTLEAKKKYAPICVTMPTARICHQ >Ma06_p10730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7470253:7471766:-1 gene:Ma06_g10730 transcript:Ma06_t10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSQQAIRSVLGVIGNIISFGLFLSPVPTFIRIIKRKAVEDFSPIPYLATVLNCMFWVFYGLPIVHPNSILVVTINGIGLILEAIYLVIFLVYAPRQGRLKVSKILAAEVSFMTVVVVVVLLTAHTHEKRSLIVGIFAIIFGTCMYASPLSVMKMVIQTKSVQYMPFTLSLASFLNGVVWTSYAFLPFDINLVIPNGLGALFGLAQLILYACYYKSTPKAGTKAEVELPTASNV >Ma07_p03670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2807430:2814860:1 gene:Ma07_g03670 transcript:Ma07_t03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTLLRSAAPALGGSRDRFPSDSGAPIPPSFKISCIRSPRSAGNRSSIFGSSFPSVEAYSSQRSGARNNQPIKATATEAPPFVKSSPSGGRTKVGINGFGRIGRLVLRIATTRDDIEVVAVNDPFIDAKYMAYMLKYDSTHGIFKGTIKVVDGSTLEINGKRIAVTSKRDPAVIPWGDFGAEFVVESSGVFTTMDKASAHLKGGAKKVVISAPSVDAPMFVVGVNEKNYKPNMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYDDVKASIKYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSASFMKLVTWYDNEWGYSNRVLDLIEHMVLVNAKH >Ma02_p25140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29485792:29487524:-1 gene:Ma02_g25140 transcript:Ma02_t25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIFPEWDYQAVGSYLMLNWRRKLTGLLLEFMNDSQKTLLPVVQKPDGKKNLMLSECGKFYMASQGRHRPQPVIEYDIYSGKHCFD >Ma02_p09310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19387580:19388508:1 gene:Ma02_g09310 transcript:Ma02_t09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPRSWQSSSASRITDEQINDLVSKLQAVLPEARIRRSDRVSAAKVLQETCNYIRSLHREVDDLSERLSELLASTESSSAQAAIIRSLLM >Ma10_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21391858:21395561:-1 gene:Ma10_g07040 transcript:Ma10_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTENCFAAPPLAEGAAAQPSSADRTARGASKYLAGLPSRGLFSSAVLSSNPGGIRVYVCDHETAPPEGQVIKTNSTNILIRALQINKQKSDAKDVIAKSAADSSTVKRSAVRTSERRSPPKKMKTSSASTSHRGSCSSELSEKKLQTMTVERLRALLKERGLSPKGKKDELIARLKDEA >Ma10_p11390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24923687:24926493:-1 gene:Ma10_g11390 transcript:Ma10_t11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDLADDLQSMSFGSSDRSGSSALSTLSGSLSSSSSSLSGAIPKPRPTGDPVLDATHRVKSSSAPPVGGGSVLSMSDLRFVKRLGSGDIGSVYLAELKSAGSEGLLLAAKVMDKKELEGRSKEGRARTEREILEAVDHPFLPRLYACADSDRWSCLLTEFCPGGDLHVLRQRQPFKRFDEAAVRFYASEVVVALEYVHMMGIIYRDLKPENVLVRADGHIMLTDFDLSLKCDSASRTAAQIVSDQNPLPLPPQSSAAVAGEFSAAACILPSCIVPAVSCFHPSRRRRKKKPGRRGPCLEFVAEPVDLRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGVFVFELLYGATPFKGPDNELTLANIVARALEFPKDPAVSASARNLIAGLLVKDPERRLGSTMGAAAIKRHSFFNGVNWALLRCAPPPYVPPPFSVSGLSRDASDDSCPGTPVEYY >Ma08_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2474435:2476915:1 gene:Ma08_g03400 transcript:Ma08_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRSSSMLSALFCLCIVVPSATCLSFNLSFLDPASRSGIELQGNATWNSTDGIQLTNDTIIYNVGRAVYREPLLLWDARTKALSDFTTHFSFIIRNSSNTSSSGDGLAFFMAPYPSPLLLDSSGGPLGIFKRGSLNSTVPTVAVEFDTYQNEWDVDDHHVGIDVNSIVSQKVASWNGSLKTGMQANAWVSYDAITRNLSVFLTYADNPVFAGDSVLHTVIDLRDHLPANVTVGFSAATGQVTETHAVLSWSFSSSLQPRSIPPPTAPTASDAAKSKSKTGLIVGLVIGAGALMVMPGLLLFVLWRRRRKSRRRNAADREEDMDFDQTTDDDFTGNRGPKRFAYKELARATRNFSDEGKLGEGGFGSVYRGHLKDLKLDVAIKRVSRESRQGRKEYVSEVKIISRLRHRNLVLNGDFDETQMECLMVVGLWCAHPDYNVRPSIRQAINALNLETPLPELPPKMPVPMYYTPWSDVSQSLHASSLATTTSITAKSAPTGSSSMSPSSSYLLKSPNTEAVIST >Ma06_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8920045:8921511:-1 gene:Ma06_g12950 transcript:Ma06_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGSACAWYNGLKTGAIASFDQLIKDFELHFVAYSRAKPSVALLGLNQREDEPLSSFVNRFVAQIRGLPDAHPSLLMQAFMMGLHPSRFFWSLVERPPTTVPEMLHRANQFVAAETWIVGKREEHKRGRPEQARGQQPPTPRRRLDRPDPPTLRPPVPSLAASRTKIFLQIREKGLLKAPVPMKSPRELADRSKYCRFHRQIGHNTEECRELKRQIEKLVRRGHLSRYVQQGRESSSHPEGPVERHIDVITGGPASGGISMSGRKAYARSARDDTPRRGPDPQVAFSPEVAERSEHDDALVIMARIANAQVRRIMIDTGSSTDVLYFDAFQKLGLAKVALEPICSVLTGFTDDSISPLGVVTLPLTLGAPPRTKTMMSTFLVVDLPMAYNAILGRPTHHKIRVVVSTYHQTVKFLTHAGTGEVWGSPRESRQCYLMAISLHKRAKIDQPPEDPRKKKAAGPTSRAGSPHL >Ma11_p20170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24733416:24735435:1 gene:Ma11_g20170 transcript:Ma11_t20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSEEVTPLHFMVCFALPLVLLYVVATRRRGKLPFPPGPPQLPVIGNMLMMDQLTHRGLAKLGEHYGGLCHLRLGFLHAFAVSTPEIARQVLQVQDNVFSNRPATIAISYLTYNRADMAFAHYGPFWRQMRKLCVMKLFSKKRAESWASVREEVDVAVRSLADRAGSAVNVGELLFNLTKNIIFRAAFGTQSHENQNEFISILQEFSKLFGSFNIGDFIPWLSWMDPQGINKRLKVARASLDRFIDKIIDEHMANRKEADASDADMVDDMLAFLDESGYRCQAGERDDLQGTLKLTRNNIKAIIMDVMFGGTETVASAIEWAMAELMKSPEDMKRVQQELAHVVGLDRKVHESDLDKLSFLKCVTKETLRLHPPIPLLLHETAEDCEVAGYTVPARSRVMINVWAIGRDKSSWEDADAFRPSRFTPGGCAASLDFKGNYFEFLPFGSGRRSCPGMQLGLHALELAVAQLIHCFTWTLPDGMKPSELDMGDVFGLTAPRAVRLAAVPAPRLSCPLY >Ma08_p20810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34891181:34892367:1 gene:Ma08_g20810 transcript:Ma08_t20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFAFSLAGLGFLFLGAIESLSISTAPALLPPPLLLRLRFISALLLSSLSLLSSLVSSLSSAESAADPLGPSLPLSSLTAAAPFLLYSLAGLLSLPSSSLLVNPFPSSLLDLVLLFSFGQEFLLFHFRRKDLDGVENRYFDLLLVPILVCAISTLLAIARPRSPAPCVARAAGLAFHGTWLIQMGFSFFTNLIAHGCSVHERSATNYTIKCKTHEDYHRGRAIATLQFNCHLAFLMLVGAVAYGVLAGRTGGNAGYRPLSKELQMTDASPSNFTLDSDEEEEIEAAETKGTKQHQDVVLPVVEIESANGSH >Ma09_p05480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3523700:3524680:1 gene:Ma09_g05480 transcript:Ma09_t05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKMKWRRNRQQHQQEQEERRKQEGKKNEKKNNKHTSSFSFSSWLAKLKPKRGVATAAAARDAVFAPPPSPRPPDPSPRSAHLSFSPAARFPPPVPRRLSVWDDAGGLRPRIAPVRARRRSARHHSVGDLELTLGHIIPFSRRWAESDSGTDASGCDLGHGRRPPRPRRRRSARTTAGYASDQRADPDARDGLPRRSFTGKIRHRAKVRVRSPRTAAVRAEEVERMRAASRRKREEEVARRGLERFAVVKCSSDPQTDFRESMVEMICEKGLGRPEEMESLLACYLALNSDEHHDVIVKVFRQVWFELNLERLAHESNRRR >Ma04_p23060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25259404:25264461:1 gene:Ma04_g23060 transcript:Ma04_t23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSERGLLRRALATRFPLPLSLPSFASSFSSFDGQASYSNDDVEGDAAGKLRNAKSIDSCAGDPLPALFPVVSVALRTLNWTLVQEVRFSEAVASHGFPHALEAFAMLMGVFSLGAMQREVRCLLAGVLGFCGDADCSSIGLLPTLAELSRGALSLLHVYGAAVQVLAEHLLVEDALETYYEARRMGLQIGVPLSNLLLKVLVKSNNMDKAKCLFNNMKSCSPLPNVYSYTIMVHMYTSKDTFDIYEAGKILTEMEMSGVKPNAVTYDTYIRGLCRAGDVKSAWEFLQDLQSRGLPCNTNCYNALILGFCREGELENALLVFQELKQQRLTPDVHSYSILIDGFSKKGDVLNGYNLLDEMLSSGIIPTMVSYSSLLHALCMKGEMGCALNLFNELHSRGYAHDLVSYGILIDAYCKHGDLDAASKLWQEMVQNNFVPDAYSYTSIIFAHCINGCLKEALDHFELMLNNGVMPTVVTCTLIVDGFCKQYRTVEAFEFLNEMHKWGIIPNIFMYSVILNGLCKEGKSVCTWGILGAMIKKGIVPDVVVYTILINGLVKIAKVEEALRLFAGMSKVGVMPNIFTYTSIIDGLCKNGRLPEALICFEEMIREGFVPDRIVYTSLIDGYCTCKDMLKAVELFNKMTQSGLVPDAHTYTCLIDGYSKLLLMDVAVSLLDEMMKLDLSPTVVTYTAVITGYRKLGDWDRAYEVYEFMLKQGISPDALTYLSLGVSCSGA >Ma04_p23060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25259404:25264441:1 gene:Ma04_g23060 transcript:Ma04_t23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSERGLLRRALATRFPLPLSLPSFASSFSSFDGQASYSNDDVEGDAAGKLRNAKSIDSCAGDPLPALFPVVSVALRTLNWTLVQEVRFSEAVASHGFPHALEAFAMLMGVFSLGAMQREVRCLLAGVLGFCGDADCSSIGLLPTLAELSRGALSLLHVYGAAVQVLAEHLLVEDALETYYEARRMGLQIGVPLSNLLLKVLVKSNNMDKAKCLFNNMKSCSPLPNVYSYTIMVHMYTSKDTFDIYEAGKILTEMEMSGVKPNAVTYDTYIRGLCRAGDVKSAWEFLQDLQSRGLPCNTNCYNALILGFCREGELENALLVFQELKQQRLTPDVHSYSILIDGFSKKGDVLNGYNLLDEMLSSGIIPTMVSYSSLLHALCMKGEMGCALNLFNELHSRGYAHDLVSYGILIDAYCKHGDLDAASKLWQEMVQNNFVPDAYSYTSIIFAHCINGCLKEALDHFELMLNNGVMPTVVTCTLIVDGFCKQYRTVEAFEFLNEMHKWGIIPNIFMYSVILNGLCKEGKSVCTWGILGAMIKKGIVPDVVVYTILINGLVKIAKVEEALRLFAGMSKVGVMPNIFTYTSIIDGLCKNGRLPEALICFEEMIREGFVPDRIVYTSLIDGYCTCKDMLKAVELFNKMTQSGLVPDAHTYTCLIDGYSKLLLMDVAVSLLDEMMKLDLSPTVVTYTAVITGYRKLGDWDRAYEVYEFMLKQGISPDALTYLSLGVSCSGA >Ma05_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4815849:4822351:1 gene:Ma05_g06480 transcript:Ma05_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPCLVNKDKFRLKILEYLGVLGDYKGSPLLSSYLDILLASYKWSCLIIAFQVLKLKAIQVTVPLPRDQLPPCLKSPILVVGCISTSTFSSSIDCNKIPGLEGLYSHLF >Ma03_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7750026:7755093:-1 gene:Ma03_g10290 transcript:Ma03_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWWQMDVVEAFRSMTWEQESYPAYADFLALPVFAMFFPTVRFFLDKFVFETLATWLIPKTVHENLSAENEKRRKVKKFKESAWKFVYFLSGELLSLSVTYNEPWFTRTRYFWVGPGDQVWPDQKIKLKLKAVYMFAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVVLIVLSYIFRFARVGSVVLAIHDASDVFLEVGKMAKYSGSEWLANTSFLLFVASWVLLRLTYFPFWILRSTSYEVVLTLDKAKHKFEGPIYYYVFNTLLFSLLVLHIYWWVLIYRMLVKQIQARGHVGDDVRSDSEGEDEHED >Ma06_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9555477:9558347:-1 gene:Ma06_g13940 transcript:Ma06_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIC1 [Source:Projected from Arabidopsis thaliana (AT2G22570) UniProtKB/TrEMBL;Acc:A0A178VQ19] MGSETSALTALKAEMPLGDSESLVLASEVGQGRAVGLVLVDIVNGFCTVGAGNLAPTEPNDQIATMVEEAAKLAKMFSARNWPIFALLDTHYPGKPEPPYPPHCVIGSGEERLVPALKWLEKDPNFTVRRKDCIDGFIGSMEKDGSNAFSDWVKRNEIRVVLVVGVCTDICVLDFVCSTLSARNIGLVPPLEDVVVYARGCATHDLPIHVARNIKGALAHPQDVLHYIGLYVAKSRGARIVHKVSFD >Ma05_p04120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3097720:3101336:1 gene:Ma05_g04120 transcript:Ma05_t04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDSIEVKFRLFDGTDIGPSKYDPTTTVASLKETILARWPQGKEIAPRTIDDVKLINAGKILENNQTIAESRTPVCELPGGVITMHVVVRPPMLDKNNEKQLAKAQKTNRCACTIL >Ma05_p04120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3097714:3101336:1 gene:Ma05_g04120 transcript:Ma05_t04120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDSIEVKFRLFDGTDIGPSKYDPTTTVASLKETILARWPQGKEIAPRTIDDVKLINAGKILENNQTIAESRTPVCELPGGVITMHVVVRPPMLDKNNEKQLAKAQKTNRCACTIL >Ma02_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28720031:28725771:1 gene:Ma02_g23910 transcript:Ma02_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G64860) UniProtKB/Swiss-Prot;Acc:Q9LV91] MALSQVAFALPPGVLAAFITPRRTAALSLPARPIRSSSAERSRSWSSRRSHDRRPMASATAVANAVRTGEDLPEDYDTRFPAVDPRRRRRAGVLLHPTSLPGPHGIGDLGDEAIRFLDWLHAAGASVWQVLPLVPPGRKSREDGSPYSGQDANCGNTLLISLIELVQDGLLMKNELPESMDVEHVDFKTVEDLKDPLIAKAAKRLLLSQGELKQQLNDFRKDPSISTWLEDAALFAAIDHNVNAFSWNEWPDPLKNRHLGALEDVYRKHEDFIDIFVAQQFLFQRQWQKVRQHARKLGIKIIGDMPIYVGYHSADVWANRKSFLLDRSGFPILVSGVPPDAFSETGQLWGSPLYDWRAMEENGFAWWIKRINRALDLYDEFRIDHFRGFSGFWAVPSESKVAMCGRWKAGPGKAFFDAVFKAVGDIDIIAEDLGVITEDVVQLRKDIDAPGMAILQFGFGSDADNPHLPHNHEPQQVVYTGTHDNDTVLGWWGNLGEEEKYTVQKYLSFAEGTGISWSLIQCAMSSVARTAIVPMPDILGLGSSARMNIPATQLGNWKWRIPSSMSFDSLKPEAEKLKGLIAMYNRL >Ma08_p05420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3704766:3712913:-1 gene:Ma08_g05420 transcript:Ma08_t05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFAALSPHLSLSPFPSPSPLPSSASSSVPVLLIHRSVLSRSCLRGSSACLSSQALRTGGEELPDEDSVEFEKKDTDIHNKKKNTKKKKLRPSFCDQTLERWSVKISSRRSSFPWQNQKIQPSQGLSAPGTPVYRSLGNYGAADLDSRDQIKNFDEERRRTSLDLIVEKLGGSVVDKCSDSGVSDGSLERSVDEIPPNHGRSIPFRKRSISAPWDHGAEHGQKHIHSGFVNETLMKNFEFGGEDERHKWTSVDAKRRGCSDQNKTSLNFGDNSGVSVEGGFHVLSTDKTSQTSSVGGASFRDHGIDPLEADTDPKVAFQLPIRDYDLNENGGKSRVSVIVDKLKDSMGSSAPKINVCASFGKSASKHGSNPGNNVVKLVSPVLLPWERETDSKEGEQLHRSNTELAERAIPEPELRRLRDAALRMKERMTVGPAGVTEAVVKNIHEKWKEAEVVKLRFEGTPSLNMKRTHEILENKTGGLVIWRSGRSVVLYKGMTYELPCIQTYSKLANTDSNCIPSIKDYFVHITGNPSEESFNISRRSAADSSACGNPSEQFPDTSDIDNILDQLGPRYQDWSGRNPLPVDADLLPGVIPGYAPPFRLLPYKTRSTLRDREMTALRRLARTMPPHFALGRNRQHQGLAAAIVKLWEKSSIVKIAIKRGIPNTSNERMAEEIKKLTGGVLVSRNKEYIVFYRGNDFVTSSVMEVLSEKQKLASIHQDEEEIARLRASTSIVAHVKSPKGQLVAGTLAETLEAKSRWGNPFSAEEREMLKKDMVLAKHASLVRYLERKLVFAKIKVRKAEKALSKVQEFLKPADLPIDLETVSDEERALFRNIGLKMRGALLLGRRGVFDGTVENMHLNWKHRELVKILVKGKSFAQVKHYAISLEAESGGVLISLDKTTKGYAIIIYRGKNYQRPPTLRPKNLLTRRQALARSIELQRREALIHHISSLQEKIQILTSQLEQMEDDKGPGEKDLDLPVDLFSDDDVEDEGEEAYLETYSSGAEEEEDVFR >Ma06_p30940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32147961:32148371:1 gene:Ma06_g30940 transcript:Ma06_t30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLLSHAQKRIHIETMASRCSTMMLSLLLACLVMNAQSTKYSLHRDLGCDFRGTCNTNEDCAGICEAAGYSSTRVICIPDPNANPSNCCCMMIGEAT >Ma06_p13490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9243893:9246516:1 gene:Ma06_g13490 transcript:Ma06_t13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLRNPGRNAMIRRFFLLCLAVSVAALFILVPSTTSAPVMTRKEEVGSSSSLPSDLYSSGLHYARRSVLAVRSDPLRARADLIRKQVGDHAAVAAAYASFARRLKLESSKQTRLFAELARNLSLLVGAHRTLLHRAGPIDETAVRGFERGVKDRIRAARLLISDAKDSFDNQLKIQKLKDTIFAVNEQLSKAKKAGAFSSLIAAKSIPRSLHCLAMRLMEERIAQSDRYVDPPTPPPELEDPKLYHYAIFSDNVLAASVVVNSAVRNAREPWKHVFHVVTDRMNLGAMQVMFRMKDYSGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSMLNHLRFYLPEMHPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPKACGWAYGMNFFDLDAWRKEKCTEQYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEINNAAVVHFNGNMKPWLDIAMNQFRHLWTKYVDYDMEFVRQCNFAA >Ma01_p22690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25743800:25744560:1 gene:Ma01_g22690 transcript:Ma01_t22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLATLDNIKLETLSNLFYHEKIVAERSLFLWNNDHIENLIKQNDKVLLPIIFPLLERNAKCHWNQAVQSLTLNVCEIFSDNNHELFADCLKKFEEDEVKGKEIQLKRESTWKRVEELATTKAASNEPVLIPCIIRHPV >Ma04_p32190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32283404:32295479:-1 gene:Ma04_g32190 transcript:Ma04_t32190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSEKDGNYTVNYVQISAESYAHLTELENQVNILNEKLSAALIEMTAKDDLVKQHAKVAEEAVSGWEKAEAESSALKHQLESVTLLKLTAEERASHLDSALKECMKQTRNVKEESEQKLHDVIFAKTKQWEKIKAELEAKLDAFEEELLKASAENAALSRSIQERSDILMQVSDEKMQADTEIEVLKTNIDSCKKEINSLKYELHVTSKELEIRNEEKNMSTKSADAANKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDHKETRMRHSPAKNPSPHHKSTPTCDFASESIHTLQKENEFLTTHLLTIEEETKMLREALSKRNSELQASRDMCAKTASKLCSVEAQMLALNQQKVSSTPNIDVSSDTTLTQNESNPSSLTSMSEDGIDEEGNYSVPWATTLMSELSQFQKEKDTVKYKNIDNSNHLELMDDFLEMERLACLSTESNGATTISDGVLDKMKTENTSATLLGDVQKDDTSEVQVMASEKPEILPCTNQNHYGLVINKHDHLLVKLQSRIASIFKLQDQEVDIGKVLEDIRHIMKETQEELPQHSISCAIKGNYLTDASCDEKPRHDDINETTDIVISMHDSVSSADGKNDLGQELNNVITEIQDFVTYLGKEAIVTQDHPFDTQGLSEKIQQFSSCVKDVQHDEKCLNDAILILSHILSEANKVGFRMSLDMNNEWKSSISDCIDKATLLENRVAQHEPRNENFSGRSSSSHVEIDGPISDINEQRTTMQKFSLKEFEQMKLEKENMQVELSTCTKLLEEMKLQLVENEQNMTELRSQLAASKKLNSLSETQLKCMAESYNLLESRARELETEVNVLHSEVQTLSNKLQEERQFHEDDLAKLRDLQEKIDRYDKCCMCSDAYTGTNKKQEKEIEAAAEKLAECQETILMLGRQLQALRPLAERSDSSPKNRNLMTDDHFEGKPGPSGFNIRAMHKSRHSMSEVESSAAFITPTHGGESPLDRFVSQVCPSDIEAGHLTRSPVNSKHRKHRLFYRGRSDQ >Ma04_p32190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32283404:32295479:-1 gene:Ma04_g32190 transcript:Ma04_t32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSEKVMTAIDSSHSTLSNTGGNQVDQISAESYAHLTELENQVNILNEKLSAALIEMTAKDDLVKQHAKVAEEAVSGWEKAEAESSALKHQLESVTLLKLTAEERASHLDSALKECMKQTRNVKEESEQKLHDVIFAKTKQWEKIKAELEAKLDAFEEELLKASAENAALSRSIQERSDILMQVSDEKMQADTEIEVLKTNIDSCKKEINSLKYELHVTSKELEIRNEEKNMSTKSADAANKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDHKETRMRHSPAKNPSPHHKSTPTCDFASESIHTLQKENEFLTTHLLTIEEETKMLREALSKRNSELQASRDMCAKTASKLCSVEAQMLALNQQKVSSTPNIDVSSDTTLTQNESNPSSLTSMSEDGIDEEGNYSVPWATTLMSELSQFQKEKDTVKYKNIDNSNHLELMDDFLEMERLACLSTESNGATTISDGVLDKMKTENTSATLLGDVQKDDTSEVQVMASEKPEILPCTNQNHYGLVINKHDHLLVKLQSRIASIFKLQDQEVDIGKVLEDIRHIMKETQEELPQHSISCAIKGNYLTDASCDEKPRHDDINETTDIVISMHDSVSSADGKNDLGQELNNVITEIQDFVTYLGKEAIVTQDHPFDTQGLSEKIQQFSSCVKDVQHDEKCLNDAILILSHILSEANKVGFRMSLDMNNEWKSSISDCIDKATLLENRVAQHEPRNENFSGRSSSSHVEIDGPISDINEQRTTMQKFSLKEFEQMKLEKENMQVELSTCTKLLEEMKLQLVENEQNMTELRSQLAASKKLNSLSETQLKCMAESYNLLESRARELETEVNVLHSEVQTLSNKLQEERQFHEDDLAKLRDLQEKIDRYDKCCMCSDAYTGTNKKQEKEIEAAAEKLAECQETILMLGRQLQALRPLAERSDSSPKNRNLMTDDHFEGKPGPSGFNIRAMHKSRHSMSEVESSAAFITPTHGGESPLDRFVSQVCPSDIEAGHLTRSPVNSKHRKHRLFYRGRSDQ >Ma04_p32190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32283404:32295535:-1 gene:Ma04_g32190 transcript:Ma04_t32190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSEKVMTAIDSSHSTLSNTGGNQVDQDGNYTVNYVQISAESYAHLTELENQVNILNEKLSAALIEMTAKDDLVKQHAKVAEEAVSGWEKAEAESSALKHQLESVTLLKLTAEERASHLDSALKECMKQTRNVKEESEQKLHDVIFAKTKQWEKIKAELEAKLDAFEEELLKASAENAALSRSIQERSDILMQVSDEKMQADTEIEVLKTNIDSCKKEINSLKYELHVTSKELEIRNEEKNMSTKSADAANKQHLEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDHKETRMRHSPAKNPSPHHKSTPTCDFASESIHTLQKENEFLTTHLLTIEEETKMLREALSKRNSELQASRDMCAKTASKLCSVEAQMLALNQQKVSSTPNIDVSSDTTLTQNESNPSSLTSMSEDGIDEEGNYSVPWATTLMSELSQFQKEKDTVKYKNIDNSNHLELMDDFLEMERLACLSTESNGATTISDGVLDKMKTENTSATLLGDVQKDDTSEVQVMASEKPEILPCTNQNHYGLVINKHDHLLVKLQSRIASIFKLQDQEVDIGKVLEDIRHIMKETQEELPQHSISCAIKGNYLTDASCDEKPRHDDINETTDIVISMHDSVSSADGKNDLGQELNNVITEIQDFVTYLGKEAIVTQDHPFDTQGLSEKIQQFSSCVKDVQHDEKCLNDAILILSHILSEANKVGFRMSLDMNNEWKSSISDCIDKATLLENRVAQHEPRNENFSGRSSSSHVEIDGPISDINEQRTTMQKFSLKEFEQMKLEKENMQVELSTCTKLLEEMKLQLVENEQNMTELRSQLAASKKLNSLSETQLKCMAESYNLLESRARELETEVNVLHSEVQTLSNKLQEERQFHEDDLAKLRDLQEKIDRYDKCCMCSDAYTGTNKKQEKEIEAAAEKLAECQETILMLGRQLQALRPLAERSDSSPKNRNLMTDDHFEGKPGPSGFNIRAMHKSRHSMSEVESSAAFITPTHGGESPLDRFVSQVCPSDIEAGHLTRSPVNSKHRKHRLFYRGRSDQ >Ma03_p02240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1530737:1535480:1 gene:Ma03_g02240 transcript:Ma03_t02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCPVRFNTIGQLYPDCMSDRKSRFWQIDNQPNSRSEVICPRPCRATRVPCFINTLNIVSSKPQGILPMYKVDSASEILDRILSQADTDNDLDSSSQMGFFCGSPPVRTDNPVIHDVQFAKQAQFLSSSRGNSPGMKPAGRVERGGSPTCRSSFEGNPKVRIEGFACGNSKQHCVAPALA >Ma06_p29100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30579455:30582155:-1 gene:Ma06_g29100 transcript:Ma06_t29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTASLEAEIEEMRETLLRSGGSGRADVLKAEPGGVRRRVVGDGGGGDARTVCVTGGISFIGFAVVNRLLDRGYTVRLALQSQEDLDKLRETEMFGEMGRDGVWAVMADVMNLESLRQAFDGCAGVFHTSSVVDPGGLSGYTKHMADKEVRAAELVVEACVRTQSVRRCVFTSSLLACVWRENTCTRSSRRATSVDENCWSDQSVCRDRKLWLALGKTMAEKAAWRAARGRDLKLVTVCPALVTGHGFRRRNSTSSIAYLKGARQLFTEGLLATIDVEKVAEAHVAVYEAMDSTACGRYICYDHIIRSGQEATELERQLGLPGRISGDAHADHPTWVELSNRKLSRLLASRRRCGYDRYSILQG >Ma05_p10200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7343993:7344812:-1 gene:Ma05_g10200 transcript:Ma05_t10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARRSLATVIAVADAASWYLALALVALVLLSSLREGPPTTAEELNEPARGGGPLVARPCDEIYVVGEGETLHTISDKCGDPFIVERNPHIHDPDDVFPGLVIKITPSKPR >Ma08_p21990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35731118:35734822:1 gene:Ma08_g21990 transcript:Ma08_t21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADGEAREAPKAEEKPQIEPLRLPTPEEIRGQDIWNNCAVRSVVSGVMGGGLGLFMGLFLGALDNPIMQDEMTTKQQFIYTAKQMGRRSYSSAKAFAVMGFVFSAAECVIEKARAKHDTTNTVVAGCVTGGAISARGGPKAACVGCAGFAAFSVAIEKFFDRHS >Ma10_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11264609:11266449:1 gene:Ma10_g03090 transcript:Ma10_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFWAEKGNNVVIFATAKRRRKRQKNKRKKGKKIRTTQRDCSQSSSSFAPWFLPFKLERFSTYILVFYLIVISFNSAAYHGLLVFVHIQRLFHFIFPSPSAGLSLAGALHWWLRFGSSDGTQLRYDWFYGCDDIKPCIRLSEYFLKKRDEGDIRERDELLCLPVYAISTDTFAFCNRGGRSPVMGSWLARGYLTHRSPFCLVGGSPKCVLPLVQSSFLYVAGRNLAVDI >Ma10_p23820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32595475:32596711:-1 gene:Ma10_g23820 transcript:Ma10_t23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRSVHRRNSLLPLFETCRLRDLFFFSSSSVDPAAAVGVTISPDPNFMVEYLVNSCGFSPSEAAMFSKPLAHLRSTEKPDAVLNFMRSQGFDGAGIRKVISWKPNYLCYNVEKNLAPKFQFLRDLGLSESDIVDAILKNHGILLFNVQRSIVPRLEMWESLLGSRELVLKHLKKTTRFFHSSVEKTLHPNLKFLRDECGIPEERLSVVLISHPQLISHKPESLRDVVARADELGMPRQSRMFMWTVNILQRVSKERFEAKVEFMRRFGWSESEFSSAVRKAPTFIGMSLDMLRRKMDFLINVVGYTPSFIADKSNLLLYSLQKRVIPRFRVTEMLKSKGLLTGQAKFPYILQLSDTKFLEKFVLPHKENVPELLDILRVEGVCKGK >Ma07_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1444095:1473957:1 gene:Ma07_g01890 transcript:Ma07_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNEKQTFDLGLFVGDLALDEDGSSDDISLEGLQEELEECKNVEEVANILSKGTKLREYTKGVENNVRQVELDSIQDYITESDNLVLLHDQIRDCDIILSQMETILSGFQSEIGSISSEIKSLQEKSMDMGLKLKNRKGAESKLAKFVEDIIVPPRMVDIVIDGEVNDEYLRTLELLSRKLKFIEVDSMVKGSKALKDIQPELERLRQKVVAKVFEFITQKFNALRKPKTNIQILQQSVLLKYKYVILFLKEHGKEIYTEVRAAYVDTMNKVLSVHFRAYIQALEKLQLDIATATDLIGVETRSTGFLLRGREPLKYRSAVFALGERINILKEIDQPALIPHIAEANSVKYPYEVLFRSLHKLLMDTATSEYLFCDNFFGEESIFHEIFAGPFQVIDEHFNTILPNYYDAIGLMLMIRIIHQHQLIMFRRRIPCLDSYLDKVNISLWPRFKMVFDMHLNSLRNANIKALWEDDVHPHYVMRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAVDDLLVKLAKAVVKPKLQTVFLINNYDMTIAILKEAGTGGGKLQEHFEELLKSNIGVYVEELLLEHFGDLIRFVKTNASEDTSTGAGKPSVADVDPLVKDFANRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLSESVKKIPGGSALNKDLVSISSILYEIKKYSRTF >Ma06_p25750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26243378:26249372:-1 gene:Ma06_g25750 transcript:Ma06_t25750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRGEETSLVGAASQVKEGVSQSNSKGSGSLDGNDMFVRADKIDLRSLDIQLEKTLSKIWLKERRGSQKPSEEWEIDLSKLEIRYVIARGTYGTVYRGVYDGQDVAVKLLDWGQDGVTIDAETAALRASFQQEVAVWHRLDHPNVTKFVGASMWTSNLKIPQNDSTSNCHSSLPTRACCVVVEYLAGGTLKQYLIKNRRRKLPYKIVIQVALDLARGLSYLHSKKIVHRDVKTENMLLDTNRKLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYADLSFVDVSSAVVRQNLRPEIPRCCPSSLANIMRKCWDANPDKRLEMYEVVKLLEAIDTSKGGGMVPEDRAAGCLCFSLARGP >Ma06_p25750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26243378:26249372:-1 gene:Ma06_g25750 transcript:Ma06_t25750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRGEETSLVGAASQVKEGVSQSNSKGSGSLDGNDMFVRADKIDLRSLDIQLEKTLSKIWLKERRGSQKPSEEWEIDLSKLEIRYVIARGTYGTVYRGVYDGQDVAVKLLDWGQDGVTIDAETAALRASFQQEVAVWHRLDHPNVTKFVGASMWTSNLKIPQNDSTSNCHSSLPTRACCVVVEYLAGGTLKQYLIKNRRRKLPYKIVIQVALDLARGLSYLHSKKIVHRDVKTENMLLDTNRKLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYADLSFVDVSSAVVRQNLRPEIPRCCPSSLANIMRKCWDANPDKRLEMYEVVKLLEAIDTSKGGGMVPEDRAAGCLCFSLARGP >Ma06_p25750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26243378:26249372:-1 gene:Ma06_g25750 transcript:Ma06_t25750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRGEETSLVGAASQVKEGVSQSNSKGSGSLDGNDMFVRADKIDLRSLDIQLEKTLSKIWLKERRGSQKPSEEWEIDLSKLEIRYVIARGTYGTVYRGVYDGQDVAVKLLDWGQDGVTIDAETAALRASFQQEVAVWHRLDHPNVTKFVGASMWTSNLKIPQNDSTSNCHSSLPTRACCVVVEYLAGGTLKQYLIKNRRRKLPYKIVIQVALDLARGLSYLHSKKIVHRDVKTENMLLDTNRKLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYADLSFVDVSSAVVRQNLRPEIPRCCPSSLANIMRKCWDANPDKRLEMYEVVKLLEAIDTSKGGGMVPEDRAAGCLCFSLARGP >Ma06_p25750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26243378:26249372:-1 gene:Ma06_g25750 transcript:Ma06_t25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRGEETSLVGAASQVKEGVSQSNSKGSGSLDGNDMFVRADKIDLRSLDIQLEKTLSKIWLKERRGSQKPSEEWEIDLSKLEIRYVIARGTYGTVYRGVYDGQDVAVKLLDWGQDGVTIDAETAALRASFQQEVAVWHRLDHPNVTKFVGASMWTSNLKIPQNDSTSNCHSSLPTRACCVVVEYLAGGTLKQYLIKNRRRKLPYKIVIQVALDLARGLSYLHSKKIVHRDVKTENMLLDTNRKLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYADLSFVDVSSAVVRQNLRPEIPRCCPSSLANIMRKCWDANPDKRLEMYEVVKLLEAIDTSKGGGMVPEDRAAGCLCFSLARGP >Ma11_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17710288:17719200:1 gene:Ma11_g13370 transcript:Ma11_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNRKVTPNLDQQSTKALNLIVLQRGDPFVEEILMTATHVTLYEFSIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNADNLVEDLLGDFEYEVQVPYLLYRNAAQEVNGIWFYDSHDCESVANLFSRILNAYSKVPPKPKVPLTKSEFEELEAVPSLSITEGPFEPPPSCAAAPINDVQDDLSVFFSAAMNVGNATISGQFPLPSAAIPVSSHISSVIPTALPTIQSAPVPISATPIPISTTPLMLPIDTNESSIGSSKLAANLVKPSFFSPMPSSSALVMPPISSSVPTVPPIHPPVTMQRPYGTPLRQPFPPPTPSASLTPAPNYGPVITRDKVRDAFLRLVQNNDFIDMVYREMLNVHYP >Ma06_p33560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34144796:34147189:1 gene:Ma06_g33560 transcript:Ma06_t33560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAEETLIEQVTEKIRDGVDSSSSSDSDDEKSKASVVTEAVKSKINRLFGREKPVHQILGGGKPADVFLWKNKKASAAVLGGATAIWIFFELMEYHLLTLFCHCLILSLAIIFLWSNATFLINKSPPHIPVVSIPENLVVDIALSLRYEINRGFAVLREIATGRDLKKFLIVIAGLWVLSTVGRCCNFLTLFYIVFVTLHTLPFLYDKYEDKVDAFAEKASVEFKKHYAVFQAKYLSKIPRGPLKDKKFL >Ma06_p33560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34144796:34148439:1 gene:Ma06_g33560 transcript:Ma06_t33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAEETLIEQVTEKIRDGVDSSSSSDSDDEKSKASVVTEAVKSKINRLFGREKPVHQILGGGKPADVFLWKNKKASAAVLGGATAIWIFFELMEYHLLTLFCHCLILSLAIIFLWSNATFLINNRSPPHIPVVSIPENLVVDIALSLRYEINRGFAVLREIATGRDLKKFLIVIAGLWVLSTVGRCCNFLTLFYIVFVTLHTLPFLYDKYEDKVDAFAEKASVEFKKHYAVFQAKYLSKIPRGPLKDKKFL >Ma06_p33560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34144796:34148439:1 gene:Ma06_g33560 transcript:Ma06_t33560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAEETLIEQVTEKIRDGVDSSSSSDSDDEKSKASVVTEAVKSKINRLFGREKPVHQILGGGKPADVFLWKNKKASAAVLGGATAIWIFFELMEYHLLTLFCHCLILSLAIIFLWSNATFLINKSPPHIPVVSIPENLVVDIALSLRYEINRGFAVLREIATGRDLKKFLIVIAGLWVLSTVGRCCNFLTLFYIVFVTLHTLPFLYDKYEDKVDAFAEKASVEFKKHYAVFQAKYLSKIPRGPLKDKKFL >Ma06_p33560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34144796:34147189:1 gene:Ma06_g33560 transcript:Ma06_t33560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAEETLIEQVTEKIRDGVDSSSSSDSDDEKSKASVVTEAVKSKINRLFGREKPVHQILGGGKPADVFLWKNKKASAAVLGGATAIWIFFELMEYHLLTLFCHCLILSLAIIFLWSNATFLINNRSPPHIPVVSIPENLVVDIALSLRYEINRGFAVLREIATGRDLKKFLIVIAGLWVLSTVGRCCNFLTLFYIVFVTLHTLPFLYDKYEDKVDAFAEKASVEFKKHYAVFQAKYLSKIPRGPLKDKKFL >Ma05_p03510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2567622:2570209:1 gene:Ma05_g03510 transcript:Ma05_t03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLPRRELQALCKKNRIPANITNVAMADALQALHAGGGIEGMEEKLWNQSPKKAQGISTDLPCSSRGTSARRAVAPALVEPREQQASPLPRARRVTAKASEIEKLFSEEEDKEEKDGPAETTPIALVKRSTTNKSQGATTTRNTRRRTSKKEDVEAAEDGLVKATKTPATRTGRRTTARRGAESSVRVEEGTEDTVVSSRITTRRTRQSSKSIAVDDTTTTMRRSTRTRARASIRQMETLGQEEAATAAEQAEEVVEAKNYMEGHDGSRKKTSDLATGKMPDLEETQGIDGEKCERDSNLEVVEADLPPHQLEKDGLIPESEGSDDVNELEIETQPEEEVEEGIKTNDSFEKDDTKPSDSTPGDASHLCVMKSPEKIEEETDGDGDKDVHGENCEHDSNVVVENTSLPLHQLAVDDAEEDEAEVVNEEPVPDAERGCEGEQESPVKGLVSCLENLEIEGDAVEEQSKREEETKNSSVLDLSASEKDAVDNTAEVVASSPPQQIHLYSRSSERRSNGVDLTAETLTQVEVRAASGLTLKAAGVIFEVEKSENKIEQHGDKCQHCDADDEKTSLDESTRTAVMCREVRVETDAEKQGSHVDLNCMSLRKLKMLYKEKICDLNGKVEGRRLALAEINKNIII >Ma01_p15810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11480076:11493120:-1 gene:Ma01_g15810 transcript:Ma01_t15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MGSRTGLVEELIERNCGVAVIDGGLATQLEALGADINGPLWSARCLISDPHLIKQVHLQYLEAGADILVTSSYQATIPGFLSKGFSIEEGELLLQQSVKLALEARDNFWKLNADNYYIRALVAASIGSYGAYLADGSEYSGHYGPDMNLEKLKEFHRRRLQVLVDAGPDLLAFETIPNKLEAQALAELLDEENIQIPSWICFSSVDGEHMSSGEDFKECLDILNRNEKVNIVGINCASPQFIENLILKFKKLTNKLLAVYPNSGEVWDGRAKRWLQPERVGEKSFEFLAKRWHECGASLIGGCCRTTPCTIRSISKLLKNMS >Ma02_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21338420:21339931:1 gene:Ma02_g12700 transcript:Ma02_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLNQREDEPLSHFVNRFTTQIRGLSDAHPSLMMQAFMMGLRPTRFFWSLVERPPTSVPEMLQRANQYVAAEAWMVGKRDECKRVKPEQSQQQQPATSRRRAGGLNDAVPRSPPPGLNSSRTEIFLHIKEKGLLKDPYPMRSLRELADRSKYCRFHRQPGHDTEECRELKRQIEELIRRGHLGSYLRPDKELSPRPEGPIERHIDVITGGPASGGSSMAGEKAYARASRVEASKHEKGPEVTFPTGGPEPAEHDDALVISARIANAQVRRIMVDTGSSADILYWDAFQKLGLVKENMKPVCSTLTGFTGASISSLGVITLPLTLGVFPKAKTVMTSFLVVDLPTAYNAILGRPTLNNTRAVVSTYYQTIKFPTHDGVGEVAGNSWETRRCYLTAVSLNKRARVQSPLEDPREGKKPTPRPEPKESTIDLPLIEGRPDQTVKIGSGLPEQEQRQLVGLLQANADIFAWTPTDLVGVHPEVALHHLNISSNARPVKQRPRRQG >Ma09_p26120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37471807:37477253:-1 gene:Ma09_g26120 transcript:Ma09_t26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQWDEKVLSKYLNDVSHMLLSSSDAQFKLVRDMQANIKRIVNLAAGHNKHRTIQQAVFNEHCKILDPGKPSFTPKKGKTSVVIFVGLQGSGKTTTCTKHAYYYQKKGWKPTLFCADTFRAGAFDQFKQKCNKNQNSLLWKVLLDNMESDPVKIAVDGVETFKKENRDLIFVDTRGQHKQEAFCRYINTDLIIFVMESSIGQATFDQAQAFKISVAIGAVIIIFKYNLQDQQSDSDYCYLVCLQSCSHQKTSPFIGTGEHPEEFEIFDVKPFVSHLLRKLYPAAYVPAVQKHFSKWVLQVRLTYGSEYLVFPRARYFCYVQLFSMLPWFSAKLMSKGHEKESRAKIKLFMTIMDSMTNAELDGTNPKLMNEYHTLHTARGLDRPVRDVLPPQMLKQIGGMGGLQNFMKQMGLKDMGGLVGSSDEGTNRIILYII >Ma09_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8496006:8498180:-1 gene:Ma09_g12630 transcript:Ma09_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSGRLSHFSGRRRIEDVLARYVNLPENDRGGVIQNREFLIRALKKLKCENDMAALANPTVVNSNVEELQQEIGRYQQQLQLSEQRLRFFEPDHLSFTSMRDLESCEKFVMEALQRVTARKEYLLSHHLSSYDPSASSMQMYLQPQQERLPDPYGSEMVQWVPEGATNSGHQIFVGSDPLMDLREHGIYESMAPQGMGLPVDPCTAGCHVSGQHEVSWHQAYTSTELLSALIPSPPYPLIQHPMAPTELPTLVPQEQVEATAACSHLPMDDGGASNNTYDGNAAPVHFVFFCIG >Ma08_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35844510:35850963:-1 gene:Ma08_g22180 transcript:Ma08_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQPVARVSTYDDSRSTSAGGEDASSTSSTPGSLAARAIRASAAHRDVSSLSSAYGESAVSSPRRELHPLRSAPSPQQDSASYEYTSMKSLNESKYGFWGTLARKAKTFIDNDETSNQFQGIDKNPHQTVDRSTGGQPEIFQKTETTHKRSDAIGSSINYISGTIKNALEEGLTIVESKTADIIHETRKLNIRRKGNAANVQSQAAENLGQRYTSQIQTDYETQLKASRDVANAMAAKAKLLLRELKTVKADFAFAKERCAQLEEENKVLRESHDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVVYVDEGIEEVTEVYMTPNAPSTPSFAASEANTVLVPTPLTPTHTTNSPTSVHPPSLSPPSIIIPEDCPIVPIPPMPTPLSDPLKSAPPPPSAAGAGY >Ma08_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:28494893:28495547:1 gene:Ma08_g18120 transcript:Ma08_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGYYYQNSPYYYNSSHSQSPPLPLHLCLFLLTLFMFIGLSWYMAYESVFESLFDQLKFLLMMSPLVLLLVVHWLSSDERLRVPFFIPLPERDSFHRAGGSPWGVALVLVLLMFMISYQSYFHDCWFPLLSR >Ma03_p20720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25846736:25847138:1 gene:Ma03_g20720 transcript:Ma03_t20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTSRLGHQTMLGASALRDLLLTRLLLLVTPSGTLSRIRPGHHLTSLSS >Ma05_p15170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11239034:11246307:1 gene:Ma05_g15170 transcript:Ma05_t15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSESDRKIITRFLHKTLHAVVASRVPRLHHAAAAGPASPKNDRWFHLALDDHPSPADHHGAVVDPLVFDILLTRRGCSDVIVERWTARCVPPVPWSAASPHHPHDGSFLRRTYKKCTILLRSIYTLLRLLPVHRIFRLLCSSSQPYNYDLCHKVLSFAAPFSRAQEAELKQYSFAPVETMLGHLVVSVQYRPSLTNFNLEVSLPTPPLIITDYVGSPAADPMRPFPSSLPDRMSHPIVYQYPPRGIRTLAAPSFDRPHSWNSASMVHHHLSSAPGPPDSELLPGHYGHLVPNQRSSMERKGSSGFGEFKLSPPCSTSPSPSPPTRVGNSQLSRLHSETSPVSIPLPATENNQMHRSPNFSDPFKSLFPPPSPRSTRTDLSFRESPSKSISFRKPDGFSLGDIHSNLHMHAAYKVIKDGRDDSGRFSALSSGGSPGYGFSSSSRQSIQDDLDDEDFSYPFAFDDVDTSDSQTRSLDGKEASENSGSHKSQEAAVGILVHMLKTAAPLRQDQSCSMQSLEPNGEASISSSVMSRKACDALEELQSYKEMKNLLLSKSGAKLQDSVKQRKESSEALS >Ma04_p21320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23909479:23912203:-1 gene:Ma04_g21320 transcript:Ma04_t21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPETTPARMFSEQPAEFQLKETSPSLGGEKIVGGRRIKGEKAGAFDLVEKMEYLFVRVVKARELPAKDITGGLDPYVEVRHGNFKGTTKHFEKSHNPEWNHVFAIAKDRLQATSVEVMLKDKVLVTDDLVGLVRFDLNEVPTRVPPDSPLAPEWYRLEDKKGDKVKGELMLAVWYGTQADEAFAYATHSDATPAVDAQILNSYVRAKVYHAPRLWYVRVNIIEAHDIFASDKSRIPEVLCRARIGSQMMKTKAIQSRMPIFRWNEEFMFVAAEPFEEQLVLSVEDRIGPSKEEVIAHVHIPLTSVDKRVDDRNIRPKWFNLKKPVVVDLDQLKEDKFSSKVHVRICLDGGYHVLDESTQYSSDLRPSAKPLWKPPIGVLELGILTADGLHPTKTREGKGACDSYCVAKYGHKWVRTRTVVDNLSPRFNEQYTWDVYDHATVLTVGVFDNCQLGEKSFSSNGNKDTKIGKVRIRLSTLETGRIYTNSYPLLVLHNSGVKKMGELHLAIRFSVTSMLNTMYIYSKPLLPKMHYTRPLPLLQQETLRHQAVQLVAARLGRMEPPLRKEVVEYMSDAQSHLWSMRRSRANIYRLMSVFSGLVAVGRWFGQVCAWKNTITTILVHILFLMLVCFPELILPTVFLYMFVIGVWNYRFRPRKPPHMNTKISNVEQVHPDELDEEFDVFPTSRSPEIVRMRYDRLRSWAGKMQTVVGDIATQGERLHLLLSWRDPRATAMFMVFCLFMAALLYVTPFQVVAIVAGFYVMRHPRFRHKLPSPLANFFRRLPARTDSLL >Ma05_p19290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27418211:27419047:1 gene:Ma05_g19290 transcript:Ma05_t19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFALHWPEQAVKEAIEAFCTVDGLPADVLINGADAQNRAIEGDVVAVMLDPVVYWTKSDSTKNRDPEQGEAARALERIRATLSCNPSKRPTGRVLSIIRSSPRRGAVIGLLALNPWLPEGEDNGRELDYVQLMPTDAKLPMMVITVESLPGCAKKGSSMAMCSNRGVEGSVCPKVQVIRMLGRAE >Ma04_p31480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31773241:31780427:1 gene:Ma04_g31480 transcript:Ma04_t31480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSERVGTSPARGRSSCPVLFLVAGESSIIAQPPTRRKFRRRCRRRRRTSRPFRSWPPSRACTTILWLWTRRLRGFCSSIPPVDNIHSMLNKEQLLTGSYWDDFASLPAIVPEGPIAILGLGGGTAAHIMLKLWPNLHLEGWEIDEILIDMAREYFGLSELEKCTSAGGSLSVHIGDAFSESVRVPGGFAGIIVDLFSDGKILPQLKEVTTWLDIERKLMPQGRIMVNCGGAHAEVSGSGDGTANMDGSWIQNSTIKALCQAFPGKLSWKRIAEKDSDNYLALTGPLPDLDAWSAAVPHQLSMNVKDWRTCKLAS >Ma04_p31480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31773226:31780427:1 gene:Ma04_g31480 transcript:Ma04_t31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAMAVACPPHLSLVFAPRVRTKIHCRRHALRASRHESGTRTLFLSCPLPCGRRVINYRSASHAEEIPAPVPASTEDESSFSVLAAITSLHNDIVVVDTPASRFLLLDSTHNIHSMLNKEQLLTGSYWDDFASLPAIVPEGPIAILGLGGGTAAHIMLKLWPNLHLEGWEIDEILIDMAREYFGLSELEKCTSAGGSLSVHIGDAFSESVRVPGGFAGIIVDLFSDGKILPQLKEVTTWLDIERKLMPQGRIMVNCGGAHAEVSGSGDGTANMDGSWIQNSTIKALCQAFPGKLSWKRIAEKDSDNYLALTGPLPDLDAWSAAVPHQLSMNVKDWRTCKLAS >Ma04_p31480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31773226:31780427:1 gene:Ma04_g31480 transcript:Ma04_t31480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAMAVACPPHLSLVFAPRVRTKIHCRRHALRASRHESGTRTLFLSCPLPCGRRVINYRSASHAEEIPAPVPASTEDESSFSVLAAITSLHNDIVVVDTPASRFLLLDSTHNIHSMLNKEQLLTGSYWGGGTAAHIMLKLWPNLHLEGWEIDEILIDMAREYFGLSELEKCTSAGGSLSVHIGDAFSESVRVPGGFAGIIVDLFSDGKILPQLKEVTTWLDIERKLMPQGRIMVNCGGAHAEVSGSGDGTANMDGSWIQNSTIKALCQAFPGKLSWKRIAEKDSDNYLALTGPLPDLDAWSAAVPHQLSMNVKDWRTCKLAS >Ma09_p19750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26088566:26091924:-1 gene:Ma09_g19750 transcript:Ma09_t19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDQKRHSIVKLALFVGFGDSAAAARSPRSFAAGDGAVGLAIVAAMSAAAEEPGAVLTRSEPIPIGAVVAKPGLTAASPKKGEAGEDVELSESYTCVISHLGGNRVKKRVYFGDGDDGMLFEPPPPPELAEPPFVVAEFLRCCFLCKKKLDGMDVYMYRGEKAFCSEECRYQQMLLDELGEKFSTGALKNCECSSVPFRVTTGVAAA >Ma09_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26088566:26091782:-1 gene:Ma09_g19750 transcript:Ma09_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDQKRHSIVKLALFVGFGDSAAAARSPRSFAAGDGAVGLAIVAAMSAAAEEPGAVLTRSEPIPIGAVVAKPGLTAASPKKGEAGEDVELSESYTCVISHLGGNRVKKRVYFGDGDDGMLFEPPPPPELAEPPFVVAEFLRCCFLCKKKLDGMDVYMYRGEKAFCSEECRYQQMLLDELGEKFSTGALKNCECSSVPFRVTTGVAAA >Ma06_p35240.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35181749:35183716:-1 gene:Ma06_g35240 transcript:Ma06_t35240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEYEERYRQIQSPKYDCLLFDLDDTLYPLSSGIAAECRRNIGDYLVEKLGIEESKISDLCNLLYKNYGTTMAGLRVIRERKLLFFFAYVSWQTLFLCLCRRFPCVAQAIGYSFDYDDYHRFFSLQTCYAPSVKASRATIELSLLWFDRFVHGRLPYENLKADPVLGPLLLSLPLRKVVFTNADKAHAAKVLKKLGLEDCFEGIICFETLNPPTSSRGTETSSEVFDIVDHFARPVAGVALPRTPVLCKPSPDAMERALSIANIDPHRTVFFDDSVRNIQAGKRIGLHTVLVGTRHRVKGADHALESIHNMREALPELWEEAEKSDSIRYSGKVAMETSVTA >Ma06_p35240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35181749:35183716:-1 gene:Ma06_g35240 transcript:Ma06_t35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEYEERYRQIQSPKYDCLLFDLDDTLYPLSSGIAAECRRNIGDYLVEKLGIEESKISDLCNLLYKNYGTTMAGLRVIRERKLLFFFAYVSWQTLFLCLCRRFPCVAQAIGYSFDYDDYHRFVHGRLPYENLKADPVLGPLLLSLPLRKVVFTNADKAHAAKVLKKLGLEDCFEGIICFETLNPPTSSRGTETSSEVFDIVDHFARPVAGVALPRTPVLCKPSPDAMERALSIANIDPHRTVFFDDSVRNIQAGKRIGLHTVLVGTRHRVKGADHALESIHNMREALPELWEEAEKSDSIRYSGKVAMETSVTA >Ma06_p35240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35181749:35183600:-1 gene:Ma06_g35240 transcript:Ma06_t35240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEYEERYRQIQSPKYDCLLFDLDDTLYPLSSGIAAECRRNIGDYLVEKLGIEESKISDLCNLLYKNYGTTMAGLRVIRERKLLFFFAYVSWQTLFLCLCRRFPCVAQAIGYSFDYDDYHRFFSLQTCYAPSVKASRATIELSLLWFDRFVHGRLPYENLKADPVLGPLLLSLPLRKVVFTNADKAHAAKVLKKLGLEDCFEGIICFETLNPPTSSRGTETSSEVFDIVDHFARPVAGVALPRTPVLCKPSPDAMERALSIANIDPHRTVFFDDSVRNIQAGKRIGLHTVLVGTRHRVKGADHALESIHNMREALPELWEEAEKSDSIRYSGKVAMETSVTA >Ma06_p35240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35181749:35183716:-1 gene:Ma06_g35240 transcript:Ma06_t35240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEYEERYRQIQSPKYDCLLFDLDDTLYPLSSGIAAECRRNIGDYLVEKLGIEESKISDLCNLLYKNYGTTMAGLRAIGYSFDYDDYHRFFSLQTCYAPSVKASRATIELSLLWFDRFVHGRLPYENLKADPVLGPLLLSLPLRKVVFTNADKAHAAKVLKKLGLEDCFEGIICFETLNPPTSSRGTETSSEVFDIVDHFARPVAGVALPRTPVLCKPSPDAMERALSIANIDPHRTVFFDDSVRNIQAGKRIGLHTVLVGTRHRVKGADHALESIHNMREALPELWEEAEKSDSIRYSGKVAMETSVTA >Ma06_p35240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35181749:35183716:-1 gene:Ma06_g35240 transcript:Ma06_t35240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEYEERYRQIQSPKYDCLLFDLDDTLYPLSSGIAAECRRNIGDYLVEKLGIEESKISDLCNLLYKNYGTTMAGLRAIGYSFDYDDYHRFVHGRLPYENLKADPVLGPLLLSLPLRKVVFTNADKAHAAKVLKKLGLEDCFEGIICFETLNPPTSSRGTETSSEVFDIVDHFARPVAGVALPRTPVLCKPSPDAMERALSIANIDPHRTVFFDDSVRNIQAGKRIGLHTVLVGTRHRVKGADHALESIHNMREALPELWEEAEKSDSIRYSGKVAMETSVTA >Ma09_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8537074:8540509:1 gene:Ma09_g12710 transcript:Ma09_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) UniProtKB/Swiss-Prot;Acc:O04249] MAGGGVVHGPVGVAKERAQQYKGRVTPFVVMACLVAAVGGSIFGYDIGISGGVTSMDPFLKKFFPVVYRKKNSQSHNNYCEYDNQGLAAFTSSLYLAGLVASLAASPVTRKHGRRASIVCGGISFLVGATLNSAAVNLPMLILGRIMLGIGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFSANMINYGTEKIKPWGWRLSLGLAAAPAILMTIGGALLPETPNSLVEQGRAEEGRRVLEKIRGTNDVDAELQDIVEASELANSIEHPFRNILERRNRPQLIMAILMPTFQILTGINSILFYAPVLFQSMGFGGNAALYSSVMTGAVLASSTLVSIATVDRWGRRPLLIGGGLQMIVCQVAVAVILGVKFGGDKQLSKDFSIVVVVVICLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVSVNLLFTFAIAQSFLSLLCSFKFGIFLFFAGWITIMTVFVYVFLPETKGVPIEEMILLWRKHWFWKRVMPPIEVADEGGPAEAELPDTRL >Ma09_p16020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11369740:11373292:1 gene:Ma09_g16020 transcript:Ma09_t16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKRPLLFTSVSAPLPGSEIEDPFTATSVSSSSSSSTYDSVDLASFPRRKSGLLRTRTAPAMSVIRGELPLGRGGGAGDAEQPGVSSSGSILKQAAALLLLYLSLGVLVYSTNPQGFSGVETHAAVDALYFCIVTLCTIGYGDIAPLTPATKAFACVFVLVGFGLIDVLLSGAVNYVLDVQESAILAGARGASYIIDAEKGRMRIRMKVGLAVGVVLLCIGAGALALYLLEELDWMDAVYLSVMSVTTVGYGDRAFKTLPGRVFASLWLLVSTLAVARAFLYLAEARIDKRHRRIVKWILQRDLTVEDLLAADLNHNGFISKSEFVIYKLKEMGKITETDILLICNQFNKLDPNNTGKITLPDLLSAPR >Ma11_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22082712:22088202:1 gene:Ma11_g16600 transcript:Ma11_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKTSALIPSPRCGTEVPDWDKPDGSATKSQSPDQVPEVSLRDKLGNAATLDVEASDISWDTLFSLHHTKYTSSNEHSEDELNKALEVTVNSGGVVFFALFNTINDDDLLPKEAAAVIKIAPSRMATQSERLGYEFARLLGVKTPQARVIHNSSTEWQLIKDATEKARDMTVDSGDEVGAVTCSELLEALELSRCLLLMNYCHGSPLVENSIAFDSRIAAENTAAALGRVLMLDLVLRNEDRLPCPQLGWRGNQANLLFSIKVASANMDALDESYDCRRNKPRIVKSLRKERAKERRASSINGGLSSQGPELTAEDSDDCIEFTDRSINNQATEYGKTSNFHIVAIDSLVPRRPPAGKRARDLESYPRVVELIINNYEFSSNLLYEVSFGKLGFPGPEHTDAQIDSSLPEFDMVAVVHAFCQGFRAALRDLQSFHIFLLTLYQKLEGLFRVLLTIINKCFSESDKDDSGASESPSNSSCCSFNAHFQACKEHGAHETHADSSDPESRRCSQKSSGFRDSIDIVSPVSRDNWNGRCSKGGGEAPRSLRLTMKLRDFNKCTKVDAELSKELEQWNEMLKSDVVRLCQENNFNTGFFEGNDNNIAVDAYELKVRLEHILERISLISDAASTERPSPITEYLYIGGALAAKSMYTLQHLGITHILCLCANEIGQSDSQNPDLFEYRNFSVCDNDDEDIGNLFEEASDFIDYVERLGGKILVHCFEGKSRSATVVLAYLMLRKGLTLLEAWNMLKKAHRRAQPNDGFAKTLLDLDEQLHGKTSMEWQQRKPMMKVCPICKENAGLSSSSLKLHQQKYHRMLSSGSADSVMALETHKSQEALKINRHGSTSHTRMR >Ma02_p22080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27520030:27527365:1 gene:Ma02_g22080 transcript:Ma02_t22080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAVEGSAAAAFRSVLTRVHQAAERSGRSPEQVRVVAVSKTKPASLLRQVYDAGHRCFGENYVQEIVEKAPQLPVDIEWHFIGNLQRNKVKSLLAGVPNLHMIESVDDVKDNFPERKVGFLQTKIANHVDRVVASLGRKPLKVLVQVNTSGEESKFGVDPSGCVNLVRHVKLGCPNLIFSGLMTIGMLDYSSTPENFKTLSNCRAEVCKELEIPEEQCELSMGMSADFEQAIEMGSTNVRIGSTIFGVRESKKKDE >Ma02_p22080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27520030:27527365:1 gene:Ma02_g22080 transcript:Ma02_t22080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAVEGSAAAAFRSVLTRVHQAAERSGRSPEQVRVVAVSKTKPASLLRQVYDAGHRCFGENYVQEIVEKAPQLPVDIEWHFIGNLQRNKVKSLLAGVPNLHMIESVDDVKIANHVDRVVASLGRKPLKVLVQVNTSGEESKFGVDPSGCVNLVRHVKLGCPNLIFSGLMTIGMLDYSSTPENFKTLSNCRAEVCKELEIPEEQCELSMGMSADFEQAIEMGSTNVRIGSTIFGVRESKKKDE >Ma02_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27520030:27527365:1 gene:Ma02_g22080 transcript:Ma02_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAVEGSAAAAFRSVLTRVHQAAERSGRSPEQVRVVAVSKTKPASLLRQVYDAGHRCFGENYVQEIVEKAPQLPVDIEWHFIGNLQRNKVKSLLAGVPNLHMIESVDDVKDNFPERKIANHVDRVVASLGRKPLKVLVQVNTSGEESKFGVDPSGCVNLVRHVKLGCPNLIFSGLMTIGMLDYSSTPENFKTLSNCRAEVCKELEIPEEQCELSMGMSADFEQAIEMGSTNVRIGSTIFGVRESKKKDE >Ma08_p23040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36486842:36488071:-1 gene:Ma08_g23040 transcript:Ma08_t23040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPVRGSTGRQESPLLGIDEHKRKRMLSNRESARRSRMRKQQRFDDLISQAAELKNQNSQIEMQINLLTQRYGEVESENAVLRAQLTELTERLQSLNSVLRFLEEFSGMTMDIPEIPDQLLKPWQLPRPAQPISATADLLQF >Ma08_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36486842:36488060:-1 gene:Ma08_g23040 transcript:Ma08_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPVRGSTGRQESPLLGIDEHKRKRMLSNRESARRSRMRKQQRFDDLISQAAELKNQNSQIEMQINLLTQRYGEVESENAVLRAQLTELTERLQSLNSVLRFLEEFSGMTMDIPEIPDQLLKPWQLPRPAQPISATADLLQF >Ma08_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3994421:3995318:-1 gene:Ma08_g05900 transcript:Ma08_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSPKSLALFLLFINLLMYAIVAAVAGWALNYGIEETPRAASGLSVPARLFPIYYPIGNLATGFFIIFSLISGVVGVATSLTGLQDVADGSASNLLSAAAASVITWGLTLLAMGLACKEITIGWRSSSLRTLETLTIILSGTQLLCSGAIHAGVLATISLYGTGRV >Ma05_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3229972:3231753:1 gene:Ma05_g04240 transcript:Ma05_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGEGARKLVFGKYEMGRVLGKGTFAKVYYGREISSGESVAIKVIDKERVSREAGMMEQIQREIAVMRMVRHPNVVELREVMATRSRIFFVMEYVRGGELLARVARGRLPEDLARRYFHQLISAVDFCHSRGVYHRDLKPENLLLDGHGDLKVSDFGLSALPEQLRHDGLLHTQCGTPAYVAPEILRHRGYDGAKADLWSCGVILFALLSGYLPFQDESLTAMYCKVFKAEYRIPPWFSREARRLVSRLLVVDPEKRISITAVMQHPWFKKGSRGPAPIQIPPPPPKAPEEEEEDNPRTPRFYNAFELISSMSSGFNLSSLFESQRKAGTVFTSRSPASAIVERLEGVGRALGFGVQRTKSYKVKMEGKAEGRKGRLAVAAEVFEVAAGIAVVEFAKTSGDTLEYAKFCNEGVRPGLKDIVWTWQGDDTASVGGATNGDVSF >Ma10_p30480.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36815871:36818098:1 gene:Ma10_g30480 transcript:Ma10_t30480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNIHLSSYQLCSLFSFSTAKEHSSNHRSNFTLVDPLESCELSSKEAAKTADDRICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPGFLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPRVLLLRDIQLRIDFWRSLLGSNEWLIKACRRSMFLLTSSLARKIEPNISLLRECGISEQCIVQMVVTQPSLFCRTEKCIKEFIEHVEELGVSRDRKNFHRALLAVMTRSRSRFDATFATLMSFGWSQPDCIAAFRRHPSIWNLSKKNLCDKMTFLMKEAGCELTYIIYRPVLLTYSLEKRLRPRYEVMNFLDQNKLLDKGHNLQSLVKLSEEKFRNKFLFLLRKENFIAQYDSYVVAVQGKHDVVVEN >Ma10_p30480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36815871:36818098:1 gene:Ma10_g30480 transcript:Ma10_t30480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNIHLSSYQLCSLFSFSTAKEHSSNHRSNFTLVDPLESCELSSKEAAKTADDRICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPGFLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPRVLLLRDIQLRIDFWRSLLGSNEWLIKACRRSMFLLTSSLARKIEPNISLLRECGISEQCIVQMVVTQPSLFCRTEKCIKEFIEHVEELGVSRDRKNFHRALLAVMTRSRSRFDATFATLMSFGWSQPDCIAAFRRHPSIWNLSKKNLCDKMTFLMKEAGCELTYIIYRPVLLTYSLEKRLRPRYEVMNFLDQNKLLDKGHNLQSLVKLSEEKFRNKFLFLLRKENFIAQYDSYVVAVQGKHDVVVEN >Ma10_p30480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36816245:36818098:1 gene:Ma10_g30480 transcript:Ma10_t30480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNIHLSSYQLCSLFSFSTAKEHSSNHRSNFTLVDPLESCELSSKEAAKTADDRICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPGFLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPRVLLLRDIQLRIDFWRSLLGSNEWLIKACRRSMFLLTSSLARKIEPNISLLRECGISEQCIVQMVVTQPSLFCRTEKCIKEFIEHVEELGVSRDRKNFHRALLAVMTRSRSRFDATFATLMSFGWSQPDCIAAFRRHPSIWNLSKKNLCDKMTFLMKEAGCELTYIIYRPVLLTYSLEKRLRPRYEVMNFLDQNKLLDKGHNLQSLVKLSEEKFRNKFLFLLRKENFIAQYDSYVVAVQGKHDVVVEN >Ma10_p30480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36816377:36818098:1 gene:Ma10_g30480 transcript:Ma10_t30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNIHLSSYQLCSLFSFSTAKEHSSNHRSNFTLVDPLESCELSSKEAAKTADDRICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPGFLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPRVLLLRDIQLRIDFWRSLLGSNEWLIKACRRSMFLLTSSLARKIEPNISLLRECGISEQCIVQMVVTQPSLFCRTEKCIKEFIEHVEELGVSRDRKNFHRALLAVMTRSRSRFDATFATLMSFGWSQPDCIAAFRRHPSIWNLSKKNLCDKMTFLMKEAGCELTYIIYRPVLLTYSLEKRLRPRYEVMNFLDQNKLLDKGHNLQSLVKLSEEKFRNKFLFLLRKENFIAQYDSYVVAVQGKHDVVVEN >Ma10_p30480.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36771646:36818098:1 gene:Ma10_g30480 transcript:Ma10_t30480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVQQRLFCLLSCNKSNIHLSSYQLCSLFSFSTAKEHSSNHRSNFTLVDPLESCELSSKEAAKTADDRICEKKLSSSSPSIEFFKQSGWSDAQVMKLMQREPGFLRANVETILKPRMRSLQDMGFSDTEIVQLVSSCPRVLLLRDIQLRIDFWRSLLGSNEWLIKACRRSMFLLTSSLARKIEPNISLLRECGISEQCIVQMVVTQPSLFCRTEKCIKEFIEHVEELGVSRDRKNFHRALLAVMTRSRSRFDATFATLMSFGWSQPDCIAAFRRHPSIWNLSKKNLCDKMTFLMKEAGCELTYIIYRPVLLTYSLEKRLRPRYEVMNFLDQNKLLDKGHNLQSLVKLSEEKFRNKFLFLLRKENFIAQYDSYVVAVQGKHDVVVEN >Ma04_p14450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10953914:10954939:1 gene:Ma04_g14450 transcript:Ma04_t14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSKSLHCLSMKLTEEWFRNPALERFSDEMRNSPRLVDNNLYHFCIFSDNVLATSVVINSTVSSADHPQQLVFHVVTDEVNYWAMTTWFLMNDFRGCTVEIRSIKDGEMNFKDLRFESRLNHLRFYIPQLLPLLEKVLFLDDDIVVQKDLTPLYTVELHGNIMGAFDPQACGWAFGMNIIDLIAWKKVNVTAKYHYWQEQNADRMLWKAGTLSPGLLTFYGLIEPLDRRWHVLGLGYDKDIDDRLIESAAVVHYNGNMKPWLELAIGRYKHLWERYINFRHPSITGCIMH >Ma04_p31070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31494228:31498592:1 gene:Ma04_g31070 transcript:Ma04_t31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCVAAKDKLVPDTSLSTCRNVRHSPSWSFRRDNRTHIEDIMDNPTSLSHISSGDASFKTKVGVASETGLLDGSSPLKNFQAQQWHKSPFKTRSSRKSRDNGSGQSIGSDISLEFKGWTKSSCVGCTSYDRPTGFVPSTSSVSKEDPSSSWSHSLPSDPTSSRKAQSSPGYQLSRMISDSRIPSLNSLNENSFPEGRQSFGLSVCSNDLPMGGSHGSSSDGWSMCMFSDLASSQRERWSYDSENLSTINSKIGKLIPEQPRPVSLNQQKCKICLKLLQKKSPWSSHKVVSSNELSVVAVLFCGHAYHAECLDNLTPETDRHDPPCPVCIYGEKSITKLFGKAQSSGRSNGSRIVVADTDMAGDTFSEWWRAGKGHRMGASSSLKNSFSKPFRQHLSIEMPLSPTVSENESTRKKGYWARYWKD >Ma02_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26492318:26497164:1 gene:Ma02_g20570 transcript:Ma02_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSDGETTRTNGGVGADGGLPRPIEIEVGPSASEGELTLYSVICDFLAGVVLPPPEESPPAAFLRRLKFSYYKASPCLREASRNSARDLLLWTRRGGSPRALFVIAVGTITLLALTGLMICMFFLFAATLNAIIISFLVSSAAAGGFLVVFLTCLTAVYIGALLFATFVISTTTISAIVVVLIASGWIGFIWIVWLAVKKSVDLTRQSVSITSTAISAYSTVWQARQNVSSKSAD >Ma06_p18290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12424463:12426554:1 gene:Ma06_g18290 transcript:Ma06_t18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALREEVVRGLSPARSRSREKSTGSGRSPAAEAPALFAPRRRKGHGRHQSLSLPPDQAALVARSVSFRETLAPLMEGPDGGGSGDDDGAPTKEGWGRWVKGQLSRAPSVTSSSAGGASSSFRRSDLRLLLGVMGAPLAPLHVSCVDPLPHLSIKNTPIETSSAQYILQQYTAASGGLKLQRSIRNAYAMGKVRMVASEFETATKVVKNRGGSSRTAESGGFVLWQMTPDMWYVELAVGGSKVHAGSNGKVVWRHTPWLGAHAARGPVRPLRRALQGLDPLTTASMFADARCIGEKKVNGEDCFILKLCADPQTLKARSEGPAEIIRHVLFGCFSQRTGLLVHMEDSHLTRIQATAGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSDVTLFRFGEAAMSHTKTRMEEVWTIEEVAFNVPGLSMDCFIPPADVRCVSIGETCELAQGERGKTTTGVAHRAKVAAVEKPQDMRDRIVWRLQV >Ma06_p34860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34956054:34956614:-1 gene:Ma06_g34860 transcript:Ma06_t34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFGDGEEGEMESMNVADVPTLLTRGGGGEGTISVPSRASSVRVFWCRTCHRQFPSFQALGGHRASHKKPRLAEDRHGHACSVSGLEFAVSQALGGHMRQHCTGGAPHGLTEKKPGKRRGLWLDLNLPPSDDV >Ma04_p35540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34272990:34276914:1 gene:Ma04_g35540 transcript:Ma04_t35540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNVGSPKIHQLDLKRWRLTHLLAVIGLCVLFYILGAWQNKTTPSLTADSGLTTKVGCESVLPKSNASASLPSDATLDFDAHHQQSVDERSMVIEKFPPCDLNFSEYTPCQDRARGRRFARAMLVYRERHCPEKDDTIRCLIPAPPKYKTPFKWPQSRDYAWFDNIPHRELSIEKAVQNWIQVEGNRFRFPGGGTMFPRGADAYIDDINDLISLTDGNIRTAIDTGCGVASWGAYLLKRDIVTMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWQAYDGLYLIEVDRVLRPGGYWILSGPPIHWKKHFRGWERTQEDLKKEQDSIEEVAKRLCWKKLIEKDDLAIWQKPINHVECIESRRIYKTPHICKNDNADAAWYKKMKTCITPLPEVSSQDEVAGGELKKWPERAFAVPPRISSGSVPGLTTKTYKDDNTMWKERIANYKRIIPPLSQGRYRNVMDMNAYLGGFAAAMMKYPVWVMNVVPANSDQDTLGVIHERGFIGAYQDWCEAFSTYPRTYDLIHASGLFSIYQDRCDITYILLEMDRILRPEGTVIIRDVVDVLTKVKALTDGMRWKSQIMDHESGPFNPEKILVAVKTYWTAEPSKKQ >Ma04_p35540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34272660:34276914:1 gene:Ma04_g35540 transcript:Ma04_t35540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNVGSPKIHQLDLKRWRLTHLLAVIGLCVLFYILGAWQNKTTPSLTADSGLTTKVGCESVLPKSNASASLPSDATLDFDAHHQQSVDERSMVIEKFPPCDLNFSEYTPCQDRARGRRFARAMLVYRERHCPEKDDTIRCLIPAPPKYKTPFKWPQSRDYAWFDNIPHRELSIEKAVQNWIQVEGNRFRFPGGGTMFPRGADAYIDDINDLISLTDGNIRTAIDTGCGVASWGAYLLKRDIVTMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWQAYDGLYLIEVDRVLRPGGYWILSGPPIHWKKHFRGWERTQEDLKKEQDSIEEVAKRLCWKKLIEKDDLAIWQKPINHVECIESRRIYKTPHICKNDNADAAWYKKMKTCITPLPEVSSQDEVAGGELKKWPERAFAVPPRISSGSVPGLTTKTYKDDNTMWKERIANYKRIIPPLSQGRYRNVMDMNAYLGGFAAAMMKYPVWVMNVVPANSDQDTLGVIHERGFIGAYQDWCEAFSTYPRTYDLIHASGLFSIYQDRCDITYILLEMDRILRPEGTVIIRDVVDVLTKVKALTDGMRWKSQIMDHESGPFNPEKILVAVKTYWTAEPSKKQ >Ma04_p35540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34273220:34276914:1 gene:Ma04_g35540 transcript:Ma04_t35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNVGSPKIHQLDLKRWRLTHLLAVIGLCVLFYILGAWQNKTTPSLTADSGLTTKVGCESVLPKSNASASLPSDATLDFDAHHQQSVDERSMVIEKFPPCDLNFSEYTPCQDRARGRRFARAMLVYRERHCPEKDDTIRCLIPAPPKYKTPFKWPQSRDYAWFDNIPHRELSIEKAVQNWIQVEGNRFRFPGGGTMFPRGADAYIDDINDLISLTDGNIRTAIDTGCGVASWGAYLLKRDIVTMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWQAYDGLYLIEVDRVLRPGGYWILSGPPIHWKKHFRGWERTQEDLKKEQDSIEEVAKRLCWKKLIEKDDLAIWQKPINHVECIESRRIYKTPHICKNDNADAAWYKKMKTCITPLPEVSSQDEVAGGELKKWPERAFAVPPRISSGSVPGLTTKTYKDDNTMWKERIANYKRIIPPLSQGRYRNVMDMNAYLGGFAAAMMKYPVWVMNVVPANSDQDTLGVIHERGFIGAYQDWCEAFSTYPRTYDLIHASGLFSIYQDRCDITYILLEMDRILRPEGTVIIRDVVDVLTKVKALTDGMRWKSQIMDHESGPFNPEKILVAVKTYWTAEPSKKQ >Ma10_p13340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26183926:26191018:-1 gene:Ma10_g13340 transcript:Ma10_t13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MADEDVSPQSSYHRNAIKTSVHNTSAQRRRQLAVAVGKERREALIRTKRLCREALFDDNEASLEGNMNIDEERAVLNAQASQIIEDLKSAMTYQGKGAAQKKVEALRALRRLLSKSEIPPVKAALQAGAVPLLVQCLSFGSPDEQLLEAAWCLTNIAAGEPEETKSLVPALPLLVAHLGEKSSVPVAEQCAWALGNVAGEGDELRNILLAQGALLPLARLMMSDKGSTARTASWALSNLIKGPQPNAATELIRIKGVLDAIIQHLRNLDDELATEVAWVIVYLSALSEAAVRLLVKSDVVHLLVGKLASSESLQLLIPVLRSLGNLVAADSYMTDMVLVVGHNTTDQILLGLIKCLKSEHRVLKKEAAWVLSNIAAGSVGHKELIFSSEATPLMIHLLSSAPFDIRKEVAYTLGNLCVAPAKNAEQPSIIVHHLVALVNRECISAFIHLVRSADIQSAKLGLQFLELVMRGMPNGEGPKLVEREDGIDAMERFQFHENEEMRNMANGLVDKYFGEDYGLDE >Ma02_p22120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27550298:27552734:-1 gene:Ma02_g22120 transcript:Ma02_t22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVESESWLGRMGLVRGSERRNGGAAEPTKAATVGVLTFEVAGLMSKAVQLWHALADDRVARLSDEVLRLEGVRKLVSDDREFLLALAVAEMTDAIGSLARAVARLGWRSCDPALQRFDAAYADLVKTGADPRGFEYAGRKIEGKVKKMEGFVAASADLHNELEVLAELEQELRRMLANPDDSGHLQGSVDDFKNKVLWQRRQVKDLRQASLWYTPYDFVVRLLGRSLFSIVGRIRQVFRFQFEEEATGKSGAHLARCHSIAGSMPLSVHCSHLDAVHMFASGPTTINRREFAGQCLPATTGSPPPARKRQNSRTWWPVRRGPFGDCMVGGDKPAVLLSCIPMETALRKSIVTPLALNRADGASAEANLEGDMVNTNLFLSMIEPRFQLLIAPASTLGGAALALHYANVIIVIDNLAASPHWIGPNARDDLYNMLTTSIKAALRAKLRTFAKTTASSVCDPVLAAEWSAAVRKKLEWLAPLAHNMIRWHSDRSFERQSLASSSTVLLLQTLYFADRKKTEDAITELLVDLNYLWRYRRDSNAETMSNRVSSRQFDGCLQIQVDVDACSAT >Ma07_p16600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15024772:15055363:1 gene:Ma07_g16600 transcript:Ma07_t16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLPLLLLLLLAVVFLSSTIRLGGAHEHDEEEDESSCEQSPDVRVDAEFRPGIVTVDGRAEDWADVAGPQLALLPALDFDEDKAYGGGPMTVKALHDGRNIFFMLQVEGEYAYSTGDDYKCPSVALMFQVGENATYQNMGGCSNLPGTCTEKSCRGHEVDIMHFSIGNAIPGRLYGGNVVDNRKGNGNDSFGHLVDVYAWNPHCRYLDGIGPSGHISNAQNDWKGAWWHSSLISGSSFIEEDSPFGKRGQKGTYSFEFSRPLRTMDRLQQDVQFTIGQSSKVAVAFWYPTDGNPWSKSQHYSASCNWLTLEAASVSGPSVSRVSSSGSWDAVTAFALLLSVVSFCLTVFIGYWVSKTKAVPFTPIDHL >Ma09_p14170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9655495:9657456:1 gene:Ma09_g14170 transcript:Ma09_t14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSSTIIVLLLLLFLHVSLTISSSSSTTTTTSPASKSQKPQLSSKRSMIEAGEEGEKIQNPTLVKKKPFLGTKNQTKLLKPKKTNSTATAAAAAAIAMDSKSNKTTKNKLGKILDATLKTSNSTKLLKTIKLIKSNLTKSSKDQLKSLNSTSNSTKSSKKSVFDPPIAKIKTTSAPKAPKPQQPSKPPVATKPSMPKAKPEESTAWMETMDDMDHTDLISDFRELPSRLLPDLERLSTTSKAYISAANRGIAEGVKPYVGKSFAPKVAPVLSSLFLALPLLLFTLLFRRLRTYLSLHRLLLFIQAYLAIYFATLALTALATGLEPLRFFYATSPGSYTWTQAAQTTGYLMYLILQLADLVAVFSGGKNAGGGGASARALALAQMVIGLAVGVHYYAAVFHRAVSGEAPRANWRVHGVYAACFLVICTCARAERRKKAYYEGGEDGKKS >Ma11_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6668213:6671341:1 gene:Ma11_g08380 transcript:Ma11_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAGLQPLFSFGVISDVQYADIPDGHSFSGVPRYYRHSMQVLQRAISKWNDHKKLQFLMNFGDIVDGFCPKDKSLITVQKVVKEFDRFNGPTYHMIGNHCLYNLPRSKLISLLNMPSVHDHAYYDFSPCPGYRFIVLDAYEISTIGWPLGHPNALAAMQILEAKNPNSDKNSPNGMVGLEKRFLMFNGAVGKEQLLWLDDVLKDSTKNEQKVVICCHLPLHPKAASAKALLWDYEEVLNLIHSYKCVKACFAGHDHKGGYTVDSHGIHHRVFEAALECPPESNAYGYIDVYHDRLSLIGTDRMMSTEMIFN >Ma06_p11370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7950671:7951335:-1 gene:Ma06_g11370 transcript:Ma06_t11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKCRKAHFTAPSSVRRVLMSAPLSADLRNKYNVRSVPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHVERITREKVNGSTVNVGVNPSKVVITKLKLDKDRKALLDRKARGRAADKAKGKFSADEVAAAAAAAAATAGAPSLQEID >Ma03_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14774421:14775008:-1 gene:Ma03_g14970 transcript:Ma03_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQKSRLYGTITLSCPWPYYLSPRICVPFFTIGRFASMTTGSIAADPMASFAAPSRAYATWIPLLGWASRRLLLIYVALSRSGGGRGWCSLDPDSDATALTKSSLIESIHRSLTLPLCFAGWDGLCAVQIYDSYLLFDLSPCN >Ma03_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6374223:6376428:-1 gene:Ma03_g08660 transcript:Ma03_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFLELFVVLVLGSVAMHVSDPGVASSLESLRIDGNLSFHDVSLAARDFGNRYRLLPSAVLHPGSVSDVAATVRHVFRMGSGSKLTVAARGHGHSLQGQAQADGGIVVRMENLRGCETKVHDGEQPYVDASGGALWINVLLECLKHGLAPKSWTDYLHLTIGGTLSNAGISGQAFRHGPQISNVHQLEIVTGKGELLNCSAEENADLFYAALGGLGQFGIITRARIALEPAPKMVKWIRVLYSDFASFTEDQEMLISLKETFDYIEGFVIINRTGLLNNWRSSFNPQNPVQASEFDSDGRILFCLEMTKNFDQDNAETVNQQVEALLSKLRYIPSTLFQSEVSYLEFLDRVHVSEVKLRSKGLWEVPHPWLNLLIPRTRIRDFAAQVFGKILPDSNNGPILLYPLNKSKWDNRTSAVIPDEEIFYLVAFLSSAPSSSDHDSLDRALKQNDRILDFCKQAGIRMKQYLPYYTMQEEWRAHFGDRWEDFARRKGVYDPLYILAPGQRIFQKAVRSS >Ma03_p08660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6374223:6376428:-1 gene:Ma03_g08660 transcript:Ma03_t08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFLELFVVLVLGSVAMHVSDPGVASSLESLRIDGNLSFHDVSLAARDFGNRYRLLPSAVLHPGSVSDVAATVRHVFRMGSGSKLTVAARGHGHSLQGQAQADGGIVVRMENLRGCETKVHDGEQPYVDASGGALWINVLLECLKHGLAPKSWTDYLHLTIGGTLSNAGISGQAFRHGPQISNVHQLEIVTGKGELLNCSAEENADLFYAALGGLGQFGIITRARIALEPAPKMVKWIRVLYSDFASFTEDQEMLISLKETFDYIEGFVIINRTGLLNNWRSSFNPQNPVQASEFDSDGRILFCLEMTKNFDQDNAETVNQVKLLHTTSPPMHVRIGSSPQKSSPPPPLWLQQVEALLSKLRYIPSTLFQSEVSYLEFLDRVHVSEVKLRSKGLWEVPHPWLNLLIPRTRIRDFAAQVFGKILPDSNNGPILLYPLNKSKWDNRTSAVIPDEEIFYLVAFLSSAPSSSDHDSLDRALKQNDRILDFCKQAGIRMKQYLPYYTMQEEWRAHFGDRWEDFARRKGVYDPLYILAPGQRIFQKAVRSS >Ma02_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22933262:22934476:1 gene:Ma02_g15100 transcript:Ma02_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFGESSSGRDPQNSSFGESSSNNDAGSFECNICFELAQDPVVTLCGHLFCWPCLYKWLHGHAQSSECPVCKAIVEEEKLVPLYGRGKNSTDPRSKSLPGMNIPHRPAGQRPATAPPPDPNNFHHANPWFMGGAPVASTRFGNFTFSAAIGGLFPLLSFQVHGIPDATAYGPGAGFPYGYGNAFHGGHVHGFPRHVQHGQQADVYLKALLLLVGALVIASLVWF >Ma09_p06800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4340661:4344005:1 gene:Ma09_g06800 transcript:Ma09_t06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAAVHCVATLVRANKPTTAIHKKPTSSSSSTPSPSFPRLIRNSPIFAAPTTVAPAVEMEPLERVQSGFVQFKKEVYEKKSDLFAELKEGQSPKFMVFACADSRVCPSVVLNFQPGEAFTIRNIANMVPPYDQVKYAGVGAAIEYAVLHLKVQNIMVIGHSRCGGIKGLMSIKDDGTTSTDFIEDWVKICLPARDKVKAEHAALSFEEQCTKCEKEAVNVSLQNLKTYPFVKEAVEKKSLKLIGAHYNFVNGNFETWED >Ma10_p19240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29855298:29859287:-1 gene:Ma10_g19240 transcript:Ma10_t19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEHSAEYAPYPKLTPEDVAPPTPPPVGHLDATTVPPESNPYISPSATAPSSSPKNTMGTVRDVLDKFGKKVNEAAKKTEDLAGNFWQHLKTGPSIADTAMGRISQTTRVISEGGYDKIFQQTFETFPDEKLKKSYACYLSTSAGPIMGVLYLSTAKLAFCSDNPLSYKVGDQTQWTYYKVVIPLHQIRSVDRSASRAKPGEKYIQVVSIDNHEFWFMGLVNYDSAVRNLLEAVHRSRLQV >Ma10_p19240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29855298:29859287:-1 gene:Ma10_g19240 transcript:Ma10_t19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEHSAEYAPYPKLTPEDVAPPTPPPVGHLDATTVPPESNPYISPSATAPSSSPKNTMGTVRDVLDKFGKKVNEAAKKTEDLAGNFWQHLKTGPSIADTAMGRISQTTRVISEGGYDKIFQQTFETFPDEKLKKSYACYLSTSAGPIMGVLYLSTAKLAFCSDNPLSYKVGDQTQWTYYKVVIPLHQIRSVDRSASRAKPGEKYIQVVSIDNHEFWFMGLVNYDSAVRNLLEAVHRSRLQV >Ma10_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30852658:30880902:1 gene:Ma10_g20790 transcript:Ma10_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MRMKKVGKYDVVRTIGQGTFAKVKSAVNTETGEIVAMKVLAKSTILEHRMVHQIKREISIMKIVRHPNIVRLHEVLASTTKIYIILELITGGELYDKIVHQRKLCENESRCFFQQLIDAVDYCHSKGVYHRDLKPENLLLDYKGNLKVSDFGLSALPQKGVGLLQTTCGTPNYVAPEVLNRQGYDGSAADVWSCGVILYVLMAGYLPFNETNLQTLYEKISAAEFTCPAWFSSGAKSLIYRILDPNPKTRINIEGIKNDAWFQINYVAVRHGVEEEVNLDDIDAVFNDIEDQYVTERVQDTDNGPLIMNAFEMITLSQGLDLSALFDRRQEYVNRQTRFVSRQPAKTIIATIEAVAESIGFKVHSQNYKMRLEGVSTSKMHQLAVVLEVFEVAPSLFMVDVRKVSGDTLEYHKFYKNLCNKLENIIWRPDEVS >Ma09_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3971033:3971164:-1 gene:Ma09_g06170 transcript:Ma09_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLPTCLPNPVFCGCGTGKIGNLRNTLINTAFASCMSRVFFF >Ma03_p06010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4150173:4155326:1 gene:Ma03_g06010 transcript:Ma03_t06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVQSGGRSPKSLNAPASTQQLKSGSDSIQNSGTSFSSQTKGKKRLRSDQAVEHLKGDQDTETVKRDQVTEPIKREYSAKSDDGDSVNSISDNMKTEIAKITDKGGLVSMEGVEKLVDLMHLDRSERKIDLACRIMLADVIAATDKNDCLGRFVQLKGVPVLDDWLQEVHKGKTSDGSSPRESDKIVDDFLLSLLHALEKLPVNLNALQTCNIGKSVNNLRNHKNLEIQKKARNLVDTWKKRVGAELSKIDDAKSVGSSQPVSWPVKPGCSDASHAGNKRTGSTEVVAKMPVARSSACKALSSKPGVSDSTVKSVFPASVAVSSKDPHGKTASSNGGSESIAVAVKEEKSSGSNQSQNNHQSCSSDQAKIMASSWKEEARSSTAGLVNTTKLTGGSSHNRRSSNGILGTNLSGIQKETHSGRSGSVNKVMTSEKASQSGSTCEKPLDTVVGDHGNSSRLIVRLPNPGRSPGHSATGCSSEDPLVMGSRSSSPGISDKQDHNDQRVKRRSDVACSHISSEAKSESWQGNDVKEGLVGSEGVRSPTAILDEEHSRNIVETGNVADATRTACSSPGNENGVCLTEPRTSSFSSIHALVESCAKYSEASTPLVVGDDTGMNLLATVATGVISKSDLNSPANSLGTSPEMGDPCTGNDNSRMRLLSDDDIVQRNVQCDEATDAGYEKQEKSVGSVLAMDLLHQEGTNITGNIRNDIQKQESKSTIDPTTQSTISCIGHKSLDSPMKVEVNLGEETVDVCFAVHKNGQVVEHDSDGASPLEGKQLTGSHVSDICTDDKPNLMSPSINDNKSFECYHKKIGDGSMCTSDTKIGDRCDLDVATSDRKSEMPLVGEPMSTPVAKGALGVASSTDQQQPPSFDAVDESVDAIVSLPVGSALSPNAADESKTRNSDISGIYQLELGHEAKDQSRVSHFSIDEPIGSATASLVIAESVGDTKEVHESHPIGSTIQEGPHTPTAKQIENFAKSSRTSGFDADGMEVVASSAEPCLLPVPAEQDVSNKLEFDLNEGIPEEDGNQGQPDVSAAIVCSSAIPSSSLSPFANPMSNCLPASITVAAPAKGPFFPPENLLKTKGEPGWKGSAATSAFRPAEPRKVLEMPANVSDNPPSHGTGKQGRLLLDIDLNIADERILDETASQNSVQTTVSTVGFVSTHDTPTRTAGGVDLDLNRVDDDMENRQFLSSTSHRLEVSILPIRPASEGLPGGESNVLRNFDLNDRPGLGEVGVEPIPRGQQANNTSYVPFLAPVAGHRTSNSEFGCVSSYFHPNSYPAVAIPSFLPDRGEQSNPVVATLGFQRTMGSVTGVGNLGTDIYRGPVLSSSPAVAFSPATAYSYSNLPFPNSFPLASTSFSGGSTPYVDSSSVGGSCYPAFPSPFVGPVGAVSSHFPRPYLISFPEGSASSVSDNNLKWSRQGFDLNTGPGSGDMEAKDERLPSASRQLLVPSSQVFMEEQARTYTLPGVGSKRKEPEGSWDADRSAKQLSWK >Ma03_p06010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4147632:4155326:1 gene:Ma03_g06010 transcript:Ma03_t06010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEWKRGRHMRPVPPPGDIAASASATLSLRPPPVSSETPSDSFLKDGRQIRVGDCALFQAGNAPPFIGIIRQFSKGKEDHLKLCVNWLYRPADIKLAKGILHDAAPNEVFYSFHKDVIPTASLLHPCKVAFLQKGVELPLGIPSFVCRRVYDIANKRLWWLTDKDYINEHQEEVDQLLNKTLLEMHAAVQSGGRSPKSLNAPASTQQLKSGSDSIQNSGTSFSSQTKGKKRLRSDQAVEHLKGDQDTETVKRDQVTEPIKREYSAKSDDGDSVNSISDNMKTEIAKITDKGGLVSMEGVEKLVDLMHLDRSERKIDLACRIMLADVIAATDKNDCLGRFVQLKGVPVLDDWLQEVHKGKTSDGSSPRESDKIVDDFLLSLLHALEKLPVNLNALQTCNIGKSVNNLRNHKNLEIQKKARNLVDTWKKRVGAELSKIDDAKSVGSSQPVSWPVKPGCSDASHAGNKRTGSTEVVAKMPVARSSACKALSSKPGVSDSTVKSVFPASVAVSSKDPHGKTASSNGGSESIAVAVKEEKSSGSNQSQNNHQSCSSDQAKIMASSWKEEARSSTAGLVNTTKLTGGSSHNRRSSNGILGTNLSGIQKETHSGRSGSVNKVMTSEKASQSGSTCEKPLDTVVGDHGNSSRLIVRLPNPGRSPGHSATGCSSEDPLVMGSRSSSPGISDKQDHNDQRVKRRSDVACSHISSEAKSESWQGNDVKEGLVGSEGVRSPTAILDEEHSRNIVETGNVADATRTACSSPGNENGVCLTEPRTSSFSSIHALVESCAKYSEASTPLVVGDDTGMNLLATVATGVISKSDLNSPANSLGTSPEMGDPCTGNDNSRMRLLSDDDIVQRNVQCDEATDAGYEKQEKSVGSVLAMDLLHQEGTNITGNIRNDIQKQESKSTIDPTTQSTISCIGHKSLDSPMKVEVNLGEETVDVCFAVHKNGQVVEHDSDGASPLEGKQLTGSHVSDICTDDKPNLMSPSINDNKSFECYHKKIGDGSMCTSDTKIGDRCDLDVATSDRKSEMPLVGEPMSTPVAKGALGVASSTDQQQPPSFDAVDESVDAIVSLPVGSALSPNAADESKTRNSDISGIYQLELGHEAKDQSRVSHFSIDEPIGSATASLVIAESVGDTKEVHESHPIGSTIQEGPHTPTAKQIENFAKSSRTSGFDADGMEVVASSAEPCLLPVPAEQDVSNKLEFDLNEGIPEEDGNQGQPDVSAAIVCSSAIPSSSLSPFANPMSNCLPASITVAAPAKGPFFPPENLLKTKGEPGWKGSAATSAFRPAEPRKVLEMPANVSDNPPSHGTGKQGRLLLDIDLNIADERILDETASQNSVQTTVSTVGFVSTHDTPTRTAGGVDLDLNRVDDDMENRQFLSSTSHRLEVSILPIRPASEGLPGGESNVLRNFDLNDRPGLGEVGVEPIPRGQQANNTSYVPFLAPVAGHRTSNSEFGCVSSYFHPNSYPAVAIPSFLPDRGEQSNPVVATLGFQRTMGSVTGVGNLGTDIYRGPVLSSSPAVAFSPATAYSYSNLPFPNSFPLASTSFSGGSTPYVDSSSVGGSCYPAFPSPFVGPVGAVSSHFPRPYLISFPEGSASSVSDNNLKWSRQGFDLNTGPGSGDMEAKDERLPSASRQLLVPSSQVFMEEQARTYTLPGVGSKRKEPEGSWDADRSAKQLSWK >Ma03_p06010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4147632:4155258:1 gene:Ma03_g06010 transcript:Ma03_t06010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEWKRGRHMRPVPPPGDIAASASATLSLRPPPVSSETPSDSFLKDGRQIRVGDCALFQAGNAPPFIGIIRQFSKGKEDHLKLCVNWLYRPADIKLAKGILHDAAPNEVFYSFHKDVIPTASLLHPCKVAFLQKGVELPLGIPSFVCRRVYDIANKRLWWLTDKDYINEHQEEVDQLLNKTLLEMHAAVQSGGRSPKSLNAPASTQQLKSGSDSIQNSGTSFSSQTKGKKRLRSDQAVEHLKGDQDTETVKRDQVTEPIKREYSAKSDDGDSVNSISDNMKTEIAKITDKGGLVSMEGVEKLVDLMHLDRSERKIDLACRIMLADVIAATDKNDCLGRFVQLKGVPVLDDWLQEVHKGKTSDGSSPRESDKIVDDFLLSLLHALEKLPVNLNALQTCNIGKSVNNLRNHKNLEIQKKARNLVDTWKKRVGAELSKIDDAKSVGSSQPVSWPVKPGCSDASHAGNKRTGSTEVVAKMPVARSSACKALSSKPGVSDSTVKSVFPASVAVSSKDPHGKTASSNGGSESIAVAVKEEKSSGSNQSQNNHQSCSSDQAKIMASSWKEEARSSTAGLVNTTKLTGGSSHNRRSSNGILGTNLSGIQKETHSGRSGSVNKVMTSEKASQSGSTCEKPLDTVVGDHGNSSRLIVRLPNPGRSPGHSATGCSSEDPLVMGSRSSSPGISDKQDHNDQRVKRRSDVACSHISSEAKSESWQGNDVKEGLVGSEGVRSPTAILDEEHSRNIVETGNVADATRTACSSPGNENGVCLTEPRTSSFSSIHALVESCAKYSEASTPLVVGDDTGMNLLATVATGVISKSDLNSPANSLGTSPEMGDPCTGNDNSRMRLLSDDDIVQRNVQCDEATDAGYEKQEKSVGSVLAMDLLHQEGTNITGNIRNDIQKQESKSTIDPTTQSTISCIGHKSLDSPMKVEVNLGEETVDVCFAVHKNGQVVEHDSDGASPLEGKQLTGSHVSDICTDDKPNLMSPSINDNKSFECYHKKIGDGSMCTSDTKIGDRCDLDVATSDRKSEMPLVGEPMSTPVAKGALGVASSTDQQQPPSFDAVDESVDAIVSLPVGSALSPNAADESKTRNSDISGIYQLELGHEAKDQSRVSHFSIDEPIGSATASLVIAESVGDTKEVHESHPIGSTIQEGPHTPTAKQIENFAKSSRTSGFDADGMEVVASSAEPCLLPVPAEQDVSNKLEFDLNEGIPEEDGNQGQPDVSAAIVCSSAIPSSSLSPFANPMSNCLPASITVAAPAKGPFFPPENLLKTKGEPGWKGSAATSAFRPAEPRKVLEMPANVSDNPPSHGTGKQGRLLLDIDLNIADERILDETASQNSVQTTVSTVGFVSTHDTPTRTAGGVDLDLNRVDDDMENRQFLSSTSHRLEVSILPIRPASEGLPGGESNVLRNFDLNDRPGLGEVGVEPIPRGQQANNTSYVPFLAPVAGHRTSNSEFGCVSSYFHPNSYPAVAIPSFLPDRGEQSNPVVATLGFQRTMGSVTGVGNLGTDIYRGPVLSSSPAVAFSPATAYSYSNLPFPNSFPLASTSFSGGSTPYVDSSSVGGSCYPAFPSPFVGPVGAVSSHFPRPYLISFPEGSASSVSDNNLKWSRQGFDLNTGPGSGDMEAKDERLPSASRQLLVPSSQVFMEEQARTYTLPGVGSKRKEPEGSWDADRSAKQLSWK >Ma07_p10980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8137343:8149575:1 gene:Ma07_g10980 transcript:Ma07_t10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRCGEPLESHSSFSFFVLHFISIPFSLGSRLLCFFSVAMATMESLIGLVNRIQRACTVLGDHGGEGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKMESGSEYAEFLHAPRRKYTDFAGVRKEIADETDRITGKSKQISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVTDIEDMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGERTFGVVTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIAARRKEQEYFANSPDYGHLAHKMGSEYLAKLLSKHLESAIRARIPSIVALINKTIDELEAELDRLGRPIGADGGAQLYTILEMCRAFDRIFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLHNVRKVISEADGYQPHLIAPEQGYRRLIDSSLGYFKGPAEASVDAVHFVLKELVRKSIGETEELKRFPTLQSDIAAAANEALERFRDDSRKTVLRLVEMESAYLTVDFFRKLSHEPDKGSNPGSTPNTPAPDRYGDHHLRKIGSNVSSYVGMVCDTLKNTIPKAVVHCQVREAKKSLLNYFYAQVGGREKKQLSAMLDEDPTLMEKRNAIAKRLELYKHARDEIDSVGWK >Ma09_p27260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38294331:38295418:-1 gene:Ma09_g27260 transcript:Ma09_t27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDAVVGGAYCCQGRICLGGCTLFPSPCYHLMIVAAGRPWLAAANKFRFLRRARLGLWSAEWRDSAAHPTTEAAPAPAFAWLETRAWPEAAVWPRLEAADNLDRLFGFLCGFRRGRRWVIRLDLDIFRHLAQLSKQLLLKTLGIEGAGDGDLEFDHLLRHCFDALRVLRLEKESSGKEGCGFASSNKRAV >Ma08_p26520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39053668:39054343:1 gene:Ma08_g26520 transcript:Ma08_t26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIRVQMNCDRCRSKAMKTAVGNHGIETVSIEGEERDLLVIIGERVDSVALACSLRKKLHCADILTVEEVKEKEKEKEKEKEEEKPKEKQEEDKKDVPLPHPVINPSYLPAYCVIFDPEPSTCSIQ >Ma09_p14210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9708771:9709467:-1 gene:Ma09_g14210 transcript:Ma09_t14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVPLVTSYRYEGIEVNRKLAKSEAKMLHEKMTKKAYSHEELIWIITARSKAQLIATISHYKNEYGKSIIKDLKSDRKNEFLVALRAIIRCTCYPEKYLEKVIRLAIKGLRIDANSLTRIVTTRAEVDLKTIEAVYYKRNSMSLKQAVNDHTSGDYKTMLIALLGNNA >Ma07_p07280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5327749:5332859:-1 gene:Ma07_g07280 transcript:Ma07_t07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDGRHKALRRVVGLGFWVQGFRCFPWMGVNFFLKDGLGVAPSSLQILQNSSNLPMVAKPLYGILSDALYLAGQHRLPYVAIGAILQAISWLAIATLPGTSLSIAMLTLFLLLGNLGASIAEVANDAIVVEAGKQLHSPSQSGQLQSFAWMLASLGGILGNILGGIAIKVLSPKTMFLIFVVLLVLQFFMTITVSESSLNLPKKMNHSSRFSSISKQISELHVALCNSEISRLIAWFSTSYAMIPLLNGTMFFYQTQHLNLDSSIIGFSKVFGQAALLVWSVAYNKQFRKFPAKRILWALQVAIALFMVSDVLFVKGIYRDIRIADSMYVVIISGLQESLFQFKILPFSVLMAQICPSGCEGSVMAFSMSAVALATIISGYLGVALAMSVGVSGADFSGFSVGILIQAACTVLPLCWASWIPDGSRPVKKEE >Ma08_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37720283:37722576:-1 gene:Ma08_g24620 transcript:Ma08_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKTRFPSRVGKLKGVKNPDQDREQLSLSSEELKDETLDAMPKSATAPDGGDDDDDDDFITNDVKRRLKELRKNSFMVLIPEEGPPGEQEEEEEEEEESSSSGWGGASEAGAGYSCCGFDTFYDQYCDRMLFFDRLISRHLNEAGSRSTSQRSLRSVSKKLDLALRKLRFKKGQDEHPDDREQPQLPQQEDERCRNLEAAYVAQVSLSWEALHGQYMQLRVKISSQPEDGASYGNAAQLFQQFQVLLQRFIENEPFEQGSRVEVYAHGRSWLSKLLQVPNFLGIDQKENVENYTDLPILAADLVKIMEDSILTFHLFLKMDKKRTGSFFRAHSPRSSLHQVQASLDKKEMRVKELFKKKKGWRKRTWPTTTEEVELLFALIDIKVISRVLRMARLSKEQLLWCEEKMSKLDLSGNRLCRDGSLLLFPC >Ma08_p31410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42336473:42341316:1 gene:Ma08_g31410 transcript:Ma08_t31410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NPGR1 [Source:Projected from Arabidopsis thaliana (AT1G27460) UniProtKB/Swiss-Prot;Acc:Q9CB03] MLCACSGEQYRFEEERPPSPPESLATRDFSTGGLSSRVGGLSSGAGGDGDSKLDDTQVDDVVESTLRETLSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIVGLRPKIIKAIAAWTRARQARRRGECLQGNVMSIHSVTLLIEAMLLKSKSLEEIGRFKDAAFECKTIIDIVESAWPHGIPEGIGDDSKLKEMFHKALELFPKLWMRAGFLEEAIAAYRRALMKPWDLGFSRWASLQKDLAVALLYGGAELSLPPQLQQLWGYAVPTSNIEEAILLLLILMRKVTFQEISWDPEIMNHLIYGFSLTGQFEVLAGHVEQILPGIYDRVERWYVLALCYHAAGLDDDALNVLRKALSYSEKKHKPHLPSVILGAKLCCKRPLHAWEGAKYAMKANEIFQNQKHFLGVTNHLLGVCYGNCARLSVSDSQRLKLQNESLKTLQHAANIEKNDSEVVYSLARESTMQRNLHIALENATKYLDMVAGSSVRGWKLLALIVSAEQNLREAEAIVDLAMYESGTMDQLEFLRLKALLQVAQEQPKNAIETYKNLLAMVEAWKELQKWSLSSEVKAVKDLEMEAWLDLASLYTKLGSWNDSYVCLDKAKTFELFSPKCWHSKGKLLEAQSKQQEALIALLVSLSIEPDYVPSMVLMAAILRTRGGKSLAIARSLLMNALRLEPTNHEAWLNLGFISKAEGSLHQAADCFQAAYELRQSSPVQNFV >Ma08_p31410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42336451:42341316:1 gene:Ma08_g31410 transcript:Ma08_t31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NPGR1 [Source:Projected from Arabidopsis thaliana (AT1G27460) UniProtKB/Swiss-Prot;Acc:Q9CB03] MLCACSGEQYRFEEERPPSPPESLATRDFSTGGLSSRVGGLSSGAGGDGDSKLDDTQVDDVVESTLRETLSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIVGLRPKIIKAIAAWTRARQARRRGECLQGNVMSIHSVTLLIEAMLLKSKSLEEIGRFKDAAFECKTIIDIVESAWPHGIPEGIGDDSKLKEMFHKALELFPKLWMRAGFLEEAIAAYRRALMKPWDLGFSRWASLQKDLAVALLYGGAELSLPPQLQQLWGYAVPTSNIEEAILLLLILMRKVTFQEISWDPEIMNHLIYGFSLTGQFEVLAGHVEQILPGIYDRVERWYVLALCYHAAGLDDDALNVLRKALSYSEKKHKPHLPSVILGAKLCCKRPLHAWEGAKYAMKANEIFQNQKHFLGVTNHLLGVCYGNCARLSVSDSQRLKLQNESLKTLQHAANIEKNDSEVVYSLARESTMQRNLHIALENATKYLDMVAGSSVRGWKLLALIVSAEQNLREAEAIVDLAMYESGTMDQLEFLRLKALLQVAQEQPKNAIETYKNLLAMVEAWKELQKWSLSSEVKAVKDLEMEAWLDLASLYTKLGSWNDSYVCLDKAKTFELFSPKCWHSKGKLLEAQSKQQEALIALLVSLSIEPDYVPSMVLMAAILRTRGGKSLAIARSLLMNALRLEPTNHEAWLNLGFISKAEGSLHQAADCFQAAYELRQSSPVQNFV >Ma06_p07630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5423874:5424211:-1 gene:Ma06_g07630 transcript:Ma06_t07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDDLPIRGFLGMIHEEGKYNNDIDVEFTYSVKWKETSTPFERGWRNAH >Ma03_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23252669:23254201:-1 gene:Ma03_g17660 transcript:Ma03_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTDIRVITDSQLVARQLDGEYEARDPTMAKYLAQVRSLAARFAHFELSNVPRSENQRADTLAKLASGPAPWARPETEELPRRAIEVVATVAHGAPATWVQEMLRFKQDGTLPDNTTTARRLRRTQAWYTEEGGRLYKRSFSRPLLRCLEPSEAWTVLSDMHEGACGEHIGERALTHKANGLAEVTNRSIVDGLKRRVSATRSAWIDELPSVLWALRTTPKTPTGESPYSLAFGTEAVLPPEVAVPTPRTADYNEEASGEGLRSNLDLLEERRADAHQKTLSYKRAVARVYNRSVRPRSIRLEDLVLRKIEVSHPTQVRGKLAPKWEGPYRVIGVSRPGTFRLATMDGDPVPRTWNIQNLRKYFV >Ma11_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27796560:27796955:-1 gene:Ma11_g25030 transcript:Ma11_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPGSESAKLAPEVVAEHTVQALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQGKKPRSLSFSFGRALLQSTLKAWAGKEENVEKARTAFLARCKANSEATLGSYKGDVAGGEGVSESLHVKAYKY >Ma11_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26641011:26641709:1 gene:Ma11_g23190 transcript:Ma11_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSQFAAPSGAIVGPQFCVPYRIDLTFFIDAAKCDHLTETDINGNVVFKVEAHKWSLRNRQVVVDASGKPVISMQQKLSSIHDRWQVFKGNSSDPKHMLFSVKRSSALQFKTELDVFLAANTEEEVCDFLLVSPTHPTSRVSHTSNFGCSVMQLRSVNDRWQVFKGDSSDPKYLLFSVKRSSSPQVKTVLDVFLAANIKEEIVR >Ma04_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4297275:4298952:-1 gene:Ma04_g05750 transcript:Ma04_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLGGCRALMAAAKAGAKVEAPAPKAAGKRTAGLLKPLRVSRAMEKFVGASEISRPGAIKKIWDHIKLNQLQNPSNKREIQCDFKLKSIFDGRDKVGMLEIAKLIAPHFLKPN >Ma06_p38030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36921992:36925842:1 gene:Ma06_g38030 transcript:Ma06_t38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHTMYALGVGAGLMRLSRGRFGPHHCVVYAANAFLGPDLGSFAEWLASCISSSSGLGHSLGSLAMDLVHHPFYYPMLLGLPLSFFYAWLSALLLRKGILDPASGVSLSKMQCFLLLSAGSLSHFFLDHLFEENGHSTMYTWILSTGWWKNSAPINPDAVVVVGLLCTSLFVGFVYINRLKNGKSIIKRSDQSLRLVLIIATLYCTWCVSQIYWRNPPQPAVGEEADLGVLVFLALYFFLPHALCLLSMNQRDYIDTADQLPL >Ma10_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27857304:27859014:-1 gene:Ma10_g15940 transcript:Ma10_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRSRKRLVPRTSSSPCSPPMAATAPTSRLLLLVSCIVFLSSTSEAFDYPPLVKGLSFDFYKTTCPSLESVVRNYLKQEFKKNIGLAAALLRVHFHDCFVQGCDGSILLDGSAGGPGEKDAPPNLTLRPAAFKAINDLQVLVTQACGQTVSCADIAALAARDSVHLSGGPGYKVPFGRRDGLTFATRDEVLNSLPPPTSNVTQLLAALGKLNLDANDLVTLSGGHTIGIGHCTSFENRLFPSQDPTLNKTFAKDLYLTCPVKNTTNTTVLDIRSPDTFDNKYYVDLMNRQGLFTSDQDLHTNTTTKSIVINFAVNQTLFFEKFVYSMTKMGQLSVLTGNKGEIRTNCSAINKSSKSLWSVVDDEGKSDAF >Ma09_p15310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10618238:10625357:-1 gene:Ma09_g15310 transcript:Ma09_t15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSGGGEAELPATLSGSFKGDGKAASRRRASIRRSLEADEFINLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALKLSERAREKAVEELTEELTKVDGKLKLTESILESKNLEIKKLNDEKKSSMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAEIKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTIEIALAKASMVDDLQNKNQELMKQIEICQEENKIFDKLHRQKVFEVEKLSLTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFMQGEMQQLRDKLAIADRTARYEAQLKEKYQLRLKVVEEGLRMSSSGSSRTNIEGKSVTNGSTRRQSIGGAENLSKPLFGGSVVRRSPSFQLRSSLSSSTSAVLKHAKGTSKSFDGGTRSLDRGKVLAIGMSHSLDRSSDGIKDIDASNTCEGIPNEKLCEHNSADSDDTVSGLLYDMLQKEVITLRKACHEKDQSLKDKDDAIEILAKKVDTLTKAMEVEAKKMRREVATMEKEVAAMRVEKEQDSRAKRFGSSKGPVHVSQLLPGSYLAAMRVEKEQDSRAKQFGSSKGPVHISQLLPGRNLPRTGMTRNLQ >Ma08_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11038935:11043083:1 gene:Ma08_g13910 transcript:Ma08_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLFLSLGRPTRQQQKACIARSGDFNYDPKFHGASSSLRSSLGDNVVVEEEALSKSGFFVNRARVLLGAGPRTFDLAKSALLSWKHFELGWTFVDPETPVEKGERFCVCVKEVIPWLMMPLRIAYVRDEISGRGPTKASFGFGSGTLQGHLLAGEERFSIERDENDEVWYEIYSFSKPAHFLSMVGYPYVKLRQQFFAHRSANALKKHVAVGE >Ma03_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29227129:29234679:-1 gene:Ma03_g25100 transcript:Ma03_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWGTVMVKLGLSGLDTSDHASVVSINIFVTLICACIVIGHLLEENRWMNESITSLIIGLCTGIVLRLATRGNNSRVMVFSEDLFFIYVLPPIIFNAGFQVKKKQFFRNFITIMLFGAVGTLISFIIISIGAVEIFRKMDIGALEIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQKFDLVHMDVTVVLKFVANFFYLFSTSTLLGALGGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFIAEVFLFLYVGMDALDIEKWQFVSNSPGKSVGLSSILLGLVLIGRAAFVFPLSFISNLSKRSQNDKITFKQQVIIWWAGLMRGAVSIALAYNQFTRSGHTHQRGNAFMITSTITVVLFSTMVFGLMTKPLIYFLLPPSMKHLTRSLSLSSEPSSPKSFLSPLLGNGQGSELETGHSIPRPTSLRMLLMTPSRSVHHYWRKFDDAFMRPMFGGRGFVPFVPGSPIERSVLEWE >Ma05_p07560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5494376:5499343:-1 gene:Ma05_g07560 transcript:Ma05_t07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDIAARTVSVFAVVALLSLTLVSSNSEGDALYALRKSLSDPGNVLESWDPTLVNPCTWFHITCNQDNRVTRVDLGNSKLSGHLVPDIGRLEHLQYLELYKNNIQGTIPTEFGNLKSLISLDLYNNNISGVIPPALGKLKSLVFLRLNDNHLTGQIPRELVEISSLKVVDVSNNDLCGTIPTTGPFEHIPLNNFENNPRLEGPELQGLASYDTNC >Ma04_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25247945:25254057:-1 gene:Ma04_g23040 transcript:Ma04_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSPPSAAARWAKPRLANWRVLPLLLLLISAASLLLAIGFLRAASGGMLRRANALGRQCAPGLEGSSGGEDGAGHRRRIAMVSFSDEGGGQGRGRGRSFSGVGKAVAGNKQAYAARMGYVFVDAGGMVDHSRPPSWSKILAVRSHLPHYDWVFWNDADTMVMNPAISLESILYAVIGHTNLDASPDLVVTEDTNGVNAGVFFVRRSEWSDKFLQTWWNQTSFVRFGSTKSGDNDALKHLLRTLPAEELGAHVAVSPAQCLFNSYPWVPTLKSLHRLFTSPRATWNGVYSDGDFLVHLAGLDDKKKWLINMLDEMRPV >Ma03_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28256789:28259155:-1 gene:Ma03_g23800 transcript:Ma03_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLQISTPPHYSTFSCSSTKRWSSSPPRLYSSRDALLGSPNPRWAALLRELRCDGRHTCLFADNRKQEQARKALESALGEKKTEFEKWSKEIEKREEKGGGGASGRGGWFGGGGWFGWFGGENFWEEAQQAILTIVGIVSLYLLVAKGNVMFAVVFNSLLFVLRGTRNWLTFVSSCLSRKTFALGSQPGPVSNEVKIHQTQLSAKERVIKKWGMD >Ma06_p21100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15195039:15198543:-1 gene:Ma06_g21100 transcript:Ma06_t21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSLWQLGQCLSRRLAQSKSTTPKPRHFAAAPAAEPAELKKTVLHDFHVEHGGKMVPFAGWSMPIQYKDSIMDSTLHCRAAASLFDVSHMCGLSLRGRDCIPFLETLVVADVAGLRPGTGTLTVFTNERGGAIDDSVVTKVRDDHIYLVVNAGCRDKDLAHISAYMEAFKAKGGAVDWHIHDERSLLALQGPLAAPVLQLLTKDDLSKIYFGEFCTLDIHGSHCFLTRTGYTGEDGFEISVPSEHAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMDQHTTPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPPIRRVGFFSTGPPPRSHNEILNSSGEKIGEVTSGGFSPCLKKNIAMGYVKSGLHKPGTEVKIIIRGKSNDGVVTKMPFVPTKYYKPS >Ma03_p18560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24142865:24145059:1 gene:Ma03_g18560 transcript:Ma03_t18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D4 [Source:Projected from Arabidopsis thaliana (AT2G38750) UniProtKB/Swiss-Prot;Acc:Q9ZVJ6] MAEEHEALTSAFSGLGVDESSLLTVITKWRKQPEKRRGFRSSSPFFKPHGSFERCEDDFVRSLKVEFARFKNVTVLWAMHPWERDARWIHHVLHKAHPFNIIVEIACTRSSDELLGARKAYHALFHHSIEEDVAYRVKENYCSLLVGLVSAYRYEGSRVDEDIAKSEAKALGHAIKNAGTKDPVENYEVIRILTTRSKTHLKETFRHYSGIYGQSIEQDFADESCLGETVRCLGSSASYFSKVIDKAFGEEADKNAKDALTRVVVSRSDVDMEEIKAVYEKQYKAKLEDKIVKNTRGNYRDALLSLVGM >Ma06_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17000255:17002387:1 gene:Ma06_g22070 transcript:Ma06_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNNQPRAVGGSPSLANSGMGLPASANPPSHLRPPPAPGPSPFQGLFHSQTQSQAQPQVHSPFQIHMGSQSHLGPLGSSSPSFSTPGAKRPPQKPPARPPVPISAAGSPSIKTADITAAARRKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKIDIQEALKSPPSMQRTLRIYVFNTFANQTRTIPEPKNAEPPSWSLKIVGRILEDGVDPDPVGGLPKPNPIYPKFSSFFKRVTIALDPSLYPENPTILWEQARLPAAHEGFEIKRMGDKEFTASIRLEMNYNPEKFRLSPPLIEVLGIEVDTRARIIAGIWQYVKAKKLQNPTDPSYFACDPPLRKVFGEDKMKFAMVSQKISPHLSPPQPIHLEHKIRLSGNGAVGNACYDVLVDVPFPLQKEMSVFLANTEKHRDIEACEEVICASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQGRDLKLIAGEANRNAERERRSDFYNQPWVEDAVIRYLNRKPATGNDAPGST >Ma06_p22070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17000286:17002387:1 gene:Ma06_g22070 transcript:Ma06_t22070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNNQPRAVGGSPSLANSGMGLPASANPPSHLRPPPAPGPSPFQGLFHSQTQSQAQPQVHSPFQIHMGSQSHLGPLGSSSPSFSTPGAKRPPQKPPARPPVPISAAGSPSIKTADITAAARRKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKIDIQEALKSPPSMQRTLRIYVFNTFANQTRTIPEPKNAEPPSWSLKIVGRILEDGVDPDPVGGLPKPNPIYPKFSSFFKRVTIALDPSLYPENPTILWEQARLPAAHEGFEIKRMGDKEFTASIRLEMNYNPEKFRLSPPLIEVLGIEVDTRARIIAGIWQYVKAKKLQNPTDPSYFACDPPLRKVFGEDKMKFAMVSQKISPHLSPPQPIHLEHKIRLSGNGAVGNACYDVLVDVPFPLQKEMSVFLANTEKHRDIEACEEVICASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQGRDLKLIAGEANRNAERERRSDFYNQPWVEDAVIRYLNRKPATGNDAPGST >Ma06_p22070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17000286:17002387:1 gene:Ma06_g22070 transcript:Ma06_t22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPILVNNQPRAVGGSPSLANSGMGLPASANPPSHLRPPPAPGPSPFQGLFHSQTQSQAQPQVHSPFQIHMGSQSHLGPLGSSSPSFSTPGAKRPPQKPPARPPVPISAAGSPSIKTADITAAARRKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKIDIQEALKSPPSMQRTLRIYVFNTFANQTRTIPEPKNAEPPSWSLKIVGRILEDGVDPDPVGGLPKPNPIYPKFSSFFKRVTIALDPSLYPENPTILWEQARLPAAHEGFEIKRMGDKEFTASIRLEMNYNPEKFRLSPPLIEVLGIEVDTRARIIAGIWQYVKAKKLQNPTDPSYFACDPPLRKVFGEDKMKFAMVSQKISPHLSPPQPIHLEHKIRLSGNGAVGNACYDVLVDVPFPLQKEMSVFLANTEKHRDIEACEEVICASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQGRDLKLIAGEANRNAERERRSDFYNQPWVEDAVIRYLNRKPATGNDAPGST >Ma05_p16310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16136919:16137173:1 gene:Ma05_g16310 transcript:Ma05_t16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRNVNSSHLERENFQRSEMADVVTDSKPETQSIVLKHQDTQEKDVRGLFNNVIEETTNKLVETRKSKVKALIGAFEIVISLQ >Ma04_p08900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6346434:6355495:1 gene:Ma04_g08900 transcript:Ma04_t08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRKKQRERSWVELCCFGCTCLQLWPKIVLRKWLNISSRESDFSADEGDTTEKMCGWERRLRDEEARLGGFGAEKNGNNVEGIPYRLRRRKSETLRAQYINTKELRIYVGTWNVAGRHPPADLNIKDWLDMGEPADIYVLGFQEIVPLNAGNVLGAEDSRPVQRWEHIIRETLNMIQPVKEKYKCYSDPSSPSRFKQSDDVIEDELLLESDSESDEEVRLDEQPNFQSNENQIDASEDDPKCNLASQSLFAADQCAQRENIDNQSSLYVFDRYHSSSFKNHGASSEAFTSQQKKFTKTLSSSEMIGLIWPEQPLDLLSQHNRDTSKPKSLNPVKSFKACNSFNSLHGDHNDSFLTPELDLGTVVNRKKRSSFVRIISKQMVGIFLSVWVRRSLRKHIQNLKVSTAGVGVMGYIGNKGSISVSLSIYQTLVCFICSHLTSGEKDGDELRRNADVREIHRKTLFSSAPGVGVAKTIFDHERIIWLGDLNYRINMSYDRAHELISRKEWSKLFEKDQLRLELKKGHVFDGWSEGVINFPPTYKYELNSEKYVGDDPKGGRRTPAWCDRILSYGKGMRLLDYRRVELKFSDHRPVAAIFMAEVEVFSHRKLQRALTFTDAEVEEQLVSDADNGVANCLWLGQEITE >Ma04_p08900.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6346426:6355495:1 gene:Ma04_g08900 transcript:Ma04_t08900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRKKQRERSWVELCCFGCTCLQLWPKIVLRKWLNISSRESDFSADEGDTTESELEYEEMCGWERRLRDEEARLGGFGAEKNGNNVEGIPYRLRRRKSETLRAQYINTKELRIYVGTWNVAGRHPPADLNIKDWLDMGEPADIYVLGFQEIVPLNAGNVLGAEDSRPVQRWEHIIRETLNMIQPVKEKYKCYSDPSSPSRFKQSDDVIEDELLLESDSESDEEVRLDEQPNFQSNENQIDASEDDPKCNLASQSLFAADQCAQRENIDNQSSLYVFDRYHSSSFKNHGASSEAFTSQQKKFTKTLSSSEMIGLIWPEQPLDLLSQHNRDTSKPKSLNPVKSFKACNSFNSLHGDHNDSFLTPELDLGTVVNRKKRSSFVRIISKQMVGIFLSVWVRRSLRKHIQNLKVSTAGVGVMGYIGNKGSISVSLSIYQTLVCFICSHLTSGEKDGDELRRNADVREIHRKTLFSSAPGVGVAKTIFDHERIIWLGDLNYRINMSYDRAHELISRKEWSKLFEKDQLRLELKKGHVFDGWSEGVINFPPTYKYELNSEKYVGDDPKGGRRTPAWCDRILSYGKGMRLLDYRRVELKFSDHRPVAAIFMAEVEVFSHRKLQRALTFTDAEVEEQLVSDADNGVANCLWLGQEITE >Ma04_p08900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6346434:6355495:1 gene:Ma04_g08900 transcript:Ma04_t08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRKKQRERSWVELCCFGCTCLQLWPKIVLRKWLNISSRESDFSADEGDTTESELEYEEMCGWERRLRDEEARLGGFGAEKNGNNVEGIPYRLRRRKSETLRAQYINTKELRIYVGTWNVAGRHPPADLNIKDWLDMGEPADIYVLGFQEIVPLNAGNVLGAEDSRPVQRWEHIIRETLNMIQPVKEKYKCYSDPSSPSRFKQSDDVIEDELLLESDSESDEEVRLDEQPNFQSNENQIDASEDDPKCNLASQSLFAADQCAQRENIDNQSSLYVFDRYHSSSFKNHGASSEAFTSQQKKFTKTLSSSEMIGLIWPEQPLDLLSQHNRDTSKPKSLNPVKSFKACNSFNSLHGDHNDSFLTPELDLGTVVNRKKRSSFVRIISKQMVGIFLSVWVRRSLRKHIQNLKVSTAGVGVMGYIGNKGSISVSLSIYQTLVCFICSHLTSGEKDGDELRRNADVREIHRKTLFSSAPGVGVAKTIFDHERIIWLGDLNYRINMSYDRAHELISRKEWSKLFEKDQLRLELKKGHVFDGWSEGVINFPPTYKYELNSEKYVGDDPKGGRRTPAWCDRILSYGKGMRLLDYRRVELKFSDHRPVAAIFMAEVEVFSHRKLQRALTFTDAEVEEQLVSDADNGVANCLWLGQEITE >Ma04_p08900.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6346434:6355494:1 gene:Ma04_g08900 transcript:Ma04_t08900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRKKQRERSWVELCCFGCTCLQLWPKIVLRKWLNISSRESDFSADEGDTTESELEYEEMCGWERRLRDEEARLGGFGAEKNGNNVEGIPYRLRRRKSETLRAQYINTKELRIYVGTWNVAGRHPPADLNIKDWLDMGEPADIYVLGFQEIVPLNAGNVLGAEDSRPVQRWEHIIRETLNMIQPVKEKYKCYSDPSSPSRFKQSDDVIEDELLLESDSESDEEVRLDEQPNFQSNENQIDASEDDPKCNLASQSLFAADQCAQRENIDNQSSLYVFDRYHSSSFKNHGASSEAFTSQQKKFTKTLSSSEMIGLIWPEQPLDLLSQHNRDTSKPKSLNPVKSFKACNSFNSLHGDHNDSFLTPELDLGTVVNRKKRSSFVRIISKQMVGIFLSVWVRRSLRKHIQNLKVSTAGVGVMGYIGNKGSISVSLSIYQTLVCFICSHLTSGEKDGDELRRNADVREIHRKTLFSSAPGVGVAKTIFDHERIIWLGDLNYRINMSYDRAHELISRKEWSKLFEKDQLRLELKKGHVFDGWSEGVINFPPTYKYELNSEKYVGDDPKGGRRTPAWCDRILSYGKGMRLLDYRRVELKFSDHRPVAAIFMAEVEVFSHRKLQRALTFTDAEVEEQLVSDADNGVANCLWLGQEITE >Ma04_p08900.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6346434:6355494:1 gene:Ma04_g08900 transcript:Ma04_t08900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRKKQRELWPKIVLRKWLNISSRESDFSADEGDTTESELEYEEMCGWERRLRDEEARLGGFGAEKNGNNVEGIPYRLRRRKSETLRAQYINTKELRIYVGTWNVAGRHPPADLNIKDWLDMGEPADIYVLGFQEIVPLNAGNVLGAEDSRPVQRWEHIIRETLNMIQPVKEKYKCYSDPSSPSRFKQSDDVIEDELLLESDSESDEEVRLDEQPNFQSNENQIDASEDDPKCNLASQSLFAADQCAQRENIDNQSSLYVFDRYHSSSFKNHGASSEAFTSQQKKFTKTLSSSEMIGLIWPEQPLDLLSQHNRDTSKPKSLNPVKSFKACNSFNSLHGDHNDSFLTPELDLGTVVNRKKRSSFVRIISKQMVGIFLSVWVRRSLRKHIQNLKVSTAGVGVMGYIGNKGSISVSLSIYQTLVCFICSHLTSGEKDGDELRRNADVREIHRKTLFSSAPGVGVAKTIFDHERIIWLGDLNYRINMSYDRAHELISRKEWSKLFEKDQLRLELKKGHVFDGWSEGVINFPPTYKYELNSEKYVGDDPKGGRRTPAWCDRILSYGKGMRLLDYRRVELKFSDHRPVAAIFMAEVEVFSHRKLQRALTFTDAEVEEQLVSDADNGVANCLWLGQEITE >Ma10_p29850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36288885:36290340:-1 gene:Ma10_g29850 transcript:Ma10_t29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMAMSIFVVLVSIVGGAGLSEAAVYSVGDVVGWTILGSPNYTAWAISKRFDMGDTIVFKYNKNFHNVLEVSKADYKACNAASPIAAYTSGNDPITLKRRGHHFFICGVPGHCSAGQKVDVRIAKRTASSAAPSVSPAASPLPATTSSGSGSNSSGGGVVPNPAAAPRPSGANTATPTVLALALTLLYPVFTGGLVAVIS >Ma09_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:14636674:14639295:-1 gene:Ma09_g17950 transcript:Ma09_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPLMLKDYLELDWDSESYCAGFGCVPAQAGDVDPEADAATMRYLLDAELRGGGGGPRLQRTRSMNAMAKISAVIGAFRPLSFAGASSSSASGGRRRCGEGGFLTRSFSKRLSGSFWRKTGMAAAAVAMEDTWVGVKDIVRLRSVEEKVVSEELRSFDFPSPALSSCTVWSESDFLPSTTAGTDSSDDSLSSAGAATSREAKKGSPRARSSRKPKVDRKSTGEGLDGSGAGLSRMPMAPESEGTESPQCHSEEEEQLSPVSVMDFPSGEEDEEEEADDPASPSFHRSLAKLERTTRQLLQKIGQFESLIDLEPIDVDRRFASTDCLDESTDDVASVVEEEDERALRERKAWGVLGQLKASCHVDPAEASVEKLLLDLFIQELSYSDGDANRDGRFRRGHREWAISAVRHGQAEERALLITARDWIEGTRRRDLDDFHGEATLREIERGGRWRSFEEEEDGELGVDLQGLVLGSLMEELVMDLVSR >Ma04_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5087433:5091012:-1 gene:Ma04_g07000 transcript:Ma04_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKKYSVFDKHQQSTYHQNSMPARDVESSYMPQNHKSVHYFSSDDGTQQRKIQSQTSDVQFCTLESSLATANYNMHNSPSSQSFSSTSGSPISQQDSQYDIVYGSSVSASCVTEDPNDLKIRLREIETAMLGPDSDIVESFENTYPGHLSLEPDAWRQVMGIPRGDLKQLLIACARAVADNDMINIEWLISELKQMVSVSGEPFQRLGAYLLEGLIARLSSSGSSIYKALKCKEPTSSDLLSYMHILYEVCPYFKFGYLSANGAIAEAVKGENMIHIIDFQIAQGSQWITLIQALSARPGGPPHIRITGVDDSNSAYARGGGLHIVGQRLSRLAQSRNVPFEFHGAAMSGCDVELKDIVIRPGEAVAVNFPFQLHHMPDESVSTRNHRDRLLRLVKSLSPKVVTLVEQESNTNTTPFFPRFLETMEYYTAMFESIDVTLPRDNKERISVEQHCLARDIVNIVACEDAERVERHELFGKWKSRFLMAGFRPCPLSPLVNATIKTLLQNYSEHYWLEERDGVLYLGWRNRPLVVSCAWR >Ma11_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6108693:6125862:1 gene:Ma11_g07680 transcript:Ma11_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAKSVYRWNLISFGGARFRKSSARGSVPAHHVFVFIPRWSRRRRSGFRLVRNCKDKEHPSKRSWWQKFFSDNDDESWFSWSAEDVLGVDGVGDEHGEEEGKEDERFEAWKSRAEAIVELREAQEDARNEEGRAWEDWLRGDGLSGEGSSSWDHDWGGEAAEPPGKVNDDPEEIMREKGLVRAIKDVIAENDEGLLFEDRVFQYASISSAKFVALLILIPWILDFVVHDYVLMPFLDRYVKTVPLAAQMLDVRRQQKLEMINTLKLERARLRLEVEIGKSPPLSDEEVWLEMRYKAIELRDEQRLENRRAFANIWSDLVYGITLFVLIYFNKSKVALLKVTGYKLLNNISDTGKAFLIILITDIFLGYHSESGWQVLVEIILDHYGLDVDEAAITIFICSFPVMIDACVKLWLFKFLPRLSPNVYNIFREMQRH >Ma05_p10620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7718714:7730198:-1 gene:Ma05_g10620 transcript:Ma05_t10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDENFASSFAARWIEGPGKVSGGGGDGGGFGYWQPRTQHLPQQQQQQQQEGSPAIPPYTDFDRSYFRAYSDIAIHKEMIQDRVRTETYLAAIMKYRHLIFDKVVLDVGCGTGILAIFCAAAGAKRVYAVDASEIAEQARHVVEDNNLSDRVTVLFGRVEDVSINEKVDIIISEWMGYMLLHENMLPSIIFSRDKWLKPGGLILPSHASLFMAPVTYADRYHERIGFWRDVHGIKMSAMLQLAKHSSHREPHIEIIPRENVVTRPILVKDVDCYTVTIQELESVTTRFCVTSTLRAPLHGFAFWFDAAFGGPAVYPSEQHLQLPLMGPVDKISRVLCHKKQEMGSDAEIMLSTAPGKPPTHWKQTMLYLYDPMTLNKGQKIAGCVTVSQSKENRRFLDIHLEFSVGGRTRVKVAEMR >Ma10_p14080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26628926:26630909:1 gene:Ma10_g14080 transcript:Ma10_t14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDHWSSIVHFHLLCRFLFAFSVLSSVSLIFWSQSSASCNGEAALNPEKRNESFHLLAFPSALNQLSFSAKPPRRLLKVALFVKRWPHRNLAGGLERHALTLHLALARRGHEIHVFTTKSNATFPRHNDAAMSFHQTPPSPAGYLNQALAWEQFQTENATGRPFDVIHSESVGLLHGRARNISNLAASWHGIAYETIHSDVVQDLLRGPDEPRRPTITERLSKVIEEVKFFPHYAHHVATSDHVGDVLKRIYMIPEERVHIIVNGVAESIYRPDQKMGEEFRRKVGVPDRARLVIGLAGRLVKDKGHPLMFEALKQVFGEEERFQRDVFVLVAGDGPWGNRYKELGRNIIVLGPLEQSELAKFYNSLDVYLNPTLRAQGLDHTFIEAMLSGVAIAGTRFASITGSLIVGSNVGYTFLPTVESLKESLYKIMKDGKQVLSKKGEVARSRALHLFTATKMAAAYERLFQCISKEPAVKDNIDYCRYPLPWDSGKLK >Ma02_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21816316:21817601:-1 gene:Ma02_g13400 transcript:Ma02_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVKAIQAHGNRLKHAVLQHLRFTDGFYWPNIVTRFETAISTPRIPHKGLENITVYELLKAKGEEEKEAVYWCRTSDTVYDAVQNMTQHNIGALVVIKPEDEKLLAGIVTERDYLRKIIVQGKSSTATRVGEIMTDENKLISVTSDTNILKAMQLMIDHHIRHMPVIDKKVVGMISIVDVVRTIVEQQHAEVKHLNEYIKGNY >Ma06_p10270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7112707:7115011:-1 gene:Ma06_g10270 transcript:Ma06_t10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADMGTSSGSRLRRLNEAVSKSWVGRWFKLAERCTTFTTELRAGTATFLTMAYILAVNASILADSGATCSIDDCLRPSPSCLLPPVDAGYAACVDRARRDLIVATAASSIVGSFIMGALANLPLALAPGMGTNAYFAYTVVGFNGSGNLPYRTALAAVFLEGLLFLLISALGFRARLAKLVPRPVRISSSAGIGLFLAFIGLQSNQGVGLVGYSSSTLVTLAACPREARAYLAPVEAFDNGTVALIPGGTVSGDVLCLHGRMESPTFWLGVVGFLIIAFCLIKNVKGAMIYGIVFVTAVSWFRHTSVTAFPDTLEGNEAYRYFKKVVDVHRIESTAGALSFSGIGTGRFWEALLTFLYVDVLDTTGTLYSMARFAGYVDDNGDFEGQYFAFMSDAVAIVVGSLLGTSPVTAFIESSTGIKEGGRTGMTALTVSAYFLLAFFFTPLLASIPAWAVGPPLVLVGVLMMKAVVEIKWEDMTEAIPAFMTMILMPLTYSIAYGLIGGIGTYIVLRAWDWSVTAWTRHGSVTKSLPLVSDSGVRDGSVVAAAAAAAGNGGGDGLGDTKENENDHAV >Ma03_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7629214:7629689:1 gene:Ma03_g10190 transcript:Ma03_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINSKACFSKHSQWPPESFRLARLESLCCSIFPWMSEIDPCVVDRLFHYLSLCSLFKNPFDFFKVSKFSC >Ma06_p01190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:956644:957246:-1 gene:Ma06_g01190 transcript:Ma06_t01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDAGPSRAGGSDTGPPAGAAAPSRYESQKRRDWNTFLQYLRNHKPPLVLTRCSGAHVIEFLRYLDQFGKTKVHLSGCAFYGHPSPPAPCTCPLRQAWGSLDALIGRLRAAYEESGGSPETNPFAARAVRIFLRDVRDSQAKARGIPYEKKKRRRAPTASDQVHGGGESSSSAALSGDSSGSGAGEGSAVPLWGSSIS >Ma07_p04280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3198129:3206159:-1 gene:Ma07_g04280 transcript:Ma07_t04280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSNMGSHQSSVPFSFHHPRMVSFQSSALDSSTEMIPVDMCSLDMNNNMASMFVSTGSDMINNMDVMTAAWCPAGTVREPIPRFTHVTGLPAYWSPDELRLLNIGLVKYANKQSVSKYAEIASMLPQKTIRDVALRCQWMTNKGNGNKRKIEEYYPAKTMKDMMVGSSSTANIHIAPNSLPLHQMNNYNRFQPVETQHLIDENYSCLRAIAKNLEEGTVQENISLFLHTRNNITVIENRINAMSATTNHLPLSMTHMPPLPISVNDQLLCSLIPLNGNVIYTAPRNSHLQLDPTCFVPPHMMTR >Ma07_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3198129:3205382:-1 gene:Ma07_g04280 transcript:Ma07_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSNMGSHQSSVPFSFHHPRMVSFQSSALDSSTEMIPVDMCSLDMNNNMASMFVSTGSDMINNMDVMTAAWCPAGTVREPIPRFTHVTGLPAYWSPDELRLLNIGLVKYANKQSVSKYAEIASMLPQKTIRDVALRCQWMTNKGNGNKRKIEEYYPAKTMKDMMVGSSSTANIHIAPNSLPLHQMNNYNRFQPVETQHLIDENYSCLRAIAKNLEEGTVQENISLFLHTRNNITVIENRINAMSATTNHLPLSMTHMPPLPISVNDQLLCSLIPLNGNVIYTAPRNSHLQLDPTCFVPPHMMTR >Ma07_p04280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3198129:3206159:-1 gene:Ma07_g04280 transcript:Ma07_t04280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSNMGSHQSSVPFSFHHPRMVSFQSSALDSSTEMIPVDMCSLDMNNNMASMFVSTGSDMINNMDVMTAAWCPAGTVREPIPRFTHVTGLPAYWSPDELRLLNIGLVKYANKQSVSKYAEIASMLPQKTIRDVALRCQWMTDMMVGSSSTANIHIAPNSLPLHQMNNYNRFQPVETQHLIDENYSCLRAIAKNLEEGTVQENISLFLHTRNNITVIENRINAMSATTNHLPLSMTHMPPLPISVNDQLLCSLIPLNGNVIYTAPRNSHLQLDPTCFVPPHMMTR >Ma04_p37470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35368744:35371823:1 gene:Ma04_g37470 transcript:Ma04_t37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSIGSVSSSSLIDAKLREHPVCGSIRCPSCGHKLDRNLGWVGLPAGVKFDPTDQELIEHLEAKVKEEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQSESDLHRGETRWHKTGKTRPVMVNGTQMGCKKILVLYTNFGKHRKPVKTNWVMHQYHLGELEEEKDGELVVSKVFYQIQPRQWSDKSAAAVEGMSQRRDSEVLSKRDEYSYSSMGMHQHVKPDNFIFAPFAEGFNEVAVGEASDEHAEHERHVRLPPPPPYHRVARQQQQQQWRMATATAAFHSRRPPMNPISKFVPTPLQQTSIVLEDPYQVPRMLLQPDKFLQQKLDHHRSTSGLEELIMSCTSAGIKGETSTPISQETAWQYSYWSPANPDHHG >Ma04_p19150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21729275:21730959:-1 gene:Ma04_g19150 transcript:Ma04_t19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAPAPTPLPISNSPSSVAPAAPASAALVATPAFRLFLSRLTDSARRSLNNCRPWSELADRSAFSRPESLGDAASRLRNNLAYFRVNYVAVVAAVLAISLITNPFSLLVLLALLAGWCLLYLFRPSDPPLVILGRTFSDRETLGFLVLATIFVVFLTSVGSLLISALVAGAAIIGAHGAFRVPEDLFLDEQEAGAASGLLSFLSGAASTGPVALAAARV >Ma10_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32309151:32311764:-1 gene:Ma10_g23280 transcript:Ma10_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMEAEYSLYWETKRFIDSEELESWGFEEAISGGYYDSSSPEGTTSSTTAKNIAMERNRRKKLNEKLYALRSVVPNITKMDKASIIKDAIDYIKQLQEQEKVMLAEISELESLKEEKVSSIGDLEFDDLYFMQRKKKRTSQGSSSAGSPSSPPIEVQET >Ma08_p25700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38433059:38435267:1 gene:Ma08_g25700 transcript:Ma08_t25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEITRLQTMNESKNQAMNETITVAVRDPKLARCLPGLSSPRPLSEPDAAAAKLQRIYKSLCGSRRNLADCAVVVEELWWKALDFASLKHCSVSFFNVGKPETAASRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLQYYYDVWFESESSQPFLFWLDVGDGREINLDKCPRSNLHDQCIRYLGPKEREAYEVIVEDGRLVYRESGLRVSTAEGSKWIFVLSTSRVLYIGQKRKGNFQHSSFLAGGAATAAGRLVVAGGALKAIWPYSGHYLPTEENFEEFIIFLRDNNVDLSNVKRCSVDDDMYPSFRKNTEEMEDVAKVPNAAQEATDK >Ma02_p22040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27496041:27497056:-1 gene:Ma02_g22040 transcript:Ma02_t22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVSGYKRCSLRQPVVGSLYINTGFHAIDFTNIKAQNNCLHLLRTSMASAFNLLLFFLVCSIFCSTWPTASGGVPDHAVVEKFERWMAKYGRAYKNMIEKSYRLGVFAKNLKYVDAFSKSGRRNYTIGLNQFADLTNEEFMATHTGLRRPNAASKPPASPCSYQNVTGVPSSVDWRRRGAVSPVKDQGSCGSCWAFSSVAAIEGITKIKKMKLMDLSRRLRLLQFRLQRWVDEQRLRLRRLNGGHHHRSQVPVRRIPARLRLREALASRGLHRRIPRRPGERRGSTHGGGVAPTCVGSHRCRWTRLPVVHRWDL >Ma09_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11408147:11412372:1 gene:Ma09_g16100 transcript:Ma09_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLAIVLGTVSVVLISCFWKAFMHLTWRPYVITRAYRKQGVRGPAYRFWSGSLEEIRSIRRAAAELILDTHSHDITTRVLPHFAKWIAEYGETFLFWIGPQPLLCISEQEMIKQVLASKYGSYARTDPSPAAMALVGKGLTNIDGSEWARHRRVVNPAFAMDKLKLFTRTMAECARSMLEAWQDDADAVGDHAKEVEVAREFQELTANVISHTAFGSSYSEGKEVFVAQKELQILVIESFLNVNIPGFRYVPTRKNLRIWNLERRIRNKFMGIIRDRLGSNDDDLGCGNDLLGLMLEAATRKQDEQKMSMDEIIDECKTFFIAGHETTSHLLIWAMFLLSTNLQWQEKLREEVLRECGMEIPNADTLANLKLVTMVLLEALRIYSPVALLRRKAAKDVTLGNINIKKNTLLMMPIAVTHRNKEVWGDDANEFNPLRFENGILKASAHPSAFLSFSIGPRACIGQNFAMLEAKTVIAMILQRFSFSLSHKYKHAPIDSATMLQPQFGVPIVLRPIHL >Ma04_p11000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7750928:7761506:-1 gene:Ma04_g11000 transcript:Ma04_t11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGGRYEDNGDGYNEYGGGGGGGGASPLPRSSGFDVLGDPRSQYDSHEHERGSSKNRDRGRDRNRERDRNREREKDKERGKDRERERDKDHGRHHRDHKDRSERREHGRDRPEDHDQHRSRDRDSHRDRDRDGRHRHRSRSRSKGRSDHRSRSRSRSRSNSKRISGFDMAPPAAALLPVANSAGQLPVTTPAIPGMFPNMFPMATGQFQTIPMMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMSVIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAAALGPSQPNPNLNLSAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPRPEQESVLLQAQQQVALQKLVYQVGSLSTKVVCLTQVVSADELKDDEEYEDIMEDMRGEGGKYGNLVNVVIPRPGPNGEPAPGVGKVFLEYADVDGSNKARQALNGRKFGGNQVVATFYPESKFAQGEYDG >Ma03_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27201869:27213397:1 gene:Ma03_g22460 transcript:Ma03_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCSCHVDESYQAHENEYLKSPTNDADGHKGSKYSAAPKSEAPKAPPPIEVPMLSLEVLNEKTDSFGSKSLVGEGSYGRVYFAVLDNGKQVAVKKLDTSSEDNSAEFLTQVSMVSRLKHENFVEMLGYCVEGNMRLLAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVRIAVDAAKGLEYLHEKFQPSIIHRDIRSSNILLFDDFRAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGDYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLVNKPAPPPAAVPSQAPDA >Ma04_p15390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11661735:11679072:-1 gene:Ma04_g15390 transcript:Ma04_t15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGFFLLLSVITAPAIAQPNTRGFISIDCGNSNSSYFDTVTQVPYVSDDRFIDVGSDFYIQSNYINSSVPTLQLNLRSFPDRLRNCYTLKPVPQNTTYLVRATFMYGNYDGKNSQIQFDLHIGVDHWKTMTISDPSAIYTAEALSLATANSTSVCLVNTGGGTPFISSLELRPLRNGLYGEYVNASQSVVLVTRRNFGATKSLRFPDDPYDRVWNPYNDPSLLTLSTNSRVDNRDDLFEPPVAVMQTAVTPKGIRQLGFFWDSVSPRDELYAVLYFAELRNLTGKATRMFNVTRNGESRFSWYTPPYLSIGYIYSVVPFKGYSRYQYLLHPTSNSTHPPIINAFEVYSLMQLTQAATDSRDVDAMAEIRLQYQLKRNWMGDPCAPKAYTWDGLNCSYGPDPPRIISINLTSAGLSGEISSSFAMLKAVKHLYLSQNNFNGTIPDSLGSLSSLQVLDLSCNNLTGNIPDSLGLLSSLQVLNLSGNNFNGSVPDTLLKKAATGLLTLRVDKKGCTKVPSSSTKHKTHVVVIISVVSGLLLLVVVLIVIVWSTRRLRGQDSNTFVQPQSEDHFLQRDHQVSFEGRQFTYAQLVNITNNFTRVIGKGGFGMIYHGCLETGKQVAIKMCFVSSPQGMKEFLAEAQNLTRIYHRNLVSLVGYCMDGNCPTLVYEYMKQGSLQEHLRGKAGHSRGLSWGQRLQIALNAAQGLEYLHRGCKPPIIHRDVKTSNILLNEELEARIADFGLSKSFHSDEQTHVSTESVVGTPGYIDPEYHQTYQLTEKSDVYSFGVVLLELITGRPPLVPGSGNTHIVQWITPHLSRGSIDDIVDESLRGEYDPTCAWKILDLAIRCTANKGSQRPTMFEVVMQLRSCLELVIASDKCENENVYSGDFNVDQESASDIGTNMPGPTVPTR >Ma08_p00920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:861558:864307:-1 gene:Ma08_g00920 transcript:Ma08_t00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDAGCLEDELENGAGLEAAAAAEMGCESGMEDAVRVLLQSHGEDPEREGVLIAWPRQILNSLKSLCNPFRFVLGYKQKVNNIVRGALFPEAGLEPGKVTREELVDYSGVLKEERSSLWDDFLALLKLRGVHIPRGDANLSPVSSWCPSRSLEISLCSGHCARSTQNGKTSSKTGVTHAAMVAAVASILHSLGDDPLREELVGTPYRYVQWLMNFKSANLELRLNDHSKTSPCGVMDGNVTCLNEIQSVLNIPFCSQCEHHLLPFHGVVHVVYLNKQEGKCIEQSVLQSIVRSFACKLQVLERLTRQIAEAVYSIFNSGVMVVVEAHHICMISRGIEKVRSNTARISVLGQFSTDPSVKNLFLQTIADSTPSEV >Ma06_p27320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29362604:29367229:-1 gene:Ma06_g27320 transcript:Ma06_t27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILANLLVMGSGILGRAVLQAYRKALENANKTGVANETIQNIRRASKAMTEQEARQILGINEQSTWEEILKKYDVLFERNAKHGSFYLQSKVHRAKECLEAVYQGKG >Ma00_p04080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:34430909:34432577:-1 gene:Ma00_g04080 transcript:Ma00_t04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLARSGDRCLPFFRALKNPKNFQWMTKCEEAFKQMKQRPANLPRLASISPGEKLGLYLAASPHAVSSILIKESSGEQLPIYYVSHTLSGPEGRQLLKWAVELDEHDIRYVPRTAVKAQAVADFIAELTQVTDGDLEQPPEAWILHVDGSSSSKGAGAGLVLLAPDGRSFERSLRFGFKLVAEQLSVGYEARDPTMAKYLAQVRDLTAKFPYFMLSNVPREENERADALAKLASKLTCEARLEVEELPARAIEVATTAPGSAPITWVQELLRFKRGPSPTPSYDAWSQTILAEIHEGVCGEHSGGQTLAHKILRQGYYWPTMCRDAKAYVQRCGPYQEHARAPRQPAVPLSPIACAWPFAQWGLDLLGPFPPASGQRKYIIVGVDYFTKWVEAEPLATITEQQMEKFVWRNLVTRFGLPKTIITDNGPQFAGRRFREFCANHGI >Ma08_p20780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34874342:34875829:1 gene:Ma08_g20780 transcript:Ma08_t20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSAIYLALVLVFTLLAGLYRGGRRHKLNLPPGPRPWPVIGNLNLIGPLPYRSLAAISQKHGPLMHLRFGSFPVVVGSSVDMAKFFLKTHDLSFVSRPKTVAGKYTANNYSNINWSPYGPYWRQARRIFIMELFTPKRLDSYQYIRVEEVRGLLRDLFRSTETPIVLKDRIFTVNLNIISRIVLGRKYTQEQSVSSGAPAAIVPQEEFKEMMGELMLLNGVINVGDLIPWLNFLDLQGYVKRMKMVGKRFDRFLEHVLDEHNERRRREGKAFVPRDLVDVLLELADDHGLEVKLERHCLKAFILDMFAGSTDTVTVTIEWAVSEILKRPETFDKATEELDRVIGRGRWVEEEDVHRLPYIEAIVKETMRIHPVAPLLVPRLSREHTTVDGYDIPAGTGVLVNVWAIGRDPAVWDAPEEFRPERFVGSPIDVKGHHFELLPFGAGRRMCPGNSLGLKM >Ma10_p15490.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27565241:27576685:1 gene:Ma10_g15490 transcript:Ma10_t15490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTDDRACRFYRRGSDWFSKTGIPSDIVIEVEGQMFHLHKFPLLSKCGKIAHISEVSQNVKEGTHHILSGCPGGADAFLLVAKFCYGFKVELSPKNIIMVHSIAEYLEMTEQLDENNLLPEAERFFHKFVLHSWKDCIVALQSSEGSLPHAESFPIVTKCINALSRMVCTDLSLFGWPMMMYGSLQSPGGSILWNGINTGAKIRSSQSDWWFEDISCLGVPMFRRLMEAMYERGVRPVIVAGALMYYTGRYLRGLDRWMKKQGSRDLAFLTVTPAVVDHKVLLENIVSLLPQKKGKAYCRFLLGLLRFAIILNVCQQSKETLERTIGMQLELATLDGLLIPNFSKSDNLYDTDCVERIIHHFLHSQESGIATFSPSSSVSASPLSSSLNRVIKLIDSYITEVAPDVHLGTEKMLSLLVALPKSCRSQNDGLYRALDVYLKAHPWLVETEKMQLCSVIDYGKLSVDTCAHASQNERLPHRIILQVLYFEQLQLRLSLSHYLDALDIDSTAAANNVVGHILQRDGWISLIHENQALKVDMESLMSRVRNLEQEFVIIKQKIGRVNRHQ >Ma10_p15490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27565241:27576685:1 gene:Ma10_g15490 transcript:Ma10_t15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTDDRACRFYRRGSDWFSKTGIPSDIVIEVEGQMFHLHKFPLLSKCGKIAHISEVSQNVKEGTHHILSGCPGGADAFLLVAKFCYGFKVELSPKNIIMVHSIAEYLEMTEQLDENNLLPEAERFFHKFVLHSWKDCIVALQSSEGSLPHAESFPIVTKCINALSRMVCTDLSLFGWPMMMYGSLQSPGGSILWNGINTGAKIRSSQSDWWFEDISCLGVPMFRRLMEAMYERGVRPVIVAGALMYYTGRYLRGLDRWMKKQGSRDLAFLTVTPAVVDHKVLLENIVSLLPQKKGKAYCRFLLGLLRFAIILNVCQQSKETLERTIGMQLELATLDGLLIPNFSKSDNLYDTDCVERIIHHFLHSQESGIATFSPSSSVSASPLSSSLNRVIKLIDSYITEVAPDVHLGTEKMLSLLVALPKSCRSQNDGLYRALDVYLKAHPWLVETEKMQLCSVIDYGKLSVDTCAHASQNERLPHRIILQVLYFEQLQLRLSLSHYLDALDIDSTAAANNVVGHILQRDGWISLIHENQALKVDMESLMSRVRNLEQEFVIIKQKIGRVNRHQ >Ma10_p15490.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27565241:27576685:1 gene:Ma10_g15490 transcript:Ma10_t15490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTDDRACRFYRRGSDWFSKTGIPSDIVIEVEGQMFHLHKFPLLSKCGKIAHISEVSQNVKEGTHHILSGCPGGADAFLLVAKFCYGFKVELSPKNIIMVHSIAEYLEMTEQLDENNLLPEAERFFHKFVLHSWKDCIVALQSSEGSLPHAESFPIVTKCINALSRMVCTDLSLFGWPMMMYGSLQSPGGSILWNGINTGAKIRSSQSDWWFEDISCLGVPMFRRLMEAMYERGVRPVIVAGALMYYTGRYLRGLDRWMKKQGSRDLAFLTVTPAVVDHKVLLENIVSLLPQKKGKAYCRFLLGLLRFAIILNVCQQSKETLERTIGMQLELATLDGLLIPNFSKSDNLYDTDCVERIIHHFLHSQESGIATFSPSSSVSASPLSSSLNRVIKLIDSYITEVAPDVHLGTEKMLSLLVALPKSCRSQNDGLYRALDVYLKAHPWLVETEKMQLCSVIDYGKLSVDTCAHASQNERLPHRIILQVLYFEQLQLRLSLSHYLDALDIDSTAAANNVVGHILQRDGWISLIHENQALKVDMESLMSRVRNLEQEFVIIKQKIGRVNRHQ >Ma10_p15490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27565241:27576685:1 gene:Ma10_g15490 transcript:Ma10_t15490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTDDRACRFYRRGSDWFSKTGIPSDIVIEVEGQMFHLHKFPLLSKCGKIAHISEVSQNVKEGTHHILSGCPGGADAFLLVAKFCYGFKVELSPKNIIMVHSIAEYLEMTEQLDENNLLPEAERFFHKFVLHSWKDCIVALQSSEGSLPHAESFPIVTKCINALSRMVCTDLSLFGWPMMMYGSLQSPGGSILWNGINTGAKIRSSQSDWWFEDISCLGVPMFRRLMEAMYERGVRPVIVAGALMYYTGRYLRGLDRWMKKQGSRDLAFLTVTPAVVDHKVLLENIVSLLPQKKGKAYCRFLLGLLRFAIILNVCQQSKETLERTIGMQLELATLDGLLIPNFSKSDNLYDTDCVERIIHHFLHSQESGIATFSPSSSVSASPLSSSLNRVIKLIDSYITEVAPDVHLGTEKMLSLLVALPKSCRSQNDGLYRALDVYLKAHPWLVETEKMQLCSVIDYGKLSVDTCAHASQNERLPHRIILQVLYFEQLQLRLSLSHYLDALDIDSTAAANNVVGHILQRDGWISLIHENQALKVDMESLMSRVRNLEQEFVIIKQKIGRVNRHQ >Ma10_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27565241:27576685:1 gene:Ma10_g15490 transcript:Ma10_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTDDRACRFYRRGSDWFSKTGIPSDIVIEVEGQMFHLHKFPLLSKCGKIAHISEVSQNVKEGTHHILSGCPGGADAFLLVAKFCYGFKVELSPKNIIMVHSIAEYLEMTEQLDENNLLPEAERFFHKFVLHSWKDCIVALQSSEGSLPHAESFPIVTKCINALSRMVCTDLSLFGWPMMMYGSLQSPGGSILWNGINTGAKIRSSQSDWWFEDISCLGVPMFRRLMEAMYERGVRPVIVAGALMYYTGRYLRGLDRWMKKQGSRDLAFLTVTPAVVDHKVLLENIVSLLPQKKGKAYCRFLLGLLRFAIILNVCQQSKETLERTIGMQLELATLDGLLIPNFSKSDNLYDTDCVERIIHHFLHSQESGIATFSPSSSVSASPLSSSLNRVIKLIDSYITEVAPDVHLGTEKMLSLLVALPKSCRSQNDGLYRALDVYLKAHPWLVETEKMQLCSVIDYGKLSVDTCAHASQNERLPHRIILQVLYFEQLQLRLSLSHYLDALDIDSTAAANNVVGHILQRDGWISLIHENQALKVDMESLMSRVRNLEQEFVIIKQKIGRVNRHQ >Ma10_p15490.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27565241:27576685:1 gene:Ma10_g15490 transcript:Ma10_t15490.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTDDRACRFYRRGSDWFSKTGIPSDIVIEVEGQMFHLHKFPLLSKCGKIAHISEVSQNVKEGTHHILSGCPGGADAFLLVAKFCYGFKVELSPKNIIMVHSIAEYLEMTEQLDENNLLPEAERFFHKFVLHSWKDCIVALQSSEGSLPHAESFPIVTKCINALSRMVCTDLSLFGWPMMMYGSLQSPGGSILWNGINTGAKIRSSQSDWWFEDISCLGVPMFRRLMEAMYERGVRPVIVAGALMYYTGRYLRGLDRWMKKQGSRDLAFLTVTPAVVDHKVLLENIVSLLPQKKGKAYCRFLLGLLRFAIILNVCQQSKETLERTIGMQLELATLDGLLIPNFSKSDNLYDTDCVERIIHHFLHSQESGIATFSPSSSVSASPLSSSLNRVIKLIDSYITEVAPDVHLGTEKMLSLLVALPKSCRSQNDGLYRALDVYLKAHPWLVETEKMQLCSVIDYGKLSVDTCAHASQNERLPHRIILQVLYFEQLQLRLSLSHYLDALDIDSTAAANNVVGHILQRDGWISLIHENQALKVDMESLMSRVRNLEQEFVIIKQKIGRVNRHQ >Ma10_p15490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27565241:27576685:1 gene:Ma10_g15490 transcript:Ma10_t15490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTDDRACRFYRRGSDWFSKTGIPSDIVIEVEGQMFHLHKFPLLSKCGKIAHISEVSQNVKEGTHHILSGCPGGADAFLLVAKFCYGFKVELSPKNIIMVHSIAEYLEMTEQLDENNLLPEAERFFHKFVLHSWKDCIVALQSSEGSLPHAESFPIVTKCINALSRMVCTDLSLFGWPMMMYGSLQSPGGSILWNGINTGAKIRSSQSDWWFEDISCLGVPMFRRLMEAMYERGVRPVIVAGALMYYTGRYLRGLDRWMKKQGSRDLAFLTVTPAVVDHKVLLENIVSLLPQKKGKAYCRFLLGLLRFAIILNVCQQSKETLERTIGMQLELATLDGLLIPNFSKSDNLYDTDCVERIIHHFLHSQESGIATFSPSSSVSASPLSSSLNRVIKLIDSYITEVAPDVHLGTEKMLSLLVALPKSCRSQNDGLYRALDVYLKAHPWLVETEKMQLCSVIDYGKLSVDTCAHASQNERLPHRIILQVLYFEQLQLRLSLSHYLDALDIDSTAAANNVVGHILQRDGWISLIHENQALKVDMESLMSRVRNLEQEFVIIKQKIGRVNRHQ >Ma10_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31775185:31779215:-1 gene:Ma10_g22360 transcript:Ma10_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIWGSGSGGGPEPGNFLLVLCFAFGSFVARFLLDRFLYKPCAMRLFGKKAVLMMNDEAKWSKVVKCSESMWKLTYYVTVQIWVLSIIKQEPWSLDTKEYFKGWPNQEMKSSLKLFYMCQCGFYVYSIAALIAWETRRKDFSIMMSHHVVTSTLIGFSYITRFFRIGTVMLALHDTSDVFLEAAKLFKYSEKEMAASLCFGLFALSWLILRLVYFPFWIIKSSSYECVQALSWMENFPTTLYYIFNTMLLTLLVFHTYWWKLIFAMIMRQMGNKGQVGEDIRSDSEDGD >Ma04_p39020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36281691:36282738:1 gene:Ma04_g39020 transcript:Ma04_t39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSVHAKWDLMETISRFFDPNWIQSWISSSLQSEEGNHQFEPSGRTGTWRLTLLFSDSTATTNSNSSCSLVIIIIMHHIHIDRRASNLSCLMFIMDWAFAFGNSCLCSNTKLMLCCKVEYLDVSALCHESERGN >Ma10_p31390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37565360:37566643:1 gene:Ma10_g31390 transcript:Ma10_t31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVEGRSHHTTWDAAVAAEEQAHEELTKNTLRLRIKCPKTGDEDREAAAQVAVSPSGDSGVIVDQSTGGADGDLAGDEDANAESASEVKEVVDEGCGSFKRKHANRRAVVPTCPECGKTFPSDKSLFGHLRCHPERDYRGVNPPPGARKKPQPDAYSSIARVPPTTKWSTARRGRKGTAGDRDDEAIAADTLLLLADGKPQRPETTITTEGEDTDTKQIAGTHFAGTDGIGWDQKSADMDAGSYGNELISSYKRSKKRKIKELELVNGSGTSVRCRRYQCSVCFKTFSSHQALGGHRASHNKKKSNPVEAAAIPDENEGGNPKHGEDLIRANGAVIKAEMAEHRCTNCNLTFRSGQALGGHKRRHFNELQHRAPSSSPHSSESDKGANGLLQNRVTSSSAHSSESYKGAKHGLFDFDLNEVPEL >Ma08_p27490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39710854:39714090:-1 gene:Ma08_g27490 transcript:Ma08_t27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGTSKKSRLSWSKSFVRRWFNIKSKAQDLRSDDFGRGGGEEWRGSFTGTEAHSVKKSKIERLSRKNRDQARRGKIDLDAAEVTETLHYRIFAATWNVGGKSPPSNLNLDDWLHTSPAADIYVLGFQEIVPLSAGNVLGTEDNAPAKKWIALIRKALNNLPGNSSSGSYRTPSPLPNPVVELDDDFEGASMRQRNLFHRRSFQSLSRSLRIDGDILAPQPRLDRRHSVCDRVIFANRPSDYDPNYRWGGSSDDENISGDYSPGTTAYCSPVSYGYGASSSIEDRERAGHSRYCLVASKQMVGIFLTIWVRGDTRDSIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLSSGQKDGDELRRNSDVMEILRKTRFPPVQGFCDERSPETIPEHDRIIWLGDLNYRIALSYRSAKALVEMRNWKALLEKDQLRIEQRSGRVFQGWNEGKIYFPPTYKYSNNSDRYTGDDMNLKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVSSIFTAEVESINHSRIQNMNCSSAQVDIEELLPFSSGYTELSFF >Ma05_p27220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38614456:38615706:1 gene:Ma05_g27220 transcript:Ma05_t27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDPPEPPSNGGAAVPTEPPAGPFTGSKRQRRPSVRLGEIGDQPAAIPSDHHLRRHKHWKVTSTAPGDHTKPRPYHHHAAYRHTSKTRHLTALAPGEDDGADIFPPPPPPPMSDDGALLPVDDNVDPFGAGGRRVNRDPKSWRGARRVRSSWVSKADEGMEGPDFKMGGGEDAADDGFPEGSGSPSERNDRRAARVRVSESRDAGVAVEGDFPSETDGGDWNDRNGLWRSGEDGGVRSWLEGLGLSRYAPVFEIHEVDDDVLPLLTLEDLKDMGINAVGSRRKMFCAIQRLRKNMGS >Ma10_p07970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22311775:22313409:1 gene:Ma10_g07970 transcript:Ma10_t07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNINHHQAGGVPIRSIGYAAESAGKPAVSTDLNRLCLQTTSSDTSQYKQKEVDSLMAWMSKFRKKADSYMKGIKYHVSLGPKISETVKGKLSLGAKILQAGGVERVFRQNFSVAKGEKLLKAFQCYLSTTAGPIAGLLFISTDKIAFRSDRSLRITSPKGNLARVPYKVLIPVGRVKRASLSENSDKPHQKYIQIVTGDDFEFWFMGFLCYQRSLKYLRRAISRSQVGVLQ >Ma04_p28940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29927819:29933804:1 gene:Ma04_g28940 transcript:Ma04_t28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLRIPLLLGLLLAVVVAGLVDRSDGGVTSSFVRNAKKAIDMPIDSDVFRVPPGYNAPQQVHITQGNHDGSAMIVSWVTEAEPGSSKVLYGTDSNKLIFSAEGKHTRYEFYNYTSGYIHHCTIRKLKHDTKYYYAVGIGHTVRKFWFTTPPKVGPDVPYTFGLIGDLGQSYDSNSTLTHYEANPKGQAVLFVGDLSYADNYPNHDNVRWDTWGRFVERSTAYQPWIWTAGNHEIDFAPEIGETVPFKPFIHRYHVPYRSSGSTAPFWYSIKRASAYIIVLASYSAYGKYTPQYKWLEEELPKVNRSETPWLIVLMHSPWYNSYNYHYMEGESMRVMYEQWFVQNKVDVVFAGHVHAYERSHRVSNIAYNIVNGKCKPVRDESAPVYITIGDGGNLEGLASNMSEPQPSYSAFREASFGHAVFEIKNRTHAYYTWHRNQDGDAVAADSMWFYNRYWKSADEIPAV >Ma09_p23480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35323349:35326125:1 gene:Ma09_g23480 transcript:Ma09_t23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWSEELQAFTYPCPCGDLFQITKEELRLGEEIARCPSCSLFITVVYNPEDFAADPTPDPNSRKKTPAQPSPVAVA >Ma06_p22570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:18927500:18928498:-1 gene:Ma06_g22570 transcript:Ma06_t22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASARESRLYGPHSVENRWEYINAGLHVFAAALLTAGFSAELPGRSNDEVDLAVILVALALLAVVNAHDLVAHMAGIDYQFGLFGYDLQLGLVELAVPLLQMLGSIVTFTGILFLFIQEEKGFDYKMEKDAVNMLIAGPLLWVIGSIHNACQIYERADGDTQILQSGVYVPFLMGSLLFFVAGIFNRQDLRGSTHQEARITAISWAWLSTFGGLLFLVGGLTNVAKVFKMQQRDGLRLEKLRGSAQERLFRDREGRFPILENSRRRPGEEASTAAAPRG >Ma07_p16390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13277265:13279982:-1 gene:Ma07_g16390 transcript:Ma07_t16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSAIKAGYWPTWTFSYSPPSSIDLSYFTHVFYAFVQVDPTTSELVITPDGDRMLRNFAAAIHAHDPPVMALISIGGGGSHSAFAPLAADPAARSAFIHSTVAFAREYGIDGFDLDWEFPKDDKEMADLGELLLEWRAAVESEAVATGRPRLLLTSAVYFAPRFFLSSGRRSYPIDRLAAGLDWINAMCYDLHGSWDTSATGEHAALYDPSSNVSTSYGLESWVAAGMPREKVAMGMPLYGKTWLLKDPEQHGVGAPTVGVGPGKDGVLVYAKVEDFNRENGATVVHDEAMVAAYSYAGRTWIGYDDPWSVTRKVEYARRLGLGGYFFWAIGYDDEWHVSRAGNGSIIIHRDGKGRRKLTELTLFELAAWSAWGH >Ma07_p16390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13277265:13279982:-1 gene:Ma07_g16390 transcript:Ma07_t16390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSAIKAGYWPTWTFSYSPPSSIDLSYFTHVFYAFVQVDPTTSELVITPDGDRMLRNFAAAIHAHDPPVMALISIGGGGSHSAFAPLAADPAARSAFIHSTVAFAREYGIDGFDLDWEFPKDDKEMADLGELLLEWRAAVESEAVATGRPRLLLTSAVYFAPRFFLSSGRRSYPIDRLAAGLDWINAMCYDLHGSWDTSATGEHAALYDPSSNVSTSYGLESWVAAGMPREKVAMGMPLYGKTWLLKDPEQHGVGAPTVGVGPGKDGVLVYAKVEDFNRENGATVVHDEAMVAAYSYAGRTWIGYDDPWSVTRKVEYARRLGLGGYFFWAIGYDDEWHVSRAGNGSIIIHRDGKGRRKLTELTLFELAAWSAWGH >Ma07_p16390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13277203:13278675:-1 gene:Ma07_g16390 transcript:Ma07_t16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRHVAFLLLITLFFPSIFSDAGMAASSPSAIKAGYWPTWTFSYSPPSSIDLSYFTHVFYAFVQVDPTTSELVITPDGDRMLRNFAAAIHAHDPPVMALISIGGGGSHSAFAPLAADPAARSAFIHSTVAFAREYGIDGFDLDWEFPKDDKEMADLGELLLEWRAAVESEAVATGRPRLLLTSAVYFAPRFFLSSGRRSYPIDRLAAGLDWINAMCYDLHGSWDTSATGEHAALYDPSSNVSTSYGLESWVAAGMPREKVAMGMPLYGKTWLLKDPEQHGVGAPTVGVGPGKDGVLVYAKVEDFNRENGATVVHDEAMVAAYSYAGRTWIGYDDPWSVTRKVEYARRLGLGGYFFWAIGYDDEWHVSRAAWSAWGH >Ma05_p18760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25104278:25117379:1 gene:Ma05_g18760 transcript:Ma05_t18760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRSGSIPPLDIEQILVEAQDRWLRPAEICEILQNHRKFHIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENEKFQRRSYWMLDEELMHIVLVHYREVKDKPSLSYTKDVEEVVQVTQMDNLFTSNSATSQGQPPSQTMDTDSPSSAHTSEYEDAESAYMKRNLMQQIFIKQVPDTTLSLRCGSMMIDD >Ma05_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25104278:25117379:1 gene:Ma05_g18760 transcript:Ma05_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRSGSIPPLDIEQILVEAQDRWLRPAEICEILQNHRKFHIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENEKFQRRSYWMLDEELMHIVLVHYREVKDKPSLSYTKDVEEVVQVTQMDNLFTSNSATSQGQPPSQTMDTDSPSSAHTSEYEDAESAYMKRNLMQQIFIKQVPDTTLSLRCGSMMIDD >Ma05_p18760.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25104278:25117379:1 gene:Ma05_g18760 transcript:Ma05_t18760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRSGSIPPLDIEQILVEAQDRWLRPAEICEILQNHRKFHIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENEKFQRRSYWMLDEELMHIVLVHYREVKDKPSLSYTKDVEEVVQVTQMDNLFTSNSATSQGQPPSQTMDTDSPSSAHTSEYEDAESAYMKRNLMQQIFIKQVPDTTLSLRCGSMMIDD >Ma05_p18760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25104278:25117379:1 gene:Ma05_g18760 transcript:Ma05_t18760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRSGSIPPLDIEQILVEAQDRWLRPAEICEILQNHRKFHIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENEKFQRRSYWMLDEELMHIVLVHYREVKDKPSLSYTKDVEEVVQVTQMDNLFTSNSATSQGQPPSQTMDTDSPSSAHTSEYEDAESAYMKRNLMQQIFIKQVPDTTLSLRCGSMMIDD >Ma05_p18760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25104278:25117379:1 gene:Ma05_g18760 transcript:Ma05_t18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRSGSIPPLDIEQILVEAQDRWLRPAEICEILQNHRKFHIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKVGSVDMLHCYYAHGEENEKFQRRSYWMLDEELMHIVLVHYREVKDKPSLSYTKDVEEVVQVTQMDNLFTSNSATSQGQPPSQTMDTDSPSSAHTSEYEDAESAYMKRNLMQQIFIKQVPDTTLSLRCGSMMIDD >Ma04_p00130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:195104:199358:-1 gene:Ma04_g00130 transcript:Ma04_t00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLHAFVPLRNPNPNLFATRAVASFSFPLGAVPRRLDSSNSPLSRRGLGTRLVLVDRRPTKIIPLAASDDEPEEESDAELNARAAQDQWKEALEHFKTEALKVKAVSEEAYGVYSKKAMEILMDTSEKLKIQADKAQQDLSIIAKEISQEGNKYLAAAAKNSPDSVKEILETYASVDELKNISSIRDFYFGIPYGSFLVIGGFMYFMLTGSIPAIRFGVVLGTAILALSVSSLRSWKNGKTTPLLLIGQTAISAIIFCRQWLLCSQRGSFPNLLLLLISGMMAGFYAYRIIIDHYNKGSNVEQSSES >Ma04_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:195104:199365:-1 gene:Ma04_g00130 transcript:Ma04_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLHAFVPLRNPNPNLFATRAVASFSFPLGAVPRRLDSSNSPLSRRGLGTRLVLVDRRPTKIIPLAASDDEPKHLDIKEEESDAELNARAAQDQWKEALEHFKTEALKVKAVSEEAYGVYSKKAMEILMDTSEKLKIQADKAQQDLSIIAKEISQEGNKYLAAAAKNSPDSVKEILETYASVDELKNISSIRDFYFGIPYGSFLVIGGFMYFMLTGSIPAIRFGVVLGTAILALSVSSLRSWKNGKTTPLLLIGQTAISAIIFCRQWLLCSQRGSFPNLLLLLISGMMAGFYAYRIIIDHYNKGSNVEQSSES >Ma05_p07290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5301530:5303432:1 gene:Ma05_g07290 transcript:Ma05_t07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAFVVLLSLLGLSAVRAEDPYLYFTWNVSYGTISPLGVPQQGILINGEFPGPNINSTTNNNIVINVYNNLDEPFLFTWNGIQQRKNSWQDGTAGSNCPILPGKNFTYHFQVKDQIGSFVYFPTLGMQRAVGGFGGLRVNSRLLIPVPFDDPADDYTVLIGDWYTKSHKILEKILDAGRAVGRPAGLLINGHSGKNGAGKDEPPLFTMEAKKTYRYRICNIGMKVTLNFRIQSHSMTLVEMDGSHTVQNNYDSLDIHVGQCLSVLVTANQSPRDYYMVASSRFTKYMLTVTGIVRYAGSQTPPSQDLPEAPAGWAWSFNQWRSFRWNLTASAARPNPQGSYHYGSINITRTIRLVNTIGNVDGKRRYALNGVSHQDTDTPLKLAEYYGIADKVFKYDIISDEPPADGAQIKLAPNVVNTTFRTFVEIIFENPERSMQAYHLDGYSFFPVGMGHGKWTPASRKTYNLLDAVSRHTIQVYQRSWSAVMLTFDNAGMWNLRSELWERRYLGQQLYFTVQSPARSLRDEYNMPDNALLCGDVANLPKPPSYV >Ma05_p08790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6473746:6475278:1 gene:Ma05_g08790 transcript:Ma05_t08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARSPAGQSGQLPDLSHSVKLEYVRLGCRYLINHILTLLLISLVAAVAIEALSTQWLDLEYDLVSVLVVFVVVVLGSTVYLMTRPRPVYLVDYACHVPPHELQIPLQLVKERCGKFGKFDEPTVEFQLKVLERSGLGEETYAPKAMHSVPPRPSMALAREETEQVMFGALDALFENTAVNPKDVGVLVVNCSLFNPVPSLSAMIVNRYKLRSTVRSFNLGGMGCGAGVIAVSLAGDLLQVHHATYAVVVSTENITQNWYAGSRKSMLIANCLFRVGGAAVLLSNRLADRRRAKYRLVHVVRTHRGADDKAYRCVYQEQDDDGNVGVSLSRDLTAIAGGALKANITTLGPLVLPVSEQLLFLAKLVAKKLWSDKTKPHIPDFKRAFDHFCVHAGGRAVIDELEKNLELRPVDVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRKGHRVWQIAFGSGFKCNSAVWQALRNVQPSPHGPWEDCIHRYPVQTSHRIASPRQDAQPQPQQH >Ma11_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18695646:18697742:1 gene:Ma11_g13650 transcript:Ma11_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMGGLVKAVSERPQQPEKKPRGRPSPGRAVAVICFACFLVAFVFSRPVSFLPSEKKTRFVYSSSSSSSSSSSCESSLPRSSQDCESRTTSGKANPRDIIREVSKTHQAIRSLDKSISSLEMELAVARMNSNGGSSSSGHGKGLKKAFAVIGINTGFGSKKRRESVRQTWMPRGTKLKRLEEEKSVVVRFVIGHSATPGGALDRAIDAEDAETKDFLRLDHLEGYHELSSKTRVYFATAVAIWDADFYLKVDDDVHVNLGILTTNLARYRGKSRVYMGCMKSGPVLFQTGVKYHEPEHWKFGEEGNKYFRHATGQIYAISKDLALYISTNAPILHKYANEDVSLGSWLIGLEVEHIDDRSMCCGTPPDCEWKTRSGNVCVASFDWSCSGVCKSVDRMKDVHKSCGESDAAIWDVVL >Ma11_p13650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18695646:18697742:1 gene:Ma11_g13650 transcript:Ma11_t13650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMGGLVKAVSERPQQPEKKPRGRPSPGRAVAVICFACFLVAFVFSRPTSGKANPRDIIREVSKTHQAIRSLDKSISSLEMELAVARMNSNGGSSSSGHGKGLKKAFAVIGINTGFGSKKRRESVRQTWMPRGTKLKRLEEEKSVVVRFVIGHSATPGGALDRAIDAEDAETKDFLRLDHLEGYHELSSKTRVYFATAVAIWDADFYLKVDDDVHVNLGILTTNLARYRGKSRVYMGCMKSGPVLFQTGVKYHEPEHWKFGEEGNKYFRHATGQIYAISKDLALYISTNAPILHKYANEDVSLGSWLIGLEVEHIDDRSMCCGTPPDCEWKTRSGNVCVASFDWSCSGVCKSVDRMKDVHKSCGESDAAIWDVVL >Ma08_p06490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4379465:4389115:-1 gene:Ma08_g06490 transcript:Ma08_t06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTENRKRRLAVDFRLPFSPHPVFDLAAEPSPPSKKARFPSPPVETRPLTSPTPAAGGTPSSPLRRFPPAAPLPRPVHAPQRVLRAFGLGSALPSRSRLPESSPTQERDSEMGNLVARFLQGKKTASFTPWRTGKRGDASARPRGSQGSEDNDGSDEVLGLDQYKKLVNSVQERNLFAPTPSGLSELTIVTQKPEQTPNLHLVNRKVEDARKLVVESTSLWEEKASVSRSPLYKELYVESARRHDSKLRNLELEVKLAEQKVSSFRFAHQEQEPNINEDLNDAFLPLTDEEEEDVYRALNGTNSREVLVVHEPSNIEITREVLRCLSHKAWLNDEVINLYLELLKEREKREPKKFLKCHFFNTFFFKKLTSGSNGYDYKAVRRWTTQKKLGYKLVECDKIFVPIHGKVHWCLAIIDVKKKKFQYLDSLGGIDTTVLQVLARYFMDEAKDKSENQVDALSWDLEAVRDLPLQKNGWDCGMFMLKYADFYSRDLSLCFNQENMPYFRMRTAKEILRLRAE >Ma08_p06490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4379465:4389115:-1 gene:Ma08_g06490 transcript:Ma08_t06490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTENRKRRLAVDFRLPFSPHPVFDLAAEPSPPSKKARFPSPPVETRPLTSPTPAAGGTPSSPLRRFPPAAPLPRPVHAPQRVLRAFGLGSALPSRSRLPESSPTQERDSEMGNLVARFLQGKKTASFTPWRTGKRGDASARPRGSQGSEDNDGSDEVLGLDQYKKLVNSVQERNLVVSGRKSVELVFAPTPSGLSELTIVTQKPEQTPNLHLVNRKVEDARKLVVESTSLWEEKASVSRSPLYKELYVESARRHDSKLRNLELEVKLAEQKVSSFRFAHQEQEPNINEDLNDAFLPLTDEEEEDVYRALNGTNSREVLVVHEPSNIEITREVLRCLSHKAWLNDEVINLYLELLKEREKREPKKFLKCHFFNTFFFKKLTSGSNGYDYKAVRRWTTQKKLGYKLVECDKIFVPIHGKVHWCLAIIDVKKKKFQYLDSLGGIDTTVLQVLARYFMDEAKDKSENQVDALSWDLEAVRDLPLQKNGWDCGMFMLKYADFYSRDLSLCFNQENMPYFRMRTAKEILRLRAE >Ma11_p00930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:674197:676053:1 gene:Ma11_g00930 transcript:Ma11_t00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALTLLALLLALAWLTLPASATSASFVGVNYGRLGDDLPPPQAVPRLITSIGIGRVRIYDADPAVLHAFANTGIELVVGLPDSCLPAMAADPDEALAWARANIQAYLPATKIVAVTVGNEVLTNAHDTGFALARCLVPAMEALHSALATLGLDGVVAVTTAHSLAVLATPSYPPSAAVFRRELLPYICPLIAFHARTGSPFFANAYPYFAYQRDPSQIALEYALLDPSAASITDPGSGLRYANLLHAQVDAVYHAIAAAGGDAGKRVEVWVSETGWPSAGDPDEIGATPENAGRYNSNLIRLVCEKKGTPLVPGTPLRAYIFALFNENQKEGPKSERNYGLFKADGIPAYHLDIKMPPEDDTTSGGGSESGGGDGGYEGEPDGASSSGYFGISSAAQQWRTKASVAAAAAAVAAIFLHLPSLGGFDS >Ma07_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10223824:10224943:-1 gene:Ma07_g13600 transcript:Ma07_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLAKRLWHMIRAAYCTLRKGFSKHKLVTDVHFLLERSKLAGKAIGNLVAFYHDHEHHHHIGAHVSSAFSCRSMDPSLSFYNPKEVEFSCSNTPSYPSFLLAAKWKNRRGRRHGCYNLDAAAIAKQLEMLRSEISDAESSSIMASPSPAPMWSFGKSPAGVRQLRITDSPFPISEEGGEADGHVDREAEEFIKMFYEQLRLQRSLPVTPEYQCRKPA >Ma04_p35250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34117507:34118960:1 gene:Ma04_g35250 transcript:Ma04_t35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFENRFFASVPYKNDLPISQAQQQNTHLMGLRKRISSFSGKIQSSSSSASTLWAFRKSASMPSIGDFAGRPLRRWWDSWWGWILSRKPAFARDMEMNEMETAALGWGSNGSWRHIFGRVRSEIGKLMRPNVLPTTQPWRYDSFSHARNFDDGKLRAVDD >Ma06_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:177268:178838:-1 gene:Ma06_g00240 transcript:Ma06_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLLSNRLLSKSIHRLLLVSQIPNCTHLHPFAPSRRLCDSSSQNPNPTFDPNSDARGRTPLEKQFDSWVDRLRPGFTADDVAAAIRAQADPDLALDLFRWTALRPGYRHTAPAYLAMLQVAVSGRRYAHAEALVDEVLAGACSPDLPLFNAAVRFCCSRRHLFSRAFDLFKKMLQRSTTAHTSCRPSIETYSMLLAAVLRRFGKPPVSYVYLHSVRSLARQMKASGVIPDTFALNLIIKAYSKCLEMDEAIRVFKEIGLYGCEPNEFSYGYIVQGLCQKGSVGKSMDYFKEMRAKGLVPTATVYMALVCGLALERRLDEGIEVVFDMLPNGMAPDLLTYRTVLEEMCREGRADAAFELLEELGRTKGAMDRKTYSDLLEGLHWLCQPRE >Ma09_p21010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31504669:31519025:-1 gene:Ma09_g21010 transcript:Ma09_t21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGVSIRVRDDHVVIDNGILQLTLSNPEGLITGVRYNGVDNLLEVLNKEGNRGYWDLVWSEPQGSGIFDVIQGTHFEIIHEDENQVEVSFTRNWDPSLQGKLVPLNIDKRFIMLRGCSGFYTYAIYEHREGWPDFDLAETRVAFKLRKDKFRYMAIADNRQRFMPMPDDRMPNRSQKLAYPEAVLLTNPINPDQKGEVDDKYQYSCDNKDIKVHGWMSLEPPIGFWQITPSDEFRSGGPVKQNLTSHVGPTTLAMFLSAHYSGEDLVPKFRNGEYWKKVFGPVFIYLNSTMDGPDRQLLWDDAKLQTLTEVESWPYEFPVSEDFQKCDQRGSVNGRLMVRDKFIDEEDIIGDAAFVGLALPGEAGSWQRECKGYQFWTRADNKGNFTITNIRTGDYNLYAWVPGFIGDYRLDVTMTITSGNKINLGDLVYEPPRDGPTLWEIGIPDRSAAEFFIPKPNPIYVNKLYVNHPDRFRQYGLWERYADLYSDSDLIYSVGESDYKKDWFFAHVTRKTGENSYQATTWQIKFQVDYVNQTGAYKLRVALASATISELQVRFNDATVNPPHFTTRLIGRDNSIARHGIHGLYWLFNIDVQSTWLIQGDNTIYLTQTKSASPFQGIMYDYIRMEGPPGQ >Ma02_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21161847:21167135:-1 gene:Ma02_g12420 transcript:Ma02_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGGGGVVKDVGSKAQLDEALHGAAPVVVHFWASWCEASKQMDQVFAHLATDFPQALFLRVEAEEQPEISEAYAVAAVPYFVFFKDGKSVDKLEGANPSILANRVAKVAGSTSLVESASPASLGIAAGPTVLEAVKDMAKENNSSKNENANSDLSGLTTRLWQLVNSHPVFLFMKGSPEQPRCGFSRKVVEILKDEGVEFGSFDILTDNEVREGMKKFSNWPTFPQLFCKGELLGGGDIAVAMHESGELKDVFRDHGVPINSKETKVAESAKDVSETSIPEKGGGISDSTGLNVELASRLRTLVNSSPVIIFMKGRPEEPKCGFSHKVIEILQQEKVAFESFDILSDDEVRQGLKIFSNWSSYPQLYIGGELIGGSDIVMEMLKSGELKKILAEKGIVPKVTLEDRLKNLVTSSPVMLFMKGTPDAPRCGFSSKVVNALQDEGISFGSFDILTNEEIRQGLKTYSNWPTYPQLYYKGELIGGCDIVLELQNSGELKSTLSE >Ma07_p23440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31093892:31098786:1 gene:Ma07_g23440 transcript:Ma07_t23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSMLFIALPFIYLMLYLPPLTTADLSSDEEALLAFAASVPHGRKLNWSSQNPICSSWVGVTCTPDKTRVHTLRLPAVGLFGSIPSDTLGKLDALEVLSLRSNRLILNLAPDVPSIPSLHSLYLQHNNLSGIVPDLLSSNLTFLDLSYNLFVGEIPLTVQNLTLLTALFLQNNSLSGPIPNLQLPKLRRLNLSYNNLSGPIPISLQKFPVESFVGNPFLCGTPLAQCFGVPPSSPPFPAEAPTKPKRSFWKKISTGVIIAIAAGGSALLLLLIVLILVCFSKRKRRQGSGESKGKGSLGGRSEKPKEEYSSSVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSHGTTYKAVLEDGTTVVVKRLKEVIIGKREFEQQMEMIGSVRPHPNVLPLRAYYYSKDEKLLIYDYVPSGNLSSLLHGNKGGAGKTPLDWESRIKVSLGAARGIGCIHIDGSGKFIHGDIKSNNILLTQELDACVCDYGLAPLMNSAATPSRIVVGYRAPEVIETRKYTQKSDVYSFGVLLLEMLTGKAPLQSPGRDDVADLPRWVQSVVREEWTAEVFDVELMRYPHIEEEMVQMLQIAMACVSKAPDQRPKMEEVIRMIEDIQHSDSENRPSSEKPS >Ma02_p06480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17469619:17478336:-1 gene:Ma02_g06480 transcript:Ma02_t06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSRWRYLHPAYYLKRPKRLAFLFIVFVVATFAVWDRQSLVREHEAEITKLQEQLNRLQDQLWNAGDSKQLREDSTHTTDKVTHNDNVWESEIDPINDQRREKVKEAMLHAWNSYVKYAWGQDELQPQTKNGVNSFGGLGATLVDSLDTLYIMGLKDEFQKAREWVATSLDFNKDYVASVFETTIRVVGGLLSAYDLSGDKIFLEKAKDIADRLLPAWDTPTGIPYNRINLAHGNPHNHGWTGGESILADSGTEQLEFIALSQRTGDPKYQQKAEKVIRQLQKTYPSDGLLPIYINPHSGAAASYSTVTFGAMGDSFYEYLLKVWIQGNKTEAVKHYREMWETSMEGLLSLIRKSTPSSFSYICEKNGGSLSNKMDELACFVPGMLALGSSGYGSEKAEKILSLSKELVWTCYNFYQSTPTKLAGENYFFHGGQDMSVGTSWNILRPETVESLVYLWRFTGNKTYQEWGWNIFQAFEKNSRIDSGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLKITPRNDKVENDEGAEAKPQSKAVIRPFGRKQGRSDHN >Ma08_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33068599:33071593:1 gene:Ma08_g19260 transcript:Ma08_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASHSLSFASRRPLSASRPTKTQTPTALPVHRHPIGRSALSSSQPPRRPFKACVNVADSTSKTINGDEENQMSGAVDKKDLPAMKTLIQAYKKAIIDGDEKSAQENETLICITEIEKNNLTAKFAEITAEIASGKESFLRLKADFENFRKQSEKDRLNFTSDIQGDVIESLLPMVDSFENTKKEIKPETEKEKKIETSYQGIYKQFVEVMRSLGVALVETEGKPFDPSIHEAIAREESQQFKAGIVSQELRRGFVLGERLLRTATVKVSTGPGQVKGSSDAERPLEQTLEAKSDEDSSPTTSNS >Ma10_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7844508:7844974:-1 gene:Ma10_g02390 transcript:Ma10_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEKEMKMTMHMTFFWGENVEILFSGWPGDRGLGMYLLALLFVLAISALTDFLSTVSRRRCGGMAAVLHAVRMGLGYLVMLAVMSFNGGILVAAVAGHALGFLLSRSLLRRAESNHADDTGSASAGQLPPSKIISSRS >Ma01_p10790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7751550:7761999:-1 gene:Ma01_g10790 transcript:Ma01_t10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEGNPNRFFVAVHVGAGFHSPANEKAYRGAMKRACHAAAAVLRKGDGGCLDAVSAAIQVLEDDPTTNAGRGSNLTEKGHVECDASIMDGSGAFGAVGAVRGVRNAIQIATCLAKEQTTGSSLLGRIPPMFLVGEGAREWGKSKGLTIPTSILEEDSWLVTEKAKSQWMKYKAMLADAKKKSECSLEVPTLACRTASLPTDTEVDESQSSIVGQNKELDGHSFMKNNDKEDFVMDTVGAVCIDSNGHVASGASSGGIALKVDGRVGLAAMYGSGCWASVKDPFGSPFVVGCCATGVGECLIKGFAARECCVSSSLSQSGPVSACMKILRSLIHSSSQKSHDTGGGVLLLQADTVKIAENLSSLKAVEVVAAYSSSSFGVGYFGSFMDRPKVSVLRAAEPRDSSKVSQFATRINFDAL >Ma01_p10790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7751550:7761939:-1 gene:Ma01_g10790 transcript:Ma01_t10790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCCFSCYSSVGGRGSNLTEKGHVECDASIMDGSGAFGAVGAVRGVRNAIQIATCLAKEQTTGSSLLGRIPPMFLVGEGAREWGKSKGLTIPTSILEEDSWLVTEKAKSQWMKYKAMLADAKKKSECSLEVPTLACRTASLPTDTEVDESQSSIVGQNKELDGHSFMKNNDKEDFVMDTVGAVCIDSNGHVASGASSGGIALKVDGRVGLAAMYGSGCWASVKDPFGSPFVVGCCATGVGECLIKGFAARECCVSSSLSQSGPVSACMKILRSLIHSSSQKSHDTGGGVLLLQADTVKIAENLSSLKAVEVVAAYSSSSFGVGYFGSFMDRPKVSVLRAAEPRDSSKVSQFATRINFDAL >Ma09_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9714774:9721009:-1 gene:Ma09_g14230 transcript:Ma09_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAHRKGPPRQESPRAKVWLYLTLLTLQYGAQPLISKRFIRRDVIVTSSVLTCEMAKVICALFLLAKEGSFKRLWKEWTLVGALTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLFFTAFFTYLILGQKQSPKQIGALTLLIVAAILLSVGESSGKASASSNSDQVLLYGIIPVMIASVLSGLASSLCQWASQVKKHTSYIMTVEMSFVGSLCLLASTYKSPDGEAIQKYGFFHGWTVWTLIPVVMNAVGGILVGLVTAHAGGVRKGFVIVSALLVTAMSVFCRGLL >Ma09_p14230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9714752:9721009:-1 gene:Ma09_g14230 transcript:Ma09_t14230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAHRKGPPRQESPRAKVWLYLTLLTLQYGAQPLISKRFIRRDVIVTSSVLTCEMAKVICALFLLAKEGSFKRLWKEWTLVGALTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLFFTAFFTYLILGQKQSPKQIGALTLLIVAAILLSVGESSGKASASSNSDQVLLYGIIPVMIASVLSGLASSLCQWASQVKKHTSYIMTVEMSFVGSLCLLASTYKSPDGEAIQKYGFFHGWTVWTLIPVVMNAVGGILVGLVTAHAGGVRKGFVIVSALLVTAMLQFLFDGKPPSVYCLAALPLVISSIVIYQKYPYVGRKKED >Ma06_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8581240:8586908:-1 gene:Ma06_g12350 transcript:Ma06_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRTLTRAHSVRERIGDSLSSHPNELVALFSRFINQGKGMLQPHQLLAEYAAAFSEADREKLKDGAFEDVIKAAQEAIVIPPWVALAIRPRPGVWEHVRVNISELAVEELTVPEYLHFKEELVDGSSQNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRQHNYKGMSMMLNDRIQSLSALQAALRKAEQHLLSIPSATPYSEFNHRFQELGLEKGWGDTAQRVYENIHLLLDLLEAPDPCTLENFLGTIPMMFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRALENEMLLRIKRQGLDITPRILIVTRLLPDAVGTTCGQKLEKVIGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETYTEDVANELAGELQTTPDLIIGNYSDGNLVSTLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFENQYHFSCQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADLSIYFPYTEKQKRLTSLHPEIEELLFNPEDNTEHKGVLNDTKKPIIFSMARLDRVKNLTGLVEFYGRNERLKELVNLVVVCGDHGKESKDLEEQAEFKKMYDLIEKYNLNGHIRWISAQMNRVRNGELYRYIADTKGAFIQPAFYEAFGLTVVESMTCGLPTFATVHGGPGEIIVDGVSGFHIDPYQGDKAAEIIVNFFEKCKEDPTHWDKISLGGLKRIEEKYTWKLYSERLMTLSGVYGFWKYVSNLDRRETRRYLEMFYALKYRNLAKSVPLAVDGEAINGSK >Ma06_p12350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8581240:8586920:-1 gene:Ma06_g12350 transcript:Ma06_t12350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRTLTRAHSVRERIGDSLSSHPNELVALFSRFINQGKGMLQPHQLLAEYAAAFSEADREKLKDGAFEDVIKAAQEAIVIPPWVALAIRPRPGVWEHVRVNISELAVEELTVPEYLHFKEELVDGSSQNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRQHNYKGMSMMLNDRIQSLSALQAALRKAEQHLLSIPSATPYSEFNHRFQELGLEKGWGDTAQRVYENIHLLLDLLEAPDPCTLENFLGTIPMMFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRALENEMLLRIKRQGLDITPRILIVTRLLPDAVGTTCGQKLEKVIGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETYTEDVANELAGELQTTPDLIIGNYSDGNLVSTLLAHKLGVTQCTIAHALEKTKYPNSDIYWKKFENQYHFSCQFTADLIAMNHADFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADLSIYFPYTEKQKRLTSLHPEIEELLFNPEDNTEHKGVLNDTKKPIIFSMARLDRVKNLTGLVEFYGRNERLKELVNLVVVCGDHGKESKDLEEQAEFKKMYDLIEKYNLNGHIRWISAQMNRVRNGELYRYIADTKGAFIQPAFYEAFGLTVVESMTCGLPTFATVHGGPGEIIVDGVSGFHIDPYQGDKAAEIIVNFFEKCKEDPTHWDKISLGGLKRIEEKYTWKLYSERLMTLSGVYGFWKYVSNLDRRETRRYLEMFYALKYRNLAKSVPLAVDGEAINGSK >Ma10_p27330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34665589:34668750:-1 gene:Ma10_g27330 transcript:Ma10_t27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITDQSVRPALVAGSSKLRYPLRSEARLKDGKPMIAEPVSSSASKRGRSSPAVSKSVSVLDLSGKEKCRKPSRRLSIPIKPAASPCPTIVGSITPISETRAKRSNVHANSDTPLLEVSKSINRRKFNVLSSVSYWLTQIKLSESSSRHSISLGFFKLALESGCEPIDRMREELISYIQRHSLVAEKEDSVKDLLQRYNIVEDTEKLKLSETSSKLPEEVTLRPDQERHGISSTSRTGNLTPKLLNVSTPVVVNSNRNDGIQKRLPSYTRRGLYNKIEANIAADKDDKSTSTQKKSQKPRTKPINGNEKIKRSLNNSPTEPGDKADILPTEEASLSHEDKENMDVHVSAEANSLEEIQVN >Ma08_p27640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39821554:39824280:-1 gene:Ma08_g27640 transcript:Ma08_t27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTKAVSSKIGLHKEHPSRHQVLRMLLAEILVGDETGMIVFTARNEQDTAVILENAKINMSEGSMRLAINK >Ma07_p18140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24538149:24553462:1 gene:Ma07_g18140 transcript:Ma07_t18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPLAAADDEVEAPAAAEGKATRCPPAAAGEVLLVPPLNFAMVDHGVFRSGFPDAANFGFLRTLRLRSVLCLCPEPYPEANVNFLRANGIRLFQFGIDGCKEPFVNIPEDTIHEALRVVLDIRNHPIVIHCKRGKHRTGCLVGCLRKLQRWCLASIFDEYQRFAAAKARVSDQMFMERFDISGFKHLQASFSS >Ma05_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6167425:6167966:1 gene:Ma05_g08340 transcript:Ma05_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHANDPTVLNSSIALLQERFKQLQRVREMREERQLQGTAVRRQSCDREPPRWLFPGAAPGHHHRRHGEEHVEVQPLDASTSAGLLPHGSIAAQSSVMSNEADVDTSLHL >Ma11_p00470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:314410:317302:-1 gene:Ma11_g00470 transcript:Ma11_t00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEEQMLVPMHQRRNRSGEEAATVAEDPSIDTDKLSYEIFSILESKFLFGYDDPELWSTRVPPLAATAPAEASSFKNQRGKVCVLCIDGGGGCGMRGILPGKALAYLEQAIRTKSGDPVARISDYFDVAAGTGIGGVFAAMLFATRDGARPLFRGEDTWRFLADRGKRLFKKTPPSSSSSPGLFVRCLFPGGGGSTTTAAMEKAMKEAFGESLTLKDTVKPVLIPCYDLRSSAPLVFSRADALESESFDFRLWEVCRATWAELGRFEPAEITSVDGATACVGVDGGLAMSNPAAAAITHVLHNKQEFPFVRGVEDLMMLSLGCGEAGGGATPVVAETEYRKLRRWGPREWAQPIARIAANGAADLVDHAVALAFGQCRNSNYLRVQADISSMGRCSVEEVDHDASPGNVKLLLEAAEAMLRQKNVESVLFGGKMTREQSNMEKLDWFAGELVLEHERRSCRIAPTVAFKQPIPKSTSKS >Ma10_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30625460:30627800:1 gene:Ma10_g20480 transcript:Ma10_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLRTPLSDLSVLERQRTRAEWQQQGQEQSDYHPYDADFCMQNLLPVETSFRALHSCSGGGANENHGNVHGSNDCFSNAWPDIVSSMHCPVVGAAASVEETSVNASSSSSSRKRKSENTRRSKENSGGSDGKDDSNCKRIKEETGGGAAPPEAKRPNKRKEESADASKENDKAPKSDYIHVRARRGQATDSHSLAERVRRERISQRMKYLQDLVPGCSKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPMMDINIDSFFGGREINPTCKSGIIPMIGMSSELLDQSYLQFGSLQHDMFMDSLDLLLRPNVNPPAAVSDTSLGSCLNVNGSSVWNTNLQNVYGVEFQLGRGTALPFQSLQGNLLPNNLKMEM >Ma10_p20480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30625459:30627800:1 gene:Ma10_g20480 transcript:Ma10_t20480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLRTPLSDLSVLERQRTRAEWQQQGQEQSDYHPYDADFCMQNLLPVETSFRALHSCSGGGANENHGNVHGSNDCFSNAWPDIVSSMHCPVVGAAASVEETSVNASSSSSSRKRKSENTRRSKENSGGSDGKDDSNCKRIKEETGGGAAPPEAKRPNKRKEESADASKENDKAPKSDYIHVRRERISQRMKYLQDLVPGCSKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPMMDINIDSFFGGREINPTCKSGIIPMIGMSSELLDQSYLQFGSLQHDMFMDSLDLLLRPNVNPPAAVSDTSLGSCLNVNGSSVWNTNLQNVYGVEFQLGRGTALPFQSLQGNLLPNNLKMEM >Ma02_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22205582:22209273:1 gene:Ma02_g14050 transcript:Ma02_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRTVDLRSDTVTKPTEAMRAAMASADVDDDVLSGDPTAQRFEEEVARIMGKEAAVFVPSGTMGNLISVLVHCEVRGSEVILGDNSHIHIYENGGISSIGGVHPRTVKNNPDGTMDIDRIEAAIRHPDGALYYPTTRLICLENTHANCGGRCISAEYTDRVGELARKHGLKLHIDGARIFNASAALGVPVHRLVRAADSVSVCLSKGLGAPVGSVIVGTKDFITKARRLRKTLGGGMRQIGVLCAAAYVALQDNVVKLEDDHRKAKIFADGLKEIKQLRVDSSSVETNMVFFNINESSMISPISLCEALEKFGVLAMPASSTSVRVVIHYQISESDVHYALTSIKQAIQEILAVGTTK >Ma10_p25940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33844837:33847524:-1 gene:Ma10_g25940 transcript:Ma10_t25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVWLRLLASAILLLACLPGGAPDLAADAAALLAFREAVGRSALPTWNSSAPGAPCSWQGVACESGRVDELRLPGAGLIGQIPAALGNLTALHTLSLRFNALSGPLPPELAGLTELRNLYLQGNDFSGEIPPFVSSLKNLVRLNLAGNKFTGGIPLALNNLSRLGTLYLENNRLTGEIPVLDFPNLVQFNVSYNQLNGSIPAKLRSQPATAFLATGLCGGPLGRCPGEISPSPTAEGPAAGNADGAGENDHSKKKKLSGGAIAGIAIGAAALLLIVLVVLILLCRGKKARSSEAAAAGGKQMEMGAAAEPRDKSLGEGGANGNGVAAAAPAVDAASAAAGGKKLVFFGEGGTRPFDLEDLLRASAEVLGKGTFGTAYKAVLETGMTVAVKRLKDVNLQETEFREKMEAIGAIDHPNLVPLMAYYFSKDEKLLVYEYMPMGSLSALLHGNRGSGRTPFNWETRTGIALAAARGIEYIHSTGPSAAHGNIKSSNILLTKSYQARVSDHGLALLVGSASATARVAGYRAPEVTDTRKVSQKADVYSFGVLLLELLTGKAPSQALNDDGFDLPRWVQSVVKEEWTAEVFDPELLRYQNVEEDMVQLLQLATDCAAQYPDKRPSMPDVVARIEAISKSRSLASSYQDQPSIEDGDGMSPRP >Ma04_p07310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5307943:5310164:-1 gene:Ma04_g07310 transcript:Ma04_t07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLNQSSPVAEEDDWDTDGFVIPSLVISDSDLANTNVPGVTGPKPPANTTREAETIYLGPHGAPPQARQQESNASSHRQRFKHKLREADGRFPGTGRKNKVETLQQLVGSKVSSRSMLKTSPRDMAGSTLQ >Ma07_p00750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:629097:629716:1 gene:Ma07_g00750 transcript:Ma07_t00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHPIDSQRPFHLLLLVLILLSSSHVKLLVEGRSVFRLLEYADGRGEEKLMVRALIGSRPPICERRCMTCGHCEAVQVPVIPQERNPTRRFWGIATLRGDYSSNYKPLSWKCKCGNRIFNP >Ma06_p29160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30605670:30608703:1 gene:Ma06_g29160 transcript:Ma06_t29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDTETPKVVPSSENDLSSTSASGLTWKDTLISFRQQASIYGVAAGYCVSASLLSIINKWAVMKFPYPGALTALQYLTSAFGVLVCGWLRLVDHDSLDLITMWKFLPAAVIFYLSLFTNSELLLHANVDTFIVFRSAVPVFVAVGETVFLNQPWPSPRTWISLATIFGGSVIYVLTDYQFTITAYAWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGELKKIKHDISDESNWYSFSVVLPVAVSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTLIGTIGLLICMFGGVLYQQSTTKPKKAETEPKSHIRDEEQQQLLEMQAPAETDSTRQHIVSVDTK >Ma06_p35760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35504794:35511149:1 gene:Ma06_g35760 transcript:Ma06_t35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERDPSKFAARDGVVRQMLEVVQQEGWERLYGGLAPSVVGTAASQGVYYYFYQIFRNRAENAAQDRWKKGIGDGSVGMFQSLVVAALAGCVNVLLTNPIWVVVTRMQTHKKKSNRSPNHVLRSLPDEAIQLAVVEHQPYRTSHVVQELYDEAGFWGFWKGVIPTLIMVSNPSIQFMIYETLLKKIKRKRSTNTKGAEGLTALEIFLLGAVAKLGATLVTYPLLVVKARLQAKQGLDDDKRRQYTGTFDAITKMMRYEGLSCFYKGMGTKIVQSVFAAAVLFMVKEELVKATRILVTGELSNSKLRPP >Ma09_p29000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39604568:39605513:-1 gene:Ma09_g29000 transcript:Ma09_t29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSASYIRMVQHLIEQCLVFHMNKNECMEALAKHANIQPVITSTVWKELEKENEEFFQTYMKDQEEKALEMEAVERRIQKILAETAAKDSDKED >Ma08_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15236813:15239676:1 gene:Ma08_g15220 transcript:Ma08_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g57430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57430) UniProtKB/Swiss-Prot;Acc:Q7Y211] MVFPTPLTSPLILPALPPPSPNSTSPVHPPLLSAPSWVDTLRSHARANCFRATLATYVDMTSAGVPPDHFAFPAALKAAAGVPDPAAGCQLHAAVIKHGYHSSPVTVANTLVTMYARCGDLRSALQVFDGIPDRDQVSWNSVIAALCMFELWELALGSFRSMQEQSVPPSSFTLVSVALACSNLDRADGIRLGKELHGYELRNGSYCNEKRFAFNALVAMYAKLGGVDDSVALFERFQDRDIVTWNTIISALTQNDRFSAAISVLHQMVVAGIKPDGVTLSSVLPACSHMDLLDAGREIHAYAFRNADLFMNTFVASALVDLYCNLGLVEKGRAVFDRISERRLGLWNAMISGYAQNGLDDDSLKLFIEMEVVAGLSPNETTMASVLPACVRSEAFRRKEDMHGYVLKRGMEGDKFVQNALMDMYSRVGEIDISCKIFAGMEDRDVVSWNTMISGYIVCGCYSEAFNLVNEMQRNGDSTAVEVVKPNNITLMTVLPACGSLAALGKGKEIHGYAIRHALDSDVAVGSALVDMYAKCGCLGVARAVFDRMPKRNVVTWNVLIMAYGMHGQGEEAMELFELMLAKGEVKPTGVTFIAALAACSHSGMVSRGMELFHGMKEDYGVEPDADHYACVVDLLGRAGKLDEAYLLITTMDAASDKAAAWSSLLGACRIHRHTQLGEIAAKHLFELEPDESSHYVLLSNIYAASGQWGRSMEVRKNMKSMGVRKEPGCSWIEVGDDVHRFTVGDSAHPQSTQIHSFLETLWTRMKKEGYVPDTSCVLHDVEEKEKEVLLRGHSEKLAIAFGILNTPPGSTIRVAKNLRVCNDCHEATKFIARLVGRQIILRDMRRFHHFRDGSCSCGDYW >Ma03_p25700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29665153:29668011:1 gene:Ma03_g25700 transcript:Ma03_t25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAGRSAGLRSIPTGLLLLLLPLLPATLPVETACGSGAVVFNFGDSNSDTGGLTAGLGILLPQEEGRVFFRRSSGRLCDGRLVIDFLCESLNTSYLSPYMEPLGADFSSGANFAVAGSCTRPPDVPFALAVQVRQFLRFKLRSLELVAQGAEDLIDAEGFRNAIYAIDIGQNDLAAAFSANLSYVQVIERVPSVIHEIKKAIENLYDNGGKKFWVHNTGPLGCLPQKLALPRKHNSSLDPYGCLIPFNNAAKEFNAQLSALCDNLNSELKNATIVYTDIYSIKYDLIANHTAYGFETALMACCGYGGPPYNFNQRIECGAFGSQVCPLGSKYISWDGVHYTEAANAIVASKILTTKYSKPNLAFDYFCTA >Ma03_p25700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29665153:29668011:1 gene:Ma03_g25700 transcript:Ma03_t25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTAGRSAGLRSIPTGLLLLLLPLLPATLPVETACGSGAVVFNFGDSNSDTGGLTAGLGILLPQEEGRVFFRRSSGRLCDGRLVIDFLCESLNTSYLSPYMEPLGADFSSGANFAVAGSCTRPPDVPFALAVQVRQFLRFKLRSLELVAQGAEDLIDAEGFRNAIYAIDIGQNDLAAAFSANLSYVQVIERVPSVIHEIKKAIENLYDNGGKKFWVHNTGPLGCLPQKLALPRKHNSSLDPYGCLIPFNNAAKEFNAQLSALCDNLNSELKNATIVYTDIYSIKYDLIANHTAYGFETALMACCGYGGPPYNFNQRIECGAFGSQVCPLGSKYISWDGVHYTEAANAIVASKILTTNIHEKKM >Ma11_p13830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:18971410:18988634:-1 gene:Ma11_g13830 transcript:Ma11_t13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis protein CNX1 [Source:Projected from Arabidopsis thaliana (AT5G20990) UniProtKB/Swiss-Prot;Acc:Q39054] MIPVDEALRIVLSVAERLPPVAVPLHEALGLILAEDVRAADPLPPYRASIKDGYAVVASDGPGVYPVITESRAGDDGVGVVVTPGTVAYVTTGGPIPDGADSVVQVEDTEEVTDNVDGLKRVRILVGVPLGNDIRNVGCDIEKDTMVLKAGEQLGSPEIGLLATVGVAIVKVYPRPTVGVLSTGDELVEPETKYLSRGQIRDSNRAMLMAAATQQHCKAVDLGIASDKEDSLTEIFDAAIASDIDMLFTSGGVSMGDRDFVKPCLARIGNIHFEKVFMKPGKPLTFAQITTNSKNIKLSKPVLAFGFPGNPVSCLVCFNLFAVPAIRRLSGWANPQLQRVHTRIAQPIRSDAYRPEYHCAIIRWELDDGSGRPGFIAESTGKQMSSRLLSLKSANALLELPATGQIFEDGNSVQAILISDISSLLMSKLTDSMASLSVQHGPATQCSARQPTAASQESMVKVAILTVSDTVSSGSGPDRSGPRAVSVVNSLSEKLGGAHVVATAVVPDEVDKIKNTLLKWSDIDKVDLILTLGGTGCTPRDVTPEATKAVIQKETPGLSFVMLQESLKVTPFAMLSRAAAGIRGSTLIINMPGNPNAVAECMEALVPGLKHGLKQIKGDKREKHPRHAPHAEAPPTDQWERSYKAASATAQCSCSH >Ma03_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9091097:9098096:-1 gene:Ma03_g11710 transcript:Ma03_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLIHRPEASIPTEEAHGPSLVLTADPKPRLRWTTDLHERFVDAVAQLGGPEKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMTEQSKDASYLLENPSSSVLSPRVPTPDVNEGQEVKEALRAQMEVERKLHEQVEVQKHVQIRMEAYQKYIDSLLAKAYKIASEQITSNSFNTTEQELSDMATRVICSPSDPLSQSILHQLSVNSINLQSPGCKTSSSSAIEGQFFYQKPHELKTKPC >Ma11_p14130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19403447:19404858:1 gene:Ma11_g14130 transcript:Ma11_t14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLLHRILPFAFGILGNIVSLMVFLSPILTFYRIYRNKSTGEFQSTPYVFSLFSCSLWIYYALVKTHSVPLITNNAFGCLIEATYITMYLIYATKKARIFTIRVFVLVNVVAFAAILLLTQPLITGTKRVTVLGWICVAFSLSVYAAPLGIMMRVIRTRSVECMPFNIPLFHMLSAIAWFGYGLFTDDVYVELPNVPGFVIGVGQMVLYAIYRKKSGAVVDAAEHEVKVAQPTPSPASVRQHIVRIAEHVVRMVELDPTLDSEPQVIAGENDREKKKKIDVAEPPLISTPELDNHDDKKKKAKEENDMGVKKPRIVVEHIINIRELISVVA >Ma08_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9430887:9445242:1 gene:Ma08_g12470 transcript:Ma08_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MEGSQPLLYQASVVTEYTSSDHVPAENMATNSDSVMSDGNLVHSVSSGATAHSSLDGSGTDDGNTYSHDLNPVSQEATTGVVYEPNASAATVSHENMAGISTQVVGYDTANGSISEMANYQSTGAMENGSLPTDTSGAIVQQAYEDAVYSAEEDRLWNMVRANCLDFNSWTALIEETEKVAENNIVKIRKVYDAFLAEFPLCYGYWKKYADHEGRLDSVDKVVEVYERAVLAVTYSVEIWLHYAVFAISTYEDPDIIRRLFERGLAYVGTDYQSYQLWDEYIRYEESHQAWSNLAMIYTRILENPIQQLDRYFNCFKELAASRPLSEIRTAEEAAVVSSTLETGGHALEDEVRDDGVEQSSKPVSAGLTEAEELEKYIAIREEMYKKAKEFDSKIIGFETAIRRPYFHVRPLDDVELENWHNYLDFIERGDDFNKVVKLYERCLIACANYPEFWTRYVLCMEASGSMELANNALARATQVFVKKQPEIHLFAARFKELSGDIVGARTQYQILYSEVSPGLLEAFVRHANMEYRLGEKEAAFSVYETAISTEQGKEQSQLLPLLLVQYSRFLYLVVGDADKAREVLSGHLENMQLSRPVLEAVIHLESIQPLPKRVDYLDSLVEKFITPNPENPNFASTIDREEISCILLEFLDHFGDAQSIKKADNRHALLFQRQKSMLVSKKRYAEDFLSSDKAKMAKNFSEPGQSVMGAYPNAQSQWPAGYGQKAPVWPTTTQPQVQWNPGYASQAGYAAYGGYSNYGQPQVATSAPQGTAYGAYPPTYQVEAYPQPSYAQPTAAPVAPAFPSQPAPAPQQYYGSYYS >Ma09_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11747981:11758304:-1 gene:Ma09_g16370 transcript:Ma09_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLKKNFDVAAKHPSEDAQRRWRRAVGAVVKNRRRRFRMVPDLDQRCVVEAKKRKIQEKIRVALYVQKAALQFIDAGAKTNHQLSEEVRQAGYFINPDELASIARGHDKKSLKNHGGVSGIAREVCVSLDSGIRTSDLPIRQNIYGLNQYVEKPPRSFWKFVWDALHDLTLIILMICALISVVVGLATEGWPKGMYDGLGIILSIFLVVVVTSVSDYKQSLQFRDLDKEKEKIFIQVTRDGYRQKVSIYDLVVGDIVHLSIGDRVPADGLYVSGYALLIDSSSLSGESEPVYVSQEKPFLLAGTKVQDGSARMLVTSVGMRTEWGKLMETLCQGGEDETPLQVKLNGVATIIGKIGLAFATMTFCVLLGRFLADKAYHHGFKWFPNDALTILNYFAISVTIIVVAVPEGLPLAVTLSLSFAMKKLMDEKALVRHLSACETMGSANCICTDKTGTLTTNHMVVDKIWICQVSKSFKGSETAIYLKSKISEKVLAVLLQCIFQNSGSEVVRGKDGKNTILGTPTETALLEFGLEFEGLVESQHQDCKKLKVEPFNSVKKKMSALIKLPGGRVRAFCKGASEIILQMCDQLINSDGNTILLSKKQKEDIMNVINSFACEALRTLCLAFKDITNQEAEEIPATGYTLIAVFGIKDPVRPGVKEAVQTCIAAGIKVRMVTGDNINTAKAIAKECGILTEDGLAIEGPEFRNKSPEEMKDLIPKIQVMARSLPLDKHTLVTNLRRMFKEVVAVTGDGTNDAPALHEADIGLAMGVAGTEVAKESADVIVLDDNFTTIINVTKWGRAVYINIQKFVQFQLTVNVVALMLNFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNNDMMKRPPVGRDENFITKVMWRNIIGQSIFQLIVLGALMFDGKKLLRLEDPNSDIVLNTFIFNTFVFCQVFNEINSREMEKINVLHGILSNWIFVAILTSTIIFQVIIVELLGPFASTKPLSWQLWLISVMIGSISIIVAIILKWIPVESNKCTTVHHQNGYDALPSGPEAV >Ma07_p18040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:23689835:23695052:1 gene:Ma07_g18040 transcript:Ma07_t18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVAASQPTESFEYMLLEGDPYHLRPVVSTTTQNIPWIEPNVLKLKHRIGRGPFGDVCIATHHQSTNDYEHYHEVAIKMLYPIKDDQIQTCLSKFDEVFSKCQGLENVCLLDGVSLQNGRVCIAMKYYEGSIGDKMARQKGGKLSLSDVLRYSADLAQGVLELHRRGFLLLNLKPCNFLLDDHDRAVIGDFGIPFLLHGFSLPCSDLVQRLGTPNYMAPEQWEPSINGPISFETDSWGFGCSIVEMLSGIQPWHGRSPDEIYQLVVIKKEKPKIPHGLPPKMEDILHGCFEDDFRNRPLMKDILRAFKSCDGATCSESDADHLMAEKSSHINYTNWSLLKDQLLVGDTVRSRKPTNSCKPESMEIPEGTIVGKETHADSDGFILIRVHGVYNPLRVHCSMVQRVTNGFVAGDWVRVMTDDKKQSPVGILHNIERDGRVTVGFIGMDTLWKGHYSELQIAESYCVGQFLRVKTGISCPRFEWPHNHRGEWATGRISQIHPNGCLVVKFPGKFSFGEATSFLADPSEMEVVSFKTCEGLAKKYQHLEDIHWAVRPLVIALGLFTALKVGVFIGKSMGKSRRKKVGKVVNISGQVEEQQQDGQNGSNSVWLPHPVANILFGDAVPAR >Ma11_p11650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14520423:14520788:-1 gene:Ma11_g11650 transcript:Ma11_t11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAATRTRFRALKHENGIAGSTTIIVRVIACFRPLQDCQAEYFRHLLKPVT >Ma11_p01860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1333326:1339240:1 gene:Ma11_g01860 transcript:Ma11_t01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSLFFLLLLVSGLFLSHAASAGSIPDDLRLPSTSAFPRASAKKLIRALNLIPKDASPESTVGFSSDASRNRIFERRFRFPGLSDGTSVDDLGHHAGYYSLPHTHDARMFYLFFESRNSNKDPIVIWLTGGPGCSSELAVFYENGPFSIADNMSLVWNQFGWDQASNLIYVDQPTGTGFSYSSDKRDLRHNEKGVSDDLYDFLQAFFAEHSEYAENDFYITGESYAGHYIPAFASRVHQGNKDKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGIIKESDYKRINKIYPACELAIKLCGTSGTVSCLASYLVCNTIFSSIMRIAGNANYYDIRKQCEGSLCYDFSNMEKFLNLKSVRETLGVGDIEFVSCSPTVYEAMLTDWMRNLEVGIPALLEDGIQLLVYAGEYDLICNWLGNSRWVHAMEWSGQSGFVSSSEIPFLVDGVEAGLLKTHGSLSFLKVHDAGHMVPMDQPKAALEMLKRWTQGKLAEDSTSSVNLDAEM >Ma05_p12850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9293380:9294912:-1 gene:Ma05_g12850 transcript:Ma05_t12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGPLDALDFSALGSLLRLNLSYNQLGGAIPSTISALSRLVSLDLTSNQFTSKISVGMGSMKELRFLSLSQNQIVGAIPPFLSNLIGLVSLHLEDNKLVGVIPKELGRLHELMYVDIGVNRLSGSIPSSLGNLTKLYHLDLYQNQLTGVIPRELKNLVNLVYLSIADNTLTDWAKWHNLTRLRISNNNITGVIPTEFGQLTKLQDLDLSSNYLQGEIPKSFGSLTLLYNLSLGNNQLVGQ >Ma06_p26860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28844140:28844603:-1 gene:Ma06_g26860 transcript:Ma06_t26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPWNNMILLLPLQEFMSLISVLGKHCTSAPCSNFYAGSLHAAWVLRQVTPKLLRSSFLH >Ma02_p17980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24681861:24686185:-1 gene:Ma02_g17980 transcript:Ma02_t17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERSRSPPGERRFRRERPSYRDAPYRRERRSYRDYLCNNCKRPGHFARDCPNVAVCNNCSLPGHIAAECTAKTLCWNCKEPGHVASECSNQPVCHTCNKTGHLARDCTGSGLAPFDVRLCNNCHKPGHIAAECTNDKACNNCRKTGHLARDCPNDPVCNLCNVSGHVARNCPKASLTSEVHGGPLRDIICRMCGQPGHIGRDCVGIVICGNCGGRGHVAFECPSGRIFDRGFRRF >Ma02_p17980.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24681861:24685719:-1 gene:Ma02_g17980 transcript:Ma02_t17980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNAYFLQINVLANILKMGLFAQLQVALLVSSSCSCIKLASSSPLVLCIHIIHCYRDYLCNNCKRPGHFARDCPNVAVCNNCSLPGHIAAECTAKTLCWNCKEPGHVASECSNQPVCHTCNKTGHLARDCTGSGLAPFDVRLCNNCHKPGHIAAECTNDKACNNCRKTGHLARDCPNDPVCNLCNVSGHVARNCPKASLTSEVHGGPLRDIICRMCGQPGHIGRDCVGIVICGNCGGRGHVAFECPSGRIFDRGFRRF >Ma02_p17980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24681861:24686184:-1 gene:Ma02_g17980 transcript:Ma02_t17980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERSRSPPGERRFRRERPSYRDAPYRRERRSYRDYLCNNCKRPGHFARDCPNVAVCNNCSLPGHIAAECTAKTLCWNCKEPGHVASECSNQPVCHTCNKTGHLARDCTGSGLAPFDVRLCNNCHKPGHIAAECTNDKACNNCRKTGHLARDCPNDPVCNLCNVSGHVARNCPKASLTSEVHGGPLRDIICRMCGQPGHIGRDCVGIVICGNCGGRGHVAFECPSGRIFDRGFRRF >Ma08_p21130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35114256:35117309:1 gene:Ma08_g21130 transcript:Ma08_t21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRASVLMAALLPLLLQCSVAVTEASCSDVVPMKQRREVISITDFGAVGDGKTLNTWPFKKAIYRIQHLRRRGGTLLYVPPGVWLTGSVSLTSHMTLYLARGAVIKATQDTRRWPLVDPLPSYGRGRELPGRRYMSFIQGDGISDVIITGENGTIDGQGEVWWNMWRRRSLHFTRPNLVEFKNSRDIIISNVVFQNSPFWNIHPVYCSNVVIKYVTVLAPHDSPNTDGIDPDSSSNVCIEDAHISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRLTGSSPFSGVAIGSETSGGVENVLVENVNLYDTGIGIHIKTNVGRGGFVRNITVVNVSMNKVRKGIRIAGDVGDHPDEYFDRRAIPTVDGITIKNVWGVDVQQPGSIQGIKNSPFTRICLSNVKLWGVAKRSAPWKCVDVSGAALGVQPWPCSALARTISSGYCSTAF >Ma08_p21130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35114256:35117309:1 gene:Ma08_g21130 transcript:Ma08_t21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRASVLMAALLPLLLQCSVAVTEASCSDVVPMKQRREVISITDFGAVGDGKTLNTWPFKKAIYRIQHLRRRGGTLLYVPPGVWLTGSVSLTSHMTLYLARGAVIKATQDTRRWPLVDPLPSYGRGRELPGRRYMSFIQGDGISDVIITGENGTIDGQGEVWWNMWRRRSLHFTRPNLVEFKNSRDIIISNVVFQNSPFWNIHPVYCSNVVIKYVTVLAPHDSPNTDGIDPDSSSNVCIEDAHISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRLTGSSPFSGVAIGSETSGGVENVLVENVNLYDTGIGIHIKTNVGRGGFVRNITVVNVSMNKVRKGIRIAGDVGDHPDEYFDRRAIPTVDGITIKNVWGVDVQQPGSIQGIKNSPFTRICLSNVKLWGVAKRSAPWKCVDVSGAALGVQPWPCSALARTISSGYCSTAF >Ma05_p05240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3999613:4000886:1 gene:Ma05_g05240 transcript:Ma05_t05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDETEEEMGLPVGSSYETPMPNSPRGGGGARMGGGEGEAGGLGSGNRKVGGGAGGGRYRECLKNHAVGIGGHAVDGCGEFLAAGEDGTLDALRCAACSCHRNFHRKEAEGGEGGRGGGGALEVAGYHHQFSPFYRTAAGYLHHHQPPHQPHMAAVPVAAAAGQQHRLLPLALPSTSGGGGGGWHSRDDQDDVSNPMMGSGGGGGGYAAGGGMGASGSGSHRKRFRTKFTQDQKDKMLVFAERVGWRIQKQDEAAVQQFCDETCVKRHVLKVWMHNNKHTLGKKP >Ma03_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6026053:6028268:-1 gene:Ma03_g08350 transcript:Ma03_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIDPSKRSSLERRICDGDLVIVYERHDKVKAVRVQNGSDFQNRFGVFKHSDWIGKPFGTKVLSNKGGFVHLLAPTPELWTLVLSHRTQILYLADISFVISYLEVVPGCVVLESGTGSGSLTTSLARAVAPSGHVYTFDFHEQRASLAREDFERNGMSSLITVTVRDIQGEGFPDEFCGAADSVFLDLPQPWLALPSVRRMLKQDGVLCSFSPCIEQVQRSCEVLGADFTDIRTFEVLLRSYEVREERMIGTEGVQDSPVGSLPRKRRQCISRDVNNDSKEITTTTSTIIARPCRETRGHTGYLTFARLACS >Ma04_p27500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28687347:28690817:1 gene:Ma04_g27500 transcript:Ma04_t27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGWRRAFCTSVGVDPDTTVATRREAGERQQLSPSTRSCAKLIFFSGGGRGGSNPSTPRLDEGLRCRTRAMSPDRPKLQCETPVGVTPTLSIGTPNPRSRTPALFRRKAFSAPSSPRSPSRFGLFKHRSSGRCQLCWQRLKRSQETPVFTAECSHAFHFPCIAAHVRNHSSLACPVCSATWRQAQLFSALHSREKDAVLEHGPAGESENRNPNGRTFGGSDKYTSKGRERQLGQHRLAAAAAAVKVYDDDEPLLAAYKTNQGGGVRFNPIPEAANEDEDEYGDSEGNNLEQEDEFNGLLATPLSHSPSSDDQGVPRRLTPKLKAVALQVSVIPQAALLSEGRKHRNYVVAVKVKAPSIASARLLDTASGRAPIDLVMVLDVGQGMMAEKLQMLKRSIRLVVSSLGPVDRLSVVAFSAAAGAKRLIPLRRMSRQGQRAARQIVDRLAVVGRDAPGRGANVGDALRKAAKVLEDRRERNPVATVMLLSDSGQQQLLLRDHGKKDDNHHKPLYAPRDSGGDIHPHPPSTVTSDASTCFAHLEIPLVASGCGDESAGEPSLQKRRQVPNEDAFIKCVGGLLSVVMRDVRLQLIFPTGDISAVYPCSGRSCGEVALRGGSSVLRLGDLYAEEERELLVELRVPVSSSAAAGPQNGHHQLVVKCNYRDPATQELTLDAEQILLLPPELSRAASSSACSATPMRLRNLFVSTRAVAESRRLADLSDSATAHHLFSSARSLLLQSASDAQDHRLIQNPDEELADLQRRRRRLSRAHHQPHHLHRRHHQQQEECLSPSGRRRRRRQREVAAGAEVRGESITPTSAWRAAEQLAKVAIIRKSLNRVSDLHGFENARF >Ma04_p27500.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28687347:28690817:1 gene:Ma04_g27500 transcript:Ma04_t27500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGWRRAFCTSVGVDPDTTVATRREAGERQQLSPSTRSCAKLIFFSGGGRGGSNPSTPRLDEGLRCRTRAMSPDRPKLQCETPVGVTPTLSIGTPNPRSRTPALFRRKAFSAPSSPRSPSRFGLFKHRSSGRCQLCWQRLKRSQETPVFTAECSHAFHFPCIAAHVRNHSSLACPVCSATWRQAQLFSALHSREKDAVLEHGPAGESENRNPNGRTFGGSDKYTSKGRERQLGQHRLAAAAAAVKVYDDDEPLLAAYKTNQGGGVRFNPIPEAANEDEDEYGDSEGNNLEQEDEFNGLLATPLSHSPSSDDQGVPRRLTPKLKAVALQVSVIPQAALLSEGRKHRNYVVAVKVKAPSIASARLLDTASGRAPIDLVMVLDVGQGMMAEKLQMLKRSIRLVVSSLGPVDRLSVVAFSAAAGAKRLIPLRRMSRQGQRAARQIVDRLAVVGRDAPGRGANVGDALRKAAKVLEDRRERNPVATVMLLSDSGQQQLLLRDHGKKDDNHHKPLYAPRDSGGDIHPHPPSTVTSDASTCFAHLEIPLVASGCGDESAGEPSLQKRRQVPNEDAFIKCVGGLLSVVMRDVRLQLIFPTGDISAVYPCSGRSCGEVALRGGSSVLRLGDLYAEEERELLVELRVPVSSSAAAGPQNGHHQLVVKCNYRDPATQELTLDAEQILLLPPELSRAASSSACSATPMRLRNLFVSTRAVAESRRLADLSDSATAHHLFSSARSLLLQSASDAQDHRLIQNPDEELADLQRRRRRLSRAHHQPHHLHRRHHQQQEECLSPSGRRRRRRQREVAAGAEVRGESITPTSAWRAAEQLAKVAIIRKSLNRVSDLHGFENARF >Ma04_p27500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28687347:28690817:1 gene:Ma04_g27500 transcript:Ma04_t27500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGWRRAFCTSVGVDPDTTVATRREAGERQQLSPSTRSCAKLIFFSGGGRGGSNPSTPRLDEGLRCRTRAMSPDRPKLQCETPVGVTPTLSIGTPNPRSRTPALFRRKAFSAPSSPRSPSRFGLFKHRSSGRCQLCWQRLKRSQETPVFTAECSHAFHFPCIAAHVRNHSSLACPVCSATWRQAQLFSALHSREKDAVLEHGPAGESENRNPNGRTFGGSDKYTSKGRERQLGQHRLAAAAAAVKVYDDDEPLLAAYKTNQGGGVRFNPIPEAANEDEDEYGDSEGNNLEQEDEFNGLLATPLSHSPSSDDQGVPRRLTPKLKAVALQVSVIPQAALLSEGRKHRNYVVAVKVKAPSIASARLLDTASGRAPIDLVMVLDVGQGMMAEKLQMLKRSIRLVVSSLGPVDRLSVVAFSAAAGAKRLIPLRRMSRQGQRAARQIVDRLAVVGRDAPGRGANVGDALRKAAKVLEDRRERNPVATVMLLSDSGQQQLLLRDHGKKDDNHHKPLYAPRDSGGDIHPHPPSTVTSDASTCFAHLEIPLVASGCGDESAGEPSLQKRRQVPNEDAFIKCVGGLLSVVMRDVRLQLIFPTGDISAVYPCSGRSCGEVALRGGSSVLRLGDLYAEEERELLVELRVPVSSSAAAGPQNGHHQLVVKCNYRDPATQELTLDAEQILLLPPELSRAASSSACSATPMRLRNLFVSTRAVAESRRLADLSDSATAHHLFSSARSLLLQSASDAQDHRLIQNPDEELADLQRRRRRLSRAHHQPHHLHRRHHQQQEECLSPSGRRRRRRQREVAAGAEVRGESITPTSAWRAAEQLAKVAIIRKSLNRVSDLHGFENARF >Ma06_p01070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:877800:878557:-1 gene:Ma06_g01070 transcript:Ma06_t01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVLLIFLSATLAGFFVIRNLKTQPELNEEQQEEDSSPAQLPLSTKVRSAIGTGFWTCVDMASGRYLWRIVMAPAAVEKIC >Ma09_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12148174:12157654:-1 gene:Ma09_g16730 transcript:Ma09_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEEQQLWRRLIDDDDYDAAAAAASAYDSQEHPSDAVLVGFVIANIVGLRYYTGTISGREMVGLVREPLNPYDPNAIKVLNTRTVQVGHIERAAAAALAPLLDSCLVSVEAIVPKPPSKNRNPYRLPCQIHLFARPDAIPVVRAAVFEGGLQLIEYDDHEFGLSEAAIVQEENSKKSKSGKHGKSVDKIFALVGKGDEGKIAPLKPPKEVIVSELFEHQKEGLGWLVGRENSCDLPPFWEMRDGSYVNVLTNHQTSERPEPLKGGIFADDMGLGKTLTLLSLIATNKPGGFPSSSTRNLQGEDERINSSRGRKSRSGKTVVKSCKRRKLDDGEVQKNEALGLKTTLVVCPLSVLTSWITQLEEHTRPRSMKVYLYHGERTREPEELLKYDIVMTTYTTLSAEFGDLSSPMKETEWFRVILDEAHVIKNFGAQQTKAVIALKAERRWVVTGTPIQNSSFDLFSLMAFLRFQPFSIKSYWQNLVQRPLDQGSKSGLSRLQALVGTISLRRTKAAENGSKGLVGLPSKTIETCFVELSAEEREQYDRLETEAQNTIREYIDADTVLHNYSTVLHIILRLRQICNDVALCPSDIKLFLPSNALEDVSQNPELLKKLASLVEDGDDFDCPVCLSPPLKTVITCCAHIFCQACILKTLKHLNASCPICRHPLSKTDLFVVPPTKSTNDDGSKTCLSNRPLSSKVSFLLKLLLASKEQNPSTKSVVFSQFRKMLILLQEPLKDAGFVILRLDGTMSTKRRAEVIKRFGKCGPGEPTVLLASLKAAGTGINLTAASRVYLMEPWWNPAVEEQAMDRVHRIGQKEEVKVVRLIVRGSIEERILKLQERKKKLASGAFGRKAAKEQKQVRLEDLRIMMHL >Ma02_p18390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24890601:24896021:1 gene:Ma02_g18390 transcript:Ma02_t18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNPFDPLADDDNDDPSHFIAIQQQKVVAKKPPASAAAPAFPSKPVPPTQAVREARSNAAPPSRGGAGWGGTGRGKGGRGGRDLGYGEMNGFSRGYGGVVSEEGDADKPSERGRGGYGSQRQLFRGGRRGGYGNVNGGGGIDSERPPRRIYERHSGSGRGYEMKREGAGRGNWGTTYDDLIAKVSEKDSKMDEKLAIPYKQAEEDDRLPMEVNKEASANENEEKEMTLEEYEKIKEEKRKALLAMKPEGRKVEIDKDFESMQQLSIKKGNDDVFVKLGSDKDTGRRKDNDHQEQGKKHVPIPEFLKPAEGERYYSPGGRGFGRGRGRGDRGSIWSEFAGETVISVSSPSLEDPGQFPALACLRLSSHSAKSPESNLTRGVEHISSRNFVYTVQLPFISLFVRSISIHALQEQSGANNPYHRTACHDQ >Ma02_p18390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24890601:24895981:1 gene:Ma02_g18390 transcript:Ma02_t18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNPFDPLADDDNDDPSHFIAIQQQKVVAKKPPASAAAPAFPSKPVPPTQAVREARSNAAPPSRGGAGWGGTGRGKGGRGGRDLGYGEMNGFSRGYGGVVSEEGDADKPSERGRGGYGSQRQLFRGGRRGGYGNVNGGGGIDSERPPRRIYERHSGSGRGYEMKREGAGRGNWGTTYDDLIAKVSEKDSKMDEKLAIPYKQAEEDDRLPMEVNKEASANENEEKEMTLEEYEKIKEEKRKALLAMKPEGRKVEIDKDFESMQQLSIKKGNDDVFVKLGSDKDTGRRKDNDHQEQGKKHVPIPEFLKPAEGERYYSPGGRGFGRGRGRGDRGSIWSEFAGETVISVSSPSLEDPGQFPALACLRLSSHSAKSPESNLTRGVEHISSRNFVYTVQLPFISLFVRSISIHALQEQSGANNPYHRTACHDQ >Ma04_p29720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30559299:30564892:-1 gene:Ma04_g29720 transcript:Ma04_t29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALTVGPAMDLPIMHDSDRYELVRDIGSGNFGIARLMRDKQTKELVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFREVILTPTHLAIVMEYASGGELFERICSAGRFNEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSAAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIQRILSVQYSVPDGVHISLECQHLISRIFVANPAMRITIPEIRNHEWFLKNLPADLVDDKTITNEYEEPDQPMQKIEDIMHILEEATIPAAGARGLNQHLMGSLDIDDYDDDDDMEDLDSDLDLDIDSSGEMVYAM >Ma06_p20000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14063536:14065795:-1 gene:Ma06_g20000 transcript:Ma06_t20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRNGIIKKAREISILCDAHVSVVIFSGSGKMSDYCTPTTALPKILERYQQNSGRKLWDAEHESLSAEIDRIKKENDNMQIELRHLKGEDLNSLYPKELIPIEEGLQIGLASVRDKQMEIWKMHKKNEKLLEEENEQLTCMLHHQQRAMEGNVMELEVGYHQRDCEFAPQMTMAFRVQPIQPNLQENNK >mito2_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:128576:128707:1 gene:mito2_g00010 transcript:mito2_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLFLSCSIDSFDSFREFSTTSRLPFLPHVSICTFQTKPWTY >Ma06_p04310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3104997:3106481:-1 gene:Ma06_g04310 transcript:Ma06_t04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASCEALKSVLMEGRKMKLSVALVMLLLFGVSNQRCSCAIVQFIFGDSLSDVGNNNYLTKSLARAALPWYGIDLGKGLPNGRFTNGRTVADIVGDKLGLPRPPAFLDPSLDEDTILNSGVNYASGGGGILNETSSLFVQRFSLYKQIELFQGTQELIRRKIGRAAADDFFAQSRYVVAMGSNDFINNYLLPVYSDSWSYNGETFIDYLMNTLEGQLKLLHSLGARQLTFFGLGPMGCIPLQRILTSSGGCQESTNKLALGFNKAATELLGNLSNTLPNATFRFGDAYDSFQDLIDRPYMVQQLARAVLLAGADSTDADLHAIVDAVRGQEPVRVLG >Ma06_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3104879:3106476:-1 gene:Ma06_g04310 transcript:Ma06_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASCEALKSVLMEGRKMKLSVALVMLLLFGVSNQRCSCAIVQFIFGDSLSDVGNNNYLTKSLARAALPWYGIDLGKGLPNGRFTNGRTVADIVGDKLGLPRPPAFLDPSLDEDTILNSGVNYASGGGGILNETSSLFVQRFSLYKQIELFQGTQELIRRKIGRAAADDFFAQSRYVVAMGSNDFINNYLLPVYSDSWSYNGETFIDYLMNTLEGQLKLLHSLGARQLTFFGLGPMGCIPLQRILTSSGGCQESTNKLALGFNKAATELLGNLSNTLPNATFRFGDAYDSFQDLIDRPYMYGFNNSRAPCCSLGRIRPTLTCTPLSTLCEDRSQYVFWDEYHPTDQANELIADEIITKLGFKPINETNTTP >Ma08_p13450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10602372:10603402:-1 gene:Ma08_g13450 transcript:Ma08_t13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVVIAFVLVFDVVAFALAIAAEQRRNTAQVVPDSEKEYTYCVYDSDIATGFGVGSLLLLLLSQLIITAVTRCYCCGPSLRRGGSRFCVFLLLLSCWLTFLVAEACLLAGSVQNARHTRYRGFYFMNDPSCEVLRKGVFAAGAAFVALTAILSVFYYLLYAKGRDSSYRIAESAIGMNSFS >Ma09_p23920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35618965:35620431:1 gene:Ma09_g23920 transcript:Ma09_t23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKNALQLTPEEDEDACMYAMQLASASILPMTLKAAIELDLLEILVRAGPGAQLSPADVVAQLPTENPQAAVMVDRMLRLLAAYNVVSCTVGTDADGKPSRKYGAAPACKYLTKNEDGVSMAALTLMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMSAFEYYGADARFNKVFNEGMRNHSTILTKKLLDIYRGFEGVKVLVDVGGGIGATLYMITTKHPRIRGINFDLPHVISEAPPFPGVEHIGGDMFASVPRGDAIFMKWILHDWSDEHCTKILKNCCEALPEKGKVIVVECVLPVVPEPTPRAQGVFNIDLIMLAHNPGGKERTEKEFEGLAKEAGFSGFKASYIFANTWVMEFTK >Ma10_p11730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25139845:25142530:1 gene:Ma10_g11730 transcript:Ma10_t11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAI2 [Source:Projected from Arabidopsis thaliana (AT4G37470) UniProtKB/TrEMBL;Acc:A0A178V1E5] MGIVEEAHNLRVIGRADGERGTIVLAHGFGTDQSVWKHLVPHLVEEYRVVLFDNMGAGTTNPDYFDFDRYTTLEGYALDLLAILEELRLGPCIFVGHSVSAAIGAIASISRPDLFSKLVMLSASPRYLNDVDYFGGFEQEELDQLFDAMRSNYKAWVSGFAPLAVGGDMDSVAVQEFSRTLFNIRPDIALCVAQTIFQSDLREILGLVMVPCHILQSSKDLAVPVVVSEYLHRNLGGESIVEVMSSEGHLPQLSSPDIVIPVLLRHIRYDIAV >Ma07_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24950125:24952229:1 gene:Ma07_g18310 transcript:Ma07_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTTLTGLLRKAAGDFPSRRAITVPGRHELTHARLHQLVDAAAARLAAAGVRPGDVVALAFPNTVELVIVFLAVLRTRAVAAPLNPAYTRDEFVFYLSDSESILLLTNAEGNAAAEAAAAQLGIPRAAASLRDPSGLLELTLPGDRPAAEGVAPVAGRVNDPSDVALFLHTSGTTSRPKGVPLTQLNLAASVTNIRSVYRLSESDSTVIVLPLFHVHGLVAALLSSLVAGASVTLPAAGRFSASTFWADMLASGATWYTAVPTIHQILLDRHAARPEPAYPKLRFIRSCSASLAPAILEHLEAAFGAPVLEAYAMTEAAHQMASNPLREDGPRKPGAVGRPTGLEMAILDEEGARRPPGVPGEVCIRGPNVTRGYKNNPEANKAAFAFGWFHTGDVGFLDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLEHSDIAQAVAFGVPDDKYGEEINCAVIPKEDAEIDEADVLRHCRKNLAAFKVPKRVFITDSLPKTATGKIQRRTVAEFFVPPAKAPRAGA >Ma09_p19860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26631889:26641634:1 gene:Ma09_g19860 transcript:Ma09_t19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRWIFCFFLLASSLYGHVSGKECTNIPTQLSSHTVRAQLMSTTNQTWKAQMLSYYHLNPTDESAWMDLRPRKLRNKEAPMEEFDWLMLYRSMKGFGGVNMRQQGRNFLDEVSLHDVRLDPDSMYGRAKQTNLEYLLLLDVDRLVWNFRHQAGLPAPGEPYGGWEGPNVELRGHFVGHYMSASAQMWASSHNQTLYEKMSSVVDALYDCQKKVGTGYLSAFPPEFFDRFEAIKSVWAPYYTIHKIMAGLLDQYILASNVKALQMVVGMADYFGNRVKNVILKYSIERHWTSLNEETGGMNDVLYRLYSITNDPKHVVLAHLFDKPCFLGLLAVQADSLSGFHSNTHIPVVIGAQMRYEITGDPLYKEIGAFFMDIVNSSHSYATGGTSVSEFWSDPKRLADTLSTENEESCTTYNMLKVSRNLFRWTKEMAYADYYERTLMNGVLSIQRGREPGVMIYMLPQGPGQSKARSYHGWGTKFDSFWCCYGTGIESFSKLGDSIYFEEKGTTPSLYIIQYISSSFNWRSAGVVLSQKTKSVYSFDPHLQISIRILTNQSTSQSSTLNLRMPSWTSLDGANVTLNDQNLPLPSPGNFLCVARNWTSNDNLTLALPLKLRTEPIKDDRPEYGSLQAVLFGPYLLAGLTSGEWDIKTWNSSSIADWITAVPASYNGQLVSLIQEANGKILVFSNSNGSITMEEWPAEGTNSAVHATFRVIFQDSNRPHFLATKKMKTATAQNDATLEPFDLPGMVVAHQGPSNGLAVSTAATADSMFSVVQGLDGRQDTVSLESSSQRGCFVSGGVDYSAGTKVRLICSSNSDVAFRRAVSFTPVSGLKQYNPISFVAKGAKQNFVLEPLLSLRDETYTVYFNVGA >Ma06_p23160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20626925:20650617:1 gene:Ma06_g23160 transcript:Ma06_t23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFEDEVHNGNWDNVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARTIMLVELKKLIEANPLFRDKLQLPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGALAPSPANSPLLGSMPKAGGFPPLGAHGPFQPAPAPVPTPLAGWMSNPPAVAGGAIGLSAPTNPVAILKHPRTPPTANPSIEYGDSDHVPKRTRPLGISDEVNLPVNILPVSYPQNHNQAMYTLEDLPKMVARTLSQGSNPMSMDFHPIQQTILLVGTNVGDIALWDVGSRERLILTNFKVWEIGSCSMSLQASLVKDPAVSVNRIIWSPDGSLFGKLVKTSIFCFVSYILIPCTKKIEDYQGLVTVILPQHCVGVAYSRHIVQIFSYHGADDIRQHLEIDAHTGGVNDVAFAHPNKQLSIITCGDDKTIKVWDATSGTKHYTFEGHESPVYSVCPHHKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGETFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTRNRFLAAGDEFLIKFWDMDNTSLLTTIDADGGLPASPRIRFNKEGTLLAVSTHDNGIKILANSDGLRLLRTLENHSFDTSRTVSETVTKPIISPLSAAAVATTSGITDRTALPPMAIAGMSGDCRNLVDVKPKIADESMDKSKIWILSELNEPTQCRSLRLVDNLRTSKISRLIYTNSGFAILALASNAIHLLWKWPRNERNSSGKATASVAPQLWQPPSGILMTNDITDTNPEEPVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQTYNVRVDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWGTDGWEKQRSRFLQIPSGYTPSTISDTRVQFHQDQKHFLVVHETQIAIFETTKLECMKQWAPRDGSAPISHATLSCDSQLIYVSFLDATVCIFNAMNLRLRCRILPAAYLPPSVSTTVYPVVIAAHPSEPNQFALGLTDGGVHVLEPLESEGKWGVSPPAENGSASNMSTPPPAGAASSSDQPQR >Ma06_p23160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20626252:20650617:1 gene:Ma06_g23160 transcript:Ma06_t23160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQDSGFYFNMKYFEDEVHNGNWDNVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARTIMLVELKKLIEANPLFRDKLQLPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGALAPSPANSPLLGSMPKAGGFPPLGAHGPFQPAPAPVPTPLAGWMSNPPAVAGGAIGLSAPTNPVAILKHPRTPPTANPSIEYGDSDHVPKRTRPLGISDEVNLPVNILPVSYPQNHNQAMYTLEDLPKMVARTLSQGSNPMSMDFHPIQQTILLVGTNVGDIALWDVGSRERLILTNFKVWEIGSCSMSLQASLVKDPAVSVNRIIWSPDGSLFGVAYSRHIVQIFSYHGADDIRQHLEIDAHTGGVNDVAFAHPNKQLSIITCGDDKTIKVWDATSGTKHYTFEGHESPVYSVCPHHKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGETFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTRNRFLAAGDEFLIKFWDMDNTSLLTTIDADGGLPASPRIRFNKEGTLLAVSTHDNGIKILANSDGLRLLRTLENHSFDTSRTVSETVTKPIISPLSAAAVATTSGITDRTALPPMAIAGMSGDCRNLVDVKPKIADESMDKSKIWILSELNEPTQCRSLRLVDNLRTSKISRLIYTNSGFAILALASNAIHLLWKWPRNERNSSGKATASVAPQLWQPPSGILMTNDITDTNPEEPVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQTYNVRVDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWGTDGWEKQRSRFLQIPSGYTPSTISDTRVQFHQDQKHFLVVHETQIAIFETTKLECMKQWAPRDGSAPISHATLSCDSQLIYVSFLDATVCIFNAMNLRLRCRILPAAYLPPSVSTTVYPVVIAAHPSEPNQFALGLTDGGVHVLEPLESEGKWGVSPPAENGSASNMSTPPPAGAASSSDQPQR >Ma06_p23160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:20626252:20650617:1 gene:Ma06_g23160 transcript:Ma06_t23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQDSGFYFNMKYFEDEVHNGNWDNVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARTIMLVELKKLIEANPLFRDKLQLPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGALAPSPANSPLLGSMPKAGGFPPLGAHGPFQPAPAPVPTPLAGWMSNPPAVAGGAIGLSAPTNPVAILKHPRTPPTANPSIEYGDSDHVPKRTRPLGISDEVNLPVNILPVSYPQNHNQAMYTLEDLPKMVARTLSQGSNPMSMDFHPIQQTILLVGTNVGDIALWDVGSRERLILTNFKVWEIGSCSMSLQASLVKDPAVSVNRIIWSPDGSLFGKLVKTSIFCFVSYILIPCTKKIEDYQGLVTVILPQHCVGVAYSRHIVQIFSYHGADDIRQHLEIDAHTGGVNDVAFAHPNKQLSIITCGDDKTIKVWDATSGTKHYTFEGHESPVYSVCPHHKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGETFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTRNRFLAAGDEFLIKFWDMDNTSLLTTIDADGGLPASPRIRFNKEGTLLAVSTHDNGIKILANSDGLRLLRTLENHSFDTSRTVSETVTKPIISPLSAAAVATTSGITDRTALPPMAIAGMSGDCRNLVDVKPKIADESMDKSKIWILSELNEPTQCRSLRLVDNLRTSKISRLIYTNSGFAILALASNAIHLLWKWPRNERNSSGKATASVAPQLWQPPSGILMTNDITDTNPEEPVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQTYNVRVDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWGTDGWEKQRSRFLQIPSGYTPSTISDTRVQFHQDQKHFLVVHETQIAIFETTKLECMKQWAPRDGSAPISHATLSCDSQLIYVSFLDATVCIFNAMNLRLRCRILPAAYLPPSVSTTVYPVVIAAHPSEPNQFALGLTDGGVHVLEPLESEGKWGVSPPAENGSASNMSTPPPAGAASSSDQPQR >Ma07_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2760005:2761342:-1 gene:Ma07_g03600 transcript:Ma07_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGRRVRQYNRTDAPRMRWTEELHRCFVEAVDCLGGETEATPKRIQQLMGVKGISISHVKSHLQMYRSTSTHCEKNRSTQREAPRHDVLMAYSLPKQRADAHLGLTRSIQSPTFEELLRDWAAKNMASIFPRDTLTEDNSQLLQLAYSQRSPPKETNREPSLSSFAYRNQRSLADTGDRGSSAAKKHVNLELTISSPACS >Ma02_p10870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20317857:20318914:-1 gene:Ma02_g10870 transcript:Ma02_t10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKSSSRKLEQPWTEEENKRFEIAIARYDDTPDRWQNVARAVGGKSVEEVKRHYEELENDIKLIDSTTEPIYSYPNSNSWRRNGTADRSRG >Ma03_p32010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34014154:34016824:1 gene:Ma03_g32010 transcript:Ma03_t32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQQVCYVHCSFCNTILVVNIPCNNLFDNVTVQCENCANMLSVNFGAQFQRLPLQDVQHHSIGSQGLHRDCGSSSSKCAGIDTMNSTKSIKQQMLRVRTAAAAGKRRRVPSVYNRFIKEEIRRLKARNPDISHREAFSTAAKNWAHLPRIHFGQSVEGNQQLW >Ma09_p03550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2354470:2357240:1 gene:Ma09_g03550 transcript:Ma09_t03550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELDDAEFWLPSEFLCDEIFLGGGNRGREGKAGLGGAYLPGEFPLGTDANLDSPVESVTGTEESDEEDYMAGLTQQMARYFLQDDEKDASVAAAEAANNAKVKNPFSCRQRLILERLRALHQLIGGGFLKTMAGSPQSTLCAWSSASTKGSPNGPSLVSSPPSSSPLQQQRIRDPCDLLREAAGQVMRLRTTDDLGRHQGLNDVGLLSVPKKPTPVVTSVSKNNAAAGYYSPNHITHQQLQAAQFYYLRQQQAIKQQLSTAWGRQSKGRVTGGGYGEGRCGRTLDLSPSAWPPLRKHPLQPSQQPQPHPPPLPGSGMRAVFLHTTGSRKESAGTGVFLPRTAGNKLEPKKKTGCSTVLVPDRVVQALNLNLEELAAQPRFPGGFVLSHDALMGRSGAVLAHQKKNHQHISPSVSAAAGAAGAAHEVGLPQEWIY >Ma09_p03550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2354470:2357240:1 gene:Ma09_g03550 transcript:Ma09_t03550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELDDAEFWLPSEFLCDEIFLGGGNRGREGKAGLGGAYLPGEFPLGTDANLDSPVESVTGTEESDEEDYMAGLTQQMARYFLQDDEKDASVAAAEAANNAKVKNPFSCRQRLILERLRALHQLIGGGFLKTMAGSPQSTLCAWSSASTKGSPNGPSLVSSPPSSSPLQQQRIRDPCDLLREAAGQVMRLRTTDDLGRHQGLNDVGLLSVPKKPTPVVTSVSKNNAAAGYYSPNHITHQQLQAAQFYYLRQQQAIKQQLSTAWGRQSKGRVTGGGYGEGRCGRTLDLSPSAWPPLRKHPLQPSQQPQPHPPPLPGSGMRAVFLHTTGSRKESAGTGVFLPRTAGNKLEPKKKTGCSTVLVPDRVVQALNLNLEELAAQPRFPGGFVLSHDALMGRSGAVLAHQKKNHQHISPSVSAAAGAAGAAHEVGLPQEWIY >Ma09_p03550.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2354470:2357240:1 gene:Ma09_g03550 transcript:Ma09_t03550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELDDAEFWLPSEFLCDEIFLGGGNRGREGKAGLGGAYLPGEFPLGTDANLDSPVESVTGTEESDEEDYMAGLTQQMARYFLQDDEKDASVAAAEAANNAKVKNPFSCRQRLILERLRALHQLIGGGFLKTMAGSPQSTLCAWSSASTKGSPNGPSLVSSPPSSSPLQQQRIRDPCDLLREAAGQVMRLRTTDDLGRHQGLNDVGLLSVPKKPTPVVTSVSKNNAAAGYYSPNHITHQQLQAAQFYYLRQQQAIKQQLSTAWGRQSKGRVTGGGYGEGRCGRTLDLSPSAWPPLRKHPLQPSQQPQPHPPPLPGSGMRAVFLHTTGSRKESAGTGVFLPRTAGNKLEPKKKTGCSTVLVPDRVVQALNLNLEELAAQPRFPGGFVLSHDALMGRSGAVLAHQKKNHQHISPSVSAAAGAAGAAHEVGLPQEWIY >Ma09_p03550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2354470:2357240:1 gene:Ma09_g03550 transcript:Ma09_t03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELDDAEFWLPSEFLCDEIFLGGGNRGREGKAGLGGAYLPGEFPLGTDANLDSPVESVTGTEESDEEDYMAGLTQQMARYFLQDDEKDASVAAAEAANNAKVKNPFSCRQRLILERLRALHQLIGGGFLKTMAGSPQSTLCAWSSASTKGSPNGPSLVSSPPSSSPLQQQRIRDPCDLLREAAGQVMRLRTTDDLGRHQGLNDVGLLSVPKKPTPVVTSVSKNNAAAGYYSPNHITHQQLQAAQFYYLRQQQAIKQQLSTAWGRQSKGRVTGGGYGEGRCGRTLDLSPSAWPPLRKHPLQPSQQPQPHPPPLPGSGMRAVFLHTTGSRKESAGTGVFLPRTAGNKLEPKKKTGCSTVLVPDRVVQALNLNLEELAAQPRFPGGFVLSHDALMGRSGAVLAHQKKNHQHISPSVSAAAGAAGAAHEVGLPQEWIY >Ma09_p03550.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2354384:2357240:1 gene:Ma09_g03550 transcript:Ma09_t03550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELDDAEFWLPSEFLCDEIFLGGGNRGREGKAGLGGAYLPGEFPLGTDANLDSPVESVTGTEESDEEDYMAGLTQQMARYFLQDDEKDASVAAAEAANNAKTMAGSPQSTLCAWSSASTKGSPNGPSLVSSPPSSSPLQQQRIRDPCDLLREAAGQVMRLRTTDDLGRHQGLNDVGLLSVPKKPTPVVTSVSKNNAAAGYYSPNHITHQQLQAAQFYYLRQQQAIKQQLSTAWGRQSKGRVTGGGYGEGRCGRTLDLSPSAWPPLRKHPLQPSQQPQPHPPPLPGSGMRAVFLHTTGSRKESAGTGVFLPRTAGNKLEPKKKTGCSTVLVPDRVVQALNLNLEELAAQPRFPGGFVLSHDALMGRSGAVLAHQKKNHQHISPSVSAAAGAAGAAHEVGLPQEWIY >Ma05_p15200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11313464:11318153:1 gene:Ma05_g15200 transcript:Ma05_t15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHSSPVFTDPVHLSKSRLGLPSNIAPYSTAAQPYSSSGLCLQIPRRKVGKLDDVRASGWLDAMKSSSPPRKKLSKDLISESQSDETDAAYLTWMISCPSALNSFHIITTYAKCKSVVLFLDYDGTLSPIVDNPDAAFMSTAMRAAVKKAAKCFPTAIISGRSRDKVYEFVRLSELCYAGSHGMDIMGPLRESEYVGDHPDCIRTTDEQGKEVHLFQPASEFVPMINEVYKSLLDITKNIIGVKVENNKFCVSVHYRNVDEKMWEEVGKHVFDLLKDFSRLQVTHGRKVLEVRPVIDWNKGKAVEFLLESLGLSHRDDILPIYIGDDRTDEDAFKVLRDCNRGFGILVSTVPKETNAFYSLRDPSEVQEFLKSLVRWKNSASSQTEGSTATV >Ma05_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1472271:1481089:-1 gene:Ma05_g02310 transcript:Ma05_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEEELRGAMVGRVINEEYKIWKKNTPFLYDMLISHALEWPSLTVQWLPGREEPAGKDYSVQKMILGTHTSDDEPNYLMLAEVRLPLEDAEYDARQFDGERGDADSFGGPGGKVRIVQQINHDGEVHRARYMPQNPSIIATKTVKAEVYVFDSSTHPLKPPLYGACNPDLRLSGHLSEGYGLSWSQFKQGHLLSGSDDAQICLWDINATPENKALDALHIFKVHDGVVEDVAWHLKHEDHFGSVGGDRRLCYWDVRTPRNKPVTSVIAHQGDVTCLAFNPFNEWVVATGSADKTVKLFDLRKLENAVYTLDWHKEQVFQVGWSTKNETILASSCIGRRLMVWDLSRYNDEQTPEDADEGPASLLFIHGGHTSKIPDFSWNPSEDWVIASVAEDNILQIWQMAESMYLDEDGLPGNESP >Ma02_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26841414:26846841:-1 gene:Ma02_g21110 transcript:Ma02_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYLVAALLTLLTSSQGILTTLSQSHGKYKYDYATVPFLAEVFKLVVSSFFLWKECQASSSAPRMTTDWKSVRLFPIPSIIYLVHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRFFLRRKLSTLQWMAIVLLTVGTTTSQVKGCGEASCDSLFSAPIQGYMFGLLSACLSALAGVYTEYLMKKNNDTLYWQNVQLY >Ma06_p38060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36938976:36939749:1 gene:Ma06_g38060 transcript:Ma06_t38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTLDIPPPPFVGHRDPLDGPKYVMLSENNSVAGGPQHPPLRPPPYRRSLHAYLSQRRSTKTGNCCRSCLCCFCCFLLVFIVLVAALLLYLRFTFDPRVPSYKVEHFDVEAFDIQPGNSIVSMKFAITVRAVNPNKKIGIRYREGSSVLIGYKGARLGSGRLPIFYQRPRNTTKMVVAIKGRSKVGAARQSALFGNEQAGDVPLHVSVKAPLGLAVGQTELVKVKVHIDCTLVVDSLAPGKKVTIKSTDYNVDVKL >Ma03_p32820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34454488:34462819:1 gene:Ma03_g32820 transcript:Ma03_t32820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MPILNLLSASSGDPLLSCRVSAGSRQCAAVAALFPSPPTSLHLRLRSKPFRLWTKTLHGARFRRSLVVFQKLDADRNGSARDDDFITRVLKENPSQVEPKFLVGDRFVTLREKQRSGKDLNFGVIQLLKRLFGRSGTRKEGVEGGGRKEGEAAEPVYLKDLLREFKGKLYVPEEVFRENLSEEEEFEKNVQELPLMSFEDFQKHLKADKIKLLTSKSTFDYSSEIYYRDFLVDLKEIPGDRNIQKTKWVIRLSASQARAALEEYNGPQYEIEKHTMSYVGKSLEYPHPVASSISSRVMVELAMATALIAAAAAVIVGFVASAAFAMTSFLYAATIYFVWPLSRPFVKLVLGIVSSIAERMWENVVDIFSEGGFFSKIYEFYTFGGVSASLVMLKPIMLVLITMVLLVRFTLSRRPKNFRKWDIWQGIEFGHSKSQARVDGSTGVKFSDVAGIDDAVEELQELVRYLKNPELFDKIGIKPPHGVLLEGPPGCGKTLVAKAIAGEAHVPFYQMAGSEFVEVLVGVGSARIRDLFMRAKVNKPAVVFVDEIDALATRRQGIFNESTNYFYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRMDLLDPALLRPGRFDRKIRIRPPGAKGRLDILKVHARKVKMSPTVDLSTYAQNLPGWTGAKLAQLVQESALVAVRRGHESILQSDMDDAVDRLTVGPKRLGIELGHQGQCRRAVTEVGVALTSHLLRRYENARVEFCERISIIPRGQALSQIVFLHLDEESYMFERRPQLLHRLQVCLWKYYCSIDLPNFLGLFCLYVSFIKVFLGGRAAEEVIYGRDTSKASLKYLQDATCLARKMLTIWNLENPMTIHGESFPWKKKPKFIGPKLDFEKELYDHYGLVEPSINFDLDDAVARRTEELLRDMYEKTVSLLKTHVAALAKSVKVLLDNKEISGDQIEFILNSYPAETPVKLILEEKDPGSLPFFQVDADRSTALPKLIPVGEAAAQ >Ma03_p32820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34454488:34462819:1 gene:Ma03_g32820 transcript:Ma03_t32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MPILNLLSASSGDPLLSCRVSAGSRQCAAVAALFPSPPTSLHLRLRSKPFRLWTKTLHGARFRRSLVVFQKLDADRNGSARDDDFITRVLKENPSQVEPKFLVGDRFVTLREKQRSGKDLNFGVIQLLKRLFGRSGTRKEGVEGGGRKEGEAAEPVYLKDLLREFKGKLYVPEEVFRENLSEEEEFEKNVQELPLMSFEDFQKHLKADKIKLLTSKSTFDYSSEIYYRDFLVDLKEIPGDRNIQKTKWVIRLSASQARAALEEYNGPQYEIEKHTMSYVGKSLEYPHPVASSISSRVMVELAMATALIAAAAAVIVGFVASAAFAMTSFLYAATIYFVWPLSRPFVKLVLGIVSSIAERMWENVVDIFSEGGFFSKIYEFYTFGGVSASLVMLKPIMLVLITMVLLVRFTLSRRPKNFRKWDIWQGIEFGHSKSQARVDGSTGVKFSDVAGIDDAVEELQELVRYLKNPELFDKIGIKPPHGVLLEGPPGCGKTLVAKAIAGEAHVPFYQMAGSEFVEVLVGVGSARIRDLFMRAKVNKPAVVFVDEIDALATRRQGIFNESTNYFYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRMDLLDPALLRPGRFDRKIRIRPPGAKGRLDILKVHARKVKMSPTVDLSTYAQNLPGWTGAKLAQLVQESALVAVRRGHESILQSDMDDAVDRLTVGPKRLGIELGHQGQCRRAVTEVGVALTSHLLRRYENARVEFCERISIIPRGQALSQIVFLHLDEESYMFERRPQLLHRLQVFLGGRAAEEVIYGRDTSKASLKYLQDATCLARKMLTIWNLENPMTIHGESFPWKKKPKFIGPKLDFEKELYDHYGLVEPSINFDLDDAVARRTEELLRDMYEKTVSLLKTHVAALAKSVKVLLDNKEISGDQIEFILNSYPAETPVKLILEEKDPGSLPFFQVDADRSTALPKLIPVGEAAAQ >Ma02_p23510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28479916:28482514:1 gene:Ma02_g23510 transcript:Ma02_t23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MASGGGSSLVPVLPPFLTKCYDMVDDPSTDDTVSWSKTSDSFVIWDPHAFSQDLLPKYFKHSNLSSFVRQLNTYGFHKVDPDRWEFANEGFLRGQKHLLKTIARRKPAHNNIVPPPQQPHRKTESVNGIIEVGNFGFEEEIEILKRDKNALMQELIKVRQHQQNSELELHSLVRRIRCMEQKQLEMMSLLAMVVQTPNFIAQLVQQNVNDRWSNINKRRRQLPPPEHNVLEGAEAVSDGQMIKYQPFAPEIMKSSFLPVANSKESGSVFNDLHSNGVSMTVGDDLALPLQENGSLTNLEDLEQLLASSAGENSEQIEPGALAEYMRSLDFTEQETDGYMGNLTSEKGSNNMVVW >Ma03_p32330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34167430:34169062:-1 gene:Ma03_g32330 transcript:Ma03_t32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVVVTGASGYIGTWLVMKLLQKGYVVRATVRDPTNQKKIKPLVELPGSVERLTIWRADLEEEGSFDEAVKGCEGVFHVATPMDFESKDPENEIIKPTVDGVLSIMRSCKEAGTVRRVVFTSSAGTVNVQEQQQPQYDESSWSDIDFCRRVKMTGWMYFVSKSLAEKAAYEFARENGIDLISIIPTLVVGPFITTTMPPSMITALSLITGNEAHYSILKQVQLVHLDDLCDTHVFLYEHPDANGRYICSSHDATIYDLAKMFRARYPQYNIPQQFEGIDETIERVHFSSKKLTDLGYKFRYTMEDMFDAAIESCWEKHLIPLRTAEEQCSEVGKPLPLATETLSEFSEEKVLMA >Ma09_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8508218:8510552:1 gene:Ma09_g12660 transcript:Ma09_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVSARGSVLRHPFPSLTSPWFSSCLRRAVCVIPSSSRVSQGPDVGGEEGSKQRWLVAAGRMRCDRILRSARLQWVGGKTNLSDSDSHPKIGSSVEPISQESPHPSRYVSPPRQEGRTESYSTKPDKSLTHVQEPCDPVLAERNAVPGEAAGSNGMSINGQDTGTPSDTIPPVECLHKVVDQKQLARVYDKVIVVDNVSTARRVAKLLMTKYKNFIHACDTEVAKIDVMSET >Ma11_p13350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17623505:17630628:-1 gene:Ma11_g13350 transcript:Ma11_t13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSIESSGTRRWRPSHPVLAIGLIYLVFVSFKFHHFLEIANALSGDGSFSGLDRPVNDLTSRHLHLGHRTPVLVDHDTFYRRLEDSRNLPPALEKEQPSLRFPPIKPFQRWFGHKSIGNLTQLQAMINEAWVLGQKAWDDVKNYDPMSSTDQLSMIEGKLESCRSSLAKSSTEMGSDAELRVFLPCGLAAGSSITVVGKPQEAHEEHVTKLARLRQGDGSGTTWVSQFVVELQGLRVVDGEDPPKILHLNPRLMGDWSKKPVLELNSCYRMQWGTAIRCNGLPSEDDDDTVDGFKKCEKWARSDMIDLKESKTTSWLRRFIGRAKKPEMTWSFPFVESRLFVLTLQAGFEGYQIFVGGRHVASFPYRPGFTLEDATGLAIKGDVDVHSVFATSLPTSHSSFSPDRVLEMSENWRSPPLPESTVDLFIGIISASNHFAERMAVRKTWMQSSQIKSSNVVARFFVALNLRKEVNTMLKKEAEYFQDIVILPFMDRYELVVLKTIAICDYGVHNLTTPYIMKCDDDTFIRVDVVLKEIKRVSQEKPLYMGNLNLLHRPLRHGKWAVSYEEWPGYIYPPYANGPGYIISRDIAKFIDSQNANQSLRLFKMEDVSMGMWVKQYNSSMAIQYSHSWKFCQYGCMENYYTAHYQSPRQMLCLWDKLARGRAHCCNFR >Ma09_p28930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39565063:39567546:1 gene:Ma09_g28930 transcript:Ma09_t28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSHIINGSSTQVLIIVSDGRPHSGLPQAEFMPSSELEPRLRWDAYRSQKPGCCFCEGMALLSRLPLLVLLAELAAASYDVGFVFDGFTGGANLSLNGYAEVTSDGLLKLTNFTPEATGHAFYPSPINFKNATNGGPISFSTTFVFAIVPVYPNMSGHGIAFALAPNRELPGSMPSQDLGLFNSSDHGQASNHVVAVELDTVKSVDFDDINANHVGIDVNSLKSLNASPVAYFDSREGVIKSLQLISGEPMQVWVDYHGGETKLDVMVAPLNEPKPAVPLVSSTINLSSIVLDEMYVGFSSATGAATGCHYILGWSFGLNRDAPPLDLSKLPKLPRQGGRTKRSTEVLAIELTLAAIILLLISAAVVTFIIRRRKKFAEMREDWELEYGPHRFSYKDLYRATKGFHEQNLLGVGGFGRVYKGVLPTSKMEIAVKKISHDSKQGMREFISEIVSMGRLRHRNLVRLLGYCRRQGELLLVYDYMTNGSLDRHIFDLKRPPLSWSRRFHAIKGVAAGLLYLHDGWEKMVIHRDIKASNVLMDTEFNGRLGDFGLARLYDHGTNPQTTRIVGTLGYLAPELSMTGKATTQTDVYAFGAFLLEVACGRRPMDVHAPADTPNLVDYVLECWKMDAIAEARDPKLGDDYSAKEVELVLKLGLLCSHPDPMARPSMKQVMRFLEGDAPLPMTTAGSLISSVAKQRYDRTFDDFLMSYSSFSDASHVTHSATLLSTADDSAMQLDV >Ma05_p21740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33518796:33527317:-1 gene:Ma05_g21740 transcript:Ma05_t21740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1 protein [Source:Projected from Arabidopsis thaliana (AT3G02680) UniProtKB/Swiss-Prot;Acc:Q0H8D7] MVWCLYPVDPVRGAQKYYIFSIGTYKIGRKVVNKELGADATRLKKNQEAMLKDGNFVTFGTGSATFRLSYVPFVIFSRSVNTSPLNPMIQATILAIGAYLTSSWSPECTHVLVEKSSPVTIELIQAVLARKPIILGDWFKMLAEKSICTEIPSCTFYVPDLVLDGTLVKVVEPMFREKIFEGYTFVLGSLNLYKFKGMFQSLLELVGAKCLSAGEFSSNSQTSTDGENNQLFLVVPAESTGEFSHLRELSSLVRVIDVKLVVAVLSGNLDLTIFEQPPYVVASSHSTDETIVAASDVEMDTATSDHADATSKPQLAINSEYEDVVKRRLEDGKGAGRCENRESDIGSPAIASYGKVSDSRNEDVGLITRTEKGDESAVDRHENSDIIYSQDLIVRNISTTYSQRSTTDEVFNFKCFRKKETVSGNSFKDLIPFSKDPYNESDFGSKGTSEYMKEEKKRKQIEAIAEDLFNNDKVRKRAAAGASLYSLFNHK >Ma05_p21740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33518796:33527344:-1 gene:Ma05_g21740 transcript:Ma05_t21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1 protein [Source:Projected from Arabidopsis thaliana (AT3G02680) UniProtKB/Swiss-Prot;Acc:Q0H8D7] MVWCLYPVDPVRGAQKYYIFSIGTYKIGRKDCDVVVQTDTSISRVHAEIVLDKMISQDPSGSMISSGFSGVRIIDRSKFGTVVNKELGADATRLKKNQEAMLKDGNFVTFGTGSATFRLSYVPFVIFSRSVNTSPLNPMIQATILAIGAYLTSSWSPECTHVLVEKSSPVTIELIQAVLARKPIILGDWFKMLAEKSICTEIPSCTFYVPDLVLDGTLVKVVEPMFREKIFEGYTFVLGSLNLYKFKGMFQSLLELVGAKCLSAGEFSSNSQTSTDGENNQLFLVVPAESTGEFSHLRELSSLVRVIDVKLVVAVLSGNLDLTIFEQPPYVVASSHSTDETIVAASDVEMDTATSDHADATSKPQLAINSEYEDVVKRRLEDGKGAGRCENRESDIGSPAIASYGKVSDSRNEDVGLITRTEKGDESAVDRHENSDIIYSQDLIVRNISTTYSQRSTTDEVFNFKCFRKKETVSGNSFKDLIPFSKDPYNESDFGSKGTSEYMKEEKKRKQIEAIAEDLFNNDKVRKRAAAGASLYSLFNHK >Ma02_p13970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22132991:22138258:-1 gene:Ma02_g13970 transcript:Ma02_t13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVVSPTFFLRSSSSSSSINLRVRAKPLRRRLDSSSFAPSPLRCGMMEPLEFGNGSRPFIPILNDKMSPGLLVSPQTRGTGGNHDSRLRIFSGNANPALAQEIANYLGLQLGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYSRADRKTQERESIGAKLVANLITKAGANRVLACDLHSGQSMGYFDIPVDHVYAQPVILDYLSSKTISSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNAAEVLNLIGDVKGKVAIMLDDMIDTAGTIVKGATLLHQEGAKEVFACSTHAVFSPPAVERLSSDLFQEVIVTNTIPVPEQNSFPQLTVLSVANILGETIWRVHDDCSLSSIFQ >Ma03_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5690170:5691655:-1 gene:Ma03_g07940 transcript:Ma03_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNLGMRVASRSLVKASHPSVATNHVLPVSNLDLFPNDFQVALCSIYPRHTTGDFASVADALRTCLPAFLDHYHPFTGRIVTNPETGLPEVHCNNEGAELVVAYSDVPLAHVDFHDTDGSLNQIVLPFAHDVPLSVQAVEFACGGFSISWGTNHLLVDGYSICMLATHLCELVRTGKLSVYPNHDRSLFLPRVPRTYSPALARSFVPCTSDNLFNVLNCEVNVRRLYYIEAGDIDRLRESSSKAGRRATRMEAVSAYIWKLFAGIVEDAGDTCCRMAWLVEGRSRLKEISDMQNYIGNTTTFATKEASTEELGSGSLSQIARVVSASIREVAKKEHFQEMVDWMEEHKREGRWVERVSVGFGSPAVVLTSFHNFGVDLDFGLGRPVLVMPVVPKGRLCSAFLQVVGSPKGDGSWNVSALMWPKLAKALELDGLFKPITSRYLGLVTPAVPRPVSKL >Ma02_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21410619:21414446:1 gene:Ma02_g12790 transcript:Ma02_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVELLLLLLLTLSSMGLTNVESASTKKPFTLPSNCTKKCGNINIEYPFGIGKDCYRWGFNLTCTNHTDQPPRLSLGDGILEVTRIDLDTGTVRVKTPIVTMVSGCNAIAFLVDPITNEAFDTCTTICTPSGNTYCTIDIYNWNRTSLGIQVTRLNQTEFHLASSSSIKIFLYDASNITEDDLAKIAKGKSTETEVALLWYIKDHTTCEEAKNMTNYACISPNSDCNDIVDYAYTNYTLGYFCRCSLNYQGNPYLPDGCQEISSTFAPDRDCQTKCGRISISFPFGLKERCYRSQEFALTCNKTSNPPTLLFRDYYIVHNISLEEGHLVASAPNRTPTSFYYPRKNETTFACVDENSFCLNITETSTEDIRGYRCKCDKGFRGNPYIADGCKDIDECTGPNNYVCNGTCINTNGGFECLPDKKRTVLLGVIIGVSTGSGLLLLSTSFIILRRKWKKRKQKKIRERHFHQNHGLLLQQLISSNEDVDERTKIFSLEEIEKATNNFDETRVLGRGGHGTVYKGILSDQRVVAIKKNITTSMFQMVPLDTWIQNITRLRSILLISWKNVS >Ma07_p23710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31255329:31265726:1 gene:Ma07_g23710 transcript:Ma07_t23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) UniProtKB/Swiss-Prot;Acc:Q8GYP3] MSDFAALSDEPSTSATPSASNPVSGKPVVVRVKRKSFQSRVDAFWLEINGRPLKRQLLDFANLSISDADTGKVLEEFGTKKLLVQHLETVRASEDIKDMLHCFLHDSSYSKELKGRSEERGSILKPDKKQDQLRSVAVKKHEDLARNARYEQIWKSRRGCTDAAEEGLHEICRLYDIVRVDLEHETNERVHKPDFISADDGAILCNYVPLLREYLPETAVEIESGIRESKEDNYVYDLYTVGDGLVTTTRDTTKFPLVQVADDDFYEGPLESDYETDDSNAECNTRNDYPDEESSGDEAKGEDRDDFFDDLEGSDSDSDSQEEVDFDEEVDSDEKADIDKEDDKD >Ma04_p31670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31922463:31923050:1 gene:Ma04_g31670 transcript:Ma04_t31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGMFEIGPNEVMFNTADDSWRGRVYFRTYCTTDNHGIVSCLTADCGSGEQSCEGKAAKAPVTLLDFLNFSGDDTQYTYDISLMHGFNVPAMVYPQNSSCQPTGCPGDINAICPDDLRVKDSAGKTIACKSACDAYQDPKLCCIKDFGSRAKCQPSSQAKVFMQACPLAHTWTYDGRAFACSGSDFNITLCPTA >Ma02_p12200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21023962:21024776:1 gene:Ma02_g12200 transcript:Ma02_t12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSFSSSSSSSDGSLSTVEIQAGFSGCSSYVPSPPPSCYGSAMLGSLSSLRPRSLFFDGLDDEEDDEPRHFLDSCSLCRKPLARNRDIFMYRGDMPFCSEDCRKEQIEIDEAKEKSWKLSIKTSSSRKEQQRKIAAAGGAKSEKIHVRAGTVVAG >Ma02_p07060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17890234:17895523:-1 gene:Ma02_g07060 transcript:Ma02_t07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERKGNADQFPAGMRVLAVDDDPLCLKLLEALLRRCQYNVTTTDKAITALNLLRENKDKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSGNGETQTVMKGIAHGACDYLLKPVRIEELRNIWQHVIRRRKFERRCHNDLDSREDGQKAQIVKSEDGQGAADCYEKANKKRKDQIEDDEDDSDDNMQENEDPSSQKKPRVVWTVELHRKFVIAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRLSAVAGQQASMAAAIGGRNSSYLHMASLNGLRNYYATGGSSQLPTLGSFQPNGVLGRMGSPSALGMHGLLPSQTGQLGSVPSSTSNPYNDLGKIQGTTSPGNSQMNLLQGLPGTLNLEQFQQPKLVQEPKKHLPAGLYGSGLAAGPSTGSFPNVSNNPLLLQPNKQHDRSGGLGNYSSFRMSPSSSDFDIDLQDISQFPRISRYNDSWQGAVVSSRDSANAVPILASSSHNNLSPGNIGGNFSSRTPHAATKMLDESPNIVAVAPLSDPTISNNIQNQVCSLSGSTIAMPAGFNNDPKLSKFNITGNSRQKWDYIFNSNEISSTSSNPSLPNLRITDATAQCQASENVFINKKLDVDAIGQVHFGAPLVTQACMIDKLISDSPQNFRGEYAMATTSLQTGLNSTGCSLDDVVNAMVKPEHDDIAFLDMDMGCEIYPLGTCM >Ma11_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5803755:5805586:-1 gene:Ma11_g07270 transcript:Ma11_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMRRHAAQGRPERTRLRTEPPPKHQSQQLEGRKVSVVYYLSRSHHLEHPHFLEVPLSSPAGLYLRDVVDRLDVLRGKGMAAMYSWSCKRSYKNGFVWQDLSEGDLILPEQGDEYVLKGSELLDRTPPDRNHHGTTNVKIQNLKHPLQQPPHKGEEASPSSSTTAVVLKEAKHPLRQQPPPPPPPPAPGFITAPSAETRIRKPDGAQEATTQIDNGEERRNRGLMCSKEDPNIVKVGSSQPPTSSGPSSPSCAKMSTLQSLIRAELSRRNGYRNLEGEDVYLPTGSKLKAANMIMHLITCGSISVKDHYRFGSVCQLKVSRGHQLFAREPKRNVLRDEKEGTSQRELH >Ma01_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7389707:7392666:-1 gene:Ma01_g10250 transcript:Ma01_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRHEICKYLFQEGVLFAKKDYNLAKHPEIDVPNLQVIKLMQSFKSREYVRETFAWQHYYWYLTNDGIEYLRTYLNLPSEIVPATLKKSARPPARPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRPGGPPGDAGDKGGAPPEFQPSFRGTGGRPGFGRGGGGYGAGPASASFQ >Ma07_p21060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29152853:29158079:1 gene:Ma07_g21060 transcript:Ma07_t21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTISMFAMLGNMIKRDHFDSIEVSIQETSVVQFDALKAEEKSVTQVSTESSPELKPCWAKPVPKIEQSKGFITFSLTGGPEHHISQVTDAVVIARYLGAILVIPDIRGNEVGQRRNFEDMYDADNFTASLTGVIEVVRELPAEVTTENPAVIRVPNRVSEDFIKNNIQPVFQTKSYLRLATFFPSINLKASGKPNTDLDSTACLAMFGSLVMKQEILEVVDRMVERLKTISRNTGSQFIAVDIRVDVLEKKSCREKSNGRKPCYTAVEIRDFLRKVGFNADNTIYLTETWWHESLNPLKEVFPKTYTKDDLIPAEKKGHFLQSGGAELQRALDFQICSKSDVFVPAISGLFYGNVAGKRITLGRTQILVPSQVPSSSPTASNFFSPYVSKRNHVAYSCFC >Ma07_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29152853:29158079:1 gene:Ma07_g21060 transcript:Ma07_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTISMFAMLGNMIKRDHFDSIEVSIQETSVVQFDALKAEEKSVTQVSTESSPELKPCWAKPVPKEIEQSKGFITFSLTGGPEHHISQVTDAVVIARYLGAILVIPDIRGNEVGQRRNFEDMYDADNFTASLTGVIEVVRELPAEVTTENPAVIRVPNRVSEDFIKNNIQPVFQTKSYLRLATFFPSINLKASGKPNTDLDSTACLAMFGSLVMKQEILEVVDRMVERLKTISRNTGSQFIAVDIRVDVLEKKSCREKSNGRKPCYTAVEIRDFLRKVGFNADNTIYLTETWWHESLNPLKEVFPKTYTKDDLIPAEKKGHFLQSGGAELQRALDFQICSKSDVFVPAISGLFYGNVAGKRITLGRTQILVPSQVPSSSPTASNFFSPYVSKRNHVAYSCFC >Ma05_p29180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39950890:39953932:1 gene:Ma05_g29180 transcript:Ma05_t29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSPCMSESFLCRAPRILSSLQIYSVVHVCSSLSNGNFLDYSGTLIW >Ma05_p16190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15622928:15626406:1 gene:Ma05_g16190 transcript:Ma05_t16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKFKVDSPNVRYGEEAIEAVYHYETTELVHENREGDYQWVVKPKTVGYNFKTDTRVPKLGVMLVGWGGNNGSTLTAGVIANKEGISWATKDKVQQANYFGSLTQASTIRVGSFNGEEIYAPFKSLLPMVDPDNIVFGGWDISDMNLADAMARAKVLDLDLQKQLRPYMESMVPLPGIFDPDFVAANQGARANNVIKGTKKELVQQIIKDIREFKEKTKVDKVVVLWTANTERYSNVVVGLNDTVENLMASLEKNDAEISPSTLYGIACVLENMPYINGSPQNTFVPGLIDLAIQRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPASIISYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYETGEHPDHVIVIKYVPYVGDSKRAMDEYTSEIFMGGRSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEDKFHSFHPVSAILSYLTKAPLVPPVTPVVNALSKQRAMLENILRACVGLAPENNMNLEYK >Ma08_p02700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2015629:2019796:1 gene:Ma08_g02700 transcript:Ma08_t02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFIVTVVGVTAAVLLLRSDVKQSAAIFRRNVRHIRQWLEEESASAAKSVERSAPKELDSQAPRKEIHKDEKH >Ma03_p25530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29532936:29537226:-1 gene:Ma03_g25530 transcript:Ma03_t25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRRPPLAAALAAALAVVSIVSADVYFEERFGDGWENRWVKSDWKKDENTAGDWNYTSGKWTGDPEDKGIQTAEDYRFYAISAEFPEFSNKDKTLVLQFSVKHEQKLDCGGGYIKLLSGEVDQKKFGGDTPYSIMFGPDICGYTTKKVHAIFSRDEKNHLIKKDVPCETDQLTHVYTFIVRSDATYSILVDNKEKQTGSLYSDWDILPPKQIKDPDAKKPEDWDDKEYIPDPDDKKPEGYDDIPKEIPDPDAKKPEDWDEEEDGEWTVPTIPNPEYKGPWKQKKIKNPDYKGKWKAPMIDNPDFKDDPFIYAFSNLRYVGIELWQVKSGTLFDNILVCDDPEYAMKFAEETWGKNKDAEKAAFDEAEKNKQEEEAKDEDSDLDGEDTEDAEDDADSKSDSDAEEEKETTHDEL >Ma02_p16770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23979211:23981805:1 gene:Ma02_g16770 transcript:Ma02_t16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRKQDEASAAPKKEEVAQERPKRTLLGWKDGVSALDAERGVPRASLQERQQGGVQESEGATLNEFIELRNCSSCLFFDCRKQKDLYRWMVKCPSGPSVKFLVNAVYTMEELKLTGNHLKRSHPILTFSSNFDKVPCIFFCSQVLAYCFIFSIPKDHWKVKPFHDHVFVFPIVDDHIRFCNYQISVPHNEIDKVDRSIEEI >Ma05_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5884710:5885455:-1 gene:Ma05_g07950 transcript:Ma05_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENNKCYLLDCGAEVFIWVGRVAQVEERKAASKAAEDFIISQNRPKTTRVGQVIQGYETHSFKSKFESWSAGTVTGMGNSTGQEGQGKVAALLKQQGVDFKGISKGCPVNEEIPPLLEGGGKLEVWRINVSAKNPLPRE >Ma05_p30100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40614914:40616931:-1 gene:Ma05_g30100 transcript:Ma05_t30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQNQDNYGGPNLQRSAMEPLRTRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEQFGSVGDANVFYWFQNRRSRSRRRQRQLQASLMADPRAAGVVQHASGAATSSSSNSPAGGFFACSSSTSAAASSSNFVIDDNADDLFSISRQMGFMEATQAPPFMCSSDVSHLHNQPETITVFIDGVASEVPKGPIDLRAAFGHNVMLVHSSGQLLPINDDGILMQSLQMGESYFSVTRPT >Ma03_p26030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29928333:29934748:1 gene:Ma03_g26030 transcript:Ma03_t26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVPEVYARDAMIAWFRGEFAAANAIIDALCGHLVQIGEGKEYEAVFAAIHRRRLNWIPVLHMQKYYSIADITDELGLVAANSAAVYFASAAEEPLEAKQLAATPEEEKTMESGDAQADEDHAASEDTAADGATIAVEDEAAAAAEEPATGAAAEGELTEGGRVGLEEEAAPAEVRSGDSSDHKSAEDGDGKGGSQEEGTLFDKVNICVDHEDCLARPERIKISKGFVAKEAVKGHMVNVVKGLKLYEDIFTDSELVGLAEYVNELRLAGRRGELSGETFIFFNKQMKGNKREIIQLGVPLFQSTTEDAASNIESIPPALQTVIDHLVHWRLIPESRKPNSCIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVSDHEGNYKGSLTLPIKEGTLLVMRGNSAEMARHVVCASPNKRMIITFVKVRSANHLADSPAALQPTKAMTLWQPAPKVSNAGVIAYGPHGMIPAAWGLTLRSPVVMLAPPRAVAMSSSKKAPRNGTGVFLPWMVGPKKYTKHLPPRIQKRRLPSLPSPLEAQA >Ma03_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18498683:18499103:-1 gene:Ma03_g16230 transcript:Ma03_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVQSAVLSCWMMIRAYHSPWTISQSR >Ma11_p23790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27003103:27004005:1 gene:Ma11_g23790 transcript:Ma11_t23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTLAGPRPESGLGRLRKYSSFAFRPSSSSPLTAADKDEAATGGLGRPYGDEGSAEAAAAPRVTRSIMIKRPAGWASPGGGTPPSSPAGSTPPVSPFSGANRFRRKSMSDAQARKADAVAVESTSSSCPPF >Ma11_p13180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17447466:17447642:-1 gene:Ma11_g13180 transcript:Ma11_t13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTIWLIIWLVMYMLNSGMRMMLQIY >Ma05_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1901466:1918981:-1 gene:Ma05_g02820 transcript:Ma05_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEEETVAMKRGVANGVSASKEVREPNRQKKRRLVLSDSDSDDCLFSLSKVDHRTDQNGDSSSIGEDNGVERMEEKGKVEVENQKRVLRPDFMKRNEELIVSDKKEVTKPELERRSREPADAKVPAKKLEMDFSERGGGNNSEISKRKLLHGRDDTNDMEAEKDVGSKPVDPTSSKRDGLMENDTQTNSPRTSRREAEKDKPIESSGNQTLRMRHNSSSSANEKRADTAMSQSKAGVLTLQGKNGVLRVSPSNKRADGLKNLHSKRKDEEKLKAVGSPKDSARGTPKRPSLSPDQRVHGKSSTGATFSKYQSRKAKIDKTEEIRSSRRKTKPVIVSPKREKKRTDKLKNRTGLKIKSRSSSKAAFIAKQKLNKASVARSTEKQNLRDQIKNILLNAGWTIDLRPRKGRNYEDSVYIPPEGKSGYWSITKAYAAYQEQLNRACNERGKNSSGRSSKTSSGSDSVVPMESLDILKRIVNKRGRREELKETQRGKKKVKRSSDMRHARHQDTQDKLDDNRGRKKSNSALPSNKKIAVGSTVLKHVQKGRNKQRGCALLARGSNQEAEAEDNDYVPYIWKRTVLSWMIDMGVLPINGKVKYMNQRKTKTKLEGRITRDGINCSCCSKIIPVPKFELHAGSKLLQPSQYIFLEDGGVSLLQCQLDAWKKQDESERQGFYCVDVSGDDPNDDTCGICGDGGDLICCDGCPSTFHLSCLGIEKLPPGDWHCTNCCCRYCGGISTDATRDTDGTVSSLLSCHQCEAKYHQGCVPDAESVSAITKNSGMSFCAQSCRKVFKRLQKILGIKNDLEAGFSWSVIRRFDEDAPKTPLKSHLIAECNSKVAVALAVMNECFLPIIDQRSGVNLIHNVVYNCGSNFNRLNYRGFYSFILEQGDEIISVASIRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIESALFSLDIEKLVIPAISELKDTWSNVFGFKPLEVSQELEVRSIKILVFPGTGLLQKPLLKMHSSVQYSAVDGVDNDIKHQHQTISTHVSSEFSSIEPNLHIPGQDVVHCINPNQDAEPSLSSSRVSPDSSDSPRPNCKSQENKILETAGDLCMHNFPGGGLSGSHDEDKCQVDFSTNQQAELISELTLFDSQEGENVEANPSADLQECDSVSKQSYLDGFASDTKKSGSASLSMHSTEFNLLQHKLEDHCTPLGIDTFTLKQNVRMNVELPLGSLESTSTPSLQCHVTTKAHSPNSSESNDQVSSESAHDANHFEKSLMVHLEPCFLLSNEMMHSICKVKAKDSILDPKSSVNDGNSEPYAFEIVKRCLNVAATEESGASCSSAAVPDSDENARFSIQQSILDTVYVTNGTVCESNLSCVVKSCRIPSETNHSHMACSGMLDADIHDVQPNLTPINFVAADILDKSHHICNEFTNGTESKK >Ma09_p23530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35365915:35381239:-1 gene:Ma09_g23530 transcript:Ma09_t23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MVASSWPSLDELDAVRNKVADMSGADVRDVRVVVSPYRICPLGAHIDHQGGTVTAMTINMGILLGFVPSLDAQVILRSGQLHGEVKFRVDEVQHPKKIPEEPDWACYPRGALYALQSKGHHLTKGITGFICGSEEHSSGLSSSAAVGIAYLLALETANCKIIGPEDNIELDRLIENEYLHLKNGILDQSAILLSKYGYLTWMDCKTRKYDIANFSELQKCQQSEGQETYKILLAFSGLKEPLMRNSGYNTRVSECREAAETLLDASGNGSLEPLLCNVDPDSFEAHKSILKPNLAKRALHYFSENKRVKEGLKAWASGNLDDFGKLISASGLSSIENYECGCKEMIDLYEILLKAPGVFGARFSGAGFRGCCLALVDADLTDKAAAFVKQEYQKVQPELASKLPQDRLVLICEPGDSAHVI >Ma08_p32710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43336954:43339835:-1 gene:Ma08_g32710 transcript:Ma08_t32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSMDSPSRSFRSYSVSSSEDEGQCAAVVSCLTRKVTIAATGRANAISTSKVTPFPLMASRQGMGETPRLLRSRAVSRDLVRDWNFDQVHVEG >Ma08_p32710.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43336954:43339832:-1 gene:Ma08_g32710 transcript:Ma08_t32710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSMDSPSRSFRSYSVSSSEDEGQCAAVVSCLTRKVTIAATGRANAISTSKVTPFPLMASRQGMGETPRLLRSRAVSRDLVRDWNFDQVHVEG >Ma08_p32710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43336954:43339863:-1 gene:Ma08_g32710 transcript:Ma08_t32710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSMDSPSRSFRSYSVSSSEDEGQCAAVVSCLTRKVTIAATGRANAISTSKVTPFPLMASRQGMGETPRLLRSRAVSRDLVRDWNFDQVHVEG >Ma08_p32710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43336954:43339832:-1 gene:Ma08_g32710 transcript:Ma08_t32710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSMDSPSRSFRSYSVSSSEDEGQCAAVVSCLTRKVTIAATGRANAISTSKVTPFPLMASRQGMGETPRLLRSRAVSRDLVRDWNFDQVHVEG >Ma11_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5251620:5269761:1 gene:Ma11_g06450 transcript:Ma11_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGENSSRHSTRSSSSPMSVDQFVSLMAPLIDLEKEAEITASIGSASSKSLEVAQKKGSVLLNLKCTDAQTGLMGKVLLEFQSNKGDLLPAHKFSNHDVVIVKPNKADSSSPLLGQGVVYRLKDSSIIVAFDDIPEDGLNGPLRLEKVANEVTYRSMKNALIQLGKGIQRGPAEDLVPVLFGEKPPVVSKKATQFSPFNKNLDHSQRDAVLKSLSCKDVFLLHGPPGTGKTTTVIEIILQEVKRGSKILACAASNIAVDNIVERLFPFRVKLVRLGHPARLLPQVLESALDAQVLRGDNSALANDIRKEMKVLSGKLLKAKDRNTKRDIRKELRTLAKEERKRQQLAVTDVIKRADVVLTTLTGAFSRKLEGSAFDLVIIDEAAQALEVACWIALLKGPRCVLAGDHLQLPPTIQSVEAERKGLGKTLFERLAGLYGEEVMSMLTVQYRMHELIMNWSSKEFYDNKVKAHSSVAAHMLHDVEGVKSSSSTTPTLLLIDTAGCDMDEKKDEEESTMNEGEAAVTIAHAKQLIEYGVQAPDIGIITPYAAQVTYLKMMRSKENILKDVEISTVDGFQGREKEAIVISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDTDTVSHDNFLKRLIEYFEEHGEYSSASEYVS >Ma11_p06450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5251706:5269761:1 gene:Ma11_g06450 transcript:Ma11_t06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCSGLMGKVLLEFQSNKGDLLPAHKFSNHDVVIVKPNKADSSSPLLGQGVVYRLKDSSIIVAFDDIPEDGLNGPLRLEKVANEVTYRSMKNALIQLGKGIQRGPAEDLVPVLFGEKPPVVSKKATQFSPFNKNLDHSQRDAVLKSLSCKDVFLLHGPPGTGKTTTVIEIILQEVKRGSKILACAASNIAVDNIVERLFPFRVKLVRLGHPARLLPQVLESALDAQVLRGDNSALANDIRKEMKVLSGKLLKAKDRNTKRDIRKELRTLAKEERKRQQLAVTDVIKRADVVLTTLTGAFSRKLEGSAFDLVIIDEAAQALEVACWIALLKGPRCVLAGDHLQLPPTIQSVEAERKGLGKTLFERLAGLYGEEVMSMLTVQYRMHELIMNWSSKEFYDNKVKAHSSVAAHMLHDVEGVKSSSSTTPTLLLIDTAGCDMDEKKDEEESTMNEGEAAVTIAHAKQLIEYGVQAPDIGIITPYAAQVTYLKMMRSKENILKDVEISTVDGFQGREKEAIVISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDTDTVSHDNFLKRLIEYFEEHGEYSSASEYVS >mito7_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000018.1:38298:38519:-1 gene:mito7_g00010 transcript:mito7_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIHILTRELNTADSLNYTQIGLTGLLLILSTVRKLPYIPYWLLASYLHQHRYTPLALPHFIDTQLARTMNQ >Ma11_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16535157:16542397:-1 gene:Ma11_g12630 transcript:Ma11_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTTTATIGVSSLYRTPSLDLSARRVFPCLSDKPHSCSGLLKVSAADATKRFGSLCLGADRRGSRARCPMFVTSAVASPNSVLSEEAFQGFRGLSKSSLEEGEEEGYDADTYESEEEEEGPFSATAGHDENEVAIASLDLPQQLVSSLEKRGITHLFPIQRAVLLPALEGRDLIARAKTGTGKTLAFGIPIIKRLSEADLGRKTSRQSGHLPRVLVLAPTRELAKQVEKEIDESAPYLSTVCVYGGVSYNVQKSALSRGVDVVVGTPGRIIDLINDNSLRLGEVEYLVLDEADRMLAVGFEEDVELILEKLPSKRQSMLFSATMPDWVKKLARRHLNDPLIVDLVGDQDEKLAEGIKLYAVPTTSTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSMALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKEGTAILMFTSSQRRTVKSLERDVGCRFEFTSPPAMQEVLESSAEQVVATLQGVHSESIQYFLPAAQRLIDELGTQALAAALAHLSGFSQPPSSHSLISHEQGWVTLQLTRESGHSRGFFSARSVTGFLSDVFPAAADEVGKIYMIADERVQGAVFDLPDDIAKELLNKQLPPGNSISKITKLPTLQDDGPPVDNYGRFSNRDWGSRGGGSGERSQRVSRNWGGRNSDSGDGFRRGGQVYRTDNSWSKSPRGSEDDWLIGGRRSHHSSSQGSRERGFGGACFNCGRSGHRASECPNK >Ma04_p39040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36287294:36293053:-1 gene:Ma04_g39040 transcript:Ma04_t39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAEAGETSRPPPNIQALRVRARPDPLLIVCRCFSFVTAVAAVACVFVNALFAVRSFRNGSDIFGGIFRCYAVAISLFVAVAETEWGFIIKIWRILEYWACRGMLQIFVAVMTRAFPVVSGEPRYLVLLQEISSYLLLACGLTYVISGASCIGFLKRSRQHQETTREQAARDLEELMRRKEELEALLIVDRT >Ma10_p04770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15418319:15419545:-1 gene:Ma10_g04770 transcript:Ma10_t04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLTPYSRLSRVPRHHHRPCRATISTHPSAVAAPPRLDDVTRMSPTNVAIHDPSSLRSTWSHRAWVATGFASVLSSLAKSVAAAADSGSVVEPLLAAYVGYILADLGTGIYHWAIDNYGGPSTPVFGSQIEAFQGHHRWPWTITRRQFANNLHALARSVALAVLPIEALLYAGGGDAASHAFVGTCAGCVMLSQQFHAWAHEKRSRLPAAVAALQEAGVLVSRPSHAAHHREPYNTNYCIVSGVWNAALDRWKVFEAAEMLIFFRFGVRPRSWSETQSEWREETEAATKP >Ma05_p21920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33675653:33678673:1 gene:Ma05_g21920 transcript:Ma05_t21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQESSNVGALSATTLRNPSSSSSAFVSANQSPFFSPRSPSTHTSEPLKHDSAACPNGVGITVDHLGSLTGRLQSISNIHFVASNISPAPSFCSSSNFGTPGAVYNNLTLVSSFNGVCNGSSSNNSQGTGNNFLGRGEKQKRLGKKQGKLLCSRPSASVSSTSKLRSYDVYIGFHGRKPSLLRFANWLRAELEIQGISCFASDRARCRNARSHDAVERIMNASAYGVVILTKKSFGNPYSIEELRCFLNKKNLIPIYFDLGAGDCLARDVIEKRGELWEKHGGELWMLYGGLEREWREAIDGLSRVLDWRLEACDGNWRDCVLQAVVFLATRLGRRSVVDRINRWRERVEKEEFPFPRNEDFVGRKKELSELELILFGDVSGDGEREYFELKTRHRRKSLVIGRPDKYREEEDAKDQQSESSSKGKEPVLWKESENEIEMQRLGSPLKRYRPLRPKNGSRHTRRKRSMKILYGKGIACVSGESGIGKTELILEYAYRFFQRYKMVLWVGGEARYFRQNYLALRTFLEVDLSIENHSLEKGRTKCFEEQEEEAIASVRKELIRDIPFLIIIDNLENEKDWWDQKDIMDLLPRFGGETHLIITTCLPRVMSLDPMNLSYLSGAEALSLMKGGVKDYPMVEVDALRVIEEKLGRLTLSLTIVGAILSELPITPSRLLDTINRMPVRDMAWTEREALTFRRNAVLVQLLDVCLSIFDHADGPRSLATRMVQVSGWFAPSAIPIPLLALAAHKVPEKRRSSPLWKKCWHALSGSLTASRIKRSEAEATSMLIRFGIGRSSTKPDCIHFHELIKLYARKRGGNRFAHAMVQAVYLRNSISLYPEHLWAACFLLFGFATDPIVVRLRPSELLFFMKRVVLPLAINMFVNLSQCNAALDLLQHSTDVLEVAADSLVSRAEKWLDKSFCCVRQVQSDSQNTYLWQELSLLRATVLQTRAKLMLKGGQYDKGDDLIREAIFIRTSICGEHHPDTISARETLSKVTRLLMNVQVS >Ma11_p00430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:292832:298071:1 gene:Ma11_g00430 transcript:Ma11_t00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPERKPKGGEKKKKNRKEKVAGDDKTKKKNLSRKKMEGVGEDRNQANKKRKSKEGVTKKEEAQEKKRSRNGDIGFSSFIAADKESGGGGSASCSFPMSRVRRLMRLEGGNANVTISGISSDAVFLVNKASEMFLEKFVKDSFSRGMRRQKKSVTYKDLSSTVHSKKRYEFLSDFVPEKVKAEDALKARALVVGT >Ma09_p21310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32232827:32239595:1 gene:Ma09_g21310 transcript:Ma09_t21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKNISVVRDGGRHRRQTPTHPDNGVDAGGVPSAAVTPLRESSGPATPVHQSSTSCPSPNPQDSAGPLPAGASPSPSRSTPRRFFRRPFPPLSPAKHIKAALAKRLGSEKPKEGSIPEAVTGEAEQPPLDKSFGYGKNFGSKYELGKEVGRGHFGNTCLATAKKGEIKGQTVAVKIIAKAKMTTAISIEDVRREVKILKALSGHKSLVKFYDACEDDVNVYIVMELCEGGELLGRILARGGRYTEEDAKAIVQQILGVVAFCHLQGVVHRDLKPENFLFTNNDENAPMKLIDFGLSDFTRPDERLNDIVGSAYYVAPEVLHRSYSNEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPALSPEAKDFVKRLLNKDYRKRMTAAQALTHPWLRKEQSSIPLDILVYKLITSYFCVTPLKRAALKALSKALSEDELLYLRLQFSLLEPNKDGLISLENFQTALMKNATEAMKLSRIPDILNAMEALSQRRMDFNEFCAAATSPYQLEALEQWEQIASTAFTYFEQEGNQVISIEELAQELNLPTASHSLLQDWIREEDGKLSFLGYTKYLHGVTIRGSNTILN >Ma01_p11510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8331129:8332086:-1 gene:Ma01_g11510 transcript:Ma01_t11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVLVALTSFCSAKTVVVSSFQIGSAGPDSQQHVFFEHPFSLRVWDFFRAKLHLHFNIFNSCGEGSWLKEGSDLFPSSSNYSASLIAYSLWLIWTARNDVVFQNVCISPLLIAYKAVSYLYDSSTVTRPAGMQPLQLGISSIQVCWSRPAAEWIKLNMDGAFEAIS >Ma04_p07080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5144876:5146214:1 gene:Ma04_g07080 transcript:Ma04_t07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDCAPPSALIWEEDGPGLDDEDDDGVLKNDMAALCNEILALEADGEYVEWLLSREKDRESRTRDSSPESSCDPARSGAIRWIVEVGCHLSIRRSVPSSLGLSVPDGFPLRFLLQAQRCFRFGLRTAYTAVAYFDRFFAHKTIDQKGKTWALELLSVACLSLAAKMEEHSAPAVSEFRVKGYRFSSEAAQRMELFVLSTLEWRMLTVTPFAYLNVFASKFDERGQKGLISKAIDFIFAAMEVMNLVDFQPSTIAAAAVLAAWDARLTKRLVESKTSLLSCCGSLDAEYVFSCYSLIVLESHKEKARAATFLASSGTSPANCESTTDTGTGSTVSLATTSSKRRRLQ >Ma05_p01990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1259776:1263618:-1 gene:Ma05_g01990 transcript:Ma05_t01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENKSVIGLVWAPKVPPLFGVKNSSEKLHDQTKDPVLTPGTELVDGLYVPPRDPRKMNKLLKKNMKDTAGRSWFDMPALTITPEIKKDLEILKLRHVIDPKRHFKKGDNSKALPKYFQVGTVIEPASEFFSGRLTKKERKTTLADELLHDDALKAYRKRKIQEIQESHQPGGVEKWKNKGRQTWKRAKQRRK >Ma03_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28040112:28041967:-1 gene:Ma03_g23490 transcript:Ma03_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMAYQTGPAVPDWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGHHLLPFWGKAGPALGQNFLLNQAILPATEHDHKDGTLETGELELLYPMASMVYFQCVFAAITLILLAGSLLGRMNFKAWILFVPLWLTFSYTIGAFSLWGGGFLFQWGVMDYSGGYVIHLSSGIAGFTAAYWVGPRSTKDRERFVPNNLLLMLAGAGLLWLGWAGFNGGDPYAANIDSSLAVLNTNICAATSLLVWTCLDSIFFKKPSVIGAVQGMITGLVCITPGAGLVHGWSAIIMGMLSGSVPWFTMMVVHKRSRLLQKVDDTLGVFHTHAVAGFLGGVTTGIFAEPRLSGLFVAVTNSRGLIYGSLVQVLKQVVGAMFVIGWNVVMTTLICVAIRYVMPLRMSEEQLAIGDDAVHGEEAYALWGDGEKYDSTKHGVHDDETLHNKVATGVTQNV >Ma11_p24060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27196960:27198969:1 gene:Ma11_g24060 transcript:Ma11_t24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSMMEARLPPGFRFHPRDEELVCDYLMKKVFCGGNGGDGGCWPMMIDVDLNKCEPWELPEMACVAGKEWYFFSLPDRKYATGQRTNRATQSGYWKATGKDRQVSRRGVLVGLRKTLVFYRGRAPKGRKTEWVMHEFRMADSDDPPQKFSSKQDDWVLCRVFHKSRGVASKPTAETGDDEAVSSSLPPLTDGHIALEQAPLGSRGYDQVSCFSDLNPHSASHANDAAYPPLSAVERSHQLKSSTLVGGLLDLGSSDQVVSSVLSHFTKLEGYPKREFPPNTSAPRSLDSHFTGNGLSYVWNPF >Ma04_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23658079:23659594:1 gene:Ma04_g20980 transcript:Ma04_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHGKHQQQGGEEEAEGTLHGDVLDAVISRVSALDLLPASRVSKAWRAAVVSSVRHSPRRPPPWLVLYLQGRRGTATTHAFDPLSRSWRSITRVPWCSFPAGLHSPAPQVSTYACSQRGGTRLYALSPSGLALARDPFSTVWRELEAPRYWRTDPVVALVGPHVVVAGGTSEFEDDANSVDVHDACSGGWEASEPMPEAFGWSSAFSAAANGKRLYVMDKWFPFTASWFDPAAKRWGPTRRVSIPDPTVRHAALGFGNGRLLLAGAGGSGTGAGWRAESVRLWAVDEETLQVEEEVGRMPREMVEGLVDDAGWGLWSIGFLSEGDYAYVYNPSYLGEFFLCEFEEGGGCRWERILRPTCVEARPMHRVVFGCSEVSMDDLKTAAN >Ma05_p27310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38670547:38672068:1 gene:Ma05_g27310 transcript:Ma05_t27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWKLLLAWMGLVLMLRTGEAQLNPNFYQVSCPSVESIVRQAVLKKLKQTIVTVPATLRLFFHDCFVEGCDASVIIASPRGDAEKDAPDNLSLAGDGFDTVIKAKQAVEAQCPGVVSCADILAIAARDVVVLSGGPTFAVELGRRDGVTSRADRVTGNLPGPEFSVDLLSSMFRKNNLSTRDMIALSGAHTVGFSHCSRFADRLYSFNSTSPVDPSMNPAYAKALMRVCPRNVDPTIAINMDLNTPITFDNVYYKNLLNGEGLFTSDQVLFTDQRSRPVVKEFAADQNSFFKAFASSMIRLGRLGVKTGSQGEIRRDCTAFN >Ma03_p05730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3938150:3939439:-1 gene:Ma03_g05730 transcript:Ma03_t05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEVLQLQEMLFHEEIIRSNICPRMAPSELMAYCNICMESKPLHQFVDINFCSHKFCLGCMSMYISAKLDEDAANIRCPEPGCRVGVLEPLSFQYLLPSDTFERWCDSLCQSTIRAKFYCPFKDCSALLEAGGGGDEEEEEEEVISASECPHCNRLFCAQCKEPWHHGLDCREFQKLGEDERGREDLQLWELAAKQKWQRCPNCMITVERIDGCRFMRCRCGQCFCYICASPMSQEDHYCFECQS >Ma02_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19674608:19675151:-1 gene:Ma02_g09910 transcript:Ma02_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVLKVCIMCNKCKICIMTTIAHFEGVVSIALDAEKNTVTIVGNVDATLIVKALRKARKTADIVSVGEASRYRPVTVELCTTSQSHCSSCRPVMVVCEETKGCSIL >Ma07_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29400074:29410279:1 gene:Ma07_g21270 transcript:Ma07_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMIVNKAFANMMDENIITADDGVTIEMADRTRPDQMTNYEHQQDSDTNALPPISPKLVVATEKNHKREIDGNEEKTRSAVTTDKKQKREIESETRPTRYIPIDIVESLLTSMNPKDAMRLSVACKDWRATAPKFDPTMSKTPWLITTEYQNLTCSLRSVVDKEVTFKIELHGYPVTRTLFCNCSHGWLVVNPSNHSRMLLLNPFSRAWLQLPPCLLEPNFFLCMSSAPSNPDCVLLARDFINQLYVWRPGDQLWTFEKDRVELFDTIISFEGQFYTWNNHIGCLTIFRVLPLRLRKLMLPCPIDRSDYFNSITSLVECGGNILLVYVMEHADESLVVILFQLDLEKKMWIKLESLGDRALFMNIPFKHAFSVLASEARCCANCIYFTHFWQLSSHVEFISYNMDSHSIERFPKLVKHGRQQYTYSQFWITPNLS >Ma08_p34270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44334729:44342183:-1 gene:Ma08_g34270 transcript:Ma08_t34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGGDYGSYYAPQYPPPVSNPNPNPNPNPNPVEFPPSNPIQQPYASAPPYSTDFPAYSSAAPTPAPAPYAPYSQKPEPAPPLPPSAPSYSQPQSQFPPQFPTFPTYSPSPYPPESTPTPSPSLYPHEQPSSVPYYPYDPSQQAVGSYAPSVNPSSVPNPSPNPSSSFSSPYPSYDAPYRSSAARPDQEAQYLNDNPGMYGHGRSGFGQDFREKNPYGGMRYDEFVPQNDGLGDGVYQYDGGRSEPYGARGTVPTRSPALFDDYGRSISFPSGGKDQGGSSGKIVRAVPKVETQQDVKHGVQKFRVKLLPEGGGQSTMDVLCQIGLDGIRMLDPSTNRTLRIYPLETVTKWEVSESSTFTFWSKSSVDFDPRRIRLQSNSYTTNTILDTVTAATVQFKEMGGKDSLISGRGTVDASKLSDQSTERKKGLVDWMTLIKPANEEKDHWVPDEAVSKCTSCGSDFGAFNRRHHCRNCGDIFCDKCTKGRIALTADEIAQPVRVCDRCMAEVTRRLSNAKEAASKPAGLQTHEDLARKLQEEMDKNLKSVSKSTKVSGKGMREVACPICTVHLQVQVPASGSETIECGVCQHPFLVSAR >Ma10_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32343356:32344285:1 gene:Ma10_g23370 transcript:Ma10_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFTLRKPSLHVAIIIGVARGLQYLHEDSNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDEAYLSTRFAGTLGYSAPEYALRGELSEKADIYSFGVLVLEIISCRKNTDLSLPNEMQYLPEYAWRLYERSKMTDLVDSRLLEEGALEKDVLQVCHVALLCLQPHPSLRPPMSEIVAMLTCRTPPSSAPVRPTILERKSRFGIGISPS >Ma06_p23800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21954813:21985709:-1 gene:Ma06_g23800 transcript:Ma06_t23800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYHYDSIWNLAKRGDSTLIHILTLEIHQLIDRLWKRRNRLNITKTVRKFSIDLNLIPTGSEFHSGPIPKVSKHGDDSEEEYLDGSDYLTAENFEKYAHRYSILVVNFFASWCYWSNRLKPSWERAAKIIKERYDPEIDGRILLGKVDCTREGELCRRHHIQGYPSIRIFRKGSDVKENHGHDEHESYYGERDTDSLVAAMETLVAPIPRYSSNMALADESNHSVETAKRPAPLTSGCRIEGFVRVKKVPGTLVISAHSGSHSFDPSQINVSHVISQFSFGKKLSQRMLFEVKRLTPYLGGSHDRLMGRSYIINHDHANANVTIEHYLQVVKTEIVSRGSSQELKLLEEYEYTAHSSLVHSLYIPVAKFHFEPSPMQVLVTEVPKSFSHFVTNVCAIIGGVFTVAGILDSILHTTLRLVKKIDLGKQF >Ma06_p23800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21954813:21990224:-1 gene:Ma06_g23800 transcript:Ma06_t23800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISASKLKSVDFYRKIPRDLTEASLSGAGLSIIAAFAMMFLFGMELNNYLTVSTLTSIIVDRSSDGEFLRIDYNCSFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDLNLIPTGSEFHSGPIPKVSKHGDDSEEEYLDGSDYLTAENFEKYAHRYSILVVNFFASWCYWSNRLKPSWERAAKIIKERYDPEIDGRILLGKVDCTREGELCRRHHIQGYPSIRIFRKGSDVKENHGHDEHESYYGERDTDSLVAAMETLVAPIPRYSSNMALADESNHSVETAKRPAPLTSGCRIEGFVRVKKVPGTLVISAHSGSHSFDPSQINVSHVISQFSFGKKLSQRMLFEVKRLTPYLGGSHDRLMGRSYIINHDHANANVTIEHYLQVVKTEIVSRGSSQELKLLEEYEYTAHSSLVHSLYIPVAKFHFEPSPMQVLVTEVPKSFSHFVTNVCAIIGGVFTVAGILDSILHTTLRLVKKIDLGKQF >Ma06_p23800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21954813:21985710:-1 gene:Ma06_g23800 transcript:Ma06_t23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYHYDSIWNLAKRGDSTLIHILTLEIHQLIDRLWKRRNRLNITKTVRKFSIDLNLIPTGSEFHSGPIPKVSKHGDDSEEEYLDGSDYLTAENFEKYAHRYSILVVNFFASWCYWSNRLKPSWERAAKIIKERYDPEIDGRILLGKVDCTREGELCRRHHIQGYPSIRIFRKGSDVKENHGHDEHESYYGERDTDSLVAAMETLVAPIPRYSSNMALADESNHSVETAKRPAPLTSGCRIEGFVRVKKVPGTLVISAHSGSHSFDPSQINVSHVISQFSFGKKLSQRMLFEVKRLTPYLGGSHDRLMGRSYIINHDHANANVTIEHYLQVVKTEIVSRGSSQELKLLEEYEYTAHSSLVHSLYIPVAKFHFEPSPMQVLVTEVPKSFSHFVTNVCAIIGGVFTVAGILDSILHTTLRLVKKIDLGKQF >Ma03_p28490.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31623296:31648857:1 gene:Ma03_g28490 transcript:Ma03_t28490.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MGMDSHRRLDSLTAHIALYHSASSKVSNPNPSPRAAVLRWFSGLTVAHRQSALTVADADFLRVLLQMLSRLRRRGHGFFFLLPDLPSPSLPSLCFRRSYGLLSRAAAADAAERTVADSLLLFASSDDSPLLDAATVAEDLVSDVDRFVAVMDAISGGRFLRGEVNGLAAPWAEMPWLKDKGYYSLEAFVANRLEVALRLSWLSSLGGKKLKGGKAAKEKALTAGLAANVFWRKKGCLDWWAGLDPGGRKKIFEAFLGKAAKSLANEIIRESELASWNELCFHKLDGEFQLRYGPIPCWMRSKKPFFSRKPDFCMDIITNTSSGRPQSLAKYLNCLLVIQEICSLYLSEYEEKIMLFSTLPSADTISDSILRKLQKLLMGIYTNYINVELLGDAKLKTNQNKSQQKSNTGCLKGKKKSRSSGKPRSVPKASKVDSTSCETSVGHECGADSARDSTTRLCSQEETILPMDNQKAKTTTTTLKDHGNGTPSAENDTENIGDSFECKSHTSKKKSGRRRAKTKSKISSSMKVGCPDLEDKRSDLSSLAVDIERKEAIDPLLNGLSSPATVTPLLNGSAIISDPSPVDNSCEPYHEPGLMDENGNTGCMKKDLDLHNTINHCVTGLCFSKSSDRSEIHHECKCDSQSANTLEVVPQISMTNSAICSDETSANSVDPSMKCLENENRYQHVPAATERLHLDVGHEWPAYRHQSFLCSRHQARLPSNEGGCNHILPPLTLPMSFDWPPMVKSCTRLSQTVTVSYDSGYNSRLQSSYCTGFSGHAVQNTGTFSENDRIHTGDILDVYDMKNISDLAEDTESYWLSEEEIESHMLSGRDYNQFFGGGVMYWNPAEHVGSGFSRPPSHSSDDSGWAWHEADLNRAIDDMVGVPGLSASYNTNGLASPTATQFCSPFDTVGSGHQSVGYAVSGNDITGKVINSSSVPDIPEEKAPKSMNNSASVIEGVKGDTLPFSMLRPIIVPSMSRRGSRSEFKLGYEHKSPCVPSSRRDVPQIKRPPSPVVLCVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGVRFSDESGSEESRCCFDGAEVVWPSWGNKGLTTNPMVRSIHGPLLTDHLITIPQLAFDQEHPDVALPLQPPELLNCSSAKTSLSIMHNLLHEEIDFFCKQVAAENLIKKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLALPTSDVDIVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVRNDSLKTIENTAIPVIMLVAEVPDDIDISRKKSSMVDIPRALSSMVPGRQCNIPTTDLSSSDCTSWPYSKMKKDDNIDVKSIRLDISFKSASHTGLQTSELVRELTQQFPASVPLALVLKKFLADRSLDHAYSGGLSSYCLVLLIIRFLQHEHHVGRPNNQNLGGLLMDFLYFFGYIFEPRHMRVSIQGSGIYMNRERGLSIDPIHIDDPLYPTNNVGRNCFRIHQCIKAFADAYSVLENELPHFSGNSVPSSTGKFRLLQKIISNIDCVE >Ma03_p28490.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31623296:31648857:1 gene:Ma03_g28490 transcript:Ma03_t28490.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MGMDSHRRLDSLTAHIALYHSASSKVSNPNPSPRAAVLRWFSGLTVAHRQSALTVADADFLRVLLQMLSRLRRRGHGFFFLLPDLPSPSLPSLCFRRSYGLLSRAAAADAAERTVADSLLLFASSDDSPLLDAATVAEDLVSDVDRFVAVMDAISGGRFLRGEVNGLAAPWAEMPWLKDKGYYSLEAFVANRLEVALRLSWLSSLGGKKLKGGKAAKEKALTAGLAANVFWRKKGCLDWWAGLDPGGRKKIFEAFLGKAAKSLANEIIRESELASWNELCFHKLDGEFQLRYGPIPCWMRSKKPFFSRKPDFCMDIITNTSSGRPQSLAKYLNCLLVIQEICSLYLSEYEEKIMLFSTLPSADTISDSILRKLQKLLMGIYTNYINVELLGDAKLKTNQNKSQQKSNTGCLKGKKKSRSSGKPRSVPKASKVDSTSCETSVGHECGADSARDSTTRLCSQEETILPMDNQKAKTTTTTLKDHGNGTPSAENDTENIGDSFECKSHTSKKKSGRRRAKTKSKISSSMKVGCPDLEDKRSDLSSLAVDIERKEAIDPLLNGLSSPATVTPLLNGSAIISDPSPVDNSCEPYHEPGLMDENGNTGCMKKDLDLHNTINHCVTGLCFSKSSDRSEIHHECKCDSQSANTLEVVPQISMTNSAICSDETSANSVDPSMKCLENENRYQVSNLSLPMPEPSIKGRSYDWPETKINNSENLCKITSQFVASSINQEGFANDDGSVIQNDSKTCYSYNQTNTFEGKSYEWPVIAPHNFSSFNSQHVPAATERLHLDVGHEWPAYRHQSFLCSRHQARLPSNEGGCNHILPPLTLPMSFDWPPMVKSCTRLSQTVTVSYDSGYNSRLQSSYCTGFSGHAVQNTGTFSENDRIHTGDILDVYDMKNISDLAEDTESYWLSEEEIESHMLSGRDYNQFFGGGVMYWNPAEHVGSGFSRPPSHSSDDSGWAWHEADLNRAIDDMVGVPGLSASYNTNGLASPTATQFCSPFDTVGSGHQSVGYAVSGNDITGKVINSSSVPDIPEEKAPKSMNNSASVIEGVKGDTLPFSMLRPIIVPSMSRRGSRSEFKLGYEHKSPCVPSSRRDVPQIKRPPSPVVLCVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGVRFSDESGSEESRCCFDGAEVVWPSWGNKGLTTNPMVRSIHGPLLTDHLITIPQLAFDQEHPDVALPLQPPELLNCSSAKTSLSIMHNLLHEEIDFFCKQVAAENLIKKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLALPTSDVDIVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVRNDSLKTIENTAIPVIMLVAEVPDDIDISRKKSSMVDIPRALSSMVPGRQCNIPTTDLSSSDCTSWPYSKMKKDDNIDVKSIRLDISFKSASHTGLQTSELVRELTQQFPASVPLALVLKKFLADRSLDHAYSGGLSSYCLVLLIIRFLQHEHHVGRPNNQNLGGLLMDFLYFFGYIFEPRHMRVSIQGSGIYMNRERGLSIDPIHIDDPLYPTNNVGRNCFRIHQCIKAFADAYSVLENELPHFSGNSVPSSTGKFRLLQKIISNIDCVE >Ma03_p28490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31623296:31647801:1 gene:Ma03_g28490 transcript:Ma03_t28490.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MGMDSHRRLDSLTAHIALYHSASSKVSNPNPSPRAAVLRWFSGLTVAHRQSALTVADADFLRVLLQMLSRLRRRGHGFFFLLPDLPSPSLPSLCFRRSYGLLSRAAAADAAERTVADSLLLFASSDDSPLLDAATVAEDLVSDVDRFVAVMDAISGGRFLRGEVNGLAAPWAEMPWLKDKGYYSLEAFVANRLEVALRLSWLSSLGGKKLKGGKAAKEKALTAGLAANVFWRKKGCLDWWAGLDPGGRKKIFEAFLGKAAKSLANEIIRESELASWNELCFHKLDGEFQLRYGPIPCWMRSKKPFFSRKPDFCMDIITNTSSGRPQSLAKYLNCLLVIQEICSLYLSEYEEKIMLFSTLPSADTISDSILRKLQKLLMGIYTNYINVELLGDAKLKTNQNKSQQKSNTGCLKGKKKSRSSGKPRSVPKASKVDSTSCETSVGHECGADSARDSTTRLCSQEETILPMDNQKAKTTTTTLKDHGNGTPSAENDTENIGDSFECKSHTSKKKSGRRRAKTKSKISSSMKVGCPDLEDKRSDLSSLAVDIERKEAIDPLLNGLSSPATVTPLLNGSAIISDPSPVDNSCEPYHEPGLMDENGNTGCMKKDLDLHNTINHCVTGLCFSKSSDRSEIHHECKCDSQSANTLEVVPQISMTNSAICSDETSANSVDPSMKCLENENRYQVSNLSLPMPEPSIKGRSYDWPETKINNSENLCKITSQFVASSINQEGFANDDGSVIQNDSKTCYSYNQTNTFEGKSYEWPVIAPHNFSSFNSQHVPAATERLHLDVGHEWPAYRHQSFLCSRHQARLPSNEGGCNHILPPLTLPMSFDWPPMVKSCTRLSQTVTVSYDSGYNSRLQSSYCTGFSGHAVQNTGTFSENDRIHTGDILDVYDMKNISDLAEDTESYWLSEEEIESHMLSGRDYNQFFGGGVMYWNPAEHVGSGFSRPPSHSSDDSGWAWHEADLNRAIDDMVGVPGLSASYNTNGLASPTATQFCSPFDTVGSGHQSVGYAVSGNDITGKVINSSSVPDIPEEKAPKSMNNSASVIEGVKGDTLPFSMLRPIIVPSMSRRGSRSEFKLGYEHKSPCVPSSRRDVPQIKRPPSPVVLCVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGVRFSDESGSEESRCCFDGAEVVWPSWGNKGLTTNPMVRSIHGPLLTDHLITIPQLAFDQEHPDVALPLQPPELLNCSSAKTSLSIMHNLLHEEIDFFCKQVAAENLIKKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLALPTSDVDIVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVRNDSLKTIENTAIPVIMLVAEVPDDIDISRKKSSMVDIPRALSSMVPGRQCNIPTTDLSSSDCTSWPYSKMKKDDNIDVKSIRLDISFKSASHTGLQTSELVRELTQQFPASVPLALVLKKFLADRSLDHAYSGGLSSYCLVLLIIRFLQHEHHVGRPNNQNLGGLLMDFLYFFGYIFEPRHMRVSIQGSGIYMNRERGLSIDPIHIDDPLYPTNNVGRNCFRIHQCIKAFADAYSVLENELPHFSGNSVPSSTGKFRLLQKIISNIDCVE >Ma03_p28490.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31623296:31648857:1 gene:Ma03_g28490 transcript:Ma03_t28490.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MGMDSHRRLDSLTAHIALYHSASSKVSNPNPSPRAAVLRWFSGLTVAHRQSALTVADADFLRVLLQMLSRLRRRGHGFFFLLPDLPSPSLPSLCFRRSYGLLSRAAAADAAERTVADSLLLFASSDDSPLLDAATVAEDLVSDVDRFVAVMDAISGGRFLRGEVNGLAAPWAEMPWLKDKGYYSLEAFVANRLEVALRLSWLSSLGGKKLKGGKAAKEKALTAGLAANVFWRKKGCLDWWAGLDPGGRKKIFEAFLGKAAKSLANEIIRESELASWNELCFHKLDGEFQLRYGPIPCWMRSKKPFFSRKPDFCMDIITNTSSGRPQSLAKYLNCLLVIQEICSLYLSEYEEKIMLFSTLPSADTISDSILRKLQKLLMGIYTNYINVELLGDAKLKTNQNKSQQKSNTGCLKGKKKSRSSGKPRSVPKASKVDSTSCETSVGHECGADSARDSTTRLCSQEETILPMDNQKAKTTTTTLKDHGNGTPSAENDTENIGDSFECKSHTSKKKSGRRRAKTKSKISSSMKVGCPDLEDKRSDLSSLAVDIERKEAIDPLLNGLSSPATVTPLLNGSAIISDPSPVDNSCEPYHEPGLMDENGNTGCMKKDLDLHNTINHCVTGLCFSKSSDRSEIHHECKCDSQSANTLEVVPQISMTNSAICSDETSANSVDPSMKCLENENRYQVSNLSLPMPEPSIKGRSYDWPETKINNSENLCKITSQFVASSINQEGFANDDGSVIQNDSKTCYSYNQTNTFEGKSYEWPVIAPHNFSSFNSQHVPAATERLHLDVGHEWPAYRHQSFLCSRHQARLPSNEGGCNHILPPLTLPMSFDWPPMVKSCTRLSQTVTVSYDSGYNSRLQSSYCTGFSGHAVQNTGTFSENDRIHTGDILDVYDMKNISDLAEDTESYWLSEEEIESHMLSGRDYNQFFGGGVMYWNPAEHVGSGFSRPPSHSSDDSGWAWHEADLNRAIDDMVGVPGLSASYNTNGLASPTATQFCSPFDTVGSGHQSVGYAVSGNDITGKVINSSSVPDIPEEKAPKSMNNSASVIEGVKGDTLPFSMLRPIIVPSMSRRGSRSEFKLGYEHKSPCVPSSRRDVPQIKRPPSPVVLCVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGVRFSDESGSEESRCCFDGAEVVWPSWGNKGLTTNPMVRSIHGPLLTDHLITIPQLAFDQEHPDVALPLQPPELLNCSSAKTSLSIMHNLLHEEIDFFCKQVAAENLIKKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLALPTSDVDIVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVRNDSLKTIENTAIPVIMLVAEVPDDIDISRKKSSMVDIPRALSSMVPGRQCNIPTTDLSSSDCTSWPYSKMKKDDNIDVKSIRLDISFKSASHTGLQTSELVRELTQQFPASVPLALVLKKFLADRSLDHAYSGGLSSYCLVLLIIRFLQHEHHVGRPNNQNLGGLLMDFLYFFGYIFEPRHMRVSIQGSGIYMNRERGLSIDPIHIDDPLYPTNNVGRNCFRIHQCIKAFADAYSVLENELPHFSGNSVPSSTGKFRLLQKIISNIDCVE >Ma03_p28490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31623296:31648857:1 gene:Ma03_g28490 transcript:Ma03_t28490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MGMDSHRRLDSLTAHIALYHSASSKVSNPNPSPRAAVLRWFSGLTVAHRQSALTVADADFLRVLLQMLSRLRRRGHGFFFLLPDLPSPSLPSLCFRRSYGLLSRAAAADAAERTVADSLLLFASSDDSPLLDAATVAEDLVSDVDRFVAVMDAISGGRFLRGEVNGLAAPWAEMPWLKDKGYYSLEAFVANRLEVALRLSWLSSLGGKKLKGGKAAKEKALTAGLAANVFWRKKGCLDWWAGLDPGGRKKIFEAFLGKAAKSLANEIIRESELASWNELCFHKLDGEFQLRYGPIPCWMRSKKPFFSRKPDFCMDIITNTSSGRPQSLAKYLNCLLVIQEICSLYLSEYEEKIMLFSTLPSADTISDSILRKLQKLLMGIYTNYINVELLGDAKLKTNQNKSQQKSNTGCLKGKKKSRSSGKPRSVPKASKVDSTSCETSVGHECGADSARDSTTRLCSQEETILPMDNQKAKTTTTTLKDHGNGTPSAENDTENIGDSFECKSHTSKKKSGRRRAKTKSKISSSMKVGCPDLEDKRSDLSSLAVDIERKEAIDPLLNGLSSPATVTPLLNGSAIISDPSPVDNSCEPYHEPGLMDENGNTGCMKKDLDLHNTINHCVTGLCFSKSSDRSEIHHECKCDSQSANTLEVVPQISMTNSAICSDETSANSVDPSMKCLENENRYQVSNLSLPMPEPSIKGRSYDWPETKINNSENLCKITSQFVASSINQEGFANDDGSVIQNDSKTCYSYNQTNTFEGKSYEWPVIAPHNFSSFNSQHVPAATERLHLDVGHEWPAYRHQSFLCSRHQARLPSNEGGCNHILPPLTLPMSFDWPPMVKSCTRLSQTVTVSYDSGYNSRLQSSYCTGFSGHAVQNTGTFSENDRIHTGDILDVYDMKNISDLAEDTESYWLSEEEIESHMLSGRDYNQFFGGGVMYWNPAEHVGSGFSRPPSHSSDDSGWAWHEADLNRAIDDMVGVPGLSASYNTNGLASPTATQFCSPFDTVGSGHQSVGYAVSGNDITGKVINSSSVPDIPEEKAPKSMNNSASVIEGVKGDTLPFSMLRPIIVPSMSRRGSRSEFKLGYEHKSPCVPSSRRDVPQIKRPPSPVVLCVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGVRFSDESGSEESRCCFDGAEVVWPSWGNKGLTTNPMVRSIHGPLLTDHLITIPQLAFDQEHPDVALPLQPPELLNCSSAKTSLSIMHNLLHEEIDFFCKQVAAENLIKKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLALPTSDVDIVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVRNDSLKTIENTAIPVIMLVAEVPDDIDISRKKSSMVDIPRALSSMVPGRQCNIPTTDLSSSDCTSWPYSKMKKDDNIDVKSIRLDISFKSASHTGLQTSELVRELTQQFPASVPLALVLKKFLADRSLDHAYSGGLSSYCLVLLIIRFLQHEHHVGRPNNQNLGGLLMDFLYFFGYIFEPRHMRVSIQGSGIYMNRERGLSIDPIHIDDPLYPTNNVGRNCFRIHQCIKAFADAYSVLENELPHFSGNSVPSSTGKFRLLQKIISNIDCVE >Ma03_p28490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31623296:31643378:1 gene:Ma03_g28490 transcript:Ma03_t28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MGMDSHRRLDSLTAHIALYHSASSKVSNPNPSPRAAVLRWFSGLTVAHRQSALTVADADFLRVLLQMLSRLRRRGHGFFFLLPDLPSPSLPSLCFRRSYGLLSRAAAADAAERTVADSLLLFASSDDSPLLDAATVAEDLVSDVDRFVAVMDAISGGRFLRGEVNGLAAPWAEMPWLKDKGYYSLEAFVANRLEVALRLSWLSSLGGKKLKGGKAAKEKALTAGLAANVFWRKKGCLDWWAGLDPGGRKKIFEAFLGKAAKSLANEIIRESELASWNELCFHKLDGEFQLRYGPIPCWMRSKKPFFSRKPDFCMDIITNTSSGRPQSLAKYLNCLLVIQEICSLYLSEYEEKIMLFSTLPSADTISDSILRKLQKLLMGIYTNYINVELLGDAKLKTNQNKSQQKSNTGCLKGKKKSRSSGKPRSVPKASKVDSTSCETSVGHECGADSARDSTTRLCSQEETILPMDNQKAKTTTTTLKDHGNGTPSAENDTENIGDSFECKSHTSKKKSGRRRAKTKSKISSSMKVGCPDLEDKRSDLSSLAVDIERKEAIDPLLNGLSSPATVTPLLNGSAIISDPSPVDNSCEPYHEPGLMDENGNTGCMKKDLDLHNTINHCVTGLCFSKSSDRSEIHHECKCDSQSANTLEVVPQISMTNSAICSDETSANSVDPSMKCLENENRYQVSNLSLPMPEPSIKGRSYDWPETKINNSENLCKITSQFVASSINQEGFANDDGSVIQNDSKTCYSYNQTNTFEGKSYEWPVIAPHNFSSFNSQHVPAATERLHLDVGHEWPAYRHQSFLCSRHQARLPSNEGGCNHILPPLTLPMSFDWPPMVKSCTRLSQTVTVSYDSGYNSRLQSSYCTGFSGHAVQNTGTFSENDRIHTGDILDVYDMKNISDLAEDTESYWLSEEEIESHMLSGRDYNQFFGGGVMYWNPAEHVGSGFSRPPSHSSDDSGWAWHEADLNRAIDDMVGVPGLSASYNTNGLASPTATQFCSPFDTVGSGHQSVGYAVSGNDITGKVINSSSVPDIPEEKAPKSMNNSASVIEGVKGDTLPFSMLRPIIVPSMSRRGSRSEFKLGYEHKSPCVPSSRRDVPQIKRPPSPVVLCVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGVRFSDESGSEESRCCFDGAEVVWPSWGNKGLTTNPMVRSIHGPLLTDHLITIPQLAFDQEHPDVALPLQPPELLNCSSAKTSLSIMHNLLHEEIDFFCKQVAAENLIKKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLALPTSDVDIVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVRNDSLKTIENTAIPVIMLVAEVPDDIDISRKKSSMVDIPRALSSMVPGRQCNIPTTDLSSSDCTSWPYSKMKKDDNIDVKSIRLDISFKSASHTGLQTSELVRELTQQFPASVPLALVLKKFLADRSLDHAYSGGLSSYCLVLLIIRFLQHEHHVGRPNNQNLGGLLMDFLYFFGYIFEPRHMRVSIQGSGIYMNRERGLSIDPIHIDDPLYPTNNVGRNCFRIHQCIKGFCKVCTSSL >Ma03_p28490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31623296:31648834:1 gene:Ma03_g28490 transcript:Ma03_t28490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MGMDSHRRLDSLTAHIALYHSASSKVSNPNPSPRAAVLRWFSGLTVAHRQSALTVADADFLRVLLQMLSRLRRRGHGFFFLLPDLPSPSLPSLCFRRSYGLLSRAAAADAAERTVADSLLLFASSDDSPLLDAATVAEDLVSDVDRFVAVMDAISGGRFLRGEVNGLAAPWAEMPWLKDKGYYSLEAFVANRLEVALRLSWLSSLGGKKLKGGKAAKEKALTAGLAANVFWRKKGCLDWWAGLDPGGRKKIFEAFLGKAAKSLANEIIRESELASWNELCFHKLDGEFQLRYGPIPCWMRSKKPFFSRKPDFCMDIITNTSSGRPQSLAKYLNCLLVIQEICSLYLSEYEEKIMLFSTLPSADTISDSILRKLQKLLMGIYTNYINVELLGDAKLKTNQNKSQQKSNTGCLKGKKKSRSSGKPRSVPKASKVDSTSCETSVGHECGADSARDSTTRLCSQEETILPMDNQKAKTTTTTLKDHGNGTPSAENDTENIGDSFECKSHTSKKKSGRRRAKTKSKISSSMKVGCPDLEDKRSDLSSLAVDIERKEAIDPLLNGLSSPATVTPLLNGSAIISDPSPVDNSCEPYHEPGLMDENGNTGCMKKDLDLHNTINHCVTGLCFSKSSDRSEIHHECKCDSQSANTLEVVPQISMTNSAICSDETSANSVDPSMKCLENENRYQVSNLSLPMPEPSIKGRSYDWPETKINNSENLCKITSQFVASSINQEGFANDDGSVIQNDSKTCYSYNQTNTFEGKSYEWPVIAPHNFSSFNSQHVPAATERLHLDVGHEWPAYRHQSFLCSRHQARLPSNEGGCNHILPPLTLPMSFDWPPMVKSCTRLSQTVTVSYDSGYNSRLQSSYCTGFSGHAVQNTGTFSENDRIHTGDILDVYDMKNISDLAEDTESYWLSEEEIESHMLSGRDYNQFFGGGVMYWNPAEHVGSGFSRPPSHSSDDSGWAWHEADLNRAIDDMVGVPGLSASYNTNGLASPTATQFCSPFDTVGSGHQSVGYAVSGNDITGKVINSSSVPDIPEEKAPKSMNNSASVIEGVKGDTLPFSMLRPIIVPSMSRRGSRSEFKLGYEHKSPCVPSSRRDVPQIKRPPSPVVLCVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGVRFSDESGSEESRCCFDGAEVVWPSWGNKGLTTNPMVRSIHGPLLTDHLITIPQLAFDQEHPDVALPLQPPELLNCSSAKTSLSIMHNLLHEEIDFFCKQVAAENLIKKPYINWAVKRVTRSLQVLWPRSRTNIFGSNATGLALPTSDVDIVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVRNDSLKTIENTAIPVIMLVAEVPDDIDISRKKSSMVDIPRALSSMVPGRQCNIPTTDLSSSDCTSWPYSKMKKDDNIDVKSIRLDISFKSASHTGLQTSELVRELTQQFPASVPLALVLKKFLADRSLDHAYSGGLSSYCLVLLIIRFLQHEHHVGRPNNQNLGGLLMDFLYFFGYIFEPRHMRVSIQGSGIYMNRERGLSIDPIHIDDPLYPTNNVGRNCFRIHQCIKAFADAYSVLENELPHFSGNSVPSSTGKFRLLQKIISNIDCVE >Ma10_p05820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17193986:17197365:-1 gene:Ma10_g05820 transcript:Ma10_t05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKKQDAGAPANSSSSSSTSSSSPSGFFSSVFPPPSTVMAKDSSQSDLYWTLSKQRADGSIGNAQGAATDGKSQGSPTKRQTARGKDGKPVDPGQSEESAYFGSSVHYGGRDFYVTSPSNQVSGAPKIYKADEGDGSGDTNIANRGEWWQGSLYY >Ma11_p07470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5947879:5948766:-1 gene:Ma11_g07470 transcript:Ma11_t07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFYLGLSSSCEDYFVYPLQLAVSMALFYLGLSSSYEDYFIFPLQLADLPSSLPLLLTPPSVIKASLPVVRFSSLGTSSLQGGDDAICAVCLGRLEARHEVRELGNCPHVFHKRCIDKWVDIGQVTCPLCRAQLLPMGRDEEDRWIGC >Ma10_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28564022:28565844:1 gene:Ma10_g17050 transcript:Ma10_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVVRWTRERHKMIPKKAIIVILVIFSALSFLKLYTLAPTISEYETPYVSHKISHHDSLPTEDALGPKEYQLLSNLISRRAPCNLLFFGLKPQFLDLAALNTEGATIFLEDDSEKLKTQLPKGIGIYLVKNHEKAGKGFELLEHARKHPSCRLQVGLITESGCKLALKGLPEVVYGRKWDVIVIDGPSGDQPEAPGRMGTIYTAARLARMGANADVFVHDTDRMIEKWYSWEFLCHENLVSSKGKLWHFRVAAHSGSDRFCSQSAVQIL >Ma03_p28720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31825467:31827667:1 gene:Ma03_g28720 transcript:Ma03_t28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEYCPESSKYLNFIVLAQFTHRSYTGRKRRMRKASCCPKEGLNRGAWTSEEDKLLSDYILAHGLGRWRSLPANAGLNRCGKSCRLRWLNYLRPDIKKGNITQEEEDLIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTYLRKKGLHSSPSPASKNREVQTVKAVADKQKGVEVNVIRTKAIRCTRSLFADEVPASGMNNSAYSVLPSAMFDYDTSDFSGNDPAPQAAKSVCSEENMQNSVFPQAADGFYRSSGSFHDSFSATASSSYFNYTKICSTVLEKSEELHPFMCDDEWVSLLMHPNI >Ma09_p04750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3070378:3073505:-1 gene:Ma09_g04750 transcript:Ma09_t04750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEVQPRRRGRAGWLRPLRKARPRPPPASVPRHAVPLREQLLRSIRDISLAKNSISGKIPSDVGACSTLASLNLSSNQLSGSLPGEIWSLNALRSLDLSHNSLVGEIPVGISRMFNLRMISLRGNRLTGQLPNDTGKCLLLKSLDVGENQLSGDLPESMRNLSTCTYLSLSSNSLSGEVPAWIGDMNSLETLDLSRNRFSGGVPSSLSNLQFLKLLKLSDNSFSGSVPDSLAACRSLLDVDLGRNTLTGNLPSWAFETGFRQILLPGNKLSGPIDVPRVTDSTLQVLDLSGNAFSGKFPKEVSSLRSIEFLNLSSNSLSDSIPIDVGELKSLEVLDVSRNLLSGRIPSEVALATSLRELRLEGNSLTREIPVQIGSCSSLAYLDLSQNNLTGSIPETLANLTNLRVVDFSRNRLSGTLPKQLSDLPHLLSFNISHNQLSGGLPAGSFFNNIPPSSLTDNPGLCGSTVNRSCPGVLPKPIVLDPNSSPDPSSNPVLSPRNLSHKKIIFSISALIAIVAAAVIALGVITITVLNFRAHASASNSAVELALSDEYLSHSPVTDANSGKLVMFAGGDPEFSAGAHAVLNKDCELGRGGFGAVYKTVLRDGRSVAIKKLTVSSLVKSQEDFEEEVKRLGKVQHPNLVALEGYYWTPSLQLLIYEFVPGGSLYNHLHECSASNTLSWQERFDIILGIARSLAHLHQHKIIHYNLKSSNVLLDGSGEPKVGDCGLAKLLPMLDRYVLSSKIQSALGYMAPEFACQTVKITDKCDVYGFGVLVLEIMTGKRPVEYMEDDVVLLSDMVRETLDEGKVEECMDERLCGKFPLEETIPVMKLGLICTSQVPSNRPEMAEVVNILELIRFPQDSPEEDDFC >Ma09_p04750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3070378:3073916:-1 gene:Ma09_g04750 transcript:Ma09_t04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLWFGVICLLSFLPLFAESGAATLNDDVLGLIAFKAGIEDPRSKLASWNENDNDPCNWDGIKCDPKSNRVAVVALDGFALSGKLGRGLLRLQFLDTLSLSVNNFSGSVTPAFLRLESLRTLDLSANNLSGTIPDGFFGQCRSIRDISLAKNSISGKIPSDVGACSTLASLNLSSNQLSGSLPGEIWSLNALRSLDLSHNSLVGEIPVGISRMFNLRMISLRGNRLTGQLPNDTGKCLLLKSLDVGENQLSGDLPESMRNLSTCTYLSLSSNSLSGEVPAWIGDMNSLETLDLSRNRFSGGVPSSLSNLQFLKLLKLSDNSFSGSVPDSLAACRSLLDVDLGRNTLTGNLPSWAFETGFRQILLPGNKLSGPIDVPRVTDSTLQVLDLSGNAFSGKFPKEVSSLRSIEFLNLSSNSLSDSIPIDVGELKSLEVLDVSRNLLSGRIPSEVALATSLRELRLEGNSLTREIPVQIGSCSSLAYLDLSQNNLTGSIPETLANLTNLRVVDFSRNRLSGTLPKQLSDLPHLLSFNISHNQLSGGLPAGSFFNNIPPSSLTDNPGLCGSTVNRSCPGVLPKPIVLDPNSSPDPSSNPVLSPRNLSHKKIIFSISALIAIVAAAVIALGVITITVLNFRAHASASNSAVELALSDEYLSHSPVTDANSGKLVMFAGGDPEFSAGAHAVLNKDCELGRGGFGAVYKTVLRDGRSVAIKKLTVSSLVKSQEDFEEEVKRLGKVQHPNLVALEGYYWTPSLQLLIYEFVPGGSLYNHLHECSASNTLSWQERFDIILGIARSLAHLHQHKIIHYNLKSSNVLLDGSGEPKVGDCGLAKLLPMLDRYVLSSKIQSALGYMAPEFACQTVKITDKCDVYGFGVLVLEIMTGKRPVEYMEDDVVLLSDMVRETLDEGKVEECMDERLCGKFPLEETIPVMKLGLICTSQVPSNRPEMAEVVNILELIRFPQDSPEEDDFC >Ma03_p11850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9195593:9202787:1 gene:Ma03_g11850 transcript:Ma03_t11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLDMATSALMCVLSFDDDVFVCWYFLSTNLYWLAHQWARRCAWPTEAGHCAQVPGTVMLLALLLCSTLSLLSRL >Ma06_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6986429:6990606:-1 gene:Ma06_g10060 transcript:Ma06_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRNRFVHRVENVEESEEMSVLDLPELTLECILGKLSPTGLSNMAAVCSSLRERCRSDHLWEKHMKEKWGRLIGHAARREWKQYLSSTKDSSPADITSSNRSKKWIGVLSCVWPISWLKSRIDGSYKPKSPSPDDSVMSWYQSLESGKFWFPSQIYNREHGHVGFMLSCYDAEVRYDSHTDTFHARYPPHGRRAIVIEEGVQWDRLRAPPISTPAHELHISDCLSDLRPGDHIEIQWRRNKEFPYGWWYGVIGHLESCDGSEHFCHCHLSDTVVLEFNQYTPGSRWRRALINRKDHREEGSETDGFYGGIRKLQSKDEISKWRQLWPTDILE >Ma01_p20300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17578033:17589105:-1 gene:Ma01_g20300 transcript:Ma01_t20300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMKGFAGLVARSYFSGRTPKPNPSLFRGRSGCYLHSSCRAISSSYFSLSLRNQWPFVRNPSESWVSAPWIGLGEQRRGMFIQTQSTPNPLSLMFYPGKSVMEVGSADFPNARTAMTSPLAKSLFGIDGVTRVFFGSDFVTVTKSEDASWDFLKPEIFAAIMDFYSSGKPLFLESNVAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYCGFDPETGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDMVADAPLSSQLE >Ma01_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17579125:17589105:-1 gene:Ma01_g20300 transcript:Ma01_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMKGFAGLVARSYFSGRTPKPNPSLFRGRSGCYLHSSCRAISSSYFSLSLRNQWPFVRNPSESWVSAPWIGLGEQRRGMFIQTQSTPNPLSLMFYPGKSVMEVGSADFPNARTAMTSPLAKSLFGIDGVTRVFFGSDFVTVTKSEDASWDFLKPEIFAAIMDFYSSGKPLFLESNVAASMDTAIHERLLR >Ma01_p20300.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:17578033:17589105:-1 gene:Ma01_g20300 transcript:Ma01_t20300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMKGFAGLVARSYFSEQRRGMFIQTQSTPNPLSLMFYPGKSVMEVGSADFPNARTAMTSPLAKSLFGIDGVTRVFFGSDFVTVTKSEDASWDFLKPEIFAAIMDFYSSGKPLFLESNVAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYCGFDPETGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDMVADAPLSSQLE >Ma01_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23317798:23318356:-1 gene:Ma01_g22090 transcript:Ma01_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTNHCGPATMRATAYSSSSY >Ma10_p29960.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36378632:36392038:-1 gene:Ma10_g29960 transcript:Ma10_t29960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIVIQRIDNSTSRQVTFSKRRNGLMKKAKELAILCDAEVGLVVFSSTGRLYDYASTSMKSVIERYKKANEEHQLVLNATSEVKFWQMEVASLRQQLHNLQENHRQLMGEELSGLSIKDLHDLENQLEMSLHGIRTKKVSSLCNIKCLFSFGIPNAVNFCSAGSTFDGQNSGAQMEGCAEIKTHPSLFKFRLQLRCLTIYSMETLQGNLIYQENMELHMKVNLLDQEHMELHKKVYEKRVDNGDNRDSAISYGFGIAEKANASNHLELNRPQHQANREQTGTSRLCLGLH >Ma10_p29960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36378632:36392038:-1 gene:Ma10_g29960 transcript:Ma10_t29960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIVIQRIDNSTSRQVTFSKRRNGLMKKAKELAILCDAEVGLVVFSSTGRLYDYASTSMKSVIERYKKANEEHQLVLNATSEVKFWQMEVASLRQQLHNLQENHRQLMGEELSGLSIKDLHDLENQLEMSLHGIRTKKVSSLCNIKCLFSFGIPNAVNFCSAGSTFDGQNSGAQMEGCAEIKTHPSLFKFRLQLRCLTIYSMETLQGNLIYQENMELHMKVNLLDQEHMELHKKVYEKRVDNGDNRDSAISYGFGIAEKANASNHLELNRPQHQANREQTGTSRLCLGLH >Ma10_p29960.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36378632:36392038:-1 gene:Ma10_g29960 transcript:Ma10_t29960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIVIQRIDNSTSRQVTFSKRRNGLMKKAKELAILCDAEVGLVVFSSTGRLYDYASTSMKSVIERYKKANEEHQLVLNATSEVKFWQMEVASLRQQLHNLQENHRQLMGEELSGLSIKDLHDLENQLEMSLHGIRTKKVSSLCNIKCLFSFGIPNAVNFCSAGSTFDGQNSGAQMEGCAEIKTHPSLFKFRLQLRCLTIYSMETLQGNLIYQENMELHMKVNLLDQEHMELHKKVYEKRVDNGDNRDSAISYGFGIAEKANASNHLELNRPQHQANREQTGTSRLCLGLH >Ma10_p29960.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36378632:36392038:-1 gene:Ma10_g29960 transcript:Ma10_t29960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIVIQRIDNSTSRQVTFSKRRNGLMKKAKELAILCDAEVGLVVFSSTGRLYDYASTSMKSVIERYKKANEEHQLVLNATSEVKFWQMEVASLRQQLHNLQENHRQLMGEELSGLSIKDLHDLENQLEMSLHGIRTKKVSSLCNIKCLFSFGIPNAVNFCSAGSTFDGQNSGAQMEGCAEIKTHPSLFKFRLQLRCLTIYSMETLQGNLIYQENMELHMKVYEKRVDNGDNRDSAISYGFGIAEKANASNHLELNRPQHQANREQTGTSRLCLGLH >Ma10_p29960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36378632:36392038:-1 gene:Ma10_g29960 transcript:Ma10_t29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIVIQRIDNSTSRQVTFSKRRNGLMKKAKELAILCDAEVGLVVFSSTGRLYDYASTSMKSVIERYKKANEEHQLVLNATSEVKFWQMEVASLRQQLHNLQENHRQLMGEELSGLSIKDLHDLENQLEMSLHGIRTKKDQLLTDKIQELKWKGNLIYQENMELHMKVNLLDQEHMELHKKVYEKRVDNGDNRDSAISYGFGIAEKANASNHLELNRPQHQANREQTGTSRLCLGLH >Ma10_p29960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36378632:36392038:-1 gene:Ma10_g29960 transcript:Ma10_t29960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIVIQRIDNSTSRQVTFSKRRNGLMKKAKELAILCDAEVGLVVFSSTGRLYDYASTSMKSVIERYKKANEEHQLVLNATSEVKFWQMEVASLRQQLHNLQENHRQLMGEELSGLSIKDLHDLENQLEMSLHGIRTKKDQLLTDKIQELKWKGNLIYQENMELHMKVYEKRVDNGDNRDSAISYGFGIAEKANASNHLELNRPQHQANREQTGTSRLCLGLH >Ma11_p09440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7715621:7716553:-1 gene:Ma11_g09440 transcript:Ma11_t09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPGAQSLPLLLFFLLLVASFSRFPCSADAVTGPTASINPVSAVPGLRPVLIDEAKRRRLGNFQICALCTCCGGPRGLCLPSPCCYTINCNIPNRPFGFCSFTPRTCNCFGCHL >Ma02_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19571589:19572134:-1 gene:Ma02_g09690 transcript:Ma02_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNTSDGGDETWRWAGCKFTPTDAELIGNCLLNKVRNLPLRIPPGFSIPEMEVYKKAPWELMVRSSYLPTGVSYCFVHVPRSKASDNRLNRKTLGGSWVANGKPRDIPLRYRGSAITGIRRSLKFFKDSDDPRKKNKKDSSLGLKWIMHEYRLDPSLYETIPSYATEEIILCRITNMLA >Ma09_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35296721:35299579:-1 gene:Ma09_g23470 transcript:Ma09_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAFYNEIKGLKVRELPAYLKPRLTWENIKKTTDQAADTYIEKYIETSSSMPLYHVCIGGMIFCYLLALPHERRHLEHQQQLAAGGGHH >Ma03_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26983548:26986682:-1 gene:Ma03_g22220 transcript:Ma03_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSDKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGALIVYDITRRQCFDHIPRWLEELRSHADKNIVIMLVGNKTDLEGQRAVPMEDAREFAQKENLFFLETSALDATNVENAFQTVLTEIFNIVNKKSLTSDPQSNNSAPTLPAKKIIIPGPAQEIPKSKVCCKAS >Ma05_p30880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41076035:41076572:1 gene:Ma05_g30880 transcript:Ma05_t30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRCMVVVALCLLVLVDVSVAASSAINCNLGTDGCYEACRAKGHWEVTCFACNYFCPAVSTGFIGGGGSRSGTRAPSPTADNN >Ma06_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25259153:25264534:1 gene:Ma06_g25050 transcript:Ma06_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEAGAAEADPSPAPKLRSTIAGNALGPRKTKGRGFRESELGISIPSRPMAVPILNVLQTLFLFVVTAIEGWIILVTRVHEEAQEDDLHNAFHQFGQVKKLHLNLDRGTEFVKCVICLLLSGYAFIEFNESFNEAQATITTLDGSELRTQAIFVDRAFSREGNNIISTYNIKSPRTHRSRSPPRRRYLGCFLVCVLLDVIFYIPDLFFFKKILFYY >Ma10_p05570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16916657:16919815:1 gene:Ma10_g05570 transcript:Ma10_t05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKVKAESHSHVVFASVGNSKHGKRKGNVSSGSSSRMSASFMPLTPRSEGEILSSSNLKSFIFNELRIATRNFRPDSVLGEGGFGSVFKGWIDEHTFAAVKPGTGLVIAVKKLNQDSFQGHREWLTEVNYLSQLSHPNLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYYQALSWNLRMKIALGAAKGLAFLHSDKAKVIYRDFKASNNYNAKLSDFGLAKDGPSDDKSHVSTRVMGTYGYAAPEYLATGHLTCKSDVYSFGVVLLEMLTGQRAIDKKRPVTEHNLVEWAKLYLTSKRKTIRILDSRLQGQYSPAGAQKAAALALQCLSMQAKQRPPMDQVVTALEQLQDAKDISNNPQTTDPKSANGHPRLPLRRSSQRVGDGKVAHPRPLASPSLD >Ma10_p05570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16916719:16919815:1 gene:Ma10_g05570 transcript:Ma10_t05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKVKAESHSHVVFASGNSKHGKRKGNVSSGSSSRMSASFMPLTPRSEGEILSSSNLKSFIFNELRIATRNFRPDSVLGEGGFGSVFKGWIDEHTFAAVKPGTGLVIAVKKLNQDSFQGHREWLTEVNYLSQLSHPNLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYYQALSWNLRMKIALGAAKGLAFLHSDKAKVIYRDFKASNVLLDSNYNAKLSDFGLAKDGPSDDKSHVSTRVMGTYGYAAPEYLATGHLTCKSDVYSFGVVLLEMLTGQRAIDKKRPVTEHNLVEWAKLYLTSKRKTIRILDSRLQGQYSPAGAQKAAALALQCLSMQAKQRPPMDQVVTALEQLQDAKDISNNPQTTDPKSANGHPRLPLRRSSQRVGDGKVAHPRPLASPSLD >Ma06_p09580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6683659:6687782:1 gene:Ma06_g09580 transcript:Ma06_t09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] MAGLAGVGLSWRSSTPSLVPLTSTKPSCAGRRVSIAVRNAISVEGKSYTLQKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSHMWDVDGNEYIDYVGSWGPAIIGHADEKVNAALIEALKKGTSFGAPCVLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTGRPKIIKFEGCYHGHADPYLVKAGSGVATLGLPDSPGVPKGATIDTLTSPYNDLETIKSLFDTHKGEIAAVILEPVVGNAGFITPKPDFLNGLREITKQDGALLIFDEVMTGFRLAYGGAQEYFGITPDLTTLGKVIGGGLPVGAYGGRKDIMQMVAPAGPMYQAGTLSGNPLAMTAGIHTLRRLMEPGSYDYLEKISSDLVNGILDAGKRAGHEMCGGSIRGMFGFFFTEGPVYNFQDAKMSDTAKFARFYRGMLEEGVYLAPSQFEAGFTSLAHTSKDIDQTVEAAERVFRRI >Ma11_p03870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2962124:2966134:1 gene:Ma11_g03870 transcript:Ma11_t03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGASTFVIRWINFFTMILAVAVVCFGVWMSIHHDECRKSLTLPVIGLGALILLVSLIGFLGAWKNVTVLLWVYLVMLCLILVSIMIFTVVAFIITNSGSGHAAAGLRYKEYHLQDYSSWFLKQLNNTKNWRSLKSCLVKSEQCNNLPKRYKNLKEYKLAELSPIEAGCCSPPSECGYPAVNASYYDLSYHPVSTNKDCKLYKNARAIKCYDCDSCKAGVAQYMKEEWRVVAIFNVILFVALSIVYFIGCCARRNVSSSHSSKARGR >Ma11_p04150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3247992:3251194:-1 gene:Ma11_g04150 transcript:Ma11_t04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRRKAVVEDGLVILGLVTVQLVGAAYMVFLGPVLSLGIKPLFLVTFGSFVTATLVFPFAVAFEREKWPSRLSPMLMARFLLLALGGVTTFQALLLLGVKKTSPSIASAMPNLAPGIIFIIAACLRFEKVDMMYWYSRAKILGTLLCLGGAVAMSLLQSPSVPPPESPQRLHPFGENLLENTYKDWFIGCLCLFGAVLVISCTMVLQAATMMQFEAPLTLCSITSLLGAFLTAAVQFVVEGRINIGNPVIKLESIVAIAILGGMTTAACIAFQTWAVKRKGPVFVSMFSPVQTVCSGILSAIVLHQMIKVESLMAMFFMFSGLYMVLWAKGKEDFLLTEVASTAISTQLDADIEKPLLS >Ma02_p07500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18158439:18161804:1 gene:Ma02_g07500 transcript:Ma02_t07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTQAQKEASNGFALRRRAYWLTSATSTARATTITSIPKKPSTFSVKFEDMYGFTAEGNIDDVNVLNEVRERVREQGRVWCGLEASKGANWYLQTQISSNGEGISVASLKLSKLTNMITLKRLVRKGIPPVLRPNVWLSVSGAAKKRSTVPESYYDDLIRATEGKVTPATRQIDQDLPRTFPSHPWLDSPEGQASLRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMEFDVSLVATEWFLCLFAKSVPSETTLRVWDILFNEGAKVLFHVALAIFKMKEDDLLRAHQIGEVIDILQTTTHHLYDPDQLLT >Ma04_p31650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31915120:31919831:1 gene:Ma04_g31650 transcript:Ma04_t31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHRHRLPAHILLFLLSFPLLTAASPFPNSSLHLDAHRDPDSVAREVQRQVEFSHRRALLAAGAKDQCVTGNPVDDCWRCSGSDWRQDRQRLADCGIGFGRDALGGKGGPIYVVTDPSDRDPVNPAAGTLRYGAIQEGPLWITFARDMTIRLNEELLVNSFKTIDGRGAVVHIAGGACITLQYVSNIIIHNVHVHHCVPAGEANVRSSPTHYGWRTRSDGDGISIYSGRKIWVDHCALSYCADGLIDAVMGSTGITISNNHFSHHNEVMLLGHSDDYLPDSGMQVTIAFNRFGEELVQRMPRCRRGYFHVVNNDFTAWQMYAIGGSANPTINSQGNRYIAPTNPGAKEVTKRVDTEESDWSGWNWRTEGDVMVNGAFFVASGQGLEAKYAKAWSVEPKSAALIDQLTGNAGVLGGPRDNSVGPGNSGVNYVGSATTQDGGEGGYGSLGMVFASVAPPPSAPPIFSSVSIFLSFYLIVAALFSLCRP >Ma06_p18640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12740068:12740432:1 gene:Ma06_g18640 transcript:Ma06_t18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQGDDGGALESLCQVNTLMMLPKFIAGRKLSATGSLISVRGLVKDVSGWNSLFSMRRAARLY >Ma03_p25310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29403523:29408233:-1 gene:Ma03_g25310 transcript:Ma03_t25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMARTEMEVGADGVAVITIINPPINCLSFDVMWSLKANFEEAIRRDDVKAIVLTGQGPNFSGGFDIAAFEDLQGGNNGQKPEPKVGYFAIDVVTDIFEAARKPSVAAITGLVIGGGLELSMACHGRISTAAAQIALPELTLGFITGLGGTQRLPRLVGLPKALEMLLLSKGIGGEEAYKLGLVDAIVSPDELVETARHWALDIAESRKPWTKSLYRTDKLEPPEKAREVLKSARVEARKRSPNVQFPSLCIDVIEEGIVSGPRAGLWKESTTFLELLFTDTCKNLVHLLFAQRATTKVPGITDSGLKPRKIATVGIVGGGLTSSGIATALILNNYQVILKEEDENLLESTIGRVKDNLQNCVNEGKLNDEKCETALSLLTGVLDYERFKDVDMAIESASENLHLKQQIFADLEKHCSPNCILASNTSTIDLNLIGGETKSQDRIVGAHFFGPAHDMPLLEIIQTQKTSPQAVVDLLDVAEKIHKTPIVVRNCTGFAVNRMLFAHTQAALLLVDHGLDVYKIDHACTKFGLPMGPFRAADLVGLGAAGASGIQYLQSYPERVYKSMLMSVMIEDKREGEASGKGFYKYDEKRNASPDPEIIKYVEKSRNMASVTPNPQLMEIPDEDIVEMLLFPVINEACRILDEGIAVKATDLDIATVMGMGFPAYRGGIMFWADSLGANYICEKLEEWSKLYGNLFKPCSYLTDRAAKGILLSSP >Ma11_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25179515:25187092:-1 gene:Ma11_g20770 transcript:Ma11_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRVAFYIAEALEYCSNEGRPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPTHALDMIRGKNSLVLMDSHLEGNFSTEEATTLVDLASQCLQYEPRDRPNTKKLVATLASLQIKLEEPSYVMLGIQKPEEAPATPPHPLSPMGEACSRMDLTAIHQILVMTHYRDDEGTNELSFQEWTQQMRDILDARKRGDFAFRDKDFKTAIECYSQFLDVGTMVSPTIYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALAKLDMQSDAADMLHEATMLEEKRQKGGKGP >Ma11_p22070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25968163:25971306:-1 gene:Ma11_g22070 transcript:Ma11_t22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKRRIGGCQHTMQEHMEMCESERAPSRQSATGGSLLLLLPLHIVMLSLLAHASSASSQSWDGIIISQADYQGLQALKHALDDPRGLLRGWNDTGLDACSGAWVGIKCVKGKVIAIQLPWRGLGGRITEKISQLAALRKLSLHDNSIGGQIPSAIGFLPQLRGLYLFNNRFSGAIPPSIGNCPILRTIDLSNNSFAGSIPSSIANATKLYRLNLSHNNLSGAIPRSITRSASLTFFSLQHNNVSGPIPDTWAIGGGSSQVYQLQTLNLDYNSISGNLPPSLSGLQMLKEITLSNNRLNGSIPEEIGKLSLLQTLDLSHNDLGGSLPVTICNLSSLVELSLEGNKIDGHIPDNIDGLKNLSMLSLKRNQLSGAIPATLGNISGLSQLDLSENNLTGEIPATLVHLTGLTSFDVSDNNLSGRVPLLLSHKFNSSSFMGNIQLCGYSITVPCPSSPAPTLSPPLIPTRRRHAKLSTKAIVLIVAGAVLAVLLFVLCCVLLCCLMRKRSSLGKKTDGGASATGREEKPGPATGAEAESGGEAGGKLVHFEGPLAFAADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKITKSQKEFETEACELGRIRHHNLLALRAYYLGPKGEKLLVFDFMPKGNLAAFLHARGPETPIDWATRMNIAKGVTRGLLYLHNEVNMIHGNLTSSNVLLDDDDNAKISDFGLSSLMTSAASSNVIATAGALGYRAPELSKLKKANTKTDVYSLGVVMLELLTGKSPADLMNGMDLPHWVASIVKEEWTNEVFDLELMRDAAGTAAGDELLNTLKLALHCVDPAPTARPEVQQILQQLDEIRPDAAGATGSSEDGGSIAAASASND >Ma04_p10830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7667271:7667957:1 gene:Ma04_g10830 transcript:Ma04_t10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDHRFSASPPPTPPLKAKHHHLCFTSCFRPVAGCDGGDPESPYGERAARPLIRSPKSWIRSKAHELPDNCCKCRALVSHCRWGRHRRHHSADFRYDPLSYALNFDEGSQGESPASAEQLRYRCFSSRLPASPPRGSDGGGFDDRKRAHGVEGIEAPLRFT >Ma06_p25210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25479510:25482073:1 gene:Ma06_g25210 transcript:Ma06_t25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGVAKAFVDHYYQTFDSNRAALGRLYQDGSMLTFEGAKIQGAAAIAAKLTSLPLQQCAHSISTIDCQPSGPTGGILVFVSGSLQLGGEQHSLKFSQMFHLMPTPQGSFYVLNDIFRLNYA >Ma03_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24065828:24067911:1 gene:Ma03_g18420 transcript:Ma03_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTVSVAVNGPRKTRMHRLIEEEGIVLMPGIYDALSAAVLQSLGFRAGFISGYAVSASRLGMPDIGLLTPPEMADAARAICAAAPNVAFIVDADTGGGNALNVQRTVRDFMTTGAAGLFLEDQVWPKKCGHMQGKQVIPAHEHAAKIAAAREAIGDSDFFLIARTDARATAGGLSDAIARANLYMEAGADACFVEAPRSDDEMREVCKRTNGFRAANMLEGGYTPLHTPQELKELGFHLIVHSTTAVYASARALIDVLKVMKEEGTSRDQLHKLTTFEEFNSLIGLKKLNEIGARYDKFRVPPN >Ma10_p22340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31756528:31764983:-1 gene:Ma10_g22340 transcript:Ma10_t22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEASIVHHLALVLLLLWVLVQLGWSHPVLFFLALLYLYKVNAYYTLRLQKRLQFEERKYANQRRLLSDTESVRWLNHAIEKIWPICMEHIASQQFLLPIIPWFLDKFKPWTARKAVLHHLYLGRNPPMFSDLRVLHQSGDDDHLVLELGMSFLSADDMSAKLAIRLRKRLGFGIKTNMHITSMHVEGKVLVGVKFLRHWPFLGRVRVCFVEPPYFQMTVKPIFGHGLDVTELPGISGWLDKLLDDAFEQTLVEPNMLVIDVEKFVSAPEECWFTVEERSLVAHVKLEMLEGADMKPSDLNGLADPYVRGQLGSYRFQTKIQRKTLSPKWLEEFKIPINSWEAPNVLVLQVRDKDTIFDDMLGDCSVNINDLRGGQRHDMWMSLQNIKMGRIHLAITVLEEELQKNLCRSQKISAMMKLRRRWYQCQAHSMKRLKILILKNIL >Ma10_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31755720:31764983:-1 gene:Ma10_g22340 transcript:Ma10_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEASIVHHLALVLLLLWVLVQLGWSHPVLFFLALLYLYKVNAYYTLRLQKRLQFEERKYANQRRLLSDTESVRWLNHAIEKIWPICMEHIASQQFLLPIIPWFLDKFKPWTARKAVLHHLYLGRNPPMFSDLRVLHQSGDDDHLVLELGMSFLSADDMSAKLAIRLRKRLGFGIKTNMHITSMHVEGKVLVGVKFLRHWPFLGRVRVCFVEPPYFQMTVKPIFGHGLDVTELPGISGWLDKLLDDAFEQTLVEPNMLVIDVEKFVSAPEECWFTVEERSLVAHVKLEMLEGADMKPSDLNGLADPYVRGQLGSYRFQTKIQRKTLSPKWLEEFKIPINSWEAPNVLVLQVRDKDTIFDDMLGDCSVNINDLRGGQRHDMWMSLQNIKMGRIHLAITVLEEELQKEPKDLSNDETSKTMVPMPGTFNEKAEDLNTEEYSMMTDEFEPINIKGLEKTVAWIHRPGADVSQTWESRKGHAWHSEELHQVDKVYTKSPSPSSSRSDQSDTSSNEEIVGGKKVRLKTIRRGLHKLSSVFHRTRKQGSPKESQEVTPTPRPNLPPLGEKRASRKITVPDSFDEDNDEPEPDEERCSSVMDKGESPGNGETPQTPKNFISKSSKSLKITPSRETSNMLKEVQSSGAEDKDDSQGTNLSNDASVNDPLVSAGSPTSISACTDNDKVNTSGPVQTSQDDR >Ma11_p09980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9172986:9173396:1 gene:Ma11_g09980 transcript:Ma11_t09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFIFTVALAMAMTTSHAFPMAAVDGDKHAPPWFGGYNPFRDRHRPRGFKTCDEVPGMCRGAWGSPGPDCCGRLCVNLRTDFFNCGRCGRRCRFGEMCCGGGCVNVFYDPNNCGFCGNRCKPGGFCRYGMCDYAS >Ma03_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27932465:27937164:-1 gene:Ma03_g23330 transcript:Ma03_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPKLESLSLCLVESIEVPRLWEEIDGSSSMTVPEYGLPCLGQLPSLKVLRIVGMPTVKKVGDGFFGSRDQGKCFPSLEELTFIDLPEWEEWSWADGRQLFPCLRELEIIECPRLKRLPPLPPPLETLQIDEVGLTELPGLSEGIHGGGSSITASLSTLRIRKCPNLRNLEEGLLSHSLPNIRDIEIKECAELMWQPAKGFIELTSLGKLSICSCPNLLSMTRDGDINICLPPSIKKLVLSDCGNLGKLLLGCLHNLTSLTRLEIGDCRCIESLPATSLLHLKRLESLSIWQCGELRSIDGLRVLESLRELTIKLCPKLLLNEGNEQVEGSSVTELYIDDTALFKLSLLRRTLPSVRALTISNFRRATMSDEEELLLRSLTALESIEFFNCENLQSLPRELHVLPSLRLLRIIGCPEIQSLPEKGLPTSLRNLQFIACQPRLTEQLEKHLAEMKSLSASKVKLFICKWAGGYN >Ma10_p17030.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28558008:28561479:-1 gene:Ma10_g17030 transcript:Ma10_t17030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Ma10_p17030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28558008:28561478:-1 gene:Ma10_g17030 transcript:Ma10_t17030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Ma10_p17030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28558008:28561577:-1 gene:Ma10_g17030 transcript:Ma10_t17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Ma05_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3291794:3293964:-1 gene:Ma05_g04320 transcript:Ma05_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTRSLACEWAKEGNRTNCVSPGFIRTPINHHLLEDKEILAAESNRALLGRVGEAAEVAAVVAFLCLPASLYVNGQVIVVDGERWSLKGAAALVTAGTRGIGHAIVEELARFGAAVHTCARNEAELEKCLQRWGAMHLKVTGSVCDVSSPTEREKLVERVKSIFNGKLNILVNNAGSAIWKPATEQTPEDYKFVMSTNLESAFHLSQLAHPLLKASGSGSSIVFISSVVGLVGFDGICLYSATKCTRRARAMNQLSRSLACEWAKDGIRANCVAPGCIRTSGIEKLLEDEEFVAKESGRVPLGRVGEPEEVAAVAAFLCLPASSYVTGQVIAVDGGRTVNGNV >Ma06_p27290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29338661:29340925:-1 gene:Ma06_g27290 transcript:Ma06_t27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVNNHAVLRFLPSSVLVLLVLLLTTYVDFSSLSSHFGFSSSSFAAATRTIADPGPAPAPALADNVGRLAEPLPDTTPTPDHPPETFTDLAAAFARWDEEVGCDRFREKHGYSNWTADPSAIQDAEAGDCSSLGARHVSILVKGWSWIPDEMDDLYSCRCGMTCLWTKSEALADKPDAVFFEWDSPPKTRQKGEPLRVYMDIEPTRKRSGYEDIFVGYHAKDDVQCTYPASLIHKSRNYHVSSKKRSDILVYWSSSRCFQFRNELAEKFFAHISHHSFGGCVNNVGGSDAALSFYPECKMELVAKQHWWGHVHCAMSHYKFVLAIENTKTDSYITEKLYYALDAGAVPIYFGAPDVESFVPPHSIIDGSKFDSMEALASYVKAVADDPIAYAEYHAWRRCGVMGYYRKNRATSLDALPCRLCEYVSRKGGRDAA >Ma01_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3486779:3497998:1 gene:Ma01_g05000 transcript:Ma01_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQQVLVFGSFTEDETKLFQKQSGEDDTSHIEKTGLQFGSLTVSSYKGSTIETKQTNSSIRSKGIQLSKTTAEDQICTTKLASKIDKLPGDTRAQANGSSSVHSTHLVGVTENGKDNSASVSLQISETGIRDTATFASPEVQGVIQESKNQNVLVDSVLVASPMVEVLKTSGEKEPVVKGLLPRGLINSGNLCFLNATLQGLLSCSPFVQLLQDLRNQTIPKSGYQTLHAFVNFISEFDMPGDSSTKDTGKRVLETGKPFSPIMFDAVLKCFTPDLPIGILGRPRQEDAQEFLSFVMDQMHDELLKLEGYMSDAIGSQAPLISSAEDDGWETVGPKNKSAVTRTQSFVPSQLSGIFGGQLRSLVKARGNKASATVQPFLLLHLDIFPEAVHTIEDALHLFSAPETLEGYKASAGKAGVVSASKSVKIQKLSKIMILHLMRFSYGSKGSTKLHKPVHFPLELVLGRELLVSSSPEGRRYELVATITHHGREPSKGHYTADARYSNGIWLRYDDATVTAVTTNKVLHDQAYVLFYKQM >Ma07_p06620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4748724:4749188:-1 gene:Ma07_g06620 transcript:Ma07_t06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGSEKCSKIRHIVRLRQTLRQWRRRAAAPSDVPAGHVAVCVGSSSRRFVVRASHLNHPAFRDLLRQAEEEYGFASRPGPLSLPCDESLFEDLLHLISSSSSSSSSSSRFPDYNLDDSEKLSRASSCCCAVGQWLHAADSLPLLHRHRLS >Ma05_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8812625:8816363:-1 gene:Ma05_g12130 transcript:Ma05_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERLRETISLGKRRPVEAGSREEDEAGVVENEEEDENRDIQELEREVSEMGSRILDARRSIPDRLLEALSSRLLTQRPVLPPQALIGADAGTTGELQAPSSESNGGNMLANVDQRLLEKLLVLRAKTESNISAVPVILKRINDCIVKIEELEKCHVNVHPVFNRK >Ma10_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24560130:24560836:-1 gene:Ma10_g10800 transcript:Ma10_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRGFSFTTGFFFLFAVVFAFASAAEEPHGFLQSEKLVKKPVREKLSHLRFYWHDVVSGPDPTAVTVARAAASSTNASASGFGTVVMIDDPMTVGPELSSRLVGRAQGFYALAAKEETALLMAMNLAFVEGKYNGSTIAVLGRNAVFSDVREMPVVGGSGLFRLARGYAQARTHSFDPKTGDAVVEYNVFVMHY >Ma10_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31725262:31726673:-1 gene:Ma10_g22270 transcript:Ma10_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADAIRTGVGILGNAIALGLFLSPVTTFVRIWKKGSVEQFSPIPYVATLLNCMMWVVYGLPMVHPHSMLVITINGSGLAIELSYVLLFLVYSHGSKRLKVLLMLLAETAFVAAVALLVLTLAHTHERRSMIVGVLCVFFGTMMYAAPLSVMRLVIQTKSVEYMPLFLSLASFFNGVCWTAYALIRFDPYITIPNGLGVVFSVAQLMLYATYYKSTQRQIEAVKRKTEMGLTDVVVVKGDANKAGSVPFAGGNPEIRAM >Ma06_p21890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16397763:16401519:-1 gene:Ma06_g21890 transcript:Ma06_t21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSGDVFKDYGGGGTCNCLSGRPSLDEDLHGGRRQYEPFRARSLRLGVEELTDGGRGGGGDPAIEELLGGLLTIGTLGMGSVTIEEKAGEEEEENAVASEEDDKPLAVAVEELVVTPATLEAIAEKEEEATTETDLMVVGTELEKVLAAEAEKGDGRMSSARSSYAGSAACPLQGFLFGSPIEVAETMATGARKERRASLGELFMMSRIVEEGSGGGKAEEGKLAGDVSDDRERKPTVDICLMRKKMTKRRGGMGSDGGSPSNVSTVEKKFQKILQIFHRKVHPESSLMAKKASKTGKFEKNDYVSLAGGIDPTGTGGRRMTTVKGACRKENIPNPPSYALGGSDSNSGREHWIKTDADYLVLEL >Ma06_p22900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19941192:19941804:1 gene:Ma06_g22900 transcript:Ma06_t22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELREADVLWPNNDDDDAEPRRKEIGAEKKPEDRESRSWKPVFVYDSSRADDDDDDDDGRGGEMIPPHLIVASRMADKMAFSVCVGNGRTLKGRDLRRVRNTILRLTGFLER >Ma10_p14440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26890781:26892944:1 gene:Ma10_g14440 transcript:Ma10_t14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGWFGLDGASIISAVAAAGPYLLCAVALVVLLEQLSYLRKKGPLPGPTFVVPFLGSALPMILNPTRFWDRQAALARASGLGVSANFLVGRFIVFVRSTELSHKVFANVRPDAFHLIGHPFGKRLFGDHNLIYMFDEQHKELRRRIAPNFTPRALSTYVAVQQRVIITHLQKWLDQASASKSQPVALRLLCRDLNLETSQTVFAGPYLTASARQQFNRDYNLFNVGLMAIPFDLPGFAFRRARLAVSRLVRTLSGCVAQSKERMRTGAEPTCLVDFWMQDTLREIEEAAASGAQPPPQSGDVEIGGHLFDFLFAAQDASTSSLLWAVTLLDAHPDVLARVRAEVAAVLGTPSWSGQPITAEQLREMRYTEAVAREVVRFRPPATMVPHIAGEAFPLTDWYTVPKGAIVFPSAYESSFQGFAAAERFDPDRFFSEDREVQKRHFLAFGAGPHQCVGQRYAINHLVLFIALFTSLVDFRRHRTPGCDDIAYVPTIVPKDDGLVHLAKRTCDRISPSSSSSS >Ma03_p13210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10225057:10226503:1 gene:Ma03_g13210 transcript:Ma03_t13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTGTVISSKRISLSKASAVVSRFAANQNAARPEVAAYVRRTSAAFDELVRFHREIRAARKGSDIEKPIAKEGEAEEELKKNKSRKRSRDEPEFVNDGTLRVGGYKEVEDGGRDRRGGGVGSDGEGEKKKRRKKADEERGYVAIKDEKVPVSNGNLVEPGRDYGDGAELRKDGKRRKKKMKVDVRETSSPGRKVKQEPEMEEERHRHRKKKEKENRKGDQKEEIPAGVVDRKHRKSLDVGEDLGVLKDEQHKKKKKKNRPED >Ma06_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11600154:11601695:1 gene:Ma06_g17120 transcript:Ma06_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFSSQERRASRKFDAKNGPPPAAPSPAPPREVASDTSTELSGTPANGTPKSQTTAPEASTFKEASNDNIAAQTFTFRELASATKNFRPESLLGEGGFGRVYKGRLDKPGQVVAVKQLDRSGLEGNKEFLVEVLMLSLLHHQNLVSLIGYCADGDQRMLVYEYMPLGSLEDHLLEISPDQEPLTWYTRMKIALGAAKGLEYLHQKANPPVIFRDLKSSNILLDEDYDAKLSDFGLAKLGPVGDELHVSSRVMDTYARTGQLTLKSDVYSYGVVLLELITGRRAIDTTRPTDEQNLVTWAQPKFRDQMRYRELVDPLLRGEYAERGLNQAVAVAAMCLQEEAEVRPFMTDVVAAFSHLTAASTDSEGSIERRVDGEGKRDEKMDGDRQRAVAEAMDWGSEFKARPGEPP >Ma01_p08580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6158838:6167574:-1 gene:Ma01_g08580 transcript:Ma01_t08580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHTVFGLPLRCGSVGNATFEERRRSLALTGVASLACGRERRVLSEPSPEAKSRTSRMEISGQAKMKVLEAPAIENGQVRDTVEIPVTCFQLLGVTVQAEKDEIVKAVMELKNSTIEDGYTAETIVSRKDLLMDVRDKLLFEPEYAGNIKEKVPPKSSLRIPWSWLPVALCLLQEVGEVKLVLEIGRAALQLPDAKPYSHDLLLSMALAECSIAKVGFEKNKVSEGFEALARAQYLLKSNVSLAKMPLLSQVEESLEDLAPACTLELLGLPLIPDSAERRRGALAALQELIRQGLDVESSCRVQDWPSFLIQAMNRLMATEIVDLLSWDTLAVTRKNKKSIESQSQRVVLDLNCFYLAMVAHIALGFSMRQNEMITRAKAICECLIISDGADLKFEEAFCSFLLGQTGGMETVEKLLQLETIRSCTSQNSRSGMSGTYKDKGTVNQTLETWLKDAVLSLFPDTRDCSPSLANFFGSPKRILSSGNQKKIGTMKTLPSAGHYVSSFGLLVDHRTSGAQSAKVNSTNNLGEAVKQLAPVNLQSQIAVGKTNGNASLLSSQLKRNLDLHHQGFWESWLNKGDITGKVAYMTLAGCILFGAFKLLAMQFGHLKMPHELKSINHCITSEAAALSHFAGGDSTSAFRGRDIMWQLRKLWSMFSQRLEHKISVGSMQNGWPTDLSPLSTSAGFMIHKRHMALEEAEALVHQWQDIKAEALGPSHQIQLLSNILSEAMLSKWQDLANSAKAKSCFWRFVLIQAAILRAEIVSDGGDDEFAEIEAVIEEAAELVDESEPKKPSYYSTYKVHYILRRQEDGSWRICRGDIQNQT >Ma01_p08580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6158838:6167574:-1 gene:Ma01_g08580 transcript:Ma01_t08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHTVFGLPLRCGSVGNATFEERRRSLALTGVASLACGRERRVLSEPSPEAKSRTSRMEISGQAKMKVLEAPAIENGQVRDTVEIPVTCFQLLGVTVQAEKDEIVKAVMELKNSTIEDGYTAETIVSRKDLLMDVRDKLLFEPEYAGNIKEKVPPKSSLRIPWSWLPVALCLLQEVGEVKLVLEIGRAALQLPDAKPYSHDLLLSMALAECSIAKVGFEKNKVSEGFEALARAQYLLKSNVSLAKMPLLSQVEESLEDLAPACTLELLGLPLIPDSAERRRGALAALQELIRQGLDVESSCRVQDWPSFLIQAMNRLMATEIVDLLSWDTLAVTRKNKKSIESQSQRVVLDLNCFYLAMVAHIALGFSMRQNEMITRAKAICECLIISDGADLKFEEAFCSFLLGQTGGMETVEKLLQLETIRSCTSQNSRSGMSGTYKDKGTVNQTLETWLKDAVLSLFPDTRDCSPSLANFFGSPKRILSSGNQKKIGTMKTLPSAGHYVSSFGLLVDHRTSGAQSAKVNSTNNLGEAVKQLAPVNLQSQIAVGKTNGNASLLSSQLKRNLDLHHQGFWESWLNKGDITGKVAYMTLAGCILFGAFKLLAMQFGHLKMPHELKSINHCITSEAAALSHFAGGDSTSAFRGRDIMWQLRKLWSMFSQRLEHKISVGSMQNGWPTDLSPLSTSAGFMIHKRHMALEEAEALVHQWQDIKAEALGPSHQIQLLSNILSEAMLSKWQDLANSAKAKSCFWRFVLIQAAILRAEIVSDGGDDEFAEIEAVIEEAAELVDESEPKKPSYYSTYKVHYILRRQEDGSWRICRGDIQNQT >Ma02_p05180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16501726:16503674:1 gene:Ma02_g05180 transcript:Ma02_t05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHANALPLTTALARLIDRCHSLRQLQQIHARIIVARPSLGHDATAAVLTRLLFASASSPSSSSSLHYAAVLFRRLPAAPTLFAYNALIRANSFGFCSHLNPLSLYSQMLSSGVRPDHLTFPFLFRYCSHILDSVVGQSLHVHILWLGFHTDVYIQNSMIHMYATCGLIDCAGKLFEVMPLKDIVSWNSMLIGYLRCGELDLALDFFLGMRERNVITWNSIITGFVQAGRSREALDLFHEMLILHDDNVKPNKVTVSSVVSACSSLGALDQGKWVHGYLKKQSLEFDVVIGTALIDMYGKCGCVERAIEVFEKMPKKDVLAWTAMILVFAVHGLAEEAFALLEQMERHRVMPNHVTFGALLRACAHSGLVEKGLWCFDRMKATYLIEPQPQHYACMVDLLGRAALFEEAERLIRSMPMEPDSFVWGALLGACRMHGNVEVGERVANYLIGLDPQNHAFYITLSDICAKAKRFGDVKRIRSFMEECGIRKTTPGCSMIEVDGQVLEFSAKRVPKDLRNEIEWVLDAINVELRYNFPHHDADNLQAEYVRLKFHQT >Ma01_p08120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5821272:5824397:1 gene:Ma01_g08120 transcript:Ma01_t08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETKPVAAEDPKVDLFEDDDEFEEFEFGEEWDDKEEGKETVQQWEDDWDDDDVNDDFSVQLKKELESSSEKS >Ma01_p08120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5821273:5824394:1 gene:Ma01_g08120 transcript:Ma01_t08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATETKPVAAEDPKVDLFEDDDEFEEFEFGEEWDDKEEGKETVQQWEDDWDDDDVNDDFSVQLKKELESSSEKS >Ma05_p20080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31182537:31184354:-1 gene:Ma05_g20080 transcript:Ma05_t20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDFELHLPGFRFHPTEAELLDFYLRRVVRGEKLDFDFIGSLNIYHYDPWELPGLAKVGEREWYFFVPRDRKSGNRGRANRTTERGFWKATGCDRQIRSLADSKHLLGLKKTLVFYQGRAPRGTKTDWIMNEYRLPDSYSAAISTPQQVIVLCKIYRKATSMKELEQRAASEEKRKEAQICSAMADNVSIFSAPSECMDVKEMEAPKKVEVTSTTFRSVKLPELQIPMDSLDWMQDPFLTQLRSPWLDQWSPCANILYF >Ma10_p16980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28528270:28530015:1 gene:Ma10_g16980 transcript:Ma10_t16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMGAFSGTRALEIVKRNNSPGLLWKRIKLTTTRKNNAKKRLRRVWQNEAVLRACAEPQQETAASTAAVGNK >Ma04_p29630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30502604:30503116:-1 gene:Ma04_g29630 transcript:Ma04_t29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNPALAMLCTVALAMACTSTLAQNSPQDFVSPHNAARTAVGVVPVSWDSTVAAYAQNYANQRAADCQLVHSGGPYGENIFSGFGRDYTAADAIKYWVSEKQYYNHNSNKCAPNKVCGHYTQVVWRSSTAIGCGRVRCNSGGIFITCNYKPPGNIEGQSPY >Ma10_p30230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36582007:36583630:1 gene:Ma10_g30230 transcript:Ma10_t30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSRDLHGCTMKERYNVGGAAWCRAFAEQKGMESECEVGSGKHGSLDAPCTSLTPKTDARGANTPPPGTAAKDGTDGTAGHEAPAGRRKRRRTKTFKNQEEVENQRMTHIAVERNRRKQMNEYLGALRCLMPASYVQRGDQASIIGGAINFVKELEQLVQSLEARKRIEQTADAAPFADFFTFPQYSTTASRGANNGPAGEEAQENRPALADIEVTMVETHANLKVLSRRRPKQLLKMVAGLHDLRLTILHLNVTTVAEMAFCSFSLKVEDDCQLSSVDDIATAVHELVGTIQEEADRDTTTF >Ma11_p01320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:930637:931966:-1 gene:Ma11_g01320 transcript:Ma11_t01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNLSFLLLLLLLPTLLLAASPFPHGGKGKADLQVARMSSKPGEDSGGLLSSSKRYEGSSDLVHLRYHMGPVLSSPINLYLIWYGPWPAALQAPLRDFLLSLSDPAPPPPSAAQWWSTVALYSDQTGANVSRRVAVAAEAHLPGLPRGASLSRLDVQLVIADALAAGSLPVDHGRGAYLVLTAPGVTVQDFCRAACGFHYFTFPSLVGHTLPYAWVGHSGVQCPDLCAYPFAVPTYMTGVGAMRPPNGDVGVDGMVSVLAHELAELATNPLINAWYAGEDPTAPTEIADLCEGVYGSGGGGGYTGQVSKDELGRSFNLNGRNGRRFLVQWVWSPVVKACRGPNALD >Ma03_p11640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9038991:9042162:1 gene:Ma03_g11640 transcript:Ma03_t11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAESDLHFSDIPLPPPSGSDAEGKHRSLPPPTPVPSATQPPVPTPLATDRKSRRPRARQISSRYLSPSSSPYPSSSSSVYSSETLRFAASPRNGFAAPSSVAILSKKHQQQKPHWRHPSSPGPELEEADENQPLASVRRSSETPLPFSAHGKPIGTIKKRAVVRLFTDNHSDAAEQPPRLDDPGPRPRPGTPVAHHRVLDSTPVLRTNTRPPTPSRVNLFPSDGYRWAHGSGDCGLDETTSENSFSDTETCSVSSQGGLCDSPPLLPPASCRSRLATDVRSSMPEADLLPTMSARRQDGAEVSSCRSSTSSLFLRSAFSGRQQQHPFNLSKSVNRPLFSSKPPQPPSAKPAAEVKKVNKALGRQEDAHVLRLLDNCYVQWRFLNAKARGTVEARGVAAQKSLCGLSGRIAELQSSVMEKRIQLEQLKRRERLLSIIHHQMPHLDEWTVLEDDYSSSLLGATKALQDASLRLPIAGNVRVDTRELREVMDSALLMLELLSPCVARFLSKAEDVDHVASGLASVISTQRVLVDECGNLLSEAHVLQVKECSLRTQLIQVKHRRIQ >Ma08_p11820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8807905:8811166:-1 gene:Ma08_g11820 transcript:Ma08_t11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLTTNPILSSTDVVSPLRSPLKPFRPAPDSCLRTTRWRSPKAAVIPNFHLPMRSLEVKNRTSVDDIKGLRLITAIKTPYLPDGRFDLEAYDSLMHMQIVNGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGASVKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSMQGMVSHFETILSMGPTIIYNVPSRTGQDIPPPVIHTVSANPNMAGVKECMGNDRIKDYVNKGVAIWSGNDDECHDARWASGATGVISVASNLVPGLMHEIMFQGKNPLLNSKLMPLIKWLFQEPNPIGLNTALAQLGVIRPVFRLPYVPLPLARRLEFVGIVEALGRENFVGEKDVEVLDDDDFVLVGRY >Ma07_p23860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31352212:31352511:-1 gene:Ma07_g23860 transcript:Ma07_t23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSQRTRLRAESAEEAILARDRVNKMGVKCEIETCDWSGGRPKHGHYLQEAAREMRYGIFLLELHFAV >Ma04_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6085157:6101329:1 gene:Ma04_g08520 transcript:Ma04_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALQAFLLFRPLPSPPPSRRPERLCPTALRRPAGFLIPSHLHKSRSHRRLNLAPAPRALPSENTDSFLEPSSPDKDSSLDAQGLLNSQLSQDSAGESLRGELDAAAVVGDAGNGAAFVEESVEKTGTESRLPLMLYLMGVLASARKSLNALLMSEWLSWWPFWRKEQRLERLIADADANPKDAGKESALLAELNKHSPEAVIRRFEQRNHAIDSRGVAEYLRALVVTNALAEYLPDEGSGKPSSLPTLLQELKQRASGNEDEPFLNPGISEKQPLHVVMVDPKASNRSSRFAQELLSTILFTIAVGFMWVLGAAALQKYVGSLGGIGTSGVGSSATYAPKELNKEIMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPAKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYKAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQDKPLADDVDIKAIARGTPGFNGADLANLVNIAAIKAAVEGIENIAAAQLEFAKDRIIMGTERKTMYISEDSKKLTAYHESGHAIVALNTDGAHPIHKATIMPRGSALGMVTQLPSQDETSVSKKQLLARLDVCMGGRVAEELIFGEDSVTTGASNDLHTATELAHYMVSTCGMSDAIGPVYVKERPGSEMQSRIDAEVVKLLREAYDRVKQLLKKHENALHALANALLEYETLSADDIKRILNPYQEVQLPEQQEELALT >Ma05_p01390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:811630:813359:-1 gene:Ma05_g01390 transcript:Ma05_t01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVKSLVQSLKRYFKKPWEITGPCSDPEYRSALPMATEYRRFCPATAPAKACIPTCEPETVFDIKYYTRDRRRNRPPVRRTVIRKADVERIMTAKTFGPDDFPKVYLTERVEEDYDARGGGYQK >Ma05_p01390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:811630:813359:-1 gene:Ma05_g01390 transcript:Ma05_t01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVKSLVQSLKRYFKKPWEITGPCSDPEYRSALPMATEYRRFCPATAPAKACIPTCEPETVFDIKYYTRDRRRNRPPVRRTVIRKADVERIMTAKTFGPDDFPKVYLTERVEEDYDARGGGYQK >Ma11_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24431647:24432290:1 gene:Ma11_g19650 transcript:Ma11_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELHSNHKQRLPSVEEDETAVAQHQKLHGLFSCWGKLRLASLWRSLRRCGSRRGRRGRLYVKRGSFKYDPLSYSQNFDDGGRDGDEESGYHRVFSSRLASLHPPTPPSGST >Ma09_p30930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40819543:40829865:1 gene:Ma09_g30930 transcript:Ma09_t30930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPAGPLFARGEKAAMVAADLIRRCGEGGITYGYLLLYIALSSGQIFFNKWVLSSKQINFPYPVALTLLHMLFSSVLCFLLTKVFKIIKIEGGIYSEIYITSVMPIGAMFAMTLWLGNSAYLYISVAFAQMLKATMPVAVFFLGAAVGLEAFSCRMFTIMSVISVGVVVASYGEISISWIGVVYQMGGVVGEALRLIFIEIFVKKKGVRLNSISMMYYVSPCSALCLFVPWIFLEKPKMDSSGPWNFPPIILGLNCLCTFALNLSVFLVITRTSALTIRVAGVVRDWVVVLLSALIFSDSKLTIINLIGYGIAISGIVAYNNHKLKNEASEIKSVVNVDTDDQGKSQDDQAALIPLKENT >Ma09_p30930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40819543:40829672:1 gene:Ma09_g30930 transcript:Ma09_t30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPAGPLFARGEKAAMVAADLIRRCGEGGITYGYLLLYIALSSGQIFFNKWVLSSKQINFPYPVALTLLHMLFSSVLCFLLTKVFKIIKIEGGIYSEIYITSVMPIGAMFAMTLWLGNSAYLYISVAFAQMLKATMPVAVFFLGAAVGLEAFSCRMFTIMSVISVGVVVASYGEISISWIGVVYQMGGVVGEALRLIFIEIFVKKKGVRLNSISMMYYVSPCSALCLFVPWIFLEKPKMDSSGPWNFPPIILGLNCLCTFALNLSVFLVITRTSALTIRVAGVVRDWVVVLLSALIFSDSKLTIINLIGYGIECCRI >Ma11_p08520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6765953:6767557:-1 gene:Ma11_g08520 transcript:Ma11_t08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIWAAQTGHGCGHHLRIFQLLKRIVILFLQIIFLFRNSRFRNPRCGGLISGPILDLNPPRELGFQDCVRLLQVAEESPSSGGDRRRRAFLNSNWKEKVNHNLLKCKWNEAHRVSH >Ma04_p17450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17803536:17804946:1 gene:Ma04_g17450 transcript:Ma04_t17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAALRRAASAAAPVAVRFLWRGHQANRSTLIHILSPRTTIGERTAFPFSSAACFSSAAKKPATDADLVRVIESEIKCALESDDHDRVEEVPDGFPFEIQDEKGMNTVTLKRSFQGENIEVIVSMPSLVTGEEPDHDRVGDDDNEDGDQEEKPGQSSVPLTVNISKGNGPSLEFSCTAYADEVTIDSMSVRENKESDDEMLAYEGPDFNDLDENLQKAFYKYLEIRGISPMTTNFLHEYMINKDSREYLWWLKNLKQFIQN >Ma04_p27660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28852714:28853664:1 gene:Ma04_g27660 transcript:Ma04_t27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPDPFLRRRSRLDFTQGCCANAPMISLADWSQHSEEYS >Ma11_p07440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5921905:5928317:-1 gene:Ma11_g07440 transcript:Ma11_t07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMRRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYQFSSSSVEKTIHRYMIHTKDVNCKQSLVDQKMQNLVSEAKNMAKQIELIEAQKRKLLGESLESCSAEELHELGNQLEESLRQIRNRKHSILTEQIAELKEKERSLMQENKLLREKFKEGNMPQLHAAEFAASDRNAQSMEVETELMIGRPGTR >Ma09_p05130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3303327:3312155:-1 gene:Ma09_g05130 transcript:Ma09_t05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKDESTVMELDGDKSAAAEQIITKFPINVLQLVKSAQMQHGLRHRDYTRYRRYCTARLRRLYKSLKFTHGRGKYSRKTITESTITDVRFLHIVLYMAERAWSHAMEKKQLPDGPNSRQRIHLLGRLRKAVKWATLFAQLCAAKGDSRTSLEAEAYASYMKGSLLFEQDQNWQSALMNFKNARAVYEELGKYGNIENQVLCRERVEELEPSIRYCLHKVGESNLQTSELMDIGELEGPALDLFKSKLEAVMAEARSQQAASMTEFYWLGHRFPVNNAKTRVSILKAQELEKDLHGSASDSLPAEKKLAMFDKIFSAYHDARSCIRNDLATAGNAENVKDDLNGLDKAVSAVLGQRTIERNQLLVAIAKSKFTKQRDDKGDKVTKPEELVRLYDLLIQNTSDLSDLVSSGRDRNPEEVALVEECVIKGTVFRAERCFYLAKSYSSAGKRAEAYLLFCHARSLGDTALEKLQMTSNPDQTLIKDLKVLSDNCRSNSCIEHAAGIMEEEKIPEKLSKGVSTISLTGQEKKEDKVLLEMLDVYESAVGDHNSKVVPRIERFPPPFQAVPCNPIVLDIAYSSIEFPSLENRMKKDKKGIFSRLWR >Ma05_p22100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33854506:33863525:-1 gene:Ma05_g22100 transcript:Ma05_t22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGKGELQKDSGEEETGLRLPTNRHGNLSSASSDARLKDSLQTIKSSKNSAVVYYGASWCRVCTKILPAFCKLSNEFRNLMFVYADIDECPETTQSIRYTPTFHFYQDGERVDEMLGAGEERLHDRLWLHS >Ma01_p20910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19765614:19769563:-1 gene:Ma01_g20910 transcript:Ma01_t20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGGEAPKSASDDAAPVQKPAPPPPPINKEIPAGIQSSQAKNCYRADGQNCGNFITDRPSTKVHAAPGGGSSLGYLFGNGGN >Ma09_p13600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9225921:9231261:-1 gene:Ma09_g13600 transcript:Ma09_t13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFKHHDITANITKGAPDFCTVYVIAKGKVSAMKNATRLAPIVSPLRDQIQSQVSLKPNAMSHHFLLGSEATSDVASDTHSLHHEDSIKSPYAKVLRGSSTKSYADTSFTDTDISFVSSDTDISFVSSGRPSNERSLALRLSSGSEGIDNSFEMVRTSHKSVDPYLTRNEGSTGTSWSSQTMEDVEEEMKRLRLELKHTMDMYNKACKEALTAKQKAMELQRWKIEEEKRLYEAHMAEEAAMASVERERAKCRAAIEAAQAEHRLAELEAQKRIDAEMKAIKEAEVMKKALDSLAHADVRYRKYAIEEIETATEYFADHHKIGEGGYGPVYKCYLDHTAVAVKVLRPDAAQSRSQFHQEVEILSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPVIPWQYRFQIAAEIATCLLFLHHKKPEPLVHRDLKPGNILLDKYYVSKISDVGLARLVPPSVANSVTQYRMTSTAGTFYYIDPEYQQTGMLGVKSDIYSLGILLLQIITAKPPAGLTRHVSHSIEKGTFDEMLDAEVTDWPVEEAQRLAEIALKCTELRRKDRPDLERVVLPELERLRSLAEDNMLYSTMPSSTRSHSPSIHSRVSPQDIVSDSLMTQSGYESSSESSATGR >Ma05_p18740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24628371:24630226:1 gene:Ma05_g18740 transcript:Ma05_t18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIASTKVVPRLTEPSSLRACLLTIPAFQSTQQPSPHGPSHYILLLDQCTTLPQLKQIQAPLATTGLHSNPFLLGKLIETLTIRLHHHQHTLPYALLLFSHSQQPPNLFAWNTLIRGLSLSPAPHHALLLFATMLQTPFAVPDTYSYAFALRASARLQSHRATKAIHCLVIASGCQSSNHAINSLMHAYASCCDVASMRKLFDTVPSWDVVAWNVMISGYVHNSLPNDALKLLGRMSSASVAPTDVTVITALSACSQMKDLCLGKQMHGCVHKRTMQFEKEIKLCTALVDMYARCGRLEPAKQVFDEMRAKDVGVWNALLGGYVHNGCFIKALQFYVELQESGLTPDEPTLVSALSACGHAGMLDLGKSIHFYIEERFPRFDVVLGTALIEMYSKCGCIEGSQEVFDKMAKKDAVAWSSMIRCLAVHGHTRHALALFVSMQGSDVRPDGVTFVAVLCACSHAGLVEEGLGYFKSMQRDFGIVPRVEHYGCVIDLLSRAGRPREALQLMCSMEGKVNAVVWRSLLSACRVNLDVELAEIVVRNLMELRSDHCGDYVLLSNTYAAKRMWDAARRVRREMKERQIRKNPAFSLIGSSC >Ma08_p31560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42422042:42424317:1 gene:Ma08_g31560 transcript:Ma08_t31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGGRLPTWKERENNKRRERRRRAIAAKIFTGLRTLGNYKLPKHCDNNEVLKALCREAGWEVEDDGTTYRKGFHPPPPEAAAGGLSTNISPLSSSFPSPVPSYHASPSSSSFPSPSRLDNSNDHSVRPSCLLPFLRNLSTLPPLRISNSAPITPPISSPTASRPPKIRKPDWDYSSFPHALFAASAPASPTRGCHHGRQPSTIPECDESDASSVGSGRRINFQMTAPASPTYNLVNPGAMSSFPGGEVLEKGRGGMEFDFESGRVKAWEGERIHDVGLDELELTLRLGIMAPK >Ma07_p01750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1361634:1366253:1 gene:Ma07_g01750 transcript:Ma07_t01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFQGNFTSIAAQCLQKLPSSNNRFTYNCDGHTFNYLVEDGYTYCVVAVEALGRQIPIAFLDRIKEDFNKRYGGGKAATAVANSLNREFGSKLKEHMQYCVDHPEEISRLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTESLHSQAQDFRQQGTQMRRKMWFQNMKIKLIVLAIIIALILIIILSVCHGFKC >Ma09_p26780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37967547:37970619:1 gene:Ma09_g26780 transcript:Ma09_t26780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRSPSPNPSRPRTPDASVARKNCTAIASAFSEPHQRSAGGKSGVALAASSRASLEQRENERDLNCSASSKPSAVRARSPAPSSCCSAKGAKNFMAPTISAASKAVAASPKRRILAERNETVPVVRSSLTSLLDESPAPEEVPAKRRVSFGARPHQDSGVQETPYVGGGGSEPRKIESEIKNRRYSGVLPSFHISPAAIAPLDADPSLPPYDPHTNYLSPRPRFLHYRPNPRIDQYLNQEGDLMDAGDGKRLEDSFSSESSEETPGQTEEEQQSTPGDDECSSPEVEAMEEETGESPVPESESNPESTGKGRSFWHYKFASLLLVLGIACIFGSFLGCPILSSSTLKTPVIPAIDDVHVRDYLAVAALDLKELARKLSQWSFDSFARDTTLTSLPREEFGPFFMPNLTAANIEQREGIDYSYIGTIVSNEHIGQGSEMEQPFEGEKQEESMEEHEVDIDHSCSATTLSNEHIDQGSAMEQPFEGEMQEESMEEHEVDIDHSNSATTLSNEHTDQVSEMEQPFETSVQQESMEEHEVDVSGDELKDDTESGDEKSGIEVTRGLEENDVTVEPEADDDVKESSLVEFESEKKIAEADDAKEMEEEAIVDGIESEKNIELELRKMQDIGPHDLVTQHDEVAGGQGDSGSSSSSASQTHEDSPSASGDERISKTVQSQELSMYGSDGKHAMKLAAGLSSAVLLVACFTFLLMKQRQTSPLVDNPQIAPAKKVITKSVSGSSESHGHARGSPFQNTPVVDMLMMDSGPSEFSSSLQNSTSVGRRRATRKGEEEETESNERRLRRDSTVSSSSISYGSFTTYEKLSSKKGSRDEEVITPVRRSSRIRNHQIASP >Ma09_p26780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37967547:37970619:1 gene:Ma09_g26780 transcript:Ma09_t26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTRSPSPNPSRPRTPDASVARKNCTAIASAFSEPHQRSAGGKSGVALAASSRASLEQRENERDLNCSASSKPSAVRARSPAPSSCCSAKGAKNFMAPTISAASKAVAASPKRRILAERNETVPVVRSSLTSLLDESPAPEEVPAKRRVSFGARPHQDSGVQETPYVGGGGSEPRKIESEIKNRRYSGVLPSFHISPAAIAPLDADPSLPPYDPHTNYLSPRPRFLHYRPNPRIDQYLNQEGDLMDAGDGKRLEDSFSSESSEETPGQTEEEQQSTPGDDECSSPEVEAMEEETGESPVPESESNPESTGKGRSFWHYKFASLLLVLGIACIFGSFLGCPILSSSTLKTPVIPAIDDVHVRDYLAVAALDLKELARKLSQWSFDSFARDTTLTSLPREEFGPFFMPNLTAANIEQREGIDYSYIGTIVSNEHIGQGSAMEQPFEGEMQEESMEEHEVDIDHSNSATTLSNEHTDQVSEMEQPFETSVQQESMEEHEVDVSGDELKDDTESGDEKSGIEVTRGLEENDVTVEPEADDDVKESSLVEFESEKKIAEADDAKEMEEEAIVDGIESEKNIELELRKMQDIGPHDLVTQHDEVAGGQGDSGSSSSSASQTHEDSPSASGDERISKTVQSQELSMYGSDGKHAMKLAAGLSSAVLLVACFTFLLMKQRQTSPLVDNPQIAPAKKVITKSVSGSSESHGHARGSPFQNTPVVDMLMMDSGPSEFSSSLQNSTSVGRRRATRKGEEEETESNERRLRRDSTVSSSSISYGSFTTYEKLSSKKGSRDEEVITPVRRSSRIRNHQIASP >Ma10_p24770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33214054:33228057:-1 gene:Ma10_g24770 transcript:Ma10_t24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFEVLGKFNRARAARLALPHFVCQTPLFMPVGTQGTIKGLTNNQLEEIGCQIILGNTYHLALRPGAELIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEQGVTFQSPVDAKPMLLTPEESIQIQNKIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIVAHKRPDEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLSGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDLVVCSALGADMYDCVYPTRTARFGTALIPEGLLKLKNQAMANDERPIDPTCLCMVCRNYTRAYLHCIVTKDPMGSQLVSYHNLSYMMRLSKDLHTSIVEGRFPEFVQGFLRVQFPKGDIPEWVCNAMEVAGIDVSTCCAP >Ma04_p30700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31275754:31281167:1 gene:Ma04_g30700 transcript:Ma04_t30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLEVRFHASILPLIRFTDEPSDLPLFSGGGPFVLEPCASDSAAAPTVRWGRSRGKAAPFGQIRWGGAGGDGLLSVSLSVKGGEGFVRESTGLLGKEVRRSEETALEGKEEDGVVVVLEEEKKNKFKNKSRVKGAGAGAMNTTKHLWAGAIAAMVSRTFVAPLERLKLEYIVRGEQSNLFVLIHKIATAQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKISGNEETTNFERFLAGAAAGITATMLCIPMDTIRTKMIAPGGEALGGVIGVFRHMVETEGFFSLYKGLVPSLVSMAPSAAVFYSVYDILKSAYLHSPEGMKRLALMKQQEDEEVNALDQLELGPVRTLLYGAIAGACAEVTTYPFEVVRRHLQMQVQANKLNAFATFMKIVEQGGVSALYAGMIPSLLQVLPSASISYFVYELMKIALRVE >Ma04_p23190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25373770:25379522:-1 gene:Ma04_g23190 transcript:Ma04_t23190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEDFQASIESVPAMLQRNYSLMRDLDKSLLGVRQQNEQRCEQEIEDIKRGIESGSITPDASLIRFSDEALDEQKHCIRIADEKVTLAIQAYDMVDAHIQQLDQYMRKLEELRQAGADNAAGVSNTDPNTRSGRATESGRGGRKKSRLAAEPPGVELELPVDPNEPTYCICNQVSFGKMVACDNANCKIEWFHFDCVGLKEQPKGKWYCPNCIGMQKRRKGK >Ma04_p23190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25373770:25379531:-1 gene:Ma04_g23190 transcript:Ma04_t23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEDFQASIESVPAMLQRNYSLMRDLDKSLLGVRQQNEQRCEQEIEDIKRGIESGSITPDASLIRFSDEALDEQKHCIRIADEKVTLAIQAYDMVDAHIQQLDQYMRKLEELRQERELAAGADNAAGVSNTDPNTRSGRATESGRGGRKKSRLAAEPPGVELELPVDPNEPTYCICNQVSFGKMVACDNANCKIEWFHFDCVGLKEQPKGKWYCPNCIGMQKRRKGK >Ma03_p25380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29440526:29441515:1 gene:Ma03_g25380 transcript:Ma03_t25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAVVSDAFSAVATQGLGLSAKLLLPRYSLSLSAVDSGAPPAVVRSDPFRPAAVPRAFVRRTRRRTRRRSLTEGGGEDDGFSGDGDGDDGPFGGGGDAGGGGKGWNSGDQGPDWGGSSPSSSDPAFDFIYEVMCWIALSNCAHFAFKKMGRLLATRGKVFPLRLLPSVC >Ma09_p09950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6798633:6807969:1 gene:Ma09_g09950 transcript:Ma09_t09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTSRGVMAHLFPSLGPALVISMGYIDLGKWLAAVDGGVRFGNDLVLLVLFFNLAAILCQYLATCVGIVTRKNLAQICSVEYSRTTCMILGVQYQLSMITSDLTMILGVAHGFNLLFGVNILGSICFAAVASVLLPIFVDLLNDRKAEALYESLAGFALLLYVLGVLISQPEIPLPTNVTFPKLSGENAYSLMALLGANIMAHNFYIHSSIVQQKRLPNVPVTALLHDHFFAILFIFTGIFVVNYVLMNSAAAVFGITGIELKFEDVSLLMDQIFWIPIAPVAIFLVLVFSSQITALTWNIDGQEILQYFFGANISVWVQVLLVKALSVIPALCCAKCAGTEGIFQLLIFCQVIQAMLLPSSVIPLFRVASSQSIMGAFKISWYLGIVALLAFFGMLASNVIFITEMLFGNSSWINDLRGGMSNGGIATCAAFLLVACVSMCFMLYLTVTPLKSASDKPETDIGMLHSRMDELELSRGRKDDVQDKIATNEDMLSVESALEITLEHHDDKSFLDSNIDQSDTAINPDHDCHQPTHDSVASDTAIDPDHDCHQLTHDSVASDAAIDPDHDCHQPTHGISASDTFSTAMFQNEELKSVNEIDLETLNKTSSASLLDPGVVERQESDQVQKDLTLKADISRDKDNEEVLVAKESVTKSLPPLTSEDSGSFNPVQVKVSDGGIGNESSSKSSGLGRSSRRQLAIILDEFWGHLFDFHGKPTQEAIGQKYDALLGLNLKTVSSIKVDVGTESSINFCTDADRGAIFSPNSMDYGSPKRMNMSKGELSYGFRMGSPSRSRNIQILNTRSQALSSRQLDSNERPYSSLYLPQCSDNHDYQPATVHGYQIASYVKEIGSGRTPYLSNVSLDSPKISKSPPSIPPGFEDSVLYGDRQNGLGSLATSSLQSPKMPRVRRVQVEGPYFNPSLIEPSENAGSSSCTKKYHSSPDISALIAASRNLLLNEANSGGPIGQPSLGRMISQQQHYLNPISKTGVSLAFDELYQPKLHRDVLPLQSKLNPDTRSLWSRQPFEQLFGMPNGGESRGDRAVTDKLSSASEELLSCADSELKLLQSLRFCITKLLKLEGSDWLFRHNGGCDEELICKVSTTEKYIHKAGANDMNQLHSNRLQYLSSDQRLSSVQRNEEADTPYSLSLPNCGDGCVWQASLVVSFGVWCIHRILELSHVESRPELWGKYTYVLNRLQGILDLAFSRPRNPLSTCSCLELAPEGSNQLLLSQHSKPIRAPFTTASMILEIIKDVEIAVSGRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNRNT >Ma09_p09950.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6798633:6807969:1 gene:Ma09_g09950 transcript:Ma09_t09950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTSRGVMAHLFPSLGPALVISMGYIDLGKWLAAVDGGVRFGNDLVLLVLFFNLAAILCQYLATCVGIVTRKNLAQICSVEYSRTTCMILGVQYQLSMITSDLTMILGVAHGFNLLFGVNILGSICFAAVASVLLPIFVDLLNDRKAEALYESLAGFALLLYVLGVLISQPEIPLPTNVTFPKLSGENAYSLMALLGANIMAHNFYIHSSIVQKRLPNVPVTALLHDHFFAILFIFTGIFVVNYVLMNSAAAVFGITGIELKFEDVSLLMDQIFWIPIAPVAIFLVLVFSSQITALTWNIDGQEILQYFFGANISVWVQVLLVKALSVIPALCCAKCAGTEGIFQLLIFCQVIQAMLLPSSVIPLFRVASSQSIMGAFKISWYLGIVALLAFFGMLASNVIFITEMLFGNSSWINDLRGGMSNGGIATCAAFLLVACVSMCFMLYLTVTPLKSASDKPETDIGMLHSRMDELELSRGRKDDVQDKIATNEDMLSVESALEITLEHHDDKSFLDSNIDQSDTAINPDHDCHQPTHDSVASDTAIDPDHDCHQLTHDSVASDAAIDPDHDCHQPTHGISASDTFSTAMFQNEELKSVNEIDLETLNKTSSASLLDPGVVERQESDQVQKDLTLKADISRDKDNEEVLVAKESVTKSLPPLTSEDSGSFNPVQVKVSDGGIGNESSSKSSGLGRSSRRQLAIILDEFWGHLFDFHGKPTQEAIGQKYDALLGLNLKTVSSIKVDVGTESSINFCTDADRGAIFSPNSMDYGSPKRMNMSKGELSYGFRMGSPSRSRNIQILNTRSQALSSRQLDSNERPYSSLYLPQCSDNHDYQPATVHGYQIASYVKEIGSGRTPYLSNVSLDSPKISKSPPSIPPGFEDSVLYGDRQNGLGSLATSSLQSPKMPRVRRVQVEGPYFNPSLIEPSENAGSSSCTKKYHSSPDISALIAASRNLLLNEANSGGPIGQPSLGRMISQQQHYLNPISKTGVSLAFDELYQPKLHRDVLPLQSKLNPDTRSLWSRQPFEQLFGMPNGGESRGDRAVTDKLSSASEELLSCADSELKLLQSLRFCITKLLKLEGSDWLFRHNGGCDEELICKVSTTEKYIHKAGANDMNQLHSNRLQYLSSDQRLSSVQRNEEADTPYSLSLPNCGDGCVWQASLVVSFGVWCIHRILELSHVESRPELWGKYTYVLNRLQGILDLAFSRPRNPLSTCSCLELAPEGSNQLLLSQHSKPIRAPFTTASMILEIIKDVEIAVSGRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNRNT >Ma09_p09950.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6798633:6807969:1 gene:Ma09_g09950 transcript:Ma09_t09950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTSRGVMAHLFPSLGPALVISMGYIDLGKWLAAVDGGVRFGNDLVLLVLFFNLAAILCQYLATCVGIVTRKNLAQICSVEYSRTTCMILGVQYQLSMITSDLTMILGVAHGFNLLFGVNILGSICFAAVASVLLPIFVDLLNDRKAEALYESLAGFALLLYVLGVLISQPEIPLPTNVTFPKLSGENAYSLMALLGANIMAHNFYIHSSIVQQQKRLPNVPVTALLHDHFFAILFIFTGIFVVNYVLMNSAAAVFGITGIELKFEDVSLLMDQIFWIPIAPVAIFLVLVFSSQITALTWNIDGQEILQYFFGANISVWVQVLLVKALSVIPALCCAKCAGTEGIFQLLIFCQVIQAMLLPSSVIPLFRVASSQSIMGAFKISWYLGIVALLAFFGMLASNVIFITEMLFGNSSWINDLRGGMSNGGIATCAAFLLVACVSMCFMLYLTVTPLKSASDKPETDIGMLHSRMDELELSRGRKDDVQDKIATNEDMLSVESALEITLEHHDDKSFLDSNIDQSDTAINPDHDCHQPTHDSVASDTAIDPDHDCHQLTHDSVASDAAIDPDHDCHQPTHGISASDTFSTAMFQNEELKSVNEIDLETLNKTSSASLLDPGVVERQESDQVQKDLTLKADISRDKDNEEVLVAKESVTKSLPPLTSEDSGSFNPVQVKVSDGGIGNESSSKSSGLGRSSRRQLAIILDEFWGHLFDFHGKPTQEAIGQKYDALLGLNLKTVSSIKVDVGTESSINFCTDADRGAIFSPNSMDYGSPKRMNMSKGELSYGFRMGSPSRSRNIQILNTRSQALSSRQLDSNERPYSSLYLPQCSDNHDYQPATVHGYQIASYVKEIGSGRTPYLSNVSLDSPKISKSPPSIPPGFEDSVLYGDRQNGLGSLATSSLQSPKMPRVRRVQVEGPYFNPSLIEPSENAGSSSCTKKYHSSPDISALIAASRNLLLNEANSGGPIGQPSLGRMISQQQHYLNPISKTGVSLAFDELYQPKLHRDVLPLQSKLNPDTRSLWSRQPFEQLFGMPNGGESRGDRAVTDKLSSASEELLSCADSELKLLQSLRFCITKLLKLEGSDWLFRHNGGCDEELICKVSTTEKYIHKAGANDMNQLHSNRLQYLSSDQRLSSVQRNEEADTPYSLSLPNCGDGCVWQASLVVSFGVWCIHRILELSHVESRPELWGKYTYVLNRLQGILDLAFSRPRNPLSTCSCLELAPEGSNQLLLSQHSKPIRAPFTTASMILEIIKDVEIAVSGRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNRNT >Ma09_p09950.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6798633:6807969:1 gene:Ma09_g09950 transcript:Ma09_t09950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTSRGVMAHLFPSLGPALVISMGYIDLGKWLAAVDGGVRFGNDLVLLVLFFNLAAILCQYLATCVGIVTRKNLAQICSVEYSRTTCMILGVQYQLSMITSDLTMILGVAHGFNLLFGVNILGSICFAAVASVLLPIFVDLLNDRKAEALYESLAGFALLLYVLGVLISQPEIPLPTNVTFPKLSGENAYSLMALLGANIMAHNFYIHSSIVQQQKRLPNVPVTALLHDHFFAILFIFTGIFVVNYVLMNSAAAVFGITGIELKFEDVSLLMDQIFWIPIAPVAIFLVLVFSSQITALTWNIDGQEILQYFFGANISVWVQVLLVKALSVIPALCCAKCAGTEGIFQLLIFCQVIQAMLLPSSVIPLFRVASSQSIMGAFKISWYLGIVALLAFFGMLASNVIFITEMLFGNSSWINDLRGGMSNGGIATCAAFLLVACVSMCFMLYLTVTPLKSASDKPETDIGMLHSRMDELELSRGRKDDVQDKIATNEDMLSVESALEITLEHHDDKSFLDSNIDQSDTAINPDHDCHQPTHDSVASDTAIDPDHDCHQLTHDSVASDAAIDPDHDCHQPTHGISASDTFSTAMFQNEELKSVNEIDLETLNKTSSASLLDPGVVERQESDQVQKDLTLKADISRDKDNEEVLVAKESVTKSLPPLTSEDSGSFNPVQVKVSDGGIGNESSSKSSGLGRSSRRQLAIILDEFWGHLFDFHGKPTQEAIGQKYDALLGLNLKTVSSIKVDVGTESSINFCTDADRGAIFSPNSMDYGSPKRMNMSKGELSYGFRMGSPSRSRNIQILNTRSQALSSRQLDSNERPYSSLYLPQCSDNHDYQPATVHGYQIASYVKEIGSGRTPYLSNVSLDSPKISKSPPSIPPGFEDSVLYGDRQNGLGSLATSSLQSPKMPRVRRVQVEGPYFNPSLIEPSENAGSSSCTKKYHSSPDISALIAASRNLLLNEANSGGPIGQPSLGRMISQQQHYLNPISKTGVSLAFDELYQPKLHRDVLPLQSKLNPDTRSLWSRQPFEQLFGMPNGGESRGDRAVTDKLSSASEELLSCADSELKLLQSLRFCITKLLKLEGSDWLFRHNGGCDEELICKVSTTEKYIHKAGANDMNQLHSNRLQYLSSDQRLSSVQRNEEADTPYSLSLPNCGDGCVWQASLVVSFGVWCIHRILELSHVESRPELWGKYTYVLNRLQGILDLAFSRPRNPLSTCSCLELAPEGSNQLLLSQHSKPIRAPFTTASMILEIIKDVEIAVSGRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNRNT >Ma09_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6798633:6807969:1 gene:Ma09_g09950 transcript:Ma09_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTSRGVMAHLFPSLGPALVISMGYIDLGKWLAAVDGGVRFGNDLVLLVLFFNLAAILCQYLATCVGIVTRKNLAQICSVEYSRTTCMILGVQYQLSMITSDLTMILGVAHGFNLLFGVNILGSICFAAVASVLLPIFVDLLNDRKAEALYESLAGFALLLYVLGVLISQPEIPLPTNVTFPKLSGENAYSLMALLGANIMAHNFYIHSSIVQQQKRLPNVPVTALLHDHFFAILFIFTGIFVVNYVLMNSAAAVFGITGIELKFEDVSLLMDQIFWIPIAPVAIFLVLVFSSQITALTWNIDGQEILQYFFGANISVWVQVLLVKALSVIPALCCAKCAGTEGIFQLLIFCQVIQAMLLPSSVIPLFRVASSQSIMGAFKISWYLGIVALLAFFGMLASNVIFITEMLFGNSSWINDLRGGMSNGGIATCAAFLLVACVSMCFMLYLTVTPLKSASDKPETDIGMLHSRMDELELSRGRKDDVQDKIATNEDMLSVESALEITLEHHDDKSFLDSNIDQSDTAINPDHDCHQPTHDSVASDTAIDPDHDCHQLTHDSVASDAAIDPDHDCHQPTHGISASDTFSTAMFQNEELKSVNEIDLETLNKTSSASLLDPGVVERQESDQVQKDLTLKADISRDKDNEEVLVAKESVTKSLPPLTSEDSGSFNPVQVKVSDGGIGNESSSKSSGLGRSSRRQLAIILDEFWGHLFDFHGKPTQEAIGQKYDALLGLNLKTVSSIKVDVGTESSINFCTDADRGAIFSPNSMDYGSPKRMNMSKGELSYGFRMGSPSRSRNIQILNTRSQALSSRQLDSNERPYSSLYLPQCSDNHDYQPATVHGYQIASYVKEIGSGRTPYLSNVSLDSPKISKSPPSIPPGFEDSVLYGDRQNGLGSLATSSLQSPKMPRVRRVQVEGPYFNPSLIEPSENAGSSSCTKKYHSSPDISALIAASRNLLLNEANSGGPIGQPSLGRMISQQQHYLNPISKTGVSLAFDELYQPKLHRDVLPLQSKLNPDTRSLWSRQPFEQLFGMPNGGESRGDRAVTDKLSSASEELLSCADSELKLLQSLRFCITKLLKLEGSDWLFRHNGGCDEELICKVSTTEKYIHKAGANDMNQLHSNRLQYLSSDQRLSSVQRNEEADTPYSLSLPNCGDGCVWQASLVVSFGVWCIHRILELSHVESRPELWGKYTYVLNRLQGILDLAFSRPRNPLSTCSCLELAPEGSNQLLLSQHSKPIRAPFTTASMILEIIKDVEIAVSGRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNRNT >Ma03_p31850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33905283:33911534:1 gene:Ma03_g31850 transcript:Ma03_t31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGEAAPEDDGKRSSTRQWRGCTSYLRRPSCLNPAADGPTGMGSDRSVAAAVRDSGSAAEQRDPTHLVVMVNGIIGSAANWKYAAKQFVKSHPEDVVVHRSECNYSTLTFDGVDVMGERLADEVISIVANNPGLQKISFVGHSLGGLISRYAISLLYEKSIRKRSSEENGECEDHTPGTTCVDKNLKGKIAGLEPINFITFATPHLGSRLHKQIPVLRGFYALEKMAYHTCWILGRTGKHLFLKDKDNGKPPLLVQMVNDYGDLRFMSALQSFKRRVAYSNVCFDFIVGRKTSSIRRQHELPKRQDFMKNSQYRHIVYVEKPIITDVPQMNFSASTTCELNTISEMEEVMINGLNRVPWERVDVSFRKCSQRFFAHSTMQVKTYLINSHGADVIFHMIDNFLL >Ma06_p01930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1578047:1581332:1 gene:Ma06_g01930 transcript:Ma06_t01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGLLRVRVVRGVNLAKRDAGDSDAYVVVLMGDQKLKTNVKKNSLNPEWNEDLNFCVSDPTQPLKIEIYDKDTLTQDDKMGDAELDIQQFMEAVKMGLADLPNGFVIETMRPSQQNCLAGDSTITSKDGTIAQDVVLQLRNVESGNVELQLLWLSVPCAPDF >Ma07_p09590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7171619:7181804:1 gene:Ma07_g09590 transcript:Ma07_t09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKPFASVTMGEEPFNFLRALCDGVIAGATAGGVVETVLYPIDTIKTRLQAAHGGSKIYWRGLYSGLAGNLAGVLPASAIFVGIYEPTKQKLLRVFPENLSAFAHLTAGAIGGAASSFIRVPTEVVKQRMQTGQFTSAPNAVRLIVAKEGFRGLYAGYSSFLLRDLPFDAIQFCIYEQIRIGYKIAARRELNDPENAIIGAFAGAITGAITTPLDVMKTRLMVQGSANQYKGLLNCAQTILREEGPAAFLKGIGPRVLWIGIGGSIFFGVLERTKLLLSQRHFDQGQKS >Ma06_p18980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12993074:12993463:1 gene:Ma06_g18980 transcript:Ma06_t18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEYRRSEKVLREKIQLLHRLYAFFRRIRNDGASLYRSFLFAYVLGAHLGHTRQHRGRSYRTKSYTMSLDK >Ma08_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6570136:6571986:-1 gene:Ma08_g09090 transcript:Ma08_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEVISFMVSSHFRWQPRQNIVNLPPMEAIKKLHSHLIVTGLYKHSSSPIFRVLISYALSPPHLTHARRVFEQVQEPNTFLWNTMIRGFARSEAPDDAILFYNLMRAQGVPQDHMSFPFALKACARISAVREGSTVHAHCLKLGFLSDVFVSNSLVHLYSVCGDISRASLVFEEMPARDLVSWNSLICGCSQHGRLRDALGLFEAMQAEGIKADKVTMVNVISACTHLGEWDLAESMVKYIEENSVEVDVYLGNTLIDYYGRQGLVNSAERIFNAMREQNMMTLNSMITTYAKAGDLVSARRIFDSMPERDLISWGSIITGCFQANHFSEALALFQQMQEAEVEPSEIVIVSVLSACAHLGALNLGKWIHNYIRKKKIRADIYVGNSLIDMYSKCGCIMDAFEVFMEMKEKDTLSWNVIVFGLAANGYVNSALEVFTDMLREGFRPDDVTFLGVLNACARSGLVDKGLKYFASMKEVYGLEPQMKHYGCVVDLLSRSGELDKAYNFIKEMPMTPDLIIWRTLLRACHTHGSVDLAEIATEKLNELDPSNNGNYMLLSDTYASGNRWNDSMKVRETMEYTDVQKIPGCSSIEVTNLTDELTAAEMPLMVNKQGVPI >Ma09_p05110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3291064:3293606:-1 gene:Ma09_g05110 transcript:Ma09_t05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSTVVAAIDAYTGLSPAAFFTILALMFGVYRLVSSLFVYPDDAAAEKTSPPPAPPAMQPFVPPEPVQMGDITLEELKAYDGSDPNKPLLMAIKGQVYDVSMGRLFYGPGGPYAFFAGKETSRALALMSFDPSDINSNLEDLSEAELEVLHDWEEKFKEKYVKVGQIVPESSKVGDDNESSDQIKGNQDE >Ma10_p31200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37390905:37392594:-1 gene:Ma10_g31200 transcript:Ma10_t31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMEAQALAASTIDDILADEAFAWLAYTLAAGMKPTSEPMDQPDIPLLLDRRCHNPPRRPRDESTPATDKKRRKRRGGRGNSVNGYVPVHLVESILMRMNPKDAVRLSTVCKDWRATAARYDPTMSTTPWLLTMTLSNTTCRLQSVVDKEVSFKIKLHGFQLRKTYCCGSWHGWLVLQANRDDPISLLNPFSRARLDLPAGGPASGLFLYMSSAPTIPGCVLFARDRRDLYVWRPGHETWTVENVDLGDFDSIVSFEGQFYALNDNGSLLSFEVFPLRLTKLDVPPPINDIFGNRRFLVESCGELLFVGMARHHSSSICVLRLDLKNKAWVKMEKLGDQALFLNRKQAISVSAVEAGCDGDRIYFSNPCDDDVIWRVCDMESPRLDSFPRTGRRHRRFRDQVWITPSLS >Ma07_p24040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31503622:31519179:-1 gene:Ma07_g24040 transcript:Ma07_t24040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRTHVRGLTRLAFYMTRITNEPNLLGDKAREKYLALKKGTKADIATTLEDELHIARSSFEQARFDLVTALSNIEAKKRYELLEAVTGIMEAHLRYFKQGYELLHQMEPYIHQVLAYAQQSRDRSKYEQAALIERMQEFKRQIDRESRLSANGSHDSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRHGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQWIRSSGGHHSNPRGHNSSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAENAMDQMDWIEKITGVIASLLNSQSPEQHLLTSPMGGGHHRAASESSSLGSSSDLDQLANEDSSLEKSSVSGHFDRCIRSSQHYRFNSKHEKPIDVLRKVCGNDECADCGAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWDPSVISLFRSLGNTFANSIWEESFLITSNGKSGDVSSLCTLDRNQEHCYISKPKHSDPIAVKEKFIQAKYAEKHFVHKTADQLSKAQQMWDSVCANDTKAVYHHIVALDADVNITYRQASINSSLTLENTILLADQTSVAHDHRSSCLLGKSLQMSSTMSCISSSGTSDIRNEVDECLEGFTLLHLACLTSDIGMVELLLQYGANVNSTDFKGRTPLHHCILRGRQLFAKLLLTRGANPRAIDEDGRTALQYALEGGNVDDEEIIILLANPNR >Ma07_p24040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31503622:31525780:-1 gene:Ma07_g24040 transcript:Ma07_t24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTKLDDSPMFRKQIQSLEESAESLRERCLKFYKGCHKYTEGLGEGYDRDVAFASSLEMFGGGHSDPISVAFGGPVMTKFTIALREIGTYTEVLRSQVEHMLNDKLLQFVDIDLHDVKDTRKRFDKASLLYDQAREKYLALKKGTKADIATTLEDELHIARSSFEQARFDLVTALSNIEAKKRYELLEAVTGIMEAHLRYFKQGYELLHQMEPYIHQVLAYAQQSRDRSKYEQAALIERMQEFKRQIDRESRLSANGSHDSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRHGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQWIRSSGGHHSNPRGHNSSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAENAMDQMDWIEKITGVIASLLNSQSPEQHLLTSPMGGGHHRAASESSSLGSSSDLDQLANEDSSLEKSSVSGHFDRCIRSSQHYRFNSKHEKPIDVLRKVCGNDECADCGAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWDPSVISLFRSLGNTFANSIWEESFLITSNGKSGDVSSLCTLDRNQEHCYISKPKHSDPIAVKEKFIQAKYAEKHFVHKTADQLSKAQQMWDSVCANDTKAVYHHIVALDADVNITYRQASINSSLTLENTILLADQTSVAHDHRSSCLLGKSLQMSSTMSCISSSGTSDIRNEVDECLEGFTLLHLACLTSDIGMVELLLQYGANVNSTDFKGRTPLHHCILRGRQLFAKLLLTRGANPRAIDEDGRTALQYALEGGNVDDEEIIILLANPNR >Ma07_p24040.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31503622:31525780:-1 gene:Ma07_g24040 transcript:Ma07_t24040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWVCEEGKIAAAPCISPSSTILRCFGSREGLGEGYDRDVAFASSLEMFGGGHSDPISVAFGGPVMTKFTIALREIGTYTEVLRSQVEHMLNDKLLQFVDIDLHDVKDTRKRFDKASLLYDQAREKYLALKKGTKADIATTLEDELHIARSSFEQARFDLVTALSNIEAKKRYELLEAVTGIMEAHLRYFKQGYELLHQMEPYIHQVLAYAQQSRDRSKYEQAALIERMQEFKRQIDRESRLSANGSHDSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRHGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQWIRSSGGHHSNPRGHNSSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAENAMDQMDWIEKITGVIASLLNSQSPEQHLLTSPMGGGHHRAASESSSLGSSSDLDQLANEDSSLEKSSVSGHFDRCIRSSQHYRFNSKHEKPIDVLRKVCGNDECADCGAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWDPSVISLFRSLGNTFANSIWEESFLITSNGKSGDVSSLCTLDRNQEHCYISKPKHSDPIAVKEKFIQAKYAEKHFVHKTADQLSKAQQMWDSVCANDTKAVYHHIVALDADVNITYRQASINSSLTLENTILLADQTSVAHDHRSSCLLGKSLQMSSTMSCISSSGTSDIRNEVDECLEGFTLLHLACLTSDIGMVELLLQYGANVNSTDFKGRTPLHHCILRGRQLFAKLLLTRGANPRAIDEDGRTALQYALEGGNVDDEEIIILLANPNR >Ma07_p24040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31503622:31525780:-1 gene:Ma07_g24040 transcript:Ma07_t24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTKLDDSPMFRKQIQSLEESAESLRERCLKFYKGCHKYTEGLGEGYDRDVAFASSLEMFGGGHSDPISVAFGGPVMTKFTIALREIGTYTEVLRSQVEHMLNDKLLQFVDIDLHDVKDTRKRFDKASLLYDQAREKYLALKKGTKADIATTLEDELHIARSSFEQARFDLVTALSNIEAKKRYELLEAVTGIMEAHLRYFKQGYELLHQMEPYIHQVLAYAQQSRDRSKYEQAALIERMQEFKRQIDRESRLSANGSHDSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRHGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQWIRSSGGHHSNPRGHNSSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAENAMDQMDWIEKITGVIASLLNSQSPEQHLLTSPMGGGHHRAASESSSLGSSSDLDQLANEDSSLEKSSVSGHFDRCIRSSQHYRFNSKHEKPIDVLRKVCGNDECADCGAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWDPSVISLFRSLGNTFANSIWEESFLITSNGKSGDVSRNQEHCYISKPKHSDPIAVKEKFIQAKYAEKHFVHKTADQLSKAQQMWDSVCANDTKAVYHHIVALDADVNITYRQASINSSLTLENTILLADQTSVAHDHRSSCLLGKSLQMSSTMSCISSSGTSDIRNEVDECLEGFTLLHLACLTSDIGMVELLLQYGANVNSTDFKGRTPLHHCILRGRQLFAKLLLTRGANPRAIDEDGRTALQYALEGGNVDDEEIIILLANPNR >Ma02_p24230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28922384:28922878:-1 gene:Ma02_g24230 transcript:Ma02_t24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAQSQSMNGEISMEDFKTWLKNFDANKDGRISKAELREAIRSRGGWFTTWKSGRGLRQADTNRNGYIDDGEIENLIIFAQKNMGMKITA >Ma02_p01230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8885497:8920990:-1 gene:Ma02_g01230 transcript:Ma02_t01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVYNVKDYGAAGDGQTDNTKAFETAWSAACAVQGKATIVIPEGAYLLGPTIFKGPCKGIMVMQVKGQLLASTHLEAYNQYWLHFQYINGLVISGGGRFNGQGASAWPYNQCKKTNNCKPLPMNLVFSFVTNATIKSISSIDSKFFHIHVFESRNIIFDSIKISAPQDSPNTDGIHIADSTNIEVANSIIGTGDDCISIGPGCTNLTIFKVLCGPGHGISVGSLGKNAGEKDVIGLKVSNYNLTGTTNGLRIKTLQAAYSSSHKGSDAYDCCTRVVMRRRAAAPMAATPAGKNPAGATARRGSSTCGRCLTGRTARGVDGTHRGTRPRRRRCPQGRPVARAAPPAGVPPAGEGGDPTLRRTARRR >Ma08_p11660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8656607:8659105:-1 gene:Ma08_g11660 transcript:Ma08_t11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARWLRGLLAGKKADRGERPAEHKEKRRWGFQNKRAIAVAAATAAVAEAAVAAAQAAAVVVRLTSSGRPVTGLVCGKREKWAAVKIQSAFRGYLARRALRALRGLVKLQALVRGNIVRKQAAETLRCMQALVRVQARARACRALRSERSRPEKAPRPQAGPPTPEKYEPSVRTNATDRSCMLKRNSSKPAGRDAVDCDRADMAAWNWLDRWMEERNAKILEVDPGKPQFRQKRSSHHQHSCSSLTSEHNSRGFTAAAPDSPSKDSTTLHHSVPSPSSCSRSLFNGYADYPNYMANTESSKAKARSQSAPKQRPESEKPGSLKRSSAQSSSSLRAKFSSKAYPGSGRLDRLGMPLRI >Ma04_p01270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1123860:1125029:1 gene:Ma04_g01270 transcript:Ma04_t01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINNSQDIRETALSLGLGVGPTTTTSAEHLRPREPCSPLTNLASDQPSLALSLSTDAYAETAKAKEESRPAPASSSRSAVSSFSSPHATIVLREKDVGSDEAEEDEEDNGCVRKKLRLTKEQSAMLENRFKEHTSLNPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEILRRCYEKLTAENQRLRKELQEMKSLRFSAPSPLYMQIPAATLALCPSCQRINGSEAGSDAAPKPGLFLNPITHPAPC >Ma04_p31330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31665233:31666456:1 gene:Ma04_g31330 transcript:Ma04_t31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAFGSVAPPVPAFQNFAISSLRLLGILEHPDPNPDIISFRTHQLELDESDVVWSSSSDDLSSDPSLSEAVYDDHDSVDRVTSPSADLPRTPLAASFSPSGHLSRPLAPERCGLSAALSEDNLPLVLQHRSVATAARATRPMAVPEGREAASVVGRVRQHQSAPVNIPVWPRWSKGRKADVLNVVEELEAWESAKEHDEEEEEMVPPHVIVARSHVTNFSVFEGVGRTLKGRDLRRVRNDVLQKTGFLDV >Ma09_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3151872:3158223:1 gene:Ma09_g04920 transcript:Ma09_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVACLLCKPCVSCEGKEESRQRKPPTAGFKPHAPRDDAASPTGGLSWQRLGAGAREGGRGLSSKIIGHSGTCIWLDGHDSWHQEGKIQPNSNAGHVWGSSRKFLWVESMGTITMNSVHQDMGSVKWLGSLLATSHWKQLFHHHDCSKLGVLSVCSQHRSQHERPLLPDWAVRIMRNPRTLCQTSYKTLHRSVATGRKEPGQMT >Ma06_p15700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10625698:10626882:1 gene:Ma06_g15700 transcript:Ma06_t15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGHQQMDLDAQSETDSTDYSTNDDDDVTETSISKFTWAIKNFSRLKTRKLYSDVFLAGGHQWRLLLFPKGNNVDSLSIYMDAADSASLVFGWTRFAHFDCQNIFCALRNDWGFSHFMPLSEVHNSSEGFLVNDTLIIEADVTVLRFSDWSYEERKETGLAAVKSRVVDCYQDWTDRIAYSMKVLNRMIPPLLDGICQCFER >Ma01_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9509172:9509881:1 gene:Ma01_g12990 transcript:Ma01_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPVASKRYVLRLFISLKHVTANVVDRRSGRVVVTASSVEKALKAGFECGRTCNAKAAAAVAEVLAMRLKVDGLAREPIHADATKEVEKKGFKNRTKVWAMLNALRSHGVNLILDQGDIRHPPPPPPPHLP >Ma07_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12776875:12777081:-1 gene:Ma07_g16160 transcript:Ma07_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVLEKKRKRGESVALRKGREREKSKQKPCFLSRFCSMKTRGDGGDEEEAANGAREDSWHSRSRPR >Ma06_p27420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29437882:29440953:-1 gene:Ma06_g27420 transcript:Ma06_t27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSEEDFCREMEILGRLHHRHLVTLRGFCSSRQERFMIYEFMENGSLKDQLYSSGRTPLPWKTRIQIAIDVANALEYLHYYCDNTLCHGDLRSSNVLFDKNFLAKVAYFGLEHSTRCASRHVPHNGNALGTSGYLDPEYMVTKMMTDKSDVYSYGVLLLELVVGKQASLNHRNLVQWSQELADSFRLSELVDPAIADAVDLEQLHVVVGIAKLCTQREVKERPSIKQILRMLRERLDPSYTGFAKAVQGEGCHDDGRLFTEKQQENEVIALSGDARCLQSSSSTSRSYCSRSILLECNSPQSPHGI >Ma03_p02170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1476947:1484424:1 gene:Ma03_g02170 transcript:Ma03_t02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDLGKLFVGGISWDTDEERLREYFRNYGEVVEAVIMKDRTTGRARGFGFVVFSDPTVAEQVVMEKHVIDGRMVEAKKAVPKDDQQILYRNNSNVHASPVNGRTKKIFVGGLPSTITEGDFKKYFDQFGTVTDVVVMYDHNTRRPRGFGFITYDSEDAVDKVLFKSFHELNGKMVEVKRAVPRELSPGPNMRSPIGGYNSGLNRVNSFLNGYTQGYNPSLIGGYGMRTDSRLGLSSSARNGFSSFGPGFGMKMNFEPSMIPNFGGNSSFSNNIGYGGGLSPYYTGNSTRYTSPNGYGGSSTNTSSDFSSMVRNAWGGRGLSYTMNNASYNDYMAFGNGSLGGFANRTSNWGSAPPISARAGESTSSYVSGNLSYGDDDSDFDLGAGNFGREGGTISAKTSFAASTGEFEGNYAELYSGSSVYGDPTWRSSSSELEGTGPFEYGLGNMPSDIIGKGSAGYAGNYNVTNRQPNRGIAT >Ma03_p02170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1477320:1484424:1 gene:Ma03_g02170 transcript:Ma03_t02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDLGKLFVGGISWDTDEERLREYFRNYGEVVEAVIMKDRTTGRARGFGFVVFSDPTVAEQVVMEKHVIDGRMVEAKKAVPKDDQQILYRNNSNVHASPVNGRTKKIFVGGLPSTITEGDFKKYFDQFGTVTDVVVMYDHNTRRPRGFGFITYDSEDAVDKVLFKSFHELNGKMVEVKRAVPRELSPGPNMRSPIGGYNSGLNRVNSFLNGYTQGYNPSLIGGYGMRTDSRLGLSSSARNGFSSFGPGFGMKMNFEPSMIPNFGGNSSFSNNIGYGGGLSPYYTGNSTRYTSPNGYGGSSTNTSSDFSSMVRNAWGGRGLSYTMNNASYNDYMAFGNGSLGGFANRTSNWGSAPPISARAGESTSSYVSGNLSYGDDDSDFDLGAGNFGREGGTISAKTSFAASTGEFEGNYAELYSGSSVYGDPTWRSSSSELEGTGPFEYGLGNMPSDIIGKGSAGYAGNYNVTNRQPNRGIAT >Ma02_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23954121:23955143:1 gene:Ma02_g16710 transcript:Ma02_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKSRISDEEINELVCKLQSLLPESRRRNLSRASSASKLLKETCSYIKRLHREVDDLSGRLSHLTSTLDPDSPQAEIIRSILGS >Ma06_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10272743:10275136:-1 gene:Ma06_g15080 transcript:Ma06_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLLGLSNNSHPQEGGLDKMAMMTIDQNCASNCWGAGQAVSSGMFGGHENSVAKFDPWVAVASSPSMAPPESNSVPPCGPEQQGFFHRECNLPKTSSSVQQDCMALQSSDMFQPTNAGQIGSSSADPSFINVDNNRSGNFIFHNGNVCPTISLSLSNLTGESSAADYQDRGVSPTFLTGESTWDSNLEPGRPQARNEAKMRYNEKKKSQLFRKQIRYASRKARTYDKFVKADETYDYDPLLAGNT >Ma06_p10820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7535220:7538215:-1 gene:Ma06_g10820 transcript:Ma06_t10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCTSSTHPVPRHYSFGRWLTSILLFTAATTPTTKGCVEGERDALLDFKTGIVKDPSSSLSSWRGRVDCCRWSGVVCDNRTGHVVELNLQNSDPYNYETSIGGEIRPSLLLLTHLERLNLSYNDFGGIQIPKFLGSLTKLTYLDLSWSNFSGAIPPQLGNLSSLRYLDLNSGGLSTDGLHWLSRLTSLRYLDMSFGNLSMASHNWLQAVNMLSSLEELHLQYCGLTDLPSSLSHVNLTTLTTLDLFGNLFNSTIPNWLWKLHRLSYLDLSFSMFHGAIPAGIGNLTGLRELYLGVNSLSGPIPTEIGIWNSLKLIDLSGNSLFGPIPDGIKNLTRLRKLFLSYNSLSGPVPTEIGIWNSLKYIDLSNNSLFGPIPAGIGNLTGLEYIDLSGNLLFGTIPTEIGKLSNLIFLSLSSNSLEGTMSELHFANLTKLSELDVSENSLVISVGYDWIPPFQLQSIQLKSCKLGPAFPRWLRSQNSINDLGMSNTSIEDVLPDWFWNIPAFSINLSQNQINGTLPTFLEHMTNLSTLKLSMNLLEGPIPRLPPNLSYLYLYNNSFSGSLSSISLPLELELLDLSHNHISGSIPSFVCNLTQLRILDLSSNQISSEIPWCWQETNFIIYINLADNKLSGEIPSSIEKLTQLRSLHLNNNSLHGHLPSSLKNCSGLVFLDLGDNKFSGSIPTWIAQNFQNLEVLRLCSNMFSGNIPSELGQLHHLHIIDLANNNLSGPIPRSFGNLNATKTYRQRKLTSLGQHITYDALVKTRSALSNFDGTYDDSITLTIKGNSLIFSIIVYLVNIIDVSNNNLTGEIPVEIGSLSTLQTLNLSRNNLVGQIPATIGAMKSLETLDLSFNKLSGGIPQSLSDLYSLNHLNLSYNNLSGVIPSGNQLQTLNDASIYIGNAYLCGAPLTESCYSIKSNNVTKENNKDGSFMSSYYLSIILGYLVGLWSMFILMLFKKNWRVFYFQMVDKIYDKAYVAIKIRIASSTTD >Ma03_p33160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34621851:34621988:1 gene:Ma03_g33160 transcript:Ma03_t33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILEELKDLQKGLHTSCSAGISDSDASPPLSFYCFFFPHIN >Ma07_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22589278:22593781:1 gene:Ma07_g17930 transcript:Ma07_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELRAAREKLEREQRERKERAKAKLEREKRAKAEAARQRDAIEAAQRSKRLDAARAQLEAERQMEESMLRGNGIIFSHTLEAVPFNGFGDKIKLPPSCFRELSDQGALDKGPMYFRLSIINELVPLDNNATDQREHQATHSGVLEFTAREGSVELPPHVWSNLLSGVSLDVPLAEVHYVSLPKGTYAKLQTDGMGFSDIPNHKAVLETTLRRHATLSQGDIISVSYGELNYKLRVLELKPDSSVSVLETDIEVDIEGPDSALETNRSQHMLRPLVMGKTEEGIVEEGNFNYYKFSVEDAMSDKVTSGQMNVEVKIEADQSDGDTNVYVSRHPLIFPTQHRHEWSSHEMGSKVLIIRPKDPSTVAGTYSIGVFGFKGMTKYHICAALKDNVKQKIGGYATASSQIDMETVECRNCKHYISSRSILLHEAYCIRHNVLCQHNGCGVVLRKEEAANHMHCDKCGQAFQQGQMEKHMKVFHEPLHCPCGVILEKEQMVQHQSAICPLRLITCRFCGDMVQAGNTPADARDRLRGLSEHESICGSRTAPCDSCGRSIMLKEMDIHVIAVHQKS >Ma06_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:16947166:16947676:1 gene:Ma06_g22020 transcript:Ma06_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEEIGKTEVTLLQRLADGFMEVKRDAENLLKGLSKEEMELLDDLKMEARVVENVFGRALPLRKLR >Ma04_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9936532:9941257:1 gene:Ma04_g13120 transcript:Ma04_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNPKPSPAPNPDPSPSPSPGPSPSPSRNPNPGGEERWRGDLKKQALVQDLARRLSGGDMSTRVQAAREVRKLARASAKSRSAFAVAPVVQPLIAMLPSPDHDAREAALLAILNIAVRNEGNKDKIVKSGAVPHLVELLKSGKNSLRELATAAVLTLSASTPNKPTIATSGAVPLLVEILISGSIQGRVDAVTALYNLSSCEDSSNFILPSEAAKPLLALLKDCKRYSKFAEKATGLLEILSRTEEGRNLISEFDGGILSLVETIEEGSLLSAEYAVGVLLSLCSSCREKYRELILKEGPIPGLMLLTAEGTKKARERAHNILDLLRDDSKKKKVASKDLEILAFDIATRVDGPVKAAATAKRIMEDMVRRDRELTITKLQPKRCR >Ma07_p05410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3923504:3928177:-1 gene:Ma07_g05410 transcript:Ma07_t05410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT5G10790) UniProtKB/TrEMBL;Acc:A0A178UPE9] MNLGKPLPCSHLKEYRKHHGLQGYRSLQTHFRTAPSGRTAVGKFQSLIPRCSLCSLRRCRLYLCLICSSISCSDHAAAHSQANPGHEIAVDVDRAEVFCCVCADQVYDPDFDTAVMAKQFLELPKGREKDRCRKRRAAPAHDIERASSRETILVLDCGVKNRSCQPLRLRGLNNLGNTCFMNSVLQTLLHTPPLKNYFLGDWHNRELCQKQRSRRRRRAVEAGELSCLVCDVDSVFAEAFSGNPTPYSPARFLFSWWQHSSNLASYEQQDAHEFFISMLDMIHESERSTLQNKVGFGDCHCIAHRVFSGVLRSDVTCTICGFTSTTYDPCVDLSLDLEPSTDSRSNKSSRISTLMGCLDLFTRPERLGPDQKLYCQHCEMHQDSVKQMSIRRLPLVLCFHIKRFEHSLRRGTSKKIDQYLQFPFSLDMTPYLSYSIIRNRFGNRIFAFEGDESDVSNDLSSEFEVFAVITHTGRLESGHYLTYLRLGERWYKCDDAWITQVSEGAVRASQGYMMYYVQKQIK >Ma07_p05410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3923504:3928177:-1 gene:Ma07_g05410 transcript:Ma07_t05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT5G10790) UniProtKB/TrEMBL;Acc:A0A178UPE9] MNLGKPLPCSHLKEYRKHHGLQGYRSLQTHFRTAPSGRTAVGKFQSLIPRCSLCSLRRCRLYLCLICSSISCSDHAAAHSQANPGHEIAVDVDRAEVFCCVCADQVYDPDFDTAVMAKQFLELPKGREKDRCRKRRAAPAHDIERASSRETILVLDCGVKNRSCQPLRLRGLNNLGNTCFMNSVLQTLLHTPPLKNYFLGDWHNRELCQKQRSRRRRRAVEAGELSCLVCDVDSVFAEAFSGNPTPYSPARFLFSWWQHSSNLASYEQQDAHEFFISMLDMIHESERSTLQNKGFGDCHCIAHRVFSGVLRSDVTCTICGFTSTTYDPCVDLSLDLEPSTDSRSNKSSRISTLMGCLDLFTRPERLGPDQKLYCQHCEMHQDSVKQMSIRRLPLVLCFHIKRFEHSLRRGTSKKIDQYLQFPFSLDMTPYLSYSIIRNRFGNRIFAFEGDESDVSNDLSSEFEVFAVITHTGRLESGHYLTYLRLGERWYKCDDAWITQVSEGAVRASQGYMMYYVQKQIK >Ma04_p32560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32471974:32476641:-1 gene:Ma04_g32560 transcript:Ma04_t32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGGRSAFDQMGFDLLTENLFAGHAAAAAGSVAGAAFLTHPLDTLKTLLQVGAGSSQQVGLWQVVERVRMVSGLSGFYSGLGWSVLDGRTYNYVHVSEAFLAGMAAGAMEAVICTPFELLKIRSQVSSASLLRKLGSVGVMQESTPLISKLLPGSTPNMKAWNNTLGMLSTLSTSHIDIVGVLKQYPWMLTGSGRPPFASEVKEPSKIVSLEGWSALWRGLRPGIAQKCVFGGFFFSTWQFFHIAMLDWKALDLNPPPRSIDDVGPVSPLASSLAAGFSGIVAAAASHPFDTAKTRSHCIVTPK >Ma06_p26230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27906266:27907683:1 gene:Ma06_g26230 transcript:Ma06_t26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNPQDICDSGLSLGLGIGPTSTASAEHRRRRKSISPCRNLIPDKPSLTLSLSDDVYGGLPMPKAETNRAREAPLSSPHSAASAFSAAHEMNLKKEKDAGGEEVEVEVERVSSRGSDEEDNSSARKKLRLTKEQSALLEDRFKEHSTLTPKQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKKCYETLTNENQRLQKELQELKALKFAPPLYMQFPAATLTMCPSCERIGGGGSSITDNSSKAGGAGPVVVPPKPGHFFNPFTHSAAC >Ma10_p26600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34226656:34227502:-1 gene:Ma10_g26600 transcript:Ma10_t26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex-like protein OHP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G02120) UniProtKB/Swiss-Prot;Acc:O81208] MAAIPTISSTFLPCRSLFGGVSRNSSPLPRFRNPKSFRIRAAKLPAGVEAPKVEPKLTAPFLGFTRTAEVWNSRACMIGIVGTFIVELILNKGILQILGVEVGKGLDLPL >Ma10_p26600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34226656:34227501:-1 gene:Ma10_g26600 transcript:Ma10_t26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex-like protein OHP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G02120) UniProtKB/Swiss-Prot;Acc:O81208] MAAIPTISSTFLPCRSLFGGVSRNSSPLPRFRNPKSFRIRAAKLPAGVEAPKVEPKLTAPFLGFTRTAEVWNSRACMIGIVGTFIVELILGVEVGKGLDLPL >Ma04_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9859814:9872285:-1 gene:Ma04_g13030 transcript:Ma04_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHLRPRTAMAIRARLGFVILLSLFFSATLSTALYEDQVGLADWHHKYIGKVKHAVFHTQKTGRKRVVVSTEENVVASLDLRTGFIFWRNVLGKNDHIDQVDIALGKYVVTLSSGGSILRAWNLPDGQMIWESTLPVSTLSKSSLYVLANMNVGKDNLILVFGGSSIHALSSMDGQIVWRKELSIDSLEIQQIFQPHDSDIINAVGFVGSSEFVVYQISYRTGEVMQQSKASFESGFCGEASLVFDNLVVALDASKSSLVSISFKNEVINFHQINLSDLVPDFSGKVTLLPLKFNGMLAIEIASSILLLRVKGANELEFVEKISHPFAFSDALPLSKEQQAFAILQHDESKIHFKVKSDNDLRNEILKETIQMDSQRGNIEKVFINNYIRTDRTHGFRFLVVMEDHSLLLIQQGEIVWSREDGLASIVDSTTSELPVEKEGVSVAEVEHNLFEWLKGHLLKLKGTLMLASPDEVAAIQAIRLKSSEKNKMTRDHNGFRKLIIVLTRAGKVLALHTGDGRVVWSVLLPALRRSETCGNPFALRVYQWQVPHHHAMHENPSVLVVGRCGHGFDAPGIFSIVDSYTGKVQNSLILEHSVSHIMPLPLTDSTEQRLHLIIDAKLQAHLYPKNSDSLKHFFNEMPNIYWYSVEVEKNKIKGYSLESKAELDTAEEYVFQAKELWSIIFPSESEKIAVTATRKMNEIVHTQAKVVADQDVMYKYVSKNILFVATVAPKAAGEIGSATPEEALLYAYLIDTVSGRILHRVCHQGAQGPVHAVVSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDVWKLVLGKHNLTAPVSLYSRPDVMVKSQSYFFTHSVKSMAVTATAKGITSKQLLIGTIGDQVLALDKRFLDPRRSVNPTQSEKEEGIIPLTDSLPIVPQSYITHSLQVEGLRSMVTIPAKLESTTLVFSYGVDLFFTRIAPSRTYDSLTEDFSYALLLITIVVLVAAIFVTWALSEKKELKEKWR >Ma08_p04470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3112717:3115016:1 gene:Ma08_g04470 transcript:Ma08_t04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPVLRQELANLDKDADSRRSAMKALKSYAKDLDSKAIPRFLAEVSDTNGAFGMPAGECTISLYEVLARVHGRSIVPQIDNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKTRIICSLCKPLSDALMGSQEGAASGAAFCLKALVDSGNWRFASDEMVNEVCLKVAGAMEEKVTQTNAHMGLVMSLVKHNGVIAEAYSRSLVRSGLQILATGATESNSQKRFSAIQMINFLMKCVDPRSISSEVFKIVDAMEKCHADDKMPFVRGAAFEALQTAKPLAAQEGSRHELGASPVVNSSFRRRNEKSPWRSGSPVQFCSPESQTVDSYIKKDVFVESPASVSQPSCDFERGRRTSRRLWNHDACPVDVSLKDGLCLRACSGSDGKHIDFKLNDPNKERAEGFCGFTQDSETAMAADALASPQKSMPQPAIDDVRIQTTPGKPIRSLQSGAFSSESQSQKVNSDSVGTAGKLNDLKDDEQEERDGVESVSSTDDVPESGISQELHGTTYHEVKNAVPPLKSRKRIGYGTAALGFLWAASAVLLAITFSSMEAANDELGLGLVPT >Ma08_p04470.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3112717:3115016:1 gene:Ma08_g04470 transcript:Ma08_t04470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPVLRQELANLDKDADSRRSAMKALKSYAKDLDSKAIPRFLAEVSDTNGAFGMPAGECTISLYEVLARVHGRSIVPQIDNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKTRIICSLCKPLSDALMGSQEGAASGAAFCLKALVDSGNWRFASDEMVNEVCLKVAGAMEEKVTQTNAHMGLVMSLVKHNGVIAEAYSRSLVRSGLQILATGATESNSQKRFSAIQMINFLMKCVDPRSISSEVFKIVDAMEKCHADDKMPFVRGAAFEALQTAKPLAAQEGSRHELGASPVVNSSFRRRNEKSPWRSGSPVQFCSPESQTVDSYIKKDVFVESPASVSQPSCDFERGRRTSRRLWNHDACPVDVSLKDGLCLRACSGSDGKHIDFKLNDPNKERAEGFCGFTQDSETAMAADALASPQKSMPQPAIDDVRIQTTPGKPIRSLQSGAFSSESQSQKVNSDSVGTAGKLNDLKDDEQEERDGVESVSSTDDVPESGISQELHGTTYHEVKNAVPPLKSRKRIGYGTAALGFLWAASAVLLAITFSSMEAANDELGLGLVPT >Ma08_p04470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3112717:3115016:1 gene:Ma08_g04470 transcript:Ma08_t04470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPVLRQELANLDKDADSRRSAMKALKSYAKDLDSKAIPRFLAEVSDTNGAFGMPAGECTISLYEVLARVHGRSIVPQIDNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKTRIICSLCKPLSDALMGSQEGAASGAAFCLKALVDSGNWRFASDEMVNEVCLKVAGAMEEKVTQTNAHMGLVMSLVKHNGVIAEAYSRSLVRSGLQILATGATESNSQKRFSAIQMINFLMKCVDPRSISSEVFKIVDAMEKCHADDKMPFVRGAAFEALQTAKPLAAQEGSRHELGASPVVNSSFRRRNEKSPWRSGSPVQFCSPESQTVDSYIKKDVFVESPASVSQPSCDFERGRRTSRRLWNHDACPVDVSLKDGLCLRACSGSDGKHIDFKLNDPNKERAEGFCGFTQDSETAMAADALASPQKSMPQPAIDDVRIQTTPGKPIRSLQSGAFSSESQSQKVNSDSVGTAGKLNDLKDDEQEERDGVESVSSTDDVPESGISQELHGTTYHEVKNAVPPLKSRKRIGYGTAALGFLWAASAVLLAITFSSMEAANDELGLGLVPT >Ma08_p04470.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3112717:3115016:1 gene:Ma08_g04470 transcript:Ma08_t04470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPVLRQELANLDKDADSRRSAMKALKSYAKDLDSKAIPRFLAEVSDTNGAFGMPAGECTISLYEVLARVHGRSIVPQIDNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKTRIICSLCKPLSDALMGSQEGAASGAAFCLKALVDSGNWRFASDEMVNEVCLKVAGAMEEKVTQTNAHMGLVMSLVKHNGVIAEAYSRSLVRSGLQILATGATESNSQKRFSAIQMINFLMKCVDPRSISSEVFKIVDAMEKCHADDKMPFVRGAAFEALQTAKPLAAQEGSRHELGASPVVNSSFRRRNEKSPWRSGSPVQFCSPESQTVDSYIKKDVFVESPASVSQPSCDFERGRRTSRRLWNHDACPVDVSLKDGLCLRACSGSDGKHIDFKLNDPNKERAEGFCGFTQDSETAMAADALASPQKSMPQPAIDDVRIQTTPGKPIRSLQSGAFSSESQSQKVNSDSVGTAGKLNDLKDDEQEERDGVESVSSTDDVPESGISQELHGTTYHEVKNAVPPLKSRKRIGYGTAALGFLWAASAVLLAITFSSMEAANDELGLGLVPT >Ma08_p04470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3112717:3115016:1 gene:Ma08_g04470 transcript:Ma08_t04470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPVLRQELANLDKDADSRRSAMKALKSYAKDLDSKAIPRFLAEVSDTNGAFGMPAGECTISLYEVLARVHGRSIVPQIDNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKTRIICSLCKPLSDALMGSQEGAASGAAFCLKALVDSGNWRFASDEMVNEVCLKVAGAMEEKVTQTNAHMGLVMSLVKHNGVIAEAYSRSLVRSGLQILATGATESNSQKRFSAIQMINFLMKCVDPRSISSEVFKIVDAMEKCHADDKMPFVRGAAFEALQTAKPLAAQEGSRHELGASPVVNSSFRRRNEKSPWRSGSPVQFCSPESQTVDSYIKKDVFVESPASVSQPSCDFERGRRTSRRLWNHDACPVDVSLKDGLCLRACSGSDGKHIDFKLNDPNKERAEGFCGFTQDSETAMAADALASPQKSMPQPAIDDVRIQTTPGKPIRSLQSGAFSSESQSQKVNSDSVGTAGKLNDLKDDEQEERDGVESVSSTDDVPESGISQELHGTTYHEVKNAVPPLKSRKRIGYGTAALGFLWAASAVLLAITFSSMEAANDELGLGLVPT >Ma08_p04470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3112717:3115016:1 gene:Ma08_g04470 transcript:Ma08_t04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPVLRQELANLDKDADSRRSAMKALKSYAKDLDSKAIPRFLAEVSDTNGAFGMPAGECTISLYEVLARVHGRSIVPQIDNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKTRIICSLCKPLSDALMGSQEGAASGAAFCLKALVDSGNWRFASDEMVNEVCLKVAGAMEEKVTQTNAHMGLVMSLVKHNGVIAEAYSRSLVRSGLQILATGATESNSQKRFSAIQMINFLMKCVDPRSISSEVFKIVDAMEKCHADDKMPFVRGAAFEALQTAKPLAAQEGSRHELGASPVVNSSFRRRNEKSPWRSGSPVQFCSPESQTVDSYIKKDVFVESPASVSQPSCDFERGRRTSRRLWNHDACPVDVSLKDGLCLRACSGSDGKHIDFKLNDPNKERAEGFCGFTQDSETAMAADALASPQKSMPQPAIDDVRIQTTPGKPIRSLQSGAFSSESQSQKVNSDSVGTAGKLNDLKDDEQEERDGVESVSSTDDVPESGISQELHGTTYHEVKNAVPPLKSRKRIGYGTAALGFLWAASAVLLAITFSSMEAANDELGLGLVPT >Ma04_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24366320:24373160:-1 gene:Ma04_g21950 transcript:Ma04_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENFERRTPSVREVEQAITALKKGAHLLKCGRRGKPKFCPFRISTDEKILIWYSGEKEKHLNLDAVSKVISGQQTVNFLRQNQADKKNQSFSLVYENGQRSLDLICKDKEQAESWRLGLTALVSASHQPRILANIRSSRWAHTCANSPVGYIATNHKLGLLQGSAKLAKVRSLYGTPARSLLDKHLSDRMMNTSDEFYSPRQRTLSDIQSYLDKILPRLPHVVSYGEKEKKDSNLSKGQRMFPISKLSSSEHESPKIYVNDGLKDAFMWGKGVGGVDFSLPKLLDSTRALDVRSVSCGEKHAALVTKQGEVFCWGLENGGRLGHKVNMDAPYPKLVESLTCISVQRVACGAQCTFAIANSGEVYVWGDSNHGLDLSGDGHQTQWFPHRISGPLDGVFISRIACGEWHTAIVSSSGQLFTCGDGTFGVLGHGDVQSISQPKEVESLKGLRVKSVACGPWHTAAVVEIVVGHVKSNSPGGKLFTWGDNDKGRLGHVDKDRKLAPTCVASLVDCDFVQVSCGTTLTAALTVTGITFTMGSSANGQLGNPHAEDVSIARVEGLLKSEFVKEISAGSFHVAVLTTKGKVYTWGRGGNGQLGLGDNKDRSSPTLVESLEDRHVESVACGSNFTIVTCLHKFISSKDQLICTGCRMVFGFARKKHNCYNCGFMFCHPCSSNKVMNAALAPNKCKKYRVCNTCFTQLQKISDPRINMEISTPRPLLLTTEGYSDLRLKREHSFITEGKTFYRKLSAVEETKLAEADAESVREEKQNQHSGSPVIANQRWGHVPCPQQFIEHGRENSLKVVPISGQEFSDPFHVHARNSPPERKFKLSKASSLRKDLDNVDKIVREELLRLQTEAKSLTQKCQSKSRKLQQCKRKIEETWLLAKDEAEKCKAANAVIKILTAQINALTEKLSTRRQVSNIGSTVDANSTCCPAQTKFLRPEGEKSVFAFHHQCPDVHTSIKDQTSTSSYCDATVAATDAKNCRTKESKDEQVEQVEVGVYVTFITLPSGQKGLNRVRFSRKHFSEKEAEIWWEENQRRVYSKYNIKSFVTPSTGKIDH >Ma09_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10765894:10771177:-1 gene:Ma09_g15450 transcript:Ma09_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHKNRRERERECVCVCVCVRERERERERGCKIPYRPVAKRISASVEET >Ma05_p29650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40271117:40272891:1 gene:Ma05_g29650 transcript:Ma05_t29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSGAKRDLRPAGCGGDGCGARDPWPLHNVRHRTVFCRLCTSCVLRYHPGSFCVSCFDLLLDGGGASPVVRCSRCPSIAHSACLLEAAPSFVCPSCSDPGGSSSYFSLGEEKSIDLKSSKVLLAAAKLAAASIGRAAACTRADAERKTKEAVVARKRAREALEKVLLLSQSEKEKKNRVAYQIAAPSPKPQVVDSKKKMPKLSSTVAAMVGQKRVQNKERDRWMRFQEPIGMEQTPVQGTDKNKVDLPTGMQNHATNVETEGTLTGLSHGHNPMGKVERDEGGVLKGSQGGHVKEEEVVAAC >Ma08_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10769106:10769362:1 gene:Ma08_g13620 transcript:Ma08_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPGTTRECASSPVSSASLAVLRSSELFRSHLFLQERGICKAVSGSAFLRLRVSSTS >Ma06_p35220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35170517:35174672:1 gene:Ma06_g35220 transcript:Ma06_t35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKFFVGGDWNFNGTSEEVKKIVSTLNDRKVASADVVDVVVSPPYVFLRIVKSLLRPDFHVAAQNCWTESRKGWSGYELLLLGESNEFVGDKVAYALSKGIKVIGCVGETLQCESGATMDVIAAQTKTIACFTISGLGNWNWEGCYSCWEVDSELCKWLQINVSVEVAESTRIIYGGSVNGANCKELAAQPDVDGFLAGGASLKPEFVDIINSATVKSSARGLLPRSIEDP >Ma04_p12940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9788762:9790485:1 gene:Ma04_g12940 transcript:Ma04_t12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWMNMVTAAAATSVVAGRSKEMARVTGPWRAEEDEALLRLVERHGPRNWSLISRSIPGRSGKSCRLRWCNQLSPRVEHRPFTAEEDEAIVRAHRRLGNKWATIARLLSGRTDNAIKNHWYSTLKRKSSPPPVDDAEGFAMAVDLKDAPAERPFKRASCVGAAYTSADLVICLRSGSPSMSDVRDCNHPAVCLVNRPVLRTGGVAASPPHLASSAANAVDPSTSLTLSLPGSDHVGTFNRHQGRSSSHNHNHSQHETTASGHSTRHLPFRLSGEHLAVMQEMIRSEVRSYLSGPDHSNVMRTQPPPGTAIRGAAIKGVGFRPVQ >Ma03_p19450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24778426:24782015:1 gene:Ma03_g19450 transcript:Ma03_t19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGDLLRQHEEFSGVLVAVSACFDNTRSSPPSKLSSMAEEPSGAMAPEAEEEEEEGRDEGDVPGSSSREWLQLGLGNPPPPPHVRRQRVPTELELFRDRPSSSSPSVAATQPTTTMTTMPEAGTGIRVVVPARQAGVWLALEAAADQGGGEALLPQIPRNYLRIKDGSLTIRLLMKYLMNKLGLEDESEVEITCRDQVMLPLWTLEYVRDNIWFSGGVAPMILPDTPSINHLMTLHYRRGKKDGQVHEPPRR >Ma03_p15520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15566764:15572788:1 gene:Ma03_g15520 transcript:Ma03_t15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKIMSFAEDGRHREYNGGTGARGAPATPHRKSRSRPATPVSFSSTGTDAIAWLSPYPQDSASPLPTGVSPSPARSTPRRFFRRPFPPPSPAKPIKASLAERQGLPKPKEGPIPEDGTEEVERSLDKNFGYGKNLGAKYELGKVVGRGHFGHTCFATAKKGDIEGQRVAVKIISKAKMTTPISIEDVRREVKILKALSGHKNLVKFYDACEDALNVYIVMELCEGGELLDRILRRGGRYTEEDAKEIVVQILSVVAFCHLQGVVHRDLKPEQNFLFTTKDWNDPMKLIDFGLSDFNKPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFHAVLTADPNFDDSPWPDASSESKDFVKRLLNKDYRKRISAAQALTHPWLRDKCWQLPLDILIYKLIKSYLRATPLKRAALRALSKAVTEDELFYLRLQFKLLDPNKDGHVSLQNFRMALMQNATEAMRESRVFDILNSMKPLSNRTMDFEEFCAAAISPYHLEALDNWGQIASTAFEFFEREGNRVISVQELAQELKIPPSSYSVLKAWIRPEDDKLSFIGYTKYIHGITIRGSNARGC >Ma03_p15520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15566764:15572788:1 gene:Ma03_g15520 transcript:Ma03_t15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKIMSFAEDGRHREYNGGTGARGAPATPHRKSRSRPATPVSFSSTGTDAIAWLSPYPQDSASPLPTGVSPSPARSTPRRFFRRPFPPPSPAKPIKASLAERQGLPKPKEGPIPEDGTEEVERSLDKNFGYGKNLGAKYELGKVVGRGHFGHTCFATAKKGDIEGQRVAVKIISKAKMTTPISIEDVRREVKILKALSGHKNLVKFYDACEDALNVYIVMELCEGGELLDRILRRGGRYTEEDAKEIVVQILSVVAFCHLQGVVHRDLKPENFLFTTKDWNDPMKLIDFGLSDFNKPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFHAVLTADPNFDDSPWPDASSESKDFVKRLLNKDYRKRISAAQALTHPWLRDKCWQLPLDILIYKLIKSYLRATPLKRAALRALSKAVTEDELFYLRLQFKLLDPNKDGHVSLQNFRMALMQNATEAMRESRVFDILNSMKPLSNRTMDFEEFCAAAISPYHLEALDNWGQIASTAFEFFEREGNRVISVQELAQELKIPPSSYSVLKAWIRPEDDKLSFIGYTKYIHGITIRGSNARGC >Ma03_p15410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15225750:15231366:1 gene:Ma03_g15410 transcript:Ma03_t15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPSDEEEEEEEYASDIQSERCESYSPSADVSESESSGRVGRAAAAAAAGASSSFSSSPPLAPARAALLPGVPHLVFWESKLEKREADFSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRREMDWLLCVSDSIVELIPSIQEFPGGGTFEVMVSRPRADLHMNLPALKKLDAMLIGILDGFQDTEFWYVDRGILVADEDGSGSCPSSSFGRPSLRQEEKWWLPCPRVPPKGLSEDSRKRLQQCRDCVNQILKASMAINSGVLAEMEIPDAYIETLPKSGKSCLGEIIYNYITAEQFSPDCLLDCLDLSSEHHTLEIANRIEAAIHVWRLKGQRRHSQVKAKTASWKGKVKGFVADTERSQFLAERAEGLFQSLRIRFPGLPQTVVDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDLIFVDDATKKCAVAEAVSIFNRGGSGGLPVQKRISPSPFSIQNTPYASPFATPTFCSSTPVIGSPRRLQTLVSKKSTQCPPQEGKIISGDLEKVWSYTGNLSARRDAGDAPERD >Ma11_p01540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1093674:1094627:1 gene:Ma11_g01540 transcript:Ma11_t01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHYLYQFSFRWEGIITADHRRPRGKSPLIAPVISSQSSLVRIVKMDEQIVSALGEVRNRGSLKLICEKN >Ma08_p01410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1254001:1260766:1 gene:Ma08_g01410 transcript:Ma08_t01410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVERREHKRRVSSDRSSSPARDRRSPRRRTSPRRERSPAPRKSSPRARSPARTNRARSPVKENVHDRVRSPKRERQHSPVKGTAPRSPSPRTKRLKRVHAERESEKLADRELRRHSGREDHDKGRYKERGEINDISRDSKSSKEKNDSVPSKSSRRDHSDSPEGRSHRSRYASRSPTRPPKAGARDEVGIKPKTAEYEWGDENDSVAKMKAAEQALEVKEKQKPSFELSGKLAEETNRVRGVTLLFSEPSDARKPDVRWRLYVFKAGEVLNEPLYLHRQSCYLFGRERRVSDIPTDHPSCSKQHAVIQYRLVEKEEPDGLISKEVRPYLMDLGSTNGTFINDNRIEPQRYYELFEKDTIKFGNSSREYVLLHENSAG >Ma08_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1254111:1260766:1 gene:Ma08_g01410 transcript:Ma08_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVERREHKRRVSSDRSSSPARDRRSPRRRTSPRRERSPAPRKSSPRARSPARTNRARSPVKENVHDRVRSPKRERQHSPVKGTAPRSPSPRTKRLKRVHAERESEKLADRELRRHSGREDHDKGRYKERGEINDISRDSKSSKEKNDSVPSKSSRRDHSDSPEGRSHRSRYASRSPTRPPKAGARDEVGIKPKTAEYEWGDENDSVAKMKAAEQALEVKEKQKPSFELSGKLAEETNRVRGVTLLFSEPSDARKPDVRWRLYVFKAGEVLNEPLYLHRQSCYLFGRERRVSDIPTDHPSCSKQHAVIQYRLVEKEEPDGLISKEVRPYLMDLGSTNGTFINDNRIEPQRYYELFEKDTIKFGNSSREYVLLHENSAG >Ma11_p00260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:186472:193709:-1 gene:Ma11_g00260 transcript:Ma11_t00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSGRILTLPPIPRHPSWRFTPATRIGRPQPPAILKWRPMAARDADAAASSSSSSVDTAAASDSAEKNPSGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKSAEQGIFGERRENELPDFPSFIPFLPPLTPSNLKMYYATCFSLIGGIIIFGGLLAPTLELKLGLGGTSYADFIRSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEQKRCKYCLGTGYLACARCSSTGTLVLTEPVATINGSDQPLSPPRTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >Ma11_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24850530:24852588:1 gene:Ma11_g20330 transcript:Ma11_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMEAELCSARTLSPSREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDEDDEIDYDNSFCSSSDVGDKDIDYSCLEFHKPTKPRVRHTRPWTCSAKSNGRSSNYRDTTHSNGHNSQTRVNLAKLGTPTLLRYWRRFNLVGINPKPTKEQLLHVVQNHFLSQQVDEMQVIVGFIHAAKRLKTRHRKKKEQQGGGLRQD >Ma11_p20330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24850527:24852588:1 gene:Ma11_g20330 transcript:Ma11_t20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMEAELCSARTLSPSREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDEDDEIDYDNSFCSSSDVGDKDIDYSCLEFHKPTKPRVRHTRPWTCSAKSNGRSSNYRDTTHSNGHNSQTRVNLAKLGTPTLLRYWRRFNLVGINPKPTKEQLLHVVQNHFLSQQVDEMQVIVGFIHAAKRLKTRHRKKKEQQGGGLRQD >Ma05_p30130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40643044:40647807:-1 gene:Ma05_g30130 transcript:Ma05_t30130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCCVPVGPHGPKSNTSGRDCSIDPSEPHWRTNSSYSPHLSRRWDCSSQSDELSNRVHEVPISGSSMSLHSNVRHIGSSDLNHHHSVSDGALSYSESPSDYLQARRWTPCVHRYDLGEFSTPAGGARPEASVFSRGSEGGFSAGNSIGSPFSPLESSRWASTSKQPAFFPRHLPSRRSFMSKPIYPLMFQNPVSEADASGMAEASTSGSRMPREDSGASPMWTERILSSELKFQRALTDLRKMEASPDLSMSSRREGFRWSNASSYDFRFDGDNVDIADTINVENHRCPSTSRYQKCELCKRSLYQKSPWSSNRIVRSTDMPVAGILPCHHVFHADCLEETTPKSQIHDPPCPVCLKAVGKEQSTSISEPLHVALRSACSSQGAGASTGAGTSGHSISHQIENDLRRSSSLATSQRRGSLSRNHSKKRFSFKGKIGMDLLGAKMFRTGH >Ma05_p30130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40643044:40647807:-1 gene:Ma05_g30130 transcript:Ma05_t30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCCVPVGPHGPKSNTSGRDCSIDPSEPHWRTNSSYSPHLSRRWDCSSQSDELSNRVHEVPISGSSMSLHSNVRHIGSSDLNHHHSVSDGALSYSESPSDYLQARRWTPCVHRYDLGEFSTPAGGARPEASVFSRGSEGGFSAGNSIGSPFSPLESSRWASTSKQPAFFPRHLPSRRSFMSKPIYPLMFQNPVSEADASGMAEASTSGSRMPREDSGASPMWTERILSSELKFQRALTDLRKMEASPDLSMSSRREGFRWSNASSYDFRFDGDNVDIADTINVENHRCPSTSRYQKCELCKRSLYQKSPWSSNRIVRSTDMPVAGILPCHHVFHADCLEETTPKSQIHDPPCPVCLKAVGKEQSTSISEPLHVALRSACSSQGAGASTGAGTSGHSISHQIENDLRRSSSLATSQRRGSLSRNHSKKRFSFKGKIGMDLLGAKMFRTGH >Ma05_p30130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40643044:40647775:-1 gene:Ma05_g30130 transcript:Ma05_t30130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCCVPVGPHGPKSNTSGRDCSIDPSEPHWRTNSSYSPHLSRRWDCSSQSDELSNRVHEVPISGSSMSLHSNVRHIGSSDLNHHHSVSDGALSYSESPSDYLQARRWTPCVHRYDLGEFSTPAGGARPEASVFSRGSEGGFSAGNSIGSPFSPLESSRWASTSKQPAFFPRHLPSRRSFMSKPIYPLMFQNPVSEADASGMAEASTSGSRMPREDSGASPMWTERILSSELKFQRALTDLRKMEASPDLSMSSRREGFRWSNASSYDFRFDGDNVDIADTINVENHRCPSTSRYQKCELCKRSLYQKSPWSSNRIVRSTDMPVAGILPCHHVFHADCLEETTPKSQIHDPPCPVCLKAVGKEQSTSISEPLHVALRSACSSQGAGASTGAGTSGHSISHQIENDLRRSSSLATSQRRGSLSRNHSKKRFSFKGKIGMDLLGAKMFRTGH >Ma05_p30130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40643044:40647807:-1 gene:Ma05_g30130 transcript:Ma05_t30130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCCVPVGPHGPKSNTSGRDCSIDPSEPHWRTNSSYSPHLSRRWDCSSQSDELSNRVHEVPISGSSMSLHSNVRHIGSSDLNHHHSVSDGALSYSESPSDYLQARRWTPCVHRYDLGEFSTPAGGARPEASVFSRGSEGGFSAGNSIGSPFSPLESSRWASTSKQPAFFPRHLPSRRSFMSKPIYPLMFQNPVSEADASGMAEASTSGSRMPREDSGASPMWTERILSSELKFQRALTDLRKMEASPDLSMSSRREGFRWSNASSYDFRFDGDNVDIADTINVENHRCPSTSRYQKCELCKRSLYQKSPWSSNRIVRSTDMPVAGILPCHHVFHADCLEETTPKSQIHDPPCPVCLKAVGKEQSTSISEPLHVALRSACSSQGAGASTGAGTSGHSISHQIENDLRRSSSLATSQRRGSLSRNHSKKRFSFKGKIGMDLLGAKMFRTGH >Ma04_p34220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33528740:33531241:1 gene:Ma04_g34220 transcript:Ma04_t34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGGAQSSSAVRNEEGMAIHHHETAIDIAPPAVFTGGLEFSELTYTVSKKQKVGGGWEKQEVDLLRRITGYAPKGRITAVMGPSGAGKSTFLDGLAGRIASGRLKGRVSLHGEEMSPGLIKRVSAYVMQDDRLFPMLTVYETLMFAADFRLGSIPRSHKKHRVEQLIDQLGLTSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIERVFDIARSGSTVILTIHQPSHRILMLLDHLIVLARGQLMFMGAPRDVGGHLSRMGRKVPSGESAVEYLLDVIQEYDQSGLGVEALADFCLTGLKPPRVSNDGDHHSISTVHQAAGEWSAAGDGQRRGPDEFDHSLRSPWSSSRSPWGGSHSGSVIMDRLRHRKPQQQRRRVVSLVSYITYSNEIRSRSSTPHSEYTVNEEDYLSPNLDECGRHTAHDLRGLPKFANSYSAEAWTLMRRNFINIRRTPELFLSRQMVLTVMGFMMATMFLRPKDDLQGVSNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFVRETSHNAYRASSYTIAGLVTYLPFLLLQAATYAGIVWFALRLHGDFHYFLIMLYASLLATNSFVVFVSSVVPSFILGYAVVIAFTALFFLFCGYFISRTSIPNGWKWMNTISTLKYTYEGLLTNEFVRDRVFFHDPFENRPVNGADVLRQLSISTSESYKWKMVLYLVGWAVLYRMFFYLILRFASRNLRS >Ma07_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:325743:331649:-1 gene:Ma07_g00380 transcript:Ma07_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MAAKPTTRRPLSTSSSFSCFVPFLCLVFLLLLALFFSAARPSVVSRYDDLLGEPTRDKNRWPCNYSDGAWVLDPDPVALRYDHTCKEIFKGWNCIASGKHNGRDLLRWRWQPSRCGLPRLEPLRFLRRFRNTNIGFVGDSLNRNMYVSLVCMLRSVSRGVRKWRPAGADRGFTFLDYNLTVAYHRTNLLVRYGRWSASDNGGALESLGIKQGYRVDVDVPEHTWAEAPSFHHILIFNTGHWWWAPSKFDPEQSPMLFFEKGLPVLPPVTPEIGIDLALKHMISYVERRAAKGSRTFFRTQSPRHFEGGDWNQGGSCRRREPLLSHEVEELFSVERNATNAEVRVINQHLYKALQRSSFQVLDITRMSEFRADAHPSTMGRKKHEDCMHWCLPGLTDTWNDVLMAALEDSTS >Ma08_p25790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38520514:38527625:1 gene:Ma08_g25790 transcript:Ma08_t25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVILKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIRLTRDNNITTGKIYQSVIDRERRGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSCRVGPGNFCLVHVSLVPVLKAVGEPKTKPTQHSVRGLRGLGLIPNLLACRSDKPLDVNVKEKLSQFCHVPVANIITLHDVTNIWHIPLLLREQKAHESLLKLLNLRGCAKEPMLGEWMGRAKLCDTLHDPVRIAMVGKYTGLPDSYLSVLKALLHASVVCRKKLVIDWVPSSDLEETTAKEAPDAYRAAWMLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQISVIEFARSVMNMKEANSTEFDPVTTSPVVIFMPEGSKTHMGGTMRLGLRRTYFEVADCKSAKLYGNVRFVDERHRHRYEVNPTMVAEFEKAGLAFVGKDETGRRMEIIELPAHPYFVGVQFHPEFKSRPGKPSAVFLGLIAASCRQLDSWLQARPSSNGFLTPKIYQNGSLKKSPKSLVNGKSIQAATACMSNA >Ma02_p14590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22599312:22601112:1 gene:Ma02_g14590 transcript:Ma02_t14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKKETEQTECETPESPILCANNCGFFGSAMTNNLCSKCYKDLVMKQKSILTTPPAEAEKTTSIPSSSVKIEPTVISSDEVDGPCDMNVVKDQVEDLCNKRPANRCFRCGKKVGLTGFKCRCENTLCSAHRHPEAHECSFDYKTAGRKAIAKENPVVKAEKINKI >Ma02_p14590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22599326:22601112:1 gene:Ma02_g14590 transcript:Ma02_t14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKKETEQTECETPESPILCANNCGFFGSAMTNNLCSKCYKDLVMKQKSILTTPPAEAEKTTSIPSSSVKIEPTVISSDEVDGPCDMNVVKDQVEDLCNKRPANRCFRCGKKVGLTGFKCRCENTLCSAHRHPEAHECSFDYKTAGRKAIAKENPVVKAEKINKI >Ma04_p05350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3982424:3985488:-1 gene:Ma04_g05350 transcript:Ma04_t05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQSNIRDGSAGGSGGEGGDGGGGGGGDVAWLSSISEPELDFLISLKELIVRRAKTIGHKYLADKFDVKMLRALGIVLLEYFKEHAESACAPKLAETLALFNGCGSGTQPSHANMVVGESMQASSFMTPRRKRMWEGLCEEKPSSCKKLKMPKK >Ma07_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6604334:6606816:1 gene:Ma07_g08820 transcript:Ma07_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNISHLPPSFIVAVSLVTSLISIVGQLRPWPPTLPQGLLTLDIAGEVLLDPDATAGFSTDFGCLARAAPAAVLRPSAPDDIAALVRFSYSSPQPFAIAARGHGHSIRGQALAPGGVVVDMASLGHGRADRISVSFDNAPLVWYVDAGGEQLWIDVLHETLKHGLAPRSWTDYLYLTVGGTLSNAGVSGQAFRHGPQISNVYELDVITGKGEMITCSHENKSDLFYGVLGGLGQFGIITRARIALEPAPQRVRWVRLIYTHFVSFSRDQELLISMMDQGFDYVEGSLLMDHTLITNWRSSFFSKTNSEKIRGLAAEFGAIYCLEGAVYYHELAMASRVDQKLHLLLQRLSFVPGFAFTNDVSYVGFLDRVHDGEVKLRSMGLWEVPHPWLNIFVPKSRIQDFEVGVFKGILMPNNSTGPVLIYPMIKNKWHDEMSAVTPDEEVFYSIGLLRSAITDDWQDLDNQNDDILGFCHREGIQFKQYLPHYASQRDWKNHFGRKWDTFVEMKRRYDPKALLSPGQRIFTSSLTDHV >Ma03_p24240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28543066:28544183:-1 gene:Ma03_g24240 transcript:Ma03_t24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSAAAKKSMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGEVTIASGGVMPNIHNLLLPKKAGSGSSKAAPGDDD >Ma08_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3946929:3950041:-1 gene:Ma08_g05830 transcript:Ma08_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPQSVRNAIHRRPPSISLSAAVRTLTPPARVPVHVRSFKTPLSHSSPRTPYKTPSKVPPFPLVPPSRLIGVVMASSGPVQKSEEEWQAILSPEQFRILRSKGTEYPGTGQYDKFFADGIYECAGCGTPLYKSTTKFNSGCGWPAFFEGLPGAINRTPDPDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFVPASQSN >Ma06_p33510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34127551:34129182:-1 gene:Ma06_g33510 transcript:Ma06_t33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLAALSMVLTLLSSYTWTGGGAIPRSLSPTASLVDSHGSTLDPRQLTALQTMGFSSAGDPCTAPSPRDNATACDDDAPFRHLVSLRLANCSPDLDLPTTALRALSTLRSLAFFRCPIAAPRRLPGPLVASLHSFSCTASLRRLTGVWLSRIQNLTDLSVIGVPVVASGPAVVLSQMRHLRSATISAANLSGLVPHHWHAFNLVHLNLSCNRLKGPVPSSISVLGFLQTLDLSSNALTGTLPDSIGDLAALKNASFAHNSISGPIPDSMSQLSALVHLDLSSNQFNGSIPKFLSGMKGLKFLNLENNNFQGVLPFNASFLKRLEVFKVGGNSNLCYNHTVLSSKLKLGIAPCDKYGLPASPPPDRSTRADSSDYSDDVSDDGSGDRGSGGGDHHGPSKLVLGVAIGLSCFVFLVIFLVCLSKLCG >Ma04_p35450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34240108:34241694:-1 gene:Ma04_g35450 transcript:Ma04_t35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPPLLLPLFLFFPFTYSRPFPDPLQHAKHPIALQPRLGNDTWGSFHRFLDLGRGSHANGLSGLKRYFARFGYFPEPYPTQLSDSFDARLEAAVVRYQAHLGLPITGKLDGTTLAQIMTPRCGVPDPIANRSNQTGPIERFTFFTGRPRWTGSKPLTLTYAVSPAHTIDYISRANIAAALRRSFARWARVIPVRFVESAEYEVADVKVGFYSGDHGDGEPFDGVLGILAHAFSPESGNLHLDAAERWAVDLGKEESQVAVDLESVATHEIGHVLGLGHSAVKEAVMYPSLSSRMKKVELRADDVEGAQALYGSNPDFRFSQLVESETSSAHRYALRGGRGIPRRGWTGAAVVVSMLMV >Ma09_p31390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41129361:41132076:1 gene:Ma09_g31390 transcript:Ma09_t31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYPFSLLFKVLLHCHTTTLRHHITPPRPFTTSCAFGSMEKATKAAFILLSLFLLFPQLRASGDCDCTTKREARDSAKALTLKLIAIAAILSAGAMGVLIPILGRSFAAMSPESDVFFVIKAFAAGVILATGLIHILPDAFESLTSPCLGEQQWQDFPVAGFIAMSSAMVTLMIDSFATSYYERSHFSKARPVEEKDERKGDEESARDHAGHVHVHTHATHGHAHGSAAAAGSPEEASLSDKIRHRVISQVLELGILVHSVIIGISLGASETSSTIRPLVAALSFHQFFEGIGLGGCIVQAKFRAKATVIMAVFFSLTAPIGISLGIAISSSYDETSSTALIIQGIFNAASAGILIYMSLVDLLAADFKNPRMQSNGRLQFGAHLALLLGAGLMSLLARWA >Ma10_p27780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34880470:34881640:-1 gene:Ma10_g27780 transcript:Ma10_t27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWLGRGNTSLVCLLLVGLLSHNVVTPVVSRSLQEFAEQKNYYPPATGSTPTHSHGTPNCPTPSGGGYGTPTPSHGGSHGIPTVPSHGGSHGTTPTVPSHGGSYGSTPTVPSQGSPTPSGGYSPPYSPSTPSTPTTDPHIPPFFTGTCRFWGSHPDAIAAIIGSLGTIGDLFGHGCAAIFGSNPSLTDALTNTRTDGYGALIREGTAAFLNSMANSRYPFTTRQVKTAFAGAITSDGIAATQAEIFEQANEGKYKT >Ma03_p30320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33000082:33004812:1 gene:Ma03_g30320 transcript:Ma03_t30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFYRQERGDGGAASSDSSSSSDSDEELEAQEEDVEATGGSKDHDDDEEEEEEEAREERQEHPRHSPSSGSGYESEESSGNVVEGDSSGLLTNEENDVSENVGGNPKDSQLNAGVKAKDSMKVKTGSSSIDMNDPIQADFANYILKHKSVFKCRLCPRIVCLSEDTVKTHLKSKRHARSRKLLGEGRLRLMLNSDGEIEEDQETHSERHARTIALAEELNGAKKRDSGRQRQSRRRKMRLRNKGETEKRMDKPKKRSKTED >Ma06_p35230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35175764:35181496:1 gene:Ma06_g35230 transcript:Ma06_t35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLLSDEEDTDVSDSEVEDYEEECYQALKTGNHRIRNPDGTFRCPFCTGKKKQDYQFKDLLQHATGIGASSSRKGSQKAHHRAFARFLQLDLAPSLSLPPALKAAFAAAAAGDPSPIQSPPPSSSSYSASKPPREDELFVWPWMAVLVNAPPGAADGDDLKEKLSDFNPVDTVHLHEEDDLNGKSTCATVIVKFLKNWGGFKNAMDFENHFRASHRGKKEWAERQGDVSGVLFGWIASAEDYNAGGALGRYLKKHGDLKTILQVIKEESKETGKIVAILANQIDIKNQYLHDLEVRYNTTSHSLSRVMGEKDQLHQAYNDEMRNLQRNARETTRKIFDENEKLRMELDLKRKEIDLRCKELDKLEAQNEGDKKKLDDEKQKVELATIVQKEAEEEVLKLVEDQKKEKEAALARILQLEKELDQKQQLELEIETLNWNLRVMKHLEGQNDADIQEMEQKLEREREQLEFLNSALISKERQSNDELQEARKELIKGLEDLLSGRTLIGIKRMGELDEKAFQNACRKKYKAEEADIKAAELCSSWQEELKKPAWHPFKIVTSDGKEQEVIDEDDTNLKKLWIELGDDVCNAVKTALVELNDYNPSGRYVIPELWNFKERRKATMKEVIVAILKQWRSQKRKR >Ma06_p35230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35175764:35180630:1 gene:Ma06_g35230 transcript:Ma06_t35230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLLSDEEDTDVSDSEVEDYEEECYQALKTGNHRIRNPDGTFRCPFCTGKKKQDYQFKDLLQHATGIGASSSRKGSQKAHHRAFARFLQLDLAPSLSLPPALKAAFAAAAAGDPSPIQSPPPSSSSYSASKPPREDELFVWPWMAVLVNAPPGAADGDDLKEKLSDFNPVDTVHLHEEDDLNGKSTCATVIVKFLKNWGGFKNAMDFENHFRASHRGKKEWAERQGDVSGVLFGWIASAEDYNAGGALGRYLKKHGDLKTILQVIKEESKETGKIVAILANQIDIKNQYLHDLEVRYNTTSHSLSRVMGEKDQLHQAYNDEMRNLQRNARETTRKIFDENEKLRMELDLKRKEIDLRCKELDKLEAQNEGDKKKLDDEKQKVELATIVQKEAEEEVLKLVEDQKKEKEAALARILQLEKELDQKQQLELEIETLNWNLRVMKHLEGQNDADIQEMEQKLEREREQLEFLNSALISKERQSNDELQEARKELIKGLEDLLSGRTLIGIKRMGELDEKAFQNACRKKYKAEEADIKAAELCSSWQEELKKPAWHPFKIVTSDGKEQEVIDEDDTNLKKLWIELGDDVCNAVKTALVELNDYNPSGRYVIPELWNFKERRKATMKEVIVAILKQWRSQKRKR >Ma09_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7019973:7027393:-1 gene:Ma09_g10300 transcript:Ma09_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASKISLSLLPSRSSCGISLPRPSCRSVSSPKSFLPMASLNVARPPGISETFSCLKEQGKVAFIPFITAGDPDLSTTSKALKVLDSSGSDLIELGMPYSDPLADGPVIQAAATRALAKGTNFNGVISMLREVIPQLSCPIALFTYYNPILKRGVDKFMSIIEDVGVRGLVVPDVPLEETESLTKEAAKHKIELVLLTTPTTPTERMKAIVQASEGFVYLVSSVGVTGARASVSSRVQSLLQDIKEATTKPVAVGFGISKPEHVKQVAGWGADGVIVGSAMVKLLGEAKSPEEGLKELEAFARSLKAALP >Ma05_p12420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8971714:8976016:1 gene:Ma05_g12420 transcript:Ma05_t12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEWLWAVATCVKALLIPTYRSTDFEVHRHWCALTSALPLARWYSDASAPCCPLDYPPLFAYFQRILALPATALGGHPSDLLRLSPSSPSSAPLPVLIYLRVSVAVTDLVFLLAAARLAHRRLPPASRRLVLVLLLWSPALLIVDHIHFQYNGYLLGILLLSLSFLEEGKDLAGGLAFAVLICSKHLFLVAAPLYFVYLLRHYCRGGSWEASRRFLTMGAAVGAVFAAAFGPFLYYGQMQQVLSRLFPFGRGLCHAYWAPNFWVFYILLDKVLSFVLLKLGFDIPAPKASFTGGLVGNFSQFAVLPQVTPLITFLLVIFAMSPCLIKAFQKPQPKHITRWVAYAFTCGFMFGWHVHEKASLHFTIPLALISVNNLDDARHYFLLSIVSCYSMFPLLFDPQEYPIKVLLLAIHSILMWIGFSSCFRVEVAPGGTKTNNSIASNGKQGFIGKFGTIYLLGLLGVELWGQLLHPYIFGSRLPFLPLMLISIYCAAGMMYSWVWQLRQILKCS >Ma01_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15701589:15701836:1 gene:Ma01_g19820 transcript:Ma01_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSIWEKANLKRHYFLFLFSRINPSHDFRRFCGL >Ma10_p05690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17025247:17025805:1 gene:Ma10_g05690 transcript:Ma10_t05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLWHGGSAWDAWFSCASNQVVQVLLTPPYSFSQLGMWGAAPERRSKPSTSRITSSSGSGCLTDCWGCTGKPSASSSSVLLCYNLVEDFLTIRGYLSILLREIL >Ma08_p00380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:355169:358096:1 gene:Ma08_g00380 transcript:Ma08_t00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSGEVVEVPEELVVAGSRTPSPKTRAAELMNRFLGSSAPAVSIQLGYLGHLAYSHTNQSPFAPRMFAAKDEIYCLFEGVLTNLGSLRQQYGLSKSANEVVLVMEAYKALRDRAPYPPSFMLAHLSGNFAFVLFDKSTSSILVASDPDGRVPLFWGITADGCLAFADDLDLLKGSCGKSLAPFPKGCYYSNALGGLKSYENPKHKVTAVLENEEEVCGATFKVEGSAVVAATQ >Ma04_p36580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34880449:34887294:1 gene:Ma04_g36580 transcript:Ma04_t36580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRILCFLLLRLLEEFQLALFKCRKKENLFANRIFDLFDVKQKGVIDFADFVRALSVFHPNAPQEDKVDFSFKIYDLEGTGFIERKEVKQMLTALLSESEMRLSDETMEIILDKTFQEADANQDGRIDKTEWGNFVSHNPSLMKIMTLPYLRDITTTFPSFVFNSKVDDIAS >Ma04_p36580.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34880443:34887294:1 gene:Ma04_g36580 transcript:Ma04_t36580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKGRRHFPGYEDPVLLASQTAFTVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKCRKKENLFANRIFDLFDVKQKGVIDFADFVRALSVFHPNAPQEDKVDFSFKIYDLEGTGFIERKEVKQMLTALLSESEMRLSDETMEIILDKTFQEADANQDGRIDKTEWGNFVSHNPSLMKIMTLPYLRDITTTFPSFVFNSKVDDIAS >Ma04_p36580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34880450:34887294:1 gene:Ma04_g36580 transcript:Ma04_t36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKGRRHFPGYEDPVLLASQTAFTVSEVEALFELFKSISSSVIDDGLINKEEFQLALFKCRKKENLFANRIFDLFDVKQKGVIDFADFVRALSVFHPNAPQEDKVDFSFKIYDLEGTGFIERKEVKQMLTALLSESEMRLSDETMEIILDKTFQEADANQDGRIDKTEWGNFVSHNPSLMKIMTLPYLRDITTTFPSFVFNSKVDDIAS >Ma06_p00700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:545480:554470:1 gene:Ma06_g00700 transcript:Ma06_t00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVERSFEAWEEVQRHGLDLADRLAQGFNGLLQSHIAPPTFLWTSPHKLEPVLSPSAAAAADGVSAILDIGNRLGQAGAEFGACVHGVVKQFFRQLPVPLRHEEGDERRTAVTGLDLYGTRKRDENVETCRSSGGTGAAEEFGLVAEQFGGRGFLEPVAAVGGLDGLEDDDVGVELELRTSGPFKKPQGTINITSTYDSMSNDIESSLVARGDIWRAEASHGGSTSRNESSTLFLIQLGPVLFVRNTTLLLPVHLSRQHLLWYGYDYKNGMHSLCPALWSKHRRWLLMSMICLNPLVCTFMDLQFPNGQLTYVAGEGLTTSAFLPVFGGLLQAQSQYPGETKFSFSCKNKSGTRIIPMVQWPDKSFSLGVVQDLAWKRSGLMLQPTIQFSLCPTFGGSNPGLQAELIRSMDERLSLSCGCSVMSHPVAFASIAFGRSKWNGNAGKSGIVIRVETPVISIGRPSFSVQFNSGVDF >Ma01_p08950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6415406:6418292:-1 gene:Ma01_g08950 transcript:Ma01_t08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALVLPDLMEQKVDNLSLYLAYYMILAATTNNL >Ma10_p24640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33139676:33143089:-1 gene:Ma10_g24640 transcript:Ma10_t24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASNTSLLPRHQPLPCSSDAAQAYLPSLVRAGRRRAFARPISAVHAAEPAKNPIKIKEPAPIAEAKPGKWSVESWKAKKALQQPEYPDKAELDSVLRTIENFPPIVFAGEARHLEERLADAALGKAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNINGDAFDEKSRIPDPQRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYQELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQSLTREDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPKELVKLIEILNPQNKPGRITIIARMGADKMRVKLPHLIRAVRGAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASTSFGQLNSLPTLGL >Ma03_p09800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:7258761:7260651:-1 gene:Ma03_g09800 transcript:Ma03_t09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 35 [Source:Projected from Arabidopsis thaliana (AT5G01620) TAIR;Acc:AT5G01620] MRRWSRTSVQLFLFLVVFSLLLLFSIVHDERALRTREASTAQPSFPTHFVTETFDGLDWSNACTTVRSYRGKSSPAAERRWQQKSREEGCDVFSGRWVYDNSSHPLYEEASCPYMSDQLACRKHGRPDEEYQRWRWQPHGCNLNKWNATEMLEKLRGKRLMFVGDSLNRGQWISMVCMVQSAIPDGKKSMTPNAALTIFRAEEYDATIEFYWAPLLVESNSDDPVNHRSNDRIIRPDALGKHAGQWEKADILVFNSYLWWRSGQKIKLLWKPDDDGICEEANGLDAMKLAMEAWADWVASTVNHLAQKVFFVTMSPTHLWSREWNPGSEGNCFQETNPINEEGYWGSGSDMHTMQMVGSILGRLQTKVVVLNITQLSEYRKDGHPSIYRKFWETHSPQQLANPVSYADCIHWCLPGVPDVWNELLFNSL >Ma08_p07450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5103052:5104834:1 gene:Ma08_g07450 transcript:Ma08_t07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMAAAMLSSHPNVMPNVTAPAATAGSARLLLLLRRRRHGRPQAVRSINRPDPSDPFPGTLGISRVDWKASCAFLSSKAAAGPGSSSDKPLSPSGDPPAADPEPDDDDDGKGGNHRLSAAINGVRTAGAGALDLVPISNLPRPLTIADLSPAPMHGSRLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLTRVMSHPQALAQCELTLTRLGLNVTREAFDDTAGAAEHVANNGLRDTAAIASARAAELYGLQVLADGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFEASMADTRAQNALAEIQEFTSFLRVLGSYPMDMTPWGAPSSSTNPPPPPPSCSAPPSS >Ma06_p32890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33711778:33716502:1 gene:Ma06_g32890 transcript:Ma06_t32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNPNAREIFDIFVREFGRRVRSVAQMIEVIEDEDVFLSLVEAAEAAVTRGSAKRQKVSSSSSASASAIPAASNPAVEEGSYMAALRGSHSSLWQQQQSKLGRKKDNSNSGIRTGNNPSPMSSSTGGGACFKCGVEGHWARDCDAPRGREWGGGLASVGASSDREEGEVPEKACPCGSGSCLVRISNTAKNPGRKFYTCPLKVDNGGCNFFEWCDNPISSHPSQNPMNRQSSLSVPDLQCPCGAGSCLVLVSRTGKNGGQQYYSCPLDEGSRSCGFFKWCNDQGATTIEHAFASKNHGAHENAGSKLSSSCFKCGQEGHWSRDCPKQLLSSSVDMGGKHLGSTASNTCFKCGKSGHWARECPANNDLGVFSVTPKGTKACKTYSAYNSKRYG >Ma02_p18530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24989985:24995035:-1 gene:Ma02_g18530 transcript:Ma02_t18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKQQESLNLAALSEEDRAGLVNALKDKLQSLAGKHADVLETLSPVVRKRVEVLRDIQSQHDELEAKFSEERAVLEAKYQKLYEPLYTKRYDIVNGVVEVEGLKYESSNEIPAEDRTTEEKGVPGFWLTAMKTNEVLTEEIQERDEEALKYLKDIKWCRIDDPKGFKLEFFFDTNPYFKNAVLTKTYHMIDEDEPILEKAIGTEIEWLPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPDDDADIDEDTAEQLQSQMEADYDIGSTIRDKIIPHAVSWFTGEAVEDDDELEIEGEEDGEGEDEDDEDDEEEDDEEDEDEDEDEEERGKSKKKSSIGQKKSRGDQGDRPAECKQQ >Ma02_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24989985:24995035:-1 gene:Ma02_g18530 transcript:Ma02_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKQQESLNLAGLASALSEEDRAGLVNALKDKLQSLAGKHADVLETLSPVVRKRVEVLRDIQSQHDELEAKFSEERAVLEAKYQKLYEPLYTKRYDIVNGVVEVEGLKYESSNEIPAEDRTTEEKGVPGFWLTAMKTNEVLTEEIQERDEEALKYLKDIKWCRIDDPKGFKLEFFFDTNPYFKNAVLTKTYHMIDEDEPILEKAIGTEIEWLPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPDDDADIDEDTAEQLQSQMEADYDIGSTIRDKIIPHAVSWFTGEAVEDDDELEIEGEEDGEGEDEDDEDDEEEDDEEDEDEDEDEEERGKSKKKSSIGQKKSRGDQGDRPAECKQQ >Ma07_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10372056:10374220:-1 gene:Ma07_g13820 transcript:Ma07_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT5 [Source:Projected from Arabidopsis thaliana (AT2G34960) UniProtKB/TrEMBL;Acc:A0A178VPZ9] MGSSMEGSKAEFQRRSYWRWSKEDFFPEESFKSWPAYVSALGQTHRRFRDRFVGRSDDATELGDLRRQSVNDMKRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFAAFIAAANLILESIIGSAAVARSWTSYLTSLLNLPKNSLRIHTNLAKDYNLLDPIAVLVLFATATIAMISTKKTSYFNWIASAVHTVVIAFVIVAGFAHAEPTNLKPFLPFGAQGVFQAAAIVYFAYGGFDNIATMAEETRNPSRDIPLGLLGSMSVITAIYCLMALSLSMMQKYTDIDTDAAYSVAFKSVGMKWAQYLVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPLFALVHPKTGTPIYATLLITISSACIALFSSLNVLASLLSVSTLFIFMMMATALLVRRYYRRDVSTPRDLHKLVICLLVIIASSTGISAYWGLRPHGWIGYVILVPLWVAGTLGLQLFVPQCRTPKVWGVPLVPWLPSLSIATNLFLMGSLESKAFLRFGICSGIMLIYYLLFGLHATYDMAHGQYQRPDLAEAERKAAAGAGGEA >Ma10_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21879867:21880747:1 gene:Ma10_g07510 transcript:Ma10_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSSLPRPRFYADVKFNDERVDPPVPVMDPFLSWAKEAHWSMGGLNFNRHRLQGRIEGSIKKLRAQQEKMQRGTPVLSVRPKSMISKPRVAGRKPLHLASPDSVSSGGDDDEEVVASEQGKSEFKVSSSPSRSGDGKRKRVRRLGEEFDRIAAEQLKGPREDAGGVASRTRRRRSVTEEVEVDEGDATSASPNRKRSNQAEGKKMKEVDGAVPRRTSPRKKQSS >Ma05_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23972274:23974944:1 gene:Ma05_g18490 transcript:Ma05_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFGDRTKIWHDNSTPSGTSDRNAAWKDFGSSMSALSFGFLATAILVSMFLIMAIFEHLLRSRASSPPPQSNAHGELDQMHSEKIKKSQNVAPLDSVEFSVLMPGQLYPTYIAQPAPLPCPREGISWPSHDHHALASP >Ma09_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10411727:10414492:1 gene:Ma09_g15090 transcript:Ma09_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAKPPQSSAVVSDLKALFCPFRTRRTKVFLYGSAFAFVAFSAYLAFCPPEKTSPWVNSLFSSANVSTAPYRSQISSLVSYIFPISSPPPSLENAAPSPDNMPADGGGTQKGGILGGNATSAAGGVSGSNKTVEGVGVKKGGGLAAKNQTASEIGLPKSGVAEKNRTASGSGLQGGEDSMKNHTIKGGSGISTSDQGKDGVGSAKATTLTTKNQTTSGVGPPKSGDSPSKNQTKVEDGSKKDAILAAKNLTVTGAPLKNNETATGVGSLKHGHLPVKNQTGKGVASKANPVPPNGKESGTNAPKNGAAANNQSSSALPSVTKSTGATPKKDKEGASGLSDSLVKRNGSSVPTSGGDGRKQVDWINAMKGCDIFQGRWVKDDSYPLYPEGSCPHIDEPFDCYHNGRPDRAYQKLRWLPNGCSIPRLNATDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSIEDQRKVFEASGRHEFRTEGSYSFVFEDFNCSVEFFRSPFLVQEWETPGSNGNKKETLRLDIIERSSAKYKDADVLVFNTGHWWTHEKTSKGKDYYQEGNHIYSDLNVVNAFHKALNTWAKWVDANVNSKNSLVFFRGYSVTHFSGGRWNSGGQCDKETEPIKNEKYLSSYPWKMTVLESVIKGMKTPVAYLNITTMTDYRKDAHPSIYRKQNLTEEERRSPERYQDCSHWCLPGVPDSWNELLYAQLIVKQHQLL >Ma11_p12340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16213394:16214623:1 gene:Ma11_g12340 transcript:Ma11_t12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKDILGNQHDESDAVLSESLRRLQLMELSVDVLKVLILHSFVSFLFYAGLRIRIRTHTLLQEFDDFKVLVTPSPPHRIQSHFGLRVIRFFTSGFFSFFCMGCWMCCSILNCTLPFSER >Ma09_p24620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36271532:36276966:-1 gene:Ma09_g24620 transcript:Ma09_t24620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNTKGFALAVVSSAFIGSSFILKKKGLKRAGKSGTRAGVGGYTYLLQPLWWAGMITMLIGEVANFVAYVFAPAALVTPLGALSIIVSSVLSHFMLKERLQRMGILGCISCIVGSVVIVIHAPREQSPSSVEEIWKLATQPGFLIYAATTLSFVLVLVLHFEPRYGQTNILIYLGICSLMGSLTVVSIKAIGIAIKLTFQGISQVTYYQTWLFVTVALVCVISQMNYLNKLQYLGILARALVII >Ma09_p24620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36271532:36276966:-1 gene:Ma09_g24620 transcript:Ma09_t24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNTKGFALAVVSSAFIGSSFILKKKGLKRAGKSGTRAGVGGYTYLLQPLWWAGMITMLIGEVANFVAYVFAPAALVTPLGALSIIVSSVLSHFMLKERLQRMGILGCISCIVGSVVIVIHAPREQSPSSVEEIWKLATQPGFLIYAATTLSFVLVLVLHFEPRYGQTNILIYLGICSLMGSLTVVSIKAIGIAIKLTFQGISQVTYYQTWLFVTVALVCVISQMNYLNKALDTFNTAIVSPIYYVMFTSLTIIASAIMFKDWSGQNNSSIASELCGFITVISGTVLLHTTREQEMAPATATISWYIGKSTGDHMKTIDDDHFVILQSSD >Ma08_p30280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41599191:41602927:1 gene:Ma08_g30280 transcript:Ma08_t30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEASVTDQTVKEHMGTNVSDNINNADEALLSKIEDLKGKDEEEISKGKDEEKVDAKKDPTTVEAPTAEPEEKLDQEVATVIEEVEEEAKDKFYLEPSVEAEEKELKDKSDALVTPEPLNEPVDQPTEISEPSVAEEPVETPKENAHSETVTEESTSAEESSEVSAAVSPPSLTDDKPVESPTLCNVQEPAPNATVALNAVEAAADRETACDGVAVSPESSKQLPPIETIESESSDAVTVVPSVNELIESTERTDDDRNADSDTRKLAGEELVPEVEVAERNAEPVVDVKQEQENTMDVEATALSKTNQSVEVSEDVKVIVETKNENLEGEDLSLDTIKSAEEIVPEVEDAERNTDETKNENLEGEDLSLDAKKSAREEFVPEVEVAEHTEGPVVDIKQEQEKTMDVEATVLSEPNLKVNASEDVKVIGEMKNENSEGADLRLAETSRDIDFAGVENKASAKNQECDPKDSETAAKPVVKQTLLDKFVEESTEDKEKESVKVYDQSLAASTKDDDGATKTDGAPKSETPAKKSQRNIISKVKQSIVKVKKAIIGRSPSSKTMAAAEGIEDTKQK >Ma02_p04890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16290866:16293507:-1 gene:Ma02_g04890 transcript:Ma02_t04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAACLSPLPLSLLFLLLPFFALHHAPLSSAADTISANNSLSGSQTITSASGTFVLGFFKPGNSSGNYYVGIWYSISNVTRVWVANRENPVADPSKSELRISDDGNLVLLDQSEAIIWTTNTSVSSNSTVAVILDSGNLQLRDASNESHVFWQSFDHPTDTWLPGGKIGLNKVTNQTQRLTAWKNSADPAPGIFSLEIDPNGTGQYFTLWNMTTSYWTSGIWKDNIFSNVPEMTSNNIYYVFQYVSDEKENYFTYTVNDDKIITRVVMDVSGQVRQSTWVATQQSWQQSFIKPSQQCQVYASCGAFGRCNEIITPFCNCVKGFSPKSESDWNLNDRSGGCVRDTPLQCQGSLLNSEKDKFLEMTNVRLPVNSHSLSGVGSEETCEVGCLSNCSCTAYSYNSSGCSVWYGDLMHLQEQYSESDAGILYLRLAASELPSSSSSKGTITWIAVGVTVAVLACVAMVWFITWRRRSRRMTRVSTAVGGALVPFTYGELQHATKNFSHKLGEGGFGSVFKGWLPDSTVIAVKKLEGLRQGEKQFRTEVSTIGTIQHVNLVRLLGFCSEGDKKLLVYEFMPKGSLDTLLFEPTPTALDWKTRYQIAVGTARGLAYLHEQCRDCIIHCDIKPENILLDESFVPKVSDFGLAKLVGRDFSRVLTTMRGTRGYLAPEWIAGVAITAKADVYSYGMMLLEIISGRRNLTGPEEGRHGFFPALVAGKLVDGEVESLLDYGLGGEAESEEVERACRLACWCIQNDEISRPTMGQVVQVLEGLLEVNVPPIPRSLQLLADETPDHNINFYFDSQTRSTTSNSSQVKSTTSNSSGA >Ma04_p09850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7007432:7010146:-1 gene:Ma04_g09850 transcript:Ma04_t09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MHALAALPSCPRHQPALTLDLMRTTTTTTGWCGGRPAPCLPCNRRSPSSLHVALCRRPSDHEVGAGDADDVFDDLFKKYGKVVYKSGDQKRPAAEADDDSESLSFAVTLAKVANEVKAADIRVLFVKPLVYWTRFFIIVTAFSRPQIDAIGSKIRDTAEKQFNKIASGDTKPNSWTLLDFGDVVVHIFLPQQRAYYNLEEFYGNATPIELPFDNQTPFRS >Ma05_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3413062:3414299:1 gene:Ma05_g04460 transcript:Ma05_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASDFMGVLQILWEALHLPVKAGTLFLYLLLLTLLSSSLLFLSAYSISPLVLDLVSKLSPLFRNPIGPEPPNLFHEIEKDLRDIASLTSGVAFVFFFVSLFLIVATMYTFAMAYTNRSLSPKQLLLRVGRRSYQTLVTRLYVVLLTLGLALLSSLVIGTLMLVSRVPTVATAGVVAVLLYLYLSTRWCMSLVITVVEETWGIGALSWSVELFIGNKKKGTILTLILKVVETAIFGAFGLALASPGPPRPPEAQMKLWCIVAAATAVWEIYAMAVYTVFYYECRKSHGLEEVMTVKGEYIYTGFPAAVAVKVDEIY >Ma00_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:11054700:11067639:-1 gene:Ma00_g01690 transcript:Ma00_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLACVFSSAAKSQNPIKTLFRRHRSLAVSALPIMADSEESLRRALSEKQAAVDAQAEAVRALKARPASAPSEIDAAVEALKALKVEAAAAARLLQAAVSRNGDGSTAGSREAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDYCKEKLEKDLTLSAEKAAELKHILAVLDDLSADEVGAKIKEYGIAAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKTHPKFVDVSNLEFLMFPRKEQMAGESAKPMILGEAISKGTVNNETLGYFIGRVYLFLACLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVALVAHEKFSESREVEKLVIVPSKKELGLAFKGNQKMVVEALETMSEKEALKMRASLESKGEVDFHVCTLGKAVVITKNMVSISIEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEDEQLNVFRFPPLVAPIKCTVFPLIKTQKFDDVAKIIARSLTAAGISHIIDITGTSIGKRYARTDEIGVPFAITVDSTNSVTIRERDSKEQIRVSIDEVAKVVKEVTDGQSTWADIMWRYPAHAASLVDEE >Ma11_p14660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20375611:20378126:1 gene:Ma11_g14660 transcript:Ma11_t14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFSKSLLSFYRSLRSRLANPLSLHRRELHLKLQKNHLSLCHLHDQALVLDVEGGLLRSSSTFPYFMLVALEAGCILRGLILLLLYPLLCCLSQEVGLQIMVLVCFLGIRKQGFRTGIAVLPKHLLEDVGLEGFEVLRMAGKKVCVSGMPSVMVEGFLKEYLEVDLVVARELKVFNGYYTGLMEEDSYLGLENAFGEEAIGFGSYIKSPQHQLFSHCKEMHLVTEAEKKNWQRLPREEYPKAMVFHDGRMAFKPTPMATLAMFVWFPFGVSLSILRTIVFVSLPYTISIPIGSATGMTNRVLNPHASADDRQHKLYVCNHRTLLDPVYISVMLNKLVVAVTYSVSPITEALSPIRTVRLTRSKEEDRRKMERLLSQGDLVVCPEGTTCREPYLLRFSPLFVELAEEVVPVALAARVGMFYGTTASGFKFLDSFFFLMNPRPEYHLEFLQKIPTGCLRRRSGSSYEVANLVQREIGSALGFRCTALTRKDKYVILAGNEGTVKAKT >Ma08_p22470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36047696:36048374:-1 gene:Ma08_g22470 transcript:Ma08_t22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSRWSSFSSSLVFSYHRLPTHERLRRRRKTTRRLPKREASSKRTQPCQPENYSRHAHQIPRSATAR >Ma08_p06130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4140840:4155362:1 gene:Ma08_g06130 transcript:Ma08_t06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRRDLVPNGPGGVNLVPEEDDDMWHAYNLISAGDTVKAATVRKVIREMGSGERKSDRVRLKLEIKVEGTDYDKEGSVLRIRGKNVLESEHVKIGQFHTLVIEPHRPFLLKKDIWDSVALDILHQACDPAASADLAVFLMQEGLAHLFLIGKSITTTRARIETAIPRKHGPAIAGYELALKKFFENVLQAFLKHIDFKVVRCVVIASPGFTKDQFRDYLLLEAERRELRPIIENKSRLILAHSNSGYKHSLKEVLDAPTVMSLIKDTKAAQEVRALKDFFTMLSNDTARACYGPKHVEVAHERMAIQTLLITDGLFRNSDIATRQKYVKFVESVKDSGGTVHIFSSMHVSGEQLAQLTGVAAILRFPLPDLEDIEM >Ma08_p06130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4140859:4155362:1 gene:Ma08_g06130 transcript:Ma08_t06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRRDLVPNGPGGVNLVPEEDDDMWHAYNLISAGDTVKAATVRKVIREMGSGERKSDRVRLKLEIKVEGTDYDKEGSVLRIRGKNVLESEHVKIGQFHTLVIEPHRPFLLKKDIWDSVALDILHQACDPAASADLAVFLMQEGLAHLFLIGKSITTTRARIETAIPRKHGPAIAGYELALKKFFENVLQAFLKHIDFKVVRCVVIASPGFTKDQFRDYLLLEAERRELRPIIENKSRLILAHSNSGYKHSLKEVLDAPTVMSLIKDTKAAQEVRALKDFFTMLSNDTARACYGPKHVEVAHERMAIQTLLITDGLFRNSDIATRQKYVKFVESVKDSGGTVHIFSSMHVSGEQLAQLTGVAAILRFPLPDLEDIEM >Ma08_p28410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40339067:40340112:1 gene:Ma08_g28410 transcript:Ma08_t28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGNPGLKHRFARALLRSSCTTSATTTASDLIKLSTDAPPRQEPESCARCRSYSRRCTHRIAPVVQVSMEMKAKKKERRVTENGDLYDTGAREGRKCPPASPSSPMRNWYYCSRDKDGKQKAHGTKGSSRRTETRKLLPTGDGFSSSSSLDCNDELGLFSDDDEEEEGSGTLLSSKSFSSDSSEFYCSNRGTRNKATTTTKKRRSESFKSTRRPPRRGDRGSSKPWPLAARSSSTEKKAGFAVVKNSRDPYMDFRSSMVEMIVERGMSGASDMEDLLHSYLSLNSPLHHPVILEAFVDVWEAMLAEQYM >Ma03_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1858698:1867431:-1 gene:Ma03_g02720 transcript:Ma03_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGARSRPSLSAAFLVVLAVSAFASESDHKYQAEEPVTLWVNKVGPYNNPQETYNYHSLPFCQPSENPAHKWSGLGEVLGGNELIDSQFQIKFQRNVDRGSICTIELDATKVKQFADAIENSYWFEFFIDDLPMWGFVGETDKNNKDKRYLFTHKNIIIQHNEYQIIHVNLTQESPKLLEAGKRLDMTYSVKWLPTNVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPARTLVLLSSLIGTGAQLAMLVLLVILLAIIGTLYIGRGSITTTFIVCYALTSFISGYVSGGLYSRNGGKHWIKSMIVTASLFPFMCFGIGFILNTIAIFYGSLAAIPFGTIVVVFILWAFISFPLALLGTVVGRNWSGSPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVFLYSIYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >Ma03_p32620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34340086:34341630:1 gene:Ma03_g32620 transcript:Ma03_t32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSNPGLDQISLLRSPKAIACSPCVPVIDLSKPDAAASVVEACEEFGFLKVTDHGIPVELMQRLEAEAVKFFSLSPVEKERSGPPDPFGYGNRKIGANGDIGWLEYLLFAVASKPLSYTFMDFLREPAACSFSSALKEYLAAVRKLASKVLELMAEGLDIEPRDVISRLVMDENSDGIFRLNHYPPCPVLRGYNYGLTGFGEHTDPQVISVLRSNNSTGLQISLKDGSWVSVPPDEESFFINVGDSLQVLTNGRFRSVKHRVVASGWESRVSMIYFFGPPLAEKIAPLPQLMGEGEHSLYKEFTWDEYKKATYKSRLADNRLGLFEKREVADDQRL >Ma08_p04650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3241373:3242357:-1 gene:Ma08_g04650 transcript:Ma08_t04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSNGTSFDPYNGRPPERMSGLAYGLGISAGILLLITTITFMSYFCTRTTTTAAATAARPRRPPDDVVGPDMDVEAGLDEATLMSYPKILYSQAKLEERSTTATCCSICLADYKDTDVLRLLPECGHLFHLDCVDPWLRSRPTCPVCRSSPIPSPLSTPLAEVVPLALARQP >Ma07_p01980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1543623:1548459:-1 gene:Ma07_g01980 transcript:Ma07_t01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKPFTGKEPVGLEGRTIDVGNVKVHVRNVIAQGGFSCVYIALDPAQSSKQYALKHMICNDAESSDLVMKEISVMKLLRGHPNVVTLIAHTILDMGWRKEALLVMEYCEKSLVTVLGNRGAGYFEEKQILLIFRDVCNAIYAMHSQSPPIAHRDLKAENVLLAPGGAWKLCDFGSTSTNHKCFDKPEEMGIEEDIIRKHTTPAYRAPEMWDLFRKEVLCEKVDIWALGCLLYRICYFKSAFDGESKLQVLNGNYRIPDLPKYSASMTGLIKDMLEASPNARPDIMQVWFRVNELLPEELQKHLPDGCAEAVAMHRSRSDSQDQEVSRKTSLMRRRSPPPPPSSQESEDTQHSGPSQDASWEGGPIGAFWSTQHGKDSAVIDNKRSFFNEPVKQAVSKQNHGTIISKDNPPRESHAHPRQPGRIERGNPADEDFEKKNSQEMKHCSQRPKVLYPEEKPAFENETFNTFVADFDVSKLNSRNIVSDNKSRKEDLESEVGKLKEQLKQANLEKVDMTSKYEKLSAICRSQRQEIQELKSALSALSPSLPSKDRSKSHNHPGSLESAALPRDKMEGSVWDLQQGMMSNPSASSHRPELKTWNAFHGEPKIQAAPRSNHPISSGA >Ma07_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11053894:11056241:-1 gene:Ma07_g14720 transcript:Ma07_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKADEDYDYLFKVVLIGDSGVGKTNLLSRFSRNEFSSESKSTIGVEFATRTIRVDEKLVKAQIWDTAGQERYRAITSAYYRGAAGALVVYDVTRHITFENVERWMKELKNHTDANTVMMLLGNKADLRHIRAVSVEDAQAFAQRERAFFMETSALESMNVETAFTEVLTQIYHVVSKKVLDLGDDPSAVPKGQTINIGADDDVQAAAKKTGCCAE >Ma01_p12690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9295323:9300990:1 gene:Ma01_g12690 transcript:Ma01_t12690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVSRLRSLFPLRGGAWRAASVWSFGDNSNGALGLSAPLADAYEPTRVPSLPSDVAAVAAGHYHSLAVTASGEVWAWGRNEEGQIGRHATAPRATWNKPEKVIGLDHVRVEAAFASGVTSAAIDDDGSLWVWGRSKRGQLGLGNRVTEATKPTKVQALADHQIVKVSFGWGHALALTKDGKLFGWGYAADGRLGQMEQKLDSPQTQPLEFDKSLESLTPMLDVVEKLVAEKIEKEKNMPIIWEPCEVLEVSCLNVSDMACGLDHSLVLCSSGTVLSSGDNLYGQLGRNTYGSMLPVGLNAHALSVSAGLGHSLVLCQIPSEDREEVNAVLSWGWNQSHQLGREGREDMPGIVEALSGEKPTSLSAGRVHSIALTSKKELWAWGSGRNGRLGLGSSMDEMEPALVESLVGLEVLQAVAGFDHNLLLVVD >Ma01_p12690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9295323:9300990:1 gene:Ma01_g12690 transcript:Ma01_t12690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVSRLRSLFPLRGGAWRAASVWSFGDNSNGALGLSAPLADAYEPTRVPSLPSDVAAVAAGHYHSLAVTASGEVWAWGRNEEGQIGRHATAPRATWNKPEKVIGLDHVRVEAAFASGVTSAAIDDDGSLWVWGRSKRGQLGLGNRVTEATKPTKVQALADHQIVKVSFGWGHALALTKDGKLFGWGYAADGRLGQMEQKLDSPQTQPLEFDKSLESLTPMLDVVEKLVAEKIEKEKNMPIIWEPCEVLEVSCLNVSDMACGLDHSLVLCSSGTVLSSGDNLYGQLGRNTYGSMLPVGLNAHALSVSAGLGHSLVLCQIPSEDREEVNAVLSWGWNQSHQLGREGREDMPGIVEALSGEKPTSLSAGRVHSIALTSKKELWAWGSGRNGRLGLGSSMDEMEPALVESLVGLEVLQAVAGFDHNLLLVVD >Ma01_p12690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9295323:9300990:1 gene:Ma01_g12690 transcript:Ma01_t12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVSRLRSLFPLRGGAWRAASVWSFGDNSNGALGLSAPLADAYEPTRVPSLPSDVAAVAAGHYHSLAVTASGEVWAWGRNEEGQIGRHATAPRATWNKPEKVIGLDHVRVEAAFASGVTSAAIDDDGSLWVWGRSKRGQLGLGNRVTEATKPTKVQALADHQIVKVSFGWGHALALTKDGKLFGWGYAADGRLGQMEQKLDSPQTQPLEFDKSLESLTPMLDVVEKLVAEKIEKEKNMPIIWEPCEVLEVSCLNVSDMACGLDHSLVLCSSGTVLSSGDNLYGQLGRNTYGSMLPVGLNAHALSVSAGLGHSLVLCQIPSEDREEVNAVLSWGWNQSHQLGREGREDMPGIVEALSGEKPTSLSAGRVHSIALTSKKELWAWGSGRNGRLGLGSSMDEMEPALVESLVGLEVLQAVAGFDHNLLLVVD >Ma03_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:359619:370590:-1 gene:Ma03_g00400 transcript:Ma03_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLRAQSLKEERKRNHYCAHDGHCFRNVELFSDPSCHRNVRLHRFDAHCVAFNTSRWFHTVGSDHEKFDVLEAFTCQATSFCSQKQAWFFSSFRRFLFYERLHLLALEFLESTDLPSPRGPISRKQCFCLIPSHFKMYQTVVLYCIAVVKHQWLLFYIESGFDSSLKNS >Ma10_p22210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31663959:31670602:1 gene:Ma10_g22210 transcript:Ma10_t22210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSPTGSSSSGIKRQRFQVNLEGSSQIHRDVDPSSTDIICESTLGCEARETVIYDEDGKQKQVVSSNNILGSIAYYGLQNDASASTSRSSEVYLQDCNYYNDDEENNCNKDENVYDEEEDYNYDEDEGYEFYLEEDDKIDYGFKLAAQFDDLDLPPGVEATVPWLDNSAPKSSSNSKQETDIKFNSFKQFNIVQDFSDHHFAKTYSSVMQAKDWMKKIQKEWKLLEKNLPDTILVRVYEDRMDLLRAVIVGPAGTPYHDGLFFFDAQFPPKFPQEPPVLHYHSHGLCLNPNLYKDGKVCLSLLNTWYGKGCERWNPSESTMLQVLVSIQALILNEKPFFNEPGFELTANTAEGHVKSLAYNEEIFLLSCRTMLYSLRGPPKHFAEFVAGHFCNKGHTILAACRAYMSGAPVGSVIWEQAQDVDRSDERLSISFRSSLKQLFEELLMEFSVKGADCDEFLNQKVKAGAAAALSLQ >Ma10_p22210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31663959:31670602:1 gene:Ma10_g22210 transcript:Ma10_t22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSPTGSSSSGIKRQRFQVNLEGSSQIHRDVDPSSTDIICESTLGCEARETVIYDEDGKQKQVVSSNNILGSIAYYGLQNDASASTSRSSEVYLQDCNYYNDDEENNCNKDENVYDEEEDYNYDEDEGYEFYLEEDDKIDYGFKLAAQFDDLDLPPGVEATVPWLDNSAPKSSSNSKQETDIKFNSFKQFNIVQDFSDHHFAKTYSSVMAKDWMKKIQKEWKLLEKNLPDTILVRVYEDRMDLLRAVIVGPAGTPYHDGLFFFDAQFPPKFPQEPPVLHYHSHGLCLNPNLYKDGKVCLSLLNTWYGKGCERWNPSESTMLQVLVSIQALILNEKPFFNEPGFELTANTAEGHVKSLAYNEEIFLLSCRTMLYSLRGPPKHFAEFVAGHFCNKGHTILAACRAYMSGAPVGSVIWEQAQDVDRSDERLSISFRSSLKQLFEELLMEFSVKGADCDEFLNQKVKAGAAAALSLQ >Ma10_p22210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31663959:31670602:1 gene:Ma10_g22210 transcript:Ma10_t22210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSPTGSSSSGIKRQRFQVVSSNNILGSIAYYGLQNDASASTSRSSEVYLQDCNYYNDDEENNCNKDENVYDEEEDYNYDEDEGYEFYLEEDDKIDYGFKLAAQFDDLDLPPGVEATVPWLDNSAPKSSSNSKQETDIKFNSFKQFNIVQDFSDHHFAKTYSSVMQAKDWMKKIQKEWKLLEKNLPDTILVRVYEDRMDLLRAVIVGPAGTPYHDGLFFFDAQFPPKFPQEPPVLHYHSHGLCLNPNLYKDGKVCLSLLNTWYGKGCERWNPSESTMLQVLVSIQALILNEKPFFNEPGFELTANTAEGHVKSLAYNEEIFLLSCRTMLYSLRGPPKHFAEFVAGHFCNKGHTILAACRAYMSGAPVGSVIWEQAQDVDRSDERLSISFRSSLKQLFEELLMEFSVKGADCDEFLNQKVKAGAAAALSLQ >Ma04_p26720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28096059:28098067:1 gene:Ma04_g26720 transcript:Ma04_t26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVSVFFTSDNDRIKSKTRTYALIFVALSILSFVTNVVQHYNFGAMGEYLTKRVREKMLSKILTFEVGWFDEDENSTGAVLARLANDANVWLSGIQVRSLVGDRLSLIIQTASAVAIAWTMGLVIAWRLALVIIAVQPLIIVSFYARRVLLKRLSAKAIKSQSQSSKIAAEAVSNLRTIAAFSSQDRILQMFQAAQEGPRRESIRQSWFAGIGLAFSQSVMHCTWALDFWYGGKLIDQGYITSKALFQTFMILVSTGRVIAEAGSMTTDLAKGADAVGSVFGVLDRDTRIEPNDQEGQRPKKVNGDIDIRGVDFAYPARPDVVVFRHFTLHIEAGKSTALVGQSGSGKSTIIGLIERFYDPLKGTVKIDGRDIKSYNLRSLRKHIGMVGQEPTLFAGTIQENIAYGTEEATAAEIEDAARAANAHDFISSLKDGYHTYCGDRGVQLSGGQKQRIAIARAILKNPTILLLDEATSALDSQSEKMVQEALERLMVGRTSVVVAHRLSTIQNCDLIAVLDKGVVVEKGTHASLLAKGPKGSYHALVSLQQGNKGA >Ma02_p09060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19233004:19233501:-1 gene:Ma02_g09060 transcript:Ma02_t09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDNYPLHPHLATFQANKVVELLDLAAFSSCMTTAKGDASRCNVGAHAVGRELPVPDHEKTWKNIVKMEPGYMTTVVVVAFKLVAANQSYVNSLPSLSLWFAASSFPPYWILPSANTGSRRQCYDVSTKIAALKERERERESQKKKVLKTGDGCCIVWIAFVCQ >Ma09_p18440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:17919009:17925245:-1 gene:Ma09_g18440 transcript:Ma09_t18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSASSLLRPRRFSSLADGSFGGDASSSVSEKIAVAVLFERLPVVIPKTDPVVYAVQELSLQVSMEAAGIVGGALMRSWERRMQG >Ma07_p09380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7026381:7027624:1 gene:Ma07_g09380 transcript:Ma07_t09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFVPLVAAVTGEVKRIPSDPKELLMDGGFMVPDANSFGHTFRDYNAESERQKTVEEFYRMNHIHQTCDFMKRMREEYGKLDSIWGCIELLNEFVDESDPDLDEPQIEHLLQTAETIRKDYPEEDWLHLTALIYDLGRCFSILALGNFHNGLLLVTHFLLDVLSMNAMFTTSILQKTLITLILNTIPCSEFIQRGVDLTLY >Ma10_p11160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24751348:24765351:-1 gene:Ma10_g11160 transcript:Ma10_t11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSDRTKVVVRRLPPSISQSVLMEQIDGRFAGRYDWVFFRPGKNSRKNQRHSRAYLNFERSDDVVEFAEFFDGHIFVNEKGAHFKAVIEYAPSQRVPKPWSKKDVCEGTLSKDPEYMDFLGLLSKPVEHLPSAEVQLERKEAERAGGTKESLIVTPLMDFVRRKRAAKGGVQGPCSGGKVSRRTLMVSTGSSSPSKRGSEKRKYVSRETMKRGKTKDKSSYILMSRREEQWPSVDKSAPVASAMGKEALEDDFASVIVESGKSRIILLKGKEKEGSDPFRGVVQQQVVMSSARNSPTSTSKHNRASGIIIRSILSKEGHLNQSCVAASHPELQIQAANVEKDKHSPLLPNACSKNDYISRSSSIASVSDGDDKRHIDTKVVAINNAHGSLSINVKHEKQARKKDRHDRGIWALRRSDIAQPSDRTPSTDAPQMLTDSLGSIYVSQQAADKVEEVDMFVPSACVRNGSKSHAAYELSLVHGERKADVPYTSRSEDMKIHRGRRSLSAVENGSHRHVGRRGSARGLKEVDNSLSLLEGKSSKRGSTSYSFHERQVWVQKAGSSS >Ma10_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24751348:24765351:-1 gene:Ma10_g11160 transcript:Ma10_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSDRTKVVVRRLPPSISQSVLMEQIDGRFAGRYDWVFFRPGKNSRKNQRHSRAYLNFERSDDVVEFAEFFDGHIFVNEKGAHFKAVIEYAPSQRVPKPWSKKDVCEGTLSKGGTKESLIVTPLMDFVRRKRAAKGGVQGPCSGGKVSRRTLMVSTGSSSPSKRGSEKRKYVSRETMKRGKTKDKSSYILMSRREEQWPSVDKSAPVASAMGKEALEDDFASVIVESGKSRIILLKGKEKEGSDPFRGVVQQQVVMSSARNSPTSTSKHNRASGIIIRSILSKEGHLNQSCVAASHPELQIQAANVEKDKHSPLLPNACSKNDYISRSSSIASVSDGDDKRHIDTKVVAINNAHGSLSINVKHEKQARKKDRHDRGIWALRRSDIAQPSDRTPSTDAPQMLTDSLGSIYVSQQAADKVEEVDMFVPSACVRNGSKSHAAYELSLVHGERKADVPYTSRSEDMKIHRGRRSLSAVENGSHRHVGRRGSARGLKEVDNSLSLLEGKSSKRGSTSYSFHERQVWVQKAGSSS >Ma01_p22130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23401600:23421992:1 gene:Ma01_g22130 transcript:Ma01_t22130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAGDGEREWEEVGGMVPVGRSLQGEKVILVPYMREHVPTYHRWMQDPALLAATASEPLTLHQEYQMHGSWTQDPLKHTFILLDKQLIQGGFVPGDPHVEAMVGDVNIYMNDPDDLHIAEIEIMIAELTSRQKGLGKESILMMMAFAVERYGIHTFRAKIAESNAASINLFRKLGYVDASYSEVFKEVTLEVPVRELSIKGPET >Ma01_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23401591:23423501:1 gene:Ma01_g22130 transcript:Ma01_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAGDGEREWEEVGGMVPVGRSLQGEKVILVPYMREHVPTYHRWMQDPALLAATASEPLTLHQEYQMHGSWTQDPLKHTFILLDKQLIQGGFVPGDPHVEAMVGDVNIYMNDPDDLHIAEIEIMIAELTSRQKGLGKESILMMMAFAVERYGIHTFRAKIAESNAASINLFRKLGYVDASYSEVFKEVTLEVPVRELSIKGPET >Ma01_p22130.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23401600:23423460:1 gene:Ma01_g22130 transcript:Ma01_t22130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAGDGEREWEEVGGMVPVGRSLQGEKVILVPYMREHVPTYHRWMQDPALLAATASEPLTLHQEYQMHGSWTQDPLKHTFILLDKQLIQGGFVPGDPHVEEIEIMIAELTSRQKGLGKESILMMMAFAVERYGIHTFRAKIAESNAASINLFRKLGYVDASYSEVFKEELIRQAMAGGREYSYLEIISKKSWNTGLL >Ma01_p22130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:23401600:23423460:1 gene:Ma01_g22130 transcript:Ma01_t22130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAGDGEREWEEVGGMVPVGRSLQGEKVILVPYMREHVPTYHRWMQDPALLAATASEPLTLHQEYQMHGSWTQDPLKHTFILLDKQLIQGGFVPGDPHVEAMVGDVNIYMNDPDDLHIAEIEIMIAELTSRQKGLGKESILMMMAFAVERYGIHTFRAKIAESNAASINLFRKLGYVDASYSEVFKEELIRQAMAGGREYSYLEIISKKSWNTGLL >Ma02_p24100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28841202:28843140:1 gene:Ma02_g24100 transcript:Ma02_t24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRDKIDYVFKVVLIGDSAVGKSQLLARFEFSLDSKATIGVEFQTRTLIIQHKSVKAQIWDTAGQESYRAVTSAYYRGAVGASLVYDITKCQSFDHIPWWL >Ma11_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24527316:24528904:1 gene:Ma11_g19810 transcript:Ma11_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVNIKLSDHKAGADNSSKQSRSEKMSRKATMKLGMKPVTGASKITIKRTKTSFVILTNKALYKLYISVIYGIYDKGGVLEGKNRGFELPAAQQFRIPDLSNMAAKVYLLSGAPTGEDEEEIDESGLEPRDIGLVMTQAGVSRAKAVKALKTNNGAHHLAMQIN >Ma10_p13070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26018369:26023636:-1 gene:Ma10_g13070 transcript:Ma10_t13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKILAICQSGGGFVTNNDGTLSYSGGDAHAIDVDSEMSLYDLKSEISSMFNINAELFSIKYFLPRNKRTLITISNDKDLRRMVNFNANSDTTDIYILKKAENRIIRSVVADSGMLTDANTTSLDTLDEAKRRRLCTDWNSMITGVGQVLSSPKAFRDALHKYAIANSFMYRFIKNDRSRVTAECTIEGCPWRIHASRSSAKQEFMIKKINDTHTCGKELSKESRRLASQRWVASIIKDKLRETPNYKPMDIANDLQREYGLSLNYSQAWRGKFIAKKELHNSHEEACNQLPWFCERIIETNPGSVATLQTSDDSRFRFFVAFHASLYGFEHGCRPLLFLDDLSLKANKQWKFLVATAVDGENDIYPVAFSVVDSETRENWHWFLVQLKSAFTLSRVITFVSSCQYGLEEELSKVFEDSFHCYSEQCLIENFMTEMENTWTQEVKDKMVDHLRRAIYACKVDEFNESIENIRIQSKELAEWVLAMKPESWSDAFIKGSRHGHYSSGATEKFNSWVSTRYEPSVLQIIDILRCKLMELMFSRRESSNTWTEALVPSANQKVQEEMIKARSLGVICSAGSVFEVSDESTKIVNTETRECTCRRWQVTGLPCVHALAVLEQTNQCIYDYCSKYFSTGCYRLAYSLSINPIPDVGRPVCTNLFHAASTWPPRTRRLAGRPKEKPVEPRIVIKRAVHCSKCQGLGHNKQTCKAQI >Ma01_p11550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8346783:8350640:-1 gene:Ma01_g11550 transcript:Ma01_t11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLMP1 [Source:Projected from Arabidopsis thaliana (AT1G62390) UniProtKB/Swiss-Prot;Acc:O48802] MGKSGGRKKKNATAPAVAATATATAPAPAPAPAPAAPASPHPPPPPTAASNGVVEIDLSLLLKRAHGLKEEGNRLFQAKDYAGALRQYEMALKLTPRSHADRAVFHSNRAACLMQMRPVDHEAVAAECSLALQAQPGFPRALLRRARALEALRRYDVALQDVHALLDQDPSHSDALDLARRLRAALAPSAPAAADPGSRPSPAALGASAVRVGAPVAGLGPCLPARPKKSSPSAQNPQNSMTANKPTSSSQNHHPPTSPALFNGPETKPPRQLLSKPSSAEASSQPVLVGSPVDGSQQSAGKEPSLRTVVNRWRPLKLVYDHDIRLGQMPAYCSFKVLREIVANRFPSSKSVLIKYKDADGDLVTVTSTAELRLAESSVDELNKKDKKDGTGMDMDDKLQLLRLHIVEVFPEQEPLIQEEEEKMPEDEGLIKTDQSVSDSSNGDSIAEAVSNDVVKIGSEAEEVAKDNGEDANNGCGHAECKEAEIDDWLFEFAHLFRNQVGIDPDAHLDLHELGMELCSEALEETITGEEAQGLFDMAAAKFQEVAALAFFNWGNVHMCAARKRIPLDEASPKEVMAAQLQTAYDWVRERYALAGQKYEEALQIKPDFYEGLLALGQQQFETAKLHWSFVLAKNVDLSTWDSSETIRLFDSAEEKMKSATEMWEKVEEQRISELKDLGKSKEDELLKKRKKQKAIDGQAELSADEAAEQAAAMRSQIHLFWGNMLFERSQLEFKLGFGNWKKNLDAAVERFTLAGASEGDISTVLKKHASCETEAECDEKKVTSSSTTASEVNSKDEDKHMLEN >Ma03_p08710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6420270:6425507:-1 gene:Ma03_g08710 transcript:Ma03_t08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERKGGEGGGGGTVERGEERVKLFVGQVPKHMTEDELLALFKEVALVAEVSVIKDKVTKASRGCCFLICPSRQEADKAVAASHNKRTLPGASSPLQVKYADGELERLENKLFIGMLPKNISDAEVAELFSKYGTIRDLQILRSSQQTSKAGCAFLKYEMKEQALAALEALNGKHRMEGSSVPLVVKWADTEKQRQARRAQKAQFQANGVPHASSMQQASIFGALPLGYMPPYNGYGYQPPGTYGLMQYPLASMQNQASYHNMILPANQGNTLHGISSDVSTGITPKSLNTTESGGYVGSPYPTVSGLQYPLPYPPSIGHLGNSHGLGQPVNMTNPTTSSSRSVTSGGWIEGPPGANLFIYHIPQEYGDQELANAFQGFGRVLSANVFVDKATGVL >Ma03_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6419932:6425507:-1 gene:Ma03_g08710 transcript:Ma03_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERKGGEGGGGGTVERGEERVKLFVGQVPKHMTEDELLALFKEVALVAEVSVIKDKVTKASRGCCFLICPSRQEADKAVAASHNKRTLPGASSPLQVKYADGELERLENKLFIGMLPKNISDAEVAELFSKYGTIRDLQILRSSQQTSKAGCAFLKYEMKEQALAALEALNGKHRMEGSSVPLVVKWADTEKQRQARRAQKAQFQANGVPHASSMQQASIFGALPLGYMPPYNGYGYQPPGTYGLMQYPLASMQNQASYHNMILPANQGNTLHGISSDVSTGITPKSLNTTESGGYVGSPYPTVSGLQYPLPYPPSIGHLGNSHGLGQPVNMTNPTTSSSRSVTSGGWIEGPPGANLFIYHIPQEYGDQELANAFQGFGRVLSANVFVDKATGVSKCFGFVSYDSPAAAQAAINVMNGFQLGGKKLKVQLKKENKVNLINGEIRL >Ma10_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31730605:31740481:1 gene:Ma10_g22280 transcript:Ma10_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSATRLLSSLRRSESNRFCPLTVLVLNGAALGSGWRGMSTAARANEEKEPWWKEAMDRVRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEEEFQGLVDLVEMKAYYFHGANGEKVTAADIPQNLEALVTEKRRELIEVVSEVDDQLAEAFLNDEPISPVDLRMAIRRATVARKFVPVYMGSAFKNKGVQPLLDGVLNYLPCPTEVENYALDQNKSEEKILLPGTPSGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFIINVNTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVRYTMTSMSVPEPVMSLAVSPVSKDSGGQFSKALNRFQKEDPTFRVGLDVESGQTIISGMGELHLDIYVERIRREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPLGSSTKFEFDNHIIGQAIPSNFIPAIEKGFTEASNSGSLIGHPVENVRIVLTDGASHAVDSSELAFKLAAIYAFRKCYSAANPVILEPVMKVELKVPTEFQGTVTGDINKRKGIIVGNDQDGDDTVVVAHVPLNNMFGYSTALRSMTQGKGEFTMEYMEHSPVSQDVQKQLINAHGANKASE >Ma10_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31036265:31039324:1 gene:Ma10_g21060 transcript:Ma10_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLEANIVPESRERKLGLMPSAVQRMLDTCREVFAFGGAGIVPSPEDVDRIMSIFDRIDASDVGLTPDMSYFRRGASRGTPVITYLPIRELEEFSMVIFCLPPSGVIPLHDHPGMTAFTKLLFGSMHIKSYDWVNVPQNLAELVNPLQRQFPPGLRLAKVKTDAIFTAPCRTSVLYPEDGGNLHCFRARTCCAVLDVLGPPYSSARGRDCTYYHDRPYAWFPGEANPVADEDVGTYAWLEERNQPDDFFVVRAKYNGPRI >Ma10_p08550.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22895528:22906465:-1 gene:Ma10_g08550 transcript:Ma10_t08550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSGLGGAVPVPASQVAKKEWRAIPEHSFRSNGSEEQEHVKLGQSAERTIHEVGTGSRVDYCAITIDADDAELNGDILQRKLQEIMRQREELQQMEIELQARAIARSEILEVQNSFEVQLKEHMNINAELKEQLHEREQRILELERKLEEKDRELRAMKIDTEAAWAKEDLLREQNKELATFRRELDNSEAERAQHLSQIRDLQEHIQEKESQILALQEEHRVARETVLFKDEQLREAQSWVARVQEMDALQSSTNQSLQAELRERTEQFNQYWIGFQRQFVEMERHHLQAIQQLQLELAEARKKNGNYEDGSRITHENSTDSSSYNGNQINVIDGGKSNGHLGFASNGSVDGTSSHVLSSSSSSKIEHAPSVPVVPSSILGMNAFIPPGPVAALHPYVMNPLGVPQAVASSNSPIPQSHIDHFQSVPVVLTQQHLQNQQALSDISQIPESKHPPSQTEQEFLRSDTHYSFDMPGEMQMVHLDNLNSHRDQQQMSGHSGFDSSDEVQVLQSNVKQHPVIQESQGTSDAPSHLDSARGFVPPEKKNATKAEDIVAAGKQSQEQVPRTGQQQPTSNIMLSASQNSVSSNESTALAAPISSTLMSSKPPVDPNLLDERSLLTCIVRAVPAGSDGRIRISTTLPNRLGKMLAPLHWHDYKKHYGKLDDFVTRHPELFVIEGDFIHLREGAQQIISATAAVAKVAAATASSAPYTSLLPSVAVTPVSQVNRQKKVQSIESKTANTMPYADGAAVINAGETSNKRTQILMRQDEQPNGVRLNIIQGLSDVTVSSKSNNIQEANGSQSEIKSGHSSLYFKVGNTANLDRTGLSPPQNKGLTNGRHSFGGKQLGRSSGAGLISRR >Ma10_p08550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22895528:22906465:-1 gene:Ma10_g08550 transcript:Ma10_t08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSGLGGAVPVPASQVAKKEWRAIPEHSFRSNGSEEQEHVKLGQSAERTIHEVQVGTGSRVDYCAITIDADDAELNGDILQRKLQEIMRQREELQQMEIELQARAIARSEILEVQNSFEVQLKEHMNINAELKEQLHEREQRILELERKLEEKDRELRAMKIDTEAAWAKEDLLREQNKELATFRRELDNSEAERAQHLSQIRDLQEHIQEKESQILALQEEHRVARETVLFKDEQLREAQSWVARVQEMDALQSSTNQSLQAELRERTEQFNQYWIGFQRQFVEMERHHLQAIQQLQLELAEARKKNGNYEDGSRITHENSTDSSSYNGNQINVIDGGKSNGHLGFASNGSVDGTSSHVLSSSSSSKIEHAPSVPVVPSSILGMNAFIPPGPVAALHPYVMNPLGVPQAVASSNSPIPQSHIDHFQSVPVVLTQQHLQNQQALSDISQIPESKHPPSQTEQEFLRSDTHYSFDMPGEMQMVHLDNLNSHRDQQQMSGHSGFDSSDEVQVLQSNVKQHPVIQESQGTSDAPSHLDSARGFVPPEKKNATKAEDIVAAGKQSQEQVPRTGQQQPTSNIMLSASQNSVSSNESTALAAPISSTLMSSKPPVDPNLLDERSLLTCIVRAVPAGSDGRIRISTTLPNRLGKMLAPLHWHDYKKHYGKLDDFVTRHPELFVIEGDFIHLREGAQQIISATAAVAKVAAATASSAPYTSLLPSVAVTPVSQVNRQKKVQSIESKTANTMPYADGAAVINAGETSNKRTQILMRQDEQPNGVRLNIIQGLSDVTVSSKSNNIQEANGSQSEIKSGHSSLYFKVGNTANLDRTGLSPPQNKGLTNGRHSFGGKQLGRSSGAGLISRR >Ma10_p08550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22895842:22906480:-1 gene:Ma10_g08550 transcript:Ma10_t08550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSGLGGAVPVPASQVAKKEWRAIPEHSFRSNGSEEQEHVKLGQSAERTIHEVQVGTGSRVDYCAITIDADDAELNGDILQRKLQEIMRQREELQQMEIELQARAIARSEILEVQNSFEVQLKEHMNINAELKEQLHEREQRILELERKLEEKDRELRAMKIDTEAAWAKEDLLREQNKELATFRRELDNSEAERAQHLSQIRDLQEHIQEKESQILALQEEHRVARETVLFKDEQLREAQSWVARVQEMDALQSSTNQSLQAELRERTEQFNQYWIGFQRQFVEMERHHLQAIQQLQLELAEARKKNGNYEDGSRITHENSTDSSSYNGNQINVIDGGKSNGHLGFASNGSVDGTSSHVLSSSSSSKIEHAPSVPVVPSSILGMNAFIPPGPVAALHPYVMNPLGVPQAVASSNSPIPQSHIDHFQSVPVVLTQQHLQNQQALSDISQIPESKHPPSQTEQEFLRSDTHYSFDMPGEMQMVHLDNLNSHRDQQQMSGHSGFDSSDEVQVLQSNVKQHPVIQESQGTSDAPSHLDSARGFVPPEKKNATKAEDIVAAGKQSQEQVPRTGQQQPTSNIMLSASQNSVSSNESTALAAPISSTLMSSKPPVDPNLLDERSLLTCIVRAVPAGSDGRIRISTTLPNRLGKMLAPLHWHDYKKHYGKLDDFVTRHPELFVIEGDFIHLREGAQQIISATAAVAKVAAATASSAPYTSLLPSVAVTPVSQVNRQKKVQSIESKTANTMPYADGAAVINAGETSNKRTQILMRQDEQPNGVRLNIIQGLSDVTVSSKSNNIQEANGSQSEIKSGHSSLYFKVGNTANLDRTGLSPPQNKGLTNGRHSFGGKQLGRSSGAGLISRR >Ma04_p14070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10628620:10632798:-1 gene:Ma04_g14070 transcript:Ma04_t14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVEGGMSGGFRQSCGESSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGHEEDDDLEVHNMQWSGSYMASDDTHTQKPPKLRTKHHKGSSIKSLSRSHSSDSQSKGSGLSSRNNMKVDLSKLETTALWRYWRHFNLVDASPNPSKEQLIEVVQRHFMAQQLDELQVIVGFVQAAKRLKTICN >Ma05_p28610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39554658:39557250:1 gene:Ma05_g28610 transcript:Ma05_t28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGDGEPSVRLHIVEQSMSASVKLADNYSSAFFEPQRETNDPPKSVDGDPDLTGLCRDSGDVGAPEKKLTLFALRLAILEKAASGLGTLGFIWATVVLLGGFAIALEKKDFWFITIILLIEGARIFSRSHELEWQHQATWSLTEAGRLSFRALKSSSRLLFRSLKLIFRPFSIHTTRIPSSVEMANDPTSKDPPPPPRRTWHTSDVPLLPFSGWVFLTRNVSRVLYWLQLLAASACVSLSLMRLVDQDFGQLRPDDPDKKNRKAALDIFYSLALAEALLFLAEKAYWEWKVSYRLLLEEVNRECHFGDAGMVSIKRFFYDAYSKCVEGSIFDGLKMDLVTFAEELLGSSSRDEQLIGARILLKFSTSHRFADATLRKIGTSTPVIERLIEMLNWKNPAEEEIRRSAAVIVSKLAGKKQNALRVAGISGAMESISSLLYTGQSNSNSGPDEASHLCGAAAAAADHANYGFSVFNLLGLLILKKLTKDHDNCGKIGNTRGLLAKIIGFTGDGETLGRRESATESQVKAVKRSLQVVKMLASTSGQTGKLLRQEISEIVFTVSNIRGILQYGENHTVIQKLGIEILTSLAMDEEARERIGVTGGMIKELLRIFFMQQQNAVKVEAGEALAMLALESKANCCRILKEMNVVERLVEALHDPVLRINASRILRNLCKHAGHEYLFCLRGVAAAIGTVVKAITTAEVKLLEVSLGLAAQVLRFMDAEEFAMQMEQLGIREEEFAETLVRVLQRYHYPSVKVPRMRRFVIEIAMWMMNCDTKCIRVFSDLGMEKELESVSETTSELECFNVFSGSVGLSRHGTPLCSLVDAALELMATSSAAM >Ma04_p21870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24306663:24309127:-1 gene:Ma04_g21870 transcript:Ma04_t21870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAFETSVASSSSVSANSWWEIPANSVATRSSVSQWPRPSLRSASSCDEDNISVCNTTSFTDVSPHSGLSMNSSSVGLSGEPVENHHLWNQVLLNVGSGGDMRGNHDDDDGENLLEVMTSKGFTPDEMFEPACDYLKKLDGSWEFANPLSLNSLKKQLGNYTGSTMVQVAGVTKDISEMVSNRSIAPPNPQLDRHVAPSACGFPFTPPMAQYSTSNVAHAKHEILHSPSYPDGDMARGRSTGHMSYYDRIIKAESHHQDMGAAATSFLRNGVGYHQVSAVGLDNKFCAAGTSELPWTSTRSLSDLISFSGCLNKLPVDVLRPSRPYFKGSDSSDTRKHGHDSSSTRGNGMVSGASEGKKKRSEESSETVSKKSKHENSAVSSLKLQAPKVRLADKITALQQLVSPFGKTDTASVLLETIKCIEVLQEQVQLLSDPFMKSSASKFLGRDGEEGESRGEA >Ma04_p21870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24306397:24309127:-1 gene:Ma04_g21870 transcript:Ma04_t21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAFETSVASSSSVSANSWWEIPANSVATRSSVSQWPRPSLRSASSCDEDNISVCNTTSFTDVSPHSGLSMNSSSVGLSGEPVENHHLWNQVLLNVGSGGDMRGNHDDDDGENLLEVMTSKGFTPDEMFEPACDYLKKLDGSWEFANPLSLNSLKKQLGNYTGSTMVQVAGVTKDISEMVSNRSIAPPNPQLDRHVAPSACGFPFTPPMAQYSTSNVAHAKHEILHSPSYPDGDMARGRSTGHMSYYDRIIKAESHHQDMGAAATSFLRNGVGYHQVSAVGLDNKFCAAGTSELPWTSTRSLSDLISFSGCLNKLPVDVLRPSRPYFKGSDSSDTRKHGHDSSSTRGNGMVSGASEGKKKRSEESSETVSKKSKHENSAVSSLKAPKVRLADKITALQQLVSPFGKTDTASVLLETIKCIEVLQEQVQLLSDPFMKSSASKDHSSWGEMERKEKAEAKLDLRSKGLCLVPISCIPLVHRENCGPDYWMPTFRACLYR >Ma04_p21870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24306397:24309127:-1 gene:Ma04_g21870 transcript:Ma04_t21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAFETSVASSSSVSANSWWEIPANSVATRSSVSQWPRPSLRSASSCDEDNISVCNTTSFTDVSPHSGLSMNSSSVGLSGEPVENHHLWNQVLLNVGSGGDMRGNHDDDDGENLLEVMTSKGFTPDEMFEPACDYLKKLDGSWEFANPLSLNSLKKQLGNYTGSTMVQVAGVTKDISEMVSNRSIAPPNPQLDRHVAPSACGFPFTPPMAQYSTSNVAHAKHEILHSPSYPDGDMARGRSTGHMSYYDRIIKAESHHQDMGAAATSFLRNGVGYHQVSAVGLDNKFCAAGTSELPWTSTRSLSDLISFSGCLNKLPVDVLRPSRPYFKGSDSSDTRKHGHDSSSTRGNGMVSGASEGKKKRSEESSETVSKKSKHENSAVSSLKLQAPKVRLADKITALQQLVSPFGKTDTASVLLETIKCIEVLQEQVQLLSDPFMKSSASKDHSSWGEMERKEKAEAKLDLRSKGLCLVPISCIPLVHRENCGPDYWMPTFRACLYR >Ma04_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26713300:26714861:1 gene:Ma04_g24780 transcript:Ma04_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRSLLTLFLLAVVGHAALPPRLVDWNSVVPNTPMPSAISDLINPDVTDEQKSGTNVSVGMGGVNVSSKGDIGGATVKVGEGSVHVGTWKPGGGTTFDVGEEGVNVNTSHEGGGRPVVVRVPFSSGLSFSYAAAERQIHDDPSVALFYLEKGFKSGSKSSVQFKKTTTGAAFHPRKEAESIPFSSAKLREILNYYGVNPGSAEALVMEKTVQECENLAARWGGAVLRHLARVHGGVQHVEPGDTRRHGGVDRRRQGGLDAAAVHDHRRKPRPGYRPVACHPVAYKYAVFYCHTTATSKAYRVGLVGADSAVGGGCGGVPHRHQGVEPQPRRLQGARGEARLGAGVPLPAGEPRGVEPQHLK >Ma10_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23216977:23218638:1 gene:Ma10_g09000 transcript:Ma10_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVREMPLLQDGPPPGGFPPVRCARRIPIKGSNAAAIFLVAFSCGMYQVDQGNRIRRAFNAARRAILPMLQAEKMKGKLIHQVYKLDTTREGVEEEARIMKDVPGWKVGESVYNSGRWMTPATGELRPDVRRFQDSATMRSM >Ma04_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4015582:4021203:-1 gene:Ma04_g05400 transcript:Ma04_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTKVSYNEYHPPGTRLNPLTGDDALMCHQCQRNDKGRVIWCMFCRRKRYCEKCIKRWYPHLSEADFAEKCPFCHNNCNCKACLRMKGIAKPAERMIKKAAKIRYCCYILQLLLPWLRDLRQEQLVEIEIEAKIRGVVSSDIKVRVSECEQDERIYCNNCRTGIVDFHRSCPTCLYDLCLSCCHELREGCTPGGHGIVILEYHDRGREYLHGAAPNNKNKVRGRFSTEEHVSADYLSCLGEWKANSDGSISCPPKEIGGCGSSILELKCMFDEKFLSGLEERGDEIVKSNQFTKFSNKSDKCPCNITSGQNDCAGRMQRKAACRENSDDNYLYCPSASDAQNGEIEHFQKHWEKGEPVIVRDVLELTSGLSWEPLVMWRALRERTVSKEAPEKFAVKAIDCLDWCEVEINIAQFFRGYVEGRTHYNKWPEMLKLKDWPPSSCFEERLPRHGAEFISALPFPEYTDPRSGPLNLAVKLPKDVLEPDLGPKTYIAYGLAEELGRGDSVTKLHCDVSDAVNVLTHTSEVTLRDYQFPIIEKLKKKHIDQDMREQLYTQQTSEKEKQNVEGEEQPASSGNSIEQKQTEGGALWDIFRREDSLMLQEYLKKHSREFRHVHCLPVEQVIHPIHDQSFYLTVAHKKKLKAEYGIEPWTFEQKLGEAVLIPAGCPHQVRNLKSCIKVAVDFVSPENVWECMRLTEEFRKLPEEHKAKEDKLEIKKIALHALNQVIKDLEDDKFISGCNAISKEEPLVESEEDET >Ma08_p16660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20036332:20051722:-1 gene:Ma08_g16660 transcript:Ma08_t16660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MGEDSVRHIGISSGLAVLLSDDKPKGNPQKSRLISYCDSIGDHSVERTLEHIFDLPHKSVRPSSSLIDVDFIRSILNKQLPRFQQENDSDCYKRDGLSILDGGSAPNAVVIDNASTRGDIKTIRKPLLVESQTVFSSARANACAWKGKWMYEVTLETSGIQQLGWATVSCPFTDRKGVGDSEDSYAFDGKRVSKWNKDPMPYGQSWVVGDVIGCCIDLDSDVVSFLRNGLSLGVAFDRIQKMSPGIGYYPAISLSDGERCDLNFGARPFKHPVDGFLPIQAPPISRYYANYLLSCLTRLLEVRCLDKPDSAYFEKLRRFRRFAPLKELFYPISHGILEEFFNVIQSSEGSFEYIAWGALPSCFLGIFGIHEPHDYASLDQVLDLFLEFPGSDPLLQHLIVALSCSCKVAPLVLTECPYSGSYPYLALVCHILRRKTMMVLWWKSPDFEFSLEGFLSMKRPNKQDLHCLIPSVWWPGSCEDVGCESSMMLTMTALSGAMNKIEEMHQRLCSLVIHFVPRAAPCQPPGSVFNTFLQNFILKVRGAEHKMTSSNVSNNSVVVSLYTVILRFLSEGFPVEGISGFVKGSGVNLGANVGFLHRGGKRRFPVELFFTGDANCAGARRIGGSLNHLVNSQLFNEEQTKEVQWIEGCMDDEETTVTHSTIQKPCCCSSSDVDDIQTVEDSIGYTSRGLKGFCIPISERSLNVVTLCNSRSLGDEIVDKPSSSNQSDVDTGCRSLPCPESIATTSELSLEVLREEELLDMMLFLYHLGVAPNFRQAFYYMSHQSQSISLLDDTDKQIRERSCIEQVKRLKEARNVYREELVDCVRQCAWYRISLFSRWKQRGMYATCMWVVELLLVLSNTDSIFCFVPEFYLESLVDSFHALRKSDPSFVSSAIFIKQGLASFVTFVVKHFNDPRIQSADIKDLLLHSISSLVQCKDYLVAFEDNKEAIQRLPRALLLAFDNRSWIPVANIILRLCKGSGFSYSNHAGSSSSALFQVLFREACVHDEALFSSFLNRLFNTLSWTMTEFSVSIREMQENYQIGDLQQRKCSVVFDLSCNLAKILEFCTREIPQAFLLGPDMNLRRLTELIIFILNHIIFTSDSEFFDMSVRRPCQYQEKTNRAIILAPLVGIITSLMDAHTNLEQMDLNDVVGVFVSMDCPATVFQCLLGYNWSNVLRGDASLAKLAKLEEFSSNLRRRTEAIKRTESCVRTGDDEAEDCCCICYACNSDTMFEPCHHKSCHGCITRHLLNGQRCFFCNAIVTSVVMVEPRN >Ma08_p16660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20036332:20051722:-1 gene:Ma08_g16660 transcript:Ma08_t16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MMVLWWKSPDFEFSLEGFLSMKRPNKQDLHCLIPSVWWPGSCEDVGCESSMMLTMTALSGAMNKIEEMHQRLCSLVIHFVPRAAPCQPPGSVFNTFLQNFILKVRGAEHKMTSSNVSNNSVVVSLYTVILRFLSEGFPVEGISGFVKGSGVNLGANVGFLHRGGKRRFPVELFFTGDANCAGARRIGGSLNHLVNSQLFNEEQTKEVQWIEGCMDDEETTVTHSTIQKPCCCSSSDVDDIQTVEDSIGYTSRGLKGFCIPISERSLNVVTLCNSRSLGDEIVDKPSSSNQSDVDTGCRSLPCPESIATTSELSLEVLREEELLDMMLFLYHLGVAPNFRQAFYYMSHQSQSISLLDDTDKQIRERSCIEQVKRLKEARNVYREELVDCVRQCAWYRISLFSRWKQRGMYATCMWVVELLLVLSNTDSIFCFVPEFYLESLVDSFHALRKSDPSFVSSAIFIKQGLASFVTFVVKHFNDPRIQSADIKDLLLHSISSLVQCKDYLVAFEDNKEAIQRLPRALLLAFDNRSWIPVANIILRLCKGSGFSYSNHAGSSSSALFQVLFREACVHDEALFSSFLNRLFNTLSWTMTEFSVSIREMQENYQIGDLQQRKCSVVFDLSCNLAKILEFCTREIPQAFLLGPDMNLRRLTELIIFILNHIIFTSDSEFFDMSVRRPCQYQEKTNRAIILAPLVGIITSLMDAHTNLEQMDLNDVVGVFVSMDCPATVFQCLLGYNWSNVLRGDASLAKLAKLEEFSSNLRRRTEAIKRTESCVRTGDDEAEDCCCICYACNSDTMFEPCHHKSCHGCITRHLLNGQRCFFCNAIVTSVVMVEPRN >Ma08_p16660.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20036332:20051722:-1 gene:Ma08_g16660 transcript:Ma08_t16660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MGEDSVRHIGISSGLAVLLSDDKPKGNPQKSRLISYCDSIGDHSVERTLEHIFDLPHKSVRPSSSLIDVDFIRSILNKQLPRFQQENDSDCYKRDGLSILDGGSAPNAVVIDNASTRGDIKTIRKPLLVESQTVFSSARANACAWKGKWMYEVTLETSGIQQLGWATVSCPFTDRKGVGDSEDSYAFDGKRVSKWNKDPMPYGQSWVVGDVIGCCIDLDSDVVSFLRNGLSLGVAFDRIQKMSPGIGYYPAISLSDGERCDLNFGARPFKHPVDGFLPIQAPPISRYYANYLLSCLTRLLEVRCLDKPDSAYFEKLRRFRRFAPLKELFYPISHGILEEFFNVIQSSEGSFEYIAWGALPSCFLGIFGIHEPHDYASLDQVLDLFLEFPGSDPLLQHLIVALSCSCKVAPLVLTECPYSGSYPYLALVCHILRRKTMMVLWWKSPDFEFSLEGFLSMKRPNKQDLHCLIPSVWWPGSCEDVGCESSMMLTMTALSGAMNKIEEMHQRLCSLVIHFVPRAAPCQPPGSVFNTFLQNFILKVRGAEHKMTSSNVSNNSVVVSLYTVILRFLSEGFPVEGISGFVKGSGVNLGANVGFLHRGGKRRFPVELFFTGDANCAGARRIGGSLNHLVNSQLFNEEQTKEVQWIEGCMDDEETTVTHSTIQKPCCCSSSDVDDIQTVEDSIGYTSRGLKGFCIPISERSLNVVTLCNSRSLGDEIVDKPSSSNQSDVDTGCRSLPCPESIATTSELSLEVLREEELLDMMLFLYHLGVAPNFRQAFYYMSHQSQSISLLDDTDKQIRERSCIEQVKRLKEARNVYREELVDCVRQCAWYRISLFSRWKQRGMYATCMWVVELLLVLSNTDSIFCFVPEFYLESLVDSFHALRKSDPSFVSSAIFIKQGLASFVTFVVKHFNDPRIQSADIKDLLLHSISSLVQCKDYLVAFEDNKEAIQRLPRALLLAFDNRSWIPVANIILRLCKGSGFSYSNHAGSSSSALFQVLFREACVHDEALFSSFLNRLFNTLSWTMTEFSVSIREMQENYQIGDLQQRKCSVVFDLSCNLAKILEFCTREIPQAFLLGPDMNLRRLTELIIFILNHIIFTSDSEFFDMSVRRPCQYQEKTNRAIILAPLVGIITSLMDAHTNLEQMDLNDVVGVFVSMDCPATVFQCLLGYNWSNVLRGDASLAKLAKLEEFSSNLRRRTEAIKRTESCVRTGDDEAEDCCCICYACNSDTMFEPCHHKSCHGCITRHLLNGQRCFFCNAIVTSVVMVEPRN >Ma09_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11800406:11806928:-1 gene:Ma09_g16420 transcript:Ma09_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRTLLLFSLALVLSSVIAEGPHTCPANLEAKCDGGSSDGWEGEFFPGIPKIKYEGPTSKNPLSYKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKSWPWEDGTNSVAMAKRRMRAHFEFMDKLGVDRWCFHDRDIAPDGKTLAETNANLDEVVALAKELQEGTKIKPLWGTAQLFLHPRYMHGAATSSEVGVYAYAAAQVKKAIEVTYYLGGENYVFWGGREGYQSLLNTDMQRELDHLARFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATAFAFLQKYGLIGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDIGEATLVMLSVVRNGGLAPGGFNFDAKLRRESTDVEDMFIAHISGMDTLARGLRNVQKLTEDGSLAELVRKRYQSFDTEIGSLIEAGKADFETLEKQAMEWDEPSVPSGKQELAEMIFQSAL >Ma05_p23270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35304861:35310175:1 gene:Ma05_g23270 transcript:Ma05_t23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPFHDQGKRGLEAVNTILEGNQALFWVNKRPKLIIPEVVEPRSVLDHRSPSSPTSTATLSSSLGSGSSCDTAVVAAVSGNSANKCSLSDSRRGAVGKEEWAAELQPVPAGLDMGFVAGGERCGLGVEDWEVMLSETSAASHGREQTFLRWIMGDVEDPSAAGLKQQQLQLLLSQGPVDFPGNNGNLGFGILDPGIGLEPIGRILDDPSVSASTGSSSPLASNVTTGGGFSLGSSDSWVSQPLASTGVKGAISGDQAGSQLFSPQPPPGSTFSPILSLPPGMCFPNAMEDKPQFFGPELLVNQPLANSSPPFLLNVGQLEHQQLSHLLATQPKRHRPVVDHVPPKLPFLESGGSWDLFLRQQQSYPQQQQSPSFLQQRSVSPKVAALGDDATAAMATPPPQQQQLQKSVVDLLFETAKMVEACNFVGAHGILARLNHQLPSPLGKPLIRSAFYFKEALQLILSNRSNPVLPSTLTAQHQQSQISTAPLSTKWDVVHKLSAYKVFSEVSPIIQFSNFTCTQALLEELGGSDRIHIIDFDIGFGGQWSSFMQELALRRCSAAGPVRLLKITAFVPYRSQNNLDLCLVRDNLSHFASNVNIPLEFSVHSLDSFDPLKLLGAGGEAIAVNLPVGSVNLSFTALLRVVRQLSPRIVVSVDQGCNRSDLPFLQHFIHAFQSSMALMESIDACGINQDTASKIERFLLQPRIESSVLGRHRAADKMLPWRMHYTTTGFVPMQFSDFTETQAECLLKRVQVRGFHVEKCQASLCLYWQHKELVSVSAWRC >Ma09_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3707736:3708326:-1 gene:Ma09_g05780 transcript:Ma09_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSALLSFFFFFWLGVLPKVNFGLGIRISYNFNRW >Ma03_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2368628:2374302:1 gene:Ma03_g03520 transcript:Ma03_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSETGIMSAREPFDVAMQKSSTQNQPAIQSMRLAYTQDGTAIYKPVTSNSPSSPPSTSPTTLSYQGGGRGGGSTGSGVEGPSPVMASHGLNINIGEPVKRKRGRPRKYGPDGIVALAPTSAAASVPPGASGCSPLFPGTTKPVSWADPTKKARGRPSGSGKKQQMAALGSAGIGFTPHVITVKTGEDVSSKIMSFCQHGPRAVCILSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGTDGRVLGGGVAGLLTAASPVQVIVGSFIADGRKETKKTNPLEPMSAPGKLVPAGTSGPSSPPSRGTLSESSGGPGSPLNQSTGTYNNSDQQGLSNMPWK >Ma03_p03520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2368597:2374302:1 gene:Ma03_g03520 transcript:Ma03_t03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSETGIMSAREPFDVAMQKSSTQNQPAIQSMRLAYTQDGTAIYKPVTSNSPSSPPSTSPTTLSYQGGGRGGGSTGSGVEGPSPVMASHGLNINIGEPVKRKRGRPRKYGPDGIVALAPTSAAASVPPGASGCSPLFPGTTKPVSWADPTKKARGRPSGSGKKQQMAALGSAGIGFTPHVITVKTGEDVSSKIMSFCQHGPRAVCILSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLSESGGQRSRTGGLSVSLAGTDGRVLGGGVAGLLTAASPVQVIVGSFIADGRKETKKTNPLEPMSAPGKLVPAGTSGPSSPPSRGTLSESSGGPGSPLNQSTGTYNNSDQQGLSNMPWK >Ma10_p22710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31971332:31974097:1 gene:Ma10_g22710 transcript:Ma10_t22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGLNKGHIVTKRELLPRPSSRKGKTSKRVHFVRNIIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSDKKK >Ma10_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31971331:31974083:1 gene:Ma10_g22710 transcript:Ma10_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNSGLFVGLNKGHIVTKRELLPRPSSRKGKTSKRVHFVRNIIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSDKKK >Ma00_p01100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3917459:3917876:-1 gene:Ma00_g01100 transcript:Ma00_t01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERWSKLISIVSTSNRFSLQKLSPQFSSYFKKSREPSPAYAWLKCKREEEKDCSALLNGAGIISRSGTIFEADSRYTRLSLIKTRDDIDLLVEALGSSYFWCDFLKHWVYFS >Ma08_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1210739:1212318:-1 gene:Ma08_g01300 transcript:Ma08_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKDGVKKGPWTPEEDIVLVSYIQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIIHLQALLGNRWAAIASYLPARTDNDIKNYWNTHLKKKLEGGADCQKSSGRQQSYRSISKGQWERCLQTDIHVAKRALCEALSIEECDGRSSITRPSVYASSTENISRLLEGWGIAPASSASSQGSASTSRCITSSLEPLESLFGLGDSARESSSEPPFSLLESWLLDVESVGNGQESFLDVAIDGTGEMF >Ma11_p06670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5358462:5366143:1 gene:Ma11_g06670 transcript:Ma11_t06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVVGSILPSLGRVRLADLVASEGLPPDSYKISVSTLTQSFAQYSAAIIELPPGDSALLRSGLESARLFFHQRAYPPADMVHTNETREWCKTSGYYADPQLWQETYDYRPGLTAAEPHGSMEFPPAGLPDIFAVLGKAARDVLDAISFSLNLRSFSFAEILDNMPLRSREISSSVLSVCCHSRPSFQGAQNHNLTAQEDGQLVMFPDHEHQVDKALITLVKSDMAGLHIKDFHGRWILVDGDLGPQDAIIYPGLALYQATAGYVSPAMLRVEMGNLQSNMYGRCSLAFKLMPRSMASLSCSEMRAAGHGVEAQFQIPIPVDDFMQRSHSTDQFAKLNYPSYAFQPGQDASMKPHIKSKKDKTRCKPLPPSKKLRLEAQRVLKERVQDIAEKKGIKLRFCNLKECEGHMLSMDSPCGNIRMEIGWPPGVPFVHPHDLPNKAKLGFLEAYEPGWAASQQDMESQSS >Ma11_p06670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5358462:5366143:1 gene:Ma11_g06670 transcript:Ma11_t06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVVGSILPSLGRVRLADLVASEGLPPDSYKISVSTLTQSFAQYSAAIIELPPGDSALLRSGLESARLFFHQRAYPPADMVHTNETREWCKTSGYYADPQLWQETYDYRPGLTAAEPHGSMEFPPAGLPDIFAVLGKAARDVLDAISFSLNLRSFSFAEILDNMPLRSREISSSVLSVCCHSRPSFQGAQNHNLTAQEDGQLVMFPDHEHQVDKALITLVKSDMAGLHIKDFHGRWILVDGDLGPQDAIIYPGLALYQATAGYVSPAMLRVEMGNLQSNMYGRCSLAFKLMPRSMASLSCSEMRAAGHGVEAQFQIPIPVDDFMQRSHSTDQFAKLNYPSYAFQPGQDASMKPHIKSKKDKTRCKPLPPSKKLRLEAQRVLKERVQDIAEKKGIKLRFCNLKECEGHMLSMDSPCGNIRMEIGWPPGVPFVHPHDLPNKAKLGFLEAYEPGWAASQQDMESQSS >Ma04_p35030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33992029:33992142:-1 gene:Ma04_g35030 transcript:Ma04_t35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMLKGAELLPLSKVRNPTGLGFMGSSLNGICLPN >Ma04_p13770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10440887:10442191:1 gene:Ma04_g13770 transcript:Ma04_t13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGIQCQAKASTSPRLKLFGFHVCDNDNTADGSGDVAAVESPSSGSGGVAGNGDGRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLHHHHHYLAASGHRSLPGNFYHQPVASAFAPPPHLLSLPRPPPTATTPVVGSQATAAGSLVYYARSAPPTHLSRTCVVPRALQAYYSYGVYGGGGSCYDDGKSAGGPGFSGAAPADDGAEDSYGLDLHLSLAPAGS >Ma05_p02560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1680854:1681645:1 gene:Ma05_g02560 transcript:Ma05_t02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYILLSGVPSFWAVEKFQGIFEEVLHGKLDFQSDPWPSISDSAKDLVRKILVREPKKRLTSPDVLCHPWVQIDGVAPDKPLDSAVLYRPKQFLQ >Ma08_p25740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38471462:38472508:1 gene:Ma08_g25740 transcript:Ma08_t25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSWENPVAIRTKVSLWSNHHDNITDSSEGYNTSFKEKLELNEALLSSSLELQRILAALQTSSSLDRISERVEISTPSLGLGLLQNPADSVLLRPVVGATLWEAASSPPIGASEELHVISNTFDAQDDLSAIFSSCKNGNGSLDSHQASVTSHCSSRKPSSKRKFDEFTRIGENYHIRSLLESNSSSKEGGFQISFTRGQKLGFVQEGDYEIDNEAIAQVKEMIYRAAALRPVSLVAEEAVEKPKRKNVRISSDPQTVAARQRRERISEKLRVLQRLVPGGSKMDTASMLDEAANYVKFLKSQVMALEAMDNSWYDSVSSTTAARTLSTASEPGFSHATFLLHPKP >Ma05_p05560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4222507:4227969:-1 gene:Ma05_g05560 transcript:Ma05_t05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTRSSGSAAVDDGDGEGGRGRGGGCVYCAAGRRRRSQPLARGRGASFSRAKRAERDDTSPFSSSSSSSSSSSVRQLPQQRGVRLRKRNRGLWVPPGGRGNDCVQDLALPLGMSFAAVVAQVLYGKNITGDRIQIDCLSMVCTSAVKESITNVYGNKFDCFIRNFDKSFQSTLKTLGLINEVSFNKQENTDCSSFLCNSSENTADLSSSEPIVTTEDLEENIISNSVNSQLILHGYTNKDLANVNRTTYGLGFSQSILSTVEKSVIEQTRSNDLKAVEIGLVMKKLQLKEAQLALSSDANFLEKFKISMGISKASFKEAKLRNQMQETMNAQLLKICMDLLITGLIIMCSLIVYGASVYSYQRITEVTSACTSAPKESRSWWIPNTVKSFSSGWLVLKCQFVALARVSFGILMILAMAFVVFQRSATSATTMPVTFIVLLLGALCGFSGKLCIDTLGGNGYHWLIYWEALCMLHFIVNVFPSASYHVLYGPVSISEGANSIKLPYRIRRFTFYALLLLVLPASGGLLPFASIYDWKVHFSEKLALWTSGIGL >Ma04_p22740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24999005:25003051:-1 gene:Ma04_g22740 transcript:Ma04_t22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVFSVARLLRRALQIRLRCSGSPLAAGSSRHFCTARAPRTTLQSMIWPLGLPSVSLEPELDRWVARGHRVRLVELQHVIRELRKRRRYKQALEVSEWMKGKQKIQFMSSDHAVHLDLIGQVHGLSSAESYFNNMRENDKNEKTYGALLNCYVRERLVEKSLSHIQKMKELGLVSSPLPYNDIMCLYTNTGQHEKVPSVLEDMKTNGVLPDNFSYRICINSYGTMSDINGMEMILEEMEHQPQIVVDWNTYSVVANIYIKASITDKASSALKKAEEKLDKRNALGYNHLISLYSQLGNKSEMQRLWELQKVNCKKFINKDYTTMLGGLVKLGEVEEAEVLMKEWESSGNALDWRVPNVLLVGYKKMGLLEKAEAMLDDFLKKGKTPAASSWGIVATGYAEKDMMDKAYELMKNALCVYISSAGWEPNPTIVKSILHYLGDNSQSKDVETFIQLLKFAMPIDRDMYHTLIKTYIREGKEVSELMQRMKSDGIKENEETKKILDSASKHSPSHS >Ma04_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24999172:25003051:-1 gene:Ma04_g22740 transcript:Ma04_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVFSVARLLRRALQIRLRCSGSPLAAGSSRHFCTARAPRTTLQSMIWPLGLPSVSLEPELDRWVARGHRVRLVELQHVIRELRKRRRYKQALEVSEWMKGKQKIQFMSSDHAVHLDLIGQVHGLSSAESYFNNMRENDKNEKTYGALLNCYVRERLVEKSLSHIQKMKELGLVSSPLPYNDIMCLYTNTGQHEKVPSVLEDMKTNGVLPDNFSYRICINSYGTMSDINGMEMILEEMEHQPQIVVDWNTYSVVANIYIKASITDKASSALKKAEEKLDKRNALGYNHLISLYSQLGNKSEMQRLWELQKVNCKKFINKDYTTMLGGLVKLGEVEEAEVLMKEWESSGNALDWRVPNVLLVGYKKMGLLEKAEAMLDDFLKKGKTPAASSWGIVATGYAEKDMMDKAYELMKNALCVYISSAGWEPNPTIVKSILHYLGDNSQSKDVETFIQLLKFAMPIDRDMYHTLIKTYIREGKEVSELMQRMKSDGIKENEETKKILDSASKHSPSHS >Ma10_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23818130:23821086:1 gene:Ma10_g09730 transcript:Ma10_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKANRAAVESCHRILSLLSQPQDQVLSQDLLVETKEAVSRFRRLVSLLGNSIGHGRVRMVHKVQYPTNHKIFSDNHSVLQIDLAPNPLQLLPRNIIENNIQVLDSSAKNPLQITQGSFLKSQFGPQAASSSQHQFLQCQQENDQRFQLHHQKCQPDMFTRSHSAINLKFESSNCIPSLSSTRSFLSSLSMDRSVASLDGKPFHPIGGLAASSVHPPPKRRCICRGVDGDGKCATSQRCHCSKRRKLRVKRSIKVPAISNKPADTPPDEYSWRKYGQKPIKGSPHPRGYYKCSSIRGCPARKQVERCLEDPSMLIVTYEGEHNHAGLLTQSAQT >Ma10_p05630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16955812:16963782:1 gene:Ma10_g05630 transcript:Ma10_t05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSAEQDTRFSNKQAKLLKTQKFAPVLDHPVDVTKVKMDVIRPWIATRATELLGFEDEVLINFVYGLLDGKVDGKQIQIQLTGFLEKNTGKFMKELWGLLLSAQNNASGVPQQFLDAKEEELKKRMAESERITQEIQKRKEKEGREFEQEKQKRMDAEAGITAVSGSSLKHSLPKDSRVQSEERDMETKQSPRLKRREGRSRSRSLSSHSQGHSLSPSRRYHSPHRRAMSSERQYKSPARRSISPRHTYSPRSNWSPSRRRSPRRRSPSPIRRKSPYGRRSPLLRCRSPPSRHRSPVQNRRRSPSPSRHRRSPSPSRQRRPPAARRVSPIRRRSLSPARDKAVSPWRSPRNRFQQQKRSLHSPGNYGGDSQVSLRARKRSSSPHRSISPSYPAHRGSSRETDTRSNGYDSKRRRDKYSPKRAREKRSPVHHTLHREVVDHSDFKGNILDPLPHILTVSSRSSSPDSRDQNDIHSKDYDVPSENSSEQSDSPSHLRKSPTRRNRLSEKRLPNNIQEINDNNEESYVVREDAYCRTDSSSKKGRDSAADMKKKRFHAKKFSQEEFSNNGLEVDHSPSNQDRHSNMGIKNKETVRKSYTEYGPERVQGEKHSMEVSYDRQHSTKITVQVASASVVSNELVLKSSRADLSVSDAEKRAKSRSDACRESHYETESVKKSERKMDTSSQAHSNHSDSEETNTHKYRSAKKRHKKSDRHRKEDETSEPDSHTEDKEAKRRRKDEKRLRKEERRRKREDKHRKRSERHAEKLKVKSIDTVTPPPDFEKYDYGKSDGEASIKTTSRLADAEGTESEEKRLEIELREKALESLRAKKGIGH >Ma07_p17700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21691301:21702056:-1 gene:Ma07_g17700 transcript:Ma07_t17700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLKKWLASPIKFTGGIAPFGSLTDRARQEIMNGKLHHTRYSVTALLQQFGSGSANVLGNASNSQVDIKRPQTVLHGRLIGCRGFSDSAGKKRTLSRDWLARLWVEEKRNMEVRRRGIRKRQPAPRVQDRGTLFDTFSSQLPFLKSVLRKPVLAEQDSGIKETMQQPPISQYDGDLLPPGSPEEVRLAPLLSRANLLITRDIEWANIMFAFEQENRYVIVDVCYPQLPVGFIRESSNVIFRQLLRGRRPFVAYIFDAMGNEIFRVRRPFWWINSTIYAEIDGKEVGVVHRRWHLWRRIYDLYLRNKQFAVVENPGFWNWTFTLKDEDDNVLAQIDRDWRGIGFELFTDAGQYVIRFGDSNSLPITEPASGIQELEVARPLTLSERAVAVALAVSLDNDYFSRSRGWGLPILVAGE >Ma07_p17700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21691301:21702056:-1 gene:Ma07_g17700 transcript:Ma07_t17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLKKWLASPIKFTGGIAPFGSLTDRARQEIMNGKLHHTRYSVTALLQQFGSGSANVLGNASNSQVDIKRPQTVLHGRLIGCRGFSDSAGKKRTLSRDWLARLWVEEKRNMEVRRRGIRKRQPAPRVQDRDSGIKETMQQPPISQYDGDLLPPGSPEEVRLAPLLSRANLLITRDIEWANIMFAFEQENRYVIVDVCYPQLPVGFIRESSNVIFRQLLRGRRPFVAYIFDAMGNEIFRVRRPFWWINSTIYAEIDGKEVGVVHRRWHLWRRIYDLYLRNKQFAVVENPGFWNWTFTLKDEDDNVLAQIDRDWRGIGFELFTDAGQYVIRFGDSNSLPITEPASGIQELEVARPLTLSERAVAVALAVSLDNDYFSRSRGWGLPILVAGE >Ma00_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:18877336:18896300:1 gene:Ma00_g02570 transcript:Ma00_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGRPPVLRESLLTRAVSSVFAFVHLAEFEILFFLFFFVAFIIFKDLTSRPEYNQIFVKKPGGEDFWPF >Ma07_p29070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34887338:34894216:1 gene:Ma07_g29070 transcript:Ma07_t29070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAPERLAGSHRVVRVQAPLVDSVSCYCRVDAGLKTVVGARKFVPGAKLCLQPEIIPNGPRLRNSRRERSRNQAPLLPGLPDDLAIACLIRVPRIEHQNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVYVIKRDRGKISWHAFDPNHRLWRPLPPVPADYSEAFGFGCAVLSGCYLYLFGGKDPSKGPMRRVVFYNARTNKWHRAPDMLRKRHLFGSCVINNCLYVAGGECEGNQRTLRSAELYDPNRNRWISIAEMSIGMVPFIGVVYEGKWFLKGIDSRHQVVSEVYAPTTNTWSTVGGGIVTGWRNPSISMNGRLYASDCRDGCKLRVYERATDSWNKFMDSKHHLGNSKAFEAASFVPLNGKLGIIRNNMSISLVDVTNPVNSIETNSSHVWEAISGKNQLKSFVANLWSSIAGRSGLKGHIVHCQVLQA >Ma07_p29070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34887700:34894216:1 gene:Ma07_g29070 transcript:Ma07_t29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSVSCYCRVDAGLKTVVGARKFVPGAKLCLQPEIIPNGPRLRNSRRERSRNQAPLLPGLPDDLAIACLIRVPRIEHQNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVYVIKRDRGKISWHAFDPNHRLWRPLPPVPADYSEAFGFGCAVLSGCYLYLFGGKDPSKGPMRRVVFYNARTNKWHRAPDMLRKRHLFGSCVINNCLYVAGGECEGNQRTLRSAELYDPNRNRWISIAEMSIGMVPFIGVVYEGKWFLKGIDSRHQVVSEVYAPTTNTWSTVGGGIVTGWRNPSISMNGRLYASDCRDGCKLRVYERATDSWNKFMDSKHHLGNSKAFEAASFVPLNGKLGIIRNNMSISLVDVTNPVNSIETNSSHVWEAISGKNQLKSFVANLWSSIAGRSGLKGHIVHCQVLQA >Ma05_p08860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6507004:6510085:-1 gene:Ma05_g08860 transcript:Ma05_t08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRESDVPQRQSPRVPIHMKTTACSEANSVHRPVVADRSPKVSPRGVLHERKKGTRVADLETKLSRAQEELKKLKHQLASAEAAKLEAEQALAKAKNRIPAAASTPKDEEVKPLLPQDSGGQGNRQRENKPEEESVTSPATMDVFEVMLPTEAIHGENEAAGNEKKVANAAEREEVETKTMIEKASDGENNEAVAAEEEDDKTKPVVVLPESAEVDDLKAKLLEKEKEVEILLEENVIFKTNAEEEAKQIAGTARAKEAELTARLGSVEEELKESRAKADELSEQLEAAEGAKAALETEMKRLRVQTDQWRKAAEAAAAVLATDDGTEGRRVAERCGSMDKHIGWESPLIAGGIDEDNAAGGGGRRKGAGIRVLGDIWRKKPLQK >Ma05_p08860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6507004:6508814:-1 gene:Ma05_g08860 transcript:Ma05_t08860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRESDVPQRQSPRVPIHMKTTACSEANSVHRPVVADRSPKVSPRGVLHERKKGTRVADLETKLSRAQEELKKLKHQLASAEAAKLEAEQALAKAKNRIPAAASTPKDEEVKPLLPQDSGGQGNRQRENKPEEESVTSPATMDVFEVMLPTEAIHGENEAAGNEKKVANAAEREEVETKTMIEKASDGENNEAVAAEEEDDKTKPVVVLPESAEVDDLKAKLLEKEKEVEILLEENVIFKTNAEEEAKQIAGTARAKEAELTARLGSVEEELKESRAKADELSEQLEAAEGAKAALETEMKRLRVQTDQWRKAAEAAAAVLATDDGTEGRRVAERCGSMDKHIGWESPLIAGGIDEDNAAGGGGRRKGAGIRVLGDIWRKKPLQK >Ma01_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6812587:6818140:-1 gene:Ma01_g09420 transcript:Ma01_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSSIGMCLTETLDEMVSGGTLSPELAIQVLMQFDKSMTDALETQVKSKVSIKGHLHTYRFCDNVWTFILQDAVFKSEDCHDQVKRVKIVACDSKLLTQ >Ma09_p01310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:961135:968385:-1 gene:Ma09_g01310 transcript:Ma09_t01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGHSRRSSSFSSSPARKKQPEIGNTGWKAPIPRSLSLSGDRTVKRLRLSKALTMPDSTTVLEACRRMAACRVDAALLTDSNALLCGILTDKDIATRVIASELVLQDTPVSKVMTRNPIFVLSDMLAEEALQKMVLGKFRHLPVVENGEVIALLDIAKCLYDAIARLERTAEKGKAIQVTVEGVEKNWGTSISGPGTFIEALRERVFQPSLSTIVQGNSKHLTVSPTESVLATTKKMVEFQMSSAIVTSGNKPLGILTSRDILMRVAAKNLSPDTTAVEKVMTPNPDCRGIDTSILDALHTMRAGKFLHLPLTDRNGNVVAVIDVLHIMHAALATFESTSAVGNEAAISVMQKFWGSAMATGPLEEDDNTRSHQLWLCSSEGSTKLTSEVTDMVGSSYPSSNLPDMFSFKLEDNKGRMHRFHCETQSLTYLITSILERVGDDINKNHLAQILYEDEDGDKVILASDSDLAEAVDHARLAGWKGLRLHLDYSGTGGGKKGGGSRRMDLLNMDAWAAAYNMVAAGAAVMAGLGMMAYLKRFGS >Ma09_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:961135:968385:-1 gene:Ma09_g01310 transcript:Ma09_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGHSRRSSSFSSSPARKKQPEIGNTGWKAPIPRSLSLSGDRTVKRLRLSKALTMPDSTTVLEACRRMAACRVDAALLTDSNALLCGILTDKDIATRVIASELVLQDTPVSKVMTRNPIFVLSDMLAEEALQKMVLGKFRHLPVVENGEVIALLDIAKCLYDAIARLERTAEKGKAIQVTVEGVEKNWGTSISGPGTFIEALRERVFQPSLSTIVQGNSKHLTVSPTESVLATTKKMVEFQMSSAIVTSGNKPLGILTSRDILMRVAAKNLSPDTTAVEKVMTPNPDCRGIDTSILDALHTMRAGKFLHLPLTDRNGNVVAVIDVLHIMHAALATFESTSAVGNEAAISVMQKFWGSAMATGPLEEDDNTRSEGSTKLTSEVTDMVGSSYPSSNLPDMFSFKLEDNKGRMHRFHCETQSLTYLITSILERVGDDINKNHLAQILYEDEDGDKVILASDSDLAEAVDHARLAGWKGLRLHLDYSGTGGGKKGGGSRRMDLLNMDAWAAAYNMVAAGAAVMAGLGMMAYLKRFGS >Ma06_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10051171:10054528:-1 gene:Ma06_g14700 transcript:Ma06_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTNSCCWTAWLAVVLVHWCLCVRERNGVVVEASHVVYESLQSVPASVVDSKLRTGYHFQPPKHWINDPNGPMYYNGLYHLFYQYNPSGSVWGNIVWAHSVSTDLINWVALEPAIYPSKPFDIKGCWSGSATILPGNRPVILYTGLDPRERQVQNIAYPKNLSDPYLREWVKPDFNPVIAPDDGVNGSAFRDPTTAWRGPSGHWKLVVGSKWNKRGKAILYRSRDFVHWVKAKHSLHSARDTGMWECPDFYPVALKGTRGLDTSVYGHGVKYVLKISLDITRYEYYTVGKYYHDKDKYVPDATSADDNTGLRYDYGNFYASKTFFDGKKQRRILWGWANESDTSDVDKAKGWAGIQMIPRTILLDSSGRQLVQWPIEEIESLRGKHVVVEHKRIPSGGFFEVTGIDSSQVCADVEVSFDVSGLEKAEDFDPSWATDAEALCGRKTADVKGGVGPFGVLVLASANMEEKTAVFFRVFKAYHKHVVLMCHDPTRSSLRAGLYKPTFAGFVDVDIAKTGKISLRTLIDSSVVESFGAKGKTCITSRVYPSLAIGEDAHLFVFNNGSTDVKVSELNAWEMKKPLMNGA >Ma04_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2357103:2357927:1 gene:Ma04_g02910 transcript:Ma04_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNSHPSAGDITSILESVGAEVDVKRINLFLSEVEGKDITELIAAGREKFASVPSGGAVAAVSVAGAGGAAAGSAPAEEPKKEEKVEEKEESDDDMGFSLFD >Ma02_p18360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24871521:24876672:1 gene:Ma02_g18360 transcript:Ma02_t18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGKTAPPKVVCGDAGYVLEDVPHLADYLPDLPTYPNPLQNNPAYSVVKQYFVNADDTVAQKIVVHKDSPRGTHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYDMYGVRKIIGIDGGYRGFYSCNTIDLTPKSVNDIHKRGGTILGTSRGGHDTSKIVDSIEDRGVNQVYIIGGDGTQKGASVIFEEIQRRGLKVAVAGIPKTIDNDIVVIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFHMEGKGGLLAFIERRLKENGHMVIVVAEGAGQELITESMRSMEHQDASGNKLLLDVGLWLSHKIKDHFARNQKMAINLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGTMAGYTGFTVGPVNGRHAYIPFHRITEKQNKVVITDRMWARLLSSTNQPSFISQKDIEDAKKEDEPPTQLSDKENSHGVGVDFDTSAN >Ma04_p04940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3750248:3754501:-1 gene:Ma04_g04940 transcript:Ma04_t04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGFYPGSQSPSDTRPSSSAHSTSKASGSTVTEKLSTLSGSNFSQSIVSGASTDEGFLDGQILEVPNLRVFTFSELKIATRNFKPDTVLGEGGFGRVYKGWVEEKTLNPAKSGIGMVVAVKKLNPESMQGLEQWQSEVNFLGRLSHPNLVKLLGYCLEDEELLLVYEYMARGSLENHLFRKGSAFEPLSWSLRLKIAIGAARGLAFLHTSEKQVIYRDFKASNILLDSNYNAKLSDFGLAKHGPSGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVMLLEMLSGQRALDTNRPSGQHNLIDFARPMLADRRKLARLIDNRLEGQYSSKGAYQVAQLTLKCLAGDPRSRPSMKEVVQTLEKIEALKSRSREAKNVSH >Ma08_p01150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1064632:1081582:-1 gene:Ma08_g01150 transcript:Ma08_t01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEALDALLAAASRACCSPLAIFIQIQGCVICLTLALGWACAAYIRNKVIRRMKLNIVNGNSFAFICEDVNDLEHSAQVNLPRVSVIMPLKGFGEHNLQNWRSQITSLYGGPLEFLFIVESTDDPAYRAVSLLISDFQDNIDAKVIVAGLSTTCSQKIHNQLVGVERMHKESKYVLFLDDDVRLHPGSIGALTSEMEKNPEIFIQTGYPLDLPSGNLGSYCIYEYHMPCSMGFATGGRTVFLWGGCMMMHAEDFRKDLYGVVSGLQDGGYSDDMTLAAIAGQHKRLIWSPPVAVFPHPLARDLSFSRYWNYLRKQTFVLESYISRVNWLMNRALFSSHCYLSWGFVWPYFMALIHLAAALRAPYSGSRSEASASSCGLLLVSCLLVSTIIELLSMWNLTKVEIQLCNMLSPEGPTVSLGSYDWRLVFLAMLVDNFLYPISAIRSHFSQSINWSGVRYHLKNGKISKIERSMGNGPTYSDLAWKHLYGKKQFTPKSSFLSSLSRSIVHWRQPKKYDI >Ma10_p22980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32105857:32108385:1 gene:Ma10_g22980 transcript:Ma10_t22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVTETQAPAAAELLLELAASDDLPAFRRAVEDHGHPLDAAAPWYCRRSGGRGMGLQQRTPLMVAALHGSTAVLEYVLAACPSEVLRCSSSDAATALHCAASGGATSSPTAVELLLAASADVDALDAAGNRPGDVIARQIPAPVARSLEVTLKAPSFPRVPSPGKGGEAKQGEKKEYPPDSTLPDIKNGIYCTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKFLYSCVPCPEFRKGSCRNGDACEYAHGVFESWLHPAQYRTRLCKDEVGCNRRVCFFAHKPEELRTVNPMAASVTGMVTPSLGLSSPRLSPLDMATALLLMQQQQQPGSPMSPSALSSSAAAAAWMSQHGSATPPPSLQLASSRLKASLSARDMEFNLDMLGLEGYQHKLIDNISASPRANWGKNSLVAAASGTRSSEFTDPLGPLNPSLLAQFQGLSMKQTVPQFQSPTGIHMPQLSGYGGNLPSSPPTAVTPILGGADHSMAKAIMNSRAATFAKRSQSFCDRGAAAGRQPTLFATTNAAVATESALSDWGSPDGKLDWGVRGEELNKLRKSASFDFRDKHNTSGALSTASAALDLDESDVSWVQSLVKDGPVPLGGRIDMGKQQNEHQTNGEGDFYCPEMFSAGTKQEKLMA >Ma06_p08340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5908460:5909318:-1 gene:Ma06_g08340 transcript:Ma06_t08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFHLLPLLLCCSSLLLQRTSAATPLLLQLRDESHPLLRRPRTKIFHPPTGLCVTRRTMADPLKLGPCSASDSWRYTPQEFLMVTGTYFCLRAVGLGAPVRLGIICDPSDSRWQLVSGSEKTHLATKLTDGTEVCLDVDSDGCLVSNSCDGFHGGDDEHLQVDSQWFKLVTAGFTKQSRPS >Ma09_p22030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34011208:34013297:-1 gene:Ma09_g22030 transcript:Ma09_t22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDWIYAGNIELLNLLFSKGVNVESQSDAGTPLIWAAGHGQEESVQFLLEHHANANAETDDNITPLLSAVAAGSLPCLELLLQAGANPNASAGGATPLHVAADNGSREIVSCLIRAGGDPNLCDDVNSCKSFCLCLKLTCCLSISYYWIVNVPDCPC >Ma11_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25721101:25721820:1 gene:Ma11_g21650 transcript:Ma11_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARRSMAEEVVVWAAEDEHWTEWRCRKHTSSSQPRDGICPGCLRDRLLRLCPDCANERPCSCFRPSSSSSSSCSSVSSISLADLAGSAGGGAGIGAVGLVSRLIDSEPAFRRSRSVGFQLLRTRSVARHVDGGEAPRRPNRGRRWALFWPFPRAAGGKESAAELSRSRSVGAAGLAGPGGGEDKGKAWGWHFPSPMNAFRHRRSTELVHERSPLYLFRG >Ma00_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:43643512:43644165:-1 gene:Ma00_g05000 transcript:Ma00_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRELADRSKYCRFHRQHGHDTEQCRELKRQIEELIHRGHLGQYLRPDKELSPCPEGPLERHIDVIAGGPAFGGGSMMGRKAYARAAPTEAHGNGPEPEITFPTGASEQPEHDDVLVILARIANAQVRRIMVDTGSSADILYFDAFQKLGLARENMKPMCSALTRFTGDSISPLGAITLPLTLGAPPRSKTVMTTFLVVDLPTAYNAILSRPTLNK >Ma04_p31420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31731684:31732734:1 gene:Ma04_g31420 transcript:Ma04_t31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPTDTQCHPPVQCSHVGEGGRPPPLQPPEQLAPPSDQGANVPQTEAQPSEQRARAERGVSRRHPTYRGIRFRSRKWVSEIREPRKASRIWLGTYPTAEMAAVAYDVAAHALRGADAVLNFPDEIATRPVPASASPTHIRATAAEAAALMVPKSGAADDKVAAPQQPGGLGESATGGAEGKYIDEEEIFDMPQLLVNMAEGMLMSPPRLSPHGSEDSPEASESESLWSYP >Ma08_p26790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39236169:39242610:-1 gene:Ma08_g26790 transcript:Ma08_t26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSVLLYLGVVLFIVLLLTFAPNRPNHPHRRLRLRSAMSGHDRRSIPFDPIIADIERRREDREWERANFFPQGHAPAMEAQPEWEDFMDAEDFINDEERFNVTDRIVKLFPKIDVGPADGFVSSDELTEWNLRQVEKEVMHRTQRDMELHDRNHDGFISFEEYEPPSWVHRYHDDNSTGDQVGWWKEDHFNASDMDGDGLLNLTEFNDFLHPANTNSPKLIQWLSKEEIRERDKDKDGKLNFQEFFNGLFDLIRRDDIYNLTHVSDASTEAPAKKLFSQLDHNNDGYLSEDELIPVIGDLHPSEHYYAKQQADYVTSEADTDKDGRLNLKEMIENPYVFYSAIIPEEDDYNYHDEFR >Ma08_p11030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8145782:8149059:1 gene:Ma08_g11030 transcript:Ma08_t11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFEAFSNDGDAEVVMGGSAASCPFDDGYLGYDPRLPSQRFDAFSSFSPADDADPAMDHSPGFPVDVASGSGGAVFGFHTDDVPVHHHHPAVGGGDSIPASPEAYGFSSGATSFTVPLANGTPAYGVEENGEIFTSDGPILPDPDQMQRDEGFVLREWRRQNAIFLEEKERKEKESRNELIAEAEEYKQAFYEKRKLNCETNKLQHREREKLYLANQEKFHASADKEYWKAISELIPHEIAKIEKRGKKEQEKKPSMVVIQGPKPGKPTDLSRMRQILIKLKHTPPPHMKPPTPPASAPAKDAEDSSAAAGKKLASSADDAKAKGATASPKIDISATGQVIKAPELVATA >Ma09_p17070.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12540258:12546331:1 gene:Ma09_g17070 transcript:Ma09_t17070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIFTLSVFLVSLGSSSGSTNPQDAAALRSLMSQWQNTPPNWGKSDDPCGTPWEGIGCSNSRVTVLRLSTMGIKGTLSGDIGQLGELKTLDLSYNSELGGPLPPNIANLRKITTLILAGCSFSGNIPDELGSLVNLSYLALNSNQFTGSIPASLGKLSNLYWFDVADNQLSGSLPISTKTSPGLDQLVHTKHFHFNKNQLSGSIPEYLFSSDMTLLHVLFDGNKFTGEIPASIGLVQTLEVLRLDRNDLGGTVPSNINNLTRINELNLANNKLTGPMPNLTGIDNLNYVDLSNNTFDPSESPAWFSELQSLTALVIESGGLYGEVPQKLFGFPQLQQVILDDNEFNGTLDMGDSISQQLQIVNFKNNHLTGVKLTANYNKTLILVGNPVCNSLSNTNFCSLQQKPAVPYSTSLANCVANLCPQDQSLSPQSCSCAYPFEGVMFFRAPRFRDVTNNTLFQSLESSLWTKLGLPPGSVFLQNPFINSDSYLQVQVKLFPPSGMYFNRSEILQIGFDLSNQTYKPPPIFGPYYFIASPYPFPGTEVKSALSIGLIIGIAVGCALLIIGLLLVVIYALRQRKHAQRAIQLSRPFASWARSGDESGDAPQLKGARWFSYDELKQCTNNFAVSNEIGSGGYGKVYRGMLPGGQAVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFDQGEQMLVYEFVPNGTLRESLSGKNGVLLDWRRRLRIALGSARGLAYLHELADPPIIHRDVKSSNILLDENLNAKVADFGLSKLASDNEKGHVSTQVKGTLGYLDPEYYMTQQLTDKSDVYSFGVVMLELITARQPIEKGKYIVREVKMTINANDEELYGLKELMDPVIQNSIHLIAFKKFTELALRCLEESAGDRPTMSDIVKEIEMMLHADDLSTNSHSASSSATDFGTAKGVPHHPYISLSRKDVNSNAFEYSGGYSFSAKPEPK >Ma09_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12540258:12546331:1 gene:Ma09_g17070 transcript:Ma09_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIFTLSVFLVSLGSSSGSTNPQDAAALRSLMSQWQNTPPNWGKSDDPCGTPWEGIGCSNSRVTVLRLSTMGIKGTLSGDIGQLGELKTLDLSYNSELGGPLPPNIANLRKITTLILAGCSFSGNIPDELGSLVNLSYLALNSNQFTGSIPASLGKLSNLYWFDVADNQLSGSLPISTKTSPGLDQLVHTKHFHFNKNQLSGSIPEYLFSSDMTLLHVLFDGNKFTGEIPASIGLVQTLEVLRLDRNDLGGTVPSNINNLTRINELLVGNPVCNSLSNTNFCSLQQKPAVPYSTSLANCVANLCPQDQSLSPQSCSCAYPFEGVMFFRAPRFRDVTNNTLFQSLESSLWTKLGLPPGSVFLQNPFINSDSYLQVQVKLFPPSGMYFNRSEILQIGFDLSNQTYKPPPIFGPYYFIASPYPFPGTEVKSALSIGLIIGIAVGCALLIIGLLLVVIYALRQRKHAQRAIQLSRPFASWARSGDESGDAPQLKGARWFSYDELKQCTNNFAVSNEIGSGGYGKVYRGMLPGGQAVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFDQGEQMLVYEFVPNGTLRESLSGKNGVLLDWRRRLRIALGSARGLAYLHELADPPIIHRDVKSSNILLDENLNAKVADFGLSKLASDNEKGHVSTQVKGTLGYLDPEYYMTQQLTDKSDVYSFGVVMLELITARQPIEKGKYIVREVKMTINANDEELYGLKELMDPVIQNSIHLIAFKKFTELALRCLEESAGDRPTMSDIVKEIEMMLHADDLSTNSHSASSSATDFGTAKGVPHHPYISLSRKDVNSNAFEYSGGYSFSAKPEPK >Ma09_p17070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12540258:12546331:1 gene:Ma09_g17070 transcript:Ma09_t17070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIFTLSVFLVSLGSSSGSTNPQDAAALRSLMSQWQNTPPNWGKSDDPCGTPWEGIGCSNSRVTVLRLSTMGIKGTLSGDIGQLGELKTLDLSYNSELGGPLPPNIANLRKITTLILAGCSFSGNIPDELGSLVNLSYLALNSNQFTGSIPASLGKLSNLYWFDVADNQLSGSLPISTKTSPGLDQLVHTKHFHFNKNQLSGSIPEYLFSSDMTLLHVLFDGNKFTGEIPASIGLVQTLEVLRLDRNDLGGTVPSNINNLTRINELNLANNKLTGPMPNLTGIDNLNYVVIESGGLYGEVPQKLFGFPQLQQVILDDNEFNGTLDMGDSISQQLQIVNFKNNHLTGVKLTANYNKTLILVGNPVCNSLSNTNFCSLQQKPAVPYSTSLANCVANLCPQDQSLSPQSCSCAYPFEGVMFFRAPRFRDVTNNTLFQSLESSLWTKLGLPPGSVFLQNPFINSDSYLQVQVKLFPPSGMYFNRSEILQIGFDLSNQTYKPPPIFGPYYFIASPYPFPGTEVKSALSIGLIIGIAVGCALLIIGLLLVVIYALRQRKHAQRAIQLSRPFASWARSGDESGDAPQLKGARWFSYDELKQCTNNFAVSNEIGSGGYGKVYRGMLPGGQAVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFDQGEQMLVYEFVPNGTLRESLSGKNGVLLDWRRRLRIALGSARGLAYLHELADPPIIHRDVKSSNILLDENLNAKVADFGLSKLASDNEKGHVSTQVKGTLGYLDPEYYMTQQLTDKSDVYSFGVVMLELITARQPIEKGKYIVREVKMTINANDEELYGLKELMDPVIQNSIHLIAFKKFTELALRCLEESAGDRPTMSDIVKEIEMMLHADDLSTNSHSASSSATDFGTAKGVPHHPYISLSRKDVNSNAFEYSGGYSFSAKPEPK >Ma09_p17070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12540258:12546331:1 gene:Ma09_g17070 transcript:Ma09_t17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIFTLSVFLVSLGSSSGSTNPQDAAALRSLMSQWQNTPPNWGKSDDPCGTPWEGIGCSNSRVTVLRLSTMGIKGTLSGDIGQLGELKTLDLSYNSELGGPLPPNIANLRKITTLILAGCSFSGNIPDELGSLVNLSYLALNSNQFTGSIPASLGKLSNLYWFDVADNQLSGSLPISTKTSPGLDQLVHTKHFHFNKNQLSGSIPEYLFSSDMTLLHVLFDGNKFTGEIPASIGLVQTLEVLRLDRNDLGGTVPSNINNLTRINELNLANNKLTGPMPNLTGIDNLNYVDLSNNTFDPSESPAWFSELQSLTALVIESGGLYGEVPQKLFGFPQLQQVILDDNEFNGTLDMGDSISQQLQIVNFKNNHLTGVKLTANYNKTLILVGNPVCNSLSNTNFCSLQQKPAVPYSTSLANCVANLCPQDQSLSPQSCSCAYPFEGVMFFRAPRFRDVTNNTLFQSLESSLWTKLGLPPGSVFLQNPFINSDSYLQVQVKLFPPSGMYFNRSEILQIGFDLSNQTYKPPPIFGPYYFIASPYPFPVIYALRQRKHAQRAIQLSRPFASWARSGDESGDAPQLKGARWFSYDELKQCTNNFAVSNEIGSGGYGKVYRGMLPGGQAVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFDQGEQMLVYEFVPNGTLRESLSGKNGVLLDWRRRLRIALGSARGLAYLHELADPPIIHRDVKSSNILLDENLNAKVADFGLSKLASDNEKGHVSTQVKGTLGYLDPEYYMTQQLTDKSDVYSFGVVMLELITARQPIEKGKYIVREVKMTINANDEELYGLKELMDPVIQNSIHLIAFKKFTELALRCLEESAGDRPTMSDIVKEIEMMLHADDLSTNSHSASSSATDFGTAKGVPHHPYISLSRKDVNSNAFEYSGGYSFSAKPEPK >Ma04_p29520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30441932:30444190:1 gene:Ma04_g29520 transcript:Ma04_t29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELQVESDGEGGPEALNSSQSSMSSSGGSCEDRRSSFSRVSFDNAAVLDLPSGHLPSKPHRSSDPAWAAIRSRGLPANLGPRDFKLVRRIGSGDIGTVYLCGLRDEASPCVYAMKVVDKLALAKKKKLERAVTEKRILRILDHPFLPTLYADFDASPHYSCVVMEYCSGGDLHALRHRQPRLRFSVSATRFYAAEVLIALEYLHMLGIVYRDLKPENILIRSDGHIMLSDFDLSLESTASPTLEPLIAAAANSGGDDLLPTEPSCLPFRAQRASRHAAKANRRFVAEPVSARSFSFVGTHEYVAPEVASGRPHGSAVDWWAYGILLYELLFGRTPFAGPTNEATLRNIVKQPLAFPPPSSDPSSSAARDLIAGLLAKDPAVRLGSRRGAADVKAHPFFKGLNLALMRTCRPPFVPGPSPSISCKDRREPDRLDYF >Ma01_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7456926:7457457:1 gene:Ma01_g10360 transcript:Ma01_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKLRSVALAMAVVLAVACTMEVAAQSLCKMSQEGLDACRPCISTVKPAEQPSDACCAALKQADLPCLCSYKNSVLLPYIGIDPKRAMQLPAKCSMAAPQQC >Ma10_p15470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27551039:27553125:1 gene:Ma10_g15470 transcript:Ma10_t15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNGMPGGLLGLDMSLQPHQQMHQQQGNHSQQQQQPLIHHHLQQTHHMVPFQAPMAKDSDHQGQHPVRHAQYVPPLGHVRSEEEPRYGEDVDEQGRRGGVPSQPSSSASPWHRMKWTDGMVRLLIQVVYHVGDDGGGAEGEQQQPHGTVAKGKKSAAASAPVSALLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQALLDTMDLSPKAKDEARKLLNSKHLFFREMCAYHNAGVSSTCAAAPPPQIPLPAPSDQQQLCFHHPPGLVDTVLFTTKAAVGWRGGGAPAAAEDEGNAEEVDDDDDADSEDDDDYDDDGDEDNDDMEGQNHKRHGDHHHQHKHEEAEEDEDSKGFVSGLAGGRKDKRTASQMSASPPLSLTLSSPSSSMRQLQSELMAMSGGGELQQQQQQRQWLKRKAAELEEQRVAYQCRAFQLERQHFKWLRFSTSKEREMERMKLDNERLCLENDRMLLLLRQKELELTHGGSGGGGSSAAIPSAEQQLMLQNTNTNQRRPAEQPHIPNADHASTTA >Ma02_p08560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18855970:18857257:1 gene:Ma02_g08560 transcript:Ma02_t08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAELTVSTVTLGKAAFAENNEGQFLLSPIADLMMQQQQEKEEKKEKSSCSEGKCKLVDYNSLPNFLKHNEFILDYYRSEWPMKQAILSIFSIHNETINVWTHLIGFFIFLALTVGAAMMVPVGSSMASSGASDLANVSSTITDISGSLRLHHHELVALSLSPANLTGATADAVEQATRWPFYAYLCGAMLCLLMSSTCHLLYCHSEHTCYLMLRLDYAGIIALIVTSFYPLVYYSFLCQPYFQYLYLSFITVFGIAALLVSLVPVFETPEFRTVRAGLFACMALSGLVPIVHKVMLFGDQPEAVLTAGYEAAMGAFYGIGVIVYATRIPERWMPGKFDLAGHSHQLFHVLVIAGAYTHYLAGLVYLRWRDSEACH >Ma05_p04090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3076032:3081232:-1 gene:Ma05_g04090 transcript:Ma05_t04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMACHHALSSIQTQGLQNKVGSFSGKIVSKGSMIDMGLLSRAICYSVRRNLFTVHASSSSPVANPIQIPSNGESKDFRKKSDETALILIRHGESLWNEKNLFTGCVDVPLTQKGVEEAIEAGKRISNIPIDMIYTSSLIRAQMTAMLAMTQHRRKKVPIIKHNESEQAWKWSQIHSEETKKQSIPVITSWQLNERMYGELQGLNKQETADRFGKEKVQEWRRSYDIPPPDGESLEMCADRAVAYFKEQIVPQLLTGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKDGKFFRRGSPTGPSEAGVYAYTRSLALYRQKLDEMFH >Ma04_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2643331:2646051:-1 gene:Ma04_g03430 transcript:Ma04_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPKQYRCTHSASCICIKGHLSEDAIYLVFRHLNWNPRSIALMSCVCKWFDEIAKRILWKEFCRSRAPKMMLDLQSCGSHSVDGSWKALGKLLIYCSGFGHRGVFNITHIPGHFVYRTRFSRTSGKSFLIPQCRTDDLYVSDPCEHLDQGDDGDVGFFRGVFKSFPISSVRKMLIDRQVILHPTEVCPYCKAKLWNMLQAKMIPRSACIRLGAYDDSIECYVCLNGHMVGACTLLPLSDSEEASDLEQC >Ma02_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19078076:19086462:-1 gene:Ma02_g08830 transcript:Ma02_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G09150) UniProtKB/Swiss-Prot;Acc:Q9SR43] MDLSTFSYQKFVNFALQETKLRTGLAPLPSHEKFRLLRSKDNNTVLRTLSFCAPKIRLVRSLTVEGNRAMQVLDFAVFPEPEFDLPIFCANFFTNASLSIVVLDLNPLYDVTIHTDYKEKYYRKLLPLGKKYFELLPWGGNITGESLRFFSPIVIWTRFTSSQFKHDVLYSAFMDYFKAWLALMDEAVKETSACHIHRNREAQHKYLRWRAEKDPGHPILKKLVGENLAKELVWRFLFDGVDSLGAKTFLDYFPEYKCDSGTINQKRSVMGKSYETRPWDLNGEFVGNHSG >Ma10_p17910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29106627:29107851:-1 gene:Ma10_g17910 transcript:Ma10_t17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPHMEELRIHLPPCIGTHSIKIWASQMSIKILFVDAAEGGLNSCTSASLLLQGLNMSSSKPEASADDFEASSQVASNTCIQETSPSLSKQDTPISLNLSLSVNADSTALAVVSLSSTSESSSESQACRPPNSRRVFSCNYCQRQFFSSQALGGHQNAHKRERTLAKRAVGLDAFPHNYSSIASLPLHGSALHSLGIKAHSSMHQRMMEWRESPGTKLLGRGLLEPRPVFLDDYDVEFFWPGSFRPEADSSLELLGSANSVKLDHQPAEEPDLTLRL >Ma08_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2942587:2944248:-1 gene:Ma08_g04210 transcript:Ma08_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLCLFELMMTLFPEYKKRFAENCSLGKATQHAASESSHGTSCSWVGSEHYSCCDESKCSALLRGIGFSTR >Ma04_p00740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:717027:721198:-1 gene:Ma04_g00740 transcript:Ma04_t00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAAAQPLPDDDDDVFD >Ma04_p00740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:717027:721182:-1 gene:Ma04_g00740 transcript:Ma04_t00740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAAAQPLPDDDDDVFD >Ma03_p13900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10946684:10950148:-1 gene:Ma03_g13900 transcript:Ma03_t13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIALDSLPLGFRFQPTDEELVNYYLKPKITGRIRSQMEVIPEIDVCKCEPWDLPDKSVIKSDDPEWFFFAPKDRKYPNGHRSNRATEAGYWKATGKDRIIRSKSSAGKSTIIGMKKTLVFHRGRAPKGARTHWIMHEYRTTEPEFESGDQGGYVIYRLFRKLEQRISTSKADEMETNGFSPSPIKSSPGDTQHEADVMDDTETLLNDSPKSDLKEEPKSSSDSVHKQPAGIKRRMVDKVDCSTDVSVKPGRSYCKLDSPDEEIEVGEKADPLQDALAKFFDPGNEQIDRDVFPNISSIELPDTNYTCMGNENQEFQIGSLPVDNGDEDLLNEFLISALNPDNLSSGASIFSKDSVAGNLLKPSLWDSASCKDSGTSSDIETEPGLPQGGAGLEASEWFCGSSLLPTDSSLLPTQLSTLYENATLLPYDITGTDMYSIDSGADSLQDLFNGMWELNNQKTVSDSKDDSEGTGIKIVSHQMQPVQPNSDNFFVQGTAARRIRLQSSILKVPFAEPLSRSNDEDEASTTNAKGDILGTMEEATSKKNTMRNRDGPVETGLKIRDQQSPNELFAQQGETSINDTWCYELPSGFDHEPSISSDYGIRSGRTEVEEHVGDNISEGEESAVPALPDKLDQSSILDTDEKPSGSSEHQMPDSVLRLRTKSTSDSENMHKHSPPSCPKASRGHSVIVYMMYLVLSVVLLLLCFGIRRCMSPLSVQL >Ma07_p28180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34319557:34319966:-1 gene:Ma07_g28180 transcript:Ma07_t28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLNASLFLMLALLLSALPYKGASDNGTNPTDDNIPVSPVEHQPVAGEVISAFYGGVLAPFHVCSECQCCSGSDRRNCQQTKCCHRIICSQPGKPFNYCSLKPISCGCANCN >Ma07_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6657860:6658204:1 gene:Ma07_g08890 transcript:Ma07_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFRFARERNIPLVMLTSGGFMKSSAKATADSIINLFNRNLIDLDRLLPLC >Ma08_p28150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40189511:40192068:1 gene:Ma08_g28150 transcript:Ma08_t28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIDVATHGGRRRKSLYELSLTVALPLWCLLFVLHSRLGHGDRRRDLSSDGDGSGNLCRSTQLESYFPFMQNNTFSCCGLKEPENPMRELIGVEEAIANGKASEEEKGRNCSRAKEKADPPTQSGYIGLDEFRNQTLRRKESDADKLHGKITHRLEPGGLEYNYASSAKGAKVLAHNKEAKGAGNILCRDKDKYLRNPCSAVDKFVVIELSEETLVDAIEIANLEHYSSNFKGFELLGSLSYPTETWTPLGVFSAENVKHAQRFMLPEPKWTRYMRLSLVSHYGSEFYCTLSYVEVYGVDAIERMLEDLIVVPVEPATNQMSVEADPKSGDKVEAAQVHGGDDVHSKADPNKSSTLHPVKEGRQQLTGRVPSDGILKILMQKMRSMELSQSILEEYIKELNRRYGEALPDLQKQCSESALVLQKMSSEIKEMMEWKECKEKELTDLENWKASVSAELDALVKDNALFRANIEKIQSSQDLLESKELTVISISLFLACFAFFKLVFARLLTLFKTCESDMRSRNKREWLLIFVSSSLTILITLLYG >Ma08_p28150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40189511:40192068:1 gene:Ma08_g28150 transcript:Ma08_t28150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIDVATHGGRRRKSLYELSLTVALPLWCLLFVLHSRLGHGDRRRGCLPPPSLHSVVGFYVKAAAFIDADARSFVDLSSDGDGSGNLCRSTQLESYFPFMQNNTFSCCGLKEPENPMRELIGVEEAIANGKASEEEKGRNCSRAKEKADPPTQSGYIGLDEFRNQTLRRKESDADKLHGKITHRLEPGGLEYNYASSAKGAKVLAHNKEAKGAGNILCRDKDKYLRNPCSAVDKFVVIELSEETLVDAIEIANLEHYSSNFKGFELLGSLSYPTETWTPLGVFSAENVKHAQRFMLPEPKWTRYMRLSLVSHYGSEFYCTLSYVEVYGVDAIERMLEDLIVVPVEPATNQMSVEADPKSGDKVEAAQVHGGDDVHSKADPNKSSTLHPVKEGRQQLTGRVPSDGILKILMQKMRSMELSQSILEEYIKELNRRYGEALPDLQKQCSESALVLQKMSSEIKEMMEWKECKEKELTDLENWKASVSAELDALVKDNALFRANIEKIQSSQDLLESKELTVISISLFLACFAFFKLVFARLLTLFKTCESDMRSRNKREWLLIFVSSSLTILITLLYG >Ma04_p11160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7854201:7856906:-1 gene:Ma04_g11160 transcript:Ma04_t11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTLSCPGEIHNSMNDRLEALIVRSLSFGDNVRSTLRSISFNGRDSKPANLKSLGPGKRLIEGSVSFNGRVMDPFHLDSDIAVKTAAPKQDVPAKIDHPKISRFSPLGKRSLESDLIGPGSPKHEAAVKLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQESVVSRWSRARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLQFYYDCWLQRESMQPFFYWLDVGEGKEVNLEEQCTRSTLQQQCIKYLGPKERDAYEVIIEDGRFMYRKSRQVIDSSEGPKDAKWIFVLSTLKKLYVAQKKKGTFQHSSFLAGGATSAAGQLVLEKGVLKAVWPHSGHYRPTEENFQDFMSYLAEKNVDLTDVKRSPTEEHQEEHCRRPGKHHSKLNVAEGNVSTKRETDADLEHEGGEHCSRPGKHHSKLNMAEGNVSTKPETDADLEHEEEEHCSRPGKHHSKLNMAEGNVSTKPETDADLPRASFTGNTSINNITTCEGVNLSRTVADPKPEGTLEVQQDHNLHKQQMTAEEHDMTRPENVCVEEQEEGGEAVISSELIRRRINSKKGINSYQLGKKLSFKWTTGAGPRIGCVRDYPSELQFRALEQVCLSPTGAGMSRLASPRTARCQSPLTQEKSLLKEVLQQSHMQMTQEKSLLKEVQQQSHMQDNGR >Ma09_p12190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8220998:8226035:-1 gene:Ma09_g12190 transcript:Ma09_t12190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAMDSRFDISGDESKVFELIEDLKSNSTDVQRAATAELRLLARHMENRMVIANCGAISLLVGLLHSTDPKTQENAVTALLNLSLSDNKIAIANANAIDPLIHVLKTGNPEAQENSAATLLSLSVTEENKLQIGRSGAIGPLVELLANGTLRGKKDAVSALYNLSTLRDNKLRIVQAGAMSHLVELMDPATGMVDKAVAVLANLASIPEGRNALGQDGGIFVLVEVVELGSARAKENAAAALLQLCKNSGRFCSLVLQEGAVPPLVALSQFGTPRAKEKARALLNCFQNQGYLHSGRR >Ma09_p12190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8220998:8226035:-1 gene:Ma09_g12190 transcript:Ma09_t12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAMDSRFDISGDESKVFELIEDLKSNSTDVQRAATAELRLLARHMENRMVIANCGAISLLVGLLHSTDPKTQENAVTALLNLSLSDNKIAIANANAIDPLIHVLKTGNPEAQENSAATLLSLSVTEENKLQIGRSGAIGPLVELLANGTLRGKKDAVSALYNLSTLRDNKLRIVQAGAMSHLVELMDPATGMVDKAVAVLANLASIPEGRNALGQDGGIFVLVEVVELGSARAKENAAAALLQLCKNSGRFCSLVLQEGAVPPLVALSQFGTPRAKEKARALLNCFQNQGYLHSGRR >Ma09_p12190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8220998:8226035:-1 gene:Ma09_g12190 transcript:Ma09_t12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAMDSRFDISGDESKVFELIEDLKSNSTDVQRAATAELRLLARHMENRMVIANCGAISLLVGLLHSTDPKTQENAVTALLNLSLSDNKIAIANANAIDPLIHVLKTGNPEAQENSAATLLSLSVTEENKLQIGRSGAIGPLVELLANGTLRGKKDAVSALYNLSTLRDNKLRIVQAGAMSHLVELMDPATGMVDKAVAVLANLASIPEGRNALGQDGGIFVLVEVVELGSARAKENAAAALLQLCKNSGRFCSLVLQEGAVPPLVALSQFGTPRAKEKARALLNCFQNQGYLHSGRR >Ma06_p06770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4859469:4862386:1 gene:Ma06_g06770 transcript:Ma06_t06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITDGVNNLSVTADSYKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKIMTSTVDVNDESRGRPLQKAKIEILLGKTEKFDELMAAAAEKEAGDGEEQS >Ma11_p08730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6941295:6943798:-1 gene:Ma11_g08730 transcript:Ma11_t08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMSSLSMNPSSAVDSRSPHEVGDSHADATAEGNASGETANEGGGQSSFCARSHWRPEEDCKLRELVALHGPQNWKLIAAKLHGRSGKSCRLRWFNQLDPRINRRAFSEEEEEKLMAAHRLYGNKWAMIARFFPGRTDNAVKNHWHVLMARKYREQSSACRKRRLKRMEEATLNTSGEEGSYDFLLGSNVPSNTGFCSNNSVFWGGPADDSSRFHSSTVLMTATCYHSDISRVSSTESPPFTESTSVSPPFIDFLGVGATCHDP >Ma05_p26780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38315403:38316588:1 gene:Ma05_g26780 transcript:Ma05_t26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKHQFICMTMRMNIDCDGCYRKIRRALLQMQELESHLIERKQCRVSVCGSFVPQDMAIKIRRKTNRRVEILEIKEVEASHDGGTDPKPPS >Ma05_p26780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38315395:38316588:1 gene:Ma05_g26780 transcript:Ma05_t26780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKHQFICMTMRMNIDCDGCYRKIRRALLQMQGYMLELFMTTELESHLIERKQCRVSVCGSFVPQDMAIKIRRKTNRRVEILEIKEVEASHDGGTDPKPPS >Ma07_p12700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9562260:9562436:-1 gene:Ma07_g12700 transcript:Ma07_t12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRLLCPWHADLIVWAGWDQSRNQAFDQQSSVLASRCPSYVRIIFDALLKRYIRKGA >Ma10_p25580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33645288:33656573:1 gene:Ma10_g25580 transcript:Ma10_t25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLPQRRRPPLRFPLRRFTSQPTLEPSHHQSLPLKDPSPNHAIIRNTPVPAPSPIPSSPLRSLPFYAIAGTLVSAAALAAYVASSFLDRPSPRSDRIYADIEETLERSKVSVLRVVDRMRQTGAAATVLWKSLASVLSSANHEVRTGFELRVAALLADISAANGARRAAIVSAGGGAVVDWLLESVASSGQGGDRSGTQSESARALAHLISDPNVCQAVLGRPHAIPNLLRFIFSFQPKKSKSLKHSSLDGPYGCKGRSMLVAAIMDIITSNCDSIDQSFPPMLTGNADTRDIAAALEVIEQGGLHLDGVDENGHDDDDGDKGIQGIGIKVLGGTTILGFSGTESLLDLTQPLNYQLFTAADAYRNLELQENGGSSSKLEKMTSFPVPGLWDDLQREHVAVPFAAWALANWALASQLNRSHIQELDRDGQAIMTALKAPERSVKWHGSLVARALLDDQNLPLTVSVSDWSFSLLSTAFHASNNEDVMLARVALSAFLVSIERSKDAKVMIMEKGLHLIRGMAKQSEKHSHLHEPLARVLEILYQGDMHLSLEESQRWSGILLRWIFDQSSSICTRRSATKILSFIIEDHGPQSLPISQGWLTVLLNEVIGNGKASNIKGSNPPKTDKAKTQIDQSNAHSAAQVTNQLATAVVKLAGTQLDTESDSSDKNPFADFLTLEPFATLIKNLKKNNLPKSDVADSAFATLKGIKALTELCSEDTICQTKIADLGALFLLRRYLLCDDYEQLAANEAYAASRVSEAQDSNVSVSGDSSGIDRNDPSSVRVPPTAHIRKHAARLLVILSLLPNVKTAIFADKIWCKWLEDCASGNIPCCNDKKIQSYARATLLNVRCSESSEVQVLNHSPPDADGSNQKTRFPLYEDMIFLLNPELPHWKPTNKNDEGSSDDSAVAEVVNFDSSPSSVCQCVEDETCNTSSSMGNSERSPKSACPSFDVVFIHGLRGGPFKSWRIADNKSSTTSKAGLVENIDQEAGKLGTCWPSEWLSTDLPDARLFSVKYKTNLTQWSGASLPLQEVSSMLLRKLTRAGIGDRPVVFVTHSMGGLVVKQMLYQAKNSNLTEFVKNTIGVVFYSCPHFGSKLADMPWRMGLVLRPAPTIGELRSGSPRLVELNDFVRHLNKKGLLEVLSFSETQVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLDATDHVNSCKPVNQTDPSYAKTLEFLKRLKSRLSSEESES >Ma09_p12950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8722268:8723366:-1 gene:Ma09_g12950 transcript:Ma09_t12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKECNTGCSIATSSALCAAKGSQGNHRKLLVGAKEFRYEFLCLKIHLKILRQ >Ma05_p30010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40547125:40547907:-1 gene:Ma05_g30010 transcript:Ma05_t30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCWGLDPWTCRPHACISEAAFARENDALTKALQISLFSDAAASSSSSSAVPSPDSISLATSSFLLPFAPDLPRSRDPPPGRITKKRKSRATKRSLTTYINADPANFRQLVQQVTGTRPVDGAGLPVELPPAAAVQGSCLLPTLDTSAFFLDRVGTVGPGGDGPVLAEFDSLLAAPSFPTLDSWGVI >Ma04_p04190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3208241:3222758:1 gene:Ma04_g04190 transcript:Ma04_t04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATGIAINHRRRPSAPALATLPPSSSSALSPIRPSLRLSHSLCFLSGNRYSKRNPKTRGLGVVVCAATEPLKVMISGAPASGKGTQCQMLVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDTGMLVPDEIVTDMVVSRLSQKDVREKGWLLDGYPRSSSQAGSLERMKIRPDIFIVLEVPDEILIDRCVGRRMDPVSGKIYHLKNFPPETEEISARLITRTDDTQEKVDGNRPREIVFQEIDALLQKIRTDAVKFKTLEVTNGNSNSNLKLAASSRDNWRGIPTRLNNIPHSKEIRKYFYDDVLQATQNAIEDKIRRLKVEINIPELNPETDVYRIGTLLELVRTLALSFADDGKRVKVCVQGSMGEGALSGMPLQLAGSRKIMEFMDWGEYGALGTFINIGSIGAREVEEQDDLFILMAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPASSGIMQTMGREKRLEYAASFENCYFFRLLYYAGTQYPIMGALRMSYPHGYELYKRIDESYGKEKYKLIATFPKRPTSEEINAAFEGKPRDRERATGIWGFLSGIL >Ma04_p04190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3208242:3222757:1 gene:Ma04_g04190 transcript:Ma04_t04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATGIAINHRRRPSAPALATLPPSSSSALSPIRPSLRLSHSLCFLSGNRYSKRNPKTRGLGVVVCAATEPLKVMISGAPASGKGTQCQMLVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDTGMLVPDEIVTDMVVSRLSQKDVREKGWLLDGYPRSSSQAGSLERMKIRPDIFIVLEVPDEILIDRCVGRRMDPVSGKIYHLKNFPPETEEISARLITRTDDTQEKVRSRLETYKRNSDAILPTYFDLLQKVDGNRPREIVFQEIDALLQKIRTDAVKFKTLEVTNGNSNSNLKLAASSRDNWRGIPTRLNNIPHSKEIRKYFYDDVLQATQNAIEDKIRRLKVEINIPELNPETDVYRIGTLLELVRTLALSFADDGKRVKVCVQGSMGEGALSGMPLQLAGSRKIMEFMDWGEYGALGTFINIGSIGAREVEEQDDLFILMAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPASSGIMQTMGREKRLEYAASFENCYFFRLLYYAGTQYPIMGALRMSYPHGYELYKRIDESYGKEKYKLIATFPKRPTSEEINAAFEGKPRDRERATGIWGFLSGIL >Ma09_p14740.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10073768:10077657:1 gene:Ma09_g14740 transcript:Ma09_t14740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTHARLASAVERPPRSRVSPSPLSDNDRRRSRSRTPPPRSRSPDYRRGGHRWSPYRDGPIRNGKPPGRGDDDDDDDDEELKGLSYFEYRRLKRQQLRKKLKNCIWRVTPSPPRSEREQLEPLDESDGAIVASPEEEEEAEGKGRRDRSRNSEADGSDRSPSDSAPDDSESESRSPPSSRRRRRERRSKRGRSSRKKRYSDSDDGKGSSYEDDSSEEAPERSSDQSEDEEDRKRRRRKSGTRQTKSKKSERSNRRKRTKSRATSSDDSEDESDSSPIPRGKALRKSKKRSKKSDTPSDSEADDGPADAKKPEVDPEALKFKEMIESQKKLALDNEPFVGPAPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVHRHIGQDVGPTHDPFAPKTSDAADD >Ma09_p14740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10073768:10078782:1 gene:Ma09_g14740 transcript:Ma09_t14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTHARLASAVERPPRSRVSPSPLSDNDRRRSRSRTPPPRSRSPDYRRGGHRWSPYRDGPIRNGKPPGRGDDDDDDDDEELKGLSYFEYRRLKRQQLRKKLKNCIWRVTPSPPRSEREQLEPLDESDGAIVASPEEEEEAEGKGRRDRSRNSEADGSDRSPSDSAPDDSESESRSPPSSRRRRRERRSKRGRSSRKKRYSDSDDGKGSSYEDDSSEEAPERSSDQSEDEEDRKRRRRKSGTRQTKSKKSERSNRRKRTKSRATSSDDSEDESDSSPIPRGKALRKSKKRSKKSDTPSDSEADDGPADAKKPEVDPEALKFKEMIESQKKLALDNEPFVGPAPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVHRHIGQDVGPTHDPFAPKTSDAADD >Ma07_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5875102:5881938:-1 gene:Ma07_g07910 transcript:Ma07_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSLPDKRANPSASIPFVPRQIMLAANSAVAAVEDSKARRKEKKERKKRSSEPLHLDGTEVGEASRNGLGRDVLLRSIAAFLDGSGFSRTLSVFQSETRLEMGCWKSSSVNLEDLFCKFLDSSNGHGEASIDWPRDQDLQNVSIPGVVEGKNMNCTTENIHKKKRKRTDQINDGTESEKLKMDEDLHAETKEKKKTKKLVHDPSTEGCGNKHLEVSREKAESIDPANQSPDPHKNDKEKKKKLKSMPETHDEIAEPSDFANVKHKSEKKKEKKLKKVEVEASENISDKRFKDKKLEDTEGHSGPASENLLVHNDSQHKVKKKKQKLTSDVVPTVNSNQAAEEKSGDQIKECNINQDVETSDFGAESLPKSEGMNVKVAKKPSKKGKVLPMSGITDNETADKDSKVKSEGAENIEETENLVKFDKSALDGDTPVTTKKRKMEESKDSNRNAGKQLELSTQANENNSASKLKDEVVGNGSIGSNRKKENHSAEPKSVNAFQRVKIEEIKFADEKLKDNSYWAKHGADTGYGAKAQEVLGQVRGRDFRHEKTKKKRGSYRGGQIDLQSHSIKFSYSEDDDE >Ma01_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4683418:4685593:-1 gene:Ma01_g06520 transcript:Ma01_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDTFLFTSESVNEGHPDKLCDQISDAILDACLAQDADSKVACETCTKTNMVMVFGEITTKGNIDYEKIVRETCRSIGFVSDDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEFMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYQNDHGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGNIPDKEILKIVKENFDFRPGMITINLDLKRGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPAA >Ma06_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1383054:1384453:-1 gene:Ma06_g01690 transcript:Ma06_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEGSSILDDSPIEQVRLTVPPTDDNTLQVLTFRTWLIGIPICILGSVSAALSFYRQQMFYFSHVCINMMVFIAGKLMANMLPNKVIRMPYTNWSFSLNPGPFNLKEHVATTMLAGRVSASAGFEILTISKIYHKDIPLLPAILLVLSIQFLGYGFAGIFMKLLVHSPYMWWPSTLVDVAFYRFDMERFSLGPKRFDQQRSTCALPPSARSRMRARYSSPAIVVLRLGRRSSSTGLPSTGRHSGRIIP >Ma05_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:552266:555064:1 gene:Ma05_g00890 transcript:Ma05_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGCTVQQALTPEAATVVMQAVSLAQQRGHAQVTPLHVANIMLSSSTGLLRVACLQSHSHPLRCKALELCFNVALNRLPTSSSSGSILSSYPHHHHHHPALSNALVAAFKRAQAHQRRGSVEIQQQPLLVVKIELQQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAISVENSVPSSGPYKPPGNDYALSPLAKKSRSSDEVRNEEVDSVMESLVRGRKKSVVIVGECKATCESVVRRIMERVENGDVPEALRALHFRNLSLTSYGQLSREEVEERIRELRRLVKGRSTVLYLGDLKCTTDFKISRGEKEGNPYCPLEHAIMELGRLASNGVEGDCGGGRTWLLGIATYQTYMTCISGLPSLGTLWDVQPLFVPGGGSLELSLSFDSGVERQSRSKELGVGPCWQLEEDRSRKKNAGNCADCCSTFQGPKDLVSGGHGTMASSLPSWLRRFEERGSTSSDSPDSLQLYGLSKKWNTMCNSVQKLHCHHPRKAQFLSWSSPSSSLHHTPQDWPVTGNNKDSSSEFHFWLPGNAHKDDEEQLLPSTSGKHYPTIDSMEVEYTSRFKELNAENLKTLCSALEEQVPWQKDIISDIASTILQCRSGMLRRKERLQSQGTKQETWLFFQGSDFEGKVKIAMEVGRLVFGSFTDFISIGPCTLSSRSDSEASRSYFERFGEAVRDNPHRVILMEDVEQADEHSREGIKNAIRRGRILSCSSEEEVSVSDAIIIFTSVSHGSRSSACSPTAKPRLDELVEEGEDSKHTESFVLDLNLSATDDTEDWSSDGVRLSEIVDRTFLLKLPQSI >Ma03_p17430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22664113:22671649:-1 gene:Ma03_g17430 transcript:Ma03_t17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTNMRGRDPVESGPYPDRPGEPDCAYYIRTGLCRFGMTCKFNHPQNRMLAIAAARIRGGYPERVGQPECQYYLKTGTCKFGSTCKFHHPKEKAGIAKQAQLNILGYPLRPNEQECAYYIRTGECKFGSTCKYHHPQPSNTILALRGSPIYPSAHSPTTPGQQSYPAGMTNWTLPRSSYISSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPGSQQTAGVAQFYSPSQQGETNIGAQGKFSSYRPGSVSMGLYAVPRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPTPNCVLNPLGLPLRPGEPLCVFYSRYGICKFGPNCKFDHPMGPVTYGLSESSMADVPTVQHLLGSSLDPPTLTLPSEETANGSSGVSIRAPTSDSRRATSVDENDEADS >Ma03_p17430.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22664113:22671314:-1 gene:Ma03_g17430 transcript:Ma03_t17430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTNMRGRDPVESGPYPDRPGEPDCAYYIRTGLCRFGMTCKFNHPQNRMLAIAAARIRGGYPERVGQPECQYYLKTGTCKFGSTCKFHHPKEKAGIAKQAQLNILGYPLRPNEQECAYYIRTGECKFGSTCKYHHPQPSNTILALRGSPIYPSAHSPTTPGQQSYPAGMTNWTLPRSSYISSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPGSQQTAGVAQFYSPSQQGETNIGAQGKFSSYRPGSVSMGLYAVPRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPTPNCVLNPLGLPLRPGEPLCVFYSRYGICKFGPNCKFDHPMGPVTYGLSESSMADVPTVQHLLGSSLDPPTLTLPSEETANGSSGVSIRAPTSDSRRATSVDENDEADS >Ma03_p17430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22664113:22671649:-1 gene:Ma03_g17430 transcript:Ma03_t17430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTNMRGRDPVESGPYPDRPGEPDCAYYIRTGLCRFGMTCKFNHPQNRMLAIAAARIRGGYPERVGQPECQYYLKTGTCKFGSTCKFHHPKEKAGIAKQAQLNILGYPLRPNEQECAYYIRTGECKFGSTCKYHHPQPSNTILALRGSPIYPSAHSPTTPGQQSYPAGMTNWTLPRSSYISSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPGSQQTAGVAQFYSPSQQGETNIGAQGKFSSYRPGSVSMGLYAVPRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPTPNCVLNPLGLPLRPGEPLCVFYSRYGICKFGPNCKFDHPMGPVTYGLSESSMADVPTVQHLLGSSLDPPTLTLPSEETANGSSGVSIRAPTSDSRRATSVDENDEADS >Ma03_p17430.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22664113:22671649:-1 gene:Ma03_g17430 transcript:Ma03_t17430.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTNMRGRDPVESGPYPDRPGEPDCAYYIRTGLCRFGMTCKFNHPQNRMLAIAAARIRGGYPERVGQPECQYYLKTGTCKFGSTCKFHHPKEKAGIAKQAQLNILGYPLRPSYPAGMTNWTLPRSSYISSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPGSQQTAGVAQFYSPSQQGETNIGAQGKFSSYRPGSVSMGLYAVPRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPTPNCVLNPLGLPLRPGEPLCVFYSRYGICKFGPNCKFDHPMGPVTYGLSESSMADVPTVQHLLGSSLDPPTLTLPSEETANGSSGVSIRAPTSDSRRATSVDENDEADS >Ma03_p17430.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22664113:22671649:-1 gene:Ma03_g17430 transcript:Ma03_t17430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRNETIFKAIAAARIRGGYPERVGQPECQYYLKTGTCKFGSTCKFHHPKEKAGIAKQAQLNILGYPLRPNEQECAYYIRTGECKFGSTCKYHHPQPSNTILALRGSPIYPSAHSPTTPGQQSYPAGMTNWTLPRSSYISSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPGSQQTAGVAQFYSPSQQGETNIGAQGKFSSYRPGSVSMGLYAVPRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPTPNCVLNPLGLPLRPGEPLCVFYSRYGICKFGPNCKFDHPMGPVTYGLSESSMADVPTVQHLLGSSLDPPTLTLPSEETANGSSGVSIRAPTSDSRRATSVDENDEADS >Ma03_p17430.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22664113:22671649:-1 gene:Ma03_g17430 transcript:Ma03_t17430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIAAARIRGGYPERVGQPECQYYLKTGTCKFGSTCKFHHPKEKAGIAKQAQLNILGYPLRPNEQECAYYIRTGECKFGSTCKYHHPQPSNTILALRGSPIYPSAHSPTTPGQQSYPAGMTNWTLPRSSYISSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPGSQQTAGVAQFYSPSQQGETNIGAQGKFSSYRPGSVSMGLYAVPRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPTPNCVLNPLGLPLRPGEPLCVFYSRYGICKFGPNCKFDHPMGPVTYGLSESSMADVPTVQHLLGSSLDPPTLTLPSEETANGSSGVSIRAPTSDSRRATSVDENDEADS >Ma03_p17430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22664113:22671649:-1 gene:Ma03_g17430 transcript:Ma03_t17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTNMRGRDPVESGPYPDRPGEPDCAYYIRTGLCRFGMTCKFNHPQNRMLAIAAARIRGGYPERVGQPECQYYLKTGTCKFGSTCKFHHPKEKAGIAKQAQLNILGYPLRPNEQECAYYIRTGECKFGSTCKYHHPQPSNTILALRGSPIYPSAHSPTTPGQQSYPAGMTNWTLPRSSYISSPRWQASSSYAQLILPQGVVQVPGWTSYSGQLGSSPGSQQTAGVAQFYSPSQQGETNIGAQGKFSSYRPGSVSMGLYAVPRENIFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPTPNCVLNPLGLPLRPGEPLCVFYSRYGICKFGPNCKFDHPMGPVTYGLSESSMADVPTVQHLLGSSLDPPTLTLPSEETANGSSGVSIRAPTSDSRRATSVDENDEADS >Ma04_p17680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18579802:18580538:1 gene:Ma04_g17680 transcript:Ma04_t17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNAGWVTAVARASAEACQYVACNPERLSSEEVLDLLCCLPLRHLRRLAICVFSFFCIPPSLPDEPRNRGRRYTYRYSLSSSSASSSSSDEYDSAGGGYDSHSD >Ma10_p09170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23358991:23367065:1 gene:Ma10_g09170 transcript:Ma10_t09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSNQKTVSVYFYIPNIIGYIRVIMNCVAFALCYYQKTLFTILYFVSFCCDALDGWLARKFNQVSTFGAVLDMVTDRVSTACLLAVLSQLYRPGLIFLALLGLDIASHWLQMYSSFLSGKTSHKDVKDSTNWLFKLYYGNRMFMAFCCVGSEVLYIILYVLADEKYESLFEVYAYALGQKSLLSLLFLLASIGCAIKQVVNVIQMKSAADACVYHDMKRST >Ma10_p09170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23360129:23367065:1 gene:Ma10_g09170 transcript:Ma10_t09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSNQKTVSVYFYIPNIIGYIRVIMNCVAFALCYYQKTLFTILYFVSFCCDALDGWLARKFNQVSTFGAVLDMVTDRVSTACLLAVLSQLYRPGLIFLALLGLDIASHWLQMYSSFLSGKTSHKDVKDSTNWLFKLYYGNRMFMAFCCVGSEVLYIILYVLADEKYESLFEVYAYALGQKSLLSLLFLLASIGCAIKQVVNVIQMKSAADACVYHDMKRST >Ma03_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3932675:3936046:1 gene:Ma03_g05720 transcript:Ma03_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPTVRPERRVTADYLWRGLKRGGANGVKTKKSNGHRRAVKDTEDDFEADFQEFEYESMVSEVEDEVEHVDTPFALASTDRQVTLKPVEFNGPAARSAKKKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARKIRGKKAKVNFPDEAPTSVHRHSLKLNAPKAPKPNPPEKLNFHQDVDYVNGPDQDFYSVFDFIEDKEPIKQSINFSSFNEIKQSPAIEEPAINFYSDRGSNSFDCSKYGHEGEPKTPEITSILAPTITEAEGAAYLAEGAPLKKLKNNAGEVVPAVDSSAKLSEELSAFESYMKFLQVPYLEGGSDEPIESLLINDVTQDVNGVDLWSFDDLPPVAVSGY >Ma04_p12430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9379473:9382167:1 gene:Ma04_g12430 transcript:Ma04_t12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEEMKTWKTVSQLTHPNLIPERLKESLAAVCDTLLPQVDVSSVGDEGLVEFYGTSASMVGTSEHIGGLLSGGLKHPAAGLLYLALWLLSTWYGTFAMCGTRSLSKQFPYFHRFAKVERTKREQILLSWSLGSFPLYAMLFRCLKYLTMRLYFTQLNDDRTNPAWKAMGYCGPDPDLVDQSQHLGTPQHQEKDPVDQEDDVFGPLHSALLHMEKPRDVITRMLHKAGFPAPATFPSSPLTLSCDAAVIGSGSGGSVVAGVLAKAGYKVIVIEKGDYYPSSRLSLLEGPALGAMYEGGGLVATDDVGALLLAGSTVGGGSTVNWSASIRTPGHVRREWCDEHGLELFSSKAYDEALDVVCERMGVQSDVKEEGFNNMILRRGCEKLGYPVANVPCNAPPDHYCGWCHLGCKNGKKQSTKETWLADLADSGNGFILPCCKAVRILYRKGRGIGRSVANGVVVAFGNEEFTVESKVTVVASGALNTPSLLKRSGLKNVHIGKHLHIHPAVMAWGFFPSTRWPEECRKSYEGGILTSMCPLSSYCTLLQTPALHPGMFATVTPWLSAADFRERMTRFSRTAHIFALVRDKGSGTADYPESVVYRMDEEDEEKLKRSLEAAVRILAAAGAEEVGTHHGKGERVKVGGRGGGEGVEELVTEVVRRGLRDGKTPISTAHQMGSCRMAADAKEGAVSPRGETWEVEGLYVADTSVFPTALGVNPMVTVQAIAYCTAQSILQVLQGSNNSSY >Ma03_p27910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31138429:31142519:1 gene:Ma03_g27910 transcript:Ma03_t27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEELTEEQVASMREAFTLFDADGDGRIAASELGILMRSLGGNPTQAQLKEIAVSESLTAPFDFPRFLDLMRKYLRPEPFDRQLRDAFRVLDKDATGTVAVADLRHVLTSIGEKLEPDEFDEWIREVEVAPDGTIHYEDFILRMVAK >Ma06_p18820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12869546:12871018:-1 gene:Ma06_g18820 transcript:Ma06_t18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGHEGEIPIPIKNTHDISSSGPPPPLATATMVDYHHHHNPYPYSTKKRLGVKYRECLKNHAASIGGNATDGCGEFMPGGEEGTLEALKCSACGCHRNFHRKETEGESSWGCYHPLTARNAMNQRGLLLSGADAFGLVPRPLPHMIMPPGAMQTSESDEMEGVGDEMARPILVKKRLRTKFTPEQKEKMLCFAEKVGWRLQKQEESAVQQLCQEIGVRRRVLKVWMHNNKHHLAKKSPSQLQ >Ma06_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10169012:10173929:-1 gene:Ma06_g14930 transcript:Ma06_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERESVVVSGCEGGGGGAGEAKEYSVSSAAPLSPTRSLPPALSSGVVGAMGITVPPTEGAGLAMGMLGSGGSSGGEGDLFARKKRGRPRKYGPDGMALALSPTSGSASPFSPASSDGKRGRGRPPGSGKRQLLEALGDWFAHSAGGSFTPHVVTIATGEDVAAKIHSFSQKGPRAICILSANGVISNVTLRQPGSSGGTLTYEGRFEILSLSGSFTITETGGIRSRTGGISVSLAGPDGRVIGGGVTGLLLAASPIQVVVGSFMPNTFKEQKPKPSQQADFSAFPETSGLLTAARPISQSNPEDDCETSTSSLPGQSHAENSLCNPTPTSTLHAAGWQGLQSSEHKPSPDINICLHGEQHQGTYL >Ma05_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11198624:11199795:1 gene:Ma05_g15100 transcript:Ma05_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRWRIPLCYSCCDLDDLELGRVADGPETETEQEKEKEKGTAVWRFGWAEIESLTGKFAAAAVIGEGGSSTVYLALLGDASLGALKLHRPSERLYRAFRQELDVLLRLRHPHIVRLLGYCDDREEEGVLVFEYVPNGSLHEKLHGGGGGEVLPWARRMTIAYQVAQALDYLHEGCDPQVVHGDVKAANVLLDGRLQAKLCDFGSARVGFSAAVAPPRSADAMVVGSPGYVDPYYLRSGMVSKKNDVYSFGVLLLELVTGAEAFDSERERQRLTAEVGPVLRDPEGRAAEAVDARLGSKYDAGEAKAAAAVAAMCVGDNPGLRPSMAEVVRMLRDAATSSIAAVASKSDGKSDMS >Ma06_p14600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9997782:10005042:-1 gene:Ma06_g14600 transcript:Ma06_t14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEDEELQMALRMSLQSSPPEAKRSKQREIAEESVEARNRRLQRELMASAAEKRIRAAAGNKTVSPAKPVCAREESRNESVPMAITTATVAKEEMSLPQLEKSRVLGCGEELSSVDAEQLFLMVFGSCVSKDVLAQWSNQGIRFSSDPETCMGLVQHEGGPCGVLATIQAYVLKYLLFFLDDFGKVKVNNPLYNLDQKKIYGSSNAASNNFASFTEDIKARALVHSMVEILFLCGSGKRAVIATIKVQCHDVEGSEADQHEMLAKALEGLSIASASDLQKILRVNTYSIQATAMHHLMEVLPVFRNRMGAMLFLISALLSRGLESVQDDRDDPSLPLVTAPFGHASQEIVNLLICGQAVPNVFDGRMDLGGGMFLKGIPMTPEIGFLSLLESLNLCKVGQHLKCPRWPIWVVGSESHYTVLFALDPAVQEENELEERESRIRRAFDAQDQSGGGGFISVEGFHQVLREMNINFPPERLDNLCSSGFIVWSEFWQALLDLDKGLGGLKDSSGLMGKKLFDLYHFNGIAKSVSNGTSAGGESPLQRPRLCKLSVVVPPRWTQEEFLADVVSSSSNDSSTRETGIVELNQPPQPPQHAPLVDCIRTRWPRAICSWIGDAPSIV >Ma01_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4541405:4545727:1 gene:Ma01_g06370 transcript:Ma01_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFKASRRVVSTSLPAIKSGSVPLKNLSSIYRAGLIRHSSGWRSFSACRSFSTQTATTGSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPLLKGAMKRGDWYRTKDLVVKGSDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYVRGEYVNERLTLEKARKEAYQAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVMMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLEAAAA >Ma05_p20150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:31708443:31710703:-1 gene:Ma05_g20150 transcript:Ma05_t20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSYDYASSALLCVEDNSCILGFDDGDGDNDEDEEHTRGCVSQQKRCDFYGDFPTGFPPQSDECLAFLVERESAHMPREDYAERLRSGSLDLSIRRNAIDWILKVHAHYNLGPLSAYLSVNYLDRFLSTYELPEGKAWMTQLLSVACLSLAAKMEETDVPLCLDLQVGEAKYVFEARTIRRMELLVLSTLKWRMQAVTPFSFIDFFLNKFSGGSVPTKAAIDRSMELILSTIRGSDLLEFRPSETAAAITVLVLEQTQTMDVEKAVSGCIQVSKDQVLRCYQVIIDMELRRNRELKNDSISLKNDSPIGVLDAACLSYKSDERTAESHVTCHHSSASKRRKISRP >Ma04_p32920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32800982:32806910:-1 gene:Ma04_g32920 transcript:Ma04_t32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPTEAGGRPFWILVAAVLVSCPLAYLALSTALHRPESRFSEHSYDGAGQEGRGAASGDEDCCRGQEHLELWGAAIKWGTDHKFNSSRECCRACKSMCGEDGPCLCNSWVFCGDRERCGDRFGECWLKKQEDVLLPALHDSGKKVIWTSGLIYGKGEGIVGLQTDYGTLRIKLFPDCAPHSVAYILELLRSRHCAGCQIYRAEGRGYSWDTKGNHISDASFGPPYALIQGTLEAEGFLFKKSPLEACPALTRGSVAWVDSGPDFLISLANHNEWRRAYTVFGAVLPEDMDIAEKIAGLGTKSDVWDNINVLVLEKPINLKLKRVADIGARES >Ma06_p09720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6771555:6774459:1 gene:Ma06_g09720 transcript:Ma06_t09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSNRWGGSFDIQHDSHADDVQSSNMAIDKAALLREQLDETQQGWLLGPRDVKKDKYIDLWIVVCKKEMVKWVVYSLLIAFVVIGVPIVVAKMIPTHKEPVLLPDEYTVALRLALEFFNAQKSGRLPKNNGVPWRGNSGLQDGSELSDVIGGLVGGYYDSGNNIKFHYPMAFSMTLLSWSAVEYGPKYEAIGEYDHVRDIIKWGVDYLLRTFNSSASTINKMYCQVGVAQRDSNNPDDEYCWQRPEDMNYPRPVLTSTSAPDLGSEVAAALAAASLVFTEEDTAYSTKLVKAAKTAYQFATESGQQAPYSSGNQQIARFYNSTGYWDEFIWASAWLFYATGNYTYLSRATDPRVYHNANASFLKRPDSRVFSWDNKLPAAQLLLSRIRVFLNPGYPYEDMLSEFHANTDINMCSYLRQFNVFNWTKGGLAQLNRGRPRSLQYAANAAFLASLYADYMNASRVPGWYCGPNYFNSSTLRNFAASQINYILGANPGNMSYLVGYGHRYPKHVRHRGASTPNNGRRYSCTGGRTWRDSKAANPNVITGAMVGGPDKYDRFFDERKNGNYTEPTLAGNAGLVAALVSLTSSSGVGVDRNTIFSAVPSLHSNKPPPPSNWNP >Ma02_p06210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17247881:17250370:1 gene:Ma02_g06210 transcript:Ma02_t06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGGYGGGVASPGSVSSVSKAAAATAEAEEDLVVEDVGRGAMGDAEAEVANVDHAEEEEELEEEELELGLTLGAAKRGKATPAMWGPCCRILTAKDFPPLASLASPRSPSASSVSSSSGTNLGGGGTGTGVAGTKRAAESISPDVGSSPHPPSQVVVGWPPIRAFRMNSLFNHFKDNAPEVDAAVAVKKAIVPSRAGNDSQHQGSRGKVMRRSFFVKVKMDGDPIGRKVDLDAHHSYEALADALELMFHKPTKASALAVSVDGAKISNLLDGSSGFALTYEDKDGDWMLVGDVPWGMFLETVKRLRIMRTSDANGLSKSVHFGKHQDFYRQRNDRKHPAYGLQPE >Ma02_p06210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17247881:17250370:1 gene:Ma02_g06210 transcript:Ma02_t06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGGYGGGVASPGSVSSVSKAAAATAEAEEDLVVEDVGRGAMGDAEAEVANVDHAEEEEELEEEELELGLTLGAAKRGKATPAMWGPCCRILTAKDFPPLASLASPRSPSASSVSSSSGTNLGGGGTGTGVAGTKRAAESISPDVGSSPHPPSQVVVGWPPIRAFRMNSLFNHFKDNAPEVDAAVAVKKAIVPSRAGNDSQHQGSRGKVMRRSFFVKVKMDGDPIGRKVDLDAHHSYEALADALELMFHKPTKASALAVSVVVWMMADGAKISNLLDGSSGFALTYEDKDGDWMLVGDVPWGMFLETVKRLRIMRTSDANGLSKSVHFGKHQDFYRQRNDRKHPAYGLQPE >Ma08_p12290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9280323:9281050:1 gene:Ma08_g12290 transcript:Ma08_t12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFALVLTIGLVMIISKTSVDCRRIEENPLDFSLQGVKGKDYMLDHNKVVFGSTIGGRNNEKIKKNIKSMGEKKGKATVDPSFGYPFGVPKNANDNNRNSNAKNNKYDGDPFAHTLGMSADSHHQISIDEYRRMFGDLPKHP >Ma02_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23736867:23739595:-1 gene:Ma02_g16300 transcript:Ma02_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSKLKLARNGNQSRRLRLVMLLVGCFLVTMTYLVASKPRGLVRSSFGFRTSMPTPLSGDDVLNGDENGRHSTASGRKDPQNSQASAESNDEKEKSIQGEKNAIVVDSTSQEVARTDELTEGGEQIQTLERKSSCDLSDERVDICELYGDIRIPGNSSSVLFMESSNNTEHKEAWRVHPYPRKGDETCLREVRELTIRATSEAPRCTVHHNVSAIVFSVSGYTGNLFHDFSDLLIPLFVTARQFDGEVQFVVTDFRRWWINKYRLVLQRLSKYPVMDFDGDEEVHCFKQVIVGLRAHQEFQIDPARAPNGYTLIDFTRFIRSTYSLQRETVNNIEDLAARKPRLLIIARKKTRAFTNVGEIVAMAEGLGFEVVVDEANVSSDMAQFARTVNSCDVMMGVHGAGLTNFVFLPLNATMIQIVPWGGLEWMSMLDFGYPAMAMGLKYLQHSITIEESTLTEQYPRDHRVFTDPMSFHGSEFKVVRSTFMKTQNVKLDVNRFKGVLWEALEKMIQ >Ma07_p29170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:35020402:35021918:-1 gene:Ma07_g29170 transcript:Ma07_t29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLILSKPLSTGYLLSIAELKTGNILSSPRNLTKDATFPVVSKISILQDEDLQSPSEYVSSVLEFPHMPPWFGIAGSQKLYLTLAGVLRLVGLSIISGQKSNMFLSVLVDNLLDQFRQLISELRMKEYGKERWKTWYFHHGSGQLLRKTSSAVCVLNEIIYGLSEQSVNTYSTFFKKSREETLQEKKLAYDDDKSTTFKCQGSAWNTREGKDNRDATILSIGSILHEYLSPEVWDIPLDQNAPLLEHEIELDLPLHFFLDTIMLQQEMYFHQLNGIGIFSIVLGKDFISSGFLHSSLYLLLRNLICSNSEIRIASDAVLRVLSVLSGHATVGHLVVANADYIIDTLCYQLRHLDINPHVPDVLAAILSYVGTARDILPLLEEPVCMLNRLLVFFATVSFFFGDIMLLFGT >Ma03_p12130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9370229:9371708:-1 gene:Ma03_g12130 transcript:Ma03_t12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGNSSAAGLERASGRTGLGDLPESCVAGILLRLDPPEICRLARLCHTFRAAASSDVVWEAKLPGNYMYLLGKALGEDNSDGQKLSKKQIFALLCRRNPFNGAYMMELLYFG >Ma03_p12130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9367968:9371692:-1 gene:Ma03_g12130 transcript:Ma03_t12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGNSSAAGLERASGRTGLGDLPESCVAGILLRLDPPEICRLARLCHTFRAAASSDVVWEAKLPGNYMYLLGKALGEDNSDGQKLSKKQIFALLCRRNPFNGAYMEFCLEKSRCLICMSISSKAMSITGIDDRRYWNFIPTAESRFHMVAYLHQIWWLEVRGEVEFCFPEGIYSLFFRLHLGRAIRRLGRRVCSPEHIHGWDKKPVRFQLSTSDGQLAQSKCYLDEPGSWLHYHVGDFAIRSSSAPIKIKFSMIQIDCTHTKGGLCVDSVSIWPKGFRPAIASYHLF >Ma04_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5160677:5161991:1 gene:Ma04_g07110 transcript:Ma04_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGEDVSSECSSGCQSGWTTYLDHSSSEPLVYNIGGFFHGKEEEEEEEDLSMVSDASSGPPHFQEEDEHCFYYLHSSTCFGGNGCLCSALVPTAELAMSGGKKRRVEPKQQGKHSSLLDDTASSPPFSSSKTSSFSGDDNRSRGSHMMPPIASVLEFSCGFSATHFKRNHAVEKQMGGYLQSSAPVKPTPSIPVPRKEGGKTICSQEEETKRINV >Ma04_p25350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27144239:27150160:-1 gene:Ma04_g25350 transcript:Ma04_t25350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAIQSFRLHGNLLKNTILQHMRVMKPVMLPTVFSRFKSVSSPRLEEHGFESTTILDILKAKGKSADGSWLWCTTNDTVYDAVKSMTQHNVGALVVVKPGEEKALAGIVTERDYLRKIIVQGRSSKSTKVGDIMTDENKLITVTPDTKVLRAMQLMTDNRIRHIPVVDDKGMIGMVSIGDVVRAVVNEHREELDRLNAYIQGGY >Ma04_p25350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27144239:27150167:-1 gene:Ma04_g25350 transcript:Ma04_t25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAIQSFRLHGNLLKNTILQHMRVMKPVMLPTVFSRFKSVSSPRLEEHGFESTTILDILKAKGKSADGSWLWCTTNDTVYDAVKSMTQHNVGALVVVKPGEEKALAGIVTERDYLRKIIVQGRSSKSTKVGDIMTDENKLITVTPDTKVLRAMQLMTDNRIRHIPVVDDKGMIGMVSIGDVVRAVVNEHREELDRLNAYIQGGY >Ma05_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19103979:19105502:-1 gene:Ma05_g16900 transcript:Ma05_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNRVRLLNHRIIKDLTDGGFFEQALHVYRCLRLSDVKPDRFTFTYVLKSCVGISAIDEAMSIHSHIFKCGFVCNLLITNSLIEVYSNFGALSSARQLFDDMPQRNLASWNLMISGYGKNGHYKVALEQCSYMKHEGLVLDKVGVKIVLPIIGHVKAFSLGKCIHAHVITTGLSKDTAVVTALLDMYSRCGEFRASSHLFDEISHKDVICWNAMITGYSQLGKPLLVLELFKRMLFEGFGPSIPTIFLLLHACTVLSVIQVGKCMHGYITKLGFSSDVSVSGLLIDMYSKCGELGSASRVFGELSRGNVHSWTSMIHGLGMHGYGRVALMAFFKMVKMGIVPDGICFLVLLASFSHCGMMEEGHKIFYYMVSQYGVQPSMEHYASIVDLFGRAGYINEAVGFLSQMPIEPDSTVMGALLSACRIHDHKETQEVFERFFEPKWSAAGLYKVLVGIHACRGRWDEVIKIRRLMKERGLKGTSGCSLIELNP >Ma05_p17750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21535125:21560473:1 gene:Ma05_g17750 transcript:Ma05_t17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRMLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGQSIPVGGIAYYITAPSSLADMAANPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKDQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVVADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >Ma04_p01710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1522157:1524487:-1 gene:Ma04_g01710 transcript:Ma04_t01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTFFLSHGSPTLSIDERLQARHFMQSWRDQVLPEVPKAILMVSGHWDVSEPTVNVINGPNDTIYDFYGFPKSMYQLKYPAPGTPALAKRVKELLQEAGFGHVKEDKNRGLDHGAWVPLMLMYPAADIPVCQLSIDSAKDAAYHYRMGKALTPLKEEGVLLIGSGSATHNLRAIGRDGAPPPSWAVDFDNWLTDALLNGRYEDVNNYLVKAPNAKMAQPWPDHFYPLHVALGAAGENAKAEQIHQSWSNGTLSYSSYRFKAAE >Ma06_p36860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36099503:36104988:-1 gene:Ma06_g36860 transcript:Ma06_t36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWIASLLLFSLFNLTVGRPIYPLPSKQDNKVKQPLQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILASKDGSLICFHDVILDDTTDIAKHKEFADRKRTYEVQGSNMTGYFVVDFTLDELKSLRVKQRYPFRDQQYNGKFSIITFEEFIAIALDANRIVGIYPEIKNPVFINQHVKWPHGKKFEDKFVGLLLKYGYKGAYMSEDWLKQPVFIQSFAPTSLIYISNMTDSPKIFLIDDFTMPTQDTNQSYWEITSDSFLEYIRSYVVGIGPWKDTIVPPENNHLTTPSDLVDRAHAHNLQVHPYTYRNENSFLHFDFHQDPYSEYEYWLNKIGVDGLFTDFTGSLHKYQEWAAASGTDEKSAYGLLHKIALMISSYD >Ma06_p36860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36099503:36104918:-1 gene:Ma06_g36860 transcript:Ma06_t36860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLTKPESTIHRLTRKSVRSSLLLGVRASPQLRLDWEKRRGVGERGGSEEDIMASLWIASLLLFSLFNLTVGRPIYPLPSKQDNKVKQPLQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILASKDGSLICFHDVILDDTTDIAKHKEFADRKRTYEVQGSNMTGYFVVDFTLDELKSLRVKQRYPFRDQQYNGKFSIITFEEFIAIALDANRIVGIYPEIKNPVFINQHVKWPHGKKFEDKFVGLLLKYGYKGAYMSEDWLKQPVFIQSFAPTSLIYISNMTDSPKIFLIDDFTMPTQDTNQSYWEITSDSFLEYIRSYVVGIGPWKDTIVPPENNHLTTPSDLVDRAHAHNLQVHPYTYRNENSFLHFDFHQDPYSEYEYWLNKIGVDGLFTDFTGSLHKYQEWAAASGTDEKSAYGLLHKIALMISSYD >Ma11_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6627152:6629584:1 gene:Ma11_g08330 transcript:Ma11_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDLVQLSTPSPILLEKVRDILPWRSKLEETVYPFPAPSADELSSGSMRSSRRSRSKARRPKYVSLRRHLAPRPPPSPADPSDMFSSAAADDDDDATARCHQLDLFPLQPEHLDRDPHVACLLDDRGGGGEPTLAALLGCGGGGDSSSEDEEEEGGDGDGLARRALRGRERWAYCRSSSSSSEEVASSAAEGNGGVDLWRCVTPQALALKLDYEEILAVWSDRGPLYMDGEGPQVVPQLQHPCDSAMLVEVGCRSWKVPEQPAEGKERSGMSREARVMRYKEKRRNRLFAKRIRYEVRKFNAEKRPRVKGRFVRRNDEDEDHS >Ma05_p07680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5572323:5583441:-1 gene:Ma05_g07680 transcript:Ma05_t07680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSKTEAIKRAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDVVLRFMPRICITPYHANVKDPEFNVDFFKKFNVILNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHIKGRTECYECQPKPTPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDRNQENDLFVHTGDGSDSVKPTDDIFERGLDEVPVQYGRKIYDHVFGYNIEVALANEETWKNRRRPRPIFLGDVLPEKLILQNGNLEKNCLTEDLSLSAMSSLGLNNPQEIWSLAENSRLFLEALRLFIERRGKDIGSLTFDKDDQLAIEFVTAAANIRASSFGIPLHSLFDAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLEADFQNCRMTYCLEHPCQKMLLMPVEPFEANKSCYVCSETPLLLEVNTQTSTLRDFVDKIVKNKLGMSFPLIMQGAILIFEGGDDLEEDIAANYALNLDKVLTALPAPVTSGSMLTVEDLQQELSCHINIKHREEFDEEKEPDRMVLLGWTTPEKESQGVSNGENTAAAIPASPEAINKSEEVEVTAEISRTKRKLSEMTEVQEIFQILPDTDANNSMDPQEVEDNDYDNDLIVLDENPEASKKRRLQ >Ma05_p07680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5572928:5583441:-1 gene:Ma05_g07680 transcript:Ma05_t07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSKTEAIKRAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDVVLRFMPRICITPYHANVKDPEFNVDFFKKFNVILNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHIKGRTECYECQPKPTPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDRNQENDLFVHTGDGSDSVKPTDDIFERGLDEVPVQYGRKIYDHVFGYNIEVALANEETWKNRRRPRPIFLGDVLPEKLILQNGNLEKNCLTEDLSLSAMSSLGLNNPQEIWSLAENSRLFLEALRLFIERRGKDIGSLTFDKDDQLAIEFVTAAANIRASSFGIPLHSLFDAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLEADFQNCRMTYCLEHPCQKMLLMPVEPFEANKSCYVCSETPLLLEVNTQTSTLRDFVDKIVKNKLGMSFPLIMQGAILIFEGGDDLEEDIAANYALNLDKVLTALPAPVTSGSMLTVEDLQQELSCHINIKHRSLLMLASETFSTCNVASSKLQEGGV >Ma11_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20929666:20931012:-1 gene:Ma11_g15220 transcript:Ma11_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPRDDLESRQPPPPGCRRFPSASPASCYLPKFAKPGLPLVKKVIAEFVGTFILIFSAAATPIVNQKYDGAATLLGAATSAGLAVCVVIFSIGHISGAHLNPSVTIAFAAARHFPWKHVPGYVLAQVLGSTAASFALKAIFHPFHSGGVTVPTLSTAQAFFLEFVITFTLMFVIVAVATDTRAARELAGVAIGATVLLNILVAGPSTGGSMNPVRTLGPAIATGNYEEIWIYMIAPVAGAIAGAYAYTAVKLGAEDQEESQP >Ma01_p07210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5188948:5191337:-1 gene:Ma01_g07210 transcript:Ma01_t07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLEKRGRVYVLSLTGDNEHRLNADLIAAVRSALAKVRAEYAAAPNGSALVTAAEGRFFSNGFDLAWANAAGSPSASLQRLSSLVALFKPVVADLMSLPMPTISAVNGHAAAAGFMLAISHDYTVMRGDRGFLYMSELDIGLPFPPYFMSLMRAKIADPRTLRDVTLRAAKITGAEAKATGIVDSVHAGADEALQAAMRMGEELAARNWDGGVYASIRMAAFPDVCRAVGLPAEDAEQKDKTIAAKL >Ma01_p07210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5189516:5191339:-1 gene:Ma01_g07210 transcript:Ma01_t07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLEKRGRVYVLSLTGDNEHRLNADLIAAVRSALAKVRAEYAAAPNGSALVTAAEGRFFSNGFDLAWANAAGSPSASLQRLSSLVALFKPVVADLMSLPMPTISAVNGHAAAAGFMLAISHDYTVMRGDRGFLYMSELDIGLPFPPYFMSLMRAKIADPRTLRDVTLRAAKITGAEAKATGIVDSVHAGADEALQAAMRMGEELAARNWDGGVYASIRMAAFPDVCRAVGLPAEDAEQKDKTIAAKL >Ma01_p03140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2050656:2052037:1 gene:Ma01_g03140 transcript:Ma01_t03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASLSSMAVGTAAKLKAKPHLLAPKTLTLQNAPSTISFRSYQTLTAISIPSKNPLLPPIKSLPPPLEYEATSGSLKSRLAAGETLYGLFLLSASPTLAEIAGLAGYDYVVVDMEHGSGGISDALPCLRALAATRTPAVLRLPEPSATWAKKALDLGPQGIMFPMVDTPAAAAHAVACCRFPPRGIRGSAHTVVRASAYGLDDGYLTRCEEEILVMCQVESFDAVAEIEAIAAVEGVDVVQMGPMDLSASMGYLWDPGNRKVRKVLRDVERKVLGVRKTRTEADAAAEGGGAGGPYLGGFAMPHDPPEELKARGYHLVAGAVDVGLFRRAAVEDVQRFRLVQTEIGEEEDEFEKPREESYWSE >Ma02_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19583946:19588464:-1 gene:Ma02_g09730 transcript:Ma02_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVAPADPEKKKKRKNKKEKRQNPYSIDYNRGPARGLVVLKNPTGRDIGSRYELGQELGRGEFGVTYLCTDRATGELFACKSISKKKLRTAVDIEDVRREVEIMRHLPSHPSIVSLKDTYEDDGAVHLVMELCEGGELFDRIVTKGHYTERAAAIIMRTIIQIIQVCHKHGVMHRDLKPENFLFGDKKENGPLKAIDFGLSVFFRPGEHFSEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAILRSVIDFKRDPWPKVSESAKDLVRHMLNPDPKYRLRAEQVLDHPWLQNANKAPNVSLGENVRAKLQQFTVMNKFKKKALKVVAEYLSVEEVAGIKDMFEKMDINKNGKITLEELKYGLLKLGHQIPDADVHILMEAADVDGSGTLDYGEFVAVSVHLRRMGNDEHLHKAFSYFDQNNSGYIEIEELGNSLADDLGPDHEEVINAIICDVDTDKDGRISYEEFTAMMKAGTDWRKASRQYSRERFSSLRSNLMKDGSLQLKSHGR >Ma01_p05150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3631416:3635555:-1 gene:Ma01_g05150 transcript:Ma01_t05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLERALSSRRSPPHPADDEGDGGPFDDESKTKKHASLAGRIVSLIRLSRSSSGHCPAAHVTIVILLLVLATAAGLLFSRGRPVVCVSPYDSAARNALIGADLAGLASDFGSLGVPWCRSKRDTTVEWTPKDLLKGLEEFVPIYETRPIKNNMFGMGFDHSFGLWFMVRWLKPDLMIESGAFKGHSTWVLRQAMPGTHIISLSPRHPEKYLKKGPAYVDENCTYYAGKDFVDFGSVDWGSVMRKHGISDLSRVLVFFDDHQNQLKRVKQALQAGFQNLIFEDNYDTGTGDHYSLRQICDQYYIRGGGHSCFRDSDEARIRMKRKMFWEKAVDIDELCGKGGAWWGVRGFMRDNFNHSNNAMSYQEHFQNSRILESVLDVYWELPPVAGPSLTHQTRYDPARTLQPIVEDGRFGLFQRLGLTRLEASLFNGYTQMVYVQVSVSTS >Ma04_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20731153:20733806:1 gene:Ma04_g18650 transcript:Ma04_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKQEAIEKEVAKVTDVSLKELSRKLEEFALERDWMQFHSPRNLLLAVVGEVGELAEIFMWRGEVAKGLPNWEESDKEHLGEELSDVLLYLIRLSDICGVDLGEAALKKIVKNAIKYPAKAT >Ma11_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27458325:27462048:-1 gene:Ma11_g24530 transcript:Ma11_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLRQTCEECHTIPNFYLIHLSCHEKHLNQGSISLAMQEVWGQFVACITASFPCLG >Ma05_p31000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41140281:41143093:1 gene:Ma05_g31000 transcript:Ma05_t31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRKMKEIAEAYLDSTMKNALVIDPACFSDPGVRQRLLTNDTHLDGEGFGNRMWSTILSRNSTENTKSIMVFNGNSISQPLILIFSGKSQANIISELLKKFYLSWIPPAPRRVPRPTLCFDIEVNGILNVPAVDKYHNYQ >Ma07_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:189829:192210:-1 gene:Ma07_g00180 transcript:Ma07_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEAQIPSAFDPFADANADDSGAGTKDYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNASNFLVQAGIVKKEHIKIHGF >Ma07_p00180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:189829:192250:-1 gene:Ma07_g00180 transcript:Ma07_t00180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEAQIPSAFDPFADANADDSGAGTKDYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNASNFLVQAGIVKKEHIKIHGF >Ma11_p15090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20800725:20802383:-1 gene:Ma11_g15090 transcript:Ma11_t15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREITGEPVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFVDKAVDEGFVAPAARHIIISAHTAHELLSKLEDYVPMHEGAAAKLSWGVEQLGHPPKVDIAR >Ma07_p09700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7277057:7277674:1 gene:Ma07_g09700 transcript:Ma07_t09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSAQEMSYMEHVQRRHEEKGFLYACLFAFCCCFCCFEACECCLECLCCCF >Ma06_p16900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11462027:11464602:-1 gene:Ma06_g16900 transcript:Ma06_t16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQSSSWPKTVLRKWLNIRSGEFYSDCNKEEFAEMQRLRKSCSDKNGSEWSIMVSEDVKRPETSSVAASSSPIDNLKLFVGTWNVGGRAPHGELLLRDWLMSSPSSPDIFVIGFQEIVRLNAGNVLGAEDSGPARRWLSLIRQALNCHEPGPPPATSTVTAAGIPSLVDQKPRLSISDLLCLEVEDDEADAARSTNPYSGGEYRLAASKQMVGVFLCVWVRASLVRHVADIKVSCVGRGIMGYMGNKGSVSISMTVQRTTFCFVCTHLASGERDGDEVRRNSDVAEITKRTRFTGCSPETILEHDKIVWLGDLNYRLAATFGDTHELLQKKDWRSLLGKDQLRIEQRAGRAFAGWEEGQIRFPPTYKYLANSDVYAVKPGKPRRTPAWCDRILWRGKGMKQMWYVRGESQFSDHRPVYSLFSVQVDDEARSGDVGTTATVTAAKSSSNSSSSSSSWGRVQAEELLLFTGTQGRRLQAARY >Ma04_p02600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2190000:2190913:-1 gene:Ma04_g02600 transcript:Ma04_t02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKKGKTLELVRFVLMVLFFQEVVTPATSRKPEGGFVDRKNYYSPDPNTTPPPSHATPCPTPSRGGGGSGHGAPSRRQPTPSHGGGGYYAPPSVPVVTTPPSPTYGPVTPAPLVPTTPTYPVIPSPPATPLLPFDPNTFPFTCDYWRTHSAAIWGLIGYWGTVSQLFGPPAAAAFGRSLSLPEALANTRADGIGALYREGTASLLNSLANKSFVFSTQQVRDAFNAAVVSDKAAAAQARVFKKANEGHLKH >Ma09_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4815838:4816080:1 gene:Ma09_g07360 transcript:Ma09_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPTSRAGRRWRGSSGPRSAPRAWTRCSRAPTATSSSVCSPSFLLSSSYCSIFPCVISPHFVSSTRGYNFVLKVFALY >mito2_p00050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000013.1:497593:498761:1 gene:mito2_g00050 transcript:mito2_t00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLTLLSSPLSQTLTQSIPRKRLRIYLFEIDNRN >Ma06_p16870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11446324:11447515:-1 gene:Ma06_g16870 transcript:Ma06_t16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDCMLFWNPRNLSPNHVGSSSGANAASRMAKSKIIFIVWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVIRGRAN >Ma04_p37890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35593378:35598015:-1 gene:Ma04_g37890 transcript:Ma04_t37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACVGPSLLKNGFFQSVSATLWRSRLNKEALPAANNEAAGEGTSDAPAPAKPDVDAPQPVKIQNKQTEPPRLPEVEGKQASDVPSSNQPKKPTHVKRLSSVAGLQEEFILKHKTNSLKDVYSLGRKLGQGQFGTTYLCVEKETGKEYACKSILKRKLVTEEDVQDVRREIQIMHHLSGHPSVISIKGAYEDAVAVHVVMELCAGGELFDRIIQKGHYTERKAAELARVIVGVVEACHSMGVMHRDLKPENFLFVNQMEDSPLKTIDFGLSIFFRPGEIFTDVVGSPYYVAPEVLKKRYGREADVWSAGVIIYILLSGVPPFWAETEQGIFEEVLHGKLDFQSDPWPSISNSAKELVRKMLVRDPRRRLTAHDVLCHPWVQIDGVAPDKPLDSAVLSRLKQFSAMNKLKKMAIRVIAEHLSEDEIAGLKEMFKMIDTDNSGQITFEELKAGLERVGANLQESEIYALMQAADVDNSGTIDYGEFVAATLQLNKIEREDHLFMAFSYFDKDGSGYITQDELQQACEEFGIHDVQLDEMIREADQDNDGRIDYNEFVAMMNKGDAGFGKRGLQANFSIGFREALKLD >Ma11_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14917602:14919460:-1 gene:Ma11_g11790 transcript:Ma11_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRGENYIHGEFTGFESPRMDNGREAHDFHGYQEDDSNATHNNSQTGAGAASLMFPAQSIVTDAASAAAAVLPWPLPLVNSLALARDQERFFPSLPPLPPPPLPAFYHDLYARRASTALQFACDGGGLGSSSSDPLGLAGLYMGPEVLIRGRGLMSSSPFAGLHAELGKMTPQEIMDAKALAASKSHSEAERRRRERINTHLARLRSLLPSTTKTDKASLLAEVIQHVKELKRQTLEMAEDSPLPTETDELTVDATNDEDGRFLVRASLCCDDRSDLLPDLIKALKVLKLRTLKAEIATLGGRIKHVLVITGEDGADHQQPEQSVASIQDALRAVMERASSTDEPTAAGGIKRQRTTTLSSTLEHRSI >Ma04_p16940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16835149:16836277:1 gene:Ma04_g16940 transcript:Ma04_t16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKGSSAPKILFISSLDLERESVVFLIFDVRERERERERDSAIGLKTEPAAILDHGAEQIKQDL >Ma06_p09180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6479551:6484223:1 gene:Ma06_g09180 transcript:Ma06_t09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSSTPVLPRRNDDVTQLGMVWEQVKAPVIVPLLRIAVFLCLAMSVMLFVEKVYMATVILAVKLLRRRPETRYKWEPMEDDMERGSAAYPMVLVQIPMFNEKEVYQLSIGAACGLSWPSDRLIIQVLDDSTDPAIKEMVQVECRRWASKGVNIRYEIRDNRVGYKAGALKMGMKHRYVKDCDYVVIFDADFQPDPDFLCRTIPFLIHNPQIGLVQGRWRFVNADECLMTRMQEMSLDYHFAIEQEVGSSTYAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLKGWKFIFLNDLGVKSELPSTFKAFRHQQHRWSCGPANLFRKMVVEISKNKKVSLWTKVYVIYSFFFIRKIVGHIVTFIFYCLVIPATVFVPEVEIPMWGLVYLPSVITMLNSVGTPRSLHLLVFWVLFENVMSLHRTKATLSGLLDLGRVNEWVVTEKLGDIMKTKLPTKAAKKPRVRIGDRLHKMELFTGAYLFFCACYDLKYGKNHYFLYLFLQSITFFIVGFGYVGTYIPQS >Ma06_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6479551:6484223:1 gene:Ma06_g09180 transcript:Ma06_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSSTPVLPRRNDDVTQLGMVWEQVKAPVIVPLLRIAVFLCLAMSVMLFVEKVYMATVILAVKLLRRRPETRYKWEPMEDDMERGSAAYPMVLVQIPMFNEKEVYQLSIGAACGLSWPSDRLIIQVLDDSTDPAIKEMVQVECRRWASKGVNIRYEIRDNRVGYKAGALKMGMKHRYVKDCDYVVIFDADFQPDPDFLCRTIPFLIHNPQIGLVQGRWRFVNADECLMTRMQEMSLDYHFAIEQEVGSSTYAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLKGWKFIFLNDLGVKSELPSTFKAFRHQQHRWSCGPANLFRKMVVEISKNKVCSLCVRNTHNFSRDSDWLCDASLTMQKVSLWTKVYVIYSFFFIRKIVGHIVTFIFYCLVIPATVFVPEVEIPMWGLVYLPSVITMLNSVGTPRSLHLLVFWVLFENVMSLHRTKATLSGLLDLGRVNEWVVTEKLGDIMKTKLPTKAAKKPRVRIGDRLHKMELFTGAYLFFCACYDLKYGKNHYFLYLFLQSITFFIVGFGYVGTYIPQS >Ma09_p19600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25122782:25126314:-1 gene:Ma09_g19600 transcript:Ma09_t19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFQPSQELYSLQTGMEMLGIPCKPQENSDNWRASFSVIGSSAHAASCSFPQPSNENLMVTPERLPLCPWQPPNQMLVDGPRARFLFPPCEGNGQSSSGGLSLSLNHAETSNSSYDQPPFDQDVLPTSRDELFQDGRFFRPPISPTTSNLHQPSQHLLKTSKYLLAAQDLLNEFCNMNTTADSGSSKKKASKTKQRNEGGSSSSTSLSQSLYSLDIHELQTRKAKLLSMREEVDRRYRRYRHRMKAVVSSFEAMAGEGAAAAYSTLASKVMSRHFGCLRDRIAGQIQAIRRQMGEKDAVAEGGSSTRGETPRLKLIDQRLRQQKAFQQAGVMESEPWRPQRGLPQRSVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEILEQEDQTSLGDNSNDDDAINPPNHPQSSQNQNSIYNSCEVDIEEQKPAAGQLLTDSDSLSWIVSSSSARDRISSKSSDFGAVDLDFSSYNHYYCSNQNFGSGVSLTLGLQQHNGGGSVRPSFPPSLASQQSLVYSKEQMEECHPSQFSILDGEAQNLHYRNLMGAQLLRDLAG >Ma09_p19600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25122783:25126313:-1 gene:Ma09_g19600 transcript:Ma09_t19600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHQTMGYTNFSSTIEPVMQSFQPSQELYSLQTGMEMLGIPCKPQENSDNWRASFSVIGSSAHAASCSFPQPSNENLMVTPERLPLCPWQPPNQMLVDGPRARFLFPPCEGNGQSSSGGLSLSLNHAETSNSSYDQPPFDQDVLPTSRDELFQDGRFFRPPISPTTSNLHQPSQHLLKTSKYLLAAQDLLNEFCNMNTTADSGSSKKKASKTKQRNEGGSSSSTSLSQSLYSLDIHELQTRKAKLLSMREEVDRRYRRYRHRMKAVVSSFEAMAGEGAAAAYSTLASKVMSRHFGCLRDRIAGQIQAIRRQMGEKDAVAEGGSSTRGETPRLKLIDQRLRQQKAFQQAGVMESEPWRPQRGLPQRSVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEILEQEDQTSLGDNSNDDDAINPPNHPQSSQNQNSIYNSCEVDIEEQKPAAGQLLTDSDSLSWIVSSSSARDRISSKSSDFGAVDLDFSSYNHYYCSNQNFGSGVSLTLGLQQHNGGGSVRPSFPPSLASQQSLVYSKEQMEECHPSQFSILDGEAQNLHYRNLMGAQLLRDLAG >Ma03_p26950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30480574:30484721:-1 gene:Ma03_g26950 transcript:Ma03_t26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRVSSFEDVKESAQPRAAQPRVFPLPLLKIFLPLFALVAVGFSVFGVYVTRRIGVLPAAAVGGLFRPLCVEEPPSLDRWVRPPADLMHSMNDEELFWRASWVPQVKKYPFKRVPKVAFMFLTRGPLPLSPLWEKFFRGHEGRYSIYVHALPSYQANFTSASVFYERQIPSKVSEWGQMSMCDAERRLLANALLDISNEWFVLLSESCVPLLSFNITYQYLIRSRYSFVGVTDDRGPYGRGRYNASMAPEVTIEQWRKGSQWFQVKRKLAVSIIKDTTYYPKFEKFCRPHCYVDEHYFPTMLAIQSPNLLANRSLSWVDWSRGGAHPATFGKVDVTEAFLKRIIGGRNCSYNDQPSRICYLFARKFAPSSLGPLLQLAPIPLGLG >Ma05_p26840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38365350:38365865:1 gene:Ma05_g26840 transcript:Ma05_t26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTTSTAAAATTRSVDGDMTVEEFKEWLMKFDTDRDGRISREELQRAIRSIRGRFSGWKSRRGIRYADSDGSGFIDEGEMDNLVVFAQKSLGLRIVVSN >Ma07_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30257303:30258226:1 gene:Ma07_g22220 transcript:Ma07_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMIDLGSQRGSLYIIDTATAVDCTKDVRFRRSFRSLIECMVPCCGFQLSSNASDIGDSDSTHGSSTPTTTTVTGTFFGYRRGRVRFCVHDNSRAAPLLLLEFTVPTAFLAKEMQHGLLRIALECRGASAPCASLFAVPAWSMYCNGRKVGFAIRRQMTEADAAIFKLIKSISVGTGVLPGAPKTGDGDLLYLRASFERVIGSMDSESFHMIDPVGSTGQQLSIFLLRT >Ma02_p13110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21654665:21655213:-1 gene:Ma02_g13110 transcript:Ma02_t13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRWWGTVTWIEEDGSGFITPVDPGRGRGYLFDQSSFGGEDVHALHIGEFVEFSIEEGNDGNPKAVNITSVDPGSGGDNLFDQSSFGGEDVPALHIDEVIEFSVEEGNDGIPNAVNVTGPSGNTLWGLGRRRGGEVCRFCGEAWHMMIDCERIRVRDACSYCGQPGHKVEDCPRSGRGL >Ma06_p18770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12840671:12842577:1 gene:Ma06_g18770 transcript:Ma06_t18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHLPSAAFFAMACFLACAAAAYQRPPPRETLSVPLADDADGLTPQQVHISLVGSDGMRITWITDDDSPSTVEYSTSSTFQQRESKVATGSSSSYSYILYRSGQIHDVVIGPLSPSTVYYYRCGSNPTRKFSFKTPPSSLPFRFAVAGDLGQTGWTNSTLQHVAAQDYDVLLLPGDLSYADCRQSLWDSFGRLVEPLASSRPWMVTQGNHEIEKIPVIHPQPFVAYNSRWRMPYDADPAAPSASNLYYSFDVAGGAVHVIMLGSYADFGPGSSQHTWLSFDLARLDRNRTPWVVALIHAPWYNSNAAHQGEGEEMRQAMEAQLYGARVDVVFAGHVHAYERFTRVYDNRSDPCGPVHIIIGDGGNREGLASEYLDKPKISVFREASFGHGRLEVANATHALWTWNRNDDDEAVVADQVWLTSLASNPACH >Ma06_p08060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5718555:5721728:1 gene:Ma06_g08060 transcript:Ma06_t08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEAAASAMEIEKAAASAPAAVLRPQFEALKPHDMSDGKVQFRKVGVPTHRYAPLKRCWMEIYTPIYEQMKIDIRMNLKARKVELKTRADTPDVSNLQKCADFVHAFMLGFDVADALALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENSTRTRIVIADTKIHILGSFLNIKVARDSLCSLILGSPAGKVYSKLRAVTARLAEKY >Ma08_p20970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34998830:34999919:-1 gene:Ma08_g20970 transcript:Ma08_t20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHPKARETFGSSSSNYSCTNGTTTAAAPPSSSPPAPPPLTPKSVARDGEVNPYQTTFVQADTSSFKQVVQMLTGSAETVAATATAAAAAAATNSTARKAPVAPAAKATGPKKPAFKLYERRNSLKNLKILSPLIPTFFNSNPNSPVTAAGFSPRKQPEILSPSILDFPSLVLSPVTPLIPDPFNRPPHPNSEAAKWAEDRAIAEKGFYLHPSPRASTEADPPRLLPLFPVTSPEVSSDLSLAIPRSSTREV >Ma09_p07230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4743495:4747744:-1 gene:Ma09_g07230 transcript:Ma09_t07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGNPAPSGPVSQPNESMKFIIAVSIGVVLGYFIGVSLPAVNITKLHLPRSNIVCTEEDSPSLDTSTSGHNHSSNNRRLHSNYSLSHSSNNTRLHSNNNLEIYVSTNPRGAERLPPGMVVSESDLYQRRLWGKPSEDLHILQKYLVSFTVGLGQKKIVDAAVKKFSENFTILLFHYDGQTSEWDEFEWSKRAIHISARKQTKWWYAKRFLQPDIVAPYDYIFIWDEDLGVEHFDAEEYIKIVKKHRLEISQPGVDPSTGLTWQMTKRRRDKEVHRETEEKPGRCSDPCRPPCSSFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFAFRRCVESAHKTIGVVDSQWIAHRNIPSLGNQGKGKNEKAAKQGVRQRCYEEAATFGTRMADAEKAYYREMGMLPLKRQPCGP >Ma04_p19360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21963896:21965526:-1 gene:Ma04_g19360 transcript:Ma04_t19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGILLLTRGIMLARERSNFNLLRRQVCLGPFKLCKHKCDM >Ma06_p21510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15733059:15735586:-1 gene:Ma06_g21510 transcript:Ma06_t21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNLLTAAALQGVAEARARIFGHILNPTGKRSPHKILRKKLIGDKVAQWYPYDIKNDDPLVIAREEKQRLAKLEMLKRRGKGPPKKGQGKRATKRNK >Ma10_p31190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37387601:37388013:-1 gene:Ma10_g31190 transcript:Ma10_t31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSFGMSWADQWDYSEPSATSQKSNSSSSNLKKGMEKTKSATSTGIKKVKEGTSHGLQWIKDKYSKKTQKH >Ma09_p25830.12 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.12 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179711:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.9 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179755:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.15 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.15 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.13 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.13 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.14 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.14 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.11 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.11 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma09_p25830.10 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37179352:37191750:1 gene:Ma09_g25830 transcript:Ma09_t25830.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSSDTPNYLGRIRHRKRPSEVSADANKANGSKLLVNDRNKYKSMLIRTYSSVWMIGGFTFIIYMGHLYIWALIVVIQIFMAKELFNLLRRANEERQLPGFRLLNWHFFFTAVLFTYGRFLSRQLVNTVTSDKLLYQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYKYQFSQYAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTLISAFMLANIMGQFQWLTCPRKDLSTGWLHCDPGTLFKPEYFSVPRCMHQWFPWKEVAILPVQWHALALGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPQSLSVEMILEQVWCFHEFLPLLTPWYAIPNVLNIMQILRNLTFEEQQFLYEQLGNIFQARQLLQA >Ma11_p04640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3619626:3625031:1 gene:Ma11_g04640 transcript:Ma11_t04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAVDRAGEFGDEVSLVPPSRLKITSSTSVGMDRLPDEMSDMKIRDDKEVEATVIDGNGTETGHIIVTTVNGINGQPKQTVSYMAERVVGHGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVCLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTSAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDSDTRLPNGRFLPPLFNFKPHELKGVPMEIVAKLIPEHARKQCAFLGL >Ma11_p04640.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3619626:3625030:1 gene:Ma11_g04640 transcript:Ma11_t04640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLVPPSRLKITSSTSVGMDRLPDEMSDMKIRDDKEVEATVIDGNGTETGHIIVTTVNGINGQPKQTVSYMAERVVGHGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVCLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTSAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDSDTRLPNGRFLPPLFNFKPHELKGVPMEIVAKLIPEHARKQCAFLGL >Ma11_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3619626:3625030:1 gene:Ma11_g04640 transcript:Ma11_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLVPPSRLKITSSTSVGMDRLPDEMSDMKIRDDKEVEATVIDGNGTETGHIIVTTVNGINGQPKQTVSYMAERVVGHGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVCLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTSAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDSDTRLPNGRFLPPLFNFKPHELKGVPMEIVAKLIPEHARKQCAFLGL >Ma09_p19490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24087514:24092009:1 gene:Ma09_g19490 transcript:Ma09_t19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPASHRRALSTLRFRRPKKRSSPFPKKTFTNPSLPEYMRAAIHKISTTLRYSTWDTAATELRALPLRWDSFTVNRILKTHPPMEKAWLFFHWAARLPGFKHDRFTYTTMLDIFGEAGRIPSMWRVFREMEDKGVTPDAATYTSVMHWLSAAGDLEGAVRAWEEMKERGCGPTLVSYTAYMKILFDHGRPKEAAGVYTQMLEVGLSPNCHTYTVLMEYLAGAGKFKAALEIMSEMQEAGIEPDKAACNILIQKCSKARETSAMRQVLLYMKEHSIVLRRTVFMEALEALKLVNGSDHLLREVNPHLSSDGIEDNSHFEPIFSDTSSFIDRAIIINLIAMQNFIAVEHMLSGMINRHIELDPELISMVIQLSCANYKPSCVLMAFRYSLQVGKELDRSAYISLLGFFMRENALEMVLEIVHEMTKAGVSFGTYLIYLLIYRLGRAGIHTYAESIFYSLPMDQNVVTCTALMDALFQAGEVEKGLELYAKMRKDFSLSSGLYEVLILGLERSGRTDDAEFCRKEKRKFTGNKYPQKDVSPDEILCNYLFDGILS >Ma09_p19490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24087514:24090910:1 gene:Ma09_g19490 transcript:Ma09_t19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPASHRRALSTLRFRRPKKRSSPFPKKTFTNPSLPEYMRAAIHKISTTLRYSTWDTAATELRALPLRWDSFTVNRILKTHPPMEKAWLFFHWAARLPGFKHDRFTYTTMLDIFGEAGRIPSMWRVFREMEDKGVTPDAATYTSVMHWLSAAGDLEGAVRAWEEMKERGCGPTLVSYTAYMKILFDHGRPKEAAGVYTQMLEVGLSPNCHTYTVLMEYLAGAGKFKAALEIMSEMQEAGIEPDKAACNILIQKCSKARETSAMRQVLLYMKEHSIVLRRTVFMEALEALKLVNGSDHLLREGYYH >Ma03_p08610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6332847:6335571:1 gene:Ma03_g08610 transcript:Ma03_t08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALKSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRRFFCGTEPPPPGLLSSPRGGAASPGATGAGVVIPVNSISYEVFLLMLQFLYSGQVSVMPQKHEPRPNCGERGCWHTHCTAAVDLALDTLTAARSFGVKQLEQITEKQLASVVEKASIEDVMKVLMASRQQDMQQLWATCSHLVAKSGLPAEVLAKHLPIDVVARIEELRLKSSLVRRSSFVGHHPHQIDVGGPAADLEDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDAFALHYAVENCSREVVKALLELGAADVNCPAGPTGKTPLHIAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLSHIEPNKLRLCLELVQSAALVMSREEANCGGGTGGAGNSPSSVIYPRMNPEIGACNTNSSSSSMVNLSLDSRMVYLNLGMAAQFGSKMNDGGGDESGSGRPRGGGIGPSSMYPSHAFP >Ma10_p04210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14915514:14918298:1 gene:Ma10_g04210 transcript:Ma10_t04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRWASFTSLETSSRLPFVQLPWTLNPRATTLAFSSSNDAAAAASASNYIHLSDEQLMAQCDMDTFKASGPGGQHRNKRESAVRLSHRPTGIVAQAVEERSQHKNRAMALTRLRTLLALKVRKPIDLDGYTPPSELLQILPAKSTIRGKDVGPQIGPNNPKFALGMQALLDLIFSVEGSVSETAKILGAG >Ma10_p04210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14915487:14921774:1 gene:Ma10_g04210 transcript:Ma10_t04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRWASFTSLETSSRLPFVQLPWTLNPRATTLAFSSSNDAAAAASASNYIHLSDEQLMAQCDMDTFKASGPGGQHRNKRESAVRLSHRPTGIVAQAVEERSQHKNRAMALTRLRTLLALKVRKPIDLDGYTPPSELLQILPAKSTIRGKDVGPQIGPNNPKFALGMQALLDLIFSVEGSVSETAKILGLSTGALSRLILSDDSLRVTVNELRTSKGMRPLR >Ma05_p05380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4083979:4085059:-1 gene:Ma05_g05380 transcript:Ma05_t05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMFNSDHQGLCGMPAAPRISFSSDFAVEPPATRTPGPPPDPNFEFAVGSHSMIDADQLFFKGRLLPFRDSHQGGPQRITTLREELGANDEDGVQLERPPRGTIKWKELLGLKKSHCSSAGAAASEVVAKKSHKKNEDELPVKPTQELRGHGEANFST >Ma04_p37700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35476955:35481893:1 gene:Ma04_g37700 transcript:Ma04_t37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDATVLDDIIRRLLETKGGRTVKNAQVTDPEIRQLCVASKEVFVSQPILLELEAPIKICGDIHGQYADLLRLFEYGGYPPQANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRYNVRLWKVFTECFNCLPVAALVDDKILCMHGGLSPELKSLDQIRNIVRPVDIPDQGLLCDLLWSDPDKDIEGWGENDRGVSYTFGSDKVAEFLQKHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGALMSVDGTLTCSFQILKPADKKKGFGNMLKPGTPPRKGGRC >Ma05_p21060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32779331:32779759:-1 gene:Ma05_g21060 transcript:Ma05_t21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGNWMYENNGGTCVAIAGADYCVIAADTRLSVGYSIYTRDYSKICKLADKCVMASSGFQGDLKALQKNLAAKHL >Ma02_p21420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27040424:27045216:-1 gene:Ma02_g21420 transcript:Ma02_t21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPEDAKDWFYKGEGAANIVLGYCGSSLSLVGKVLRIQKVAKGRSRSPNGCLVLSNHERLVWRDIGELVECTSKDVAARAFIQHVMSNLLDSKHVDAGILVHVSKEFLEAVEGNIKSQRPPWRVDASKIDVLHESALLISDHSIISGTPKHDLCIAVEIKPKCGFLPSSEYIVEANAVKKHVTRFKMHQFLKLHQGKISQISLYDPLDLFSGLKDRIHLAITALFACPQNNFRIFFNGSLIFGGLGGGLDNTVVQSHKAEEAIVDLISPSGLQLASFLELVAEAIFRSGILDKLLETQQLDVLDIEGAIHVYYNIISQPCVVCKNLIDAELLHQYSFLHSLSLEESLKIVRGYLIAATAKDCSLMISFSRTEDGHNASDCNSASLKSSNQCYNYKACFIDLDLKPLEKMVHYYKLDQKIVDFYKTSGETEGKPSILEVEAHLEKN >Ma06_p07380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5250381:5251053:1 gene:Ma06_g07380 transcript:Ma06_t07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYASSDGAAPSTTPSSSIFTSLALPFAVAVALGLLVLLSAFLLASYVCCRGQPQSTALDPSAVPADGIVAPPRIIFVPEDDDGDGSEGGGGGQASGLDQTAISSYPKFPFSAAKGGDTVCSICLCEYRKGEMLRMMPDCRHHFHLLCIDVWLRLNASCPVCRTSPLPTPVSTPTSTPLSELVPLSQFAADRRRRA >Ma05_p31980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41646382:41648239:1 gene:Ma05_g31980 transcript:Ma05_t31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVAPPRTSTSSSGSGFLLSKLCKRRSVTVSSYNDNPKPNYRSSSADAGTGNGPDDNRDGKVHPQPLEEPLTRVSKCSEEAVILKLFDAITALKCAYVQLQQAHLPYEPKKIKMADDVMVSLLGTLSSLQEAYSSRSALHSQIQDCQGVLAQLQSKARIKYSEIRRLRWEMEELETENAAVEKMVRQTEKVALFYPNWEATPALFSRVCRSTARSFHDFAKPLISLMKASGWDLDRAVSSLDDSLFFAQRVHKKYALEAYLCRVMLGRAAEDESDHGLSMNRLDRVMTSQEPFDAVMEDPNGWFGKYCRAKYALAVPSKMERSFFGNLDQRSFVMSGGHPRTPFYEAFVRMARWAWALQVMANSFIPKAEMFYAKKGHDFSKDFMESVADGVICEEEGGETLEVGFTVTPGFRIGSTIVRCRVYPCRMASSIDA >Ma04_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22412121:22435012:-1 gene:Ma04_g19780 transcript:Ma04_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) UniProtKB/Swiss-Prot;Acc:Q8VYR9] MAAALDPARGALGLLVLFALISPSARVGVFSRPDKETREKFYGNLVKGESRNSSGEGSIAEIFDRVLEKEFSENDVPEGPDQSSFNNSVADHQAVLETVAIITHDKSKKNDTHEANSTKSFQIGDVFSLENEGSDDMTTLIDSKDNVFVMSNRKTKYPVLQVDLRLISDLVVVIVSATIGGIAFSCLGQPVIVGYLLAGSLIGPGGLRFISEIVQVETVAQFGVVFLLFALGLEFSSAKLKAVGPVAILGGLLQIIIFMFFCGLTAMLCGGKSSEGVFVGSFLSMSSTAVVSKFLVEKNITNSLHGQVTIGTLILQDCAVGLLFALLPVLGGGSGLFHGIMSMAKLLMVLSLFITAASVLSWSFVPRFLKLMIQLSSQTNELYQLASVAFCLLLTWVSDYLGLSLELGSFIAGVMISTTDFAQHTLDQVEAIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVVIVKTVVVTIVVKAFGYSIRTAFLVGLSLAQIGEFAFVLLSRASNLHLIEGKMYLLLLGTTALSLVTTPLMFKLIPVVMHLGNFMRWFPSENNMQNEDKATLHEVYDRSV >Ma03_p32950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34526302:34526727:1 gene:Ma03_g32950 transcript:Ma03_t32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVETDGKGFDIVRGTEIGKKHFKLSHFEEVFTTHHWMVRIYKLKPPKNRIRVKSNKSKSVCQARNKNFVIRAQIL >Ma09_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36101059:36104437:1 gene:Ma09_g24440 transcript:Ma09_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCVSYHHRDAPKRAAEGSVGSSSLPQLDDSEELEKIACSPDGEKSLARSFTFRELAAATRNFKPKNLIGEGGFGRVFKGHLESGQVVAVKQLNRNGMQGSQEFLVECLMLTMLHHINLVSLIGYCAEGDERLLVYEYMPQGSLEHHLFDLPPPKEPLDWNTRIKIAVGAAKGLTYLHDVVNPPVIYRDLKSSNILLDNEFNPKLSDFGLAKLGPVGDDTHVSTRVMGTYGYCAPDYAMSGKLTVKSDVYSFGVVLLELITGRKAFDFTKRLGEQNLIVWSRPFIKDRRKFSQLADPLLQGRYPIRPFHQLAMITAMCLHDHPHIRPTMREVSLALDHVATQLYVSETSHRIHDSAKRLAEGEDAGGLAVQENVKKAR >Ma01_p04560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3069520:3077994:1 gene:Ma01_g04560 transcript:Ma01_t04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEHVTTDVSGATDGIPPVPPGFSSARVSKAIDGIPPVPPGFSSARVSKAIDGIPPVPPGFSSVHVSKAIDRMPPVPPGFSSAHVSKAIDGIPPVPPGFSSLTSFTLKRVQDGVKASANASCRVHCMISDEKSRKNLRYRPWVNYSEFDNGSGKECDYEAFEQDIPSTPCLPKGVIRGCTECKTCQKVIARWHPQDACKPTLDEAPVFYPSEEEFRDTLEYISSVCQRAEKYGICRIVPPSSWRPHCLLKEKNVWENLKFTTRVQPVDKLQNRDSLKKINGNHNIMRTKKQKHQELRDNSDENITKVNRQRYHNSSERFGFETGPDFTLETFQKYADDFKEQYFHTNADFDVISGQQEPSVENIEGEYWRIVEKSTEEIEVLYGADLDTGVFGSGFPKASFSLQNSEIDDQHINSGWNLNNLARLPGSMLCFESGEIPGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGSPKIWYGVPGKYASKLEDTMKKHLPDQFEEQPDLLHNLVTQFSPSLLRLEGVPVYRCVQRPGEFVLTFPRAYHAGFNCGFNCAEAVNVAPLDWLPHGQNAVELYREQGRKISISHDKLLLGAAREAVRAHWNLLFFRKNPSVNARWKKFCSSDGILAKTLKARIEMECMRRKYLCSSRSQKMDTDFDSNNERECVLCHYDLHLSAASCLCSPDRFACLIHAKNLCSCAWNTRIFLFRYDTRELNVLLDALGGKPSAVHRWGSFDLGLSLSSYISREKTHEPNLIGMTDKEGREQKDIEPVTKEPSLGMDKNFDLGNPNMTSSSFLDQQLLSEGPQNTTLLQNNSKSMGEVKKFSSSLSKISGIELIESSAEKVAILLNGNEHKGTNGVVSDKMTAESPEKNTDMFSRQTDCDDKTTSHAHKDKILLVPQTNVLLANEKDLLAGPEVSRNKLKVILVDDDEQVEENCREVLSNLHGQQLFEFLPGKESECVRSSARVTFAKQSADMLPENEASDCGTEPIHLQIIQNYGRGEPEDKRKKVQSEFNSEVNLDRSNSSTEIQPCTQRNLDICNYEKMGPQMEKVVKISNCNIEVLVYGIVLSGKLWSTCHRIFPKGYRSRVKYISILDPTQMCYYISEILDAGLLGPLFMIPATSGCG >Ma01_p04560.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3069520:3083226:1 gene:Ma01_g04560 transcript:Ma01_t04560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEHVTTDVSGATDGIPPVPPGFSSARVSKAIDGIPPVPPGFSSARVSKAIDGIPPVPPGFSSVHVSKAIDRMPPVPPGFSSAHVSKAIDGIPPVPPGFSSLTSFTLKRVQDGVKASANASCRVHCMISDEKSRKNLRYRPWVNYSEFDNGSGKECDYEAFEQDIPSTPCLPKGVIRGCTECKTCQKVIARWHPQDACKPTLDEAPVFYPSEEEFRDTLEYISSVCQRAEKYGICRIVPPSSWRPHCLLKEKNVWENLKFTTRVQPVDKLQNRDSLKKINGNHNIMRTKKQKHQELRDNSDENITKVNRQRYHNSSERFGFETGPDFTLETFQKYADDFKEQYFHTNADFDVISGQQEPSVENIEGEYWRIVEKSTEEIEVLYGADLDTGVFGSGFPKASFSLQNSEIDDQHINSGWNLNNLARLPGSMLCFESGEIPGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGSPKIWYGVPGKYASKLEDTMKKHLPDQFEEQPDLLHNLVTQFSPSLLRLEGVPVYRCVQRPGEFVLTFPRAYHAGFNCGFNCAEAVNVAPLDWLPHGQNAVELYREQGRKISISHDKLLLGAAREAVRAHWNLLFFRKNPSVNARWKKFCSSDGILAKTLKARIEMECMRRKYLCSSRSQKMDTDFDSNNERECVLCHYDLHLSAASCLCSPDRFACLIHAKNLCSCAWNTRIFLFRYDTRELNVLLDALGGKPSAVHRWGSFDLGLSLSSYISREKTHEPNLIGMTDKEGREQKDIEPVTKEPSLGMDKNFDLGNPNMTSSSFLDQQLLSEGPQNTTLLQNNSKSMGEVKKFSSSLSKISGIELIESSAEKVAILLNGNEHKGTNGVVSDKMTAESPEKNTDMFSRQTDCDDKTTSHAHKDKILLVPQTNVLLANEKDLLAGPEVSRNKLKVILVDDDEQVEENCREVLSNLHGQQLFEFLPGKESECVRSSARVTFAKQSADMLPENEASDCGTEPIHLQIIQNYGRGEPEDKRKKVQSEFNSEVNLDRSNSSTEIQPCTQRNLDICNYEKMGPQMEKVVKISNCNIEVLVYGIVLSGKLWSTCHRIFPKGYRSRVKYISILDPTQMCYYISEILDAGLLGPLFMVVVEQCPSEVFLHVSATKCWDMVRERVNQEIQKQQKMATLNLPSLQPPGSVDGLEMFGLLSPAVIQFFHSR >Ma01_p04560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3069520:3083559:1 gene:Ma01_g04560 transcript:Ma01_t04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEHVTTDVSGATDGIPPVPPGFSSARVSKAIDGIPPVPPGFSSARVSKAIDGIPPVPPGFSSVHVSKAIDRMPPVPPGFSSAHVSKAIDGIPPVPPGFSSLTSFTLKRVQDGVKASANASCRVHCMISDEKSRKNLRYRPWVNYSEFDNGSGKECDYEAFEQDIPSTPCLPKGVIRGCTECKTCQKVIARWHPQDACKPTLDEAPVFYPSEEEFRDTLEYISSVCQRAEKYGICRIVPPSSWRPHCLLKEKNVWENLKFTTRVQPVDKLQNRDSLKKINGNHNIMRTKKQKHQELRDNSDENITKVNRQRYHNSSERFGFETGPDFTLETFQKYADDFKEQYFHTNADFDVISGQQEPSVENIEGEYWRIVEKSTEEIEVLYGADLDTGVFGSGFPKASFSLQNSEIDDQHINSGWNLNNLARLPGSMLCFESGEIPGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGSPKIWYGVPGKYASKLEDTMKKHLPDQFEEQPDLLHNLVTQFSPSLLRLEGVPVYRCVQRPGEFVLTFPRAYHAGFNCGFNCAEAVNVAPLDWLPHGQNAVELYREQGRKISISHDKLLLGAAREAVRAHWNLLFFRKNPSVNARWKKFCSSDGILAKTLKARIEMECMRRKYLCSSRSQKMDTDFDSNNERECVLCHYDLHLSAASCLCSPDRFACLIHAKNLCSCAWNTRIFLFRYDTRELNVLLDALGGKPSAVHRWGSFDLGLSLSSYISREKTHEPNLIGMTDKEGREQKDIEPVTKEPSLGMDKNFDLGNPNMTSSSFLDQQLLSEGPQNTTLLQNNSKSMGEVKKFSSSLSKISGIELIESSAEKVAILLNGNEHKGTNGVVSDKMTAESPEKNTDMFSRQTDCDDKTTSHAHKDKILLVPQTNVLLANEKDLLAGPEVSRNKLKVILVDDDEQVEENCREVLSNLHGQQLFEFLPGKESECVRSSARVTFAKQSADMLPENEASDCGTEPIHLQIIQNYGRGEPEDKRKKVQSEFNSEVNLDRSNSSTEIQPCTQRNLDICNYEKMGPQMEKVVKISNCNIEVLVYGIVLSGKLWSTCHRIFPKGYRSRVKYISILDPTQMCYYISEILDAGLLGPLFMVVVEQCPSEVFLHVSATKCWDMVRERVNQEIQKQQKMATLNLPSLQPPGSVDGLEMFGLLSPAVIQAIEELDCNHVCTEYWTATPNASNQSDIAIMATDHRPSVRPTEQHTPPQPAASKLAVRCLFKKANPEELHALRSLLPDNDLANASLQELVQLINDEIACRLSRRKHPKY >Ma01_p04560.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3069552:3083559:1 gene:Ma01_g04560 transcript:Ma01_t04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEHVTTDVSGATDGIPPVPPGFSSARVSKAIDGIPPVPPGFSSARVSKAIDGIPPVPPGFSSVHVSKAIDRMPPVPPGFSSAHVSKAIDGIPPVPPGFSSLTSFTLKRVQDGVKASANASCRVHCMISDEKSRKNLRYRPWVNYSEFDNGSGKECDYEAFEQDIPSTPCLPKGVIRGCTECKTCQKVIARWHPQDACKPTLDEAPVFYPSEEEFRDTLEYISSVCQRAEKYGICRIVPPSSWRPHCLLKEKNVWENLKFTTRVQPVDKLQNRDSLKKINGNHNIMRTKKQKHQELRDNSDENITKVNRQRYHNSSERFGFETGPDFTLETFQKYADDFKEQYFHTNADFDVISGQQEPSVENIEGEYWRIVEKSTEEIEVLYGADLDTGVFGSGFPKASFSLQNSEIDDQHINSGWNLNNLARLPGSMLCFESGEIPGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGSPKIWYGVPGKYASKLEDTMKKHLPDQFEEQPDLLHNLVTQFSPSLLRLEGVPVYRCVQRPGEFVLTFPRAYHAGFNCGFNCAEAVNVAPLDWLPHGQNAVELYREQGRKISISHDKLLLGAAREAVRAHWNLLFFRKNPSVNARWKKFCSSDGILAKTLKARIEMECMRRKYLCSSRSQKMDTDFDSNNERECVLCHYDLHLSAASCLCSPDRFACLIHAKNLCSCAWNTRIFLFRYDTRELNVLLDALGGKPSAVHRWGSFDLGLSLSSYISREKTHEPNLIGMTDKEGREQKDIEPVTKEPSLGMDKNFDLGNPNMTSSSFLDQQLLSEGPQNTTLLQNNSKSMGEVKKFSSSLSKISGIELIESSAEKVAILLNGNEHKGTNGVVSDKMTAESPEKNTDMFSRQTDCDDKTTSHAHKDKILLVPQTNVLLANEKDLLAGPEVSRNKLKVILVDDDEQVEENCREVLSNLHGQQLFEFLPGKESECVRSSARVTFAKQSADMLPENEASDCGTEPIHLQIIQNYGRGEPEDKRKKVQSEFNSEVNLDRSNSSTEIQPCTQRNLDICNYEKMGPQMEKVVKISNCNIEVLVYGIVLSGKLWSTCHRIFPKGYRSRVKYISILDPTQMCYYISEILDAGLLGPLFMVVVEQCPSEVFLHVSATKCWDMVRERVNQEIQKQQKMATLNLPSLQPPGSVDGLEMFGLLSPAVIQAIEELDCNHVCTEYWTATPNASNQSDIAIMATDHRPSVRPTEQHTPPQPAASKLAVRCLFKKANPEELHALRSLLPDNDLANASLQELVQLINDEIACRLSRRKHPKY >Ma03_p14820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14660806:14665933:1 gene:Ma03_g14820 transcript:Ma03_t14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWKKRSNLQSFLTHTTPMVPAYPLPKTCLRDLNWQLILRGKMEYFSLGDLWDQYREWSVYGVGVPIILDNCQRIVQYYVPYLSAIQIYTNKSLAPHRIFLEESERESSSDDSESEKLSRSSDAVSEDSILDQDSSWSTREILGQLYLQYIEYGSPYGRIPLMDKVNELAQNYPGLTSFKSVDISPASWMSVAWYPIYQIPNCRHVKDLSACFLTYHTISASFQDNNVPEDMMDSFHITESNARKQKRWGNCISLFPFGLATYKLQGGLWRNPETSDDEMINSLYSAAYSWLKQLGVDHHDFDFFTTH >Ma11_p08350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6642631:6656756:1 gene:Ma11_g08350 transcript:Ma11_t08350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSAPGVATSDAPPLEVPPWLKSLPLAPEFHPTLQEFQDPIAYILKIEKEAAAYGICKIVPPLPPAPKKTAVANLNRSFAARDPGGRKPPTFTTRQQQIGFCPRRPRPVQKPVWQSGEHYTLQQFETKARQFERSHLRRGGGGGGGRKASSAAATAPTALSPLEIETLFWRAAADKPFSIEYANDMPGSGFAPMPAAGRRWREEALANVGESAWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHELHSLNYLHMGAGKTWYGVPRDAGQAFEEVIRVHGYGGEVNPLVTFALLGEKTTVMSPEVLVGAGIPCCRLVQNAGDFVVTFPGAYHSGFSHGFNCGEAANIATPEWLRFAKEAAVRRASINYPPMVSHFQLLYALALSLCTRMPISDGSEPRSSRLKDKMKGDGEEMVKNAFVQNVIQNNHLLSVLLDMGASCVVLPKKAPEIPLCSNSLVRNQVKVKPRLSHGLCNHQEALEASRIIPSNDAGLGLNARVRDFSGLFSFRGNSTSVENGEKEGTIQGNGLLDQGLLSCVTCGILSFACVAVIQLNEATAKYLMSANCAFLNDHIIGSGEVSGISGDTNWKTSRNNLITDIVQVSDQSMEIVSDVTCPRGASALDLLASAYADSSDVEDEDIPHEKFMCFDKNGMNESSEIHGAIQHFKTAIEPQVLCSREVAHEETYMHLDGADNQIGMSAQSSRVADVSDTLNGHANDVVDNSCQQKSEFSSMNQLEDSKLVSISDLEDNGVMATSNASVKFVEEPKDVHVRDLDDDCQNAGTTEIYCSSFKSTSVSTEISVNHDFCGNPVVPEKSTAMHPELRNVDPKMTSSTGLVMQGSDKDSSRMHVFCLEHAAEVEKQLQPIGGVHMMLLCHPDYPKIESEAKLLAEELGIGYIWKDVKFREANKQDQERIKVALEDEEVMPMNSDWTVKLGINLYYTANLSKSPLYSKQMPYNPIIYKVFGRNSMGNSPVKPKANGRRPGRQRKIVVAGRWCGKVWMSNQVHPYLAHRMESQEQEHTEELYSLDIDQKPLIEIDIEHSSKASSKRNSSGSSVATKNSGKKRKKPSSKAKSKKRRCTMADADSKSEDVSGTSASSLGRTLRSNHRRQNVSTSLQKSSLKDESGGPSTRLRNRSSKCEEVKKKLAIKKQSRKKKANTPQTANLALKDEEQEFTCDIEGCTMSFSTKQDLALHKRDICPVKGCGKKFFSHKYLVQHRKVHMDDRPLVCPWKGCKMTFKWPWARTEHIRVHTGDRPYVCWEPGCGQTFRFVSDFSRHKRKTGHSAKKGRR >Ma11_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6642631:6656756:1 gene:Ma11_g08350 transcript:Ma11_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSAPGVATSDAPPLEVPPWLKSLPLAPEFHPTLQEFQDPIAYILKIEKEAAAYGICKIVPPLPPAPKKTAVANLNRSFAARDPGGRKPPTFTTRQQQIGFCPRRPRPVQKPVWQSGEHYTLQQFETKARQFERSHLRRGGGGGGGRKASSAAATAPTALSPLEIETLFWRAAADKPFSIEYANDMPGSGFAPMPAAGRRWREEALANVGESAWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYVAMLFSWFAWHVEDHELHSLNYLHMGAGKTWYGVPRDAGQAFEEVIRVHGYGGEVNPLVTFALLGEKTTVMSPEVLVGAGIPCCRLVQNAGDFVVTFPGAYHSGFSHGFNCGEAANIATPEWLRFAKEAAVRRASINYPPMVSHFQLLYALALSLCTRMPISDGSEPRSSRLKDKMKGDGEEMVKNAFVQNVIQNNHLLSVLLDMGASCVVLPKKAPEIPLCSNSLVRNQVKVKPRLSHGLCNHQEALEASRIIPSNDAGLGLNARVRDFSGLFSFRGNSTSVENGNMISSGSCNKYFRADLFSSSSDSQNLEGEKEGTIQGNGLLDQGLLSCVTCGILSFACVAVIQLNEATAKYLMSANCAFLNDHIIGSGEVSGISGDTNWKTSRNNLITDIVQVSDQSMEIVSDVTCPRGASALDLLASAYADSSDVEDEDIPHEKFMCFDKNGMNESSEIHGAIQHFKTAIEPQVLCSREVAHEETYMHLDGADNQIGMSAQSSRVADVSDTLNGHANDVVDNSCQQKSEFSSMNQLEDSKLVSISDLEDNGVMATSNASVKFVEEPKDVHVRDLDDDCQNAGTTEIYCSSFKSTSVSTEISVNHDFCGNPVVPEKSTAMHPELRNVDPKMTSSTGLVMQGSDKDSSRMHVFCLEHAAEVEKQLQPIGGVHMMLLCHPDYPKIESEAKLLAEELGIGYIWKDVKFREANKQDQERIKVALEDEEVMPMNSDWTVKLGINLYYTANLSKSPLYSKQMPYNPIIYKVFGRNSMGNSPVKPKANGRRPGRQRKIVVAGRWCGKVWMSNQVHPYLAHRMESQEQEHTEELYSLDIDQKPLIEIDIEHSSKASSKRNSSGSSVATKNSGKKRKKPSSKAKSKKRRCTMADADSKSEDVSGTSASSLGRTLRSNHRRQNVSTSLQKSSLKDESGGPSTRLRNRSSKCEEVKKKLAIKKQSRKKKANTPQTANLALKDEEQEFTCDIEGCTMSFSTKQDLALHKRDICPVKGCGKKFFSHKYLVQHRKVHMDDRPLVCPWKGCKMTFKWPWARTEHIRVHTGDRPYVCWEPGCGQTFRFVSDFSRHKRKTGHSAKKGRR >Ma04_p04680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3582431:3583560:-1 gene:Ma04_g04680 transcript:Ma04_t04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTARLLARAPGSGRVPAVLRSASTRLHDGPIGQAEAAVDRFDLKAYMADKARRVDAALDRAVPLRYPERLHESMRYSLLSAGKRICPILALASCELVGGDEATVMPVACAVEMLHAVSLIHDDLPCMDNDDLRRGQPSNHRVFGEGTAVITGDALIALAFEHVAAATASVPADRVLRAVAEYGSAIGSEGLVAGQFVDIDSEGKAVGVGVLEYIHLHKTARLLEAAAACGVIVGGGGDAEVESVRRYARCVGQLFQVVDDILDVTKTTEELGKTAGKDVASGKTTYPKLMGLEKAQELAQTLVVKAEAELHGFDRVKAAPLRHLARYIADRQN >Ma05_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7384345:7387179:1 gene:Ma05_g10250 transcript:Ma05_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRYGPVFRTSLIGLPVVVSTDPELNRFVFQQEGRLFESWYPETFTEIFGRSNVGSLHGFMYKYLKSLVLKLFGPESLKDLLLRDVETAACANLSSWSRLSSIELKEATSNMIFDLTAKKLISYESSSSSESLRKNFVAFIRGLISYPVDLPGTAYYQCMQGRKNVMKVLKNMLKERRNSPRKQHGDFFDSLIEELNKERSLITETIALDLMFVLLFASFETTSLALTLAIKLLTDHPNVLEKLTEEHDTIIKNREDPLSGVTWMEYRSMTFTFQVITETARLANIVPGIFRKALKDIQINGYTIPSGWRVMVCPPAVHLNPEIYKDPLTFDPWRWKERPELNGGSKHFMAFGGGMRFCVGTEFTKLQMAIFLHCLVTKYRWRAIRGGNIVRTPGLGFPDGYHIQLFPKA >Ma08_p02360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1855967:1866038:-1 gene:Ma08_g02360 transcript:Ma08_t02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDKAIEIDVLERRLLSGSVSNEYSEVDEDTILYTASFDEMEDNYVKYQTAQWILYSLLLVLAWGIGVLMLLYLPVRRYVLRKDFRSRKLYVTPNAIVYKVSRPVPFPCFGVLKKEKHVLLASVADIVIEQGYLQSFFGIYSVRIHNEGVRRIANDDFQIQGVSDLRAFRKAILMHLSNIRSDGFNRQTSVNEDPQTFGSCPPSGSWIAPPGDLILQRLEEVGSSIKKVQTLIEKKPEASEIMD >Ma08_p02360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1855967:1866060:-1 gene:Ma08_g02360 transcript:Ma08_t02360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDKAIEIDVLERRLLSGSVSNEYSEVDEDTILYTASFDEMEDNYVKYQTAQWILYSLLLVLAWGIGVLMLLYLPVRRYVLRKDFRSRKLYVTPNAIVYKVSRPVPFPCFGVLKKEKHVLLASVADIVIEQGYLQSFFGIYSVRIHNEGVRRIANDDFQIQGVSDLRAFRKAILMHLSNIRSDGFNRQTSVNEDPQTFGSCPPSGSWIAPPGDLILQRLEEVGSSIKKVQTLIEKKPEASEIMD >Ma08_p02360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1855979:1866038:-1 gene:Ma08_g02360 transcript:Ma08_t02360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDKAIEIDVLERRLLSGSVSNEYSEVDEDTILYTASFDEMEDNYVKYQTAQWILYSLLLVLAWGIGVLMLLYLPVRRYVLRKDFRSRKLYVTPNAIVYKVSRPVPFPCFGVLKKEKHVLLASVADIVIEQGYLQSFFGIYSVRIHNEGVRRIANDDFQIQGVSDLRAFRKAILMHLSNIRSDGFNRQTSVNEDPQTFGSCPPSGSWIAPPGDLILQRLEEVGSSIKKVQTLIEKKPEASEIMD >Ma08_p02360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1855967:1865804:-1 gene:Ma08_g02360 transcript:Ma08_t02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDKAIEIDVLERRLLSGSVSNEYSEVDEDTILYTASFDEMEDNYVKYQTAQWILYSLLLVLAWGIGVLMLLYLPVRRYVLRKDFRSRKLYVTPNAIVYKVSRPVPFPCFGVLKKEKHVLLASVADIVIEQGYLQSFFGIYSVRIHNEGVRRIANDDFQIQGVSDLRAFRKAILMHLSNIRSDGFNRQTSVNEDPQTFGSCPPSGSWIAPPGDLILQRLEEVGSSIKKVQTLIEKKPEASEIMD >Ma03_p15900.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17496774:17500410:1 gene:Ma03_g15900 transcript:Ma03_t15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGVESELNKGAVEKAPEANGFNENEVTENGADAVRIDSGSDGTSKVEAPDSSGDGDEAPTSAAENKAPSNSSEWGDGSDGKDDIKKTQKNSGALNASLAESQKKRNVMSQSSSFPPKGSLADNSRRSTTSTKQSRVASSITNGDVVVKRSAAIAQKTLSVNTDSAAEATINGTSAEDAQSNDSKTKPSGSPLPAGKEDDAHSTSSSSPRARKSAGCGFSFRLDERAEKRKEFFMKLEEKNHAKELEKTNLQAKSKENQEAEIRRLRKTLTFKATPMPSFYQEPGPPKVELKKIPPTRARSPKLGRRKQSVSAADGSSEVGTSSSTKPSDGAASSKGNAAFPKKPKQKLLSKLPSQKSPTATKPDAKSLMPGTEKPEVGNSSAETCVAAEPASPGDSAEEGQTNGDLPETEVAAQEVPVRG >Ma03_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17496774:17500410:1 gene:Ma03_g15900 transcript:Ma03_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGVESELNKGAVEKAPEANGFNENEVTENGADAVRIDSGSDGTSKVEAPDSSGDGDEAPTSAAENKAPSNSSEWGDGSDGKDDIKKTQKNSGALNASLAESQKKRNVMSQSSSFPPKGSLADNSRRSTTSTKQSRVASSITNGDVVVKRSAAIAQKTLSVNTDSAAEATINGTSAEDAQSNDSKTKPSGSPLPAGKEDDAHSTSSSSPRARKSAGCGFSFRLDERAEKRKEFFMKLEEKNHAKELEKTNLQAKSKENQEAEIRRLRKTLTFKATPMPSFYQEPGPPKVELKKIPPTRARSPKLGRRKQSVSAADGSSEVGTSSSTKPSDGAASSKGNAAFPKKPKQKLLSKLPSQKSPTATKPDAKSLMPGTEKPEVGNSSAETCVAAEPASPGDSAEEGQTNGDLPETEVAAQEVPVRG >Ma09_p26540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37791715:37792595:1 gene:Ma09_g26540 transcript:Ma09_t26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVLLDFWASPFGQRCRIALAEKGVEYEYREENILGAKSPLLLKSNPVYKKVPVLIHDGKPVCESLIIVQYIDEAWPDHAPLLPADPYARAQACFWADFVDMKFNECGSRLWKLKGEAQAAAKEEFIGILKLLEGELGDKKYFGGDAFGFVDVALAPFVSWFYSYETCAGFSIEEAAPKVVAWGKRCMERESVANALSHPDKIYEMVGVLKKKFGVE >Ma07_p13270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10017981:10022279:1 gene:Ma07_g13270 transcript:Ma07_t13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPHCFASACAFGPSSLTFLILMLSCPLASSASISTLNSSNENIDRLALLSFKSLVSDPFRALASWNDESLHFCRWRGVTCRNQSHLPRVTALELESLDLAGKISPSLANLTFLRRLHLADNRLHGPIPQELGLLSHLQRLNLSSNALRGAIPHNLGRCSELQYMDLSNNLLEGEIPNDLGALSKLKILYLGGNHLKGSIPPDIGNLVSLRELYLHENQLIGSIPSEIGNMTSLTTLSLAVNQLSGSVPLEIGKLVRLEELYLDVNQLTGSVPTSIGNLISLVFLELSHNQLTGVIPQNLSHCSELQYIYLGNNTLEGEIPSDIGSLSNLKLLALGGNHLRGSIPPEIGNLVSLRELYLHENQLTGKIPLEIGNLSNLTILHMPFNKISGSVPSEIGNLVRLEQLYLDSNQITGVIPGSIGNLTSLIWLQLSYNQLVGDIPPNLSHCSELQYIYLENNTLEGKIPSDIKSLTNLKVLSLGDNRLTGSIPSEIGNLVNLNDLSLYSNKLTGIIPSEIGNLVNLTVLDLLSNGFVGPVPAAIGNLTSLTYLGVQFNNLGGVIPPSIWNLSSLRNLELENNNFTGSIPPDMGITLPLLKRIHINDNQFYGPLPISLSNATNLIDIQLYKNRFTGTIPRGLGSLQKVYHFDLRYNQLEARNAVEWGFLDDLANCSSLKYLQLTSNNLSGFLPQSIGNFSTTLEWIEIDDNHISGSIPAEIGNLVSLTLVRMNSNLFTGKIPATVGNLSNLHIMDLSRNCFTGEIPATLGDLTRLIELRLHSNELQGPLPPSLGNCPLKLLDLSFNQLNGTVPKEILSIPTLTRFLNVSYNSLAGSLTPQVGNMKNIGQFDISGNRLSGTIPRTLGDCQQLDSLDMAGNSFQGSIPSSFSQLKGLQSLDLSRNNLSGLIPEFLGNFRFLSYLNLSFNNFEGELPKHGIFTNLTAFSVLGNSKLCGGVQALNLPPCPTPSSSKRHLSRKLVAAISVAGGIICLIFLLALFGIHRWIRKSKKEPRAADNRMVPHMMVTYAELLRATDGFSSANLVGVGSFGSVYKGLLNYEEYQLVAVKVLNLQQRGASRSFVAECEALRNVRHRNLVKILTACTSTDYRGNDFKALLYEFMPNGSLEKWVHPEANEQGQTRALSLIKRLNILIDVASALDYLHHHGPEPIVHCDIKPSNVLLDHDMVAHVGDFGLARFLNGSPTEASQRSSTSMNIKGSIGYVAPEYGVANKVSVEGDVYSYGILLLETLTGKRPTGEGFMDGLSLPRYVEMALPERVSEIIDPNLHFEEGEAANGQIQGTDYIRDKAVECVNLSLRIGVRCAKESPQERMQMRDVISELTAIRNGWIHH >Ma04_p27120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28379915:28390733:-1 gene:Ma04_g27120 transcript:Ma04_t27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTHFVIRVQPNDTIIAVKKNIEQEQGKDSYPWGQQLLIHNGKVLKDETTLEENKVNEDGFLVVMLSKSKAAGSSGSSSAQPPTTSAVQHPAPKEPPAQVPVQVPAQVSAQTPSQVPAQVPPRISAEAPSQILASADAYGQAASNLIEGSNLEQMVGQLMEMGGGNWDRETVLLALRVAYNNPERAVEYLYSGIPATAEIAVPVDPFPSSQAFAQGADSTDLAAPGHPSGAPNSSPLNMFPQGNINTGVGTGGGSLDFLRNNQQFLALRAMVQANPQILQPMLQELSKQNPQLLRLIQEHHAEFLQLINEPIEGFEGDMFDQADQDEMPHTINVTAEEQEAIRRLEAMGFDRARVIEAFLACDKNEQLAANYLLEHAGDED >Ma11_p06730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5405993:5406427:1 gene:Ma11_g06730 transcript:Ma11_t06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIERTSGVDLVATGSPSTGGGRGRAQEPCSPKDLASAYDAAGLPPLLDRGLARDRATAVSALAAQRPNGVVVSGSERFKREMMDLEELLSRLNPMAEEFVLPSLSGQGNWCGAAGGGDGGFYANGFG >Ma04_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16941916:16943133:1 gene:Ma04_g16970 transcript:Ma04_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFASKSHALCVLLLLSLSAAALGHSRKVLYEEEEEQEHKFDLVSRLRGQPEVSFRQYSGYVTVHESHGRALFYWFFEATQDVEKKPLLLWLNGGPGCSSIGNGAVEELGPFLMQKGVPELGLNEYSWNKGKQANLLFLESPFGVGFSYTNTSSDCDGAGDELTVTAIDAHAFLLNWFKRFPQFKSHEFYIAGESYAGHYVPRLAENIYEANKKSKEEDRINLKGFMIGNAAIDEETDSAGLVDYAWSHAVISDGLYHRISRLAT >Ma10_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31279333:31280691:-1 gene:Ma10_g21490 transcript:Ma10_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGVILMHRYELGRLLGQGTFAKVYHARSIASGQNVAIKVIDKEKVMRVGMIEQIKREISIMHLVRHPNVVQLYEVMASKSKIYFVMEYVKGGELFNKIAKGNLGEADARKYFQQLIGAVDFCHSRGVYHRDLKPENLLIDENGNLKVSDFGLSALKESERQDGLLHTLCGTPAYVAPEIINKKGYDGAKADIWSCGVILYVLLAGCLPFHESNLIDMYKKITKGDFRCPHRFPSEVRKLLARLLEPNPDARITIQLLVESPWFQKGFKPVEARARSQSLPNLKDVTSAFNVENTFDDESDKKAEMSEPVKPTSLNAFDIIALSPGLDLSGLFERGSGEKQEARFTTQRPASTIVSKLEEIAEMEHFKVKKKAGLVKLEGSKEGRKGQLAIEAQIFEVTPAFHVVEVKKSYGDTMEYQKFCDHDLKPYLKDIVWTWQGGADQHQQHLNQT >Ma06_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8724523:8729053:1 gene:Ma06_g12590 transcript:Ma06_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWAFLLSTLTSSLLSLLVFSAAAAVISGRRGRRRAAGFFHPYTIDGGGGERVLWCAVKAVQEENPDLDCAVFTGDDASPQSLSARALDRFGVKLLRPPQVVRLYRRKWIEEHTYPHFTMIGQSLGSVYLSWEALRKFTPQFYFDTSGYAFTYPLARIFGCKVICYTHYPTISSDMVSRVLQQSSMYNNDALIASSMLLSRCKVVYYTLFSWLYGLVGSSAHLAMVNSSWTRSHIEGLWKIPRRIKRVYPPCDTSSLQLLPLERPVRSPVIISVAQFRPEKAHGLQLEAFALAVRRLDQDLPRPKLLFVGSCRNKQDEERLQKLKHRSKELSIDDYVEFHRDVMYRDLVQLLGGAVAGLHSMIDEHFGISIVEYMAAGVIPIAHNSAGPKMDIVLNEGGHRTGFLASSKEEYAEAILKVLKMPEPERLAIAAAARKRAQRFSEQKFFEDFEAAVQPILAPKASS >Ma05_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1548892:1550520:1 gene:Ma05_g02400 transcript:Ma05_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSAIRHQSFSLFATTHSSLVPPASHRTAAAIVEAAPLRERRASSLINIPATLSSLLHLHLERKPDDRSVGLPPPAVGRNNPTVSPKEDISSLFPEIHGYADWSPLLNPLHPWLRREIIKYGEFAQATYDAFDFNPLSEFCGSCLYGRHRLLDKLGLARNGYRVSKNVYAMSHVELPRWLERSLHADAWCTESNWMGYVAVSDDAESRRIGCRDIVVAWRGTIAPTEWFEDVQGKLEPFGEAHADVKVEHGFLGVYTSKSDRTRYNKTSASEQVMEEIKRLVSHYRQRGEEVSLTITGHSLGGALALLNAYESASTIPDLPISVISFGGPRVGNVAFGEKLKEMNVKVLRVVVKQDMVPKMPGILFNEGLKRFEHVTGTLEWVYTHVGLELGLDVRSSPYLKHGLDVAGFHNLETYLHLVDGFLSSDKEFRGNARRDVALVNKASGMLRDELHIPPCWVQMANKGMECNAYGRWVKPAREPEDIPSPYREEAQL >Ma02_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13033453:13039963:1 gene:Ma02_g02040 transcript:Ma02_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLAAALGGAAGAVALVGTTIIIIRYCLFRNRSISRSETNSSDPSLQVGQTVEPSLGGGVSYAPEFQGARCFTLEELNVATKNFSNINLIGYGTFGEVSKGLLHDGMVVAIKRRSCPRSQEFIEEVRYVSSIRHRNLVSLLGYCQEDHIQMLIYEYIPNGSVSTHLYGAIQASSVKLEFKHRLSIAHGAAKGMVHLHSLNPPLVHMNFKTRNVLVDGDLTPKVGDAGIHSLLDRIDGAASSSRITEDDPFLDPDVKESGTLSIKSDVYSFGVFLLELVTGREARSDRSIIQQAQNYHEAVDLSTLVDNRMGSSFTSEGIWDLLQLISWCLNSSSEERPPMKFVELELHRIHEREMSLTTIMGEGTTTVTLGSQLFTTS >Ma11_p08680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6905211:6906120:-1 gene:Ma11_g08680 transcript:Ma11_t08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGQQLAGVPRPWSRAEDKLFETALVVYSEGTPDRWSAIAEQLPGRSAAEAWERYQALVHDCDMIERGMVELPECWGEYEDGGDLDGSDGGAEGNQPTARTRREERKRGVPWTEEEHRLFLEGLSKYGKGDWRNISRWAVKTRTPTQVASHAQKFFIRQSQNAGKSRETKRKSIHDITCPSPSSSMSCLK >Ma08_p26950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39360263:39362743:1 gene:Ma08_g26950 transcript:Ma08_t26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNGSLADRKGSDQVATGKSTSSSNSKKNKRRQKKSAAMPTAVQRVFEACKEVFADGAPGIVPSPDEVERLRSVLDAVMPADVGLSPNLSFFRDVGTGGPPPVTYLHLYECPKFSIGIFCLPQGAVIPLHDHPAMTVFSKLLMGSMHVKSYDWVNDPLGSDQRIKSSTGASLAKLHTDAISVAPCETSVLYPAAAGNLHCFTAVTSCAVLDVLGPPYDDEEGRACTYYRGNACSNLPGDGLTRCGEREEYYAWLEERGSEPDELVVRGAEYKGPRIVDH >Ma03_p18600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24164610:24179433:1 gene:Ma03_g18600 transcript:Ma03_t18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPREADIPALFIFLVLIPLFTYILLGRWNEAAKKKARISILAQLAAEEAFQVEAMASASVLQVLPSSRTGFHECTRCFAPATTRCSRCKSVRYCSGKCQIIHWRQGHKHECQQWHDSSLDVLAGLPLKDTVRHKSILNNSKSSYLCNGIEEPLHYNIQNDMDDPSFISTDTSEDSETGRKSSRMGVVNKSKKDKSYGDDYTACAFDQDHDHDASNRNSTVSPCQIHMNQETNISSNARSPMVQHNKSAAETRKGLEQSGSTATSSRLHSDKHVISECQNGANISPEKADFSESGFSSSNEKFHVSYPAEHYSAKESIMYRKPPYTLGHTASSSQKLAENMSRGYHSQSLGKICDKENGSGIRQKNISSNIHIRGLSGNASIEGIMAGSKKNPKALKRNLLLLLNDNKKNKVCQMLFPYEDLVKFFQCEEWRISPRGLLNCGNSCYANAVLQCLTGTKPLMVYLLQRSHSRTCCVKEWCLMCELEQHVSMLKEGGGPLSASKILLNMRNIGCRMGGGNQEDAHEFLRLLVMSMQSCIFGGRLKSKVKCQRCHLESERYESIMDLTLEIHGRVESLEDALTQFTAPEDLDGENMYRCGRCLAYVEARKQLSLHEVPNILTIVLKRFQTGKYGKINKCVTFPEMLDMIPFVTGTADNPPLYLLYAVVVHVDTLNASFSGHYISYVKDLEGTWFRIDDSEVQAVPPNQVMSEGAYMLFYARSFPRPPQAHTEKKLSHPPTFAKRSVVKSQKSSKHGQQRQDGSLHASENLVNPRNHMGKEHDTSSMEFSDATSSDWHLFTSSDDSSFTTESTRDSFSTADYGDNTSIDTISSIFNPFYAPSYVHGNAVSCTKFPPCRPQTRFFMDSTSGSLVANSAFRRYSGFLFHCGGKKRRREREREREREREREREEREREREREREVQRWESPL >Ma09_p15940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11297144:11298342:-1 gene:Ma09_g15940 transcript:Ma09_t15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGRPCSSATRQSEEGMDLRRGPWTVDEDLILMNYVTTHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILELHSRLGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSKQFKDIMRYVWMPRLVERIREASINSFAAMHQNAAAPLAPMQPLHPIAQPAPENTTDSLFSSPLVSESFTGSRETQAGDDTVVDWIQEPRLPSPGGYACPDLPDFDHGGWGESLWSVDDIWLQQQL >Ma03_p32700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34385882:34387011:1 gene:Ma03_g32700 transcript:Ma03_t32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAYCLQHMHELKPPIPHLNLLSSSIFVSEDFASKAKFQYISSTVADIDVWKEIFANVKTHGDDDLDHSKPLSADPANDVYSFGILLLEIVSGMVPCSEEQVSLLNLVVEYLNGNGGVSSLVDPTLKTHKDQEMETICEVIRDCINLDPRKRSTMKEVTSKLRDVIQISPEVATFSTFGGRSWRSYLSKQVNT >Ma03_p14610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14418995:14420773:-1 gene:Ma03_g14610 transcript:Ma03_t14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTVGASTSFVGHHLLYQRPSCKDDNFKRRTGSCRLLVTEFMGQRLPIGPSTSVVTPRQRYNGFVDSTVKSMAMELTKEKNPSKEDWRRIARDLGYTSDTAGVSPGRLWPPANKADDPMIHNPLLRQERMGCGWFAVIFEMEGVIVEDDSELQRQAWLVLSREEGRSPPLAFVLKRIEGMKNEQAISEVLCWSRDPTELRRLASRKEEIYRSLKNGGYYQLRSGSQELMTTLANHKIPLAVASTQPRKVLQEAVEGVGVQSFLDVIVAAEDVFRGKPDPEMFLYAAQLLNFIPQRCIVFGNSNSTVEAAHDARMKCVAVASKHPVYELRAADLVVRQLDELSVVDLKNLADIDSPEFESGEPEVEMEEEEDDPSPSCSVGVDDLFW >Ma06_p22230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17457990:17465163:-1 gene:Ma06_g22230 transcript:Ma06_t22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFESEEAAQLSHVNDCDRKREEQPMVAPPVEKLSSGDDRIRTRNDVNEKKESLGPKEGSDFAISAHTFTFRELSYATGNFRAESLLGEGGFGRVYRGRLETTGQVVAVKQLDRNGLQGNREFLVEVLMLSMLHHPNLVNLIGYCADGDQRLLVYEYMSMGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHNKASPPVIYRDLKSSNILLDKGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDIYSFGVVLLELITGRKAVETTKSHAEQNLVSWARPMFNDRRKLPSLADPKLQGRYPMRGVYQALAVASMCIQEEAASRPAIADVVTALSYLASQAYDPGTCPTSNNRPGGERRNRSADEGGGRTPALNSDAETAHNWQMNGENTSKETSANVRQGFDRERALAEAKIWGENWREKTQAKANAEENFHVASAIG >Ma03_p24690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28948892:28949983:-1 gene:Ma03_g24690 transcript:Ma03_t24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVLRRLKKPGLRFLILEGCASSVEEEHGTRTRVSDILEISILSSILLFSVSVPPPVSSEKSTKTRSELHILCTHLAFSSPVPLILPRQEFEQSLDLAGNRRFGSLER >Ma04_p19690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22298444:22301372:-1 gene:Ma04_g19690 transcript:Ma04_t19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEAMGTPSKPRPPGVVEAVEEIMRIYRSLPPRPSIEEVEAAMAVIRTADSEEEARIAEIGKIQKPPDVPEELFLVLQEVRNNLILLQGQEQRREAMTVVELDKRFQVFDELIQRASELVSSEEGGGVCEEKEFKADFNVEVPRIGRSLSLVKEETDEKGEQDVSHVLVHSSSKSQVPSANGDTKKLSLIQVASLIETSAKKGIGILDLQGKLMDQIEWLPNSLGKLQDVVELNLSENRIMALPTSIGSLRSLKKLDIHSNQLINLPDSFGELSNLVDLDLHANRLKSLPSTFGNLTSLANLDLSSNQLSVLPETIGNLTNLRSLNVETNELEELPYTIGSCTALVELRLDFNHLKALPEAVGKLECLEILILHYNRVKSLPTTMASLSKLKELDVSFNELESIPESLCFATSLVKLDVGRNFADLTALPRSIGNLEMLEELDISSNQIRTLPDSFRLLSKLRVFNADETPLEVPPRHVVKLGAQAVVQYMADLVSGRTANVEQARRPRGFWFWLCSLCTTSEEE >Ma03_p11620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9022039:9029422:-1 gene:Ma03_g11620 transcript:Ma03_t11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRQSDPAAASLPDVVRQVEAKLGLDLSHKAAFIRDQIELLLRPSVHPLPPHPQSQAAAGPHNPYILLHHLPLQQQIPPPKPTSSAGVAAPAPFPQHHHPGIAFQYPPPPPLPAAAVVAAYHLQQQLHQAPQGVPAAVGLAPVTVAMAAPKESAPPRAKRKGGPGGLNKVCGVSPELQPIVGEAAMSRTQIVKQLWAYIRKNNLQDPNNKRKIICNDELRRVFETDSTDMFKMNKLLSKHIIPLDYPKDTGAESKKLKAADVSATEITKPDSDEYPMVISDSLAKFFGREEREILKSVALSRVWDYIKANQLEDSANSSILCDPKLQELFGCESLPVSGISDLLANHLLKKS >Ma02_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25656870:25706746:1 gene:Ma02_g19510 transcript:Ma02_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGSEVEILKPRCDKREYRRIVLPNCLEVLLISDPETDKAAASMNVSVGSFSDPDGLEGLAHFLEHMLFYASKKYPVEDSYSKYITEHGGSTNAYTTSEHTNFHFDVNADCFEEALDRFAQFFISPLMSPDATLREIKAVDSENQKNLLSDGWRVSQLQKHLSSKNHPYHKFSTGNWNTLEVIPKSNGLDTRLELLKFYEENYSANLMHLVVYGREDLDAIQSLVERNFSNIRNIGRSSIHFPGQPCSSEHLQILVKAVRIKQGHVLRVIWPITPSIHNYKEGPCRYLGHLIGHEGEGSIFFFLKQLGWALSLEAGEGDWNFDYSFFSVVIELTDKGHEHLEDIVGLLFRYISLLQNSGISKWIFDELVAISETKFHYQDKFSPSHYVVNIASNMQIFPPEDWLVASSLPSKFVPSAILKILDELTPENIRIFWESKTFEEHTDSVEPWYGTPYSVEKVTSSTIKQWITKAPNVNLQLPKPNIFIPSDLEIKNVQEKVKFPVLLRKSRCSRLWYKPDTMFSAPKAYIRIDFNCPQSNLSPEAEVLTDIFTRLLMDYLNEYAYDAQVAGLYYAICDTGTGFEVIVLGYNDKMRILLETIVGRIEQFEVKPDRFSVIKESVIKEYQNFKFQQPYKQALYHCSLLLEDQTWPWSDKLEVLPHLEANHLAQFSTTMLEKTFLEFYIAGNIEPNEADSIVQHIEDILFKSTHPKCKPLFPSQHLTNRVIKLERGLCYYYPIEVLNEKDENSALVHYIQVHQDDIKLNVKLQLFALIAKQAAFHQLRSVEQLGYITALVQRNDSGVRGVQIIIQSTIKDPAYLDARVIAFLEMFESKLYEMTNEEYKSNVNALIDMKLEKHKNLREESAFYWREIVDGTLKFDRRESEVAALRELSKEELLDFFSTYVKVDAPQRKTLSVQVYGGLHTAEYKKVVQEADTHKVCQIKDIFSFRRSRPLYGSFKGGLGHMKLQKTRR >Ma02_p19510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25656870:25706670:1 gene:Ma02_g19510 transcript:Ma02_t19510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGSEVEILKPRCDKREYRRIVLPNCLEVLLISDPETDKAAASMNVSVGSFSDPDGLEGLAHFLEHMLFYASKKYPVEDSYSKYITEHGGSTNAYTTSEHTNFHFDVNADCFEEALDRFAQFFISPLMSPDATLREIKAVDSENQKNLLSDGWRVSQLQKHLSSKNHPYHKFSTGNWNTLEVIPKSNGLDTRLELLKFYEENYSANLMHLVVYGREDLDAIQSLVERNFSNIRNIGRSSIHFPGQPCSSEHLQILVKAVRIKQGHVLRVIWPITPSIHNYKEGPCRYLGHLIGHEGEGSIFFFLKQLGWALSLEAGEGDWNFDYSFFSVVIELTDKGHEHLEDIVGLLFRYISLLQNSGISKWIFDELVAISETKFHYQDKFSPSHYVVNIASNMQIFPPEDWLVASSLPSKFVPSAILKILDELTPENIRIFWESKTFEEHTDSVEPWYGTPYSVEKVTSSTIKQWITKAPNVNLQLPKPNIFIPSDLEIKNVQEKVKFPVLLRKSRCSRLWYKPDTMFSAPKAYIRIDFNCPQSNLSPEAEVLTDIFTRLLMDYLNEYAYDAQVAGLYYAICDTGTGFEVIVLGYNDKMRILLETIVGRIEQFEVKPDRFSVIKESVIKEYQNFKFQQPYKQALYHCSLLLEDQTWPWSDKLEVLPHLEANHLAQFSTTMLEKTFLEFYIAGNIEPNEADSIVQHIEDILFKSTHPKCKPLFPSQHLTNRVIKLERGLCYYYPIEVLNEKDENSALVHYIQVHQDDIKLNVKLQLFALIAKQAAFHQLRSVEQLGYITALVQRNDSGVRGVQIIIQSTIKDPAYLDARVIAFLEMFESKLYEMTNEEYKSNVNALIDMKLEKHKNLREESAFYWREIVDGTLKFDRRESEVAALRELSKEELLDFFSTYVKVDAPQRKTLSVQVYGGLHTAEYKKVVQEADTHKVCQIKDIFSFRRSRPLYGSFKGGLGHMKL >Ma04_p11180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7874370:7876796:-1 gene:Ma04_g11180 transcript:Ma04_t11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEVVSPSNGDRLGSSITSPSFVSPDRRPQSPVYFNSGGDLPCTYPVFSPEDSLALLSPLLPASGSVSISPSSVYFDEVEAAATATENRLYLARLALQYQEMTENYDLCLSHLRDAAEELESLRRENASLRAANVELTRRLCLLAGKHGGRVTISTAAALADEFRGLSVAEPPPAEESPTSVLAFQESVSGCQFTRTPVVEKRVSLPKSISIRSSGYLKLNPGGGATSAANRNGRFRLSNPVMIGSKQQRVSVGGGSTNKKGERSRGEDKEEEGGEGGGGAMEVEVYHQGMFKTELCNKWEESGECPYSDHCQFAHGIAELRPVLRHPRYKTELCRMVVSGGTCPYGHRCHFRHSLSPSDHQRLLLRP >Ma04_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7874370:7876755:-1 gene:Ma04_g11180 transcript:Ma04_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEVVSPSNGDRLGSSITSPSFVSPDRRPQSPVYFNSGGDLPCTYPVFSPEDSLALLSPLLPASGSVSISPSSVYFDEVEAAATATENRLYLARLALQYQEMTENYDLCLSHLRDAAEELESLRRENASLRAANVELTRRLCLLAGKHGGRVTISTAAALADEFRGLSVAEPPPAEESPTSVLAFQESVSGCQFTRTPVVEKRVSLPKSISIRSSGYLKLNPGGGATSAANRNGRFRLSNPVMIGSQRVSVGGGSTNKKGERSRGEDKEEEGGEGGGGAMEVEVYHQGMFKTELCNKWEESGECPYSDHCQFAHGIAELRPVLRHPRYKTELCRMVVSGGTCPYGHRCHFRHSLSPSDHQRLLLRP >Ma04_p11180.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7874370:7876755:-1 gene:Ma04_g11180 transcript:Ma04_t11180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEVVSPSNGDRLGSSITSPSFVSPDRRPQSPVYFNSGGDLPCTYPVFSPEDSLALLSPLLPASGSVSISPSSVYFDEVEAAATATENRLYLARLALQYQEMTENYDLCLSHLRDAAEELESLRRENASLRAANVELTRRLCLLAGKHGGRVTISTAAALADEFRGLSVAEPPPAEESPTSVLAFQESVSGCQFTRTPVVEKRVSLPKSISIRSSGYLKLNPGGGATSAANRNGRFRLSNPVMIGSKQQRVSVGGGSTNKKGERSRGEDKEEEGGEGGGGAMEVEVYHQGMFKTELCNKWEESGECPYSDHCQFAHGIAELRPVLRHPRYKTELCRMVVSGGTCPYGHRCHFRHSLSPSDHQRLLLRP >Ma04_p11180.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7874370:7876755:-1 gene:Ma04_g11180 transcript:Ma04_t11180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEVVSPSNGDRLGSSITSPSFVSPDRRPQSPVYFNSGGDLPCTYPVFSPEDSLALLSPLLPASGSVSISPSSVYFDEVEAAATATENRLYLARLALQYQEMTENYDLCLSHLRDAAEELESLRRENASLRAANVELTRRLCLLAGKHGGRVTISTAAALADEFRGLSVAEPPPAEESPTSVLAFQESVSGCQFTRTPVVEKRVSLPKSISIRSSGYLKLNPGGGATSAANRNGRFRLSNPVMIGSQQRVSVGGGSTNKKGERSRGEDKEEEGGEGGGGAMEVEVYHQGMFKTELCNKWEESGECPYSDHCQFAHGIAELRPVLRHPRYKTELCRMVVSGGTCPYGHRCHFRHSLSPSDHQRLLLRP >Ma09_p08500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5625902:5630990:1 gene:Ma09_g08500 transcript:Ma09_t08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRGFEARLSGHHRGKSQGAVHEVLDQCLVVQDSCWASLPPELLRDVITRLEASESTWPSRTNVVVCAGVCRSWREMCKEIVKSPEFSGKLTFPVSLKQPGPRDGSIQCFIKRDKSALTYHLYLCLSPAVLVENGKFLLSAKRIRRATCTEYIISTNACKITRSSNTYIGKLRSNFLGTKFVMYDTQPPYNGTASSQPGKISQRFYSRKVSPKVPTGSYNVAQVTYELNVLGTRGPRRMHCVMHSIPASALDAGGTVPGQPENLLPRSLEDSFRSISFAKSSIMDQSMDVSSSHFSDITGGARVADAEDDEAKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAIQPSAGVPTPSQSVPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma09_p08500.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5625902:5630990:1 gene:Ma09_g08500 transcript:Ma09_t08500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRGFEARLSGHHRGKSQGAVHEVLDQCLVVQDSCWASLPPELLRDVITRLEASESTWPSRTNVVVCAGVCRSWREMCKEIVKSPEFSGKLTFPVSLKQPGPRDGSIQCFIKRDKSALTYHLYLCLSPAVLVENGKFLLSAKRIRRATCTEYIISTNACKITRSSNTYIGKLRSNFLGTKFVMYDTQPPYNGTASSQPGKISQRFYSRKVSPKVPTGSYNVAQVTYELNVLGTRGPRRMHCVMHSIPASALDAGGTVPGQPENLLPRSLEDSFRSISFAKSSIMDQSMDVSSSHFSDITGGARVADAEDDEAKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAIQPSAGVPTPSQSVPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Ma01_p15800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11477431:11479333:1 gene:Ma01_g15800 transcript:Ma01_t15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCEKVGMKKGPWTAEEDKKLINFMLTNCHCSWRAVPKFAGLLRCGKSCRLRWINYLRPDLKRGLLSESEEKLVIDLHAQLGNRWSKIASHLPGRTDNEIKNYWNTHIKKKLRKMGVDPLTHKPIGTTSECHDQALPLQQTVDVAEGYWEVEEEEEEEKTMTSSTEYVTEIDASLQTSPGFCIEEVPMMQPHEIVIPEASTDDTSSSSSSGRSPKTDELRLSAMEWPESMHFFPMDDYGGWSFSSDHMLEHQTATTEYDPWK >Ma10_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:3816887:3819538:1 gene:Ma10_g00890 transcript:Ma10_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVLYLSSNHDILLIFLLFITKEIKQHILNVGLDLLLELLGSTNLKQQQDASVALYKVYLGEQYVNSSTLSDLTFLVEGKCFYAHRIALLASSDAFCAMFDGVYRCSYRYLPIDNFNLKCLSGCPRYQSKDHVGLGS >Ma02_p20850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26673363:26675293:-1 gene:Ma02_g20850 transcript:Ma02_t20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRLPDASKGSDHLRDVFGHMGLSDQDIVALSGGHTLGRCHKERSGFEGA >Ma04_p36350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34765916:34766461:-1 gene:Ma04_g36350 transcript:Ma04_t36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKIESSMHVALAAMSAPPVSLTTYAVPASGTASLDSTRSFERGINGSSSARTVIHGGVGRGRSKGKHSVVLLIFC >Ma08_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9707913:9708481:1 gene:Ma08_g12710 transcript:Ma08_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVDADATVQVQCGSSVIASTTTNSNGAFAMLLSEQTSTVSDLLSSCKLVIPTPVSTCDASLRATGKLQSPLQLLSGTGLDGLLGNKSLLGDIFGKGGLLGGILGGDGLLGGILGPSKYTVAGN >Ma08_p16000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16745324:16752397:-1 gene:Ma08_g16000 transcript:Ma08_t16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLTLRSLGVSHRSCPRFPLSRITSNTFRGFATNLSPPSKAVVYEQQGPPDQVTRVIDLPPVELKANDVCVKMLAAPINPSDINRIEGVYPARPILPAIGGHEGVGEVYSVGSGVCNLSVGDWVIPSPPSFGTWQTYIVKEETVWHKIDKDVPMEYAATINVNPLTALRMLEDFVKLSPGDTIIQNGATSIVGQCVIQLARIQGVHSVNIIRDRVGSEEAKGKLKNLGADEVYTESQLEVKNIKSLLGDLPEPILGFNCVGGSAASLVLKLLRQGGTMVTYGGMSRKPVTVPTSAFIFKDLALRGFWLQKWTNSEKAKDCIPMIDHILTLVKNGKLKYEMELVPLEDFSTALDKALGKSGSHPKQVIRF >Ma08_p16000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16745324:16752330:-1 gene:Ma08_g16000 transcript:Ma08_t16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLTLRSLGVSHRSCPRFPLSRITSNTFRGFATNLSPPSKAVVYEQQGPPDQVTRVIDLPPVELKANDVCVKMLAAPINPSDINRIEGVYPARPILPAIGGHEGVGEVYSVGSGVCNLSVGDWVIPSPPSFGTWQTYIVKEETVWHKIDKDVPMEYAATINVNPLTALRMLEDFVKLSPGDTIIQNGATSIVGQCVIQLARIQGVHSVNIIRDRVGSEEAKGKLKNLGADEVYTESQLEVKNIKSLLGDLPEPILGFNCVGGSAASLVLKLLRQGGTMVTYGGMSRKPVTVPTSAFIFKDLALRGFWLQKWTNSEKAKDCIPMIDHILTLVKNGKLKYEMELVPLEDFSTALDKALGKSGSHPKQVIRF >Ma01_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28061533:28074153:-1 gene:Ma01_g23470 transcript:Ma01_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFKNLTKLQVEVEEENGDGGYDGEKPLEAQNEEAEAKEVAEEMGQFTGLKVRRRAALFGECKGDYVGVPSDPFLHRILTKQGDITVLFADKVVKFTGSGKMKKHILLITDFAIYLVDPDADVLKRRIALAAVEKICLSKFDDNFFALIVPTEYDCLMASTRKIEIANVLTEATKGASEEIEVDFSDRFEYHAADDMVKEVEFEEVNGGIKTRITRKEIQ >Ma08_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11140277:11144820:1 gene:Ma08_g14020 transcript:Ma08_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQCWVGNYRSPTAVLGSTVVSGRGHFAVYTREGRRFVVPIAYLKSNIFQELFRMSEEEYGVPVGDRPITLPCDAAFMEHVVASLRDGRWKKPCAAPFFLTRA >Ma09_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36138375:36144270:1 gene:Ma09_g24480 transcript:Ma09_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGPTRPSSSYKKIRTRLWGRKSPKVASWWAHPAPTKRCWPERWWESRAFFSCAASEFLSCSWVLGRRGSGICSRRRRLRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVICFGSDQQPGPGRVKILQVSWYNKPLLPSTDLIVGGVGPSSPTRPLCRYEGRGLPLNAQASSPFPKEIVTPSRSDHRNRPPL >Ma01_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11034203:11045238:-1 gene:Ma01_g15240 transcript:Ma01_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSDASLDCALFELSPRRSRCELFVSGNGKTEKIASGFLKPFVTHLKVAEAQVARAGKSIKLEVDRSTNDGSWFNKGTLERFVRFVSTPEVLESANTYDAEMSQLEGARRIYSQGAGDMLSGTLGEGDTNTVAATDITKKELLRAIDVRLDTLKQDLATACARAFSAGFSIDNVSELLLFAEYFGANRLNDACNKFIVLCQSHPELTSQQQLQSMPLHLKSFADVNTRSSSSSDMSIDEPEFENDGGPTPPDGGDIQLHKSNIRQPSRLNTTELSGTSQQAKPIQWRRAVSEEPLPSASSSNEPAQLDVGGSFRRLSVQDRINLFENKQKEQSASSKNINTAGVVNRVVAGRGEHRRLPSDVSEKSVLRRWSGASDMSIDNSSNSNSVNGQKESGGAVGTPATGNLQLPSKNKTEETETLGLKDTATSQCRLDLKERTTDTSSSLQSECRGFFGSRDCLKDEDVKFTVTKVGPDLEEEQGKHHMSASVSRVDYCGLGDQDASRTHQIGFPETSNNAELKDHAACVIQSKEEKHVQMEDQAASPEISQALSTASEKVSWTDQEILPPPKRGVPLQADGNGVKDQARLVNRFRKFGRKTDAEVREVKAKDPSDSQFKVSSDFPSESDLQNSQSQRKTFPVRVEETGGRNAGSSRATTKEDAKYQGLNWRQQPSVTERSVDERRRHEINQPLAFPLEIAKEKLEVVEPPFAQWMEQVQVMMPLKGNQELNDELWMKANELEKLFAAHKLRTLSEQTTSSRRSRPVDVQEDHVPMVMEKRHTVVLPDHLPEKTLMRETSNSKVDFDANFLEKVGNKEYASSISQNLETLSPSDDSRGKFYYKYMQKRDAKLLEEWGTKSAQKEAKMKAMRDSLERSQAEMNSRYSRSADRQGSKYTHRLAENLRSFSNSSTLRSKNQQAVGSAQEEEEDLEELYEQVGQGQDASYNGPFDDYSSRSTNSIKLLPARTLPSSTLRTSVASAQKPSGKSAKSVSTKHRSQTENPLAESLPNFSDFRKENAKPSAAVNRVNTREKAKVLSRSKSIIEETNLVKEAKPRMSQSMRKSTPIPVEFKDLSPVNSDSLDLTSFGFSRAQTDSAFINKIQKSGEFKPFLRKGKGTGSDFGANVAKPKASMISEVNKDGEHFEGIIQQTDSVDLDKHVLERSSVEGDPKVADFPVDSDSEKPRQSVEYENSDDFVSENGDVQRFLSQADYDTATASPKFETSVGNAQESPGESPRSWNSQHHHSFSCVHEAISVDSPAGSPASWNLHPLNQMIEADAARMRKKWGSAQMPMIVANASQQSRKDVTKGFKRLLKFGRKSKGVESLVNDWVSASTASEGDDDTEEGRDLATRPTDDLRKSRMGYSLPNDGFNEGEIFPEQAQLLRSTIPNPPSNFKLGEDPLTGSSLKAPRSFFSLSSFRSKESKPR >Ma01_p15240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11034203:11045246:-1 gene:Ma01_g15240 transcript:Ma01_t15240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSDASLDCALFELSPRRSRCELFVSGNGKTEKIASGFLKPFVTHLKVAEAQVARAGKSIKLEVDRSTNDGSWFNKGTLERFVRFVSTPEVLESANTYDAEMSQLEGARRIYSQGAGDMLSGTLGEGDTNTVAATDITKKELLRAIDVRLDTLKQDLATACARAFSAGFSIDNVSELLLFAEYFGANRLNDACNKFIVLCQSHPELTSQQQLQSMPLHLKSFADVNTRSSSSSDMSIDEPEFENDGGPTPPDGGDIQLHKSNIRQPSRLNTTELSGTSQQAKPIQWRRAVSEEPLPSASSSNEPAQLDVGGSFRRLSVQDRINLFENKQKEQSASSKNINTAGVVNRVVAGRGEHRRLPSDVSEKSVLRRWSGASDMSIDNSSNSNSVNGQKESGGAVGTPATGNLQLPSKNKTEETETLGLKDTATSQCRLDLKERTTDTSSSLQSECRGFFGSRDCLKDEDVKFTVTKVGPDLEEEQGKHHMSASVSRVDYCGLGDQDASRTHQIGFPETSNNAELKDHAACVIQSKEEKHVQMEDQAASPEISQALSTASEKVSWTDQEILPPPKRGVPLQADGNGVKDQARLVNRFRKFGRKTDAEVREVKAKDPSDSQFKVSSDFPSESDLQNSQSQRKTFPVRVEETGGRNAGSSRATTKEDAKYQGLNWRQQPSVTERSVDERRRHEINQPLAFPLEIAKEKLEVVEPPFAQWMEQVQVMMPLKGNQELNDELWMKANELEKLFAAHKLRTLSEQTTSSRRSRPVDVQEDHVPMVMEKRHTVVLPDHLPEKTLMRETSNSKVDFDANFLEKVGNKEYASSISQNLETLSPSDDSRGKFYYKYMQKRDAKLLEEWGTKSAQKEAKMKAMRDSLERSQAEMNSRYSRSADRQGSKYTHRLAENLRSFSNSSTLRSKNQAVGSAQEEEEDLEELYEQVGQGQDASYNGPFDDYSSRSTNSIKLLPARTLPSSTLRTSVASAQKPSGKSAKSVSTKHRSQTENPLAESLPNFSDFRKENAKPSAAVNRVNTREKAKVLSRSKSIIEETNLVKEAKPRMSQSMRKSTPIPVEFKDLSPVNSDSLDLTSFGFSRAQTDSAFINKIQKSGEFKPFLRKGKGTGSDFGANVAKPKASMISEVNKDGEHFEGIIQQTDSVDLDKHVLERSSVEGDPKVADFPVDSDSEKPRQSVEYENSDDFVSENGDVQRFLSQADYDTATASPKFETSVGNAQESPGESPRSWNSQHHHSFSCVHEAISVDSPAGSPASWNLHPLNQMIEADAARMRKKWGSAQMPMIVANASQQSRKDVTKGFKRLLKFGRKSKGVESLVNDWVSASTASEGDDDTEEGRDLATRPTDDLRKSRMGYSLPNDGFNEGEIFPEQAQLLRSTIPNPPSNFKLGEDPLTGSSLKAPRSFFSLSSFRSKESKPR >Ma04_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4192799:4199947:-1 gene:Ma04_g05620 transcript:Ma04_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGGASYRNHRPPPPPPSPPSRPPPLPPNPSSLSTLSPLAPPFSLDHVFPSPPSSSHHHPLPPQPFPAADRPSASRLPSRTVASPAAGSIRSQPSSVGDSYYSHYYSNSQLLAFDDSFRHSSAYSRDGAGPWNRPADEEVGLGMDSDAYKMPPFQEGDGHNYYDNDSYGVWHGNSLTGEVHFGSQSCEWLQDKHRESYEQDMASCYTICTAPFSTPSALLEEIHGSGTTDSTSRMDLHDTSVPNSTYDRYMAQLDSCSVNPLVFYPAATYPTPSQVFAPSNLRTSSNFNCTSSCAMVHHEIPYKTNDPVIKPSKLKEPNLDQNLGSKAACDEKSGQDYNIMKSIVSPVNSGKEFPSGSNTASESPFNPAVLNPGLRLENLVTPDASPSMCNSVEPDKSMKNSLEALDQHNLAVDSPCWKGAPTFWQSPFTVEEMLVQKALDESKNFDDLCQDRKHLFEGVGNSKDSAEQVGSLIFNEMKQSSISDKPQCSSVLSSTRHEKPENSNKKLSDYRKGDNGTRVLIDDSPEEQMNKTSEVERRDSEVQDVGAARVVGTEGIAVNKLSPEKCIDDHNKGSCSSPLENVKELVKTIHSSSIKLLSTNFMGDDQLEPHDYRLLHSVINNIALVLKDKKGSVGCTPRCSGIEAAWTCNRCLDADDVNQSNMDHTCNMQGKIHSVGCNNVNSEFDNFVEGCNANLGKVYGMTQAIENALSKIPSEREGDARTLLYKNLWIEAEVATCRLKYELQLTQMKIESENLKPVQSGMSSSLPSAHDLQVNDSPLKAKGSLSGVISPTLDGEEIGSCQLPHEASSTHELNKSEDIESSVMVRFKVLKDRIISSNYRSMEEQGTLVDSDTGTCSDAKENAPGSPDVADSSGTKDRINLADLGFVEGVVQPYDTHKPRPWFSLSETRFDMQPPPAATSNSEIRPGLDTSKYENTREVFSGPLNGSLIQSYMTYKQGSWSLTGGHINPSFEWEHVPREESTQ >Ma04_p05620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4192799:4199947:-1 gene:Ma04_g05620 transcript:Ma04_t05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGGASYRNHRPPPPPPSPPSRPPPLPPNPSSLSTLSPLAPPFSLDHVFPSPPSSSHHHPLPPQPFPAADRPSASRLPSRTVASPAAGSIRSQPSSVGDSYYSHYYSNSQLLAFDDSFRHSSAYSRDGAGPWNRPADEEVGLGMDSDAYKMPPFQEGDGHNYYDNDSYGVWHGNSLTGEVHFGSQSCEWLQDKHRESYEQDMASCYTICTAPFSTPSALLEEIHGSGTTDSTSRMDLHDTSVPNSTYDRYMAQLDSCSVNPLVFYPAATYPTPSQVFAPSNLRTSSNFNCTSSCAMVHHEIPYKTNDPVIKPSKLKEPNLDQNLGSKAACDEKSGQDYNIMKSIVSPVNSGKEFPSGSNTASESPFNPAVLNPGLRLENLVTPDASPSMCNSVEPDKSMKNSLEALDQHNLAVDSPCWKGAPTFWQSPFTVEEMLVQKALDESKNFDDLCQDRKHLFEGVGNSKDSAEQVGSLIFNEMKQSSISDKPQCSSVLSSTRHEKPENSNKKLSDYRKGDNGTRVLIDDSPEEQMNKTSEVERRDSEVQDVGAARVVGTEGSCSSPLENVKELVKTIHSSSIKLLSTNFMGDDQLEPHDYRLLHSVINNIALVLKDKKGSVGCTPRCSGIEAAWTCNRCLDADDVNQSNMDHTCNMQGKIHSVGCNNVNSEFDNFVEGCNANLGKVYGMTQAIENALSKIPSEREGDARTLLYKNLWIEAEVATCRLKYELQLTQMKIESENLKPVQSGMSSSLPSAHDLQVNDSPLKAKGSLSGVISPTLDGEEIGSCQLPHEASSTHELNKSEDIESSVMVRFKVLKDRIISSNYRSMEEQGTLVDSDTGTCSDAKENAPGSPDVADSSGTKDRINLADLGFVEGVVQPYDTHKPRPWFSLSETRFDMQPPPAATSNSEIRPGLDTSKYENTREVFSGPLNGSLIQSYMTYKQGSWSLTGGHINPSFEWEHVPREESTQ >Ma09_p29230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39725400:39740821:-1 gene:Ma09_g29230 transcript:Ma09_t29230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNPIVFLDVAIDGNAAGRLMFELFADIVPKTAENFRALCTGEMGFGPMTKKPLHYKGSIFHRIIKGFMAQGGDFSRRDGTGGESIYGGKFADENFVLNHDGPGLLSMANAGRDTNGSQFFITFKPAPHLDGKHVVFGKLILGHETLKNIESVDVDGDRPVVPVKIVNCGELNESAAALHENDKKKNFKLKKVRDVSSDDGHEGRRCGRHKKPSSKGKRKKKRRRYSSESDSSSDTESESSETDSDSDSFTSSTSDVSSSNDDRRHRRKKYSRRDKYKHGKRKRDRRREKRRKRRDRKSRHKAKRMSESDSEMGSTNGSSSDDDGNHKRRAQKSKVPSHVSDEKKSQLPVERETIAIFLDKGDITEKLPGDGAKYHRENGEIQSNGITASKSVRDVDELPNLEANLNKSRSHSMSLNQSMSKSMSISPRRSPSESRSLSPRRSVSRSPSPRVLSRSPVCEPRRSSISRGSPQRSISRSPSRSVRSPARTVSISPVGQTERSKAKSPVKVHSRSVSGSSVRSLQERIPSGSLEKAPTRISLSRSPVKEKRRSISRSSGRSLQRRSPSRSPVRARSVSRSPVKSSGRSKSRSPAQARSRRSISKSQGSPVRRAISPPSNHRRSLSRSASPDGSPKRIRRGRGFSQQYSYARRYRTPSPDRSPIRLHRYGGRSDRDRYSSYRSYHNRSPPRRYRSPPRGRTPPRYRGRRSRTRSVSRSPVGYRGRARGGHSMSPARSRSPASEKLRSHGARDSIRTEKRGSVSRSRSPSGSRSRSQSRSSHDTRSPKPVSKEQSRSPSSSSGGKKGLVSYGDVSPDFGGK >Ma09_p29230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39725400:39740811:-1 gene:Ma09_g29230 transcript:Ma09_t29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNPIVFLDVAIDGNAAGRLMFELFADIVPKTAENFRALCTGEMGFGPMTKKPLHYKGSIFHRIIKGFMAQGGDFSRRDGTGGESIYGGKFADENFVLNHDGPGLLSMANAGRDTNGSQFFITFKPAPHLDGKHVVFGKLILGHETLKNIESVDVDGDRPVVPVKIVNCGELNESAAALHENDKKKNFKLKKVRDVSSDDGHEGRRCGRHKKPSSKGKRKKKRRRYSSESDSSSDTESESSETDSDSDSFTSSTSDVSSSNDDRRHRRKKYSRRDKYKHGKRKRDRRREKRRKRRDRKSRHKAKRMSESDSEMGSTNGSSSDDDGNHKRRAQKSKVPSHVSDEKKSQLPVERETIAIFLDKGDITEKLPGDGAKYHRENGEIQSNGITASKSVRDVDELPNLEANLNKSRSHSMSLNQSMSKSMSISPRRSPSESRSLSPRRSVSRSPSPRVLSRSPVCEPRRSSISRGSPQRSISRSPSRSVRSPARTVSISPVGQTERSKAKSPVKVHSRSVSGSSVRSLQERIPSGSLEKAPTRISLSRSPVKEKRRSISRSSGRSLQRRSPSRSPVRARSVSRSPVKSSGRSKSRSPAQARSRRSISKSQGSPVRRAISPPSNHRRSLSRSASPDGSPKRIRRGRGFSQQYSYARRYRTPSPDRSPIRLHRYGGRSDRDRYSSYRSYHNRSPPRRYRSPPRGRTPPRYRGRRSRTRSVSRSPVGYRGRARGGHSMSPARSRSPASEKLRSHGARDSIRTEKRGSVSRSRSPSGSRSRSQSRSSHDTRSPKPVSKEQSRSPSSSSGGKKGLVSYGDVSPDFGGK >Ma05_p08770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6462786:6464952:1 gene:Ma05_g08770 transcript:Ma05_t08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRRVSAEELREVILRSCGVGGGCSGRKAGPGGVRRRTGGDGTGWEEGRVVCVTGAVSFVGSAIVRRLLARGYAVRLLVDTQEDLEKLREKEMFQGGGVWAAAVANVMDLDSLCRAFDGCTAVFHSSSSVDPRGISGYSKHMVDVEVRATERVIEACVRTESVRKCVFTSSLLACVWRQRDPCHARRATIVDDNCWSDETICRDRKLWFALGKTMAEKAAWRVARGRDLNLVTVCPALVTGPGFQHLNPTGSIAYLKGAQYMFAEGLLATVDVEQLADAHVSIYEAMNRNACGRYICYDHVIQTGEEAAELERQLRLPNRVRGATREVDPTSFELSNRKLLELMNSRRRCTYDVYSYFP >Ma06_p29220.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30671646:30681862:-1 gene:Ma06_g29220 transcript:Ma06_t29220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWQQQLMYKQSQELHRQQQLQQLDQGPWQSSPLSQLSGVANPATGNQLSTPLHEMPINDTYNYIWPNNFVGGISNLPSNTQMFIAGNRNLMQPSSSAAMQNFANDVITSNDQSQAMQSMGFVPQEINQSFNGMPISGTSSVNQYSRFLGMSNSCHDLMTKANAYEAEKASYPYSTAQSYQQSAAQSCLQDKTLSVQNYPGKHIFGSSLLQNLGNDVTSGNFQQANNIRYSDQFDEFHGRQEQGDSSGNLQEKPVSEVGSSSGVASLDPIEQKILFGSDNDNWGFSFGGSLISGMGEDIHGHTLENDHYGSFPSIHSGSWSALMQESVQASSSDKGLREETSGLCFQKTEQVMGKPSVPINVNGKQPTAWDDSNLQSVTSVTSRSFPLFNDANATPNSSTSPSNQNFSEFAHEENDRILTEAPQVSFKLSTQGANNKEFCQNQDQTKLVEDGLLPPRPSSSGLWVGKTIEQHEEIQFKSKDIGGRWGDQQNLPLSDAPSGWNSSYPTASRSNKTLNYQENHGNLWKIGENRVYLNSGLQSVKSDISSPKIAEYSLASNYGSVRNPNALKLNQNKQQQVTNEQKSVFGIHFTPNTCMSSEGNKDVEENQNQPSRSPQGWATSLNATSERLCNTHENEKEHGRVDPGKGYIEDAAKERSLWTSNDQHPFMSGSQKSSIQYGEHTVGSRMLQNSLGKMRRTAEPSFPPNHLLSLQNRSSSNSEQPFAETSQFSGHIVCSDHMDGSKRIAVETGNLLSRNTIPVYASSSSFNGSTIQYSQIETIAQASKNVLELLHKVDQSGNGNSVNSSDIPAQAVADFSVTHAHFDGSSNIKGIGLQLTPPSVPMSHKSFSDINSAQLDNNAGYQNQGWSNFTSSARSVLALDDPSKIDKWDKMSSLPGQKLNEHPEANQHFNYSASADFPLAGNQLQEQQQLQQQHSSSAKDHLEHQQQQWQQQHISNTTSREVLDQSVKFSFGNQVNARAIVESASLTEQLHDSNDGSASGKSVQTSFPSLVGTLPTPGIVSAAENHVPVGSRFCSVNTDQIKPTFAGFSQITNSGEQLPVVETKSGSQSSLSGLSQQDGFSKMFHNVWTSISARQRQAGISPLLTPNVLQFIINHDRDTSPLGLPNVGDQVDKEESTPEVGSRSVNSQKGEVNPAQGKSVNLIQTEKVDVIPKSENASHSIEELLKPPLDAVPNVSISSLLCLQQEQDPPLSSQVLHAPPASIVSSSGDLDISGCVLKPSDIQQQKYSLLNQIQSMKASDSHLNKMTGNIPRGTAFSSNTSQMNFNMDQRFDHRQNAVYRFSADGKVGAASQILFPSDAKMLSSDSSDIKEKNPSTSIAGRPELHTHTRPLSTNSTANVLGRSEHTWVSPHIAPFWSEHYGTYKNGRMVALCDAQRSGKTAIQQPFVQKFPARMDDSDVVEQKLDSSYGSSYGQGTSAIKTDPSRSSPFLLAQNVMDHDIILRSKKRKSEITDMPWHKIITVCPERSQSIRMTELDWTMSANRLMEKMDDEAETMEDDLLMPQSRRRLILTTQLMHQLIPAVPAMLFEGEATSAYASVTYSVAKAALSDACSLVSFSESDSHVLLGNENMIFGELKTSQKVEDNTFSRFAENFIGKSKKLKTDFIRLEKGSTLLDLRLECQELERFSILNHLGKFHGRTHANGVVFFPKRNITPLPVPGNLPEGVLCLSL >Ma06_p29220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30671646:30681862:-1 gene:Ma06_g29220 transcript:Ma06_t29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWQQQLMYKQSQELHRQQQLQQLDQGPWQSSPLSQLSGVANPATGNQLSTPLHEMPINDTYNYIWPNNFVGGISNLPSNTQMFIAGNRNLMQPSSSAAMQNFANDVITSNDQSQAMQSMGFVPQEINQSFNGMPISGTSSVNQYSRFLGMSNSCHDLMTKANAYEAEKASYPYSTAQSYQQSAAQSCLQDKTLSVQNYPGKHIFGSSLLQNLGNDVTSGNFQQANNIRYSDQFDEFHGRQEQGDSSGNLQEKPVSEVGSSSGVASLDPIEQKILFGSDNDNWGFSFGGSLISGMGEDIHGHTLENDHYGSFPSIHSGSWSALMQESVQASSSDKGLREETSGLCFQKTEQVMGKPSVPINVNGKQPTAWDDSNLQSVTSVTSRSFPLFNDANATPNSSTSPSNQNFSEFAHEENDRILTEAPQVSFKLSTQGANNKEFCQNQDQTKLVEDGLLPPRPSSSGLWVGKTIEQHEEIQFKSKDIGGRWGDQQNLPLSDAPSGWNSSYPTASRSNKTLNYQENHGNLWKIGENRVYLNSGLQSVKSDISSPKIAEYSLASNYGSVRNPNALKLNQNKQQQVTNEQKSVFGIHFTPNTCMSSEGNKDVEENQNQPSRSPQGWATSLNATSERLCNTHENEKEHGRVDPGKGYIEDAAKERSLWTSNDQHPFMSGSQKSSIQYGEHTVGSRMLQNSLGKMRRTAEPSFPPNHLLSLQNRSSSNSEQPFAETSQFSGHIVCSDHMDGSKRIAVETGNLLSRNTIPVYASSSSFNGSTIQYSQIETIAQASKNVLELLHKVDQSGNGNSVNSSDIPAQAVADFSVTHAHFDGSSNIKGIGLQLTPPSVPMSHKSFSDINSAQLDNNAGYQNQGWSNFTSSARSVLALDDPSKIDKWDKMSSLPGQKLNEHPEANQHFNYSASADFPLAGNQLQEQQQLQQQHSSSAKDHLEHQQQQWQQQHISNTTSREVLDQSVKFSFGNQVNARAIVESASLTEQLHDSNDGSASGKSVQTSFPSLVGTLPTPGFSQITNSGEQLPVVETKSGSQSSLSGLSQQDGFSKMFHNVWTSISARQRQAGISPLLTPNVLQFIINHDRDTSPLGLPNVGDQVDKEESTPEVGSRSVNSQKGEVNPAQGKSVNLIQTEKVDVIPKSENASHSIEELLKPPLDAVPNVSISSLLCLQQEQDPPLSSQVLHAPPASIVSSSGDLDISGCVLKPSDIQQQKYSLLNQIQSMKASDSHLNKMTGNIPRGTAFSSNTSQMNFNMDQRFDHRQNAVYRFSADGKVGAASQILFPSDAKMLSSDSSDIKEKNPSTSIAGRPELHTHTRPLSTNSTANVLGRSEHTWVSPHIAPFWSEHYGTYKNGRMVALCDAQRSGKTAIQQPFVQKFPARMDDSDVVEQKLDSSYGSSYGQGTSAIKTDPSRSSPFLLAQNVMDHDIILRSKKRKSEITDMPWHKIITVCPERSQSIRMTELDWTMSANRLMEKMDDEAETMEDDLLMPQSRRRLILTTQLMHQLIPAVPAMLFEGEATSAYASVTYSVAKAALSDACSLVSFSESDSHVLLGNENMIFGELKTSQKVEDNTFSRFAENFIGKSKKLKTDFIRLEKGSTLLDLRLECQELERFSILNHLGKFHGRTHANGVVFFPKRNITPLPVPGNLPEGVLCLSL >Ma06_p29220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30671646:30681862:-1 gene:Ma06_g29220 transcript:Ma06_t29220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWQQQLMYKQSQELHRQQQLQQLDQGPWQSSPLSQLSGVANPATGNQLSTPLHEMPINDTYNYIWPNNFVGGISNLPSNTQMFIAGNRNLMQPSSSAAMQNFANDVITSNDQSQAMQSMGFVPQEINQSFNGMPISGTSSVNQYSRFLGMSNSCHDLMTKANAYEAEKASYPYSTAQSYQQSAAQSCLQDKTLSVQNYPGKHIFGSSLLQNLGNDVTSGNFQQANNIRYSDQFDEFHGRQEQGDSSGNLQEKPVSEVGSSSGVASLDPIEQKILFGSDNDNWGFSFGGSLISGMGEDIHGHTLENDHYGSFPSIHSGSWSALMQESVQASSSDKGLREETSGLCFQKTEQVMGKPSVPINVNGKQPTAWDDSNLQSVTSVTSRSFPLFNDANATPNSSTSPSNQNFSEFAHEENDRILTEAPQVSFKLSTQGANNKEFCQNQDQTKLVEDGLLPPRPSSSGLWVGKTIEQHEEIQFKSKDIGGRWGDQQNLPLSDAPSGWNSSYPTASRSNKTLNYQENHGNLWKIGENRVYLNSGLQSVKSDISSPKIAEYSLASNYGSVRNPNALKLNQNKQQQVTNEQKSVFGIHFTPNTCMSSEGNKDVEENQNQPSRSPQGWATSLNATSERLCNTHENEKEHGRVDPGKGYIEDAAKERSLWTSNDQHPFMSGSQKSSIQYGEHTVGSRMLQNSLGKMRRTAEPSFPPNHLLSLQNRSSSNSEQPFAETSQFSGHIVCSDHMDGSKRIAVETGNLLSRNTIPVYASSSSFNGSTIQYSQIETIAQASKNVLELLHKVDQSGNGNSVNSSDIPAQAVADFSVTHAHFDGSSNIKGIGLQLTPPSVPMSHKSFSDINSAQLDNNAGYQNQGWSNFTSSARSVLALDDPSKIDKWDKMSSLPGQKLNEHPEANQHFNYSASADFPLAGNQLQEQQQLQQQHSSSAKDHLEHQQQQWQQQHISNTTSREVLDQSVKFSFGNQVNARAIVESASLTEQLHDSNDGSASGKSVQTSFPSLVGTLPTPGIVSAAENHVPVGSRFCSVNTDQIKPTFAGFSQITNSGEQLPVVETKSGSQSSLSGLSQQDGFSKMFHNVWTSISARQRQAGISPLLTPNVLQFIINHDRDTSPLGLPNVGDQVDKEESTPEVGSRSVNSQKGEVNPAQGKSVNLIQTEKVDVIPKSENASHSIEELLKPPLDAVPNVSISSLLCLQQEQDPPLSSQVLHAPPASIVSSSGDLDISGCVLKPSDIQQQKYSLLNQIQSMKASDSHLNKMTGNIPRGTAFSSNTSQMNFNMDQRFDHRQNAVYRFSADGKVGAASQILFPSDAKMLSSDSSDIKEKNPSTSIAGRPELHTHTRPLSTNSTANVLGRSEHTWVSPHIAPFWSEHYGTYKNGRMVALCDAQRSGKTAIQQPFVQKFPARMDDSDVVEQKLDSSYGSSYGQGTSAIKTDPSRSSPFLLAQNVMDHDIILRSKKRKSEITDMPWHKIITVCPERSQSIRMTELDWTMSANRLMEKMDDEAETMEDDLLMPQSRRRLILTTQLMHQLIPAVPAMLFEGEATSAYASVTYSVAKAALSDACSLVSFSESDSHVLLGNENMIFGELKTSQKVEDNTFSRFAENFIGKSKKLKTDFIRLEKGSTLLDLRLECQELERFSILNHLGKFHGRTHANGVVFFPKRNITPLPVPGNLPEGVLCLSL >Ma06_p29220.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30671646:30681862:-1 gene:Ma06_g29220 transcript:Ma06_t29220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWQQQLMYKQSQELHRQQQLQQLDQGPWQSSPLSQLSGVANPATGNQLSTPLHEMPINDTYNYIWPNNFVGGISNLPSNTQMFIAGNRNLMQPSSSAAMQNFANDVITSNDQSQAMQSMGFVPQEINQSFNGMPISGTSSVNQYSRFLGMSNSCHDLMTKANAYEAEKASYPYSTAQSYQQSAAQSCLQDKTLSVQNYPGKHIFGSSLLQNLGNDVTSGNFQQANNIRYSDQFDEFHGRQEQGDSSGNLQEKPVSEVGSSSGVASLDPIEQKILFGSDNDNWGFSFGGSLISGMGEDIHGHTLENDHYGSFPSIHSGSWSALMQESVQASSSDKGLREETSGLCFQKTEQVMGKPSVPINVNGKQPTAWDDSNLQSVTSVTSRSFPLFNDANATPNSSTSPSNQNFSEFAHEENDRILTEAPQVSFKLSTQGANNKEFCQNQDQTKLVEDGLLPPRPSSSGLWVGKTIEQHEEIQFKSKDIGGRWGDQQNLPLSDAPSGWNSSYPTASRSNKTLNYQENHGNLWKIGENRVYLNSGLQSVKSDISSPKIAEYSLASNYGSVRNPNALKLNQNKQQQVTNEQKSVFGIHFTPNTCMSSEGNKDVEENQNQPSRSPQGWATSLNATSERLCNTHENEKEHGRVDPGKGYIEDAAKERSLWTSNDQHPFMSGSQKSSIQYGEHTVGSRMLQNSLGKMRRTAEPSFPPNHLLSLQNRSSSNSEQPFAETSQFSGHIVCSDHMDGSKRIAVETGNLLSRNTIPVYASSSSFNGSTIQYSQIETIAQASKNVLELLHKVDQSGNGNSVNSSDIPAQAVADFSVTHAHFDGSSNIKGIGLQLTPPSVPMSHKSFSDINSAQLDNNAGYQNQGWSNFTSSARSVLALDDPSKIDKWDKMSSLPGQKLNEHPEANQHFNYSASADFPLAGNQLQEQQQLQQQHSSSAKDHLEHQQQQWQQQHISNTTSREVLDQSVKFSFGNQVNARAIVESASLTEQLHDSNDGSASGKSVQTSFPSLVGTLPTPGIVSAAENHVPVGSRFCSVNTDQIKPTFAGFSQITNSGEQLPVVETKSGSQSSLSGLSQQDGFSKMFHNVWTSISARQRQAGISPLLTPNVLQFIINHDRDTSPLGLPNVGDQVDKEESTPEVGSRSVNSQKGEVNPAQGKSVNLIQTEKVDVIPKSENASHSIEELLKPPLDAVPNVSISSLLCLQQEQDPPLSSQVLHAPPASIVSSSGDLDISGCVLKPSDIQQQKYSLLNQIQSMKASDSHLNKMTGNIPRGTAFSSNTSQMNFNMDQRFDHRQNAVYRFSADGKVGAASQILFPSDAKMLSSDSSDIKEKNPSTSIAGRPELHTHTRPLSTNSTANVLGRSEHTWVSPHIAPFWSEHYGTYKNGRMVALCDAQRSGKTAIQQPFVQKFPARMDDSDVVEQKLDSSYGSSYGQGTSAIKTDPSRSSPFLLAQNVMDHDIILRSKKRKSEITDMPWHKIITVCPERSQSIRMTELDWTMSANRLMEKMDDEAETMEDDLLMPQSRRRLILTTQLMHQLIPAVPAMLFEGEATSAYASVTYSVAKAALSDACSLVSFSESDSHVLLGNENMIFGELKTSQKVEDNTFSRFAENFIGKSKKLKTDFIRLEKGSTLLDLRLECQELERFSILNHLGKFHGRTHANGVVFFPKRNITPLPVPGNLPEGVLCLSL >Ma02_p11340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20540538:20542437:1 gene:Ma02_g11340 transcript:Ma02_t11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDYLLHAPKVCHATTLHGKRPSFQTTELWRKGMNSLRQELELWMMDCPPSIWTACVLPRYDCIVHCPFASSCRRVTIESDGNDTIPNWMSSSLDTNCSNHLIRKRRSGRAISTRDGRQDKESRWRRKETKGKETERRRSGRGYQRLVAHRKEEAEAVAVVRDRGGHKYVTRCGCNSCEKFLVFSLRRVSSCALFFFVLNKFHFLRNMFGMDKKQKSTLFFFNLDMHCVDECLFWKKKIKSQPYGMCSIWFSKGQGNL >Ma03_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5628659:5633368:-1 gene:Ma03_g07870 transcript:Ma03_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIALENGNGIGESGFARGIAACCSNHAEVALKGKVREDSCSSSSIGRNSSDVSSGGEGSDGDEESGETEVQSRLKDPLETMDALEDTLPMRRGISKFYSGKSKSFSSLSDAVALSSAQDLAKQENAYTRKRKNLLAHSIMSDKFRNKKQTTLEGVISEKPANSSQSKFISSVIGSSSGSNGTGSNNQHGRAQHLPPRHPQGNSVLTIPSASPVGSASHEKFSLSKRSFSLTCFQCVAN >Ma05_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33765328:33766585:-1 gene:Ma05_g22020 transcript:Ma05_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISRSASIRHPSHKVHLQYTGTNTSETVGVLASHSK >Ma11_p15900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21519085:21524162:1 gene:Ma11_g15900 transcript:Ma11_t15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPADQYGNKRSFQRAGSSQGAPDRVNKVCFDWQAGRCNRHRCRFLHSELPQPMSADGATSRRGYHQGLVRGNSGAAGASISGWGKGRAGRKASDKICSYFIGGNCSYGDKCRFLHSWFIGDSFSLLAPLQGHQNVVTGIALSSESGKLYSGSKDESVRVWDSQTGQCTGVFNVGGEVGCMISEGAWIFIGVPNAVKAWNTQTATDQNLDGPIGQVYALVVGNDMLFAGTQDAHILAWKFNVAGNCFEPAASLNGHRLAVVSLVVGVMRLYSGSMDHTIKVWDLATLQCLQTLNDHTSVVTSLLCWDQFLLSSSLDQTIKVWAATESGNLEVKYIHNKEHGVLVLCGMHDAQAKPVLLCSCTDKSIHIYDLPSFIERGKIFSKKEVRAMEVGPSDLFFTGDGTGELKVWKWSTNKMPVS >Ma09_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6757756:6762413:-1 gene:Ma09_g09890 transcript:Ma09_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVHDLSGNREPGEQQKHAEPEIRHEPTATTNLQYGVETSLSEGTVPYSQFGVAQTMAHSAYPYVDPSYGGIYAAYIGQPVIYPQLIAIHQSGVPLPTDAAEEPVYVNAKQYHGILRRRQSRAKAESKNKMIKVRKPYLHESRHLHALKRARGCGGRFLNSKSEGNQQNEVASSDQDQPSSIPALEKCFGTQENANLSGDRVESNKVSN >Ma02_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26083407:26087599:-1 gene:Ma02_g20030 transcript:Ma02_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g17670 [Source:Projected from Arabidopsis thaliana (AT3G17668) UniProtKB/TrEMBL;Acc:Q9LUN0] MHSISCLGASDGASMTAFVAALPVPPGDSVASSSVFLPRYENGSSRLAVSKPSWIVRTESNVRREKMKRPDPPCVVCDGSGRIDCHYCRGRGRTNCLDLIMLPKGEWPKWCKVCGGSGLGHCNRCLGTGEFRDVMGFHFMTNNRDST >Ma03_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2020141:2021629:-1 gene:Ma03_g02980 transcript:Ma03_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEEKACGAATAAVTEGVVVEPTALLDEYWFFHNALESRRRPPPRILPPTPPPSKAKEDVGSSISHHEGSITRRPSGFDGKPARKLLRAPSMPSYRTRQEESSDMACPRRNVADGGNALVRAPSLPAYCDDRDDATPRDVRDRSRTTRSSKLQHCLSSCDDQRRPQPASGCATTPNPSFPRFRPPRDWKEEASNYKYFASQTRLFTEANYMRSIQGKKWRSYSDLESFEIQGFKDLGFVFDKEASNAGLADVIPGLRERRNSDDGHGRVPRPYLSEAWFVERSAPPRLEWAEKRSATDMKEQLRFWARAVACNAKQDR >Ma08_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17003918:17004956:-1 gene:Ma08_g16090 transcript:Ma08_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTVANLPPNSAGDFAGPDDRDDVTPSFVEYETTVIVSALARVAAGDRGAEAAAGRIGSSWCTSPMFSSSQGGQKRGREEFVREGESSSAPSAAEAVTAPTAEGTEQGGGRRYRGVRQRPWGKWAAEIRDPHRAARVWLGTFDTSEAAARAYDEAALRFRGSRAKLNFPEEAHLQPFGGHPSSDAAVAVASDYLPYSTLLKGGGEYQRMTPTPLVDQTTYSGASAASSFSTCSSNSSSFATAVSPPPPLFPSETPQETDFLQPPPSTDSSH >Ma07_p11260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8383887:8386239:1 gene:Ma07_g11260 transcript:Ma07_t11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWLDKENGYLFANDGSNGVERLKSNRCHHNCCGLKPKSTRCK >Ma08_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37182996:37194391:-1 gene:Ma08_g23830 transcript:Ma08_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MAGWISSKLKVAESLLQQIDQQAAESLGKSESSRSPYDGLPQEIPKKADPSRPLKYQLPTKSSPSPPPPPDIGRPVSVPPSPANSEPSVAEGDWTELLSSADPASSLPRPNGGAKKPAALLSPVGKGVRAGFGGLVKPARRSDVAASEGETVKNVSVDREEPSASAGLRPSRGSSSFLDLTKELFKQEFQGGGGDRSRALGSDIDSKKLDVKEGVEGGDDSKSRSNEKSKHAGIALRTSGSRDETRSSLESDEESSDSNSASDSDEEERQKREERRRRKEQIIAERIAAVAAEAIKEREDIVARLEGEKQSLEKILEEREKKQAEEASELQMSMIEAMEAVEREKQKHNSTMMEALARLAEPETTNAELAKSLATVQMHLESAVTRVGELHQQVELKELALKEDRRNLFKIHQRGPSVEEVESLRRSQFKQEILDAEYSFTCDKLSKLKDKAKNLEENIEMMRRDIVHPTEVEVELKKRLDQLTDRLIQKQMQVEALSSEKATLVLRIETVSKLLDESGFSQQATGFADNHELASSLEAIDIEAGSQQPSVSALRPAIRDKIRTGQKQLGSAIQQLDFVFSIGVIYLRRNKKAQVCSLLYLLCLHLWVIYILNSNSHVSDSAKSGAVYSLESINTTSNS >Ma08_p25590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38358917:38365162:-1 gene:Ma08_g25590 transcript:Ma08_t25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRTTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDLDGKHGWRVELSHNSSSSRGRDRHGGSDMKCYECGEPGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRQRSPSPRRRSYSKSPDDDRHRSESPHTNGYRRSRS >Ma09_p30540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40620737:40622062:1 gene:Ma09_g30540 transcript:Ma09_t30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYFAFELSNYFAQRRYFLSMRFHITVCLNFRSDREPIDTKQYLEETCKPKCVCPLHAYHPCVERIKEDETGHKHCTGQYFDYWMCIDNCVVPKVFDKPK >Ma11_p12650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16550441:16553885:1 gene:Ma11_g12650 transcript:Ma11_t12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIRAAAADGLITFLWVFCVSTVRAATSLVTAALQIQGVAFSLFVTTTLIFALVFVFGLITAAIGGASFNPTATAAFYAAGLGSDNLLSMALRFPAQAAGAVAGALAIMEVMPPQHKRMLGGPSLKVDLHTGALAEGVLTFIITLAVLWIIIRGPRSPVVKTWMVAVSTVAMVVAGAGYTGPAMNPANAFGWAYINNRHNTWEQFYVYWICPFIGAIVAGWFFRIIFPQRAEKAKKA >Ma04_p09360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6663352:6663975:-1 gene:Ma04_g09360 transcript:Ma04_t09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLGALPETPPGVNGSRPHAVMNGGGNAGYDAGMVIVIVALLCALILVLGLNSMVRCALRCGRCWPWRPPSRPLRGSLRPGSTSSRSAASQRRCTPWELTSRPPIARYAWGSSPTARRSGCCPCAAMCSTSSASTSGLLRTLPAQLAGGCCSIMAPEMEPRRRTDPAGRLVQWWWWIWWANAGLKQALGSLIYGLPVQFVHVNYF >Ma09_p04870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3120186:3129007:1 gene:Ma09_g04870 transcript:Ma09_t04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNTTLNGSASNIPDSTGRPYTTSFSAQSASNPGFHHSGGLQGLHNIHGSFNLPNMPGSLASRNAVMGGVPSGSVQQPGGNIPSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFSSSMNGVGGSITGISSNSATGNRNSVPGLGVSPVLGNVGPRLTNSMGNIVGAGNMGRNINSGGLSIPGLASRVNLASNSGSGSLNLQGPNRLISGMLQQAPQMIGVLGNSYATSGGSLSQGQGGSNPLSSMGMLNDVNAADSSPFDMNDFPQLSTRPSSAGGPQGQLGATRKQGVGVSSIVQQNQEFSIQNEDFPALPGLKGGSSDFSVDLHQKEQLHESISSMQSPHLPMARSVGFSLGGSYPPNRQQQHATSASSGGLPFTPGSNQDLRLNDTEFFPSSHVTYHSQIQNSGSPGIGLRPLSSPTTASGIGAYEQLIQQYQPPQSPSHFRLQMSDVSQLYRDQSLKSTQGSQVAPDRFGLQGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDDPVKGEPEYCIPSCYYAKPPPLLHQGYFSKLQVSTLFYIFYSMPKDEAQLYAASELCARGWFYHREHQLWFTRVPNVEPLVKTHAYERGTYVCFDPNTWGTILKENFVLLYEAVEKKPMLPSDRPQLA >Ma09_p04870.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3120162:3129007:1 gene:Ma09_g04870 transcript:Ma09_t04870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNTTLNGSASNIPDSTGRPYTTSFSAQSASNPGFHHSGGLQGLHNIHGSFNLPNMPGSLASRNAVMGGVPSGSVQQPGGNIPSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFSSSMNGVGGSITGISSNSATGNRNSVPGLGVSPVLGNVGPRLTNSMGNIVGAGNMGRNINSGGLSIPGLASRVNLASNSGSGSLNLQGPNRLISGMLQQAPQMIGVLGNSYATSGGSLSQGQGGSNPLSSMGMLNDVNAADSSPFDMNDFPQLSTRPSSAGGPQGQLGATRKQGVGVSSIVQQNQEFSIQNEDFPALPGLKGGSSDFSVDLHQKEQLHESISSMQSPHLPMARSVGFSLGGSYPPNRQQQHATSASSGGLPFTPGSNQDLRLNDTEFFPSSHVTYHSQIQNSGSPGIGLRPLSSPTTASGIGAYEQLIQQYQPPQSPSHFRLQMSDVSQLYRDQSLKSTQGSQVAPDRFGLQGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDDPVKGEPEYCIPSCYYAKPPPLLHQGYFSKLQVSTLFYIFYSMPKDEAQLYAASELCARGWFYHREHQLWFTRVPNVEPLVKTHAYERGTYVCFDPNTWGTILKENFVLLYEAVEKKPMLPSDRPQLA >Ma09_p04870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3120162:3128181:1 gene:Ma09_g04870 transcript:Ma09_t04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNTTLNGSASNIPDSTGRPYTTSFSAQSASNPGFHHSGGLQGLHNIHGSFNLPNMPGSLASRNAVMGGVPSGSVQQPGGNIPSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFSSSMNGVGGSITGISSNSATGNRNSVPGLGVSPVLGNVGPRLTNSMGNIVGAGNMGRNINSGGLSIPGLASRVNLASNSGSGSLNLQGPNRLISGMLQQAPQMIGVLGNSYATSGGSLSQGQGGSNPLSSMGMLNDVNAADSSPFDMNDFPQLSTRPSSAGGPQGQLGATRKQGVGVSSIVQQNQEFSIQNEDFPALPGLKGGSSDFSVDLHQKEQLHESISSMQSPHLPMARSVGFSLGGSYPPNRQQQHATSASSGGLPFTPGSNQDLRLNDTEFFPSSHVTYHSQIQNSGSPGIGLRPLSSPTTASGIGAYEQLIQQYQPPQSPSHFRLQMSDVSQLYRDQSLKSTQGSQVAPDRFGLQGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDDPVKGEPEYCIPSCYYAKPPPLLHQGYFSKLQVSTLFYIFYSMPKDEAQLYAASEL >Ma09_p04870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3120196:3129007:1 gene:Ma09_g04870 transcript:Ma09_t04870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLASRNAVMGGVPSGSVQQPGGNIPSGRFASNNLLVALSQMSHGSGVTNRGGINVVGNHAFSSSMNGVGGSITGISSNSATGNRNSVPGLGVSPVLGNVGPRLTNSMGNIVGAGNMGRNINSGGLSIPGLASRVNLASNSGSGSLNLQGPNRLISGMLQQAPQMIGVLGNSYATSGGSLSQGQGGSNPLSSMGMLNDVNAADSSPFDMNDFPQLSTRPSSAGGPQGQLGATRKQGVGVSSIVQQNQEFSIQNEDFPALPGLKGGSSDFSVDLHQKEQLHESISSMQSPHLPMARSVGFSLGGSYPPNRQQQHATSASSGGLPFTPGSNQDLRLNDTEFFPSSHVTYHSQIQNSGSPGIGLRPLSSPTTASGIGAYEQLIQQYQPPQSPSHFRLQMSDVSQLYRDQSLKSTQGSQVAPDRFGLQGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDDPVKGEPEYCIPSCYYAKPPPLLHQGYFSKLQVSTLFYIFYSMPKDEAQLYAASELCARGWFYHREHQLWFTRVPNVEPLVKTHAYERGTYVCFDPNTWGTILKENFVLLYEAVEKKPMLPSDRPQLA >Ma04_p03250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2531012:2534880:-1 gene:Ma04_g03250 transcript:Ma04_t03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRLPLLLLLLLLLELGPLAAAAEDGSDVEALLSLKADFSDATSAVLSAWNSSADDDHCSWPGVSCDPLQGFVVSLDLTGLNLSGTLSPAVGRLRHLLNLSAASNSLSGPIPAELSRLSDLRHLNLSNNLFNGSFPSALARLKNLLVLDLYNNNLTGPLPTEVAELPELRHLHLGGNFFTGVIPPEFGRWEFLEYLAVSGNELGGPIPPELGKLTTLRQLYLGYFNSFEGRIPPEIGGLAALVRLDMANCGLSGEIPPELGNLQNLDTIFLQVNGLTGDLPPEMGRLRNLKSMDLSNNALAGEIPATFADLQNLTLLNLFRNKLHGSIPEFIADLPTLEVLQLWENNFTGGIPRRLGQSGQLELLDLSSNKLTGNLPPDLCSGNRLQTLIVLGNFLFGPIPDTLGRCESLSRIRMGENYLNGSIPDGLLSLPRLSQIELQDNLLTGGFPDTGAALISPDLGQISLSNNRLSGPLPPSVGNFSGVQKLLLNQNQFSGRIPPEIGRLQQLSKVDFSGNQFSGLISPEISQCKLLTFVDLSRNELSGEIPSEITGMRILNYLNLSRNQLEGNIPSSIATMQSLTAIDFSYNNLSGLVPGTGQFSYFNASSFVGNPDLCGPYLGPCSSAIQGAGSTHTRGPLSASFKLLLVTGLLICSIAFAVAAIIKARSLKKASEARAWKLTAFQRLEFTCDDVLDCLKEENIIGKGGAGVVYKGVMPNGEQVAVKRLPAAMSWGSSRDHGFSAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLLWHTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRRMTDSSKEGVLKILDHRLPTVPLDEVMHVFYVAMLCVEEQSVGRPTMREVVQILTDLPRPATTQADDCEAPIREAQSSSSSPPPDLLSI >Ma01_p22720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25771851:25772316:1 gene:Ma01_g22720 transcript:Ma01_t22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSDIDLCKCSFPKVADQESLAVSSDSVICSHWASSTSSSPDEAKRKIDASKAATRKDVLQDANLQFAPRNLSKIVLTSVSNYFTGDWIRLNSCCGVSELICVPSMT >Ma04_p14930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11315638:11328631:1 gene:Ma04_g14930 transcript:Ma04_t14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGPGSKGNGAASAAPIPAGSRKLVQSLKEIVNCSETEIYAMLRECNMDPNEAVHRLLSQDAFHEVRSKRDKKKEIREPPESRSRTVNNSSSRGARGSADRGGRSTSQSSSADYGASKGKLIHKNENGMSAVLFTASVLESSMISSNLPQKPTAPSNSASMGDTIQAANIGDGISTLVQSSSGFQNSWLGKPGHLSMADIVKMGRPQGKPSGIPVVASERSDMAQKEAKQSSTAVLPSEADKLTDSFQESTQVSEFSYDIGIAEGQQISYDGWPLDEQPTESGSTPPEISGASPVYANSSELASSIDGNNSHIGSHSEETQVPVEGHHDKTLPADSRPISISSDMQILVDNSADADAYHLNEGLLKSTNSYNSRRLELDHHEGSFPAGDSVDLSSAAVNLGQLSLHEETRTEPTVVSPAVIIPDHLRVTNADCAHLSFGSFVSGAFSGSFPSKQLKSNLEVAPVMSDASSTDNSDMRNHEYYSDEQLNPTLIENIASRSSTGSENLDVPSASQTEVVKNDPLDATHEIQYNLPSVSNYAFPSSTQPNATSYAYPQENSQMQSLSPFPSLMQPNSLQNSLLAAGIPSLRDFDMSLSPLLTTQSMPTRHITSLSSIGGQTISMSEALNPGLFSNPQSTPQSLTSTTMFTSPALHQHLPVPHYSQPALPLGHFANMISYPPFLPQSYTYLPSFQQAYTANSPFHQSPGAVPGAGMKYSQPQFKNSLSVTSLPQASAIASAYGGLGNSANIPGGFALNHHTSGSASTTTGFDESLSLQNKEESHYMPPQQSENPNLWLHSAAGSRAMPALPASTFYNYQGQSQQSGFRQSPQASHLGALGYPNLYHSPAGLSREHQQNTGEGNLNGPPTTQAHPANQIWQHGY >Ma04_p14930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11315638:11328631:1 gene:Ma04_g14930 transcript:Ma04_t14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGPGSKGNGAASAAPIPAGSRKLVQSLKEIVNCSETEIYAMLRECNMDPNEAVHRLLSQDAFHEVRSKRDKKKEIREPPESRSRTVNNSSSRGARGSADRGGRSTSQSSSAVDYGASKGKLIHKNENGMSAVLFTASVLESSMISSNLPQKPTAPSNSASMGDTIQAANIGDGISTLVQSSSGFQNSWLGKPGHLSMADIVKMGRPQGKPSGIPVVASERSDMAQKEAKQSSTAVLPSEADKLTDSFQESTQVSEFSYDIGIAEGQQISYDGWPLDEQPTESGSTPPEISGASPVYANSSELASSIDGNNSHIGSHSEETQVPVEGHHDKTLPADSRPISISSDMQILVDNSADADAYHLNEGLLKSTNSYNSRRLELDHHEGSFPAGDSVDLSSAAVNLGQLSLHEETRTEPTVVSPAVIIPDHLRVTNADCAHLSFGSFVSGAFSGSFPSKQLKSNLEVAPVMSDASSTDNSDMRNHEYYSDEQLNPTLIENIASRSSTGSENLDVPSASQTEVVKNDPLDATHEIQYNLPSVSNYAFPSSTQPNATSYAYPQENSQMQSLSPFPSLMQPNSLQNSLLAAGIPSLRDFDMSLSPLLTTQSMPTRHITSLSSIGGQTISMSEALNPGLFSNPQSTPQSLTSTTMFTSPALHQHLPVPHYSQPALPLGHFANMISYPPFLPQSYTYLPSFQQAYTANSPFHQSPGAVPGAGMKYSQPQFKNSLSVTSLPQASAIASAYGGLGNSANIPGGFALNHHTSGSASTTTGFDESLSLQNKEESHYMPPQQSENPNLWLHSAAGSRAMPALPASTFYNYQGQSQQSGFRQSPQASHLGALGYPNLYHSPAGLSREHQQNTGEGNLNGPPTTQAHPANQIWQHGY >Ma04_p38790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36187497:36189003:1 gene:Ma04_g38790 transcript:Ma04_t38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGLIGVDDGLVTGASSFHGGDVLSSFHGRHDWSCLKMAKTEASSLLPDGEQPLDFSASKPESLLLTSDGRVPTSSYTGHAGLHFGRLGVNSQGSFTPSQLMELENQTLIYNYIDANARIPSNPFSPNGFSAFSIGSLRASASELMGSFHGGTCGNADSEPGRCRRTDGKKWRCSRNAVGDQKYCERHLNRGRHRSRKPVEGPCGRATRPTPFISSSQAGLGSRSCDGLAMARQQMSNLQPQLNRIILSEEKASTRSKVSEELCKLTLLSSFPRPKLSFHEDFGFVSTCSSSLPPSSSRIDNKRNVLPPFELDEQQLQPRQFTWYGRKDMLFDNDELSAPMPIISDFLSCSSSPHESSVIWEGSAGGPLAEALKNTGKAPDHAKQSSLDLSVWPHYK >Ma11_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1414235:1415638:1 gene:Ma11_g01950 transcript:Ma11_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAYHLYRHVMRYNPENLRWFNRDRFYLNKRIDIKHLQYIFKLLLKTCIEYDNYPDNYYESILRQSNHSVRHLLKNVVTTGPLGQGVANAVGVAPAKFNKPDAVLVDHRTYCIMGDGEMPWKAYPTKLHTGSSRSYTMTTTTQSMETPISPSPKTSLQALKLWVGTRSRWTAYIRTSNHSETQYDVLIMRLADQHSSRHGH >Ma11_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8929161:8934955:-1 gene:Ma11_g09690 transcript:Ma11_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNVQESVKRFVPPASRNRSINRRRSGVNYSHGTDGEKSQASYPRNFPAVEHGEVGSNNVAKENTHQKLIPLEGCSTSEVVKFLTDRWAAAVHQLDDSSADVSVKPVMYSGASGSSWVHFKLPHQMDFLGELQSKIHKANSNLGSNTTDDN >Ma04_p25360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27155029:27164106:1 gene:Ma04_g25360 transcript:Ma04_t25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWDSVSITDLIDMGCCGCFGFLRKRHRSLMPLRGSGAPLSQEFLLPENMDDADGIFYNGDYANTLDGSDTDLQRPSKRSEEIISDRAKKGLICREVPVKETRKVIVSEDNSGNKMVNEYIRECKIGSGSYGKVVLYRSIKDGKQYAIKIFHKSHLLKLRVAPSETAMTDVFREVAIMKMLDHPNIVNLIEVIDDPDTDHFYMVLEYVEGKWVFEGSGAGNNLGESTTRRYLRDIVAGLMYLHSHNVVHGDIKPDNLLVTRNNNVKIGDFSVSQVFEDDNDVLWRSPGTPVFTAPECCLGLTYRGKPADTWALGVTLYCMIFGQYPFLGDTLQDTYDKIVNSPLIIPDEINPQLRSLLEGLLCKDPQNRFTLRVVSEHPWVVGDEGPIPEYLCKCRRKSSA >Ma04_p25360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27155029:27164107:1 gene:Ma04_g25360 transcript:Ma04_t25360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCWDSVSITDLIDMGCCGCFGFLRKRHRSLMPLRGSGAPLSQEFLLPENMDDADGIFYNGDYANTLDGSDTDLQRPSKRSEEIISDRAKKGLICREVPVKETRKVIVSEDNSGNKMVNEYIRECKIGSGSYGKVVLYRSIKDGKQYAIKIFHKSHLLKLRVAPSETAMTDVFREVAIMKMLDHPNIVNLIEVIDDPDTDHFYMVLEYVEGKWVFEGSGAGNNLGESTTRRYLRDIVAGLMYLHSHNVVHGDIKPDNLLVTRNNNVKIGDFSVSQVFELEVAGHRFLPRLLDDNDVLWRSPGTPVFTAPECCLGLTYRGKPADTWALGVTLYCMIFGQYPFLGDTLQDTYDKIVNSPLIIPDEINPQLRSLLEGLLCKDPQNRFTLRVVSEHPWVVGDEGPIPEYLCKCRRKSSA >Ma04_p25360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27155029:27164107:1 gene:Ma04_g25360 transcript:Ma04_t25360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWDSVSITDLIDMGCCGCFGFLRKRHRSLMPLRGSGAPLSQEFLLPENMDDADGIFYNGDYANTLDGSDTDLQRPSKRSEEIISDRAKKGLICREVPVKETRKVIVSEDNSGNKMVNEYIRECKIGSGSYGKVVLYRSIKDGKQYAIKIFHKSHLLKLRVAPSETAMTDVFREVAIMKMLDHPNIVNLIEVIDDPDTDHFYMVLEYVEGKWVFEGSGAGNNLGESTTRRYLRDIVAGLMYLHSHDDNDVLWRSPGTPVFTAPECCLGLTYRGKPADTWALGVTLYCMIFGQYPFLGDTLQDTYDKIVNSPLIIPDEINPQLRSLLEGLLCKDPQNRFTLRVVSEHPWVVGDEGPIPEYLCKCRRKSSA >Ma02_p16140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23615689:23617821:1 gene:Ma02_g16140 transcript:Ma02_t16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSVRGLATTVLLVVFVACSCFATSGATRDLTTWLAGRRGSGRSRRPTPKPKPSSGPSPSPRSSPPAPQGGGTFNVLDYGAKGDGVTDDTKAFQAAWAAACEGSPSSVLVPADSDFLVGPISFSGPCKSNILFQLDGKIIAPGGKAWGSGLLQWLEFRLLKGIRIQGQGIIEGQGNAWWSRDDPQLGTELSGRLPHVKPSAVRFYGSSDVTVTGITIQNSPQCHLKFDSSHDIQVFGVTVSSPGDSPNTDGIHLQNSVGVSIHDTNLGCGDDCISIQTGCSNIMVQNVNCGPGHGISIGGLGKGNTQASVSNVTVQNANMVGTTTGVRIKTWQGGSGYVKNIRFSKIKLSRVATPIVIDQFYCDQSKCRNQTSAVALSDITYDGVVGTYTVQPVYLACSDTEPCRSIHLDGIQLELLKQRGASYDPFCWQAYGDLQGPTEPPITCLQNGNSK >Ma08_p04130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2899708:2903664:1 gene:Ma08_g04130 transcript:Ma08_t04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGGLADPTLRAELATEKNTYSSKKKKRRQKKPTSTPSAVQRLFETCKEVFAHGGRGFIPSADDVERLRSVLDTLKLTDVGVSPNLPFFHHVVTDGPPPVTYLHLYACSNFSIGIFCLPQAAVIPLHNHPGMTVVSKLLFGSMHIKSYDWVNDPEGSNEKIKSSNGACLAKVNTDAIFKAPCETSVLYPTTGGNMHCFTAVTSCAVLDVLGPPYNDDEGRACSYYKEYVFSSFPGKAIGVSGESKEYAWLEERESKPDDLVVRGAEYRGPKIVGR >Ma08_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2899708:2903664:1 gene:Ma08_g04130 transcript:Ma08_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGGLADPTLRAELATEKNTYSSKKKKRRQKKPTSTPSAVQRLFETCKEVFAHGGRGFIPSADDVERLRSVLDTLKLTDVGVSPNLPFFHHVVTDGPPPVTYLHLYACSNFSIGIFCLPQAAVIPLHNHPGMTVVSKLLFGSMHIKSYDWVNDPEGSNEKIKSSNVTSCAVLDVLGPPYNDDEGRACSYYKEYVFSSFPGKAIGVSGESKEYAWLEERESKPDDLVVRGAEYRGPKIVGR >Ma04_p03080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2450558:2451152:-1 gene:Ma04_g03080 transcript:Ma04_t03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGHGDGEDGDYACISCVTNSTGSHPTELLNGLGYGLGISLMMMLVLTAVLAAYFCARRSNVATNPQSGNEAAAPADVEAGIDEATLMLYPKMAYSQAKLEMKGTAATCCSICLADYQGTDVLRLLPECGHLFHLDCVDPWLKSHPSCPMCRSLQVPTPIATPMAEVCH >Ma08_p12590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9577594:9578786:1 gene:Ma08_g12590 transcript:Ma08_t12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLEEAAAAAAAGEACFADELLLLDFTSNAYHPSLAAATSVPAAGAGELQHQALLLHDFFEPSVDGLPGLPAEGEGGGEEEEEELEWLANKDAFPALETSFEIPMPSQSRSSSSSGAAAGAVGERPSPVSVLSAAASFSAPVRPRSKGRRRRRRVLAGLSPEPACITAARRATAVERRCCGHCRAEETPQWRAGPEGPKTLCNACGVRYKSGRLVPEYRPASSPTFSAAIHSNSHRRILELRRQKAARELRSNTRAPPPTLSLKQNPSPLANSFLH >Ma08_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37576595:37577310:1 gene:Ma08_g24380 transcript:Ma08_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLPLRLLLLVVLTFVSGFSAATPPSSLVASACAQASYPSVCVRTLAPSSPSTPSDLALAATSASHSTTRGAAAYLRRLTLPQPPSDRATFRDCANLLSDAANQLARAAKELARLNPNTLRSQLGDAQTWASAAMTDQDMCLREITGLSGRTRDAVVAQVTEASHVTSNALYFITRVAATR >Ma04_p27990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29149715:29151307:-1 gene:Ma04_g27990 transcript:Ma04_t27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKNSSSAATSLLGDFTPGEGGEDGSLEWLSIYVEDCLSSAATSYTTFDPLPASAPPQTPNPKPAFKSLAVQAKARTKRRRRITPGNLSIDTFSTFHLTSSDPPLLHQAHWLAESELILPKKEEEDKTPTPALGGGGGGGGGGGGGGGEEKLGKEGVHPRRCTHCLSQKTPQWRAGPLGPKTLCNACGVRFKSGRLLPEYRPAKSPTFVSYKHSNSHKKVMMMRTALLSSNPN >Ma07_p24730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31988168:31999784:-1 gene:Ma07_g24730 transcript:Ma07_t24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MAEERKPLSLNERNARLVHDLSRSRPRSEIRVRKIKIEGRRRLCKISSSVDAESPDGKWLDSPPGENDDGESIRDILDDLTSRLDCLSVEKPKPRSKPMVREPEPEPSVEYESATSSLSPSSVHSSPGVEKEVIEKGKEVKDKAARVFSLDDDVEGREEEEDDCVVLGSGGKKQAPKGEENEGESGDFWSDDDVEDSGRDGKDGDDFTMVGSGTGKSRTYRLPERIFKMLYPHQRDGLKWLWTLHCGDTGGILGDDMGLGKTMQEGGVLLTTYDIVRNNSKAIRGDWFIDGDESEEDNLWDYTVLDEGHIIKNPKTQRSKSISDIQSSHRIIISGTPIQNNLKELWALFNFCCPDILGDKDTFKIRYENPILRGNDKNASDREKHIGSTVAKELRERIKPYFLRRLKSEVFCEDDGANSVKLSKKSEIIVWLKLTACQRQLYEAFLNSELVHASMEGSPLAALTILKKICDHPLLLTKKGAEGVLEGMDSMLNREEVSIVEAMAMSLANMTDQDSILQIDHNMSCKISFIMSLLENLVQEGHVVLIFSQTRKMLNLIQEAITCEGYKFLRIDGTTKIHERERIVKDFQEGPGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSMDNQSVDRAYRIGQKNDVLVYRLMTCGTIEEKIYKMQVFKGGLFKTATEHKEQTRYFSQKDIQELFRLPEQGFDVSLTQKQLQEEHDQQHNMNAYLMKHIEFLQRLGIAGVSHHSLLFSKTAVVPTVHENDKIQSVMGNRIVRNSQSGWSNGAEHAFNPNDKEFISRRYTPKILTNSSTASPEDIESKIKRLSQTLGDKALVAKLPDSGDKIRKQISELRQRLQTVNNASSIRRKEPEVISIDDVTEELNKVILM >Ma07_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31988168:31999783:-1 gene:Ma07_g24730 transcript:Ma07_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MAEERKPLSLNERNARLVHDLSRSRPRSEIRVRKIKIEGRRRLCKISSSVDAESPDGKWLDSPPGENDDGESIRDILDDLTSRLDCLSVEKPKPRSKPMVREPEPEPSVEYESATSSLSPSSVHSSPGVEKEVIEKGKEVKDKAARVFSLDDDVEGREEEEDDCVVLGSGGKKQAPKGEENEGESGDFWSDDDVEDSGRDGKDGDDFTMVGSGTGKSRTYRLPERIFKMLYPHQRDGLKWLWTLHCGDTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRALIVAPKTLLAHWMKELSVVGLSEKIRDYSGTNATSRRYELEHVLKEGGVLLTTYDIVRNNSKAIRGDWFIDGDESEEDNLWDYTVLDEGHIIKNPKTQRSKSISDIQSSHRIIISGTPIQNNLKELWALFNFCCPDILGDKDTFKIRYENPILRGNDKNASDREKHIGSTVAKELRERIKPYFLRRLKSEVFCEDDGANSVKLSKKSEIIVWLKLTACQRQLYEAFLNSELVHASMEGSPLAALTILKKICDHPLLLTKKGAEGVLEGMDSMLNREEVSIVEAMAMSLANMTDQDSILQIDHNMSCKISFIMSLLENLVQEGHVVLIFSQTRKMLNLIQEAITCEGYKFLRIDGTTKIHERERIVKDFQEGPGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSMDNQSVDRAYRIGQKNDVLVYRLMTCGTIEEKIYKMQVFKGGLFKTATEHKEQTRYFSQKDIQELFRLPEQGFDVSLTQKQLQEEHDQQHNMNAYLMKHIEFLQRLGIAGVSHHSLLFSKTAVVPTVHENDKIQSVMGNRIVRNSQSGWSNGAEHAFNPNDKEFISRRYTPKILTNSSTASPEDIESKIKRLSQTLGDKALVAKLPDSGDKIRKQISELRQRLQTVNNASSIRRKEPEVISIDDVTEELNKVILM >Ma04_p38230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35852528:35853153:1 gene:Ma04_g38230 transcript:Ma04_t38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFGLLQLGHETHSSDHWIPVTGTRDGPSDEILLLFENCVFFCKKRMVIAISSFKSSSINSTRGTSSSGISLERASFGTQLSRCQKMCPTQLRWCCDPFMAPKLSGMIHDVRSTISLLVESATLKTDREMIS >Ma00_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:127607:138698:-1 gene:Ma00_g00120 transcript:Ma00_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRFKAKPTGRRNFSTPEEMAAGSSARPRTFKKKEAEYSEEEEPGEESGGDSEQETEKKKGILHISEIQNPNLVKPKNMKARAADVESTPELSRREREEIERQKAHERYMRLQEQGKTEQARKDLERLALIRQQRSEAAKKRDEEKAAKEQKRAEARK >Ma06_p38810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37449354:37455494:1 gene:Ma06_g38810 transcript:Ma06_t38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRWRSSAGWVAVAVAVVCAATLVGPCSAGDPFAYFDFDVSYITASPLGVPQQVIAISKQFPGPVMNVTTNWNVVVNVLNSLDEPLLLTWDGIQHRKNCWQDGVLGTNCPIPQGWNWTYQFQVKDQIGSFFYFPSLGLQRAAGGFGGITVNNREVIAVPFGNPDGDITLFTGDWYIKSHKDLRKALDEGKDLGMPDGVLMNGKGPYRYNTTLVPDGIDYETINVEPGKTYRFRVHNVGISTSLNFRIQNHNLLLAETEGTYTVQQNYTNLDIHVGQSYSFLITMDQNASSDYYIVASARFVNESLWTRVTGVAILHYSNSKGKAAGPLPDPPNDFYDKTFSMNQARSIRTNVSAGAARPNPQGSFRYGSINVTQVYVLRNMPPVIINGKRRTVLSGISYSPPATPLRLADEFDKQGVYTLDFPTRPLDRPPRLGTSVINGTYRGFMEIIFQNNDTTVQTYHMDGYAFFVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVLVSLDSVGFWNVRVENLDTWYLGQEVYVRVVNPEDNSNKTELPMPDNVLYCGLLKDKQKQQTPHGSQGSSSFTTYVGAMLLTCMMIFASIVIFP >Ma11_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4754967:4760481:-1 gene:Ma11_g05970 transcript:Ma11_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPRQSPTSGFFSPETGIYRSKHRPAALPQDPFVDLVSYVFSFPHQGVTALVDSRSGASIAYAELRGMVRSLAAGLSRDGITSKDVVLVMIPNSILFPVVFLGVLSVGAVFSTMNPLSSAEEIKKQMSLCRFTFIFTVPSNVAKLGGLGVKIVTVPEEPEFDAHKFVLFNSLISSDPNDAPRPVIRQTDAAAILFSSGTTGASKGVVLTHRNLIATVELFVRSEASLYGSESWRNVYLTAIPMFHVYGLSLFSMGLLSLGSTIVVMRKFDVEETVRAIDAFKVTHFPAVPPIMTALIRAKGATGCRLQSLVQVSCGAAPIAPKTIHDFLKAFPHVDFIQGYGLTESAAVGTRGFNTINCRRHISVGLLAPNMQAKIIDLETGSCLPPGTSGELLLHGAAIMKGYLNDDNATSSAIIKDGWLKTGDIAYFDGDGYLYISDRLKETIKYKGFQIAPADLEALLNAHPDIVDAAVTAAKNEEAGEIPVAFVVTRSGSKLSSTDVIEFVAKQVTPYKKVREVIFVNSIPRSPAGKTLRRELRDTLAASRM >Ma01_p13560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9883853:9888518:-1 gene:Ma01_g13560 transcript:Ma01_t13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDLLDVEPLELKFPFELNKQISCSLQLSNKTEDFLAFKVKTTNPRKYCVRPNVGIILPRSTCDVVVTMQAQREAPSDMQCKDKFLLQSIATSSTTTLNDITQEMFSKEPGKTVDEVKIQVAYVSSPQPPSNVYEQSEDGGASPGPFSSDKAMALISRLTEEKNSALQQNSKLHQEVELMRREIGKRRGGFSFMSLVLIALLAVLVGYLVKK >Ma01_p13560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9883853:9888517:-1 gene:Ma01_g13560 transcript:Ma01_t13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDLLDVEPLELKFPFELNKQISCSLQLSNKTEDFLAFKVKTTNPRKYCVRPNVGIILPRSTCDVVVTMQAQREAPSDMQCKDKFLLQSIATSSTTTLNDITQEMFSKEPGKTVDEVKIQVAYVSSPQPPSNVYEQSEDGGASPGPFSSDKASGQQQKEPEEKAMALISRLTEEKNSALQQNSKLHQEVELMRREIGKRRGGFSFMSLVLIALLAVLVGYLVKK >Ma02_p19260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25488342:25490107:1 gene:Ma02_g19260 transcript:Ma02_t19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 12 [Source:Projected from Arabidopsis thaliana (AT1G55060) UniProtKB/Swiss-Prot;Acc:Q3E7K8] MALGFWQQDKINSNKMQMSTYLVMFSFASSSLGKAPLEDGRTLADYSSQEESTLFLALRLRGGMQIFVKVLTGRTVTIEVESSDTVADSKAKIIHHKDHVSHPDHFRLLDGVKQLEDGKTLADHNVFKESTLRRLLRLGGGTPNQCNR >Ma11_p01420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1007196:1011449:1 gene:Ma11_g01420 transcript:Ma11_t01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSPFFGGIRDEDLQKQMKQQQQKSSATVPAPAAASERKRRNQPGNPNPDAEVIALSPTSLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKSSKEVRRRVYLCPEPTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDLKAHAKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESSRPPTAGLHALGNHLYGNPTTMTSGLSQVNAHLSSLQAQSHAPTDLLRTGGGPGSQLEHLISSATPSSFRPPQAPPPSAFYLDNGSTQDFNEEHPPHHSVLQSRPLHGLTQLHDLQCSSTITSSTSAAATAASVFNLGFFSHNSSSTSSIGNSNSGGNQNNQMLMADGFNSANGSTEPATLFSGNLTSDHFVGGTNSLYNTSMQSDLMLPQMSATALLQKAAQMGVTTSGGPSLLRGFARSSSSRCSFSGDGGDDGGGLQAQTENEAHLQNLMMTLANGGTDLIVGAGGRTAFGGSAIAGGHQQQAGGFRALDTGLCIMDETKFDPSGVRNLEGSDQLTRDFLGVGSRVRSISSGITRREPI >Ma11_p01420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1007196:1011449:1 gene:Ma11_g01420 transcript:Ma11_t01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSPFFGGIRDEDLQKQMKQQQQKSSATVPAPAAASERKRRNQPGNPTDPDAEVIALSPTSLLATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKSSKEVRRRVYLCPEPTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDLKAHAKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESSRPPTAGLHALGNHLYGNPTTMTSGLSQVNAHLSSLQAQSHAPTDLLRTGGGPGSQLEHLISSATPSSFRPPQAPPPSAFYLDNGSTQDFNEEHPPHHSVLQSRPLHGLTQLHDLQCSSTITSSTSAAATAASVFNLGFFSHNSSSTSSIGNSNSGGNQNNQMLMADGFNSANGSTEPATLFSGNLTSDHFVGGTNSLYNTSMQSDLMLPQMSATALLQKAAQMGVTTSGGPSLLRGFARSSSSRCSFSGDGGDDGGGLQAQTENEAHLQNLMMTLANGGTDLIVGAGGRTAFGGSAIAGGHQQQAGGFRALDTGLCIMDETKFDPSGVRNLEGSDQLTRDFLGVGSRVRSISSGITRREPI >Ma02_p03360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14731961:14733442:1 gene:Ma02_g03360 transcript:Ma02_t03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPHYKGEQNNSTIKKDGDTVKIEATALDVTWGNDPRYWSIDKTRGSISLHQVSWLEVSGVFDKSVLDTVNAGLDTSKTYSLKFRVKMKADAFGWSGCPVYLMVKDGDTKKFKWKKVDLSRLTAGTEVYIPESPDKHTFRPPADKLTFGLFEIWRGRWKGGLEILQVIIERDQQ >Ma05_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4484711:4488404:1 gene:Ma05_g05970 transcript:Ma05_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSKRDDGALKISSTNVFAALETLKKKKKKKSDTEAKGKGSSKNQTKESEQQRQVFWAPTPLTAKSWADVDDDDDYYTTTAPPQSVWGSTEQRQNREATAVVEEESEGEDDGLDEGDDSDVEEEPEHEDEVPVATEPVIEKPVPAPVTTKDTDRQLSKKELKKKEMAELNAVLAELGISVEDSNSVQHETNDGDKKENAPAPAPAPAPSESKSSKKKKAKKDKSSKDTKEPQEQPSDRDRNTEPEEEDASAVDVKEKIKKVASTKKKKSNKEMDGAARAAAVEAAARSAKLAAAKKKEKNHYYQQPLR >Ma01_p00320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:235222:236500:1 gene:Ma01_g00320 transcript:Ma01_t00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFPLLALMALSSLLRSTTPAPAGLQLGLTHVDAGSPFTFTERVRRAAQRSRHRREVLEARLANSSGDIQVPITSSKFEYKLEFAIGTPELNVTAILDTGSELVWTQCRPCDPCVQQSTPVYDPSDSSSFSRLPCTSPLYDYQVFKRFDPPTCHYAYGYGSGATQGVLAMETFTFGTKSVSNVTFGCSRQSKGNFAGTSGIVGFGRGNLSLISQLGFRKFSYCLTSFNSSSSSHLRLGSLATLNGTASKVRSTPFLDDTNLYRVSLRGISLGEALLPIPNATFEYRRDELSGTVIDSGTGQTQLPKPVYEIVKQNIKSLVGLPVVDLSSTIGLDLCFSVSSWPPPPVPDMTFHFDNADMTIPPANYMYPDQNAGAFCLVMQRSESNLTIVGNYQQQNMHVVYDVAGRTLSFMPARCEDL >Ma01_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11446785:11447237:-1 gene:Ma01_g15750 transcript:Ma01_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIISFWMGTVELSWPTSGPAASSSTSSSPDSFPSKTRTWCVCTAKCSRPSTRSRHGSLATLVASYPASSRSRGHRGSITRSSSSPPCRRGSTCRACSSGRLNY >Ma06_p25510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25887353:25890890:1 gene:Ma06_g25510 transcript:Ma06_t25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTESN >Ma01_p13780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10089692:10091095:-1 gene:Ma01_g13780 transcript:Ma01_t13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGMPDSSRSTDLSRMADSGIERLPEGCIAQAISLTSPRDACRSSAVSSAFRSAAASDTVWERFLPSDCHSILSRAVHPVEYSSKRDLFFLLCDSVLIDGGKMSFWLERSSGVKCYMLSASALSIIWGDTPQYWKWVSLPDSRFSEVPQLLAVCWLEIRGRIQSKMLSPRTTYAAYLIFKLADSSRGLGHPPHETSVTVGAQSSTRAIRLQPRGTDRHALRMVNFPGGAPEAEEEELGGRAREDGWMEAEMGEFYNEDGEDEEVVMSWMEVKGGHWKKGLIVEGIEIRPKTLAI >Ma01_p04180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2705453:2712829:1 gene:Ma01_g04180 transcript:Ma01_t04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGQDATSLFADEELCEMSGLKRGTDFVEVMCGCTSHRYGDAVGRLRVFASGDLEISCDCTPGCHEDKLTPAAFEKHSGRETARKWKSNVWVIVKGDKVPLSKTVLLKYYNQVSKAANGSHKGPNGRPCHRDEFVHCKRCNKERRFRLRTKEECRKYHDAVRNPNWKCSDSTFERVTCDDEEERASRKALRGCSRSPSCRGCTTCVCFGCETCRFSDCSCQTCIDFTHNSKS >Ma05_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1684305:1686653:-1 gene:Ma05_g02580 transcript:Ma05_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSLGGATAVLLLLLLASGVVSASSSSPSSKALTESDASVATLATASSGGQINSNSVLVALLDSHYTELAELVEKALLLPSLEAAVGRHNLTIFAPRNEALERDLDPEFKRFLLEPRNLRSLQTLLLYHVVPDRIPSDAWPQSRHPTLAGDHLHLSSSSSNGSTAGGEKKVGHAAVLYPDAVVRPDGVIHGIERLLVPRCVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIYDAMAPGPSLAPAPAPGPGHGKHWFDGESQVKDFIQTLLLYGGYNEFADILVNLTSMATEMGRLVSEGYVLTVLAPNDDAMARLTADQLSEPGAPEAIMYYHLIPEYQTEESMYNAVRRFGKVRYDTLRVPHKVMAREADGSVKFGAAEGSAYLFDPDIYTDGRISVQGIDAVLFPPDEEVPPASPLSPAARKAEIAQVKGKAKLKAKLRRGKLLEVGCSLLGVLGQRNRFATCQ >Ma08_p14110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11224475:11225493:-1 gene:Ma08_g14110 transcript:Ma08_t14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMTSAKKKPTVSVKKTSAPPPRLLSSLMKPIKVRSFTREEIDRYWRTRRMVEEDHLLFAEKAAARISAKALEGGDHQQFEELLKEMLEEDVKEKTSSENEELRIGIKDWWTKSKYAYLNQPAIRSMGDNAAAERANSTTYLPQKACCYSPPAM >Ma03_p02140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1456195:1458483:-1 gene:Ma03_g02140 transcript:Ma03_t02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFHGSTNLGASFCIRSTRGAAEAKETETGDAMKEATSDPRQPSTAKPYVSATLSPQDLPIDYAGFLAVVCGVVGVTLRYKLCSWLAIIFCAQSLANMKNFENDFKQLSMAFMFGIMGLVTNYLGPSRQANKQR >Ma05_p28680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39610517:39613541:1 gene:Ma05_g28680 transcript:Ma05_t28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAHCSGCSSAGEEALHAEEASSAHVASNSGCGKPPWSSSESKAKLFQEWNRAFLLVCAGGLFVDPLFFYALSISRTCMCVFIDGWFAVTVTVLRCTNDAVHLLNLWLQVRTAAYDAGRRASADEEGCKAIAKVRRLSFLKSVLFDLFVILPVPQVVMWIVAPALIRRGMTTTVMTVFLIMFLLQYLPKIYHAFCFLRRLQNLLGYIFGNIWGGIVLNLIAYFVASHAAGACWYLLGIQRATKCLNQQCMATEGCALRTVACTDPMYYGVTERQRLAWAENKKVRSMCLDSSDNFEYGAYKWTVALVTNPSRVEKILLPIFWGLMTLSTFGTIESTTEWLEIVFNIIIVTSGLLLVTALIGNIKVFLHATTSKKQALHLRMRSLEWWMRRRHLPRGFRQRVRQYERQRWAAMRGVDECEVTRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDELVLENICDRVKSLIFPKGETITREGDPVQRMLFVVRGHLRSSQALRNGVKSHCMLGPGNFSGDELLSWCLRRPFVERLPPSSSTLVTAETTEAFGLGASDLRYVTQHFRYTFVSEKVRRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLTSLSFIRPRRPLSRCSSLEEDKLRIYTALLTSPKPNQDVVAA >Ma03_p28140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31286420:31287847:-1 gene:Ma03_g28140 transcript:Ma03_t28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTEAEGNGASAADTTTTHEDGLIDFPFKLESQCLLLPHYADCSKVGYVLEGRAVVGVILPGETKERAVLIKKGDVITVPKETYTWWYNDGDTDFSIIYLGDGAAGLTQGLITYFLVAGQAAVYNGLSTDFLAKASGVSQEAAAKLFSSQAGIQIIKPEKKLCVKTHDRDRHGIVLHEDSARFSNHVPCGGHVVSMTKDNLAALEGRFRFSINITKLEPNAMRVPGFFPDIQLVYITRGSGQVQISGVNGATIMDKEVAAGCVFVVQKFYVMAAVAGSEGLEWFSIITSERPIFHPVVGKTSPLSLTNADVLEASLGVTSDLLKLVRAHGNSHDVIVAAPK >Ma06_p28250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29973281:29977052:-1 gene:Ma06_g28250 transcript:Ma06_t28250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSSVSVDVERISFGGKEHLIKTSHGTVSVSVFGDQDKPALITYPDVALNHVLCFQGLFFCPEAASLLLHNFCIYHICPPGHELGAAPISSRIPIPSVDDLVDQIAYVLDYFRLGSVMCLGVLAGAYILTLFSIKYRERVLGLVLVSPLCKAPSWTEWLYSKILCNLLYFYGMCDLIKECLLHRYFCKEVCGNTQIPESEIVQACRSLLDERQSINVWRYLQSINRRYDITEGLQQLQCRTLIFVGENSPFHSDALHMMSKLDSRHSALVEVQACGSLVTEEQPHAMLIPLEYFFMGYGFYRLNQFTDSPRGPLSPSCISPELLSPESLGIKLKPIKTRVSVEV >Ma06_p28250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29973281:29977036:-1 gene:Ma06_g28250 transcript:Ma06_t28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGYLSVGRFWRIDTWCIHVSGVCFMRMEHLIKTSHGTVSVSVFGDQDKPALITYPDVALNHVLCFQGLFFCPEAASLLLHNFCIYHICPPGHELGAAPISSRIPIPSVDDLVDQIAYVLDYFRLGSVMCLGVLAGAYILTLFSIKYRERVLGLVLVSPLCKAPSWTEWLYSKILCNLLYFYGMCDLIKECLLHRYFCKEVCGNTQIPESEIVQACRSLLDERQSINVWRYLQSINRYDITEGLQQLQCRTLIFVGENSPFHSDALHMMSKLDSRHSALVEVQACGSLVTEEQPHAMLIPLEYFFMGYGFYRLNQFTDSPRGPLSPSCISPELLSPESLGIKLKPIKTRVSVEV >Ma06_p28250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29973281:29977036:-1 gene:Ma06_g28250 transcript:Ma06_t28250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGYLSVGRFWRIDTWCIHVSGVCFMRMEHLIKTSHGTVSVSVFGDQDKPALITYPDVALNHVLCFQGLFFCPEAASLLLHNFCIYHICPPGHELGAAPISSRIPIPSVDDLVDQIAYVLDYFRLGSVMCLGVLAGAYILTLFSIKYRERVLGLVLVSPLCKAPSWTEWLYSKILCNLLYFYGMCDLIKECLLHRYFCKEVCGNTQIPESEIVQACRSLLDERQSINVWRYLQSINRRYDITEGLQQLQCRTLIFVGENSPFHSDALHMMSKLDSRHSALVEVQACGSLVTEEQPHAMLIPLEYFFMGYGFYRLNQFTDSPRGPLSPSCISPELLSPESLGIKLKPIKTRVSVEV >Ma07_p08470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6292575:6311985:1 gene:Ma07_g08470 transcript:Ma07_t08470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLLQRHAQQQQQQQHQQQQQRRDGAHLLNGAANGLVTGDPLMRQNPGTANALATKMYEERLKLPLQRDSVDDASIKQRFGENVGQLLDPNHASMLKSSVTSGPPSGQVLHGSAGGVVGPLQQVQGRNHQLPPTQDIKTEMNAVLTPRVSGADGSLIGMPGTNQGGNNLTLKGWPLTGLDQLRSGILQQQKSFMQSPQPLHQLQFLSPQQQQQLLLQAQQNLTSPAAGDVDSRRLRMLLNNRNLVLGKDGQANSVGDVIPNVGSPLQSACPVLPRADTDMLMKKIAQFQQQQQQSSSHQQLLQQHAISSQHSQGSSHHHHQQEKMGTGINVDGSMSNSFQGTDQTSKNPSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPSLQHNSSSTKPLIVFGPDGTGNLTSPANQLADIDRFVEDGSLDDNIESFLSHDDTDARDTVGRGMDVSKGFTFSEIRSTRASTSKVVCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHTLLITDVRFSPSIARLATSSFDKTVRVWDADNPGYSLRTFTGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSIKNGSCVRVFKGGTTQMRFQPRTGRCLAAAAENVVSILDVETQACLHQLQGHAKHVDSVCWDPSGELVVSVSEDSVRVWSLGSGSEADCVHELSCNGNKFHSCAFHPTYPSLLVIGCYQSLELWDMNESKTMTLPAHEGLIAALAVSNVNGLVASASHDKFVKLWK >Ma07_p08470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6292575:6311984:1 gene:Ma07_g08470 transcript:Ma07_t08470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNWEADKMLDVYIYDYLMKRNLHASAKTFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLIKAREQQQLQQQQQQQQQQQQQPQQQQQDQQQQQQQQQQQQQIQMQQLLLQRHAQQQQQQQHQQQQQRRDGAHLLNGAANGLVTGDPLMRQNPGTANALATKMYEERLKLPLQRDSVDDASIKQRFGENVGQLLDPNHASMLKSSVTSGPPSGQVLHGSAGGVVGPLQQVQGRNHQLPPTQDIKTEMNAVLTPRVSGADGSLIGMPAGTNQGGNNLTLKGWPLTGLDQLRSGILQQQKSFMQSPQPLHQLQFLSPQQQQQLLLQAQQNLTSPAAGDVDSRRLRMLLNNRNLVLGKDGQANSVGDVIPNVGSPLQSACPVLPRADTDMLMKKIAQFQQQQQQSSSHQQLLQQHAISSQHSQGSSHHHHQQEKMGTGINVDGSMSNSFQGTDQTSKNPSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPSLQHNSSSTKPLIVFGPDGTGNLTSPANQLADIDRFVEDGSLDDNIESFLSHDDTDARDTVGRGMDVSKGFTFSEIRSTRASTSKVVCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHTLLITDVRFSPSIARLATSSFDKTVRVWDADNPGYSLRTFTGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSIKNGSCVRVFKGGTTQMRFQPRTGRCLAAAAENVVSILDVETQACLHQLQGHAKHVDSVCWDPSGELVVSVSEDSVRVWSLGSGSEADCVHELSCNGNKFHSCAFHPTYPSLLVIGCYQSLELWDMNESKTMTLPAHEGLIAALAVSNVNGLVASASHDKFVKLWK >Ma07_p08470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6292575:6311984:1 gene:Ma07_g08470 transcript:Ma07_t08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNWEADKMLDVYIYDYLMKRNLHASAKTFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLIKAREQQQLQQQQQQQQQQQQQPQQQQQDQQQQQQQQQQQQQIQMQQLLLQRHAQQQQQQQHQQQQQRRDGAHLLNGAANGLVTGDPLMRQNPGTANALATKMYEERLKLPLQRDSVDDASIKQRFGENVGQLLDPNHASMLKSSVTSGPPSGQVLHGSAGGVVGPLQQVQGRNHQLPPTQDIKTEMNAVLTPRVSGADGSLIGMPGTNQGGNNLTLKGWPLTGLDQLRSGILQQQKSFMQSPQPLHQLQFLSPQQQQQLLLQAQQNLTSPAAGDVDSRRLRMLLNNRNLVLGKDGQANSVGDVIPNVGSPLQSACPVLPRADTDMLMKKIAQFQQQQQQSSSHQQLLQQHAISSQHSQGSSHHHHQQEKMGTGINVDGSMSNSFQGTDQTSKNPSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVMSMPSLQHNSSSTKPLIVFGPDGTGNLTSPANQLADIDRFVEDGSLDDNIESFLSHDDTDARDTVGRGMDVSKGFTFSEIRSTRASTSKVVCCHFSSDGKLLATGGHDKKAVLWYTDTLKPKSTLEEHTLLITDVRFSPSIARLATSSFDKTVRVWDADNPGYSLRTFTGHSASVMSLDFHPNKDDLICSCDGDGEIRYWSIKNGSCVRVFKGGTTQMRFQPRTGRCLAAAAENVVSILDVETQACLHQLQGHAKHVDSVCWDPSGELVVSVSEDSVRVWSLGSGSEADCVHELSCNGNKFHSCAFHPTYPSLLVIGCYQSLELWDMNESKTMTLPAHEGLIAALAVSNVNGLVASASHDKFVKLWK >Ma07_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3430337:3431489:-1 gene:Ma07_g04660 transcript:Ma07_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSIHGGPLLQLPSVGAKNIFFSGGTTNPGVSFPSTARDNRRCSKIRPSVFSAGDGRPQLDENPEGIISGEWTENFSLLSYDDLRAYLESHITAHKVGPCSPLREVMSTAIVTATASQMLEEIDHHFEAVSGLPVVDGERRCIGVVCRSDGSRASSLGSKTSVGEVMSSPAITLSPEKTVTDAAALMLKMKIHRIPILNEERRVIGIVTRTDILEALEA >Ma07_p19480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27477668:27483590:-1 gene:Ma07_g19480 transcript:Ma07_t19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYVIDKCSVYFRNPATFLHAYTCLPLAYSTRQAASAILQDVADSGVLFALLMCKHKVVSLSGAQKASLHPDDMLLLSNFVISTESFRTSESFSPICLPRYNPMVFLYVYVHFLDVHTCLMLLSTSSDSFYHLKDCRIHIETVLVKSNVLSEVQRSLLHSGLHVEDLPVDFSLRSGSLPHHIAMEKAIPDISSSHVGIGGPSGLWHFIYKSVNLDQYVSSGFSSPLSSSARRKRLIRAYQKLYFSMHDKRTGPHKTQFRRDEDYVLLCWITQDFELYAAFDPLAEKAIAIKTCNRVCQWVRDVENEIFLFGAAPFSW >Ma02_p21190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26892415:26896235:1 gene:Ma02_g21190 transcript:Ma02_t21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYTRTVRIGGSESSHPTRGRVTHCEHFPTERQLHSLLRERSTHLVVSLLSSLPRHRSRRVPQADLERFRAEEVLYLHSLWRRGTPPNRNRNLDPSPGPALHPAPAAFKKKSKQEKRGKKEKAAAARTDSGPEWPSIPAPDTNAVPTTWGDLAPTQAAATSMPVSAEDQARVAAAQAQHNGLKASQDFFSEEDDSDEDEEDDMEENDGVKMGPFRFFTELFVKDGELREYYEKNYEKGEFSCFACAGIGSKRVRRFRNCMGLVQHAKSTSKAKRRGAHRALAKAVCQVLGWDIKRLPSFVLDTAVSLGRSLSDAGKTQMQDDVQKDVIENEEELPGDTIQNIDAINGNLTENIFERASLPLQE >Ma01_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9067873:9070513:-1 gene:Ma01_g12480 transcript:Ma01_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENYESPIEQVRLTVPATDDPSLPCLTFRTWTLGLVSCVMLAFVNQFLGYRENQISLSSVCIQILALPVGRAMAAILPTTPIKIPLTNWSFSMNPGPFNLKEHVLITIFANAGAGGVYAVGIVTIMRAFYHRGINIIAALLLSETTQLLGFGWAGLFRKYLVDSPYMWWPGNLVQVSLFRALHEEEKRPKGGVSRFQFFLIVVTCSFAYYVVPNVLFPSITAISVICLIWKKSVTAHQIGSGLHGLGVGSFGLDWSTIAGFLGSPLASPAFATFNILAGYIFLVYVIVPIAYWSNAYGSKNFPVYTSSLYDVYGKKYELDRVLDQKTFTLNVTEYEKYSNIRLSIMFAISYGLGFATLTATLAHVFLFNGSYILKLWRQTASKMHDNYLDIHGRLMKANYEAVPQWWFHIILVVVMALAIFTCEGFGKQLQLPYWGIFLAIAMAFVFTLPIGVILATANQEPGLNIITEMVIGYIMPGKPLANVVFKTYGYISMTQAHTFLADFKLGQYMKIPPKAMFFAQLVGTVVASAVYFGTAWWLLGTITSICDTSKLPEGSPWTCPSDAVFFSASIIWGVVGPLRMFGPESIYSSLNYFFLAGALAPFFVWLLSRFFPQKKWIKLINFPVLLGATAMMPPAHAVNYTSWFVVGIIFNYYVYNKYKNWWGRYTYVLSAGLDAGTAFMAVLAFFALNNYNIYSVAWWGGDADDHCPLAQCPTAGSYVPEGCPSFQ >Ma09_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4306309:4309075:1 gene:Ma09_g06740 transcript:Ma09_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSLTASYYIASSGFPISSSAPSQTLKYPSQLFPLRSLRLAAVSLSPPAAVSPKIEELGSKIAGLTLEEARGLVDYLQDRLGVSAAAFAPAAVAVAPGAAAEAAPAAVEEKTEFDVVIEGVPSNARIATIKVVRALTNLPLKEAKDLIEGLPKKFKEAVSKEEAEEAKKQLEEVGAKISIV >Ma10_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26265331:26265861:1 gene:Ma10_g13480 transcript:Ma10_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLCYLPPPIALPSAPETNPSPSAVSPTPAPSPVHPAEERVLPSPSSAAPSPRTPSSATPSRAPPGVPSAPASRARTPGSSSTPRRSPRPSNATAPSSASASSRTTTPWAPSSKAASTAPTSSGPLIPCPAAPSSSARSYVPCRASPSAPLDVSRPDSLNRPKGPIWTYMNLPI >Ma06_p08160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5779315:5780081:-1 gene:Ma06_g08160 transcript:Ma06_t08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTILWWYSSETSTIMQWSHNLVLSFERDGGAPLHRRGGRLVYGDSYASTMEPHNLIIGMRLQSLTSWSSLRSHSTPPPPIMHVESQLRHPTTPLGPQFPASIYMHYS >Ma07_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30263768:30265466:1 gene:Ma07_g22240 transcript:Ma07_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTLSKLLFNNLARQLCHLPKASILGRCLPAIDFSTNNDPGGPTRGGRRRDDGSEDLFLRSLNFGDDGGEEQEMTHQEAPSRRPSARPPLGGGQQSGKEPSFRGDDSIDIASGDLFPGLEFGDGSRGLRGRSRNGPVRRDTPREDFGRQDNMDGFGTARQRSPSRSAGGFRGEELDDGGEERRSDRIGDSLAQKINFGEAGRRNRVEEADQKPAVAESAAQEAPPEDADEIFKKMKETGLIPNAVAMLDGLCKDGLVQDAMKLFGLMREKGTIPEVVIYTAVVEGFCKGAKFDDAKRIFRKMQKNGIVPNAFSFKVLIQGLCKGKKLEDSVEFCMEMLDAGHAPSVATLIGLVDGFCQEKGVEEGENVIIRLRERGFVLDERAVREHLNKKGPFSPKVWDAFFGKKNSRGPF >Ma06_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6200519:6202174:1 gene:Ma06_g08830 transcript:Ma06_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADLQGSSAPFAFGALSILSFRRDQPLMDAYFHGHDAGGEQRELVAFQRQIADLFQDLASGDDDVLSISWVRRLLDTFLMCQEEFRMILFGYRSPSDPVDRLVSDFFDRAVKALDICNAVRDGIEQIRHWRMHLEIVLEALGPQQRPLGEGHLRRAKKALIELAILMLDDKDAGSVLSHRNLSFGRNGGSSSSSSNDRSAHFRSRSWSISRSWSAARQLQAIGSNMAAPRGHEVIAVPVYTMSSVLLFVMWALVAAIPCQDRGLQVHFSIPRSFPWAAPITSLHERIMEESKKKDRKNSVGLLKEIHHIEECVHHLLDLMDAVGFPMAEDTEAELRQGVQELAQVCEALKDGLDPLERQLREVFLRIVRSRSERVDGTLNDAE >Ma05_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9899972:9905975:-1 gene:Ma05_g13630 transcript:Ma05_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMQRLKSIASGRSSVSDPGGDLTTKRAKFDQEGGGEILVERHMVDDVASTVDLHISSSHLNFDASTLTTEPPARFEGATMDQLPREMHGMTIRDIKTDGHIETEFDGTMINGKGTMTGQILATVIGGRDGQPKQTISYMAERIVGTGSFGVVFQAKCVETGEAVAIKKVLQDKRYKNRELQIMLLLDHPNVVQLKHYFFSTTEKDEIYLNLVLEYVSETLHRTVKYYSRMNQHVPLIYVKLYTYQICRGLAYIHHVAGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLTELLIGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNCTEFKFPQIKAHPWHKLFHKWIPPEAVDLVSRLLQYSPNMRFTSLEACAHPFFDELRDPNTRLPNGNPLPPLFSFTTQELEVASPELVRRLIPEHVTCSR >Ma05_p13630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9899972:9905975:-1 gene:Ma05_g13630 transcript:Ma05_t13630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMQRLKSIASGRSSVSDPGGDLTTKRAKFDQEGGGEILVERHMVDDVASTVDLHISSSHLNFDASTLTTEPPARFEGATMDQLPREMHGMTIRDIKTDGHIETEFDGTMINGKGTMTGQILATVIGGRDGQPKQAKCVETGEAVAIKKVLQDKRYKNRELQIMLLLDHPNVVQLKHYFFSTTEKDEIYLNLVLEYVSETLHRTVKYYSRMNQHVPLIYVKLYTYQICRGLAYIHHVAGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVLTELLIGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNCTEFKFPQIKAHPWHKLFHKWIPPEAVDLVSRLLQYSPNMRFTSLEACAHPFFDELRDPNTRLPNGNPLPPLFSFTTQELEVASPELVRRLIPEHVTCSR >Ma04_p30260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30966899:30988496:-1 gene:Ma04_g30260 transcript:Ma04_t30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAVVTAKICFNSECKEPLPDPLPTRRKGWRLRSGEIADLCDRCSCSFEQGNFCETFHSDDAGWRNCKTCGKRVHCGCVVSVSTYVLLDAGGVDCVACARKSLVMAPNQVASSPMFMSQQGPERREFPAKSWKPVSGPFFGQWRQAPHMWNMTSAQSDLQQRLSYEFDRPNCIEKLAPGGRYSILAHEKKFENISEKPITGNLNNVARERYANGKVGIDAASTFGAYHGEGNADTVQDSCRLVGDSDSVSTRKSVNADPCSTSSSGANLDAHPNSCVNSLPSSAIKEDSSSLGLAAVFQSTNCSKEPTRFSANQSQSQADPLSKQFYPPSVIDPEVQSQMRNGKTRVDNRTRSQLLPRYWPRITDQELQQISGGGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPIISQPEGLPLKVQDASGKDWVFQFRYWPNNNSRMYVLEGVTPCIQAMQLQAGDTVTFSRIDPEGKLIMGFRKASCGSSEQDSQAVKSGNGFSTPPESDNKGTTKDFKGNTESRNLINTPEQRNCSNFANEGVLQKDGLSARSSQGTRRKGGNLGSKSKRLRIENEDSMELKLTWEEAQQLLRPPPNCVPSVVLVEGHEFEEYEEAPVLGKRTYFTTNQSGENYQWAQCEDCSKWRRLPVDALLPSRWTCSNNTWDTERSLCSAAQELSLEQLAELIPCKTGAPKRSKVKVETDNIEVSDGLDTLANLAILGEGENLPPSSQPTTKHPRHRPGCTCIVCIQPPSGKGPKHKQSCTCNVCLTVKRRFRTLMLRREKRQSEKEAETARKQQKQQPNQSSPVKAQVCSDSLKSGLSVNNSPHKAMTNEEGISDEGVERRRASPSPLKAPQIDLNIQPEREEETSPKSESGSMMRLLQDAAT >Ma11_p09830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9100699:9103339:-1 gene:Ma11_g09830 transcript:Ma11_t09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFFNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSTVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFSGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKQEYEESGPSIVHRKCF >Ma03_p28280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31381380:31404812:-1 gene:Ma03_g28280 transcript:Ma03_t28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRGGNRVKAMGQLKTGDLVLAKVKGYPSWPAKISSPEDFDRSPDPRKYFVQFFGTSEIAFVVPADIQVLTNESKSKLAARCQGKTVKYFARAVEEICEAFEELNKKHSAESEQDVESTSAALASPSISDSEDSKHQYEASHLEDLEQKHNVNDELLGAEYGQEGIVSSDMGFGGSGTLLTRKKASSNGVQIAKEKKLAVSVSDSHAFSGKEKLTHTNSNDGKKNELEMPPKIGIAERQPKGSAADEPQESSNHNDENELQDKDAGNALKVLEIDHRTTKVSEKKQKLDNACGVKRNMTLQKQLNSYGKGNKLPGQGNKGAISCDRGREPSKNASSGTDSKNAKISKSLKRPKEHSIEKEKLHSDLRKDLADASNEHDYDALSSSGESTEEIFQGINRRRKLDVCKDSLPAKRSKVAGENGDKCKNSRHSDLSCDVKSKSDKVVKTKKSELSVKTEGSLIPEMEIHHGGMLVARDEAVRPTTKHSYVTNAVADPATKTAASTIQTSSRFVKDETHDRSVNMTVRYRRRSYRFDDDDEVERKTPIHKESASNLVLAHSDISVSEQKFHSVREGNRDSPLSNAVAGKPGITRDLKSSAGMSLPVKMAEKMKDRIETSERAQSSQSPNNPEYQKSSFGDSRPPNVSPKVSVALDDELNSTDQISANPYTKALVSSSGKKSQIVLSKLSNHQSESLRSSHRRATPEKVKASGKSVNAKATLKCNMHINVLTENRTDNKLPVEQNYEKNVLGKKRLEPAKEEKLTSHNESIFSDTTKSMKHLIAAAQAKRREAQSRYLPPIPTLSSTPDVFHGRSPSPATPIPFSSSHSVQKDMRGTYASTPFDSPSAVYQDFSLANKVEVEEYEHRISPEYRPPGGSLSGGTEAAVARDALEGMIETLSRTKDSIGRATRLAIECAKYGIAGEIVELLIQKLESEPSFHRRIDLFFLVDSITQCSHSQKGIAGSSYIPTVQAALPRLLGAAAPPGAGARENRRQCLKVLKLWLGRKIMPESLLHRYIDDIEVPNDDVCAGLFLRRPSRAERSVDDPIREMEGMHVDEYGSNATFQLPGLLSSCVFEDEEDPLITLRRNSGNEMPVESDNTLEELDTYAITPSDRHHHILKDVDGELEMEDATLSKDDKDIMRNDHQKIELQHQDSSVSLKATSANPSELPPLPTSPPPPLDSPPPPPPPPPLPSSPPPSPPPPPPLSPSLNPPPPPPPLPLPGPTSLPSVPLPPALSSSSPALFYPPMQEEFRMPNGKHLVHMAGNSAMQGQETSLKSEVVPQQCPNFMENGMNNTQSLNNFTSSRPFEYGHNDMYLAPQTSSHIHQFQQGNASFHQRPYHSLLPSQTPSSYPLPNVQIPAGHFPHVTPMSQQPVQQPYNPYSLPSVSNSHRQYVSDEQRRAHSSDFSPDNQHAAWVSGARPSCSGAPHVQDGLMRSSMERPLSNSMGVHNPIPSGGPGQGHGFPQVLPGRPNIPGLNCWRPG >Ma03_p28280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31383688:31404812:-1 gene:Ma03_g28280 transcript:Ma03_t28280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRGGNRVKAMGQLKTGDLVLAKVKGYPSWPAKISSPEDFDRSPDPRKYFVQFFGTSEIAFVVPADIQVLTNESKSKLAARCQGKTVKYFARAVEEICEAFEELNKKHSAESEQDVESTSAALASPSISDSEDSKHQYEASHLEDLEQKHNVNDELLGAEYGQEGIVSSDMGFGGSGTLLTRKKASSNGVQIAKEKKLAVSVSDSHAFSGKEKLTHTNSNDGKKNELEMPPKIGIAERQPKGSAADEPQESSNHNDENELQDKDAGNALKVLEIDHRTTKVSEKKQKLDNACGVKRNMTLQKQLNSYGKGNKLPGQGNKGAISCDRGREPSKNASSGTDSKNAKISKSLKRPKEHSIEKEKLHSDLRKDLADASNEHDYDALSSSGESTEEIFQGINRRRKLDVCKDSLPAKRSKVAGENGDKCKNSRHSDLSCDVKSKSDKVVKTKKSELSVKTEGSLIPEMEIHHGGMLVARDEAVRPTTKHSYVTNAVADPATKTAASTIQTSSRFVKDETHDRSVNMTVRYRRRSYRFDDDDEVERKTPIHKESASNLVLAHSDISVSEQKFHSVREGNRDSPLSNAVAGKPGITRDLKSSAGMSLPVKMAEKMKDRIETSERAQSSQSPNNPEYQKSSFGDSRPPNVSPKVSVALDDELNSTDQISANPYTKALVSSSGKKSQIVLSKLSNHQSESLRSSHRRATPEKVKASGKSVNAKATLKCNMHINVLTENRTDNKLPVEQNYEKNVLGKKRLEPAKEEKLTSHNESIFSDTTKSMKHLIAAAQAKRREAQSRYLPPIPTLSSTPDVFHGRSPSPATPIPFSSSHSVQKDMRGTYASTPFDSPSAVYQDFSLANKVEVEEYEHRISPEYRPPGGSLSGGTEAAVARDALEGMIETLSRTKDSIGRATRLAIECAKYGIAGEIVELLIQKLESEPSFHRRIDLFFLVDSITQCSHSQKGIAGSSYIPTVQAALPRLLGAAAPPGAGARENRRQCLKVLKLWLGRKIMPESLLHRYIDDIEVPNDDVCAGLFLRRPSRAERSVDDPIREMEGMHVDEYGSNATFQLPGLLSSCVFEDEEDPLITLRRNSGNEMPVESDNTLEELDTYAITPSDRHHHILKDVDGELEMEDATLSKDDKDIMRNDHQKIELQHQDSSVSLKATSANPSELPPLPTSPPPPLDSPPPPPPPPPLPSSPPPSPPPPPPLSPSLNPPPPPPPLPLPGPTSLPSVPLPPALSSSSPALFYPPMQEEFRMPNGKHLVHMAGNSAMQGQETSLKSEVVPQQCPNFMENGMNNTQSLNNFTSSRPFEYGHNDMYLAPQTSSHIHQFQQGNASFHQRPYHSLLPSQTPSSYPLPNVQIPAGHFPHVTPMSQQPVQQPYNPYSLPSVSNSHRQYVSDEQRRAHSSDFSPDNQHAAWVSGARPSCSGAPHVQDGLMRSSMERPLSNSMGVHNPIPSGGPGQGHGFPQVLPGRPNIPGLNCWRPG >Ma03_p28280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31383688:31404812:-1 gene:Ma03_g28280 transcript:Ma03_t28280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRGGNRVKAMGQLKTGDLVLAKVKGYPSWPAKISSPEDFDRSPDPRKYFVQFFGTSEIAFVVPADIQVLTNESKSKLAARCQGKTVKYFARAVEEICEAFEELNKKHSAESEQDVESTSAALASPSISDSEDSKHQYEASHLEDLEQKHNVNDELLGAEYGQEGIVSSDMGFGGSGTLLTRKKASSNGVQIAKEKKLAVSVSDSHAFSGKEKLTHTNSNDGKKNELEMPPKIGIAERQPKGSAADEPQESSNHNDENELQDKDAGNALKVLEIDHRTTKVSEKKQKLDNACGVKRNMTLQKQLNSYGKGNKLPGQGNKGAISCDRGREPSKNASSGTDSKNAKISKSLKRPKEHSIEKEKLHSDLRKDLADASNEHDYDALSSSGESTEEIFQGINRRRKLDVCKDSLPAKRSKVAGENGDKCKNSRHSDLSCDVKSKSDKVVKTKKSELSVKTEGSLIPEMEIHHGGMLVARDEAVRPTTKHSYVTNAVADPATKTAASTIQTSSRFVKDETHDRSVNMTVRYRRRSYRFDDDDEVERKTPIHKESASNLVLAHSDISVSEQKFHSVREGNRDSPLSNAVAGKPGITRDLKSSAGMSLPVKMAEKMKDRIETSERAQSSQSPNNPEYQKSSFGDSRPPNVSPKVSVALDDELNSTDQISANPYTKALVSSSGKKSQIVLSKLSNHQSESLRSSHRRATPEKVKASGKSVNAKATLKCNMHINVLTENRTDNKLPVEQNYEKNVLGKKRLEPAKEEKLTSHNESIFSDTTKSMKHLIAAAQAKRREAQSRYLPPIPTLSSTPDVFHGRSPSPATPIPFSSSHSVQKDMRGTYASTPFDSPSAVYQDFSLANKVEVEEYEHRISPEYRPPGGSLSGGTEAAVARDALEGMIETLSRTKDSIGRATRLAIECAKYGIAGEIVELLIQKLESEPSFHRRIDLFFLVDSITQCSHSQKGIAGSSYIPTVQAALPRLLGAAAPPGAGARENRRQCLKVLKLWLGRKIMPESLLHRYIDDIEVPNDDVCAGLFLRRPSRAERSVDDPIREMEGMHVDEYGSNATFQLPGLLSSCVFEDEEDPLITLRRNSGNEMPVESDNTLEELDTYAITPSDRHHHILKDVDGELEMEDATLSKDDKDIMRNDHQKIELQHQDSSVSLKATSANPSELPPLPTSPPPPLDSPPPPPPPPPLPSSPPPSPPPPPPLSPSLNPPPPPPPLPLPGPTSLPSVPLPPALSSSSPALFYPPMQEEFRMPNGKHLVHMAGNSAMQGQETSLKSEVVPQQCPNFMENGMNNTQSLNNFTSSRPFEYGHNDMYLAPQTSSHIHQFQQGNASFHQRPYHSLLPSQTPSSYPLPNVQIPAGHFPHVTPMSQQPVQQPYNPYSLPSVSNSHRQYVSDEQRRAHSSDFSPDNQHAAWVSGARPSCSGAPHVQDGLMRSSMERPLSNSMGVHNPIPSGGPGQGHGFPQVLPGRPNIPGLNCWRPG >Ma03_p28280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31383749:31404812:-1 gene:Ma03_g28280 transcript:Ma03_t28280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRRRGGNRVKAMGQLKTGDLVLAKVKGYPSWPAKISSPEDFDRSPDPRKYFVQFFGTSEIAFVVPADIQVLTNESKSKLAARCQGKTVKYFARAVEEICEAFEELNKKHSAESEQDVESTSAALASPSISDSEDSKHQYEASHLEDLEQKHNVNDELLGAEYGQEGIVSSDMGFGGSGTLLTRKKASSNGVQIAKEKKLAVSVSDSHAFSGKEKLTHTNSNDGKKNELEMPPKIGIAERQPKGSAADEPQESSNHNDENELQDKDAGNALKVLEIDHRTTKVSEKKQKLDNACGVKRNMTLQKQLNSYGKGNKLPGQGNKGAISCDRGREPSKNASSGTDSKNAKISKSLKRPKEHSIEKEKLHSDLRKDLADASNEHDYDALSSSGESTEEIFQGINRRRKLDVCKDSLPAKRSKVAGENGDKCKNSRHSDLSCDVKSKSDKVVKTKKSELSVKTEGSLIPEMEIHHGGMLVARDEAVRPTTKHSYVTNAVADPATKTAASTIQTSSRFVKDETHDRSVNMTVRYRRRSYRFDDDDEVERKTPIHKESASNLVLAHSDISVSEQKFHSVREGNRDSPLSNAVAGKPGITRDLKSSAGMSLPVKMAEKMKDRIETSERAQSSQSPNNPEYQKSSFGDSRPPNVSPKVSVALDDELNSTDQISANPYTKALVSSSGKKSQIVLSKLSNHQSESLRSSHRRATPEKVKASGKSVNAKATLKCNMHINVLTENRTDNKLPVEQNYEKNVLGKKRLEPAKEEKLTSHNESIFSDTTKSMKHLIAAAQAKRREAQSRYLPPIPTLSSTPDVFHGRSPSPATPIPFSSSHSVQKDMRGTYASTPFDSPSAVYQDFSLANKVEVEEYEHRISPEYRPPGGSLSGGTEAAVARDALEGMIETLSRTKDSIGRATRLAIECAKYGIAGEIVELLIQKLESEPSFHRRIDLFFLVDSITQCSHSQKGIAGSSYIPTVQAALPRLLGAAAPPGAGARENRRQCLKVLKLWLGRKIMPESLLHRYIDDIEVPNDDVCAGLFLRRPSRAERSVDDPIREMEGMHVDEYGSNATFQLPGLLSSCVFEDEEDPLITLRRNSGNEMPVESDNTLEELDTYAITPSDRHHHILKDVDGELEMEDATLSKDDKDIMRNDHQKIELQHQDSSVSLKATSANPSELPPLPTSPPPPLDSPPPPPPPPPLPSSPPPSPPPPPPLSPSLNPPPPPPPLPLPGPTSLPSVPLPPALSSSSPALFYPPMQEEFRMPNGKHLVHMAGNSAMQGQETSLKSEVVPQQCPNFMENGMNNTQSLNNFTSSRPFEYGHNDMYLAPQTSSHIHQFQQGNASFHQRPYHSLLPSQTPSSYPLPNVQIPAGHFPHVTPMSQQPVQQPYNPYSLPSVSNSHRQYVSDEQRRAHSSDFSPDNQHAAWVSGARPSCSGAPHVQDGLMRSSMERPLSNSMGVHNPIPSGGPGQGHGFPQVLPGRPNIPGLNCWRPG >Ma11_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24348934:24355187:1 gene:Ma11_g19500 transcript:Ma11_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNSMRKSFKDSLKVLEADIQHANSLASDFPTDYDGACLQMRVSYCPAAHLFLFLVQWTDCSLAGALGLLRIMIYKVHADGSTTMSTHERKASIKEFYAVIFPSLLQLKKGITDMENKRQKAVCMERYRRRDEDERKQFSETDDEREEECGICMEMNSKIVLPKCNHAMCMKCYREWNSRSRSCPFCRNSLTRVNSGDLWMFIDNRDVVDMAMVTRENIRRLIMYIKKLPEIVSETVSDPYDSHLR >Ma02_p21570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27143809:27147047:-1 gene:Ma02_g21570 transcript:Ma02_t21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPVVKLGTLLLKTVCKPIANRLKKEAGLHPKFRQLIINLAQANHRITTNIQRRIYGHSTNVEIRPLNEEKAVQAAADLIGELFVFSVAGAGLIFEVQRSARSEARKEEIRRQEIEAMKQKEEELVREVEQLKLKISEIERLAKGRGLSGILNFRHAHALEGVKSSE >Ma06_p05520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4131775:4132923:1 gene:Ma06_g05520 transcript:Ma06_t05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSSSSLHYEAPLGYSIEDVRPHGGIKKFQSAAYSNCVRKPS >Ma06_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12430484:12432994:-1 gene:Ma06_g18300 transcript:Ma06_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNKVAALVDAMKSNGISPDRYTYNTLISCCRRGSLYEEATEIFREMKAMGFAPDNVTYNTLLDVYGKSRRHAEALGVLHDMEAAGFSPSIVTYNSLISSYAKDGILDEAMDLKEQMEVKGIKPDVFTYTTLISGFEKAGRDELAVRIYEEMESKRCKPNLCTFNALIKMHGNRGRFTEMMTIFEEIRSIGFKPDIVTWNSLLAVFGQNGMDSEVSGVFKEMKKAGFVPERDTYNTLISAYSRCGSFDQAMEVYKRMLAAGIGPDLSTYNAVLAALARGGLWQPAEKILAEMKDGRCKPNELTYCSLLHAYANGKELDRLHALSEDIYSRTIVPHNMLLKTLVLVNSKSDLLAETERAFMELRKRGCSPDITTLNAMVSVYGRRQMVAKVDEILKFMRARGFTPSLTTFNSLINMYSRLGDFERCEKILNEIKLKGGRPDLYSYNTVIYAYGRKGRMKDASRIFSGLQASGLRPDIVTYNTFVASYVTNSMFVEAIDVVRYMIKSGCRPNENTYNSIVDGYCKQGRKDEAIAFISNLQQLDRGIPKDEQERLSERLAKSTL >Ma07_p27420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33813592:33814383:1 gene:Ma07_g27420 transcript:Ma07_t27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQNNKPTSIALLQERFRQLQRLKEMREEREQQRAFSHGERPNSNAQCAQPVWFVHPDLVRPSRPIRGPPPSCWLDDHGDHTDLQALEISLSMGLWPNQTTSHRPVSGNEPDVDTTLHL >Ma09_p16830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12317632:12322801:-1 gene:Ma09_g16830 transcript:Ma09_t16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFYRYQAELLVKNYLLADPYISYTSVLGGIFLCKMAYDFTQFISSFYFKGYSSLTKIQRIDWNNRGMSSIHAIFVTIMSIYLVFCSDLFSDNTINLPITFRSSSLSTFGLGVSVGYFLADLAMIAWSYPSLGGMEYVLHHTLSVIAVAYTMLSGEGQFYTYLVLISEITTPGINLRWFLDTAGMKRSQAYVVNGAMVFLAWLVARILLFIYLFYHIFLHYDQVRQMRSFGYLLIFVVPSALAIMNIIWFGKILKGLRKALSKGK >Ma09_p16830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12317632:12322801:-1 gene:Ma09_g16830 transcript:Ma09_t16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFYRYQAELLVKNYLLADPYISYTSVLGGIFLCKMAYDFTQFISSFYFKGYSSLTKIQRIDWNNRGMSSIHAIFVTIMSIYLVFCSDLFSDNTINLPITFRSSSLSTFGLGVSVGYFLADLAMIAWSYPSLGGMEYVLHHTLSVIAVAYTMLSGEGQFYTYLVLISEITTPGINLRWFLDTAGMKRSQAYVVNGAMVFLAWLVARILLFIYLFYHIFLHYDQVRQMRSFGYLLIFVVPSALAIMNIIWFGKILKGLRKALSKGK >Ma08_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7661225:7667979:1 gene:Ma08_g10490 transcript:Ma08_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAPMELIVVERDPAGVAVVTINRPKSLNSLTRPMIVSLAAAIRRLDADPAVGVVVITGRGRAFCSGVDLTAAEEVFKGDVKDVVADPVVQMERCRKPIIGAINGYAVTAGFEIALACDILIAGKDAKFVDTHTRFGIFPSWGLSQKLPRIIGINRAREVSLSGMAITAEMAEKWGLVNHVVDNGEVLKKAKEVAQAILRNNRDMVLRYKSVINDGSKLGLGHALALEKERAHSYYNGMTKEQFADMQKFISLRSSKPSSKL >Ma02_p20330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26337605:26344127:-1 gene:Ma02_g20330 transcript:Ma02_t20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGEDKEQDPRIQGIAASIRVVPDFPKKGIMFQDITTLLLDPKAFKNTVDLFVERYSGKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPRKLPGEVISEKYVLEYGTDCLEMHVGAIQPCDRALVVDDLVATGGTLRAAMNLLERAGAEVVECACVIELPELKGRERLNGKPLYVLMESR >Ma07_p02250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1762216:1770985:-1 gene:Ma07_g02250 transcript:Ma07_t02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase ERECTA [Source:Projected from Arabidopsis thaliana (AT2G26330) UniProtKB/Swiss-Prot;Acc:Q42371] MAVWWRGIVSLVLLGSLSCVCGDTSEGATLVEIKKSFRNVDNVLYDWADDPSSDHCSWRGVICDNVTFSVVALNLSGLNLDGEISPAIGNLKALVSIDLKANRLSGQIPDEIGACSSLKTLDLSYNSIYGDIPFSVSKLKQLENLILKNNQLIGPIPSTLSQIPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLEGNLSPDMCQLTGLWFFDVKNNSLTGNIPENIGNCTSFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNKFSGPIPPVIGLMQALAVLDLSCNLLSGPIPPILGNLTYTEKLYLQGNKLTGSIPPELGNMTRLHYLELNDNQLSGLIPPELGKLTDLFDLNIANNNFEGPIPENLSSCVNLNSFNAHGNKLNGTIPLAFKKLESMTYLNLSSNRLKGSIPIELSRISNLDTLDISCNEINGPIPSSVGGLEHLLKLNLSNNKLVGNIPAEFGNLRSIMEIDLSSNDLSGPIPQELGLLQNLITLKLESNNLSGDITTLTSCFSLVILNVSYNSFAGDVPTSNNFSRFSPDSFVGNPSLCGYWLSSQCHSSHSPQRASVSKAAIWGIALGALLILLVVLVAACRPHKPPPFPDGSINKPVNNISPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRLYSHYPHNLKEFETELETVGSIKHRNLVSLQGYSLSPFGNLLFYDYMENGSLWDLLHGPTKKKKLEWDARLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGRKPVDNESNLHQLILSKAANNAVMETVDPEISSTCKDLGDVKKVFQLALLCTKRQPSDRPTMHEVSRVLGCLVQPSPTPKQPQPHGLTQPPSVPSYIDEYANLKNPNMLDCATSLSTSDGQLFLKFGEVISQNSE >Ma04_p08620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6155452:6159487:-1 gene:Ma04_g08620 transcript:Ma04_t08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVIRSLESAIRRGGASASSSRRFSSDSAPERKVAILGAAGGIGQPLSLLMKLNPLVSSLALYDIAGTPGVAADVSHINTRAEVVGYAGDEQLGKALDDSDVVIIPAGVPRKPGMTRDDLFNINAGIVKSLCTAISKYCPNAVVTMISNPVNSTVPIASEVFKKAGTYDERKLFGVTTLDVVRAKTFYAGKAKVPVAGVNVPVVGGHAGITILPLFSQATPASNDLSDDDIEALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDIVECSFVQSSITELPFFASKVRLGKNGLEEVLGLGPLSDYEKEGLEKLKPELKASIEKGIKFAQEN >Ma01_p13910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10176315:10179531:1 gene:Ma01_g13910 transcript:Ma01_t13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDSPILLFLLLLAFLLASIFAVDAHDVVCKCQRVRGHHRTSHSGQNSKQKGGQSDGRTSSDNSAVDSHNSAGTGNQSSSSSSSSQSSSSSSSSSITGAGNQTSSLPSPPSSWSSSSNVSTSYNSSTYGNQTSSSSSSSSSSSSPSSSIVDGSSNADQRSFGVSLGHAGGSLPSPSAIVHLLKSHGISKVRLFVPIPGVLSALKGTGIQIMVGVPNEKIMHLSMGGVDAALDWLKANILKFVDPKQVCYLAVGNEVLQAHPLIIRHLVPAMYNLHKALQTSGLDAAIKLSSPCASHILGVLMPPSAGAFAPFCLPVIRPMLKFLSETGAPFMVNMYPFLRFIHDPTSIALNFCLFRGNAQPMLDGGRHYTNMLEVMIDALVTAMEREGFGGIRVMVSGTGWPTAGDNAATPANAAAYVEGLMQRASNGMTTPKRPNQPVEVFLSDMFKEHVQGVKAFHRFFGIFNIDGSPAVNISSFT >Ma06_p26790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28689771:28695382:-1 gene:Ma06_g26790 transcript:Ma06_t26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGVGVGADQGVQIHRFRGLRSSGLIPFGRSSRSLAVASSSSSSSVVTAVSTPIRPDTSEVKRSKVELFKEKSNFLRYPLNEELTSEAPNINEAATQLIKFHGSYQQTNRDERGVKSYQFMLRTKNPCGKVPNRLYLVMDDLADDFGTGTLRLTTRQTFQLHGILKKNLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPYTKKEYVFAQETAENIAALLTPQAGAYYDLWIDGEKIMSAEPPEVVEARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPIDNSVDILTNDIGVVVVSDIDGEPQGFNIYVGGGMGRTHRVETTFPRLGEPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLIGEWGIDKFRTVVEQYYGKKFEPFRELPEWEFKSYLGWHEQGNGALFCGVHIDSGRIGGNMKKTLREIIEKYNLNVRITPNQNLILCDIHHSWEEPITAALAQAGLLQPTEIDPLNLTAMACPALPLCPLAITEAERGIPQILKRIRAVFNKVGIENNESVVIRVTGCPNGCARPYMAELGLVGDGPNSYQVWLGGTPNQTSLAKCFTNKVKVQNLEKVLEPLFYGWKSKRLQGESFGSFTTRIGFDKLQELVEKWEGPLETA >Ma07_p06510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4668732:4674919:1 gene:Ma07_g06510 transcript:Ma07_t06510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPSDSSGTDDDLPPPHQNRGIRGGQVTGNGRATIGAIPYARMQNDMETQIHRLEQEAYSSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRQAGGLQSGMFNNVQPDVPVPSPTISASRKRQRTSQPVPSLSLDAPSPGLQSQPVVAPMQPSSSAAKRGTATAAKGKKPKSAQTLPGVSSMKSMQYPSAGPSGRSQVASRNSSGAPMIDPAKKTPYDPLIGRKVMTRWPEDNNFYEAVITDYNPVEGLHALVYDIDTKDETWEWVNLKDISPDDIRWEGGDPGISHRPGQGGVKRSTGRGGGIPGAGRGRGTMKNQAKKQFPPSQNGIGKKRAGDIEILHTETLIKEVEKVFGASHPDPLEIEKAKKLLKEHEQSLIDAIAMLADASDEEGEHQFPHQQSMGWGNRRQYGGNQQADKYRGDDVTAGGGREGSDGDRLVGHGDDDDT >Ma07_p06510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4668732:4674894:1 gene:Ma07_g06510 transcript:Ma07_t06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPSDSSGTDDDLPPPHQNRGIRGGQVTGNGRATIGAIPYARMQNDMETQIHRLEQEAYSSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRQAGGLQSGMFNNVQPDVPVPSPTISASRKRQRTSQPVPSLSLDAPSPGLQSQPVVAPMQPSSSAAKRGTATAAKGKKPKSAQTLPGVSSMKSMQYPSAGPSGRSQVASRNSSGAPMIDPAKKTPYDPLIGRKVMTRWPEDNNFYEAVITDYNPVEGLHALVYDIDTKDETWEWVNLKDISPDDIRWEGGDPGISHRPGQGGVKRSTGRGGGIPGAGRGRGTMKNQAKKQFPPSQNGIGKKRAGDIEILHTETLIKEVEKVFGASHPDPLEIEKAKKLLKEHEQSLIDAIAMLADASDGESEEGEHQFPHQQSMGWGNRRQYGGNQQADKYRGDDVTAGGGREGSDGDRLVGHGDDDDT >Ma07_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4668732:4674894:1 gene:Ma07_g06510 transcript:Ma07_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDPSDSSGTDDDLPPPHQNRGIRGGQVTGNGRATIGAIPYARMQNDMETQIHRLEQEAYSSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRQAGGLQSGMFNNVQPDVPVPSPTISASRKRQRTSQPVPSLSLDAPSPGLQSQPVVAPMQPSSSAAKRGTATAAKGKKPKSAQTLPGVSSMKSMQYPSAGPSGRSQVASRNSSGAPMIDPAKKTPYDPLIGRKVMTRWPEDNNFYEAVITDYNPVEGLHALVYDIDTKDETWEWVNLKDISPDDIRWEGGDPGISHRPGQGGVKRSTGRGGGIPGAGRGRGTMKNQAKKQFPPSQNGIGKKRAGDIEILHTETLIKEVEKVFGASHPDPLEIEKAKKLLKEHEQSLIDAIAMLADASDEGEHQFPHQQSMGWGNRRQYGGNQQADKYRGDDVTAGGGREGSDGDRLVGHGDDDDT >Ma10_p12790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25813652:25814908:-1 gene:Ma10_g12790 transcript:Ma10_t12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVEVDEEPKCFARWDWEEQLPSPHELMPLSQALITPDLALAFGIPSSSSAPTTGADPAPDDLLRGPHSSDLNGGRGEEEPARTLKRPRLVWTPQLHKRFVDAVAHLGINNAVPKTIMQLMGVDGLTRENVASHLQKYRLYLKRMQMQGLNPSSPSSAPQPFLGRMPVAALQHHQQNTAPVQLQQQHQYYHQTHLGHFPGSGPGFLTRNMQPPPVTHRTMGLVPGMGSAPVPPHSTAAAYLNTSEWSGMGEGGARRKELTLFPTGED >Ma06_p36260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35754926:35755491:1 gene:Ma06_g36260 transcript:Ma06_t36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSREAEEEEQAAGAELLLLLSLSCGDKPATVRKKVRAAEGVFECKICSRQFPTFQALGGHRTSHNRPRVDRSTSRRSVHRCSICGVEFAMGQALGGHMRRHKPMAEDSKRSDPKKMDLTMADPEFGDLHRVRKTGSHCQLLQLFV >Ma11_p11810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14994978:15009319:-1 gene:Ma11_g11810 transcript:Ma11_t11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVEVTQLFERFKAAFVRNDFGACTDLLSHLKVLLTKFPSLPPSYEETPNAVQELTIARDIYEHAVVLSVKTEDQDAFERDFFQLKPFYTDTCGIIPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLPQSALENPCIKHAVELEQSFMEGAYNRVLSARQTVPHDTYVYFLDLLAKTVRDEIAGCSEKAYDYLSINNAKKILMFSTDQELSEYITEEHPEWEIKNGCVFFQKAKESQPCKEIPALQLINQTLSYARELERIV >Ma04_p28750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29734368:29746645:1 gene:Ma04_g28750 transcript:Ma04_t28750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSSSHRTSLASSCPQFYAATSHADSIGFRHRSRLPTRPRPPISFLRASSPTHSLLGAIPRSHGRPRGRSPRFFVSACSKADAAGSSTLTTKLSEFRDSCWRFLRPHTIRGTALGSIALVAKALMENPNLINWWLTFKAFYGLAALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWILVLLFAAAGLLIVAWNFGPFITSLYCLGLFLGTIYSVPPFRLKRFPVVAFLIIATVRGFLLNFGVYYATRAALGLSFKWSAPVTFITAFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLANYLGAILVAIYMPEAFRRSIMVPAHALLALGLIFQAWILEQAKYTKEAISQFYRFIWNLFYTEYIIFPLI >Ma04_p28750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29734368:29740916:1 gene:Ma04_g28750 transcript:Ma04_t28750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSSSHRTSLASSCPQFYAATSHADSIGFRHRSRLPTRPRPPISFLRASSPTHSLLGAIPRSHGRPRGRSPRFFVSACSKADAAGSSTLTTKLSEFRDSCWRFLRPHTIRGTALGSIALVAKALMENPNLINWWLTFKAFYGLAALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWILVLLFAAAGLLIVAWNFGPFITSLYCLGLFLGTIYSVPPFRLKRFPVVAFLIIATVRGFLLNFGVYYATRAALGLSFKWRHMQR >Ma04_p28750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29734534:29746645:1 gene:Ma04_g28750 transcript:Ma04_t28750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAEDPRVSLSRQACSKADAAGSSTLTTKLSEFRDSCWRFLRPHTIRGTALGSIALVAKALMENPNLINWWLTFKAFYGLAALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWILVLLFAAAGLLIVAWNFGPFITSLYCLGLFLGTIYSVPPFRLKRFPVVAFLIIATVRGFLLNFGVYYATRAALGLSFKWSAPVTFITAFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLANYLGAILVAIYMPEAFRRSIMVPAHALLALGLIFQAWILEQAKYTKEAISQFYRFIWNLFYTEYIIFPLI >Ma04_p28750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29734422:29746645:1 gene:Ma04_g28750 transcript:Ma04_t28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAEDPRVSLSRALVAKALMENPNLINWWLTFKAFYGLAALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWILVLLFAAAGLLIVAWNFGPFITSLYCLGLFLGTIYSVPPFRLKRFPVVAFLIIATVRGFLLNFGVYYATRAALGLSFKWSAPVTFITAFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLANYLGAILVAIYMPEAFRRSIMVPAHALLALGLIFQAWILEQAKYTKEAISQFYRFIWNLFYTEYIIFPLI >Ma04_p37540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35404570:35404695:1 gene:Ma04_g37540 transcript:Ma04_t37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCKSMGSQNQHALRINLKGCVDGENERMANKRHKDELLD >Ma10_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28562046:28562661:1 gene:Ma10_g17040 transcript:Ma10_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVAVVLFVLLSPGLLFQIPGKGGRLVEFGNFQTSGVSILVHAVIYFALITIFLIAVGVHVYTG >Ma06_p25270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25536109:25540169:1 gene:Ma06_g25270 transcript:Ma06_t25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEHHFGAAVQGCRDIVLSSHNCDVPHVTRTDYQLIDISEDGFVNLLTENVNTKDDLRLPTDETLLAQIKDGLQKGRIW >Ma06_p16890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11455033:11459080:-1 gene:Ma06_g16890 transcript:Ma06_t16890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQASTKASDFQLSRSPLNERILSSMSRRSVAAHPWHDLEIGPAAPKIFNCVVEITRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASSAYEAIQHSMDLYANYIVESLRR >Ma06_p16890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11455033:11459077:-1 gene:Ma06_g16890 transcript:Ma06_t16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQASTKASDFQLSRSPLNERILSSMSRRSVAAHPWHDLEIGPAAPKIFNCVVEITRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASSAYEAIQHSMDLYANYIVESLRR >Ma05_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6395663:6397525:1 gene:Ma05_g08660 transcript:Ma05_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNAHLLIPRTADGTSPAIAGFGTTKNFAEPPPPVPPSTTSVQESKNLKKSGGPARFLLFRGGSWIDFPPEVLDVLRDGLDAGRMALEVPVGGTSYLFDFLRMTRVDLKDGVSSSIGWIDADGRCFFPRVLLDGQRNSSSLDKGREGSSELHQEHSDETPETSTTTSLDQPREWPGAETLSDGDRHYKVVEKLFLDGMRRFDPNVTITSVRKCLHSSFRGNSRLEAFQTLIQTTKAARGHENVRFGWYGTTASDLAVVIGHGFGRTNNSLLGSHAHGVGVHLSPPHSPHSSSTLSEVDSNGDRHILLCRAIMGKSEKVEAGSLQYHPSSDEFDSGVDDLTTPKWYIVWSTHMNAHILPEYIVSFRSSCHQSQAGHGRRMSSRRRPSISSTSFSKLFAEIAKLLPSSMSAILGIKYNQFREGKISKESFIRYLRSTVGDKLLISTIRKMYS >Ma05_p08660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6395663:6397525:1 gene:Ma05_g08660 transcript:Ma05_t08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNAHLLIPRTADGTSPAIAGFGTTKNFAEPPPPVPPSTTSVQESKNLKKSGGPARFLLFRGGSWIDFPPEVLDVLRDGLDAGRMALEVPVGGTSYLFDFLRMTRVDLKDGVSSSIGWIDADGRCFFPRVLLDGQRNSSSLDKGREGSSELHQEHSDETPETSTTTSLDQPREWPGAETLSDGDRHYKVVEKLFLDGMRRFDPNVTITSVRKCLHSSFRGNSRLEAFQTLIQTTKAARGHENVRFGWYGTTASDLAVVIGHGFGRTNNSLLGSHAHGVGVHLSPPHSPHSSSTLSEVDSNGDRHILLCRAIMGKSEKVEAGSLQYHPSSDEFDSGVDDLTTPKWYIVWSTHMNAHILPEYIVSFRSSCHQSQGHGRRMSSRRRPSISSTSFSKLFAEIAKLLPSSMSAILGIKYNQFREGKISKESFIRYLRSTVGDKLLISTIRKMYS >Ma11_p13990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19123352:19156018:1 gene:Ma11_g13990 transcript:Ma11_t13990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLEESVGHKNEALETGGRGFLMRSEITHDEHPLSLGKTSVWNQFFQETEIIEQIERDVKRTHPDMQFFCGDSSLAKSNQEALRHILIIFAKLNPGIRYVQGMNEILAPLFYVFRNDPDQNNAVYAEADAFFCFVELLSGFRDNFCQKLDNSVVGIRSTISKLSELLKKHDGELWRHLEITTKVNPQFYAFRWITLLLTQEFNFVDSLHIWDALLSDPDGPQETLLRVCCAMLILVRKCLLAGDFTSNLKLLQNYPQTNINHLLYVANKLRGSSAG >Ma11_p13990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19122498:19156018:1 gene:Ma11_g13990 transcript:Ma11_t13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGVPEWLNSSLWASSSSPPASASTTAAARLPRSPPSPKIVAPARAPIPEPPPPPRPPQPPSEAPRPSVDAPPDDRSPSRLSQEEVSRQFQLLAELSKKVVNIGELRRLASQGIPDGTGIRSIVWKLLLGYLPYEREFWMQELAKKRSQYKAFKEEFLMNPSEMARRLEESVGHKNEALETGGRGFLMRSEITHDEHPLSLGKTSVWNQFFQETEIIEQIERDVKRTHPDMQFFCGDSSLAKSNQEALRHILIIFAKLNPGIRYVQGMNEILAPLFYVFRNDPDQNNAVYAEADAFFCFVELLSGFRDNFCQKLDNSVVGIRSTISKLSELLKKHDGELWRHLEITTKVNPQFYAFRWITLLLTQEFNFVDSLHIWDALLSDPDGPQETLLRVCCAMLILVRKCLLAGDFTSNLKLLQNYPQTNINHLLYVANKLRGSSAG >Ma11_p13990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19122498:19154931:1 gene:Ma11_g13990 transcript:Ma11_t13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGVPEWLNSSLWASSSSPPASASTTAAARLPRSPPSPKIVAPARAPIPEPPPPPRPPQPPSEAPRPSVDAPPDDRSPSRLSQEEVSRQFQLLAELSKKVVNIGELRRLASQGIPDGTGIRSIVWKLLLGYLPYEREFWMQELAKKRSQYKAFKEEFLMNPSEMARRLEESVGHKNEALETGGRGFLMRSEITHDEHPLSLGKTSVWNQFFQETEIIEQIERDVKRTHPDMQFFCGDSSLAKSNQEALRHILIIFAKLNPGIRYVQGMNEILAPLFYVFRNDPDQNNAVYAEADAFFCFVELLSGFRDNFCQKLDNSVVGIRSTISKLSELLKKHDGELWRHLEITTKLGDLGTHLLVVRTFEEG >Ma03_p16090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17701899:17704045:1 gene:Ma03_g16090 transcript:Ma03_t16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCDTSLALGLGVGGRSTAPSSGTTRRRREVPCSPLRSLMAQKQPSLMLSLPHDVYNGEANKGSEEGRQARPSSPHSTVSSFSTAYTPTTMKKEKDDGGSGGGGEEADTARADSRGGSYDDNDDGSPRKKLRLTKEQSALLEDRFKEHSTLNPKQKHALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTDENRRLHKELQELKALKLAPPPVCMQFPAATLTMCPSCKTIRAAADGPKGGGAEPFVVAPAKHHFFHPFIHSASC >Ma09_p20320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29043420:29045587:1 gene:Ma09_g20320 transcript:Ma09_t20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTALRPLFLPHLPTTATVAVRLHPPPLLLPLRSLRSSLPPSRSRLSPVVFAQSNLFKAIQTAWRIGKDVTEAGANLVPDSIPRPIARIGIITVAVTIALFILKSFVSTVFFVLAVMGLVYFVFVSLNTDELSTRSKITTSNEEETLEEARRIMEKYK >Ma08_p15590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15787420:15788321:-1 gene:Ma08_g15590 transcript:Ma08_t15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLPPSSSPSTSDDAGEKILPSSPNSSSNEKAARALEALMWPHDHDSTMSESSLGYLRGRYGIPEEFVLTAPEPGQRANKGWKGRFFFVCRSEDWGFGLQWAARVINYTAPSLNDEERKDLRRLKEILPTSRVIREMTEGWLVEAGLSPTPREMVNLVTVRGGRSSSVTSSR >Ma01_p21490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21227049:21229034:-1 gene:Ma01_g21490 transcript:Ma01_t21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRISASYNSLVAVYAHRNHAREALAVLSRMLAAGLRPTRFAFAPLLSLPSLDLDRGIQLHSLILKSGFLHADPFSGTALLGLYARNGRLDDAFGLFEEMPTKTVVTWNSTIAAFSRRGFVEESMFLFRRLLGTGMGLTECSFLGILCFLRSSDSLRCVEQIHGLAVKTAMDSFLVVANALLNALSTCSGVLAAERFFNSLQTRDVVSWNTMMTGFAKSSIPERALELFFAMHVDEVSPSGATIATVINACTCFDSAEYGELIHAKAIKRNLDNDMFVASSLIDYYANWKRLQDAHKVFDELPVKNVVCWNALISGYSKDDPPTCLLLLKSMLRSENRPNELSFSSMLTRLSPAELQQLHSLIIRMGHDNNEYVSSALIASYDSPGISSDASSSVKDADPVATSTARSNATASVHNRAGRYQEAQELLLRLQTPDTMSWNILLNACARNRGYSEALLSFKRMQSSGHSIDNYTAVSLVSICSRINSLELGRSVHGLIVKTISGCMDTFVCNVLLDMYAKCGSLDGCLKVFDEMGDKKNLVSWTALISGLGLHGCPHEALARFKQMESEGFEPDSVAFLAVLSACRHGGLVEEGMLMLESMKSDYGIEPEMDHYVCVVDLLCKCGHLKKAELVISGMPFQPNAVLWRTFLRGCKTFGGLLT >Ma06_p02990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2229808:2234043:1 gene:Ma06_g02990 transcript:Ma06_t02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVALLQSLLVPHPHLRVQNRRAISPSLWLRRLEVTAKPRRGFRPSAALRRPASDGSPATTNSFLPLLSLTETFRRHLGLLVHLAAAAAFLIGFGARASTPASAAVAPPTVVSSSVNETETSDAIIEQSAENEELKAALEAWKSKTYALTVPLRIVALRGSLPPSWIKDFIQVQGKRLHMNLEYRGGLESIFSDLSSASEKGHLQPKSAMAADIISLGDSWLNFAIIRGLIEPVKHIEEQEWFKNLSNKWKVHLRRNDKGELDPNGYIWGAPYRWGPMVIAYKKEKFRRHNLRPIEDWSDLWRTELAGKVAMVDSSREVIGAVLKYMGSSYNTKDFETQVVGGRKAVLHNLRALQRQVRLFDSVHYLKAFSSGDVWVAVGWSSDVIPVAKRMSNVAVIVPKSGSSLWADLWVIPYATRFKTDKIGGRVRSPSPLIYQWFEFCLQIASALPFQQEVIPGASPIVFDQYSGGPMESTKGKPKLDTNLVDGVPTPEILAKCEFLEPLSEKALEDHEWLISSMDKSGSGWIRNILYSSSALFNSRGGNKKS >Ma03_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6287970:6294105:-1 gene:Ma03_g08540 transcript:Ma03_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIYSFSFDLTTLPGQVRIEKGNGCDDRGGPAAGCGFCAICLEKIALQDMALVKGCEHAYCVTCMLRWALYNEKPSCHQCKHPFEFLNILRSWKAASTTVCLRRKAAVTS >Ma02_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27325642:27326650:-1 gene:Ma02_g21770 transcript:Ma02_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMIQDTDMPVKMQLQAMSCASEALDLFDVLDCKSIATHIKKEFDLRYGPGWQCVVGSNFGCFFTHTKGTFIYFCLETLNFLIFKGAAA >Ma10_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26529802:26533945:-1 gene:Ma10_g13920 transcript:Ma10_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRGWRCRRRPFHVLVPVAASPANPTSPSKHLNHLLRSCRDVRSLLQLHSRILVLGSLADDATCILLLNSYSSFRRSDAALAVFNTSPNPSMALWNSMIRCYTRTGEHKKAVEFYYRLLRRGLEPDKYTFTFASKASAGAFDLETGDMIRQEVARRGLSGDVFIATGLVDMYCKLGMVTTAHELFESMAETDAVSWNAMIAGFSQNGHPREALALFKRMQVAGEVPNSVTFLNLFPAVCELSALILCRVIHGFLTRRCLLSVVSNGLIDTYCKCGSTGIARKIFDGMSSGKDDVTWGTMICGYVFNGYYADALVLFDDLKSEDIKLNQVAVVSALSAASETGDLEKGVSIHNYATEKGVDSDISVKTMLVTMYAKCGDLEKAKSLFDGIKERDVVAWSAMISAFVQANHPTDALVLYQEMQMAGLMPNQVTIVSLLPACADLSELKLGKSIHCLALKSNIHLDVSVGTALVAMYAQCGSFTSAHSLFDNMEYKDIVTWNALINGYAQVGEAGKALEFFNRLRSAGHCPDPGTMVGALPSCALLNALEIGACLHGLVIKNSFNSDLHVKNATIDMYAKCGDLPSAEFLFLETKSHEDVISWNTMFAGYMNNGRANEAISAFRLMRAENMKPNLISLISILPAAAFLAALREGSALHSYIIKIGLVSQVLVGNCLIDMYTKCGRLDNARDFFNQMDQKDTVSWNVMLAGYAIHGHGESAISLFLQMKDNYVKPDSVSFLGVLSACRHSGLVAEGKKFFESMMTEHHLEPNVEHYACMVDLLGRAGQLGEAWSLIQRMPMTPDAGVWGALLGACRMHSDVAMGEIALDHLVKLEPQNVAHHVVLSNIYAQVGRWTDVRRMRSAINHIGVNKTPGCSWVDIRNTIHAFSVGDQSHPQYDKMRDIWNDLREKMEKMGYVPDTSSVMHNVEEEEKESFLSSHSERLAICFALLSTEPGMTIQIVKNLRVCGDCHTVFKFVSEIANREIIVRDSSRFHHFCNGICSCKDYW >Ma06_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4793362:4794436:-1 gene:Ma06_g06640 transcript:Ma06_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGELEVLLVDAKGLAGADVIGKIDPYVVIQYRDQERKSRIARNQGRNPIWNQTLKFPVYSSAINNPIQHKLTLRIMDYDTVTADDFIGHATIHVGEVIASGMEKGIAELPPTKYRVVLEDKRYHGAIRVGVTFRTMVEED >Ma03_p25240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29356100:29357464:-1 gene:Ma03_g25240 transcript:Ma03_t25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKVHPEPLLPSASPSSSSSSSSSSSSSSASFSTTARREVYTLWMKSLVLNGNGCTVYDSTGRLAYRVDNYDCKCSDEVYLMDHGGRTLCKILRRKLRMFGRWEGYRCHDSAREEKRPWFRVKKGDGILRRSKSREIEVMVGCSKGKASCYSTEGLGNNLAFKIKDLAGRTVAEVGRKRTEGGVVLGEDVLMLVVEPNVDRLLIMGLMVVCGLIKQNM >Ma05_p27470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38765097:38766674:1 gene:Ma05_g27470 transcript:Ma05_t27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGRDVIKVIEAMTPLYVAVGLGYGSVRWWHVFTREQCEAINRLVVYFTIPFFTFEFTSHIDPFTMNYRVIAADAISKLLTVGVLAAWTWCSSSSKSSYSWAITIFSLSQLTNTLLVGAPLLDAMYGRWAQDIVVQLSVVQGIAWMALLLFALEMRKASGAAGFAPAAIVAGAGGQVVAPEPQQATDVECNTDVAARPTLGSLMKTVWLKLALNPNIYASVLGVIWALIANRWHFEMPRIMEGSVLVMSKAGTGMSMFSMGLFMALQDKIVACGQKLSAFGMLLKFIAGPAVTTICAVAVGLRGDLLRVAIIQAALPQSISSFIFAREYGLHPDVLSTAVIFGTLVSLSVLIAYYEVLGLLS >Ma01_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10607178:10608093:1 gene:Ma01_g14490 transcript:Ma01_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDPFSLDVFDPFQGFPFDAFRSLSETRPGFASETSAFANTRIDWKETPDAHVFKADLPGVNKEEVKVEVEEGRVLQISGERNKEQEEKNDKWHRVERSSGKFLRRFRLPENAKVDQVKASMENGVLTVTVPKEDVKKPDVKSIEICG >Ma09_p29740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40102372:40115838:-1 gene:Ma09_g29740 transcript:Ma09_t29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTISGADREVLAEIVRLTQKQGLKGAEGGWKDFLQCHEKQFGSGLSDPAKRPKELLVAFLQTFRKEEKKVFDKMIRRNSDHNAMKQLIKDSPGLESPQQRLVRLTMEHPRYTQYHSLPVYDEDWIDIPLGKVSEAMKLNAMISVDCEMVLCQDGTDAVVKICAVDHNLEVKLEKLVNPGKVVADYRTHITGISSKDLEGVTCSLVDIQKSLKKLLSHGTILVGHSLHNDLQALKVNHPRVIDTSYIFKCAGLPTLLPSLNNLCKVVLGFPVRKEGEPHNCMNDAQAAMKLVLAKLEHGYDDHIVMSCCDIPNSDLAKLLLHKIPIEVPTQELQTLFSREYNVIIESVTRLRGESYSTYAVFKNFIEADEAFKKIEGHQKKDSSGRPQKLVFMKLSSGKTTSFYVRRMTAEVHFNDANTSKRPVQEDPGQQQAGDGTIDVKRQKTCLYSCNHVKEIEKLREELHEREEEIFNLQKTLFEVTRNQHLCNETMSS >Ma09_p19650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:25673839:25678009:1 gene:Ma09_g19650 transcript:Ma09_t19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISAQYPCLFLLFFLSCLAPLQAKAETEEAQALLRWKSSLVQPDSLSSWSLANSSSPCRWFGVRCDYSGRVLELSLPNSNLNGSLDDFNFSTMSNLVRLDLSSNLLYGSIPSAISALSNLSSLNLSGNSFDGSIPPQIGQLSELLDLRLYNNNLAGVIPYQLSHLHKARYVDLQLNYLETPDYSKFAVMPALTYLSLAVNSLTDEFPPFVLNCTKLVYLDLTYNSLTGPVPVSLARLRRLRDLRLGVNNLTGGIPPILGSMSELRTLELFNNSLGGPIPSSLGQLRYLERLDMKSAGLNSTVPTELGNCTHLNYIELSFNQLTGSLPQSFVRLTKMREFGISSNSLSGEIPPDYFGSWPQLISFQIQNNLITGRIPPEIGFATNLSYLYLFGSNISGLIPPEIGNLVNLVELDLSVNSLTGPIPRTIGNLKQLTALSLFYNSLTGKIPPEIGNMTALQKLDINTNQLEGELPSAIGQLPNLQYISVFDNKLRGRVPNDLGRNGLLYYVSFANNTFSGELPPGLCDGFGLRVITVNYNNFSGALPACLRNCTELVRARFELNNFSGDISEAFGVHPELVFLDLSGNQLTGTLSPDWGECKNLTHFYVTGNDISGEIPAAIGNMTNLRELGLAANYLKGHIPPELGTSKFLYKLDLSNNLLSGSIPPQLGAVSLLENLDLSGNQLTGRIPGELGNLKKLLLLNLRKNDLSGEIPYQLGNLDSLQILLDLSSNSLTGMIPSNLGKLTKLLELNVSHNNLSGPIPAALSSMFSLESVDFSYNNLTGPIPTGNAFEKASAEAYAGNPGICGNVTGLLSCSSNSSGNMSSHKNHRKLIIAIVVPIAGVLVLAIIVLIVMIACRRKEEDKYETESSSNWETSESLIWEKERKFTFLDIVNATDNFNEVFCIGKGGFGSVFKAKLSTGEVVAVKRMHVSGAGDVSEINRKSFENEIRALTEVRHRNIVKLHGFCMRSGYMYLVYEYLERGSLGKVLYGEEGGSKLDWAKRVKVVQGVAHALAYLHHDRSPPIVHRDISVNNILLESDFEPRVSDFGTAKLLNPGSSNWTSVAGSYGYMAPELAYTMRVTEKCDAYSFGVVALEAMMGKHPGDLLSSLQALSPPSEGEEEGSDPFLKDVLDQRLPPPTGQLAEEVVFVVKVALACARADPVSRPSMRSVAQEISARTQAYLSEPFRTITLSKLTSYQK >Ma11_p05900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4714132:4716403:-1 gene:Ma11_g05900 transcript:Ma11_t05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEAGEKGEKLDMEGKPDGGDEKKEVAAVVDSSRSETWVSRLQTQHPVAPIQVVLHGAATPPAAAYQPTPTPTPLVGLASLNSREYTNRVSLLLFVLHLLVAAAAVCFFWFKGIQGLLDSDSGKARNGRQVLKFWLPPIEGASVLSIGLAFAWQKAVRSWPSVMVPFILWACFLATMAAGILLLCFSLPATDGLGVAFMTFSIGAGLYACWVTRRIAFTGKVFAQALRPVTKFQDVNGPAYLMLGVGFLWISAWCFAVIGALNFYYPTLTILALVLSLAWTAEVMRNVANLTVSRVIALYYLRGMQSSTQFSFQRATTINLGSACLGSLFVPTIEALRIMARGLNLLEGEDEFLFSCAHCCLRVMESIFRYGNSWAFVHIAAYGRGFVEASQSTWGLFEKQRMEAVVDSDITSAVCFLTGVTSGALSLIFSASWTFSSHKHYTATVSLLAFFVGYLMTRIGMALPHGCVACYYVCYAENPGSRLFDSTIPDRLNQIGSGREGFVPTPRFPRPNFRT >Ma03_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17511641:17515784:-1 gene:Ma03_g15920 transcript:Ma03_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFKLGKKQIHASSSSGFQSERMDESPSLPMDSKSLNPKKQVQPPSKEKLPHGKSSLILPNSDIDELLECPVCSNAMFPPIQQCPSGHTLCFSCKNKVNNKCPICRKEIGNIRCLALEKLAVSLHLPCAYHHLGCEEMFPYYSKLQHETRCTYRPYTCPHPGSDCPFTSDSLALLSHLRERHKVDLQAGCTFNHRYVKQDPCSVDSCSWTLTLFNCFGQYFCLHFEAFLLGCEPVYMAFLRFMGEESEARRYNYCLEVGGHGRKLRWQGVPRSIRTHHRMVRDSHDGLIVHRSLALYFSGGDQKELKLRVSGRIWREM >Ma10_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19726665:19781479:1 gene:Ma10_g06550 transcript:Ma10_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKSTNKVVKVGDCVLMRPAESGKPPYVARVEKIEADHRNNVRVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGAFTPDRIAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGVTIEQAKKLDHFLCSDCESENDAERSMNGFPASPSSEPKAEPKRRKR >Ma10_p06550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19726659:19781479:1 gene:Ma10_g06550 transcript:Ma10_t06550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKSTNKVVKVGDCVLMRPAESGKPPYVARVEKIEADHRNNVRVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGAFTPDRIAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGVTIEQAKKLDHFLCSDCESENDAERSMNGFPASPSSEPKAEPKRRKR >Ma02_p07740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18298798:18299608:1 gene:Ma02_g07740 transcript:Ma02_t07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQSSGKEHDMDNSHPTSKISKDDPKYKLHPSHAHQRKQNHLQRCRQQLLKPRAVAVTSSETSTIDYSSSISVFPMEACEILGGEACNARMFPEAKLAAAAGSGGRPASEEIDRDYLEYNDPKTVFPGEACDDLGGEFCQAEYQNGVF >Ma02_p10090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19776457:19779767:-1 gene:Ma02_g10090 transcript:Ma02_t10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPQKNRHEICKYLFQEGVLFAKKDYNLAKHPEIEVPNLQVIKLMQSFKSREYVRETFAWQHYYWYLTNDGIEYLRTYLNLPSEIVPATLKKSSRPPPRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGPPGDFGGDKGGAPPEFQPSFRGTEGRAGFGRGRGGYGAGATSSME >Ma08_p07980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5528424:5530532:-1 gene:Ma08_g07980 transcript:Ma08_t07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTHEACVPNSMTSSSFLPLNNATWQIPHHPFSPHSRHPSLSSSHPFQGSRPPHYHLLNSTSHSSPPSTTATTMRPSSDAVRSFFSFLSSGLDELDRCLASNAFMSLHVLQRAVALLRSLNSQLVSLVQKLRLPPGERWLDEYMDESSLLWDVCHVIKLGISGMESYNSHGAHVVSSLEEWRRNPNPHLTLQVTRAISVCRREAVRLEEENRVLVETKTNPASLRFDDERVLTDSRLNGFNGFRGVLYALRNASSLLLQILLWGSVYCSPEQGVFHGPACSSSAYAVSVARLRQRLHGEVEGLGGRPGILMHEFRAARASAEELREEMEKGETEGREPEGTAGRGSGLREKVERLKGWLATLRSGTENLVAQLDDLFDEIVEGRKKLLDICSHH >Ma08_p27550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39746964:39752980:-1 gene:Ma08_g27550 transcript:Ma08_t27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVHHAGAIQNFWIDGERKTYVPACSDSSRHAYNMKGFLAFRHCLERPCIQNLWMSPNLKSHYSRLRNHHLWRTSKDGTRKNWLCHWDSNASSDHDYRSSRNIAISLLKRYRIVIDRGGGDNLKEFISAGVNAYALGCTDEGLRKELIRMKDSGAEIEGLQSHSGGTTLKFEILTEEVNECILWLSIVFITILCTPQPTVVRWSSTPPVSAETMHQWKGFCAIIANAYYTRGMAWLPVKTLQLEQMAVMGSSEEPSVVASRMRLVFSTLEVVSPQWPRV >Ma08_p27550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39746964:39752980:-1 gene:Ma08_g27550 transcript:Ma08_t27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVHHAGAIQNFWIDGERKTYVPACSDSSRHAYNMKGFLAFRHCLERPCIQNLWMSPNLKSHYSRLRNHHLWRTSKDGTRKNWLCHWDSNASSDHDYRSSRNIAISLLKRYRIVIDRGGGDNLKEFISAGVNAYALGCTDEGLRKELIRMKDSGAEIEGLQSHSGGTTLKFEILTEEVNECILWLSIVFITILCTPQPTVVRWSSTPPVSAETMHQWKGFCAIIANAYYTRGMAWLPVKTLQLEQMAVMGSSEEPSVVASRMRLVFSTLEVVSPQWPRV >Ma08_p01110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1009681:1020864:-1 gene:Ma08_g01110 transcript:Ma08_t01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSSDSRDSVTGPTPPSSSSSISSRNGDLQAGRITNLSNQIPPGSSPGINQLNKYAPAVNSRPNGNYVVPVYEALPSFKDVTSSEKQSLFMRKLDLCCVVFDFTDPAKNLKEKDVKRQTLLELVDYVTSASGKFPENVMQETTKMVSINLFRNLTIPPREHMVLEKIDADEEDPVMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYIDHSFVLKLLDLLDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYQFIFETEKHNGIAELLEILGSVISGFALPLKEEHKLFLVRALIPLHKPRCIAMYHQQLSYCITQFIEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEILEATQPADFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKQNSKVILPIIFPALEKNTKSHWNQAVQSLTLNVRKIFTDHDPDLVSECLKKFEEDEAKDKEIRSKGEATWKRLEEIAASKAASRESAIDPRTIPHQVLSV >Ma08_p01110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1009681:1020381:-1 gene:Ma08_g01110 transcript:Ma08_t01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRLPRKPSKSSDSRDSVTGPTPPSSSSSISSRNGDLQAGRITNLSNQIPPGSSPGINQLNKYAPAVNSRPNGNYVVPVYEALPSFKDVTSSEKQSLFMRKLDLCCVVFDFTDPAKNLKEKDVKRQTLLELVDYVTSASGKFPENVMQETTKMVSINLFRNLTIPPREHMVLEKIDADEEDPVMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYIDHSFVLKLLDLLDSEDPREREYLKTILHRIYEKHNGIAELLEILGSVISGFALPLKEEHKLFLVRALIPLHKPRCIAMYHQQLSYCITQFIEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEILEATQPADFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIENLIKQNSKVILPIIFPALEKNTKSHWNQAVQSLTLNVRKIFTDHDPDLVSECLKKFEEDEAKDKEIRSKGEATWKRLEEIAASKAASRESAIDPRTIPHQVLSV >Ma03_p13940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10978319:10984643:1 gene:Ma03_g13940 transcript:Ma03_t13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIGLVNRIQRACTALGDHGDAEESGVGLPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKVDEGKVEYAEFLHLPRRRFTDFSLVRKEIEDETERLTGKTKQISTHPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIETMVRSYVAKPNCIILAISPANQDIATSDAMKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQYPWVGVVNRSQADINKNVDMIVARRKEREYFATSPDYSHVASRMGSEYLAKLLSKHLESVIRSRIPSITSLINKTIDELEAEMNHLGRPIAVDAGAQLYTILEMCRAFDRVFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRYLSLQNVKKVVSEADGYQPHLIAPEQGYRRLIEGGLGYFRGPAEASVDAVHYVLKELVRQSIGETQELRRFPTLQTELAAAAYEALERFREDSRKTALRLVDMEASYLTVEFFRKLPQEVERGNNPAGSNPTGKKPDDSNPAGPTIDRYGEGHFRRIGSNVSAYISMVSDTLRNTIPKAVVYCQVREAKRSLLNHFYTQVGKKEGKQLASILDENPALMERRMQCAKRLELYKSARDEIDSVSWNR >Ma11_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:2880638:2881522:1 gene:Ma11_g03810 transcript:Ma11_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDECKLMFLELKTKRIYRFIIFKIDERIQQVAVEKLGQPEETYDDFTASLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSIDIVKARAL >Ma08_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3324215:3329204:-1 gene:Ma08_g04790 transcript:Ma08_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSRLTLSASAARAAAAVVDDDDNLDEEPGEEIESAPPLRVGEEREIGGAGLRKKLLRPGRGWETPVLGDEVTVHYEGRLLDGRKFESTRDRGEPLTFELGGEQGIAGLDQGIITMKRGELALFTLPCSSGYRHAAAQGVPPDADMQFEVELLSWLTVMDICKDGGIVKKVLSGGDDRQTGDLDEVTVKYQVRLLDGTIVAETPEGGFEFCVNQGHLCPALPKIVNTMRRGEKAFVTIQPQYAFGEAGREAINGLPAIPSNAVLNIEVELVSLKPVVDVTGDMKVLKKILRAGEGLRTPNSGETVCVRYTAMFKGGTTFEKVGFDGESFQFIIDEEQVIAGLDRAVSTMLKGELSELTIEPEYAFGNDEAKRDVTIIPSSSTLIYVVELLDFTKEKDIREMTGLEKIQAAEGTKSSGNDLFKNGKFERAAKKYDKAARYIDGEGTFEDNEEKLVKSLRISCWLNSAACCLKLKDFQGAIRLCSQVLDNEFCNVKALYRRAQAYIEAADLDLAKLDIQKALELDPKNKEMKSLQMTLKQLQAEKNRRDAKLYANMFQWTRKDADVMVKKLKVGKPQGDEREGSAEVEASNLENYMRCERKVAEKEVKCDRETQAMEVVDATCAGDREMADSVGR >Ma09_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33791191:33806597:1 gene:Ma09_g21850 transcript:Ma09_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNSIFNRASFGTRCKTCLNLAISRIKLLRNKRELQLKHMRKEISQYIQTGQEAIARIRVENIIREQNILAAYEIIELFCEFVLARVLILETQRACPVELQEAVASIIFASPRCSDLPELLHIRNLFFTKYGKEFAAAASELRPESNVNRMIIEKLTVTAPPAELKVKVLKAIAQEYNLEWDSSDTESELNKKHEDLLDGLNHMELQAPVIESSTNPSPRDGVFVSSDKGKPDQLPQSPTPSINSPSLLTNKTSSTVADKEDAATSETEAPSVNHRSCSRSSSDVLEKARAAIASADRASAAARTAAELVKVKLPHQSGNFSQCN >Ma02_p19530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25711696:25764167:-1 gene:Ma02_g19530 transcript:Ma02_t19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MALALTRSFVAPPLPSGVRSPPPRHRTRARGLRYSRKSSLRRRLLPSLTRTCVSATMVSSSASRPQSEPPETLGKPSVLTFQQAIQRLQDYWASVGCAIMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYAEPSVRPDDSRYGDNPNRLQCHTQFQVILKPDPGNSQDLFIGSLSALGINVNEHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLPLLPISVEITYGLERILMLLQGVNHFKKIQYSDGITYGELFLENEKEMSAYYLEHANIDHIQQCFENFEEEAQSLLALELPIPAYDQLLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVKTRETLGHPLGISLEGNHLMFQKFPNPGSKKMMEQPGAFVLEIGTEEMPPHDVNEASNQLKNLTVELLEKRRLSHGKVSAYGTPRRLVIFVESLSHKQAENEVEVRGPPASKAFDSEGNPTKAAEGFCRKNCVAIGCLYKKFEGKTEYVYIKVKESAQSAFEVLIEDLPSILTKISFPKSMRWNSQVMFSRPIRWILALHGDMVLPFAFAGVSSGRQSCGLRNSSSAILEVESAESYLHKMMEAGILINIEERKEKILCDVDSLTASINGYVIMQSSLVEEVVNLVEAPVAILGRFDESFLDLPKDILIMVMQKHQKYFPLINKHTGNLMPFFIAVANGIINERVVQKGNEAVLRARYEDAKFFYKMDTRKKFSEFRGLLSGILFHEKLGTMLDKVSRIQRTVGKLTLALGFDERVLMIVEDAAALAMSDLASSIVTEFTSLSGIMARHYALRDGYPDQIAEALFEITLPRFSGDILPKSDAGIVLAIADRLDSLVGLFGAGCQPSSTSDPFGLRRISYGLVQILVENNKNLYLEDALRLVADIQPIEIDGSVITDVLKFVTRRLEQLLVDKGISPEIVRSVLSERANWPCLAAQSAIEMEALSKGDIFSKVVQAYSRPTRIIRGKNVDSSFEVSEAAFERNEELALWSAYLEVTSKVHHGISMDSFFQASLLLLQPLEDFFDNVFVMVEDETIKNNRLAILKKIADLPKGIADLSVLPGF >Ma06_p34980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35038938:35039739:1 gene:Ma06_g34980 transcript:Ma06_t34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKALQISNPFPSTLSPPPTFFTWKPPMLLPPRAAAGDDKPAESDASAESPAAADPSFEKRLNQIRLKYRSGTGKKAEQRKARKSPGAGAGSSGKKKGSVLLPPVPLREPMAVGGVPVELGFTPYSERLNGRLAGLGLAALLLVELGSGKGLLRYHAPAVIFIQIYTVAAAGALFIKFDKERISVWPEKPPASSSAAAGD >Ma10_p00610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:1874877:1879406:-1 gene:Ma10_g00610 transcript:Ma10_t00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLANLYEVRDLNTIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKVRGVKKTKAGDAAKAGKKK >Ma04_p24480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26476378:26479537:-1 gene:Ma04_g24480 transcript:Ma04_t24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSADQISEFQEAFCLFDKDGDGCITLEELATVIRSLGQYPTEEELKDMIREVDINGNGTIEFTEFLNLMARKMKESDAEEELREAFKVFDKDQNGYISATELRNVMINLGEKMTDEEVLQMIKEADTDGDGQVNFEEFSRMMMAV >Ma11_p05640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4316185:4321689:-1 gene:Ma11_g05640 transcript:Ma11_t05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPYYEANKSGYAPESARRGAHGISGQSVRQAAYVPYEHKRHPMWLGCGPTALPIHNKGCRVGDVGRRERERDDDGRAALCFPPLPLPLILVSSVILFQNPAIGTRRVTRRSRSGASPGRASGNPGRAAQRIGVSADTILIERSKDNVSFFLKQTGRKKWGAADMGDTRGDPRVSMEPGNSSFFADTAP >Ma07_p05330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3895197:3896944:1 gene:Ma07_g05330 transcript:Ma07_t05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYAFLYQQSTFCTLKNCNSTKIVVVAIYQSKLNHTNSMYIVLSSISLKNKGSIRNPRYGDSAITKFSFYMVLQIVKIFSGWWLGTFEFTI >Ma04_p35430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34215990:34220509:-1 gene:Ma04_g35430 transcript:Ma04_t35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAASGNLSAVFSLPPTAVAINRHSSPSKVAVCSRNPIVSAAAAGGKAASSTSWAPGSWKSKRALQIPAYTNQEELESVLRSLETYPPLVFAGEARRLEERLAEAAVGKAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGVVLTFGGQMPIIKVGRMAGQFAKPRSEPFETKDGVKLPSYQGDNINSDIFDEKSREPDPKRLLKAYSQSAGTLNLLRAFATGGYASIQRVTQWNLDFVEHSEQGDRYMELAQRVDDAIGFMVAAGLTANHPIMATTEFWTSHECLHLPYEQALTREDSTSGQYYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPSELVKLCEILNHHNKPGRLTIITRMGAESMRVKLPHLIRAVRQAGLIVTWVSDPMHGNTVKAPCGLKTRSFDAIRSELRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSKAVTYDDLSSRYHTHCDPRLNASQSLELAFAIAERLRKRRISSWGKRVFEQNPQAAVA >Ma10_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31853593:31858706:1 gene:Ma10_g22500 transcript:Ma10_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIYGWVDKIDKQKQLCVCVDCKKQKAVSFSTVCFLQGLAERSRAVEIGEERRAGTESRERQREMGSSAADRFVGGGGGGEEQLLQQQPQMYYHVPQHSRREKLRFPQEMSPSHTSFLLLHDHNAATPLYPAGSLTALLPSFCTSFSSSDYSHNPPISNGVAQFDGHGALPIPSQHQHQIHNQGFSLSLSSSSSPRPTTSRHQVITRTTPLGPFTGYAAVLNRSRFLDPARKLMEEVCHVGQQAAIGGGSREMLLDVDPSRESLVDRGGDGWTDHGTKADRQISGMYQQQWKKTSLISMLDEVYRRYKQYYQQMQAVITSFESVAGLSSAAPYASMALKAMSKHFRCLKNIISDQIHHANKGIRNEGNSREEISSFGLVDNIGYLQRTTNSTGTFAQPHVWRPQRGLPERAVSVLRSWLFEHFLHPYPTDVDKQDLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHSLEMRQKNKVSAGDHRFLVTDEQSRLPPSSSKANAFGSQPPPMEYLMGTKCIDQEELSPVPVNLVCDGTPNHQHVGGVGNGVSLTLGLHQNNGVCLSEPLPLSVARRFGLEECSDTYLVTPFGDQERQFGKDVGTRLLHDFVG >Ma07_p06650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4771432:4773547:-1 gene:Ma07_g06650 transcript:Ma07_t06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPISVLGKLGLPGVDSLSTDQVYTKYFGDQKIKTYKDFHNAFLSLCNDFNAIMPGKHYKIKANQKEIEDFFKNKWLTAKETEESGQQKTRKDLLVEFMKENVEEYKASSSSAMIWVGTTVPAAAVLLKKSGEKVPQVKKFRIDLVPNFVFVPTCTLLSLIAVRMINVTKATRTTS >Ma09_p26620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37815234:37816444:1 gene:Ma09_g26620 transcript:Ma09_t26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLRVNSSPQLIPPSGVDHPNEATLEENVKSLLRLIHDYTEGGGRRPQCVTGMMTVLDGLKSQVQKLQPPRRGEAELRRCNTDLRRGAPPREKKPLDPAADEVQKLRRELFANMTARRNLERMFSSLGKEKEIMAAELTRKARELAEAEELVDDLRAQNEVLLEKVKACASEHKKNGGQSQLNSVLQERNKALSEQLLKSLDAYRTVKRWLRDAQEENARIVLEAAEVAAASAGIVHRVRERMEGGGNGKVRTEGEINSLEQMLHGLQGKLRKVSPKNKGDC >Ma11_p04920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3832208:3833156:-1 gene:Ma11_g04920 transcript:Ma11_t04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPRKHRHTSTKGMDPKFLRNQRYARKHNKKNGASGSEMEE >Ma00_p02310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17053971:17056042:-1 gene:Ma00_g02310 transcript:Ma00_t02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALSFAIVAAIGNFLQGWDQATLSGSLTYIRQEFRLEDEPIIDSLINTIPLIGAAIITVFSGALSDRFGRRPMLIISSVFYIAGGVLTIWCPDVYILLLARLVFGFGIGLAVAFVPAYITEVSPSEMRGLLSTLPQLTGTTGTTVAYDVDFGMSLQSQPSWRLLFGGILFLSIVYFVFTVFFLPEAPTWLVSKGRMEEAKHALQRLRGREDVSGEMALLAEGVGVTATELPVEQESTGVKDMNMPYRPKRRIAWVRRPATERSLLGSVLDPVRIRWKRRASNEQADVEKNPPREGESYASDDMYTPLLSDHGTNGGGDPKRVILCMEAVSGSQKHCVLVIPEGDASETDQCVEAKASVKQNETHNQFSGINGVLYYTPQILEQAGVGIILSKLGLSSVSASLLISSVIFTLQLPCILVAMRLMDVSGRRSLLLGTIPLLIASLLLLVLVNMVDLGAIAHAALSTVSVVVYMCCFVMGFGPIPSIICSEIFPTRVRGKCIAACSVTAWLCSIVVAFTLPLMQRAVGLSGVCGAYAFDCLVSLGFIFFLVPETKGAVMPP >Ma03_p03820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2524203:2526268:-1 gene:Ma03_g03820 transcript:Ma03_t03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPLKTFLKSKFSCILLKRKLKIEPRFFVFLSSLSAGTVVTLVQNKGILRGQTGGRVVGFD >Ma02_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29471491:29471640:-1 gene:Ma02_g25100 transcript:Ma02_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESRKAINKTNPIHNDVLEDIIFLSLDQSSSKYSGYTVFILFIFFSSD >Ma10_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7985367:7985665:1 gene:Ma10_g02510 transcript:Ma10_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVQRVPSAGLEVAGRVVSEIGPGLLVLIGINESNTDADADYMYARMPVASHLCSSLFQEFAYRSNLLS >Ma03_p31820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33894359:33897519:1 gene:Ma03_g31820 transcript:Ma03_t31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCRHFLLLLLVILAPGKLAKVAEDATEVEALLELKAAVSDPSAALSAWNSSDGVDHCSWPGVACDPLRGSVVSLDLSGLNLSGTLSPAVGRLRHLLNLSVASNSLSGPIPADLSRLADLRHLNLSDNLLNGSFPSALAHLKNLLVLDLYNNNLTGPLPAEVAELPNLRHLHLGGNFFTGVIPPEFGGWEFLEYLAVSGNDLGGPIPPELGNLTRLRQLYVGYFNSFVGGIPPEIGRLAALVRLDMANCGLSGAIPPELGNLQNLDTLFLQVNGLAGDIPSALGRLRSLKSMDISNNALTGEIPSTFADLQNLTLLNLFRNKLQGSIPECVGDLPALEVLQLWENNFTGGIPQRLGESARLQLLDVSSNKLTGNLPPDLCSGNRLQTLIVLRNFLFGPIPETLGRCESLGRIRMGQNYLNASIPDALFSLPKLSQIELEDNLLTGGFPDTGNAAISPDLGLINLSNNRLSGPLPRSIGKYSGVQKLLLNQNQFSGRIPPETGRLQELCKLDLSGNRFSGPIAPEISRCKLLAFVDLSRNEISGEIPPDIAGMRILNYLNLSRNHLEGSIPQSLATIQSLTAVDFSYNNLSGLVSGTGQFSYFNVSSFVGNPGLCGPYLGPCSSAIKGTGSPLAWGSLSSSFKVLVVAGLLICSIAFAISAIIKAVLLKKASEARAWKLTAFQRLDFTCHDVLDCLKEENVIGKGGAGVVYKGVMPNGEQLAVKRLPAMSRGSPHDHGFSAEIQTLGRIRHRHVVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLLWDTRYKIAVEAARGLCYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAVAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGELGDGVDIVQWVRETTDSKKEGVLQILDRRLPTVPLDEAMHVFYVAMLCVEEQSVERPTMREVVQILMDRPKGHGCDAPIKEVKEQQQRAAENSPPPDLLSI >Ma06_p21630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15965383:15984774:1 gene:Ma06_g21630 transcript:Ma06_t21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MEGEGDSHVIKLINKAVVHRICSGQVILDLSSAVKELVENSLDACASSIEINLKEYGEEYFKVIDNGCGISPQNFQALALKHHTSKISEFSDLHSLRTFGFRGEALSSLCTLGNLIIETRTKNEPLGTHLIFDHYGLVTAEKKIARQVGTTVTVEKLFSTLPVRSKEFSRNIRREYGKLVSLLNAYALIAKGVRLLCTNTTGKNSKSMVLKTQGSSSLKDNIITIFGLSTLQCLEPLNLCVSEGCKVEGFLSKSRYGSGRNLGDRQYFYVNGRPVDMPKVGKLVNELYRYSNSKQFPIAILNFIIPTTSYDVNVTPDKRKIFFSDEGGLMLSLRAEVEKIYCPHHCSYTVNMIEKPEKEAHTSQVVASEDKNPLSASKESSPEDGVCSQVFFKDNSPKTLQVKELDEEKGILLNEKNSMPEKVNTSLCEMKDSGSPSAHAHQFQHSSDFHEPKIDAMAINHFRLERNNNSTRSNLVQSSLTNFVSPNKRKHENCCKVLSEVPLLRHETPSCQVRKTSSAMRTSVSESHQYVPEDDSPGANTIELLECQVPPDVSNKEEISFSGERDFHDRGSHESDSEMQDVPISPADATVCSDEDLKVKSENISSLPPSSESHDEKYDTIKPCSTSKGYTVLQFRMDDLRRKTQLKFMKLHSSKQSDDRSHRTKMGSCYTAATLENSQPENNEGKAESLAAATSELNRLFKKEYFGQMQVIGQFNLGFIIGKIEQDLFIIDQHAADEKYNFERLSQSTILNLQPLLKPMRLELSPEEEVVASMNMQIIRKNGFTLIEDMHASPGHRFLLKAVPFSRNIIFGAEDVKELISILSDNQGECGVMGCYKMDTSDSVCPSRVRAMLASRACRTSVMIGDPLTKSEMQKILRNLADLNSPWNCPHGRPTMRHLADMAAIGCVRCEYYVLI >Ma05_p24250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36530155:36531474:-1 gene:Ma05_g24250 transcript:Ma05_t24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKPSTRLKERSSLVSHARSTTDDGARRRRASVPNSPDREARPPQCKTLTRSNSDVGKREKSVIHRPSTAGAIVNVTRSRLPARKPIEKPPSPSHPSQRTPPSGAVKERALKKTPSSIPRAAISSKPAGTSISSKPTGTSISSKPTGTSISSKPAGKSISSRTAGTSSKAATTLKTARTQPTVRARSPGSVIPKRKETTSVAAPSTHEEPVVAAEQDEKVAPPARVEEKEPVSVPSSEDLISDEPMDIVEDEEVLEVTEQYEPISPEQAPPPTPVDDGNGGDHGRDEEQDGANDDELPQGSEEESVADITDEEPEEEPSETVEQEDEAEEEDIKTPEAEPSTASAVPEKETVEEKAGEEAPTVKTRKKPAVAQEEKKETQMSNEVIEQTRSRLLEKQKSRVRALVGAFETVMALQDPGGQTCQQNHYNATKESTEST >Ma05_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32310828:32311692:-1 gene:Ma05_g20610 transcript:Ma05_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGGVLRRSAAVVERARDSVRLTRKALARFARPQSFAAPPHAEAAAVRAVRNLRSFRLHYALLLWVLLLASLFPRHRATMLFLMAASKLALLYGALLKAFPNSALLRRILDRRISAALALTVICAELVMTGAVPQFLLAMGIGVPLVLFHALFRVRDDLTASGEETAAGTGGDPRPISEKKGDLEMGSR >Ma02_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17354743:17357126:-1 gene:Ma02_g06340 transcript:Ma02_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATNDVLKLTAEEEEEACARALQLSCGAVLPMVLKVAIELGLLQIIVKSGPATPLSSEEIAAQLPSENPEAAAAWVDRILRLLAANKIVGCVVEVGADDRRSRKYRMAPICKYLTENEDGSLANLLLMHHDKVFLDLWHYLKGSVLDGGLPVMAAYGMSCFDYQSTDPRFNKIFNEAMRGHTAVIVNQLLRTYGGFDDVKVLVDVGGGVGATLGMITSRHPHIKGINLDLPHVISGAQPLPGVKHVSGDMFEAVPSGDAIFLKWILHDWNDGHCAKLLNNCWKALSEKGKVIVIECILPEVPEVTPRDQYIYQLDICMLAYTIGGKERTKQEFQALAMDAGFTGFKALPVFAGTCIMELTK >Ma08_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37853994:37857840:1 gene:Ma08_g24780 transcript:Ma08_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic [Source:Projected from Arabidopsis thaliana (AT2G41540) UniProtKB/Swiss-Prot;Acc:O22216] MATGRGSPASAPGRGAAKTLPSCSSLPSCRMPTASSVTRYKSESGGGLGGPPRSISSREDVLRRLIRRSAYLKYVEARLGDRTLFADEILRDGFCLNLIDTPICPLKVVTNLQESVWDADIVVNGWPSTEMREVFEEIGWYRKERISAPIIISLAKGIEASLHQVPRVITPTQIISHAITIEKILYVGGPNIASEIYSKEYANAPICGAEKWRKLLTKFLRQPHFIVWDNCDLITHEAMGGLKNVYAIGAGMIVALTNESAMSKSVYFAHCTSEMILITHLLAEGPEKISGPLLADTYVTLLKGRNAWYGQMLAKGEITLEMGFSIKGKGTIQGVFAVHALYDLLSQPNLCFGSGKKEGCLILLRCASFWEHFTIYIDKKMDDYSIFPCLQGTFDRCYPSSTERQTMNDPCDRIELALSHALYKLSLLGQP >Ma01_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3136:5915:1 gene:Ma01_g00010 transcript:Ma01_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILCVFVALEVLYNGVYFLVTCRYDYSEYGASTGQPSEYNTYADIEAVSQCLQKEYGAGQEDLILYGQSVGSSPTLHLAAHLPRLRGVVLHNAILSGLRVVCHVKFSFCFVSYKNIDKIKKVKCPVFVIHGTEDDVVNWLHGHGLWKLAKEPYDPLWIKGGGHCNLELYPDYIRHLCMFIREMENLTTATRLKKIRQTLKLPAKAVATTSSTAFTTNCCCQIRCRKPDCWSCPRRGCLMVRCSKGSAYLCNWCCGDWH >Ma06_p37940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36870039:36870710:1 gene:Ma06_g37940 transcript:Ma06_t37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDDSSFHLSNRGLRYMKQQPSEGIEGLRILIHHKEQGANVVVKAKMMMMMMPTTTTCNLNSQAYHLPKGLPWLALLKKCCLCRRELSPANDVYMYRGDKGFCSEECRSRQILLDERREFEVATRERSKLPRAGNEIGESDRSRRIPTLV >Ma01_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12787529:12788178:1 gene:Ma01_g17460 transcript:Ma01_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLLSMVFRWPPFNLSNLASNMSLLVFNLTEFSFSSIIPTWPAITFSVVDDIVWAFVTVLESVALVAMLCFFFVFCGCNL >Ma07_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7083826:7086017:1 gene:Ma07_g09480 transcript:Ma07_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWIKSLVVVLKKQDQDSCEKSGDGDNGRSRKWKKLWRSSSWDHLSLRRGSRGSSRRSAASEESDASSVCDAFAAAAAAVVRAPPKDFRAVRQEWAAIRIQTAFRAFLARRALRALRGIVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQVVQRLLEARRGTLDPLKEAEEGWCDSPGTVEEVRAKLQMRHRGAVKRERAIAYALSQQQSKSTLGGRSKQSSVSSKHSGFDQSNGSWSWLERWMAAKPWESRLMEHKVHNNPSDAESKEDIFGIYSTCSEPSTVRIKKNNITTRVSARPPTITYNHCCRTRSASSPSTELYFNESSASSSSIFMSTPFSSSTLLASERTEDSSSSRPNYMSLTESIKAKQKALNAQNSTPQGHSSLAVRSHRKTLSGINTDSSIFSCDLENQPPQREKSSVRKMEKVNGYHGKLHTYGS >Ma07_p09480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7083813:7086017:1 gene:Ma07_g09480 transcript:Ma07_t09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWIKSLVVVLKKQDQDSCEKSGDGDNGRSRKWKKLWRSSSWDHLSLRRGSRGSSRRSAASEESDASSVCDAFAAAAAAVVRAPPKDFRAVRQEWAAIRIQTAFRAFLARRALRALRGIVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQVVQRLLEARRGTLDPLKEAEEGWCDSPGTVEEVRAKLQMRHRGAVKRERAIAYALSQQQSKSTLGGRSKQSSVSSKHSGFDQSNGSWSWLERWMAAKPWESRLMEHKVHNNPSDAESKEDIFGIYSTCSEPSTVRIKKNNITTRVSARPPTITYNHCCRTRSASSPSTELYFNESSASSSSIFMSTPFSSSTLLASERTEDSSSSRPNYMSLTESIKAKQKALNAQNSTPQGHSSLAVRSHRKTLSGINTDSSIFSCDLENQPPQREKSSVRKMEKVNGYHGKLHTYGS >Ma06_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11947014:11948487:1 gene:Ma06_g17600 transcript:Ma06_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGSCSKFFQVCKPYVAMILLQFGYADMNIITKVSLDDGMSHYVLVVYRHAFATLSIASFALILERGVWPRMTFAIIMQKFVLGLIVPVIDQNFYYAGLKFTSPAMTFVLAVLCRMEKVDLKKVRFQAKVVGTLVTVAGAMLMTLYKGPLMEMVWTKHMHDRPHQANVPPVAAASTDKDWFKGCIFLVIATLAWTSLFILQAAALRRYDAPLSLTSLICFVGTLQAIVVTFVMEHNVSVWHIGLDMDLVAAAYAISRGICCTAYYVQSRVIQDKGPVFASAFSPLTMIIVGHNGASSSLLRRSTWEVVGSVLIIVGLYSNLWGKNMANKEKEWEAMDMPVHGNR >Ma11_p17140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22529948:22534407:1 gene:Ma11_g17140 transcript:Ma11_t17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSVMAVIRASRPSFRNPHDKVAFAVHASFLAAGFSLIATGTGALSENPPIGEEEVGIDGWNELEDAYAFVYMKTDKGSKKTVLVKCLPIGDLLTIDVLDLSGPGKEPFHLQINVKDYLSDVAKQTSNYAAVYKNLKDLVDKLCSGFLAKLEPKAESSSSAKSGDRSRQSVIREPSVTVPRHQEPYSRGLVYPPIPSTGISDLYPGPGAGFYPHRGSGVGGGMLVGPNDPRFFGSDERAGFLGGLPGVPPGARFDPYGPPDVPGFEPARFIRQPRRPGGGAHPDLEHFQGPDYI >Ma06_p19570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13484520:13490873:1 gene:Ma06_g19570 transcript:Ma06_t19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRAAGSRSAQASTPILQQPKQRRRVLFSSARPPFVTPDEYHSFPAPHGRPIAGNEMVDALVIKSPSKQKPENKDNEAAVSSELKTSPGYAGANNALLTPVTGKGGKTNGRSKAAKYNKSGPQTPMSNVGSASGNVLTPVGTCRYDSSLGLLTKRFISLLKQAQDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNKICWKSLHDRGLDNARPGEVDDDLSVLQAEIKKLALQEHGLDDRISKIQERLRVFSEDERNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGEYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEMNSIETPLRLNPMADSQTVENSMLAIATEESRRKETEFKDQDCQRACPDISSSQDAGGGMMKIVPCDVDTDADYWLLSESGVSITDMWKTSPEVQWDRICAFSTDDFVTSGAGTPRPPAPTSGVIDPLTQTLLGNKCRADNL >Ma06_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13484520:13490873:1 gene:Ma06_g19570 transcript:Ma06_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRAAGSRSAQASTPILQQPKQRRRVLFSSARPPFVTPDEYHSFPAPHGRPIAGNEMVDALVIKSPSKQKPENKDNEAAVSSELKTSPGYAGANNALLTPVTGKGGKTNGRSKAAKYNKSGPQTPMSNVGSASGNVLTPVGTCRYDSSLGLLTKRFISLLKQAQDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNKICWKGLDNARPGEVDDDLSVLQAEIKKLALQEHGLDDRISKIQERLRVFSEDERNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGEYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEMNSIETPLRLNPMADSQTVENSMLAIATEESRRKETEFKDQDCQRACPDISSSQDAGGGMMKIVPCDVDTDADYWLLSESGVSITDMWKTSPEVQWDRICAFSTDDFVTSGAGTPRPPAPTSGVIDPLTQTLLGNKCRADNL >Ma07_p24030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31485652:31503361:1 gene:Ma07_g24030 transcript:Ma07_t24030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIKRLSKDPAVVPALVHHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPSLKLSVKQALIDSITLEHSPLVRRASANVVSIIAKYAVPAGEWPELLPFLFQCSQSSQEDHREVALILFSSLTETIGPTFQSHLEDLQPILLKCLQDETSTRVRVAALKAVGSFIEFINDGANVVKLFRDFIPSVLNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSSNNLDLNIRHQAIQIISWLAKFKASFLKKHKLVVPILQVMCPLLTETADGDDDSDLAADRAAAEVIDTMAINIPKQVFPPIFEFASLNFHHTNPKFREASVTSLGVVSEGCFEMLKEKLEHVLHIVLGALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMEEEILPYLDALMGRLIISLQNSPRNLQETCMSAIGSVASAAEEAFIPYAEKVLDLMKIFMVLTNDEDLRARARATELVGIVAMAVGRTRMEPILPPFIEAALAGFALDFSELREYTHGFFSNMAEILDDGFTQYLHHVVPLVFTSCNLDDGSAVDIDDSDSVDNGFGGVSSDEDTNDEPRVRNISVRTGVLDEKAAATQAIGLFALHTKSSYAPYMEESLRILVRHAGYFHEDVRLQAIIALKHILTAIRSIPMGHNGVSEKQRDVLDTVMNIYINTMTEDDDKEVVAQACMGMADIMKECGYMVIESYIPRIAEATLTLLREESSCQQVESDCDADDGDVDHDEVLMDAVSDLLPAFAKAMGSHFEPVFAKLFDPLMKFAKVPHPSQDRTMVVACLAEVAQEMGAPISTYVDRVMPLILKELGSSEATNRRNAAFCVGEFCKNGGAATLKYYGDILRTLYPLFSDSEADDAVCDNAAGAVARMIMVQPQSIPLNQVLPVLLKALPLKEDFEESMTVYSCICHLILSSNSVIIPLVPEVVNIFAQVIASPVEREEVKNRIGMAVSHLISVYGNQMQPVMAALAPAHANALAAYLSKR >Ma07_p24030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31485651:31503361:1 gene:Ma07_g24030 transcript:Ma07_t24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIKRLSKDPAVVPALVHHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPSLKLSVKQALIDSITLEHSPLVRRASANVVSIIAKYAVPAGEWPELLPFLFQCSQSSQEDHREVALILFSSLTETIGPTFQSHLEDLQPILLKCLQDETSTRVRVAALKAVGSFIEFINDGANVVKLFRDFIPSVLNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSSNNLDLNIRHQAIQIISWLAKFKASFLKKHKLVVPILQVMCPLLTETADGDDDSDLAADRAAAEVIDTMAINIPKQVFPPIFEFASLNFHHTNPKFREASVTSLGVVSEGCFEMLKEKLEHVLHIVLGALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMEEEILPYLDALMGRLIISLQNSPRNLQETCMSAIGSVASAAEEAFIPYAEKVLDLMKIFMVLTNDEDLRARARATELVGIVAMAVGRTRMEPILPPFIEAALAGFALDFSELREYTHGFFSNMAEILDDGFTQYLHHVVPLVFTSCNLDDGSAVDIDDSDSVDNGFGGVSSDEDTNDEPRVRNISVRTGVLDEKAAATQAIGLFALHTKSSYAPYMEESLRILVRHAGYFHEDVRLQAIIALKHILTAIRSIPMGHNGVSEKQRDVLDTVMNIYINTMTEDDDKEVVAQACMGMADIMKECGYMVIESYIPRIAEATLTLLREESSCQQVESDCDADDGDVDHDEVLMDAVSDLLPAFAKAMGSHFEPVFAKLFDPLMKFAKVPHPSQDRTMVVACLAEVAQEMGAPISTYVDRVMPLILKELGSSEATNRRNAAFCVGEFCKNGGAATLKYYGDILRTLYPLFSDSEADDAVCDNAAGAVARMIMVQPQSIPLNQVLPVLLKALPLKEDFEESMTVYSCICHLILSSNSIIPLVPEVVNIFAQVIASPVEREEVKNRIGMAVSHLISVYGNQMQPVMAALAPAHANALAAYLSKR >Ma07_p23910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31391853:31396002:-1 gene:Ma07_g23910 transcript:Ma07_t23910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSHSSQIIDINLDLPGDEPSINRNKICSGSACGFSDSRSNSKDAQERFTSMRKLLIAVVLCIIFMSVEVVGGIKANSLAILTDATHLLSDVAAFAISLFSIWASGWEATPRQSYGFFRIEILGALVSIQLIWLLTGILVFEAIARLLHDTGEVQGVLMFIVSAFGLVVNIVMAVLLGHDHGHGHGHGGHDHGHGSHGDGHDEHGHVHSHVNHGHTHHHDDHDHDHEHDAEHGHSHATGHNHAEDSRNKEHLKPLLHQSEEAPASREDAKEKTRNINVHSAYLHVLGDSVQSIGVMIGGAIIWWKPEWKIIDMLCTLVFSVIVLVTTIKMLRDILEVLMESTPRQIDATKLEQGLCQLDGVVAIHELHIWAITVGKVLLACHVTITQEADADLVLDMVIGYIKREYNISHVTIQIERQ >Ma07_p23910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31391853:31395703:-1 gene:Ma07_g23910 transcript:Ma07_t23910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSHSSQIIDINLDLPGDEPSINRNKICSGSACGFSDSRSNSKDAQERFTSMRKLLIAVVLCIIFMSVEVVGGIKANSLAILTDATHLLSDVAAFAISLFSIWASGWEATPRQSYGFFRIEILGALVSIQLIWLLTGILVFEAIARLLHDTGEVQGVLMFIVSAFGLVVNIVMAVLLGHDHGHGHGHGGHDHGHGSHGDGHDEHGHVHSHVNHGHTHHHDDHDHDHEHDAEHGHSHATGHNHAEDSRNKEHLKPLLHQSEEAPASREDAKEKTRNINVHSAYLHVLGDSVQSIGVMIGGAIIWWKPEWKIIDMLCTLVFSVIVLVTTIKMLRDILEVLMESTPRQIDATKLEQGLCQLDGVVAIHELHIWAITVGKVLLACHVTITQEADADLVLDMVIGYIKREYNISHVTIQIERQ >Ma07_p23910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31391853:31395877:-1 gene:Ma07_g23910 transcript:Ma07_t23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSHSSQIIDINLDLPGDEPSINRNKICSGSACGFSDSRSNSKDAQERFTSMRKLLIAVVLCIIFMSVEVVGGIKANSLAILTDATHLLSDVAAFAISLFSIWASGWEATPRQSYGFFRIEILGALVSIQLIWLLTGILVFEAIARLLHDTGEVQGVLMFIVSAFGLVVNIVMAVLLGHDHGHGHGHGGHDHGHGSHGDGHDEHGHVHSHVNHGHTHHHDDHDHDHEHDAEHGHSHATGHNHAEDSRNKEHLKPLLHQSEEAPASREDAKEKTRNINVHSAYLHVLGDSVQSIGVMIGGAIIWWKPEWKIIDMLCTLVFSVIVLVTTIKMLRDILEVLMESTPRQIDATKLEQGLCQLDGVVAIHELHIWAITVGKVLLACHVTITQEADADLVLDMVIGYIKREYNISHVTIQIERQ >Ma02_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27744782:27745192:1 gene:Ma02_g22380 transcript:Ma02_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKTPHKKLATKASRKTAPATGGVKKPHRFRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQAFKTDLRFQMSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma10_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25132621:25138243:1 gene:Ma10_g11710 transcript:Ma10_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFQQWEKDPFFSAADEVQESADRMESLYRLYIQERNNAAKATTGVEFASGELRRELRTALGTAKWQLEELERAVRSNDDACSAGEDTRVRHDKFIVAIRSQISMVEDCLRQSNLELGETAVAWVRLNDGERDELARFLSGPLQAEDVPKFSSFGGVEVGNSTVDMNGEASIDCMKNFSCQSSESCRRETRDERLHGHASCATTDVGSWAIGIPDEGEDTSGRLPDDRPNFVSRAIFSSAALSDALESTPRMRWLRNGLGNWRGRFQHSLVGYIPLKNDQLGQEINACYGRSKSCLRYCREDINDKQLYGCLGAFQRQLQRSQYQIQYGRPIQIILWATLAVTLMVTFVRYIG >Ma04_p26880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28188022:28189757:-1 gene:Ma04_g26880 transcript:Ma04_t26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPFDHLYCQEENLELEEVEEGMAPVLPLPEYCETERHVLLGAEAEAEAEEEWTEVLCSLVAKEGEALPKLVPDGGVGSYLRSARKEAVEWVARAAARHAFSALTALLAVNYLDRCFLSCGAADGGLLRLQDDKPWMGRIVAVACLSLAAKVEETRLPLLLDLQVPLPPEAPTEEEGGYVFEPKTIRRMELLVLSVLGWRMNPVTPLSFIHHLLPQLCSKAKIADADFAAVGIAARTRALMRRCEAALLSVIADRRWVQYPSSVWAAAALLQATGSGDGGTAVVKSQEAHRLISLLNTPKEKVGECSQLLLESVGTAIVGHKRKHSSSAFYHHSLPPSPSGVIGSCFSCESSCDSRPMWSSSTSSSPETPSKKPNRFADEGARGEGPDS >Ma08_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37436017:37436466:-1 gene:Ma08_g24140 transcript:Ma08_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPSLFSHLLLLLLLMLQHSAAVLLQQQPLVLEYHRGRLLEGNHTVNLLFYGRFSPSQRSIVADFVPSLSPAYPSLPPPSAASWWHTTSLYGGGGPVRLYLGPQILDEGYTRGKSSNNPHQMEKSRQAPSQYRKTFLHHRHRKPYRP >Ma05_p25260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37232099:37232431:1 gene:Ma05_g25260 transcript:Ma05_t25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEGWIRCVLDGCISAFDSEIRRRPYHRNCSCALHKFRCSSRHDPCHAKISYPISLSSERLRITMPSSSSSSSSCFTKAASDHGRGKIEEEPWHLLQALEHFRCVGC >Ma08_p04370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3038642:3041206:-1 gene:Ma08_g04370 transcript:Ma08_t04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPTKIQPIDVRGLVRSDQAKPVPKSRLKRLFERQFPGVLRISSVERLDLEPSSVCLDKMVRSFMEDNNGEKPSSRCGHSRCNCFHGHCDDSSDDDLDFISSSNSGDAPIVSAAEVIKGLVVCTTVSERNLLADASTVVERSKNFKRKNEHMKAVTDGLRSLGYDASLCKSRWEKTASIPAGEHEYIDVIIVGERLLLDVDFRSEFQIARSTKSYAAVLQSLPSVFVGKEDRVSQIVAVVSEAARLSLKKKGLYFPPWRKPEYIRSKWLAPYERSTTPADHEQAAEAVEETRASEEKAKTENPRGDASTTDEPGEAEGKSAVVTDPTQPTEALKETGALEEKAQTENSSGDAFPSTDEPIEAAEGKSTVAAASAPPTWELPEVKPRTPHKGAKVVTGLASVLSENPSWNGSQSFSAGVGP >Ma08_p01580.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1347463:1351104:-1 gene:Ma08_g01580 transcript:Ma08_t01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHLGMSLGLLSASLLFLVIAPISIAADDSSYVPRDNILLNCGASGQAKDTDSRIWTGDTGSKYGPSLNVAGLTASLQDSSVPQVPYMTARVFTSPYTFSFPLGPGRKYIRLHFYPCNYSTHAASDALFSVTSDSHTLLSNFSAYLTADSLNYAYLTREFSVNVSTGGLNLTFTPSTAHPQAYAFVNGIEVVSIPDIFGSANPMLVVGGGTSVQYSIDQDWALETMYRLNVGGQSLSPTQDSGLFRSWNDDSPYIYGAAFGVTYSSDPNVTISYPSALASYIAPSNVYSTARSMGPDAHVNLNYNLTWILPVDAGFYYLLRFHFCEIQYPMTKVNQRVFDIFVDNQTVQRGADVIAWSSGIGVPVFEDYVVITAGSGQMEMRIALHPDTETKPQMFDAILNGLEVFKLQNANGSLAGLNPPLRPEPEVDLGKVSDGKSMKPKSGTAAIAGGVAGGFAFLLALLCLTAICRRHKKKKKGKDAVSSDGPSGWLPLSLYGNSHSAASGKTNATGSYVSSLPANLCRHFSFAEIKAATKDFDESLLLGVGGFGKVYCGEIDGATKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTQKPPLTWRQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQVSLAEWAVHCQKKGTLDQIMDPYLKGKIAAQCFKKFAETAKKCVADAGTERPSMGDVLWNLEFALQLQESAEENGSLGDGISEETASSVVMDGKKVADDPSSEPSTTTTTTISIGGRSVGSEDSDGLTPSAVFSQIVNPKGR >Ma08_p01580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1347463:1351104:-1 gene:Ma08_g01580 transcript:Ma08_t01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHLGMSLGLLSASLLFLVIAPISIAADDSSYVPRDNILLNCGASGQAKDTDSRIWTGDTGSKYGPSLNVAGLTASLQDSSVPQVPYMTARVFTSPYTFSFPLGPGRKYIRLHFYPCNYSTHAASDALFSVTSDSHTLLSNFSAYLTADSLNYAYLTREFSVNVSTGGLNLTFTPSTAHPQAYAFVNGIEVVSIPDIFGSANPMLVVGGGTSVQYSIDQDWALETMYRLNVGGQSLSPTQDSGLFRSWNDDSPYIYGAAFGVTYSSDPNVTISYPSALASYIAPSNVYSTARSMGPDAHVNLNYNLTWILPVDAGFYYLLRFHFCEIQYPMTKVNQRVFDIFVDNQTVQRGADVIAWSSGIGVPVFEDYVVITAGSGQMEMRIALHPDTETKPQMFDAILNGLEVFKLQNANGSLAGLNPPLRPEPEVDLGKVSDGKSMKPKSGTAAIAGGVAGGFAFLLALLCLTAICRRHKKKKKGKDAVSSDGPSGWLPLSLYGNSHSAASGKTNATGSYVSSLPANLCRHFSFAEIKAATKDFDESLLLGVGGFGKVYCGEIDGATKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTQKPPLTWRQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQVSLAEWAVHCQKKGTLDQIMDPYLKGKIAAQCFKKFAETAKKCVADAGTERPSMGDVLWNLEFALQLQESAEENGSLGDGISEETASSVVMDGKKVADDPSSEPSTTTTTTISIGGRSVGSEDSDGLTPSAVFSQIVNPKGR >Ma06_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:21253317:21262980:-1 gene:Ma06_g23320 transcript:Ma06_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEPPPAAEPELVLFFGSSSFQDPDIVEVSPSACTSSPPKPKRSQVLVVPHVVIELVGDDDGVVSIGESTSDYKNKEAVRNDKSCPKQPKDALLNDLMSSSANNDSEHVAPLDNLKSYEAGQLDLKYYDDVEYDYAYDDEYDYEYDAEDIENDFDLNLATKFDDLDLPTGIELTVPWLEVPATKELSKNKDKIVIEDEIDVKYRSFKQLDTVQDHEDHYFSRPDLLKAIPSTKKPSKDWSKRIQHEWKVLEKDLPEMIYVRIYEGRMDILRAVIIGPPGTPYHDGLFFFDTYFPPNYPQTPPMVHYHSGGLRLNPNLYACGKVLISIQALVLNTKPYFNEPGYAQTANTDHGEKESLMYNENTFLLSCKTMLYSLRKPPTHFDDFVAGHFRNRGHTILVACKAYMGGAQVGCVVGEGVHDVSKGDKSCSPAFKSSLEKLFEDLLMEFAVKGADCIEFLPQKAKVGIANTADSRPASLFGHSPVILTLVLPPCALAASPMATTSSSPLVRVSPISSVILLALVLSSSASSSPISYADHCATVVAESPTTGHSLDATAFRLSTGIFSGADAFFGGSDRSRSFFFRRRSILPTQTPGVLQIIATLTLRSGAGFHHIRGRHVLDRSAGEFHHVRPRIPRTFPQRGMVSFDLSGYWSEAAGKLCMVGTGHGRSLEGEPLQISAVLMLDYPKITDIYSSLISGNLENLDAVGSSNHFDPIAILAYAPGNYAYTQISHAQKSCARLNAVESLRLESASFCYYMQSLSRVRFELDLGKNCSSGFCSPFAEISESTLGFMSFNQIQCSGDGKFHMYIGFSNTSSFYFGSLLIPGKALVGEGAWDPQKNRLCLVACHVRSLNDSLSRSTVDDCTIRICLWFPAVWSIESRYTAAGRIWSDNNENDSGSFDAVSFWSTDRYMGSLPGLKYNYTKTEVVKKSCANDSSRSVGKRTYPDANAFRDFRFHVSVKNSEGKTTWGDFTPVSIGQMIYGNLFGSNVDTMPSVSEEHRSLHNVSYGIHFTFPNASSSMNEAEKISAEGIYNAQTGFLCLVGCRHIGSLAGKKEAKQGESMDCGIVINIQLAPLNPKVGEQLNGTIRSTRDKLDPLFFEHLEITSLTIYRNQAIQSMWRMDIEIIMVLVSLTLSCIFIGLQLFHFKNNPEVLPSVSITMVVILTLGHMIPLVLNFQALFRISGSQNVLLWSGGWLEVNEVIVRIMTMVAFLLLIRFLQLSCTARSADEGKRDLWTAEKNSIKTCLPLYIVGGLTAWFVHRISNQSELKRRPLYVTQPHHTLWGDLMSYAGLILDGFLLPQVLFNIFSSSKYKALSPSFYIGNTIVRALPHAYDAYRSHHYVPRFNSSYMYASPYEGFYSLVWDIIIPCGGLFLAVLIYLQQRFGGTCLFPFRSSKPRAYELVPVVSSSNIHC >Ma03_p15930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:17522219:17525670:-1 gene:Ma03_g15930 transcript:Ma03_t15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHVLPDQVCYVGCSFCNTVLVVNVPHDNSFNIVTVRCGLCANILYVNLEALLGKLPLQNLQSHNLGSQHLHMDSGSSSTRTSLSVMSSMDFVQQQMQLIHPSTEKRRAPSAYNRFIKEEIQRLKAKNPNISHKEAFSTAAKNWAHFPEIHFGLSIKGNKQV >Ma04_p03470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2674323:2676621:1 gene:Ma04_g03470 transcript:Ma04_t03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETVLPATSADAAKLGPAANDNDSEKLRFIEEMTANADAVQETVLAEILMRNAETEYLQRYLLGGAADRVTFKAKVPVVTYEDLQPEIQRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLNKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSEHFKSRPYDPYNVYTSPTAAILCADAFQSMYVQMLCGLLQRLDVLRVGAVFASGLLRAIRFLQLNWQELSQDIATGTLTVKVTDPSVRDSVAELLKPDPELARFIVAECSKGEWSGIVTRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLKPICDPSEVSYTIMPNMAYFEFLPHGGDGLSVGDKAQLVDLADVEVGKEYELVITTYAGLNRYRVGDILRVTGFYNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVESASALLRPYRANVVEYTSHAYTKAIPGHYVIYWELLVKDSSSPAAAEAAMEALVRDGVLKRCCLAMEEALNSVYRQSRVADGSIGPLEIRVVRGGTFEELMDYAISRGASINQYKVPRCVSFPPILELLDSRVVSAHFSPAPPKWSPHRSD >Ma05_p15420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11502943:11510497:1 gene:Ma05_g15420 transcript:Ma05_t15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGDASSSDPKGKKDYSTAILEKKKAPNRLIVDEAVNDDNSVVSMNPETMEKLQLFRGDTILLKGKKRRDTICIALADETCDEPKIRMNKVVRSNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPIKREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRSHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDESIDAEILNSMAVTNEHFKTALGSSNPSALRETVVEVPNVSWEDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRFQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKRKSENPEAMEEDETDEVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSERSESAAGGAGADPFATSAGAADDDDLYS >Ma01_p16360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11851253:11860298:1 gene:Ma01_g16360 transcript:Ma01_t16360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEKGPVKHSGGQVCQICGDSVGTTVDGDLFVACDVCGFPVCRPCYEYERKDGNQSCPQCKTKYKRHKGSPPVRQEEGDDGDADDVSDFNYPTSHQDQKPKIAERMLGWHMGHEQGEDVGAPKYDSGEIPRNHIPLLTHSQGLSGELPMSSPDHMMSPGGGGKRVHPLPYRSPNSSREFGNVAWKERVDGWKMKQEKNVVPMTNGTSHAPSEGRGGGDIDATTDYNMDDALLNDEARQPLSRKVSVPSSRINPYRMVIVLRLVILCIFLHYRITNPVTNAIPLWLLSVICEIWFAISWILDQFPKWFPVNRETYLDRLSIRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYIIEPRAPEWYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFMLNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKNKHKKKGFFSLCCGDSRKKNSKSSKKSSEKKKSSKHVDNTVPIFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWKSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSLPLLLYCTLPAICLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVLQCGINC >Ma01_p16360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11851266:11860337:1 gene:Ma01_g16360 transcript:Ma01_t16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEKGKPVKHSGGQVCQICGDSVGTTVDGDLFVACDVCGFPVCRPCYEYERKDGNQSCPQCKTKYKRHKGSPPVRQEEGDDGDADDVSDFNYPTSHQDQKPKIAERMLGWHMGHEQGEDVGAPKYDSGEIPRNHIPLLTHSQGLSGELPMSSPDHMMSPGGGGKRVHPLPYRSPNSSREFGNVAWKERVDGWKMKQEKNVVPMTNGTSHAPSEGRGGGDIDATTDYNMDDALLNDEARQPLSRKVSVPSSRINPYRMVIVLRLVILCIFLHYRITNPVTNAIPLWLLSVICEIWFAISWILDQFPKWFPVNRETYLDRLSIRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYIIEPRAPEWYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFMLNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKNKHKKKGFFSLCCGDSRKKNSKSSKKSSEKKKSSKHVDNTVPIFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWKSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSLPLLLYCTLPAICLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVLQCGINC >Ma01_p16360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11851253:11860337:1 gene:Ma01_g16360 transcript:Ma01_t16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEKGKPVKHSGGQVCQICGDSVGTTVDGDLFVACDVCGFPVCRPCYEYERKDGNQSCPQCKTKYKRHKGSPPVRQEEGDDGDADDVSDFNYPTSHQDQKPKIAERMLGWHMGHEQGEDVGAPKYDSGEIPRNHIPLLTHSQGLSGELPMSSPDHMMSPGGGGKRVHPLPYRSPNSSREFGNVAWKERVDGWKMKQEKNVVPMTNGTSHAPSEGRGGGDIDATTDYNMDDALLNDEARQPLSRKVSVPSSRINPYRMVIVLRLVILCIFLHYRITNPVTNAIPLWLLSVICEIWFAISWILDQFPKWFPVNRETYLDRLSIRYDREGEPSELAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYIIEPRAPEWYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPDEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFMLNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKNKHKKKGFFSLCCGDSRKKNSKSSKKSSEKKKSSKHVDNTVPIFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWKSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSLPLLLYCTLPAICLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVLQCGINC >Ma11_p05010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3869454:3870545:1 gene:Ma11_g05010 transcript:Ma11_t05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREMAGLEKGKWPAEEDEILVKFIAANGIGNRRSLSKNAGKASSTVVTLSALPLICRSCSFFFFFFFFFFFHPITMRTLSFLMCHGRTVTVETKSLIRVEWGTRERIQSLLVKCPLHDNGTQKNGGTYEAALNAHSTQVWKVPQLYQDETVHER >Ma01_p22920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26248305:26250181:1 gene:Ma01_g22920 transcript:Ma01_t22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRILLRLPKLPPISALPHRFLQPHVLLPPSSLPLPKFSSSVSSQSTPILCQDDMDDCVLRDDHDGLLGPAPATEKLDGKLIQDVEHVIGCLRDFGADNAAARLRLEHCGVQASPELVAAVLSRLRNDWGAAFTFFLWAGAQPGYAPSVREYHSMISILGKMRRFDTAWSLVHEMRRSGGGSGPSLVTPQTILILIRRYCAVHDVGRAITAFYSLKRYGFSPGIDDFHGLLSALTRYKNVEDAEYLLLCNEKEFPFETKSFNIVLNGWCNIMVRIGEAKRFWKNMAKRGIQKDVVSYGSMISCYSKAGNLNDVLKLFNQMNDMGIQADRKVYNAVIYALAKGKCVEDAKRLVATMEDKGVAPNAVTFNSLIRPLCKVRRVDDARKLFDEMLHRGLSPCVRTYHAFFDAAKNVEDAFELLRRMKETGCVPAIETYIMLIRKLARWRQHESVFRLWNEMPENGLTPDRSAYIVLIHGLFLNGKLEEASAYYEEMKAKGFLPEPKTEEMIKAWLSGKDFASPLTTVELESKQRALRSSGKKHKRLSRREYFKQPETRKITRERGFSLYDS >mito4_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000015.1:239846:240466:1 gene:mito4_g00020 transcript:mito4_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CcmB [Source:Projected from Arabidopsis thaliana (ATMG00110) UniProtKB/TrEMBL;Acc:G1C2W9] MRRLFLELFHKQIFPGTPITSALSFLFYILVMPLIMGFEKHFSCHSHLGLIRIPLLFPFPPEPFPRHDKEDGTLEMYFVSAYSLPKILLLQLVGHRVIQISCVFRGFPMLQLLYQFDRSGMDRLNILLGSPVLTLLCGIHSCSALRITSCSGWNSSQNPTTSPTSLPPTLFRTSIETEWFHVLSSIGYSSPFVSPYPISVSISSQD >Ma02_p23520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28493926:28496699:1 gene:Ma02_g23520 transcript:Ma02_t23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSFFFLFLLCSVLFLSDEATSLPELPNLTTLSFEEGYTQLFGDSNLLLHRDGRAVHLALDQRTALLGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDVFEKSHDELDFEFLGNIRGREWRVQTNVYGNGSTAVGREERYQLWFDPTEDYHQYSILWSHERIIFYIDNIPIREVVRTQAMGGDFPSKPMSLYATIWDGSTWATSGGRYKVNYKLAPYVADFADLILHGCAVDPSDHKTACQRSDAVLYDAITMSADQRTAMAKFRKKHMTYSYCHDRIRYPTPPPECMFVGPESQNFLASGDAKFNYRHRRGRRYGRSSVDAVL >Ma02_p23520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28493926:28496699:1 gene:Ma02_g23520 transcript:Ma02_t23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSFFFLFLLCSVLFLSDEATSLPELPNLTTLSFEEGYTQLFGDSNLLLHRDGRAVHLALDQRTGAGFASQDLYLHGFFSASIKLPSDYAAGVVVAFYMSNGDVFEKSHDELDFEFLGNIRGREWRVQTNVYGNGSTAVGREERYQLWFDPTEDYHQYSILWSHERIIFYIDNIPIREVVRTQAMGGDFPSKPMSLYATIWDGSTWATSGGRYKVNYKLAPYVADFADLILHGCAVDPSDHKTACQRSDAVLYDAITMSADQRTAMAKFRKKHMTYSYCHDRIRYPTPPPECMFVGPESQNFLASGDAKFNYRHRRGRRYGRSSVDAVL >Ma10_p26580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34215281:34216604:-1 gene:Ma10_g26580 transcript:Ma10_t26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFETSGLLLWPVLRLLGLRLTVFVAVAGVRDSEVEAVARAVLPKSYMDDVGVAAWNVFGSSVERRVVVTRWARMVVERVAMDHPATALHCMPFSIDATQSREASRPTPVIFHDGRLVSRPTTFTALLIILWIPLGVVIAFIRIAVGLLVLIWVIPFIARSPCEVPAVTYSISRLSEILSLIRTVRLRRDRQADAEGIRAELANGDLVLTDRIVPVAMNYRVGLLHATDPIFFFMNPRPIYEVTFLNQLPLEATSTAGKSPHDVANYVQRILAASVGFECTKFTRKDKYRKLAGKDGTVNFKLATSPMERVKEVLGFLRCTT >Ma09_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:32263573:32264326:-1 gene:Ma09_g21340 transcript:Ma09_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Ma05_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10597630:10598175:-1 gene:Ma05_g14520 transcript:Ma05_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWISRKMHLYNVTMGLYMLDWWERCLFNVLLLTLLWFICYNGSRFATEFYEGGHLKYKLLVDGRLPNGWSIPS >Ma10_p26760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34286321:34287610:1 gene:Ma10_g26760 transcript:Ma10_t26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSSSSSTTAAANYPFGEPGLDRPEFGSAVAPHGLITDASGSFFHFPSSIPPYSSSLPTEHYLHRSSSARSLPLRRHILGPLNQLQPPFSSSPTSSFCDYLDFHAAPMRRVVSTGDLQGVDGVHENHSHEGGVAGRVGRYSAEERKERIERYKSKRNQRNFHKKITYACRKTLADSRPRVRGRFARNGETGTEAEAEVETATAATNIFDGYSYDNYEQNQGCSVGGGNDGEWWSRLQAALATDEEDEYSYDDDLLASFADVFSMDILSSSLMSRESAE >Ma07_p17490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:18385481:18396862:1 gene:Ma07_g17490 transcript:Ma07_t17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGAGEGEASAAGPSQVVMVRRDLLAKCMTCPLCLKLLRDATTIIECLHTFCRKCIYEKLNDEETNHCPSCNIYLGPLPLDKLRPDHNLQDVRQKIFPSKRNKVKTPEEVPPMTPPVKRKERSLSSLVVDIHTATQTRLTKRQTKAFAKRAPTLRGLSPVIDDMTKKEDYNVEKHAKKSSSAERPGKPTFKRKQVYSKAEPSKYTRTEGKSNGEGSFQDKVDIWKPLNCLVEAANRTKSFRSSQHSPVVKAEQTNGPASEVDGNSIRVKERPHKSEVQEQKSENNPMPPEMLKAGSLQGINQQTRNLTAVAQTPLDAGITQHVRSIGPIWFSLVAAVEQTGEPPLPQISASYLRVKDGSMPVSFVQKYLMRKLNLEKEAEVEIMCLRQPVSPTMSMQNLVEQWLRGGSSQKLPAAIGTSAKELVMVLNYGRCKVPADESQQQHS >Ma07_p17490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:18385481:18396862:1 gene:Ma07_g17490 transcript:Ma07_t17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGAGEGEASAAGPSQVVMVRRDLLAKCMTCPLCLKLLRDATTIIECLHTFCRKCIYEKLNDEETNHCPSCNIYLGPLPLDKLRPDHNLQDVRQKIFPSKRNKVKTPEEVPPMTPPVKRKERSLSSLVVDIHTATQTRLTKRQTKAFAKRAPTLRGLSPVIDDMTKKEDYNVEKHAKKSSSAERPGKPTFKRKQSFQVYSKAEPSKYTRTEGKSNGEGSFQDKVDIWKPLNCLVEAANRTKSFRSSQHSPVVKAEQTNGPASEVDGNSIRVKERPHKSEVQEQKSENNPMPPEMLKAGSLQGINQQTRNLTAVAQTPLDAGITQHVRSIGPIWFSLVAAVEQTGEPPLPQISASYLRVKDGSMPVSFVQKYLMRKLNLEKEAEVEIMCLRQPVSPTMSMQNLVEQWLRGGSSQKLPAAIGTSAKELVMVLNYGRCKVPADESQQQHS >Ma07_p02720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2152958:2154592:-1 gene:Ma07_g02720 transcript:Ma07_t02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSCAKLPRDVPKFQQTLCLPQGDKKEPTTAADSVASAERRDLLPSAALGGRELQVAAAREKDEQRRQLAPRRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEPSIIAATGTGTIPASALAAASTGAVYSHLGASVPAGLHQKLDEMGQRAAAAVQPNWAAVGAAGLPRSHPGLWPTPVCGFDSGFMRPSAAASSSSNNVGAGGGDASIGSFVQRTGLHGLELAGSNLSAMSFASMLGGHGQQLPGLELGLSQDGRIGVWNLEAMGQIYQQMEPGRLVAGANGAGQWQQQQTHQSDDSSQGSEQ >Ma06_p25660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26038851:26039864:1 gene:Ma06_g25660 transcript:Ma06_t25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEFPCGRRASLPEETTPTPTAEAGDTQPAAETAPPEKLLRRATRGWVPPWRPSLLSISEDGASVGTPRQPATVAKSGGSVKAKAKAKPAVRAVPRATKYDYQYVNELRRRARICSDGLPILSIMAVSEYEEMFRKQNRMHACNFMLVLSMMIDVGDSIFFLSFLLSF >Ma06_p25660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26038851:26039805:1 gene:Ma06_g25660 transcript:Ma06_t25660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEFPCGRRASLPEETTPTPTAEAGDTQPAAETAPPEKLLRRATRGWVPPWRPSLLSISEDGASVGTPRQPATVAKSGGSVKAKAKAKPAVRAVPRATKYDYQNYAVVPAFAPMVFLF >Ma01_p15630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11301619:11302725:-1 gene:Ma01_g15630 transcript:Ma01_t15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIDHEWTGIRQFPFGTQNKLHELLGKLKQEAGVGKSSTVNSILGEKVATVSAFQSEGLRPAMCSRTRAGFTLNIIDTPGFVEDGYVNEQSLEILERFLLNKTIDILLYVDWLDAYRVDSLDRLVIRAITDTFGKRI >Ma06_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1780254:1782582:-1 gene:Ma06_g02290 transcript:Ma06_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKPILSPASVPDEHRKALEFIEDVTANADQVQRRVLAEILAQNAPAEYLHRHGLPSRTAPDPDAFKRLIPVVTYEDIRLDILRIAHGDTSPILSGRPISEFLTSSGTSGGERKLMPMIEDELDRRSVLYSLLMPVMSQFVPGLDEGKGMYLLFVKSEARTPGGLIARPVLTSYYKSRHFLSQPFDPYNVLTSPNEAILCLDSYQSMYAQLLCGLIHRAEVVRVGAVFASGFIRAIRFLEKYWPRLCRDIRSGELDAEITDRAVREAVVRVLRPDPELARLIEAEFARGSLQGIIPRLWPNTKYVDVIVTGAMAQYIPTLDFYSGGLPLTCTMYGSSECYFGLNLNPMCKPSEVSYTFIPTFAYFEFLPIHCSGNGRTEFDHRELVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKTPQFNFIRRKSVVLSIDSDKTDEVELQAAVSNAVNHLKPFGASLVEYTSCADTVSIPGHYVLYWELRAGDTTVPASVFEDCCLAVEESLNSVYRQGRVCDKSIGPLEIRVVEEGTFDKMMDYALSQGASINQYKAPRCVRHGPVVELLDGRVQSTFFSPKCPKWAPGNKQWDKDAGGI >Ma01_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1507929:1509057:1 gene:Ma01_g02220 transcript:Ma01_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSSRSNPSRPRKRVEAETASTPRMRGPSPDVLLQQSPREKAVEAKKPTEKSFSYNIKRVASSESKEKKAKKGKKSKDPSAPKRPPTAQVSKEGDEKWKAMSDGEKKPYIERAVELKIGKALEKHSQEDDGEEKKGSYREEEEIEEENEE >Ma09_p21710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33575773:33577038:1 gene:Ma09_g21710 transcript:Ma09_t21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSYLVALPEERRHLEHQQHAAAHRFSQG >Ma06_p17500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11884313:11884498:-1 gene:Ma06_g17500 transcript:Ma06_t17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEKMKRSPDQSACVEDIRSSSSCVEQFHPMTDREVRSMTEEMVEGAYTIKATEEPVLAS >Ma02_p21240.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26933912:26937585:-1 gene:Ma02_g21240 transcript:Ma02_t21240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIDGQHLTAAAILGHDGSVWAQSASFPQFKPEEITAIMTDFDEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGTGGVTVKKTNLALIIGIYDEPMTGGQCNMVVERLGDYLYDQAF >Ma02_p21240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26933302:26937555:-1 gene:Ma02_g21240 transcript:Ma02_t21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIDGQHLTAAAILGHDGSVWAQSASFPQFKPEEITAIMTDFDEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGTGGVTVKKTNLALIIGIYDEPMTGGQCNMVVERLESKIIIEMRIAKALAHCLRSS >Ma02_p21240.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26933302:26937555:-1 gene:Ma02_g21240 transcript:Ma02_t21240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIDGQHLTAAAILGHDGSVWAQSASFPQFKPEEITAIMTDFDEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGTGGVTVKKTNLALIIGIYDEPMTGGQCNMVVERLETICLSCRVQDYH >Ma02_p21240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26933302:26937555:-1 gene:Ma02_g21240 transcript:Ma02_t21240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIDGQHLTAAAILGHDGSVWAQSASFPQFKPEEITAIMTDFDEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGTGGVTVKKTNLALIIGIYDEPMTGGQCNMVVERLVAESKIIIEMRIAKALAHCLRSS >Ma04_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26561327:26563105:1 gene:Ma04_g24580 transcript:Ma04_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNLMEDKKLDFDAPLLSVRRLSAEAAAAAAAAASSSSSVPPAYGSGESGRKPPPRRFSLPFYRSELKSGPVCNPGVVPFVWEQTPGQPKSGGGRQPTVKELHSSREATAPPAKLGSSIGIHKSAAFNPSEGAAASPEAAKEEDLKADNRSQQQPTQAPNNTNNNDDDDDDGDVFTDAPDALSRTESFLMNCSVSGLSSFREHVKPSTHASREPQVQDFMMARFLPAAQALASGSPQYTFRKAATPAREPTRATDRLVSENLRRSPLLHHRRPSHSTDDDGEEDDDDYDNHGRTPSGACGLLPKFSLKSSFCLFNPFPGMKHRGRHLPPPRRREIHRPQIKNSSQEEDEGTKLTSKPNRRGDSPTAQHSIGGAVSPYRYEAPPSPFREGRGFLGVPGKESNTTNIEDGSRLDLKKTHADVAHHPEAEDSDMNSVSPLQSPLPLLPPQSPSESWLLHTMPSVSSKKNPTPWSFLGLGLQPRKQALTHLEQKTNAEPSKPQRRHARFAEVFILLLCNICGKSVATCK >Ma11_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1587008:1588766:1 gene:Ma11_g02210 transcript:Ma11_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMADQFGFFSRRCVWVNGPIIVGAGPSGLAVAACLKEHGVPSVILERSDCIASLWQKRTYDRLKLHLPKQFCQLPRFPFPEHYPEYPTKQQFIDYLESYAKHLEISPRFNQSVQLARYDETCGLWRVRTTGTGTAAGCRSQEVEYIGRWLVVATGENAESVVPELDGLREFGGDVMHVCDYKSGEAYRGKRVLVVGCGNSGMEVCLDLCDYDAFPAMVVRDSVHVLPREVLGKSTFELAVLLMKWLPLWLVDKILLVLAWLALGNIERCGLRRPSTGPLALKNTEGRTPVLDTGALGKIRSGDIKVVPGIKRFSPGKVALVDGQVLDIDSVVLATGYRSNVPQWLQGCEFFSKDGFPKTTFPNGWKGQSGLYAVGFTRRGLSGASSDAVRTAKDIGRMWKEELKPAKRPVACHRRCISQI >Ma03_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26109832:26112035:1 gene:Ma03_g21030 transcript:Ma03_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPSSAAAAAPPAADAAAGGGTTIPAATAQPFTSPSNATSTKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLRREAAEVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSSHRQWVCARCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCTAGRAPAEIQTRQPTACLSTTASSSSPSSNTNTGPTMWPGLRTPTPAAVFIRRPDQPPSSKPDRYRTQGVELQLLPPSSDPQTTLPSPAMHPIAEEVKITKLQLSIGPAADHPPSNDPQRAAARLKDETMEHIKLAMAEKALADEARRQARRQHELAEQEFENAKRIRRQAQLELDRAHAIRERAVQQVDSTTALQITCYACKQQLRANPAMASEDNSFVVSYMSSVVTEGEEEHDDRKHRDKISKS >Ma03_p13200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10220290:10224792:-1 gene:Ma03_g13200 transcript:Ma03_t13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MAETGEYFHRFPQSKSIDAVRWLSAVSAFDRFVAAAVHDPDASSSAAAAAIEIHSLTLATSPLSPSIGEPSLHVRSSWPSPSRISALRSSPTPHKHLAVAAATLSGSLHVLFVDPVDGSIDSELSIADRSLHAGLVSAVDLLEGGRECITAGEDGRVNMVTIGEARLDYRRVYDSRGLVSYTAARWGSPMEFATGGLGFGVQWWDQRKPGGLVSQLKCNWAQDKATGIVHSIDIHPSRKHICMVGGSSGTIFAWDLRYQQQPILLSGVGHDGRRVSVSESEVWEVQYDGHSRSSSVTSAASAKILPVMICSEDGILAVLEQGEEPTELLIEPCAINAFDIDPQNPSDVVCSLEWETIGILMRPRESIIA >Ma11_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1472611:1473234:-1 gene:Ma11_g02060 transcript:Ma11_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYVRSTRDDDNSNNHNSKGKETWMSCSHYGRGGAPLSGGSLGGFSWPQRSYSCSFCRREFRSAQALGGHMNVHRRDKARLRESPPSGPSLLNTNPNPNLGSTPIPNLNMPPPSSATGNRRHTPMTCELPSELSPLIHLSPSSASTSTGEGLKIMETGKALSGIEEFKDLDEEGSKVVSLDLEIGVSGDYGYVDDLDLELRLGYTY >Ma09_p17570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13125647:13134684:1 gene:Ma09_g17570 transcript:Ma09_t17570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKVPTACGFAGTPVEAGEKKRIDSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAATMQKEIDGIPNYPSLPSKLICVLLDVTMHADAETDEVYAQMTLQPVNKYDREAMLASEIGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDFTMQPPAQELVAKDLHDVSWTFRHIYRGQPKRHLLTTGWSVFVSTKRLSAGDSVLFIRDEKSQLLLGIRRANRQQHALSSSVLSSDSMHIGILAAAAHAATNNSPFTIFYNPRASRSEFVIPLAKYNKAIYTQVSLGMRFRMMFETEDSGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTATERRTRVSIWEIEPVVTPFYMCPPPYLRPNFATSPGVPDEYEVGNGLHRVMPWLNDDFSLKDSQSAIFPGLSLVQWMAVQQNRHLMASATQPACFSPMVASATQDGVVTEDPTKMLSFQTPAASGPSFNSKSSPQAQLNQTLQLPHQLQQQPHLLTQSSVSMQHQLQSSPLQQKSINLQQQQFQQPGQLQQSQPQQLRPVANQEATDQQLMQQQTCNGQIGLQTHSSSQYLQPSHLQPQGLQGSCLSSMGSLSQSPLPQILPVQQPLECQRSLLQKQQDQLQHMMQPQIQMQMLQKLQQQQLLSQLSPPLQSQLSQQLTQQNQHFQDFQQQLDGSNLLPLQSDQLHQAHKSSALDSQKSPLLLRTQSSLSGTNVPCSSNFPSTNSNIDSLSLHSKVQQGQKVLVEETVAPVLDNMLPELQGKTQLMAKHEQFNIKESMQMPNQLAVTDQLDASSTTSFCLEGSGHDGVSLPSHCLDGNGQDHRDNFLLGTMPDTLLSRGLGTRKDIQNLVSGYGQQKDIDTELSTADISSQSFGVPDMSFKPGCSTDVVVTEGVLNRGVWANQPPPPPRMRTYTKVQKRGSVGRCIDVTRYKGYDDLRRDLARMFGIEGQLEDTYRTDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSAAEVQQMSLDGNLTSLSVRTEACSGSNSGNPWRGQFDDASFASFHH >Ma09_p17570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13127383:13134684:1 gene:Ma09_g17570 transcript:Ma09_t17570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MQPPAQELVAKDLHDVSWTFRHIYRGQPKRHLLTTGWSVFVSTKRLSAGDSVLFIRDEKSQLLLGIRRANRQQHALSSSVLSSDSMHIGILAAAAHAATNNSPFTIFYNPRASRSEFVIPLAKYNKAIYTQVSLGMRFRMMFETEDSGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTATERRTRVSIWEIEPVVTPFYMCPPPYLRPNFATSPGVPDEYEVGNGLHRVMPWLNDDFSLKDSQSAIFPGLSLVQWMAVQQNRHLMASATQPACFSPMVASATQDGVVTEDPTKMLSFQTPAASGPSFNSKSSPQAQLNQTLQLPHQLQQQPHLLTQSSVSMQHQLQSSPLQQKSINLQQQQFQQPGQLQQSQPQQLRPVANQEATDQQLMQQQTCNGQIGLQTHSSSQYLQPSHLQPQGLQGSCLSSMGSLSQSPLPQILPVQQPLECQRSLLQKQQDQLQHMMQPQIQMQMLQKLQQQQLLSQLSPPLQSQLSQQLTQQNQHFQDFQQQLDGSNLLPLQSDQLHQAHKSSALDSQKSPLLLRTQSSLSGTNVPCSSNFPSTNSNIDSLSLHSKVQQGQKVLVEETVAPVLDNMLPELQGKTQLMAKHEQFNIKESMQMPNQLAVTDQLDASSTTSFCLEGSGHDGVSLPSHCLDGNGQDHRDNFLLGTMPDTLLSRGLGTRKDIQNLVSGYGQQKDIDTELSTADISSQSFGVPDMSFKPGCSTDVVVTEGVLNRGVWANQPPPPPRMRTYTKVQKRGSVGRCIDVTRYKGYDDLRRDLARMFGIEGQLEDTYRTDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSAAEVQQMSLDGNLTSLSVRTEACSGSNSGNPWRGQFDDASFASFHH >Ma09_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13125639:13134684:1 gene:Ma09_g17570 transcript:Ma09_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKVPTACGFAGTPVEGEKKRIDSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAATMQKEIDGIPNYPSLPSKLICVLLDVTMHADAETDEVYAQMTLQPVNKYDREAMLASEIGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDFTMQPPAQELVAKDLHDVSWTFRHIYRGQPKRHLLTTGWSVFVSTKRLSAGDSVLFIRDEKSQLLLGIRRANRQQHALSSSVLSSDSMHIGILAAAAHAATNNSPFTIFYNPRASRSEFVIPLAKYNKAIYTQVSLGMRFRMMFETEDSGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTATERRTRVSIWEIEPVVTPFYMCPPPYLRPNFATSPGVPDEYEVGNGLHRVMPWLNDDFSLKDSQSAIFPGLSLVQWMAVQQNRHLMASATQPACFSPMVASATQDGVVTEDPTKMLSFQTPAASGPSFNSKSSPQAQLNQTLQLPHQLQQQPHLLTQSSVSMQHQLQSSPLQQKSINLQQQQFQQPGQLQQSQPQQLRPVANQEATDQQLMQQQTCNGQIGLQTHSSSQYLQPSHLQPQGLQGSCLSSMGSLSQSPLPQILPVQQPLECQRSLLQKQQDQLQHMMQPQIQMQMLQKLQQQQLLSQLSPPLQSQLSQQLTQQNQHFQDFQQQLDGSNLLPLQSDQLHQAHKSSALDSQKSPLLLRTQSSLSGTNVPCSSNFPSTNSNIDSLSLHSKVQQGQKVLVEETVAPVLDNMLPELQGKTQLMAKHEQFNIKESMQMPNQLAVTDQLDASSTTSFCLEGSGHDGVSLPSHCLDGNGQDHRDNFLLGTMPDTLLSRGLGTRKDIQNLVSGYGQQKDIDTELSTADISSQSFGVPDMSFKPGCSTDVVVTEGVLNRGVWANQPPPPPRMRTYTKVQKRGSVGRCIDVTRYKGYDDLRRDLARMFGIEGQLEDTYRTDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSAAEVQQMSLDGNLTSLSVRTEACSGSNSGNPWRGQFDDASFASFHH >Ma04_p02980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2388081:2390098:1 gene:Ma04_g02980 transcript:Ma04_t02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSDSASAQNERSQQQHLPNFLQSVNVKYVKLGYHYLVSNGLFLLLVPLIAAASMHLSSLTSEDFLLLWDKLRFNLVAVVLSSTLIVSLSTIYFMKRPRPVYLLDFACYKPDSACKCPRERFMEQSTRAASFTEENLAFQKKILERSGLGQSTYFPEALLSSPPNPCMAEARKEAETVMFGAIDDLLQKTGVKPKDIGILIVNCSLFNPTPSLSAMVVNHYKLRGNIISYNLGGMGCSAGLISIDLAKHLLQVHRNSYALVVSMENITLNWYMGNNRSMLVSNCIFRVGGAAILLTNRRSERRRSKYQLVHTVRTHKGADGRSYGCVFQEEDGTGKIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLIFFFTLVMRKVFKMKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLALSEWHMEPSRMTLYRFGNTSSSSLWYELGYMEAEGRMRKGDRTWQIAFGSGFKCNSAVWRALRAINPAKEKNPWSEEIHEFPVRVPKVEAVRA >Ma06_p13570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9303834:9305531:1 gene:Ma06_g13570 transcript:Ma06_t13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGSWRRAEGALNRAVAHSTIGRYFKLEARKSSFTKELRAGAATFLTMVYIISVNAAILTDSGGPCTVQDCTPPAGPGCKVDANPGYQSCLSRTKNDLVIATAVAAMVGSFAMGSFANLPLALAPGMGANAYFAYNMVGFHGSGRLPYETALAAVMLEGCLFLALSVLGLRSKLARLIPRSVRLASAAGIGLFLAFTGLQAREGVGLVGPSSSTLVTLAACAETDAATGECLSGTMHSPTFWLGAVGFLIMAACLSWDVKGSMIYGVVFVTLVSWIRGTSVTVFPDTPPGNLSYDYFKKVVDFHIIKSTAGRISFSGFNRSEVWVAVITLLYVDVLDTTGSMYSMAEYGGFTDDTGGFEGEYRAFIVDASATIVGSALGTTTVTTYLESTAGLREGGRTGLTAITVAFLFLVSLFFAPLFTNVPPWAVGPSLVLVGAMMMKMVKEIEWSETKEAVPAFLTMILMPLTYSIAYGIIAGMGTYVALHASDYVMGVYRWATKTRRTMDTTQDHQAPSETAEDAATAV >Ma11_p12040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15832231:15834184:1 gene:Ma11_g12040 transcript:Ma11_t12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNPKLVKRLRQQQSRGLGAGLIVGCLLLSMTYVTVFRTDIDLLSILNSSPPSSPIIAAPTDPPAVANMTWFRQLDDEDGNRPQNGQSAAAPSVKQQHLPSTTERADVVARRRSKPLCDLSEYRTDVCDMEGDIRIVGKGSSTVMLVPPNGSSGTSESWEVKPYARKGDRTVTRNVRKVKVKSLQEHEEAPVCSLHHTVPGVLFASAGYCGNIFHDVADVLLPLFITSSGFDGNVQFLVANNQSWWFYKYHHILQKLSSHELINYDHDDRVHCFKHVIVGLRADRDLMVDASRSAQGLSMLNFVKFLRSAYSLERDRPWTAGGPPGRKPRLLFIARGRSRRFVNLDEIVRLAEQVGFEVVMAEPSFMDVGRFAGTVNSCDVMVGVHGAGLTNMVFLPTNAVLIQVVPLAKLDWIAANYYAEPAKGMKLRYLQYEISEEESTLIELYPRDHKVFKDPDSIHKQGWKKMGEVYLRKQNVKLNVNRFRPVLEKALQLLKEKAEHTRE >Ma10_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32317968:32318534:1 gene:Ma10_g23290 transcript:Ma10_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVAVSGLRHRPLFNTTHRCQSKPTILDREHPHIVWVCRADVGNHGLTMVQLLLAASFLLPPPVVTAPTLQGREKKCEQFHEWAPIDAELHPPCFLGLLFAFILADCSADVKHI >Ma03_p21850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26754505:26755489:1 gene:Ma03_g21850 transcript:Ma03_t21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVDPLVVGRVIGDVVDLFVPTVSMTVRFGSKHVSNGCDIKPSMAANPPTVQIAGQQSDLYTLVMTDPDAPSPSDPTMREWLHWMMVNMPGGTFPSQGEEVVPYMGPRLPLGIHRYVMVLFRQKSRFPGVTPPATRLNFNTRSFAAHHDLGLPVATVYFNSQKEPATRRR >Ma01_p23720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:29021811:29022627:-1 gene:Ma01_g23720 transcript:Ma01_t23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESQAPVLSLAWRSNSILTVGRFDGTVVDYDFRKDDMFICFYNGHRRGVCSLKWSVLSGRYLASGGQDKLVHIWDACMPVSHHHPRQRQWLHRISSHTSIVKAVDWCPTRSNLLASGGGCNDNCVKFWNTVNGACLNSIDAGSEVCALLWDKNKSELLTSHGSPNNQLTLWNYPSMTRVAEVSGHSSRVLSLAGSPLGGVVASAAADETIRFWNIFETPKITKPELPFAQFNVVIR >Ma01_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9266048:9272866:1 gene:Ma01_g12660 transcript:Ma01_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLIHGSEASIPPEEARGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKAIMRTMGVKGLTLFHLKSHLQKYRQGKQSGKELTEQSKDASYVLENPSSSALSPRVPAPDVNEGQEVKEALRVQMEVQRRLHEQLEVQKHVQIRMDAYQRYVDSLIAMAYKIASDQIASSSFGMTEHELTEGT >Ma02_p22130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27553120:27558540:1 gene:Ma02_g22130 transcript:Ma02_t22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKEGEKAADAAAASPSSPTTSSSQEARKLSCTKHFDALWFCYSPFHQVQQYYRHGEFDNCFGKWNALFDCLNLKTKKSSEVQEILEAREKAKQHIWTIRTVEEASVNWWMMIKSLLPRLYWEPQSFKTKV >Ma11_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24569401:24570072:-1 gene:Ma11_g19900 transcript:Ma11_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSQTKAATASQPVQEAKALQPSDTPSSGEAARFRVALAANCCLLLVGVCGGALLAWWALSFHRSNEQLWMVPVGLVLLGTPVFVWLSIFASGVCTCLELLWTAPAVPRTAPPPDLDPER >Ma11_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16494016:16498852:-1 gene:Ma11_g12610 transcript:Ma11_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-81 [Source:Projected from Arabidopsis thaliana (AT1G51740) UniProtKB/Swiss-Prot;Acc:P59277] MAKSRDRTDDFKDAIRATAPSLGYNESKLAAVLASFILHKPVEKSPFTKAALKTLESISELECFIIKHRKDYVDMHRITEQERDNIEHEVVIFVKSCRDQINILQNMICTEEKSTNTKSWLHRRDDNINADVVAHKHGVVLILSERLHSVSVQFDRLRSIRSQEALNRAMPRRKINRVIHSTSSEQLSEPSASSLPELGGQEPTSRALRVQDQLMDDETRALQVELSSLLDAVQEAETKTVEISVLSHLMSTHVLQQAEQIEHLYEQAVEATNNVEQGNKELSQAIKRNSSSRTFLLLFLFVLTFSILFLDWYS >Ma04_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14828154:14832852:-1 gene:Ma04_g16110 transcript:Ma04_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSIIFKILLKHKLQLLHQAAIEGATSPFGITSRPDESAVPANPSFGPDGVATKDIHVDPLTSLSLRLFLPDSSLDRAPRDNGGAYGGYLPSVADARRRSAGPRKLPVVLQFHGGGFVSGSNTSAANDFFCRRVAKLLDVIVIAVGYRLAPESRYPAAFEDGLKVLYWLGKQANLAGCIKSMGRAKGGGGGDISKSQIADSLGASSVEPWLAAHGDPSRCVLLGVSCGANIADFVARKAVEAGKLAEPVKVVAQVLMYPFFTGSAPTRSEIKLANSYFYDKALCLLAWKLFLPEEEFSLDHPAANPVVSGWGPPLKCMPPTLTVVAEHDWMRDRAIAYSEALRKVNVDAPVLEYKDAVHEFATLDMLLRTPQALACADDIAIWVKKYISIRGHEFSY >Ma06_p24780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23998505:23998825:-1 gene:Ma06_g24780 transcript:Ma06_t24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGRMERDPSRRRRRQAMWSAARHHRTRDPVADVDVSASPTETPHPLGLSLSLTHTHDDDRDGWERDRSLVLPQANGRDRLVGMKRRLEMMCGRSGGGRTFQER >Ma02_p15570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23212145:23213210:-1 gene:Ma02_g15570 transcript:Ma02_t15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFAAMASSFRRLSSLLVFVGFLSLLRPCACYNRMNSSDTDLAMSPAVATWYGAAEGPGSTGGACGYGDGVAKAPFDSKISAGGTSLYKSGKGCGACYQVACTANPACSGSPVTVVITDQCPGGPCASDSVHFDLSGAAFGAMAKPGQADALRSVGSIQIQYARVPCSYPGFHVAFRVDDGSNSNYLAVLPEFVNGDGEISAVEVGQGSSWTPMQNSWGALWKLNAPVPGPASIRLTSAVSSKTIVATNVIPAGWRPGATYYSNVNF >Ma01_p12680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9284741:9294758:-1 gene:Ma01_g12680 transcript:Ma01_t12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLRKVWGSVLSRSGSGSNRSWPEFPDDHPPLGDLDTLPTDVFMQILQLLEPRCAARSSLVCKSWKALVSDNALWLHLLKSGKEPWDFLLFAETQLRYGPSLHFNHPSQLSFMNVYAQLATVPGSVIIDGGSGYCKYGWSKYASPSGRCATFLEFGNLESPMHARLRYFFSTIYSRMQVKASSQPIIVSLPICHTDDTEAARASRKQLREAIYSVLFDMNAPAVCAVDQAVLALYAARRTSGIVVNIGFHVTSIVPILRGKVMHDVGIEILGQGALRLTGFLRELMQQRSINFESLYTVRTIKEKLCYIAADYDAELRKNTQGSCEVAGEGWFTLSKERFQTGEILFQPHIGGVRTMGLHRAVALCMDHCLAAEVASDDTWFKTVVLAGGSACLPGLPERLEKELHKILSPSMSEGVKVMPPPFGADSAWYGAKIISNVSTFANAWCISKKQFRQRFRRNMAW >Ma04_p16250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15039417:15046040:-1 gene:Ma04_g16250 transcript:Ma04_t16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGKRVLLTSGGDEISKGIAYHLAKSGCRLVLMGDEDRLQKMVGDIMSSLGGSSPFKVVDLNMEEEHESFFDEAVELAWKLLGSLDAFVSCYSYEGKMQECLNVTESEYKKTVKANFMAPWFLLKAVAKRMRDFKTGGSIVFISQILGAERGLYTGAAAYGSSLAAVQQLVRLSAMEIGKYKIRVNAVARGLHLDDEYPRSVGKERAEKSTADIMPLMRWLDPKNDLASTVIYLVGDDSRYMTGTTIFVDGAQSIVRPRMRSYI >Ma04_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15039417:15046028:-1 gene:Ma04_g16250 transcript:Ma04_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGKRVLLTSGGDEISKGIAYHLAKSGCRLVLMGDEDRLQKMVGDIMSSLGGSSPFKVVDLNMEEEHESFFDEAVELAWKLLGSLDAFVSCYSYEVAKRMRDFKTGGSIVFISQILGAERGLYTGAAAYGSSLAAVQQLVRLSAMEIGKYKIRVNAVARGLHLDDEYPRSVGKERAEKSTADIMPLMRWLDPKNDLASTVIYLVGDDSRYMTGTTIFVDGAQSIVRPRMRSYI >Ma04_p16250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15039417:15046027:-1 gene:Ma04_g16250 transcript:Ma04_t16250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGKRVLLTSGGDEISKGIAYHLAKSGCRLVLMGDEDRLQKMVGDIMSSLGGSSPFKVVDLNMEEEHESFFDEAVELAWKLLGSLDAFVSCYSYEGKMQECLNVTESEYKKTVKANFMAPWFLLKAVAKRMRDFKTGGSIVFISQILGAERGLYTGAAAYGSSLAAVQQLVRLSAMEIGKYKIRVNAVARGLHLDDEYPRSVGKERAEKSTADIMPLMRWLDPKNDLASTVIYLVGDDSRYMTGTTIFVDGAQSIVRPRMRSYI >Ma01_p15080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10942626:10943167:-1 gene:Ma01_g15080 transcript:Ma01_t15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGAPNKDTNLGSMQRMPLRNATALVAGRRMKLHFSQRRWSLAEGKSEASLFSTTLVVVAITTAATRKENSYNEEERWGSADEQH >Ma08_p32590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43264037:43269640:1 gene:Ma08_g32590 transcript:Ma08_t32590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGPHSSRTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMEEKDWLALVAVHSDAWLLAVAFYFGARFGFDKESRRRLFNMINNLPTIFEVVTGTAKKLSKEKTSNSSSKNKPNSKTSRPAESQTRASKMHSPKEDEDSGADEEEEEEEDHENTLCGACGDNYANDEFWICCDMCERWFHGKCVRITPARAEHIKQYKCPSCSNKRARV >Ma08_p32590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43264037:43269640:1 gene:Ma08_g32590 transcript:Ma08_t32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGPHSSRTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMEEKDWLALVAVHSDAWLLAVAFYFGARFGFDKESRRRLFNMINNLPTIFEVVTGTAKKLSKEKTSNSSSKNKPNSKTQSRPAESQTRASKMHSPKEDEDSGADEEEEEEEDHENTLCGACGDNYANDEFWICCDMCERWFHGKCVRITPARAEHIKQYKCPSCSNKRARV >Ma11_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7131161:7142775:1 gene:Ma11_g08980 transcript:Ma11_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRRRGRSPPTPAPPAVDRPLRNTRARAAAAAAKKPEPAGVAAGGSRRVRTRAAAPRPASAKGRQRVRSAKGRQILVEEEEEGRESDRLKDVVLVEEEKRGKGLVSQAEEARGKEMMGDESGGLSANRAARQEEEGNTNPFPEKVQVGGSPVYKVERKLGKGGFGQVFVGRRVSGGIDRAMGPGALEVAIKFEHRNSKGCNYGPPYEWQVYSALGGSYGVPRVHYKGRQGDYYVMVMDMLGPSLWDAWNSSGQTMSSEMVACIAVESISILEKMHTKGYVHGDVKPENFLLGQPATPQEKKLFLVDLGLATRWKDASSGHHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCICPPPFKQFLEIVVNMKFDEEPNYSKLISLFDGLIGPNPAVRPINTDGAQKVGQKRGRLTIDEEDESQQRKKIRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHIERGNEDGLLISCVASCSNLWAIIMDAGTGFTSQVYELSPFFLHKEWIMEQWEKNYYITSLAGSNNGSSLVVMSKGTQYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGSRWGIVMSRNAGFSDQVVELDFLYPSEGIHRRWDSGYRITSMGATWDQAALILSVPKRKPGDETQETLRTSAFPSAHVKDKWAKNLYLASICYGRTVS >Ma07_p10160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7594119:7615159:-1 gene:Ma07_g10160 transcript:Ma07_t10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESPTHRPTSSLIPPLPRDSRGSLEVFNPTQPKPRSSPFTTWQSRPDPQLSAHRAPPPPAAASAGGDDDEDIIRPWMALPSTSAAAPLPYLPYPISKKPPAAAEDVGAAAQRAAEWGLVLKTDEETGRPQGVEVRRSGDEAGDKGRSRRASGGSSYRSSEDSEVAARGGFPRVSEELREALSAFQQSFVVSDATKSDHPVMYASAGFFHMTGYLAKEVIGRNCRFLQGSGTDPEEIARLREALSAGTNYCGRILNYKKDGAPFWNLLTIAPIKDEAGNTLKFIGMQVEVSKYTEGSKDIMVRPNGLPESLIRYDARQKDRARSSVSDLVLAVKDPHSLSESRSYPFMRKSEGGGQTVVSEVPGKKSSENATAARRNSRSGMRSSMNKICELPEVANKSRKSGLKSFMGLIGFGHLNVEKHELEVPIEESLLMESDDERPESFDDEERKKEIRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDAATVRKIREAIDNQRDITVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPLQNCIPADTAKESSKLVKETADNVDEAVRELPDANLKPEDLWFNHSKLVLPKPHMRNDTSWRAIQKVVESGEKIGLKHFRPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKNIMLNRNKVHRACAEREILDMLDHPFLPTLYASFQSKTHICLITDYCPGGELFLLLDRQPEKVLKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLRRDGHVSLTDFDLSCLTSCKPQLNQCVHQIHLLELKNTLRRRLLVELATLVQLIGGH >Ma07_p10160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7590146:7615159:-1 gene:Ma07_g10160 transcript:Ma07_t10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESPTHRPTSSLIPPLPRDSRGSLEVFNPTQPKPRSSPFTTWQSRPDPQLSAHRAPPPPAAASAGGDDDEDIIRPWMALPSTSAAAPLPYLPYPISKKPPAAAEDVGAAAQRAAEWGLVLKTDEETGRPQGVEVRRSGDEAGDKGRSRRASGGSSYRSSEDSEVAARGGFPRVSEELREALSAFQQSFVVSDATKSDHPVMYASAGFFHMTGYLAKEVIGRNCRFLQGSGTDPEEIARLREALSAGTNYCGRILNYKKDGAPFWNLLTIAPIKDEAGNTLKFIGMQVEVSKYTEGSKDIMVRPNGLPESLIRYDARQKDRARSSVSDLVLAVKDPHSLSESRSYPFMRKSEGGGQTVVSEVPGKKSSENATAARRNSRSGMRSSMNKICELPEVANKSRKSGLKSFMGLIGFGHLNVEKHELEVPIEESLLMESDDERPESFDDEERKKEIRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDAATVRKIREAIDNQRDITVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPLQNCIPADTAKESSKLVKETADNVDEAVRELPDANLKPEDLWFNHSKLVLPKPHMRNDTSWRAIQKVVESGEKIGLKHFRPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKNIMLNRNKVHRACAEREILDMLDHPFLPTLYASFQSKTHICLITDYCPGGELFLLLDRQPEKVLKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENILLRRDGHVSLTDFDLSCLTSCKPQLLLPTVEDKKKQIKEKISPIFVAEPMRASNSFVGTEEYIAPEIISGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLRFPGSISVSLPAKQLIYRLLHRDPRNRLGSCHGANEVKKHPFFRGINWALVRCMSPPKLDTPLFDPKMAKEAEMVDTELVDVQTVF >Ma11_p21480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25599104:25609695:1 gene:Ma11_g21480 transcript:Ma11_t21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDQKPGASSTSPDGSKPASKVTKKKDEKKEEDLSDEDLALKQQLELYVERVQDAEQGVQKLALESMRQEIRTATSSMTSVPKPLKFLRPHYGSLKAFFETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEISQEFAKRQYDDLPLDTLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLELLVEHVDATNYKRACLYLTSSSSYLPSTDDLLASDIAFTIYTKFEDYASALRIALFIDNTQYVKQIYTSTEDLLLKKQFSYIIARHGLVLEIDEDIAPDDDDREALQEIVNNVKLSEGYLALARDIEVMEPKSPEDIYKVHLIDGRASASSSLDSARQNLAATFVNAFVNAGFGQDKLMTVTSESSSGSSGNWLFKNKEHGKASAAASLGMILLWDVDSGLSQIDKYLHSNDNHVVAGALLGIGIVSCGVKNDCDPALALLIDYINKDDTIIRIGAILGLGIAYAGSLKDELRIRLSLILGDPKTPLEVLVFSAITLGLVYVGSCNEEIAQSIILALMERSDTELGEPLTRLLPVALGLLYLGKQDNVEATAEVSKTFNDKIRKYADITLLSLAYAGTGNVLKVQKLLGYCAQHLEKGENYQGPAVLGIALIAMAEELGLDMAIRSLEHLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDTDGDVSMAAIISLGLIGAGTNNARIASMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPTALAGLVTVLHACLDMKSTILGKYHYMLYILVLAMQPRMLMTVDENLKPISVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATDKYIPLSSVLEGFVILKENPDSHDDR >Ma08_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7554701:7555429:-1 gene:Ma08_g10330 transcript:Ma08_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAATAASSVRLSTTGAVSTKAQLPRPQRPHARLLPLLGGRRRAVLAASAPSTPPDISDKVSESIKKAEDACSGDAVSGECAAAWDEVEELSAAASHARDKLKANSDPLENYCKDNPETDECRTYDN >Ma06_p05550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4138605:4139700:1 gene:Ma06_g05550 transcript:Ma06_t05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPNQDELRTCSGCSGAASAAVKLETEDPLDDELGRLSKKFKTVARHQQDAMYNLLDELSPLGLHLRKSPSLVDLIQMRLSQTNSSAASCGSTLRAWEVEGRKN >Ma11_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19664282:19665119:-1 gene:Ma11_g14370 transcript:Ma11_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKRVVQTCVLKVHTCCVGCQKKIRKVLLKIDGMSFYLCIV >Ma08_p31020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42067304:42071160:1 gene:Ma08_g31020 transcript:Ma08_t31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAATRRFLSALASRHRVATAAPSALRCFSIDAPPLPTPPPAPSEEQPRQQKDTSEYDGSARRQRAGSRTTDGAGKYEEEQARVLRAALPHVVRMGWSESAMIAGARDAGVSPAIVGSIPRKEAALVEFFMDDCLQKLIDKVESGDELKDLILSNRLGKLIRIRLKMQAPYISKWPQALSIQAQPANLPTSFKQRAELVDEIWHAAGDSGSDIDWYVKRTVLGGIYSTTEVYMVTDHSLEFQDTWRFLDRCIKDAFDFQKTVQEAAYLAEAVGAGMGNTMQGFVKRILQR >Ma05_p17890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:21810020:21830749:-1 gene:Ma05_g17890 transcript:Ma05_t17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSNTFPSSFCSQSRSGLFAARPQRCPLSIRRRGIAYASSGSPRRSLVCEAVSVKPQTEIERLNIAEDVSQLIGKTPMVYLNNIVKGCVANIAAKLEIMEPCCSVKDRIGYSMIADAEQRGVITPGESILVEPTSGNTGIGLAFIAAAKGYKLILTMPASMSIERRVLLKAFGAELVLTDSAKGMKGAVQKAEEILNNTPNAYMLQQFDNPANPKIHYESTGPEIWEDTRGKVDIFVAGVGTGGTISGVGCFLKEKNPNIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDLEVVDEILEISSDEAVETAKQLALQEGLLVGISSGAAAAAAMKVARRPENAGKLIAVVFPSFGERYLSSVLFQSIRDECEKMQPEP >Ma07_p25970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32950782:32958903:1 gene:Ma07_g25970 transcript:Ma07_t25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDSGLAVEPGAATDLTPKKERVGNGDYASGRWKSEDGSLTCGYSSFRGKRVSMEDCYDLKSAKIDGQTIYLFGIFDGHGGSRAAEYLKEHLFDNLTRHPKFMTHTKLAISETYRRTDSDFLAAESNTSRDDGSTASTAVLIGKRLYVANVGDSRAVISKTGKAIPLSDDQKPNRSDERKRIEDAGGVVMWAGTWRVGGILAMSRAFGNRLLKQFVVAEPEIQEQVVDEELEFLVLASDGLWDVVTNEEIYTILGRASVADRCCKVSVLDIMK >Ma07_p25970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32950782:32958903:1 gene:Ma07_g25970 transcript:Ma07_t25970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDSGLAVEPGAATDLTPKKERVGNGDYASGRWKSEDGSLTCGYSSFRGKRVSMEDCYDLKSAKIDGQTIYLFGIFDGHGGSRAAEYLKEHLFDNLTRHPKFMTHTKLAISETYRRTDSDFLAAESNTSRDDGSTASTAVLIGKRLYVANVGDSRAVISKTGKAIPLSDDQKPNRSDERKRIEDAGGVVMWAGTWRVGGILAMSRAFGNRLLKQFVVAEPEIQEQVVDEELEFLVLASDGLWDVVTNEDAVSLVRVEDEPEAAARKLTETAFSCGSADNITCIVVRFHQENLDRESPPPAGTLD >Ma07_p27900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34079151:34079234:1 gene:Ma07_g27900 transcript:Ma07_t27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDGAPDKDTNLGSMQNMVYKREAS >Ma05_p24560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36768032:36781850:-1 gene:Ma05_g24560 transcript:Ma05_t24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKQLLLTYLYLLIYICLSSGVILYNKWVLSPKHFKFPFPITLTMIHMGFSGVVAFILVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLMAVTCGTDKLRCDVFLNMVLVSVGVAISSYGEINFNVIGTAYQVTGIFAEALRLVLTQVLLQKKGLNLNPVTSLYYIAPCSFLFLFVPWYVLEKPGMEISQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITGLNIIGYAIALCGVVMYNYLKVRDVRASNQLPTESIPERATKEWKLDKKSDLYSDSGNNGRNIASDAGVTASDSFSVDEEAPLLQASRVPGLKST >Ma05_p24560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36768032:36782458:-1 gene:Ma05_g24560 transcript:Ma05_t24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISKQLLLTYLYLLIYICLSSGVILYNKWVLSPKHFKFPFPITLTMIHMGFSGVVAFILVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFLMAVTCGTDKLRCDVFLNMVLVSVGVAISSYGEINFNVIGTAYQVTGIFAEALRLVLTQVLLQKKGLNLNPVTSLYYIAPCSFLFLFVPWYVLEKPGMEISQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITGLNIIGYAIALCGVVMYNYLKVRDVRASNQLPTESIPERATKEWKLDKKSDLYSDSGNNGRNIASDAGVTASDSFSVDEEAPLLQASRVPGLKST >Ma08_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:29112068:29124796:1 gene:Ma08_g18200 transcript:Ma08_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDAVIRRPAVVALLLCNMLLAPGVTAVWLNLPASGTKCVSEEIQPNVVVLADYAVVHEGEADGLPIISAKVTSPYGNTLHHKEHVTAGQFAFTTTEAGNYLACFWIDSGDKGVGASVSLDWKIGIAAKDWDSVAKKDKIEGVELELTKLEAAVQAIHANLLYLKSREAEMREVSERTNARVAWFSIMSLGVCIVVSMLQLWHLKGYFQKKKLI >Ma09_p17280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12800165:12801747:-1 gene:Ma09_g17280 transcript:Ma09_t17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTMAVSIKSLRPTCNSETCGYATPSQVAFFYASLYVMAVGAGGTKPNISTFGADQFDDFDRHERKLKASFFNWWMFSSFFGALIATLGLVYVQENVSWGVGYGIPTTGLVVSLLIFYAGAPNYRHKARKAESPAREIVKVWTTAFANRRFELPDDPVELFELEPRHYLLTGKRRLHHSSSFRFLDRAAIKEGAYPCTVTQVQEAKLVAGMTLIWFATLVPSIIAAQVNTLFVKQGTTLDRQLGQAFRIPAASLGSFITISMLLAVPFYDRYFVPFMRRRTGNPRGITILQRLGVGFGFQVLDALVAYAVELRRMHVIRKRAVAVPGDTVPMSIFWLLPQYVLLGVGDVFNAIGLLEFFYDQSPESMQSLGTTFFTSSIGVGNFLNSLLVTVVDRVTRAGGGKSWIGNNLNDSHLDYYYAFLMAISAINLALFLWASSEYEYKEEALEVTEAKVVCVQMEGMLVDSPPASSEGRVRQ >Ma02_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28532298:28533705:-1 gene:Ma02_g23580 transcript:Ma02_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFTYTTCSPSLFVVLMVLCLSTGVSSQLTTDFYVTSCPNVFKVVRRVVVNALKNEMRMAASLLRLHFHDCFVNGCDGSILLDGSDGEKFAFPNINSARGFDVVDSIKTAVENECNGTVSCADILAIAARDSVVLSGGPTWKVLLGRRDGLVANQTGANSNLPSPFDSINTIITKFAAVGLNTTDVVALSGGHTIGLARCVTFNNRLSNFSTTESVDPTLDSSMAADLQGLCSQSSDGNATTALDRNSTDVFDNHYFKNLLSQKGLLSSDQGLFSSDEGVAATEGLVQIYSNSSSAFFSDFVISMIKMGSISPLTGSAGEIRRNCRAVN >Ma11_p06080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4862169:4863949:1 gene:Ma11_g06080 transcript:Ma11_t06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKGLTLYHLKSHLQKYRLGKQTRRETEQEAKKKGSNSSKINCSSTTSNYVSRTDGAGEMPLGEALCYQIEVQRKLQEQLEVQKKLQTRIEAQGKYLQAILEKAQKSLCFDNNRSSGSLEATRAQLTDFDLPQSGLMENVGRVCEEKHSELREVRPQENIKKRNNSGFQLYQEGRDEAEDSSLLLLDLNVKGSSGEMVGGSRGNDLDLRIQTQGL >Ma11_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4861499:4863949:1 gene:Ma11_g06080 transcript:Ma11_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIYQRRPPLAASLFEAPGGEGAGVMLSRDPKPRLRWTPDLHDRFVDAVTKLGGPDKATPKSVLSLMGMKGLTLYHLKSHLQKYRLGKQTRRETEQEAKKKGSNSSKINCSSTTSNYVSRTDGAGEMPLGEALCYQIEVQRKLQEQLEVQKKLQTRIEAQGKYLQAILEKAQKSLCFDNNRSSGSLEATRAQLTDFDLPQSGLMENVGRVCEEKHSELREVRPQENIKKRNNSGFQLYQEGRDEAEDSSLLLLDLNVKGSSGEMVGGSRGNDLDLRIQTQGL >Ma00_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:41335401:41335478:1 gene:Ma00_g04810 transcript:Ma00_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHCPLAHCPTALGVIVKGCPVIN >Ma04_p29900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30702841:30703956:-1 gene:Ma04_g29900 transcript:Ma04_t29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYGVEERKLKRRRAEESAPMEEEVEVFDEGVRDGVEEGIGDLGEIAEPEDERRAEQGVDEKPGIPVTAPVDGAKRPGVIFVLEKACLEVGKVGKNFQILNSDDHANYLRKQNRNPADYRPDISHQTCSSDYSMK >Ma09_p00140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:136562:141155:1 gene:Ma09_g00140 transcript:Ma09_t00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICKKYDKYDVDKLNASNVSGDDAFARLYASIESDIDSAVQKAERACQEKNRAAAVALNAEIRRTKARLSEEIPKLQRLALKKVKGLSKEELAIRNDLVLALPDRIQSIPDGSTNGAKQTGSWTSASHMEIRFDSNSDLVNADGMFESEYFQQTEESSQFRQEYEMRKMKQDQGLDIISEGLDTLKNMAHDMNEELDRQVPLIDEIDSKVDKATSDLKNTNVRLKDTINQCFEEMRCRGKRYLDSSSILLHLHFLGTRYFTVLLCCCSQLLLSYMQFEWKPRLVVGILLRMCCLCFDNLS >Ma09_p00140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:136562:141117:1 gene:Ma09_g00140 transcript:Ma09_t00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICKKYDKYDVDKLNASNVSGDDAFARLYASIESDIDSAVQKAERACQEKNRAAAVALNAEIRRTKARLSEEIPKLQRLALKKVKGLSKEELAIRNDLVLALPDRIQSIPDGSTNGAKQTGSWTSASHMEIRFDSNSDGMFESEYFQQTEESSQFRQEYEMRKMKQDQGLDIISEGLDTLKNMAHDMNEELDRQVPLIDEIDSKVDKATSDLKNTNVRLKDTINQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >Ma09_p00140.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:136562:141155:1 gene:Ma09_g00140 transcript:Ma09_t00140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICKKYDKYDVDKLNASNVSGDDAFARLYASIESDIDSAVQKAERACQEKNRAAAVALNAEIRRTKARLSEEIPKLQRLALKKVKGLSKEELAIRNDLVLALPDRIQSIPDGSTNGAKQTGSWTSASHMEIRFDSNSDGMFESEYFQQTEESSQFRQEYEMRKMKQDQGLDIISEGLDTLKNMAHDMNEELDRQVPLIDEIDSKVDKATSDLKNTNVRLKDTINQCFEEMRCRGKRYLDSSSILLHLHFLGTRYFTVLLCCCSQLLLSYMQFEWKPRLVVGILLRMCCLCFDNLS >Ma09_p00140.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:136562:141117:1 gene:Ma09_g00140 transcript:Ma09_t00140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICKKYDKYDVDKLNASNVSGDDAFARLYASIESDIDSAVQKAERACQEKNRAAAVALNAEIRRTKARLSEEIPKLQRLALKKVKGLSKEELAIRNDLVLALPDRIQSIPDGSTNGAKQTGSWTSASHMEIRFDSNSDLVNADGMFESEYFQQTEESSQFRQEYEMRKMKQDQGLDIISEGLDTLKNMAHDMNEELDRQVPLIDEIDSKVDKATSDLKNTNVRLKDTINQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >mito3_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000014.1:57614:58027:1 gene:mito3_g00020 transcript:mito3_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVISWKISSGSSCLTWSHYISHCNMDLFRYLVRLFLSVVFLQLGILLIVIVICDGNDSGSEQETDASVGAQESCIGVRPKGEANCNAGPSSRKEEKDLDLNVTPHPRVAIQSLMNDLMDADNKMDQLMR >Ma08_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14899747:14903852:-1 gene:Ma08_g14970 transcript:Ma08_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVFTPSLEGMKHVKSENGVILTKPFLDVCKLILPVLDKFGAAMSIVKSDIGGNITRLETKYNSDPSKFEHLYSMVQVEVEAKTAKGSSSCTNGLLWLTRAMDYLVELFRNLLDHQDWIMSQVCTDSYNKTLKKWHGWLASSSFTVAMKLAPDRKKFMEVISGSGDINADMEKFCTTFAPLLAENHKFLASVGLDDLKAS >Ma01_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:428929:437027:1 gene:Ma01_g00580 transcript:Ma01_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVPMDRSSAPSSRELAQRLLEKNIELENGLRRSAKSKLPSDPNAWLQMRENYETMILEDHDFSEKHDIEFVLWQLHYRRIEEFRQHINAAVSAGSNASSGGKVLVRPDKIKKLRSVFRSFLTEATGFYHDLILKIRAKYGLPLSYFNEGIETEIVLTKDEKKSADMKKGLMSCHRCLIYLGDLARYKGLYGEVDSVSCDYAAASSYYMQASSLCPFSGNPHHQLAILASYSGDDLLAVYRYFRSLAVEIPFSTARDNLIIAFEKNRQSYSLLPSNTKTASGRRLPARSAGRGRGRSDTKLLPKDSKIETTSTKDEELTMSQVFKAFATRFVRLHGILFTRTSLETFGEVFSSVIKDLNVLLSSGPEDVLNFGPATTENALTILRLVAILIFTVHNVERESENQTLQRTVLLQHAFTSAFEFVGYILKRCIALHDAASSCLLPAILIFIEWLASHPDVATSFDVEEKQAGARSFFWTQFVPFMNKLIETRLASVDGEGNETCFLNMSSYDEGETGNRLALWEDFELRGFVPLVPAQLILDFSKKHAYMNDGGRKDKVSRVERILAAGRALTNIVSVDQQRIYIDPSLKKFVIGTEPPVFEGPMDSTFLYPLDSAVVKQEIQFENVSGAALQTSNLGVSQTNAELYMGGEEEEEEIVFKPTTAEKYPDISASPMTACDSVNPGQASSATDWMTHARHFSADFDGVQMSAVSNVSSKLHPSTSNVSQLPLQFVNSDTTRWFLSDELKNMNITENGYLNKQMLQEGSSNLQPSSLSPLFSSAVSLGTNSALSGQIKAAEVVFPSTLDTILPSDATADGMAMKLSSALPPPRKNPVGRPFRHFGPPPGFSHIAPKQDGTNPNSGAKEQLPEIDDYSWLDGCRSSSSKVMGIENSFDQITYRLPYVSTASSTAFTSVSSFPFPGKQVSNVQTQVVDEQKWHDFQLFEQLKAYNGQKLQQSNPQHTLPPENCHAQSLWSGSYFV >Ma06_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12844510:12844749:1 gene:Ma06_g18780 transcript:Ma06_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNTKLYLRNYYILKENERLRKKAQLLNQENQTLLSELKQKQKLAKSSASSNPIPSIPDLNTTPPTPTMGPSGSQHP >Ma08_p28480.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40367046:40371887:-1 gene:Ma08_g28480 transcript:Ma08_t28480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCPLNLASTANLSSLSTDDFFDRVQSREEKSDATLRINSPSSSTLNNSKTKGTIGVWGDMNDVECSGQSLLALGLGQSLNSSYVSKMSSIIRCTSSAKENDNGSVDLGLNIRFCPENAKTSNPSKSFVATANDSHTGNTLDLQLGLSVGSFESVMTSVKPVLDEHQSSVETSVMVNSVLTNDDEGIVSSWWIFRRCMPTHLNDSETSSSSASNKKICVKADPVVVVPDHPPTVLQTVKSPVVCTSGVTHSRHHNSSIKNCQFQGCVKRARGASGLCIAHGGGRRCQKPGCQKGAEGRTIFCKAHGGGRRCEHLGCTKSAEGRTDYCIAHGGGKRCSHECCGRAARGKSGLCIKHGGGKRCRRENCTKSAEGHSGFCISHGGGRRCQFPACSKGAQGSTMFCKAHGGGKRCTFLGCTKGAEGSTPYCKGHGGGKRCLFQGGGVCPKSVHGGTLFCVAHGGGKRCAVPGCTKSARGRTNYCVRHGGGKRCKSVGCGKSAQGSTDFCKAHGGGNRCAWGHVGSKFGTGDPPCERFARTKAGLCAAHDALVQDHCVRGGGTLAISTTLFPTSIKSGMMKEVAIGGKGMSIWSGSDTKAHPQVTQCGLVSLPEGRVHGGSLMTMLATSSGTGNNA >Ma08_p28480.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40367046:40372020:-1 gene:Ma08_g28480 transcript:Ma08_t28480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCPLNLASTANLSSLSTDDFFDRVQSREEKSDATLRINSPSSSTLNNSKTKGTIGVWGDMNDVECSGQSLLALGLGQSLNSSYVSKMSSIIRCTSSAKENDNGSVDLGLNIRFCPENAKTSNPSKSFVATANDSHTGNTLDLQLGLSVGSFESVMTSVKPVLDEHQSSVETSVMVNSVLTNDDEGIVSSWWIFRRCMPTHLNDSETSSSSASNKKICVKADPVVVVPDHPPTVLQTVKSPVVCTSGVTHSRHHNSSIKNCQFQGCVKRARGASGLCIAHGGGRRCQKPGCQKGAEGRTIFCKAHGGGRRCEHLGCTKSAEGRTDYCIAHGGGKRCSHECCGRAARGKSGLCIKHGGGKRCRRENCTKSAEGHSGFCISHGGGRRCQFPACSKGAQGSTMFCKAHGGGKRCTFLGCTKGAEGSTPYCKGHGGGKRCLFQGGGVCPKSVHGGTLFCVAHGGGKRCAVPGCTKSARGRTNYCVRHGGGKRCKSVGCGKSAQGSTDFCKAHGGGNRCAWGHVGSKFGTGDPPCERFARTKAGLCAAHDALVQDHCVRGGGTLAISTTLFPTSIKSGMMKEVAIGGKGMSIWSGSDTKAHPQVTQCGLVSLPEGRVHGGSLMTMLATSSGTGNNA >Ma08_p28480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40367046:40371390:-1 gene:Ma08_g28480 transcript:Ma08_t28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCPLNLASTANLSSLSTDDFFDRVQSREEKSDATLRINSPSSSTLNNSKTKGTIGVWGDMNDVECSGQSLLALGLGQSLNSSYVSKMSSIIRCTSSAKENDNGSVDLGLNIRFCPENAKTSNPSKSFVATANDSHTGNTLDLQLGLSVGSFESVMTSVKPVLDEHQSSVETSVMVNSVLTNDDEGIVSSWWIFRRCMPTHLNDSETSSSSASNKKICVKADPVVVVPDHPPTVLQTVKSPVVCTSGVTHSRHHNSSIKNCQFQGCVKRARGASGLCIAHGGGRRCQKPGCQKGAEGRTIFCKAHGGGRRCEHLGCTKSAEGRTDYCIAHGGGKRCSHECCGRAARGKSGLCIKHGGGKRCRRENCTKSAEGHSGFCISHGGGRRCQFPACSKGAQGSTMFCKAHGGGKRCTFLGCTKGAEGSTPYCKGHGGGKRCLFQGGGVCPKSVHGGTLFCVAHGGGKRCAVPGCTKSARGRTNYCVRHGGGKRCKSVGCGKSAQGSTDFCKAHGGGNRCAWGHVGSKFGTGDPPCERFARTKAGLCAAHDALVQDHCVRGGGTLAISTTLFPTSIKSGMMKEVAIGGKGMSIWSGSDTKAHPQVTQCGLVSLPEGRVHGGSLMTMLATSSGTGNNA >Ma01_p02840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1860175:1861098:1 gene:Ma01_g02840 transcript:Ma01_t02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDKDIVALSGSHTLVVVFPLYRELLKEETEGLLKLPADEALLGDPEFRHYVEMYAKDEDLFFKDYAESHKKLSELGFTPRHTDSATKTIANSAVLAQSAFGVAVAAAVVILSYRYEVTRRK >Ma01_p06340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4521941:4524487:-1 gene:Ma01_g06340 transcript:Ma01_t06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRNHLPVSHVLLWLAISSILSTVSPMRSHLKPSEVLRHGGHDPGLGHSYSSSPSTANQHGSYMPHSKKFNILFFGATGDGVADDSKAFKAAWKAACLVPRATIELPSEFKFLVRPITLQGPCMPHLVLQIDGTLVAPSSVAKSNLYQWINIKWLHDFTIQGSGTVDGQGFVLWNISESHHIEKETKYGSSMRPTVIRLYKCYNVTVRNIRIINSPLCHLKFDSSQGVKVKNITISSPKDSPNTDGIHLQNTRDVEIKHSDIGCGDDCVSIQAGCSNVHIHHLRCSPGHGISVGGLGKGSSLACVSNISVNSVTVQNALSGVRIKTWQGGVGSVRNVSFSNVQVVDVDVPIVIDQYYCNRRSCRNRTDAVAISEVTYKKISGTYRFQPMNLACSDGMPCTGVALRDIELSPANETQVPREAFCWKSYGESQGLLHPLSLGCLQRPTRLMKAQMKSHNDTCYY >Ma08_p23370.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36761645:36778076:-1 gene:Ma08_g23370 transcript:Ma08_t23370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREDRNRNFGIGRTIAMAHERPSSSPSASLTAEENNLFLDILHEAPLSGHRQPRGIFGSVLYCVVLAGYAVVAAAAPWIFLLVPKLVPTLLCSCNVLLLIITGIFQQYWVYQVRKVRLQGYYSFSQRLKHIARLPFATITYGSALMLLVLVWQPYIHILSISTLLRIIMIVEVICAGCFMSVYIGCIHQYNSLNGQPDILKSLYSALQPSSSLEDLRYYDGGRLSDQQMALLQYQRENLHYLSEEVLRLQECLSKYQRSDDGSTPQVDLAHLLASRDQELRALTAEMNQVHSELRLARSLIAERDSEVQHMRATNNQYVEENERLRAILGEWSARAAKLERALEAERMSIVELQKSIAELRSKPPNQLADEHQE >Ma08_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36761645:36777526:-1 gene:Ma08_g23370 transcript:Ma08_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRLRFTEFLDILHEAPLSGHRQPRGIFGSVLYCVVLAGYAVVAAAAPWIFLLVPKLVPTLLCSCNVLLLIITGIFQQYWVYQVRKVRLQGYYSFSQRLKHIARLPFATITYGSALMLLVLVWQPYIHILSISTLLRIIMIVEVICAGCFMSVYIGCIHQYNSLNGQPDILKSLYSALQPSSSLEDLRYYDGGRLSDQQMALLQYQRENLHYLSEEVLRLQECLSKYQRSDDGSTPQVDLAHLLASRDQELRALTAEMNQVHSELRLARSLIAERDSEVQHMRATNNQYVEENERLRAILGEWSARAAKLERALEAERMSIVELQKSIAELRSKPPNQLADEHQE >Ma10_p04840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15482737:15482976:1 gene:Ma10_g04840 transcript:Ma10_t04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSTQHAPTVCLSTRSMSPSPLIHGICTYHKFDVCCKIPTRLGWQRECDGHYSRPIKSHLYSLFFSNIYYSKIVRSYK >Ma08_p27460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39680766:39692689:-1 gene:Ma08_g27460 transcript:Ma08_t27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSSPFQPYVYQSSQSAVTAFQILGGESQIVQIMLKSQEKLIAKPGAMCYMSGSIQMDNNYIPEHEAGFWQWLFGRSVTSIVLCNLGPEDGFVGIAAPSPARILPIDLANFGGEILCQPDAFLCSVNDVRTISNVDQRPRNFEVGAEVILKQKLVGQGLAFLAGCGSVVQKILAPGEVLIVDAVCIVAMTCTINFQLKHSHPMRRVVFGGDNQLMATLSGPGVVFIQSLPLPRLSQRIARAVAAPSLRDNPKFFMQIALLFFLAYVMIVSSLILTDV >Ma06_p24270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22747162:22748387:1 gene:Ma06_g24270 transcript:Ma06_t24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLQPSKFFWSLVEQTPTAVPEMLQRASQFIAAETWMAGRREKHRKVKSEPPRQQQPAASRRKMDRPDPRPPLPALNSSRIEIFLHIREKGLLKDPHPMRSPRELADRSKYCRFHRQHGHDTEQCRELKRQIEELICRGHLGQYLRPDKELSPRSEGPIERHIDVIVGGPTSGGGSMTERKAYARAAQTEAPRHGPEPEITFPTGAFEQPEHDDALVISARIANAQKLGLARENMKPMCSALTGFTGDSISPLGAITLPLTLGAPLGSKTVMTTFLVVDLPTTYNAILGRPTLNKVRAVVSTYYQTIKFLTHAGVGEVTGSPRESRRCYLTAVSLHKRARIEPPLEDPRETKKPTPHPEPRGSTVDVPLLEARPDQTVKISSELPERERK >Ma11_p11890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15097755:15131453:-1 gene:Ma11_g11890 transcript:Ma11_t11890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVDCGLGVDTKTSPRHASIEKAQAELQKEFDLREERRRELEFLEKGGNPLDFKFSRAASGSVQSTSYTDQLAEPYVTSEAKGSFTLAASPHGDSVESSGRPGGSIGREPNIGDNLLLLDGKNNKHGEKNAKHKGKRGSVVLSEQSSQVDGSHNVKETEDSVIFRVGAKSQAYARRNRSRVSRDCANLGLTDSSSRHGNKASFMSSYMPCPRVTKGSVSGLPVEDHAVSSISNSKAASPEGIIPKALNTDGLVDMQLNLVQNNHICADMMIDGLPEGGKVLKITENVQGNDICDRHSSFAEKASNGTLPQSCDIIGKDDALSVCLSSNPLEFNESKKDPCGAEVINKCGIPEKSTHCFDHDDDLSHKTFVANATTENLNADITEANTCVDGTCNIHENTDGDQSLMLRTDGSSNGDIKDQKTNIGIWSMPDDSTLKENKPVDADVPITANDRSRSVQPDVNNSVVQINNEVCDSRTEMQSEVTPITNAELVKLNDEIICEAEKNMNNFVGDSNCTRKAGIGASFLVSSTCESSEAILVSKSSASTTELETSALDHKKAHEDATLKEARLIEARLRRAAELSISYKSSEKRQKCHWDFVLEEMAWMANDFMQERLWKISAAAQVSRWASCGQEKFEQVNIWRKQKNVARSVAKAVMHFWNEAEVIHTGDMAPNAVHDKCESDRLRLSNVNGTEVERNQGRVSVLDYAVKFLKHNSSTASYAILAEAPTAPKRQNDATILKIPWEDPLSEESLFYTIPPGAMQAYRESMESQWLHCKKFGNTLHQDDCETSNNSVAAGGTQDNIYDEDEGETGTYLLPGTFEGGLSSKLSHKKQKHMRQKSTVARLNESGTHLSHEPRLEIKSGNQPFILNGKRTSNTFSVGSIPTKRVKRATRQRVVSPYPCGVNGPLQVTTKTDVSSEDTSSFQDDQDSLHGGYMQRKNLGVGSTMDFEKQLQYDGNEISSTSKKKKKKPNNFGYKNSLNLTDPDLLVVPGKASIQGCSYEQRLHVDPVIQHEQKEHVKKRMESQNFDSNGGTVVYGQHAAKKPKLLKQPLEASLEALTPVAGSLPSPVDSQMSNMSNSNKLVKLIANRDRVRKSKALKMAAGQSGSGSLWSNFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKFLMDKSAGDGADSTEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDILKAHFEKIILLGQKLSSYSRQNDNQEQKPTTPAHSSHVVALSHVCPNNLNGGILTPLDFCESISSSPDAFPLGYQGPHAGSLGVGNHQGPVPPSLPTSGVSTMLQGSPGMGLTSSLPPSSAPLNSSYRDSQRYSVPRPSSSPVDDPQRMQQYSQMLSGRNPQQSSMSLSGSLSVGVDRSVRMLPGAGGMGMMPGVNRGIPLPRPSFQGISSPGMLNMVSTGNMLSSGGQGVQNSVNVHPSAICSPGNSMMRPRDPLQMLRPGQIAEEHRQMMMPELQLQVSQANGQSISPFSGMSASFSNVTLPASVPTFSIQQHQQSHQMVQQQHMLGNPHHHIQSTSHSSPQQQAYAMRVAKERQLQHRITPQSQHINGPNAVTPVQNNSQMQPQSQSCSPVTPVSSSQGQHKQQNLLRNPPSGISNQIMKQRQRQVQQHQPRQQQQQRQHTQQQAKLMKDLGRGNMLNHHNISADASQISGFSTASKNRVSDKHLMHQGQGVFPGSPCLNPSWHQSGSQTNIYTHPLPQSTKQSSSMSDTCNQGSAPSSPSHNILASQQASIPSSMPLPKQHQQPQQHYLNQSHQSIQRTALQQSRQINPSGRMQSSTDQSQINQIVPSASIPQCTDSGTSASAVSSSTLWNPEPLYDKNAPTTIAHVASSPQENLVGSEALVPSSGQGLVPPQQLSAGVSEHGHKSGGQWQHQQQQQPHHQQEQQHSQHQSQQMVQSDLYA >Ma11_p11890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15107005:15131453:-1 gene:Ma11_g11890 transcript:Ma11_t11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVDCGLGVDTKTSPRHASIEKAQAELQKEFDLREERRRELEFLEKGGNPLDFKFSRAASGSVQSTSYTDQLAEPYVTSEAKGSFTLAASPHGDSVESSGRPGGSIGREPNIGDNLLLLDGKNNKHGEKNAKHKGKRGSVVLSEQSSQVDGSHNVKETEDSVIFRVGAKSQAYARRNRSRVSRDCANLGLTDSSSRHGNKASFMSSYMPCPRVTKGSVSGLPVEDHAVSSISNSKAASPEGIIPKALNTDGLVDMQLNLVQNNHICADMMIDGLPEGGKVLKITENVQGNDICDRHSSFAEKASNGTLPQSCDIIGKDDALSVCLSSNPLEFNESKKDPCGAEVINKCGIPEKSTHCFDHDDDLSHKTFVANATTENLNADITEANTCVDGTCNIHENTDGDQSLMLRTDGSSNGDIKDQKTNIGIWSMPDDSTLKENKPVDADVPITANDRSRSVQPDVNNSVVQINNEVCDSRTEMQSEVTPITNAELVKLNDEIICEAEKNMNNFVGDSNCTRKAGIGASFLVSSTCESSEAILVSKSSASTTELETSALDHKKAHEDATLKEARLIEARLRRAAELSISYKSSEKRQKCHWDFVLEEMAWMANDFMQERLWKISAAAQVSRWASCGQEKFEQVNIWRKQKNVARSVAKAVMHFWNEAEVIHTGDMAPNAVHDKCESDRLRLSNVNGTEVERNQGRVSVLDYAVKFLKHNSSTASYAILAEAPTAPKRQNDATILKIPWEDPLSEESLFYTIPPGAMQAYRESMESQWLHCKKFGNTLHQDDCETSNNSVAAGGTQDNIYDEDEGETGTYLLPGTFEGGLSSKLSHKKQKHMRQKSTVARLNESGTHLSHEPRLEIKSGNQPFILNGKRTSNTFSVGSIPTKRVKRATRQRVVSPYPCGVNGPLQVTTKTDVSSEDTSSFQDDQDSLHGGYMQRKNLGVGSTMDFEKQLQYDGNEISSTSKKKKKKPNNFGYKNSLNLTDPDLLVVPGKASIQGCSYEQRLHVDPVIQHEQKEHVKKRMESQNFDSNGGTVVYGQHAAKKPKLLKQPLEASLEALTPVAGSLPSPVDSQMSNMSNSNKLVKLIANRDRVRKSKALKMAAGQSGSGSLWSNFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKFLMDKSAGDGADSTEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDILKAHFEKIILLGQKLSSYSRQNDNQEQKPTTPAHSSHVVALSHVCPNNLNGGILTPLDFCESISSSPDAFPLGYQGPHAGSLGVGNHQGPVPPSLPTSGVSTMLQGSPGMGLTSSLPPSSAPLNSSYRDSQRYSVPRPSSSPVDDPQRMQQYSQMLSGRNPQQSSMSLSGSLSVGVDRSVRMLPGAGGMGMMPGVNRGIPLPRPSFQGISSPGMLNMVSTGNMLSSGGQGVQNSVNVHPSAICSPGNSMMRPRDPLQMLRAMTSPHTTTSACSDLAAHRHYAWPLP >Ma11_p11890.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15106571:15130938:-1 gene:Ma11_g11890 transcript:Ma11_t11890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVDCGLGVDTKTSPRHASIEKAQAELQKEFDLREERRRELEFLEKGGNPLDFKFSRAASGSVQSTSYTDQLAEPYVTSEAKGSFTLAASPHGDSVESSGRPGGSIGREPNIGDNLLLLDGKNNKHGEKNAKHKGKRGSVVLSEQSSQVDGSHNVKETEDSVIFRVGAKSQAYARRNRSRVSRDCANLGLTDSSSRHGNKASFMSSYMPCPRVTKGSVSGLPVEDHAVSSISNSKAASPEGIIPKALNTDGLVDMQLNLVQNNHICADMMIDGLPEGGKVLKITENVQGNDICDRHSSFAEKASNGTLPQSCDIIGKDDALSVCLSSNPLEFNESKKDPCGAEVINKCGIPEKSTHCFDHDDDLSHKTFVANATTENLNADITEANTCVDGTCNIHENTDGDQSLMLRTDGSSNGDIKDQKTNIGIWSMPDDSTLKENKPVDADVPITANDRSRSVQPDVNNSVVQINNEVCDSRTEMQSEVTPITNAELVKLNDEIICEAEKNMNNFVGDSNCTRKAGIGASFLVSSTCESSEAILVSKSSASTTELETSALDHKKAHEDATLKEARLIEARLRRAAELSISYKSSEKRQKCHWDFVLEEMAWMANDFMQERLWKISAAAQVSRWASCGQEKFEQVNIWRKQKNVARSVAKAVMHFWNEAEVIHTGDMAPNAVHDKCESDRLRLSNVNGTEVERNQGRVSVLDYAVKFLKHNSSTASYAILAEAPTAPKRQNDATILKIPWEDPLSEESLFYTIPPGAMQAYRESMESQWLHCKKFGNTLHQDDCETSNNSVAGGTQDNIYDEDEGETGTYLLPGTFEGGLSSKLSHKKQKHMRQKSTVARLNESGTHLSHEPRLEIKSGNQPFILNGKRTSNTFSVGSIPTKRVKRATRQRVVSPYPCGVNGPLQVTTKTDVSSEDTSSFQDDQDSLHGGYMQRKNLGVGSTMDFEKQLQYDGNEISSTSKKKKKKPNNFGYKNSLNLTDPDLLVVPGKASIQGCSYEQRLHVDPVIQHEQKEHVKKRMESQNFDSNGGTVVYGQHAAKKPKLLKQPLEASLEALTPVAGSLPSPVDSQMSNMSNSNKLVKLIANRDRVRKSKALKMAAGQSGSGSLWSNFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKFLMDKSAGDGADSTEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDILKAHFEKIILLGQKLSSYSRQNDNQEQKPTTPAHSSHVVALSHVCPNNLNGGILTPLDFCESISSSPDAFPLGYQGPHAGSLGVGNHQGPVPPSLPTSGVSTMLQGSPGMGLTSSLPPSSAPLNSSYRDSQRYSVPRPSSSPVDDPQRMQQYSQMLSGRNPQQSSMSLSGSLSVGVDRSVRMLPGAGGMGMMPGVNRGIPLPRPSFQGISSPGMLNMVSTGNMLSSGGQGVQNSVNVHPSAICSPGNSMMRPRDPLQMLRAMTSPHTTTSACSDLAAHRHYAWPLP >Ma11_p11890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15097755:15130938:-1 gene:Ma11_g11890 transcript:Ma11_t11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVDCGLGVDTKTSPRHASIEKAQAELQKEFDLREERRRELEFLEKGGNPLDFKFSRAASGSVQSTSYTDQLAEPYVTSEAKGSFTLAASPHGDSVESSGRPGGSIGREPNIGDNLLLLDGKNNKHGEKNAKHKGKRGSVVLSEQSSQVDGSHNVKETEDSVIFRVGAKSQAYARRNRSRVSRDCANLGLTDSSSRHGNKASFMSSYMPCPRVTKGSVSGLPVEDHAVSSISNSKAASPEGIIPKALNTDGLVDMQLNLVQNNHICADMMIDGLPEGGKVLKITENVQGNDICDRHSSFAEKASNGTLPQSCDIIGKDDALSVCLSSNPLEFNESKKDPCGAEVINKCGIPEKSTHCFDHDDDLSHKTFVANATTENLNADITEANTCVDGTCNIHENTDGDQSLMLRTDGSSNGDIKDQKTNIGIWSMPDDSTLKENKPVDADVPITANDRSRSVQPDVNNSVVQINNEVCDSRTEMQSEVTPITNAELVKLNDEIICEAEKNMNNFVGDSNCTRKAGIGASFLVSSTCESSEAILVSKSSASTTELETSALDHKKAHEDATLKEARLIEARLRRAAELSISYKSSEKRQKCHWDFVLEEMAWMANDFMQERLWKISAAAQVSRWASCGQEKFEQVNIWRKQKNVARSVAKAVMHFWNEAEVIHTGDMAPNAVHDKCESDRLRLSNVNGTEVERNQGRVSVLDYAVKFLKHNSSTASYAILAEAPTAPKRQNDATILKIPWEDPLSEESLFYTIPPGAMQAYRESMESQWLHCKKFGNTLHQDDCETSNNSVAAGGTQDNIYDEDEGETGTYLLPGTFEGGLSSKLSHKKQKHMRQKSTVARLNESGTHLSHEPRLEIKSGNQPFILNGKRTSNTFSVGSIPTKRVKRATRQRVVSPYPCGVNGPLQVTTKTDVSSEDTSSFQDDQDSLHGGYMQRKNLGVGSTMDFEKQLQYDGNEISSTSKKKKKKPNNFGYKNSLNLTDPDLLVVPGKASIQGCSYEQRLHVDPVIQHEQKEHVKKRMESQNFDSNGGTVVYGQHAAKKPKLLKQPLEASLEALTPVAGSLPSPVDSQMSNMSNSNKLVKLIANRDRVRKSKALKMAAGQSGSGSLWSNFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKFLMDKSAGDGADSTEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDILKAHFEKIILLGQKLSSYSRQNDNQEQKPTTPAHSSHVVALSHVCPNNLNGGILTPLDFCESISSSPDAFPLGYQGPHAGSLGVGNHQGPVPPSLPTSGVSTMLQGSPGMGLTSSLPPSSAPLNSSYRDSQRYSVPRPSSSPVDDPQRMQQYSQMLSGRNPQQSSMSLSGSLSVGVDRSVRMLPGAGGMGMMPGVNRGIPLPRPSFQGISSPGMLNMVSTGNMLSSGGQGVQNSVNVHPSAICSPGNSMMRPRDPLQMLRPGQIAEEHRQMMMPELQLQVSQANGQSISPFSGMSASFSNVTLPASVPTFSIQQHQQSHQMVQQQHMLGNPHHHIQSTSHSSPQQQAYAMRVAKERQLQHRITPQSQHINGPNAVTPVQNNSQMQPQSQSCSPVTPVSSSQGQHKQQNLLRNPPSGISNQIMKQRQRQVQQHQPRQQQQQRQHTQQQAKLMKDLGRGNMLNHHNISADASQISGFSTASKNRVSDKHLMHQGQGVFPGSPCLNPSWHQSGSQTNIYTHPLPQSTKQSSSMSDTCNQGSAPSSPSHNILASQQASIPSSMPLPKQHQQPQQHYLNQSHQSIQRTALQQSRQINPSGRMQSSTDQSQINQIVPSASIPQCTDSGTSASAVSSSTLWNPEPLYDKNAPTTIAHVASSPQENLVGSEALVPSSGQGLVPPQQLSAGVSEHGHKSGGQWQHQQQQQPHHQQEQQHSQHQSQQMVQSDLYA >Ma11_p11890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15097734:15130938:-1 gene:Ma11_g11890 transcript:Ma11_t11890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVDCGLGVDTKTSPRHASIEKAQAELQKEFDLREERRRELEFLEKGGNPLDFKFSRAASGSVQSTSYTDQLAEPYVTSEAKGSFTLAASPHGDSVESSGRPGGSIGREPNIGDNLLLLDGKNNKHGEKNAKHKGKRGSVVLSEQSSQVDGSHNVKETEDSVIFRVGAKSQAYARRNRSRVSRDCANLGLTDSSSRHGNKASFMSSYMPCPRVTKGSVSGLPVEDHAVSSISNSKAASPEGIIPKALNTDGLVDMQLNLVQNNHICADMMIDGLPEGGKVLKITENVQGNDICDRHSSFAEKASNGTLPQSCDIIGKDDALSVCLSSNPLEFNESKKDPCGAEVINKCGIPEKSTHCFDHDDDLSHKTFVANATTENLNADITEANTCVDGTCNIHENTDGDQSLMLRTDGSSNGDIKDQKTNIGIWSMPDDSTLKENKPVDADVPITANDRSRSVQPDVNNSVVQINNEVCDSRTEMQSEVTPITNAELVKLNDEIICEAEKNMNNFVGDSNCTRKAGIGASFLVSSTCESSEAILVSKSSASTTELETSALDHKKAHEDATLKEARLIEARLRRAAELSISYKSSEKRQKCHWDFVLEEMAWMANDFMQERLWKISAAAQVSRWASCGQEKFEQVNIWRKQKNVARSVAKAVMHFWNEAEVIHTGDMAPNAVHDKCESDRLRLSNVNGTEVERNQGRVSVLDYAVKFLKHNSSTASYAILAEAPTAPKRQNDATILKIPWEDPLSEESLFYTIPPGAMQAYRESMESQWLHCKKFGNTLHQDDCETSNNSVAGGTQDNIYDEDEGETGTYLLPGTFEGGLSSKLSHKKQKHMRQKSTVARLNESGTHLSHEPRLEIKSGNQPFILNGKRTSNTFSVGSIPTKRVKRATRQRVVSPYPCGVNGPLQVTTKTDVSSEDTSSFQDDQDSLHGGYMQRKNLGVGSTMDFEKQLQYDGNEISSTSKKKKKKPNNFGYKNSLNLTDPDLLVVPGKASIQGCSYEQRLHVDPVIQHEQKEHVKKRMESQNFDSNGGTVVYGQHAAKKPKLLKQPLEASLEALTPVAGSLPSPVDSQMSNMSNSNKLVKLIANRDRVRKSKALKMAAGQSGSGSLWSNFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKFLMDKSAGDGADSTEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDILKAHFEKIILLGQKLSSYSRQNDNQEQKPTTPAHSSHVVALSHVCPNNLNGGILTPLDFCESISSSPDAFPLGYQGPHAGSLGVGNHQGPVPPSLPTSGVSTMLQGSPGMGLTSSLPPSSAPLNSSYRDSQRYSVPRPSSSPVDDPQRMQQYSQMLSGRNPQQSSMSLSGSLSVGVDRSVRMLPGAGGMGMMPGVNRGIPLPRPSFQGISSPGMLNMVSTGNMLSSGGQGVQNSVNVHPSAICSPGNSMMRPRDPLQMLRPGQIAEEHRQMMMPELQLQVSQANGQSISPFSGMSASFSNVTLPASVPTFSIQQHQQSHQMVQQQHMLGNPHHHIQSTSHSSPQQQAYAMRVAKERQLQHRITPQSQHINGPNAVTPVQNNSQMQPQSQSCSPVTPVSSSQGQHKQQNLLRNPPSGISNQIMKQRQRQVQQHQPRQQQQQRQHTQQQAKLMKDLGRGNMLNHHNISADASQISGFSTASKNRVSDKHLMHQGQGVFPGSPCLNPSWHQSGSQTNIYTHPLPQSTKQSSSMSDTCNQGSAPSSPSHNILASQQASIPSSMPLPKQHQQPQQHYLNQSHQSIQRTALQQSRQINPSGRMQSSTDQSQINQIVPSASIPQCTDSGTSASAVSSSTLWNPEPLYDKNAPTTIAHVASSPQENLVGSEALVPSSGQGLVPPQQLSAGVSEHGHKSGGQWQHQQQQQPHHQQEQQHSQHQSQQMVQSDLYA >Ma08_p30070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41492281:41498128:-1 gene:Ma08_g30070 transcript:Ma08_t30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRATPKSSKPSSPPLILPSSHHGDHRRFAPAKSLDFSTWAFDNLYKILAVCFLAATVAALFFLRSAGDTAALLCFDKTRSASSSSSGGGIPYPRISWNSVPPIKPLDPSASPYASFRSDRWIVVSVSTYPTDSLRALARTKGWQLLAVGNSATPSDWSLKGAIFLSLDQQARLGFRTVDYLPYNSYVRKSIGYLYAIQHGAKVIFDADDRAEVVGGDLGKHFDLDLVGEAAAPKHPILLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLENVGEVEREAFYTEVYSGRQFIQQGLSNGLPDVDSVFYFTRKSSGLESFDIRFDDDAPKVALPQGMMVPVNSFNTIFHAQAFWGLMLPVSISSMASDVLRGYWAQRILWEIGGFAAVYPPTIQRVDKARLYPFSEEKDLHVNVGRLIKFLVSWRSKKQTLFEKILHLSYAMAEEGFWTEQDLQFTVAWLQDLLAIGYQQPRLMSLELDRPRAKIGHGDTQEFIPKKLPSVHLGVEEVGTVTFEIGNLMRWRKYFGNVVLIMYCSGPVDRTALEWRLLYGRIFKTVIILSEQRNPDLTVEYGQLAEAYKYLPKVFNKFQGAEGFLFLQDDMILNYWNLLQADKEKLWITNKVPESWVSVSTEGKTSDWHVNQGTLVNQIVDKFPVHFQTSYKQSTTEGRLIICGSEIFYVPQRFVGDFIDLVEIIGDQRIHHKVAVPMIFLSMDAPENFDSSALATVVYKAGLSANDSFASYYTANVPAIYPLKVHHEYDFVKLIRVMASGDPLLQELV >Ma08_p23980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37308384:37310380:-1 gene:Ma08_g23980 transcript:Ma08_t23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRSRIGYGKMEMAGDSEAEMESSNSSRNWTPCVVLACMIYLPLLVLLVGAYRKPSLEWLRSVGFTAETGLRSESSSSPVPEPVKDKLLGGLLAPGFDESSCLSRYQSVLYRKASPYTPTPYLVDRLRKYEALHKKCGPNTELYNKSIEQLKSGRSTGPGECNYVVWIPYNGFGNRVLTIVSAFLYALLNDKVLLVHVPEDLADLLCEPFPETSWTLPKDFPVEKLESFEVRTPQSYGNMLQSGVIKNDMRFAANLTLPAYVYLHLTHDYSALDKIFFCEDAQQMLRKLPWLLLKSDNYFVPSLFLVEEYEEELRRLFPERETVFHHLGRYLLHPTNVVWGYVTRYYQVYLAKAYEMVGIQIRVFQHAPVSFDTMLNQIINCSRKENLLPAVNLEEPGVPAKDAREKAVLVTTLYSGYFDKLRNMYYEHSTATGEVIGVYQPSHEEQQHTDHQNHNIKAWAEINLLSFSDVLVTTACSTFGYVAQGLGALKPWIIPRPADRNQACRRGTSMEPCFHSPPSYDCKAHKDVDKGAVVRHVRHCEDLDEGLKLFD >Ma10_p16370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28109207:28110851:-1 gene:Ma10_g16370 transcript:Ma10_t16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSSPVTASSCSRSWSVSEDSLRRYVTYASERCIQELLSASESSRAGGGDGWKVLAFQNGVEISKRSAGSLHVFRSRWLLRSVSPQQFIVVATAIDAAKQWDPDLVEAKHIKDLDDNLSIIGLRFGDSSKPLFKKREFIVYERRETLDDGTLVVAVASLPKEIAAGLQPKNNKAIRGLLLQSGWVVEKLEDDSCMVTYVVQLDPAGWLPKCFVNRLNTKLVMIIENLKKLAETSPMEKEM >Ma05_p01870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1142347:1146294:-1 gene:Ma05_g01870 transcript:Ma05_t01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFGWWDKDAHRGTPVIVKMENPNWSIAEISSPDDDDDEYGAGEEFAAARGGTQKGARGKNAKQITWVLLLKAHRAAGCLTSIASAAVGLASAVRRRVASGRTDSDAASSPPEESPVLRSRFYSCIKVFLWLSVVLLGFEVAAYIKGWHLSAAAMQRLVLPSSSGARGLLEWLYAGWVRFRVEYIAPPLQFLADACVVLFLIQSADRLILCLGCFWIRFKGIKPAPKRSIGASEDPESGGEDYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPTTQALIRDEVEKWQQNGARIMYRHRVIREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPMPDFLKRTVPHFKGNEDLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLKGWKFIYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKIGFWKKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPAWVVCYIPATMSFLNILPALRSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLVKKEPKQQRGASAPNLESMAKEEPRPRKESKKKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQID >Ma03_p06720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4620036:4620736:-1 gene:Ma03_g06720 transcript:Ma03_t06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVETGKAVRETRMENGGGDSTTLSTRTAAEVRAIRSHSEAERRRRQRINGHLATLRSLLPAATRLDKAALLGEVVRQVRELRGRVEEVAVVVPGEGDEVGVEEGEESGGRVVRAWVCCADRPGLMGELSRAVRSVRARAVRVEMVTVGGRTRSLLELEVGEAEKGDGRSALQAALWAVLLTNRAGPGENYCKRARMSTRFNRT >Ma07_p29080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34899289:34900397:1 gene:Ma07_g29080 transcript:Ma07_t29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATKSFEQAFLKQMLLGIQLSAVSHGSGSLQERKSAIKLSADVAMAVARGSKKWTRGLIASLSKEEQNRSFLQFVLGKEFERPAKICHSSWKIPRSKNIVRRSLRFLSKRKSNIRAPNSSVLAGTLVEKRTQVLKRLVPGGQSMDAFSLLDETLDYAACLRAQVDVMQKLMTTLEASKHRADSDAQRPKEKFHLLPMVGSWYSTRKGLRKRLSKRPKLTL >Ma07_p29080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34899289:34900435:1 gene:Ma07_g29080 transcript:Ma07_t29080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQATKSFEQAFLKQMLLGIQLSAVSHGSGSLQERKSAIKLSADVAMAVARGSKKWTRGLIASLSKEEQNRSFLQFVLGKEFERPAKICHSSWKIPRSKNIVRRSLRFLSKRKSNIRAPNSSVLAGTLVEKRTQVLKRLVPGGQSMDAFSLLDETLDYAACLRAQVDVMQKLMTTLEASKHSDAQRPKEKFHLLPMVGSWYSTRKGLRKRLSKRPKLTL >Ma09_p15180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10492223:10494696:1 gene:Ma09_g15180 transcript:Ma09_t15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSGGYMAKPSHHPSLLQLDKKLAEVSEGEEEEGNDGAYDTHGEIERKGDDDDDDPEVEVDGEFKPIEHPLEPPDDDRPAKYPLPFASVVNDEGELKESFSASLQTIAESSSSSSIGNEERVAEQTRPRTDRKRHPVRNHPPPPSPNYSIFQVFHQCKQFEA >Ma09_p30700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40697253:40697581:1 gene:Ma09_g30700 transcript:Ma09_t30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKARDCRWEATFRSVQKKSAGKLQDEAREAQRSPDGAPGNAGAASFGGERKRKGTVVVREKERAEMAMQLILWGPN >Ma03_p23600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28142863:28146766:1 gene:Ma03_g23600 transcript:Ma03_t23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMASSSSANSDLTRQSSICSLPVTDLQSSISGGGELTKNLGSMSMDDLFRNICGDNPVAFAGGAEGGVSVSRQGSFAFPKSVGEKSVDEVWREITAGRKADGGDGPGSEMTLEDFLARAGAVGEDDVGVPSGSSQVAFQPHPVVGDRLGQPQQLPVENPALGLGNGAEGVGKVGRGKKRSVLDPVDRAALQRQKRMIKNRESAARSRERKQAYIAELESLVAQLEEENAQLLRSQEQQQKMRVKQLLENIVPVTEMKKPRRILRRTCSMEW >Ma11_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27251115:27254882:-1 gene:Ma11_g24160 transcript:Ma11_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQNQEITPVDPANLPLKRKRGRPRKHDYDNPSYQQHQRLEAVPAQACPIADSVHHSQVIPTRANSAVTSSHGPNGLLGQAVCGTLDGTFDAGYLLTVRVANTGDVLKGLVFDPRLCIPVSTENDIAPLLAPVATPNGTSFSVDETLSETLVSVPVQAVPTSSAAPFKAVQACIPQTLPKSTLNDANEEPAPAASQQVVVDDAKAEDFLGLAAVNKETSQMILDVPSEDLSIKVIESLGVEQGMYQVKESSTSMVEASGANEKMHQTKESPERVEAHYVH >Ma11_p24160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27251115:27254883:-1 gene:Ma11_g24160 transcript:Ma11_t24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQEKSRDSMAQQNQEITPVDPANLPLKRKRGRPRKHDYDNPSYQQHQRLEAVPAQACPIADSVHHSQVIPTRANSAVTSSHGPNGLLGQAVCGTLDGTFDAGYLLTVRVANTGDVLKGLVFDPRLCIPVSTENDIAPLLAPVATPNGTSFSVDETLSETLVSVPVQAVPTSSAAPFKAVQACIPQTLPKSTLNDANEEPAPAASQQVVVDDAKAEDFLGLAAVNKETSQMILDVPSEDLSIKVIESLGVEQGMYQVKESSTSMVEASGANEKMHQTKESPERVEAHYVH >Ma10_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29844738:29846553:1 gene:Ma10_g19210 transcript:Ma10_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSALQNSRSRIYQEFVTSSAPLPLPPPPSRLPLPPQHHPTLLPALPIALASLLTAAVIIVLITIFIYRCRRRRGRLAAASTTEDPGPFENGGGEVDHHVWYIRTTGLDESTIGAITAWAYKAADGVLGDSPTDCAVCLSEFCDGELLRLLPKCGHAFHVSCIDTWLRSHVNCPLCRAPIVAPTSAPSGADPGTISPTFSSALSSPDFSLDGMDPNSIPSAVLDSNQIDGRQLGSGQGVLQVETEGEDLEIGAGVPIVTDHIPNSELRVPSNIGEQGFEPIRRSFSMNSFTHAPSLHRSELVEGLTGNTKEPSLREEWDGRTTRKQGTASSGTTTLRKETERSSSSASGGFFLLRHVRARSSVLPL >Ma04_p37110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35159449:35160573:-1 gene:Ma04_g37110 transcript:Ma04_t37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPPPNEQLAEEDYIDMDFSSVTFFCASPPHPTEFEFQMSANPQQSEPVASPADELFFQGKLLPLHHPPRLHMGEELVHDPTFFSRERGSDQIEKSTAVIRTRSVATSTPYQSCNASPATSCYVSGELEADDCSEELIQSHPKRSWTRKLKFIKEATLGLKLKASKAYFKSFFTKSRCSNEKFAASKDEHCSHARQKEAERSKEEEKTKEAVIAGHRRSFSGANHWQSSVKSSISSSSCTSSKSSSFSSVNSKESSGQPTLKRSSSVNSDIESSIQGAIAYCKKSHQKDSARRSTSEIGFCLLSVSKIAPHSQHEKPRIWGRVM >Ma04_p38490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36010797:36011278:1 gene:Ma04_g38490 transcript:Ma04_t38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRMSRVADCSQCPAMRGWEKGRRPAAAAAQGHVPLHVGEEMERFEVRTELLARPAFLELLRRSAQEYGYGQRGVLRIPCPVPFFRRLLAACGSRVEEEGLLRSFDELFLSSSDPN >Ma07_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15354728:15359597:-1 gene:Ma07_g16710 transcript:Ma07_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFRSTSGRSSIGSRGGGGAARDAGSSTRGGQPHRRSRSLSRHSSRFPPPPPEPDDFPTPRGRFVNKLRGAGFPEISLDDIADEFFRARAESDEDSEPSAARSRPLSSVSSYRMETESSRRRGRSVSRPPDRHAAPTKGVSDSVLRRRRSVSVDRHRCSNSENDVDSHSASTQVKSRIYGNGKLQEPSSHRSVKNGDFLKRSGSQKDFFHSHDSYSSHSSSLTDDEARDFCSRRCGVEKTNQAVYAPEKGGNPIGDEEDFGLYEVMRKEVRHAVKEIRTELEKVMVKNEPSTIVSGDGVQPKSSEVLQAIAEIRRNYTTELEQSEKRKQDLLAELALEEERGQELSKIVKELLPSPKNSAVPERQSRSRRRNHDRTRMSKHLTEEAEKYFEDFLFNVEDTDISSFDGERSDTSSNIRDPGLHNSAAETHESVPGAAAGPVDKDGVLLPWLKWETSSGPSPCKSKAGFPVSSGNNLSAAALPKQEASTDFNSCNQIASSYGSWSPEGTESSSIVSRDRSISKFGVGNHVSMSSDGRTTRSSFYMDEYVNLKQYEDLLFERLEQRQRIESGSMILCGRLLT >Ma08_p27210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39524965:39526810:-1 gene:Ma08_g27210 transcript:Ma08_t27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSPEIDACDTGLALGLGIGRRSTPSSANSRRLQEACSPLRSSMPQKQPSLTLSLSDDVDGSATLLRAEANKPSEEGRQARPSSPHSTVSSFSAAYGPTIKKEKDVTEADEEAEVERVDSRGGTCDDDNDGSARKKLRLTKEQSALLEDRFKEHSTLNPKQKHALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTDENRRLQKELQELKALKFAPSVYMQFPAAGLTMCPSCKTIGAAADVGPKGGRAESFVVAPPKPHFFNPFIHSAKC >Ma04_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6751999:6759485:1 gene:Ma04_g09540 transcript:Ma04_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQPSLPPPMLQPQPPVTKHSRTHLSDLKSQIAKRLGHERANNYFSYLNGLLSQRLSNREFNKLCILTLGHENLPLHNQLIRSILQNACQEKAPPVNYGKFAQRSTVIMSKTSPQVDDGLDFSQARTQSGNWSNGDILPRSPCKFKSGIDNHSIYDHPGPLRPNGKVEVGFRENGVMNSCNLKRPLQRQQDGPCKLPTKRTRIEEPSLHDQGSVHNKVLSEAVLLEHVEDVDCRGSLDSHRHPLRAPLGIPFSLTSIGKARRTLLSAGTSINDGFCRNYDCSELYHTEVLKKRMDKMAQAQGLEGVTMDCANLLNNGLDAYLKRLIKSCIDLVGTGTEHVSTKRAVPSLLPYAKPINGVWARSNIQRQGSVGPLIGTHMLHLKDFRVAMELNPQQLGGDWSLLLEKICVDSFEE >Ma04_p09540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6751999:6759485:1 gene:Ma04_g09540 transcript:Ma04_t09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQPSLPPPMLQPQPPVTKHSRTHLSDLKSQIAKRLGHERANNYFSYLNGLLSQRLSNREFNKLCILTLGHENLPLHNQLIRSILQNACQEKAPPVNYGKFAQRSTVIMSKTSPQVDDGLDFSQARTQSGNWSNGDILPRSPCKFKSGIDNHSIYDHPGPLRPNGKVEVGFRENGVMNSCNLKRPLQRQQDGPCKLPTKRTRIEEPSLHDQGSVHNKVLSEAVLLEHVEDVDCRGSLDSHRHPLRAPLGIPFSLTSIGKARRTLLSAGTSINDGFCRNYDCSELYHTEVLKKRMDKMAQAQGLEGVTMDCANLLNNGLDAYLKRLIKSCIDLVGTGTEHVSTKRAVPSLLPYAKPINGVWARSNIQRQGSVGPLIGTHMLHLKDFRVAMELNPQQLGGDWSLLLEKICVDSFEE >Ma09_p13370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9017421:9018665:1 gene:Ma09_g13370 transcript:Ma09_t13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLSNVLRYHRGEQQEKVEEEGKAVGKSNGFRLGSREEADASSDSSSIGAASTLSSDRNGSDHGGEEDEEEVESKRKDGALGSLDSLEDSLPIKRGLSNFFSGKSKSFASLSDVVNASTNDIVKPENPFNKRRRVLMMSKMRRASCSSLVCPPLPPLSAPAHTVAEAEEDEEEEEDEEKEKHGGRSPPSMGPFPRHGNSSSMRRNKAFRSPRSFSLSDLQHV >Ma09_p02520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1769974:1783583:-1 gene:Ma09_g02520 transcript:Ma09_t02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWSGGGGGGEVVGSIYSVLALVLILVACVELGDAATAVDVYRLIQYDLSGAPYGSRLAGLNHHAGAAPFAPGADLSRTVVILPVRELNLTFLQEYITSKQPLGGLLLLLPQDIRHKSGGGNKSRNVGLLRSILSELEQLLIHVNLPYPVYFAYEDDKINAVLADVRRGDATGQPATATTGGYKLVVSTPEPRKASSPTITNIQGWLPGLVGDGTTQLPTIAVVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSRLYSSPKTRGRYNLLFGLTSGGPYNYNGTMKWLRSFDQRLRESIEYAICLNSVGSWNNELWLHVSKPPENVYIKQVYESFSEVAKELGVTVGIKHKKINISNPRVAWEHEQFSRVRVTAVTLSELSVAPELLQSTGGLYDTRHIVDDTAIHRSVKLVAESLARHIYGYEGKNIQIFADNSSLAVNPSYVKSWLDLLSRTPRVAPFLSKNDPIILALKKELSDHTDEVHLQHESIDGMFSFYDSTRATLNIYQVASVTFDLLLLLALGSYLILLFSFLVITTRGLDDLINMFRRPPSRKAKAA >Ma04_p29530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30447944:30453531:1 gene:Ma04_g29530 transcript:Ma04_t29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSFVTSLGTSLVIFALLMLAFTWLQRKPGNAVIYYPGRILRGLDPLEGRTRTRNPLAWIKEAVGASEADVVAAAGVDAAVYLVFLSSVLAILVFSGIILLPVLLPVAATDNGAQSTTDSNSGGTFKNLDKLAMGNVQEKSPRLWAFLLGVHWVSFVTYYVLWKSYKHVLNMRAGAKSSPEVKPEEFTVLVRDIPAAPPGQSMKDHVDSYFQALHPETFYRSMIVTDNKKANKIWEELEGYRKKLARAEVVYAESKTTGKPEGERPTNRIGFLGLVGEKVDTIDHCNEKINELLPKLEAEQEITLREKQKAAALVFFNSKPAAISAAQTIHSQKIDTWTVMEAPEPRQVLWTNLPRKFYERQIRSCVVYGIVFLTVFFYMIPITFVSAFTTLKNLKKYLPFLKKIVDQPEIKTVLEAFLPQIALLVFMALLPTFLMFLSKEEGIPSESHAVRAASGKYFYFVVFNVFLGVTIGGALFDSLKTIINHPTQVITLLGSSLPKSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKKRFLCKTEAEVKEAWAPGDFGYATRVPSDMLIITIVLCYSVIAPIIIPFGVVYFGLGWLVARNQALKVYVPNYESNGRMWPHLHARIIASLILYQITMFGYIGILRKFYYAPFLIPVIVISFVFAYVCKKHFYLAFFHTPLEVACRGTKEIPNMESIYTAYIPPCLGTEKLEDDDQFEDARSFSLKTAP >Ma09_p29560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39987389:39996458:1 gene:Ma09_g29560 transcript:Ma09_t29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLDNALLSLVGLLLPLRLLPLGYRLLLRGRGRWRGLGRSGSARSIVLLASSAVLLTAILGISDTSPSGSGALISEMEDLRLKTARLESILEESMETINSKEVHLEEKYKLIGEMEEKIQLLQNALHEIKGSVSDLLKAEDRISALEEEVQLLLEQSMKNENNIQTLESSADDASQKIEVTDYEIKKMDSIVTEQWIQIRQLEQAFQLTKMMTSKVLRRSLQKDMNRSRRPSKFAMSKVVRFIRGICRFHLSEVNLPDSFFLRASFSKSSISQAYNQLKLLMSVAQEFHYELQGFIKHEMKMHELTAGLANNLVIFILASTLMIAPIIFAWNVSSSCFSLLKR >Ma09_p29040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39628559:39631310:-1 gene:Ma09_g29040 transcript:Ma09_t29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAENLLKSGEVSAMIRQGFISSPRLSPTSSPPPPATATAVHPSPPRPSVPSPKSPTLFEMITHEDLARRVSSRTHPSGDQKRLRLLERIAAMIAKEGPGDVELSVSSGDGFRVPMAVHRRVLAAGSRFFAEKLAGIGGGPGRPVMVEICDCDDAEVYVEVVGLMYSTDLRRSLAGEDVERVLGLLKVSVDIKFDDGISACLDHLEAIPWSEDEEEKVMSTLSKLPIRQLHESIERVLQRVSVEPSTSANADSIVVNVLHGVLQAKDKKSRRDMKALIARLLRGDLNRSDIRYKNLEVPRETLYQLCHKHMDCLMLLLSEAANIVEGQGDRAALMGEVAREADNVQWLVDILIDKRIADEFVSLWANQSGLATCHSKIPCIYRFEISMITAQLCVAIGKGQILVSKDAKVSLLRTWLEALFEDFGWMKRTSRTFNKKMVEDGLSATILTLPMAEQQTILLRWFDCFLNKGDDCPNIQRAFEIWWRRAFIRQYAGEHDHSHLQMVDSDNHI >Ma04_p31780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31984894:32011410:1 gene:Ma04_g31780 transcript:Ma04_t31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MEAAPPARLRDPSSFGRSSSASGRSSHDLDSPSFSSAGAGAVSHSLASILNNPHAGRSDVSWTLWWPSASAAAPDLAAPIVPSVSFPEVSRADFLPYLASVSDAYSRFEDILNHRSKESAAAAASGDGEIRGQGEALVACLREVPSLFFKEDFALEEGATFKAACPFSPSAEENTALQERLTQYLDVVEMHLVREIALRSDSFYEAQGQLQGLNGQIVEACVRIRELKETIRILTGDLVGSARKVQELNATRGNLVALQQKLTVILYVSQALGALKLLVAAADCAGALDVIDDLQQLLETDELVGLHCFRHLREQLSVGLDSINSILSAEFLRAAIRDAKGVDSMILSKLRTRASDLMNGVDDEVKLDDDESSNLQDRLLPLIIGLLRTAKLPAVLRLYRDTLITEMKAAIKATVAALLPVLLSRPLDSDLITGDRVGDSDGGGLSLASKLRSLSSESFVHLLNAIFKVVQAHLMRAAEVKRVIEWIMGNLDGCYVADSVAAAVAHGAAVAAAAEGVQENNGHIISHVSHSLSRNPPKISTIQGKVNDVSSPSTSKNFRADVLRENTEAVFSACDAAHGRWAKLLGVRALLHPKLRLQEFLSIYDITQDFIAATEKIGGRLGYSIRGTLQSQSKAFVDFQHDSRMTKIKAVLDQETWVAVDVPDEFQAIVLSLSSGDALLSNSDLASSNPDSGTVEAGFPASQEHISESDSGQTVDRDNQAKPIPSAGSNQETNAASATSKRNSDANTNEHGRASSQTLVYRGVGYHMVNCGLILLKMLSEYVDISKFLPALSSEVVHRVVEMLKLFNMRTCQLVLGAGAMQVSGLKSITSKHLALASQIVSFVYAIIPEIQRVLFLKVPETRKALLTLEMDRVAQDYKIHRDEIHMKLIQIMKERLLANLRKLPQIVESWNAPEDNDSQPSQFARSITKEVTYLHRILSQILLEADVQAIFRQVVHIFHSHISEAFSKLELNTPQAKNRLCRDVQHILGCIRKLPSDNSSKESVPNYGLLDEFLEEKYGTKVG >Ma00_p01940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13546901:13550556:-1 gene:Ma00_g01940 transcript:Ma00_t01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELPSDPSHLGDAQGLMASQLGGNQLTLSFQGEVFVFDSVFPEKVQAVLLLLGGRAGSHNTDMKVEKFVVFLKVLGGQGTKMPETVGAYLGTHLSEARHSRILNFKKYILLLCSSSVFKREMQRNRGSIYIVQNKN >Ma04_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5995776:5999584:-1 gene:Ma04_g08360 transcript:Ma04_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFRFAGDMSHLFSVLLLLLKIYATKSCSGISLRTQELYALVFLTRYLDLFTYVVSVYNTLMKIVFISSSIAIVWCMRFHPVVRRTYDKEQDTFRHYLLVGVSLVLALLFHERFTIREVFWVFSIFLEAVAILPQLILLQRSRNVDNLTSQYVLFLGAYRAFYILNWIYRYVTEDDYSAWIAWIAGVVQTGLYADFFYYYFISWKNNAKLQLPA >Ma03_p28380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31498957:31500877:-1 gene:Ma03_g28380 transcript:Ma03_t28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVSMQCLGLAMGVLLLFLLSGAVCIFWLLPAVKWRRLRKSGLAGPPPLFPLGNLVEMSKKGGETSSPGSSSIAHDIHSRVLPYFSRWREAYGKVFVYWLGTEPFLYVADPEFLKRVTSGAMDKKWGKPDVFKHDRKPMFGKGLVMVDGDEWTHHRHIITPAFSMTNLKAMVSVMEETTKKMLTEWSERLARGQREIDVEKDVTKNAAEIIAKTSFGIGEGNGEKVFGKLQLMQKMLFQTNRPVGVPFGNLMFAKRSYGAWKLGKEIDQLLYAVISSRKEEEGDGKTTPKQDLLGLLLAGNRENAQGGGRKLTARELVDECKTFFFGGHETTAIALSWALFLLALHPEWQSALREEVVQVSGGRPLDSTMLSKLTKMGWVWNEVLRLYPPSPNAQRQAKDDIKVGDTMVIPKGTNMWIDLVGMHHDPALWGDDVNEFNPERFREDLHGGCRHRMGFLPFGLGERICVGRNLTAMEFKIVLSLILRSFSWSLSPAYAHSPKIMLTLRPSHGVHLILHPFP >Ma11_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23571300:23573633:-1 gene:Ma11_g18530 transcript:Ma11_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAGGIYDSASQNSSKVEELARFAIDEHNKKANATLELVGVVKVKEQVVAGQMYYLTLEAKESNGEKKLYEAKIWVKPWMDFKELQEFKELDDSLAA >Ma07_p28410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34466267:34468002:1 gene:Ma07_g28410 transcript:Ma07_t28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDVRTQLGNIVFSFIPVGRLECSIIHFYDKYKSIAFICF >Ma03_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2788678:2792252:1 gene:Ma03_g04240 transcript:Ma03_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRELHVLSTLLLLLVQPLVVFSSTPPFACDPINPSTPYYAFCKTTLPIDKRVSDLVSRLNLEEKIPQLGDVAPAIPRLGVPSYKWWSEALHGLSLWGRGIHFNGTIRSATSFPQVILTAASFNPRLWYRIGQAIGMEARAIYNMGQAEGLTFWSPNVNIFRDPRWGRGQETPGEDPMTASKYAVYFVRGLQGDSFRGRRSGQLKASACCKHYTAYDMDNWKGNSRYTFDARVTAQDMEDTYQPPFRSCIKQGRATCVMCSYNRVNGVPTCADYNLLSKTARGLWGFNGYIASDCDAVALIYGADGYAKSAEDSVADVLKAGMDLNCGDYVQKYAGSAVKEGKLSESEINRALHNLFSLRMRLGLFNGSPKQQASGAIFANQICSKEHQDLALEAAQDGIVLLKNTANLLPLARSRVTSLGVIGPNANVGYKLLGNYGGPPCKGITPLGALQTYVGSTRYVAGCDSAACTSSSINDAVQLAKSVDYVIMFMGLDQDQEREDLDRVDLVLPGMQQALISNVAGAAKRPIILVLLSGGPVDITFAKLDGRIGGILWAGYPGEAGGLAIARIIFGEHNPGGRLPVTWYPQEFTRVPMIDMRMRADPASGYPGRTYRFYTGKPVYRFGHGLSYTTYSYEFEAEAVTSIYLNNSQPLQATANSDIPSYNIESIGSDACGKLKLSAAVSVKNHGPIAGKHPVLLFSRWPSLQHGRAVKQLIGFKSVHLEAGESTKVVFSLWPCQQLSRAMGDGTRVLDRGSHFLVVGEKEHEINIVF >Ma07_p00120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:154436:156611:1 gene:Ma07_g00120 transcript:Ma07_t00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKLVLPPAVVPEEHRQALHFIEDVTANADLVQRRVLVEILSQNAAADYLHRHGLSGRAVPDPDAFKRLVPVVTYEDLQPDILRIAHGDTSPILSGRPISEFLTSSGTSGGERKLMPTIDDELDRRSLLYSLLMPVMSQFVPGLDKGKGMYLLFVKSEARTPGGLVARPVLTSYYKSRHFLSRPFDPYNVYTSPNEAILCSDSYQSMYSQLLCGLIQRTQVVRVGAVFASGFIRAIRFLEKHWPSLCRDIRTGELDPDITDRAVREAVACVLRPDPELALQIETECRKGSWQGIISRLWPNTKYVDVIVTGAMAQYIPTLDFYSDCLPLTCTMYASSECYFGLNLDPTCTPSDVSYTLIPTMAYFEFLPIQKCSDNGGVELDHHDLVDLVDVKLGQEYELVVTTYAGLYRYRIGDVLRVAGFKNKAPQFNFIRRKNVALSIDSDKTDEVELHDAVGNAVNNLEPFGTSLVEYTSYADTSSIPGHYVLFWELRAGGTPVPPSVFEDCGLTVEESLNSVYRQGRVCDKSIGPLEIRVVAEGTFDKLMDYALSQGASINQYKAPRCVRAGPVVDLLDGRVKSRFFSPKCPKWRPGHKQWNMDDTGI >Ma06_p08820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6194493:6197021:-1 gene:Ma06_g08820 transcript:Ma06_t08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKPYVAAVLIQIAYAGFYVISKAAFDKGMSTYVFIFYRQAAASVLLAPIAVIFERKSSHPLTFKISLKLFLLALLGITWSLNVYNIGLKYTSASVASAATNSIPVFTFFLAVLLRMESIKMRSLSGICKAVGVTVCLAGVVTIALYRGPYIHPFNLLPHHGHSTSNQDHALAHSKATWIKGSFFMIIANLTWSLWLVLQGIVLKEYPSKLLFTTLQSLFSTFQSLFVAMAFERDSTKWKLHLDMGLLAILYCGLVITGVSFYLQSWCIEKKGPVFAAIFTPLALVFTMLCSTIFLGEMIYLGSIIGGILMVGGLYCVLWGKSKESMTCEVSIEDGKPPVQEKEST >Ma11_p04730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3679690:3681220:1 gene:Ma11_g04730 transcript:Ma11_t04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTRCAACRYLRRRCCEDCALAPYFPSANPHRFACVHRIFGASNVARMLQQIPVEHRRQAADAIAMEAYWRVQDPVYGSVGFISMLQREISVVQRELAETQAQITMYASQAQSQPNQIAAAQCLVEDGHLVPSQPPFPGLYQRDDISQETLRRHF >Ma05_p28290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39341819:39350007:1 gene:Ma05_g28290 transcript:Ma05_t28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAASGGSWVESDSGMSSDNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGAGGYSYLYEPLWWAGMIAMVVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHIFGIFGCILCVVGSTTIVLHAPQEREIESVTEVWDLATEPAFLLYATTVLVAAFMLIFHFVPRYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLVYSQTWVFMIIVIACIITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQDPTQIVTEMGGFITILSGTFLLHETKDMADGLPPSTSGRLQKHADEDGYLPEGTPLRPQESFRLP >Ma03_p32270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34149538:34153702:-1 gene:Ma03_g32270 transcript:Ma03_t32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71420 [Source:Projected from Arabidopsis thaliana (AT1G71420) UniProtKB/Swiss-Prot;Acc:Q9C9H9] MAAAVATTTLSDATPPPCLSPPDEYSLRILRLCASDHLPHIHSALALPSAVHPSAFAALFHACARRRLLYLGRCLHRCMLSLLPWPPDLFVANHLLNMYAKCGRLDLARRVFKEMPRRNLVSWTALLSGFAQHGHHDDCFGLLAGMLPHHLPNEFALATALSSCAGAARGFRGRQVHALASKIYLDANVFVGNALITMYSSCYGQCDDSWAVFRTMPFQNLVTWNSMIAGFRQNGQLDRSLELFVEMQRGGLGFDRATLVSVISSCSCLQHCRQLHSPVIKTCYDYEVEVATALVKAYSIVGGGTDDCYGVFGGVREHDIVSWTGIMTSFAEQLPEEAIRLFCQLRYKNYSPDRYTFSIVVKACSGFATERHCSAVHSLIEKSGFGDDLVLSNALIHAYARCGSIVMAEHIFERMVVHDVVSWNSMIKAYAAHGRGRKALGVFRCMDIPPDSATFVGLLTACSHSGLVNEGRDLFNSMLKAYGIAPQLDHFACMVDILGRAGNLQEAEDLINQMEVEPDFVVWSALLGACRKHKEARIAEKAAQRLMELKPKNSIGYVMMSNIHYDRGNLEDASFIRKDMKECGVKKEPGLSWIEIENHIHRFSVGARHHPQRKAIYAELNRLVDSLKEIGYVVETRLVHHETQEHKEERLLHHSEKLALAFALMNTSASKTNLKIMKNLRICEDCHKFFKLASSYLQKEIIVRDANRFHHFVDGECSCGDFW >Ma00_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3022515:3023122:1 gene:Ma00_g00790 transcript:Ma00_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIMTIKAKIIMRVSVKHDRQNAISTILEKK >Ma10_p26450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34126272:34136404:1 gene:Ma10_g26450 transcript:Ma10_t26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MGFVDSSASLLATCGGDTVKLFDVTVDAGDPCTLSYAPSPGSLVNSVKWNHTNLVVASAGDDKKITLWNKNGQSMGSIPPSGNDLADDIEESINSISFSNKSSRYICSGGSGHIVKIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISLKGDLILHNLASGARAAELKDPNGQVLRVLDYSRCSRHVLSTAGDDGSVHLWDTTGRSPKVSWPKQHSAPTTGICFLPSSDKIIATVGLDKKLYMFDSGTKRPTSCMPFEAPFSAVAFNDDGNILAAGTNNGRVVFYDVRGKPQPFTVLRAYNSSEAVINLCWQRSKPVIVNEKNCTVEIALLGGTSEDSVLMPDPLPSVAVSKFSSITVMPSLRSTSTSVSGSTSTTSNLSTAEETPYRSRLWTGGTLSKLQAPRSYDLKDDMDVFSPLVDVQPITPSLGSWWDDHDEVKKDKEAIDKKSALFSSSTRRFPFPEGNTDSHPISDWRSTATSRQDDNSVPSLVGTNATNSKSELSLPPPPGIVLPEKSTNHRQPNSLSRFLPSASLGSGPVSAGMLDPSSTVTLSKNSSMNSTIASLNLQNKVILNDPNSSPFLESSSVYHPTSIFMSSGTKTSSSNLDLQGTVLSAIPRRILPSSDRISTNSAFIEGVTSAISSPKSKKTGQETREELMSSLLSRQDASTVSSGSLPASNVMLPQPLWSSGQPADQQLGTSSFSLQLVQRTLEETLESVQKSIHEDVRNLHIELLRQFHMQEMEMSGLLKSILEKQDELMKEVQSLRRENQQLRQLL >Ma01_p00720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:534568:535952:-1 gene:Ma01_g00720 transcript:Ma01_t00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAWLDHPSVDLDLTIGSIRFGSEPPAKAKHADEKFSHGEDQKEVTALEAELGRVNEENMRLKEMLASMAAKYSSLRNQMTGPVSDNTSSEGGSVSLGTKRKRDTLDSATTGHDDATEIGKRDHMESTTSDDSCKRIREELKPKVSKLYVRTDPSDTSLVVKDGYQWRKYGQKVTRDNPCPRAYFRCSFAPSCPVKKKVQRSAEDTSMLVATYEGEHNHGQPSQPAGPHGSIRSSVVSGHLSPAPSKPRQEVESPELRRSLVEQMAVSLTNDPAFKAALAAAISGRMFQSCS >Ma06_p38390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37158923:37162740:1 gene:Ma06_g38390 transcript:Ma06_t38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRRMLLGFVLALFTGIGIYLRIWSIDGDDFSAGDDRDALRREFEHANMEAMDESAEWRMRYDVEVDRSRQIQDELLKVKASLAGASRRFSMLQKENMKLQKQFESLKQIKTKGWECKCN >Ma06_p38390.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37158821:37162740:1 gene:Ma06_g38390 transcript:Ma06_t38390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCARSMRTSASLSPFATHDAIFTANPDGYRRVESNKDGLSSERVQTGGGFVVSARLDPMVLRRRMLLGFVLALFTGIGIYLRIWSIDGDDFSAGDDRDALRREFEHANMEAMDESAEWRMRYDVEVDRSRQIQDELLKVKASLAGASRRFSMLQKENMKLQKQFESLKQIKTKGWECKCN >Ma06_p38390.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37158821:37162740:1 gene:Ma06_g38390 transcript:Ma06_t38390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLLQTLTDTVASSQTKMACHPSGCKRAVGSCARLDPMVLRRRMLLGFVLALFTGIGIYLRIWSIDGDDFSAGDDRDALRREFEHANMEAMDESAEWRMRYDVEVDRSRQIQDELLKVKASLAGASRRFSMLQKENMKLQKQFESLKQIKTKGWECKCN >Ma08_p34090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44161110:44161295:1 gene:Ma08_g34090 transcript:Ma08_t34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRGLFGVQKEYLIDMEVKCSLQAISYLSKIILSYTGIPTQMLSIGLLSKERITLMLMI >Ma11_p14550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20281056:20283210:-1 gene:Ma11_g14550 transcript:Ma11_t14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKIAHTTLKGPSVIKEIVIGLALGLFAGGLWKMHHWNEQRRTRAFYDMLEKGEISVVVEEE >Ma11_p14550.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20281070:20283246:-1 gene:Ma11_g14550 transcript:Ma11_t14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKIAHTTLKGPSVIKEIVIGLALGLFAGGLWKMHHWNEQRRTRAFYDMLEKGEISVVVEEE >Ma06_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22795776:22798446:-1 gene:Ma06_g24310 transcript:Ma06_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRSSIKIFPCTIYSNRTATPLRLNSPTCSILRPLGIRINWGTFCTECGKRWASCVVCCGVPIPLGGATWIVVLLGFLYTVWHTFKQLLSAEPRGAPSFDLP >Ma03_p31420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33641078:33644657:-1 gene:Ma03_g31420 transcript:Ma03_t31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGKEVAAPLLSSSGARDSDDESERAYESDEKVEISTSDDEFSPAAGALDGPPRPFSWRKLWRFMGPGFLMCIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAMGLLIQLLSARLGVATGRHLAELCREEYPQWARLALWLMAETALIGADIQEVIGSSIAIKTLSGGAIPLWVGVVITALDCFIFLFLENYGVRKLEAFFGFLIATMAVSFAVMFGQTKPDVIELLIGVVVPKLSSRTIRQAVGVVGCVIMPYNVFLHSALVQSRKVDTRKESRIREAINYYSIECTLALVLSFLINVCVTTVFAKGFYGSEVARNIGLENAGNFLQEKYGGKIFPILYIWGIGLLASGQSSTIAGTYAGQFIMGGFLNLRLKKWVRALITRSCAIVPTIVVALFFDTGEATMDILNEWLNVLQAIQIPFALIPLLTLVSKEQVMGTFRIGRVTEVVTWVVVAFLISINGYLLLNFLSAEVNGSLMTSILCAALAIYVSFVIYLILQGSSLHSRLALAVRKSFSTGNSMV >Ma01_p04590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3115945:3117271:-1 gene:Ma01_g04590 transcript:Ma01_t04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIESTLPPGFRFHPSDQELVCHYLRKKVANERVSGDTMVEVDLHTREPWELPEVAKLSANEWYFFSFRDRKYTTGSRTNRATKSGYWKATGKDRTVYEPTTHAMVGMRKTLVFYRGRAPNGIKTEWVMHEFRLESPCTPPKEDWVLCRVFHKKKEDTMRCSMENEQENNHNVGCFSSYMESSHPQNEQQMEDGCYEQITSSFAFVDPQQDGNSLDTLLNLAAYHYNLLGFPQDMYNTRIIEVGSGVGGDDYGMVVETGLEEQRMLGGGMANLGEGTSFQGGKDQLFF >Ma11_p18160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23288293:23292856:-1 gene:Ma11_g18160 transcript:Ma11_t18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSQPREAWKCKALQWPGQYKDKDDGVAAKTTRLGGRRSKDDGDPANEYDKCDKQKSKLCLIGRRAAESYLPPSVIQREYKYSNHGGAIPVFGKRHQAGNGGRLECPAVLEFCYPLGLCHDSHYAGDRGFMIAAVIGRLDVLLKRELFGPQFQVAERRMVSCSTSDGEQESSSDPNVPELRDYRIQIPSLQKTLLEPRSGRSRLLDLLKKLNFSSPLRILRRTLNQREEISLSVPSPVGIQRRFHVQFFGRINWSSFFGTCRAWLKNPMNIALLIWLICVAVSATMLGLLLLGLLNNAFPTKSSRNHWIEINNQVLNALFTLMSIYQHPRLFHHLVMLCRWNSEDIVELRKIYCKNGAYRPHEWAHMMVALLLLHITCFAQYTLCGLYWGYARKNRPEFLEDFFFALGLAAPVLAALYTLYSPLGREYSSNSDEESQSQIPKACDEQRRKHGPRTAASKPEWAGGLLDVRDDITVCYLSFFCTCCVFGWNMERLGFGNMYVHIFTFLLLCVAPFWIFNISALNIHNYVIGDVIGIAGVVLCAFGLLYGGFWRIQMRKRFKLPGDTCCLGSASLTDYGKWLFCWACSLAQEVRTGNLYDVEDDSLSRRVMQSGDGEGESAVDPRPCQDDSGTAMTTSETQHREGENDGAAAEDAALVLSDVMNPPIPPLIQLREVVCDDDSIHPPPST >Ma01_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:21575691:21576729:-1 gene:Ma01_g21650 transcript:Ma01_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLILVNPTEEAAAAATSTISSTFAHPNKSSSKNRPVLCRLWCMLSPNKQHDKGAAAEAEIYEPPRHTLTLSERARPPPELERVFNYLDENGDGKISPAELQKCMRAAGEEISPEDARSAVESSDSDGDGLLGMEDFVKLVEPEGEEEKGRNLRDAFGMYATEGQGCITPSSLRRMLKRLGESRSVEECARMIQTFDLNNDGVLSFDEFKIMML >Ma04_p25650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27365241:27368813:-1 gene:Ma04_g25650 transcript:Ma04_t25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGMPFAVQEKGAPLQVLEGEEGLFWCASATAAANNSLRKRREGEEGSPLEPRSVLENLRSPSPPSSSASTVSSSRVVSGGGPASSDGSATATATHTPTTSAAAEAARRDEGAADLPPVPTVLAAGEDCSLGGVDDWEALLSEPAASIGQDQTFLRWIIDEADNNSAARGNGTGLLFDPAFVLEGASASAETASPPPMASSVLSAGVLGSKGATFGCTPSLAPRPANSLPLSLPPLPPGVISQESTEEKPLFGSSLFFAHQQQAQPPQRSSFFLPLNHFAGYHEGQPLEHLAPPPRKRPAIDPLPSSHVPDLFLRRNQPQQLGLPQQFNTAAFQLQPAPGKPKLANGDEASVAARQQRELVDQLFKAAEVLEAGNTVSARGILARLNHQFPSPVGKPFLRSAFYFKEALHLLASHSPHPHPPPSLPISTPLDVMLKLGAYKTFSDVSPIVQFASFTCIQPLLEALDGASRIHIIDFDIGVGMQWSAFMQELAQRWSSSMATAPFLKITAFASAYSHHSLELNLIHQNLSHFASSLNIPFEFNVLSLDPFDPTVLFRMCSAMDEAVAVNLPIGSAIRPPIPTLLRFVKQLSPKIVVSVDYGCDRIDLPFAHHILHAFQSCTVLLDSIDAAGANQDAANKIERFLVRPRIESAVLGRHHLSDKTLPWRTLFASAGFMHVQFSNFTETQAECLLKRVLVRGFHVEKRQSSLSLCWQRGELVSVSAWKC >Ma04_p32210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32298279:32298972:1 gene:Ma04_g32210 transcript:Ma04_t32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGAYAASLAAASSAALTALNNSDHGASSSPSSVKEGSGSGSGSSIDKDATGSVVEDKFAPRFDGLRFIETLVTAHR >Ma11_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24714739:24715667:-1 gene:Ma11_g20140 transcript:Ma11_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCEVCTAEAASVFCCADEAALCDSCDRRVHGANRLAGKHRRFSLLHPSSSAQPPPLCDVCKEKTGFLFCQEDRAILCRDCDLPIHSASALTMKHSRFLLTGIRLSAAPKLDAEAVTSMHGHCDIKTDSSGSGGGGGSMVATTNSSSISEYLMNMLPGWHVDDFLMDDVTAQAFCKTEEEEEEEVNPFNSVLNGYEAPCWVAGGEGTGVEEVEMQASAGVKRPRISIRYL >Ma05_p02210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1406267:1406350:1 gene:Ma05_g02210 transcript:Ma05_t02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCILLQLIPHHKKLIVFFSDRNQN >Ma01_p00810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:575033:577373:1 gene:Ma01_g00810 transcript:Ma01_t00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MTAVPKKPHPLPSSHHRNSASPHQSRCQDPLLDPDKENLVPVVSLNACKGKKLDVKPALRPSSLQLCMKLNEPPQPSSSSSSLLGPPKPWEPLSSSSDVWDQFSDSESAPASSWSTLPNRSLLYRPLPLDVGRCTCIIFKERTQGRSGMSFYTLYTNEGQGRQDRKLAVAWHRRRNGRSEFIVAQNPNGIFCNSDESFLGSITANLMGSKYQIWDQGNRFDPRKKHSRHLLGLVVYLPTVTTITGNYRSLRAWIPKYQSMQLKNSNTTQIQHINGLPKDWGENSNRANRLVSRVPYYNTFTKRHELDFRERAGRTGPIIQSSVKNFQLTMEEKGKQTILQLGKIGKSKYVMDYRYPLTGYQAFCICLTSIDSKLCCSV >Ma05_p31170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41203320:41205947:-1 gene:Ma05_g31170 transcript:Ma05_t31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHRTGIAGCSSSKAKNLGKGKVTPVQIAFIVDRYLADNHFAATLTAFRSEASDLFSKTKAKEVPKGLLGLGEMLDEYISLKEQRVVLDQEKRRVDMALHGMQEVLRAYHSAGPASLPPSPSLLPPQFVATPVTPILPALYASTSGSPPGHMVNGTPVMNYAQPSTLFPHKSDLNNTSSLPSSSNVNKRKASRPSPNLPSEPKKQRVQSPVLSSAMEGVALTSQEAPTVKVQEKVELSSTSFLTNNSPLQASSAGSSLLKQPSDCQNNSSPKTPPQALQCQVDKSGSPMDVTSLQTGDGGSYKPIGPSNCSIIASETIIVSPIKHTGYYAVERSYHIASPYKLNSKTKRGHIKGKLDFDAPIVTTNATSSSEEPMAVGSSTSSTEDEMSGSFDIDLPDLDIFNGDFSFSELLADIDLDCEVDTSFQPLSSFVHSVPSYEDDAGNGCSRSDQPDSPLSTAIEVFSDKDVNIQGPDCVASVKTVTKFVKIVNPVTSRRNSSSNQTNSPAKEYES >Ma09_p12060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8156444:8157580:1 gene:Ma09_g12060 transcript:Ma09_t12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGIP1 [Source:Projected from Arabidopsis thaliana (AT5G06860) UniProtKB/TrEMBL;Acc:A0A178UBN4] MASVLDFLCLLFLVLLLSSSPVSSGKCNKDDKKALLAIKKALGDPYVLIAWTSQYACCDWAGVRCNETTSRVTSLDIDGTNTSFAIPSAVAHLPFLTSLTFHKNPGLTGPIPPAIGTITDLTFLRLDWNSLSGPVPDFLARLTRLDYLNLAFNQFSGAIPASLATLPLSYLAIDHNRLTGPIPESLARSPAAYLYLSNNNLTGSIPPSFASNTFERIDLSRNQLSGDAAHLFGKSKPLQVLDLSRNHAIEFNITQVEIPEEMTALDLNHNRVYGRLPPEMGKVEWQLLNVSYNRLCGPIPSGEGIQRFDRYAFFHNKCLCGPPLPACK >Ma08_p14040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11179465:11186858:-1 gene:Ma08_g14040 transcript:Ma08_t14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVCRSSAREDLEKVSDRCSRLCPDLCDRASSSSWGLKVAMVLLHVTFVGVIFLFDANLIRKTREEPWFTAVYLVVFVATLVQYFLTSGSSPGYVIDAMRAGNGSNTTYMKSPILKQSNSRNENIISSSTQNQLERQSSYSTSFWSKLVMDLYPPGSAIRNWTCTYCNIIQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQGNHCRFWWYIFEETILCVWTVVLYITFLHSEIVKAWWKDFIAIVLLALLLFCLIFLLLLLVFHSYLALTNQTTYEMVRRRRILYLRGIPEKVHPFSRGISKNLYTFCCPRGSRYTLEAIPIMEELEERARPYTCIDIISCRCC >Ma08_p14040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11179460:11186858:-1 gene:Ma08_g14040 transcript:Ma08_t14040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVCRSSAREDLEKVSDRCSRLCPDLCDRASSSSWGLKVAMVLLHVTFVGVIFLFDANLIRKTREEPWFTAVYLVVFVATLVQYFLTSGSSPGYVIDAMRAGNGSNTTYMKSPILKQSNSRNENIISSSTQNQLERQSSYSTSFWSKLVMDLYPPGSAIRNWTCTYCNIIQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQGNHCRFWWYIFEETILCVWTVVLYITFLHSEIVKACLFCLDFGMLTISAWADLIRWKDFIAIVLLALLLFCLIFLLLLLVFHSYLALTNQTTYEMVRRRRILYLRGIPEKVHPFSRGISKNLYTFCCPRGSRYTLEAIPIMEELEERARPYTCIDIISCRCC >Ma08_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11179465:11186858:-1 gene:Ma08_g14040 transcript:Ma08_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVCRSSAREDLEKVSDRCSRLCPDLCDRASSSSWGLKVAMVLLHVTFVGVIFLFDANLIRKTREEPWFTAVYLVVFVATLVQYFLTSGSSPGYVIDAMRAGNGSNTTYMKSPILKQSNSRNENIISSSTQNQLERQSSYSTSFWSKLVMDLYPPGSAIRNWTCTYCNIIQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQGNHCRFWWYIFEETILCVWTVVLYITFLHSEIVKACYLALTNQTTYEMVRRRRILYLRGIPEKVHPFSRGISKNLYTFCCPRGSRYTLEAIPIMEELEERARPYTCIDIISCRCC >Ma08_p08260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5727105:5749535:-1 gene:Ma08_g08260 transcript:Ma08_t08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MGTRVAEGVREGRSPPEVVIAMEETLAEQEDRNLNSDSSSSSSDDDDEAAEDLRIKTLEKSLAENPFDYDTNVQYIQCLRKFGRLKQLRQARESMNERFPLSSEMWQEWIKDEVSLCTSSEAFTEVEKLYERAVQEYLSVPLWCDYINFVQEHDASISRCSPDGVSKMRQLFERALTAAGLHIIEGNKIWEAYRNFEQAICLIMGDNENEEKEKQTHRVRNLYHRQLSVPLVDLRSTLRDYKLWEAEQGNHNDANSEFDGVPTNIISAYKKAIEVYNARKQYEEQISNHDASDSERLQQFMNYIKFEESSGDPARVQILYERAVALFPISSDLWLRYSSDLDRTLKVPAILRSVYSRATKNCTWVGELWVRYLLSLERLHASEKELSNVFEQAIQCAFPNYKEYLDLFLTRVDGLRRRMSLVGSKEDGLDYVLIRETFQRATEFLLPECLSTDDLLHLHSYWARLEVKLGNDIVAARGVWENLLKKSGSMLEIWLSYISMEIELGQINEARSIYKRCYSKRFSGTGSEDVCHSWLRFEREHGTLDDFDLAQKKVAPRLQELMAFNNQQETKVDLASVVNKDGSPAANAPQKRKIGKALEVKQPPGKRKKYTSEPVQASKRDSIRAPEQIKLAEETDKGQVEKLLDTDAANNQSVGTSESRESKPNHYNDECTAFISNLSVEANEDHLREFFRDCGVTAIRLLKDRKTGGPRGLAYVDFSDDEHLAAAVAKNKQKLLGKKLSIARSDPKHSQKRILDGSKTSAAHGVLIGKRFARGNSIRKEDESEATIVDKRGSSGKFTGSVTFAAPRALVKPLGWTKREAKPDQDNEKPKSNDEFRDMLLKK >Ma08_p08260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5727105:5749535:-1 gene:Ma08_g08260 transcript:Ma08_t08260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MGTRVAEGVREGRSPPEVVIAMEETLAEQEDRNLNSDSSSSSSDDDDEAAEDLRIKTLEKSLAENPFDYDTNVQYIQCLRKFGRLKQLRQARESMNERFPLSSEMWQEWIKDEVSLCTRTFLNSSEAFTEVEKLYERAVQEYLSVPLWCDYINFVQEHDASISRCSPDGVSKMRQLFERALTAAGLHIIEGNKIWEAYRNFEQAICLIMGDNENEEKEKQTHRVRNLYHRQLSVPLVDLRSTLRDYKLWEAEQGNHNDANSEFDGVPTNIISAYKKAIEVYNARKQYEEQISNHDASDSERLQQFMNYIKFEESSGDPARVQILYERAVALFPISSDLWLRYSSDLDRTLKVPAILRSVYSRATKNCTWVGELWVRYLLSLERLHASEKELSNVFEQAIQCAFPNYKEYLDLFLTRVDGLRRRMSLVGSKEDGLDYVLIRETFQRATEFLLPECLSTDDLLHLHSYWARLEVKLGNDIVAARGVWENLLKKSGSMLEIWLSYISMEIELGQINEARSIYKRCYSKRFSGTGSEDVCHSWLRFEREHGTLDDFDLAQKKVAPRLQELMAFNNQQETKVDLASVVNKDGSPAANAPQKRKIGKALEVKQPPGKRKKYTSEPVQASKRDSIRAPEQIKLAEETDKGQVEKLLDTDAANNQSVGTSESRESKPNHYNDECTAFISNLSVEANEDHLREFFRDCGVTAIRLLKDRKTGGPRGLAYVDFSDDEHLAAAVAKNKQKLLGKKLSIARSDPKHSQKRILDGSKTSAAHGVLIGKRFARGNSIRKEDESEATIVDKRGSSGKFTGSVTFAAPRALVKPLGWTKREAKPDQDNEKPKSNDEFRDMLLKK >Ma02_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25187038:25190344:1 gene:Ma02_g18780 transcript:Ma02_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTVVGWSWRWCLSLGIIGACMFVALKVLDFLWWRPKRLEEHFARQGIRGPPYRFFVGCVKEMVGLMLDASSKPMMPQTSHNILPRVLSFYHHWKKIYGSTFLLWFGPTPRLTVADPDLIREIFLSRSDVFERYESHPLVRQLEGEGLVSLRGEKWAHHRKVLTPTFHMGNLKLLIPFIGKTVLDMVEKLPTSGDEVEIDVSEWFQTVTEDAITRTAFGRSYDDGKAVFQLQAQQMVFAAEAFRKVFIPGYRFLPTKKNTNSWKLEKEIKRSLIRLIGRRKERLGEEGKPDGSVKDLLGLMIDASASKQGAVQAASPKPVSSPPSTITVRDIVEECKTFFFAGKQTTSNLLTWTTVLLAMHPEWQERARAEVLRVCGARDLPTRDHLAKLKTLAMILNETLRLYPPAVATIRRAKAEVELGGYHIPRGTELLIPIMAVHHDARLWGPDVTQFNPARFADGASRAARHPTAFIPFGLGPRMCIGQNLALLEAKLTVAVLLQRFAFRLSPSYVHAPTVLMLLYPQYGAPILFRPLPLPSDPSTTATTHTQSFS >Ma11_p24750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27631161:27631391:-1 gene:Ma11_g24750 transcript:Ma11_t24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWYAWQLELPDGMLLRGVTLLAIKPSEEWTTGAVDGFLVHLDGCKVSDAFEEPYRTAAKMLMNKRTYCIEMNSF >Ma04_p13080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9892714:9901556:1 gene:Ma04_g13080 transcript:Ma04_t13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWESLNHMNYKLAKLRKVHGKLALKFLKWIIRQPGFNRMTQLFCITIHILVRARMYGPAKSILKHLSQRDVAYHSLIHCLMDTYPRRKSNALVFDILIKFYIEEGMLKNAKKTFQLVNSHGFTVSIYTCNAILVALARVEGQPSALLFFKCMLTGRNCPDVSSYNIVLNSLCLAGKLGKANYIFNKMEEAGVIPKIVTYNTLLYWFCKKGRFKAALKILACMDRKGIEADVYTYNVIINNLCKENRSARAYLLLKRMRERKLSPTESTYNTLINGFCKEHKIIIANCIFKEMSKSNMKPSAITYNTLIDGYCRIGKIHESMRILDEMEAAGVTPNEITYGALLNGYCKASKMDAAVNILEKMRSEGITLNCIMYTILIDGLCREGKLSEALQLLNSMLEAGVSPDVITYSALVNGLCKMGKKDQIKQILSKMHKTGVLPNVIFYETVIHHYCKWGDITEAMNLYADIYRLGQEANLITCNTLISALCRRGKVGDAEQFMQHMTRMNLYPDCTSFNLLINGYGNKGDALGAFSVFDDMVKQGQRPSHITFGSLLKGLCRGGNLHEAKKFFTRILDIPFAVDLHTYNILLLEICKSGNLHDALIFCEKMIQQNIMPDSYTYTILLSGFCRKKKIVPAVILFERLSNTNFCPDHVAYTCLVNGLVKEGQLKAASYIFDEMMNKNSLDPDIVAFNAMLDGYSRAGLMLHVDNLVHFMQKRCLLPNLVTYNILMHGYIRKKQLLRSFRLYKTMVQKGFRPDNLTYHSLISGLCESGMIDIGAKFLEKLRLEGITPDDLTFNMLITKYSDKSQMSDAFKLVDCMTRLQMSPSAETYDAIISGLNRKGCFQKSYMVLHEMLEKRVRIKHTHYIALINGKCRVGDTWGAFRLRDEMEALGIVPAEVAESTIVRGLCKCGKLGEAMLVFSHMLRKGGVPTTATFTTLMHGLCKEAMLADALYLKDVMENCGLKLDIITYNVLISGFCSIGCLSDAWRLYEEIKQKGLWPNITTYTMLIDAVHKEHKIFEADILLKDIETRGLISSQGNSKTICEGLANAVRRLNELRHCRRTILK >Ma04_p13080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9892714:9901556:1 gene:Ma04_g13080 transcript:Ma04_t13080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWESLNHMNYKLAKLRKVHGKLALKFLKWIIRQPGFNRMTQLFCITIHILVRARMYGPAKSILKHLSQRDVAYHSLIHCLMDTYPRRKSNALVFDILIKFYIEEGMLKNAKKTFQLVNSHGFTVSIYTCNAILVALARVEGQPSALLFFKCMLTGRNCPDVSSYNIVLNSLCLAGKLGKANYIFNKMEEAGVIPKIVTYNTLLYWFCKKGRFKAALKILACMDRKGIEADVYTYNVIINNLCKENRSARAYLLLKRMRERKLSPTESTYNTLINGFCKEHKIIIANCIFKEMSKSNMKPSAITYNTLIDGYCRIGKIHESMRILDEMEAAGVTPNEITYGALLNGYCKASKMDAAVNILEKMRSEGITLNCIMYTILIDGLCREGKLSEALQLLNSMLEAGVSPDVITYSALVNGLCKMGKKDQIKQILSKMHKTGVLPNVIFYETVIHHYCKWGDITEAMNLYADIYRLGQEANLITCNTLISALCRRGKVGDAEQFMQHMTRMNLYPDCTSFNLLINGYGNKGDALGAFSVFDDMVKQGQRPSHITFGSLLKGLCRGGNLHEAKKFFTRILDIPFAVDLHTYNILLLEICKSGNLHDALIFCEKMIQQNIMPDSYTYTILLSGFCRKKKIVPAVILFERLSNTNFCPDHVAYTCLVNGLVKEGQLKAASYIFDEMMNKNSLDPDIVAFNAMLDGYSRAGLMLHVDNLVHFMQKRCLLPNLVTYNILMHGYIRKKQLLRSFRLYKTMVQKGFRPDNLTYHSLISGLCESGMIDIGAKFLEKLRLEGITPDDLTFNMLITKYSDKSQMSDAFKLVDCMTRLQMSPSAETYDAIISGLNRKGCFQKSYMVLHEMLEKRVRIKHTHYIALINGKCRVGDTWGAFRLRDEMEALGIVPAEVAESTIVRGLCKCGKLGEAMLVFSHMLRKGGVPTTATFTTLMHGLCKEAMLADALYLKDVMENCGLKLDIITYNVLISGFCSIGCLSDAWRLYEEIKQKGLWPNITTYTMLIDAVHKEHKIFEADILLKDIETRGLISSQGNSKTICEGLANAVRRLNELRHCRRTILK >Ma04_p13080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9892714:9901556:1 gene:Ma04_g13080 transcript:Ma04_t13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWESLNHMNYKLAKLRKVHGKLALKFLKWIIRQPGFNRMTQLFCITIHILVRARMYGPAKSILKHLSQRDVAYHSLIHCLMDTYPRRKSNALVFDILIKFYIEEGMLKNAKKTFQLVNSHGFTVSIYTCNAILVALARVEGQPSALLFFKCMLTGRNCPDVSSYNIVLNSLCLAGKLGKANYIFNKMEEAGVIPKIVTYNTLLYWFCKKGRFKAALKILACMDRKGIEADVYTYNVIINNLCKENRSARAYLLLKRMRERKLSPTESTYNTLINGFCKEHKIIIANCIFKEMSKSNMKPSAITYNTLIDGYCRIGKIHESMRILDEMEAAGVTPNEITYGALLNGYCKASKMDAAVNILEKMRSEGITLNCIMYTILIDGLCREGKLSEALQLLNSMLEAGVSPDVITYSALVNGLCKMGKKDQIKQILSKMHKTGVLPNVIFYETVIHHYCKWGDITEAMNLYADIYRLGQEANLITCNTLISALCRRGKVGDAEQFMQHMTRMNLYPDCTSFNLLINGYGNKGDALGAFSVFDDMVKQGQRPSHITFGSLLKGLCRGGNLHEAKKFFTRILDIPFAVDLHTYNILLLEICKSGNLHDALIFCEKMIQQNIMPDSYTYTILLSGFCRKKKIVPAVILFERLSNTNFCPDHVAYTCLVNGLVKEGQLKAASYIFDEMMNKNSLDPDIVAFNAMLDGYSRAGLMLHVDNLVHFMQKRCLLPNLVTYNILMHGYIRKKQLLRSFRLYKTMVQKGFRPDNLTYHSLISGLCESGMIDIGAKFLEKLRLEGITPDDLTFNMLITKYSDKSQMSDAFKLVDCMTRLQMSPSAETYDAIISGLNRKGCFQKSYMVLHEMLEKRVRIKHTHYIALINGKCRVGDTWGAFRLRDEMEALGIVPAEVAESTIVRGLCKCGKLGEAMLVFSHMLRKGGVPTTATFTTLMHGLCKEAMLADALYLKDVMENCGLKLDIITYNVLISGFCSIGCLSDAWRLYEEIKQKGLWPNITTYTMLIDAVHKEHKIFEADILLKDIETRGLISSQGNSKTICEGLANAVRRLNELRHCRRTILK >Ma01_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26542928:26558043:1 gene:Ma01_g23070 transcript:Ma01_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRREDAEYESDPEDAPLPSMRRREASDDEDGMASDGGGKPVRKDPRAGIGSDGESDGQGGAQVYDDEADEEEDEMEEYYDEEEEYGEMDEELEMEDEVVEEGGHRAASVKEEGIKEVRQSLEYEGQGDGDRRSSGNAMEHPDKNQVEDEEEKKKENEPYAVPTAGAFYMHDDRFQDNGRGRRRRMFGGRKLWDPKDERAWVHDRFEEMNLQDAQYDEERMRSRGRFRGRGGGKSQGASRGYGRGSRHRDYSDVVNSQNRTSRTVRGRGPRRYEALPKNNRDIPASRQKQSPAKPQEPTTSASTRKQSSQTANVELDPVVPQKHSFASSLNSASPPFYPSGSSNSNQDILLMQKRDAQAGIINDSLSYSMHTEENSQSSQSSTLLRGKTVVDSVGHDRLYMSDSHRSVVGKTLASVHLQPSGFYPSPDNADISSSSRVQGTGINTAGPPNNQSASAVNHVGRVSAHTQAPNVQPSPAQTSFQPAVRVPAQQFIHRSVSGNQTSSSSPPPAGNSSEVGDVDSPPGSGKSRTSVVGKGKINNQGTGRSSFIYSGGQVLGATGAMGLAHGDQNFRTPALLPVMQFGGQHPSGLGVPAVGMALPGYVAQPQLGFGNSEMTWVPVLAGMPGALGASYCPPYLALDGNYYRPSGQNSSSASSKETTTSKPDPVIDEAGRRQNKPRRYSEMNFGQ >Ma01_p23070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26542928:26554454:1 gene:Ma01_g23070 transcript:Ma01_t23070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRREDAEYESDPEDAPLPSMRRREASDDEDGMASDGGGKPVRKDPRAGIGSDGESDGQGGAQVYDDEADEEEDEMEEYYDEEEEYGEMDEELEMEDEVVEEGGHRAASVKEEGIKEVRQSLEYEGQGDGDRRSSGNAMEHPDKNQVEDEEEKKKENEPYAVPTAGAFYMHDDRFQDNGRGRRRRMFGGRKLWDPKDERAWVHDRFEEMNLQDAQYDEERMRSRGRFRGRGGGKSQGASRGYGRGSRHRDYSDVVNSQNRTSRTVRGRGPRRYEALPKNNRDIPASRQKQSPAKPQEPTTSASTRKQSSQTANVELDPVVPQKHSFASSLNSASPPFYPSGSSNSNQDILLMQKRDAQAGIINDSLSYSMHTEENSQSSQSSTLLRGKTVVDSVGHDRLYMSDSHRSVVGKTLASVHLQPSGFYPSPDNADISSSSRVQGTGINTAGPPNNQSASAVNHVGRVSAHTQAPNVQPSPAQTSFQPAVRVPAQQFIHRSVSGNQTSSSSPPPAGNSSEVGDVDSPPGSGKSRTSVVGKGKINNQGTGRSSFIYSGGQVLGATGAMGLAHGDQNFRTPALLPVMQFGGQHPSGLGVPAVGMALPGYVAQPQLGFGNSEMTWVPVLAGMPGALGASYCPPYLALDGNYYRPSGQNSSSASSNLL >Ma01_p23070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:26542919:26558043:1 gene:Ma01_g23070 transcript:Ma01_t23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRREDAEYESDPEDAPLPSMRRREASDDEDGMASDGGGKPVRKDPRAGIGSDGESDGQGGAQVYDDEADEEEDEMEEYYDEEEEYGEMDEELEMEDEVVEEGGHRAASVKEEGIKEVRQSLEYEGQGDGDRRSSGNAMEHPDKNQVEDEEEKKKENEPYAVPTAGAFYMHDDRFQDNGRGRRRRMFGGRKLWDPKDERAWVHDRFEEMNLQDAQYDEERMRSRGRFRGRGGGKSQGASRGYGRGSRHRDYSDVVNSQNRTSRTVRGRGPRRYEALPKNNRDIPASRQKQSPAKPQEPTTSASTRKQSSQTANVELDPVVPQKHSFASSLNSASPPFYPSGSSNSNQDILLMQKRDAQAGIINDSLSYSMHTEENSQSSQSSTLLRGKTVVDSVGHDRLYMSDSHRSVVGKTLASVHLQPSGFYPSPDNADISSSSRVQGTGINTAGPPNNQSASAVNHVGRVSAHTQAPNVQPSPAQTSFQPAVRVPAQQFIHRSVSGNQTSSSSPPPAGNSSEVGDVDSPPGSGKSRTSVVGKGKINNQGTGRSSFIYSGGQVLGATGAMGLAHGDQNFRTPALLPVMQFGGQHPSGLGVPAVGMALPGYVAQPQLGFGNSEMTWVPVLAGMPGALGASYCPPYLALDGNYYRPSGQNSSSASSKETTTSKPGTHMSQSDPVIDEAGRRQNKPRRYSEMNFGQ >Ma11_p11480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:13453195:13455647:-1 gene:Ma11_g11480 transcript:Ma11_t11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALTGFIEDSISPLGTTVLPITLGEELRMKTLMTAFMVVDLPSAYNVILGRSTLNRIQAVVSTYHRTIKFPTSTGIGGAWSDPRESRRCYLTTVALPPKPRPTTVPDPREASVSQMTLEPPKSLIEVPLKRGRPVQTIRIGTALPEADRLHLVDYLRENADQFAWSPEEMLGIDLEVAQHRLNVDPGERPIRQKLRRFTPDQQRVIRDEADRFIKAGFIAEVKIDQLVDATVGHERLTFLDAFSGYNQIWMATQDREDTTFVTNQGAYCYKVMPFGLKNTDATYQRMVDKLFKQQLGRNMEVYIDDMIVKSKVAGTHLADLAETFQTLRQFNLHLNPAKCVFGVSSGKFLGFIIHQWGIDTNPEKVRAITEMHSPRSAKEVQRLARRLAALSSFTWTPKCEEAFKKLKECLVYLPRLTSPELGETLGFYLVASPQAISSVLIREVPPMQQPMHYISHVLGGPEIRYPPIERLALILVLTARKLWPYFQAHTIKYSLRTAIKAQVLADFISELAPEYRAVGRQSGQGTWTLHIDGSSTSEGAGVGFVLRGRSGEAYERSLKLKFRATNNEAEYEALLHGLHLALELHVGDLEVFSDSQLVTGHINGSCEARDPTMISYLMEVKWHARCFDHFSVTIIPRAQNERANALAKLASTRTLESVPTTESMAVPTIPTHEVTKTNLPPNWIEEILRFKAGGKEPDDSAVTRRLRRAQAGYCIIGGRL >Ma06_p24510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23016939:23018410:1 gene:Ma06_g24510 transcript:Ma06_t24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPYDEPNSSSPAARRLLLGLYLTKFSHNDYVKWKSEGRIILDGVNGKVAWLPWSACKSPTWEGSLPSSTVESA >Ma04_p14000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10586448:10587596:1 gene:Ma04_g14000 transcript:Ma04_t14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTMAKRLRLRLSRVLPSGFRFKGNGAASSLVSSDIDFPAPPPPLPRRLHPPLVSASCCLPSRRRSLPEAVPPLTMDSPAYLWRKEEKWWHVVACAADDEGHSPSTPRQKIDSDDGIFPPLPTRRRGAELRWGSQRKVASRRRTSLRRLPRGGSNSSADTDSGWFSSEEETGTLMSTTDVESSDNVIRRRRRRKSFGCGGGRRSWPSPEGWPAVGRLIPCTAPAVRESFAVVKLSENPKEDFRRSMAEMVVEKEIFDARGLEQLLRCFLSLNSRHHHAAIVAAFNDIWDALFPPPADAAGAARRCSTPQSTLW >Ma04_p27910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29074421:29078036:-1 gene:Ma04_g27910 transcript:Ma04_t27910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNSLPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGSV >Ma04_p27910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29074421:29077981:-1 gene:Ma04_g27910 transcript:Ma04_t27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNSLPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGSV >Ma01_p07790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5640932:5641249:1 gene:Ma01_g07790 transcript:Ma01_t07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPRTAVSPTNTPFPLTLTTSHMSSTAGAIWGKLMDAKFVFLPMKGVIFLPDVVFNTLLDDFAAPRKMEDGHGLLKEMQQKGCEPHAVLYTALIQALCSRERMD >Ma11_p23070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26569426:26571388:1 gene:Ma11_g23070 transcript:Ma11_t23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSHGSLLTMKRSLPYGGRRSGRNPVFVFVFLFSVLLFVMLKYNEHVKSMAEYSFGSATAKSQRLARQRLHVVQLSRMDGAEEEQTVSERTASDSAAKERPQAVRVPSVRNPVQEPEQAKPQRAVLDVPESCNLFDGRWVYDDVSYPIYKEHECAYLTEQVTCMRNGRRDGRYQKWRWQPRDCNLPRFDAKVMLERLRGKRLMFVGDSLNRNQWESMVCLVQSAIPWGKKTLTKNASLNVFRAEEYDATVEFYWAPFLVESNSDDPKVHSIRNRVITNSIAKHGKHWKGVDYLIFNTYIWWMNTPEMRVLRGTEYSKVDRPVAYRRVLRTWAKWVRRNVDPEKTMVFFMSASPNHMRSQDWGNPDGVKCAMETMPVTNTSRRLAIGTDWRLFAEEESVVRSMRLPVSFVRITAMSELRKDAHTAVHTLRQGKLLTAEQQADPATYADCIHWCLPGLPDTWNEFLFARIASRPWRT >Ma10_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23638539:23639150:1 gene:Ma10_g09490 transcript:Ma10_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSLQLQSCPPALQWPQGPAIDGDAAAAKAAREEGSFGRSLRLHRLADEHHNVDEHQLHVVRGEVRAGSVGEQEGHGGAKAGGPRLRAADRRPGGVHLVGHVIGVGVVLGLKNLGAI >Ma02_p03340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14711931:14714937:-1 gene:Ma02_g03340 transcript:Ma02_t03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MSFANTELLKLGSRYMKCMVFRCFILVILVLVFTTVIYPFTGSNWLPWRTSNWIAMSAASNLQHAVRRDKFLEVPQIIWGLNNQKIALARACLTARFLNRTLLMPSLSASLFYKEVELLKPMAFDKIYQFDKFNCLCDGFVRLGRYSDLLNHSMPFEIQKGSGRKWTKERDLDQLKQCREESVDNSEVIRIGGKNPFLWHDHWPVKDYAKIFQCLVVVDEIENEVAKVISKIREVGSKVRYKIDASQNEESADSSLGQHVPYVAVHMRIEKDWMIHCKKLEQKLRIEQICSSKEEIMERVSQITSIQQPVVVYLAVADTLLEDDSILSGWNDGLLPLEKKRLGVWGIYKKYPYLIQSAIDYEVCSRADVFVGNSFSTFSSLVVLSRTQKLIRMGFTSSCSAEVGYSSYAYNLLGESGGAKRWMTDMSAPDLVGISYGTNNISCYSNWNTSL >Ma02_p03340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14711931:14714937:-1 gene:Ma02_g03340 transcript:Ma02_t03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT3G05320) UniProtKB/Swiss-Prot;Acc:Q9MA87] MLHPASNLQHAVRRDKFLEVPQIIWGLNNQKIALARACLTARFLNRTLLMPSLSASLFYKEVELLKPMAFDKIYQFDKFNCLCDGFVRLGRYSDLLNHSMPFEIQKGSGRKWTKERDLDQLKQCREESVDNSEVIRIGGKNPFLWHDHWPVKDYAKIFQCLVVVDEIENEVAKVISKIREVGSKVRYKIDASQNEESADSSLGQHVPYVAVHMRIEKDWMIHCKKLEQKLRIEQICSSKEEIMERVSQITSIQQPVVVYLAVADTLLEDDSILSGWNDGLLPLEKKRLGVWGIYKKYPYLIQSAIDYEVCSRADVFVGNSFSTFSSLVVLSRTQKLIRMGFTSSCSAEVGYSSYAYNLLGESGGAKRWMTDMSAPDLVGISYGTNNISCYSNWNTSL >Ma04_p36310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34739833:34740456:-1 gene:Ma04_g36310 transcript:Ma04_t36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLQTVRANRPFDLIDDLVMKEATEEELIDMIGLVEMCLRLKGVKRPKMKEVEDKLQNLRRIRLKKTGHCLVKGDEETEHLLRDSPYAFSEVVDPANQGTSRNYTLEKEFMWSHHHPR >Ma05_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1077999:1078259:-1 gene:Ma05_g01760 transcript:Ma05_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSGATAPAASSRWGRPRSRRLRRDLHCQLAQRAQCHRRRVQREPLRCLHRRRAQVQRLRHEPHPPPPQGVHRRPQIRTGLLPLI >Ma02_p24110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28843156:28843338:1 gene:Ma02_g24110 transcript:Ma02_t24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTNVENAFRTVLTEIFNIVNRKNLTSDPRSNNGRPTLPGKKIIVPGPAKEIPKNKNML >Ma04_p26800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28129880:28133288:-1 gene:Ma04_g26800 transcript:Ma04_t26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQRVAHQLSNGMVVSGLPMSPKERSPSFGSRAVPYTGGDVRKSGELGRMFDIPVGETATASTASPRPRSGPHSGPLPRSSPSSRRTSAPPSPIPATGLITSGPARYSSRQQTPAASPAPARRRKEYGAAVTVVDGEGFVFGVSRAWRWVLVAMFVVGVATGAFVWAAVGRPEILVGVAAAAAVVVALAVWSCVMGRMEVERFLRCHPNSSIDPRNLPIGKLVKITGHVTCGSIPLEASYQKISRCIYISTDIYEYRGWSGLPAKPNLMHFSWGLRNSERHISDFYISDSATGMRFLVRAGNGAKVTAFVKPTTILDMNKEKKQLSPDFLSWLMEHNLSSDDRIMRLKEGYIKEGHTASVMGILKKHENLIMIDPPPDMVSTGCRWTRCFLPLFVEGLILIGDERPDEVVYQV >Ma09_p02180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1557814:1567861:1 gene:Ma09_g02180 transcript:Ma09_t02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTFILPTIILSCLFLVPLTEQKKSTHTQLLLQLRKQLEYPIQLGAWNNTNDLCYAPSSPSQSITCDGSSVTELKIVGDKLAKPGRYDGYSVTGKTLSPGFSVDSFVTTLTRLTSLKVVILVSLGIWGPLPDKIHRLYSLEVLDLSSNFFYGSIPPKISAMKKLHTFSLDGNYFNDTVPDWFASLDNLMILSLRRNGLKGLLPGSISRVSTLTELALSGNSISGKIPDLSSLNNLETLDLGDNRLDSELPIMPKGLVTILLSKNLLSGEIPQQFGELDRLQHLDLSFNLLEGSPLAALFSLPNISYLNLASNMLSGSLPSSLTCSGELGFIDISSNKLTGELPSCLSSNSDRRVVKFNLNCLSLNTQHQRGANSCQLNNMNGKESKRKNTWLKVSIIGGIVLVMLLLLLVLFVSCKRNCHRAIAEKQQLPKSVPSATGFSSELLTNARYVSQAMKLGTQVLPTYRTFSLEELKEATNNFEGSAFIGEGSTGKLYKGRLDNGTFIAIRCLSLFKRHSIRNLKFRLDLLSKLRHPHLVCLLGHCIDTTQDDSSINRVFLIYEYVANGNLQSHLSAERSMERALKWPDRLVVLIGIAKAVHFLHSGIIPGLYNNQLKTKNILLDEHFIAKVSDYGLSIIMEEIYKHEARAEGQKPIQSKSPELEMANLEDDVYSLGFILLEALMGPSVSEQGSEHCLKELAMLVTRQTEQTRILDPVVLASASQDSLSIVISITSKCLYEESSRPSVEDVLWNLQYAEQVQATADGDRKSDIVSQA >Ma06_p27130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29150830:29155698:-1 gene:Ma06_g27130 transcript:Ma06_t27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MATSPSHSSPESAIIEQVITEFFAKSLHIILESRSPYVSSRNYTVDQFMSSPSSSCSSSSSMPRDKWFNLALRDCPAALENFDIWRKSNLEPLVIDIILIRRALVPDVARPLGGCLLRNFSENSDLALEPKSWKIVERWIVQYERHKSSSSKNHKDIRKCGTKNNGGSSCSSETAFYKKTYKRYIILFRSLYVFIRLLPTYKLFHELNSSGQICPLSLSHRISSSIQPFTQEDEAKMNQFTFVPIDTPRGRLSLSVQYLRTLEDIRSEPSAPLSLQFILDYIGSPTTDPFRRLKSLPSAQSSPALVSFTRQHSWSNDHGALASVPLCPSVACSEACGIRCNPSLCLPPLTHRNDHSPPVISEPQNAAVTHKNNMSFDEFWPSPFSPTSSPSSPAHLPGQRSPNALQSGSSQIDIAKAHPITASQTKACSSEGKLQIKMDTLRYGNYQTGPTLVKGFPSGKDDTEKLAELPSSSTQGPLSRSFSGSSLLDKFDDSGFACPFADYKDVTESCNRRSPDAVVGAVVQMLLTAAPLRQDLSNLCRPPQVFMDESLNPCVQHDQANTEQSECPASTSEVLASVLLKSKTAAEGLEELRRYKELKESILKQGASRSSDVDSGPKSAGTNDQS >Ma06_p27130.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29150830:29155698:-1 gene:Ma06_g27130 transcript:Ma06_t27130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MATSPSHSSPESAIIEQVITEFFAKSLHIILESRSPYVSSRNYTVDQFMSSPSSSCSSSSSMPRDKWFNLALRDCPAALENFDIWRKSNLEPLVIDIILIRRALVPDVARPLGGCLLRNFSENSDLALEPKSWKIVERWIVQYERHKSSSSKNHKDIRKCGTKNNGGSSCSSETAFYKKTYKRYIILFRSLYVFIRLLPTYKLFHELNSSGQICPLSLSHRISSSIQPFTQEDEAKMNQFTFVPIDTPRGRLSLSVQYLRTLEDIRSEPSAPLSLQFILDYIGSPTTDPFRRLKSLPSAQSSPALVSFTRQHSWSNDHGALASVPLCPSVACSEACGIRCNPSLCLPPLTHRNDHSPPVISEPQNAAVTHKNNMSFDEFWPSPFSPTSSPSSPAHLPGQRSPNALQSGSSQIDIAKAHPITASQTKACSSEGKLQIKMDTLRYGNYQTGPTLVKGFPSGKDDTEKLAELPSSSTQGPLSRSFSGSSLLDKFDDSGFACPFADYKDVTESCNRSPDAVVGAVVQMLLTAAPLRQDLSNLCRPPQVFMDESLNPCVQHDQANTEQSECPASTSEVLASVLLKSKTAAEGLEELRRYKELKESILKQGASRSSDVDSGPKSAGTNDQS >Ma06_p27130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29150830:29155698:-1 gene:Ma06_g27130 transcript:Ma06_t27130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MATSPSHSSPESAIIEQVITEFFAKSLHIILESRSPYVSSRNYTVDQFMSSPSSSCSSSSSMPRDKWFNLALRDCPAALENFDIWRKSNLEPLVIDIILIRRALVPDVARPLGGCLLRNFSENSDLALEPKSWKIVERWIVQYERHKSSSSKNHKDIRKCGTKNNGGSSCSSETAFYKKTYKRYIILFRSLYVFIRLLPTYKLFHELNSSGQICPLSLSHRISSSIQPFTQEDEAKMNQFTFVPIDTPRGRLSLSVQYLRTLEDIRSEPSAPLSLQFILDYIGSPTTDPFRRLKSLPSAQSSPALVSFTRQHSWSNDHGALASVPLCPSVACSEACGIRCNPSLCLPPLTHRNDHSPPVISEPQNAAVTHKNNMSFDEFWPSPFSPTSSPSSPAHLPGQRSPNALQSGSSQIDIAKAHPITASQTKACSSEGKLQIKMDTLRYGNYQTGPTLVKGFPSGKDDTEKLAELPSSSTQGPLSRSFSGSSLLDKFDDSGFACPFADYKDVTESCNRRSPDAVVGAVVQMLLTAAPLRQDLSNLCRPPQVFMDESLNPCVQHDQANTEQSECPASTSEVLASVLLKSKTAAEGLEELRRYKELKESILKQGASRSSDVDSGPKSAGTNDQS >Ma06_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3799061:3805745:1 gene:Ma06_g05090 transcript:Ma06_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKTAMMEDWTLPNPSPRTLISNLLNEEFGSRSFSDLLSGKENETPPNAPETEKVDRVTKVEGGEASNDHPLEPNWSGAHKLNSHGGLAERMAASGFNVPKLNTACIGQANMDASSSGVRSPYLTIPPGLSPTTLLESPVFLCNSLAQPSPTTGKFLFARNNNTGPLSVSVSAASIKCDDLLEDVPEAFAFKPPLESHSFYSSSEMKVAPDFGQPQTLSSMRVSIQLGDSKEMGTTEAGTTHVLNQQEFNLQESKDAIPDSFMSSDRSLPLDEQQEGDRDLRGELSSVAVGAPAEDGYNWRKYGQKQVKGSEYPRSYYKCTHLNCQVKKKVERSQEGHFTEIIYKGEHNHPKPPPNRRSGVLLSNPFNDAEIDGSDQPGSQMATDSKPMWVGRNMGNEGHDWQGDLEATSSAHVTAECCDPSAAVQQRPDGQRLSSDAIDVSSTMSSGEDEDDQATHGSVSLGCDGEGDETESKRPKLDTSAIEMNAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCNVRKHVERAAHDLKSVITTYEGKHNHDVPAARNSGHPSSSPSNTTSNAGPQPHGLLPRAESTQGGLVRFEGHAPLGTFGLPGMEQLRPPTSFTFAMGQPGLMNLTMAGFGPLAPTKMSVPPSVHSYIGHGPPVEGLMIPKGEPNEEPMPETRLPMLNGGPVYHQMTMNGVPLRPQL >Ma06_p05090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3799061:3805745:1 gene:Ma06_g05090 transcript:Ma06_t05090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLSHSLESFLFLVSISVKPLSGAGYLFVSVLEEVKRRDCCRNFLISQQKKGRKKERSHVLCQVFVPSLLLLFFCFCGFKRLTELRGVAVDDLTGLTICIGSRIESITGGMDDKTAMMEDWTLPNPSPRTLISNLLNEEFGSRSFSDLLSGKENETPPNAPETEKVDRVTKVEGGEASNDHPLEPNWSGAHKLNSHGGLAERMAASGFNVPKLNTACIGQANMDASSSGVRSPYLTIPPGLSPTTLLESPVFLCNSLAQPSPTTGKFLFARNNNTGPLSVSVSAASIKCDDLLEDVPEAFAFKPPLESHSFYSSSEMKVAPDFGQPQTLSSMRVSIQLGDSKEMGTTEAGTTHVLNQQEFNLQESKDAIPDSFMSSDRSLPLDEQQEGDRDLRGELSSVAVGAPAEDGYNWRKYGQKQVKGSEYPRSYYKCTHLNCQVKKKVERSQEGHFTEIIYKGEHNHPKPPPNRRSGVLLSNPFNDAEIDGSDQPGSQMATDSKPMWVGRNMGNEGHDWQGDLEATSSAHVTAECCDPSAAVQQRPDGQRLSSDAIDVSSTMSSGEDEDDQATHGSVSLGCDGEGDETESKRPKLDTSAIEMNAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCNVRKHVERAAHDLKSVITTYEGKHNHDVPAARNSGHPSSSPSNTTSNAGPQPHGLLPRAESTQGGLVRFEGHAPLGTFGLPGMEQLRPPTSFTFAMGQPGLMNLTMAGFGPLAPTKMSVPPSVHSYIGHGPPVEGLMIPKGEPNEEPMPETRLPMLNGGPVYHQMTMNGVPLRPQL >Ma06_p05090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3799061:3805745:1 gene:Ma06_g05090 transcript:Ma06_t05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKTAMMEDWTLPNPSPRTLISNLLNEEFGSRSFSDLLSGKENETPPNAPETEKVDRVTKVEGGEASNDHPLEPNWSGAHKLNSHGGLAERMAASGFNVPKLNTACIGQANMDASSSGVRSPYLTIPPGLSPTTLLESPVFLCNSLAQPSPTTGKFLFARNNNTGPLSVSVSAASIKCDDLLEDVPEAFAFKPPLESHSFYSSSEMKVAPDFGQPQTLSSMRVSIQLGDSKEMGTTEAGTTHVLNQQEFNLQESKDAIPDSFMSSDRSLPLDEQQEGDRDLRGELSSVAVGAPAEDGYNWRKYGQKQVKGSEYPRSYYKCTHLNCQVKKKVERSQEGHFTEIIYKGEHNHPKPPPNRRSGVLLSNPFNDAEIDGSDQPGSQMATDSKPMWVGRNMGNEGHDWQGDLEATSSAHVTAECCDPSAAVQQRPDGQRLSSDAIDVSSTMSSGEDEDDQATHGSVSLGCDGEGDETESKRPKLDTSAIEMNAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCNVRKHVERAAHDLKSVITTYEGKHNHDVPAARNSGHPSSSPSNTTSNAGPQPHGLLPRAESTQGGLVRFEGHAPLGTFGLPGMEQLRPPTSFTFAMGQPGLMNLTMAGFGPLAPTKMSVPPSVHSYIGHGPPVEGLMIPKGEPNEEPMPETRLPMLNGGPVYHQMTMNGVPLRPQL >Ma10_p06640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20075056:20084483:1 gene:Ma10_g06640 transcript:Ma10_t06640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRLELRNEYGLGDPELYRGGFRKEEPKAILDGVAVSGLVGILRQLGDLAEFAADVFHDLHEQITATAVRGRQMMTRVQNIESTVPSIEKAFGKQTSYVHFAYVAGSDWHARIRDEQSIVLSTELPRFMMDSYEECRDPPRLFLLDKFDHAGTGACLKRYSDPPYFKRVWTASQMENSENFQKEKKVHKTKRKGSRPRHGEVKHAVYISHHDSSGIRFASPSIDGQRFSAVNVSSPDMRLNLEFLSRSTSFASKTRENYAEQTSYVNPQGVPDDLDYSEVPNPNLHSKDSHLSVPVLHDEPSADGLYNVAQHDLPQEQSVPRSSSVTWDEKLEIVKPTSPVSYNDILVDRVQDLENVISVQDSEPLQVNFKTENVEHAKIEALNQEDILCHIGKTSVPVSGVSHFDEVSSETDNYVDAPNTLDSESETEAGCHTKSEVQILSNFSSQGMEPRTEMRQVIAVQSSEPSDVEAHNSSHSSLSQDVTPRFLHLSSLNGSEHVQSPYATEFLQKQEQTVVDDFCERNAPNISETKFHAYECIDSVLSPISGTFSSPTMMLAETRCEGSILKPDPLADVSGVPSIKLWTNGGLFGVEPSKPPELGSVNTQNAPCMQNEPTSVDANSQGKVAGEPTNLDSSVQSNSTEDQFFARGYNTVQKFKGSPSCHDNQHVYNVKQDSNVSAEPFLQRKFEHNSEDTDVSNYASSDKLDMTRNSASSGAPLTDIYCTGSRQNGPSQSTVGISSSFSELAQRFLANTIHREASLSTPSGNINTEIRKPKGATSCLHDDIEVSNEVASQRTDEQSTNKKVAHVPAKEPVSFTSYYHEQSSPPLEYMKISSHPMNGLDNSKLKLDFSGGNLHENSEDATFPSFQLHHGPVDNLPVVLSESDDDTFHRSCPYSSEELLSPRSYTSSEQWEQEGRSEYVDHELNDVPYRFQSSTTSISRSMGFEQMNHSSISKPDGLEKFDAIIDSSKVPSQSVSAMELPGLDSVLSVKNQQERKFPSLRENPANVEVQSTNELPPPPPLPPMQWRTFKLSIKLDDNDPNISSNLNHLDELQPLRCPSQIKEQYLPGSPFVNEPISPHSDKIQDQLKLNWEKRSTRSVSHKEVDRREDLLDQIRNKSFNLRRAKISMPRDIPRPKTSITNANVAAILEKASAIRQAFVGSDKGGDDDNWTDA >Ma10_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20075056:20084483:1 gene:Ma10_g06640 transcript:Ma10_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRLELRNEYGLGDPELYRGGFRKEEPKAILDGVAVSGLVGILRQLGDLAEFAADVFHDLHEQITATAVRGRQMMTRVQNIESTVPSIEKAFGKQTSYVHFAYVAGSDWHARIRDEQSIVLSTELPRFMMDSYEECRDPPRLFLLDKFDHAGTGACLKRYSDPPYFKRVWTASQMENSENFQKEKKVHKTKRKGSRPRHGEVKHAVYISHHDSSGIRFASPSIDGQRFSAVNVSSPDMRLNLEFLSRSTSFASKTRENYAEQTSYVNPQGVPDDLDYSEVPNPNLHSKDSHLSVPVLHDEPSADGLYNVAQHDLPQEQSVPRSSSVTWDEKLEIVKPTSPVSYNDILVDRVQDLENVISVQDSEPLQVNFKTENVEHAKIEALNQEDILCHIGKTSVPVSGVSHFDEVSSETDNYVDAPNTLDSESETEAGCHTKSEVQILSNFSSQGMEPRTEMRQVIAVQSSEPSDVEAHNSSHSSLSQDVTPRFLHLSSLNGSEHVQSPYATEFLQKQEQTVVDDFCERNAPNISETKFHAYECIDSVLSPISGTFSSPTMMLAETRCEGSILKPDPLADVSGVPSIKLWTNGGLFGVEPSKPPELGSVNTQSKNFISDSRNFASDFSSRTLKSQTLVNESDAPCMQNEPTSVDANSQGKVAGEPTNLDSSVQSNSTEDQFFARGYNTVQKFKGSPSCHDNQHVYNVKQDSNVSAEPFLQRKFEHNSEDTDVSNYASSDKLDMTRNSASSGAPLTDIYCTGSRQNGPSQSTVGISSSFSELAQRFLANTIHREASLSTPSGNINTEIRKPKGATSCLHDDIEVSNEVASQRTDEQSTNKKVAHVPAKEPVSFTSYYHEQSSPPLEYMKISSHPMNGLDNSKLKLDFSGGNLHENSEDATFPSFQLHHGPVDNLPVVLSESDDDTFHRSCPYSSEELLSPRSYTSSEQWEQEGRSEYVDHELNDVPYRFQSSTTSISRSMGFEQMNHSSISKPDGLEKFDAIIDSSKVPSQSVSAMELPGLDSVLSVKNQQERKFPSLRENPANVEVQSTNELPPPPPLPPMQWRTFKLSIKLDDNDPNISSNLNHLDELQPLRCPSQIKEQYLPGSPFVNEPISPHSDKIQDQLKLNWEKRSTRSVSHKEVDRREDLLDQIRNKSFNLRRAKISMPRDIPRPKTSITNANVAAILEKASAIRQAFVGSDKGGDDDNWTDA >Ma08_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9590196:9592000:1 gene:Ma08_g12610 transcript:Ma08_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASLRVSPSLHFPHTESAPPQKPLVSPSRRDLLIPRFRTPLSQPLVSSAPPLNPVQKVLASILDAVESGIVAGLEGKRPLPRSVDPAVQISGNFTPVPESPPVHGLEVTGRIPPALFGVYVRNGANPMFPPAGGHHLFDGDGMIHAVSLSGPADASYSCRYTRTSRLLQEAALGRTLFPKAIGELHGHSGIARLALFYLRTAAGIVNPANGTGVANAGLVYFGGRLLAMSEDDLPYHVRVTADGDLETVGRHSFAGQQVTSMIAHPKIDPVSGELFALSYDVICKPYLKYFYVHPMTGKKSADVAITLRQPTMIHDFAITENYAIIPDQQVVFELSRMLHGGSPVRCDRSKTPRFGVLPRYDSDESRIRWIDVPGCFCFHLWNAWEETCREGKGRTVVIIGSCMSPPDAIFSDVEDAAGPIRTVLSEIRLDLETEESSRREIAPGLNLEAGQINRARLGRKTRFAYLAIAEPWPRCGGVAKVDLETGEVRRFDYGDDRFGGEPMFVPLRADGSGEEDEGFVVKFVHDESRGMSQLLIVNGRSMDLEATVRLPSRVPYGFHGTFVRSDDLRWQQQ >Ma01_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4463518:4468889:-1 gene:Ma01_g06240 transcript:Ma01_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRSPEPLDFFIWTIEDVGLWLEEINLGSYCQVFKDTGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLRGEQEVRKPWWVPQCLSAVFVKVAKRKRRSRVVSLKVEP >Ma00_p05400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:46386497:46387337:-1 gene:Ma00_g05400 transcript:Ma00_t05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding VELPLTHPELYEDIGIRLPKVVIPYGEPSPNFCTIYECLISGFGKLNFINFLAFFGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIEAVKDGCIGISPVYRAIFQPFSHIISKR >Ma10_p26230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34017980:34020384:-1 gene:Ma10_g26230 transcript:Ma10_t26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCSYSHRHHHHHHHHHAKGASMRLENIEEERPASCLPVSLRPPETPTEAMEFLARSWSLSAVEISKALTLFENRKPSDSTGVERQNSNPPLSAAREENPAVELKLPVADEGVGVSPPISPRDNIDLKLLRGAARGKTMGGWLKDQKEKRRTEARTRKAQAYAATSVAGVAAAVAAVVASAVFSPDASRTNCGNKITAAIASAAALVASHCVEIAQTMGAAHDQILKVVHSAVGAQTSGDIMALTAGAATALRGAATLRARLYKEIQGATAAGDDKESSDGCSSAFTFVAKGGELVKRTRKGILHWKQVSVYINSNWQVVVKTKSTHMAGTFVKKKKCFVIDVCANIPAWPGREVEDGSDQRAYFGIRTPERLIEFECKKCDEGIWIEGIRQMLDCRANMNIAKAM >Ma05_p19120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:26363731:26364611:-1 gene:Ma05_g19120 transcript:Ma05_t19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVSPLTAQAILPTAHNARLPSPRPFFPSSLATTPTISSFVRSRTNTKAHFRRFTPPVSVAAAEALDTLSGVDQVEDKEEAEAKQLSGAVVKPPEKPRLVLRFIWMEKNIGLALDQVIPGHGTVPLSPYYFWPRKDAWEELKSKIEEKPWISQKRMIILLNQATDIINLWQQSGGNL >Ma02_p01630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10612197:10613292:-1 gene:Ma02_g01630 transcript:Ma02_t01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFPDVAGEEPFRVFIGYDPREDVAYQVCRRSLLRRSSVPLSVHPIRQADLRAAGLYWRPRGPTESTEFSFTRFLTPYLAGHRGWALFVDCDFLFLHDPAALFALRDPSFAVMCVHHDYAPSETTKMDGAVQTVYPRKNWSSLVLYNCAHRKNVAALTPDAVSTQSGAFLHRFMWLDDAEIGEIPFVWNFLVGHNKVDPADPDNTAPKAIHYTSGGPWFERYKDCEFADLWINELEESNAEKAAEDEKKKGSAKNN >Ma02_p01630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10608761:10613258:-1 gene:Ma02_g01630 transcript:Ma02_t01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFPDVAGEEPFRVFIGYDPREDVAYQVCRRSLLRRSSVPLSVHPIRQADLRAAGLYWRPRGPTESTEFSFTRFLTPYLAGHRGWALFVDCDFLFLHDPAALFALRDPSFAVMCVHHDYAPSETTKMDGAVQTVYPRKNWSSLVLYNCAHRKNVAALTPDAVSTQSGAFLHRFMWLDDAEIGEIPFVWNFLVGHNKVDPADPDNTAPKAIHYTSGGPWFERYKDCEFADLWINELEESNAEKAAEDEKKKGSAKNN >Ma04_p06610.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4825771:4829273:1 gene:Ma04_g06610 transcript:Ma04_t06610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCYEAARIMEVGNLKGGGGGLGKEVHHGHGRTAHNMSSSSLRKKSDLSLVSKVRCSPLRALLANLQEIFLGTKLFVLFPAVPLAIVANYYHFGRAWLFALSLLGLTPLAERVSFLTEQIAFYTGPTVGGLLNATCGNATELIIALFALHQGKIDVVKCSLVGSVLSNLLLVLGSSLFLGGLANLHKEQLYDRKQADVNTGLLLLGALCHILLLMFRYAVNTGEQAVDAAPTLALSRACSMIMLLAYVAYLFFQLKTHRQLFESQEEDGDDDDDVVSEDEPVIGFASALLWLVGMTAVIALLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVSLGSATQISMFVVPLSVIVAWIMGIKMDLDLKLLETSSLIMAILVIAFTLQDGTSHYLKGLVPLLCYLAIGACFFVFDSQTGEYNSFYPLFLLHNLASLHQKMHVDSDLNGITDQNNGIDAGGLTTTAAVTKAY >Ma04_p06610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4825779:4829273:1 gene:Ma04_g06610 transcript:Ma04_t06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCYEAARIMEVGNLKGGGGGLGKEVHHGHGRTAHNMSSSSLRKKSDLSLVSKVRCSPLRALLANLQEIFLGTKLFVLFPAVPLAIVANYYHFGRAWLFALSLLGLTPLAERVSFLTEQIAFYTGPTVGGLLNATCGNATELIIALFALHQGKIDVVKCSLVGSVLSNLLLVLGSSLFLGGLANLHKEQLYDRKQADVNTGLLLLGALCHILLLMFRYAVNTGEQAVDAAPTLALSRACSMIMLLAYVAYLFFQLKTHRQLFESQEEDGDDDDDVVSEDEPVIGFASALLWLVGMTAVIALLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVSLGSATQISMFVVPLSVIVAWIMGIKMDLDLKLLETSSLIMAILVIAFTLQDGTSHYLKGLVPLLCYLAIGACFFVFDSQTDQNNGIDAGGLTTTAAVTKAY >Ma04_p06610.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4825778:4829273:1 gene:Ma04_g06610 transcript:Ma04_t06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCYEAARIMEVGNLKGGGGGLGKEVHHGHGRTAHNMSSSSLRKKSDLSLVSKVRCSPLRALLANLQEIFLGTKLFVLFPAVPLAIVANYYHFGRAWLFALSLLGLTPLAERVSFLTEQIAFYTGPTVGGLLNATCGNATELIIALFALHQGKIDVVKCSLVGSVLSNLLLVLGSSLFLGGLANLHKEQLYDRKQADVNTGLLLLGALCHILLLMFRYAVNTGEQAVDAAPTLALSRACSMIMLLAYVAYLFFQLKTHRQLFESQEEDGDDDDDVVSEDEPVIGFASALLWLVGMTAVIALLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVSLGSATQISMFVVPLSVIVAWIMGIKMDLDLKLLETSSLIMAILVIAFTLQDGTSHYLKGLVPLLCYLAIGACFFVFDSQTDLNGITDQNNGIDAGGLTTTAAVTKAY >Ma06_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2443928:2450257:1 gene:Ma06_g03320 transcript:Ma06_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKLLLPPLLPLLLLLILHPSPSIADHLPSHGCFWTESCQSIWLGGCGAGLVVADQSDNCNGLCGESASPPCLPFHTHFHCCKPENPRITNRCTRCKNKLDFGDEYICCMDCSDPYLIDKNTKLGYCKTGAELAVQLKPHEAFKWVAGPWMKCSSPCDGGVRYRDVGCYASTDDSSIKHYPVDDSRCSDQQMPVKQEPCNQQACGDMSSSDPRDKPSGMSGWLVALLVLLGLVAASGVGFAGYTYYKRRTSAPSGFVYIMLEGYS >Ma03_p27450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30838916:30841603:-1 gene:Ma03_g27450 transcript:Ma03_t27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G29820) UniProtKB/Swiss-Prot;Acc:Q94AF0] MENGETFHCNDSGDGGGGSNVVEIYPLSRYFFGSKDAIPDKDDCLADRIQRMKANYAARGMRTCVHGILLVELFQHPHVLLLQVRNSFFKLPGGRLRSGESDVEGLKRKLSRKLSSEENGTNDDWQIDELIGMWWRSDFETLRFPYLPPNLRRPKECTKLFLVKLPMTRHFIVPRNLKLLAVPLCQLHDNSETYGPIISGIPQLLSKFSFNIIQD >Ma09_p18760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18947604:18949279:1 gene:Ma09_g18760 transcript:Ma09_t18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAESSGGGGGGSSRYLQHLLGPPLRPAALSTHISSEDSKPSPEESQNLPAEHGDGESDQPSTSAAAGGPVRRPRGRPPGSKNKPKPPIIVTCDSPNSLHSHVLEVAGGADVVECVTEYARRRGRGVSVLSGSGDVVDVGLRQPGALPPGSVVTTLRGRFEILSLTGTILPPPTPPGASGLTVFLAGGQGQVMGGSVVGPLVAAGPVVLNAASFANAMYERLPLEGEEEAAAEGQQPGTLQSSGVTGGGDGVGASGVPFYNLGGNMGGYQPTGDAFGWGGGGVRPPF >Ma09_p18760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18947579:18949279:1 gene:Ma09_g18760 transcript:Ma09_t18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAESSGGGGGGSSRYLQHLLGPPLRPAALSTHISSEDSKPSPEESQNLPAEHGDGESDQPSTSAAAGGPVRRPRGRPPGSKNKPKPPIIVTCDSPNSLHSHVLEVAGGADVVECVTEYARRRGRGVSVLSGSGDVVDVGLRQPGALPPGSVVTTLRGRFEILSLTGTILPPPTPPGASGLTVFLAGGQGQVMGGSVVGPLVAAGPVVLNAASFANAMYERLPLEGEEEAAAEGQQPGTLQSSGVTGGGDGVGASGVPFYNLGGNMGGYQPTGDAFGWGGGGVRPPF >Ma08_p30640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41833120:41836995:1 gene:Ma08_g30640 transcript:Ma08_t30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTSALIVWKGLTCVTGNESPVVVVLSGSMEPGFKRGDILFLQMNKDPIRVGEIVVFNVDGRDIPIVHRVIKVHERHNTGEIQILTKGDNNGEDDRVLYAHGQLWLEQHHIMGRAVGFLPYVGWVTIIMTEKPIFKYLVIGALGLLIITSKE >Ma08_p30640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41833038:41836995:1 gene:Ma08_g30640 transcript:Ma08_t30640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVADSLDSIRSSQIRHVLTQIVSLGMIVTSALIVWKGLTCVTGNESPVVVVLSGSMEPGFKRGDILFLQMNKDPIRVGEIVVFNVDGRDIPIVHRVIKVHERHNTGEIQILTKGDNNGEDDRVLYAHGQLWLEQHHIMGRAVGFLPYVGWVTIIMTEKPIFKYLVIGALGLLIITSKE >Ma00_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31919717:31920561:1 gene:Ma00_g03890 transcript:Ma00_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSHYLLRPAFSSAWPPSLRPPCIRPVASAHLSTASAPHQPTERVSAIVDEISRLSLLEVADLTEALRTRLGVEQMPVMAIMTPGMGAAAGFPGPAGAAGAAPEEKEEKTAFDLKLESFDAAAKIKIIKEVRTFTDLGLKEAKELVEKAPAVLKKGVPKEDAEKIVEKMKEIGAKVVLE >Ma02_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28283110:28284636:1 gene:Ma02_g23150 transcript:Ma02_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKAVVGVVDDMGENMQCVDHPYRSNPGGVCAFCLQEKLGNLVSSSKSTPFFSLQPAPSSSSSSPTSFRSDAGVVVGGGVGFASGYSRAGSAGGGRRTKFPFLAASHSKNKKSGGGGGYGNGGRKVMASVATTTSNTDVSASARNDGGFVLNRSRSVAPRTGGGLVQGGGGIRESAVADSPRKKSFWSFLYLSSASSTHTASSITNDNSSSSSNVNRRRSTSSSSVGRGDRDVSDKQQKQQDDPCTAGPMQGVNGVDEAESPCGSQSSSSFGRKVARSRSVGCGSRSFSGDFLERISTGFGDCTLRRVESHREAKPKAVLNLEHHDNEGEQLRPTVRERVSCGGLFGGFGMMSSFYWLSAATADDGFDDSSRIPAATRPTAAAAQGGGRTRSWGWALASPMRAFKPYSKSLNAINNAAASAAPATNVISSINGSNKMVSDGGSSSRKGHKLAANPSSLAVGS >Ma00_p05270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:45730912:45731454:1 gene:Ma00_g05270 transcript:Ma00_t05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMKRERMLTIRVTDDEHARLLERCEGKQLAVWMRRDQRKITQGQCQRFVNTDVGVPQGSQQHPAMQIRNIMVQGADFRVSRLYETRKPKTIHVVAQPSRVLNDRSTIMRTRGQDPTLPEMRRVRLLEMADAMDMFCQGLVCAFTVLRKN >Ma05_p31870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41594825:41597007:-1 gene:Ma05_g31870 transcript:Ma05_t31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g08820 [Source:Projected from Arabidopsis thaliana (AT3G08820) UniProtKB/Swiss-Prot;Acc:Q9SR82] MAAALVCRPLPFPSVPLPSKSSELKQLLLLFLPQRCHPPKPIHARALRLGLIQDSHLIYHLLASYFDAGHPSHACLLFHAADHPRNIFHWNAMIRGLVSVDQTEDAVQFYATMRRWGPSPDNFTFPFVLKACARLQFLEGGTKIHAHVIKTGHQVDVFVKTSLVSFYAKCGLLNTAHKLFEDMPVRNVVSWTSIISGYIGGGKLEEALNMFRSSLDMGLVPDGFTLVRILTTCSHLGDMETGEWIHRYAEDKGIDNNVFVATSLVNMYAKCGSMEKARAVFDKMVVKDVVSWSAMICGYSSNGLPREALELFFKMQNTNVRPDCYTMVGVLSACARLGALELGQQASQLMDASDFLMNPVLGTALIDMYAKCGSIVRAWSIFKRIMEKDLIVWNAMISGLAMTGHGKLSFGLFAQTEKLGIQPDGNTFIGLLCSCSHTGLVEDGRRYFDSISRVYCLTPRVEHYGCIVDLFCRAGLLNEAYQLINEMPMEANAVVWGAMLGGCKLHRDTKLAEHVLKKLIELEPQNSGNYVLLSNIYSTTERWNDSARLRLVMKEKGIQKTPGCSWVELKGVVHEFHVGDISHPLSNEIYSKLDELGRKLKQRGYMPTTEVVLFDIEEEEKEHSLGHHSEKLAIAFGLISMGPEDTIRVVKNLRVCNDCHAAIKLFSDITNREIVVRDNNRFHCFKDGSCSCNDYW >Ma10_p05440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16720812:16726178:-1 gene:Ma10_g05440 transcript:Ma10_t05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGGPVRMSSDQQAHSDEPPAIRSHTTDATEEHPQQEGVRDERPAAISERYWQLFNDPGLSPPIANPGGPSSVPPEAFYNLTHQVRALTGVMQTIIPLVSPPTSSHSTLPPPRQRSAAQNPAPLPGSPASPPGQSTQPGSRGAEEPAAHPTPVAPLADSAEGLWAQLRLVGRRLDEVQREVRRTKGDSGAEQHQGSPFTPEIQEQAIPPHFRLPAFPTTLRGPARTWYSSLKAATIASFDQLARDFELNFLAHAKPKPSVAMLLGLNQREDEPLSHFVNRFTTQIRELSDAHPSLLMQAFMMGLRPTRFFWSLVERPPTSVPEMLQRANQYIAAEAWMVGKRNERKRVKPEQSQQQQPATSRRRAGSLNDAVPRSPSPSLNSSRTEIFLHIKEKGLLKDPYPMRSPRELADRSKYCRFHRQPGHDTEECRELKRQIEELIRRGHLGSYLRPDKELSPRPEGPIERHIDVITGGPASGGSSMAGGKAYARASQAEAFKHEKGPEVTFPTGEPEPTENDDALVISARIANAQVSRIMVDTGSSADILYWDAFQKLGLVKENMKPVNSTLTGFTGASISSIGIITLPLTLGAFPKAKTVMTSFLVVDLPTAYNAILGRPTLNKTRAVISTYYQTIKFPTHDGVGEVAGNSWESRRCYLTAVSLNKRARIQSPLEDPREGKKTTPRPEPKESTIDLPLVEGSPDRTVKIGSGLPEQEQRQLVGLLQANADIFAWTPADLAGVHPEVALHHLNISSDARPVKQKPRRQAPDRQLAIREEVNRLLAAGFIEEARYPQWLSNIDQLVDATAGHARLSFMDAFSGYNQIRMAPEDQEHTAFLTEQGIYFYKVMPFGLKNAGATYQRTVNKMFAHQIGRNMEVYVDDMIVKSRTAEAHPSDLAEAFDTLRRFGLRLNPAKCAFGVTSGKFLGFIIHERGIDADPEKIQAIIDMQPPRTIRDLQRLNGRLVALSRFLSRSGDRCHSFFQALKDPKNFRWTAECEKAFEQMKLHLASLPRLASVSPGEKLSLYLAISRHAVSSVLVKEMSGDQLPVYYVSHMLSGPEERYPPIEKLALALVLSARKLRPYFQAHPIEVLTDQPLRLVLSKFDVAGRLLKWAVELGEHDIQYIPRTAIKAQSVADFIAELTPNTGEELEPPRDTWTLHVDGSANAKGAGAGLVLVTPDGRSIERSFHFRFRATNNEAEYEALLAGLQLALEMRVADIRVITDSQLVARQLGGEYEARDPTMAKYLAQVKSLAAKFAHFELSNVPRGENQRADTLAKLASGPAPWARSETEELPRRAIEVVAAVAHDAPATWIQEMLRFKQDGTLPDNATAARRLRRTQAWYAEEGGRLYKRSFSRPLLRCLEPGEARTVLSDMHEGACGEHIGERTLAHKVLRQGYYWPTLRQDAKAFVRRCGSCQEHARTARRPAVLFTPVDCAWPFAQWGLDILGPLSPASGQRKYIIVGVDYFTRWVEAEPLATITESQVERFVWRNLITRFGLPQSIVTDNGPQFAGRKFQEFCARHKIQLRFSSVAYPQANGLAEVTNRSIVDGLKRRVSATRSAWVDELPSVLWALRTTPKTPTGESPYSLTFGTEAVLPSEATVPTPRTAGYSEEASGEGLRSNLDLLEERRANAHQKALSYKRAVARVYNRNVRPRSIKLEDLVLRKVEVSHPTQARGKLAPKWEGPYRVIGVSRPGTFRLATMEGNPVPRTWNVQNLRKYFV >Ma05_p29890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40444109:40447873:-1 gene:Ma05_g29890 transcript:Ma05_t29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPGSVDYWRKFFRSADSDIFGVMEHAIVVAASDYPEEFRSRRDGLVEKFFAALLPRCLGCDRVDPRGAEVEEGRGSVRRVGEKENKVDSSNDGPESSTRVVSNYTYDEAEALTEEIEEEGQIVEEVLSIKEILANHHEESDNVLFELLRRLQLMELSVDVLKVTEIGRAVNGLRKHNSQQIRHLVRALIDGWKVLVDEWVSATSAIADNSPDSVNPSIVDDEEGLPSPPLDEGALFTAQTTSIRLSEFFDGMDDDGNFRNNWEFDKKRQNRRRPTGNCETVRIQQPIQQLDIVEEENVEMRRQEAQRSILPEENIRRQESQQLAISEEKLHNNRQELETRQSKPHNISIEQAKSQSIMSKQSKPVIAESGPGRPVKYTSELNVCSKVKPGPRQDTATLRRKPPMIPQNEPMYFEEASVRAKLEFAKRKLHEGYRQAENAKKQRTIQVMELHDIPKQAHNSRQPILKSKNHFRNWANGWH >Ma09_p02040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1504524:1505031:1 gene:Ma09_g02040 transcript:Ma09_t02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVRLNNEYLLSELSFPWLVQENNPCCCIDCNDVGTNNMKEQNVFETLIGKQRQILLATQVVKMILKIDDASFAFYGCMCFWANWSSEVRITQKLTNRCN >Ma01_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10258187:10270761:1 gene:Ma01_g14020 transcript:Ma01_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAREEALMGSQLKRPNVPRADPSGQTHMAPAPATGSTPKLTTNDALAYLKAVKDIFQDKREKYDEFLEVMKDFKSQRIDTNGVIMRVKELFKGHRDLILGFNTFLPKGYEIKLPEEKKPVEFEEAINFVNKIKNRFQNDDHVYKSFLDILNMYRRENKSIHEVYQEVAALFQNHQDLLEEFTHFLPDASATFAPHYPYSGRPFVRRDDRSSIMPTARHVHGDKRDRAYTSHADRDFSVDRPDTEHDRQRRLAEKEKDRKEDRDKRDREWDEKDMDHDSGDLGNTHPRRKHSSKRVDDSVAEPMQQGGDGADGIYSISASSFDDKNALKSVYTREFNFCEKVKEKLHPDTYQEFLKCLHIYSKEIINRTELKNLVSDILGKYPDLMDGFNEFLAHCENIDGFLEGVFNKRHIARPVKLEDGDREREREMDKREKDRERERVDKGALYNSKEGASHKATLFTNKEKYNLWKPISELDLSNCQRCTPSYRLLPKNYPIPPASHRTELGVSVLNDVWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNITTKRVEELIEMMQDPVKSENPTRMEDHLNSLNLRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEINDKMKKEDDILVTIAARNRQPIVPNMAFEYVDVSIHEDLYQIIKYSCGEVCTSSDQLDKVMKIWTTFLEPLLGVPSRNQLAEDAEDVKPKSRVVKANLSVVGESNGSPGADYAGASKQSNGDENIPSEQTALCRTRSANGDTTVTENGFHDVDQTTRHGENLCNNLLQGRVQGSAPMADEVSGITGTNVSAERMPDTTSVAGRAEQCHNRTNREIVTGASGASRAANFGNETLVEPRATNENLPASEGVQTGRPILAANGGNTTEGNKGHRPSEGSASLNNLKVEREEGELSPNGDFEEDNFVTFEDVAVNVTPKGKDGSSSRQYQVRPGEVEASCGEVAGENEADADDEGEESAQRSTEVSGNASEAGEDVSGSESGDGEECSHEDHEEEEDDAGNDDQDAKAESEGEAEGEITSLPFSERILHTVKPLARHVPTALHDKEDKSSRIFYGNDSFYVLFRLHQTLYERILSAKTNSLAAEKKWRSSKDTSPPDLYAKFMSALYHLLDGSADNTKFEDDCRAIIGTQSYVLFTLDKLIYKVAKQLQAIASDEMDNKLLQLYLYEKSRRSGRSSDLVYHENARVLLHDENIYRFECCSQSSSMTCLSIQLMEYGHEKPEVTAVTIDPSFSAYLCSDFLSSVPDKIGAEGVFLGRNKRKYVGDDENTSTCKTMVGFQVINGLECKISCSSSKVSYVLDTEDFLFRVRKKRRYSCGGSIFHDQAQPSQVHDTKVQLFHQFLISFLSRS >Ma10_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31154156:31167021:1 gene:Ma10_g21260 transcript:Ma10_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G32520) UniProtKB/Swiss-Prot;Acc:Q94JQ3] MSASSGVVSGSFHQTLGIKGSSLYLKHHVANRYPDNTRFNNRRSCKAFSVQGSLVTGRPSSSVSVSDAEMGGSIDTLKDYSLKVADPELHALIEKEKQRQFNCLELIASENFTSRAVMEASGSCLTNKYSEGLPGKRYYGGNEYIDQVETLCQQRALEAFHLDKHKWGVNVQPLSGSPANFEVYTALLSPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIFFESMPYRLDESTGFVDYDMLEKTALLFRPKLIIAGASAYPRDFDYPCMRKIADAVGAFLMMDMAHISGLVAAEVAADPFEYCDVVTTTTHKSLRGPRGGMIFYKKDLVLGVDLESAISNAVFPGLQGGPHNHTIAALAVCLKHAQSPEFKAYQSQVISNCKTLATRLVELGYKLVSGGTDNHLVLVDLRPQGIDGARVEKILDISSITLNKNSVPGDKSAMVPGGIRIGTPAMTTRGLKEKDFEAVADFIHEGVQIALKAKACMKGTKLKDFFDYVESPDFSLKESVSELKKKVEALTNQFPMPGV >Ma08_p11830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8815556:8817830:-1 gene:Ma08_g11830 transcript:Ma08_t11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGIGPMKQSPGNPFLSVSKQQQQYHVNPNATQAIGPYSNIGAECGKRSGVPPASPCSQLPSLPPRSPPLAVAAQQLPPVARHHSRSRSQPTFFSLDSLPTLICRGDSSSTTSLSDSVSADVSMDDHDVPSSHSPPLPPNNVAAAAAAQERDDLPPRKTHRRSQSDVPSAFFPPSLPVQAATAAPFAGGFLDSAKLTVAGVKLENHWDVGLDADAVSGDDLFNAYMNLDGFDALNSSEDNREDLNSRDSGSKTNAADSSENEADSKAKEHLKGGLKRNAAGDPSQVMAASRHCRSFSMDSFMGKFNLEEEPQKLLPSSGLRARQSSKSNSFDVAPNTFSLEFGNGLFTAAEMKKIMENEKLVEMAMTDPKRVKRILANRHSAARSKERKMRYIAELEHKVQTLQTETTNLSTQLTFLQRDSAGLTNQNHELRFRLQAMDQQAQLRDALNEALTAEVQRLKLAATGLADAHPSKSLNQQASMDPQRYQLQSKLQRAHVPPYQLQKQQDDTVMDSRSEQ >Ma02_p15560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23208506:23208685:-1 gene:Ma02_g15560 transcript:Ma02_t15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDYSTAQDLCCKDHHKWNGTEHCLGAADNDACNAWCMSDCRGGECNVRAGLHYCHCYC >Ma06_p12360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8589744:8592256:-1 gene:Ma06_g12360 transcript:Ma06_t12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILLEVLPGDIDLLDPPPELEKRRHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVICGNCQTVLCQPTGGRARLTEGCSFRRKTD >Ma07_p28280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34384239:34387779:-1 gene:Ma07_g28280 transcript:Ma07_t28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLSQPSPEVEEAEWDTDGFVIPSLTIGDFDPSDTNVPGVTDSKPPATTTIEAEKIYLGPHGAPPSQAKQQEVKASGRKPSFKHKLKEADRRYSGTGRENKVETLQQLVGGKVSSATMLRSSPRDWLDPHCHESQFEKYP >Ma07_p28280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34384239:34387697:-1 gene:Ma07_g28280 transcript:Ma07_t28280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLSQPSPEVEEAEWDTDGFVIPSLTIGDFDPSDTNVPGVTDSKPPATTTIEAEKIYLGPHGAPPSQAKQQEVKASGRKPSFKHKLKEADRRYSGTGRENKVETLQQLVGGKVSSATMLRSSPRDWLDPHCHESQFEKYP >Ma09_p04060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2658128:2665309:1 gene:Ma09_g04060 transcript:Ma09_t04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQKKGGFVPVSPSQTPRSTDKHGRDFRSLDGNGNSSSKLDRDKGVNVQVILRCRPLSDDEARLNTPAVITCNEHRREVLAAQNIANKQIDRTFTFDKVFGPTSKQKDLFDQSIAPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKAKNGEFPSDAGVIPRAVRHIFDILESQCAEYSMKVTFLELYNEEITDLLAPDESKFSDDKSKKPIALMEDGKGGVFVRGLEEEVVYTAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSILCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSAMIKDLYSEIDRLKQEVFAAREKNGVYIPRDRYLIEEAEKKAMTEKIEKLELDLDTKDKQLVGLQDLYNSQKQLNADLSDKLEKTLKKLVETEHAFLDLEERYRQAKSTIKEKEFFISSLLKSEKALIEHAYELRSELENAAADVSALFSKIERKNKIEEGNRILVQKFQSQLNQQLDILHKSVVASVMQQETQLKEMEEDIQSFVSTKSKATEELKVHVERLKAMYGSGIRALDDLAGELDKNSEYTFGRLNSQVLNHSSSLEDCFKGMALEADQLLNELQESLSKQEDKLAAFAQQQREGHLRVVESTRSISKITSNFFQTLDIHASKLTKILEESQNIQDQQLHDLEKKFEECAANEEKQILEKVAAMLAGSSARKKNLVQTAVDSLRATAADRTSNLQKEMSTVHDFTCSVKDQWKIYMEETENHFVEDTAAVETGKHGLEEGVRNCKAKVRTGTLQWRDAQNSLLTLGKGNVASVDSIIRGGLDANQLLRSKLSSAVSSTLEDVVISNKNLLSSIDCSLKLDQDACENFDCLLIPCHGELRELKSGHYHKIVEITDNTGKCLEEEYVVDVPSCSTPKRRPINLPSVASIEELRTPAFEELLKSFGDVVKQANGDVKHFSGSYETQLQSSRDSRVPLTAIN >Ma09_p10150.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6926890:6932260:1 gene:Ma09_g10150 transcript:Ma09_t10150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSYPCCLLILSMILILTPCAYQLQPAEVLSLLRIKRLLNYPAILSRWNVDTDFCSYEANPYVTIICYEESITQLHITGNKSAPPLPRSFSMTLLFATLYRLPNLKVLSLTSLGLWGPLTGKISRLSSLEIVNMSTNYLYGAIPKQVSRLTNLQTLILDHNMFSGRVPDLLGELPRLSVLSLKNNSLSGPLPDSFSSLKPLRVLVLSSNSLSGELPDLNSLSNLQVLDLENNYFGPRFPSLGRKVVTLVLRKNRFSGGLPAEVNTYYLLEHLDISFNRYTGPFPASLLSLPSIHYLSISGNRFTGMLLQSMSCNGELEYVDLSSNLLTGNLPTCLISDSKNKVTLYSANCFATEDHSQHPLSFCQNQALAVGIIPHKENKVSGAKATLMIGITGGIFGSIFLGMIIFFSLKKATMKPALNKSQRSLAEHASVGYSSQLLPDASYILQTMKLGELGVPPYRSFSLEELEAATNNFDTSSFMGEGSHGQMYRGKLQDGSLVAIRCLKLKKALNSQNFSRHIELISKLRHHHLVSALGHGFEYYLDDSSVSRLFIVFEFVSNGTLRSNISEGVPGETLTWTQRISAAIGVVKGIQFLHGGMVPGLFANDLKITNVLLDEHLVAKISSYNLPILAEHMKCEMMVGSSSSGLREPNERTKYMDKIDIHDLGVILLEIITGRPIIFNSEVVNIMKNQLQESIAADGIARMSFVDPVIINACCDESLKTVMEICLRCLSKEPTQRPSIEDVLWNLQFAAQVQESWRRYSHSSEESPLSPSLPSQSPIALSC >Ma09_p10150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6926890:6931933:1 gene:Ma09_g10150 transcript:Ma09_t10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSYPCCLLILSMILILTPCAYQLQPAEVLSLLRIKRLLNYPAILSRWNVDTDFCSYEANPYVTIICYEESITQLHITGNKSAPPLPRSFSMTLLFATLYRLPNLKVLSLTSLGLWGPLTGKISRLSSLEIVNMSTNYLYGAIPKQVSRLTNLQTLILDHNMFSGRVPDLLGELPRLSVLSLKNNSLSGPLPDSFSSLKPLRVLVLSSNSLSGELPDLNSLSNLQVLDLENNYFGPRFPSLGRKVVTLVLRKNRFSGGLPAEVNTYYLLEHLDISFNRYTGPFPASLLSLPSIHYLSISGNRFTGMLLQSMSCNGELEYVDLSSNLLTGNLPTCLISDSKNKVTLYSANCFATEDHSQHPLSFCQNQALAVGIIPHKENKVSGAKATLMIGITGGIFGSIFLGMIIFFSLKKATMKPALNKSQRSLAEHASVGYSSQLLPDASYILQTMKLGELGVPPYRSFSLEELEAATNNFDTSSFMGEGSHGQMYRGKLQDGSLVAIRCLKLKKALNSQNFSRHIELISKLRHHHLVSALGHGFEYYLDDSSVSRLFIVFEFVSNGTLRSNISEGVPGETLTWTQRISAAIGVVKGIQFLHGGMVPGLFANDLKITNVLLDEHLVAKISSYNLPILAEHMKCEMMVGSSSSGLREPNERTKYMDKIDIHDLGVILLEIITGRPIIFNSEVVNIMKNQKA >Ma09_p10150.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6926878:6932260:1 gene:Ma09_g10150 transcript:Ma09_t10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSYPCCLLILSMILILTPCAYQLQPAEVLSLLRIKRLLNYPAILSRWNVDTDFCSYEANPYVTIICYEESITQLHITGNKSAPPLPRSFSMTLLFATLYRLPNLKVLSLTSLGLWGPLTGKISRLSSLEIVNMSTNYLYGAIPKQVSRLTNLQTLILDHNMFSGRVPDLLGELPRLSVLSLKNNSLSGPLPDSFSSLKPLRVLVLSSNSLSGELPDLNSLSNLQVLDLENNYFGPRFPSLGRKVVTLVLRKNRFSGGLPAEVNTYYLLEHLDISFNRYTGPFPASLLSLPSIHYLSISGNRFTGMLLQSMSCNGELEYVDLSSNLLTGNLPTCLISDSKNKVTLYSANCFATEDHSQHPLSFCQNQALAVGIIPHKENKVSGAKATLMIGITGGIFGSIFLGMIIFFSLKKATMKPALNKSQRSLAEHASVGYSSQLLPDASYILQTMKLGELGVPPYRSFSLEELEAATNNFDTSSFMGEGSHGQMYRGKLQDGSLVAIRCLKLKKALNSQNFSRHIELISKLRHHHLVSALGHGFEYYLDDSSVSRLFIVFEFVSNGTLRSNISEGVPGETLTWTQRISAAIGVVKGIQFLHGGMVPGLFANDLKITNVLLDEHLVAKISSYNLPILAEHMKCEMMVGSSSSGLREPNERTKYMDKIDIHDLGVILLEIITGRPIIFNSEVVNIMKNQLQESIAADGIARMSFVDPVIINACCDESLKTVMEICLRCLSKEPTQRPSIEDVLWNLQFAAQVQESWRRYSHSSEESPLSPSLPSQSPIALSC >Ma06_p02860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2147983:2154614:-1 gene:Ma06_g02860 transcript:Ma06_t02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERKLPLQSSAAHNSAAGGGTAEAPAPAGGKKTIEQIYQKKTQLEHILLRPDTYIGSVEKHTQPLWVYENGEMVHRPVTYVPGLYKIFDEILVNAADNKQRDPSMDTVKVEIDVDANRISIYNNGDGVPVEIHQEERVYVPELIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSAEFIIETADGRRQKKYKQVFSDNMGKRSDPSITKCKEGENWTKVTFRPDLAKFNMTRLEEDVVALMKKRVVDLAGTLGKSVKVELNGQRIPVKTFSDYVNLYLQSASKSRTEPLPRIAEKVNERWEICVSLSEGQFQQVSFVNGISTIKGGTHVECVTNQITNHIMTVVNKKNKNANLKAHNVRSHLWVFVNALINNPAFDSQTKETLTTRQGSFGSKCELSQEFLKKVAKSGVVNTLLTWADFKQSKELKKTDGAKRQRITGIPKLEDANDAGGRNSDKCTLVLTEGDSAKALAMAGISVVGRNYYGVFPLRGKLLNVREANHKQIMDNAEIQNVKQILGLQHGKEYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHTFWPSLLKVPSFMVEFITPLLKATNNKNKTVLSFYSMPEYEAWKESLGGNSSGWSIKYYKGLGTSKSEEGKEYFKDIDKHKKEFVWADEQDGNAIELAFSKKKIEARKNWLRQFEPDNYLDQKQKLIKYSDFINKELILFSRADLQRSIPSMVDGLKPGQRKILFCAFKRNFVKQAKVAQFVGYVSEKSAYHHGEQSLSTTIIGMAQDFVGSNNINLLLPEGQFGTRHQGGKDHASARYIFTCMSPVTRFLFSKDDDILLDYLNEDGQSIEPTWYMPVIPTVLVNGSEGIGTGWSSYVPNYNPKDIVANIRRLLNDEPMQPMDPWYRGFKGRIEKSATKEAGVTYTIAGVIEEVDNTTLRITELPVRRWTQDYKEFLESMMTGNDKIKEPFIKDYREYNDDKTVHFEVTLTEENMNIARQEGLEKKFKLTTTIGTTNMHLFDSKGVIKKYDNPEQILEEFFHMRYEFYEKRKKALLDNLELDLLKLDNKARFILGVVRGEIIVSNRKRADLFLELQQKGFTPMPKKKKGIDAVVAGAVEEEEDQEEESPEVGKGGVKASDYEYLMCMPIGSLTLEKVQELCADKDRLEGEVDELRRTSAKSLWLKELDALEEELDKLERKDAEDEGVRKEMRMKTTAGLAPSRPGPKKPRKNAANNSSVVGSEATATDAGQKKPRGATKRAPAKQRVVVESGDEDDDILALKDRLAAYNLDSSPDHHPAMETTDAVVGQGEDKKQADRNSAATRKATSIDLSDDEDNEAGNHMPAIDEDNDEDFSVVEAPKGGKGRGKKPAKEKATTTATRKRGLALPVSSQKRITEVLKPAENATTRISPQKKVRKMRASPFNNKSGSVLGRLKGSPSGSEDSGGSSNGGPSPINEVAAARTRPRRANSTRAVYVLSDSEVEEESADSDFEE >Ma10_p01960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5685279:5694120:-1 gene:Ma10_g01960 transcript:Ma10_t01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSSALARQTWELENNIVSVDGGSGGNGSGGSDADAIYYYDEAVLAKFQQEKPWTQDPNYFRRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDTIIVMDAFALPVEGTETRVNAQADAYEYMVEYAQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPQGYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSALDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQISDLAEKLEQAENQLAHTRLGSFLLPSQRKKEPEESQLAKITRDSSKITVEQVHGLMSQVIKDILFNSVHHTSCIPPSLTDSSGPEPMVES >Ma02_p04540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15918794:15921326:-1 gene:Ma02_g04540 transcript:Ma02_t04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWLLSASGGIKVNHPKMSNDVVVLVAVVILIGLFSLQHCGTDKVGWLFAPIVLLWFLLIGAIGALNIWKYDSSVLKAFYPIYIYRYFRRRKRDSWISLGGILLSITGTEALFADLCHFPVLAIQISFTLIVFPCLLLAYTGQAAYIIHHNGHVSDAFYRSIPDGVYWPMFIVATAAAIVASQATISATFSIIKQAHALGCFPRVKVVHTSKNFLGQIYIPDINWVLMILCIAVTVGFKNQSQIGNAYGTAVVIVMVVTTFLMIPIMLLVWRSHWILVSIFTTLSLSVELPYLSAVLFKIDQGGWVPLVIAAAFLVVMYVWHYCTVKRYELEVHSRVSMAWMLGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFICIKYLPVYTVPLEERFLVKRIGPKSFHMFRCVTRYGYKDLHKKDDDFEKMLFDSLSLFVRLESMMEGYSDSDENSLQATQVTDKSIALTAARENGNWQTSNTTSDELEFLNRCKEAGVVHILGNTIVRARRNSGIVNKIAVDYIYAFLRRVCRENSVIFNVPHESLLNVGQMFYV >Ma02_p10360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19974337:19975698:1 gene:Ma02_g10360 transcript:Ma02_t10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAALDRNSNGRSFIGLVCFSAFVRPTEKPNLLRQEAKISGFSGLFVAASRRGTSHSCGNHLDTVLCEENAGSDLDLLSRRPPAPGSSGMESLGWGNPTATYSNFLSSSEDYSGMTGKLELEACFPSSSIQLLGIMACGASPDSDGGSSEMVETLVPAAYGTSSAPLHHQGAVWGDALLARDCPPFPPISRPMIVDQGFSIAQNLASSDEFPVDSNITSDEDVLSAIFSGSGNFGERRWNKDEVAAAHVSPDPVPLNPNTATNFEEGSRIGGSTEGLLRPRPLLKEEGLRIASSQHKLKKPRSVMHSGCSAISLGHGSNYEPDTEAIAQVKEMIYTAAALRPVTLVAEEAAEKPKRKNVKISNDPQTVAARHRRERIGERLRILQKLVPGGSKMDTATMLDEAANYLKFLKTQVRNLETLGNRNYDRNSAMHSFPLALNQAYIMQRLLPTQKP >Ma11_p06520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5301218:5304712:-1 gene:Ma11_g06520 transcript:Ma11_t06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Ma03_p15300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15100013:15102702:1 gene:Ma03_g15300 transcript:Ma03_t15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVVIVGAGISGLAACKHVLERGFCPVVFEAEAGVGGLWAHTLASTRLQSPNWEYRFTDFPWPAGVTETCPRHEQVLGYLESYARHFDLLRWIKFESRVVAVEYVGASEEEMAAWELWAGNGAAFGGAAKGEWHVAVQHKGHDSTEIYRADFLILCIGRFSGVPNFPSFPPDKGPEVFDGEVMHSMDYFNLANPTAAALIKGKRIIVFGSGKSAFDIASECADVNGVDLPCTMMLRTKRWMVYESAIERFPLYEYFYRSRFSELLLHKPGEGVLLSLLATFLAPLRWLFSKIAEMYFKWKMPLQKHGMVPEHSFFQSVTSCLITLMPEKFYDKVEEGSIVLKRPQTFSFCKNGLSIDGEGELVESDLAIFATGFKGDQKLRDIFTSKWFQQIVAGSSNTTIPLYRECIHPRIPQLAIIGYSESLANLHASDMRSKWISHFLDGRFRLPSIRCMEKNVLEWEKYMKRYNHKHFRGSCIGGINIWYNDLLCRDMGFNPRRKKKFIAEWFLPHVPVDYADLDCSDK >Ma11_p22730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26395430:26398652:-1 gene:Ma11_g22730 transcript:Ma11_t22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIRKALGAVKDQTSIGLAMMSSNVAPELDVAIVKATSHDDEPADEKYLREILNMTSYSGSYVIACVASVSRRLAKTRDWVVALKALMLVHRLLTDGDPAFQHEILYANRRGARLLCMSHFCDEAHSNSWDHSTFVRTYALYLDRRLGWMGYETKRGGGNQRSPLPDPYAYGNYVSSSYSSSPGNGNGEYRGRRTPLKGMKPESVLGRMHELLNVLDSFLGCRPRGNAQHSRIILVALYPLLSESFQLYADIADVLAILLDGFFDMDYHDCVKSFEAYARAAKLIDELCVFYAWSKDTGVARSSEYPEVERITDKLLELLEEFTRNRATRTRSPPRVALPAAPTETRDEEPEETMNSIKALPAPPDYEEEAPEPAKVAAEPVEQQGDLVDLRDDSAAAVDNLELALFQAPVAANGSDGSWEAFPSSDGETGVTSAWQNPAAERGKADWEMMLVETASNLSKQKATLGGGFHPLLLNGMYDQGAVRQHVNAQASGGSASSMALPGPGRGGAPPVLALPAPDGTVKTIGQDPFAASLSIPPPPFVQIADAEKKRQLLLQEQVMWQQYAGDGMQGQAGLANKPNSNFVPNPAMPYGMPSAFDGTAGGYYYPTH >Ma04_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10983933:10985000:1 gene:Ma04_g14530 transcript:Ma04_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVEFRCFVGGLAWATDDASLERAFSTYGDIVESKIINDRETGRSRGFGFVTFRDEQSMRDAIKGMNGQTLDGRNITVNEAQNRRSDGGFRSGGGGYGGGGGFRSGGGGYGGGGGGFRSGGGGGYGGGGGRRDGGGGYGRDRGYGGGSSDGYWRS >Ma03_p27170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30636993:30649304:1 gene:Ma03_g27170 transcript:Ma03_t27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAVSLCSASAFAIVSLPPRPCLPQPLSGRFVKKSRNNVCRLFALSKTLQQSHVSASSSSVSPSPVTAKPSFDSPARRAAVAEVKASPDPVSVLPRVEGVLQVQDYNNLIRHFGESRRWNEISQLFDWMQRHGKLNFASYSSFIKYMGISRSPIKALKVYDSIPDKSMKINVSVCNSILGCMVTNGRFESSMRLFEQMKDDGLLPDLVTYSTLLSGWIKVKNGYSKAMQLVQELRSKGLHMDSVIYGSLLAICASNNLCEEAEMYFQQMKNEGHPPNVFHYNSLLNAYSVDGNYMKAEKLVNDMKSSGLVPNKVIMTTFLKVYARGHLFEKSEELLSELEALGYAEDEMPYCIIMDNLAKAGHLSEVKRIFARMKERDVKSDGYSYSVMISALCRSGLLKEAKQLAKDFEAKYDRYDLVMLNTLLRAYCNSGDMESVMQMLKKLDELSISPDWNTFHILIKYFCREKLYHLAYRTTEDMYGKGHQLNEELCSSLILQLGQSGFPSEAFSIYNMLRYSKRTLCKSLHERIVDILVAAGLLKDAYIVVKDNTPLLSEKCLERFAISFMKSGNINLINDVLKALHLSSYQIGPDIFHIAVSRFIAKPGKKDLLLQLLQWMSGHGYCVDSSSRNLLLKNAHIFGHKQIITEILSKQYVMSRKTGSRNAKK >Ma01_p16620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12041959:12044205:1 gene:Ma01_g16620 transcript:Ma01_t16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASRFQFVYSLLLQMDALQPQSPQGIGTGKAAIGLSGERVFGGKPDKINGQIFPQEDLSGKTRELHQAKADISRRNETKNSAQRERERAESELSQARLMAKELASRIEESNARARAQRSELQPMRKMEKSQEDLEYDSVLQELDKAKKELSRLKLNVASAMEAKTKAEKESEACSSKAMAYSRSVAELKKKVDEADEEHVLVELARMEAEREFRDTEARRAAEADQFAKSIEIAKKRIKELQRELNRSKDLEMKLRITNSDVNVLQGEMELVRAMERSYQTDLASKANKKREEDSDAKTALESAEAELKAAKQELATIKEESFQFMISMDHIREELMRTAAETSGLKKLEKRAETNIQHLNSKLLKAKSKLEAATVAEERTKAIVSNLSAALQQMKTEIETSKKEKQLIDEETKSIRDEIDMTDSGIRSAEERLYTSMEELEAAKASEAMALRKLSNVAHRTMRNRALSIPHSSTVTISRSEFEYLGQQAAAAQVVATKKVEAAQAWVEALAAEEKEILMKAEFIEKEIKRSRTGEVMELHKTQKSSAVEEEPNELGHSEEEEESAVPAKPRKSTRENGMAASSRRITVRRLSTSSATRNARSPSFTIKKRKKVMPNLLKFLGDRRNRKQKV >Ma01_p16620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12041510:12044205:1 gene:Ma01_g16620 transcript:Ma01_t16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQPQSPQGIGTGKAAIGLSGERVFGGKPDKINGQIFPQEDLSGKTRELHQAKADISRRNETKNSAQRERERAESELSQARLMAKELASRIEESNARARAQRSELQPMRKMEKSQEDLEYDSVLQELDKAKKELSRLKLNVASAMEAKTKAEKESEACSSKAMAYSRSVAELKKKVDEADEEHVLVELARMEAEREFRDTEARRAAEADQFAKSIEIAKKRIKELQRELNRSKDLEMKLRITNSDVNVLQGEMELVRAMERSYQTDLASKANKKREEDSDAKTALESAEAELKAAKQELATIKEESFQFMISMDHIREELMRTAAETSGLKKLEKRAETNIQHLNSKLLKAKSKLEAATVAEERTKAIVSNLSAALQQMKTEIETSKKEKQLIDEETKSIRDEIDMTDSGIRSAEERLYTSMEELEAAKASEAMALRKLSNVAHRTMRNRALSIPHSSTVTISRSEFEYLGQQAAAAQVVATKKVEAAQAWVEALAAEEKEILMKAEFIEKEIKRSRTGEVMELHKTQKSSAVEEEPNELGHSEEEEESAVPAKPRKSTRENGMAASSRRITVRRLSTSSATRNARSPSFTIKKRKKVMPNLLKFLGDRRNRKQKV >Ma11_p08170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6523411:6526082:1 gene:Ma11_g08170 transcript:Ma11_t08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFEPKVQSDRENGNFSAGCTRKKALRCGDGDGFLRVEGMKLPDHVVFLRNRNIGDCGTACLTNCSCTAYAYSDVTMGNETISGCLIWVGELIDTEMVSSGGGDLYLRLMDISLATSGSKTKTRRIVIIASLSAIIVCLACIFILWKFSEVFGVFKDQKKGKLLCDLSSSTDFANNISGSNEFIEGQPHQGPELPLIGFENILCATNNFSESNKLGKGGFGIVYKGNLPGGKEIAVKRLLRGSRQGLSEFKNEVILIAKLQHRNLVKLLAYCIHGEEKLLVYEYMPNKSLDFLLFDPTQKTELDWGKRFNIIKGIARALLYLHQDSRLRIIHRDLKASNVLLDEEMNPKVSDFGLAKIFGVNQDEANTDRVVGTYGYMSPEYAMEGLFSVKSDVYSYGVLLLEIVSGFRNSSFPLAMDSPNLLAYAWQLWNEGNAEDYVDPSIAGSCARAEVVRSIHVGLLCVQDSPSDRPAMSSVVFMLENEEATISAAPKQPTFTVRRNQNPHRGGDSRDDNIEMCSYNNVTITTTEGR >Ma04_p35830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34417616:34421465:1 gene:Ma04_g35830 transcript:Ma04_t35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENKKHMTNLRRLIIASNIIYILVRMLMFHSSFTWKHWVGLLVTSIAYGFPYKQLDSMAKPTYSDDGELLDGGYDMTTGGICGYLHDLIYITSFVQITSILSGKFWWTYLVIPAFGAYKVTDLLKGSLLGGLGGEMEDEKSRKKREKMEKKASRSKIIKTRNR >Ma04_p27960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29130399:29134233:1 gene:Ma04_g27960 transcript:Ma04_t27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSCNCHFPLDCCSESSVRCYPPCTPKSEWGDAQNVFSSPAPVSMALEEKPSDEKVVTEQNSAEDVMVEIFLKSCLKKPRVSDSGQVGKLNVKWTDLLGKELVEIKEFEAAESEESEDFTDDSIGCLCVVQ >Ma11_p00010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:212:1823:1 gene:Ma11_g00010 transcript:Ma11_t00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGLSEEEFGPQIHFREYSFLQNPSVPKHVKESLLNVQLCDAHSKGCNISDGTTSRDFIQFPRNSTEQMYMQVFSQYKDIKVLHFSSMANAFQGFDDEAREVKFRNRMKRYVGMWCCVENRDPGHIYYDIYWDEKPEWKPEPPRTSQDDHPPWD >Ma08_p28420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40341508:40342725:-1 gene:Ma08_g28420 transcript:Ma08_t28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSRACTGSQEQVAPFVAKTYEMVEDPSSDFLIRWGKKNNSFVVVDPNDFSCFLLPSFFKHRNFSSFIRQLNTYGFRKVDPDRWEFAHESFLKGQTHLLPLVTRRGKSEGGLHGSSSTDGVEGEEERVLLQELHRLRQEQKALDEEVTVMSRRLQATERRPQQLMSFLVKAAQDPNLLQRLVQSKQQQQQASMKKKKMRLSAALPLPKDHGILLPFGEVSAMEPTTFDPLGISSFDYGGLSVRSQPECNLRGTSSGAASSLAFPYSSLDRGFL >Ma03_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28327444:28328569:-1 gene:Ma03_g23940 transcript:Ma03_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLAGCLANQAVRVAEATCSVNGHSSVAEQSPVQNTITCFYRTTLSTRRELLTGVTWSKYPAGASLSVMVEDSSSSSSFSGTLIKPNVVNSQLLRKKKGSRSFVVGNSAVSLYWDVSAATYESAPEPTRGFFVVMIADAEFGLLLGDMCGEFVKKLENPPPIAKFFMSSRREQVRGTTLYATRAQLGDGGKQHEITIRCKGDELDAEGSEIFISVDKKKLVSVKTPTWNFRGNQTIFVDGLAVDVLWDVHDWWFCEASHCARFMFWRRSTSEIRLWSDEELAPNISGFSLLIQAFKSQ >Ma01_p13630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9968599:9975566:-1 gene:Ma01_g13630 transcript:Ma01_t13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMPAFTYLGWWTPLLLTAGVASARSFLWHISRRNTTTQARQNISRHYDLSNDFFSLVLDETMTYSSAIFKTEHEDLKIAQLRKISLLIEKAQINDKHEVLEIGCGWGSLAIEVVKQTGCRYTGITLSDEQLKYAKRSAKEAGLEDHINFMLIDYRQLPNYHKYDRIISCEMIEEVGHEYMEQFFGCCESLLAEDGIFVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRITTAMATSSRFCIEHLENIGIHYYQTLRCWRNNFFANKEKILALGFDEKFFRTWEYYFMYRAAGFKSCTLGDYQIVFSRPGNLRAFGEPFNSIPATCR >Ma01_p08350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5978586:5983961:-1 gene:Ma01_g08350 transcript:Ma01_t08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDTPRRPPRSFLLPLLCKPLCTSPSPIDSPPSDITIATASISPTESKLLDNLHVLIRDHHRDNPHLAPSAASPPPDLTIPSLSSSFSNLSPSPPSASLAALLVDRCASLRHGIPFPQALAFFNWWLAASPFSSPSPAFAAAFIEMIDLCGKLRHFDIAWHLLDKMRALGIPVTNQTFFTLIRRYVRAGLPEDAAEAFRRMPNYGCEPEPSTFASLLAALSKKRLAAEAQSLFDALKHQFPPDVVIYSSLVHAWCRAGKLDEAERVFAEMVANGIPPNVYTYTAVIDAMCRAGQIPRANELLCQMIDAECSPNAATFNSLMRAHVKAGRSEKVLQVNNQMKQLGCEPDIITYNFLIETHCRKGQKNLDAALKVLNQMTARGCIPTCHSFNPIFRCIINLGNINAAHKLYDRMKELGCKPNTVTYNLLMEMFSKEKSMDMMLRMKREMVEEGVEPNINTYGVLITAFCERGHWKRAYGLMKEMLEEKSLKPTAPVYEMAMTLLRRAGQLMKHEELVEKMVERGFINRPSRMRPWRSVTTAGLHAPPRAKTRRPRCGHTQRPAPPPLPPPRLLQRHPRRQRLLRLPRRVHPPRPRRPDRAPRGLPGPRRSAAARDGYPPPPLQAPQPLLRLRTAPVREDPPGVRPAGAAAHTCAPPGDAAAEPCRLGRQGRVVLRLRGGRDDPGPVRARGGAARRRREGSVRAGPGLRHHGKHGEEARRRDRAHEERDQAVHRRRRRRHGRRADAEGGGQGAADERVRVHEQARGARRARLLVLPQHQQDEEDGDAGDSGSPATTDLYN >Ma10_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24212905:24214390:-1 gene:Ma10_g10130 transcript:Ma10_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESQTGEVFIKIGPHGTANGDEWDDGCLDGVREIFIWHDSKACSLQFVYDSDGQSVLSDKHGDEDGVNFDTIELEYPSEYLTGLRGQTRRFQGFLTSLMFFSNKRSFGPFGYWDNDREADFQWKVMGNKICGFFGRAQPSSLTAVGIHLRALPKTS >Ma10_p10720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24510238:24510378:1 gene:Ma10_g10720 transcript:Ma10_t10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQANSDIRDAFHDSFQSEIRNPLLELCALLSSLRPGDGTFEYSL >Ma11_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25747888:25751976:1 gene:Ma11_g21720 transcript:Ma11_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKSKSGLFEASVNKGTPATPRAGKLGRAGSAKADPASPFLQQSSRHSIDRSPKSVDSRPKTTTPDKQPRTAKGSELQTQLSAVQEDLKKAKDQLASVEKEKSQILEELKSAKRSADDANDKLQDALVAQRIAEEAAEIDKFRADELEQAGIEAAQKREEERQKELDTVRNQHAVDVAALVATTQDLQRVKQELAMTTEAKNSALIHADDAMKIAEINADKVELLSREVSRLKTLLDSTLESKNNEASELVEKGMDLQAQLNLAQEDLKKTNEQLVSVEIEKTHILEELNEVKRLADEENEKLEESLAARKRAEDALETHKIRASELEQDSIKSAQKREEEWQKKLESIENHHALDVATLLSATEELEKVKHELTLAIDAKNTALSQACESTKTAEANAVKLELLSGEITHLKALLDSKMELESEVFELKSELEKAKAAESRLVELGALIEGLRIEVTDAKKAESDASHLMDEWKKKTKLLEVQLEEANESRKTSSETLASATKQLEESSAVLQDKECEVAALRGQVESLKLDVARHKTELDESSQYLDIAQQEAAELGKMIVVLKSELQIVEEAKIHAQSNEKMATLNIQSLTEEKNKLENDLDITQSELEKVKKAMEGLASALHEVSTEARETQEKFLIKQSELKNSHTQIEELKVTIERNQENYEVTLEKAKHEIGCLQDTVQCFERELENSRSVWDSKALDFVSSIKRSEEEIITMKTDMDKITDSLKEAELRVSAAKEEELRLLDKLKHLESEANAANIVAEEAKAESLLLKEMLLDKENELQNISQENDDLRIRETAALEKVKELSHMLSEATAKKPEENGEISSNSKQSDQTISVDPLEENTDDQDGEEKPESEVPSEKPEEHSMDKGVSEEEKTDNSAQEEEALDARGKTWENGKTMDKDLSTDREHETESTYDELDSKTDGVSFDVAFGLAMDNIENGASSPDKQQQQQQKKKKAFMQKFGSLLKKKSNHK >Ma07_p07860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5839558:5840356:1 gene:Ma07_g07860 transcript:Ma07_t07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMRQLQRVANRIVGARNMCALPQKSPLSSSEELMRLEQQCSAHTYHPIPMVFSEAKSTLISYLRILLLIRSVMF >Ma06_p08430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5967793:5969671:-1 gene:Ma06_g08430 transcript:Ma06_t08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGPCKGLGEMGKGSYRSLSGTWRRAESAVNRAVAHSRVGKYFKVEARKSSFTKELRAGVATFLTMAYIISVNAAILADSGGPCTHRDCRQMHVAANSSAMHAHHTVPGRGCDLDADAEYQKCLATTKSDFVMATVIAAVVGSFAMGSFANLPLALAPGMGANAYFAYNMVGFHGTGPVPYETAMAAVMLEGCLFLALSVLGLRAKLARMIPRSIRLASAAGIGLFLAFTGLQAREGIGLVGPSKSTLVTLAACARTDAATGECLGGVMRSPTFWLAAVGFLVTAVCLSRKVKGSMIYGIVIVTLVSWFRGTSVTVFPDTATGNSNYEYFKKVVDFHMIKNTAGKISFSGFNTSQVWLAVVTLLYVDVLDTTGSMYSMAEYGGFTDEEGGFEGEYRAFIVDASATIVGSALGTTTVTTYIESTAGLREGGRTGLTAITVAALFLVSAFFTPLLTNVPPWAVGPSLVLVGAMMMKMVKEIEWVEMKEAVPAFLTMILMPLTYSIANGIIAGVGLHAALHLYDNVVGVWRWVAKARKMMGEAQNQVSASAADMPPTPV >Ma07_p18630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:26398328:26399374:1 gene:Ma07_g18630 transcript:Ma07_t18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEWFCFTWIQMKDLSEAYFDESKRKDQHYVPTLEEHLHVSLLEQTREHVASTVQCYMKEYGTNVYVACKKLQGLADDAWKDINEECLNPTAFPIALLERIVNFSRMIEHIYKYIDGYTNSSRKMKEYISLILVHPIPI >Ma10_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20462651:20471808:1 gene:Ma10_g06890 transcript:Ma10_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDNNLGLHCNSGFHIQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVVKSSLEKKAKAWCASKGNIPYFETSAKEGFDVEAAFQCIAKNALKN >Ma08_p03840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2738361:2742512:-1 gene:Ma08_g03840 transcript:Ma08_t03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEGDRGASGGAEDDLPIFNAENLQSNVKSIYYSRTFLSIIGGVIAGIWGFTGFTGFIFYFLVMAAASFGLATQAKFSVCTYFDSWNRIFLDGIFGGLMSFVLFWTFAYDIVHIF >Ma03_p00760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:613265:622063:1 gene:Ma03_g00760 transcript:Ma03_t00760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDLSVDGAAPSSWDLAQRRYDKNVELEERLRRSASSKVPSDPNIWLQMRENYEAIILEDHDFSQKHDVEYTLWRLHYRRIEEFRAHLNVAASAGSNASPAGKGHIRPDRIKKIRNIFKSFLTEATGFYHDLILKIRAKYGLPFSYLDEGPENQTVSTKDEKRSAKMKKGLLSCHRCLIYLGDLARYKGLYGGDSVSRDYAAASGYYLQAASLCPSSGNPHHQLAILASYSGDELLAIYRYFRSLEVESPFSTARDNLIIAFEKNRQNYSQLPRNLKVPSGRAPGTGGQGTVGGFLAKDSEIETIVKEQDLTISEVFRSFCIRFLRFSGILFTRTSLETCGEILSSVISDLHVLLSSGPDDVVNFGSDVAENALFILRLVAILIFSVHNVKRESENQSYAKILQHTVLLQNAFTAAFEFAGYITKRCTELHDAASSFLLPAILIFIEWLACHPDAAAGINVDEKQASARSFFWSQCVSLTNKLMLTGFASIVGADDETFFFNTSKYDVGESGDHLALWEDFELRGFLPLVPAQVILDFSRKRVYGNDGFMEDKSSRVQRIIAALRALMNVVSIDQQRIYFDSNLKKFVVATEPPLSKDHVDTDFLDVPETNDINQACQIQSLAEVGATLSSMPGHDMTLCKLQPHIEGEEEEEIVFKPTVFDKDPNVIASKSTVQDVNSIQVSASGHWAPYVPELPGPPISVHFSSALNVSSQLQTTGPNVSQMPLQYVNPDASKWSADHEAFLHDGLKKMNAIQNGHFGNQMLKGFPNDFHPTPFSFVPPDLGAAITLPSHLKATEVMVPSILDTMVHSGATFDGLSDKLTAAVPASRRNPVSRPVRHLGPPPGFGHASSNENVRNSVLKNQKPQTDAYSWLDGHQAPSVQGVEMENSFIQTARQYPIVTTATNSTSVTSNSIFPFPGKQVSKSPTQMEAERSSQDFRLFEQLKPYAEKQLQQTNLQHPMIPEQYQSLWSGR >Ma03_p00760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:613265:622063:1 gene:Ma03_g00760 transcript:Ma03_t00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDLSVDGAAPSSWDLAQRRYDKNVELEERLRRSASSKVPSDPNIWLQMRENYEAIILEDHDFSQKHDVEYTLWRLHYRRIEEFRAHLNVAASAGSNASPAGKGHIRPDRIKKIRNIFKSFLTEATGFYHDLILKIRAKYGLPFSYLDEGPENQTVSTKDEKRSAKMKKGLLSCHRCLIYLGDLARYKGLYGGDSVSRDYAAASGYYLQAASLCPSSGNPHHQLAILASYSGDELLAIYRYFRSLEVESPFSTARDNLIIAFEKNRQNYSQLPRNLKVPSGRAPGTGGQGTVGGFLAKDSEIETIVKEQDLTISEVFRSFCIRFLRFSGILFTRTSLETCGEILSSVISDLHVLLSSGPDDVVNFGSDVAENALFILRLVAILIFSVHNVKRESENQSYAKILQHTVLLQNAFTAAFEFAGYITKRCTELHDAASSFLLPAILIFIEWLACHPDAAAGINVDEKQASARSFFWSQCVSLTNKLMLTGFASIVGADDETFFFNTSKYDVGESGDHLALWEDFELRGFLPLVPAQVILDFSRKRVYGNDGFMEDKSSRVQRIIAALRALMNVVSIDQQRIYFDSNLKKFVVATEPPLSKDHVDTDFLDVPETNDINQACQIQSLAEVGATLSSMPGHDMTLCKLQPHIEGEEEEEIVFKPTVFDKDPNVIASKSTVQDVNSIQVSASGHWAPYVPELPGPPISVHFSSALNVSSQLQTTGPNVSQMPLQYVNPDASKWSADHEAFLHDGLKKMNAIQNGHFGNQMLKGFPNDFHPTPFSFVPPDLGAAITLPSHLKATEVMVPSILDTMVHSGATFDGLSDKLTAAVPASRRNPVSRPVRHLGPPPGFGHASSNENVRNSVLKNQKPQTDAYSWLDGHQAPSVQGVEMENSFIQTARQYPIVTTATNSTSVTSNSIFPFPGKQVSKSPTQMEAERSSQDFRLFEQLKPYAEKQLQQTNLQHPMIPEQYQSLWSGR >Ma03_p00760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:613265:622063:1 gene:Ma03_g00760 transcript:Ma03_t00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDLSVDGAAPSSWDLAQRRYDKNVELEERLRRSASSKVPSDPNIWLQMRENYEAIILEDHDFSQKHDVEYTLWRLHYRRIEEFRAHLNVAASAGSNASPAGKGHIRPDRIKKIRNIFKSFLTEATGFYHDLILKIRAKYGLPFSYLDEGPENQTVSTKDEKRSAKMKKGLLSCHRCLIYLGDLARYKGLYGGDSVSRDYAAASGYYLQAASLCPSSGNPHHQLAILASYSGDELLAIYRYFRSLEVESPFSTARDNLIIAFEKNRQNYSQLPRNLKVPSGRAPGTGGQGTVGGFLAKDSEIETIVKEQDLTISEVFRSFCIRFLRFSGILFTRTSLETCGEILSSVISDLHVLLSSGPDDVVNFGSDVAENALFILRLVAILIFSVHNVKRESENQSYAKILQHTVLLQNAFTAAFEFAGYITKRCTELHDAASSFLLPAILIFIEWLACHPDAAAGINVDEKQASARSFFWSQCVSLTNKLMLTGFASIVGADDETFFFNTSKYDVGESGDHLALWEDFELRGFLPLVPAQVILDFSRKRVYGNDGFMEDKSSRVQRIIAALRALMNVVSIDQQRIYFDSNLKKFVVATEPPLSKDHVDTDFLDVPETNDINQACQIQSLAEVGATLSSMPGHDMTLCKLQPHIEGEEEEEIVFKPTVFDKDPNVIASKSTVQDVNSIQVSASGHWAPYVPELPGPPISVHFSSALNVSSQLQTTGPNVSQMPLQYVNPDASKWSADHEAFLHDGLKKMNAIQNGHFGNQMLKGFPNDFHPTPFSFVPPDLGAAITLPSHLKATEVMVPSILDTMVHSGATFDGLSDKLTAAVPASRRNPVSRPVRHLGPPPGFGHASSNENVRNSVLKNQKPQTDAYSWLDGHQAPSVQGVEMENSFIQTARQYPIVTTATNSTSVTSNSIFPFPGKQVSKSPTQMEAERSSQDFRLFEQLKPYAEKQLQQTNLQHPMIPEQYQSLWSGR >Ma07_p20440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28323379:28324777:1 gene:Ma07_g20440 transcript:Ma07_t20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLACCKSSYQEEDEEVQTRGQKHRVFTYEEIHAATSGFSASALLGRGSHGSVYRASLDQGRLLAAAKLPSLAFASSGSPSATDAEIDLLSSLPRSPLFVNLVGATPASGPSPRIPVVDLMPHGSLYDLLHDPLRPSPPFSHRLRLALRSAAALAQLHSLRVVHRDVKPANLLLDAKGRTRLADFGLAIRLPLPGGDEDRSLPPPAGTMGYLDPGYVRPVDASTRTDVYSFGVLLLEVLSGREAIDVEYSPPSLVDWATPLLEEGRFEELWDPRAAPEDRSEEEAARAVAEVAGRCLAPAAGDRPSMAEVVTVLRAANRRRLWRWALRRPGKRSRPPNGRNSVSDVAGS >Ma08_p21660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35472302:35474718:1 gene:Ma08_g21660 transcript:Ma08_t21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIVANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFNDPSVQSDIKHWPFKVVAGAADKPMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTIHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDDKIASKLPAADKKKIEDAVDQAIQWLDANQLAEVDEFEDKMKELENLCNPIIAKMYQGAGGGMAGGMDEEEGPSNGGGGAGPKIEEVD >Ma02_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27384887:27385527:1 gene:Ma02_g21880 transcript:Ma02_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCTERSAPSQEEEEEEEEDMEPGKQDGDGISEKEGNCRVKILLTKKELEWLVLRLKEKGEQRLEDVLEEMARELGRERGKAKGWKPTLESIVESSEVQTP >Ma00_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1489382:1489790:-1 gene:Ma00_g00480 transcript:Ma00_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLIASLLLLLLLFSLRLGETRRVLESETTSEGRGGRSLLNYTTMGKCRASWTFNLCKRACGTCCERCNCVPPGTYGNYDTCPCYAQMTTRGGQRKCP >Ma06_p16030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10879241:10883902:-1 gene:Ma06_g16030 transcript:Ma06_t16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKPKSPFGVWSTIKPFVNGGVSGMLATCVIQPIDMVKVRIQLGQGSAVEVTKNMLANEGFGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLLQKAAIGLTAGAIGASVGSPADLALIRMQADATLPAAQRRNYKNAFHALYRIIADEGVLALWKGAGPTVVRAMSSNMGMLASYDQSVELFRDSLGFGEVSTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAVKTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQIQKVEKSLGL >Ma02_p20520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26469871:26471376:1 gene:Ma02_g20520 transcript:Ma02_t20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLRLAIGAIKDQTSIGIAKFSKRSANLEVAVLKVTSHDEVPIEERHLTEVLLLSASCPSSAATCVRILSRRISRTSNWVVALKTLVLVFRLLRSGRSQFIHEALAAPQGSRRRRRLLDLSSFRDHSATSSPWDYTAFVRTFAVYLDARLHSALLGKLSNLRSRRPLTAANLFANMKTPLILEHIEHWQRLLDRAIGTRPTGPAKVNRLIQIALYVVVCETFSLYHDISHGLSRLLDNFFHLQPESRLKTFQACMKARKQFEELESFHDFCRKIGVGRMSEYPRVQQISATLLGALEEFLKNRPSSLATSPNAKPKSFPSLHPTALEREQEDTTTARRPPTMSERESVASRHKDWQLQPISSSVNINIGGNHQHTDTDSEGWEILLVRSLNGMSNEAGVIDPPAQSLLDRRVADRAEDLQNPFLSDHDNRSRAMIPPPTFCARKLNAEQAYQEMDPFNEAATGAYSLRSDGLMRQRRVLREQHMWMQQQSKIMAKRLTR >Ma09_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10115865:10130342:-1 gene:Ma09_g14800 transcript:Ma09_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADTSLAKRSRIIELSRRLQHRGPDWSGLHCFEDCYLAHQRLAIVDPTSGDQPLYNEDKSIVVTVNGEIYNHEELRAKLKSHQFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYVGWGLDGSVWFASEMKALSDDCERFVSFLPGHIYSSKKGGLRRWYNPPWFSECTPSAPYDALALREAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVALRHLAKAKVARQWGSQLHSFCIGLKGSPDLKAAREVADYLGTFHHEFHFTVQEGIDALAEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHSETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKQFIDIAMDIDPEWKMIRRDLGRIEKWVLRNAFDDDQNPYLPKHILYRQKEQFSDGVGYGWIDGLKDHANEHVSDAMLMNASFVYPENTPTTKEAYYYRSIFERFFPKNAARSTVPGGPSVACSTAKAVEWDAAWSKNPDPSGRAALGVHDAAYQEAPEKTLATENSSSVDGHLQKLQDAMVEATATATAL >Ma09_p25740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37128943:37130126:-1 gene:Ma09_g25740 transcript:Ma09_t25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSAAIFTLTVCEMCNRWNYPCQQCNSYLSSMTTTFC >Ma04_p03980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3072041:3073496:-1 gene:Ma04_g03980 transcript:Ma04_t03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCQRKGKGEKELISKGHQLDAINFAYEAGLQDKFTPVSLLKSFLKDSNKATSTIEDHNGGGQTTKSMVLILFYCRITRAARNSQLIIRAAIKCIQEHKLEAEFPLESLQKRLEQSEKTKVEKKKPSGGGPAAPANKRTRANNGGPMPPAKAGRLTNTVHVSSPAAPAFVRSPSAHTTYPAAAPYPYDSPAGHGVYGSRSPPVIRDSYGYPAEVGPVALGAPYHSPPMSYPVYGNYNPLGGYNNGVAPGYQQAYYR >Ma03_p07000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4801128:4803638:-1 gene:Ma03_g07000 transcript:Ma03_t07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQKGKLTRTQSSLLRSPTARSAVHSLTSAAAADEEDEKPRRPVRHNPHRHYRDLLLLSLPFAFIFFLFLYLRDDSPRFANLVLIFALVATVTYAARRCSGSGRAAARRASSVDWFIGDDDNRKERKAGGRIVREGVVFYSNGDYYEGEFHKGRCNGSGVYNFFAKGRYEGDWIDGKYDGCGIESWARGSRYRGQYRRGLRHGFGVYKFYSGDSYAGEWIGGQSHGVGVQTCSDGSSYIGEFKCGVKHGLGYYQFRNGDKYAGEYFGDIIHGFGVYHFANGHCYEGSWHEGKKQGFGMYTFRNGEARCGDWASGVLKTPLPPSDPAVQHAVQAARKAADDSVLVPRVDAQVNKAVTNANRAATAARVAAIKAVQNQIHGKFCNIDV >Ma07_p20760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28792930:28793246:1 gene:Ma07_g20760 transcript:Ma07_t20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLATVHLSLAQLLLYFDWKLPDGRKPEEVDMSETYGVTVTRKTELKLFATPRIPIPSTV >Ma10_p12720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25744546:25748121:-1 gene:Ma10_g12720 transcript:Ma10_t12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASNPYAEFNIFGDPFAAYQVFHAGIPVTLVPLDSTNTIPVNEEFFDVFQQQQETFEAQYCFKSLKIIRDNWFDNQFYTSYFMWDSFASGVAISIMSKADNFDGENDFAEMKYLNITVVTSNEPYGVRDGSNPFFDGRAVPKFNLEKGGVHSGHVQTGLQDPFCIVKGSDRGICQDGYTKEVAGPEAVQVLVAQEAKPNQDVHSPLNRQFFKSFLDVLNVHHPSGRFNFTTEFPFYREILYK >Ma03_p23320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27916938:27920918:-1 gene:Ma03_g23320 transcript:Ma03_t23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILAGLGSLGEAFIGSLIEKISDDTIPRLSEVFGVGAKPGDGTDLLKLKTTLTGTKHIIGRVENMWIKDEDTKKQLKELVMELKDTAYDAEDLLDEIQFRVLNKQMEQQGAQGDEASNQSSSSSSGLFPWKKMKISVPKFTSRFFGREDDVNRVREIQMKLDKITTCIEDLITTLDADEKQMITSVVSRTTTSFPIETQVFGREEQLNHLLGLLVQSADGSGSSDSGISTLTIVGIGGVGKTTLAQQAYNHERVKDYFQHEVWVCVSDNFNVERLSIEIIESITENKCDLSNLDTLQVVVKKKLTSKRFLLVLDDVWNEDSLKWERFCAPLRYGEPGSKILVTTRSKKIAEMVGNPIPLGGLDEASYWKLFKKCAFGSEDAGEFPHLEAIAKKIAGRLKGLPLAAKTVGGMLKAQMNEKHWRNIAGSEMWQLPQDDEGLLPVLQLSYRCLPPHLKRCFVFCSLFPEDCRFYEPDLIQLWMAEGYVAQDNMKDNMTLEAIGSGYFRDLVNRSFFQEAPWGSAYVMHDLIHDLAHFISEGEFCRIDDDESKEIPRTTRHLLATLTNGTKLMGLSCYDKLRTLMINYKSHWYGFGVKGSLFPRFERLKNIRVLILQSCGLRELPETIGGSIHLRYLDISHNRYIRRLPKSLCGLYNLRVLDLQGCELQSFPHGMSKLINLMHLNAEDEIISDINDVGKLTSLQGLSSFKVLKDQGREVAQLGGLKQLHGQLRISNLENVESKQEASKANLNNKQYLDALALEWTSDDGSSLDGNELVVSEEVFEGLQPHQALLRLMIVGYIGVRSPSRLQAQLLANLMTLGLENCKAWKDLSCIGQLPNLKNLYVGGMPAVKHISHELSTESKFLPNLERLVLMDVALEELPSLGQLPSLKVLRIERMPAFKELTSLKKLSIRSCPKLLSMTRDGDIDNPLPPSIEELVLFDCGNLRKLLPGCLHNLTSLTRLEIGDCRCIESLPATSLLHLKRLQYLKFWKCGELRSKDELRILPSVRVLTISNFPRATMSGEEEQLLRSLTALRWLEFKDCKNLQSLPTELHAFPSLCLLTIIGCPEIQALPENGLPMSLRNLHFEDCHPRLTERLEKHLAEMKSSGRFLAVDPLEIHEGTSHPTIASPHRYHWFFVVFGWFSFLVCETTR >Ma09_p25780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37142308:37142531:1 gene:Ma09_g25780 transcript:Ma09_t25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRGHDFGHHVGLRQEEDIAARGLCSEAALTGCTGYPSTSISNSTTSFTR >Ma08_p15330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15413614:15415359:1 gene:Ma08_g15330 transcript:Ma08_t15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCGGHECDGGRGRRKHTC >Ma08_p01510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1309494:1312637:-1 gene:Ma08_g01510 transcript:Ma08_t01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRSKSSGAEKSLSHEEQQAKINEVRRALGPLTDKLPNLCWDGSILRYLRARNWDADKATKMLKETLKWRLEYKPESIRWEDVAHEAETGKIYRANYLDKYGRTVLILRPGFQNTSSTKGQIRYLVYCMENAILNLAADQEQMVWLIDFQGWTMASITIKVTRETAHVLQDYYPERLALAILYNPPRIFESFWKVVKPFLDQKTYKKVKFVYSDDTDSQKIMTDIFDINSLESAFGGHNQVGFDFNKYGEQMKEDDKKMSAIINSAGSVLSQDPSLASILQQPEPSTSEALSQGSSDSSSSRDPESTKSVHTKNSKENKEKLNQNYDSGSAKLDVQPEPVKMRESA >Ma08_p01510.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1309494:1312651:-1 gene:Ma08_g01510 transcript:Ma08_t01510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRSKSSGAEKSLSHEEQQAKINEVRRALGPLTDKLPNLCWDGSILRYLRARNWDADKATKMLKETLKWRLEYKPESIRWEDVAHEAETGKIYRANYLDKYGRTVLILRPGFQNTSSTKGQIRYLVYCMENAILNLAADQEQMVWLIDFQGWTMASITIKVTRETAHVLQDYYPERLALAILYNPPRIFESFWKVVKPFLDQKTYKKVKFVYSDDTDSQKIMTDIFDINSLESAFGGHNQVGFDFNKYGEQMKEDDKKMSAIINSAGSVLSQDPSLASILQQPEPSTSEALSQGSSDSSSSRDPESTKSVHTKNSKENKEKLNQNYDSGSAKLDVQPEPVKMRESA >Ma08_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1309494:1312793:-1 gene:Ma08_g01510 transcript:Ma08_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRSKSSGAEKSLSHEEQQAKINEVRRALGPLTDKLPNLCWDGSILRYLRARNWDADKATKMLKETLKWRLEYKPESIRWEDVAHEAETGKIYRANYLDKYGRTVLILRPGFQNTSSTKGQIRYLVYCMENAILNLAADQEQMVWLIDFQGWTMASITIKVTRETAHVLQDYYPERLALAILYNPPRIFESFWKVVKPFLDQKTYKKVKFVYSDDTDSQKIMTDIFDINSLESAFGGHNQVGFDFNKYGEQMKEDDKKMSAIINSAGSVLSQDPSLASILQQPEPSTSEALSQGSSDSSSSRDPESTKSVHTKNSKENKEKLNQNYDSGSAKLDVQPEPVKMRESA >Ma06_p09730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6774898:6775376:1 gene:Ma06_g09730 transcript:Ma06_t09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKALIYLFLCFILCNNLTYTCFNETLKGMFRGIHMLKRSIVDLHIRWDR >Ma01_p01290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:925682:926005:1 gene:Ma01_g01290 transcript:Ma01_t01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAVSDSQNDIDHQELHLHISTPQAGNI >Ma06_p15030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10229515:10231253:1 gene:Ma06_g15030 transcript:Ma06_t15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive linolenate hydroperoxide lyase [Source:Projected from Arabidopsis thaliana (AT4G15440) UniProtKB/Swiss-Prot;Acc:B3LF83] MAMMWSSASATAVTTLPTRPIPGSYGPPLVGPLKDRLDYFWFQGPETFFRSRMATHKSTVFRTNMPPTFPFFVGVDPRVVTVLDCTSFSALFDLEVVEKKNILIGDYMPSLSFTGDTRVVVYLDPSEPDHARVKSFCLELLRRGAKTWVSSFLSNLDVMLATIEQGIAKDGSAGLFGPLQKCIFAFLCKSIIGADPSVSPDVGENGFVMLDKWLALQLLPTVKVGAIPQPLEEILLHSFPLPFFLVSRDYRKLYEFVEKQGQEVVQRAETEHGLSKHDAINNILFVLGFNAFGGFSVFFPTLLTTIGRDKTGLREKLKDEVRRVMKSRGEKRPSFETVREMELVRSTVYEVLRLNPPVPLQYGRARTDFTLNSHDAAFKVEKGELLCGYQPLVMRDPAVFDDPETFAPERFMGSGKELLKYVFWSNGPETGTPTPANKQCAAKDYVVETACLLMAEIFYRYDEFVCADDAISVTKLDRATERE >Ma02_p14530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22570063:22571119:1 gene:Ma02_g14530 transcript:Ma02_t14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSLARLATITTAAPHEMEMTTTTTAATAFATALIAAATLSGPASAQPGCTSVIMSLSPCMSFIRERGVGPPAAPTAACCTQLGSAVRSQPTCLCAVLNGDATSFGLAVNKTQALALPGACKVNTPPLSQCKGAAAGVSGAPAASPAALPAAAIPSTPLVPASPASEIPSTTPRSSADSGSRSSRSLIVLLFFLSSTSAWNQ >Ma11_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9721693:9732563:-1 gene:Ma11_g10320 transcript:Ma11_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGAERPPPQLATGTAEKALSFLSKGWREVRDSADADLQLMRKRANSFKNLADRELEHFLNSAAIPPSGAALPSPSIAEFELVKRIQPKLSAIRRAYSSPEFGRRVLEKWSPKATIRLDLSAIKNAIVSEVDEVGAALDLSWDEDQSKEKIMWEEGRAEIVEEGKGWEPIRILKTRLKEFERKSQSTEIFGTFKSSEFIEKVKLSLQSICKETEESTDVPPLDIPELLAHIVKQSWPLFDHLGIRRDIYVKMVETLCSRHRDQVMYHHSLYAKEESLYENEKISDELDLRIASVLHNTGHHYEGGFWTSFVKNESADRKHHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQAVTLVVPWLCKSDQELVYPNNLSFSSPEAQEAYIRNWLEERVGFKADFKISFYPGKFSKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGAIQAFLVKHINNMVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLMIGEKVAAERVHGQQAFSKGAYFLGKMVWAKGYRELIDLLAKHKSDLEGFKLDVYGNGEDSQEVQSVAKKLDLNLNFLRGRDHADDSLHEYKVFVNPSVSDVLCTATAEALAMGKFVICADHPSNEFFRAFPNCLTYKTSEDFVSRVKEAMASDPQPLTPEQRHNLSWEAATQRFMEYSELDKILNNEEPMNGDKAIYGRKMKKSISMPSLSDVMDGGLAFAHYCFTGNEILRLTTGAIPGSRDYGKQHSVDLRLLPPQVR >Ma11_p10320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9722372:9732563:-1 gene:Ma11_g10320 transcript:Ma11_t10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGAERPPPQLATGTAEKALSFLSKGWREVRDSADADLQLMRKRANSFKNLADRELEHFLNSAAIPPSGAALPSPSIAEFELVKRIQPKLSAIRRAYSSPEFGRRVLEKWSPKATIRLDLSAIKNAIVSEVDEVGAALDLSWDEDQSKEKIMWEEGRAEIVEEGKGWEPIRILKTRLKEFERKSQSTEIFGTFKSSEFIEKVKLSLQSICKETEESTDVPPLDIPELLAHIVKQSWPLFDHLGIRRDIYVKMVETLCSRHRDQVMYHHSLYAKEESLYENEKISDELDLRIASVLHNTGHHYEGGFWTSFVKNESADRKHHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQAVTLVVPWLCKSDQELVYPNNLSFSSPEAQEAYIRNWLEERVGFKADFKISFYPGKFSKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGAIQAFLVKHINNMVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLMIGEKVAAERVHGQQAFSKGAYFLGKMVWAKGYRELIDLLAKHKSDLEGFKLDVYGNGEDSQEVQSVAKKLDLNLNFLRGRDHADDSLHEYKVFVNPSVSDVLCTATAEALAMGKFVICADHPSNEFFRAFPNCLTYKTSEDFVSRVKEAMASDPQPLTPEQRHNLSWEAATQRFMEYSELDKILNNEEPMNGDKAIYGRKMKKSISMPSLSDVMDGGLAFAHYCFTGNEILRLTTGAIPGSRDYGKQHSVDLRLLPPQVQNPVYGW >Ma04_p18300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:20124182:20128619:1 gene:Ma04_g18300 transcript:Ma04_t18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G08780) UniProtKB/Swiss-Prot;Acc:Q9M4B5] MQKGEGSEAQVTWEDQQNINKFGRLNNRFHELEDEIKVAKETNENLEDASNELILSDEDIVRFQIGEVFAHVPREEVENRLEKMKEDASKELEKLEEEKESILAQMAELKKILYGKFKDSINLEED >Ma08_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38083122:38107334:-1 gene:Ma08_g25130 transcript:Ma08_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGKNKGRTLNSDSLSSAESQLKPLDSSALSHDGSGAVEASNGDANGVEGASRTPATDGSVGDKAQKGDAPATATKQAEGDLHLYPVPVKALSGEKLELQLSPGDSVMDVRQFLLDAPETCFFTCYDLFLHTKDGSSHHLEDYNEISEVADITAGGCSLEMVAALYNDRSIRSHIHRCRELLSLASLQPSLSTLLALQHENTQQKTSDAVKVESAETDRPGFMEDITGALSDLLSSPSPKEIKCVESIIFSTFNPPPSYRRLVGDLIYMDVVSLEGNTYCITGTTRGFYVNSSTARILDPRPLKPAYETSTLIGLLQKISSKFKKGFREILDRKASTHPFESVQSLLPPNTWLGVYPIPDHKRDPARAEDSLSLSFGSELIGMQRDWNEELQSCREFPHKTLQERILRERALYKVTCDFVDAAIEGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLGHTSKSQEPNVQMNSRDVSENCEDKVSCNPPARTAGNKLFGATANSSMCMSTSPDKEQKQGVSDLMTDASAEVQIADSEQATYASANNDLKGTKAYQEADVPGLYNLAMAIVDYRGYRVVAQSIIPGILQGDKSNSLQYGSVDNGKKIYWNESFHSKVVEAAKCLHLKEHMVLDGSGNAVKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYIGPAHRFCVLRPELVASFCVAEAAERSQSSAKTTTQAPEAPCNQDISSGDVTVTVEDSSNTDEERAPAPFVLGISSDKILLNPNVFTDFKMAGDQEEINADESVVRKAGSYLVDVVIPKCVQDLCTLEISPMDGQTLADALHAHGINIRYLGKVANMIKHLPHLWDICSTEIVVRSTKHILKDLLRESEDHDLGPAITHFFNCFIGHVSPVAAKGNSDNMQSKTQKKAQGRHQSQHKFMKGQIRRLHGEFSTKNHLSYMHLTSEGLWSRIQEFANFKYQFELPDAARTRVKKLAVIRNLCQKVGITIAARKYDLGASLPFQTSDILNLQPVVKHSVPTCSEAKDLMESGKARLSEGLLNEAYTLFSEAFSILQQITGPLHRDVASCCRYLAMVLYHAGDIAGAIVQQHKELIINERCLGLDHPDTAHSYGNIALFYHGLNQTELGLRHMSRTLLLLSLSSGPDHPDVAATFINVAMMYQDIGNMETALRYLQEALKKNERLLGPEHIQTAVCYHALAIAFDCMGAYRLSIQHEKKTHDILVKQLGEEDSRTKESESWMETFRLREQQVNAQKQKGQTVDSASALKAINILKANPELVQAFQTAARSWNAGLTVNKSRSTSVVGEALPRGRRVDERAAQAVAEARKKAAARGILVRQNAAPVQPLPPLSQLLNIINSSSTPDAPNSGQAQEPKDAGNGWVSDGSVGATDASGSGHKDEAPVGLGTSLASLDSKKQKLKSKATS >Ma10_p26160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33989838:33994249:1 gene:Ma10_g26160 transcript:Ma10_t26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDN1 [Source:Projected from Arabidopsis thaliana (AT3G49250) UniProtKB/TrEMBL;Acc:A0A178VBM0] MACFFYLLRNICRWIWVLGHRMRQKEMRDGEIPDVDFIKSHSQKLEDELQKLGLKIKHHEDNLKFLKAQMNSIDESILDMQVNLGKYHSSAGAVDNNDFSTANTEKQTIENILKQEQTAAGIICQLKVYHAVQASKLPLTKDVLGIVATLGKVNDVNLSRVLSDYLGPENMLAIVCKTYEGVKELEKYDKEGMIDKSYGLHGIGKAIGRHLDGRYLVFCIENLRPYIGGFVPEDPQRRLALLKPRLPNGDPPPGFIDFAVNMIDVDHMHLSCITASGHGLRETLFYNLFSRLQVYKTRSDMLRALPFLSEGAISLDGGIMKSGGLFYLGGRNCIEVIFPISSGISRLPTDVLEIEEQLKLLRWQKERLLEDMQREETLLNHVKTMFSTKKEEYVKYLRETAQILQQGLQR >Ma10_p26160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33990608:33994249:1 gene:Ma10_g26160 transcript:Ma10_t26160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDN1 [Source:Projected from Arabidopsis thaliana (AT3G49250) UniProtKB/TrEMBL;Acc:A0A178VBM0] MRQKEMRDGEIPDVDFIKSHSQKLEDELQKLGLKIKHHEDNLKFLKAQMNSIDESILDMQVNLGKYHSSAGAVDNNDFSTANTEKQTIENILKQEQTAAGIICQLKVYHAVQASKLPLTKDVLGIVATLGKVNDVNLSRVLSDYLGPENMLAIVCKTYEGVKELEKYDKEGMIDKSYGLHGIGKAIGRHLDGRYLVFCIENLRPYIGGFVPEDPQRRLALLKPRLPNGDPPPGFIDFAVNMIDVDHMHLSCITASGHGLRETLFYNLFSRLQVYKTRSDMLRALPFLSEGAISLDGGIMKSGGLFYLGGRNCIEVIFPISSGISRLPTDVLEIEEQLKLLRWQKERLLEDMQREETLLNHVKTMFSTKKEEYVKYLRETAQILQQKLGDNFGEWQRNN >Ma06_p25130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25397086:25398664:-1 gene:Ma06_g25130 transcript:Ma06_t25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVTGKTKGRLMRKKENDLHQEDDSITSSSLSKENLNANQEGKEI >Ma01_p18860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14227973:14230954:1 gene:Ma01_g18860 transcript:Ma01_t18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVLGVVLLAILSSGALLVAGHLPMSRTLLSTTSILFVRCCRRAKAVFKESKKAPSVVELDQCEDGSQIQDALSGMVGKHTVPQVFIHGKHLGGSDDIVEAYESGRILTLLGIDSKDKSLNDLSLIHQSVRVWMS >Ma07_p00340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:300569:301406:1 gene:Ma07_g00340 transcript:Ma07_t00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEKLATAGRVGGRGGKETHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDDAARQFRGSKAKTNFPRPDSYPYPGSPRSQSITVESYGREATGPPLKIPLPPPLDLNIHHRGGVGGCKRFPFHPYPTVAATTVPAGYPRLLFDMTVRSEKAAAISRHHLLTLCPPTILADPRAAIACSVDSDSDSSIVDLHPSHRSPASHKMLPFDLDLNLPPSPEIA >Ma02_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26732991:26737324:-1 gene:Ma02_g20950 transcript:Ma02_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQPDCLPIHSKEILTSDGVKLNKKRKLQYALENLVSPLSKHKFGYRFRSTGHTIAAETEDVQNIDREMVAGGLKDGSEELGDSCNDSNSVSEGYDTTMTLDLDDEAEKSSGKIGNKGNDSLEDIQLLESAYKGIDDLHPGYEDYGHNIVSELGKNNLEHLDAEIEDLMLYSNDVAPHALLVSPERWSSGRDARLGARKPTIDKEFEQYFSMLML >Ma02_p20950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26732991:26737325:-1 gene:Ma02_g20950 transcript:Ma02_t20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQPDCLPIHSKEILTSDGVKLNKKRKLQYALENLVSPLSKHKFGYRFRSTGHTIAAETEDVQNIDREMVAGGLKDGSEELGDSCNDSNSVSEGYDTTMTLDLDDEAEKSSGKIGSPSVSSNNFNLDSFDTKTVKESGNKGNDSLEDIQLLESAYKGIDDLHPGYEDYGHNIVSELGKNNLEHLDAEIEDLMLYSNDVAPHALLVSPERWSSGRDARLGARKPTIDKEFEQYFSMLML >Ma05_p17160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:19462122:19468872:1 gene:Ma05_g17160 transcript:Ma05_t17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCACVRQRTTSFLFCCQKFIHYPLSPLPFRGLRDSWEARYGDLQERELPRARISFWDQESCVLCRRILAAKAASFCSSSYYEAFYCWYQPASTRRGGEEMLGFGALARLAGPLVSLPTAGTRVVYFPQGHSEQAAASTNKEVESHFPNYPSLLPQLLCRLHNVTLRADVETDEVYAQMTLQPLSPEEQKVTYFPIEMGFTSKQPTNYFCKILTASDTSTHGGFSIPRRAAEKVFPPLDFSQQPPVQELIARDLYDVEWKFRHIFRGQPKRHFLTTGWSVFVRAKRLVAGDSVLFIWNEKNQLLLGIRRTNQPQTSASSSLVLSSDSMHIGLFAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYTKAVFHTCVSIGMRFRMLFETEESSIRRYMGTITGISVLDPVRWPTSHWKTVQVGWDEATEGKRQCRARVSLWEVEPLTTFPMYPSLFPIGRSWHPGASFPFNSCLLYFIYLCISDIKENELNALMWSRGLAAERGMMYDIGIF >Ma09_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2948490:2951939:1 gene:Ma09_g04520 transcript:Ma09_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTARKKIQKDKGAEPTEFEDTVAQAFFDLENGNQELKSDLKDLYISSATQMDVAGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVLIATRRILRPPKKGSAVVRPRSRTLTAVHDAILEDVVYPAEIVGKRIRYRLDGSKIIKIFLDPKERNNTEYKLETFTGVYRKLCGKDVVFEYPVTESA >Ma11_p18310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23397035:23400230:-1 gene:Ma11_g18310 transcript:Ma11_t18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSVVFILFPLIFLLLDLSSFATADLSSDEQALLAFAMSVPHGRKLNWTSQSPICSSWVGVTCTPDKTRVRTLRLPAVGLFGLIPADTLGKLNALEVLSLRSNRLIVGLPSDVASIPALHSLYLQHNNLSGIIPSTLSSNLTFLDLSYNSFTGELPLTIRNLTRLNALFLENNSLSGPIPDFQLPKLRRLNLSYNNLSGPIPLSLQKFAVESFLGNPFLCGTPLAQCFEIPPSSPPSPSEHPTKPKRSFWKKLSTGVIIAIAAGGSALLLLLIIVILVCFYKRKRGEGSGELKGKDALGARREKPKEEYSSSIQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGNHGTTYKAVLEDGTTVVVKRLKEVIIGKKEFEQQMEMIGRIRPHPNVVPLRAYYYSKDEKLLIYDYVTSGNFSSLLHGSKGAGKTPLDWESRVKISLGAARGVAHIHAEGGGKFIHGDIKSNNVLLTQELDACVADYGLAPFMSSATTSSRIVVGYRAPEVIETRKYTQKSDVYSFGVLLLEMLTGKAPLQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRHQHIEEEMVQMLQIAMACVAKSPDQRPKMEELIRMIEDIRHSDSENRPSSEKSKDEKVETPQAADTESTM >Ma09_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10099174:10099929:1 gene:Ma09_g14780 transcript:Ma09_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMEQMDVDFLNASPELQVDLMNMMLQLQQLAELSEALPPNEQLSAASPQAFHSSPTAPASPVFAGPQTSSSSSLDATAAYDPIMGPLLNGSLAGDPSLASGPSSLAHGPASTAAMREMIFRIAAMQPVHVDPDSVKPPKRRNVRISKDPQSVAARLRRERISERMRVLQHMVPGGTKMDTASMLDEAIHYVKFLKTQVRSLEQAAVSQGMGAAAALPPTAGLIPSPGGYSYFQDMYQLQDQTFMNFAQM >Ma07_p17600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20758827:20760547:1 gene:Ma07_g17600 transcript:Ma07_t17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHTCCHKQKLRKGLWSPEEDEKLIKHITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSDQEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSCIKKKLKQRGIDPNTHKPLAEAEASEDQASKSSERTSSSCDLKVPIATLESTTDAVRTSSSMPMLDKHAVERKPSKNSATPMNCFFLGQFFASQDVSSDPATIFPLAELSFATDCSRSQAATAGLSVCPNPYGRLFEMNQDSSCNTLSTGFPSTPTAVISTSMGVRTNINLPLYNPAHVCAGIDGIRYSDAVYSSNTSQSSISSVGGIEMQNSSPFFDNGFFPWSELTPDTDTQVQLEGEPEDLKWSEYLEGGFPS >Ma08_p30150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41546387:41551426:1 gene:Ma08_g30150 transcript:Ma08_t30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKSTASVADAPRELFWKRLEDVLLKMGKIKMAAFYYSSPPPPPPVDVSPPESQPSGYYNPSQPSIPPPTSNADPPSLPPPPPPASQPPSAPVDAYTPPPEPLSPPPPPASSPPPPPQTPELYPPPPSAAPPAVTPPPPLSPPPAAIPPMSPIYYQSPPPPPPSYVPPSSPPLPPPPPALPSPPPNSAPASPLAPPPKAPSPPPPPPPYISNSTPPVPSSMPPRSPPSLPLPAPIKPNVPSSSNSSKHTGSSHGSSNALKSAANTSETVVTVAVVAGLVMLTFVGAAVWLVKKHKKPFAPTAYRGNLVLASPASSHASESSRARSPSYPLIRHGSEGSYGFHYSPSDLGLGHTKLWFTLEELSIITNDFSTQNLLGEGGCGCVYKGRLRDGREVAVKQIKVGGAQGEREFKSEVETISRVHHRHLVSLVGYCVSENQRLLVYDYVPNRTLYYHLHGKGMPIMEWTVRVKVAVGAARGIAYLHEDCHPRIIHRDIKSSNILLDYSFEAQVSDFGLARMAVDANAHVTTCVMGTFGYLAPEYATSGKLTSNSDVYSFGVVLLELITGRKPVDTSQPVGDESLVEWARPLLIQALENGDFGDLPDPRLDGNYNKDEMFRMIEIAAACTRHSSTMRPRMGQVVRALESLADLDINNGVRPGQSEVFDSSQQSEEIRMFQKMGFASQEHDSDYSRTN >Ma05_p24580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36787419:36799376:1 gene:Ma05_g24580 transcript:Ma05_t24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKKSIDVEEGSLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTIRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEDKEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDHYYSRDTAH >Ma03_p03380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2281492:2284071:1 gene:Ma03_g03380 transcript:Ma03_t03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATGIHLHDLPEPILTHIFSLVCDVRSRNAMSLACRRWRTLERTTRTSLVLRGHVRSPFLLPTCFPAVAHLDLSLLSPWGHHPFLLHHQQPPPPPPPPQFYHHNLAEQAVLVAARLAQTFPRVSSLAIYARDPSVVAALAPLWPGLRAVRLVRWHQRPPALPVGADLAPLLAACPALSTLDLSQFYCWTEDVPQALQDHPVAAASLTRLDLLCPASTEGFCSSKLADIVAACPNLTHLLVPCVFNPRCIEFVGDDALLMLATGCPRLSLLHLVDPSTLSPARSDSEAKEDAAVTGPGLEELFAKLPQLEDLALDLCHNVRDAGPSLEMLSYKCPKIKSLKLGQFHGVCKGAWLHLDGVSVCGRLESLCIKNSADLMDSGLVTIARGCRRLSRLEIHGCHKVTEAGIKKLSSILRSTLVDVTISGCQLLDAARSLRALEPIRDRIERLHIDCIWVLPELDQLPQTPEKTADDSDVDQVDEEMSDESRNKKSRHSDGNDGSNESSSFWFRTWANLRCLSLWVPAGEVLTPLGDAGLESCPQLEGISIKVEGDCRTCPRPRQRVFGLSFLARYPRLVKMKLDCGEAIGYALTAPTGHMDLSLWERFYLHGISDLRLYELDYWPPQDKEVNQRSLSLPATGLIQECLSLRKLFIHGTTHEHFMRFFLMMPVLRDVQLREDYYPAPENDMSTEMRVDSCSRFEEALNSRLIPD >Ma09_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1476705:1478810:1 gene:Ma09_g02000 transcript:Ma09_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTDRRNGCRRWHEVGNEHVPPTDVRIVTSDGQSIPAHSTVLRMLDRSRILHILGVPHDSVVAFVRLLYSSRSATSAREAKEAMERHGMALLALSHAYRVRWLKRRCEAGLAAWLSAARAMDVLKLARLCDAPRLHQRCLRLVAADFVAVQESEGWRFVQKRDPALELEVLQFVQETAQRTKRWKRERADQAMYRQLSEAMNCLQHIYTEGCTDVWPHNSRRPDNPCMSFSTCEGLQLLIRHFATCGRKLQAATKTCTHCKRMWQLFRLHSSLCDQPASCRIPLCKQFKEKMQEEKVDKTWRLLVKKVATARVMSSLANRKVPKVVHKSWMRCRGTR >Ma09_p02000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1476705:1478804:1 gene:Ma09_g02000 transcript:Ma09_t02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTDRRNGCRRWHEVGNEHVPPTDVRIVTSDGQSIPAHSTVLASSSSVLERMLDRSRILHILGVPHDSVVAFVRLLYSSRSATSAREAKEAMERHGMALLALSHAYRVRWLKRRCEAGLAAWLSAARAMDVLKLARLCDAPRLHQRCLRLVAADFVAVQESEGWRFVQKRDPALELEVLQFVQETAQRTKRWKRERADQAMYRQLSEAMNCLQHIYTEGCTDVWPHNSRRPDNPCMSFSTCEGLQLLIRHFATCGRKLQAATKTCTHCKRMWQLFRLHSSLCDQPASCRIPLCKQFKEKMQEEKVDKTWRLLVKKVATARVMSSLANRKVPKVVHKSWMRCRGTR >Ma06_p20490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14581972:14584177:-1 gene:Ma06_g20490 transcript:Ma06_t20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEREMACLRRASQLLGSLVSSSFSVRCFPCKWQLIRSKLEQLLSGLAAAADGNFLSRNSEVDLLLKSMLSTFDDIESLLLRCGDESCVGGKLLLRSDLNGVASRLGLHNERLAELYASGNATRSRAIVLTKPSSNASLEDIRFYVRDLFSRLKIGDTSMRLLALDSLHEVLREDDEYVRIVATDTADAVCLLVSFLEHRDDGLREKAAGVLLVIAEFDSYKGLLVAAGAIGPLIPILEKGTELGRERAAGILRRLTENSDNGWSISAHGGITVLLKICSDAAACRKELIGLACQILSNLGSAEEMRRFMVEEGAIPVLLQLTLSKEEESRIQAIECLHSMASADNGIRQIVVGEGLIASITELLDPCSPCSSTAREAALKATELLHSADSIAVLMSSGFLNRALFFVKHGGVSVQELVLKSVSRLCELSEECRKAMGDAGYMTELVSLLEAKSAGVREKAAETIHNMICAQRNRRRLIQDDHDVDRIMRSLDLVDGNSATRKHLLSVLKAVAESNSGRRRIMASGCVHCLQRLAEADEVDARKVMKKLSARNRFRSILNGFWSY >Ma06_p20490.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14581972:14587394:-1 gene:Ma06_g20490 transcript:Ma06_t20490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHNDHPINMKEEREMACLRRASQLLGSLVSSSFSVRCFPCKWQLIRSKLEQLLSGLAAAADGNFLSRNSEVDLLLKSMLSTFDDIESLLLRCGDESCVGGKLLLRSDLNGVASRLGLHNERLAELYASGNATRSRAIVLTKPSSNASLEDIRFYVRDLFSRLKIGDTSMRLLALDSLHEVLREDDEYVRIVATDTADAVCLLVSFLEHRDDGLREKAAGVLLVIAEFDSYKGLLVAAGAIGPLIPILEKGTELGRERAAGILRRLTENSDNGWSISAHGGITVLLKICSDAAACRKELIGLACQILSNLGSAEEMRRFMVEEGAIPVLLQLTLSKEEESRIQAIECLHSMASADNGIRQIVVGEGLIASITELLDPCSPCSSTAREAALKATELLHSADSIAVLMSSGFLNRALFFVKHGGVSVQELVLKSVSRLCELSEECRKAMGDAGYMTELVSLLEAKSAGVREKAAETIHNMICAQRNRRRLIQDDHDVDRIMRSLDLVDGNSATRKHLLSVLKAVAESNSGRRRIMASGCVHCLQRLAEADEVDARKVMKKLSARNRFRSILNGFWSY >Ma06_p20490.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14581972:14585009:-1 gene:Ma06_g20490 transcript:Ma06_t20490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEREMACLRRASQLLGSLVSSSFSVRCFPCKWQLIRSKLEQLLSGLAAAADGNFLSRNSEVDLLLKSMLSTFDDIESLLLRCGDESCVGGKLLLRSDLNGVASRLGLHNERLAELYASGNATRSRAIVLTKPSSNASLEDIRFYVRDLFSRLKIGDTSMRLLALDSLHEVLREDDEYVRIVATDTADAVCLLVSFLEHRDDGLREKAAGVLLVIAEFDSYKGLLVAAGAIGPLIPILEKGTELGRERAAGILRRLTENSDNGWSISAHGGITVLLKICSDAAACRKELIGLACQILSNLGSAEEMRRFMVEEGAIPVLLQLTLSKEEESRIQAIECLHSMASADNGIRQIVVGEGLIASITELLDPCSPCSSTAREAALKATELLHSADSIAVLMSSGFLNRALFFVKHGGVSVQELVLKSVSRLCELSEECRKAMGDAGYMTELVSLLEAKSAGVREKAAETIHNMICAQRNRRRLIQDDHDVDRIMRSLDLVDGNSATRKHLLSVLKAVAESNSGRRRIMASGCVHCLQRLAEADEVDARKVMKKLSARNRFRSILNGFWSY >Ma06_p20490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14581972:14588420:-1 gene:Ma06_g20490 transcript:Ma06_t20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHNDHPINMKEEREMACLRRASQLLGSLVSSSFSVRCFPCKWQLIRSKLEQLLSGLAAAADGNFLSRNSEVDLLLKSMLSTFDDIESLLLRCGDESCVGGKLLLRSDLNGVASRLGLHNERLAELYASGNATRSRAIVLTKPSSNASLEDIRFYVRDLFSRLKIGDTSMRLLALDSLHEVLREDDEYVRIVATDTADAVCLLVSFLEHRDDGLREKAAGVLLVIAEFDSYKGLLVAAGAIGPLIPILEKGTELGRERAAGILRRLTENSDNGWSISAHGGITVLLKICSDAAACRKELIGLACQILSNLGSAEEMRRFMVEEGAIPVLLQLTLSKEEESRIQAIECLHSMASADNGIRQIVVGEGLIASITELLDPCSPCSSTAREAALKATELLHSADSIAVLMSSGFLNRALFFVKHGGVSVQELVLKSVSRLCELSEECRKAMGDAGYMTELVSLLEAKSAGVREKAAETIHNMICAQRNRRRLIQDDHDVDRIMRSLDLVDGNSATRKHLLSVLKAVAESNSGRRRIMASGCVHCLQRLAEADEVDARKVMKKLSARNRFRSILNGFWSY >Ma01_p03930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2595419:2595742:-1 gene:Ma01_g03930 transcript:Ma01_t03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERLCLEVERLGPSAVIMGSRGFGDARRTDKGRLGSVSDYCVHHCACPVVVVRCPDEGNGNAEAAGGSPVDDDAAPARGTEEKQVKLHLELEVDDEYHDTSIEHKG >Ma03_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25637839:25643991:1 gene:Ma03_g20480 transcript:Ma03_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSFDARVKRLFGSRLFETVPDSNFPESSWSVVGGEVERQRWNRESGDGRDREGDPCASAFEEGGCFAKKMKSARRDRERRFEDDLDEVDDDEEDEEGGGGEYDLEDDGDREEREIRASVGLDPTLDYEEEEDEYDRRALNHDNTGDRVYMRDVKDHGPHLNFHTVVPDFIDASSEETHDFSRDPRADHFAAGVRLKEDKKAVENGHSSLTRIDEEHPEQLPIKIADAINLKPILKRKESQVDPKPKKRVRFNPGCEGDQLKTIEEHKDSHMVPQVVERGYGPAVTRETNSDLSEISQGIPDYLRNPSKYTCYTLDWSDKDDDETNKQAFEDFSNLVKRSNLDQMSLEIPVEIPKSITFTPQRKPRDAMSIDTGSRDIHEDSVSEPDVLACSTGIAAGYSPENDVHEMEEDGTSVAEVSIRPRRAGRNYRSKSSSDDCS >Ma06_p19870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13963515:13975474:-1 gene:Ma06_g19870 transcript:Ma06_t19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHVSHPNFYDFLNRMRRPAAADLVRSIKSFVISFPFQTSNAEHDGNKVQDFLAMMETTIKEHPLWAHATYEEIDSAIEGLEKYIMTKLFTHTFASSSEDAKLDLEISEKNCLLQHFIKPDHLDVPRVFQNEASWLFAAKELQKINFFKAPRDKLLCIMNCCRIINNLLLDISMTTNHTPAGADDFLPILIYVTIKANPPQLHSNLKFVQLYRKHSKLVSEVEYYLTNLISAKTFITNINASSLSMDESEFHRNMQLARLASEITVNEPSGTVQLSEGSPPIVRNKYIYVEGNGYPFMEAEARDLRLEDVQQLLGLYKQVVTKYRKLSEALRQLSIDENQLLDNHQRQDMKEKIEQQLEQAGNSGGTR >Ma01_p22740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25849348:25851370:1 gene:Ma01_g22740 transcript:Ma01_t22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSGSARQLAHFLVSKPCAVRSLIVSQTKMITAYGKNGDVQKAIELFEQMPHRNQVSYNAMLSVLLDSGRLGSALQLFEEMPRKNAWSYTSMIAGLSRSGHVREARQLFDSIPPSDRNVFSWTAMISCYAQNNEPHRAVSLFSGLYRELFESKVIPNSYTLSVVLKSCGCIRSLVAVRQIHSLTLKLLDEAGEGSVFAQNALIDVYAKLDCLHDAEHVFNRMKWKDLASRNIMMDAYAHNLLLDQAFKIFNSMNERDTLSWNIMMSGLLEGRRGLEALRLFLSLLRLGHDTKPNLSTYTIILTACATHTMLEFGRQIHGHTVKNSLYPNNIFVSNSLVTMYANCGLMEDSLQVFKEMPKKDVISWNSVIHGLGKNGHAQKALEIAEKALASNNFNGNTFSAILTSCSHGGLVVDGLDYFNSMSRKYGIEPTLDHYICAVDMLGRAGMLKEAHDLLRSMPFAANSVAWSTLLNACSIHGSLNIGRVAAQELQMLETDNTKSYLGLANIYCRTETGRESRELLNLLREKGIVKELGSSWVVEI >Ma10_p17420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28822558:28823632:-1 gene:Ma10_g17420 transcript:Ma10_t17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFFFSSSSSSASPGSDVTQEKKSKRRTQQDSSSSSESNGNGANSASAGGGGMRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARTLRGARARTNFAYPDLPPGSSLTPFLSPDLQPPPSSFTLSAPSPIQDSGHHAAAAFPALGGQGDYNAIADSTISDDGTHYYYHNQQQQEDGLQYTASTLPASQPAVAFPWESSGASAAGEEDMAAMWCDPGEFGGYGSPASHGIFFEEGYVHSPLFGPMPTVDDAAADGFQLGGSSSSSYYY >Ma06_p29580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30915853:30917007:-1 gene:Ma06_g29580 transcript:Ma06_t29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVESAPVVEVPADVPVATVVEAEPAPAPAVEAEPAPAPAVDEPKEEEEETPAEEAAAAAEHEETPAEEPAAEPVATEAEPAAAEPTEEAKPEAEPEAAAPVAEETTDPTVEEPEAATEEAAAPAAAEEAAAEPAVASE >Ma03_p11680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9068450:9071998:-1 gene:Ma03_g11680 transcript:Ma03_t11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLQRDPPTSCSAGPVGEDLFQWQATIMGPADSPYAGGVFFVKIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHTYKMQRSQYEETARAWTQKYAMG >Ma03_p11680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9068450:9071981:-1 gene:Ma03_g11680 transcript:Ma03_t11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLQRDPPTSCSAGPVGEDLFQWQATIMGPADSPYAGGVFFVKIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHTYKMQRSQYEETARAWTQKYAMG >Ma04_p00450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:400215:401987:-1 gene:Ma04_g00450 transcript:Ma04_t00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPEAETSFSPDGDRKHSGAAAAGCDAAHRKSPSNVFDEVVAETGGELEAEEFSGFGSDSDSLSISDGYSVHELVADSDGFLSERDFDGHEHAAEDSTIHEEERLEDKAVHISSGNNPSRSLSCSETQWKDAGDEDDEELDHLWEHQRLIEQLRLELRGERDIGLPTIVEESESPKTVDDLKPLTTIDKSLLLLEDPVDELHKSHKSYRERMRKLDVFNYQKMFAIGFLQLKDPLKSVKPRSSILSQSFRSIRQKLRADPTDKFIKEIHSDLEMLYVGQTCLSWEFLRWQYEKARKLFESDTCGNHYYNRVAEEFQQFQVIIQRFLENKPFQRPMLAHFVRNRCVLRNLLQVPLIREDLEEKMEDQQKVNCVITSESIEEIMKESLRIFWEFVKADEDATPWILRGFIGSHAELQDPSDFDLMEVVRSDLHKKEKKLREMLGTGNCIINKLKKPKEDRSNQDLFFSKVDLKLVGRVLRMSKVKTDQLVWCRRKLSNIKFVERRICREPSFLLFPC >Ma08_p19840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33704015:33708342:-1 gene:Ma08_g19840 transcript:Ma08_t19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPQILGVPGSAGKIDAGELGHLFRAQRSNLEHFFDHLDLAQASDFAQALLDAPGAVFFSGVGKSGIVAHKLAQTLASLGFARSAFLSPVDALHGDLGAVFPGDLLVLLSKSGSSQELLALVPCARAKGARLLSLTSAAEGAGNPLATLCDVNVHLPLQRELCPFGLAPVTSAAIQMMFGDTVIAALMAARRLTKEKYAANHPAGKIGKSLIFKVKDVMKKKNELPLCKDGDMIMDQLTELTSKGCGCLLVVDEMLHLIGTFTDGDLRRTLKSSGAAIFNLTVGEMCNRNPRTISPDAMAVQAMQKMESPPSAVQFLPVVDDHNLVIGIITLHGLVTAGL >Ma00_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:24750375:24752269:1 gene:Ma00_g03090 transcript:Ma00_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSEPHYSRARVMTLKLGALTSIMDDIYDNYSTLEESRLLTDAIQRWEAQAVDQLPDYMKDYYLKLINNLEEMNDELAPEEKYRMLYLKEEIKILARFYFEESKWGVEGYVPTVEEHLRISMMTIAYPMLACASFVGMGDVATKEAFEWVTSYPTILKASSIIFRVVDDINSHELEQERGHTASTVECYMKQYGTDANEACKKLQVLVQDAWKDVNKECINPTAVPMPLLERVVNFSRSTEDLYKDIDSYTHSNTTMKDRITLLLVQPVPV >Ma10_p13190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26091901:26096192:-1 gene:Ma10_g13190 transcript:Ma10_t13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDIGQAEGRTSNKPEGAGHQCVDSFASSEQEDSSDSSDDEMENFSDIDDVEVDGYLHNEEGKELKKIIWEEMNREYLEAQAAKEAAATAAKEAYKANFLNGSEDLLDAKELAKATAAALAKSRKERRRRNAGEAKTKTPAQTLETTHQMSKRKVLYVKLQVNIACTCICEIFVNIHQ >Ma04_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24863966:24864316:-1 gene:Ma04_g22580 transcript:Ma04_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGCNNACGCVVPCPGGNACRCSTGGDGEVRHTLCTCGDHCNCNPCACGGATAAGKASCTCRPNCGCAACSAA >Ma06_p15100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10279275:10280651:1 gene:Ma06_g15100 transcript:Ma06_t15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGWRRGRVIGRGSSATVSLATALDSGAVFAVKCAELGRSAILQREQRILSSLRSPYVVSCLGFDISSHGSTGGLYYNLFLEYAPRGSLSDEIGKQGGRLDEAAIRCYSCEILRGLVYLHSQGVVHCDVKGRNVLVGTDGHAKIADLGCARLIAQEDEEGLRGTPMFMAPEVARGEEQGPPADVWALGCTIIEMATGGPAWPGVSDPIAAIHRVAFSPDVPAFPSWLSGEGKDFLSKCLKRDPRERWTAEQLLQHEFVASSSITSPSKPDTDRRWVSPKSTLDLAFWESLPDQDEEPSQHPFFDPSARIQQLVSSSSPTWTWDDNWVAVRSTDKERGAAPSCPATESSSRDESLNSIMLNGNCVINSHSDCYSTSFAQVAEEEMQQFVSCKPEVMSSKIGYVSVSYGQNVRFEISYWICSIDLYPFRFLSLNVFIPLCTSNASRILELKQS >Ma04_p38050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35740777:35742593:-1 gene:Ma04_g38050 transcript:Ma04_t38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRRLMRLRVPLSPNLAHYHCLRIYGRDLKKRWTEILEFVCVMGEDEGHYGGSYKVSKGLSAKYGDLRVLDTPIAENSFTGLDIGAAMTGLRPDVEGMNMGFLPLAYNQISNDCGMLHYTSGGQFKIPMSYFQSITGLQMEMIPDDEYVLCLEEAEIHWVNKGYDPEVIDIRSLKPFDVYNTGKSVKKTHRVLNVEECMRTGGIGASLRAAIIDNFWDYLDAPIMCLSSQDVLTPYAGTLEWTVVQPAQIVAAVEQLCQ >Ma05_p24440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36682308:36702699:1 gene:Ma05_g24440 transcript:Ma05_t24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSETEEKYRLYVNTLVERVQICDEILQQVDETLNLFNELQSLHQTVATKTKTLHDACDRLLIEKQRLIEFADALRSKLNYFDELENVSTNFYSSNMSISSVEFLPLLKRLDDCISYVESNPQYAESGVYLVKFRQLQSRALGMIRSHVLSVLKSASSQVQTAIRGSSSSKATVSEGVEASIIYVRFKAAASELKPVLAEIESRTSRKEYAQILKECHKLYCEQRLSLVKGIVQQRISEFSKKEPLPSLTRSGCAYLMQVCQLEHQLFEHFFASSSADVSSLSPLINPLSTYLYDTLRPKLIHEANVDSLCELVDILKVEVLGEQLSRRGESLAGLRPTLQRILADIHERLTFCARTHIREEIANYRPSDEDLDYPAKLERYVDMTSSTSVDDTSDIFKTCYPPLEKTVSCISKLYHCLEPAVFTGLAQEAVEFCSISIQNASKSISKRSSPMDGQLFLVKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQSSLFDWSMSASLARTLSPRVLESQIDAKKELEKSLKTTCEEFIMATTKLVVDPMLSFVAKVTAVKVALSSGNQGQKLDSVLAKPLKSQAFAAPDKVAELVQRVATALQQDLPRVMMKMKLYLQNLSTRMILFKPIKTNILEAHLQLQTLLKSEYSTEEIESIGMVTIQDLESQLDGLI >Ma01_p19410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15038083:15041428:1 gene:Ma01_g19410 transcript:Ma01_t19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRRFLEQTLPEWRDKFLPYKPLKKLIKHLPPPPPRAEGLPPQAEGPPRPTGGAARPLAPALDAWFAAVLNEQLKKFNDFYVDEEEYYVIWLQGLKERIEKIKERKRGTFTSDRKFSEEMLEIRKDFVTVHGKMVLLKNYSSLNFTGLVKILKKYDKRTGGLLSLPFTQHARHQPFFTTEPLTRLVHECEANIEVLFPLEAEVIESHQTEKGETHQTCNPEVSSVRADNIGVYQSIKAAIKIIQRLQKARSTYNDDDGSGVVTTESSASDSSANSQNQEVDQESVHSDD >Ma01_p19410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15038159:15041428:1 gene:Ma01_g19410 transcript:Ma01_t19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKDFRRFLEQTLPEWRDKFLPYKPLKKLIKHLPPPPPRAEGLPPQAEGPPRPTGGAARPLAPALDAWFAAVLNEQLKKFNDFYVDEEEYYVIWLQGLKERIEKIKERKRGTFTSDRKFSEEMLEIRKDFVTVHGKMVLLKNYSSLNFTGLVKILKKYDKRTGGLLSLPFTQHARHQPFFTTEPLTRLVHECEANIEVLFPLEAEVIESHQTEKGETHQTCNPEVSSVRADNIGVYQSIKAAIKIIQRLQKARSTYNDDDGSGVVTTESSASDSSANSQNQEVDQESVHSDD >Ma06_p24290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22764291:22766156:1 gene:Ma06_g24290 transcript:Ma06_t24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPSFWCYRCGRFVRLWPRAAVVCPDCGGGFLEEVDGPSRAPLYAAVPQPQRRRFPSPATDSAAFERPHQPSELRVRRNRRAPTGNRSPFNPVIVLRSPPDVRGDADGATSNNFELYYDDGAGSGLRPLPESVSDFLMDSGFERLLDQLAHIEINGTGVSRVLAHLPASKAAIESMPTIEISDGHIIRESHCAVCKEPFVFGTEACEMPCKHIYHQDCILPWLSLRNSCPVCRHEMPMDVPEEDDNGGEMVGLTIWRLPGGGFAVGRYTGGRRAEGELPVVYTEMDGELNSRMAPRRMSSSSRGSRSREDGGISVFSFFRHLRSPSSSLRLSSEPLPTYTPLLTRRRSSRRRNTNWGLEAGNANTIARS >Ma06_p20140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14195130:14198766:1 gene:Ma06_g20140 transcript:Ma06_t20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPEDDFCSICHDNFTLPCQANCSHWFCGHCILRVWHHGSALQPCKCPICRRFITLLIPADAAVQERQDTGASQVLENIEKYNRKFGGGPSSIIQRLRDLPFFMRRLLRELIDTQRSLLPLVLRARIFFAMAVSAIYVLSPVDILPEGVFGFVGLLDDLIVLLVVILHLATIYRSVLVYRHGGY >Ma06_p17640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11964902:11965143:-1 gene:Ma06_g17640 transcript:Ma06_t17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYPFCPQATGYRQTSTGEEHGSNRRVR >Ma03_p08450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6117774:6118713:1 gene:Ma03_g08450 transcript:Ma03_t08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSKLVSIIQARLDSKERCGYGNDLLGLMLEACHKPEGQILSRDAIVDECKTFFFAGQETTAQFVTWTMFLLSTNQNWQEKLREEVQRECGMQTPDADMLSKLKLVTMVLLETLRLYGPIDVLRRKAGKDMTLGKINIPKDTEIVMPITLTHRNKEIWGPDADEFNPLRFEHGVTKAATHPTALLAFAVGPRACIGQNFAMLEAKTVITMILQRFSFSLSSEYKHAPRRSITVQPQYGLPIVLKPLRAGT >Ma08_p27630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39813122:39815381:1 gene:Ma08_g27630 transcript:Ma08_t27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPLLVFLLLALVVLSPRPHVAASDVLPSGGAAANPFTARAALIRYWKRMVPTNRPQADFLLAKLSPLSALDSATFSSLVAADPSALSRRLPAICAAARLLCSPATANTYSAAARKDDSSAFASYQNSNFSDYGTGAAGGRSSFKNYSDDLNVPVDTFRRYSRDSTGHNDSFASYSPEGNVVTANFTSYGSSATGGAGDFASYDHESNVPDLKFTNYDADATGRRRSFSSYSDDTNAGDQSFAGYGKDGNGVPTSFTSYADNSNVIGSSFAGYGEGANGANDSFASYGFNGNVPENNFRSYGDGGNSGSERFTSYRDQSNVGDDRFTSYAKGGNAAAADFVNYGNSFNEGSDSFKGYGEGSSKHSVTFKSYAADNTSFKAYAKSGVDFKAYRNSSVIPSSSSSDAAATGSLLSLRKAGGRPANRWLVEPGKFFRERDLRRGSVMPMPDIRDKMPPRSFLPRSIAGRIPLSATEVRRIFGIPADTALGRAVADTVAECERAPNRDETKRCATSAEDVIDFAVSVLGSDVVVRSTANTTGSKGNILIGKVGGVNGGKITKSVSCHQSLFPYLVYYCHSVPKVRVYEAEILAVESKEKINHGIAICHLDTSDWSASHGAFVALGSAPGKIEVCHWIFEGDMTWTVAD >Ma06_p15500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10522582:10525162:1 gene:Ma06_g15500 transcript:Ma06_t15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPPQPMPVRTSSRSWSIYGRGEIAQRYEILGRIGSGAYADVYRGRRRSDGLIVALKEIHDYRSSFREIEALQALRGSPNVVELIEYFWHEDEDAVLVLEFLPADLAAVIREAKRSGGIAIGEVKQWMVQILRGVEACHRSSVVHRDLKPSNLLISADGVLKLADFGQSRMLQENRLISIDSSPHEQFSENETWVQQLPAVQHEGNTSLPDESGVQDVQEHRSDQQNAQGLRQVNEDDYLKELYGLKAKNTTYDSDKEMSLQDGDTSCLATCSTGDIEVDPFKGSYYSYDAQEDEVDESGALTSCVGTRWFRAPELLYGSMSYGKEIDLWSLGCIFAELVSLDPLFPGTSDLDQLGRIITVLGNLTEETCPGCSNLPDYNKISFSKVENPTGLEACLPNRCAAEVGLVKRLLCYDPASRATAAELLHDRYFAEEPLPVPPSELKIPSNKEEHNESSPEAWADYRDMDSDSDPDEIGNINVSTTEKGFSIRFS >Ma01_p20980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19818156:19818489:-1 gene:Ma01_g20980 transcript:Ma01_t20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVLCIVESCSKMISFCFLKITRMFKPSLVADCIFSNIVEEFSGRSNRLESMFSREISEQYIYMWISRFRSDHCV >Ma03_p07850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5573615:5574872:1 gene:Ma03_g07850 transcript:Ma03_t07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35550) TAIR;Acc:AT5G35550] MGRKPCCAKETDLKRGAWTAEEDGILEAYIKTHGEGRWRSLPKKAGLKRCGKSCRLRWLNYLRPDIKRGNISLEEEDLIIRLHKLIGNRWSLIAGRLPGRTDNEIKNYWNTYLRKKVQNQHEPAVVLSSLHHFVQVSREGDLVAVSEAEKAPESVPGESFSILTEGNWWDLLMSSDAGEAASAVQVPHLNLVSLCGDDSRCDLYAANEGMLEDWMCDPAQTSLDRELESLARFLHCDD >Ma08_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32100037:32122368:1 gene:Ma08_g18590 transcript:Ma08_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVLDLGSYTCKAGYAGEDAPKAVFPSAVGSIYQAGATDEVKHEKDSDSMYDSENADKIKTRKLYVGTQALGYRRDHMEVISPIKDGVVVDWDIVDNIWNHAFRERLLIDPKEHPMLLAEPSFNTPQQREKAAELMFESYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTTIAAVHDGYVLQKAVSTSPIGGEFLTDCMMKSLESKGVMIKPRYSFKRKEIRPGEFQTVDLDIPNTTESYKLYSQRAIASDIKESVCRVPDTAFEESGYANVPMTPYELPDGQTIEIGADRFKIPDVLFNPSLVQTIPGMESFAGSASVSGLPQMVIESINRCDADIKRELFSSILLAGGTASMLQLKERLEKELMEEAPQAARVKVLASGNSIERRFSVWIGGSILASLGSFQQLWFSKAEYEEHGVSNIQRKCP >Ma10_p24760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33210641:33212910:1 gene:Ma10_g24760 transcript:Ma10_t24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPLVNPEAMAFIVKHGTGIVCVSMKAEDLERLELPLVVSNKENEEKLRTAFTLSVDAKEGTTTGASARDRAKTVLKLSSPDSKPEDFNRPGHVFPLKYREGGVLKRAGHAEASVDLAVLAGLTPVGVLCEIVDEDDGSMARLPKLREFAKKEDLKMITIADLVRYRRKRDKLVERASVARLPLKWGSVQGHCYRSLRDGIEHIAMVKGDIGDGRDILVRVHSECLTGDIFGSAKCDCGDQLALAMEMIEKAGRGVVVYLRGHEGRGIGLGNKLRAYNLQDDGRDTVEANEELGLPVDSREYDVGAQILRDLGVRSIRLMTNNPAKCDGLKDYGFSIIDRVPLLTPINEENRRYLETKRAKMGHIYGSEFNGHLTSIIQRIKETI >Ma04_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8210325:8216994:-1 gene:Ma04_g11630 transcript:Ma04_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGSAAAGGDGHIKGVQTHGGRYVRYNVYGNLFEVTAKYVPPLRRIGRGASGIVCAAVNSQTHEVVAIKKISNAFDNIIDAKRTLREIKLLCHMNHENVIAIKDIIRPPNRENFNDVYIVYELMDTDLHHIIHSNQPLTDNHCQFFLYQLLRGLKYVHSANVLHRDLKPSNLLINANCDLKIGDFGLARTTSETDFMTEYVVPRWYRAPELLLNCSEYTAAIDIWSVGCIFGEIVTKEPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYMRQLPQYPKQQFHARFHTMSNEATDLLEKMLVFDPNKRITVDQALHHPYLASLHEINDEPVCPTPFSFDFEHPSHTEEDIKELIWRESLKFNPDPVVH >Ma05_p31130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41191741:41192914:-1 gene:Ma05_g31130 transcript:Ma05_t31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSFVFISTLAPYPSRSSPFLLVSIALSSVLFFLATLSSANDSNVLLTGDVLGTDSQLYYRGATFVMQGDCNLVLYNKGRGFESNTHGEGVNCTLSLGDRGQLVITSSAGFTVWTSGVAGNAKTGKYAAVLRPDGEVAVYGPAVWSTPDFRFGAAGPNEAELAAIPMVDNLLFSSQVLDGGSNLATRDYTFVMKDDCNLALIKGGTIVLWQSGTAGKGLNCFLRLDHLGQLAVVDDHKYKTLWTSKNVSSEGDYVLILQITGQAVVYGPVVWSTSQAN >Ma04_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7417017:7417735:1 gene:Ma04_g10410 transcript:Ma04_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPIQSPHPIAPHSTTSSRCISNDDGVTAAAAPPTPPPPPKSTPCATTFVQADTSSFKQVVQLLTGSAETTAKRCGGLPPAAKAVTGPKRRAFKLYERRSNLKTIGPLKSTAFSPHKRPPEIKSPIVLDFPSLTLSPVTPLTPDPFDRLLQPYSAARMSADARSIAEKGFYLHPSPRTTPRDAEPPRLLPLFPISSPRTSSASAACSST >Ma01_p00170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:152117:156284:1 gene:Ma01_g00170 transcript:Ma01_t00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSFGLWFSCISCLATSILGRPSSLLSFPFLQAAELRTSRLFLCFFESHSITGEVDRGINARRGTRRSDESAAEGDAPGQNPAWLRDRAEKQFAGNRVLHPIPDTGVSPTGNDQHTAGIEEIVEAAMTNFLSRWCPHVTIILCYPM >Ma04_p26550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27973129:27974181:-1 gene:Ma04_g26550 transcript:Ma04_t26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCDKLGVKKGPWSAEEDEKLVGFILANGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLRRGLLSDVEEQLVIDLHARLGNRWSKIAAMLPGRTDNEIKNLWNTHIKKKLLRRGIDPVTHQLLDRQASPVASQSTVTTDSKSDADQFQSPGNQGHIPSWDNKNPAEASSSAGSADPPLMNCLWEDDAPVLLEELWQLPGNEDNYGAIAAAGQVPWDYEGCCEWLLDYQDFEEGDVGSENLPRR >Ma11_p06370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5138675:5139650:-1 gene:Ma11_g06370 transcript:Ma11_t06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHDNLKATELTLGLPGTDAIEKPTTAAPNVNKRSKEEEEEEECCGFTGSSGRPAAKAQVVGWPPVRSYRMNSFRERKMEKKEEDTTGIYVKVSMDGAPYLRKVDLGVYNSYEDLTDALEAMFKGFSLGKRIIQRQAHDLHESEHAITYEDKDGDWMLVGDVPWEMFVSSCKRLRIMKGCEARGLTSSP >Ma07_p17720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21734204:21738529:-1 gene:Ma07_g17720 transcript:Ma07_t17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGSWEGAQRRPLLDESHSSSCYKDDEPVAVHQRPQQQCAPTNYKAPLIILGFSFLEGIAFNGVGINLILYLRTVLHGANSSNAANVASWGGTSYFTPLLGGLLADTYWGNYRTIVISTILYLLGMITVTSCAAIPSLKPPPCDVSSCQPATGAQMFVFFSGLYLIAFGSGGVRSALLPFGADQFNDGENPVEMRKKVSFFSMFYVCSMLGVLIAGTLIVWIQENIDWAVGFGIATICMALASGGFLLGTPTYKLRMPTGSPMKSIIQVIVATFRKMHLEVPTDGNLLYEVDKNNSGQQHLAHTDEFRFLDKAATISDTETSNSHAHTSWTLCTITQVEELKILLRMLPIWATSIVYSAACTQMNNTFIQQGSVMNTRIWSFSIPPASLSSFGVMCVMVWVFIYNKIIAPAVQRCSSSGAGLSNLQRMGVGRFTMIISMTTAAIVETKRLQGVKNGQTMSIAWQLPQYFIISGSEVFNYITQLEFFYAQAPDTMRSICTSFALLSTALGNYLSSLIITFVSLVTASGGKPGWIPDDLNEGHLDYYFYSLAGMCAVNFCVYVVFARRYKLKRVI >Ma07_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27261918:27262467:1 gene:Ma07_g19210 transcript:Ma07_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRCWRGAVTARSDHLCRGFHGQKPVGVLPVHASQSCAEQVQHLRAAREPHHRAQRLAVDGLPLLVVVWGCVFVYLVKAEDHGIQLAGPSNEGLNPVSITDLKFQSN >Ma01_p21980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:22344231:22345658:1 gene:Ma01_g21980 transcript:Ma01_t21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGLVSEGSWSCFDSEVMTHLCDSHHFAEEQDQGLSMVTPAMLCSDHSSHSYSSYWPQYNSSSFLPHADYGGYSICTGEEQITAPSFPGDQFNLEVGGLFAKPPFPLLPCDHNAEATKKRVTTSELEMTGSGDGHTVSPNKKARAAASVPKKRKNPQPKKAQRTVATANEEEGNGELNSQSSSCYSSEEDCNGSQELLGSGITSSSSKATAGRGSSTDPQSLYARKRRERINERLRTLQSLVPNGTKVDLSTMLEEAVEYVKFLHLQIKLLSSDDLWMYAPIAYNGMSVGFDLNISP >Ma06_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:23127652:23129735:1 gene:Ma06_g24590 transcript:Ma06_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSSSLGASASRSSNDTLHGLMFGEKVYFEDAVGGGGSDSTSKAPPAPSTAPKKGKAVVHGVQQQPPRCQVEGCEADLSGVKAYYCRHKVCGMHSKAPKVVVGGMEQRFCQQCSRFHQLSEFDQGKRSCRRRLAGHNERRRKPPFSPRYAHLAPPSFHEPGRFRSFLMDFAYPNISSSTGARDICPTVMAGDQVATNHWNDVVDAPSDADADADAAAAAAAAPVHGAGSRSHPYSQGSTGQILYSSPEFPPGECLGGALDASCALSLLSTRPRGDHTSRSRRLPTISASGGLNASSSILAHSLVSNNHTANSASFGHQGSRNSWHEMGMDAAGGSHFSGELELALQGNGQCLGHGLLGREYDHSGHNIMHWSL >Ma01_p21260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20888041:20890830:-1 gene:Ma01_g21260 transcript:Ma01_t21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQPQGDTLEDITTVEGYTDIIVLKHFKSGVARWQLLHPVFFLIKARMIKDNIQHREPPSPGGEERGGPLQVR >Ma04_p02400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2069653:2070972:-1 gene:Ma04_g02400 transcript:Ma04_t02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSLSLSLSLSLSLLFAYARAWGEVVAPLLR >Ma06_p27190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29221611:29228968:-1 gene:Ma06_g27190 transcript:Ma06_t27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASPSLPSSDSRLKWRKRKRKRDANPRRQNMRDDEDDDDEDAAAAAEEVEDDGRDSPAILAAADPVLDLRESEVLSGGGHRVSDFPSAVRRSVNRPHSSVLALVAAERSNAARSWAPPCLENISHGQLQVLSVVLPDNPSLQQQPDLDNSSSYVCTPPPLMEGKGVVKRFGKEQLLLVPVHSDWFSASTVNRLERQVVPHFVTGTSGDHTPERYIGLRNKIISKYLENSGKRLSFADCQALVPNNELYDLSRIVRFLDHWGIINYLAASSVHRGLRMAGSLVREEASGELQLQTSPLRSIDSLMLFDRPKCSLRLEDVAFLSHSASTDSDAGIVDLDGRIRERFAEHSCNFCSCPLTSLHYQSQKEVDIMLCADCFHDAKFVTGHSSLDFTRMDSKKDNSDIDGDSWTDQETLLLLEALEKYNDNWNEIAEYVGTKSKAQCICHFLRLPTEDGLLENVELPHMPTISDSSIRHDPGLSNSNSNGNVEGLSNGDFTTTNQLPFANSANPVMSLVAFLTSAIGPRVAAACASAALSVLTREDCRSDGSHTEVGIHRPHANLGHQKDGTDGQVRHAKNGATSPAPDLVKYAAMYGLSAAAVKAKLFADQEEREIQRLAATIINHQLKRLELKLKQFAEVETLLLKECEQAERMRQRLSAERVRMMSTRIGSTTNNIPSSAGATTTAPAAVNANSGQPALSPLVGQVNMPQAAYDNNLPGHHQMPLVQRQQMFAFGPRLPLSAIHPAPGSSQNVVFNSGIANSSATNPHPSLRSSSGNNQT >Ma06_p27190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29221606:29228968:-1 gene:Ma06_g27190 transcript:Ma06_t27190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASPSLPSSDSRLKWRKRKRKRDANPRRQNMRDDEDDDDEDAAAAAEEVEDDGRDSPAILAAADPVLDLRESEVLSGGGHRVSDFPSAVRRSVNRPHSSVLALVAAERSNAARSWAPPCLENISHGQLQVLSVVLPDNPSLQQQPDLDNSSSYVCTPPPLMEGKGVVKRFGKEQLLLVPVHSDWFSASTVNRLERQVVPHFVTGTSGDHTPERYIGLRNKIISKYLENSGKRLSFADCQALVPNNELYDLSRIVRFLDHWGIINYLAASSVHRGLRMAGSLVREEASGELQLQTSPLRSIDSLMLFDRPKCSLRLEDVAFLSHSASTDSDAGIVDLDGRIRERFAEHSCNFCSCPLTSLHYQSQKEVDIMLCADCFHDAKFVTGHSSLDFTRMDSKKDNSDIDGDSWTDQETLLLLEALEKYNDNWNEIAEYVGTKSKAQCICHFLRLPTEDGLLENVELPHMPTISDSSIRHDPGLSNSNSNGLSNGDFTTTNQLPFANSANPVMSLVAFLTSAIGPRVAAACASAALSVLTREDCRSDGSHTEVGIHRPHANLGHQKDGTDGQVRHAKNGATSPAPDLVKYAAMYGLSAAAVKAKLFADQEEREIQRLAATIINHQLKRLELKLKQFAEVETLLLKECEQAERMRQRLSAERVRMMSTRIGSTTNNIPSSAGATTTAPAAVNANSGQPALSPLVGQVNMPQAAYDNNLPGHHQMPLVQRQQMFAFGPRLPLSAIHPAPGSSQNVVFNSGIANSSATNPHPSLRSSSGNNQT >Ma10_p04660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15306980:15308244:1 gene:Ma10_g04660 transcript:Ma10_t04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVATEGGGIGQMLRNMVVDKSSLFVFRHVRQQEESRRLREASEAHVPVTIYKDGKLGVASPMEIPVSDINDEAGRFINIIWTRRTSWNSPEGDGSMLRSPSRRQLVVEGNTKNNDEGQQKNNTMKNNNDERKQE >Ma10_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:7671860:7672999:-1 gene:Ma10_g02320 transcript:Ma10_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARCWMWARANYSSRPHLSTQIHRLLFGSSYNQSWEEKAFAEDAAGHLGGCVWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLRQCSSVGGEAGEDQQHPNPCLMVAMASEHPPQVNPNPNSGVPASSVPPRVPAAATKGNWTEDAVFSPSFSSSRAGSMEHSTPLHLVLGPQLKLGVENLGSKKACEDEEQNRNKRRRTETTPVFFMKSFSCDQQRVQPEALNHDTVEELDLELRLGDAPKVN >Ma10_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23424297:23425508:-1 gene:Ma10_g09240 transcript:Ma10_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEQVLLPSSSSSSSLRQLSPASELGPLEQMAKKTCTLILKVDLECSRCSKKIKKTLCKLQDRARIQSIAYDEENGTVTLSGPFDPDCLSKKLCCMAYKVIKDIHIKPPPPPPPQNPPPTKQPDAPATAQDPKPKLDAPVDAKPPSSKPPDLVYLPVFPACFCRCSCSEAHHGCCCRCCSCGKMRSDPPPVYGGSYCQEIRIVFEQDPPNACIIM >Ma04_p28740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29732818:29733221:1 gene:Ma04_g28740 transcript:Ma04_t28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Ma10_p14880.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27172344:27174996:-1 gene:Ma10_g14880 transcript:Ma10_t14880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVACSHSQLPSLHRGGQSRSGLLSISCLKTNCPGPVNAIECSSTEEGPKMIKPIVKMCGITSAKDAEMAANAGASLIGMIIWPNSKRSVSPKVAKEISKVARDCGAKPVGVFVDDDADTILRASDAAELEFVQLHGNGSRSTLPILLQQNQIIYVLHVDENGNLLNHVSDEESSLVDWFLVDSAQGGSGKGFNWQRFQLPSMRSKYGWLLAGGLHADNVCEAVTTLMPDGVDVSSGICGSDGIQKDPSRISSFMNKVKSLSY >Ma10_p14880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27172344:27175257:-1 gene:Ma10_g14880 transcript:Ma10_t14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVACSHSQLPSLHRGGQSRSGLLSISCLKTNCPGPVNAIECSSTEEGPKMIKPIVKMCGITSAKDAEMAANAGASLIGMIIWPNSKRSVSPKVAKEISKVARDCGAKPVGVFVDDDADTILRASDAAELEFVQLHGNGSRSTLPILLQQNQIIYVLHVDENGNLLNHVSDEESSLVDWFLVDSAQGGSGKGFNWQRFQLPSMRSKYGWLLAGGLHADNVCEAVTTLMPDGVDVSSGICGSDGIQKDPSRISSFMNKVKSLSY >Ma10_p14780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27117371:27124164:1 gene:Ma10_g14780 transcript:Ma10_t14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRLGLFGYSLNVPSRNAFRILAQNQTDTTLRIGSPGSACLDFLNSKGIKRKWCDFDGSGMGKASLALDLGRPSSSSDSSKKSSATACTMSSVKETDDGSSMDLGLNFDLYLGSENTDSTKKPALAVSKMMNIEPNFDVQLSLSIGPSESVITGVTPVSVHHDYILDNPITASQMSALDEGSTSSRWKNGNKLLPYINSIDKTSSFFPDGMNYAKFCLEQQDCSSSTMTQMLKNSVAASGVTQTQQRNSNIKNCQFPGCAKGARGASGLCIAHGGGRRCQKDGCHKGAEGRTIFCKAHGGGKRCQYLGCTKSAEGRTDCCIAHGGGRRCSHEGCTKASRGKSGLCIRHGGGKRCQRENCTKSAEGHSGLCIAHGGGRRCKYPECTKGAQGSTNFCKAHGGGKRCTYLGCTKGAEGCTPFCKAHGGGKRCAFQGGCTKSVHGGTQFCVAHGGGKRCAVPNCTKSARGKTDFCVRHGGGKRCKTEGCGKSAQGSTDFCKAHGGGNRCTWGQPGSNIGTDGAPCDRFARGKLGLCAAHTALVEDHCVHGGHQLVTVNSEHQIPSKPEKMKEIVDPDRFLEIENRKNMTFGWSGIHQTEYIHPTNPLNSMMASLPEGRVHGGSLVAMLVSNAGLGGDSASQVDDGNSKVDVGNSKPGIPHIMGGKWV >Ma03_p13820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10910247:10915625:1 gene:Ma03_g13820 transcript:Ma03_t13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIADSGSGSDTAIARSPAKGAGGLAGETRWVEDALRGAEDLKQTVTAAAESAFLAAGSSAEDLKRSVTAAADSAFQSVGSVLSRIVSDSSESLEEAKVKIAWAKDHYAAAEEVALAKIKDGIVDAALHPALSCGIAAGLGLVLLKGPRRFLIRNTRRIFVSEESMLSSAQVKVKELQQSVNLVTNESKKLEDRATRAVEDMKRGQKRLIEEGHQIQKQLNFAAGIERQIMSLKGRLNELPKADASRYQSQVSTISSQIKQEKKALNGALSRIINHGIPM >Ma01_p06580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4714383:4715128:1 gene:Ma01_g06580 transcript:Ma01_t06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAGVSTSARGVVSSKAELPKPQLSKGMLRLPWASRRRASSRRLVLVASSPSTPPNISEKVVESIKKAEETCAEDAASGECAAAWDEVEELSAAASHARDKLKTSDPLEEYCKDNPETDECRTYED >Ma05_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:898093:900528:-1 gene:Ma05_g01470 transcript:Ma05_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLCVALSLALLLFLKAILFSNNNTISSHEKRNLPPSPPSIPIIGHLYMFKKPLHRALARVTERYGPVLLLRFGSRPVLVVSSASAAEECFTTNDIAFANRPRLPSIRYISYNYSTPGTAPYGAYWRNLRRIATVEVLGVRRLQSSSDVRATEVRAMASHLFRYAKAGPAKVELKSRLFALVINVFMITIAGKRYYGEEGRISEESKKFMEVAEETVALAGASNIRDFFPFLRWLDYGREVRKRLTRLDRMRDELMQGLIDDHRRESKEVKQGDGGEEQDEAKKKTTIASLLSMQKDDPEHHSDHIIKSLITGLLVAGTDTSVGTMEWAMSLLLTNPETLRKAQAEIDACVGNNRMLEESDLPNLPYLRGVINETLRLYPAAPLLVPHESTEECTVDGVVVPPRTMLLVNAYVIHRDPKVWEEPNKFMPERFEGSGKESWMIPFGMGRRRCPGEGLALRMMGSTLGTLIHCFEWETVGHEELDMAEASGVTMPRAVPLVAICRPRQAMIHVLSEL >Ma03_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4831398:4832444:1 gene:Ma03_g07030 transcript:Ma03_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDTFLPLRQRQEPATEFEPESNNVLLDVDMNARLGDFGLAGLHEHGENPYTTHVVGTLGYIPPEMCHAGRDAPSSDVFAYGILLFEVACGRRPIQPTAPPSELVLMEWVRKCHMDDKPLEVVDPRLGGLYLEQEIKLVLRLGPFYSKARPTMSYVTWYFDGTDGLTDDVAFVFSEADSMDLASRLSITSSWSGMGSRSLH >Ma09_p21820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33774855:33778580:-1 gene:Ma09_g21820 transcript:Ma09_t21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAGAALSRRRASLELLRQLRRTTSVAPPQPPSLSTVAAAAEGIGPPPIRVSLTESAGRGVFATRGIAAGELIHSAQPLVAHPSPSLLDKVCYYCLRRLQKEASPIGTSIPGENERSKTTACYFCSESCREQSKGFYEVEKGLDWTLFDDHCSMRRLKYPLMVKRLACMILSGAASVDCLDILQPASLHPETLLEMEDEFQLLKDTFTKGQFKNDSMTFLTKEWYINVLARIRINAFRIELVAKTYEEMLTSAAAFIAADAAVGNAIYMLPSFYNHDCGNVY >Ma03_p11070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8352570:8382768:-1 gene:Ma03_g11070 transcript:Ma03_t11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQERLRQVVDECLVRSNALLADLCGDPFDSASSLPAASGSDPVRLHVEPVEHSHLSLLLQSDNVAVSKFVMVLSYDCIEISNLSNLASRNLYRQLHLFGHRSSPQEVLLEGEPQKAFGESLSLFMQLRETTIRMRDVLGNLLQQLNSVYSLRDKNVRPLNSIKNLKLRTAFEALGEGLAVFLILDEIIKQNAHIKSYLSLFSRMLNKVKLELDTFDINVEDLDLIDQVVRDIEKLLEVSFFQWLLHKESSWQATMEQVKDNKRFIDGCFSCIHEGLLEVLPRLGTWKELPLDRWKIMQHMALFIFSTYASALTPEKKIGKVLSDMLLLVPLIYVGGGKRIILIDVLKDQCPSSISTWPFMREAIRDRNVLISNYLKRISEAHSRDWQAIKDALSSWIASFHSTVHPSAEMLSEGWLRLHLQKTLQGIVLANRLQLLILSIVDLHALLEVPIKREKLKSLCHMIVSLKVLGQTFQSRGPDMIRSLPHIINIIQVDIEQLISPSKYKLQAEVDKGGQMSKLGFLNSLARGSKETDTKLIDSLSLVSMSLQMLQGGGSRQRHLILLNTLNVLQSIGSLDLDLLRVGKLTLKLGTVANFHNIIADVMDCSFLYWRREMMGNLFSMVYMDVKRFPWIQYLVDAFSDGLRLLKLGHVGKLTLEAYEKQIEYGVKNEIVGPLCRDIETDLRLHVHSTYLKGSVVVNPTKTGVRNLSWYLQIKPLLLPSKLIDISSLVGSYLSSAFYNHSTMSTYDRKIYLEMQLLAGLKYGLLLDDIHFVGNSVAHNIDINEIVQDLHAFVENYSYNIYNQVFVENVPKGQNKKNLRLIGVEDIARSIAIHSLSRICKASDSVSQLLKQMFTILSQLLQDKFWTGSSKDHIFLKNDKELANEYPFWQQAEPRFAFGKFALGDIGVSFLEQLQFIMRKIGNALGLMRILQTGSSRHCCNISRFTIDMSFAESYLKLGFDGEILTAGRMVDKAIVENYEPDARIKSFSSFITTFIEEHEFSKDHNMKDLFQMFPSVIINLVNSRVRHKDKLLVKEHDSGSTLYMHDSFLMGIAFSLKVLGQERSFDELDWFASTRKSLEDRISSLEGSSKVEENGKVGSLARLNLWKQSSSIPIEIQKDLDECKRYQKEIEFVEHVLNISRTLMS >Ma03_p11070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8352570:8382768:-1 gene:Ma03_g11070 transcript:Ma03_t11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQERLRQVVDECLVRSNALLADLCGDPFDSASSLPAASGSDPVRLHVEPVEHSHLSLLLQSDNVAVSKFVMVLSYDCIEISNLSNLASRNLYRQLHLFGHRSSPQEVLLEGEPQKAFGESLSLFMQLRETTIRMRDVLGNLLQQLNSVYSLRDKNVRPLNSIKNLKLRTAFEALGEGLAVFLILDEIIKQNAHIKSYLSLFSRMLNKVKLELDTFDINVEDLDLIDQVVRDIEKLLEVSFFQWLLHKESSWQATMEQVKDNKRFIDGCFSCIHEGLLEVLPRLGTWKELPLDRWKIMQHMALFIFSTYASALTPEKKIGKVLSDMLLLVPLIYVGGGKRIILIDVLKDQCPSSISTWPFMREAIRDRNVLISNYLKRISEAHSRDWQAIKDALSSWIASFHSTVHPSAEMLSEGWLRLHLQKTLQGIVLANRLQLLILSIVDLHALLEVPIKREKLKSLCHMIVSLKVLGQTFQSRGPDMIRSLPHIINIIQVDIEQLISPSKYKLQAEVDKGGQMSKLGFLNSLARGSKETDTKLIDSLSLVSMSLQMLQGGGSRQRHLILLNTLNVLQSIGSLDLDLLRVGKLTLKLGTVANFHNIIADVMDCSFLYWRREMMGNLFSMVYMDVKRFPWIQYLVDAFSDGLRLLKLGHVGKLTLEAYEKQIEYGVKNEIVGPLCRDIETDLRLHVHSTYLKGSVVVNPTKTGVRNLSWYLQIKPLLLPSKLIDISSLVGSYLSSAFYNHSTMSTYDRKVFVENVPKGQNKKNLRLIGVEDIARSIAIHSLSRICKASDSVSQLLKQMFTILSQLLQDKFWTGSSKDHIFLKNDKELANEYPFWQQAEPRFAFGKFALGDIGVSFLEQLQFIMRKIGNALGLMRILQTGSSRHCCNISRFTIDMSFAESYLKLGFDGEILTAGRMVDKAIVENYEPDARIKSFSSFITTFIEEHEFSKDHNMKDLFQMFPSVIINLVNSRVRHKDKLLVKEHDSGSTLYMHDSFLMGIAFSLKVLGQERSFDELDWFASTRKSLEDRISSLEGSSKVEENGKVGSLARLNLWKQSSSIPIEIQKDLDECKRYQKEIEFVEHVLNISRTLMS >Ma11_p18510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23554405:23563790:1 gene:Ma11_g18510 transcript:Ma11_t18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMGSEGELSAGYKLVPWSCWDQWKFVKESIFSSSPDAVGTALQKISAWRSRGCLPVPIDVTAAFIEIQQKDPFFRRDPMNSSSESDEMLSMLYSMTIIRLVNCFVAHKKTKYSISELADAAGIPRVLVDIRHESSHRDLPSLRLVRLASLKALDWLKHNYWEPQKDAIPDVRKEIRSRLLEMTYLLKTKNSHKSSSNMKQRRLMGTTVLRVGNKLSSQITAKLQSSKNVSMKQISKIVRIIARLYSSYPSEVVSVLLELFQLEIPGFSESIDLEHSDDSSVGVSGSTSSMDELKSIITKLSSKRPRLLLSMLKNVLEMIEVSFVKIQKGEYDIYSSQDHANIHRMSNLCSLSPWLIMNIKTLKDSGLIRLIDEAEALPIHKNTLPKVSLSMLLRKCLTLSVHDKHLLDSVWLLVEMMGDTSVKERLKKLPLLSLKSLDSMEGPTYPDTGSMLLQEEDVVKNATDNLEIMKLNLKSRRKRINGSDSDTTSIWTVAKSWTSCPIGMLPCSFSSTAVLPVLDKFDNELETDVGTNKDAVLNCSAASYGLLDCHTEPSEVGNAFKKLKPSMDDVQCVDCSETTCPMEGRLLIHGMWIKVSEEELQAIESNIRTFC >Ma06_p36380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35811314:35818691:-1 gene:Ma06_g36380 transcript:Ma06_t36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLVVFLLVLFLSSSAAQMPGFISLDCGGTDEYTDDIGLEWTPDSNIIHGQTASISIPGESRKQYMKVRYFPADSSKYCYTLSVKSRTRYLVRATFLYGNFDRSNVYPKFDIFLGATYWSTIVISDAATIEVQELVLLTSSPTVSVCLSNASTGQPFISTLELRQFNGSLYYTIYETQFFLKLSARINFGAESTDSVRFPDDPFDRIWESDAKRRANYLVDVAPGTERVSTTMPIDVSTFEMPPEKVMQTAVVGQNGSLTYRLDLDGFPGYGWAFSYFAEIEELSPNETRKFKLVVPGMPAYSKPTVNVQENAQGKYRLYEPGYTNVSLPFVFSFGFKKTNDSSRGPILNALEIYVYLQINYGSQDAIVMASLVSHYPLSDWAQEGGDPCLPASWSWVQCSTDPQPRIISIFLSGKNLTGDIPTELSKLAGLVEIHLDGNSLTGLIPDFSRSVNLNKIHLENNELTGPLPSYLANLPSLKELYVQNNHLIGVIPKGLLNKNIILNYSGNKGLHKESKDLYHVIIIVFSVLGACLFLLSIIICCLFLRKTRKNLPKDDVPNVQPAQKLGTFFSEVSAETAHRYTLSEIEDATGKFERRIGSGGFGIVYYGKMVDGKEIAVKVLTNDSYQGIREFLNEVTLLSRIHHRNLVTFLGYSQQDGRNILVYEFMQNGTLKEHLRGPLAHERNLGWINRLEIAEDAAKGIEYLHTGCFPTIIHRDLKSSNILLDKHMRAKVADFGLSKPAVDGSHISSIVRGTVGYLDPEYYTSQQLTEKSDVYSFGVILLELISGQEPISSESFGLNCRNIVAWAKSHVESGNIEAIIDPSLRDDYNVQSVWKIAEISIMCVNPHGSQRPSITEVLKEIQGAIEVERGSRLGGDHTMGPQSKNSTDHSMNSNAGELATPEQNVTFPEFFLGPGLR >Ma07_p00820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:671862:674462:-1 gene:Ma07_g00820 transcript:Ma07_t00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARPPGVSSSTSINSSSSSSFSSSSVSPPPLKSPDASPPPTASPTPPDKSPPSPPSSSKDSSPSLESDDGSSSVPSPSPLPTSLRRPSRTSSSPVTPYSKSLVHADSTNDSGGSTPADSFSKSSEVHHSDMNLPLIIGVAAGLGLFFVLMVIACVCCSTKKKKKDKDKGHNAMQYYSDPSGYKDSDYYGGGPPQKWHNEGHDVKVPPPPGPLHGGGWHAHHPPPMMNSEDATSAYSAPHGPPLPPPSPVIALAFNKSTFCYEELATATNGFSHANLLGQGGFGYVHKGVLPNGKEIAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCISGSQRMLVYEFVPNKTLEYHLHGKGLSAMDWSTRLKIALGSARGLAYLHEDCHPRIIHRDIKSANILLDLKFEAMVADFGLAKLSSDNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDTHTFMEDSLVDWARPVLSRALADGDYDEIADPRLDGNYDPMEMARMVACAAASVRHSARRRPKMSQIVRALEGDVSLEDLNEGMRPGQSMLFGSGSDYESNSYTSSMNRLRKVMIASPEYSDGYDGPITEFEHCHSASSSGGFSGDLNPIGKQRHF >Ma10_p22460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31835371:31835957:1 gene:Ma10_g22460 transcript:Ma10_t22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGMKHLCVLALFLLVHGGGRKGVEGAGECGRVPVQRMALQLAPCASAAQDAQVQVSAACCSAVQRVGQNPACLCAVMLSDTAKSVGAKPEVAVTIPKRCNLANRPVGYECGGYTIP >Ma02_p12570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21245667:21251579:1 gene:Ma02_g12570 transcript:Ma02_t12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLAPTPDGEVKHYNGHVTVFVIVTCVIAASGGLIFGYDIGVSGGVTSMDEFLQKFFPSVLRDEKNAAGTNQWCQFDSALLTAFTSSLYIAGLISTYFASTVTRTFGRKTSMLFGGAAFLVGSAINGISMNVAMLIIGRLLLGVGVGFANQAVPLYLSEMAPAQLRGALNMGFQMATTIGILVASLVNYGTAKLKGGYGWRISVALAAVPALVMTVGAIVLPDTPNSLVERGLRAEAKATLQKIRGTEDVDVELHDMIEASEASKQVRHPWQSILRREHRPHLIMAIAIPAFQQLTGINVIMFYAPVLFKTIGFGNSASLMSAVISGLVNVLATTVSIGTVDKFGRRILFFEGGVQMLVSQVAVGAILGTFFGSAGTGKLSPAMANLVLALICIYVAAFAWSWGPLGWLVPSEIFPMDIRSAGQAIVVGVNFFFTFIIAQLFLMALCHLKAGLFYLFAAFVLVMTLFVIFLVPETKGVPIEEMALVWKKHWFWKKYMPEDHVRIGGGSEVGDFLYSSSSSKQHFPVP >Ma04_p33790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33264547:33267478:1 gene:Ma04_g33790 transcript:Ma04_t33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSVLWASPGAPKSTRTPPPLSLPSAHAVPSFLRMQPRRPPSSLRPLTAAAALQVEQQKAVEAESEPSRPPPSLPFRVGHGFDLHRLEPGLPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDISQIFPDSDPKWKGAASSVFVREAVRLMHEAGYELGNLDATLILQRPKLSPHKEAIRSNLCDLLGAHPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLIRK >Ma06_p18650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12749303:12750107:1 gene:Ma06_g18650 transcript:Ma06_t18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKVRDILPLKLRANCEVYVGNDECRENNISSTRSMSSEETSSLTQSKASVGFEERVEHSETLTILKDSFLKAFRIVDKELRLHPDIDCFYSGTTAVTMVKQGQDLVIGNVGDSRAVLGTRD >Ma04_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7528967:7533278:1 gene:Ma04_g10590 transcript:Ma04_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGPLNAELSQKTPVFGLRLWVVIGICIGVLIVFILSILSIWITSKRKTRILHNMPISHIPNVSKEIAVDRVGNQCLAQSLQEREGPFFRSHDKFSDMDSGKTLAHLTRSKSSDADNVSQCSSAYLNDRAGSSYSGDEGSSGPTRKAYPAYALVSASPLVGLPESSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGRLINGTDVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQRGVLGWENRMNVILGTAKALAYLHEAIEPKVVHRDIKSSNILIDGEYNGKISDFGLAKLLGSDKSHIATRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLVLETVTGRDPVDYGRPENEVNLVEWLKMMIGSRRAEEVLDPNLEVKPATRALKRTLLVALRCVDPDSGRRPKMGQVVRMLEADEASYREDRRNRRSQPGSTEIEALQESNSVSDLENRVRIRENRTSEGFQA >Ma04_p10800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7652624:7656160:-1 gene:Ma04_g10800 transcript:Ma04_t10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAGLLLLLLTVSAFGGSDAAWCVCESDASTTALQKTLDYACGAGADCNPILQVGACYDPNTVLAHCSYAANSYYQRNGQAQTACDFSGTAMLTSTDPSANGCVYPATPSAAATSSTPTSASTTPSSAIPSTFSPLTSNTTNGVLGGIGPSGTTSSLDGSDGGLLRKAGIGSLLTVLLPILVLLKESLSCS >Ma07_p14410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10853953:10864030:-1 gene:Ma07_g14410 transcript:Ma07_t14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCNGLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIQTYQRYKYPTMSKTQGNFKLKVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEDSDVEMPEFNVSYKPQKISPKFPSTVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCTANAPQSLVSGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKAAGSYYYLDD >Ma07_p21400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29524833:29526220:-1 gene:Ma07_g21400 transcript:Ma07_t21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSHISLLFLVAAAAAFFSSSVSAFPFDFPPVPTENPWIPFRNLSGCRFGEHRPGLADLKEYLNQFGYLPAAPNFTNSFDDNLEAAIKTYQRNFGLNVTGELDDATVEQLIVPRCGMPDIINGTSTMNSSFVRGRNLYAYFPGTPTWPSDKTELKYAITATSAVSIDLSVLKTVFARAFGRWSAATTLTFTETDSSSDADITIGFYNGSHGDGEPFDGVLGTLAHAFSPTDGRFHLDAAETWVAEGDVTQADSDVAVDLESVAVHEIGHLLGLGHSSVAEAIMYPTIKTRTKKVDLASDDVEGIQNLYGSNPNFTGVAPSSTGSSSPEINGGGLGSMARSAWRRELGSALAVVAVAFLVF >Ma08_p09420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6814187:6815276:-1 gene:Ma08_g09420 transcript:Ma08_t09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWCFSYHNGFREQSLGSVVGLMEDQDISSPASTYKNQIEVNLVITDYCMPGMTGYDLLKKIKSPPLILFLLNLKDGNALVCTDDRCLEGGAEEFFLKPIQVSDMIRLRLYMLKGRLKEQKQSQQQENNITTGSRSNSNNNNNINKRKVMDGGLSPEKRRLRFSGNSLALVSYEEI >Ma00_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:39024147:39027885:-1 gene:Ma00_g04520 transcript:Ma00_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) UniProtKB/Swiss-Prot;Acc:Q8GWU0] MTTANGTKSPSTSQPLLPQAAQSLVDSVDAFLFDCDGVIWRGDKLIEGVPHALQALRSLGKKLVFVTNNSTKSRKQYERKFTSLGLDVSEEEIFSSSFAAAMFLKLRNFPREKKVYVIGEEGILEELKQAGFSCLGGPEDGKKKVELKTNFWFEHDKSVGAVVVGLDQYINYYKLQYATLCICENPGCLFIATNRDAVGHMTDLQEWPGAGCMVAAVCGSTQKEPIVVGKPSTFLMDFLLERFQIDTTRMCMVGDRLDTDILFGKNAGCKTLLVLSGVTSMLDLQDPSNEIHPDYYASSVSDIVDLSNSLTKGQ >Ma02_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20672824:20686288:-1 gene:Ma02_g11580 transcript:Ma02_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVASLTSWSPTPMTLAAPPRTSGSFAGARRVPTTRGASGASSLGGGISLNCLIRWMLGRRPRWVCGSKARAVVEPVGRGWRSVTRRAVLASDMGAEVEVGHSRCFLRVRNEEELLSCIRKKVEAGKLSSDIATRLEELFYNYRNAVMQSGDSSASEIMLSNMAVAFDRILLDVENPFNFSPYHKAVREPFDYYMFGQNYFHPLVDFRTSYIGNLSLFFDMEKKLKQGHNIVLFSNHQTEADPPLIALLLERTNPYLAEKLVFVAGDRVITDPLSKPFSMGRNLICVYSKKHMHDVSELVEMKRRANARSLKEMTLLLRGGSQIIWIAPSGGRDRPDPLTGKWHPASFDESSVDNMRRLVDHSGVMGHMYPLALLCYDVMPPPPEVEKQIGERRKFSFHGIGLSVAPEINFDDIASGCENAEEAKKAFVWALYGSVIEQYNVLESAIYGYQGLNASNSIISLSRPWS >Ma00_p01470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8270316:8270477:1 gene:Ma00_g01470 transcript:Ma00_t01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVCCAAEDNGNGGMDLLSVLVVVTLTLVVMVICSPPRRRCAVVTVCPSRCC >Ma01_p10290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7420868:7421313:1 gene:Ma01_g10290 transcript:Ma01_t10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKAKAGDDRAFPPANPAQELNREKPDEFPVDPISTDVSTAKQCKEEAEARPRSGAAAEAEKSDLGSRLMSVLMISGVVIAAAGVAFLVTKKLKQT >Ma05_p24090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36315154:36315970:-1 gene:Ma05_g24090 transcript:Ma05_t24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPHDCLLCPSLALRNSKPIRRRRDSSLKRSAKPRHPAPGGGHLVVGQVAILRRGESLEAEKATKGEPVAGSGGWGPAVFVTGRLGLGPNPGMIPREVPLLVPAAEEAAAGLPDEVYAGSGFVLSPSPRALPLPTFSRKKEGSVAAAVVDCSATRDLRRLLRLD >Ma06_p28960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30504026:30504542:-1 gene:Ma06_g28960 transcript:Ma06_t28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASGRRRSRRGYRRLLSRQSSFDLAEGDEATTTAAAATVSEMKRSNTTREIKAHPVIRIMEKPPKKATATPEFLRYLEYMREAGTWHPNSDAPAIYFK >Ma08_p15260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15302169:15305138:-1 gene:Ma08_g15260 transcript:Ma08_t15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDPEVAQHRLSISPDARPVKQKPRRQAPDRQLAIREEVDQLLAVSFIEEARYPRWLSNVDLVRKHNRNWRMCVDYTSLNNACPKGCYPLPKIDQLVDATAGHARLSFMDAFSGYNQIRIAPEDQEHTAFLTDQGVYFYKVMPFGLKNVGATYQRTVNKMFAHQIGRNMEVYVDDLIVKSQEARTHLTDLVETFATLRRFGMRLNPAKCAFGVTSGKFLGFIIHERGIDANPEKVQAIVNMQSPRTIKDLQRLNGRLVAMSRFLARSGDRCLPFFRALKNPKNFQWTAEYEEAFKQMKQHLASLPRLASVSPGEKIGLYLAASQHAVSSILIKENSGEQLPVYYVSHVLNGPEERYPPIEKLALALVLSARKLRPYIQAHPVEVITNQPLRHVLSKFDVAGRLLKWAVELGEHDTEDTDLEQPPEPWVLHVDGSANSKGVGAGLVLRSPDGRSFERSLRFGFQATNNETEYEALLAGLRLALEMQVDALHVLTDSQLVAEQLSGGYEARDPVMAKYLAGENERADALAKLASKPAPGVRPEIDELPARAIEIVAAVSGGAPITWVQELLCFKRDGTLPPDEAVARRLCRTHAWYSEVSGQLYKRPFTYPLLRCLEPDEAQTVLAEVHGRVYGEHIGGRTLAHKILRQGYYWPTMCRDTKAYVQRCSSCQEHARTPWQPAIPLTPIDCAWPFAQWGLDLLGPFPLASGQRKYIVVGVDYFTKWVEAEPLATITERQMEKFVWRNLVTRFGLPKTIITDNGPQFAGRRFREFCASHGIQLRFSSVAHPQTNGLAEVTNRSILDGLKRRVSAARLAWTDKLPSVLWSLRTTPKIATGESPYSLAFGIEAVLPPEVAIATLRMRNYDEKIMNEGLRAGLDLLEERRANAHLKALSYKRAVARVYNRKVRPRPIKLDDLVLRNTEVSDPTCARGKMAPKWEGPYRVDKIV >Ma03_p03430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2304403:2306225:1 gene:Ma03_g03430 transcript:Ma03_t03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADRVHPVQPEPATGPIPDPWESPRSSASSQSILPKPVRQPGSYVIQVPRDLVLRVPPKGNGSRAKAYARRRGCCFLVFAWLGALLFLLAVVADILYLVFRPRVPQYSIDALSIASFNLSAASVSPAFDATVRAENPNKKVGIYYRDGSDITVAYDGVTLCTGAWLAFYQSPGNVTVFVAALKGSTIRLSSAARQALVTAETQRQVPLEIDVKVPVRIKFGGVTSWTITVKLKCDVTVTGLTENAKIVSKKCGVKVKLFKFLGL >Ma08_p23730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37094836:37094994:1 gene:Ma08_g23730 transcript:Ma08_t23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYQRPEQVQDDELLSRNDLPGARPLMFFEAGQLLLQRLRLRTWHASAGAD >Ma11_p07610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6074151:6079001:-1 gene:Ma11_g07610 transcript:Ma11_t07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPIYRKYRDALRNVRVPSPSSHSGPSTSYSGGGPVIELVSASLHRPDRSYAPLSTEDPGGSSTGATMVGLPPAWVDVSEEIAANMQCARTKMSELVKAHAKSLMPSFGDGKEDQHVIELLTHEITDLLKRSEKRLQKLSSGDPTEDSNVRKNVQRSLATDLQNLSMEFRKKQSFYLKRLRQQQEGQDGVDLEMNRNGIRHEMEDDFHNVGFDEVQLSTLKRSEAFTREREREIVQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNVQNVASSVEEGRKQLEKAERTQRKGGMVMCATVLVILCFIMLVLLVLKTILF >Ma08_p30010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41433759:41435677:-1 gene:Ma08_g30010 transcript:Ma08_t30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHYKSRGSSLSPPHSGLFSSSASSTASAAMDNPDLNLLFTASALAFSALVLVMYRRSRRRKALRLPPGPPGWPLVGNLFQVAFAGKPLIHLVHDLRRQYGPMFTLRMGARTLIVVTSPELAHEALIEKGQLFASRPTESTIRSVFSCNKFTVNSAVYGPEWRSLRRNMVSGMLSASRLREFRPARASAMDRFIDRLQAEAEAKDGAVWVLRNARFAVFAILLSMTFGVQLDEDSIVRVDEMMKRVLLTISPRMDDYLPFLRPFYARHQKKVLEIRKEQVETVVSLINRRRSILKDPSLEPNAAPFSYLDSLLDLKVEGRDTAPTEAELVTLCSEFINGGTDTTSTAIEWAMARIIDDPNIQAKLYKEIVAEVGDRPVDDRNIEKMPYLQAFVKELLRKHPPTYFSLTHAAVEPAKLGGYDIPPDANLELFLLTIAEDPRLWSNPLEFNPDRFITGGETADITGSAGIKMIPFGAGRRICPGLAMGTTHISLMVARMVQAFEWRLHPSEPKMDFMDKEEFTIVKNRRLLATVKPRK >Ma11_p24050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27184399:27188224:1 gene:Ma11_g24050 transcript:Ma11_t24050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDLPALPASDSSVPPPSGGGGADGAEGPAWGREGCEALAGAIASTLGAVMREFDSRAEGVDRSQDELSTSLDRLARELDKLLEDAPLPFIMQHAAKISAMRRRVSALNLILKSIQRRIDNMDRMLFAGVPTDNHQQIQ >Ma11_p24050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27184390:27188230:1 gene:Ma11_g24050 transcript:Ma11_t24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDLPALPASDSSVPPPSGGGGADGAEGPAWGREGCEALAGAIASTLGAVMREFDSRAEGVDRSQDELSTSLDRLARELDKLLEDAPLPFIMQHAAKISAMRRRVSALNLILKSIQRRIDNMDRMLFAGVPTDNHQQIQ >Ma11_p24050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27184399:27188224:1 gene:Ma11_g24050 transcript:Ma11_t24050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDLPALPASDSSVPPPSGGGGADGAEGPAWGREGCEALAGAIASTLGAVMREFDSRAEGVDRSQDELSTSLDRLARELDKLLEDAPLPFIMQHAAKISAMRRRVSALNLILKSIQRRIDNMDRMLFAGVPTDNHQQIQ >Ma08_p34220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44303600:44309509:1 gene:Ma08_g34220 transcript:Ma08_t34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLARGLLLLALLLAHALCAPGGKSYYDVLQVPKGASEDQIKRAYRKLALKYHPDKNQGNEEANKRFAEINNAYEVLSDSEKRKIYDRYGEEGLKQYAGGGGRGSGMNIQDIFNSFFGNGQNDEEEEVTPKGDDVVVELDATLEDLYMGGTLKVWREKNVIKPAPGKRRCNCRNEVYHRQIAPGMFQQMTEMVCEQCPNVKFEREGYFITVDIEKGMQDGQEVLFYEDGEPKIDGEAGDLKFRIQTAPHERFRREGNDLHMTVTISLLQALVGFEKTIKHLDEHLVEIGTKGITKPKEIRKFKGEGMPIHMSTKKGDLYLTYEVLFPKSLTEDQKTKIKAVLS >Ma08_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1927207:1927567:-1 gene:Ma08_g02510 transcript:Ma08_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRRSFSFLLGTGCGIYIAQNYNIPDVKKLFDTYVFVAKHIEESYRKPKKDED >Ma05_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1370944:1372273:1 gene:Ma05_g02160 transcript:Ma05_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIPPSPPLTTLMEWILPKQAAIACSRTHGLRIRVRSGGHDYEDMSYVSAGDDPFVVVDISSLRSTTTSLPGFPAGICTTVGVGVQWKRTIQVICQGMFLGRRRALLSVMKKSFSELGLEATDCSEVSWLESTLHFADYSSINSTILLDRRPQHNSSFKAKSEKGWKGIWKLMTEAMVMIMEPWGGRMGEIAGTTIVFHHRKGNLYNIQYLDEVAKVDPENHLWNEQSILPFSM >Ma04_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22448948:22452080:-1 gene:Ma04_g19800 transcript:Ma04_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMVRKPLMLKDYLELDDDDDDSESVASSGLAGVPTIRCLLDAELRSGAARLVHTAQSNKNTRSKFCAAINAVKLLPFSSSDGRCLPGHDGSLSRSISRRLRGSLWKKKEKKADQQAAVDSRAKVRDIVRHRSFDENGEGWKSLRFPSPVASSLSSWSETESCGTVSDLLPASMASSESLDENAASAGGRRKESPSRGPNLNDKSEKKADDEKSEGEAIASRPAKVSDSKETESYECNSEEEEEEEKLSPVSVIDYPYQQDNGHDDEEEQDATTTFHRSLANIERTKEQLLRRIRRFEMLAELDPGVLSASSSQDDLQESTDHVASSGGEGEEERAWGLLGELKASPLEEGPRGSVEKLLLDFFIQGQCRSSYANRDRPLRWGRTRPPRAVRGETREMLNTARDWIDGRRCRDLDDVHGEATLREMEGNGRWRCFDEVEEELGMQLENALFESAVAELVQDLVSR >Ma11_p18210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23318686:23319898:1 gene:Ma11_g18210 transcript:Ma11_t18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPGFSLLFLFSSIGLLAAGTSGDSGWQNAHATFYGGGDASGTMGGACGYGDLYSQGYGTNTAALSTALFGDGASCGACYELRCDDDPQWCLSGSIVVTATNFCPPNNALPNDNGGWCNLPLQHFDMAEPAFLQIAQYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSSTGWQTMSRNWGQNWQSNAYLNGQSLSFQVTTSDGRTVISNDVAPAGWQFGQTFEGGQF >Ma06_p30860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32074348:32087207:-1 gene:Ma06_g30860 transcript:Ma06_t30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) UniProtKB/Swiss-Prot;Acc:Q9LET7] MPPKGKKSARASLPVAMASPSDRSPLFPGTPMDPSRGSPAGDDDEAVHRRLLAAAAAKFPALISEDRTFCGRITETESSPSNGSHARVWLSSAAMISTSIAPGSIVSVSFPASGKAYLNNFPLNTLAEECAPHFGSDVDGYMANRPGSYFAIASVFPSLKVLKDGVRLSWGLSCTIGSPDLGRAVFICPIEKFSIPHSLNNSDSVAPSCLCQCKDLYLNLVVSKAGLNSCNKEQSTSNSGLSSLCLLTRNGEVASPQTPSHQRKLATTADSPMYLRKSHNLLPTLDSPSCLDVSFLKLALADEKIKELLQIYSGRWLCGRHLLKGNSVSVPICGQICTFLVEGADMLLAGKELDSEKTVLQPDEILISRPLDQLDAVIIVNTTTKVHLSDSTSSKQESSNEVGFMNEQERSKVVFDKENVPKLGGLSKEFAALKEIILFSLDDQDSLPRYKGVLLHGPPGTGKTSLATSCARSVGASLFSINGPEVISEYYGESEQALREVFDSAKQAAPSVVFIDELDAIAPTRKEGSEELSLRIVATLLKLMDEINIKDRVLVIATTNRPDSIDPALRRPGRLDREIEIGVPSPEHRLDILCTLLNEIVHSLSIKEIQSLALGTHGFVGADLSALCNEAAMTALRRYIGHTCDPGLRKDEDVQTADPVDSLSSSLFALNMSSEQVASVSATRHLESSGASQRGSYESQKVEAEMFLKVTIEDFEKAKMKVRPSAMREVMLELPKVRWEDVGGQSMIKRQLIEAVQWPQICPDAFIRLGIRPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGESEKAVRSLFAKARANSPAIVFFDEIDGLAVTRGQDSDGTSVADRVLSQLLVEMDGLDQKIGVTVIAATNRPDKIDPALLRPGRFDRLLDVQPPDENDREDIFRIHMRNMPCSSDVSIKDLAQLTEGYTGADIKLICREAALAALEESLEISEISMVHFKFGISRVQPSDLKFYRELAAQFRRLVDNQSARGE >Ma07_p28150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34299980:34300393:-1 gene:Ma07_g28150 transcript:Ma07_t28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLNANLVLLMLALLLSALPLKGASNTDEYIPVTPVKYLTLANVPGGVLAGYNQCSDCRCCSTNNPSNCVTTKCCYKLICHEATNPAAICSYRPVACHCDNCI >Ma10_p30030.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36439553:36441881:-1 gene:Ma10_g30030 transcript:Ma10_t30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNSCAGRAGGGPAPFLLKTHQMVEDGATDDVISWGTEGTSFVVWKPVEFARDLLPVHFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDNFRRGEQGLLCNICRRKAAPPHVPSAGKSSAGRNNRHPPPSLSCSDEAHSPSSACSLPTLQPPSEHLLDLTNENVKLRSDNQILNAELAQAMRRYRQLLGFLSGYVDVSRLNSGHPMQEAAALTAGAGHETEAKKEEAEEGKLGEEQGLKLFGVLLKSIEGEESGKNRRQKRGRCEESIDGCSVGERPIKMGFGWPWMGMSTTVPHGSSNVCN >Ma10_p30030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36439553:36441881:-1 gene:Ma10_g30030 transcript:Ma10_t30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNSCAGRAGGGPAPFLLKTHQMVEDGATDDVISWGTEGTSFVVWKPVEFARDLLPVHFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDNFRRGEQGLLCNICRRKAAPPHVPSAGKSSAGRNNRHPPPSLSCSDEAHSPSSACSLPTLQPPSEHLLDLTNENVKLRSDNQILNAELAQAMRRYRQLLGFLSGYVDVSRLNSGHPMQEAAALTAGAGHETEAKKEEAEEGKLGEEQGLKLFGVLLKSIEGEESGKNRRQKRGRCEESIDGCSVGERPIKMGFGWPWMGMSTTVPHGSSNVCN >Ma03_p30360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33018695:33019655:-1 gene:Ma03_g30360 transcript:Ma03_t30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPQGGGPSQDELKRVAAHRAVELVRSGMVLGLGTGSTAVHALDRIGALLRTGHLRDVVGIPTSEWAAASAAAAGIPLTDLNTHPVVDLSIDGADEVDPALNLVKGRGGSLLREKMVEGASRRFVVIVDESKLVPRLGVSGLAVPVEVIPFGWSLTLRRLRTLFDGVPGFNIKLRTAATNAKASAFDESESKSEPFVTDNKNYIVDLFFEDGIHGDLNLISDEILRITGVIEHGMFLGLASSVIIARKDGVVVVDKAAKVNGM >Ma05_p05260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4020355:4026891:1 gene:Ma05_g05260 transcript:Ma05_t05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERSDMSEDEDGGGGVEQKERWGPSHGGGGGGCGSAKSRGGVWTDPPPPFSDQVLENVLENVLQFLVCRRDRNAASLVCRSWYRAEAQTRGELFIGNCYAVSPRRATDRFRRVRSVVLKGKPRFADFSLVPLGWGAHFSPWASAMATAYPWLERICLKRMSIADYDLSLLAFSFPFFKDLTLICCDGFGTLGLAVIAEKCRHLRVLDLIEAYLEEEEEDEVVDWVSKFPQTTTSLETLGFDCVVSTVNFEALEALVARSPALRQLRVNHHVTVDQLFRLMVRAPQLTHLGTGSFGHPAALALQGDVMEHDADLVSAFAASKSIVSLSGFRDVASQYLPAIYPVCANLTTLNCSFAEITADELKPVIHHCHNLQKFWVLDTVRDEGLQAVAATCKDLRELRVFPLNATEDSEGFVSDVGLAAISQGCRRLRSILYFCQQMTNKAVITMSKNCQELVVFRLCIMGRHLPDHLTKEPMDEGFGAIVMNCKKLTRLAVSGLLTDKAFGYIGKYGKSIRTLSVAFAGDSDVGLRYVLEGCPKLQKLEIRDSPFGDPALLSGIHQYYNMRFLWMNSCKLSIRGCNDVAQRLPRLIVEVIRDRPEADDETVEKLYMYRSLAGPRNDAPPFVMIL >Ma05_p05260.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4020355:4024631:1 gene:Ma05_g05260 transcript:Ma05_t05260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREERSDMSEDEDGGGGVEQKERWGPSHGGGGGGCGSAKSRGGVWTDPPPPFSDQVLENVLENVLQFLVCRRDRNAASLVCRSWYRAEAQTRGELFIGNCYAVSPRRATDRFRRVRSVVLKGKPRFADFSLVPLGWGAHFSPWASAMATAYPWLERICLKRMSIADYDLSLLAFSFPFFKDLTLICCDGFGTLGLAVIAEKCRHLRVLDLIEAYLEEEEEDEVVDWVSKFPQTTTSLETLGFDCVVSTVNFEALEALVARSPALRQLRVNHHVTVDQLFRLMVRAPQLTHLGTGSFGHPAALALQGDVMEHDADLVSAFAASKSIVSLSGFRDVASQYLPAIYPVCANLTTLNCSFAEITADELKPVIHHCHNLQKFWVLDTVRDEGLQAVAATCKDLRELRVFPLNATEDSEGFVSDVGLAAISQGCRRLRSILYFCQQMTNKAVITMSKNCQELVVFRLCIMGRHLPDHLTKEPMDEGFGAIVMNCKKLTRLAVSGLLTDKAFGYIGKYGKSIRTLSVAFAGDSDVGLRYVLEGCPKLQKLEIRDSPFGDPALLSGIHQYYNMRFLWMNSCKLSIRGCNDVAQRLPRLIVEVIRDRPEADDETVEKLYMYRSLAGPRNDAPPFVMIL >Ma05_p05260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4020355:4026875:1 gene:Ma05_g05260 transcript:Ma05_t05260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREERSDMSEDEDGGGGVEQKERWGPSHGGGGGGCGSAKSRGGVWTDPPPPFSDQVLENVLENVLQFLVCRRDRNAASLVCRSWYRAEAQTRGELFIGNCYAVSPRRATDRFRRVRSVVLKGKPRFADFSLVPLGWGAHFSPWASAMATAYPWLERICLKRMSIADYDLSLLAFSFPFFKDLTLICCDGFGTLGLAVIAEKCRHLRVLDLIEAYLEEEEEDEVVDWVSKFPQTTTSLETLGFDCVVSTVNFEALEALVARSPALRQLRVNHHVTVDQLFRLMVRAPQLTHLGTGSFGHPAALALQGDVMEHDADLVSAFAASKSIVSLSGFRDVASQYLPAIYPVCANLTTLNCSFAEITADELKPVIHHCHNLQKFWVLDTVRDEGLQAVAATCKDLRELRVFPLNATEDSEGFVSDVGLAAISQGCRRLRSILYFCQQMTNKAVITMSKNCQELVVFRLCIMGRHLPDHLTKEPMDEGFGAIVMNCKKLTRLAVSGLLTDKAFGYIGKYGKSIRTLSVAFAGDSDVGLRYVLEGCPKLQKLEIRDSPFGDPALLSGIHQYYNMRFLWMNSCKLSIRGCNDVAQRLPRLIVEVIRDRPEADDETVEKLYMYRSLAGPRNDAPPFVMIL >Ma05_p05260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4020355:4024631:1 gene:Ma05_g05260 transcript:Ma05_t05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREERSDMSEDEDGGGGVEQKERWGPSHGGGGGGCGSAKSRGGVWTDPPPPFSDQVLENVLENVLQFLVCRRDRNAASLVCRSWYRAEAQTRGELFIGNCYAVSPRRATDRFRRVRSVVLKGKPRFADFSLVPLGWGAHFSPWASAMATAYPWLERICLKRMSIADYDLSLLAFSFPFFKDLTLICCDGFGTLGLAVIAEKCRHLRVLDLIEAYLEEEEEDEVVDWVSKFPQTTTSLETLGFDCVVSTVNFEALEALVARSPALRQLRVNHHVTVDQLFRLMVRAPQLTHLGTGSFGHPAALALQGDVMEHDADLVSAFAASKSIVSLSGFRDVASQYLPAIYPVCANLTTLNCSFAEITADELKPVIHHCHNLQKFWVLDTVRDEGLQAVAATCKDLRELRVFPLNATEDSEGFVSDVGLAAISQGCRRLRSILYFCQQMTNKAVITMSKNCQELVVFRLCIMGRHLPDHLTKEPMDEGFGAIVMNCKKLTRLAVSGLLTDKAFGYIGKYGKSIRTLSVAFAGDSDVGLRYVLEGCPKLQKLEIRDSPFGDPALLSGIHQYYNMRFLWMNSCKLSIRGCNDVAQRLPRLIVEVIRDRPEADDETVEKLYMYRSLAGPRNDAPPFVMIL >Ma09_p23940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35625957:35626481:1 gene:Ma09_g23940 transcript:Ma09_t23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHAMGFGPPRPFPPGLGAAKIIVVGVDYFTKWVEAEPLATITEWQIEKFVWRNLVTRFGLPKTIITDNGPQFAGKRFREFCANHGIQLRFSSVAYPSDKRAGRGNQSVHPRRAQKKGVRGPIGLDGRTPERLMVAAHHSQDRDRRVPLQPRVRNRNCPTARSSPCHLSDRRL >Ma04_p26990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28268066:28274483:1 gene:Ma04_g26990 transcript:Ma04_t26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTAKKKSSGGKHTDANSRNSRSIEHSPKVFDEDTTIFMDMARDLKEEGNMLFQKREYERAVLKYEKAIKLLPKNHIDVAYLHSNTAACYMQMIPEEYHLAINQCNLSLKVSPKYSKALLKRAKCFEALNRLDLACKDVDLVLSLEPNNLTALEISERVKKTMEKKGTVLDDKSLYPLPEPPTVKEKLKKKKRSHKPMEKQVEVKEEPMKSVKLVFGEDIRWAQVPANCTMLQLREIVGNKFPNLKAVLIKYKDKEGDLVTITTSEELRWAEESADPLGSVRLYIVEVNPDFEPLLEEAKNSSLGRKLDRETNSISENGSIRHDNDKVSSVYIDDWIVQFARLFKSHVGFNSDEYLNLHELGMKLFSEAMEETVTTEQAQEIFEITEDKFQEMAALAFFNWGNVHMSRARKRLFLSENPTKESMLAEVKAAYEWAQTEYVKAGKSYEEALKFKANFYEGLLALALQQFEQAKLSWYYAIGSEADLETWPSSEVLELFNQAEDNIERGTEMWEEIEEQRLKELSKPNEEKTLLQKLGLEDYFIELSTDEAAELASNMRSQINILWGTMLYERSVVEFRLGIPLWEECLMAAVDKFKLAGASPTDLAVMIKNHCANETAQEGLGFKIDEIVQAWNEMYDAKKWISGVPSFRLEPLFRRRVPKLHYILEHI >Ma09_p16260.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11620691:11623290:1 gene:Ma09_g16260 transcript:Ma09_t16260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRSFFCGTDSPPPGLLSSPRGGGGGASPGASGGAVVPVNSVSYEVFLLMLQFLYSGQVSVVPQKHEPRPNCGDRGCWHTHCAAAVDLALDTLAAARSFGVKQLEQITEQLASMVEKASIEDVMKVLMASRQQDMQQLWATCSHLVAKSGLPAEVLAKHLPIDVVARIEELRLKSSFARRPSFVARHPHQIDVAGPAADLEDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCTREVVKALLELGAADVNCPAGPTGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLEILRTLTSDFLFKGAVPGLSHIEPNKLRLCLELVQSAALVMSREEANSGGGNGGAGNSPRAAIYPRMNPEIAACSLSLDSRMVYLNLGMAAQFGSKMNDGGGDESLSSRPQGGGGGGVGPSSIYPSHGFP >Ma09_p16260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11620691:11623290:1 gene:Ma09_g16260 transcript:Ma09_t16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRSFFCGTDSPPPGLLSSPRGGGGGASPGASGGAVVPVNSVSYEVFLLMLQFLYSGQVSVVPQKHEPRPNCGDRGCWHTHCAAAVDLALDTLAAARSFGVKQLEQITEKQLASMVEKASIEDVMKVLMASRQQDMQQLWATCSHLVAKSGLPAEVLAKHLPIDVVARIEELRLKSSFARRPSFVARHPHQIDVAGPAADLEDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCTREVVKALLELGAADVNCPAGPTGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLEILRTLTSDFLFKGAVPGLSHIEPNKLRLCLELVQSAALVMSREEANSGGGNGGAGNSPRAAIYPRMNPEIAACSLSLDSRMVYLNLGMAAQFGSKMNDGGGDESLSSRPQGGGGGGVGPSSIYPSHGFP >Ma09_p16260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11620691:11623290:1 gene:Ma09_g16260 transcript:Ma09_t16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRSFFCGTDSPPPGLLSSPRGGGGGASPGASGGAVVPVNSVSYEVFLLMLQFLYSGQVSVVPQKHEPRPNCGDRGCWHTHCAAAVDLALDTLAAARSFGVKQLEQITESLSFGGWVQKQLASMVEKASIEDVMKVLMASRQQDMQQLWATCSHLVAKSGLPAEVLAKHLPIDVVARIEELRLKSSFARRPSFVARHPHQIDVAGPAADLEDHHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCTREVVKALLELGAADVNCPAGPTGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLEILRTLTSDFLFKGAVPGLSHIEPNKLRLCLELVQSAALVMSREEANSGGGNGGAGNSPRAAIYPRMNPEIAACSLSLDSRMVYLNLGMAAQFGSKMNDGGGDESLSSRPQGGGGGGVGPSSIYPSHGFP >Ma11_p14710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20414121:20419072:-1 gene:Ma11_g14710 transcript:Ma11_t14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASRLENEDCVRRCKERRRLMKEAVCSRHHLASAHADYLRSLRLTGSALASFAVGELLAVSEHTPPVLLRSSAASPSASLPPPTLQPPPPPSPSPPPSQLRPRHRHHFSPSPSPTVAGTPFHRPSAAAVADGHPRFPAVNSTYATTPSQSSSAWDWENFYPPSPPDSEFFERRKAELEEKNRLQHHLPPEEEVGESDEEQISQEEEEQGGGGREEVHCREWGERYTSTTSSTSRSDGEPEQEDDQEDDRATVSRSESMATRSAYGGFAPSQAAPSEIASSAAAEVKSRLRPRSEVGSSSARWNAGGREETSLSSAVAELRMVVRHQSLAEIAEAIKEHFIKAADAGSAVSDLLEIGHAQFDGSFRQLKKTVYHSSSVLSVLSSSWTSKPPLAIRYSLDPVALEESGGGKSNGSTLERLLAWEKKLYEEVKVREGVKIEHEKKLSTLQSQEYRQKDDAKLDKTKASIQKLHSLIIVTSQAVTTTSSAITKVRDDELAPQLVEICYALLNMWRQMNKFHEIQNHIVQQVRGLVNRASTGESTSDLHRLATRDLEAAVSAWHSSFNRLIKYQRDYVHALYGWLKLTLLQVSSDNPQKDHSSPVAVELTAFCDEWKQALDRLPDTVASEAVKSFMNVIHVIYTKQVEELKIKKRAETYSKELEKKSTALRNTEKKYYQSYSMVGLALPGGGHDNDGQVFDTRDPLAEKKSEIAACRRKVEDEMMRHAKAVEVTRSMTLNNIQTGLPGVFQALTGFSGMFVEALEGVCRRAGSV >Ma09_p17390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12982396:12983867:1 gene:Ma09_g17390 transcript:Ma09_t17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRPPPHLPRIAAVSSSHSDPSRAAGEIKRLVLTSEGRTKLNTAPDRDFYAFPRFVTHVDAAFISALTGLYRERLAPGWAVLDLMSSWVSHLPQEVAYERVVGHGLNARELARNPRLDYFFVKDLNRDQELQFEDCSFHAVVCAVSVQYLQWPEKVFAEVFRVLKPGGVFIVSFSNRLFYEKAISAWRDGSDYSRVQLVVQYFQSVDGFTQPEIVKNVPPKADASLLSGMMRLIGLYRTDPFYAVIAYRNFKPVYEEAE >Ma11_p15140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20829755:20832611:-1 gene:Ma11_g15140 transcript:Ma11_t15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKGKPVKAEADEEYDEDDVPLSVVSKAKAAKKEDPGNGEDDEDEDKEDNLPLSLSKSKFKKSKSPSSKVKKEEEDGYQDQNVKKREEGKSSGKPSKTKKREADDSEDEDFKDKKKQMKKKKKRIGEEKVIGKKGTASVAAVAAGKVKREKKVYDLPGQKHDPPEERDPLRIFYETLYDQVPESEMAAFWMMEWGLLPFNEAKKVHEKKLKKAQQQKLSSPVKVASVKKTSIATVKKVPKTISKTVTKIPKKQKASDSEADDDDDFIMPKKINKQKVSS >Ma05_p19400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27828446:27830297:-1 gene:Ma05_g19400 transcript:Ma05_t19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIL [Source:Projected from Arabidopsis thaliana (AT5G58070) UniProtKB/TrEMBL;Acc:A0A178US04] MGKTAKEMTVVKGVDLERYMGRWYEIASIPSRFQPRDGANTRATYTLRSDGTVHVLNETWSGGRRGSIEGTAYRADPASDDAKLKVKFYVPPFFPIFPVVGDYWVLYLDDDYQYALIGQPSRTYLWILCRQTHMDEEVYIMLLEKAKEEGYDVQKLHKTPQADPPPEEESAPEDKKGIWWIKSLLGK >Ma07_p06160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4441540:4444001:-1 gene:Ma07_g06160 transcript:Ma07_t06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAETPLFVDTNLGTRFALSVPDNITAGDLKRKLKCEHNSCFPALGKIVVHSLMVKQKSFLYHIPDSMPIKEACQGFRSTWFLRMDATRLTDVSMHQASIPSSMNQFRRRDSPYLEETVTPNSYKLPASSNSNCCTPGGRFVCTEVDMDHILEGSISNMIDCSNASKAVDFTISTVTATNMINQAGNIVRDCSTWDEREVDVPSLPLISQKSLSKRRKLEHGIQGNVVGKEAVISNKTRKSGVGSSLDSGKEVRKDNTAPNATQKDKAWVSEGPSEKEQHKNSLSMEETPSMNLSEKISVTGLISRYFSNQEEASTCSNLHKNVMDTQSVTVQPTSMLNTQEFHLNEATGFAMKDVQSSADDKSSNEGESDCNTACSFNINKETKHVCLTSNNVRKIAKSKPTTSEGKGQPSAIISSAKKDPRVAESRCYEAGNTTSCGNKSLISSSQVNRKLVHARPRRTSTFSSLSSPISKRKRILSSNDKNEVGKRLVQAANKIYSSVSAEKLPQSSYISRCGNMSAPNSIVIPKRFSFEINDSDD >Ma07_p16840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15622589:15631693:1 gene:Ma07_g16840 transcript:Ma07_t16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAISVASFIGSPRQRSGLRRRASGGYKPMVVRAKAKEIAFDQSSRSSLQAGVEKLADAVGVTLGPRGRNVVLDEFGNPKVVNDGVTIARSIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSVKKGIDKTVQKLVEELEKKARPVKGRGDIEAVATISAGNDEFVGNMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKLIVEFENAKVLVTDQKISTIKEIIPLLEKVTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKAILQDIAIMTGAEFQAKDLGLLIESVSVEQLGTARKVTISQNSTTIISDAATKDEIQSRIAQLKKELAETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSASVPAIKKKLEDHDELLGADIVQKALVAPAALIAQNAGVEGEVVVEKIKDGEWEIGYNAMTDKYENLLEAGVIDPAKVTRCALQNAASIAGMLLTTQAIVVEKPKKKSPSNPPAKGLAV >Ma06_p29010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30527023:30528246:-1 gene:Ma06_g29010 transcript:Ma06_t29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDQIVVDDDDDDDDEMRSSTALSFNARPPIRGSAFSKPSAARNTDPAPVSGATAPSNTKAAASASIRYRECLRNHAASIGGHVLDGCGEFMPEGDPSSPEALKCAACGCHRSFHRKEAEGDAAAADSYYRGAARVPLLLPPPPHPQSQHHNHYQKQFQFGTPTTPSSGIVAFGGNASGSGGTTESSSEERMTAGAPTPATTPRKRFRTKFTMEQKEKMLAFAERMGWRIQKQDEGLVEQFCAETGVRRQVLKVWMHNNKHLIRKPQQPPGQDEVVLPQQHQPHSSSQQSQQQM >Ma09_p22280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34259417:34260838:-1 gene:Ma09_g22280 transcript:Ma09_t22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTVIPLISHTTNPSVAQPVRRLDPFAPIHATTSAPPSSPQARVFPLGNQAGGNPPGRPEHEAMSTESASSLRVQLHFLSQRLEEIQREVRTSKGEPGDEARRGSPFAVEIRDQPVPASFRLPSLDAHDGTTDPADHVAAFRAQMMLYGTSDALMCKAFPTTLRGSARAWYSGLKTGGITSFDQLTEEFELNFLALARPKPSIALLLGLNQKEDEPLSRFVNRFATEIRGLSGAHPSLLMQAFMAGLCPSRFFWSLMERPPTAVSEMLQRANHYVAVEAWMSGRHEENKRPRAEPPTGQLADGKGLLRTPTPMRNPQELADKMRYCHFHRQNGHDTEECRELKRQIEELFRRGHLGHYLRQNKELSPRPEGPVERQIDVITGGLAFGGNSMTGRKAYARAPMAEDPGHGPAPEVTFPAERIEPPEHDDALVVAARITNAQ >Ma09_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5334730:5338007:1 gene:Ma09_g08110 transcript:Ma09_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGQVIKCRAAVAWEAGKPLVMEEVEVAPPKAMEVRLKILYTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGVVESVGEGVTDLSPGDHVLPIFMGECKECAHCKSQESNMCDLLRINTDRGVMISDGQSRFSINGKPIYHFLGTSTFSEYTVVHVGCVAKINPLAPLDKVCVISCGISTGYGAAVNVAKPPKGSTVAVFGLGAVGLAAAEGARASGASRIIGVDINPKRFEEAMKFGVTEFVNPADYDRPVQEVLAEMTNGGVDRSIECSGSTSAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPVNFLVERTLKGTFYGNYKPRSDIPAVVEKYMAKELELEKFITHSVPFSEINKAFDYMLKGESLRCIIHMDG >Ma06_p31190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32360077:32389592:1 gene:Ma06_g31190 transcript:Ma06_t31190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH5 [Source:Projected from Arabidopsis thaliana (AT3G20475) UniProtKB/Swiss-Prot;Acc:F4JEP5] MEMEEEVAETVEESQVYMACIIHGHRVGVAYYDANTHQLFVIEFWEDGNGELPLIDLVKYQVKPLVIYASTKTDELFLAALQRNVSIETFEVKLIRSSIFSYEQAWHRLVYLRVAGMDDGLSIKERICFLNSMMDLGSEVQVRAAGGLLAILENERLVDTFQQNECGYSSITIDCMSQISLDKFLKLDAAAHEALQIFQVDKHPSDMGIGRAKEGFSVFGMFNKCVTPMGRRLLRAWFLRPILDLDMLNNRLNTISFFLCCEEVMSALRETLKTVRDVPHMLKKFNSPSSLCTTSDWNAFLKVMGVIDVKRSKEKGYETLVKEGLCDELDELRMVYERLPDFLEQVSAYENASVPVQHGWKKAPSIVYVHQIGYLMCIFDEKLSDETLGKLHDFELAFSEDGDEKRFFYHTPKTRELDNLLGDIYHKILDMERAIIRDLITRVLNFVPQLIKAVNFAAELDCILSLALIAHQNNYVRPILTEDTFLDIKNGRHALQEMTVDTFVPNDTKILDDGRINIITGPNYSGKSIYVKQVALVVYLSHIGSFIPADSATIGITDRIFCAMGNKPMTTEQSTFMIDLHQVGMMLRQATSHSLCLMDEFGKGTLTEDGIGLLGGAINHFANYEHPPKVLLCTHLTEIFDKDCLPQSENIKFYTMSVLKTDNNCTSTEDIIFLYRLVPGQAPLSYGLHCARLAGVPEEVVERAADILEMVKTRRPIYRFVNQTLSAKDHQYQDAVAKLLAFDTYRGDLKTFFHDIFPSES >Ma06_p31190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32360077:32389592:1 gene:Ma06_g31190 transcript:Ma06_t31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH5 [Source:Projected from Arabidopsis thaliana (AT3G20475) UniProtKB/Swiss-Prot;Acc:F4JEP5] MEMEEEVAETVEESQVYMACIIHGHRVGVAYYDANTHQLFVIEFWEDGNGELPLIDLVKYQVKPLVIYASTKTDELFLAALQRNVSIETFEVKLIRSSIFSYEQAWHRLVYLRVAGMDDGLSIKERICFLNSMMDLGSEVQVRAAGGLLAILENERLVDTFQQNECGYSSITIDCMSQISLDKFLKLDAAAHEALQIFQVDKHPSDMGIGRAKEGFSVFGMFNKCVTPMGRRLLRAWFLRPILDLDMLNNRLNTISFFLCCEEVMSALRETLKTVRDVPHMLKKFNSPSSLCTTSDWNAFLKCICSLLHINKIFEVGISEYLQEKLEYLKLDLVEKASSCITMELVYVYDLVMGVIDVKRSKEKGYETLVKEGLCDELDELRMVYERLPDFLEQVSAYENASVPVQHGWKKAPSIVYVHQIGYLMCIFDEKLSDETLGKLHDFELAFSEDGDEKRFFYHTPKTRELDNLLGDIYHKILDMERAIIRDLITRVLNFVPQLIKAVNFAAELDCILSLALIAHQNNYVRPILTEDTFLDIKNGRHALQEMTVDTFVPNDTKILDDGRINIITGPNYSGKSIYVKQVALVVYLSHIGSFIPADSATIGITDRIFCAMGNKPMTTEQSTFMIDLHQVGMMLRQATSHSLCLMDEFGKGTLTEDGIGLLGGAINHFANYEHPPKVLLCTHLTEIFDKDCLPQSENIKFYTMSVLKTDNNCTSTEDIIFLYRLVPGQAPLSYGLHCARLAGVPEEVVERAADILEMVKTRRPIYRFVNQTLSAKDHQYQDAVAKLLAFDTYRGDLKTFFHDIFPSES >Ma06_p25330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25602165:25608352:-1 gene:Ma06_g25330 transcript:Ma06_t25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRATTAWVANRATHVMVDSFELEKAVDSIQGSVPKVKWDFEGIHYFDGGPLTVQYLFVLDALNFCFWPDEELIYDHLASGLKASLQKDKYALDANRLQMYTGPQLRELLNWSRPLPLEEERVRLLHEVGLELERNFGGEAANLVKASKNSAASLISIITSNFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGEGYGKFNDISSITIFADYIIPAVLRQLGILKYSSALSDSIDSKIEVCAGSEEEVEIRACSIYAVEKMRELIKSKFKKQVLSIEMDLWLWSYGVRNSALPHHRTLSIYY >Ma06_p12710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8783144:8784858:1 gene:Ma06_g12710 transcript:Ma06_t12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGEKSAYERLRDARIQENKARLESLGLLRRAGELRAVISSSSSATGDRSGVKRKRKIRIVEGTPLRRSERLKSKQDDDDDADSSPPCSSDVIHQVRKRLFSDGRASKSRGSVYDPLLGICCHFCRQKKLCGEEDCRRCGDGNINQPCIGKTECSVCHSSNGVLCRACLKVRYGEEMEEVRAKKDWMCPHCIEEKGINPYWICNSSLCLKKRKMAPTGIAIYQAREQGYRSVAHLLMDRLKREAAQK >Ma08_p34250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44321421:44325058:1 gene:Ma08_g34250 transcript:Ma08_t34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDQQFFIDHPGAAPISTAQGEELKKVIGASAYIECSSKTQQNVKTVFDAAIKVVLQPPKQKKKKGGVQKSCSIL >Ma01_p21030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19882793:19895027:-1 gene:Ma01_g21030 transcript:Ma01_t21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAVLGQPNGLLPNGLLPNQVSNVTRALDMERWSKAEKRTAELIACIQPNQPSEEHRNAVASYVCRLIMKCFSCRVFTFGSVPLKTYLPDGDIDLTACSNNEDLKDSWANAVREVLENEEKIENAEFCVKEVQYIQAEVKLIKCLVDNIVVDVSFNQVGGLCTLCYLEEVDDLINQNHLLKRSIILIKSWCYYESRILGAHHGLISTYALETLVLYMFHVYNSSFAGPLEVLYRFLEFFSNFDWDNFCVSLWGPIPISSLPDMFAEQPRKDSGKVFFSEDFLHGCSKKYGVIIPRDQENQAQPFVSKHFNVVDPLRTNNNLGRSVSKGNFFRIRTAFAFGAKRLARLLKCPMEDIIAEVNQFFMNTWQRHGNGPCANVPILDLRHLQVLKNVPKETNNSKNTTNAKKKIENVGMHAGHESDLGAGGDSRELLPGIDSTIDQNSKRINGISNYNKSLVSRCRGQKYYGGQINSRVSDQFERKNSYSGSVHTDKSQKMFKSNYSLEEERQGRLQFTRVRSSPELVEAALDLSRGRHDSKMETRKTQDAPADTGYNIRSSSGSEATGSQISNVDPLPMRQSSSDKNLEVVCDSNSASNNFHGDFNFGTLGEELASVSERMEMQQEEQDLINMMESSDIHSFNGQVQLPMYLSSHFPLTLSPVPSSFDISQRNWAGVFPANPPLIGPSWGSSMQFHPGSVAAPLSHYFRDATFGSNGNDAVEFDNEGPLITELNSEEFDLIYQESDAGASRRFNSDDSGHHMFHSDSKQRKPHYGLNPVRTSRNINSGALSIEKSKFTREVRGLVREDENDTFQNSKPTSKVSDHNSNSRGANKRFENFSNGFMEKFPRSASDKWERKPVFSPTFSFLSGKAINGSQSKSSSNHVQPVVDDGISNWTSMSPEATDGSERITGSSMLSSGNTRNQLLNGFEPARVTGSDQLLQFAPVLVDTSQQSRGDNAKGLPLAFVPTGPPFPFVMVPVPVYKSISQLERDDEVSHCGAKSDLNIDLVESSDHSKDFLNSAASRVPGPESFDELHKPDILNSDRNSHWQNLEYGRLCQNSHYHAPLVYSSSPLMVPPQYLQGPYPWDGPARSMSANLNLMQVMGYGPSLVPMIPLHPGPDRASGSFQHSGDEAPRYRGGTGTYLPNPKFSHRERQSSSRHHRGNHSRDRHDHRDREGSWISSKHNLRNGAERPRAQPDQHATTKNHVDTQWDSYRHVPASYYMVQNSSFGSSNSSHGRGTVVGTYTQSALGSDAGGPTRPEIPPFLMVYPYDEGVSDVSSVEPLEFGSLGPVHHPENHVAHHPSDGVPASGTYEQRHGGTYRVGSWQSSPDQPSSPQLKR >Ma07_p26490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33270516:33272974:1 gene:Ma07_g26490 transcript:Ma07_t26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPCSPKEYAWDGLKCSYDLNSPRITDVNLSASALTGPISSSFAKLTAIKYLDLSYNNLTGSLPDVLGNLPSLQVLNLAGNNLSGPIPASLLKRSQQGLLILRTEGNQNLCASGNSCEIKTDTESKKKKIATPIIVIICLVPVVLFLVAIFIFCRMRKSKEKERLSNLVKGHQDNPLQLDNRQFTYTEVLRITNNFERTLGKGGFGTVYHGYLEDGTQVAVKTRSQSSSQGTKEFLAEVQHLIRIHHKSLVSLVGYCMDGDHLALVYEYMSQGTLLDYIRGYESCISLLNLHSEIGISHIRFLMLL >Ma04_p21770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24228578:24229472:-1 gene:Ma04_g21770 transcript:Ma04_t21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVAALFLGVILALLCASSYARPGGPFHPCTTLSITYTFSSSSAATAAVPHRAYRFVSIYRIITPFSSSSSSANAFDDRRPLLIRRPGLPRREVAEPAALGFSSLHERAKDILVVVVGLLFGVGCGALTAATMYLVWSLVANRNEVYGSDGEEGDYAVESPKKAGYVKIPSAEPAPAKEGYEGN >Ma05_p23310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35354875:35360386:-1 gene:Ma05_g23310 transcript:Ma05_t23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLRLGLLAALLLALAAAAAEATTTERRRRHAYAAMMYMGTPRDYEFYVATRVMMRSLARLHVEADLVVIASVDVPVRWAQTLQEEDGVKVIRVENLKNPYENQQNFNTRFKLTLNKLYAWSLISYDRVVMLDSDNIFLQRTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELETGHENPDGADQGFLASYFPDLLDRPMFHPPTNGTKLYGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWLKPWYWWSWPVLPLGLSWHERRRKNLGYGSELPILLIQAVMYIGIIAVTRLARPSLSKLCYNRRPEKIIAILHSTLKVAALWSIFAAYTVPFFLIPRTVHPLLGWPIYVLGVASLSSIVINVFLLPPLPVLTVLLGILGSLFVMAFPWYSDGVIRALVVFAYAFSCAPIAWASLMKVIGSLQNLLEREAFFPRLGESPQLSEFNKLY >Ma03_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:998901:1003368:-1 gene:Ma03_g01350 transcript:Ma03_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDRNGDDPCAEHKHTKRIVIVSLAGSVGLLLLCAVLSLLHAPKWFKNSVFFSSKSECTHNIEAFLGNCGSLAPKRFKYSDLRKITKSFREKLGEGGYGSVFKGTLPDGRLVAVKILSKSKEDGEEFFNEVVSIGRTSHVNVVTLLGFCQDGRRRALVYEFMPNGSLEKHINRAALPWDRLHQIAIGIARGLEYLHRGCNARIVHFDIKPHNILLDEDFRPKISDFGLAKLCPQRGSVLSMADARGTIGYIAPEVFCRNIGAVSTKSDVYSYGMMVLEMVGGRRNVRAGAGADRSSEAYFPEWLYEHLDGEGDLGAYGVTNETEEMARKMIMVGLWCIQTRPADRPSMSRVVEMLQGRGSDVEMPPKPCLSTPSQSYVSSAS >Ma05_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7812535:7813180:1 gene:Ma05_g10710 transcript:Ma05_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKSGAASSLLLVTLLVTLLAFFATDASAARVTPRQQSLARAALSALGARSDGPCCRCMCPMIYPTPFCVCVGVWQGSCPSACTNCQCVLNKCTCIDHVDPKACKADSCPWLDAAPEVEPSQQWAIEETGGKLAMMV >Ma02_p04520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15908890:15909685:-1 gene:Ma02_g04520 transcript:Ma02_t04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGLQSQANEIQLISHGVILGLNLLLNLLVFLQ >Ma08_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9191060:9191672:1 gene:Ma08_g12170 transcript:Ma08_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSQMTLDFHANKKMLEEVAIIPTKRLRNKIAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDESAIKVDQIVVDKETIDMLATLGMADLPGVEKQPDAPAGNVTYPSRPGGYGGRRI >Ma08_p02390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1878919:1882817:1 gene:Ma08_g02390 transcript:Ma08_t02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPNTGLFVGLNKGHIVTRRELPPRPSSRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGVSEKKK >Ma04_p19160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21737661:21739773:1 gene:Ma04_g19160 transcript:Ma04_t19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEDGEEKLIAAVRHIAKSLGRTQTMADDILQVFSSFDNRFFALDKTSDRHQRRRDAPTADPRQSPSPSPLASFDRTLRALEGQVVHFVDFNRLIWSDSADAAAFLEAIDDLLDTATDLNNQASPATKPLLDRMDHLLRRCVLRLDEEFRAVIESTQPPVKDYDDDDAYHGGGEEHIPVANPVDTYEIIIDALPPGSVANLNDIAHRMVAAGFGRECVETYAGFRRSFVEESVARLGLRPPPEDGFLAAAWDEIEEEIPRWIEAARMVFLILIPSERRLCERVFASLPAYADLAFSVACVPVASDFLSFGAAVASVDHGPERLFGLLDMYEAVRDLLPEIDTVLSDQYSAAVLDEMGIVHRALSASIRRIFMELENLIRRDPVKSAVPGGDVHPITRYVMNYLGAACSRPTLAEVMAEDGARVAVPLPVRVAWIADILLDNLDAKSKVYRDLSLTYVFLMNNGRYILQKAKGSDVGIMLGEDWIRRQNSKLRQWASEYQRASWTKVVAALRMDGLGGAASRSASVATEKAIRDKLGMFNNYLEDIWRTQGSWVAVDEQMRAELRGGIAEAVLPAYRNLVSRLRQAGDARWLLDRYSKYSVEDVEARINELFEGGRRSQ >Ma11_p16710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22153115:22158987:1 gene:Ma11_g16710 transcript:Ma11_t16710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRPSKMWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKETVTKVPNAKPDRESTEIEIYGMQGIPPDILAAHYGEDEEAAAKTMKMEMPSTGLVGVVPGSMGIRFPPPPTYGAVPPAYNPALPVPPPTWPVPAARPQPWFPPQLTIPVPPAPVVAPQQPLFPIQNVMTPLNSTSGAGLQSPLPPGVSSSTPPAVSQPLFPISSPAGVPAQSSPFLATSSPAVISSTSPMMLKGVADANPVSSIAASGYVALNNSGVVSYTNSHVYASGPNTENPSIGPPPVISNKPPASHPTANEVYLVWDDEAMSMEERRMSLPKYQVHDETSQMNSVNAAIDRRISESQLAGRMAF >Ma11_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22153115:22158580:1 gene:Ma11_g16710 transcript:Ma11_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRPSKMWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKETVTKVPNAKPDRESTEIEIYGMQGIPPDILAAHYGEDEEAAAKTMKMEMPSTGLVGVVPGSMGIRFPPPPTYGAVPPAYNPALPVPPPTWPVPAARPQPWFPPQLTIPVPPAPVVAPQQPLFPIQNVMTPLNSTSGAGLQSPLPPGVSSSTPPAVSQPLFPISSPAGVPAQSSPFLATSSPAVISSTSPMMLKGVADANPVSSIAASGYVALNNSGVVSYTNSHVYASGPNTENPSIGPPPVISNKPPASHPTANEVYLVWDDEAMSMEERRMSLPKYQVHDETSQLVVQI >Ma11_p14050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19269342:19277961:1 gene:Ma11_g14050 transcript:Ma11_t14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYGGAAGGGSTAALLAAKRRWKGQGTAVFVLVVCSVLVPLVFLLGLHNRFPSGYLADDRSQQESTFEIYRHDDSGEKKNPSKDDQPLTRNAVNNFAPPFQKGTESVPSDEDLYKRLNNAVISPSPIKPENATSLAVPKDKTSNLKGPLAENNGAAKKSSSLSNKSSEGVGKHSYAMGSNVHEIERSCELEFGSYCLWSREHREVMKDSIVKRLKDQLFVARSYYPSIAKLKGQENLTRELKLNIQNHERMLSEAISDPELPPLIEKKVQRMGEAIAKAKSCSVQCSNVDRKLRQILDLTEDEAHFHMKQSAFLYQLGVQTLSKGFHCLSMRLTVEYFKSPSADIKHSFANKIDNPNFQHYIILSRNILAVSVTINSTVVNSKESDNMAFHVLTDKQNFYSMKHWFSRNYYKKATIYVLNFDELDINHFADFDLEELSTSEEFRVSTHSIAQPSPLQMKTKYISVFGHSHFLLSDIFKNLKKVIVLDDDVVVQKDISFLWNLDLEGKVIGATEFCGVKLGQLKSYLSTSGYDVNSCAWMSGLNIIDLDKWREHNITGSYQRFLHQLQHENEASWRAATLPASLLILHGQIYALDDTLVQQGLGYDYRVLDDTLKRAAVLHYDGNMKPWLDLGIPKYKKYWKRYLTKGERFMDACNVNP >Ma04_p26810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28140431:28141878:-1 gene:Ma04_g26810 transcript:Ma04_t26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVGSRSKDVDRIKGPWSPEEDEALQKLVQRHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDETIIRAHRRFGNKWATIARLLSGRTDNAVKNHWNSTLKRRYCASSSAGDGGFRHHPDDDVVAARPLKRTSSDGPAISSSGAGLCLSPGSPSASELSDSSHHSLPMTSPVSTASHIYRPVPRTGGVVLPTPSALNPHQMEPSVVPTVAAPKNTEDPVTSLSLSLPGSETMDIPDHHHSTGDDNGRHKQLDLAPSMPMTLQARPPPATARCADTAGAAVSPDKRKRSSAAEEEPRPNAPFPFSAELLAVMQEMIRKEVRSYMTSLERSGAACTESRPPSESVRNAGIKRIGVAKME >Ma09_p02260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1618679:1623915:1 gene:Ma09_g02260 transcript:Ma09_t02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLAQCLPALVPHDKASQAGVSMVSERDLHLPSPAVEILPSKNAHPYKYAGENVDLQGLKIFKGRVSVSDMIGFSNSEMASSKSDGFLKCWESSTDLVSILKLEIRDGQLTFRGKRILELGCGYGIAGTFACLKGASTVHFHDLNAETIRCTTIPNVLANLEQARDKQSRQPESPLTPSRQQLTSDVHFYAGDWEELPTVLSVVRVDDFELAQGASLSFSEDDYLDACSSQEGSVIAHETCSRRSRKLSGSRAWERASETDPGDGGYDVILVTEIPHSVNSLKKLYALITKCLRPPYGVLYLAVKKSFIGSNGVARQLRAMVDEEGIFGIHVVTEVSDREIWKFFFK >Ma09_p02260.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1618679:1623915:1 gene:Ma09_g02260 transcript:Ma09_t02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLAQCLPALVPHDKASQAGVSMVSERDLHLPSPAVEILPSKNAHPYKYAGENVDLQGLKIFKGRVSVSDMIGFSNSEMASSKSDGFLKCWESSTDLVSILKLEIRDGQLTFRGKRILELGCGYGIAGTFACLKGASTVHFHDLNAETIRCTTIPNVLANLEQARDKQSRQPESPLTPSRQQLTSDVHFYAGDWEELPTVLSVVRVDDFELAQGASLSFSEDDYLDACSSQEGSVIAHETCSRRSRKLSGSRAWERASETDPGDGGYDVILVTEIPHSVNSLKKLYALITKCLRPPYGVLYLAVKKSFIGSNGVARQLRAMVDEEGIFGIHVVTEVSDREIWKFFFK >Ma11_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1503198:1504605:1 gene:Ma11_g02120 transcript:Ma11_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDTSSCLQRSPQDSFHIYQGMDDILYSSAGAAASGTDQITSSHALLYSLSVLREKVQQLESCVSMMTSPNRTQQESIAMGVSCAGIMIQEIILAASSLSCTLPQVGPSTAVAYEEPPPSDMKVESATAWMDHSSNNSLGITRKDDFFSSNNPSANSAIDVDNGSVTRDQIRKVKPPLDRAGMRKECSQGLSSNGYTIIELDAADLLAKYTHYCQVCGKGFRRDANLRMHMRAHGDEYKSAAALANPTKSSRSSSSGVALKYSCPHDGCRWNRKHAKFQPLKSVVCAKNHYKRSHCPKMYVCNRCNLKQFSVLSDLRTHEKHCGDLRWRCSCGTNFSRKDKLMGHVALFVGHTPEPCRLRD >Ma00_p02220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:16960333:16964069:1 gene:Ma00_g02220 transcript:Ma00_t02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISSSSSSSSSSIPSRTAALPQIPRLRPLLRLRSPADLKFGPWLTPRRDLKPPPVLVSKQKGPPLAVRWAQGEPRPAQLRDQFSGGREGGGGTVLDVVLLRKVARSAVIVLSAIVIAIIHPILAQPSFATVQSAAKPAGQLIRTELLTSAWTGFFAGCLHTLSGPDHLAALAPLSIGRSRIESAIVGALWGCGHDAGQVIFGLLFLTLKDRLHIEIIRTWGTRVVGFTLLIIGALGIREASEVPTPCVALDNGEDASSSGKKQIGFATFATGIVHGLQPDALMMILPALALPSRVAGAAFLCMFLVGTVFAMGSYTVFIGTCTEALKERVPRITEKLTWAASLVAISMGLAILISQFFGFSLY >Ma04_p30560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31189591:31193661:1 gene:Ma04_g30560 transcript:Ma04_t30560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQEQVLEAHYNTGDDPSEGPPSFVRCGINVNATPHMRRGPEGPRTLCNACGIARTKKQQRSDCNLLCHHQVCSPDSAHEVDKW >Ma04_p30560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31189591:31193661:1 gene:Ma04_g30560 transcript:Ma04_t30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEQEQVLEAHYNTGDDPSEGPPSFVRCGINVNATPHMRRGPEGPRTLCNACGIARTKVETTKIRLQSSVPPPSVFSRFSS >Ma10_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25280975:25292247:-1 gene:Ma10_g11930 transcript:Ma10_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESNTQVGDDKDTGWFEVKKKHRTSRKLTIQKATGGSLNNTFSPHYYSSTDDETGNSDSRLQFLPSKLALDCSLKVVAAVDVTPSSKTDHDKVCIDELVVQESESLEVRATDFKVGVTTVEKVSTHETFNNVAKIKWGDLEDVALKLHDSSEYRSAPVKAAGGDSASSNLQELGNSEMVSESILHLSTHDPLQEGRVMESSAHVEQLPAQILASDIHREPIELTWKEVKEFPSEEIEVGIVNQTDAIHSLNINLDDVVKPTCKTGGDLVSSDMMIGNSPASPVQGVVQTTHREPHLQSKDGVFDTSKLSDTNINASMILDLGNGVTHLKSGLEASVHVPTTTVIESHNELSDGLTVGTGLADGEPGESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQMDEAILVLEEATSDFRELKCRVGHFENTKASSQSSRDGNPIIVKADHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQLERAAKDTTKDAKNLGAISSSHEVASSLSGVDEVSNARYLHVKKEKQTIVLNNNGMNMDSNKQNTDINRPGKRHFVQNGRLSPHSLPASASGKCKREPLGPVTEIQKQALKKDKELAENRMEKHIKAVDIVKKQSSYLDKEKDKQKFKTAHWKVMDAWKEKRNWEDILKSPIHSSSRVSYSPGMGRKVVDRARVLHDKLMSPDKKKKSAMDLRREAEEKHARAMRIRNQLESERVQKLQRTSEKLNRVSEWQAVRSSKMREVMFARHQRSEYLHEAYLAKVVKKAGDESSKVNEVRFITSLNEQNKKLMLHQKLHDSEMRRAEKLQVIRTKQKEDIAREEAVLERRKLLEAEKLQRLAETQRKKEEAQVRREEERKASSAAREAKAVEQLRRKEIRARARQEEAELLAQKLAERLRESEQRRKYYLEQIREKASMDFRDQSSPLHRRFNKEGQSRSLGTSTEDNPVSANSESAEKLVNVTHQHSLKRRIKKVRQRLMALKHDFVEPPVGSENGGIGNRASLVAARAKIGKWVQDLQKLRQARKEGAASIGLVIVDMIKFIEGKDVELHASRQSGLLDFISSALPASHTSKPEACQVTVHLLRLLRVLLSLPANRSYFLAQNLLPPIIPMLSASLENYIKAAASSSSGSTNLSSSKTSNENLESVAEIMDGFLWTITMIVGHIQSDDRQLHMQDGLVELIVAYQVIHRLRDLFALYDRPQIEGSPFPSSILLSLTLLSVITSRPGTFSAIDWESCVSKASAICEVQRLKDSENVATGESSSSINNSGDSTSHPTSHQCTEPHMSRFVHLSEEQNILSSGKTLADAPEIIDMESGRETSDTSCRPEIVQSVLQIQEKASSGESQNPVVEEHAKSLPVKKDEKNSGCSVERKGADEHTTRNNSGNRKAVSLKQPLAFLISAISDTGLVSLPSLLTAVLLQANNKLSSEQGSYVLPSNFEEVATGVLKVLNNLASLDIATLQSMLARSDLKVEFFHLMSFLLTHCTNKWKAAYDQVGLLLLESLLLLGYFSLFHSGNQAVLRWGKSPTILQKVCDLPFVFFSDADLTPILAGTLVAGCYGCEQNRGIVLQELSTDMLLSLLKSCKQALLSVQPDSVQSNISVSDATDSNQMVSDARKPQSEIHARSIRKNTRASLGKGLSSSTTKINKTKIQRDCRGTRTFDEWVFKHNLSSTEASSCFMLHWRFPISFLDKAEEFFLAEALSQQV >Ma08_p12350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9364217:9368470:1 gene:Ma08_g12350 transcript:Ma08_t12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROW1 [Source:Projected from Arabidopsis thaliana (AT1G04020) UniProtKB/TrEMBL;Acc:A0A384KH31] MGDSEGFRRFVNPLLLNLQKMELELTCAICLKLLKIPMLLPCNHMYCRACIATSVTNAYDCAICKAAFREQELRSAVHIEAIVSICKNMNSSVNAALKQGPQVDISDIKMHSDGSPDSGYNNMNLKFEEEVLHVDEKRSGHVKSRTRLFGTVSTGKFNHKGETHEHKKDASKKVRQEAGVNKFLTERHPCSPQSSGYQKDSDYDSNDARSEPTTERLSPKVLSKRGPDSGACQIEDDCIIESKKQKLDKVNEELVDCAFCHTSGATEAVGPMLHYLDGEQMTDDQALQANVLHVHQRCIEWAPQVYFVGETAMNLEAELARASKIKCSHCGLKGAALGCYTKSCRRSYHVPCANDISGCRWDYEKFLLLCPVHSSNKLPCDRSSSKKKQSTNNTPCHSSPRMDQTSSMKHPGDAWTASPCETREWMLCGSALSREDKDVLEDFVSLTGVTATSLWNSSITHVIAATDEHGACSRTLKVLMAILAGKWVLRVDWLKACMEAGHPVSEEPYEISHDIHGSFDGPRNGRMRAMQKAPKLFAELTFYFNGYFMPNYKKYLENLILAAGGRFLEKSEVVPMTFIVYSVEPPQGSDSNDLNEVIRKRKEDAEAFAVKTCSRVIAHTWLLDAIAACNLPRNI >Ma04_p19820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22471961:22472167:1 gene:Ma04_g19820 transcript:Ma04_t19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERAAYGKHPTYHGVGSRNGKWVTEIREPRKESRIWLGTYPTAEMAAVAYDVAKFFGGSVSSSFFS >Ma03_p02510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1704128:1708795:-1 gene:Ma03_g02510 transcript:Ma03_t02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVALEYTKAKRMKRSSQVLSSKAKEKPPRSSQGYRSGSIPDCQHDSKKMVESDGSGSAIRANSSGNSSSPNRKKRIDVNVDRCNGFNAPLEVISLSKKSGSKSKTPRLRSEPEHFQMLRKKVPSKSVTTVGISSSSSINGSEKIRGQSIGPQMTGGLSGKSVPQKTVPVSDLLMKQCEALLKKLMSHQFAWVFDHPVDAVKLNIPDYHTIIKRPMDLGTIKSKLTSGAYSSPWGFASDVRLTFKNAMTYNPPNDDVHIMAVALSKFFESQWKFIEKKSAAADAHIEKETQSNKSELSKKRKMPPTDYNGPVPEREKSKMMDEEKQSLNRCLESLSADLSDHNIDFLRWQSGNMKQSIEETKIHNDSFCDDKRTLLDNYIKEREVQQPAKTERVNDTGVCTSLMHPCKGNDLDDEDVDICDDDPPMPSYPPLELQKDLGAATTDYSSSSGSSSDSDSSNSDSSSGSDSEDEVSIPKSAAEENSGNKACTDREKSDIRKPFDVNRPLSGLTPLEMDVDSKPLSGDSDGCPEGKHASSERKVSPEKLYRTVWLKSRFADTIVKAQNSLDQADRGDPEKLQREREEMERQRREERARLQAEAKAAEDARRRAEVEAAAEAKRKREREREAARQALSQMEKTAEIDDYRLVLKDLEILTRIPAGRIPMSLNEKCPVHYFLDDVEDFRFGGINPMEQLGSFMKVDDEEEEEGKTKRAPPSDVEEGEIV >Ma03_p01090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:856066:860065:1 gene:Ma03_g01090 transcript:Ma03_t01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14780) UniProtKB/TrEMBL;Acc:A0A178UHK7] MAMLRAAKHAMRSLGSTAPDAAPFSRMLHASPGSKKIVGVFYKANEYASLNPKFVGCVEGSLGIRDWLESQGHQYIVTDDKEGPNCELEKHIHDMHVLITTPFHPAYVTAERIKRAKNLQLLLTAGIGSDHIDLKAAAEAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPGYLQVIKGDWNVAGIAYRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYYDRIKMEPALEKEIGAKFEEDLDTMLPKCDIIVINTPLTEKTRGMFNKERIGKLKKGVLIVNNARGAIMDTQAVADACSSGHIAGYSGDVWNPQPAPKDHPWRYMPNQAMTPHISGTTIDGQLRYAAGVKDMLDCYFKGQEFPAQNYIVKEGKLASQYQ >Ma08_p16050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16930428:16932537:1 gene:Ma08_g16050 transcript:Ma08_t16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLNAGSMMSGASYGVLALQGNMYMHQGSMIHHPPVCDAFGVSGSHLQESDHREGVSIMDYHKGERGRTSMSDDDEPILTEDGVDAQNEAGREKKGCPWQRMKWTDAMVRLLITAVSYVGEDAPSECGGRRKYAILQKKGKWKAISKVMAERRCYVSPQQCEDKFNDLNKRYKRLTDILGRGTSCKVVENPALLDRMSNLSEKMKDDVRKILSSKHLFYEEMCSYHNCNRLNLPADPALQRSLQLALGSRDEHDRRRGSHEDVDEDDQSADGDDEDGDAEEHNVHGNMVASCFPKRMRHGVNHEEAVFGDTSALQNSTRSLQPQGLTLDMNQVFSEGSKSTLIQQHWVNYPLQLEEKKLHIQAQMLELEKQRYKWQRFSKKKDRELNMMRMENERMKIENERLSLELRQKEMELDLTSMKTQ >Ma05_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:845286:868957:1 gene:Ma05_g01430 transcript:Ma05_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MAPAANERLELARLCSGRNWSKAIRVLDSIVAKSSLVQDICNRAFCYSRLELHKHVIKDCDKALQLNPNALQAYILKGNALSALGRKEEALHVWEEGYQNAVCDSTDLKQLLELEELLAGTRESPSFAGEDHSMDASSCETKVVVSEDHIMDSSSTNVSTTETEAIICEDHGSSGVTKVVVSEDHVTDSRIKNSSTTNAEHTTNSSGVTPSDKESVNGHKLENTHEICSKPVDSTDIFSMYNDTTKLGRKVVVTGIQKTKSISLDLRLSRGISQVNEGNYDQALSIFDQILRETPEYPEALIGRGTAYAFQRELDAAIADFTMAIQSNPSAGEAWKRRGQARAALGEFMEAIEDLTKALEFEPNSPDILHERGIVNFKFKDYNAAVDDLSRCIKRAKKNKSAYTYLGLALSAIGEYGQAEEAHLKSLHLDQCFLDAWAHLAQFYLDLANSEKALHCLEKVLLANGRFAKAYYLRGLLYHGLGQHRIAIKDLSLGLSIENSNIECLYLRASCYHAIGEYGDAVKDYDAVLDLEHDSMDKFVLQCLAFYQKELALYTASKVNSEFCWFDIDGDIDPLFKEYWCKRLHPQYVCERVYRQPPLKESLKKGRLKKQDFVVTKHKQILLHVADVIGQKIQYDCPGFMQNNRQYRMAGLAAIEVAQKVAKYWRFLRNANKNGKKTRKKERLNIVSQNRGGACCTTSGLSETSSYGSNEDKYASARSLSWQDVYSVAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRYYPNYQRIFDVAKSIIKDIKYVNNAADKIIDLSGAGKLQKIIHAGSCSDLYGVIDENFWVGTTCESTAFEGKHLEGTRITLQKMDKIGFDFAIRTPCTPSRWDEYSEEMATAWEAICSAYTSEIYSSTDLTMLENVKDAILRMTYYWYNFMPLSRGSAVVGYIVLLGLFLAANMEVTGSIPPGVQVDWEAILSPNPDVFLSSIKPWLYPSVRINTAWKDYPDITLAFATTGSIIAALSSYDA >Ma01_p23650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28738635:28746579:-1 gene:Ma01_g23650 transcript:Ma01_t23650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLLPPSLPSHPSSFSLLSLASPLSHLFLSFLPHSQESLQQRPRRGGGEDDEEEEWVVSKAQHILSQTKWANSPDLIHLAEALLPRHVPEILRTHLDPCRAVSFLRWLSQRHFYKPCPDDYFALIDRLLAARMLTPANRACSQMVRSFETMDDMIRVIDFLNGSRSDGLVLDLYCYNGILIQLGKLQMVGVAMNVYRQMLGRGMEPNLLTYNTMINILCRDGKVKEAGCILSRILQLEMKPDTFTYTSLILGHCRNCDLNSAFWIFEWMVKEGCNPNSVTYSTLINGLCDDGKVDEALGLMNVMVQKGVQPTVYTYTVPISVLCNSGQVSKACRLVIDMRSRRCMPNVQTYTALISGFCKSDGLHLAIGLFHKMLREGMTPNTITYNALIDGLCEKRRIQSATKVFEAMEGRGCMPNLQTYNEMMKGFCLVGAVEKAMVLFHRLLISGPPPNQITYNTIIYGYCKIGNHNNAIRMVYLMKENGHKPDEWTYTELICGFCKGGELDLACKALEVMAEQGLRLNVVTYTTLIDGYSKEGKLDIALSLLNNMEENGCKPNLQTFNAIISGFAKQNQLAEAEKLCSEMVQRGLLPNVVTYTSLINGLSKNGATSVAIRVMDEMVEQGCSPNLHTYSALIHGLCQEGKAQDAEKMFLEMEDRGLVPDEVTYTSMMDGWIMVGRVDDAFSLLKRMVTTGNKPNYWTYSVLMKGLWKEKQLTAKKLAAVPNAVSTCSIDEKATSVDIFSSLLMRLPEYGYELNIDEYRTLVCGLCGEGRWSEADQVVKSMAVQGLPLDEEIYNSLLQVYANNLKIEHALELLNAMTSIGFEPCLMGYKSLICALCEVDRVQEAQNLFHCMLLQHWSPDEVVWTILIDGLIKGGKPTLCTEFLQIMEAKGCKPTLHTHAILARELSAKDKSSKTSIVEILET >Ma01_p23650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28738635:28746579:-1 gene:Ma01_g23650 transcript:Ma01_t23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLLPPSLPSHPSSFSLLSLASPLSHLFLSFLPHSQESLQQRPRRGGGEDDEEEEWVVSKAQHILSQTKWANSPDLIHLAEALLPRHVPEILRTHLDPCRAVSFLRWLSQRHFYKPCPDDYFALIDRLLAARMLTPANRACSQMVRSFETMDDMIRVIDFLNGSRSDGLVLDLYCYNGILIQLGKLQMVGVAMNVYRQMLGRGMEPNLLTYNTMINILCRDGKVKEAGCILSRILQLEMKPDTFTYTSLILGHCRNCDLNSAFWIFEWMVKEGCNPNSVTYSTLINGLCDDGKVDEALGLMNVMVQKGVQPTVYTYTVPISVLCNSGQVSKACRLVIDMRSRRCMPNVQTYTALISGFCKSDGLHLAIGLFHKMLREGMTPNTITYNALIDGLCEKRRIQSATKVFEAMEGRGCMPNLQTYNEMMKGFCLVGAVEKAMVLFHRLLISGPPPNQITYNTIIYGYCKIGNHNNAIRMVYLMKENGHKPDEWTYTELICGFCKGGELDLACKALEVMAEQGLRLNVVTYTTLIDGYSKEGKLDIALSLLNNMEENGCKPNLQTFNAIISGFAKQNQLAEAEKLCSEMVQRGLLPNVVTYTSLINGLSKNGATSVAIRVMDEMVEQGCSPNLHTYSALIHGLCQEGKAQDAEKMFLEMEDRGLVPDEVTYTSMMDGWIMVGRVDDAFSLLKRMVTTGNKPNYWTYSVLMKGLWKEKQLTAKKLAAVPNAVSTCSIDEKATSVDIFSSLLMRLPEYGYELNIDEYRTLVCGLCGEGRWSEADQVVKSMAVQGLPLDEEIYNSLLQVYANNLKIEHALELLNAMTSIGFEPCLMGYKSLICALCEVDRVQEAQNLFHCMLLQHWSPDEVVWTILIDGLIKGGKPTLCTEFLQIMEAKGCKPTLHTHAILARELSAKDKSSKTSIVEILET >Ma07_p13020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9783616:9785807:-1 gene:Ma07_g13020 transcript:Ma07_t13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g17630 [Source:Projected from Arabidopsis thaliana (AT1G17630) UniProtKB/Swiss-Prot;Acc:Q9LNP2] MLSSLGSCFPHHLRPITPLRSHVFLLSCSSGGDPSSSSSFDALFRRCSNPLQAKQIHAQLLSSGRHHQLSPFLSARLVSVYSRFGLLAEALSVFDASSDHRRSSLLWNSILRATLADGRPRDALSLYLRMRALGALPDGFTFPLAIRACSAVRNPRLCFSIHSHAACMGFQSHLHVANELILMYGNLGQMDAVRKVFDAMPSRNAFSWNNLISGYSLNCDCEAARDAFRQMESTGLEPNPVTWTALLSAHARCQQHGEVLAMFDEMRARGVKSTAEAVAVALSVCPYVCSAALQKGKAIHGFAISCGFGGYPFVTNSLVCMYGKLGSREEATRLFHEMETRNLVSWNALISSYAAGGFCNEAHEILVEMENTGDVTPDVISWSAVIGGFASTGMLEQSVDLFRQMQRAGVKPNSITVATVLSACAEVSALRLGREIHAHIIRGFLHGSLLVGNGLLNMYTKCGSLKDGCAVFDKIVDKDLISWNSMIAGYGMHGLCDEALGTFDAMTGAGCNPDGITFIAILSACSHAGRVSEGRSLFDRMVIEYGITRCMEHYSCLVDLLGRAGLLREAIELMESMPTKPNACVWGALLNSCRVCGGTVVAEDAVAQVLGLEGQATGNYMLLSNLYAACGRWEDSARVRVMTKTKGLKKTPGQSWIEKKNKFYAFSAAGTLPPGAEDVYVVLEDLYQQMASDKSAADDQLGCHQ >Ma10_p24970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33319398:33319998:1 gene:Ma10_g24970 transcript:Ma10_t24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVGVVLLVLAFISGTSLAARIIVGDAAHWTFGYNYTDWAIKNAPFYRNDILVFMYDPPNSTTFPHSVYLLKSLRSFEACDLKKAQLVANVVQGGGAGFEFVLKRRKPHYFVCGERGGIHCTVGLMKFSVLPVRRSCQA >Ma02_p06890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17769688:17772415:-1 gene:Ma02_g06890 transcript:Ma02_t06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPLLYKFQATEQHLCPSSELQKEIVFLLMDSVDESAPSAKILVGVSLDARASFQLLSWAVTVAAHPNDTVVALHVLADKEGNRVSSERSRLRQAKASVISALGEFAETCQTKQVTLEAKVRCSSSVGKGLTEEAAQVEANFLVLGRSRNSTPKRNSFEIMRYCFKNAPGGCSIVGIDMQSLPRKDGAADSSTYEDNSSSSSRWTSNHTVRALSPFHKLFYSISKREKRHSPRESICEKDSPRGVLEGPDAGSPVVAEDCLSPPSSVTGRRSGANMWRRSSVMKLLFSLPRSSGESMSKESDACSSYTDDLKPSWRCFTYEEISRSTSNFHPDNLVGRGGFAEVFRGSLYNGRSVAVKRLAKGNGDRQKEKEFLIELGILGHVCHPNTANLIGCCIENGLHLVFDLSCNGSLASALHSKNGKFLDWPARHKIAIGIARGLHYLHKCCRHRIIHRDIKASNVLLGPDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEIVTGRRPVDASKQNLLLWAKPLIESGRIAELADPKLEGKVDMNQMQRLVLTASYCVRQSSIWRPSMSEVLDLLTNGRDSMEAQISRNTEFQADKMDDQNLATNCYFDFDY >Ma11_p14980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20715502:20718562:1 gene:Ma11_g14980 transcript:Ma11_t14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMVFTQQCASDICCSYGSSFPFRSIHAYGSQACSSTALSVVHVDYSKKLKLKHDIQLDSSAALRVGPAGTVDGSNKSNYNSEKATPVNYAKPLRLSTSNASGSTLDGYHVEHDETSNNDVLCNLCENGKLMEACNLVDVMARLSQVPDLQSCVNLIRGLINVDRIEKAVRVLQVMILSGGVPDIITYNKLIGGLCRRGQLNSAIKLVEDMGFCGCPPDVITFNTLFRSMFEHGKYDEAIWFWKDQLRKGYPPYLISYTILLELVCKYCGIRRAMNAMEDLAFDGCHPDLVTFNSLINLICKRGKFEDVAMVVGGLMSHGLEPNAVTYNTLLHSFCIKGKWAEADDMLFIMKEASYPPTVVTYNILINSLCKYQILDRAIDVLHKMLNEGCSPDIVTYNTLLAAMCKVDMIEEALNILHSLRDNGYSLVVISYNTLIDGLAKKGEIKKAMVLFDEMVNDGITPDDITYGSLVMGFCKQGMVHEAVEMLQEMVKINCRIRGSTFTLVIQALCRNGKLDTAIEIVSIMVSRYSKPSKAGYTSLVRAVAASGMTEAATKLRKVFIEHKILKEDS >Ma07_p06740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4849669:4850991:1 gene:Ma07_g06740 transcript:Ma07_t06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGFLGIQPTELKVPFELKKQSSCSMQLTNKTDQYVAFKVKTTNPKKYCVRPNTRIVLPRSTCDVTECHNRAWCNDLSHNLRNGPNLCYVHWDTLVFLLFNFGCVIITYTTNHQFNKEPGKVVDEFKLRVTYVPAGPPSPVPEEPEEGSSTLENGLHSLQTQDSVSRYSEPSKEKSSEVTSSLYLFLV >Ma01_p18230.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13513545:13517803:1 gene:Ma01_g18230 transcript:Ma01_t18230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKENTSKNPDTIGLQKQWDEVLCPICMDHPHNAVVLICTSYEKGCRSYICDTSYRHSNCLDQFRKLRMSSSDSPSGSTSTILENVDSGRSRLGSPYTLESASFPGALGTRTDIETHGGYSFNDRTTTGLVEDLDNTGDRQAQDRYLASQVEANVSFDESGGGNAPEDSCLKCPLCRGVVLGWMIVKEARQYLDQKLRSCSRESCSYSGNYQELRIHARRIHPTTRPAEVDPSRQRAWRHLEHQQEYSDILSAIRSALPGSVVFGDYVINDGENLSGDRNAPWWPTLLLLHMISGPIGSSFDERRSSSRAGRARRRSSTRRYLWGENLLGLQEDDGWNSDDNILISRRRRRIMRSRRDEEQQP >Ma01_p18230.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13513545:13517803:1 gene:Ma01_g18230 transcript:Ma01_t18230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKENTSKNPDTIGLQKQWDEVLCPICMDHPHNAVVLICTSYEKGCRSYICDTSYRHSNCLDQFRKLRMSSSDSPSGSTSTILENVDSGRSRLGSPYTLESASFPGALGTRTDIETHGGYSFNDRTTTGLVEDLDNTGDRQAQDRYLASQVEANVSFDESGGGNAPEDSCLKCPLCRGVVLGWMIVKEARQYLDQKLRSCSRESCSYSGNYQELRIHARRIHPTTRPAEVDPSRQRAWRHLEHQQEYSDILSAIRSALPGSVVFGDYVINDGENLSGDRNAPWWPTLLLLHMISGPIGSSFDERRSSSRAGRARRRSSTRRYLWGENLLGLQEDDGWNSDDNILISRRRRRIMRSRRDEEQQP >Ma01_p18230.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13513545:13517803:1 gene:Ma01_g18230 transcript:Ma01_t18230.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKENTSKNPDTIGLQKQWDEVLCPICMDHPHNAVVLICTSYEKGCRSYICDTSYRHSNCLDQFRKLRMSSSDSPSGSTSTILENVDSGRSRLGSPYTLESASFPGALGTRTDIETHGGYSFNDRTTTGLVEDLDNTGDRQAQDRYLASQVEANVSFDESGGGNAPEDSCLKCPLCRGVVLGWMIVKEARQYLDQKLRSCSRESCSYSGNYQELRIHARRIHPTTRPAEVDPSRQRAWRHLEHQQEYSDILSAIRSALPGSVVFGDYVINDGENLSGDRNAPWWPTLLLLHMISGPIGSSFDERRSSSRAGRARRRSSTRRYLWGENLLGLQEDDGWNSDDNILISRRRRRIMRSRRDEEQQP >Ma01_p18230.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13513545:13517803:1 gene:Ma01_g18230 transcript:Ma01_t18230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKENTSKNPDTIGLQKQWDEVLCPICMDHPHNAVVLICTSYEKGCRSYICDTSYRHSNCLDQFRKLRMSSSDSPSGSTSTILENVDSGRSRLGSPYTLESASFPGALGTRTDIETHGGYSFNDRTTTGLVEDLDNTGDRQAQDRYLASQVEANVSFDESGGGNAPEDSCLKCPLCRGVVLGWMIVKEARQYLDQKLRSCSRESCSYSGNYQELRIHARRIHPTTRPAEVDPSRQRAWRHLEHQQEYSDILSAIRSALPGSVVFGDYVINDGENLSGDRNAPWWPTLLLLHMISGPIGSSFDERRSSSRAGRARRRSSTRRYLWGENLLGLQEDDGWNSDDNILISRRRRRIMRSRRDEEQQP >Ma01_p18230.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13513545:13517803:1 gene:Ma01_g18230 transcript:Ma01_t18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKENTSKNPDTIGLQKQWDEVLCPICMDHPHNAVVLICTSYEKGCRSYICDTSYRHSNCLDQFRKLRMSSSDSPSGSTSTILENVDSGRSRLGSPYTLESASFPGALGTRTDIETHGGYSFNDRTTTGLVEDLDNTGDRQAQDRYLASQVEANVSFDESGGGNAPEDSCLKCPLCRGVVLGWMIVKEARQYLDQKLRSCSRESCSYSGNYQELRIHARRIHPTTRPAEVDPSRQRAWRHLEHQQEYSDILSAIRSALPGSVVFGDYVINDGENLSGDRNAPWWPTLLLLHMISGPIGSSFDERRSSSRAGRARRRSSTRRYLWGENLLGLQEDDGWNSDDNILISRRRRRIMRSRRDEEQQP >Ma01_p18230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13513545:13517803:1 gene:Ma01_g18230 transcript:Ma01_t18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKENTSKNPDTIGLQKQWDEVLCPICMDHPHNAVVLICTSYEKGCRSYICDTSYRHSNCLDQFRKLRMSSSDSPSGSTSTILENVDSGRSRLGSPYTLESASFPGALGTRTDIETHGGYSFNDRTTTGLVEDLDNTGDRQAQDRYLASQVEANVSFDESGGGNAPEDSCLKCPLCRGVVLGWMIVKEARQYLDQKLRSCSRESCSYSGNYQELRIHARRIHPTTRPAEVDPSRQRAWRHLEHQQEYSDILSAIRSALPGSVVFGDYVINDGENLSGDRNAPWWPTLLLLHMISGPIGSSFDERRSSSRAGRARRRSSTRRYLWGENLLGLQEDDGWNSDDNILISRRRRRIMRSRRDEEQQP >Ma01_p18230.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13513545:13517803:1 gene:Ma01_g18230 transcript:Ma01_t18230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKENTSKNPDTIGLQKQWDEVLCPICMDHPHNAVVLICTSYEKGCRSYICDTSYRHSNCLDQFRKLRMSSSDSPSGSTSTILENVDSGRSRLGSPYTLESASFPGALGTRTDIETHGGYSFNDRTTTGLVEDLDNTGDRQAQDRYLASQVEANVSFDESGGGNAPEDSCLKCPLCRGVVLGWMIVKEARQYLDQKLRSCSRESCSYSGNYQELRIHARRIHPTTRPAEVDPSRQRAWRHLEHQQEYSDILSAIRSALPGSVVFGDYVINDGENLSGDRNAPWWPTLLLLHMISGPIGSSFDERRSSSRAGRARRRSSTRRYLWGENLLGLQEDDGWNSDDNILISRRRRRIMRSRRDEEQQP >Ma08_p09920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7228303:7249773:1 gene:Ma08_g09920 transcript:Ma08_t09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAAAGKRSPTPPSTSSAASSGGKRSKVETGASPPREKEPVSLDEPMSAAPDEGVVVKTTSSDRAPTVQMEDDPLELSARGHVECSTQLDLPTKHAEEEGPSMATAWGKLVSLFSQNPSRSICSNLFTVGHSKTCDLQLRDPSVGTTLCVLRQTKNGDACITLLETVGAKGVVQVNGRTVDKNSIVLIGGDEVVFSRPEKHIYIFQQLPLEKLNTPILHNLFSSPEAKDASRKGHKYENRAGDHSAAAVVSMLASLSTLKKDLSVLPLSAPSDSLMDLELNANACKFLEDQREFVKDFEFPASSSSSRSQAFKDGLKHGIIDAGDIEVSFENFSYYLSENTKQPLLSCAFVHLKCKELLKYTTEISSLSQRVLLSGPPGSEIYQETLVKALAKEFGARLLIVDCFALLGGPPLKDVECLKEVKKLDKPSLLDKKHAALSACLQHKRPTSGVEADIVESFVFGAESSRKQESSTASPKSCPFRKGDRVKYVGPSQPTEVPMCPRGPSYGYRGKVILHFEENMSAKVGIRFDKHIPEGNDLGGLCEEDHGFFCAVDSLRLDTSMQEDTRRPGLNELFEFVSEECQHGPLVVFFKEIEKSVAGTSDSYLAMKSKIDSLPAGVLVVCSSIQMDNRKEKSHPGGLLFTKFGGNQTALVDFALPDCFSRMHERSKESSKSMKQLAKLFPNKIIIQLPQDEEQILDWKKKLDNDVETLKAKSNIISIRSFLNRIGLECNDLEKMCIKDQTLSSESVDKIIGFALSHHLKNNTFESSEKETSFILSSESIEYGLRMLQNFQSGSKGAKKSLKDVVTENEFEKRLLADVIPPDDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINVSMSSISSKWFGEGEKYIKAVFSLASKIAPCVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDTSNREKILRVILAKEELAPDVNMEALSSITDGYSGSDLKNLCVAAAHCTIREILEKERKERDAALAEDRPLPVLHGSDDIRPISMDDFRYAHEQVCASVSSESSSMSELLQWNELYGEGGSRKKKALSYFM >Ma07_p16230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:12994034:12994240:1 gene:Ma07_g16230 transcript:Ma07_t16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHLEMLEILLLIKIKIVEINLCLICFTNMLSISLFAEAKLDSNLSYIGRLQNRIRHWLMASKLVEK >Ma08_p06450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4350623:4361230:-1 gene:Ma08_g06450 transcript:Ma08_t06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKSKIKLAALVGITLSVLSLFVHLFVANYSVGDFIQYKLREDYLYPVGHKLRHRRLWGPVSSLEVLQPYAKPRSFYPVPGQQNGFIYAKISGGFEKIRSSICDLVAIARLLNATLVIPEIQQSVRSKGISPKFRSFSYLYDEEQFIASLSDDVIVVKSLPKDLKEARKKTKFPTVSPKASTSPSFYTREVLPKLKQSKVIRILVSDGGCLQPILPSNMDEYQRLRCRVAFHALQFRSDIRALGNQMVERLRGTGGPYLSYHPGFVRDTLAFHGCAELFQDVHTELMQYTRKQLIKQGTVDEDLVVDSFARKTNGSCPLIPEEVGLLLRAMGYPPNTIIYLAGSETFGGQRILVPLRAMYTNLVDRTSLCTKKELSNLIGPEYPLPLNLPQPPPVKSEKQLIEEWKKAGPRPRPLPPPPARPFYQHEKEGWYGWIAETDTEPDPSSVDLRKQAHRLLWDALDYYVSVEADAFFPGFNNDGSAWPDFSSLVMGHRSYQTASGVTYRPNRKTLVGLLISIHDNLYHPTHNWTVLVRDHLNKSLGVDGFIAEAESSKPASFLSHPFPECSCRTSKSSDTPGHVMSRSGKLLYGNEEECPEWMVHGLAMASQKATGAKDEDVEEGELLEDDNETDGQSDPDNGNRSDTNRSMEQDEEMDPDD >Ma08_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4350623:4361510:-1 gene:Ma08_g06450 transcript:Ma08_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKSKIKLAALVGITLSVLSLFVHLFVANYSVGDFIQYKLREDYLYPVGHKLRHRRLWGPVSSLEVLQPYAKPRSFYPVPGQQNGFIYAKISGGFEKIRSSICDLVAIARLLNATLVIPEIQQSVRSKGISPKFRSFSYLYDEEQFIASLSDDVIVVKSLPKDLKEARKKTKFPTVSPKASTSPSFYTREVLPKLKQSKVIRILVSDGGCLQPILPSNMDEYQRLRCRVAFHALQFRSDIRALGNQMVERLRGTGGPYLSYHPGFVRDTLAFHGCAELFQDVHTELMQYTRKQLIKQGTVDEDLVVDSFARKTNGSCPLIPEEVGLLLRAMGYPPNTIIYLAGSETFGGQRILVPLRAMYTNLVDRTSLCTKKELSNLIGPEYPLPLNLPQPPPVKSEKQLIEEWKKAGPRPRPLPPPPARPFYQHEKEGWYGWIAETDTEPDPSSVDLRKQAHRLLWDALDYYVSVEADAFFPGFNNDGSAWPDFSSLVMGHRSYQTASGVTYRPNRKTLVGLLISIHDNLYHPTHNWTVLVRDHLNKSLGVDGFIAEAESSKPASFLSHPFPECSCRTSKSSDTPGHVMSRSGKLLYGNEEECPEWMVHGLAMASQKATGAKDEDVEEGELLEDDNETDGQSDPDNGNRSDTNRSMEQDEEMDPDD >Ma06_p02000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1614120:1614446:-1 gene:Ma06_g02000 transcript:Ma06_t02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIHLCLNTRRSRRKRRLQWRRRWRI >Ma03_p32460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34231079:34233481:-1 gene:Ma03_g32460 transcript:Ma03_t32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLAADVLWSLGWGVAGLLFLAWASRVLNWAWWRPRRLERALRAQGLKGTAYRFPYGDLKENARFSKEARAKSMPLAHNIIPRLLPFYHRAMDEYGKISFTWSGPVPQVAITDPELVREVLSNKFGHFGKPNQNPLARFFARGLAVYEGEKWVKHRRILNPAFHAEKLKRMLPAFSACCSDLMDRWENMAGSEACYELDVWPELQSFTGDVISRTAFGSSHKEGRPIFQLQAEQAELLIQVVQNLYVPGYRFLPTPKNKRIRAIDREIRSILRGIIKKREQDIKTGKASNDDLLGLLMESNMKHLQEDGNKNAGMTTEDVIEECKLFYFAGQETTSVLLTWTMICLSMHPTWQIRAREEVLRVFGDDKPDFDGLNHLKIVTMILYEVLRLYPPFILLRRQTYKPMKLGDVVYPPGVLLLLHVIFVHHDPNLWGKDVSEFNPERFAEGVSKASKEQVAFFPFGGGPRICIGQNFALLEAKMGLSMILQHFSFDLSPSYAHAPHAVFTLHPQHGAQIRLRKLSSTW >Ma05_p29920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40473696:40473978:1 gene:Ma05_g29920 transcript:Ma05_t29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPLPPGCNGGGFSNIPNPTGSSANFSSQGCADSALQFLHAWVWKKGSFTSEGEGDLN >Ma05_p29480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40145725:40148067:-1 gene:Ma05_g29480 transcript:Ma05_t29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSTRFLTAVAFLAVGVVFAPDVLGSGPESPAGAITAVKLCHLLAFATAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFTVVSVCAAVSVAAFAYLHPWRSASSIDKYQLGFLLSALGFDLSNLIVFTPMTIEMMKKRHKVERDLSIGEEIGWSKNMEVAKTNPQLAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLAGKIQL >Ma05_p16440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16540877:16542537:-1 gene:Ma05_g16440 transcript:Ma05_t16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARAYADVNVVRPKEYWDYESLTVHWGSQDVYEIVCGRLEEGSTVRSLKA >Ma01_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:16570787:16579345:1 gene:Ma01_g20110 transcript:Ma01_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEISERVYGRL >Ma11_p09370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:7629622:7630213:-1 gene:Ma11_g09370 transcript:Ma11_t09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTSIFHNRGGAGHTVAEYMPREALAAYQRWIDGADSL >Ma08_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5124469:5125927:-1 gene:Ma08_g07490 transcript:Ma08_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHELHDLIAERKPRFTSVSDLLANQALFMGQRYRFVPAACPEQYGMFMPGTGSEVGLPPQPPPPPPPATECFEFSGGGGGGGGQGRWPRQETLTLLEVRSRLDSSFREAARRGPLWDEVSRIMAEEHGYRRSGKKCREKLENLYKYYKKTKEGKAGRQDGKHYRFCRQLEALYGESSNIVATEINQRCSDHATNAAATLPAADRGACKAPKLSWSISLSSSGECNEASSTEEEVDGSTGRLIKTGGESWKSKVEEFIGAQIKRLMEAQATWMNQMLKTLEHMELARISREEDWRREEAARLDRERILRAGERAWSEARDAAIVQALEKMSRRELKPRPREETNGNFWTDDNSMAPRWPSREGRRGEGGLGVEVPAAVACVGCSSSSTERCKEKWDE >Ma09_p03660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2427077:2430356:-1 gene:Ma09_g03660 transcript:Ma09_t03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQVAATCTRWSQLSPTNSLNSSQVAAAVSPLGSSKRMGAAAGVCDCVLASAFLGAGSGKLLRARSSETHRGRGRRSRQQALRRPFSASLEHFAAQGGDEEEEEEFLQRLEGLALELQQERADGSEDSVAHSESWRSEEGDASGSFSCFGSEFSPVISPVPFSSTELQWLPVLPETPDWPDQIVPPSVERSANSVELPLSLRIIKRKKRREDGWFRETGESACCSVKRAFSSMVFMIRELQSYTLQMREVLCREDLQGILARVKREMNSSFVWLFQQIFSCTPTLMVSIMLLLANFTVYSMGHLDASAMSAPDPPTQQSLVETVVVEERRQSHHNQSSIVKTFSSTGRTASIGGSGAGGGGKARPVAGATGDGQPDGGSSAYSTILPDGISTASGAVNAEEGQQSATEDGALVAVAAARAEEEARVWKGIREEVASIQSSTRDEALMDPETVRRLVSPVAVELEPDDYSGYLRTEIMYQHALSQDPENALLLANFAQFLHVVHHDHDRAEYYFKRAAGLKPADAEALGRYASFLWLARKDLEAAEETYLEAIAADPGNTVHAANYAHFLWSTGGEDTCYPLDDGNNDAW >Ma04_p04250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3241300:3242491:-1 gene:Ma04_g04250 transcript:Ma04_t04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDDDAGVEIRDVWADNLEAEFAVIREVVDDFPFVAMDTEFPGVAIRPLGDFKTVADSNYHILRANVDLLHLIQLGLTFSDAEGNLPATATGGRPIVWQFNFREFDVDRDISNPDSIDLLRKSDIDFKRNREHGVDANRFAELFMASGVVLNDSVRWVTFHCAYDFGYLLKILTCRRLPETREGFSELIRTFFPVMYDIKHLMRFSNSLHGGLNKVAEQLEVDRVGTCHQAGSDSLLTARAFRKLMEKHFDGSIDRYAGVMYGLDIE >Ma08_p03150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2362215:2365847:-1 gene:Ma08_g03150 transcript:Ma08_t03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAKVVRLRSHHDKFLVAEEEGEHVSQERDGSARGARWTVERVDGAPHALRLRSCHGRYLTATDEHFLLGVTGKKVRLTLPPRLDSSLEWEPLREGVQVKLKTRYGNFLRGNGGLPPWRNSVTHDVPHRSSTQDWILWDVHVVEILPDPPPSSSSTSPSSSPRLSNLESSSSFSSPLHKVEGRSIYYTVADDDGNVDDSIEWPHLTFNGTSVPEVTEKLKEETDLDDIIVCTKNPLNQHLIPLHLHLPPNNTTMRLVVVDANSKAAKKFNI >Ma03_p00530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:448419:459793:-1 gene:Ma03_g00530 transcript:Ma03_t00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALMNLLRACWQPSDRSVHSGSEVVGRQDGLLWYKDSGQHINGEFSMAVVQANNLLEDQSQIESGPLSLLDTGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFAAEQQAMSADVIRKAYQATEEGFFSLVNKQWPMTPQIAAVGSCCLVGVICGSMLYTANLGDSRVVLGKLVKATGEVLAVQLSAEHNAGIESVRQELHSMHPEDKQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSSEPSISVQLLQPQDQFLIFASDGLWEHLSNQEAVDIVHNGPHSGSARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDDNLVSRASSHRGPTLSVRGGGFAPAPNSLAPCTTPSEPRAS >Ma08_p31980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42733760:42734025:-1 gene:Ma08_g31980 transcript:Ma08_t31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDALLAAEELPEEYRDRCQDILCNDCGKKGTYRFHWLYHKCGFCGSYNTRVIKTDTTSCFT >Ma06_p28530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30186925:30187681:1 gene:Ma06_g28530 transcript:Ma06_t28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDTIRCCISCILPCGALDVIRIVHASGRVEEISGTVSAGDIMQAYPKHVLRKPPSASLDGVEAPKAVILPPDAELQRGKIYFLVPVASSAPVKETTAARGRRRRRKKEGESNAATADKTMLLLNERYLSEILSEKASSYRDKRRGRVGVWRPHLDSISEGSNEL >Ma09_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34684769:34685365:-1 gene:Ma09_g22780 transcript:Ma09_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHHHLNYLHSSYDFSTKTNSSTLKFKAFLRSYLVPHIRHVLQALTNAKSMVVELVNRRSTRTGIYRIKRRRRSKKELFSSIKPYLHRPSSHITPIPDPPETEEFDVSQCLYYDSTWNSMISTDDMGCDMDPPASEHLHWLDEKTAEASTGDDDDGCGGNEIDRLAEKFIANCYEKFRLEKQESYRRYQEMLARSM >Ma04_p33290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32991508:32995217:-1 gene:Ma04_g33290 transcript:Ma04_t33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFFGRVLFASVFLLSAYQEFSEFGVNGGPAAKALKPKFNLFMKHTASRIGIAVPHVEMRHVIASTIFLKGFGGLLFIFSSSFGAYLLLVYLAFITPIVYDFYNYDIEKAEFVQLFSKFNQNLALFGALLFFLGMKNSIPKRQKKKVTKTKTN >Ma07_p17880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:22516092:22519398:-1 gene:Ma07_g17880 transcript:Ma07_t17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPSGKDFIDIILSRTQRQTPTVVHKGYAISRLREFYMRKVKFTQQNFHEKLSAIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQVNTARNIIGKIAKDYVRLLKYGDSLYRCKSLKVAALGRMCTVIKRISPSLAYLEQIRQHMSRLPSIDPNTRTILICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLKAAVLFFLDISGSCGYSIEQQAALFHSIKSLFMNKPLIVVCNKIDLQSLEGLSEEDMKLVTEMKAEAAKTIIAQGGDSNDEGVLLTMSTMTDEGVIAVKNAACERLLNQRVEIKMKSKKINDCLNRFHVAIPKPRDTKERPPCIPPAVLEARAKANEEKEKRKLEKDLEEENGGAGVYSASLRKHYILADEGWKEDLMPEILDGHNVYDFIDPDILLRLEELEREEGLRLDAEADGGDFEMDGEELTEEERGLLAEIRRKKNLLIQEHRMKKSTAESRPIVPRKFDKDKKYTSERMGRQLSALGIDPTAAINRARGRSLSRKGRKRERSLGKEGEDGEAMDVDDEQSNKKLRTRSRSRSASRSRSKSRPPGEVTPGEGFKDSAQKLKALKIAKKSVKVRNKAARKGEADRVIPNLKPKHLFSGKRSIGKTSRR >Ma08_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36266911:36274758:1 gene:Ma08_g22760 transcript:Ma08_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTASSSSSSLLHVSALAFAFPLRRPPPTSLAIPVIRRPTVPSRSRPRTLKCFSQKQSVQEFERLFSNLNQATMKRVPSKNPQMLLSGSVTSGILLVAGTTVGARILEIPAVTQEAGFLASAITCILCLILDGLLIAEVNVNTMCELGSGGVSLVSMAMRTLGNFGVQAACWSYIFIHYALLVAYVAHSSEILTNTFSTPPGTVNFLFSTPASYRCNKWVSGAWHRCVFYISCVASGGLQWQSLHQAHFEAIPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRTAVVLGTAIPLVLFLIWDAVILGTIPNLDTTGALTDPLQQLHYENGIPIVEVFSFFAIATSYIGFVLGLSDFVSDLLKLPSGQSKPLAYFLTHHWCCHCLIQRYFLKLWTSQEHMEFWCSLECFLLQCLGRRDTQSHL >Ma07_p02660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2111802:2112347:-1 gene:Ma07_g02660 transcript:Ma07_t02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARNLFRRHCDSYGSFFDQTGLQLCERSDLMAEKASSNTGSNEQERAASGEAAGSYQGWLQLGTGASRAGVAPGDPAAGTSSGTGERPQPQPPPGLTRLAPSRPTLTASLGHHPWWFWSPRPTASGATMAPPPMPSRWGMEPSEFLRPSLAIGSHIRVVSPPPRPQAGMWLLLKAAQNQ >Ma06_p31240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32416657:32423456:1 gene:Ma06_g31240 transcript:Ma06_t31240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPLDYESLNENVKKVAYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPHVGILFPADAIARAKHYLSLTSGGLGAYSDSRGLPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQILNTVIRNEKDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDINHLRQSVAAARMKGITVRAMVIINPGNPTGQCLSEANLNGLLKFCFQENLVLLADEVYQQNIYQDERPFISARKILLDMGPPMSKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPAKTVDEIYKVASVSLSPNVPGQIFMGLMVNPLKPGDISYLRFVAESTAILESLKRRAHIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKSAGKAPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMTSFKKFNDEFMEQYEDYRGYSRM >Ma06_p31240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32416446:32423456:1 gene:Ma06_g31240 transcript:Ma06_t31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPLDYESLNENVKKVAYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPHVGILFPADAIARAKHYLSLTSGGLGAYSDSRGLPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQILNTVIRNEKDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDINHLRQSVAAARMKGITVRAMVIINPGNPTGQCLSEANLNGLLKFCFQENLVLLADEVYQQNIYQDERPFISARKILLDMGPPMSKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPAKTVDEIYKVASVSLSPNVPGQIFMGLMVNPLKPGDISYLRFVAESTAILESLKRRAHIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKSAGKAPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMTSFKKFNDEFMEQYEDYRGYSRM >Ma06_p36140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35713507:35714709:-1 gene:Ma06_g36140 transcript:Ma06_t36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSAPVYMDPPNWNQVSANTTFFFFPFELLIHWLLHQKSKLKGEDIRVLFLISSRIYHSGGEAPLLPPGLAGPPPEAGAAAAGSSRPLSMTERARVAKIVQLPEHPLKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRNKSSGSSSKSTATASTADRQDGTSSSSCMATGAGGAIPPSIPQPGQLPFLASMHGLADYDASNLGLNFPGLPPMDAPDYHVGSNSSVGIEQWRLPQIQQLHLLGGSEPPQPPAVQLMPGLFHVNREGERDSTAGRVFPKLSGSSLITQLSGDWATDLSAFNSSSSGNVL >Ma06_p20990.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15100120:15108744:1 gene:Ma06_g20990 transcript:Ma06_t20990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAYVLVLFLALATLGSRSRSRAIAGDCPLDFSWSNISLASSACANQNERGKCCRYLNAIVAISIAQFANATGRLGVPPAVTEGCLSSIPETLSLYGIPASASVFCGLGPKIRVSYQCQGRATVLEMMQSPNFSDVIETCNVPLSLDNSCKRCLNSGIIYLHHLIATDDNITLSVCRDAVFVTLANQGGNFSAVDMAACFFGVQGLNIFPVSPSGSLAPTSSPKFTSSPSSISARAPRQQLNASPMNKIRHTYHLTLVPGIGVGVIGLTVLLLLVLILLIRKKSRELKNANVPTENSWNAFPPNQVRRCQEGPSAMFRRFAYKEMKKATENFSTVIGKGGFGTVYKAQFVDGPIAAVKRMDKVSKQGEEEFCREIELLARLHHRHLVALKGFCAERNERFLVYEYMENGSLKDHLHSSGRKRLSWRTRLQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDEKFVAKVADFGLAHASRSDAISFEPVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVSGRRAIHENRNLVEWSQKFLATDSRLPELVDPATGDSFDFEQLHMVVELIKWCTHKEGRARPSIKQVLRVFSEHLDPVQNGFSENEEGDGYVGGRSSEARIHRNEVIPYSGDVRCLQSSSSTSRSYCSRSVLLESGSPQSPPVEYDLLEKC >Ma06_p20990.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15100120:15108744:1 gene:Ma06_g20990 transcript:Ma06_t20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAYVLVLFLALATLGSRSRSRAIAGDCPLDFSWSNISLASSACANQNERGKCCRYLNAIVAISIAQFANATGRLGVPPAVTEGCLSSIPETLSLYGIPASASVFCGLGPKIRVSYQCQGRATVLEMMQSPNFSDVIETCNVPLSLDNSCKRCLNSGIIYLHHLIATDDNITLSVCRDAVFVTLANQGGNFSAVDMAACFFGVQGLNIFPVSPSGSLAPTSSPKFTSSPSSISARAPRQQLNASPMNKIRHTYHLTLVPGIGVGVIGLTVLLLLVLILLIRKKSRELKNANVPTENSWNAFPPNQVRRCQEGPSAMFRRFAYKEMKKATENFSTVIGKGGFGTVYKAQFVDGPIAAVKRMDKVSKQGEEEFCREIELLARLHHRHLVALKGFCAERNERFLVYEYMENGSLKDHLHSSGRKRLSWRTRLQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDEKFVAKVADFGLAHASRSDAISFEPVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVSGRRAIHENRNLVEWSQKFLATDSRLPELVDPATGDSFDFEQLHMVVELIKWCTHKEGRARPSIKQVLRVFSEHLDPVQNGFSENEEGDGYVGGRSSEARIHRNEVIPYSGDVRCLQSSSSTSRSYCSRSVLLESGSPQSPPVEYDLLEKC >Ma06_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15100120:15108744:1 gene:Ma06_g20990 transcript:Ma06_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAYVLVLFLALATLGSRSRSRAIAGDCPLDFSWSNISLASSACANQNERGKCCRYLNAIVAISIAQFANATGRLGVPPAVTEGCLSSIPETLSLYGIPASASVFCGLGPKIRVSYQCQGRATVLEMMQSPNFSDVIETCNVPLSLDNSCKRCLNSGIIYLHHLIATDDNITLSVCRDAVFVTLANQGGNFSAVDMAACFFGVQGLNIFPVSPSGSLAPTSSPKFTSSPSSISARAPRQQLNASPMNKIRHTYHLTLVPGIGVGVIGLTVLLLLVLILLIRKKSRELKNANVPTENSWNAFPPNQVRRCQEAMFRRFAYKEMKKATENFSTVIGKGGFGTVYKAQFVDGPIAAVKRMDKVSKQGEEEFCREIELLARLHHRHLVALKGFCAERNERFLVYEYMENGSLKDHLHSSGRKRLSWRTRLQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDEKFVAKVADFGLAHASRSDAISFEPVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVSGRRAIHENRNLVEWSQKFLATDSRLPELVDPATGDSFDFEQLHMVVELIKWCTHKEGRARPSIKQVLRVFSEHLDPVQNGFSENEEGDGYVGGRSSEARIHRNEVIPYSGDVRCLQSSSSTSRSYCSRSVLLESGSPQSPPVEYDLLEKC >Ma06_p34040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34451233:34452273:1 gene:Ma06_g34040 transcript:Ma06_t34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNASSGGCEAAALTVWRKSLLFHGDGFTVFDAKGNLVFRVDNYASGSKGEIVLMEADGKPLLTIRRKKLSLGEHWLIYQGEEVANPRFAVKKQVNLISSRSVVHVTSCSSRAKSCLAYEVEGSYSRRCCAVYDDGRRQLAQIKRKEPVGGVAFGADVFRLIVEPGFDATLAMAIVILLDQMFGSRGSLLRV >Ma04_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26065716:26067138:-1 gene:Ma04_g24000 transcript:Ma04_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMKLRMPCLLLYWTLLLLACTTKQSAEAASFIYAGCSPNKYDPITAFQNHLNSLLTSLVSGASLASYNSYTSGDDSGSPPGMAAYGLYQCRNDLSAGDCSACVQSAVSQLGLVCPGSFAASLQLDGCFVRYSNEDFLGKPDTTMVYRKCSTVTSGDEAFFQRRDDVLADLQNGVSFRVSSSGTVQGVVQCLGDLNGADCSACLSQAVGQLKNACGSALAADVYLAQCYARYWASGHYFHTSADYTDGDDDIGRIVAIIVGILAGLALVVVFLSFLKRACKFSDPHRLLL >Ma05_p00680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:414684:419792:1 gene:Ma05_g00680 transcript:Ma05_t00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCKQRNLPISSRKKNSSFPVLQAYKGILPLQRRHAILSFPLEKQLFRGILSHAVSKTMASMSPLFMIWFLVGLSGAVGELGDGTTKPGMVNVGVLFTFNSTIGRAAMVGIQLAIEDVNADSTILAGTQLNVIAQDTNCSGFVGTIEALRLMEKKVVAVVGPQSSGIGHVISHVVTELHVPLLSFAATDPTLSPLEHPYFIRTTHSDYFQMNAIADLVEHFGWREVTAIFVDDDYGRGGVIALGDALAKKRSRISYKAGFPPNAGPTAINDLLVRANLMESRVFVVHVNPDTGMNVFSLAKNMGMMATGYVWIATDWLASTLDSVVQPDPNAMSLLQGAIVLRHHTPDSASKRRFTARWNAMIRAGNASSGLNSYGLYAYDSLWVVARAIDRFLSAGNTINFSADPRLHEANGSTLHLSTLRIFDGGESLLQQLLLTNFTGLTGQIEFDSERNLIRPSYDILNIGGGPRLIGYWSNYSGLSVIAPEILYQQPPNTSTTSQQQLFGVVWPGETTAPPRGWVFPNDGKPLRIGVPNRASFKEFVTNSSNSDDLGGFCIDVFNAAIKLLPYPVPCSFVLIGDGSRNPNYDEIVNMVARNELDAAVGDIAIVRNRIKIVDFTQPYTESGLVIVTRVRGSSSSAWAFLKPFTLEMWCATGAFFLVVGAAVWILEHRENPEFRGTPKQQIATMFWFSFSTMFFVHRENTVSTLGRFVLIVWLFVVLIINSSYTASLTSILTVQQLSSGITGLDSLLSTSDPIGYQEGKFARNYMIEDLNIPESRLKPLNSPEEYAKALELGPKGGGVAAIVDEIPYVEILLSVYCHFRIVGPEFTKNGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSRTECSSQDTDLEANRLSLSSFWGLFLLSGIVCVLALIVYIIKTSCQYSKFSSTEAGKSKENVEVSSNRKDPKLSKLKSFKNLMHFVDTKEEEIDNVIKRRLSDKQQQQGASTSDNGPSTSHA >Ma03_p07910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5656131:5671488:-1 gene:Ma03_g07910 transcript:Ma03_t07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDAAQPSPSPSLSLDSLPTTPRGRAASSGPAFGFGSSHSPSPLSLSRFSASRGAPDSCGGWWRTSLPRLRSLLGSRGGDRRMRRKRVVGGGGVGAAAGSKGWHRRRTRGIVIWGGVVVFFFLMNWWMFSRLQDTPIRLLRRNPGSSNVSVVSLSRPSILEKWNIYGNGQRSTGVMFSRLLGLAAHALAEADSRPEPQDLWQEPIGPTTWKRCADERNWERCDGHNGYIIVSANGGINQQRVAICNAVAIARLLNSTLVLPRFLYSSVWRDKSQFGDIYQEEHFINYLKDDIPILKALPSELQSLDLEAIGSIVTDAEIMKEAKPSFYLKQILPILLKNRVVHFVGYGNRLAFDPVPFELQRLRCRCNFHALRFVEKIQETGALLIQRMRHHVSHSGPLEHNLLGSFAEKLTMKGNKIVPSRYLAVHLRFEIDMAAYSMCHFGGGKDEEDELEAYRAVHFPALTLLKNTTKVPSAAFLRSEGKCPLTPEEAVLMLASLGFKRKTSIYVAGAQIYGGKLRMATINSLYPNLATKESLLSSSEIEPFRNFSSQLAALDFIVCAAADAFAMTDSGSQLSALVSGYRMYYGGGNLPTIRPNKRRLASIFLKNSTIEWKEFEDRVRKTIKQTKQIHERPIARSIYRHPRSPECMCMVE >Ma03_p07910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:5656867:5671488:-1 gene:Ma03_g07910 transcript:Ma03_t07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDAAQPSPSPSLSLDSLPTTPRGRAASSGPAFGFGSSHSPSPLSLSRFSASRGAPDSCGGWWRTSLPRLRSLLGSRGGDRRMRRKRVVGGGGVGAAAGSKGWHRRRTRGIVIWGGVVVFFFLMNWWMFSRLQDTPIRLLRRNPGSSNVSVVSLSRPSILEKWNIYGNGQRSTGVMFSRLLGLAAHALAEADSRPEPQDLWQEPIGPTTWKRCADERNWERCDGHNGYIIVSANGGINQQRVAICNAVAIARLLNSTLVLPRFLYSSVWRDKSQFGDIYQEEHFINYLKDDIPILKALPSELQSLDLEAIGSIVTDAEIMKEAKPSFYLKQILPILLKNRVVHFVGYGNRLAFDPVPFELQRLRCRCNFHALRFVEKIQETGALLIQRMRHHVSHSGPLEHNLLGSFAEKLTMKGNKIVPSRYLAVHLRFEIDMAAYSMCHFGGGKDEEDELEAYRAVHFPALTLLKNTTKVPSAAFLRSEGKCPLTPEEAVLMLASLGFKRKTSIYVAGAQIYGGKLRMATINSLYPNLATKESLLSSSEIEPFRNFSSQVTVCITEEVIFRQYDPINEG >Ma08_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36567538:36569826:1 gene:Ma08_g23150 transcript:Ma08_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRVFSLLPIALLISVLAVQTSVHGIGVNYGLVADNLPQPSAVVELYKSNNISSMRIFNPNHDVLEALRGSNIPLIVGVEHNDLQSLASDISAATNWVQTNIVAYSPGVSFSHIAVGNEIIPGELAQYVLPAMQNIQTALDSAGLPVNVSTSIALSVLGSSYPPSAGAFTPEAQTYMEPILSFLATSGSPLLVNVYPYFSYKDNPDQIALSYALFTSQDVVVIDGPYGYKNLFDAMLDATYAAMEKVGGADVAVVVSESGWPSDGGFAANISNAQTYNQNLINHVGEGTPRRPTPIEAYIFAIFNENQKEEGTERNFGLFYPDTNPVYPISFSPSQQPPLDAISSNDFDVKTGGRECSVDVLHGGEDVLRQISGDDLVPDGYVAEGDAGQVGLCAERSEARDWRSPLSEGIR >Ma04_p05430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4044526:4057746:-1 gene:Ma04_g05430 transcript:Ma04_t05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRAYKLQEFVAHSSSVNCLKIGRKTSRVLVTGGEDNKVNIWAIGKPNAILSLSGHMSAVESVSFDSSEILVAAGAASGSVKLWDLEEAKIVRTLTGHRSNCIAVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHSRGVNAIKFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKFHEGQIQCIDFHPHEFLLATGSADRTVKLWDLETFELIGSAGPETSGVRSMTFNPDGRTLLCGLHESLKVVSWEPIRSHDTVDVGWSRLSDMNIHEGKLLGCSYNQSCVGVWVVDLSRIEPYAISGAARSNGHSEPKSTSSGNPSTQTDNNIKSSMGRLSISQSSEANAKETKPEASAASIPGTPQRTGTNAGVKTTTTAPTPVSTTSKRSSSKAQATTNLQTINKSDIIPVIVPRTNPRGELSSDSTKVTGVGRTIPYDIQSKFANFRKVSNIRENSDKADMSIESGLVGNRNTEQNEYLGQTSISSANAVTQLVTAGENNQDGVRRVITGRGRLNSFRESTANYDQENYNIKIEKPKEVCPIDVPQRGRTRSIASNWERRECSPSYEGPVSSNSSETMMATSSLYSLRGHNRFAEETVPASDEDSISILLEKHDQFLNLTQSRLIKLQVVSRLWERNDVKGVVGAIEKMSDYAVSADVLGCLKDKGDIVTLDICMSLLPLLTGLLESNMDRHVGVSLGMLLTLVRIFGPVIHSTLSAGPSVGVDLQAEQRLERCNSCFIELEKVKHRISSMSRRGGSIAKAAQELNLALQEVL >Ma06_p03460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2533477:2537903:1 gene:Ma06_g03460 transcript:Ma06_t03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDARQYDAKMTELLTADGQEFFTSYDEICESFDDMGLHENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIRQFYVNVEKEEWKLDTLCDLYEALTITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLVTTDLLARGIDVQQVSMVINYDLPTQPENYLHRIGRSGRFGRKGSAINFVTRDDERMLFDIQRFYNVVIEELPSNVADLI >Ma09_p29110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39661666:39666054:1 gene:Ma09_g29110 transcript:Ma09_t29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLQFFPPSSPIVSARLHPVVLFNICDCYVRRPDQADRVIGTLLGSVSDGVVEIKNSYAVPHNESADQVALDVEYHRNMYMSHLKVNPKEVLVGWFSTGFGVSGGSSLIHDFYVKELKDSVKDLKDAQNSVPPLHLTVDTGFRNGEASIKAYVSVNLSLGDRPLAAQFQEIPLDLRMIEAERVGFDILKTTVVDKLPNDLEGMEASMERLYALIDDIYKYVDDVVEGRAAPDNDIGRFLADTLALVPKISPAAFDKVFNDRIQDNLALVYLSSLIRAQLGIAEKLNTAAQIL >Ma03_p04910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3244842:3245408:1 gene:Ma03_g04910 transcript:Ma03_t04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:Projected from Arabidopsis thaliana (AT2G28740) UniProtKB/Swiss-Prot;Acc:P59259] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Ma06_p25810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26335572:26335769:-1 gene:Ma06_g25810 transcript:Ma06_t25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRQYIGWVKEPFPWLDLWPETRRYKKVHQSQGSIINPILWIQQQTIEVLSCLVFDLILYIYR >Ma01_p02120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1418877:1421705:-1 gene:Ma01_g02120 transcript:Ma01_t02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKLGVEVVSAHDLMPKDAQGSSSPFVELQFSGQRFRTTTKERNLNPVWNERFHFNIPNLGSLPQLALEASVYSMSKASQPRSFLGKVSISGTTFVPYADAVVTQHPLEKRGMFSRVKGELRLKVFLTVDPSPNSSDPVPKGDTPSQASQAAAKEVDQKKQSSAPAKEQQNPKVVMFSSSSPSQQPLDYALKETRPLLGRGADKPATTYDLVEQMQYLFVRVVKARDLPSGDAKGSVEVRVGDYKGTTKQFEKQQNPAWNEVFAFSKERMEAPVLEVVVVVNGKDDPVGVVRFDLTDVPTRVPPNGPLAPEWYRLDDKKGEKTKGELMLAVWFGTQADESFPEAGLPDATAPVDASHIRSKVYHAPRLWYVRVNVIEAQDIVMADKSRFPDVYVKAQVGNQESRTSTVATRAHNPIWNEDLMFVAAEPFDDDLILSVRDSVAPNKDEVIGSAIVPLGSVERRLDDGIIHGRWFNLEKPHQKKNKDKDKISCRIHLRLCLEGGYHVLDESASCSSDLRPTAKQLWKPPVGLLELGILNAMGIHPMKTREGKGTSDTYCVAKYGHIWVRTRTVLNSLFPRYNEQYMWEVFDPDTVLTIGVFDNCHLGEKGSNGNNKDAMVGKIRIRLSTLETGRVYTHSYPLLVLQPSGLKKMGELQLAIRFSTTSLVSMMCMYAQPLLPSMHYVRPLTGMQREFLRRQAIQVVAARLSRTEPPLRKEVVEYMCDVDSHKWSMRRSKANYHRLLSVVAAAADGIKWFGDVCAWKNPITTSVVHLLFLLLVCFPDLILTAMFMYLFLVGICNYRYRPRHPPHMNTQLSYAEAAIPDEIEEEFDTYPSGGKPEAVRMRYDRLRGVAANVQTVLGELASQGERVQALLSWRDPRATVMFVVFCLVAALVLYTTSLQVLATSAGLYLMRHPKFRGRVPPAPVNFFVRLPTRADCMI >Ma03_p31550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33737295:33741662:1 gene:Ma03_g31550 transcript:Ma03_t31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVPCPKCSVIPRNWATKSSPLASFSNPPPSSVSGSAWLPSSKDSTSRHYPILKAHFQEVTVETSSNSSPIAWTKSETLPIKIKDAGVVEESGGPNEVTDSTISTFMAEVSSLVKLVDSKDITELHLKKDGCELLIKKHEALPQPPVAASPVIMHYPQAMLPPQPTNPQAVPPAQVNSAPALPPPSATAGSKSSIPPLKCPMAGTFYRCPAPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIESDQSGTVVEIIAEDGKPVSVDSPLLVIQP >Ma08_p25030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38041360:38055848:-1 gene:Ma08_g25030 transcript:Ma08_t25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTALSKLLLSNPWRRICYQHKAYILERCVPSNDFSTRNNPRRPMRGERRRDDRSEDIFLRGLNFGDDDGVNGPQRAHREAFPDRPYDGPSLRGAQQRKKEPPLREEDGSDGAADDLLVDFDLADRTGRVPPGHTRNSVRRDPPREGFGPSPQSQFKDFGGDYFEGSGSPQQKARPPSADGHRVDKSDVVDQTPPTVAKSAAEEAPPEDADEIFKKMKETGLIPNAVAMLDGLCKDGLIQEAMKLFGSMREKGTMPEVVIYTAAVEGFCKAARFDDAKRIFRKMQKNGTAPNAFSYKVLIQGLCKGKKLDDSVEFCMEMLDAGHSPSVTTVVDVVDGFCREKGVEEAADVVKRLRERGFVLDLKAVSEHLDKKGPFSPMVFEAISGKKDL >Ma04_p04010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3087207:3091592:-1 gene:Ma04_g04010 transcript:Ma04_t04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHNYDHGKSTSSKRQKLKELLLKSDNRICADCGAPDPKWASANIGVFICLKCSGVHRNLGTHISKVLSVTLDEWSDVQINSMIEVGGNSCANAIYEAFLPEGYAKPNSDSNYEERANFIRSKYELQEFLKPSLRIVPSKNTSTTCGSGKDSDSVSGSASVNKTSQAGMVEFIGILNVKVIKGTNLAVRDVRSSDPYVVLTLGQQRAQTAVIKSDLNPVWNEELKLSVPQNYGALKVQVFDQDVLSSNDIMGEADVNLQPMITAATAFGDPDLLADMQIGKWLQSNDNALIRDSTINIIDGKVKQEVSLKLQNVESGGIDLELEWIPLAQ >Ma04_p04010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3087207:3091590:-1 gene:Ma04_g04010 transcript:Ma04_t04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHNYDHGKSTSSKRQKLKELLLKSDNRICADCGAPDPKWASANIGVFICLKCSGVHRNLGTHISKVLSVTLDEWSDVQINSMIEVGGNSCANAIYEAFLPEGYAKPNSDSNYEERANFIRSKYELQEFLKPSLRIVPSKNTSTTCGSGKDSDSVSGSASVNKTSQAGMVEFIGILNVKVIKGTNLAVRDVRSSDPYVVLTLGQQRAQTAVIKSDLNPVWNEELKLSVPQNYGALKVQVFDQDVLSSNDIMGEADVNLQPMITAATAFGDPDLLADMQIGKWLQSNDNALIRDSTINIIDGKVKQEVSLKLQNVESGGIDLELEWIPLAQ >Ma02_p10890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20323399:20325061:-1 gene:Ma02_g10890 transcript:Ma02_t10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIDPVSAFFLKLCLTNKSEESPVWYVNVITVLEVKFDDCVAHAIRLLYENNVSGAAIIDPADSASKKSMDRDIGFIEFSSMVLWSLEEIDKARAASKEGNHGFFDTLEKYYQIGQTKIIELTKSFLWEPFFPAHEDDTLFHVLLLFTKHHKLNVIPVIESSNSSIVGFITQHAVIQLLLQSSGLEWFDEIAEKSLSEYRLMNAAGVVSVFSDQSLADAVHVLWDKQLDGVLVVDRRSGTLLGCVRRSDIYLLLEDDSLFIRRKTFAVEEFIKVRYAASEQSRNRYTETEAPPAGLLRMKNARFLRLSNPAVLRKSDSLKKTMEIMAASMRESGFLDGDAGRLEGMVTLRDVILPFSPPSMDARVDGGGFFTSVLNQVGCHVNDGVMIRNR >Ma03_p22240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27011603:27019875:-1 gene:Ma03_g22240 transcript:Ma03_t22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFFRHAGFLNLKFDIDSLTQHFDSCRDFMHIVLVHYLEVKGHKPSFSRNREVEDVPQDNQVDSPVSSNSITNHSQLPSQATDVESPISLHTSEYEDAESADNYPTSSRYHPFVKMQQYDDGQMTGVQFVDSYVPDPSIDSQCGFQEIHDAEPKSDFYSVTQEDIGRVFNETGLGLTFIGSKTQLDLASWEEVLEHCATSHQMPSFQSSAGITEVTTEEISSKQTSVHADLYAENPGTRQDDSIISDKFEWQLSSADTDSAIISTINMENETSIDGSDKYTSLVKQPTLDLSRVQTEGLKKYDSFSRWMSKELGEVDESHTKSSSGAYWSAVGSDGIVEDSSISNHEPLDAYIMSLSLSQDQLFSIIDFSPNWVYAGLETKVLIIGTFLKTQDVENCKWSCMFGEVEVPAEVVGDGILSCHAPPHKSGRVPFYVTCSNRLACSEVREFEFRVSDPHCIENIDSCSNNTYEMLLHMRLDKLLSLGPLDSQSLDYRKKAHLGGKISLIKMEAADDTLPKISQENGYSADNAELLEMLLQEKLHIWLLHKSAKDDKGPNIWDEEGQGVLHLAAALGYDWAIEPTVTAGVNINFRDAHGWTALHWAAFCGRERTVGALITMGAAPGLLTDPTPEFPSGRTPADLASANGHKGIAGFLAESSLTSHLSSLTLDPKGSDIADVASLTGIDDAEQRALAVADGDMQAGLSLKDSLSAVRNASQAAARIYQVFRVQSFHRKKIESGGDKSAMSDERALSLLSIKSQKTGHSDMPMHAAAIRIQNKFRGWKGRKDFLIIMQRIVKIQAHVRGHQVRKRYKKFVWSVGIVEKVILRWRRKGSGLRGFRSEGVLEGTSMQCHLAKEDDYDFLQEGRKQTEARMQKALARVKSMVQYPEAREQYRRLLTVVTEFQESKALQESIMDVSEDAADAHLMVELEELLEGDTVMPTV >Ma09_p18920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19337395:19337658:1 gene:Ma09_g18920 transcript:Ma09_t18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSLRFMFASYDRVTQLFKWMLCRYHAEPADEFERAEQEDLFRRTLHESVAFHFWNGSTCALVPEPSSLVDKLLNQYRLHCLDVL >Ma01_p13220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9666632:9668799:-1 gene:Ma01_g13220 transcript:Ma01_t13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLGYRLFQLLMLPLVGAAASAAAAPPGCRRRCGEIDVPYPFGIGPNCSREGFSLDCNTTDDGLEKLFLSVVEITDISLPLGQARMLNEISWQCYNVSSKSLESSLWSLNFVGTPYRFSDDLNKFTVIGCDTLAYIGDFERADSYQSGCVSTCHNEVSLVNSSSCSGIGCCQTSIPKDLTYYEVWFDSDFNSSSIWNFSDCSYAVLLEANRFEFLTSYITTTQFWFNNNGKAPLVVDWAIGNETCEVAQRNITSYACISEHSECLNSSNGPGYLCNCSSGYHGNPYVAHGCQDIDECSDKDRYPCHGTCKNLPGSYNCFCPPGTHGDAFNGTCTQQQKLQSSAKVAVLAMA >Ma09_p26790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37971486:37976755:1 gene:Ma09_g26790 transcript:Ma09_t26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSATRFTAVAAGALRGLRWRCSPPDHHALLFSKRPGAVSLNHRRRFVAPSALHKADIQAKDEGQPETLDYRVFFLDGSGKKISPWHDVPLHLGDGVFNFVVEIPKETSAKMEVATDEPYTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFANAEVEGALGDNDPVDVVEIGERQAKIGEILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDADDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKAANKDYALKVITETNESWAKLMKRSVAAGELSLV >Ma09_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5215091:5219328:-1 gene:Ma09_g07950 transcript:Ma09_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELITLFLVEWRFRFCTLCKSVLGRAANVKDSYWLNTQHGFSTSGPDLVADAGSTSIGRFANYTVFKGKAALSMKPILPAIRELDSRSSRVYKNGSVILTFWPAVGQRKYDWEKKQVFALSATEVGSLIGLGPTESCEFFHDPSMKSSLEGQVKKSLSISPLGNDNGYFLNLSVVNNIQKTNERLSVPITKAEFTVIRTVLSYVLPHIMGWPQAMMRAQQPTTETKTSKSRPDPIFEWGR >Ma10_p27890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34954967:34966780:1 gene:Ma10_g27890 transcript:Ma10_t27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFRRRRRPPHPRSRRGAGSLLFSFLFVAVFFGLAYLAGAGDSEAANATEPLKGESAKEGSFADMIDRALQKEFPESEQNGGETDPGGFNNSVAEKQAVLETVARVTTKKNETKEEKSFQFHDVFNLDNENRAEDIPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFRFISEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAIVGGFLQIALFMCLCGVIASLCGGKTSEGIFVGAFLSMSSTAVVLKFLMEKNSINALHGQVTVGTLILQDCAVGLLFALLPVLGGTSGVLQGLISATKSLIVLCTFLAILSILSRTCVPWFLRLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLSQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIIVKTIVITLVVKGFGYSNKASLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLRWFTPDSNELGYKGDNIRSDSANKRVTLMIQGAHDS >Ma00_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12572324:12572485:1 gene:Ma00_g01850 transcript:Ma00_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCNGMQCVRDGCLVGHRSSLECSMLESMELFCRFRSFGFGDLGLMIQNCEI >Ma05_p25060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37109726:37110633:1 gene:Ma05_g25060 transcript:Ma05_t25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTSMITFSCDPFHATLRSGIHQLMMTLPPSVLEFCSSLTMAWWNARPCCLLPPEKWHSKSRSGRIDQRVLFGGVHAVVGTPGRVLDVLRRRYLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPSRVQVGLLSATMPPEALEITKKFVNKPVRILVKRDELTLEGITQYYVNVDKEEWKFDTLCDLNELVAISQSVIIVNTRRKGHHIIMREFRSGSSRVLVNYV >Ma10_p01890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5437876:5438939:-1 gene:Ma10_g01890 transcript:Ma10_t01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSDLKLLGSWASSYTHRVQLALKLKGLAFEYAEEDLADKSPSLLLYNPVYEKVPVLLHRGRPVLESVIILHYLDETWPEVPLMPADPYDRALARFWCHFVDDKLGPAVGAVFASPSDGQAAAVEQVHENLRLVERELREGAFAGRRRFFGGDKIGVLDIVLGCGSYWLAVFEEVMEVKLVDPEAFPLFHSWLRDFEAQEEVKAIIPAIDRLLEYARGVRQMMLGLSTRQQ >Ma03_p28300.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31417437:31421336:-1 gene:Ma03_g28300 transcript:Ma03_t28300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSGRKHSNLQSFLAHITPSVPAYPLPKTCIRDLNNLWQPVGKEKAEYFTLGDLWEQYSEWSAYGAAVPIILDNHETVLQYYVPYLSAIQIYTNKSLPCLRMLLEESESESFSDDSESDKMSKSWDALSEDSMISQDSSLPGKEILGQIYLQYVEYGSPYKRMPLVDKVNELAQHFPGLMSFKSVEMSPASWMSVAWYPIYPIPMRNVQDLSACFLTYHTISASFQDNVVPGDSAKDYCPMVAKTNKWEQKKGSNSVTLSPFGLSTYKMQGRIWRNPDTSDTEMMDNLYNAAQSWLMQLRVEHHDFEFFATH >Ma03_p28300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31417437:31421324:-1 gene:Ma03_g28300 transcript:Ma03_t28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSGRKHSNLQSFLAHITPSVPAYPLPKTCIRDLNNLWQPVGKEKAEYFTLGDLWEQYSEWSAYGAAVPIILDNHETVLQYYVPYLSAIQIYTNKSLPCLRMLLEESESESFSDDSESDKMSKSWDALSEDSMISQDSSLPGKEILGQIYLQYVEYGSPYKRMPLVDKVNELAQHFPGLMSFKSVEMSPASWMSVAWYPIYPIPMRNVQDLSACFLTYHTISASFQDNVVPGDSAKDYCPMVAKTNKWEQKKGSNSVTLSPFGLSTYKMQGRIWRNPDTSDTEMMDNLYNAAQSWLMQLRVEHHDFEFFATH >Ma06_p27900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29754798:29755749:1 gene:Ma06_g27900 transcript:Ma06_t27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKGFVGWLLLAEVLLGLLVDSTGAYVFYAGGRDGWVLHPSESYHDWAGRNRFQVNDTIVFRYKKGSDSVLVVTKQDYDQCDVSKPIQKLDGGDSVFKIDRSGPFYFISGAPGKCSQGQKLVVVVMAVRQPPSEPSVPSPASPPHIPPSPTPAASPSPSASSPKPSTRPPTPPPSAHAPASPPSTAPAAGSPPPTSSERGGFAPAPAPSGPAADSTSSSSSSSLNAFKMPTVLTVLVMLGASFLA >Ma08_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32001173:32016267:-1 gene:Ma08_g18530 transcript:Ma08_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKINHGEGRTRNSLSLIVVIGLCCFFYVLGAWQRSGFGKGDSIALQITKQTACTDIQNLNFETHHSGLGSLSDLGDSETKTFEPCDDRYTDYTPCHDQNRAMTYPRDNMIYRERHCPSDEEKLDCLIPAPKGYVAPFPWPKSRDYVPYANVPYKSLTVEKAVQNWVHYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIDNGTVRTALDTGCGVASWGAYLFKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGSIELPYPSRAFDMAHCSRCLIPWGANDGVYMMEVDRVLRPGGYWVLSGPPINWKVNYQVWKRTKDDFEEEQRKIEEIAELLCWEKIYEMAEFAIWRKRINAESCTQRQDEIGVNICETTNPDDVWYKKMQPCINRYPEVGNPEEFAGGELKPFPQRLNAVPPRISSGSVPGFSVKSYHEDIRLWQKHVETYKKINDLLDTGRYRNILDMNARLGSFAAAIESPKLWVMNVVPTIAEISTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYQNKCKMEDILLEMDRILRPEGAVILRDDVDVLMKVKKMVTGMRWNTKLLDHEDGPLVPEKILVAVKQYWIGGSKSDEQ >Ma08_p04230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2955427:2956670:1 gene:Ma08_g04230 transcript:Ma08_t04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSVPLLSEDAVPLQECRDLIASLPVDHRVVPVRQYQGFWWPESTLPGVIAVQRHFKSRPDDVFLATYPKSGTTWLKSLAFAVTTRSQYPLSDHPLLQINPHQCVHSLERMFNRGRSSEIDALPSPRILATHMLHSVLPDSIAASDCRIVYLWRDPKDVIVSAWHFGEKVLGTREYQAEWPVDKVAMAHCEGISTHATIWDHILGYWRARMHRPEKILFLRYEEMLAEPAANLKRMADFLGCPFSPEEEKAGMVEEIVTLCSFNNLSGLAINKPSSDVTDEGTKLQLLNNASFFRKGERGDWRNHMSPEVARRMDEMTMEKLQGSGLSF >Ma08_p29640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41166323:41167750:1 gene:Ma08_g29640 transcript:Ma08_t29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSTEIAVPLTEHDVERGETYALLSPRNPMPLKTIHLSNIDQTAAFPVETVFFYETTPDGAESTFDIIERVKRSVSEELLVPYYFMAGRVHFNVETKRLELVCNNAGALFAGATSSLSLKELGDLSAPNPSFQRLVLRAEEFGSLPETPIFTIQSVKVTRFRCGGFSIGFMTNHSILDGKSAVEMLDNLAAICRGEEPRNVKLHVDRSCIRARDPPQIQFEHAEYMKPTEASSLVSPDQPSPSSFVSMLSKNYEYEVASLSLDMIDGLKERATAGCSSFQAVVAHLWRARTRAVFDDPSETSSVLFAVDVRSKMTPRVPDGFVGNAVVMAMASARVAELTEQPLGFAVKRVREAIERVTDEYIRSAVDWLEANKGMPAIGHGNFIVSAWWKLPFHELDFGWGKPIYAGPVVSVMHECVLLLSDGKGGINIWLALEEEKMKSFLSYVYEL >Ma08_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8049145:8056714:1 gene:Ma08_g10920 transcript:Ma08_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELLEIDPLELQFPFELKKQISCSLQLANKSDDYVAFKVKTTSPKKYCVRPNAGVVLPRSTCVVIVTMQAQREAPPDMQCKDKFLVQSVIVDQGATTKDITTEMFSKESGNVVDEVKLRVAYVSPPQPPSPVPEGSEEGSSPRPSVSDNGNANASELLAATRAYAEDIQQEKTSENLALISRLTEEKNVALQQNSKLRQELELVRREVSKQQGGFSFMFVVIIALLGIFLGYLLKK >Ma08_p10920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8049139:8056714:1 gene:Ma08_g10920 transcript:Ma08_t10920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELLEIDPLELQFPFELKKQISCSLQLANKSDDYVAFKVKTTSPKKYCVRPNAGVVLPRSTCVVIVTMQAQREAPPDMQCKDKFLVQSVIVDQGATTKDITTEMFSKESGNVVDEVKLRVAYVSPPQPPSPVPEGSEEGSSPRPSVSDNGNANASELLAATRAYAEDIQQEKTSENLALISRLTEEKNVALQQNSKLRQELLKFVHCLIPVVKDNNYCKQACSGTCEARSQQTTRWFLIHVCGDHCLAWNFSRLLVEEVTMACSLLWTLIDLTATFY >Ma07_p10050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7515508:7522324:-1 gene:Ma07_g10050 transcript:Ma07_t10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLKTNFIRKLELVQNHTVLCNPSDRLWTVPRRLAYSRQRSACYRECHAYFSKIYPAKSCFYGRKSSRAFSISLGEEPSSLSEVENISDIDDTVPTDSSEEVLPQKLKSDELKALLVDTERKKLLKKLSEANQYNRFLKRQLLMEEDAIAKFKNELAVLELELQTLVSLAEEIANSGVQPDSRKINGRYIQSHLLARLEAIHGKVKEQIKDVDTLKFEVSLFWVGMAESVHVMGSFDGWTQGEEMPPEYTGDYARFSATLKLRPGRYEIKFLVDGEWKLSPDFPTIGEGIMQNNLLVVEQNN >Ma07_p27110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33617383:33619001:1 gene:Ma07_g27110 transcript:Ma07_t27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLHGKVKDWLNGYRPPLVCIRSSCTINTTEEPALFGRLVSAISKSFRMSQDLHILFFPFLAPGHSIPMVDMAKLFSSRGVKSTILTTTANAPLIQPTVDRANQSGHRHPITTSVIRFPAAAAGLPDGCENATHVTTEEAKLKFLQAVAMLRQPFEQALRRHNPDAVITDFFLPWSVDVTLELGLPCLVFQGTSLFALCAYQSIKRHKPLESLPSDAESFVVPGLPHRIEMLRSQQRGSSEAPSVLEFHRQVGEAVQKSDGVMVNSFQELEPEYAEHYRNVDGKKAWHVGPVSLCNKDVLEKFERGDETSIDFNKCMDWLDAKARGSVIYVCFGSISQFSTAQLREIAIGLEAADKPFVWVVREVGGDGAEWLPEGYEERVVGAGKGLIIRGWAPQTLILDHPAVGGFLTHCGWNSCLEGVSAGVPMATWPLFAEQFFNEKLIVEVLGIGIGVGVKEYAAREHEQKRKVVMAEAIAKTVARLMGGGEEAEGMRRRARELGEMAANAVEIGGSSYVHMGDLIEELIDRRNAEGL >Ma09_p22020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34005463:34009029:-1 gene:Ma09_g22020 transcript:Ma09_t22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDFLLLLQDGLKPIQVAALRDIRDVVEVLLPLTSPVPNISNWSTDGLIEFMQSAEASKEQASRREVDLPRSAILHKTEMVEVTPESKKRSVEAKSRGDDAFRKKDYQMAVDAYTQAIDLDPNEAALLSNRSLCWIRLGQGEQALADARACRALRPDWAKACYREGAALRLLQNFDEAASAFYEGVKLDPENKELVDAFRETVEAGRRFHGTEQQQKQ >Ma05_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27404723:27406554:1 gene:Ma05_g19270 transcript:Ma05_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAMMSCNPSERPTGRVLSIIKSSPYRGTVIGLLALNPWLPEGEENGRELDYVQLMPTDAKLPMMVITVESLPGCAKKRLINDDVSIERELVAAQIEESKEGSVCPKAQVIRMLGRAEEIGPQISAVLFKHAISAADFSPESLSYIDDTGNITGLWIDRSIIHSCCKLSYDDVQDIIDGGFEVDVSGKTVPKLHGQFENGAFWIETPKLVFLLDESGNPNDSFLGVRKESSCLVEELMLLANGSVAEVISKAFPGCAIHRRHAEPMSMKLKEFQEFCRKRGLELDVSSSGKLQLALPKMREKLKNDPVLLQILLARAARTMQLAGYFWTGDLRGREDEWAHYGWSIPLYTHFTSPLRRYADIIVHRTLAAVVEAEEVYMEKRQSIVAYCNERKSASKHAEQSARKCLSLGFAEEQGGNFIYFFHLWYFIFLKNK >Ma03_p25930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29844215:29845259:1 gene:Ma03_g25930 transcript:Ma03_t25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWSSPVVDPEKALLKRNKSLTKEEIDSFWRLHRPTKEEEEDFQASLSSPRTPQESDGLRLKKTVMGFPDIPDMEKPPKTGDWWTRSNWAFLNEPPRDELSDSAHKYTAQFHVADLAVKKS >Ma02_p07360.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18073253:18076545:-1 gene:Ma02_g07360 transcript:Ma02_t07360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAGLLKVIIVQGKNLAIRDFTSSDPYVVVKVGNQSAKTKVINSCLNPVWNEELIFTLKEPLGILNLEVFDRDRFKSDDKMGHAFLDLQPLLSASKLKRALQLTSGETKLRKVAPDCDNCLLASSCITYVNGEIVMDACLRLCDVESGELYVTIKWIDHPSSAVAPVKKA >Ma02_p07360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18073253:18076479:-1 gene:Ma02_g07360 transcript:Ma02_t07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAGLLKVIIVQGKNLAIRDFTSSDPYVVVKVGNQSAKTKVINSCLNPVWNEELIFTLKEPLGILNLEVFDRDRFKSDDKMGHAFLDLQPLLSASKLKRALQLTSGETKLRKVAPDCDNCLLASSCITYVNGEIVMDACLRLCDVESGELYVTIKWIDHPSSAVAPVKKA >Ma02_p07360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18073253:18076474:-1 gene:Ma02_g07360 transcript:Ma02_t07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAGLLKVIIVQGKNLAIRDFTSSDPYVVVKVGNQSAKTKVINSCLNPVWNEELIFTLKEPLGILNLEVFDRDRFKSDDKMGHAFLDLQPLLSASKLKRALQLTSGETKLRKVAPDCDNCLLASSCITYVNGEIVMDACLRLCDVESGELYVTIKWIDHPSSAVAPVKKA >Ma02_p07360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18073253:18076521:-1 gene:Ma02_g07360 transcript:Ma02_t07360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAGLLKVIIVQGKNLAIRDFTSSDPYVVVKVGNQSAKTKVINSCLNPVWNEELIFTLKEPLGILNLEVFDRDRFKSDDKMGHAFLDLQPLLSASKLKRALQLTSGETKLRKVAPDCDNCLLASSCITYVNGEIVMDACLRLCDVESGELYVTIKWIDHPSSAVAPVKKA >Ma02_p07360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18073253:18076498:-1 gene:Ma02_g07360 transcript:Ma02_t07360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAGLLKVIIVQGKNLAIRDFTSSDPYVVVKVGNQSAKTKVINSCLNPVWNEELIFTLKEPLGILNLEVFDRDRFKSDDKMGHAFLDLQPLLSASKLKRALQLTSGETKLRKVAPDCDNCLLASSCITYVNGEIVMDACLRLCDVESGELYVTIKWIDHPSSAVAPVKKA >Ma09_p28120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38951155:38957883:-1 gene:Ma09_g28120 transcript:Ma09_t28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMIVRSAAGGGKLMETLPQGLVLEIMGRLDLESLCSLAPVCKALRCSVSQSFSSISTLDLSDLSPTTWVLNRILSNNKVLKTLILDCRRLDDYSVEVFAKESIQELVLLRCFMFSSFVFTAIAEKCPKIRMFTVEMRQANEHESAGSCHKAIGQMLDRCLYLESVSIKFHADYSHSGNISSIQLTLPKNVKALLLQPISDWQAKVLIQNIGVDGGSHSSLAGVGISSFEPMFHWLQSLSLVLNNITDELIFNITNNLHQLVELCLEDNPPEEPSLMNDLTNGGLQSLGTFRNLIGLSLSRNRSSLTTFKRVNDIGILVLAEGCKRLESVRLGGFSKVTDAGYVSILHSCKNLKRLQIVNAFFLSDLAFHDLADAPNSLVEVRLISCNLITGETAESLSVCRNLQVLDFSGCKSIADIGLNSISRLSKLTTLDLCGADITDSGLSALGGGSSPIVSLCLRGCKRITDRGIVMMLHGDGVLGNTLLTLDLGYLPGVSDTAVVAVAKVCRQIINLCIRNCFAVTDTSISALGSPERSEGKRSLRKLDLYNCCGLSAVSFRLLRGPFFCGLRWLGVGSTKLLADGKYRFIELGIERPGLSICISGCEIGCKDGWQCHECI >Ma09_p28120.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38951155:38957883:-1 gene:Ma09_g28120 transcript:Ma09_t28120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMIVRSAAGGGKLMETLPQGLVLEIMGRLDLESLCSLAPVCKALRCSVSQSFSSISTLDLSDLSPTTWVLNRILSNNKVLKTLILDCRRLDDYSVEVFAKESIQELVLLRCFMFSSFVFTAIAEKCPKIRMFTVEMRQANEHESAGSCHKAIGQMLDRCLYLESVSIKFHADYSHSGNISSIQLTLPKNVKALLLQPISDWQAKVLIQNIGVDGGSHSSLAGVGISSFEPMFHWLQSLSLVLNNITDELIFNITNNLHQLVELCLEDNPPEEPSLMNDLTNGGLQSLGTFRNLIGLSLSRNRSSLTTFKRNLKRLQIVNAFFLSDLAFHDLADAPNSLVEVRLISCNLITGETAESLSVCRNLQVLDFSGCKSIADIGLNSISRLSKLTTLDLCGADITDSGLSALGGGSSPIVSLCLRGCKRITDRGIVMMLHGDGVLGNTLLTLDLGYLPGVSDTAVVAVAKVCRQIINLCIRNCFAVTDTSISALGSPERSEGKRSLRKLDLYNCCGLSAVSFRLLRGPFFCGLRWLGVGSTKLLADGKYRFIELGIERPGLSICISGCEIGCKDGWQCHECI >Ma09_p28120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38951155:38957883:-1 gene:Ma09_g28120 transcript:Ma09_t28120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMIVRSAAGGGKLMETLPQGLVLEIMGRLDLESLCSLAPVCKALRCSVSQSFSSISTLDLSDLSPTTWVLNRILSNNKVLKTLILDCRRLDDYSVEVFAKESIQELVLLRMFTVEMRQANEHESAGSCHKAIGQMLDRCLYLESVSIKFHADYSHSGNISSIQLTLPKNVKALLLQPISDWQAKVLIQNIGVDGGSHSSLAGVGISSFEPMFHWLQSLSLVLNNITDELIFNITNNLHQLVELCLEDNPPEEPSLMNDLTNGGLQSLGTFRNLIGLSLSRNRSSLTTFKRVNDIGILVLAEGCKRLESVRLGGFSKVTDAGYVSILHSCKNLKRLQIVNAFFLSDLAFHDLADAPNSLVEVRLISCNLITGETAESLSVCRNLQVLDFSGCKSIADIGLNSISRLSKLTTLDLCGADITDSGLSALGGGSSPIVSLCLRGCKRITDRGIVMMLHGDGVLGNTLLTLDLGYLPGVSDTAVVAVAKVCRQIINLCIRNCFAVTDTSISALGSPERSEGKRSLRKLDLYNCCGLSAVSFRLLRGPFFCGLRWLGVGSTKLLADGKYRFIELGIERPGLSICISGCEIGCKDGWQCHECI >Ma11_p02470.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1795989:1807126:-1 gene:Ma11_g02470 transcript:Ma11_t02470.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MKCLCSDDQLRVDEMVQSSGSLATKDCLPGHYSCRNGKVERRVDTVNIEEAESSLREGLCLNDEEARALLGRIEYQRGNVEAALHVFDGIDLSSVAPKIKAAIAERMERPKCHSKWDARTMSIHAISLLVEAVYLKARALQDLGRFKEAAQSCSIFLNSIESALHDGLPGNFITDNKLQEIVCKAVELLPELWKLAGFPHEAISTYRRALLGHWNLDDVTMAKIQKEFAIFLLYGGCDASPPNLHSQMDGAFIPRNNMEEAVLLLIILLRKVSLRRIEWDSSIIDHLSFALSICGQLSSLAGQVEGLLPGVLGMKEQYYTLALCYLGENDDLTALNLLRKLLNATDPDCVKALILAAKVCGENTSCAEEGVSFARRALTNLHGCCDQIESVTNCLLGISLSAQARSSSSDSERVSRHSEALEVLEKTERTIHGKDCKTIFNLSLEHAEQRKLDAALRYAKQLLKLEAGSNVDAWILLARILTAQKRFVDAETIINAGLEQTGKWDQGELLQTKAKIQIAKGQMKNAIETYTHLLAIIQLRTKSFNYGLMSLKGGKSERSLEIQTWHDLANVYISMSLWREAEICLSNLKAICPYSALRWHTTGQLYEAKGLLQEALGAYAKALDIEPAHVPSLVSRAIVLRHLGDWSLDVIRSLLTDALRLDRTNHIAWFNLGLIYKAEGARSALEAAECFQTAAFLKETAPVEPFR >Ma11_p02470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1795989:1807126:-1 gene:Ma11_g02470 transcript:Ma11_t02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MKCLCSDDQLRVDEMVQSSGSLATKDCLPGHYSCRNGKVERRVDTVNIEEAESSLREGLCLNDEEARALLGRIEYQRGNVEAALHVFDGIDLSSVAPKIKAAIAERMERPKCHSKWDARTMSIHAISLLVEAVYLKARALQDLGRFKEAAQSCSIFLNSIESALHDGLPGNFITDNKLQEIVCKAVELLPELWKLAGFPHEAISTYRRALLGHWNLDDVTMAKIQKEFAIFLLYGGCDASPPNLHSQMDGAFIPRNNMEEAVLLLIILLRKVSLRRIEWDSSIIDHLSFALSICGQLSSLAGQVEGLLPGVLGMKEQYYTLALCYLGENDDLTALNLLRKLLNATDPDCVKALILAAKVCGENTSCAEEGVSFARRALTNLHGCCDQIESVTNCLLGISLSAQARSSSSDSERVSRHSEALEVLEKTERTIHGKDCKTIFNLSLEHAEQRKLDAALRYAKQLLKLEAGSNVDAWILLARILTAQKRFVDAETIINAGLEQTGKWDQGELLQTKAKIQIAKGQMKNAIETYTHLLAIIQLRTKSFNYGLMSLKGGKSERSLEIQTWHDLANVYISMSLWREAEICLSNLKAICPYSALRWHTTGQLYEAKGLLQEALGAYAKALDIEPAHVPSLVSRAIVLRHLGDWSLDVIRSLLTDALRLDRTNHIAWFNLGLIYKAEGARSALEAAECFQTAAFLKETAPVEPFR >Ma11_p02470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1795989:1807126:-1 gene:Ma11_g02470 transcript:Ma11_t02470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MKCLCSDDQLRVDEMVQSSGSLATKDCLPGHYSCRNGKVERRVDTVNIEEAESSLREGLCLNDEEARALLGRIEYQRGNVEAALHVFDGIDLSSVAPKIKAAIAERMERPKCHSKWDARTMSIHAISLLVEAVYLKARALQDLGRFKEAAQSCSIFLNSIESALHDGLPGNFITDNKLQEIVCKAVELLPELWKLAGFPHEAISTYRRALLGHWNLDDVTMAKIQKEFAIFLLYGGCDASPPNLHSQMDGAFIPRNNMEEAVLLLIILLRKVSLRRIEWDSSIIDHLSFALSICGQLSSLAGQVEGLLPGVLGMKEQYYTLALCYLGENDDLTALNLLRKLLNATDPDCVKALILAAKVCGENTSCAEEGVSFARRALTNLHGCCDQIESVTNCLLGISLSAQARSSSSDSERVSRHSEALEVLEKTERTIHGKDCKTIFNLSLEHAEQRKLDAALRYAKQLLKLEAGSNVDAWILLARILTAQKRFVDAETIINAGLEQTGKWDQGELLQTKAKIQIAKGQMKNAIETYTHLLAIIQLRTKSFNYGLMSLKGGKSERSLEIQTWHDLANVYISMSLWREAEICLSNLKAICPYSALRWHTTGQLYEAKGLLQEALGAYAKALDIEPAHVPSLVSRAIVLRHLGDWSLDVIRSLLTDALRLDRTNHIAWFNLGLIYKAEGARSALEAAECFQTAAFLKETAPVEPFR >Ma11_p02470.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1795989:1807126:-1 gene:Ma11_g02470 transcript:Ma11_t02470.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MKCLCSDDQLRVDEMVQSSGSLATKDCLPGHYSCRNGKVERRVDTVNIEEAESSLREGLCLNDEEARALLGRIEYQRGNVEAALHVFDGIDLSSVAPKIKAAIAERMERPKCHSKWDARTMSIHAISLLVEAVYLKARALQDLGRFKEAAQSCSIFLNSIESALHDGLPGNFITDNKLQEIVCKAVELLPELWKLAGFPHEAISTYRRALLGHWNLDDVTMAKIQKEFAIFLLYGGCDASPPNLHSQMDGAFIPRNNMEEAVLLLIILLRKVSLRRIEWDSSIIDHLSFALSICGQLSSLAGQVEGLLPGVLGMKEQYYTLALCYLGENDDLTALNLLRKLLNATDPDCVKALILAAKVCGENTSCAEEGVSFARRALTNLHGCCDQIESVTNCLLGISLSAQARSSSSDSERVSRHSEALEVLEKTERTIHGKDCKTIFNLSLEHAEQRKLDAALRYAKQLLKLEAGSNVDAWILLARILTAQKRFVDAETIINAGLEQTGKWDQGELLQTKAKIQIAKGQMKNAIETYTHLLAIIQLRTKSFNYGLMSLKGGKSERSLEIQTWHDLANVYISMSLWREAEICLSNLKAICPYSALRWHTTGQLYEAKGLLQEALGAYAKALDIEPAHVPSLVSRAIVLRHLGDWSLDVIRSLLTDALRLDRTNHIAWFNLGLIYKAEGARSALEAAECFQTAAFLKETAPVEPFR >Ma11_p02470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1795989:1807126:-1 gene:Ma11_g02470 transcript:Ma11_t02470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 2 [Source:Projected from Arabidopsis thaliana (AT4G28600) TAIR;Acc:AT4G28600] MKCLCSDDQLRVDEMVQSSGSLATKDCLPGHYSCRNGKVERRVDTVNIEEAESSLREGLCLNDEEARALLGRIEYQRGNVEAALHVFDGIDLSSVAPKIKAAIAERMERPKCHSKWDARTMSIHAISLLVEAVYLKARALQDLGRFKEAAQSCSIFLNSIESALHDGLPGNFITDNKLQEIVCKAVELLPELWKLAGFPHEAISTYRRALLGHWNLDDVTMAKIQKEFAIFLLYGGCDASPPNLHSQMDGAFIPRNNMEEAVLLLIILLRKVSLRRIEWDSSIIDHLSFALSICGQLSSLAGQVEGLLPGVLGMKEQYYTLALCYLGENDDLTALNLLRKLLNATDPDCVKALILAAKVCGENTSCAEEGVSFARRALTNLHGCCDQIESVTNCLLGISLSAQARSSSSDSERVSRHSEALEVLEKTERTIHGKDCKTIFNLSLEHAEQRKLDAALRYAKQLLKLEAGSNVDAWILLARILTAQKRFVDAETIINAGLEQTGKWDQGELLQTKAKIQIAKGQMKNAIETYTHLLAIIQLRTKSFNYGLMSLKGGKSERSLEIQTWHDLANVYISMSLWREAEICLSNLKAICPYSALRWHTTGQLYEAKGLLQEALGAYAKALDIEPAHVPSLVSRAIVLRHLGDWSLDVIRSLLTDALRLDRTNHIAWFNLGLIYKAEGARSALEAAECFQTAAFLKETAPVEPFR >Ma04_p35740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34366156:34366991:1 gene:Ma04_g35740 transcript:Ma04_t35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFRDDRMPPVPRRWKAVYRAGSNHLEKPAPVSMLEYVSQRDWHGHGTHTASTAAGSVIPGASVLGISTGVAQGMVPVGHITVYEVCWFNGFYRPDIVAGMDDAIRDGVDVLSISLGGFPIPFSEDSIAVGSLRATERGVTVVCAAGNNGPVPSSVANEAPWIITVGASTLDRRFPAFVRMGNGRALYGESMYTGNHYLKSGGKEPELVYESGGETVAQFCLKGSLSEARIRGKMVVCDRGVNGRAKKGEAVR >Ma09_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3867435:3871393:-1 gene:Ma09_g05980 transcript:Ma09_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPIPPEEDAVAVADAVDGDEEEADARSQPPASAPPGAPAAGGGGKGKEEDEEEEEENMDVEFGKLPSGGDPDKMVKMQAILSQFTEEQMSRYESFRRSGFQKANMRRLLTSITGSQKISIPMTIVVSGIAKMFVGELIETARIVMTERKESGPIRPCHIREAYRRLKLEGKIPKRSVPRLFR >Ma01_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1184638:1188355:1 gene:Ma01_g01760 transcript:Ma01_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKCGQVSPVLKKGKKKQAKGELDRLKQAEKKKRRLEKALATSAAIRSELEKKKQKKIEEQQRLDAEGAAIAESVALHVLVGEDTDESCHFMVKDASEHSPWEYSHSIDHLMGYQGLAKYSSGNGLGWATEAHVPAWKCNNWGIGLPLPSQFHLKDFRSSAYDEAGRGADISAGLEAAQAVASLQIAEDSHGARFDGQGAATVVINKLLGGSNTGDKFNIYRKI >Ma06_p29930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:31287387:31294232:-1 gene:Ma06_g29930 transcript:Ma06_t29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G78790) UniProtKB/Swiss-Prot;Acc:Q8L7N3] MDATFDPDLIHAIFKMVWSRRAERNEEIDVVDVEVGAGTSKKSRPTTANPSALRVSCELLRLFVTEAIERTAIIAEAEGINKIEPTQLERILPQLLLDF >Ma06_p13040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8962762:8963440:-1 gene:Ma06_g13040 transcript:Ma06_t13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNLFDGTVSKQRGWGCCMGRASSTDRLVAIALGLLAVLSPLYVNRKTTVKPDDEDDGSGALLSLWLPLALILLVVIINLAWFVERRIVRLDPYWIHRFGGSSCGIMILLLVLGVLLKCKASLGG >Ma11_p17200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22565531:22573697:1 gene:Ma11_g17200 transcript:Ma11_t17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEERKSNGFLKLEDSPSNGLIKYRRQKRARPGSDAIRGLIHPSETVPCTGTFHPEQCHRIICHWNNIIEHQFKLSYMKPGRGLWNVFHDALSLGHSQLAHKLMMIYFIRDNEQLKDRYLGDSEVKIQYQNPNCFETAKVRKVAETKASYYEEETNTRKCEKAFLEILISEKFAMLCDFLWETFEDNKAKSFLDFSLIDSKLKNGDYEHSPELCNKDVQKIWDKVQNIGQGMIILASSLSSLSRASCQKQTVEDLVNAADEPKPEVTCQIGLLKKNSAGSYPTSQSDCSTKPDQTEASDVYKACTCKQCGTEANGEGSLICDGCEAMYHFSCIKPAIKEIPTRSWYCAACSTNNKDFADAVCTEVNKGSLHQNCVVCDRLEVSETLEDLDENDSRIRVAADSGESSVSSMESEETPELSRTAISCLCKICGTSEGEEKKFLICGHIHCPYRFYHIRCLKSSQIASPQQQNQPCWYCPSCLCRACFCDKDDDKIVLCDGCDEAYHTYCMKPPRTSVPRGQWYCVPCNIARAREGMRRYEQWILQQHRKNEDRQSNEVGGSMDLLLSAAEKLSSEEKLASRR >Ma08_p04070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2857143:2865410:-1 gene:Ma08_g04070 transcript:Ma08_t04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLSRISPLLSNGLLLRSGPRCCSSTHRFCSSSRSSATLNPSVSREEESRESPRPAATVSIDRSGLHNPPEHSHEPSSVPELVKHLKSIIKFRGGPISVAEYMEEVLTNPQSGFYINRDVFGAGGDFITSPDVSQMFGEMVGVWTMCLWEQMGQPENVNLVELGPGRGTLMADLLRSSSKFLKFTKSLNIHMVECSPALQKVQYSTLKCADEAMNDDSSAKRTISMLSGSSISWHATLEQVPLGLPTIIIAHEFYDALPVHQFQKSPRGWCEKMIDISEDSLFRFVLSPQPTPASLLYLTKRCRWASSEEIRDLEHIEVCPKAMELTHQIAKRISLDGGGALIVDYGKDGLISDSLQAIRKHKFVDILDNPGSADLSAYVDFASIRHSAEEVSDNISVHGPITQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPDELTPIGMGTRYLAMAIVNKKQGTPIPFE >Ma07_p18470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25534067:25534531:1 gene:Ma07_g18470 transcript:Ma07_t18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEQLWDLLVRRVRGEPPELKQLEVHQDPWELFVKSFESIKLDDGNQGEKRRLKFHLHPREKPTSVDYRMEEYRLTKDTYSKLSVLSEEIMLCKVYQVDKPLYMTSKGTLASMMPRKI >Ma10_p25450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33581908:33582372:1 gene:Ma10_g25450 transcript:Ma10_t25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDPFSLDLFDDPFHGFPFDTFRSLSESLPSETWAVANTRIDWKETPEAHVFKADLPGVKKEEVKVEVEDGRVLQISGERSREEEEEKNDKWHRVERSSGRFLRRFRLPENAKVDEVKASMEDGVLIVTVPKHEVKMPEVKAVEISG >Ma02_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28612548:28613366:-1 gene:Ma02_g23700 transcript:Ma02_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAEEQEQLQQHERQQKNQPPSPTLELSPAVLQDLKQELNDCRSNSRRYPPNNTFPNYAESTNLSPLAYSYFSWIYPPITPLSVSDNLNIPFPDQSLGLNLNFQNFNNNDNLFCNNLDMKSPIQPSSLPTPSCSNYLTSIMSNTKVPFISKAYCQASGVALDPTSASLHPRMDDDEIAEIRSIGEQHNMEWNDTMNLMTSAWWSMFLKNMEGDLCESEEVADEGFHMFDEVFNLPYWLHSEGDAPQSCLFQQHMNSYYNEEDYLYDAALPW >Ma08_p29990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41404561:41405355:1 gene:Ma08_g29990 transcript:Ma08_t29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIRSLFRRHRSKPQPSHAAIPSAADPGNLERVFNKFDSNGDGKISSAELADVLESLGGQRPSEEELGRMMREADADGDGFISLAEFVELNTSPPAAVEEDLRLAFAVFDLDRSGAISADEIARVLRGIGEGASVAQCRRMIDGVDLDGDGLISFEEFKAMMTAGGCNAQAFAAFAAAK >Ma07_p20670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28594170:28594566:1 gene:Ma07_g20670 transcript:Ma07_t20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKILTTMVSTSNYLDNMGITYLQMLLTSLRMSKGASYLP >Ma09_p26220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37543340:37546127:1 gene:Ma09_g26220 transcript:Ma09_t26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAAELENLMTVVANPRQFKIPDWFLNRKKDYKDGRYSQIVSNSLDMKLRDDLERLKKIRNHRGLRHFWGLRVRGQHTKTTGRRGKTVGVSKKR >Ma06_p08670.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6111121:6114752:-1 gene:Ma06_g08670 transcript:Ma06_t08670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQILACALYNNWWPMLSALMYVVLPMPCLFFGDGSTRFLTSREGGGWINAAKFLTGASAVGSIAIPAILRHAHLIETGAMLIEFTSFFILVCTVLCFHRVSLDEDF >Ma06_p08670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6111121:6114825:-1 gene:Ma06_g08670 transcript:Ma06_t08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQILACALYNNWWPMLSALMYVVLPMPCLFFGDGSTRFLTSREGGGWINAAKFLTGASAVGSIAIPAILRHAHLIETGAMLIEFTSFFILVCTVLCFHRVSLDEDF >Ma03_p28820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31896453:31897472:1 gene:Ma03_g28820 transcript:Ma03_t28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRIWDDSLRNPLQGGAAGSRVLVTTRNEGIARQMKAAHVHEMKLLPPEDGWSLLCKKATTNAEEERDARDLKDTGMKIVEKCGGLPLAIKTIGGVLCSRGLNRSAWEEVLRSAAWSRTGLPEDHVFARSDIIRLWIAEGFVEARGDVSLEETGEQYHRELLHRSLLQWQRYNEILFISDVLNERRSGAIPMKLRRLSIVATETTDIQHDYMKNFVRLRVLHLMQTKIEILPHYIGNLIHLRYLNVSYTDIKKLPKAYAI >Ma04_p27350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28545400:28549930:1 gene:Ma04_g27350 transcript:Ma04_t27350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPRFPGIMGSVGGIDNGSSFYDMAYYRKLGEGSNMSIDSINSMQTSVNGGSMAMSRDASSVGSSDSRTGILNHPGLRQIATPNYSVDHSVARPGRVNPGLTGDALVHALLDMRHPTETLQGYEEWTIDLNKLNIGLPFAQGAFGKLYKGTYNGEDVAIKLLERPDNDLERAQLMEQQFVQEVMMLATLKHPNIVRLIGACRKPVVWCIVTEYAKGGSVRQFLMKRQNRSVPLRLAVRQALDVARGMAYVHGLGFIHRDLKSDNLLIFTDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPIIPHDCLPVLGEIMTRCWDANPDVRPPFTEVVRMLETAEMEIVSTVRKARFRCCMSEPMTTD >Ma04_p27350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28545380:28549930:1 gene:Ma04_g27350 transcript:Ma04_t27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPRFPGIMGSVGGIDNGSSFYDMAYYRKLGEGSNMSIDSINSMQTSVNGGSMAMSRDASSVGSSDSRTGILNHPGLRQIATPNYSVDHSVARPGRVNPGLTGDALVHALLDMRHPTETLQGYEEWTIDLNKLNIGLPFAQGAFGKLYKGTYNGEDVAIKLLERPDNDLERAQLMEQQFVQEVMMLATLKHPNIVRLIGACRKPVVWCIVTEYAKGGSVRQFLMKRQNRSVPLRLAVRQALDVARGMAYVHGLGFIHRDLKSDNLLIFTDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPIIPHDCLPVLGEIMTRCWDANPDVRPPFTEVVRMLETAEMEIVSTVRKARFRCCMSEPMTTD >Ma04_p27350.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28545400:28549930:1 gene:Ma04_g27350 transcript:Ma04_t27350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPRFPGIMGSVGGIDNGSSFYDMAYYRKLGEGSNMSIDSINSMQTSVNGGSMAMSRDASSVGSSDSRTGILNHPGLRQIATPNYSVDHSVARPGRVNPGLTGDALVHALLDMRHPTETLQGYEEWTIDLNKLNIGLPFAQGAFGKLYKGTYNGEDVAIKLLERPDNDLERAQLMEQQFVQEVMMLATLKHPNIVRLIGACRKPVVWCIVTEYAKGGSVRQFLMKRQNRSVPLRLAVRQALDVARGMAYVHGLGFIHRDLKSDNLLIFTDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPIIPHDCLPVLGEIMTRCWDANPDVRPPFTEVVRMLETAEMEIVSTVRKARFRCCMSEPMTTD >Ma05_p07490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5456533:5457963:1 gene:Ma05_g07490 transcript:Ma05_t07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQEAREERESDGARKAADELAPSQSGHEREGAREKHHPGVLGSIKEGTKSLLGAMTGRTQEAAEKTSEKAGQTKDAVVEKAKDHKDTTVEKIEETKEKLGEYKDTATETAKQKMEDYKDAAADAAQKARDYLAGTGEATKGKVTEAEERARQKLEEAERAREREEERRSTEVHRAKDTEKGRDDKGGILGAEWSVAERVKETLTASKDEGDKAADEPKREAESVDPHGKM >Ma09_p26400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37685047:37706619:1 gene:Ma09_g26400 transcript:Ma09_t26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAAMSTNSDHDLVLANHHDGSGEPSSPPTPAAGQGQPVLAGPRPAPTYRVVNAIIEKKEDGAGCRCGHTLTAVPPVGEEGTPGYIGPRLILFGGATALEGNSAAPPSPAGTAGIRLAGATADVHCYDMLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVDDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLAAEDLAAAETTSAASHAAAAAAATDVQVGRAPGRYLYSDERSRQDIPETAPDGAIMVGTPVAPPVNGDIYTDISPENAMLLGPRELNKGVEYLVEASAAEAEAISATLAAVKARQVNGEVEQLLDRDRGSEATPSGKMISSMVKVPDPSAANNTPPTGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSHGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFTSEPTVLQIKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRFNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIEDLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAINSPEASPERHTEDTWMQELNANRPPTPTRGRPQTSNDRGSLAWI >Ma08_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:15205142:15207090:1 gene:Ma08_g15160 transcript:Ma08_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSFVQAATPTSHHQHHHTDDRRERKRRKRKKKPSPPGSTRTLAPPSPSSSSSSSWEQFKSLLSCRSTAASQVHDPSSTAARLGRAACGSSICALRDVLHGNTRVVHRPDTDLSSSAGSVSVSQHETPPLAQAARSARHHPPVSSVGCGGYSRGGMQLPKLSGCYECRAVSIEPSSRRYPRPRTTLCACSECGEVFTKPDSLELHRVLRHAVSELEPEDSGRNIVEIIFKSSWQNKDRPICQIERILKVHNAPRTVARFEDYRAAVKSRALPHLSSTAGGDRHHHPSRCAADGNELLRFHCASLSCSLGARGSTSLCSNCSSISSSASSSPGGAAACGVCTIIRHGFAPAHGVRTTASSSLAHDRCPAASVEDSGGEQRAMLVCRVIAGRVRLPADDPVAADAYDSVAVGDGGESYGNLEELLVANPRAILPCFVVIYRALS >Ma06_p16580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11225720:11229718:1 gene:Ma06_g16580 transcript:Ma06_t16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQGAMVLAPPSPPPANPVAQLQTRIKELETGFRAWLAKQPTAVEAAIVTATSAAQGAFIGALMGSLTSDASSALPTPPPNAPGLNPQAMASLKQAQAFAGGPLVQARNFAVMTGTNAGISCVMKRIRGVEDMQGSMAAAFGSGAMFSLVSGMGGPNQALNAVTSGLFFALVQGGLFKIGEKFSQPPVDDVLYSGTKRMLTNLGLQNYEKNFKKGLLSDATLPLLTDSALRDVNIPPGPRLLILDHIQRNPELVKR >Ma02_p17970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24677656:24679123:1 gene:Ma02_g17970 transcript:Ma02_t17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLELKAKRTYRFIVFKIDEKLKQVVVEKVGEPTLGYDDFSASLPTNECRYAVFDFDFVTEENCQKSKIFFIAWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVIRGRAN >Ma10_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29038274:29042638:-1 gene:Ma10_g17810 transcript:Ma10_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSSEDEESLKDNNVDLNDDNIEHHMAFDVSNQLADAGNLFSSHQQNSENSEPFVGMEFESEESAKLFYMAYASRVGFSVRISKSRRSRNDESIIMRRFVCSKEGFHLRKGKFDDGKKKRKRATIREGCNAMIEVIQKYYGRWVVTKLVKEHNHVVAAPSRVLYVAPEAYGNADPYLGMEFPSHEAAQTFYYAYASRVGFDVRIRLSRRSTRDETFVMRRFVCTKEGFTPHEDSYDESKKKRNRTPTREGCKAMFEVIKKDYGKWIVSKLILEHTHDLAVAPSKVHYIQSDSEVVVLAKSGALNREKSVTPNTKTHLGKFGDLSNIPSSDNDFMTEARDICPTVFGLEDTQSLLEYFKRTQAENPTFYYAFQVDKNNCLSHAFWADAKAKMAYYCFGDAVTVDTSFKENKNMVPFVMFTGVNHHLQSVNFGFALLTDESEASFVWLLENWIIAMCGRHPVSLSTDYHEAIGSAISRVFPETRHRFCKRHVLNKCNERLSDVYVTRNTFKQEFEKCLDKSETTDIFESNWMVILEKYDLGDNSWLKFLFSIRQKWVPVYLKDAFTAEISASQKPESLISFFEKYFNTKTSLLVLASLLEQAMTGWYEREALEDLATSYTRPILRTPSNMLKQVADIYTRTIFDVFQEEFVESLGYFVEKIEDGLVSKYSVTKDEDVSTTFIVTYDFSNKRTNCSCCKFETAGILCRHILRVFLTVDVRALPDCYILKRWTKDAKNGFVLDECVRYNELYRDAVKYAKEGSTSEDVYTVAKSALQIGFAQVLAAKKSIVSQCTM >Ma10_p04490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15155550:15156569:-1 gene:Ma10_g04490 transcript:Ma10_t04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSDLAMDGGQASRRELLGPRPAPLRIRKDSYKLKKPPVAPPQHRPPIIIYAISPKVIHTTPDDFMSVVQRLTGAAAASSSSSSADAPLATGEVFSPAARFAVFEKPAQGAISADLLGTGGGTSTLDRPSASFHGILSPVPSSLPWISPNLFASSTGQTQISFVNELSPAIHGGRSFPETINCFMPSPSNFLSGGMVPSPAAFWDLFNQYQDP >Ma10_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21882765:21882911:-1 gene:Ma10_g07540 transcript:Ma10_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNTSDAQALIRSLHSAYAATPTNLKVLLLVCALVELPLYVLVEAI >Ma05_p24600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36807832:36809658:-1 gene:Ma05_g24600 transcript:Ma05_t24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYQTPSLVPAASFDDDLFSYYYSFFHDPATAVLPDPQPHSALPLAMESKVKKRPRADDLSPSRLVALKPFASSSPPHADDDASGEEPQAAPPPLQPGQRRLWVKDRSRDWWDRYNHPDLPEDEFRRAFRMSRATFDFLCEELGSAVAKEDTALRSAIPVRQRVAVCVWRLATGEPLRLVSRRFGLGISTCHKLILEVCTAIRNVLMPRSLLWPGPSDAAAGAARFEGISGIPNVVGAMYTTHIPIIAPKIGIAAYFNRRHTERNQKTSYTVTIQGVVDPDGVFTDVCIGWPGSMPDDQVLEKSALYQRANSGFLNHQWIVGGASYPLLDWVLVPYAQKNLTWAQHSFNEKIGEVQRVAKEAFTKLKARWGCLQKRTEVKLQDLPVFLGACCVLHNICEMRKEEMVPELRFELVDDEMVPENSLQSMSAMQARDSIAHNLLHHGLGGTAFL >Ma03_p33050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34571408:34575731:1 gene:Ma03_g33050 transcript:Ma03_t33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPAKGDENTKKLQKPKPWKHTQQMTRAQLKQMRDEFWDTAPHYGGQKEIWDALRAAAEADLDLAQAIVDSAGIIIPNADMTLCYDERGAKYELPKYVLSEPTNLIRDS >Ma03_p33050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34571408:34575527:1 gene:Ma03_g33050 transcript:Ma03_t33050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPAKGDVILENTKKLQKPKPWKHTQQMTRAQLKQMRDEFWDTAPHYGGQKEIWDALRAAAEADLDLAQAIVDSAGIIIPNADMTLCYDERGAKYELPKYVLSEPTNLIRDS >Ma04_p04670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3574473:3576300:-1 gene:Ma04_g04670 transcript:Ma04_t04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTARFLARAPGSGRVPAALRSASTRLHDGPIRQAEAAAADRFDLKAYMVDKARRVDAALDRAVPLRYPERLHESMRYSLLSAGKRIRPILALASCELVGGDEATAMPVACAVEMLHVMSLIHDDLPCMDNDDLRRGRPSNHRVFGEGTAVITGDALIALAFEHVVAATASVPADRVLRAVAEYGSAMGPEGLVAGQFVDIDSEGKAVGVGVLEYIHLHKTARLVEAAAACGVIVGGGGDAELESVRRYARCVGQLFQVVDDILDVTKTTEELGKTAGKDVASGKTTYPKLMGLEKAQELAQTLVVKAEAELHGFDRVKAAPLRHLARYIADRQN >Ma06_p12050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8377934:8383353:1 gene:Ma06_g12050 transcript:Ma06_t12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSKLQTLDLRSGFLSGSPLLDAGKARILPRACPSSVSPALSRRVGVSFVKKTGFTNPRIRKLGVSVRAAVDTAIVDSDTTADLESLFSESAVEDASARQGRKRSSTGASSVSSGVRLENISKTFKGVTLLKDVSWEVKKGEKVGLVGVNGAGKTTQLRIIAGLEEPDSGNVVKAKENMKIAFLSQEFEVCPSRTVNEEFLNAFKEEMEIAERLDKVQRALESSVEDLSLMGRLLDELDLLQRRAQDLDLDEVDVKISKLMPELGFAPEDSDRLVASFSSGWQMRMSLGKIILQDPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFMGNYSEYVLAKAAWVEAQYAAWEKQQKEIEHTKDLINRLGAGVNAGRASSEEKKLEKLQGEGQVEKPFQRKQLKIRFPERGRSGRTVLTIKNLNYGYDDKQVLFKRANLLVERGEKIAIIGPNGCGKSTLLKLIMGLEKPQGGDVLLGEHNVLPNYFEQNQAEALDLEKTVLETVEEAAEDWRIDDIKGLLGRCNFKSDMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAISEYQGTVITVSHDRYFIRQIVNRVVEVKDGSLQDYAGDYNYYLENNLEARERELEREAELEEKAPKIKAKSKMSKEEKEARKKQKMLAFQQAKAKSKGLKNAKRWK >Ma06_p12050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8377934:8383353:1 gene:Ma06_g12050 transcript:Ma06_t12050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSKLQTLDLRSGFLSGSPLLDAGKARILPRACPSSVSPALSRRVGVSFVKKTGFTNPRIRKLGVSVRAAVDTAIVDSDTTADLESLFSESAVEDASARQGRKRSSTGASSVSSGVRLENISKTFKGVTLLKDVSWEVKKGEKVGLVGVNGAGKTTQLRIIAGLEEPDSGNVVKAKENMKIAFLSQEFEVCPSRTVNEEFLNAFKEEMEIAERLDKVQRALESSVEDLSLMGRLLDELDLLQRRAQDLDLDEVDVKISKLMPELGFAPEDSDRLVASFSSGWQMRMSLGKIILQDPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFMGNYSEYVLAKAAWVEAQYAAWEKQQKEIEHTKDLINRLGAGVNAGRASSEEKKLEKLQGEGQVEKPFQRKQLKIRFPERGRSGRTVLTIKNLNYGYDDKVLFKRANLLVERGEKIAIIGPNGCGKSTLLKLIMGLEKPQGGDVLLGEHNVLPNYFEQNQAEALDLEKTVLETVEEAAEDWRIDDIKGLLGRCNFKSDMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAISEYQGTVITVSHDRYFIRQIVNRVVEVKDGSLQDYAGDYNYYLENNLEARERELEREAELEEKAPKIKAKSKMSKEEKEARKKQKMLAFQQAKAKSKGLKNAKRWK >Ma07_p27850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34057793:34060988:-1 gene:Ma07_g27850 transcript:Ma07_t27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27695) UniProtKB/Swiss-Prot;Acc:Q8VY77] MVLTTFDGVGVGLGFGIGCGFGIGWGFGGMPLHTFGMGIGGGCGVGLGLGWGFGSAFGCKYRSSKVTFQGIEFDNKGTRDKSVADSLKHAQ >Ma04_p02190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1913653:1914003:-1 gene:Ma04_g02190 transcript:Ma04_t02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENVGGEQGAVGRRKVLVHVPTSEVITSYDVLEEKLAVLGWERYPSTPDLIQFHKRSSVHLISVPTDFSKFRSVHMYDIVVKSRNIFEVRDA >Ma05_p10060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:7234032:7241006:-1 gene:Ma05_g10060 transcript:Ma05_t10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVGTRTRVGKYELGRTLGEGSFAKVKYARDVRTGNSFAIKILDKQQVLRHKMVEQIKREISTMKLIKHPNVVQIYEVMASKTKIYIVLEFVDGGELFDKVANHGKLKEDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGTLKVSDFGLSAFAPQIREDGLLHTTCGTPNYVAPEVLNDKGYDGTASDIWSCGVILFVLMAGYLPFDEPNLVTLYKKISKAEFLFPAWFSSGAKKLITRILDPNPHTRITISNILADEWFKKGYKPPYFEHGEDVSLDDIDAVFNNSEEHLVTEKKEKPESMNAFELISRSQGFNLGNLFEKQLGLVKRETRFTSQCPPNEIMSKIEEAAKPLGFNVRKHNYKMKLQGDKSGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHKFYKNFSSGLKDIVWKTETNS >Ma07_p27520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33863659:33864163:-1 gene:Ma07_g27520 transcript:Ma07_t27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCKLFSAQSLENRNSCGIISALPLTTIFLQFCKPGNFNGILPSTTMIHVELPFISCFHIEIKSTQLDYNLCKLVFGNLFESLQVLIGAQDAIITLWF >Ma04_p09600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6802472:6806910:-1 gene:Ma04_g09600 transcript:Ma04_t09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRILASNSAGTLLALDELWPESAGGGWATVVVMLLVSWKLLRLFFYCRRPVAISGAYFRGGSSARVSNLITDADLRDLMISLEGKPKRNERWEDLIDKTSNLVSYKAKFSRPKDGPLKFYSVTTFEKCSAELLRDFYMDNQYRRKWDNIVIHHEQLQVDENSGTEIGRCIKKFPLLTPKEYILAWRVWEGKDKTFYCFTKDCEHPMSVRQNKYVRVGFLRSGWRIRKVPGRDACEMTLVHQEDAGINIEMVKLGFAKGVWSYVSKMNNALREYSSCSPIHLTPVSTLHRLIKKIPPELEANAETSEEEVPKRSSSVSGRRSRANISQKKPSRSPKRWILANGLLLLGGIICLSRGRRSTTIGTQLAVPCILKKLMKHGSESS >Ma06_p38260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37088029:37090196:-1 gene:Ma06_g38260 transcript:Ma06_t38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLLRLRPYRHPDARGTLIAGHGRSSLPPRRQTRQGIVAAIPTAIVMRDRAKNRKPTQRGRYLSTEAIHAVQALKRALGTVGGGGGGDSLERVLAAKVRRLIKGDMVAVLRELQSQGEGLLALQVFEEVRREHWYKPQLSVYNDMIKVLASCGLPEKVEKLPWTAFG >Ma09_p25050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36643085:36644606:1 gene:Ma09_g25050 transcript:Ma09_t25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENQMSSSDDEQQPRRGSISALFAAEADHIISTVGAIDPSARRNAVSLVLQAQFNCNLDPFVACLAINYIDRYLSKREIPIEKPWIVRLLSISCLSLASKMKKTCKPLADFLREEYFVFDAQTIRRMELLVLEALDWRMRSITPFSFLRFFTSFFSPAQPPLLQALQAHATEILLKTQNEMKVLEFKPSVVAASALLSAAFEFFPVQFPAFRSALASCEFVNEEELRECSSAMGIATDGCGGSAATALVSSSNTPPTVLGRLCSSSVIEPFTIGSASDDRELTQRRIAYHDGQIDK >Ma06_p35510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35321060:35326102:-1 gene:Ma06_g35510 transcript:Ma06_t35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGGGGPPIEASTRVVEPSPSFFISSSPASSATTTITSSFASSDISADEGDSETSLLLHRGREEEDAMTEMKLHVGHGNDGDGDADSNSKIDASDDTAHQISSDPWYQVGFVLTTGVNSAYVLGYSGSAMVPLGWVIGTTGFILAAAISLYANVLIARLHEVGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGYIILAGQALKATYVLYRDDGVLKLPYCIAIAGFVCALFAFGIPHLSALRIWLGFSTFLSLIYIITAFVLSLKDGLSTPARDYSIPGSNASKVFSTIGAAATLVFSYNTGMLPEIQATVKPPVIRNMEKALWFQFVIGGLPLYAVTFVGYWAYGSSTSTYLLNSVNGPIWVKSVANIAAFFQTVVALHIFASPMYEYLDTKYGRGQGSPFSFYNVSFRVVVRGGYLTINTLVAALLPFLGDFMSLTGALSTFPLTFVLANHMYLKVKKNKLSAPQKAWHWLNVVGFSCLSMAAAIAALRLIVVDSNTYHLFADL >Ma03_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3033886:3038409:-1 gene:Ma03_g04610 transcript:Ma03_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPFGLHLTKSPSVVDVVQKILPPSGCVSCSTSFGCSESGSRHGLNPLASWCSSNKTEPTKFSASLLRIGNWEFVSRNEIDLVAKCYFAKHKLIWEVLGYRLKSKIEFHWSGIIVIKAKCSKGGHGTLDIVRLILSRRSRRCGKQHQTLQMVNQEYIDKIMEVWHTRYPLLIVRTGLLPLWRTRSLKKGGICFNVPEAS >Ma04_p07040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5114885:5120399:1 gene:Ma04_g07040 transcript:Ma04_t07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFLRSGGRRAHGLLLRQLRPSPLCGELSKSSSAPVRAYNRSHLLSSKFKQTSTGHSPASPSLYSPSLSPATAAVSSSSSIRNGFVSWYLGMIEARPILTKSLTAGAIFTAADISSQIITLSSSDSLDLIRTLRMAGYGMFISGPSLHFWFNFVSRVLPKRDVLTTFKKMALGQAIYGPIMTGVFFSLNAGLQGETGAEIFARLKRDLIPTLKSGVVYWPICDFITFKFIPVRLQPLVSNSFSFLWTIYITYMASLEKADVEKISTD >Ma04_p07040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5114885:5120399:1 gene:Ma04_g07040 transcript:Ma04_t07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFLRSGGRRAHGLLLRQLRPSPLCGELSKSSSAPVRAYNRSHLLSSKFKQTSTGHSPASPSLYSPSLSPATAAVSSSSSIRNGFVSWYLGMIEARPILTKSLTAGAIFTAADISSQQIITLSSSDSLDLIRTLRMAGYGMFISGPSLHFWFNFVSRVLPKRDVLTTFKKMALGQAIYGPIMTGVFFSLNAGLQGETGAEIFARLKRDLIPTLKSGVVYWPICDFITFKFIPVRLQPLVSNSFSFLWTIYITYMASLEKADVEKISTD >Ma01_p01400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:984496:988318:1 gene:Ma01_g01400 transcript:Ma01_t01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKTNGTLQRGQKLNHYKGNGPNWVLIAGGALLSTLSIRLGCKLKQVFETKLQDNVNTGNRKFTPKIRSAACQMNSNLYQFHQNEDTHCHCLSGISGDGTDIKQSKNPMSTEMDLSLQLVKFSDTERNKESGSVIWASSPDRLELPQKPFHHSSSSDSPCMSESGSDIYSKREVIQKLRQQLKRRDDMIMEMQAQVIDLQNSLSIQATQSADLQAQIDAANRDLFESEREIQRLRKIIADYCAVKAVSPEKPARHWCPEGANGTMNGYANGIDEGDFEKIEMLKRQVGELKEVIEGKDFLLQSYKEQKVELSSKIKELQLKLDSHVPNIL >Ma08_p28500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40383845:40384405:1 gene:Ma08_g28500 transcript:Ma08_t28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAGYSHYEISSYCKDRYECKHNLTYWLNRSFYGFGLGSASYINDMRFSRPRRLKEYEEWVHKLEDGLVVLHEDISVDTKDMSMDVVMLSLRTAKGLDLRGFAKCFGKSLARSLCQALRQYVESGHVVVMDDDRNTLSYPEFELKMSEDNDEMGNGVASIRLSDPDGFLLSNELISIAFGIISP >Ma04_p05360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3988308:3991523:1 gene:Ma04_g05360 transcript:Ma04_t05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDPIEDFWIDGGDSDGELQYALGSFRDMVPTAGMGNEETQRDFRSLEQNSSGKRARNEPHTSKSKACREKVRRDRLNERFHELSSVLDPNRPPRADKASILGDATRVLMQLRAEAQELKESNEKLHEAIKDLKVEKNELREEKMRLKADKDKLEQQIKVLSVPPAGFLPHPMAFHPAAAPAAFVPQIQASTDKTAPFAGFPGMAMWQWLPPAVMDTTQDPKLWPPNA >Ma02_p07950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18411238:18413389:1 gene:Ma02_g07950 transcript:Ma02_t07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASVPIRPFFLLLLLLLASISAVSSSDGSGPLLRVLSDLGLTTRGLDHPCRHPGVTCEAGGAAVRVSLPSHRLTGSLSAAVGNLPSLRQLDLPDNGLAGSLPRELALCRALRVLDLRRNRISGYVPREISALPDLRVLDLSDNRLSGDLSFLALLPNLERLSLSNNLFSGRVPLSLSASKKLRFLDLSGNVGLFHGDLPSRPASRLALRRSLIPKRYVLAETTTPGNNNSTHAKNSTTSTPALAPSSSSKNSSSSGHHRHHSRKRLVRNWIVGFITGSITGILSGAVLSLLFRLLLNYIRGRYKNPGGPSIFSSKHIRSAEDLAFLEKDDGLAALEIIGRGGCGEVYKAQLPADPKKPDQPGTVIAIKKIIKHNPNGGEPTSDEESRQLDKWMRQIRSEIQTVGHIRHRNLLPLLAHMIRPDCHYLIYEYMKNGSLEGVLRQVRDGERELDWLTRYRVALGVAAGLEYLHVHHKPQIIHRDLKPANILLDDDMEARIADFGLAKEMPDANTHITASKVAGTLGYISPEYGQTLRFTAKCDIYSFGVILAVLVVGRMPSDNFFQDTDEISLVRWLRNVMNTGNPVSVAVDPKLLGNGYEEQMLLVLRIAIFCTMDDPKVRPDSKEVRTMLAQIQH >Ma04_p06450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4750814:4751424:-1 gene:Ma04_g06450 transcript:Ma04_t06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAINSEIFLSGFMITSTLRRSTHLVQSFSVVFLYWFYVFSWTRS >Ma04_p22180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24542100:24544803:-1 gene:Ma04_g22180 transcript:Ma04_t22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSRGNSIRKILVKEAKHRDAFKVVVGANKYYSLGGSASLAKYCAKKLPPTTSVIAVQNGKVLFERVIAKPSPGGEPKPMEKADISTPRLHNVEAGIDQKEEAKDDDSLGGSVTVPVQKPAEPSLGWPLLRRRDDARKLSVVQWVMNLPNRSLSFTRLQLDLIKELNSVLSNNCSNCRWFRYDELHRSTNQFCSGNMIGKGGNSQVYRGCLANGQQVAIKLSKLSEEASTNFLLEVDIITKLEHKLIVPLIGFCIEDNTLISVYDYFPKGNLEENLHGENAKSPLPWAVRFKVAIGVAEALSYLHSGCSKPVIHRDVKSSNILLTDEFEPQVSDLGLAIWAPTNSTYLTHDDVVGTFGYLAPEYFMYGKVSDKMDVFAFGVVLLELLTGRKPIDDENPKGQESLVMWASPILERGDFMELLDPDLNENYDEVEMRRMVVAASLCITRRARLRPQMREILTLLQGEEDMEARMRCHVDASSDTLDCEDDEAYPCSSIRSHVGLALLDVEDDASLASFEQSNLGSLDEYLRDRWSRSSSFE >Ma04_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24542100:24545675:-1 gene:Ma04_g22180 transcript:Ma04_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEKGRERCVLVGLQMNANGRELLDWAISRVAEEGDRVVAVHVCRDSDLKTTTTLSLIEMLDDHLAAYEGFCNLKQVALVGRVSRGNSIRKILVKEAKHRDAFKVVVGANKYYSLGGSASLAKYCAKKLPPTTSVIAVQNGKVLFERVIAKPSPGGEPKPMEKADISTPRLHNVEAGIDQKEEAKDDDSLGGSVTVPVQKPAEPSLGWPLLRRRDDARKLSVVQWVMNLPNRSLSFTRLQLDLIKELNSVLSNNCSNCRWFRYDELHRSTNQFCSGNMIGKGGNSQVYRGCLANGQQVAIKLSKLSEEASTNFLLEVDIITKLEHKLIVPLIGFCIEDNTLISVYDYFPKGNLEENLHGENAKSPLPWAVRFKVAIGVAEALSYLHSGCSKPVIHRDVKSSNILLTDEFEPQVSDLGLAIWAPTNSTYLTHDDVVGTFGYLAPEYFMYGKVSDKMDVFAFGVVLLELLTGRKPIDDENPKGQESLVMWASPILERGDFMELLDPDLNENYDEVEMRRMVVAASLCITRRARLRPQMREILTLLQGEEDMEARMRCHVDASSDTLDCEDDEAYPCSSIRSHVGLALLDVEDDASLASFEQSNLGSLDEYLRDRWSRSSSFE >Ma08_p12800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9866915:9871327:-1 gene:Ma08_g12800 transcript:Ma08_t12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPVKVVLGCIAFGVFWVLAVFPAVPFLPVGRTAGSLLGAMLMVIFRVISPDDAYDAIDLPILGLLFGTMVVSVFLEKADMFKYLGKLLSWKSRGGKDLLFRICIVSAISSALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVQSGISFGKFLAGLFPAVLVGGLVNAAILLCYFWKLLSVDKDEEVASTVGEVVAEVDMTLHRFSPATMSHLTSMNSQEFASAMDSFARSPSLCGEFGHTCSLRCRTNSVDIDAQNASGIESMRASNASREVAEGAGNPQREEGASWRRVSRSFGSQRCGSRGEASLQASEPRESSMERWKGRIRKTCVYLVTIGMLISLLMGLNMSWTAITAALALVVLDFKDACPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWDFLEPYSRIDRASGVALLSLVILLLSNVASNVPTVLLLGARIAASAALVSPAEEMRAWLILAWVSTVAGNLSLLGSAANLIVCEQARRVEDFAYNLSFLGHLRFGVPSTLVVTGIGLLLVRSY >Ma08_p12800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9866915:9871327:-1 gene:Ma08_g12800 transcript:Ma08_t12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPVKVVLGCIAFGVFWVLAVFPAVPFLPVGRTAGSLLGAMLMVIFRVISPDDAYDAIDLPILGLLFGTMVVSVFLEKADMFKYLGKLLSWKSRGGKDLLFRICIVSAISSALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVQSGISFGKFLAGLFPAVLVGGLVNAAILLCYFWKLLSVDKDEEVASTVGEVVAEVDMTLHRFSPATMSHLTSMNSQEFASAMDSFARSPSLCGEFGHTCSLRCRTNSVDIDAQNASGIESMRASNASREVRWKGRIRKTCVYLVTIGMLISLLMGLNMSWTAITAALALVVLDFKDACPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWDFLEPYSRIDRASGVALLSLVILLLSNVASNVPTVLLLGARIAASAALVSPAEEMRAWLILAWVSTVAGNLSLLGSAANLIVCEQARRVEDFAYNLSFLGHLRFGVPSTLVVTGIGLLLVRSY >Ma11_p09480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:8580820:8583990:1 gene:Ma11_g09480 transcript:Ma11_t09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYPEEEVDDDEFAKLIRRMNPPRVVIDNDSHHDATVIRVDSVNKHGILLEVVQVLTDLDLVISKAYISSDGSWFMDVFNVTDRDGNKVWNKEIISYITKSLESDACFFPKLRSSVGITPSKEQTLIEVTGSDRPGLLSEICAVLATQLCHVDKAELWTHNTRVAAVLHVTDESTGGAIEDPERLSAIKKLLCNVLKGDNDSRTGKMTVSMGLTHVDRRLHQLMFDDRDYERVGMKAEVNDKSAPQVTVTDCLEKDYTVVILRSKDRPKLLFDTICTLTDMQYVVFHGTVSSGKEGAYQEYYIRHVDGLPVSSEGERQRLIQCLEAAIERRASEGLELELRTEDRFGFLSEITRIFRENGLTIRRAEISTEEGKVVDTFYLSEMSGNPIDAKTIDSIRRQIGQTVLRVKQNPLLPSKPPEVGSTVSLIFGNLFRASFQNLRSS >Ma08_p23280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36646763:36657038:1 gene:Ma08_g23280 transcript:Ma08_t23280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREDRCFFPLTSLQIGDLQSYLSRLTLFLPIRSQKFFILVDNRPWLIDQDSRAAHLWQLMVTKSRLSPFANTRAARVTRRDIGKILEFTNSSRSLSITRNRLRRWFSLIDTALSQKKKLLHVKKLKASFLFNKELHCTLYGFIVFEVQWAHVRGINYINELQTDTSMALEAKLMKRWEFDSIEQSRSCISSWYTGTCNEINLLREYLESISNKGDVFYDAREDPFTTTEMRENLHSADEFHEEKHNCSYSNFIPMLERMEDSGSPYTPPVSGPYKRRKIMKSSVGSDTDEVSEEAYSEIVSSPTISESSSCSSSCESEHASLVFEASTYKDVLILFRFDDHDLPFKLKEIIMADLRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLISITTVLIGFYDLYKNVPILKATASSLFGPFFDWIESWEMISRIKYLGTILFLHNCEKAFKWFLMVARATKSLLSVLTKPIAGPIMELFEFVFPIWNVCFDTVESLSLIVWNMLSSSCSMIITILDIIIWPFWFIFSTLWSIAAYVIYPLVWLLWEIVIAPARLIIAIASYVGILLTNIYYVLEGTWSSIGALFQLASVSEATVVTYEVPVWRSLWNDIFSKVFRAIRSIFYGFVAFFTTCNRHRLSIYNHMLEFLHRFSHSTSRRSKSDQVRKKGRNQSPLQESENLTPTCSLQTPDKLRRRQARRRTHET >Ma08_p23280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36646763:36657038:1 gene:Ma08_g23280 transcript:Ma08_t23280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREDRCFFPLTSLQIGDLQSYLSRLTLFLPIRSQKFFILVDNRPWLIDQDSRAAHLWQLMVTKSRLSPFANTRAARVTRRDIGKILEFTNSSRSLSITRNRLRRWFSLIDTALSQKKKLLHVKKLKASFLFNKELHCTLYGFIVFEVQWAHVRGINYINELQTDTSMALEAKLMKRWEFDSIEQSRSCISSWYTGTCNEINLLREYLESISNKGDVFYDAREDPFTTTEMRENLHSADEFHEEKHNCSYSNFIPMLERMEDSGSPYTPPVSGPYKRRKIMKSSVGSDTDEVSEEAYSEIVSSPTISESSSCSSSCESEHASLVFEASTYKDVLILFRFDDHDLPFKLKEIIMADLRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLISITTVLIGFYDLYKNVPILKATASSLFGPFFDWIESWEMISRIKYLGTILFLHNCEKAFKWFLMVARATKSLLSVLTKPIAGPIMELFEFVFPIWNVCFDTVESLSLIVWNMLSSSCSMIITILDIIIWPFWFIFSTLWSIAAYVIYPLVWLLWEIVIAPARLIIAIASYVGILLTNIYYVLEGTWSSIGALFQLASVSEATVVTYEVPVWRSLWNDIFSKVFRAIRSIFYGFVAFFTTCNRHRLSIYNHMLEFLHRFSHSTSRRSKSDQVRKKGRNQSPLQESENLTPTCSLQTPDKLRRRQARRRTHET >Ma08_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36646763:36657038:1 gene:Ma08_g23280 transcript:Ma08_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREDRCFFPLTSLQIGDLQSYLSRLTLFLPIRSQKFFILVDNRPWLIDQDSRAAHLWQLMVTKSRLSPFANTRAARVTRRDIGKILEFTNSSRSLSITRNRLRRWFSLIDTALSQKKKLLHVKKLKASFLFNKELHCTLYGFIVFEVQWAHVRGINYINELQTDTSMALEAKLMKRWEFDSIEQSRSCISSWYTGTCNEINLLREYLESISNKGDVFYDAREDPFTTTEMRENLHSADEFHEEKHNCSYSNFIPMLERMEDSGSPYTPPVSGPYKRRKIMKSSVGSDTDEVSEEAYSEIVSSPTISESSSCSSSCESEHASLVFEASTYKDVLILFRFDDHDLPFKLKEIIMADLRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLISITTVLIGFYDLYKNVPILKATASSLFGPFFDWIESWEMISRIKYLGTILFLHNCEKAFKWFLMVARATKSLLSVLTKPIAGPIMELFEFVFPIWNVCFDTVESLSLIVWNMLSSSCSMIITILDIIIWPFWFIFSTLWSIAAYVIYPLVWLLWEIVIAPARLIIAIASYVGILLTNIYYVLEGTWSSIGALFQLASVSEATVVTYEVPVWRSLWNDIFSKVFRAIRSIFYGFVAFFTTCNRHRLSIYNHMLEFLHRFSHSTSRRSKSDQVRKKGRNQSPLQESENLTPTCSLQTPDKLRRRQARRRTHET >Ma07_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5589843:5601706:1 gene:Ma07_g07510 transcript:Ma07_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MALRSFSPAASARSVHPSLTAASLLPSRTGSIISAPGNIRGGNISLGKHMSSLSSSSNYVRLQEGVNAFFSSLLVSRNSKRRARVLRCATIEEIEAEKSVIEKDAKERMEKTIETVRASFNAVRTGRANPSMLDRVEVEYYGSPVSLKSIAQISTPDGSTLLVQPYDKSSLKAVEKAIVSSDIGLTPNNDGEVIRLSIPQLTSERRKELSKVVAKLTEEGKVAVRNIRRDAIKAYEKLEKEKKLSEDNVKDLSSDLQKVTDEYMKKIETIQKQKEKELLSV >Ma06_p07620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5420624:5423843:-1 gene:Ma06_g07620 transcript:Ma06_t07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLKNDFVKYAHDEESTEEQEETGWKSIHGDVFRFPKNKSLFASCLGSGTQLFALMVFIFILALVGVFYPYNHGALFTAMVVIFALTSGIVGYTATSLYCQLEGTNLLLTRSLFSGPLFLTFCFLNTVAIVYSIAAALPLGTIVVIVLIWTLTTSPLLVLGGIAGKNSKTEFQVRCQTNKYPREIPPLPWYQGTIPQMVMAGFLPFGAIYIELFYIFGSLWGHRIYTIYSILFIVFIILLIVTTIIDIALTYFQLASKDHEWWWRSFLFSGSTGLYVHAYCLYYYYVLSDMSGFMQTSFFSYMACICYGFFLMLGMVGFCTALLFVPHIYRSIKCE >Ma03_p05450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3570655:3573762:-1 gene:Ma03_g05450 transcript:Ma03_t05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLADGTVNLMIWHCTIPGKPGTDWEGGYFPLTLYFSEDYPSKPPKCRFPQGFFHPNVYPSGTVCLSILNEDSVSYCCKPSSLAYVAVKCVMTCLCHMQGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDLEEYRRRVRQQAKQYPALV >Ma03_p05450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3570626:3573762:-1 gene:Ma03_g05450 transcript:Ma03_t05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLADGTVNLMIWHCTIPGKPGTDWEGGYFPLTLYFSEDYPSKPPKCRFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDLEEYRRRVRQQAKQYPALV >Ma04_p32820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32712771:32716048:1 gene:Ma04_g32820 transcript:Ma04_t32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 8 [Source:Projected from Arabidopsis thaliana (AT1G63460) UniProtKB/Swiss-Prot;Acc:Q8LBU2] MSSSKPAGSIHDFTVKDARGNDVDLSIYKGKVLLIVNVASQCGLTNSNYKELTQLYEKYKDKDFEILAFPCNQFAGQEPGSNEEIVEFACTRFKAEYPIFDKVDVNGQNATPIYKFLKSSKGGIFGDGIKWNFTKFLVDKDGRVVDRYAPTTSPLSIEKDIKKLLGLS >Ma09_p11140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7533476:7536514:-1 gene:Ma09_g11140 transcript:Ma09_t11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCDGEKLSIDSGEEWLQMMGERLRITAKNSSNTTSSTTTTTNTNNSSSTSSNTNKANMVRADSITSNAARVVDKPSAQDHPQAPLRCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRAKKPGAAPTVPPNSKHPRPLLPPDPVPSLAAQISTSSHLDATAIYALQTAASPSDMSLNLPIISNGFHIPSSTSAFDLQPHLGALGLGLSSNMHRDDEYQFGELRPLLPVNPAMISLLNDYPLFGSSLSSASLLVSGIKQPKQVEDYQTLLPFDELQASGMGDSINGMMKEVKLEGQTNTMTNNNMSSCIDWQIPSENSLDNLGPAAAMYWNAAIGGGGGGGGAGWPDGTNYGSSVAPLI >Ma09_p11140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7533473:7536516:-1 gene:Ma09_g11140 transcript:Ma09_t11140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGERLRITAKNSSNTTSSTTTTTNTNNSSSTSSNTNKANMVRADSITSNAARVVDKPSAQDHPQAPLRCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRAKKPGAAPTVPPNSKHPRPLLPPDPVPSLAAQISTSSHLDATAIYALQTAASPSDMSLNLPIISNGFHIPSSTSAFDLQPHLGALGLGLSSNMHRDDEYQFGELRPLLPVNPAMISLLNDYPLFGSSLSSASLLVSGIKQPKQVEDYQTLLPFDELQASGMGDSINGMMKEVKLEGQTNTMTNNNMSSCIDWQIPSENSLDNLGPAAAMYWNAAIGGGGGGGGAGWPDGTNYGSSVAPLI >Ma03_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26608976:26609934:1 gene:Ma03_g21650 transcript:Ma03_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLFLDLMHTGNSSLSAEGLKMSIIQDDQFAIMLGVTGRVSHRSSSWDEVCYGKIKQILITHGDAINSIQVAYDFKGTPILAHRHGGDGDRFDCINLLSWETLTVVKGYYGPLGNDGIDVVLSLTFVTNGGNTYGPFGRESGTPFCFNIRNGVHFWGFHGYSNSRYLCNIGIYVKPTAEYKYHFSPKPEKFVAARSVCNVSS >Ma06_p16480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11158569:11162465:-1 gene:Ma06_g16480 transcript:Ma06_t16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNGASSIEKEQIFGMAEKEMEYRVDLFNRLTQTCFQKCIEKRYKESELNMGENSCIDRCVSKYWQVTNLVGQLLGSNRPPM >Ma02_p21140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26859166:26859916:1 gene:Ma02_g21140 transcript:Ma02_t21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLVLLAVLAAASAWESAKAPPCSKITEMIVPCIPYLIDKAAHPGPKCCSGVRDLRKATKTHDDLVAICECLERAAHLFPGIDHPRADNLPHLCGVRFNLTFSPSVDCKKIPGPEELEKHLL >Ma10_p29490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36056622:36057729:1 gene:Ma10_g29490 transcript:Ma10_t29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSRTVGIGMDYSPTSKVAVRWAVDNLVCAGDHVVLIHVLSKSDHHTEKQLWEEHGSPLIPLGEFEDMNLTVRYGISPDGEVLDILHTASETKGVKVVSKIYWGDPREKICDAVEELKLDSLVVGSRGLGAIKRVLLGSVSNYVVVHATCPVTVVKSNA >Ma08_p33660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43927860:43930903:-1 gene:Ma08_g33660 transcript:Ma08_t33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICEEHGIDSTGNCTGDSGLQLERINVYYNEANGGRYVPRAVLMDLEPGTMDSIRSGPVGKIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYCALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDSPPEGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEEYEEEEEAA >Ma03_p05650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3877311:3883985:1 gene:Ma03_g05650 transcript:Ma03_t05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLVVFPIKGRSWCFSRSAESVSGADSSSTPPPGLRDLWRRISSHGRSAQESAEIVGDFIADKMNRAWTGLEKAPAGTLKSKIHSLGLRLLSRVKPSEIFLKSVTKDITTVEITYPANLNPRFVRRRLRHMAMWGSAVHRNYFYGSISLLPLTSVLSVLPLPNIPFFWILFRTYSHWRALKGSERLMLLVSDSSKSWSLLIDNKKESDLKADLKNSCEDALPPPWILQPSEDLERLLNGEGIKDSISCSTISSICKTYDLDKNVIVKYRNLQ >Ma02_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25973543:25974811:-1 gene:Ma02_g19800 transcript:Ma02_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRGKNCESGDAELSPTEPPASAEKNEIVPLSGKPFLTFVVSWSHVHDPYQVLFPKRFYPFLPATCVPVTLSYGNRTWKMKYSCKGILRRLCSGWKNFALDTDLKVGDGCVLELMDSNNILFKVQILRRGVVPVKRDKGLSCDAPISID >Ma08_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20408743:20413367:1 gene:Ma08_g16710 transcript:Ma08_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFSIVFCSRGSLSLFGVFFLFLGLFRFGLGFLALDSESGGPDRGCVAGELMKKRFLDVHPVEERVVVLADDALRVCKTTARRCDGLPEGEGKEHGRGAATTSGLVSRFVWLYPMSLYKRLFCVQQQQQNRLLLVEKKETVAMCEEVRQVLAEVNTSSEKLFEVMLPTQCSVRLKDLE >Ma08_p16710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20408743:20413154:1 gene:Ma08_g16710 transcript:Ma08_t16710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARNFSIVFCSRGSLSLFGVFFLFLGLFRFGLGFLALDSESGGPDRGCVAGELMKKRFLDVHPVEERVVVLADDALRVCKTTARRCDGLPEGEGKEHGRGAATTSGLVSRFVWLYPMSLYKRLFCVQQQQQNRLLLVEKKETVAMCEEVRQVLAEVNTSSEKLFEVMLPTQCSVRLKDLE >Ma08_p16710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:20408743:20413154:1 gene:Ma08_g16710 transcript:Ma08_t16710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRFLDVHPVEERVVVLADDALRVCKTTARRCDGLPEGEGKEHGRGAATTSGLVSRFVWLYPMSLYKRLFCVQQQQQNRLLLVEKKETVAMCEEVRQVLAEVNTSSEKLFEVMLPTQCSVRLKDLE >Ma03_p26010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29911524:29914310:1 gene:Ma03_g26010 transcript:Ma03_t26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYPVPPPPPPPPPSLLHHDHQAARGGGQAFLASNAVVLIVVPLLLLILVAVAALLLVKVCRAKARKSRNMSSCNSSFRKGSFDRMQMPVYSANGGANAIAYSPDVRSCIHGGKLGCPMQARQRRGQVFTYRELELATDGFGENNVIGNGGFGVVFRGTLCDGTVAAIKLLRREDKQGERDFRTEVDLLSRLHSGYLVGLLGYCADQQHRLLVFEYMPNGSLQHHLHPTSRKQSHKPLDWWTRLRIALDCARALEFLHERTVPAVIHRDFKCSNILLDHDCRAKVSDFGMAKVGSDKINGQVLTRVLGTTGYVAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDPGRPPGEHVLVSWALPRLTNREKVVEMVDPALRGQFSKKELIQVAAIAAVCVQSEADYRPLMTDVVQSLIPLVKNSVAASPTTPSRVQVSVARS >Ma05_p19020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25907516:25908796:-1 gene:Ma05_g19020 transcript:Ma05_t19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSKB [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/TrEMBL;Acc:A0A178UTW2] MGSFGGAESFSIKRGKATNILALGKAFPKQLVMQDLLVDGYFRNTNCTDPDLKKKLTRLCKTTTVKTRYLVMCDEILENHPELARDGQPTLRQRLEISHEAVTEMAIEASRSCVKAWGRPFAAITHLVYVSSSEARFPSGDLHLARALRLNPDVSRVMISFAGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIVGFRPPNPHRPYDLVGAALFGDGAGAVVLGTDPIPGTETPMFELSSAIQQYLPDTDKTIQGELTEEGISFLLGRELPLVIEDHVEAFCKKLAMEGLRGESHDVNYNDMFWAMHPGGPAILNKVESRLRLCPDKLNASRQALRDFGNASSNTIIYVLENIIEESRKKKESGESDCEWGLILAFGPGITFEGILARNLMV >Ma01_p09000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6458355:6462510:1 gene:Ma01_g09000 transcript:Ma01_t09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPSELALEAFFRADGGDVDELSSPPPPSLEDLLHPGSLGFGFVDRDGVFCHLAGGGGQLLTGGSHAWSHNLTPKNSNISATIESQSSMCAETPTSSHKPTAKVSQTLGGTSGSEQSDEESLETEGGQYEQSTDVIDNKRIRRMASNRESARRSRKRKQAHLADLELQVDQLRGENESLFKQLTDASDEFTEAVTNHRILKSNVEALRIKVKMAEDLVTGGALACGLDHLLQTNIGSPQFLNPQQPSRASPDFLSAIQVQADDPGYVGTPCAGQFQNTGMGNNDAENADILPGLNQTSPLQSITNMDNHRIRIPREVAGCGADIWPCKTNRD >Ma01_p09000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6458355:6462510:1 gene:Ma01_g09000 transcript:Ma01_t09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPSELALEAFFRADGGDVDELSSPPPPSLEDLLHPGSLGFGFVDRQDGVFCHLAGGGGQLLTGGSHAWSHNLTPKNSNISATIESQSSMCAETPTSSHKPTAKVSQTLGGTSGSEQSDEESLETEGGQYEQSTDVIDNKRIRRMASNRESARRSRKRKQAHLADLELQVDQLRGENESLFKQLTDASDEFTEAVTNHRILKSNVEALRIKVKMAEDLVTGGALACGLDHLLQTNIGSPQFLNPQQPSRASPDFLSAIQVQADDPGYVGTPCAGQFQNTGMGNNDAENADILPGLNQTSPLQSITNMDNHRIRIPREVAGCGADIWPCKTNRD >Ma09_p10710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7283635:7287076:-1 gene:Ma09_g10710 transcript:Ma09_t10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSRRWVVWLLLTMALCLSCAEAGRGQVPVKRRLEVQRHLRRLNKRAVRSIKSPDGDIIDCVHISHQPAFDHPSLKNHTIQMRPAFHPEGLQFGENKVASQKRTPPLSQLWHQHGRCPKNTIPIRRTRRKDVLKASSIRKYGTKKHKSIPNPLSVDPDLLSESGHQHAIGYVEGDTYYGAKATINVWEPKIQQANEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDSYQATGCYNLLCSGFIQISSEIAMGATIYPISRYGGSQYDISILVWKDPREGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGEHTSTAMGSGHFPGEGFGKASYFRNIQIVDDSNKLRTPTGVGTFTEQSSCYDVQIGNGGQWGHYFYYGGPGRNSNCP >Ma06_p05000.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3732913:3740708:1 gene:Ma06_g05000 transcript:Ma06_t05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAQEYLTAMAFAQQQQQQASMQPQQQFGFHPQPQQFPHQVHGTPFLPPHPSQPFPAYHHHFPPQQQIFPHHLPLNLQQQQQALAALEPHNLPLHIMAQAAYTHPYESAPPVAPPSDPELQQRIDMLVEYVAKNGSEFESMVCEKQRDNPDYSFLFGGEGHNYYRYKCWLYTRPPGAPSHHSFHSSSMPMIPSSHNPMLNPSAVNPTLISTPAGPTGSVFGAPQLHQPSYPPFYDQHQKLHSQPFMGQPRPDYELSTKSFKGLSGPLPSDVAAELSSVLINLTGTKESIKGAKVWFMQRSPFAPALAESLRDRIFALDDSERQMHIIFLVNDILFDSLQHRISPRELDNEALAFRPVLGSMLAKIYNNPHNKDANQSRLEKILQFWASKEVYNQDTVTSLEREMTGGLPCRSAAQMEAVGGLNSSNLTGLTPQQWSDNKNSDLSFQSQEVTNKHLPPTSALQFTPTVTQQTPSSLTLPVQPTVPTALPQLQPNSAASIMDQGPSPYPLFPPGLIPDMVRKTQIGSGVPYSPLSTLDIPTVIAPSTMSPSKVLDQVSKFFKEIGEINPSEGPIRQSASDDEYDECGMEPPVRKGGACIPPPNLKMDAETGAYADGSVDRKAGSGGSGRLGLGATADPNEVSQYDDVYSSYRKQRSTNYHSSMSARAASR >Ma06_p05000.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3732967:3740708:1 gene:Ma06_g05000 transcript:Ma06_t05000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAQEYLTAMAFAQQQQQQASMQPQQQFGFHPQPQQFPHQVHGTPFLPPHPSQPFPAYHHHFPPQQQIFPHHLPLNLQQQQQALAALEPHNLPLHIMAQAAYTHPYESAPPVAPPSDPELQQRIDMLVEYVAKNGSEFESMVCEKQRDNPDYSFLFGGEGHNYYRYKCWLYTRPPGAPSHHSFHSSSMPMIPSSHNPMLNPSAVNPTLISTPAGPTGSVFGAPQLHQPSYPPFYDQHQKLHSQPFMGQPRPDYELSTKSFKGLSGPLPSDVAAELSSVLINLTGTKESIKGAKVWFMQRSPFAPALAESLRDRIFALDDSERQMHIIFLVNDILFDSLQHRISPRELDNEALAFRPVLGSMLAKIYNNPHNKDANQSRLEKILQFWASKEVYNQDTVTSLEREMTGGLPCRSAAQMEAVGGLNSSNLTGLTPQQWSDNKNSDLSFQSQEVTNKHLPPTSALQFTPTVTQQTPSSLTLPVQPTVPTALPQLQPNSAASIMDQGPSPYPLFPPGLIPDMVRKTQIGSGVPYSPLSTLDIPTVIAPSTMSPSKVLDQVSKFFKEIGEINPSEGPIRQSASDDEYDECGMEPPVRKGGACIPPPNLKMDAETGAYADGSVDRKAGSGGSGRLGLGATADPNEVSQYDDVYSSYRKQRSTNYHSSMSARAASR >Ma06_p05000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3732967:3740708:1 gene:Ma06_g05000 transcript:Ma06_t05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAQEYLTAMAFAQQQQQQASMQPQQQFGFHPQPQQFPHQVHGTPFLPPHPSQPFPAYHHHFPPQQQIFPHHLPLNLQQQQQALAALEPHNLPLHIMAQAAYTHPYESAPPVAPPSDPELQQRIDMLVEYVAKNGSEFESMVCEKQRDNPDYSFLFGGEGHNYYRYKCWLYTRPPGAPSHHSFHSSSMPMIPSSHNPMLNPSAVNPTLISTPAGPTGSVFGAPQLHQPSYPPFYDQHQKLHSQPFMGQPRPDYELSTKSFKGLSGPLPSDVAAELSSVLINLTGTKESIKGAKVWFMQRSPFAPALAESLRDRIFALDDSERQMHIIFLVNDILFDSLQHRISPRELDNEALAFRPVLGSMLAKIYNNPHNKDANQSRLEKILQFWASKEVYNQDTVTSLEREMTGGLPCRSAAQMEAVGGLNSSNLTGLTPQQWSDNKNSDLSFQSQEVTNKHLPPTSALQFTPTVTQQTPSSLTLPVQPTVPTALPQLQPNSAASIMDQGPSPYPLFPPGLIPDMVRKTQIGSGVPYSPLSTLDIPTVIAPSTMSPSKVLDQVSKFFKEIGEINPSEGPIRQSASDDEYDECGMEPPVRKGGACIPPPNLKMDAETGAYADGSVDRKAGSGGSGRLGLGATADPNEVSQYDDVYSSYRKQRSTNYHSSMSARAASR >Ma03_p26380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30141639:30143572:-1 gene:Ma03_g26380 transcript:Ma03_t26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGNSKDRISVSRSLMESSTNLVSVNASLRLITLLLFLLPAALGSATTGCYSSIFSFGDSLADTGNLLHTLGRDGTRIGRPPYGMTYFHRPTGRFSDGRLIVDFIAQAMGLPLLPPYLEETTGGDMRKGVNFAVGGATAMENGFFRKRGIHIKFTNVSLGDQIHWFRQLLPSLCSSSSACEDMLHKSLVLMGAIGGNDYNDPFMEGRSLREIKSFVPRVVSTISSAIDELIELGARTLLVPGITPLGCNSAYLTYYRTHQAEDYDSTTGCLKWLNEFSMYHNGRLQAELRRLQQLHPHATIIYADYYGASMSIFSNPNAFGFGEEPLVACCGGGGPYNYNFSRQCGSEGSTVCGDPSRYVHWDGLHMTEATYRSIASGLLHGPFAAPAIGSTCPNIQLSLHGDVEVSEG >Ma02_p23840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28691380:28694889:-1 gene:Ma02_g23840 transcript:Ma02_t23840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAQARNVVLPCMKLLRMSAVPILEQLHLEERLLRTSVDNWCIVNDRTNRPTIVMGVSGRPSELIELKSVLRDQIPVIKRFTGGGTVIVDDGTVFISFICNKNAISGLQPYPHPIMSWTGQLYSEVLRGFGDFHLRENDYAFNSHKFGGNAQSIIKERWIHHTSFLWDYDIKNMEYLKLPTRAPKYRSARPHVDFLCRMKEYVPSKSTFIERTIKSLGSYFLVKPFDLDDITTEPPCTLPHSTKLLSRQELEDAYSSQCENLP >Ma02_p23840.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28691380:28694931:-1 gene:Ma02_g23840 transcript:Ma02_t23840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAQARNVVLPCMKLLRMSAVPILEQLHLEERLLRTSVDNWCIVNDRTNRPTIVMGVSGRPSELIELKSVLRDQIPVIKRFTGGGTVIVDDGTVFISFICNKNAISGLQPYPHPIMSWTGQLYSEVLRGFGDFHLRENDYAFNSHKFGGNAQSIIKERWIHHTSFLWDYDIKNMEYLKLPTRAPKYRSARPHVDFLCRMKEYVPSKSTFIERTIKSLGSYFLVKPFDLDDITTEPPCTLPHSTKLLSRQELEDAYSSQCENLP >Ma02_p23840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28691380:28694889:-1 gene:Ma02_g23840 transcript:Ma02_t23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAQARNVVLPCMKLLRMSAVPILEQLHLEERLLRTSVDNWCIVNDRTNRPTIVMGVSGRPSELIELKSVLRDQIPVIKRFTGGGTVIVDDGTVFISFICNKNAISGLQPYPHPIMSWTGQLYSEVLRGFGDFHLRENDYAFNSHKFGGNAQSIIKERWIHHTSFLWDYDIKNMEYLKLPTRAPKYRSARPHVDFLCRMKEYVPSKSTFIERTIKSLGSYFLVKPFDLDDITTEPPCTLPHSTKLLSRQELEDAYSSQCENLP >Ma05_p00390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:249739:250954:-1 gene:Ma05_g00390 transcript:Ma05_t00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSKDTPESGYAVPPPNLFGVDFGLRLLLLASAVSALVVLVTSKQTESIPTSLPPPFPAFISRDAKFQHSPAFIYLLVALSVTCFYSIITMVASFAAISSPSSSPRMLFHLVLSDAVMAGVMASAAGTAGSVAYLGLKGNSHVNWNKVCNVYDKFCRHVGSSAAVSLVASILLVSLVVLSSYSLYRRCR >Ma01_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13586021:13589116:-1 gene:Ma01_g18330 transcript:Ma01_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEIQKFMVDSCGSSLFSISSANPTTVGAGAVDTPTTDIHSAALHPLKYHPLPQPHQPQPQASPPLPPHFSHFHSVSITQQLFHHPAHQFQLFHPQQQYLEPRQLIPQHQLGLDHEPAPENSSSPTRIIPGSSSGGGPSFLTAAMSFKLSVNDSSGGGGGGGNREGINDNDSILQGDDGSESRLHHWQREDESSIKELSWRPLDLDYINRNHKRCKDKEAETSNSKHCRKNKEGDETEDVQVAGGSNYKIFSELEAIYNKPGGGANQTGSGSALTGDEAPLLHATTVAAPGPPAADEEEEATAKKLSKGSGRRRRKWRQRQLSSVAAFFEDLVKQLMDHQEDLHRQFLDVMERREQQRISREEAWRKQQVAKSSREAATRAQERALASSREAAIISFIEKFTGESLNLPCNLPFPSHMPDADADKEDHTNTPNNLQIEPSSDTFNNGDPGSSKMSFNTSRWPKAEVQALIRVRSGLESRFQEPGLKGPLWEEVSATMATMGYHRSAKRCKEKWENINKYFRKTKERGKKRPQHSKTCPYFQQLDQLYSKSLNTNPITSTSSPNATNVSTIAAASGANEGQRKDNSELLDAIVVPADHQSFRFSDMAALGFDFNSRGDDSDRAGGGGGGTAAEGPLEPRVSEDNNDEEDDDQGGGDEEGEDEEREGDSQRLHQLDQEEEDLHDSSLFFQRLRS >Ma03_p22510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27261436:27267692:-1 gene:Ma03_g22510 transcript:Ma03_t22510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLIKLLQHMNTDVKIAGEHRSSLLQVVSIVPALAGSDLFTNRGFYLKVSDSSHATYVSLPDEHNDLILSDQIQLGQFIHVDCLEAGSPVPILKGARPLPGRHPCVGNPEDLVATSSLGFLNPEKPKSSNYSKHNSNKSSENDRSKLGNSKLPIKMQEVEKKRASLSRSSSLLSKQLVTGKLEKKVSISVRSKSMHLRSMPSSPTSVYSLPGSFEMFSSEIKKQAQAKRLEKPTLSRVGLLEKAASVLKVTSAGRKSSARSLQGNMVPSIGLVPKALRKSWEGNVEVKRRGSSITKIAKLEMRTESRSASELLKYKDAAQLAAVEAIQEASASESLIRCLSMYAELSTCAKEERPQSAVEQFLALHASLCSATAVADSLSKTIPASPKVSSPDAPSVGDQIPEEALRVSAKNRRRAASWVGAALATDLSPFSLYNRRTSADSIVSPSVVVLDGPAKTSAAAAAPSKACHQSKPRPCPASSSAAKGRARGAAPPSPPPEWERGVGMEDGAELARQLREEAHSWFLGFVERFLDADAAAPGPSDREQVAAMLSQLKKVNDWLEAIGHRRREGEAEADAEVDEAEHGEGPGGGVPVETIDRLRKKIYEYLLTHVESAAVALGGGVQPSPLDGGRPVQSK >Ma03_p22510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27261439:27267689:-1 gene:Ma03_g22510 transcript:Ma03_t22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLIKLLQHMNTDVKIAGEHRSSLLQVVSIVPALAGSDLFTNRGFYLKVSDSSHATYVSLPDEHNDLILSDQIQLGQFIHVDCLEAGSPVPILKGARPLPGRHPCVGNPEDLVATSSLGFLNPEKPKSSNYSKHNSNKSSENDRSKLGNSKLPIKMQEVEKKRASLSRSSSLLSKQLVTGKLEKKVSISVRSKSMHLRSMPSSPTSVYSLPGSFEMFSSEIKKQAQAKRLEKPTLSRVGLLEKAASVLKVTSAGRKSSARSLQGNMVPSIGLVPKALRKSWEGNVEVKRRGSSITKIAKLEMRTESRSASAPRQRSSMNEKLLLKEDCKIQSPVNKDITSAAEDDSEKSAKHKTSVVKKSPKSCNSLNLANLVKVVPTNRKWTDGSISWASLPSSLVKLGKELLKYKDAAQLAAVEAIQEASASESLIRCLSMYAELSTCAKEERPQSAVEQFLALHASLCSATAVADSLSKTIPASPKVSSPDAPSVGDQIPEEALRVSAKNRRRAASWVGAALATDLSPFSLYNRRTSADSIVSPSVVVLDGPAKTSAAAAAPSKACHQSKPRPCPASSSAAKGRARGAAPPSPPPEWERGVGMEDGAELARQLREEAHSWFLGFVERFLDADAAAPGPSDREQVAAMLSQLKKVNDWLEAIGHRRREGEAEADAEVDEAEHGEGPGGGVPVETIDRLRKKIYEYLLTHVESAAVALGGGVQPSPLDGGRPVQSK >Ma04_p35170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34067197:34069542:-1 gene:Ma04_g35170 transcript:Ma04_t35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >Ma06_p17220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11716587:11717054:1 gene:Ma06_g17220 transcript:Ma06_t17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPCSARWSSYRRRPRPPATSASSSSTTASTSGSSWWPDPSRASSRPPLLAVPRRHPQDPDAGRLPALPPAARSPPRRPLCPPRRGPPRPLPRPRRRTRPRRVLLRLRDLQGLALRGELQQSDRPRRLRGARHRRQRRRVHAYGHREAASPAQK >Ma02_p22340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27704151:27711247:-1 gene:Ma02_g22340 transcript:Ma02_t22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQAIEILTQRASVLRESLQRSRSNTESMVAMLGSFDHRLSALESAMRPTQVRTRAIRTAHQNIENTLKATAVVLTHFDLLRQAEVAILKGPDEDLESFLDAVDLLKCNIRFFSTNKISTSEYGLLNHANNLLSKAVVKLRDEFRQLLATYSKPIDLEFIANFPPNSLRSLSQTTGSQSDSGNHVPANNHSEHQGRSLETPVYKTPTLMPPRILPLLHNLAEQLVQAGYQQQCSRIYSDVRASALESSLQKLGVDALTKDDVQKMEWDTLETKIQDWVHLMQIAVNLLFAEERKICDQIFYGVTFNKDLCFAEVTVNSVSMLLSFGDSVAKSKRSPEKIFPLLDMYEVLHELQPEIARIFEGKACSEIQQSTLTLAKHLAHAVKGTFLNFEEIIEKDSTKTMVADGTVHPLSSYVINYMKFLFDYRLTIERILQEVEIGNKTDSQLAIMTMRIMQSLQNNLDGKSKQYKDPALTYLFLMNNIHYMVRSLSRSEAKDLLGDDWVQIHRRIVQQNANQYKRVAWAKILQTLSGQGLSSLGSSSSLGAEGGNSSGVSRASVKERFKSFNTQFEELHQRQSQWVVPDPELREYLRLAIAEVLLPAYRSFIKRFGLLVENSSKPQKYIRYTPEDVEQLLDGFFQGNTVGEPKR >Ma02_p22340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27705742:27711247:-1 gene:Ma02_g22340 transcript:Ma02_t22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPQAIEILTQRASVLRESLQRSRSNTESMVAMLGSFDHRLSALESAMRPTQVRTRAIRTAHQNIENTLKATAVVLTHFDLLRQAEVAILKGPDEDLESFLDAVDLLKCNIRFFSTNKISTSEYGLLNHANNLLSKAVVKLRDEFRQLLATYSKPIDLEFIANFPPNSLRSLSQTTGSQSDSGNHVPANNHSEHQGRSLETPVYKTPTLMPPRILPLLHNLAEQLVQAGYQQQCSRIYSDVRASALESSLQKLGVDALTKDDVQKMEWDTLETKIQDWVHLMQIAVNLLFAEERKICDQIFYGVTFNKDLCFAEVTVNSVSMLLSFGDSVAKSKRSPEKIFPLLDMYEVLHELQPEIARIFEGKACSEIQQSTLTLAKHLAHAVKGTFLNFEEIIEKDSTKTMVADGTVHPLSSYVINYMKFLFDYRLTIERILQEVEIGNKTDSQLAIMTMRIMQSLQNNLDGKSKQYKDPALTYLFLMNNIHYMVRSLSRSEAKDLLGDDWVQIHRRIVQQNANQYKRVAWAKVQVLQHAV >Ma04_p00890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:821819:825648:-1 gene:Ma04_g00890 transcript:Ma04_t00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQLGEAVGSLKALMVFRDEIRVNRRQCYLLVDAFDLAFDAVAEEMRSHLRFEEKPGKWRALEQPLKELHRVFREGEQYVRRCLEPGEWWGKAVALNQNSECVELHLHNLLWCVPVVLEAIENVAEATAGGDQEDIQKKRLVFSKKYEREWMEPELFQHKLGKLYLSSQELRTRLETAWKEDRWILSETIAEKASSGSRPLTKQENRLAQLLVGPKGKLWPSSVLVGSPDYQVRRRFGSGSVYYKEIQWMGETFVVKHVIGDTASLTNEISILSSIAHPNVMQYMYCFTDEEKKECLMLMELISKDLSCYIREVCSTRRKVLPLLVAVDTMLQIARGMEYLHSKQIYHGDLNPSNIFVKARNPSPDGYLHAKVGGLGLSPATNSKASSCIWHSPEVLLEQEQTGDGSSSSSSKRTEKADVYSFAMICFELLTGKVPFEDNHLQGDKMSKNIRAGERPLFPSQSPKYLVTLTRRCWHSDPSQRPSFSSVCRVLRYLKRFLVLNPDHSQPDPTPPPVDYFDLESSLSKRFANWARGDLLRASEVPFQMYAFRVLERERTSANVKERSSESGSEGASVCGDENACNGVLPDDDDTIATVVSADKSLPQKVTLDTNKKTTSARKADGKANKQSSGQNQKARMVRSPQLSCGRSVKMNSERQLQPVVMSPGRQKTSGHNSDT >Ma11_p12240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16133350:16134394:-1 gene:Ma11_g12240 transcript:Ma11_t12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASVLSLSFLFLISFGPVGLSHAASKCSVPTPPINIPIFPTDIDLLQFALNLEHMEADWFLYGALGYGLDAVAPELVMGGPPPIGATKANLDNLLAGLLAVESGQDAVIRTLLYERANEVVQPYKNLTVAEFTTKVSELRNKLASCGVKDEGLLVPVGWGAENKTNSNVLSANVNSVAYKRTPAEILRIVYGTGDEGTPGGFLPNGGDGAIAKGLLKFA >Ma09_p03310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2240470:2242599:-1 gene:Ma09_g03310 transcript:Ma09_t03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRQRWRSEEDAILRAYVKQYGPREWHLVSQRMNVTLDRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEIPGRTAKRLGKWWEVFREKQQREQRESNKSGAATAEPGKYDRILESFAEKLVKEQRAVPLLMATPFLPPWLSNNAGSRRPPSPSVTLTLSPSTVPPAPKPWPQPERGGNGGLGLTTSQRSVVPGFASSVDGNMMSELVDCCRELEEGHRAWMEHKKEAAWRLKRLELQLESEKACKRREKMEEIEAKVRALREEQQVVLERVEAEYKEQIAGLRKDAEAKEQKLADQWAAKHMRLSKFLEQVGCRQWPPADMNGR >Ma09_p03310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2240424:2242599:-1 gene:Ma09_g03310 transcript:Ma09_t03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRQRWRSEEDAILRAYVKQYGPREWHLVSQRMNVTLDRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEIPGRTAKRLGKWWEVFREKQQREQRESNKSGAATAEPGKYDRILESFAEKLVKEQRAVPLLMATPFLPPWLSNNAGSRRPPSPSVTLTLSPSTVPPAPKPWPQPERGGNGGLGLTTSQRSVVPGFASSVDGNMMSELVDCCRELEEGHRAWMEHKKEAAWRLKRLELQLESEKACKRREKMEEIEAKVRALREEQQVVLERVEAEYKEQIAGLRKDAEAKEQKLADQWAAKHMRLSKFLEQVGCRQWPPADMNGR >Ma02_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22295587:22297239:1 gene:Ma02_g14160 transcript:Ma02_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLVRDYEIGAEIGRGRFGLVRRCISAVTGEEFALKSIEKRLLADDVDRECAEREVKIHHLAAAGNPHAVQIHAAYEDDKWVHLVLELLDGPDLCDRIAARQGTPFAEPEAAAVVAALAEAVAACHRRGVVHRDVKPDNVLFDARGLLKLADFGSAECFVGADGGRVPMKGIVGTPWYVAPEVVTGRDYGEKVDVWSVGVVMYMMLAGGLPPFYGETAVEIFDAVARANLRFPPRVFRSVSPAAKDLMRRILCKDVSRRFSAEQVLRHRWITNRGGMLRAAEGDPSLSM >Ma04_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17251385:17260389:1 gene:Ma04_g17060 transcript:Ma04_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKKKPMVSDAGAWAMNVVSAVGLIMTNKQLMSNSGYGFSFATTLTGFHFTMTALVGWISNATGLSVSKHVPLWELLWFSIVANMSITGMNLSLMLNSVGFYQISKLSIIPVVCLMEYFLHSKHYSSRVIMAVTAVAVGVGICTVTDVEIKNKGLLCACVAVFCTSLQQITIGSLQKKYDIGSFELLSKTAPIQAISLLLFGPFADYYLNGHSLLTYHYSMGATSFILLSCILAVFCNTSQYLCIGRFSATSFQVLGHMKTVCVLVLGWLLFDSALTLKNILGMLLAVVGMVVYSWAVEHEKKAKICPDIRVDSKLDGEDDMLLEKDNGVAKPDIELTR >Ma11_p09740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9012695:9019541:1 gene:Ma11_g09740 transcript:Ma11_t09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVTRACGGGGGRARTGALYIGGSTTAPIETPSLLISTRKGLPTFVSRDHLAALPTPDSLLLHVSPLHFLDCPSSKTISNIGGLHQMLGLHEHFFVAAPRDSLESLPESEGTNKLGASFETPCGRRLIKPSAYVEKIASLKPNLWASMADEVPAWVSEKRNKTSVDRTLRWLDECLALDPAGGKTMLGLVVGGSNIEERKHCAIEVATRNVSGFWIGGFGLGENVEERSVLLNAVTDNLPEEKVRFISGLSLPEEVLQGVASGIDLFDSTYIYHLTLGGFALIFPFKILDHNNLDFQLDDTVGDRTKINLRATIYRKDISPIVANCSCFTCQNHTRAYINHLLNVHEMLAHILLEIHNTHHYLGFFRAMREAIKGGKFDLFRQRFVESRRIHLTSAVLCS >Ma08_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7830449:7836745:1 gene:Ma08_g10680 transcript:Ma08_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAAESVPKALAEEVRRWGAMKRNGVSLRYMMEFGARPTPTNLLLSAQFLHKELSARIARRAIELESLPLGLSGKPAVLKVRDWYLDSFRDLRSFPKIKDPHDELSFTQLIKMIKLRHNNVVPAMALGVQQLKRDMNRKLVPKELEEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGCVGQINTKLSPMQVARTASDDARSICFREYGSAPEVDIYGDPNFTFPYVPSHLHLMLFELVKNSLRAVQERFMDSDKDAPPVRIIVADGIEDVTIKISDEGGGIPRSGLAKIFTYVYSTAKNPLDEDYCGVSNGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >Ma08_p10680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7830449:7836745:1 gene:Ma08_g10680 transcript:Ma08_t10680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKAAESVPKALAEEVRRWGAMKRNGVSLRYMMEFGARPTPTNLLLSAQFLHKELSARIARRAIELESLPLGLSGKPAVLKVLIIHLDGDWYLDSFRDLRSFPKIKDPHDELSFTQLIKMIKLRHNNVVPAMALGVQQLKRDMNRKLVPKELEEIHQFLDRFYMSRIGIRMLIGQHVALHDPDPEPGCVGQINTKLSPMQVARTASDDARSICFREYGSAPEVDIYGDPNFTFPYVPSHLHLMLFELVKNSLRAVQERFMDSDKDAPPVRIIVADGIEDVTIKISDEGGGIPRSGLAKIFTYVYSTAKNPLDEDYCGVSNGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >Ma06_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14917704:14918789:-1 gene:Ma06_g20770 transcript:Ma06_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCSRRKIHVRHPVVVDIGCNCRKPRLASLFSSFSSASKPKPKTRPADLSTTTSSSATAYASTSTWDPSFTSTDASHEQTPSPPPQPQQRRRRRKKHGRVVRESLPVVKETSEPYSEFKESMVQMIVEKEIYGWDDLNDLLHRFLSLNSPRHHHLILRAFADLWNGVFSPPSPLAGDDYAPPPFY >Ma00_p04700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:40579374:40579640:-1 gene:Ma00_g04700 transcript:Ma00_t04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPQYFSCNLCNGLITVVSYEVFGARGTCKLKFSELNFETFCVVYVTCMCVCVLHELYVYVCVQCVLCILRVCVVCCLCAVCVICV >Ma04_p14690.8 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11128471:11143273:1 gene:Ma04_g14690 transcript:Ma04_t14690.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKQHDKGSGSVSKPASKPATAVPDKPSPLSSQKPVQATQENSGRRKTSKYFTTSTAKEVNSKETVKEKVEAKTPPKRKTRKSIEDLPDDIKPSPAKKLHRNDDDDDDDDFVPPSKDKRSAEVKPTKKMKTGSGIQIISSSHEVDDHLEDETDENDYETPLKAGGRGKGGRGSGATAGGRGRGGGRGGYMNFGERKDPPHKGEKEVPEGSPECLNSLTFVISGTLDSLEREEAEDLIKRHGGRVTSAVSKKTSFLLADEDVGGRKSSKAKELGIPFLTEDGLFDKIRKSKPAKAQMQEEKKKRSPEKMDKPIINKSPRKVEVKDDKAVSIGRKDAAKNVKSGISPDKRKSQSGDRSSLTWTEKYRPKLPNDIIGNQSIVKQLHDWLMTWDEHFLHAGQKGKGKKQSDSGSKKAVLLSGSPGIGKSTSAKLVSQMIGFQAIEVNASDSRGKADAKIGKGIGGSTSNSIKELVSNEIVNSSSDCRSKRQKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLKQIADAEGLQINEVALEELAERVNGDMRMAINQLQYMSISKSAINYDDIRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLIVQENYINYRPTSIGKDENGVKRMQFLAQAAESIGDGDIFNVQIRRYRQWQLSQSSSFASCIIPAALMHGHRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDVHVHFLASQEAKLDRATLRVDYLTLLLKQLTDPLQMMPKEVAVQKVVEFMDAYSLTQEDFDTIVELSKFKGHPNPMDNIQPAVKSALTKAYKQGSSSRVVRSADLITLPGLKKAPKKRIAAILEPVEDGVSEENGEALAENEEENSDSEDADDLGLGTNADQKPQLDLLSNKPKGVQVQLDLKNNGKSNVKKKTPASKSRASEPADKGAQMSGSRKRKK >Ma04_p14690.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11128501:11143273:1 gene:Ma04_g14690 transcript:Ma04_t14690.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKQHDKGSGSVSKPASKPATAVPDKPSPLSSQKPNQVQATQENSGRRKTSKYFTTSTAKEVNSKETVKEKVEAKTPPKRKTRKSIEDLPDDIKPSPAKKLHRNDDDDDDDDFVPPSKDKRSAEVKPTKKMKTGSGIQIISSSHEVDDHLEDETDENDYETPLKAGGRGKGGRGSGATAGGRGRGGGRGGYMNFGERKDPPHKGEKEVPEGSPECLNSLTFVISGTLDSLEREEAEDLIKRHGGRVTSAVSKKTSFLLADEDVGGRKSSKAKELGIPFLTEDGLFDKIRKSKPAKAQMQEEKKKRSPEKMDKPIINKSPRKVEVKDDKAVSIGRKDAAKNVKSGISPDKRKSQSGDRSSLTWTEKYRPKLPNDIIGNQSIVKQLHDWLMTWDEHFLHAGQKGKGKKQSDSGSKKAVLLSGSPGIGKSTSAKLVSQMIGFQAIEVNASDSRGKADAKIGKGIGGSTSNSIKELVSNEIVNSSSDCRSKRQKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLKQIADAEGLQINEVALEELAERVNGDMRMAINQLQYMSISKSAINYDDIRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLIVQENYINYRPTSIGKDENGVKRMQFLAQAAESIGDGDIFNVQIRRYRQWQLSQSSSFASCIIPAALMHGHRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDVHVHFLASQEAKLDRATLRVDYLTLLLKQLTDPLQMMPKEVAVQKVVEFMDAYSLTQEDFDTIVELSKFKGHPNPMDNIQPAVKSALTKAYKQGSSSRVVRSADLITLPGLKKAPKKRIAAILEPVEDGVSEENGEALAENEEENSDSEDADDLGLGTNADQKPQLDLLSNKPKGVQVQLDLKNNGKSNVKKKTPASKSRASEPADKGAQMSGSRKRKK >Ma04_p14690.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11128494:11143273:1 gene:Ma04_g14690 transcript:Ma04_t14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKQHDKGSGSVSKPASKPATAVPDKPSPLSSQKPNQVQATQENSGRRKTSKYFTTSTAKEVNSKETVKEKVEAKTPPKRKTRKSIEDLPDDIKPSPAKKLHRNDDDDDDDDFVPPSKDKRSAEVKPTKKMKTGSGIQIISSSHEVDDHLEDETDENDYETPLKAGGRGKGGRGSGATAGGRGRGGGRGGYMNFGERKDPPHKGEKEVPEGSPECLNSLTFVISGTLDSLEREEAEDLIKRHGGRVTSAVSKKTSFLLADEDVGGRKSSKAKELGIPFLTEDGLFDKIRKSKPAKAQMQEEKKKRSPEKMDKPIINKSPRKVEVKDDKAVSIGRKDAAKNVKSGISPDKRKSQSGDRSSLTWTEKYRPKLPNDIIGNQSIVKQLHDWLMTWDEHFLHAGQKGKGKKQSDSGSKKAVLLSGSPGIGKSTSAKLVSQMIGFQAIEVNASDSRGKADAKIGKGIGGSTSNSIKELVSNEIVNSSSDCRSKRQKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLKQIADAEGLQINEVALEELAERVNGDMRMAINQLQYMSISKSAINYDDIRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLIVQENYINYRPTSIGKDENGVKRMQFLAQAAESIGDGDIFNVQIRRYRQWQLSQSSSFASCIIPAALMHGHRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDVHVHFLASQEAKLDRATLRVDYLTLLLKQLTDPLQMMPKEVAVQKVVEFMDAYSLTQEDFDTIVELSKFKGHPNPMDNIQPAVKSALTKAYKQGSSSRVVRSADLITLPGLKKAPKKRIAAILEPVEDGVSEENGEALAENEEENSDSEDADDLGLGTNADQKPQLDLLSNKPKGVQVQLDLKNNGKSNVKKKTPASKSRASEPADKGAQMSGSRKRKK >Ma04_p14690.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11128495:11143273:1 gene:Ma04_g14690 transcript:Ma04_t14690.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKQHDKGSGSVSKPASKPATAVPDKPSPLSSQKPNQVQATQENSGRRKTSKYFTTSTAKEVNSKETVKEKVEAKTPPKRKTRKSIEDLPDDIKPSPAKKLHRNDDDDDDDDFVPPSKDKRSAEVKPTKKMKTGSGIQIISSSHEVDDHLEDETDENDYETPLKAGGRGKGGRGSGATAGGRGRGGGRGGYMNFGERKDPPHKGEKEVPEGSPECLNSLTFVISGTLDSLEREEAEDLIKRHGGRVTSAVSKKTSFLLADEDVGGRKSSKAKELGIPFLTEDGLFDKIRKSKPAKAQMQEEKKKRSPEKMDKPIINKSPRKVEVKDDKAVSIGRKDAAKNVKSGISPDKRKSQSGDRSSLTWTEKYRPKLPNDIIGNQSIVKQLHDWLMTWDEHFLHAGQKGKGKKQSDSGSKKAVLLSGSPGIGKSTSAKLVSQMIGFQAIEVNASDSRGKADAKIGKGIGGSTSNSIKELVSNEIVNSSSDWSKRQKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLKQIADAEGLQINEVALEELAERVNGDMRMAINQLQYMSISKSAINYDDIRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLIVQENYINYRPTSIGKDENGVKRMQFLAQAAESIGDGDIFNVQIRRYRQWQLSQSSSFASCIIPAALMHGHRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDVHVHFLASQEAKLDRATLRVDYLTLLLKQLTDPLQMMPKEVAVQKVVEFMDAYSLTQEDFDTIVELSKFKGHPNPMDNIQPAVKSALTKAYKQGSSSRVVRSADLITLPGLKKAPKKRIAAILEPVEDGVSEENGEALAENEEENSDSEDADDLGLGTNADQKPQLDLLSNKPKGVQVQLDLKNNGKSNVKKKTPASKSRASEPADKGAQMSGSRKRKK >Ma04_p14690.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11128501:11143273:1 gene:Ma04_g14690 transcript:Ma04_t14690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKQHDKGSGSVSKPASKPATAVPDKPSPLSSQKPNQVQATQENSGRRKTSKYFTTSTAKEVNSKETVKEKVEAKTPPKRKTRKSIEDLPDDIKPSPAKKLHRNDDDDDDDDFVPPSKDKRSAEVKPTKKMKTGSGIQIISSSHEVDDHLEDETDENDYETPLKAGGRGKGGRGSGATAGGRGRGGGRGGYMNFGERKDPPHKGEKEVPEGSPECLNSLTFVISGTLDSLEREEAEDLIKRHGGRVTSAVSKKTSFLLADEDVGGRKSSKAKELGIPFLTEDGLFDKIRKSKPAKAQMQEEKKKRSPEKMDKPIINKSPRKVEVKDDKAVSIGRKDAAKNVKSGISPDKRKSQSGDRSSLTWTEKYRPKLPNDIIGNQSIVKQLHDWLMTWDEHFLHAGQKGKGKKQSDSGSKKAVLLSGSPGIGKSTSAKLVSQMIGFQAIEVNASDSRGKADAKIGKGIGGSTSNSIKELVSNEIVNSSSDCRSKRQKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLKQIADAEGLQINEVALEELAERVNGDMRMAINQLQYMSISKSAINYDDIRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLIVQENYINYRPTSIGKDENGVKRMQFLAQAAESIGDGDIFNVQIRRYRQWQLSQSSSFASCIIPAALMHGHRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDVHVHFLASQEAKLDRATLRVDYLTLLLKQLTDPLQMMPKEVAVQKVVEFMDAYSLTQEDFDTIVELSKFKGHPNPMDNIQPAVKSALTKAYKQGSSSRVVRSADLITLPGLKKAPKKRIAAILEPVEDGVSEENGEALAENEEENSDSEDADDLGLGTNADQKPQLDLLSNKPKGVQVQLDLKNNGKSNVKKKTPASKSRASEPADKGAQMSGSRKRKK >Ma04_p14690.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11128502:11143273:1 gene:Ma04_g14690 transcript:Ma04_t14690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKQHDKGSGSVSKPASKPATAVPDKPSPLSSQKPVQATQENSGRRKTSKYFTTSTAKEVNSKETVKEKVEAKTPPKRKTRKSIEDLPDDIKPSPAKKLHRNDDDDDDDDFVPPSKDKRSAEVKPTKKMKTGSGIQIISSSHEVDDHLEDETDENDYETPLKAGGRGKGGRGSGATAGGRGRGGGRGGYMNFGERKDPPHKGEKEVPEGSPECLNSLTFVISGTLDSLEREEAEDLIKRHGGRVTSAVSKKTSFLLADEDVGGRKSSKAKELGIPFLTEDGLFDKIRKSKPAKAQMQEEKKKRSPEKMDKPIINKSPRKVEVKDDKAVSIGRKDAAKNVKSGISPDKRKSQSGDRSSLTWTEKYRPKLPNDIIGNQSIVKQLHDWLMTWDEHFLHAGQKGKGKKQSDSGSKKAVLLSGSPGIGKSTSAKLVSQMIGFQAIEVNASDSRGKADAKIGKGIGGSTSNSIKELVSNEIVNSSSDCRSKRQKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLKQIADAEGLQINEVALEELAERVNGDMRMAINQLQYMSISKSAINYDDIRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLIVQENYINYRPTSIGKDENGVKRMQFLAQAAESIGDGDIFNVQIRRYRQWQLSQSSSFASCIIPAALMHGHRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDVHVHFLASQEAKLDRATLRVDYLTLLLKQLTDPLQMMPKEVAVQKVVEFMDAYSLTQEDFDTIVELSKFKGHPNPMDNIQPAVKSALTKAYKQGSSSRVVRSADLITLPGLKKAPKKRIAAILEPVEDGVSEENGEALAENEEENSDSEDADDLGLGTNADQKPQLDLLSNKPKGVQVQLDLKNNGKSNVKKKTPASKSRASEPADKGAQMSGSRKRKK >Ma04_p14690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11128476:11143273:1 gene:Ma04_g14690 transcript:Ma04_t14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKQHDKGSGSVSKPASKPATAVPDKPSPLSSQKPVQATQENSGRRKTSKYFTTSTAKEVNSKETVKEKVEAKTPPKRKTRKSIEDLPDDIKPSPAKKLHRNDDDDDDDDFVPPSKDKRSAEVKPTKKMKTGSGIQIISSSHEVDDHLEDETDENDYETPLKAGGRGKGGRGSGATAGGRGRGGGRGGYMNFGERKDPPHKGEKEVPEGSPECLNSLTFVISGTLDSLEREEAEDLIKRHGGRVTSAVSKKTSFLLADEDVGGRKSSKAKELGIPFLTEDGLFDKIRKSKPAKAQMQEEKKKRSPEKMDKPIINKSPRKVEVKDDKAVSIGRKDAAKNVKSGISPDKRKSQSGDRSSLTWTEKYRPKLPNDIIGNQSIVKQLHDWLMTWDEHFLHAGQKGKGKKQSDSGSKKAVLLSGSPGIGKSTSAKLVSQMIGFQAIEVNASDSRGKADAKIGKGIGGSTSNSIKELVSNEIVNSSSDCRSKRQKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLKQIADAEGLQINEVALEELAERVNGDMRMAINQLQYMSISKSAINYDDIRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLIVQENYINYRPTSIGKDENGVKRMQFLAQAAESIGDGDIFNVQIRRYRQWQLSQSSSFASCIIPAALMHGHRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDVHVHFLASQEAKLDRATLRVDYLTLLLKQLTDPLQMMPKEVAVQKVVEFMDAYSLTQEDFDTIVELSKFKGHPNPMDNIQPAVKSALTKAYKQGSSSRVVRSADLITLPGLKKAPKKRIAAILEPVEDGVSEENGEALAENEEENSDSEDADDLGLGTNADQKPQLDLLSNKPKGVQVQLDLKNNGKSNVKKKTPASKSRASEPADKGAQMSGSRKRKK >Ma04_p14690.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11128501:11143273:1 gene:Ma04_g14690 transcript:Ma04_t14690.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKQHDKGSGSVSKPASKPATAVPDKPSPLSSQKPNQVQATQENSGRRKTSKYFTTSTAKEVNSKETVKEKVEAKTPPKRKTRKSIEDLPDDIKPSPAKKLHRNDDDDDDDDFVPPSKDKRSAEVKPTKKMKTGSGIQIISSSHEVDDHLEDETDENDYETPLKAGGRGKGGRGSGATAGGRGRGGGRGGYMNFGERKDPPHKGEKEVPEGSPECLNSLTFVISGTLDSLEREEAEDLIKRHGGRVTSAVSKKTSFLLADEDVGGRKSSKAKELGIPFLTEDGLFDKIRKSKPAKAQMQEEKKKRSPEKMDKPIINKSPRKVEVKDDKAVSIGRKDAAKNVKSGISPDKRKSQSGDRSSLTWTEKYRPKLPNDIIGNQSIVKQLHDWLMTWDEHFLHAGQKGKGKKQSDSGSKKAVLLSGSPGIGKSTSAKLVSQMIGFQAIEVNASDSRGKADAKIGKGIGGSTSNSIKELVSNEIVNSSSDCRSKRQKSVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLKQIADAEGLQINEVALEELAERVNGDMRMAINQLQYMSISKSAINYDDIRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLIVQENYINYRPTSIGKDENGVKRMQFLAQAAESIGDGDIFNVQIRRYRQWQLSQSSSFASCIIPAALMHGHRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDVHVHFLASQEAKLDRATLRVDYLTLLLKQLTDPLQMMPKEVAVQKVVEFMDAYSLTQEDFDTIVELSKFKGHPNPMDNIQPAVKSALTKAYKQGSSSRVVRSADLITLPGLKKAPKKRIAAILEPVEDGVSEENGEALAENEEENSDSEDADDLGLGTNADQKPQLDLLSNKPKGVQVQLDLKNNGKSNVKKKTPASKSRASEPADKGAQMSGSRKRKK >Ma07_p15380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11545065:11545953:-1 gene:Ma07_g15380 transcript:Ma07_t15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDTTTGFHHLSSSPTSSPVSSPPAAIHSPCAACKILRRRCADKCVLAPYFPPTEPLKFTTAHRVFGASNIIKLLQELPASQRADAVSSMVYEANARIRDPVYGCAGAIFQLQKQVSELQAQLARAHAELINLQAQQKNLIAMICMEMAQTQQGYRTTPQSTNALADSPNMYQNDAYFLDEISHGSVWDEPLWI >Ma10_p04390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15062695:15063714:-1 gene:Ma10_g04390 transcript:Ma10_t04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKCYTSPPPPPPPDLDSCVLQDKLVISESAPPPNSLSCALSKAQTPSSSSCSLLSSSSPSVSSSSSSSSNSCSSTSSLLQYSIAHKHGEAVKPKPVKSAVQTLQPTPKKSVPAKRARSSSPNAVAGRKVYRTENSSAAVAAPQRRRSTQIEKQRHDVMAPQITARKDNTRLRQSLSSEKEIRVHHIHHVHNAQEVSSGVPLADDLDNPLISMDCFIFL >Ma10_p05280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16260062:16260763:1 gene:Ma10_g05280 transcript:Ma10_t05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVKLAWIANDSTRRVTFKKRRRGLIKKVSELATLCDVKACLILYGAQEQHPSVWPSFHEAARVLNEFRSMPEMEQCKKMMNQEGFLFQRIAKLQEQLRKQERENRELETSLLMYEGLAGRSLHDVGIEDATSLAWMVEMKAQLVYDRMEHIRKEHLASREVEPKMHVPQTVAMEKTPMQVAMEELQRQSWFVEVGCTSDQKVKFGSGDEVLPPYNAHCSPWLDPYFPLN >Ma01_p11170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8049648:8055052:-1 gene:Ma01_g11170 transcript:Ma01_t11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQHYNKRKRDESPPVPSSASQDAGGGVDLALLEAVEKSAQNGGVEVLDLRTLKKLVLAFERRLRDNLEARMKYPDQPDKFADSEVELHEEIERLKVLAGAPELYPELVALNTVPSLVDLLGHDNADIAVDVVSLLMDLTDEDVLGDDDDNEEAVRVLVDTLLENNALELLVQNLARLSEADPDEATAIYNTLSTIENLIEVKPAVAELVCERTKLLRWLIGRIKVREFDANKQYASEIMAILLQNSPTNQKRLGQMNGVDAVLQAVALYKSRDPKSEDEEEMLENLFDCLCCLLMPMENKERFLKSEGVELMIIIMKQKKSAYGSSIRALDFAMTKYPPACERFVDVLGLKTAFAAFMGKIPVSKKKKKESYQEELEERLISLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYMRYSDRIKAETERLDRLQLDDLEIDEEERYNRKLEAGLYTLQLIAVILGHLWSSDHSRMRERIELLLKQNKLTKKDVRCILQEYHDNIGDLDGPEEKERAQAKIQKFISAL >Ma08_p34800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44787865:44799419:-1 gene:Ma08_g34800 transcript:Ma08_t34800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVVPFSNPRNSPDGVLGRLGSQDTSGLVLNGERSLLISHEQNGGVVPVTSPLVSGRRDISRSMAGLKSFRDVEIDLEDGKVEKDRDNILRGYKPIKLRNQALLSGLAYCISSCSMILVNKFVLSGYDFSAGISLMLYQNLVSVIVVSILSSSGVISTEPLTWKLIRVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGETYLFMKHHDKKVWTALFLMIISAISGGATDLSFHATGYAWQIINCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNTLSLPLGLLLIFAFNEVDYLYKTPLLKMPMFWLVITSSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGIILFKVPTSMENFLSILFGLLAGVLFAKAKMRDGSQS >Ma08_p34800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44787865:44799413:-1 gene:Ma08_g34800 transcript:Ma08_t34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNPRNSPDGVLGRLGSQDTSGLVLNGERSLLISHEQNGGVVPVTSPLVSGRRDISRSMAGLKSFRDVEIDLEDGKVEKDRDNILRGYKPIKLRNQALLSGLAYCISSCSMILVNKFVLSGYDFSAGISLMLYQNLVSVIVVSILSSSGVISTEPLTWKLIRVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGETYLFMKHHDKKVWTALFLMIISAISGGATDLSFHATGYAWQIINCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNTLSLPLGLLLIFAFNEVDYLYKTPLLKMPMFWLVITSSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGIILFKVPTSMENFLSILFGLLAGVLFAKAKMRDGSQS >Ma10_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14518998:14519309:1 gene:Ma10_g03920 transcript:Ma10_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTKNEHKVIIIYCHLPLHPEAASPEALLWNYEEVLDAVKACFAGQDHKGGYKINSHGIHHSVFEAALECSNAYGHIDVSHDELCLLGTDRMMSTEMVFS >Ma01_p15640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11303424:11316058:1 gene:Ma01_g15640 transcript:Ma01_t15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRSLRCSKPLFRSYLSHPSPAHFRWISSSSAVLRPESSAALAADPPPRTPVAGARVHIPNPDDAIEVFVDGYPVKIPKGMTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRIVPRLNEEINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVSWRDALAVVAEVAHQVKPEEIVGIAGQLSDAESMMALKDFLNKMGSDNVSCEGNGISPNADLRPNYLLNTNISGLEKADAFLLVGTQPRVEAPMINARIRKTVRATQAKVAYIGPPSDFNYDHQHLGTGPETLLDIAEGRHPFCSTLLSAKNPAIIVGAKIFERKDKDAIFSAVETIAKLHKVIRADWNGLNVLLLNAAQAAAFDLGLVSSPSESIQSAKFLYLMGADDVNLEKLPEDAFVVYQGHHGDESVYRANVILPSSAFSEKEGTYENAEGCSQWTVPAVPTVGDARDDWKIIRALSEVAGVRLPYDNLEAVRARIRMVAPNLLHVDEREPSTVSIDMKPNFQHQMSPEPFEAAVENFYMTNSITRASKIMAQCSSMLLKK >Ma07_p03280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2548096:2548320:1 gene:Ma07_g03280 transcript:Ma07_t03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSKGSISLVILILFLVSCCDGSRTMHAWYQNPAGKKKSGGFFEFLPRAMPIPPSGPSKRHNSIGLHGQTTP >Ma07_p10950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8105712:8105822:1 gene:Ma07_g10950 transcript:Ma07_t10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHSAMQNFPIQLFKHKMIQQIIWKQTLNDHFMPY >Ma10_p15320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27468560:27469330:1 gene:Ma10_g15320 transcript:Ma10_t15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPLLPTSAPKTAPPATTASYPSFLRRLRIFLVLAACALWANYEASKGFDITVLHGASRSPAARRFGLLFVSNGRAARLVLSSSDLVQRVLYPDDSFPRKPVRRITLFLADENLNETVVVSHGRHPGEFVVQMSPAVMKATDVQMSVASALQRGVARVWLWDGRGQAPRPLLDAMVEYLTTQNNASATLRTNSCCAAGEQLRVARVNRAMQEEWDDRMLEDVCGSPSEKVCSACLPLIDLSTFARNVDPDQDPQT >Ma00_p02380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:17449717:17453157:1 gene:Ma00_g02380 transcript:Ma00_t02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAIKKKRRATKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEIMAKTQKTQTKAGVPKGAKGPKLGGGGGKR >Ma09_p20880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30366633:30366695:-1 gene:Ma09_g20880 transcript:Ma09_t20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPLLRTWSAANLKKFYP >Ma09_p07250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4760264:4760770:-1 gene:Ma09_g07250 transcript:Ma09_t07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRCLSSQCIGHGLSVWWPNTCIFYLHVGCAFRLVSISFQTQLSTCRYIKIVKQHSLEISQPGVDPSTGLTWQMTKRRRDHEVRKETEEKPGRCSDPRLPPYSAEEPFCTKRYHHRYNHPGKLEVTSCGADPCRLVDARI >Ma11_p10330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9737284:9751608:1 gene:Ma11_g10330 transcript:Ma11_t10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQAIDTLSRRASLLRESLQKSQSNTESMVTILGSFDHRLSALEAAMRPTQVKTHAIRMAHENIDKTLKTTEVILTQFDLSRQAEATILRGPHEDLEGYLEAVDMLKSTVQHFSSNKSFKGCDGVMNHINSLLAKAILKLEEEFRQLLATYSKPIEPDRLFDCLPKSLQPHSETSGHQADGGKPEHQARSLEAAVYKTPTLIPPRVLPLLHDLANQLVQAGHQQQCSKIYRDARASTLESSLRKLGVEKLNKDDVQKMQWEALETKIQNWIHYMRIAVKLLFAGERKICDQVFDGTTLNKDQCFAEVTASSVAVLLSFGDAVAKSKRSPEKLFVLLDMYEVLHELHVEIETIFEGKACSEMRESTLSLAKRLAQTAEETFGDFEEAVEKDATKTTVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQECVTGDKTESQLAIVTMRIMQALQSNLDNKSKQYKDPALTYLFLMNNIHYMVRSLSRSEAKDILGDDWVQRHRRIVQQNANQYKRVAWAKILQTLSIQGLTPSGSSSALGSEGANNSGVSRASIKERFKSFNVQFEELHQRQSQWTVPDQELREYLRLAIAEVLLPAYRSYIKRFGPLVENGKNPLKYIRYTPEDLERMLSEFFEGKSMGEPKR >Ma01_p15010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10907961:10912614:1 gene:Ma01_g15010 transcript:Ma01_t15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGNSLGNNKRPLDEELEEQEDQIKRKNSPQHHDTPDLEDVQRTTDNSDHHENDMDYNDCSTFINPLGRDLTVSCLLLLSRSYYGTVASVNSSFRSLVRSGELYRLRRQMEISEHWVYFSCNVLEWEAYDPYRECWIAVPKMPPTESFMCSDKESLAVGTDLLVFGKELNSYMVLRYSILTNSWSPGVVMNSPRCLFGSASLGEKAVVAGGTNAEGDVLSSAELYNSETQTWETLPSMNRARKMCSGVFMDRKFYVIGGMDSDRNVLTCGEEYDFERRSWRVIPDMSAGLHGASGAPPLVAVVKNELYAAHYADKEVRKYIKENNTWVTLGQLPERSVSMNGWGLAFRACGARLIVIGGPRESHGGVIELNSWIPNGRPPIWNMMASKHSGSFVYNCAVMGC >Ma01_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10907961:10912614:1 gene:Ma01_g15010 transcript:Ma01_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGNSLGNNKRPLDEELEEQEDQIKRKNSPQHHDTPDLEDVQRTTDNSDHHENDMDYNDCSTFINPLGRDLTVSCLLLLSRSYYGTVASVNSSFRSLVRSGELYRLRRQMEISEHWVYFSCNVLEWEAYDPYRECWIAVPKMPPTESFMCSDKESLAVGTDLLVFGKELNSYMVLRYSILTNSWSPGVVMNSPRCLFGSASLGEKAVVAGGTNAEGDVLSSAELYNSETQTWETLPSMNRARKMCSGVFMDRKFYVIGGMDSDRNVLTCGEEYDFERRSWRVIPDMSAGLHGASGAPPLVAVVKNELYAAHYADKEVRKYIKENNTWVTLGQLPERSVSMNGWGLAFRACGARLIVIGGPRESHGGVIELNSWIPNGRPPIWNMMASKHSGSFVYNCAVMGC >Ma06_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19462643:19464996:-1 gene:Ma06_g22710 transcript:Ma06_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMESGSASGGDGSSSRYLHHLLRPPQPSTQIPPQESEPSTEESPKLSSDRGGSDHPSDSSPAGTSGGPVRRPRGRPPGSKNKPKPPIIVTRDSPNALRSHMIEVASGADVFECVSEYSRRRGRGVSVLSGTGAVTNVALRQPGASPPGSMVATLRGRFEILSLTGTVLPPPAPPGASGLSVFLAGGQGQVMGGSVGGPLVATGPVMLMVASFANAVYERLPLEGEEEEAAAAQSQQPAVSQSSGVSGGGGDGGSASGAGGVPFYNLSGNMGSYQFPSDAFGWGGGGIRPPF >Ma09_p17120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12601416:12605607:1 gene:Ma09_g17120 transcript:Ma09_t17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVCMKLGDPTVPMTSDSSPIAAVTKFHPVPAISSSTSVRVRIRATSLNFANYLQILGRYQEKPPLPFIPGSDYSGVVESVGSGVSRFKVGDKVCSFAALGSFAEFIVVDEKDLFLVPEGCDLIAAAALPVAFGTSHVALVHRAQLKPGQVLLVLGAAGGVGISAVQIGKVCGAIVIAVARGDEKVQFLKSLGVDYAVDLGKGNIIESVKNFLKSRNLKGVDVLYDPVGGKLTKECMKLLNWGANILIIGFASGEVPVIPANIALVKNWTVHGLYWGSYKLHQPHILRNSLEELLSWLSKGLLTVHISHSYSLSQADLAFAAIKDRKAIGKVMIALGGSTAGRSKL >Ma04_p17990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19338571:19357816:1 gene:Ma04_g17990 transcript:Ma04_t17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSPTAPAPPADGSSQPEDDLRPLPPEPSPPAEPSPRKTRFPRACNTRPAAAAALPPLPERRPVTRREKEERQKAGRVITPLVRPPPPSQLLRWELRSMWELAAVLDFFHTFRPLLNIAVEFSAEELETALITPNNTLDDVHMPLLKAIPPVTRMALGRATWVTVLCRKLRDWWHWVADGEIPIVASHGTEIETYKTLDPRTRILILKALCDIRVEQEDIRNFIDDSLKRGIQLSAFRKERIGGDSHGISYWYEDDPIIGHRLYREIRQVEVKKVKGKGSSSVPLISIQWEAVATNLDEFREVSEKLLSSTNRTEASLGKKLKFDFLPEIEKIHKKKERLLKKQHREALLLDTFVTADGLCSGRSLRDRKPVTYTFDDYDRSINEAIKITKRRQSSPETVIRREVVGRPEVLTNGKWNGSSQVEPVNHDAGSPKSDDYEETDMEQQDELLDRSNRRRKRPQRYMEKDFVDTVSDIDADFDSDDDIVGEAVYDEEYLRSRKQRKVSSGSEGDEEYHWEEENAEDDEEEDEFSLSTSEDIVEQQRHKKFPGRTRQETKLRSVDGLQTGLRRSKRATRRSVNYQQYELSDTDTELAKPGKSNATYANSDASDDLQLSTSSQDSQDQEDNGDIADNKVTEDQINNTKAADKEQQPATQKADVNGHEADGAQRIRFLDLNELAPGTSFDDGPIIKDEDRDNF >Ma04_p13670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10338268:10340085:-1 gene:Ma04_g13670 transcript:Ma04_t13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDRKEMENARRFQELIDALDEERRKVEVFHRELPLCLHLINQTIESYRQRLMVRDLTLSHELAEKEWISLRPSSMSSEVSGSKQQHPWPRMATKSWGDSQSSDKEQDAAAPITGPAGREGDDNGGTDGEKKEKFRHRRRKMRRYWTEDLHERFLHALEQLGGCHAATPKQIRKLMEVDELTGDEIKSHLQKFRIQSRRTSSMHHSSSNTSSADFVVVRGIWIPTPEYSSICMAADEAAAHPGQVADTPETEKFAPVANEQETK >Ma08_p05930.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4010732:4016091:-1 gene:Ma08_g05930 transcript:Ma08_t05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYAVLVKHLKPVIFCCVLVAVGKGKQPILSGNREEEETTSHLLGQEPVSCEIAVSDGYTSSASVMSPCIGEADPRGLQVGITDYMKELECPTSCHNAFEELNTVADSEPNFMEKGIDQTSLHPNSHVHEKFVDVALFNLSVQVNVPQISPGSAHDEHSLEQIMMQSSTGKSETIVNVIHGSSQIDSSLAALLVNGDVQNAALEPFERNSNATENRKLMNDGTFSVNGSVEQSRCSVEPASVQDLNDLEVNDSVSAATMAAEDFKVCGGCLVNEVATSTTEYEELHSELADDNDLPATLNNRSGHFVNTGYLEDLLSNAKSNKTNLLSAMELTTNMMREVKLLEERRKQAKEAATIAGQDILAKMEELKEISRHAKEANDMHAGEVYGEKAILATEARELQFRLLSLSDEKNKSLSMIEEIRQTVEASIAAMEEEIAAAEQEKLEKEELALKALSKQEAVMAAAMEESKKLQQEAEANSKMREFLMDRGRVVDALQGEINIICEDIMLLKEIVDGRVPLNGSLRSTALSMLASSSSSSYRSKRSSDGVLELDDLPEKSAITEQEQHEIQQNDKSNLSGGIHGAQPDDGWELLKGGVL >Ma08_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4010732:4016760:-1 gene:Ma08_g05930 transcript:Ma08_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEVFTSLKKLFPQVDLRILKAAAIEHANDVDSAAEFILLDVLPSIVGSCEASCTLQDIDETEHSMAVVGKGKQPILSGNREEEETTSHLLGQEPVSCEIAVSDGYTSSASVMSPCIGEADPRGLQVGITDYMKELECPTSCHNAFEELNTVADSEPNFMEKGIDQTSLHPNSHVHEKFVDVALFNLSVQVNVPQISPGSAHDEHSLEQIMMQSSTGKSETIVNVIHGSSQIDSSLAALLVNGDVQNAALEPFERNSNATENRKLMNDGTFSVNGSVEQSRCSVEPASVQDLNDLEVNDSVSAATMAAEDFKVCGGCLVNEVATSTTEYEELHSELADDNDLPATLNNRSGHFVNTGYLEDLLSNAKSNKTNLLSAMELTTNMMREVKLLEERRKQAKEAATIAGQDILAKMEELKEISRHAKEANDMHAGEVYGEKAILATEARELQFRLLSLSDEKNKSLSMIEEIRQTVEASIAAMEEEIAAAEQEKLEKEELALKALSKQEAVMAAAMEESKKLQQEAEANSKMREFLMDRGRVVDALQGEINIICEDIMLLKEIVDGRVPLNGSLRSTALSMLASSSSSSYRSKRSSDGVLELDDLPEKSAITEQEQHEIQQNDKSNLSGGIHGAQPDDGWELLKGGVL >Ma08_p14750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:14674190:14676604:1 gene:Ma08_g14750 transcript:Ma08_t14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 14, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17611) UniProtKB/Swiss-Prot;Acc:Q8RXW0] MAWYYYNRSNNGGGGGGGGAWGRLSKGLLPLLALQAASEFHRIGRKPPVTAGLLLANSLIYLRPAFVDRLLPTLYEVSFNPHLILKHGDLKRFFLSPFYHVGDAHLFYNMTSLLWKGIQLETSMGSPRFASMVAALLCMSQGITLVLARSLLLFFDYEAAYYNQFSVGFSGVLFAMKVVLNAHSDEYAYLHGIVVPARHAAWAELILIQLFVPGVSFLGHLGGILAGLLYLHLRGSYTAVDPLTVFIKKAFDFVSWPVRVIRRFLSFQRPRTSGRGRVGSSQATAVPSGIWRCPTCTYDNSSRVDVCEMCSTARRGSGFTPLRQYNRTGDLSTEEVRRRRIERFGG >Ma07_p18420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25443949:25445318:-1 gene:Ma07_g18420 transcript:Ma07_t18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSVVYTYPLSNYTFESKERKMEKDASVLDRLARMKVNYMKQGMRTSVEGILLVKIDTLFRYFSVFWLYFIFSGLCEAHIAIYWTIK >Ma11_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27257328:27259010:1 gene:Ma11_g24170 transcript:Ma11_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFCCFNSSDVQEEPTEEEDGREGNALASLVNNLAIESDTQKHISVAEELLRIGNGNNSTRVFTFDELSAATNNFKAECLLGEGGFGRVYKGHLEDTNQDIAVKQLQRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRILVYECMHLGSLEDHLLDLSSNKKPLDWSTRMKIAEGAARGLEYLHDIANPPVIYRDFKASNILLEYALTGQLTKMSDVYSYGVVFLEIITGRRAIDTSRPSNEQNLVHWAEPLFKDKKRFVEMADPLLEGNYPLKGLYQALAVAAMCLQEEAGNRPLISDVVTALEYLSSPPNEASQSSKESISRSLSSPDSAEEKDSANDGGGEAQSKLEDEETRNSLRFKEENIERI >Ma08_p34210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44294892:44302184:-1 gene:Ma08_g34210 transcript:Ma08_t34210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSGSSTASSSDQLSEIMRTLETMLNVMQQLQQERNCGAKTSNQTGLGIEQFKKLSPPSFSGEPDPMVAEQWMMQMEKIFDVLNFSDDQKVSFATFMLEGEAEYWWKTIRRISEIRHEHITWKVFVEKFNEKYFSDYIKEQKELEFLNLVQGNLTVAKYEAKFIELSRFATYITDDESRKAKRFERGLKPAIRSWISVLKLQTYADVVERAMIIEKDIEEIQEIRGKNDKDKFTNKNKRGKESKNGNKRIKTTRFEKEKPPQRTRSCVKCRLNHETSQCFRVIGACFACGKLDHKIKYCPLNEKKEPLPPASSTRTRVSAIAEQDFKASKSMVEVVQRMDTSSPAVLVKAEILKMPWEQRF >Ma08_p34210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44294892:44303419:-1 gene:Ma08_g34210 transcript:Ma08_t34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSGSSTASSSDQLSEIMRTLETMLNVMQQLQQERNCGAKTSNQTGLGIEQFKKLSPPSFSGEPDPMVAEQWMMQMEKIFDVLNFSDDQKVSFATFMLEGEAEYWWKTIRRISEIRHEHITWKVFVEKFNEKYFSDYIKEQKELEFLNLVQGNLTVAKYEAKFIELSRFATYITDDESRKAKRFERGLKPAIRSWISVLKLQTYADVVERAMIIEKDIEEIQEIRGKNDKDKFTNKNKRGKESKNGNKRIKTTRFEKEKPPQRTRSCVKCRLNHETSQCFRVIGACFACGKLDHKIKYCPLNEKKEPLPPASSTRTRVSAIAEQDFKASKSMVEENGYIQSCSSC >Ma08_p34210.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44294892:44303419:-1 gene:Ma08_g34210 transcript:Ma08_t34210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSGSSTASSSDQLSEIMRTLETMLNVMQQLQQERNCGAKTSNQTGLGIEQFKKLSPPSFSGEPDPMVAEQWMMQMEKIFDVLNFSDDQKVSFATFMLEGEAEYWWKTIRRISEIRHEHITWKVFVEKFNEKYFSDYIKEQKELEFLNLVQGNLTVAKYEAKFIELSRFATYITDDESRKAKRFERGLKPAIRSWISVLKLQTYADVVERAMIIEKDIEEIQEIRGKNDKDKFTNKNKRGKESKNGNKRIKTTRFEKEKPPQRTRSCVKCRLNHETSQCFRVIGACFACGKLDHKIKYCPLNEKKEPLPPASSTRTRVSAIAEQDFKASKSMVEVVQRMDTSSPAVLVKAEILKMPWEQRF >Ma06_p10100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7010565:7011310:-1 gene:Ma06_g10100 transcript:Ma06_t10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G26990) UniProtKB/Swiss-Prot;Acc:Q8W207] MMTAYREMLTYTKSAVTCNYSEKCINNIMDFVSGSANQNFDLLQEFYETTLKALEEAKNKRLWFKTNLKLCTIWFDMREYGQMGKILKELHKSCRREDGTDDQTKGTQLLEVYAIEIQMYTTKNNKKLKVGHSLCSLFIASLISQLLLLNLSISYSKKYVNNYHKMN >Ma08_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35976363:35983953:-1 gene:Ma08_g22380 transcript:Ma08_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISIYINVYIYTYMYIYIYTQDLHVAKREEDIGFYAGFVGSAFMIGRVLTSVFWGIVADRYGRKPVIVISIISVILFNTMFGLSTSYWMALVSRLLLGCFNGLLGPIRAYASEVCRKEYQALGLSLVSSTRGIGLIVGPAIGGFFAQPAKKYPNIFSIKSLFGRFPYFLPCFCISLIATGALVACFWLPETLHMHDKWEIEDLEDSLIRCETKKYNDEIEGTMLASKQSLIKNRPLMSAIIVYCAFALQDTAYAEIFSLWAVSNKTYGGLSFSSQEVGEVLAISGLSLLVYQLFLYPCFEKYLGPISSLRAAAIFSIPLLTSYPFMSKLSGLKLFFVVNCASLLKSAISVTITTGFNILQNNAVSQHQRGAQMVFLSLHCLFPKLLLQQPQGLYFHGHKSVNRLPSYQATI >Ma04_p14360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10875674:10876596:-1 gene:Ma04_g14360 transcript:Ma04_t14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHPRRTSGWKTCRLEDISCLPQPNDASRSTQATTTALQESPPFLVQENHTLRSLHCSLARMIDRHSTQAFTKCVEGQ >Ma02_p09810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19627064:19627519:1 gene:Ma02_g09810 transcript:Ma02_t09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQIRPPWPPAAATPSPPAPTPPPKPASGPSGKITDEFTGAGAIGKVCQVIGAVVDVRFDEGLPPIITALEVLDNQIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLKTGSPITVSLETSSLLFSIGMAFLCDRHQKQFLYNADIFFLG >Ma03_p29150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32161625:32162514:-1 gene:Ma03_g29150 transcript:Ma03_t29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKMEVREAAAAGLRSLERVVFHLSHQQSPWDCREITDQTIAKFKKVISALNRTGHARFRRGPAQPAFSAEAEEAPAVHYHALARPPLPPAAVATLNLDPTKLEEHLNVSAAVSSAHKPPLAPSHKRKVPDHAHPPEAAKQAARCCHCSKKRKDREKRTVRVPAVGSRNADFPVDEYSWRKYGQKFIKGSPYPRGYYKCSSVKGCPARKHVERAADDPSMLIVTYEGVHRHSPSRPACR >Ma00_p03710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:30824924:30825894:1 gene:Ma00_g03710 transcript:Ma00_t03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSKSNLYFRNQRRKFSFSSRITGSYGAEPYTDTPSETSVASALHSSDSHVSILKTRRDASTDDPWMPNSSRYEDVLDDPGIPTMITWSHGGKEVFVEGSLDNWKTK >Ma02_p23550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28514938:28517171:1 gene:Ma02_g23550 transcript:Ma02_t23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSPQQAVSALPVNSVSCSTASNPPRTPISSADDDKAGKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICHQGFQRDQNLQMHRRRHKVPWKLLRRDAAEARKRVFVCPEPSCLHHDPRHALGDLVGIKKHFRRKHSDRRQWACSRCSKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDSCSATRTFGEPATPRPSSLSWTTSSTSPSTEPTLSGRTTSTAAAAAAAAAAASSLPLALHVLPTSTSSPDSDEAQATVLQLSIGPPVGGFPSSNAGGDPREQLRQAAREKALASDARQQALKQVEMAEKELANAKTIRRQAQAELENAYLLRDHTAKQINLMLLQITCHSCRKQLQSKPGTVWEEGPKAAASYAPSAMAESKEDDSNDGSHFRVSPNTQLSP >Ma03_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26930905:26931606:-1 gene:Ma03_g22090 transcript:Ma03_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLAVILRRQMSWERIEKPDVLYEAARERKKGEDFTLVKTECQRVPGHGDTTVSVPALFDGHNGFEQLLYTPRRIS >Ma01_p11570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8356669:8361582:-1 gene:Ma01_g11570 transcript:Ma01_t11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MTLLSHPFPTPRTPTTPTSTFFSSQILRKPFLGAQRLAQPPPPRLNCAPIERLRPRAGITAAGRSKPKELVLGNPSVAVEKGKYSYDVETLINKLSSLPPRGSIARCLEAFRHRLSLADFALVFKEFARRGDWQRSLRLFKYMQRQSWCRPNEHIHAILIGVLGREALLDKCLDVFDDMPAHSVPRTALSYTALINAYGRNGDHETALALLARMKDDRVAPTSLTYNTVINACARGGLPWDSLLGLFAEMRHDGIRPDLVTYNTLLAAAGARGLADEAEMVLRTMLEAGVLPDNATHTYLVDTFAKLGQLDRVSELLLEMEASGHLPDAVAYNVLMEAYAKAGATKEAMGVLRQMQAAACTPTAATYSILLNLYGRSGQYEDVRDLFLEMKVGNTAPDASTYNILISVFGEGGYFKEVITLFHDMVEENVEPNMETYEGLMFACGKGGLHQEAKAVLSHMNQKGIVPSSKAYTGVVEAYGQAALYEEAFVAFNTMHEIGSIATVETYNSLLYTFARGGLFKEAQAILARMDGAGVQRVDDTFNALIDAYCQGGQFEDALKAYVEMQKSKCKPNEWTLEGILNVYCIAGLVDESKEQFQEIQSLGVMPSVVAYCMLLGIYAKNDRWDEAYQLLEEMKTNRVSNTHQVIASMIKGEYDDESNWQMVEYVFDKYNSEGCGYGLRLYNALLEALWWLGQKERGARVLNEATKRGLFPELYRQSNLVWSLDVHRMSFGGALTAVSVWLGDMHARFKRGDDLPNLATVVVVRGEMEKSSVTRGLPVPKAVYSFLRDNVSSSFHFPGWNKGRVVCQRSQLKKLQLSVSDSSTDASEATDLVPIMNAGFPQPGTRIHTAEFNSDSESGTDDESLSSEKEAELLPI >Ma09_p31190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:41012759:41013536:1 gene:Ma09_g31190 transcript:Ma09_t31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIILLSALLALASSHALAFDPSPLQDFCVADYDSNVFVNGFACKNAKAVTAEDFYFTGLDKPASTANELGANITLVNVERLPGLNTLGVAMSRIDYAPFGLNPPHSHPRSSEILHVAEGTLYAGFVTANTENGNLLFAKKLKKGDAFVFPRGLIHFQFNIGDTDAVAFATFGSQSPGLVTTANALFGSKPPIPDYILAQAVQLSKTTVGWLQQQQWLDIAQEYGQRLVQAN >Ma04_p21970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24387303:24388861:-1 gene:Ma04_g21970 transcript:Ma04_t21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSTLDFTSNRCISNPVLSSTSPPLYDQLAYTISSTSSPSQDMGGGSVFLFFLVFHLFSSATACGGCVHQATAAHFTSFSALSVGACGYGSMALGFSGGYVAAASSALYRGGVGCGACFQVRCKNTKICSSRGVEVIITDLNKSNDTDLVLSRPAYVAMARRGMAKSLKKLGIVDVEYKRIPCEYSNKNLSIRVEEKSKRPNSLTIKFLHQGGQTDIVAVDVAQVGSSNWQFMSREYGPVWSTDRAPVGPLQLRMVVTGGYDGKWVWAQKEVLPVHWKIGSVYDLGVQIADIARDGCSNGHTEGWK >Ma02_p02130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13130611:13139407:-1 gene:Ma02_g02130 transcript:Ma02_t02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGGGAAGGGSGDVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFPRRRFALEVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPIRSMYATAAAARQMHPPIPAPPPPPSAMPVHPVIPPFGRKGRPRPSDFRDGSYDDEEEAEEEDADGMEDDGVARAGMKKVRRGSGEMEGFGGYGVGVGSAIAGKAGPSGHLEKKSEARSRVDREADNHQLMQLEEKDVVSSVATVLSDLCGPGEWMPMAKLHSELVEQYGNVWHHGRVRRYLTSEEWSPTETKGRPWFGLLTLLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >Ma06_p27650.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29577976:29581933:1 gene:Ma06_g27650 transcript:Ma06_t27650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQERGGRELQEKKKENEDEGGGKTVLVGIKMDADSRELLTWSLVKIADAGDRVFALHVLPSTPYDSSDASLGCSSLLSIVKAFEAMLAVYEGFCNLKQVDLKMKIARGSSIRKVLVSEAIAYGASSLVVGVAKNSHGIGYSSTSIAKYCARNLPRVCSVFAVSNGKTLFKREALPASQPSGWFDSRNNRVGCAQFCVDLPMKLNQIAFPSITAQNRAKNAAAAVSAGQQALTRSYTKLLNRRPVKSAVAKGQRPGTTANTSSSSPRCLSNLKRSKSDCDNHIRKDRGSLQRNQSVALVPAKKPEAPASRICLLCADSSEVRPGWPLLRKAMLSNRRTASADGSSVVQWAMRLPSRFSATSAVHPDHRLVNSDARAYHRRDAESGAVVPAEDTSSPLLHKEEHKIPKELEHLREKYSSICRLFSYKELCRMTSDFSPEQLIGKGGSSRVYKGCLPDGKELAVKILKHSEDVMNEFISEIEIITALHHKNIISLFGFCFENKDLVLVYDFLSRGSLEDNLHGESSNKHTLSWAERFKVAAGTAEALDYLHGGAGNMQPVIHRDVKSSNILLSEDFEPQLADFGLAKWASASASQLICNDVAGTFGYLAPEYFMYGKVNEKIDVYAFGVVLLELISGRKPVTTGCSKGPESLVMWATQILQAGEVKELVDPCLGTCYDKAELERMMLAASLCIKRASRSRPQIALVRPPHDPVLRIETSCAYVATTGIQMLFDSGVEAVAR >Ma06_p27650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29577978:29581933:1 gene:Ma06_g27650 transcript:Ma06_t27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERGGRELQEKKKENEDEGGGKTVLVGIKMDADSRELLTWSLVKIADAGDRVFALHVLPSTPYDSSDASLGCSSLLSIVKAFEAMLAVYEGFCNLKQVDLKMKIARGSSIRKVLVSEAIAYGASSLVVGVAKNSHGIGYSSTSIAKYCARNLPRVCSVFAVSNGKTLFKREALPASQPSAQNRAKNAAAAVSAGQQALTRSYTKLLNRRPVKSAVAKGQRPGTTANTSSSSPRCLSNLKRSKSDCDNHIRKDRGSLQRNQSVALVPAKKPEAPASRICLLCADSSEVRPGWPLLRKAMLSNRRTASADGSSVVQWAMRLPSRFSATSAVHPDHRLVNSDARAYHRRDAESGAVVPAEDTSSPLLHKEEHKIPKELEHLREKYSSICRLFSYKELCRMTSDFSPEQLIGKGGSSRVYKGCLPDGKELAVKILKHSEDVMNEFISEIEIITALHHKNIISLFGFCFENKDLVLVYDFLSRGSLEDNLHGESSNKHTLSWAERFKVAAGTAEALDYLHGGAGNMQPVIHRDVKSSNILLSEDFEPQLADFGLAKWASASASQLICNDVAGTFGYLAPEYFMYGKVNEKIDVYAFGVVLLELISGRKPVTTGCSKGPESLVMWATQILQAGEVKELVDPCLGTCYDKAELERMMLAASLCIKRASRSRPQIALVRPPHDPVLRIETSCAYVATTGIQMLFDSGVEAVAR >Ma04_p14630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11098822:11103629:1 gene:Ma04_g14630 transcript:Ma04_t14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATQPSQLPFSYLSPPRVLTKPLAGPTSPTSMSQGFHQGIFSFSEGFDRSASQEQQQHRHHHIPQHSRRDKLRVQDLDVAGHPLVPIGDQGDETNIYESAAVGAGNMLSDMFDFPATGPTAIDLHANQISGGYHLPPRPAAMPGFSGDWYGLNRQESQQQQQQHPVTGLSTDSAAAMQLFLTNPPLQPPPHQRNPRSSSPSLPAPPPTFHQQHQGFRAVEESPFGGRAVEGQGLSLSLSSSLQQLEMAKADDLRVRQAALYLNNQQQNQQQPTLHFQGHIPGAQVHGHGQQLHMGYSSMGVVNVPRNSRYTKAAQELLEEFCSVGRGQLKGSRVGRHRGSTSNTNRNPSGGGGGGAGGGGASTTSSKDVPPLSPADRFEHQRKKTKLISMLDEVDRRYNHYCDQMQIVVNSFDSVMGFGSATPYTALAQKAMSRHFRCLKDAIAAQLKQTCELLGDKEGASSSGVTKGETPRLRLLDQSLRQQRAFNQMGVIEQEAWRPQRGLPERSVNILRGWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYTQESEDKEERESETSQQRTQSPMQQQQGLRPETNAASESEASPSTSSITQRNHRLVSSDDNPPPGLSATHQSTGAVDDSVLIGDMYHHYGVAATSALGPAAGMRFGAAGDVSLTLGLRHAGGSTSEKSRFSARDFGGC >Ma09_p00100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:88706:90028:-1 gene:Ma09_g00100 transcript:Ma09_t00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLKQSYDEELFNSDSFPSNSDDSDDNDDSTEGAASSSPSAESSWLPPKMNSNGPLYELSSLMEQLPIKRGLSKYYQGKSQSYTSLSVVSSIEDLPKKETPCRRKIKPCKSYAAGMDASQKSDHAPGSCSKTISKNAPKIPSSCASLMSKSISSLLGSSKPTPVPAQKNPCPR >Ma08_p32970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43502517:43513006:1 gene:Ma08_g32970 transcript:Ma08_t32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMVSLATSEKLKEMDWMKNIEICELVSRDPGQAKDVIRTIKKRLKNKNANTQIFTVMLLEMLMNNCGEHIHRQVIDNGLLLILVKIVKKKTDLPVRERIFLLLDATQTALGGASGKFPQYYNAYYDLVSSGVQFPQHPHVTPPTVPASKTQAKTLSAQESLFQRCENGERQATAQLIPDSSIIHKASSVLEVLRDVLNALDPKRPEGATDEFVLDLVEQCSFQKQRVMHLVITSRDEKVITQAIELNEQLEKVLTHHDSLLSVHATTPTSCIDEEAEEEEDAERLYRRICKGKACAEDQSEGSISSFRSIPEEKMRRPLIRPLCINSSDSDCKPLDPESKQSSLVSLPPPPARHTEREKFFKEKSRDGSGIAGHMRGLSLDSRNGSSSRSGSTDCSERDVFGFRDSY >Ma10_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17055048:17062120:-1 gene:Ma10_g05720 transcript:Ma10_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSGFDTASSPSAGFSFPTPSSSASSPSPFGFSNLGASSVSSAATTADLFSASTAASAPNPFPFSFSLPTASAASSSSSTAPSSSPLFASGFGTSASSLTSFSSFSTAAPSSTPNPSFGSGSDSGASSSLFGFGTSSSVSFPLLTTSSSPSSSFTTAPSFSTVSPVFIPSAATSAASTAPSLASSASSSGPTTAGMAETATTIPSDSSLFPSPSFALPLSSSSSSSCTFSSPSFGTTGSFPQSSFTAASSAGTFSSAPAAITTSSSSTLAIGFSSGTPSAAAAPSLAATSITTSVLAETAPSTASSLFASPSSFSAASPLSVSVSSSLSAAAASVTASSALASRTTAAVTASSTEASPTASTTAASLFGSSTSALASDSSSGAATSQALPSVVQASSGGLTTTNSVTTQAPKLPSEITGKTVEEILKDWNTELQERTAKFQNQATEIAEWDRWILQNRNILIWLEAEMAKVVETQTNLEKQLELIETHQQEVDKALESMEQEAECICKDECALLLEDEATSVRDSMYDQAEFIEREMQGIAEQVKSIIQTVNSSQSGDLDMVDAASPLDIVVRILDNQLRTLMWIDEKANEFSYQIHKVAKSGAADEHGMTGTRLWLD >Ma11_p22360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26163198:26165301:1 gene:Ma11_g22360 transcript:Ma11_t22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKGDGKRRERRGETDGQSLDQEVSSSTLEDYIRGKERRNSRADGWRPRRGGAPLGRGGCFGRRETGTTGAKGRRQCIPFGSRSLNCALIGCVLGREERISNPWPRSRNEVWLSNVPHTCLGGNKGSQNWIIKIKVKLKFLVDRTQYIHDQSELESYL >Ma03_p06150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4264221:4266847:-1 gene:Ma03_g06150 transcript:Ma03_t06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLGRKLSRVSTLIITQTLKKRRPSRPPPPPPSQGQFPPSPPSPLPPPTMPFHRHHHHDHSTTAPFLFPQAQSTVLPDPSTFFSPSLLSSPLPTNSFFQNFTLKNGDQPEYIHPYLVKASSSSLTFCYPSRFHTPAYIYQSFTPDLTISSSDSSGRHVVSSFDDLSVTVDLPPALRFFLVRGSPFITCATLGGSAPPFSISSVNAFIDVSANAARTKHTLRLNSGQTFLCYSSSPLQLSQQGNSLLTTPDFAGVVRVAFLPDPGLEAVLDQFSACYPVSGEASFDRPFCVEYKWEKKGWGDLLLLAHPLHLRLLSSDGSRVSVLKDFKYNSIDGELVGVVGDSWLLKTETVPITWHSTKGTNEDGYAEIISALSKDVNGLTSAPITTTSSYFYGKAIARAARLALIAEEVGFPDVIPIVQQFLKDSITPWLDGSFDGNGFLYDPKWGGMVTKQGSTDSGADFGFGIFNDHHYHLGYFLYAIAVLAKFDPAWARMYRPQAYSMMADFMTLSRKQQAKYTRLRCFDVWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLSYGDTHLVSIGSTLAAFEIQAAETWWHVKEGEGLYEDDFTRDNRVVGVLWANKRDSGLWFAPAEWKECRLGIQVLPLLPITEVLFRDVGFVQELVKWTLPALSREGVAEGWKGFVYAMEGVYDKETALEKTRGLNGYDDGNSLSNLLWWLHSRGDSGEGSLGWVRNGWFGHYCH >Ma01_p19850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15714918:15728554:-1 gene:Ma01_g19850 transcript:Ma01_t19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANLSSLIHELRERIAASASAPSSSSSGEDPLETKFRTVLPNLLHAYVVPSSTANEREVTAVLKLLSHTARNFPGVFYHGRAAAVLPVIGRVLPFLAEPAFRSRHGVIFEAIASLLSLLRTGDREAYRIFFLDAMVVVQDVVYIASSYVKKSRAFSDGVSLKCFNESFALISNSPALFSELPACCRPLDGPGVLVDLTDITRWQPFAAWNIRLVNKCLMEGTLYVEGLVNVSFAYAVCSLLCYGDATLHMACFDFARLAATVIDADIIPVENIIRSIACILGQDGKEFVAFRNTAYDSSMGACLHVLHLSCQHDILESTAGDVVNVFSRSLESTESPELQVAICSAYIRIVKSCPLQIWEPVNLVKMLCLPRPCVPLIECIRVAIDILCSNNVSEVEDDHNFGMSLASLKKSHLPKVGEKRLSDTVVKVQCKRQKAAEANTLDASDFAVDVECNSSLVNERKKEFANELWRSISVFLELSKPVHTKTSALKPETTIRALSILSLVFSAYPNDSLSSRIFHQLFSWMPWICKQAKNSSLLSFDLPTYLQAVHSILCLQGVLQSQMKLFQDDDSIDADSGSCSYPQYADLLEFLKLPWTNNSFIAEAFLVRKVKCLCIQALSKIGIKLKDESDLEVLDLAMHDESEEVCIEAITSMPVIILFSGQIFLGSMLRKLESVGRYRSVEIGKSILFSLGYMSCLHSSSDTFDDQNRSPCKLFLGDHYERQTKTMDLLRGFRCPHCDMGVMHNKGLSSNTISMPKQQSIKSDCDTSFVRFQTLFFEFLYDDSSEEFYVACVQILPRILRHSSQDTLLSTRTKWSECIDYLLLHKVKAVREAFCMEISCFLEDNILEPLFNDVEGSDNTNEQRFMDKLKHSLAAAEDAEVLMTLLESTAAIMNSSDIRGPMFFYSLILFIEQLDNCNQIVRMTASRFIQKSPHFSCKGGFESVISKFSCIRDELYEYFSSRLVSRPAMIREFAGAVLGIKIEEFIGKIVPFVIPKLIVSHKDNDQAIITLHELAIHLNSDVVPLIVNWLPKVLAFALLHADGKELSSVLEFYHVQTGSGNKELFAAALPALLDELLCFSGEGDMDETERRTSRIPMMVEEIAKILTCSDDLPGFLKNHFVGLLNSIDRKMLHSEDIWFQKQALKRIEKLTEMMGPYLSTHLPKIMVLLMYAIDKEALQAEGLSVLHFFIKQLAKLSPSSTKHVISQIVAAFIPCLERCKENPSINLKKIVCILEDLVVENRLLLRQHIRELPLLPTIPALSEVNKVIQEARGSTSLHDQLQDAVDGLNHESLNVRYMMACELSKLLILRREEVTALIADESIVDLDVMSSLISSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKFKGIASERFKIECSDDDLIFELIHKHLARTFRSASDTIVQDSAALAIQELLKLAGCQASLGENIGNENLRTSEDRVAGGSEVNTRGQRLWDRFSNYVKEIIAPCLTSRFQLPIVTDSAGIGPVYRPSMSFRRWIFFWIRKLTAYSTGTRYSIFSACRGIVRHDMQTAAYLLPYLVLNVVCHGTVEARNSITEEILTVLNAAASGNSGAAVHGAAGGQSEVCIQAVFTLLDNLGQWVDDLRQETVLSQTFHTTASKKALGLKVENEAIGNVVELLSSIPKVTLAKASFRCQAHARALMYFESYVRETSGSFNPAATSGLESLNKPGKLGSLDPAGARSNLDNLFSVEDISFLMEIYSGLDEPDGLSGLANLRKVSKLQDQLLINEKAGNWAEVLTLCEQALQMEPSSVQRHSDVLNCMLNMCHLQAMVTHVDGLKSRLPQYEKTWCMQGVQAAWRLGRWDLMDEYLSAAEKEGLVCSNSESNASFDMGLAKIIQAMMNKDQFLVAERIAQSKQALLAPLAAAGMDSYVRAYPYIVKLHMLCELEDYSALLGEDSFLGTTFTLDDPKFLKVTKDWENRLRITQPSLRAREPLLALRRLVFRTNNLGAQVGTCWLQYAKLCRSAGHHETAQRAILEAHASGAPNVHMEKAKLLWTIRKSDHAIAELQPFVPDPDIPITTQASKENRDLAKIILLYTRWIHYTGQKQKEEILKNYSRVRDLQPKWEKGYFFMAKYCDDLLVDARKRQEDNLAMQSCIAGSSLNPSTEEKSWWSYLPDVLLFYAKGLHKGHKNLFQALPRLLTLWFEFGSIYYRDCSSSDKLMKTVFTRILSILRGCLKDLPTYQWLTVLSQLVSRICHQSQEIVRIVKHIITLVLQEFPQQALWMMAAVSKSTVAARRDAAAEIIQAARRSRIGSEISGLFIQFASLIDHLIKLCFHPGQPKAKTINISTEFSTLKRMMPLGIILPVQQALTVTLPSYDASLMDSPSFDVFSASNHAMISGIGDEAEILSSLQRPKKVVFLGSDGVQRPFLCKPKDDLRKDARMMEFTTMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYITCGKFDRQKTNPKIKNIYDQCKTSEEEMLKSQILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTCAVWSMVGHIVGLGDRHGENILFDSTTGDCIHVDFSCLFDKGLLLDKPELVPFRLTQNMIDGLGITGYKGVFLKVCEITLSVLRTHRETLMSVLETFLHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGIVVGVGAAPSLPLAVEGQAQRLIDEAISLKNLGKMYIWWMAWF >Ma01_p19850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15714918:15728554:-1 gene:Ma01_g19850 transcript:Ma01_t19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANLSSLIHELRERIAASASAPSSSSSGEDPLETKFRTVLPNLLHAYVVPSSTANEREVTAVLKLLSHTARNFPGVFYHGRAAAVLPVIGRVLPFLAEPAFRSRHGVIFEAIASLLSLLRTGDREAYRIFFLDAMVVVQDVVYIASSYVKKSRAFSDGVSLKCFNESFALISNSPALFSELPACCRPLDGPGVLVDLTDITRWQPFAAWNIRLVNKCLMEGTLYVEGLVNVSFAYAVCSLLCYGDATLHMACFDFARLAATVIDADIIPVENIIRSIACILGQDGKEFVAFRNTAYDSSMGACLHVLHLSCQHDILESTAGDVVNVFSRSLESTESPELQVAICSAYIRIVKSCPLQIWEPVNLVKMLCLPRPCVPLIECIRVAIDILCSNNVSEVEDDHNFGMSLASLKKSHLPKVGEKRLSDTVVKVQCKRQKAAEANTLDASDFAVDVECNSSLVNERKKEFANELWRSISVFLELSKPVHTKTSALKPETTIRALSILSLVFSAYPNDSLSSRIFHQLFSWMPWICKQAKNSSLLSFDLPTYLQAVHSILCLQGVLQSQMKLFQDDDSIDADSGSCSYPQYADLLEFLKLPWTNNSFIAEAFLVRKVKCLCIQALSKIGIKLKDESDLEVLDLAMHDESEEVCIEAITSMPVIILFSGQIFLGSMLRKLESVGRYRSVEIGKSILFSLGYMSCLHSSSDTFDDQNRSPCKLFLGDHYERQTKTMDLLRGFRCPHCDMGVMHNKGLSSNTISMPKQQSIKSDCDTSFVRFQTLFFEFLYDDSSEEFYVACVQILPRILRHSSQDTLLSTRTKWSECIDYLLLHKVKAVREAFCMEISCFLEDNILEPLFNDVEGSDNTNEQRFMDKLKHSLAAAEDAEVLMTLLESTAAIMNSSDIRGPMFFYSLILFIEQLDNCNQIVRMTASRFIQKSPHFSCKGGFESVISKFSCIRDELYEYFSSRLVSRPAMIREFAGAVLGIKIEEFIGKIVPFVIPKLIVSHKDNDQAIITLHELAIHLNSDVVPLIVNWLPKVLAFALLHADGKELSSVLEFYHVQTGSGNKELFAAALPALLDELLCFSGEGDMDETERRTSRIPMMVEEIAKILTCSDDLPGFLKNHFVGLLNSIDRKMLHSEDIWFQKQALKRIEKLTEMMGPYLSTHLPKIMVLLMYAIDKEALQAEGLSVLHFFIKQLAKLSPSSTKHVISQIVAAFIPCLERCKENPSINLKKIVCILEDLVVENRLLLRQHIRELPLLPTIPALSEVNKVIQEARGSTSLHDQLQDAVDGLNHESLNVRYMMACELSKLLILRREEVTALIADESIVDLDVMSSLISSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKFKGIASERFKIECSDDDLIFELIHKHLARTFRSASDTIVQDSAALAIQELLKLAGCQASLGENIGNENLRTSEDRVAGGSEVNTRGQRLWDRFSNYVKEIIAPCLTSRFQLPIVTDSAGIGPVYRPSMSFRRWIFFWIRKLTAYSTGTRYSIFSACRGIVRHDMQTAAYLLPYLVLNVVCHGTVEARNSITEEILTVLNAAASGNSGAAVHGAAGGQSEVCIQAVFTLLDNLGQWVDDLRQETVLSQTFHTTASKKALGLKVENEAIGNVVELLSSIPKVTLAKASFRCQAHARALMYFESYVRETSGSFNPAATSGLESLNKPGKLGSLDPAGARSNLDNLFSVEDISFLMEIYSGLDEPDGLSGLANLRKVSKLQDQLLINEKAGNWAEVLTLCEQALQMEPSSVQRHSDVLNCMLNMCHLQAMVTHVDGLKSRLPQYEKTWCMQGVQAAWRLGRWDLMDEYLSAAEKEGLVCSNSESNASFDMGLAKIIQAMMNKDQFLVAERIAQSKQALLAPLAAAGMDSYVRAYPYIVKLHMLCELEDYSALLGEDSFLGTTFTLDDPKFLKVTKDWENRLRITQPSLRAREPLLALRRLVFRTNNLGAQVGTCWLQYAKLCRSAGHHETAQRAILEAHASGAPNVHMEKAKLLWTIRKSDHAIAELQPFVPDPDIPITTQASKENRDLAKIILLYTRWIHYTGQKQKEEILKNYSRVRDLQPKWEKGYFFMAKYCDDLLVDARKRQEDNLAMQSCIAGSSLNPSTEEKSWWSYLPDVLLFYAKGLHKGHKNLFQALPRLLTLWFEFGSIYYRDCSSSDKLMKTVFTRILSILRGCLKDLPTYQWLTVLSQLVSRICHQSQEIVRIVKHIITLVLQEFPQQALWMMAAVSKSTVAARRDAAAEIIQAARRSRIGSEISGLFIQFASLIDHLIKLCFHPGQPKAKTINISTEFSTLKRMMPLGIILPVQQALTVTLPSYDASLMDSPSFDVFSASNHAMISGIGDEAEILSSLQRPKKVVFLGSDGVQRPFLCKPKDDLRKDARMMEFTTMINRLLSKFPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYITCGKFDRQKTNPKIKNIYDQCKTSEEEMLKSQILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTCAVWSMVGHIVGLGDRHGLLLDKPELVPFRLTQNMIDGLGITGYKGVFLKVCEITLSVLRTHRETLMSVLETFLHDPLVEWTKSHKSSGVEVQNPHAQRAISNIKARLQGIVVGVGAAPSLPLAVEGQAQRLIDEAISLKNLGKMYIWWMAWF >Ma01_p21080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19953187:19958750:1 gene:Ma01_g21080 transcript:Ma01_t21080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGHLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQSRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Ma01_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19953149:19958750:1 gene:Ma01_g21080 transcript:Ma01_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGHLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQSRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Ma07_p16680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15151226:15161312:-1 gene:Ma07_g16680 transcript:Ma07_t16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCDVCGHYHKYEEGEVCGVCGHRVPAAFERPIQPASAFPSEILTDFLYLGSYDNASRGEILKTLRITHILNTVPACQNLYKNSFTYHCLQDDKVLQFDDAIQFLEQCDKEKARVLVHCMLGKNRSPAIVMAYLMKCKGWRFAQSFQWVRDRRPMVELSPAVQQQLLDYEQKIFGSGETTAMQPVLPPESLPSFGFGLPVNTSPAPVFNQPTTASVFDRSVPSTGSEFVFGAGRNIEQRVMENNAFVVVPPTGGEAPMDSS >Ma09_p26320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37610387:37617438:1 gene:Ma09_g26320 transcript:Ma09_t26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQTFWLHLLFLACWTSVGEAEYMKYKDPKQSINVRIKDLMDRMTLAEKIGQMTQIERKVASAQVMKDYFIGSLLSGGGSVPGPQASASDWVNMVNELQKGSLSTRLGIPMIYGIDAIHGHNNVYKATIFPHNIGLGATRDPALVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHNIVQEMTEIIPGLQGDVPANYHKGVPYISGKVKVAACAKHFVGDGGTHNGINENNTIVNRDGLLSIHMPAYYNSIMKGVATVMVSYSSWNGVKMHANHDLVTGYLKNTLHFRGFVITDWQGIDRITSPPGANYTYSVQAGINAGIDMVMVPYDYSEYINALTSLVNKHVIPMSRIDDAVRRILRVKFTMGLFENPLADLSLVDQLGKKEHRELAREAVRKSLVLLKNGKSRNDSFLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGASGNITVGTTILGAIKTTVDHSTEVVYSENPDEKFVKDNGFSYAIVVVGEPPYTETAGDNLNLTLPDPGPSTIRTVCGAIKCVVIIISGRPVVIEPYVHLMDALIAAWLPGSEGQGVTDVLFGDYGFTGKLSRTWFKSVDQLPMNVGDMHYDPLFPFGFGLTTGPTTARLSTSSPASAAAAAAAAADVRKEAYWVVSLFLSLSMAWVSTYLL >Ma09_p26320.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37610413:37617438:1 gene:Ma09_g26320 transcript:Ma09_t26320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQTFWLHLLFLACWTSVGEAEYMKYKDPKQSINVRIKDLMDRMTLAEKIGQMTQIERKVASAQVMKDYFIGSLLSGGGSVPGPQASASDWVNMVNELQKGSLSTRLGIPMIYGIDAIHGHNNVYKATIFPHNIGLGATRDPALVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHNIVQEMTEIIPGLQGDVPANYHKGVPYISGKVKVAACAKHFVGDGGTHNGINENNTIVNRDGLLSIHMPAYYNSIMKGVATVMVSYSSWNGVKMHANHDLVTGYLKNTLHFRGFVITDWQGIDRITSPPGANYTYSVQAGINAGIDMVMVPYDYSEYINALTSLVNKHVIPMSRIDDAVRRILRVKFTMGLFENPLADLSLVDQLGKKEHRELAREAVRKSLVLLKNGKSRNDSFLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGASGNITVGTTILGAIKTTVDHSTEVVYSENPDEKFVKDNGFSYAIVVVGEPPYTETAGDNLNLTLPDPGPSTIRTVCGAIKCVVIIISGRPVVIEPYVHLMDALIAAWLPGSEGQGVTDVLFGDYGFTGKLSRTWFKSVDQLPMNVGDMHYDPLFPFGFGLTTGPTTARLSTSSPASAAAAAAAAADVRKEAYWVVSLFLSLSMAWVSTYLL >Ma09_p26320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37610257:37617438:1 gene:Ma09_g26320 transcript:Ma09_t26320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQTFWLHLLFLACWTSVGEAEYMKYKDPKQSINVRIKDLMDRMTLAEKIGQMTQIERKVASAQVMKDYFIGSLLSGGGSVPGPQASASDWVNMVNELQKGSLSTRLGIPMIYGIDAIHGHNNVYKATIFPHNIGLGATRDPALVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHNIVQEMTEIIPGLQGDVPANYHKGVPYISGKVKVAACAKHFVGDGGTHNGINENNTIVNRDGLLSIHMPAYYNSIMKGVATVMVSYSSWNGVKMHANHDLVTGYLKNTLHFRGFVITDWQGIDRITSPPGANYTYSVQAGINAGIDMVMVPYDYSEYINALTSLVNKHVIPMSRIDDAVRRILRVKFTMGLFENPLADLSLVDQLGKKEHRELAREAVRKSLVLLKNGKSRNDSFLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGASGNITVGTTILGAIKTTVDHSTEVVYSENPDEKFVKDNGFSYAIVVVGEPPYTETAGDNLNLTLPDPGPSTIRTVCGAIKCVVIIISGRPVVIEPYVHLMDALIAAWLPGSEGQGVTDVLFGDYGFTGKLSRTWFKSVDQLPMNVGDMHYDPLFPFGFGLTTGPTTARLSTSSPASAAAAAAAAADVRKEAYWVVSLFLSLSMAWVSTYLL >Ma09_p26320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37611023:37617438:1 gene:Ma09_g26320 transcript:Ma09_t26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQTFWLHLLFLACWTSVGEAEYMKYKDPKQSINVRIKDLMDRMTLAEKIGQMTQIERKVASAQVMKDYFIGSLLSGGGSVPGPQASASDWVNMVNELQKGSLSTRLGIPMIYGIDAIHGHNNVYKATIFPHNIGLGATRDPALVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHNIVQEMTEIIPGLQGDVPANYHKGVPYISGKVKVAACAKHFVGDGGTHNGINENNTIVNRDGLLSIHMPAYYNSIMKGVATVMVSYSSWNGVKMHANHDLVTGYLKNTLHFRGFVITDWQGIDRITSPPGANYTYSVQAGINAGIDMVMVPYDYSEYINALTSLVNKHVIPMSRIDDAVRRILRVKFTMGLFENPLADLSLVDQLGKKEHRELAREAVRKSLVLLKNGKSRNDSFLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGASGNITVGTTILGAIKTTVDHSTEVVYSENPDEKFVKDNGFSYAIVVVGEPPYTETAGDNLNLTLPDPGPSTIRTVCGAIKCVVIIISGRPVVIEPYVHLMDALIAAWLPGSEGQGVTDVLFGDYGFTGKLSRTWFKSVDQLPMNVGDMHYDPLFPFGFGLTTGPTTARLSTSSPASAAAAAAAAADVRKEAYWVVSLFLSLSMAWVSTYLL >Ma09_p26320.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37610978:37617438:1 gene:Ma09_g26320 transcript:Ma09_t26320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQTFWLHLLFLACWTSVGEAEYMKYKDPKQSINVRIKDLMDRMTLAEKIGQMTQIERKVASAQVMKDYFIGSLLSGGGSVPGPQASASDWVNMVNELQKGSLSTRLGIPMIYGIDAIHGHNNVYKATIFPHNIGLGATRDPALVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHNIVQEMTEIIPGLQGDVPANYHKGVPYISGKVKVAACAKHFVGDGGTHNGINENNTIVNRDGLLSIHMPAYYNSIMKGVATVMVSYSSWNGVKMHANHDLVTGYLKNTLHFRGFVITDWQGIDRITSPPGANYTYSVQAGINAGIDMVMVPYDYSEYINALTSLVNKHVIPMSRIDDAVRRILRVKFTMGLFENPLADLSLVDQLGKKEHRELAREAVRKSLVLLKNGKSRNDSFLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGASGNITVGTTILGAIKTTVDHSTEVVYSENPDEKFVKDNGFSYAIVVVGEPPYTETAGDNLNLTLPDPGPSTIRTVCGAIKCVVIIISGRPVVIEPYVHLMDALIAAWLPGSEGQGVTDVLFGDYGFTGKLSRTWFKSVDQLPMNVGDMHYDPLFPFGFGLTTGPTTARLSTSSPASAAAAAAAAADVRKEAYWVVSLFLSLSMAWVSTYLL >Ma09_p26320.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37610296:37617438:1 gene:Ma09_g26320 transcript:Ma09_t26320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQTFWLHLLFLACWTSVGEAEYMKYKDPKQSINVRIKDLMDRMTLAEKIGQMTQIERKVASAQVMKDYFIGSLLSGGGSVPGPQASASDWVNMVNELQKGSLSTRLGIPMIYGIDAIHGHNNVYKATIFPHNIGLGATRDPALVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHNIVQEMTEIIPGLQGDVPANYHKGVPYISGKVKVAACAKHFVGDGGTHNGINENNTIVNRDGLLSIHMPAYYNSIMKGVATVMVSYSSWNGVKMHANHDLVTGYLKNTLHFRGFVITDWQGIDRITSPPGANYTYSVQAGINAGIDMVMVPYDYSEYINALTSLVNKHVIPMSRIDDAVRRILRVKFTMGLFENPLADLSLVDQLGKKEHRELAREAVRKSLVLLKNGKSRNDSFLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGASGNITVGTTILGAIKTTVDHSTEVVYSENPDEKFVKDNGFSYAIVVVGEPPYTETAGDNLNLTLPDPGPSTIRTVCGAIKCVVIIISGRPVVIEPYVHLMDALIAAWLPGSEGQGVTDVLFGDYGFTGKLSRTWFKSVDQLPMNVGDMHYDPLFPFGFGLTTGPTTARLSTSSPASAAAAAAAAADVRKEAYWVVSLFLSLSMAWVSTYLL >Ma00_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:1764185:1764457:1 gene:Ma00_g00590 transcript:Ma00_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQIDEQASQTRRGDIRSMRMNAFKNQPRHIDSRSHNPRLALNLAMKISGC >Ma08_p05720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3889231:3890571:-1 gene:Ma08_g05720 transcript:Ma08_t05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHSSLKLLGSWADSHTHRVQLALKLKGLEFDYQEEDVVNISPALVLHNPVYKMVPVLLHKDRPVVESVVILQYIDETWAGNPLTPADPFERAVARFWCHFAEDKLAPAVGAVFSSSGEGQKAAVDQVHENLKLLECEMRDGAFKGRRFFGGDKIGLLDVVLGCGSYWLAVFEEVMEVKLVDPESFPAFHAWLRDFEEQNEVKETIPAIDKLLEYARGVRQMMLSLSSSTSTSVPATAAANATGNDDVAVDAIDGSSG >Ma07_p03370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2594989:2601719:1 gene:Ma07_g03370 transcript:Ma07_t03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEILEQIGRGAFGAAILVNHKVEKKKYVMKKIRLARQTERCRRSAHQEMALISRLQHPFTVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKRSNGAYFPEEKLLKWFTQLLLAVEYLHSNFVLHRDIKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLITKINRSSIGPLPACYSSSLKTLIKTMLRKNPENRPSASEILRHPCLQPYVSQQRPCPDPSNVSQSLEKPISILQGQSNMSESQSSSISGSDKESSQSSNKYTSELSMKGDQKAIEPDTASTDDGVASDYNSNSPFYARGGTEISEIVKERQDSSKVLQVDEQQNIESRQPRISKNMLTAFKEGVKARESSSPVRASRVKVVAASNHRSSTEQSPKVSKPTGASFSKPKPNAEAPPDEPIKNICDSEKQVQGLQSFKHLSPVSESSPKTKARYDEASRTDPVKHILEDNVPPKRRQRILPPSLTRRPTLPAPNAAGVDNPSPVDNGNKSPSNKLTQEPGVSPNKKIYSPRQVISLMEDSEMIPISPSKGMQINKDNLEVPPVHTEDYLMARVKEQSKLYSNCTDDCADESSPAKISESSPTKCSSCTHSRLDYSFADSQEHDSGPFPNLEINTLDLQQSTINDKITSSSVLELSLSGTEQEFVFKDDISMSKSNQNPIVKRVGDDKFIVRELLSSIKDIAPFVPASSKNIPAEKAPITNQILERPEAPHITPAFEDVIHVIRHSSFRVGSDQPVPESMDKGIQNMDPGRLLNVVEEVDMRNISQNLEPPRFVDSVRIKSNVSEGSIIKEKPNSSESVRPTSTNVGSVSSESLLSSKEEGGFAKETLDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLRPFGKYKVSPRETAIWLAKSFKEMMSEDTNCK >Ma06_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2075965:2078054:-1 gene:Ma06_g02740 transcript:Ma06_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVVGDSKEMGLGGGFVVPNTNSFGQTFRDYDAASERQKSVEEFYRANHIHQTYDFVKKMREEYGKLDRVEMSIWECIELLNEFVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPSFGQLPQWAVVGDTFPVGCAFDESNVHFKHFKENPDYDNPKYNTKLGVYSEGCGLENVLMSFGHDDYMYLVAKENNTTLPSAALFAIRYHSFYPLHKHGAYQYLLNKEDRENLKWLHIFNKYDLYSKSKVRIDVEEVKPYYLSLIEKYFPAKLKW >Ma06_p14160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9705943:9706910:-1 gene:Ma06_g14160 transcript:Ma06_t14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRDPASCALPPSPVTRGSGRTRSGGLSRISRLWMEERPGSFTLRAGSAVLGFIAGENYGFDAYLSDRLDLFPPFLLSLYLDQELGALCNGGVTRPRKLYSPAVSSQERKQEDAEPSDDDDALLTEREAGDVAVSDSRAAQRSSVLVIIVFGGFYHVYVVRPWSSSFLLHTFYFGVRLWEKLGFRLVMF >Ma00_p03920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:32931578:32936925:-1 gene:Ma00_g03920 transcript:Ma00_t03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-2 [Source:Projected from Arabidopsis thaliana (AT2G45200) UniProtKB/Swiss-Prot;Acc:O22151] MRGGMGDSSLHLQESGWEELRKEARKIEGDLDVKLSSYAKLASRFTHSSSGYGDNGSPTIGSSRSWKSMEMEIQSLLEKLLDINDAMSRCAASTAPTTSINQKLARHRDILHEFTQEFRRTKGNLNSIREHAELLYSVRDDISESMASGSMSPRVNLLRERAAIHGNISHIDEVIGQAQATRSALGSQRVLFGDVQGKVKQLGDRFPEIRGLIGAIRRKRSKDTLILSAVIAACTLFLVIYWLSK >Ma11_p22800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26428411:26433126:-1 gene:Ma11_g22800 transcript:Ma11_t22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLGGLLHRRAAMIPSATSFNGGSCSHRLKQLEKWSRRGNLSQQ >Ma09_p25300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36824121:36825317:-1 gene:Ma09_g25300 transcript:Ma09_t25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLTSEGEKASWARLNMDVMEMILEHLTIVDHVRFSNVCKSWRSATIISLRALHAPWLLVSVDQSPLSWGLYTTSNGRRNLVLEIPKEFRSQYCCGSSKGWLIFVPKPPKRFRGYTVHLLNPITGSMINFYPFMHFIIKGVISTSPLAPDFLFAILGSHCMEENISVFLYESGYQSWQDLKIDDPMDIMFHHGRLYVLTGKAKIMVYAFKPHWKVSIIPIPSLFGEEEHHPSSCNGRLVGSNDDIFVVSYDTKLGEQQQLKVFKVRERGLRHHVVEVDSLGGHTFFICSFSEGVSVSKTKSSSKSELMKPDCIYYLSLEDNLRRYCMKRRHTFQVAGLDASGDILEWFTPRFEDRSSLMAVTPSNGSSHSRYLLLAIIIIIIMLACVIRYVLGKLV >Ma03_p13730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10822883:10826268:-1 gene:Ma03_g13730 transcript:Ma03_t13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSGESGYCSTTGIYRSPLVPPPPLHLPCVSLPHFLLLSTPAPPTKPAFVDAVSGDSLSYAELHSLTAAAASALSSAGLRRGDLALLVSPNSIHYPVLALAVLSLGAVLSTANPLLTHLELQTQVRDSEPVLIIAAVELLPKLDGILPGRPLIPIEPFLASLPREVDPPLPDVDVGPGHPATVMYSSGTTGKSKGVVSTHGNLTATASLLRHVWGGEARRDDVYACVVPLSHMFGFSVLVCGTLASGATTVVLRRYAVGELLTAVEQHRVTRLPAVPPVVVQMARSCRAGLAGGRGLRSLKEVICSGAPIAREQLERFVECFPGTTVSQCYGLTETSGPITVCDGVRGRFHVSIGRLIPTMEARIADVRTGKALPPYRRGELCVRGPLVMQGYMKNEEATSLAIDREGWLHTGDLCYIDKRGLVYVVDRIKELIKYKAYQVSPAELEEVLSTHPDVMDVAVTSYPDEEAGEIPVACVVRKPGSNVEEDDIFAFMDNKVAPYKKIRKVAFVEFIPRSPSGKILRRHLKAAADRRQRREISARL >Ma03_p29280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32233121:32242974:-1 gene:Ma03_g29280 transcript:Ma03_t29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSNGLIGALNVITLMLSIPILGGGIWLTQRAATDCERFLDVPLVALGVFAFLVSLAGCVGAYFRNSCLLWLYLVVMLLLIILLVCFTLFAFVVTNKGASHAVSGRGFPEYRLGDYSHWLQRRVDSAKNWRSIRSCLVQGKVCKSLQNQNQTWDQFIDDNLSPIQSGCCKPPSACNFTYINGTAWTKPPGFYSSDLPDCNSWQNDPSTLCYDCQSCKAGVLANLKHDWKKIAVINFVLLIFLVVIYLIGCCAFRNDKDDRYGKVHFG >Ma11_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:969294:976099:-1 gene:Ma11_g01380 transcript:Ma11_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGNSRELDQTPTWAVAVVCAVIVVISILLEKGLHRFGEWLTEKHKKALFEALEKIKAELMILGFISLLLTFGQKYIVKICVPYKVADTMLPCSRKTDTETEEEADGRHRRRLLTNALTDPNGKHRILAAGSIVSCSAGKVPLISTNGLHQLHILIFFLAVFHVASSFTTMALGRLKMRRWKHWESEISSPEYEFSKDPSRFRFTHETSFVRRHTSIWNRVTICFYFVSFFRQFFRSVCKADYLALRHGFINVHLAPGSKFNFQKYIKRTLEDDFKMVAGISPVLWASAVIILLLNVHGWKEKFWASIIPLVTILAVGMKLHVIITRMAIEIEERHTVIQGIPLVQLSDHHFWFGRPYFVLFLIHFALFQSAFQITYFFWIWYEFGLKSCFHENFVSIIVKVIIGVLVQFFCSYITLPLYALVSQMGSHMKRSIFDEETSKALIKWHQIAKKKQEKGLSRSSSVHEPSSTMSPQASPVNPMQRSATAGHMGAVYTPSGRRHVLGHHGLETEVEISTLSEPTQHQLHVGEQQNTEDEFSFAMFAAQTGRKEKR >Ma10_p19950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30304427:30306006:1 gene:Ma10_g19950 transcript:Ma10_t19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGERLCGKQHHKPLRRRGKKQRHRTEAASQLQSRLLARRIRVVFDDPDATESSDDEGMNYSCRKKRAIHEFSVLPTFPLSLLQASSEESSGRKPKNSRRPKVITLGSVNSTSGTSPVKFKGVRQRPWGKWAAEIRDPIRGVRIWLGTYDTAEAAAAAYAAAARRFQSEKKILAADVSSNSTTTSTSSCASMHSDAVAVAVPPSPSSVLDISASAIAEYSQVSPEKTAPAGAAERCFSELLMEQQLASPFSLESAFPFESDPFLESVLLDEEFVPLECLPVFDDDIVGDDFPSLEALSQLMDFDL >Ma10_p21550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31312034:31314201:-1 gene:Ma10_g21550 transcript:Ma10_t21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTKPSLGQVSLTRPQKPNHNHSGIPVINLSQPGSEALVVRACEELGFFKVTDHGIPMELIAKLEEEAVKFFALPQTDKERVGPAIPFGYGSKNIGCNGDTGWVEYLLMEITSKPMSHASLAVLTEPSASSFRSALNEYISAVRKLACEVLESMAEGLKIEPRNIFSKMVMDEESDSVLRFNHYPPCPMPQASEGVVTGFGEHTDPQIISVLRSNDTAGLQISLRDGSWVSVLPDRAAFFINVGDSLQVLTNGRFRSVRHRVLANGLKSRVSMIYFGGPPSGERLAPLSLLMREGEESHYREFTWCEYKRCAYKTMLADNRLGQFEK >Ma08_p32000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42740498:42740737:-1 gene:Ma08_g32000 transcript:Ma08_t32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGREEVRVPVLLPRVRQLAGDGGPSERAQGGAPAEESCPAASPSCPPPAEPARHLFGGSAGDLCRVLGPLPHSYSR >Ma11_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24380015:24389307:1 gene:Ma11_g19570 transcript:Ma11_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQGRYPPPGMGGSGAGANPNFYARNPQHQQQYVQRNPMQGQQNHQFQPQQHQHWSRRNQMGGDSGVGEVVKAVQSDAVTLMDSSSQDWKALLKIPPSDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSNILARAKNGTGKTAAFCIPALEKIDQDRNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLAPEFQPSVEQLIRFLPASRQILLFSATFPVTVKDFKDRYLPKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPQIDQAIYCR >Ma09_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10241191:10243526:-1 gene:Ma09_g14920 transcript:Ma09_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNRPSGPCGLLQGIRGVQLVDQSTYGREDSLRCGELDFSTCEGPEIVGSSRRLVIRRIWQQRPSYLRPIHCSLHGDQNIAETIANVITSLPFIVLGLQAPRQNLNAALYANSLIGVGIASSLYHSSRGQARRFLRWADYTMIATTTVCLSRAVRNENPRLLMAASTILLPFQPFMVSAMHTGLMEVAFARRALIKPELRMAHNLHTLSSLLGGALFVADDFFPETPYIHAAWHLAAAVGVGTCNKLLE >Ma05_p31510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41393235:41393573:1 gene:Ma05_g31510 transcript:Ma05_t31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKLVFLSVMVAASFAFSGAVSEPQKHSPPVLSPAPSVRKPSHPPVNAPSPAHDLPPPSQSPSSSPPPSISKAPSPSPSGTAAAAAAPPPSISITVALAIVGVVSYVCY >Ma09_p15920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11286618:11287847:1 gene:Ma09_g15920 transcript:Ma09_t15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRANRSPSMDASGAGSDGSSCYYSHLGIHRNASASDIRAAYRRLALKWHPDRWAKEPAAAGEAKRRFQRIQEAYSVLSDKGKRAMYDAGLYDPLDDDDQDFADFMLEMLAMMDGVKPEKPDTLEDLQRMLAEMMDGDSGSCGAGAHSSGRRGPLDSSRRSRGGPTRR >Ma01_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:947614:953537:-1 gene:Ma01_g01350 transcript:Ma01_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLSEDDGLIRDSSMDLNDSTSDPHMALALGALGIELNLDGPDIGEPCIGMEFDSDEAAKEYYISYANRVGFGVRMNKSRRSRKDDTVIMRRFVCTREGFHSKRVIYDDGKKKRKRGTTREGCMAMIEVIRKDHGKWVVTKLITEHTHMVAFPGKVQPRVDNSIIQNSSGLLHATSSGGFGNLKNFNRGIRVNPFGEGGEAEGLLEYLKKMQAENPAFFYAIQVDNNNCMTNVFWADAKARMAYQYFGDAVTFDTTYKKTKYMMPFATFRGVNNHLQSVTFGCALLMDETKGSYVWLFETWLSAMGGHHPDTLVTDRDKAMEGAITRVFPNARHWFCNWHILSRCKQRLSDVYLKYATLKRDLKECINHSETVEEFDTRWELILDKYNLWDNPWLQSLYDVRQRWAPAYQKGTFFPELSASQRSESLNKFFKRNFNSKTSLLVFIARFDQEMASQYEKEAQADFAAAYTKPLLRTPSPIEKQAAEVYTKEIFDKFQEEFIESLGYYVDKIEDGPNNKYNVTKEEDNRRAYIVIFSEPEKKASCSCYKFESSGILCRHILRVFFIVGIRVLPEYYILKRWTRDAASSVILDERATEPGLNFQDCLVAWYNDLCLDAVKYGIEGAMSSEIYKVAKAALQKALAEVVAAKNTQRKGQQNMQRFARLQKMQYKMPLPKLQTKKTPARSTQD >Ma09_p23300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35188920:35190268:1 gene:Ma09_g23300 transcript:Ma09_t23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPSRSSQTAPQNRPRRQLGLSLPLPQRDASFAVPLPLPPHSAGADLVPALADLERVRRVGSGSGGTVWMVRHRPTGRVYALKVIHGRHDDDVRRQMRREIEILRAADSPSVVRCHGFYDRGGEIQILLEYMDGGSLENRRIASEAQLAAVARQVLVGLADLHGRRIVHRDIKPSNLLVNGAGEVKIADFGVGRILAQTMDPCNSAVGTIAYMSPERINTDPNEGAYDGRAGDIWGFGVSVLEFYLGRFPFGELLRSQGAWASLMVAICYAPPPEAPSTASPEFRSFVASCLQKEPERRLTAAQLLRHPFIARAAAAPPDMSQLRLG >Ma11_p05090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3925378:3927745:1 gene:Ma11_g05090 transcript:Ma11_t05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASRWQVEMRSIRMDPASKLATDPIGRREETCCRPRRRPAWQSLARESRGVRGLRSSAITTLVGGVRHPYNCTSPTYVDGSPAENKKGKKNKRGDGVGWGEPVGAECIAGEVTAGAYFVLSPSVDSHISLLGFCRAAAIASSLRDPSAEAQALLGFLDFDREPGRSSFLFALAGIRATFALLLVSAIARFADLFDFKTRGRRRRRRSGGVMLWVVRLSGLCSLTMVMVVLSPSLQSFPPAEAIRSSHYLRLYGGYNHHLAAASDDSEDLVFRRAPPFHNAADCEPPSANGTSVCDSSLVHIAITLDEEYLRGSIAAVHSVLAHTRCPESVFFHFLVSEPSLEPVVRSAFPGLRFKAYYFDPDRVRGLISSSVRQALEQPLNYARNYLAGILEHCVRRVIYLDSDLVVVDDIAKLWRTELGSRAVGAPEYCHANFTKYFTDRFWSDQRLASTFAGRRPCYFNTGVMVLDLVRWRRAGYTRRIERWMEVQKSGAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWVRLDSIRPCPLDHLWAPYDLYGPAAS >Ma07_p04100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3083127:3083737:-1 gene:Ma07_g04100 transcript:Ma07_t04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPRRLLEIARKWQKMAALGRKRISWMRRDASLESNTKTTSIADRGHFIVYSSEGRRFMVPLAFLSTRMFQELLRLSEEEFGFSGDGPISLPCDAVFLEYVLSLLKKRGSNNEERERLISGFMRHCSRSSLCNIEQNQQLVVF >Ma05_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35228777:35234800:1 gene:Ma05_g23210 transcript:Ma05_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MVFVRTLDGKTLALDLNPSSTSLHALKLAIAARSGVPPHQQRLFVSSRRLLSATVGGADDSSTTLADLAVRPSSTLALHLPLLGGTQTPAVPARPPRYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAIGAPASAGAGRGRGKGAGGEEEDEEEEADEKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLADLTPEQWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQELVTALDPKSRVAGGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGQTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKNEDVWLEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLESNDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYEQSKKVLNKAREKLPKEPAIWITAAKLEEANGNVASVGKVIERGIRSLQREGLDIDREAWMKEAEAAERAGSVATCQSIIHNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYKPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTSEEKRLLEEGLKLFPSFFKLWLMLGQMEDRLGHGEQAKEAYENGLKHCPHCVHLWLSLANLEERMSGLSKARAVLTMARKKNPQNPELWLAAIRSESRHGNKKEADSLMAKAVQECPTSGILWAESIEMVPRPQRKTKSADALKRCDHDPYVISAVAKLFWQDRKVDKARNWFNRAVILAPDVGDFWALYYKFELQHGTEETQKDVLKRCMAAEPKHGEKWQAISKAVENSHLPTEALLKKAVVALGKEENPTVIDGIRP >Ma11_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4730633:4731831:1 gene:Ma11_g05930 transcript:Ma11_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVEKGDSEMATARPPLPLKTRLIIAAITAATDAACRRDGTVNRRLFNLFDRRTPPNPSPIDGVATADHTVDPSRHLWLRLFSPASPAPLPLIVYFHGGGFTFHSAASSPYDGFCRRLARRVPALVASVEYRLAPEHRCPASYDDGIDVLRWLGNGDLVPDLSAVFLAGDSAGGNIAHHVARRARREALGRASVAGLVAIQPFFGGKVPSPSEERLGGMPFGKLERFEWMWRSFLPVGADGDHEAANVFGPGSAAADDFEEGFPATMVCVGGWDALQDRQRWYCEGLKRNGVDVRVAEYPDAVHAFYVFPELPDAHKLVDDIADFVHRRMDELSKPVDK >Ma09_p00730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:486805:495420:-1 gene:Ma09_g00730 transcript:Ma09_t00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGALVDGVRRWFQRRSHRSSTAASSTLSTDDSAYDESGEVEEDDQLRIVEDLDLIGLPYIRVPKRFKMPPIGQPYKKSMLDTEFFTEYGEASQYQIQEVIGKGSYGVVAATVDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANADCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDIMTDLLGSPSAETIARIRNEKARRYLNSMRRKSPVPFSHKFPNVDPLALHLLERLLAFDPKDRPTAEEALADPYFRGLANVDREPSTQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLQEYLRGGEQTSFLYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVCATKVETGDQNNDCEIRTSESVARTTLQSPLRLQQGVSDHVSATENGSIKPNSSTRSLLKSASISASKCIVANGNRDTEEEPIPKHTDETVDGLSNKVEELHA >Ma06_p36280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35761848:35764550:-1 gene:Ma06_g36280 transcript:Ma06_t36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVASPEPVKVCVKAAAGAPDVPGDCPFCQRVLLTLEEKNVPYELKLVDLSEKPQWFLEINPEGKVPVIDFGDGKWVPDSDVITAIIEEKYPNPSLVTPAEYATVGSKILSSFVKFLKSKDPTDGSEQALLDEFHALEEHLKDHGPFVNGEKISAVDLSLAPKLFHLEVTLAHFKGWSVPENLTCVQNYMKVLFSKDSFIKTSAAKEYMIAGWEPKVNA >Ma08_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3031732:3035656:1 gene:Ma08_g04360 transcript:Ma08_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGNDETNYGEYTYANLEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIFYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKNLSIHHIPGPEGVRGRNSDNTLIKEKLGWAPSMRLKDGLRFTYFWIKEQIEKEKTQGLDVSLYGSSKVVGTQAPVQLGSLRAADGKE >Ma08_p04360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3031569:3035656:1 gene:Ma08_g04360 transcript:Ma08_t04360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGNDETNYGEYTYANLEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIFYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKNLSIHHIPGPEGVRGRNSDNTLIKEKLGWAPSMRLKDGLRFTYFWIKEQIEKEKTQGLDVSLYGSSKVVGTQAPVQLGSLRAADGKE >Ma08_p04360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3031555:3035656:1 gene:Ma08_g04360 transcript:Ma08_t04360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGNDETNYGEYTYANLEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIFYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKNLSIHHIPGPEGVRGRNSDNTLIKEKLGWAPSMRLKDGLRFTYFWIKEQIEKEKTQGLDVSLYGSSKVVGTQAPVQLGSLRAADGKE >Ma01_p13710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10031876:10035291:-1 gene:Ma01_g13710 transcript:Ma01_t13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPGPLTQWPWQRLGNMKYVLLAPLLAHSVHKFMTVKPEDRDMFNFLIIPSLLMRLLHAQLWITLSRMKTANSKHSIVDKSLDFEQVDRERNWDDQIIFTAILAYVVNKVIPGASHLPWWNTRGAILISLLHIGPVEFLYYWFHRALHHHYLYSRYHSHHHASVVTEPITSVIHPFAEELVYFLLFAIPPIITVLTGSGSIITSYGYLNYIDFMNYMGHCNFEMVPKWLLDSFPPLKYLMYTPSFHSLHHTRFRTNYSLFMPIYDYIYGTVDESSDELHERSLRKKEEMVDVVHLTHLTTLQSMYHSRIGLSSLASKPYKHQWYLWIVWPFTHALVQLAWHIGTTFTVERNKLEKLRMETWMVPRYSFQYATFAGKEKINGLIEEAILEADKRGAKVLSLGLLNQADELNGCGLLYVKRNPKLKVRIVDGTSLAVAVVLHSIPKGTQSVLLLVGNVSKMALSLCLALCQIDIQVEMVQRDKFNLLKQRLPTQLQKYLVFSGKYRSKTWLLGNGVSDQEQRNASEGIHFIPYSQFPPRVVREDCIYHCTPAMLVPKAYQNLHACENWLPRRVMSAWRVAGIVHALEQWNKNECGETVSNIETMWRAALRHGFLPYDGVL >Ma01_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4956540:4960980:-1 gene:Ma01_g06860 transcript:Ma01_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRSGPKPPKPLSSPLPASDRDHHRRRLVPAKSLDFSAWASDNLYKILAVCFLVATVAAIFFLRSAGDTAALLCLEKTTRSASSAASRIIYPQISWNSVPPIKPLDPSASPYASFRSDRWIIVSVSAYPTGSLRALARIKGWQLLAVGNSVTPPDWSLKGAIFLSLDQQARLGFRTVDYLPYNSHVRKSVGYLFAIQHGAKVIFDADDRAEVLGGDLGKHFDLDLVGEAAAAAPKHPILLQYSHAEPNRTVVNPYVHFGQRSVWPRGLPLENVGDVGHEEFYTEVYSGRQFIQQGLSDGLPDVDSVFYFTRKSSDFESFDIRFDEDAPKLALPQGLMVPVNSFNTIFHAQAFWGLMLPVSVSSMASDVLRGYWAQRMLWEIGGFVAVYPPTIHRVDKAQSYPFVEEKDLHVNVGRLIKFLVSWRSSKQTLFERILHLSYVMAEEGFWTEQDVQFTAAWLQDLLAVGYQQPRLMSLELDRPRANIGHGDTREFIPKKLPSVHLGVDEVGTVNYEIGNLIRWRKHLGNIVLIVYCSAPVDRTALEWRLLYGRIFKTVIILSEQSNPDLAVEYGQLAEAYKYLPKVFKKFQDAEGFLFLQDGMALNYWNLLQADKTKLWITNKVPESWVLVSTEGNVSEWHLNQGNLVKKIVDNFPVHFQTSYKESTTDGRLVICSSEIFYVPQRLVTDFVDLVGIVGDLQIHHKIAVPMFFLAMDALENLDSSALATVVYRTNLMANDSITSYYTAQVPAVYPLKVQTENDFVKLVRLMASGDPLLLELV >Ma03_p00350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:338447:339394:1 gene:Ma03_g00350 transcript:Ma03_t00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSHDVAKRHITRSSLCSSGGLLNADPIRSLWRPRTAGDGGQRRGVLMTSACCSIPGHARQS >Ma09_p20340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29052636:29074232:-1 gene:Ma09_g20340 transcript:Ma09_t20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPNIAVGSEVWVEDPDVAWIDGEVLDVKRDEITISCSSGKTVTAKTLKVHPKDPEAAPGGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRRLPHLYDSHMMEQYKGAVFGELSPHPFAVADAAYRQMINEGVSQSILVSGESGAGKTESTKMLMRYLAYMGGRVASEGRTVEQQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIGRFKLGSPRTFHYLNQSKCYELDGVDDAKEYLETKNAMDIIGITADEQDAIFRVVAAILHLGNVEFADGKEIDSSQPKDEKSWFHLRTAAELLMCDVNALEDSLCTRVIVTRDENIVKTLDLAAAVLSRDALAKIIYSRLFDWLVNKINNSIGQDPDSKNLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQSFKNNKRFSKPKLSRSDFIISHYAGDVSTLINVILFIHYDCQTRMLANNKSLKLLFLIQVTYQTEFFLDKNKDYVVAEHQALLTASKCSFVSSLFPPLSEDASKSSKFSSIGARFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIYENHNVLQQLRCGGVMEAIRISCAGYPTRRTFDEFIDRFGILAPEVFDGSCDEVAVSKKLLGKVNLKGYQIGKTKVFLRAGQMAELDALRNEVLGRSANLIQRKVRSYLSRRSFILLKKSAIMIQTICRGQHARQIYEIKRKEAAALRIQTYFRVHFARKTYKELLYSSITIQAGLRGMTARKELRFRQQTKAATIIQSRCRQYLACLHYSRMKKAAITTQCSWRGRVARSELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRIRADMEEAKTQENAKLQAALQEMQQKFDETKALLVKEQEAAKQAAEQVPVVKEIPVIDTVLMDKLRDENEKLKALVSSLEMKIDESEKKYEETSRISEERLKKATEAESKIVNLNSAIERLQEKLSNLESEDQILRQQAMLHSPIKRMSEHLSIPVTPTNQNLENGHHDFQEPKEVQSAPPAVNDYANGGTKLNRPSIERQQEDVDALINCVSKNIGFSEGKPVSAFTIYKCLIHWKSFEAEKTSVFDHLIQMIGSAIEDEHDNDHLAYWLSNGSTLLFLLQRSLKVAGAVGSTAQRKPPAPTSFFGRMTQSFRSSSSVSLAVDGLSVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNVKKDLSSLLSLCIQAPRTTRASMLRGVGRSPRQTQGNHWQNIIERLDNLLKTMQENYVPLVLIQKMYTQIFSFINVQVFNSLLLRRECCSFSNGEYVKSGLGELELWCTKTKPQYTGLSWDELKHIRQAVGFLVIFQKYRISYDEIVNDLCPVLSVQQLYRICIQYWDDKYNTKSVSADVLSNMRVLMTQDSNDAESSAFLLDDNSSIPFSVDDLLSSLQEKEFMHVRSAEELMENPAFQFLQE >Ma09_p20340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29052636:29074232:-1 gene:Ma09_g20340 transcript:Ma09_t20340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPNIAVGSEVWVEDPDVAWIDGEVLDVKRDEITISCSSGKTVTAKTLKVHPKDPEAAPGGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRRLPHLYDSHMMEQYKGAVFGELSPHPFAVADAAYRQMINEGVSQSILVSGESGAGKTESTKMLMRYLAYMGGRVASEGRTVEQQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIGRFKLGSPRTFHYLNQSKCYELDGVDDAKEYLETKNAMDIIGITADEQDAIFRVVAAILHLGNVEFADGKEIDSSQPKDEKSWFHLRTAAELLMCDVNALEDSLCTRVIVTRDENIVKTLDLAAAVLSRDALAKIIYSRLFDWLVNKINNSIGQDPDSKNLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQSFKNNKRFSKPKLSRSDFIISHYAGDVSTLINVILFIHYDCQTRMLANNKSLKLLFLIQVTYQTEFFLDKNKDYVVAEHQALLTASKCSFVSSLFPPLSEDASKSSKFSSIGARFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIYENHNVLQQLRCGGVMEAIRISCAGYPTRRTFDEFIDRFGILAPEVFDGSCDEVAVSKKLLGKVNLKGYQIGKTKVFLRAGQMAELDALRNEVLGRSANLIQRKVRSYLSRRSFILLKKSAIMIQTICRGQHARQIYEIKRKEAAALRIQTYFRVHFARKTYKELLYSSITIQAGLRGMTARKELRFRQQTKAATIIQSRCRQYLACLHYSRMKKAAITTQCSWRGRVARSELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRIRADMEEAKTQENAKLQAALQEMQQKFDETKALLVKEQEAAKQAAEQVPVVKEIPVIDTVLMDKLRDENEKLKALVSSLEMKIDESEKKYEETSRISEERLKKATEAESKIVNLNSAIERLQEKLSNLESEDQILRQQAMLHSPIKRMSEHLSIPVTPTNQNLENGHHDFQEPKSAPPAVNDYANGGTKLNRPSIERQQEDVDALINCVSKNIGFSEGKPVSAFTIYKCLIHWKSFEAEKTSVFDHLIQMIGSAIEDEHDNDHLAYWLSNGSTLLFLLQRSLKVAGAVGSTAQRKPPAPTSFFGRMTQSFRSSSSVSLAVDGLSVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNVKKDLSSLLSLCIQAPRTTRASMLRGVGRSPRQTQGNHWQNIIERLDNLLKTMQENYVPLVLIQKMYTQIFSFINVQVFNSLLLRRECCSFSNGEYVKSGLGELELWCTKTKPQYTGLSWDELKHIRQAVGFLVIFQKYRISYDEIVNDLCPVLSVQQLYRICIQYWDDKYNTKSVSADVLSNMRVLMTQDSNDAESSAFLLDDNSSIPFSVDDLLSSLQEKEFMHVRSAEELMENPAFQFLQE >Ma09_p20340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29052636:29074232:-1 gene:Ma09_g20340 transcript:Ma09_t20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPNIAVGSEVWVEDPDVAWIDGEVLDVKRDEITISCSSGKTVTAKTLKVHPKDPEAAPGGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRRLPHLYDSHMMEQYKGAVFGELSPHPFAVADAAYRQMINEGVSQSILVSGESGAGKTESTKMLMRYLAYMGGRVASEGRTVEQQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIGRFKLGSPRTFHYLNQSKCYELDGVDDAKEYLETKNAMDIIGITADEQDAIFRVVAAILHLGNVEFADGKEIDSSQPKDEKSWFHLRTAAELLMCDVNALEDSLCTRVIVTRDENIVKTLDLAAAVLSRDALAKIIYSRLFDWLVNKINNSIGQDPDSKNLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQSFKNNKRFSKPKLSRSDFIISHYAGDVTYQTEFFLDKNKDYVVAEHQALLTASKCSFVSSLFPPLSEDASKSSKFSSIGARFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIYENHNVLQQLRCGGVMEAIRISCAGYPTRRTFDEFIDRFGILAPEVFDGSCDEVAVSKKLLGKVNLKGYQIGKTKVFLRAGQMAELDALRNEVLGRSANLIQRKVRSYLSRRSFILLKKSAIMIQTICRGQHARQIYEIKRKEAAALRIQTYFRVHFARKTYKELLYSSITIQAGLRGMTARKELRFRQQTKAATIIQSRCRQYLACLHYSRMKKAAITTQCSWRGRVARSELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRIRADMEEAKTQENAKLQAALQEMQQKFDETKALLVKEQEAAKQAAEQVPVVKEIPVIDTVLMDKLRDENEKLKALVSSLEMKIDESEKKYEETSRISEERLKKATEAESKIVNLNSAIERLQEKLSNLESEDQILRQQAMLHSPIKRMSEHLSIPVTPTNQNLENGHHDFQEPKEVQSAPPAVNDYANGGTKLNRPSIERQQEDVDALINCVSKNIGFSEGKPVSAFTIYKCLIHWKSFEAEKTSVFDHLIQMIGSAIEDEHDNDHLAYWLSNGSTLLFLLQRSLKVAGAVGSTAQRKPPAPTSFFGRMTQSFRSSSSVSLAVDGLSVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNVKKDLSSLLSLCIQAPRTTRASMLRGVGRSPRQTQGNHWQNIIERLDNLLKTMQENYVPLVLIQKMYTQIFSFINVQVFNSLLLRRECCSFSNGEYVKSGLGELELWCTKTKPQYTGLSWDELKHIRQAVGFLVIFQKYRISYDEIVNDLCPVLSVQQLYRICIQYWDDKYNTKSVSADVLSNMRVLMTQDSNDAESSAFLLDDNSSIPFSVDDLLSSLQEKEFMHVRSAEELMENPAFQFLQE >Ma09_p26110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37460891:37468925:-1 gene:Ma09_g26110 transcript:Ma09_t26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQRGKTGGASLSKFEDSPVFNFINNLSPIRPVKSTDSAHIAHTYQSLNFASLSSIFSSPHANPPRVTRNLTGPPSTDLSRREEFLENVGESSLCSEVSDVVRPSRFTATTQDNCNITCSFNEAAIDPPEHCPILPSTLPQSSQYDSGSPDHNTKMKFALDLGHAPAELVPFVQNDIDRRKILFTMETGVQENHPLELNKDEVEGVQENLIFDDAEDMLIFDSFMEPVVHERGGEEVIGNDVTSFVSLLSNYTENVDKLQKTQPDIPHRPCVQDITQDLQLKCSEDSRKKKPETEHGKKMLSVTHQNQVDDSKQHGIHRRCLVFEVAGISQRNIYGDSSRNQSTTLPSKSRNIFDANLKPAISSSLCAFPGIGLHLNALATTSMDRMVKNEKLAPGKQLITMPCPIDPFASATTGRNSPQKSLAIEADLLTSGEIEDLQVTYDAAAKDISLGNYEELSQGSPKKKRCKSENGGESEGCKRCNCKKSKCLKLYCECFAAGVYCSEPCSCQGCFNKPIHEETVLATRKQIESRNPLAFAPKVIRTSEAGVEMRDDANKTPASTRHKRGCNCKKSNCLKKYCECYQFGVGCSISCRCEGCKNAFGRKEGVGEIEHVEEETDAYDKEKEGLDDGPQIAKVQIDERNSFGNILPITPHQSCRRSIKLPSSSSAKPPRVSKLSIGRSPGLYGSHILWKSEILLSQDKSENKINANFEDDTPSILKSNASPTTGIKIASPNRKRVSPPHIGVGLSPPNRRSCRKLILKSIPPFPSLDNDDASTEHPLSYSRNSSFSSSTVN >Ma08_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35767845:35772900:1 gene:Ma08_g22060 transcript:Ma08_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLNFLLLVLVISFVSTNARSLVTLDDFVVQMDNKVQNESNIGRNEQFCTLCEEYTSQVINYLGENETQAHIISTLHKACSQMHFLKQQCISLVDHYAPLFFLELSTITPEQLCEKANLCGETVLVNLPKSSDFCTLCHNIVKEILTKLEDPDTQLEVIKMLMKGCEEVGSYVQQCKKLVLQYVPPILINAEKFLETTDVCTAIYACKNSEDHITSTLADM >Ma05_p04130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3102779:3108994:-1 gene:Ma05_g04130 transcript:Ma05_t04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDKLEKSLIDSSTPETSATDPSDALGEGEGCLSVAIKSVNVSEEGAELQGENLRDGLNQHEYETEKHDQSTEHQVHESRNLDIKDLKEVKHGSEEVFVEEKETDPVFDGTETPELEANLEFSSQTFDLDPDVQVNAWPEKAVALKNFVKEKGSIAVSTVLRRLSGKNDEGTADTDEKTEGSVKHDNGSSDPRQKEVSQKVRDQSAWNPLNFIKIGRNVVTDSKTGHAEDTLFGCSTDEPTMRGRIMVYTRLGCQDCKRVRSLFRQKRLRFVEINIDIFPTRKFELEKITGSSAVPKVLFNDFLVGGLTELETMNDSGQLDEKIKGIFSEEPPPTAPLPPLPGEDDESGSGKVDELASVVRKMKESIILKDRFYKMRRFSNCFLGSEAVDFLSDDQYLEREEAVEFGRKLVSQHFFRHVLDENIFEDGNHLYRFLEHDPVIMTQCYNIPRGMFEVKPKPVTEIASRLRFLSYAIIEAYMSEDGKHVDYWRIHSCEEFKRYLRIIEELQRVDLESLSREEKLAFFINLYNMMAIHAILTWGHPVGALERRKFFGDFKYVIGGCAYSLSAIHNGILRGNQRPPYNLTKPFGQKDKRLKVALPYPEPLVHFALVCGTRSGPALRCYSPGNLDKELMEAARDFIRNGGVSVDAEAKVASVTKILQWYGMDFGKNELEVLKHAVNYLDPAESEEILELLSKTPMKVIYQPYDWGLND >Ma09_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8341957:8347277:-1 gene:Ma09_g12380 transcript:Ma09_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWIHQIEEWIHQQPIEQLYVAFAVLVFTFVFFGLFRILRRSKSNTIVLVGLSGSGKTVLFYQLRDGSPHLGTVTSMEPNDGTFVLHSELEKKGKLNPVHLIDVPGHSRLRPKLDEFLPHAAGVIFVVDSLDFLPNCRAAAEYLYDVLTKAIIVKRRIPILILCNKADKVTAHSKEFIRKQLEKEIDKLRTSRSAISTADITSDYTLGVPGEAFSFSHCQNKVTVADASGLTGEISQVEQFIRELVRP >Ma01_p22440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24826249:24844739:-1 gene:Ma01_g22440 transcript:Ma01_t22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKEGFLTDEQREVLRIAVQNAEVLSSSPKSRTSLLLPELKSKVGCGGKSTAVGGSVRHVRRSHSGKPVRVKKDGAGGKGTWGKLLDTEAASCLDRNDPNYDSGEEPYELVGTTVSDPVDDYKKSVVTIVEEYFSTGDVQLAATDLGDLGSDEYHHFFVKKLISMAMDRHDKEKEMASVLLSSLYADVTSPAQISHGFVMLLESVDDLAVDIPDAVDVLALFIARAVVDDILPPAFLTRAKRTLSESSKGFQVIQIAEKSYLSAPHHAELVEQRWGGTVHITVEEIKKKITDLLREYIESGETAEACRCIRELGVSFFHHEVVKRALVLAMEIQTSEHAILKLLKEAAEECLISSSQMIKGFSRVAESLDDLSLDIPTAKSLFQILVPKAISEGWLDPSFLKSEGAVEDGNNESSEKLRRYKEEAVTIIHEYFLSDDITELIRSLQDLAVPEYNPVFIKKLITLSMERKNREREMASVLLSTLSTEIFSRDDIVNGFIMLLESAEDTALDILDASNELALFLSRAVIDDVLAPLNLEEISNKLTPNCSGSETVHMARSLISARHAGERLLRCWGGGTGWAVEDAKDKIVKLLEEYESGGDVREACQCIRDLGMPFFNHEVVKKTLIMAMEKSDRLLDFLHECFGEGLITINQMTKGFSRVRDGLDDLALDIPNAEEKFQTFVEHAKKHGWLLLSF >Ma01_p22440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:24826249:24844738:-1 gene:Ma01_g22440 transcript:Ma01_t22440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKEGFLTDEQREVLRIAVQNAEVLSSSPKSRTSLLLPELKSKVGCGGKSTAVGGSVRHVRRSHSGKPVRVKKDGAGGKGTWGKLLDTEAASCLDRNDPNYDSGEEPYELVGTTVSDPVDDYKKSVVTIVEEYFSTGDVQLAATDLGDLGSDEYHHFFVKKLISMAMDRHDKEKEMASVLLSSLYADVTSPAQISHGFVMLLESVDDLAVDIPDAVDVLALFIARAVVDDILPPAFLTRAKRTLSESSKGFQVIQIAEKSYLSAPHHAELVEQRWGGTVHITVEEIKKKITDLLREYIESGETAEACRCIRELGVSFFHHEVVKRALVLAMEIQTSEHAILKLLKEAAEECLISSSQMIKGFSRVAESLDDLSLDIPTAKSLFQILVPKAISEGWLDPSFLKSEGAVEDGNNESSEKLRRYKEEAVTIIHEYFLSDDITELIRSLQDLAVPEYNPVFIKKLITLSMERKNREREMASVLLSTLSTEIFSRDDIVNGFIMLLESAEDTALDILDASNELALFLSRAVIDDVLAPLNLEEISNKLTPNCSGSETVHMARSLISARHAGERLLRCWGGGTGWAVEDAKDKIVKLLEEYESGGDVREACQCIRDLGMPFFNHEVVKKTLIMAMEKSDRLLDFLHECFGEGLITINQMTKGFSRVRDGLDDLALDIPNAEEKFQTFVEHAKKHGWLLLSF >Ma11_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:14805997:14808684:-1 gene:Ma11_g11710 transcript:Ma11_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPQQPNLHQPHHQQQQQQSIPLRNFVPIDGHLSAPVSFFNAAGFPDPSHLSLTNVTGVSPSAVLGADGWEPRTKRVKEQDFLENSQISSIDFLQTGTVSTGLGLSLDDRRVAASSGESPLVLLPMVDADIDRELQRMVTEMDSFIKIEGERLRQSILEKFQAKQFQTLAFVEEKILRKIREKDSEVENINKKNMELEEQMKQLVMEVGAWQQRAKYNENMVNSLKYNLEQLHAQNRDNREGCGDSEVDDAASCCNGNFSLQLMLKENKDSKEMACRVCGVNEVCMLLLPCRHLCLCKECESKLSFCPLCQSSKFIGMEIYL >Ma02_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14265253:14266480:1 gene:Ma02_g02850 transcript:Ma02_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKQSYLNGAYYGPPVPPRDTYRSVGRSSGFCCCGPCCLLCNLVKFIVSIVITLGIVVLVLWLIFRPNDIKAHVDDASLTQFNYTTGTGSLSYNLSLAMSIRNPNKRISIYYDYLEARASYDGFRFGYAPLPVFYQRRKSTAALAPAFQGAQVVTEDGVAETYVRETGEGFYYVDVSVYAKLRLKVWVFKIRYNRPRIDCSLKLPVPGTAGSFEKTKCHVHHF >Ma08_p02950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2205440:2215137:-1 gene:Ma08_g02950 transcript:Ma08_t02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVPHSGSVRQTSNRKFSSSSSQKDLWFICKQGSVVELDLALLSLKKNGGNIDARNVFGLTPLHIATWRNHVPIVKRLLAAGADPDSRDGESGWSSLHRALHFGHLAVASVLLQAGASLTLEDSKCRTPVDLLSGPVFLATGNASDSVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDALQSSCIKIIAASKFHSVAVGSDGQLYTWGYGRGGRLGHPDFDVHSGQAAVITPRQVILGLGTRRVKIVAAAKHHTVIATESGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLKVKVIAVAAGNKHSAAVAESGEVFTWGCNKEGQLGYGTSNSVSNSIPRMVEYLKGKVFRGVSAAKYHTIVLGADGEVFTWGHRLVTPKRVVVSRNIKKSGNTPLKFHRMERLHVISVAAGVVHSTALTDDGALFYWVSSDPDLRCQQLYSICGTNIISISAGKYWTAAVTTTGDVYMWDGKKYKDGTPIPARLHGIKRATSVCVGETHLLALCALYHPSYPLRSEVSVVEQLSDVNAEVEELDYDNSFSDIEIDTSPKTIKNDVGSKDIPSLKSLCEKAAAELLLEPRNAIQLLEIADSLEADNLRKHCEELAIHNLDYIFTVSASAIASASPEVLAKLEKLLDARSSEPWSYRRLPTPTATFPAIINSDEEDIEKGHFRLRDNSDNVLTKQESSRVDCFVQTDMITDQTVFKQVRALKKKLQQIEILEAKQLNGHHLDDQQIAKIQTRSSLECALNELGFPLETESTLLSSGLSDGKGNKKAEISRKQRRKPKQKATQSEVLSVNSEIFEEQNSVKGFPDIKTLEVAENMENTAVDVNAITRSITMEDSSSKNEKAISLSHTNKTSHLTTSKKKNRKGGLSMFLSGALDDAPRHAPLPMPKNEGPAWGGAKFTHTSLRDIQNEQSKTKEIIPMRSKGRCEDPTDPANSGKVRLGSFLPNISSPIVIVPAEGVAGPDGEKSTPPWSSSGTSPGLNRPSLRDIQLQQEKRQVNISHSPKTKISGFSVSSIGSPSEAGGPKDSVPNRWFKPETDAPSSIRSIQIEERAMKDLKRFYSSVKLVKPHH >Ma02_p20290.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26315502:26318498:-1 gene:Ma02_g20290 transcript:Ma02_t20290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGDDDDGTCEAILPGLPDDLALRCLAKISHGYHGLLECVSKKWKRAIRSMDYAHIKAREGWCGDWLFVLGADSPALWHAYDPDADRWHPLPRMPGFTDDDELCRFSCVSVSNKFLVIGGCHISHSEKRCWETRSVMVFDPFKKQWNAAANMQTARTDFACAVISDKVYVAGGSNSSSSEGLATAEVYDPDADKWKDLPSMPFPLIECFSISHGGQFHVVGKRICNFQHDTYVTFNPSDQKWHVMEDLLPVCKLTHDTTTIIESDIYSILPDGTVTMVMPDQKDWHALGVYPAVVLPDHDRPLSPFGFGFIAFGRCIYVVGGMVLKYNTSNHTYAYVKLDATKFCDPRTSPLEWQDAKPMPVQACRILGCASMEE >Ma02_p20290.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26314994:26318498:-1 gene:Ma02_g20290 transcript:Ma02_t20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGDDDDGTCEAILPGLPDDLALRCLAKISHGYHGLLECVSKKWKRAIRSMDYAHIKAREGWCGDWLFVLGADSPALWHAYDPDADRWHPLPRMPGFTDDDELCRFSCVSVSNKFLVIGGCHISHSEKRCWETRSVMVFDPFKKQWNAAANMQTARTDFACAVISDKVYVAGGSNSSSSEGLATAEVYDPDADKWKDLPSMPFPLIECFSISHGGQFHVVGKRICNFQHDTYVTFNPSDQKWHVMEDLLPVCKLTHDTTTIIESDIYSILPDGTVTMVMPDQKDWHALGVYPAVVLPDHDRPLSPFGFGFIAFGRCIYVVGGMVLKYNTSNHTYAYVKLDATKFCDPRTSPLEWQDAKPMPVQACRILGCASMEE >Ma02_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26315502:26318498:-1 gene:Ma02_g20290 transcript:Ma02_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGDDDDGTCEAILPGLPDDLALRCLAKISHGYHGLLECVSKKWKRAIRSMDYAHIKAREGWCGDWLFVLGADSPALWHAYDPDADRWHPLPRMPGFTDDDELCRFSCVSVSNKFLVIGGCHISHSEKRCWETRSVMVFDPFKKQWNAAANMQTARTDFACAVISDKVYVAGGSNSSSSEGLATAEVYDPDADKWKDLPSMPFPLIECFSISHGGQFHVVGKRICNFQHDTYVTFNPSDQKWHVMEDLLPVCKLTHDTTTIIESDIYSILPDGTVTMVMPDQKDWHALGVYPAVVLPDHDRPLSPFGFGFIAFGRCIYVVGGMVLKYNTSNHTYAYVKLDATKFCDPRTSPLEWQDAKPMPVQACRILGCASMEE >Ma05_p02050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1291244:1292344:-1 gene:Ma05_g02050 transcript:Ma05_t02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDDHDGRGDETAEWGRDYEGMTRQKVVHRILLLLPAKSLLRFRCVSRRWNGIISSNSFVHAHAAAPRLVSGLLYQSSQGTVVYAAFCHATASLPDPSLSFLPEPVAVKASARGLLCCRGRFSLMYYVCNPTTAVWVSLPMPRNLHNYDTEVVLMIDDPPRSNRVSEFRVVCAFPQVDRLHHVCGLETFSTDEWRWATSKWTPRLGRLLPGSGVVVGGRACWRTTMNTVLMYDPRNEERWGFERPERDLDGVTWWEIGVIEGQLSVAYAKVASTPESSTMVEVMVADSDSWSLRGAFGFGPGSRENNLKPLRMEGDKELLLWDGQRHILGRDMAGRETRLLVVDAPATFSVEFVPYTATLLHVKR >Ma09_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4781634:4782980:-1 gene:Ma09_g07320 transcript:Ma09_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLPSSTEDDAASVGTLPSLPSLQSVVPIYNYPDSVRCSASHLCLSSIKALTSSSSAAALAVSATSSFLYSASESEITVFDLVSARPVETFAGVPSAGFVKSVALSPDGRLFTAHQDGRIRAWRRSVRSGRHRLDATLPTVADRLRRLPLPGNYVAVRRHKKRLWVEHADAVSAVAFREGLLYSVSWDKTLKVWRGGSDFRCLESVPAHEDAANAVAVAGDGTVYTGSADGRIRAWTRSLVEEGRRRRHRLVATLERHRSAVNALALSGDGAVLYSGACDRSILVWEREESAGHMAVAGALRGHRKAILCLACVDDVLFSGSSDRTVRIWRREGEGKGYACLGVIQGHVAGVRSLVAVRVDSGKEDKDDEYRVCSGSLDGEVRIWRVRISATKESGPDVKNTKTN >Ma08_p27960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40045911:40060283:1 gene:Ma08_g27960 transcript:Ma08_t27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGTVLLQRYELGRLLGQGTFAKVYHARNVATGLAVAIKIIDKEKILRVGMIDQIKREISVMRLVHHPNIVQLYEVMATRTKIYFAMEYVRGGELFNKVAKGRLKEDVARKYFQQLIAAVDFCHSRGVYHRDLKPENLLLDEKGDLKVSDFGLSALGASRRQDGLLHTTCGTPAYVAPEVITKKGYDGSKADIWSCGVILFVLLAGYLPFHDSNIIEMYRKTSRGEFKCPYWFPSEVKKLLARLLDPNPTTRITVCKLIDNPWFRKGYRAVNHQLRMKRDESLRDVREAFASDADEEEEKKQATSSSSSIRPASLNAFDIISRSSSFDLSGLFEEEKGRRAEARFATQKPTEAIVSKLEEIARTERFRVEKKDGVVKLEGSHEGRKGQLAVEAEIFEVTPALYVVEVKKSAGDTLEYQRFYDQGLKPSLKDIVWEWQMADQSPPPPPPPPSVLPPRLPPSSARANMTSGSGGIDMLSVYNDEEEVEERKVVVAVGLVDSGADDANGGDGDTPPNPAFEAKVSTLLDRHDGMDCETLRSPIARSPTPPPLLPSQLQSSPFPAISPSPPLRHPSSSLSEPVGLQRMRMFVEGEILSNGRFMLREVQVADGWIGIGFANKQSVDPIRVLLQTLDLVPIWKYVVYMYFRVGVSQVATTPEREREREREKREGHPFLPGAPPNMTSLPLGPHHPPPPPGHDAAAAANLTLAVPPRAEMADNKQTSVVGKSEQVTGHVISTTIGGKNGEPKKTISYMAERVVGTGSFGIVFQAKCLETGEAVAIKKVLQDKRYKNRELQLMRSMDHPNVIPLKHCFFSTTSRDELFLNLVMEYVPETLYGVLRHFSNGNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNILVDPLTHQVKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGASAVDQLVQIIKVLGTPTREEIRCMNPSYTEFRFPQIKAHPWHKIFHKRMPPEAIDLTSRLLQYSPCFRCSALEACAHPFFDELREPNVRLPNGRPLPPLFNFKQELAGASPELVTKLIPEHVRQRSVLSFLHPVGT >Ma08_p00240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:207484:220889:1 gene:Ma08_g00240 transcript:Ma08_t00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYRSRSRSFSPRRDSRSPPRRKYYDDLRDRRGGGGRDYRDRRSSAPSGLLIRNIALDARPEDLRIPFERFGPVKDVYLPKNYYTGEPRGFGFVKFRYAEDAAVAKQHMNHQILGGREISIVYAEENRKTPQEMRMTTRISERYMEGRYSRCSLSRSPRRQYCSYSRSPTPPRHESRDNGRSSKDNYYSPPRSISPSPQNDRDYRSHDRRDDDREYRSHDDERDYRSHHQSPSPAGNGPSPSRS >Ma08_p00240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:207426:220889:1 gene:Ma08_g00240 transcript:Ma08_t00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYRSRSRSFSPRRDSRSPPRRKYYDDLRDRRGGGGRDYRDRRSSAPSGLLIRNIALDARPEDLRIPFERFGPVKDVYLPKNYYTGEPRGFGFVKFRYAEDAAVAKQHMNHQILGGREISIVYAEENRKTPQEMRMTTRISERYMEGRYSRCSLSRSPRRQYCSYSRSPTPPRHESRDNGRSSKDNYYSPPRSISPSPQNDRDYRSHDRRDDDREYRSHDDERDYRSHHQSPSPAGNGPSPSRS >Ma10_p25380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33544727:33551721:1 gene:Ma10_g25380 transcript:Ma10_t25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSLERHASIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQCLHGEDLRETVQECYEISAKYEGTGDPSKLDELGNVLMSLDPGDSILVASSFSHMLNLGNLAEEVQIAYRRRNRLKKGDFVDENNATTESDIEETLKRLVEQLHKSPLEVFDALKNQTVDLVLTAHPTQSIRRSLLQKHSRIRNCMTELTAKDITPDDQHELDESLQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMIAASLYYSQIEDLMFELSMWRCTSELQERANELHQLTKKDAKHYIEFWKRIPPNEPYRVILGDVRDKLYNTRERSRHLLTNGFSDIPEEAIFTDVEQLLEPLELCYRSLCSCGDRTIADGSLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITNHLGIGSYHEWPEVKRQEWLLYELRGKRPLFGPDLPQTEEIADVLQTFHVIAELPSDSFGAYIISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYMNRIDGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVQVAKQYGIKLTMFHGRGGTVGRGGGPTHLALLSQPPETINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATEEYRAIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVMDKDIRNLQTLREMYKEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSDELWPFGERLRANYEETKHLLLQVAGHRDLLEGDPYLKQRLRLRHAYITTLNVCQAYTLKRMRDPNFHENVKAKDIESNDSASDLVKLNPTSEYAPGLEDTLILTMKGIAAGMRNTG >Ma10_p25380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33544619:33551721:1 gene:Ma10_g25380 transcript:Ma10_t25380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSLERHASIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQCLHGEDLRETVQECYEISAKYEGTGDPSKLDELGNVLMSLDPGDSILVASSFSHMLNLGNLAEEVQIAYRRRNRLKKGDFVDENNATTESDIEETLKRLVEQLHKSPLEVFDALKNQTVDLVLTAHPTQSIRRSLLQKHSRIRNCMTELTAKDITPDDQHELDESLQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMIAASLYYSQIEDLMFELSMWRCTSELQERANELHQLTKKDAKHYIEFWKRIPPNEPYRVILGDVRDKLYNTRERSRHLLTNGFSDIPEEAIFTDVEQLLEPLELCYRSLCSCGDRTIADGSLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITNHLGIGSYHEWPEVKRQEWLLYELRGKRPLFGPDLPQTEEIADVLQTFHVIAELPSDSFGAYIISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYMNRIDGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVQVAKQYGIKLTMFHGRGGTVGRGGGPTHLALLSQPPETINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATEEYRAIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVMDKDIRNLQTLREMYKEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSDELWPFGERLRANYEETKHLLLQVAGHRDLLEGDPYLKQRLRLRHAYITTLNVCQAYTLKRMRDPNFHENVKAKDIESNDSASDLVKLNPTSEYAPGLEDTLILTMKGIAAGMRNTG >Ma11_p10780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:10644476:10648443:1 gene:Ma11_g10780 transcript:Ma11_t10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGKLGMQPSPPAPPQQAAPGAWVMGTPVAPYAHQAAGWVTVEHIPSPSSTNNNRTGNPYVATFPVPNNSSASGNPYVNVSPVPTKSPKATILTLLGRCGKKLEDTTKKAGGAAGNFWHHLKTSPNVTDAALARLAQGTKVFAEGGNDKVFQQTFGIFPGEQLKKAYACYLSTSAGPVIGILYLSTARIAFCSDNPFCRIISSGQQEWAYYKVVVPLDQLTAVNPSANPRNPSDKYIQIVTVGNHEFWFMGFISYEKALKNLSEALLIL >Ma03_p02640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1785479:1788621:1 gene:Ma03_g02640 transcript:Ma03_t02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLVFLFLSVAPYKYTPTGPRRPSKHARRVGDSCLPSSIFWAGRDMAAANSVPLITAYKMGKFDLSHRVVLAPLTRQRSYGNVPQPHAILYYSQRASKGGLLIAEATGVSDTAQGYPCTPGIWTKEQVEAWKPIVNAVHEKGGIFFCQIWHVGRVSNHDFQPNGQAPISSTAKPITPQVRANGIDVAIFSTPRRLRTEEIPLVVNDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDKVNDRTDRYGGSLENRCRFALEIVEAVVDEIGADRVGIRLSPYASYMQSGDSNPEALGLYMANALNKYGISYLHMVEPRMINVGEKVEVPHSLLPMRKAFKGTFIVVGGYDREEGNTAIASGYADLVAYGRLFLANPDLPRRFELNAPLNKYNRETFYIQDPVVGYTDYPFLDSDL >Ma09_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9538509:9541666:-1 gene:Ma09_g14020 transcript:Ma09_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARLIFDSSSSHRHHGHRMLLLGSGGVSPVFGGAISALAEGANKRRPFFTSPDEILEEEYYYDEQLPEKKRRLMPDQVHLLERSFEAENKLEPERKSELARKLGLQPRQVAVWFQNRRARWKTKQLEHDFDRLKSSYNSLLSDHDSLLKENDGLRSQVISLTEKLQSKAAALMAAAASVNDPASLGVPKKAEDRLSTGSGGSAVVDANQLLHSSEESCLPDGYHSMGLVDGRVHSEEDDASDEGCNYYSEEDAQLGWWVWN >Ma08_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10778773:10783688:1 gene:Ma08_g13650 transcript:Ma08_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSEDIVQIWSPFLDYLVKLELELKCSICTNLLKVPKVLPCDHVFCSGCISSLIDKGSVCPSCDLPFLPKDIRLALHVERLVSIFQEMDDAIGTIVQRKASPPGSPGVRISAKKNPVSVNNHIEAKSIGVQHMPQEKSGHLHSHGSPLTGEGSNKTRHDGENQEIILVGSMNSEQKSGIVVPLDKGSPNCPSTFSENGDSNLDIHDTYSEHTTKKSPTKTIKADSYASHEVRRPQLSPSSVTGHPITKDDGKTAVGSSQTRAGVQKQKTSKRQKLNNKRVKNHTIQHVRTPSIVFGDECAFCHSFRTTEASGPMCCYKDGRLVAMEEAGQSHVTHAHQKCIEWAPQIYFSGDTVKNLEVELRRASKIKCSKCGLKGAALGCYFGSCPKSFHVPCAVEIFDCRWDCVNFHVLCPNHSSEKLPCDDDGRLEKSSGTTHFPSVQIECRKSSGNLTEHQSSEHHAAAVGVKNDRIFIGSALMDSEKELLVKFASLVGGTVTEMWRPDVTHVIASTNESSAYGRTHNVLMAILTGKWVLTTKWVKVCMEAGHFVWEEPYEVRFDMHGFTDGPPMRGRLGAMEKAQKLFAGLSFRLSEHFTLSCRQSLKELVVTAGGVVLEDDILIPQDPFAIGFPALCFIYNEEPPQEYDPRGLAKVKDERCEEAIDFFKKTGARVRGHTRVLDAIAALDIRYLLMESAPKTVGSTICI >Ma05_p17410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20130387:20182977:1 gene:Ma05_g17410 transcript:Ma05_t17410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGETSSVASSPSSSVLATVTDGRPPLPVMALRDKIVAKILGNRVTLIIGDTGCGKSSQVPQFLLEENIEPILCTQPRRFAVVAIARMIAQARNCEVGSEVGYHIGHSNVSDISSTRSKIVFKTAGVVLEQMRDKGLTALKYKVIILDEVHERSVESDLLLACVKQLMMKNNDMRVVLMSATADITRYKDYFKDLGRDERVEVLAIPNAPQHSIFQRKVLYLDQVAVLLGMDSDSLSSSCNPGQIPSSNANMKPEVHALIHKLVLHIHESEPDIERSILVFLPTYYSLEQQWILLRPLSLLFKVHILHRSVDTNQALLAMRVCKSHRKVILATNIAESSVTIPGVAYIIDSCRSLQVFWDPIRKVDSCELVWVSKSQADQRKGRTGRTCDGKIYRLVTQSFYSSLKDHEYPAILRLSLRQQVLMICCSDTKGINDPKALLQKVLDPPDVDIIEDALNLLVKINALDKPLSSRGRHEPTFYGCLLDSLPLSFDASVLALKFGEIGMLQEGILIGILMDVQPSPIFQPFGSQNLDKHRLERLKQEINIDEPRTSEVLISDLEEEWCSFHNLVRTSLHNVSEIYEDIINIMHRFRPKFLAKSGVHGCFEPYAFKHKCILQSESARDTDALELGYEIPDATTGTTCIAVPYVSRDDFRATSVSEKLMNLIKEIRMKYTEDNSYNQHNNVYNVVSQVTESALCKFFIIGTCNKGTQCCFSHSPQARRPPCRFFHTFQGCRNGDSCFFLHDYTPCFAMTAASSLCLQEDASTLAYSLLELLPFRTNDYVLILNDKDLFFSCNLSQWYDPHKIVATTHHPYSESELSSHGIKILWNIAQPWQSILKTEEFPISWGRVKCVLWFADIKDDATAEHNLLQNFFHYLAVRMLADALYDMHVIITINNMKFAQLQVERLARECFFFLTQSFPFDETSFGKFSGSRGTTRPNQVSVPISYVFRMYPPVGIQFADYN >Ma05_p17410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20130387:20182977:1 gene:Ma05_g17410 transcript:Ma05_t17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGETSSVASSPSSSVLATVTDGRPPLPVMALRDKIVAKILGNRVTLIIGDTGCGKSSQVPQFLLEENIEPILCTQPRRFAVVAIARMIAQARNCEVGSEVGYHIGHSNVSDISSTRSKIVFKTAGVVLEQMRDKGLTALKYKVIILDEVHERSVESDLLLACVKQLMMKNNDMRVVLMSATADITRYKDYFKDLGRDERVEVLAIPNAPQHSIFQRKVLYLDQVAVLLGMDSDSLSSSCNPGQIPSSNANMKPEVHALIHKLVLHIHESEPDIERSILVFLPTYYSLEQQWILLRPLSLLFKVHILHRSVDTNQALLAMRVCKSHRKVILATNIAESSVTIPGVAYIIDSCRSLQVFWDPIRKVDSCELVWVSKSQADQRKGRTGRTCDGKIYRLVTQSFYSSLKDHEYPAILRLSLRQQVLMICCSDTKGINDPKALLQKVLDPPDVDIIEDALNLLVKINALDKPLSSRGRHEPTFYGCLLDSLPLSFDASVLALKFGEIGMLQEGILIGILMDVQPSPIFQPFGSQNLYVDNYFEDDTSNELPMGKKETIFMANLCAFQFWEHVFKDKHRLERLKQEINIDEPRTSEVLISDLEEEWCSFHNLVRTSLHNVSEIYEDIINIMHRFRPKFLAKSGVHGCFEPYAFKHKCILQSESARDTDALELGYEIPDATTGTTCIAVPYVSRDDFRATSVSEKLMNLIKEIRMKYTEDNSYNQHNNVYNVVSQVTESALCKFFIIGTCNKGTQCCFSHSPQARRPPCRFFHTFQGCRNGDSCFFLHDYTPCFAMTAASSLCLQEDASTLAYSLLELLPFRTNDYVLILNDKDLFFSCNLSQWYDPHKIVATTHHPYSESELSSHGIKILWNIAQPWQSILKTEEFPISWGRVKCVLWFADIKDDATAEHNLLQNFFHYLAVRMLADALYDMHVIITINNMKFAQLQVERLARECFFFLTQSFPFDETSFGKFSGSRGTTRPNQVSVPISYVFRMYPPVGIQFADYN >Ma03_p19980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25226095:25227509:1 gene:Ma03_g19980 transcript:Ma03_t19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFEEYEMGVMVSALAHVLAGDRGTDAATDISESTSCMFPTSSPPSWGDRGGQKRGSDDFKLEEVAKLRRTVGEFGESSSTPAATEQTASAAAAAATDAATRSTTGGTEQAGARRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFNTAEAAARAYDEAALRFRGSRAKLNFPDEARHRQAPPVVPSVRAPNSAAPAVPLESLPFVGHGATAVAAAASDSLAYARLLQGAGEYQTMPPASLLDPMMYSTASAASLASSHSLPSSNPSPFAVPPPPPPPFPPLFYSPEMSQQIDFFQPPPWTESSRDPPSSSG >Ma11_p06170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4953126:4958317:-1 gene:Ma11_g06170 transcript:Ma11_t06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLACCRRRTKDLSLDFEEKENRVMTYNGLESCILNSCTYDDESAGDGTSGTNGSVVIDSPDEDASSCFSSKDALGSSFSSQCLPSSKQEHLLDELDNLNTLHHFCTKGKAPAAYSMEVSDVEVMKEKFAKLLLGEDVSGGAKGISTALGLSHAITNLAASIFGELWKLEPLSEGKKSRWRREMDWLLSPTNYMVELVPAKQNGTDGGMLEIMTPKARADVHVTLPALRKLDSMLIEVLDSMVDMEFWYAEVGGRDDGSSLHNGTKSKKWWLPAPRVPESGLSPSQRRKLGFQAKFVHQILKAAKSINEQVLVQMPIPSAIKDALPKSGKASLGVDIYHAIAAESIPVEEVLLSLNMETEHSVLDMVNQLEGAVFAWKQRITEETMKKSPIRYPWSFVKENGSELEKRVVNLERAEALLHLLRIRFPNLPQSFIDVTKVHHNKDVGHAITEAYSRVLGGLAFSILSRIGDIFQEDDLKNPTTPIANLKFDFSSNVYLAGIAETPPGHIKRSLIDQMNTVDGRFSSFYIGKASEEHFLDGKAKRITVVPTSPLRSRTWCYGREVCATP >Ma11_p06170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4953126:4958317:-1 gene:Ma11_g06170 transcript:Ma11_t06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLACCRRRTKDLSLDFEEKENRVMTYNGLESCILNSCTYDDESAGDGTSGTNGSVVIDSPDEDASSCFSSKDALGSSFSSQCLPSSKQEHLLDELDNLNTLHHFCTKGKAPAAYSMEVSDVEVMKEKFAKLLLGEDVSGGAKGISTALGLSHAITNLAASIFGELWKLEPLSEGKKSRWRREMDWLLSPTNYMVELVPAKQNGTDGGMLEIMTPKARADVHVTLPALRKLDSMLIEVLDSMVDMEFWYAEVGGRDDGSSLHNGTKSKKWWLPAPRVPESGLSPSQRRKLGFQAKFVHQILKAAKSINEQVLVQMPIPSAIKDALPKSGKASLGVDIYHAIAAESIPVEEVLLSLNMETEHSVLDMVNQLEGAVFAWKQRITEETMKKSPIRYPWSFVKENGSELEKRVVNLERAEALLHLLRIRFPNLPQSFIDVTKVHHNKDVGHAITEAYSRVLGGLAFSILSRIGDIFQEDDLKNPTTPIANLKFDFSSNVYLAGIAETPPGHIKRSLIDQMNTVDGRFSSFYIGKASEEHFLDGKAKRITVVPTSPLRSRTWCYGREVCATP >Ma11_p06170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4953126:4956884:-1 gene:Ma11_g06170 transcript:Ma11_t06170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGLKSLGVMTYNGLESCILNSCTYDDESAGDGTSGTNGSVVIDSPDEDASSCFSSKDALGSSFSSQCLPSSKQEHLLDELDNLNTLHHFCTKGKAPAAYSMEVSDVEVMKEKFAKLLLGEDVSGGAKGISTALGLSHAITNLAASIFGELWKLEPLSEGKKSRWRREMDWLLSPTNYMVELVPAKQNGTDGGMLEIMTPKARADVHVTLPALRKLDSMLIEVLDSMVDMEFWYAEVGGRDDGSSLHNGTKSKKWWLPAPRVPESGLSPSQRRKLGFQAKFVHQILKAAKSINEQVLVQMPIPSAIKDALPKSGKASLGVDIYHAIAAESIPVEEVLLSLNMETEHSVLDMVNQLEGAVFAWKQRITEETMKKSPIRYPWSFVKENGSELEKRVVNLERAEALLHLLRIRFPNLPQSFIDVTKVHHNKDVGHAITEAYSRVLGGLAFSILSRIGDIFQEDDLKNPTTPIANLKFDFSSNVYLAGIAETPPGHIKRSLIDQMNTVDGRFSSFYIGKASEEHFLDGKAKRITVVPTSPLRSRTWCYGREVCATP >Ma11_p06170.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4953126:4958317:-1 gene:Ma11_g06170 transcript:Ma11_t06170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLACCRRRTKDLSLDFEEKENRVMTYNGLESCILNSCTYDDESAGDGTSGTNGSVVIDSPDEDASSCFSSKDALGSSFSSQCLPSSKQEHLLDELDNLNTLHHFCTKGKAPAAYSMEVSDVEVMKEKFAKLLLGEDVSGGAKGISTALGLSHAITNLAASIFGELWKLEPLSEGKKSRWRREMDWLLSPTNYMVELVPAKQNGTDGGMLEIMTPKARADVHVTLPALRKLDSMLIEVLDSMVDMEFWYAEVGGRDDGSSLHNGTKSKKWWLPAPRVPESGLSPSQRRKLGFQAKFVHQILKAAKSINEQVLVQMPIPSAIKDALPKSGKASLGVDIYHAIAAESIPVEEVLLSLNMETEHSVLDMVNQLEGAVFAWKQRITEETMKKSPIRYPWSFVKENGSELEKRVVNLERAEALLHLLRIRFPNLPQSFIDVTKVHHNKDVGHAITEAYSRVLGGLAFSILSRIGDIFQEDDLKNPTTPIANLKFDFSSNVYLAGIAETPPGHIKRSLIDQMNTVDGRFSSFYIGKASEEHFLDGKAKRITVVPTSPLRSRTWCYGREVCATP >Ma11_p06170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4953126:4958317:-1 gene:Ma11_g06170 transcript:Ma11_t06170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLACCRRRTKDLSLDFEEKENRVMTYNGLESCILNSCTYDDESAGDGTSGTNGSVVIDSPDEDASSCFSSKDALGSSFSSQCLPSSKQEHLLDELDNLNTLHHFCTKGKAPAAYSMEVSDVEVMKEKFAKLLLGEDVSGGAKGISTALGLSHAITNLAASIFGELWKLEPLSEGKKSRWRREMDWLLSPTNYMVELVPAKQNGTDGGMLEIMTPKARADVHVTLPALRKLDSMLIEVLDSMVDMEFWYAEVGGRDDGSSLHNGTKSKKWWLPAPRVPESGLSPSQRRKLGFQAKFVHQILKAAKSINEQVLVQMPIPSAIKDALPKSGKASLGVDIYHAIAAESIPVEEVLLSLNMETEHSVLDMVNQLEGAVFAWKQRITEETMKKSPIRYPWSFVKENGSELEKRVVNLERAEALLHLLRIRFPNLPQSFIDVTKVHHNKDVGHAITEAYSRVLGGLAFSILSRIGDIFQEDDLKNPTTPIANLKFDFSSNVYLAGIAETPPGHIKRSLIDQMNTVDGRFSSFYIGKASEEHFLDGKAKRITVVPTSPLRSRTWCYGREVCATP >Ma11_p06170.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4953126:4957934:-1 gene:Ma11_g06170 transcript:Ma11_t06170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLACCRRRTKDLSLDFEEKENRVMTYNGLESCILNSCTYDDESAGDGTSGTNGSVVIDSPDEDASSCFSSKDALGSSFSSQCLPSSKQEHLLDELDNLNTLHHFCTKGKAPAAYSMEVSDVEVMKEKFAKLLLGEDVSGGAKGISTALGLSHAITNLAASIFGELWKLEPLSEGKKSRWRREMDWLLSPTNYMVELVPAKQNGTDGGMLEIMTPKARADVHVTLPALRKLDSMLIEVLDSMVDMEFWYAEVGGRDDGSSLHNGTKSKKWWLPAPRVPESGLSPSQRRKLGFQAKFVHQILKAAKSINEQVLVQMPIPSAIKDALPKSGKASLGVDIYHAIAAESIPVEEVLLSLNMETEHSVLDMVNQLEGAVFAWKQRITEETMKKSPIRYPWSFVKENGSELEKRVVNLERAEALLHLLRIRFPNLPQSFIDVTKVHHNKDVGHAITEAYSRVLGGLAFSILSRIGDIFQEDDLKNPTTPIANLKFDFSSNVYLAGIAETPPGHIKRSLIDQMNTVDGRFSSFYIGKASEEHFLDGKAKRITVVPTSPLRSRTWCYGREVCATP >Ma03_p17320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22569263:22579332:-1 gene:Ma03_g17320 transcript:Ma03_t17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSLNLLVMILVTLLSSGAGKPSGEQPLSRIEIHKSTLALHDSAYVEASPRVLGLQGQNSDWVTLQYSYPSPSNDDWIGVFSPASFSSSICLPENPKESPPLLCTAPIKYQYANYTTSDYRNTGKGSLKLQLINQREDFSFALFSGGLSNPKLVAVSKKVTFANPKAPVYPRLAQGKLWNEMSVTWTSGYGINEAEPFVEWGARGDSQVRSPAGTLTFSRNSMCGAPARTVGWRDPGFIHTSFLKDLWPNKMYTYKLGHKLINDSYVWSRSYSFKASPYPGQDSLQQVVIFGDMGKAEADGSNEYNNFQPGSLNTTYQLIKDLKNIDIVLHIGDICYANGYISQWDQFTSQIEPIASALPYMIGRGNHERDWPGTGSFYQNTDSGGECGVLSETMFYVPAENRAKLWYSTDYGMFHFCIADTEHDWRPGTEQYSFIEHCLSTVDRQKQPWLIFLAHRVLGYSSADFYGDEGTSEEPMGRESLQELWQKYKVDIAFFGHVHNYERTCPVYQNTCIRNGSNYYSGPFEATTHVVVGGGGASLADFTTVRARWSYYQDHDYGFVKLTAFNHSMLLLEYKRSSDGRVYDHFIISRDYRDVLGCAVDSCSRTTLAS >Ma06_p31460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32586634:32589906:-1 gene:Ma06_g31460 transcript:Ma06_t31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGENGGEVGKKGRRKFAVLLCAEESEYVKKVYGGYFKVFVGLLGEEGETWDVYRAARRELPCMEDVGTYDGFVITGSCNDAHGDDQWINDLVSFLKALDSLKKKVLGVCFGHQILSRALGGKTGRAKKGWDIGVTCIHPSHSTIKLLASLRIPSHLPVIEFHRDEVWQLPPHAEVMACSEKTGVEMFRCGDHIMGIQGHPEYNKDILLHLVDRLLQRNLIQTCHAEAAKASLDAQEPDQEAWKRLCKGFLKGHISW >Ma04_p26460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27899370:27900066:1 gene:Ma04_g26460 transcript:Ma04_t26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYLHHYFSNMSKCSSLKPSKESWPNVTSLRAVIQPCSGTQLHSSSTSVVPSDHICQPRIFDWMHCKMQLSISCKKKPRECKSCHC >Ma11_p17330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22663024:22666231:1 gene:Ma11_g17330 transcript:Ma11_t17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRLSVLSDLPFPIRRPLPVTRPPSISIAPLARALKSPPPTAAAAAAMDAGFTPFGIFRSSYSGMAMGSSPATASLLSLNDLRDNKGARQKKKRKGRGIGSGKGKTAGRGHKGQKARGTSKFGFEGGQTPLRRRLPRRGFKNPFSLTFQPVGLGKIAKLINAGKIDSSELITMKTLKDTGAIGKHIEDGIRLMARGSEHINWPIHLEVSRVTVRAKAAVEAAGGSVRKVYYNKLGFRALLTPEWFAKKGRLLPRPARPPPKQRDRVDDIGRLPAPTKPIPTTTEEKDATAAA >Ma04_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5773911:5778114:-1 gene:Ma04_g08030 transcript:Ma04_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSAGRAGASSSRSFDFGSDDVLCSYDDYAAQDTSIGKRSDPPGRDLHESRIGRPLVNIYEQEDYSREDIISAVEKCMKKYADNLLRSLDGISGRLSQLEIFCYKLERSLGELRADFLQDQSEKDLKFKSLEKHLQEVHRSVQILRDKQELAETQKELAKLQLVQKESVEKNENAVARSVLDTKKLDDKPDVANQQLALVLPRQTSAPAVLPAGTSQPIQPYKEVPVQHQVPVSPDIQQDQILMNQAGKYHLRHQTLPQDQCSQPMQYELHYVQARPQFQNNPIQAPPQQPPIANQNQPPSLPRQQLLPPQPGHPMPQQVVKLQQPASQAQIITQTPPSYPPYPSQPVNPVPETFPGSMPMQVPNPTVLQSGGIRPEVLPFGYGGTGSSVSQPPPLHNMQLPVVSQPPPLHNMQQQMQLPAVSQPPPLHNMQQQMQLPAVSQPPPLHNMQQQMQPPVSQNSIGPQFGKGGYMGSATYPPTPYNPQAYHPAYNYPPSNLQASRNQQGPPSNGPAPHYETRLMRNHPYGDMIEKAIGMGYDGNQVMSLVQRMAETGQPMDFNSLLDRLNGQAAGATPRAW >Ma07_p23150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30888012:30889979:1 gene:Ma07_g23150 transcript:Ma07_t23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTVRSGDTTPALPSEARKNVDSNSALPPADEVGPSSRPEDMAAQSPDDAENDDDLQVEDIEAQIWHLKARLKRIRDGKQSRKRQHSTDPATLSELEEQSRKKKMSRAQDGILRYMIKLTEVCNAQGFVYGIIPEKGKPVSGASDSLRAWWKEKVRFDRNGPAALQRYRMEHPVLVPDADCTPQISISKILLELQDTTLGSLLSALIQHCDPPQRRFPLERGVPPPWWPTTKEDWWPEMGFPEDQGPPPYRKPHDLRKAWKTSVLIAVIKHMAPNLEKIRRLVRHSKCLQEKMTAKESMLWNSVLDQESRLLHGQSLPDATGHSHFYTASVSSSPSDYDVKEVENVPNAGLLDQIAAQSGTGKEEAGARLSPYHDQMMADYSQKRVAADEPDQRIYTCDHPRCPHHDYTHGFLDPGSRALHQIHCAYRNAMSQPQSQFLGFQVNDNRRSAVLSAPRLDKPIMNGGGPALVSPDVAGIGVAAGGHKSIGELCNFYDANLPMHAAAAAQADMNRLQLQIQMQANALQPASAPNRIQQSPLHPAHQRSGSMAPQAAASMDQNALHPTMFMEGNYVAQSTPRFVGDCFGDVSNSMHQSQDLQAQSFPFDPTSGNQNADVHTRFTFTSLLNSSSGEVNDAMGGRLGDSLPKKEDYGWRF >Ma03_p14670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14477467:14477803:-1 gene:Ma03_g14670 transcript:Ma03_t14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKGSETSCSSMGSAAGVQFLPKLVCHEMGKAEDRWIIYLRSGLKRGNCSPKRRKLLGMKEIKHNSMMQLKGILLMIQYLK >Ma02_p15740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23319813:23323629:1 gene:Ma02_g15740 transcript:Ma02_t15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANPFPFLALLLVFRSLPAVAAAARAEQPFVGVNIGTDVSNLFPPADLAAFVEAQQIKHVRLYDADPGILSALAGAGVSVAVGVPNNQLLALGSSPATAAAWVARHVVPFHPDTPISAVAVGDEVPTALPSVLPLLLPALRSLSAALAAANLSSIPVSTPLPFSVILDPFPPSQAYFNQSLANGFLTSLLRFLNDTAAPLMLNLYPYYAFMQGRGAIPLDNALFKPLRPALEEVDPNTLLHYTNVLDAMIDAAYVAMRNLNFTSVPVLITETGWPGNGSRRDEPYATRELASIYNSNVIRHVLDRAGTPLRPEATPSVYIYELFDEDLRPGPASEASWGLFYGNGTPVYLLHVSGAGGFLANDTTNRTYCVAAEGADRRGLQAALDWACGPGRANCSEIQPGESCYAPNDVTGHASYAFDSYYQKEGKAAGSCYFQGVAMVTTTDPSHGDCIFPGSKQMNVSGAGMNATLASKAGIPSALRLRTGIEHDHIIPMILSIMLVVSTLSWNHMS >Ma04_p02160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1898737:1907088:1 gene:Ma04_g02160 transcript:Ma04_t02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g10690 [Source:Projected from Arabidopsis thaliana (AT5G10690) UniProtKB/Swiss-Prot;Acc:Q8VYD6] MHSIGLPFRAPPPPFLEVAPWPSNPPSRTLLPPRASLSSSAYSPSRRLPKPPSVPTKPLPSPDLRWLTSRIVKLSRRRQLDQIFEEVEIAKRRYGRLNTIVMNAVMEACIHCQDVGSARQVFEEMSGPDGCGVDNVSFGILLKGLGEARRVDEAFQMLESIDKGSAFGGLQLSAHVIYGLLNSLLQSGDLWRANGLIARYRFVLHKEGQSLLLYNLLIKGYTNSDFPLGALAVRDEILRQGLKPDKLTYNTLIFACVKSGRIDAAVQLLAEMKEENEKSSCCELIPDAVTYTILLKGFGNNKDLHSVIKTVEEMKTSDLFIDRTAYTAMVDALLACGSIKGALCMFGEIIKQSGKDSNIRPKPHLYLSMMRAFAMRGDLDMVKRLNIRMWSDSVGSISPLVQGEADELLMEAAINDNQVDFAKVVLSKIITRRQGFSWTSRGGMTAIKVEALSGFTCSMLRPYILPQVLVDDPVEKYMTAFDDANPLPCSMTLNKVVMRFFKDSAIPVVDDWGSCVGIVYRNDCNKIDAPVSSVMRGPPPCVTTSTSIGRVIDLLLEKKYEIVVVVRNSSVYETIYSCSSRPVGVFTLKNLFPLLHVTDQ >Ma09_p30130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40417782:40418604:1 gene:Ma09_g30130 transcript:Ma09_t30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLMYHHSGERSEQEPPLIADDAYEMILKVHDLLPFMELLSWNFLMHSYYYYLLVVMINCAAKNNLHRSVIVIHIIRKDDDDSDIIMYHFMSQRWVFTHASSALSNAGIPGLQLSSCLLACMKEDSI >Ma04_p10250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7320835:7322203:-1 gene:Ma04_g10250 transcript:Ma04_t10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCRSLIEFCRAFEQHRHLVNSQASSDHRIGQSRRKSKSLNPLSHPFCEHSPFAAIDIVMLFLVLGALTVLTVPYFQFIFREAYELLPAAIDVIGDVLYQAPVAYAAGLIFVFVTAIAAWEFFNYQARKCGNPYCKGLRKAVEFDIQLESEECVKCLPPLPKDAFGTRPLDLGQDHKELEAELKKMAPLNGRTVLIFRSPCGCPLGRMEVWGPKKVRRIKK >Ma05_p26310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37965052:37965784:1 gene:Ma05_g26310 transcript:Ma05_t26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAIPLEATDDQSTSVDAMFSRRRFCCCCIWSAPRSSSSSSSESWQRIHAGGGGGSAGDDRRWWRRVVGAVMKVREWSELVAGPRWKTFIRRFNRNRHSGGGGGSWRMGSAKFQYDPLSYALNFDEGHGGSPEGDYAGYRDFSARFAAPPASA >Ma02_p17060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24118336:24128853:1 gene:Ma02_g17060 transcript:Ma02_t17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLIHLRFPPRPLHSLSVPLRCVGRDYKVYTRLAARRLTAKWFPKLRYALEEECVSCDVENSRGSNMLDGAINSSNGMDDFEGQLKNLFLEVRAKLEMGNKDDAVSLLQANFEAVKEQINAGFKGIEQAAILDTLALGYMGMGDFRTVEHLLNMLKDIVGDLHDDIPLMDSILMHMGSMYNTMGKFEEAICLYARGLKIIEQEFGTCSPFLITPLMGMAKALGFTGRVSEAVTLYHRAIDILENARGTENEDLVIPLSALGNLFISEGKATNAVDCFKRILDIYRKIYGEDNGKVGMAMCSLSHAVCAKGNINEAISMYKSGLQVIKDAKYMAVNDDLLEKMRTDLAELLHVAGREQEGRELLEECLLISEKHKGLEHPSSVTHLLNIAMSHSRSKNFAEAERLLRTCWHIMLRTVGPKDQSITVPMLHLAVTLYNLDRDEEAERLTLEVVRIREDAFGKESLPVGEALDCLVSIQMRLGNDDNDVLATLKRVLNIQEKEMGFESEEALTTLKKVVFYLDKMGKRDEKLPLQRRLSLLRRKYKQKVSV >Ma08_p28470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40366870:40367019:-1 gene:Ma08_g28470 transcript:Ma08_t28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIGKLIAVKKFLFCLLYLINPIIIFLYGTEVPAIISSLFLSDINFLV >Ma04_p05930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4437079:4440382:1 gene:Ma04_g05930 transcript:Ma04_t05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDLSAWWAKKIRNGTPVVVTMQNPNYSVVEIDGPGAAAFRSMDKDRGKNAKQFTWVLLLKAHRAVGCVAWLATALWALLRAVKKRLLLRRGFSTESDKPGKGRSLLRFLRAFLVLSVVMLAFEMIAYWKGWHFKKPNLHLPDNLHIPEATEIRGWMHTAYLSWLAFRADYIAYPIQVVTNFCIVLFIIQSLDRMILCLGCFWIKLKKIKPSINGDPFKSDHIEGSGSEYPMVLVQIPMCNEREVYEQSISAACQIDWPRDRLLVQVLDDSDDETIELLIRAEVSKWSQRGVNIVYRHRLIRTGYKAGNLKSAMSCDYVKDFEFVAIFDADFTPNPDFLKKTIPHFKRNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESFEAYRKQQHRWHSGPMHLFRVCLPAIIASKISIWKKANLVLLFFLLRKLILPFYSFTLFCVILPLSMFVPESELPIWVICYIPVLMSLLNILPAPRSLPFIVPYLLFENTMSVTKFNAMVVGLFQLGSSYEWIVTKKAGRSSESDLLMASERDSKPIHRGVSEGELVELNKLKDQQVAAPPPAKKENQIYKKELALALLLLTAAFRSLLSAQGIHFYFLLFQGVSFLLVGLDLIGEQMS >Ma02_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24725378:24726214:1 gene:Ma02_g18080 transcript:Ma02_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSGEVKKQSFLKSKIWAARILLTNVTHAELLAKEATRSKPWPPQDTGTLHDISEATFDIDDFFRVVDVLHDRFAFASSSYLVPPEELSKVVAWTTDELESDKEVIQKMCSFHCYDEMGHNWGSMMKKKSERVLQLMEKGPFQKEERDRLRQTTRRIQGFGNSSSDCPLPGRAEEASEVKHPKED >Ma11_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22691556:22696324:1 gene:Ma11_g17400 transcript:Ma11_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYALQRMAPLLHGRMQLRWYPRPLSSAAEQPSTDAVHMTDNCIRELHTTGSSNERKMLRLGVETGGCSGFQYVFCLDDKKNEDDRVFDKDGVKLVVDNVSYDFVKGATVDYVEELIRSAFLLCR >Ma11_p17400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22691556:22696312:1 gene:Ma11_g17400 transcript:Ma11_t17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYALQRMAPLLHGRMQLRWYPRPLSSAAEQPSTDAVHMTDNCIRELHTTGSSNERKMLRLGVETGGCSGFQYVFCLDDKKNEDDRVFDKDGVKLVVDNVSYDFVKGATVDYVEELIRSAFLVVANPSAVGGCSCKSSFMVK >Ma08_p34670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44649216:44650248:1 gene:Ma08_g34670 transcript:Ma08_t34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRVELKVSANCCERCKRKKVLKALSIKGVLRAEIHPSLPKLTVISVLDPKFLVRKLFKVGKNAKILPAEIPKPQGEETRWEAVEIKSSEPSKEAKEGSGSKKPNPEKENSTSSTVNDKNSSASIDSGSPDDRNREASDGAEATKSSNATIVATLAQVKGIANQKSAELMASQPRVFCPISEPMSSYCAMNAYPSPAPYYYHYDMPAPPSAAPFHDCYCSDDNYVGCRIM >Ma02_p06760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17698603:17700864:1 gene:Ma02_g06760 transcript:Ma02_t06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g12770 [Source:Projected from Arabidopsis thaliana (AT3G12770) UniProtKB/Swiss-Prot;Acc:Q9LTV8] MTATFSARRRPTCFYLLPRLCSSSKATTSAHPVTVVDGGDIIDRVASILSFAAHPAHLAQIHALLISSGLHRSPFLATKFLQSAPGSHRLTHARQFFDEIPYPEDPRLFNAVIRLHSHHNAFDDALRLYSQMLRSNILPDGFTLPPVLKACGGLPSHAAGRAVHAQVLCLGFQADVFVQNGIIAMYAKCGDIAGARTVFDMLSVRNVVSWTSILSGCTQNGFPLEALELFRRMRLESDARPDFIILVSVLKSYMDEQDLEHGRSVHGLVIKGGFEDEPDLIIVLTAMYAKCGQVVVARQLFDRVTSMDVILWNAMISGYAKNGHASEAVELFHEMISRVIRPDSITIRSAILACAQVGSLEIARRMEDYTNSSEFKDDIFVNTALIDMYAKCGNIARAHAIFERLIDKDVVVWSAIIMGYGLHGRAHEALRLFDEMKLAGVRPNDVTFVGLLSACNHAGLVKEGWNYFNSMKDYGIEPRHQHYACVVDLLARAGHLDGAFEFIRSMPMKPELTVWGALLNACKIHGHVRLGEYAAERVFALEPLNAGHYVKLSNIYASVGMWRDVARIRVLMKERRVTKSIGCSEIHISGKLHCFRAGEMSHPRYEEIFVVLAELERKLKECGFAPHLSSELRENSMFHHSEIIAIAFGLISTAPGTTIRIMKNLRACVNCHSATKLISKLMEREIVVRDINRFHHFKDGSCSCGDYW >Ma09_p04280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2805567:2806252:-1 gene:Ma09_g04280 transcript:Ma09_t04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASPPPAAGVVGPCAACKVLRRRCTDKCMLAPYFPPTDLRTFTNAHRVFGAGNITKLLQEIPQWQRQDAASSMAYEANARMRDPVYGCTGVVFQLQNQVSELQAQLARAQAAVANLHAENANLTALICERMSQALQETTAVTVDGIAPTPYVFQNDSFFLDGSSVPGYTREPPLRT >Ma09_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10052327:10055048:-1 gene:Ma09_g14720 transcript:Ma09_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--nitrite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15620) UniProtKB/Swiss-Prot;Acc:Q39161] MASSTSLQHFAPPARTSPRRESARRRLTVTAAVTPATEAATAGVAEERLEPRVEEREGGYWVLKEKYREGINPQEKVKLAKEPMSLVLEDGIRELAAKSMEEIDATKVHKDDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVVEGYGEDGCADVTTRQNWQIRGVTLPDVPAIMQGLGRVGLTSLQSGMDNVRNPVGNPLAGIDPHEIVDTRPYTNLLSAFVTANSRGNPAVTNLPRKWNVCVVGSHDLYEHPHINDLAYMPAMKDGRFGFNLLVGGFFSPKRCAEAVPLDAWVPSDDVIPVCKAILEAYRDLGTRGNRQKTRMMWLIDELGIEAFRSEVERRMPQELLERASAEELVQKEWERRDYLGVHPQKQPGLSFVGLHIPVGRVQASDMFELARLADEYGSGELRLTVEQNVIIPNVDDARVEALLDEPLLKERFSPEPPLLMKGLVACTGNQFCGQAIIETKARAMQVTEEVEKRVSLGRAVRMHWTGCPNTCGQVQVADIGFMGCMTRDAEGKVCEGADIYLGGRIGSDSHLGDLHKKAVPCKDLVPVVVDILVEHFGAVRREREEDEEEEQLGGN >Ma06_p09870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6861895:6864436:1 gene:Ma06_g09870 transcript:Ma06_t09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYAEKNSGARSIFIILALFRQRRPVETESTDAGGWGRGGSGWERWRGRCCCWLQQAGGKGLAPRRVSGRSDGWQSGREYGPSRRTWWPTPSPWRSAASPRPSSSRAIFGSSKSAAEWAHISRYFHLLARGVERNGWRFVLLARFSPLLSYVINYGLAAT >Ma03_p25890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29820912:29823609:1 gene:Ma03_g25890 transcript:Ma03_t25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDGKKVSGCGLLVLYNNIFRRGTASSSPGHPTSAAESPKLTASDSKRRRAASDEASLLVPANASHLPVAPAPQNPKAVAPGYLKASGRPAGAPAGNAGRARNLGLAGELDSMINDHQRSMGSSTLVRASSGNVMVFSNLGNIRAPGAVTPNRNVLDFLPKTASEKGGIPDGNQGPRYTNGPAGHAPNSSAVEVAAPEGLCRALSKRLEPEELKEMGNEEYKKGRYAEAVALYDRAILIDPGKASYWSNKAAALMAMGHLLEAVSDCREAVRIDPSYARAHRRLATLYLRLGEAEKAIHHFKLARNETTSEDIAQVHRLQNHLSKCNEARKLRDWHSVLKEARSAVSSGADSSPQIVAAHVEALLALGRQEEAETTLNCAPKFNIDASTKFFGASRSAHLLSVRAQIHLATGRFEDAVAVAQKAAQIEPSSREVGAVARKTRAVASARLTGNDLFKASKFREACVAYGEGLHHDPQNAILLCNRAACRSKLGQWEKAIEDCNAALNMRPSYTKARLRRADCNAKLERWEASVQDYEALIDQLPGDEEVGKALSEARQQLKKQRGEGSMDTKVGANFVRVTNKDQLKQFIMAPGITVALVFNKSSELPSQTIPFMEKMSKQHPTVNFLAMDLSQSPLLEEYGSYGPVAFKVYKNGSTVKDIDGLDQEQLESSLRALSK >Ma03_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3453900:3457592:1 gene:Ma03_g05290 transcript:Ma03_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKVRRFRGIGKLKGRKDANQERDELSSGPEEQKDESLDAMSKPAAVDTAVEGGEDEDDDDFITNEVKRRLKELRKNSFMVLIPEEGLPEEDEEEESSSSGWRESEVGVGHTWCRFDTLYDKYCERMLFFDKLINQHLKEAGSWGTSRHSPRSLSKKLSLGLRSLPFKRQDELQEDCENLQQLQEDNPCLNLEVAYVAQVCLSWEALHCQYMQLSQKLSSQPESSTSYSYAAQAFQQFQVLLQRFIENEPFEQGPRMEIYAHARILLPKLLQVPNFLGLDLKENVEVDSDKPILAIDLIKIIEDSILTFRLFLKMDKKKSGGFFRAHSPRSSLQQVQASLEKKEIKVKELFKKTKGWKKKTWPATIGEVELLFTLIDIKVISRVLRMERLTKEQLLWCEEKMSKLDLSDNKLHRVGTPLLFPC >Ma01_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4166795:4170965:-1 gene:Ma01_g05880 transcript:Ma01_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEVAAVLRGDMEEFSASATVVPFDSARPLLRGPVPAGPADDPAAGPFVLAFRDSAAWRSAYRATESMIIDQCEAGARVGCSISASNKCKPPWWKFLFGITAMDYAEREQCEEREMTSCLAAAKDTCVQFAKEKCLQPFRDARIASDNLKGTPQFVLWGNDTVETEFGGTSKESEPASYQQETLDGPSNCNSEVTNYRGSVLMGIVASENNATT >Ma00_p00180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:197597:198571:-1 gene:Ma00_g00180 transcript:Ma00_t00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMCVHMDCAGCESKIRKALQKLEGVDNVEIDMGSQKVTVTGYVDQKKVLKAVRRTGRRAVLWPYQYSAEQHHTFNHQYHQHHPALAQAGVSGPSSSYNYYKHGYDDSRIHGYYQQPAMTGNRTGDIFSDENPNACSVM >Ma03_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26284432:26285742:-1 gene:Ma03_g21270 transcript:Ma03_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFPSRSQGSSSSNSTPLHADAAAPAFDLSDFIMFDQAEFAPPSSFGPEPPVVPPMVDGGRSNDQNSNLSNITINSGDMTVNARSTGVEGERREETSRIAFRMKSEVEVLNDGFKWRKYGKKSVKNSPNPRNYYRCSTEGCSVKKRVERDREDPSYVITTYEGIHNHMSPGVVYYTTQDSVSGRYYVAGCQVPPDS >Ma08_p26350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38926085:38930537:1 gene:Ma08_g26350 transcript:Ma08_t26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMTMIAQDLGGDALDFEVDGAKYDNLNENDVSDEEIEPDELARRMWKDRVKLKRLKEREKLAAQQAASEISKPRQISDQALRKKMSRAQDGILKYMLKLMEVCNVRGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEAGNFAAQNAQNGGSKSHHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGVPPPWWPSGNENWWIGLDLPKGHAPPYKKPHDLKKVWKVGVLTGVIKHMSPNIGKIKTHVRKSKCLQDKMSAKESSIWLGILNKEEMIINQLSSDNGMSDVTQHGGHGEHREEANSSSDEYDVDGRADALGSTSCRDGERNLQVQKQDCAENIASTSKEDSPTEIINQLGQIKEQTSERPKRKRPRVSSVSSDKRAAPTQNEHIPGEMTNARPDMNGTNISRLIRHTPSVHNKAYLNPNPKHQERDLRSKCLALRSGISNLESLHSVNVATENMGVGYQPLVFPDVGNNELQYATTIDNGANCEFYNSSGGFSILQDKQQHPISVTSHGIRSDNSGNPVENNSYDYLTTPNVNSHTGFGDMHLFIDEPFCTEQDKLVGNSFGLPLDFIGISSPTSIPDLGDILHDDDLMEYLGT >Ma01_p21340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:20977295:20978433:-1 gene:Ma01_g21340 transcript:Ma01_t21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRISNSQEAEVRKGPWTMEEDLILINYITNHGEGVWNNVARSAGLNRTGKSCRLRWLNYLRPDVRRGNITPEEQHLIMELHARWGNRWSKIARQLPGRTDNEIKNFWRTRIQKKLKHGEPFGVQNVMLTDEASTSTTQVNTTTDEGAQPGAYTHMHDEQQTANPDGMISEFSTESSDNFWTVDDFWSMPSLNGD >Ma10_p08960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23183050:23183795:1 gene:Ma10_g08960 transcript:Ma10_t08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSATGLSNSLHSTFHGSWATSFAGADRAMLARPAPASVRVSRPRRSLPKMGNVNEGKGLFAPLVVFTRNIIGRKRFNQLRGKAIALHSQVITEFCKTIGADGKQRQGLIRLAKKNGEKLGFLA >Ma10_p01720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5087070:5094314:1 gene:Ma10_g01720 transcript:Ma10_t01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMPVFHPPNDLAAPAEPGSPIMVAPLLPKSSPRRRNLSWRSREGRTSWEAFPVENLERSGSTPVASYPSRRSDSVKLGSQREISDDEARLVYINDPERTNQPLRFVDNSIRTAKYSVLTFLPRNLFEQFHRVAYVYFLVLVGLNQIPQLGVFTPAASVLPLAFVLGVTAVKDAYEDWRRHLSDRTENSRTAQVLVAGGEFRPKRWKDIVVGEVVKVAADETLPCDMVLLATSDPTGVAYVQTINLDGESNLKSRYAKQETQSTSPEAMSGLIRCEKPNRNIYGFLGGVDVPGSKRVSLGPANIILRGCELKNTSWVVGVAVYTGRETKVMLNSSGTPSKRSRLEAHMNREVILLAVALITLCSIVTILAGVWLTNHRDELDDLPYYRKEDFSGAEADTYDYHGVGLETLFSFLKSVIIFQVMIPIALYISMELVRLGQAFLMTQDKNMFDEGSKTSFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFLCASVGGVDYSGGEEEAHSISVSGETLRPKMTVKTDPELMNVMMGGKGTEKAKQARDFFLALATCNTIVPILVDTSDPSLKLIDYQGESPDEQALVYAAAAYGFTLLERTSGHIVIHVLGESQRYDVLGLHEFDSDRKRMSVVIGCPDKTVKLFVKGADNSMFGVIQKGRDLDVIRATQTNLLAYSSLGLRTLVVGMRELSKSEFKEWQSAFENANAALIGRGKLLRALASNVERNLHILGASGIEDKLQQGVPEAIESMRQAGIKVWVLTGDKQETAVSIGFSCKLLTNDMTRIVINSNSRESCKNSLQDAVSMSSKLAAVSPGPENILTGTGSSRVPLALIIDGTSLIHILEKELEDELFKVAIVCDVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNAVLVFILFWYVLYTAYSLTAAISDWNTQLYSFIYTTLPTIVVGILDKDLSRKTLLKYPQLYKAGQRDERYNLRLFMLTMMDSIWQSVAIFYIPYIAYRHSDVDVSGLGDLWIIAVVLLVNLHLAMDVFRWNWITHASIWGCIIATVICVIILDSIWMLPGYWAIFNMMGTGLFWVCLLGIIVSALVPRFTTKVLTEYVIPSDVQIAREHEKIQNLSTATTSEILMNTFSQS >Ma07_p00400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:335371:338757:-1 gene:Ma07_g00400 transcript:Ma07_t00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMGKRGPLLGMIYVQVAYAAMFLITRLAFTRGMSHYGFVLYRQIIAAVAICPLACFLDREIGRLPVRWKNAGQIFLLALMGISISQNFYYAGLAYTSSTFVSTMTNLQPAITFLLAYLLRLEEVSIKNRTGQAKILGTFLCVGGAMVMTLFKDHNGGQGLQAQMDPIFSPHVRRLHGLGGGTSFVLGALFTIVGTTAWSAFLLYQACVVAQYPSQLTLSGLVNLVGGLQCAVISLIFEKPAALKLGWDLQLLAIAYSGIFCAGFGVFAMMWCVKETGPVYVTAFSPVSTVMVAILEPLLLHVQLTWSSLIAMVMVIGGLFLFLWGKAQDSSKTGESFAATHGVGEWDRNETSVYEEPSNQEPLLA >Ma04_p37750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35507779:35508850:-1 gene:Ma04_g37750 transcript:Ma04_t37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKDASQEDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGHNPFDIFESFFGGNPFGGMFLAMFAPPLSMSDVLPGLDHTYKHTCKVFISMFVYKYGCERNLP >Ma04_p27290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28480255:28482724:-1 gene:Ma04_g27290 transcript:Ma04_t27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIFARTVAGASPSLTTAARLFSFRLLSGRPEKVELFEQEIQAEDPYSEIEVLGMRRLEEAIHAIIVRRSAPDWLPFIPGSSYWVPPRRRPHGVIDLVHRLTYPMTEDEIMSFTTDRGWPSSSYFVEGTPLQPVKKSKGRTKVHSDDEER >Ma05_p13510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9797669:9797908:-1 gene:Ma05_g13510 transcript:Ma05_t13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHVCRLQVKKKGGCGAMLILELGIWVIPLTLIFAPCRRLVHLVAELQRVGESMMGPRAASPAIWSRMERLNSVALII >Ma08_p12520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:9471381:9472170:1 gene:Ma08_g12520 transcript:Ma08_t12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTVGRNLAAPLLFLNFIMYIIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLVGANHIRSWTNDSLAAAAASSIVAWAITALAFGVACKEIAIGGHRGWRLRVLEAFIIILAFTQLLYVLLLHAGMFSSKYGPGYRDPDYVVGGGPGEVKGTRI >Ma02_p14090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22232741:22236362:1 gene:Ma02_g14090 transcript:Ma02_t14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAASTLRSSFLSSPRHPKLSLSSKLPVSRRLPASYPRVRALDLDQNTLVAISVGVVSVAVGIGIPVFYETQIDNAAKRENSQPCFPCNGSGAQQCRFCTGSGKITVVLGGGDTDVSQCINCDGVGKLTCTTCQGSGIQPRYLDRREFKDDD >Ma02_p14090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22232741:22236288:1 gene:Ma02_g14090 transcript:Ma02_t14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPAASTLRSSFLSSPRHPKLSLSSKLPVSRRLPASYPRVRALDLDQNTLVAISVGVVSVAVGIGIPVFYETQIDNAAKRENSQPCFPCNGSGAQQCRFCTGSGKITVVLGGGDTDVSQCINCDGVGKLTCTTCQGSGIQPRYLDRREFKDDD >Ma04_p19500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22140557:22142266:1 gene:Ma04_g19500 transcript:Ma04_t19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESHVKKGPWTPEEDKKLVDYIQLHGHRSWRELPKNAGLNRCGKSCRLRWTNYLRPDIKRGNFSEEEERLILQLHSALGNKWSKISTHLPGRTDNEIKNYWNTHLRKKLLRVGIDPVTHQRATDLDLLSSLPSLLAATAKLGNLANPVDNALRLHADVVARYQMLKGLITLMIPSVLPPNTDAMNLLGLASPGRYQVNDLLRQNHQLEGLVNGSLAFGQDQMLPAPGPLGLVNPNTPSSSQASPESRIPQEMMMMMMKSNYDGSSTLIDSNSGITTPGFSCLDMPTAHSTPSSVSISPENEAADFMQGACGTNASTPSQGWQGLNLAEPDDDFSWKDILDQICWADES >Ma04_p17950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19261735:19265624:-1 gene:Ma04_g17950 transcript:Ma04_t17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSRSCILMSLIRCFFVASSLPLTHSSDVKALKVGEEIKGETMPLNSGQRTYELLGLRRSASYEVKISYPASIPASFSIQLQTTLPEIWSRKNRRLLNTEKLIFKADNDESAFVILTVDAAGVVAKPNVPERELVIYNIVCDELMLGIPHGAWWVGVAALLCLLLGILIPSFFPLHLLLKDEDLESENIALTKANSSLRHFPFHWWDL >Ma04_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9958485:9961623:1 gene:Ma04_g13160 transcript:Ma04_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNSTLLLLFAFVFFILLLQRPVFATKEAYVVYLGEHSRDPDLSPWEASMRATESHHELLASVLKDKEKVEDAIFYSYTHNINGFAAYLGEEDAMEISKYPGVVSVFPNRGYSLHTTRSWEFLGLERNSETHSAWNKARFGEDVIIANLDSGVWPEHQSFKDDGYGAVPSRWRGICQQGSDQSFSCNRKLIGARYFNKAYRAAAGPLNATFYSPRDYDGHGSHTLSTAAGNFVPGASILGHANGTAKGGSPRARVAAYKVCWPRTFRGECFDADILAAFDAAIHDGVDVLSLSLGGRPSAYFENSLDIGAFHAVKKGITVVCSAGNSGPNNSTVTNVAPWILTVGASTLDRDFPADVVFGNKRVTGKSLSEALTGKKLYPLINSKEANHGNASKEKAELCLPGSLDPAKVKGKIVVCLRGSSAREAKGETVREAGGVGMVLANSGSFGNEIIADVHVLPATHITFSDGLALYSYLNSTKSPLGYIAVHMTKLGAEPAPAMASFSSRGPNTITPGILKPDITAPGVDVLAASTGDVSPTELDFDRRRVAFMLKSGTSMSCPHISGVVGLLKALHPGWSPAAIKSAIMTTARVWDNEKLPLLDEATFLDASPFNYGSGHVRPNRAMDPGLVYDLTTTDYLNFLCGLGYNSTQLAEFRSYSCPSKPPHIKDLNYPSITIPDLSSSTKVTRVVKNVGSPGTYAVRVIEPRGISVTVSPTNLTFDEVGEEKKFEVTLKKIKEGESSAEYVFGRLIWTDRKHYVRTPLVVKSTS >Ma05_p13480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9785660:9789888:1 gene:Ma05_g13480 transcript:Ma05_t13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHSSPVLADPVPLSKSRLGLPSNMTPFSPAAAPYSSSGLFLQIPRRKVGKLDDVRANSWLDAMKASSPPRKKLNKDFISESQSDETDAAYRTWMMNHPSALTAFHQITAYAKYKKIALFLDYDGTLSPIVDNPDHAFMSSAMRAAVKDVAKYFPTAIISGRSRGKVNEFVRLSELYYAGSHGMDIMGPIRESQSVDDHPDCIRTTDEQGKEVHLFQPASEFLPMIDEVFDSLIDITKDIVGAKVENNKFCVSVHYRNVDEKMWEEVGKRVFGFINDFPRLRVDHGRKVLEVRPIIDWNKGKAVEFLLESLGLSHRDDVLPIYVGDDRTDEDAFMVLRESNCGFGILVSTVPKETKAFYSLSDPSEVLEFLKSLVRWKKSVALQTEDYMTAI >Ma08_p22080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35784614:35785680:1 gene:Ma08_g22080 transcript:Ma08_t22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYRFWLSDMMSKSWFYKLKHMGRGNRSQSIHRSMKRSQSSATTAASAATLPPATQQDCLPNRASSYIPSRRRTPKLPRSPINIKASDTHFPPGEPKTPKRKTRRRPLKPCARHDNDPCKHVTTSSSTMFKEYEDLEVVSELKLAPILTKPVEKEARRAAARRSVSGVHGIKVRQNSPRVGSRKAQAGRNHNAAASEATMRRRALLSENFVVIKSSSSPMRDFMESMMEMIVENNIREPKDLEELLACYLFLNSKEYHEVIIKVFEHIWFALGEVRM >Ma02_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21008638:21008769:1 gene:Ma02_g12170 transcript:Ma02_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPNLINSSIDQYDQYVVRSWFACSYQKTPSHDFNFSFLCML >Ma06_p34320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34611337:34611539:1 gene:Ma06_g34320 transcript:Ma06_t34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPEKSIYLENEKEGVRVGFHVESNTTGDVCFDEGRLSY >Ma06_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7346473:7346993:-1 gene:Ma06_g10650 transcript:Ma06_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSDDSLDCAACGCHPSDTDLGLRCATFRMATPVEESLACSLRLLTPYNHNLKPSRSSGILLQTGNSIGASVGAATESSSEELMAGPPPPQHQQHQFIVSRKRIRTKFTADKREGMLAFAEQDGAAVEQFCCEVGVRRKVLKRRRWSSSCTMKDRTIEGV >Ma06_p31830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32838078:32843998:-1 gene:Ma06_g31830 transcript:Ma06_t31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASATRFTAAAGGAFHGLRWRCSPPAYQGAILSARPRAVSLTPQRRFVSPSALYKADIQTKEEGLPETLDYRVFFLDGSGKNISPWHDVPLHVGDGVFNFVVEIPKVSSAKMEVATDEPFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFANAEVEGAFGDNDPVDVVEIGERRAKIGDILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKDYALKVITETNESWTKLMRRSVPAGDLSLL >Ma08_p16420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:18260324:18261891:1 gene:Ma08_g16420 transcript:Ma08_t16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGLCYPRTQWEAHWRSSEEEERMSRKRRRRLPPGEAAVGRKDFGWKMATEAVSEDSPDFFDGLPDDLVVSILCKLIASATSPSDLISVLVTCKRLNRLGLNPLVLHMASVKSLAVRAKKWSESAHRFLKRCADAGNLEACYILGMIRFYCLQNRGSGASLMARAAMGSHAAALYSLAVIQFNGSGGAKGDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVRRNIAEGRRFLVQANARELAAVLKSSAAPSVAWHHHRRHALVSGCSLLSDFGCNVPAPKAHPANRFMVEWFAARGPGGGPGDEGLRLCSHGDCGRPETRRHEFRRCSVCGAVNYCSRACQALHWKLAHKAECAPMGRWLEADAVYAAVGGAPPEVDNGGGGAMY >Ma04_p01460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1323288:1325201:-1 gene:Ma04_g01460 transcript:Ma04_t01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPYTKFFKLYNHCPFLSDPSSTPTATQSYSFSPHCYSNSFPRQLPSSPLKEALPLLSLCATRHQEEEEEEEEEGTSCDASIGGGKKKAVRVDDEAADEVDAVTVALHIGLPSPGAVDLTPSRFASTSEDNREKEAEEVDDVVTLGYASGNHPLGRLNKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQAHYKRKHGIKPFMCRKCGKAFAVKGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKSFGQGHAAYGINWFENEDDPSSEIEHDCHQPKELEKLTC >Ma05_p12470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9040066:9043041:1 gene:Ma05_g12470 transcript:Ma05_t12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRKHASHGAALFSRQSLSYKDERMQTKSQNRGIELCKGQTQLSTYHYPLEVPKSPHQALEFLSRTWSPSSSDFFQILSPSNLLPSLKDRSAEVDEHEAEKNRTDFAGDTKTRMDQILTLLSSGKLAQSAPQKHKSLHGGWIDVGQMKAWLGGEILSSFSKGCRKRRKEELRLHTAQVHAALSVARLAAAIAGIVGNCYLEPVNSKAMSLDHQGGELDNRMNTVVASAAALVATVCAEAAESVGANRMQVASAIRTGLATRSSADLVTLTATAATCLRGAATLELRAAACRQISEDQSTLARGAELPIQTPDGKIQLRMVRIYVKHDRLALRLVKKHIRGVISTYKEFRVFDAMEDPKEGGFSKNGHSCYLITLATTGGTIQLLFEDHKRYIMWKSSISHLLCDCLETTTRF >Ma08_p29600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41142764:41143514:1 gene:Ma08_g29600 transcript:Ma08_t29600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGSTGEFFRRRDEWRKHPMLANNLRHATPGLGIALVAFGIYLAGEAAYNHFRRPDDHPTTHH >Ma08_p29600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41142751:41147076:1 gene:Ma08_g29600 transcript:Ma08_t29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGSTGEFFRRRDEWRKHPMLANNLRHATPGLGIALVAFGIYLAGEAAYNHFRRPDDHPTTHH >Ma06_p02850.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2145298:2147717:-1 gene:Ma06_g02850 transcript:Ma06_t02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLYLGLPRSPRPCTLGLGPDLALSSLYLPSSSTTIEDVRVPVPMSGAVEPSDSHPPYSPSHAEYTPDMPAVLPFHRIDTPEYTPFPSNERYGESSSAIPPIIRDPEGPDVPYSPSSVQPLPALQEPDETAVQDDDSHMVYYPASPLRRADEPVFYSPPYVSGSTNSQDHETATFRFYPSMPMQTGQILCPEDGPSSRPEPLHTTEAHFRRLTESSLRWPNRQFRSLLQHAGERSSFLSPSVPIREQPVCDTLSSQRSLEHNGKHKVSAENDTTETSQEEREEKGRSAANFECNICFDMAVEPVVTSCGHLFCWPCLYQWLHVHSDFKECPVCKGVVTESNITPIYGRGSLQPTVEKNNEDEVSDLTIPPRPCGNRFESFRQLYRPVSRRLGEGIVLSWRRLLDQHMHRENRHEDPSLQEIFDSVHRRALSRMRARRLQREVNPESGSITEDLGLPINNVPNPIRSNTNSIFRDGANLWPQNSMYDHGTDREIGNMVGQNASSSNGYGLSTSSADPLNLEPPTIRHHAESVLAADQASASSTMAMIQGDAAATDALAEPNSAGYSRSSRRRVRSNTYGSFDVDESTLHSCKRRRLN >Ma06_p02850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2145298:2147717:-1 gene:Ma06_g02850 transcript:Ma06_t02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLYLGLPRSPRPCTLGLGPDLALSSLYLPSSSTTIEDVRVPVPMSGAVEPSDSHPPYSPSHAEYTPDMPAVLPFHRIDTPEYTPFPSNERYGESSSAIPPIIRDPEGPDVPYSPSSVQPLPALQEPDETAVQDDDSHMVYYPASPLRRADEPVFYSPPYVSGSTNSQDHETATFRFYPSMPMQTGQILCPEDGPSSRPEPLHTTEAHFRRLTESSLRWPNRQFRSLLQHAGERSSFLSPSVPIREQPVCDTLSSQRSLEHNGKHKVSAENDTTETSQEEREEKGRSAANFECNICFDMAVEPVVTSCGHLFCWPCLYQWLHVHSDFKECPVCKGVVTESNITPIYGRGSLQPTVEKNNEDEVSDLTIPPRPCGNRFESFRQLYRPVSRRLGEGIVLSWRRLLDQHMHRENRHEDPSLQEIFDSVHRRALSRMRARRLQREVNPESGSITEDLGLPINNVPNPIRSNTNSIFRDGANLWPQNSMYDHGTDREIGNMVGQNASSSNGYGLSTSSADPLNLEPPTIRHHAESVLAADQASASSTMAMIQGDAAATDALAEPNSAGYSRSSRRRVRSNTYGSFDVDESTLHSCKRRRLN >Ma06_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8653566:8654965:1 gene:Ma06_g12480 transcript:Ma06_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELDFFRIEKENAARFRGVDRRGSVRGIESVISGINPRLLRTMIAPGAAWRAAAPPLSAETTLFFPSSSPSTMPALNPSFRSIGETSKGTPPLTIFYNGMVAVFDLPREKAEVILKLAEEGDARNVHTGDLLPMARRKSLQRFFEKRKQRLTAVGPYTKDVEEVGSGKKATSDPISASSL >Ma02_p23470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28449464:28453981:1 gene:Ma02_g23470 transcript:Ma02_t23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLLLLLLLAVSVVSADEGPYIGVNVGTALSDMPSPTQVAALLKTQKIDHVRLYDADPAMLAALANTGIRVTVSVPNEQLLAVGQSNATAANWVARNVVAHVPAVNITAIAVGSEVLTALPNAATLLVPALRYIHSALVAANLDGLIKVSTPHASSVILDSFPPSQAFFNRTFEPVIVPMLKFLQSSGSYLMLNVYPYYDYLQSNGIIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVVVLESGWPHKGDPTTEPDATADNAATYNSNLIRHVLNNTGTPKHPGVPVPTYIYELYDEDLRPGATSEKFWGLFDVNGVPAYTLHLTGSGTLLANDTTNQTFCVAREGADTKMLQAGLDWACGPGKVDCSALMQGKPCYDPDTVESHASYAFNEYYHGMGMASGTCYFSGVAAITTTDPSHESCLFSGSGGKNGTVLNGTSLAPSSNSTSAESSASAAHSFHHNKGGLQIIRLLLLSLIVL >Ma05_p12730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9195096:9200139:-1 gene:Ma05_g12730 transcript:Ma05_t12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEVSLPIDPVKQDVGTEESRLRLVRTRETRSSNLVHSPKTYRSLLQGSEAKFSQELIFSCSSTRSTSSDLSASPAHSFVTSALVYCVWESSMPYFLFVVDDDGGEVYVAHPLKISPSVDKSLDYIYLFHSWKASRTASNKNVSNASHVVGKMKVSSSLIVNSRRSKFMEIEFVLFGANEEYSKEKEKPLSNVKKSNGQSKKVVEMFRPSHSSMHNPKLKFGESGSRFEDLWQFFSNELQTIYESDCADQLTNGFPPNLELAAIVVGDHRYNSSKDVAFGGWGLKFLEKVELNDADFSQGLPSSSSKSCKEKSESDRKKTARNVTVLVPDGFHGGPVAEFGGPSNLIDRWKTNGHCDCGGWDAGCPIKVLNNESNSSKILPQAEMGEDHKSFELFIEGHKHGEPAFQLLNVSKDTYVVNVQPTLSALQSFSIGVAVIHSQTPDLYPNL >Ma04_p06190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4568631:4570190:-1 gene:Ma04_g06190 transcript:Ma04_t06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGRAPAVAAAAAAMTVEVRYRGVRKRPWGRFAAEIRDPWKKARVWLGTFDSAEDAARAYDAAAIAFRGPKAKTNFPCSPSSPPPPVPPFRSPSDARFAFGGRHPPPSPPPPQRPTCSSLSSTVESFSGPRITAAAAPTHVRASHRRRQSNPPPQRVLVGEEDCHSDCGSSSSVVDDDNGDIASACPQPLPFDLNLLPPADDDFHAVALNL >Ma05_p17540.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20465917:20480781:1 gene:Ma05_g17540 transcript:Ma05_t17540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPPATPPRRAIEEAHPLISPVPENPPARPRRTRAEEEQVRISRRALENCRKALELLENAAGEPYDEDVAKDAPLATARGEEGSPLRSDTDADALCTLLKSRVELSYFLEKLWSMQTSVRQNIHDDNASWDMVTARDLWEDRLVDGENDSEQDGFILIRQEDIVDGIACFMADYLLSLQQMKEFTPNQLQDALCKTFSVKKRSQLRKAWDGSKVIYNVASWSATAIE >Ma05_p17540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20465923:20480781:1 gene:Ma05_g17540 transcript:Ma05_t17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPPATPPRRAIEEAHPLISPVPENPPARPRRTRAEEEQVRISRRALENCRKALELLDVAKDAPLATARGEEGSPLRSDTDADALCTLLKSRVELSYFLEKLWSMQTSVRQNIHDDNASWDMVTARDLWEDRLVDGENDSEQDGFILIRQEDIVDGIACFMADYLLSLQQMKEFTPNQLQDALCKTFSVKKRSQLRKAWDGSKVIYNVASWSATAIE >Ma05_p17540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20465917:20480781:1 gene:Ma05_g17540 transcript:Ma05_t17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSPPATPPRRAIEEAHPLISPVPENPPARPRRTRAEEEQVRISRRALENCRKALELLENAAGEPYDEDVAKDAPLATARGEEGSPLRSDTDADALCTLLKSRVELSYFLEKLWNDNASWDMVTARDLWEDRLVDGENDSEQDGFILIRQEDIVDGIACFMADYLLSLQQMKEFTPNQLQDALCKTFSVKKRSQLRKAWDGSKVIYNVASWSATAIE >Ma04_p27610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28810544:28811273:1 gene:Ma04_g27610 transcript:Ma04_t27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSBW [Source:Projected from Arabidopsis thaliana (AT2G30570) UniProtKB/TrEMBL;Acc:A0A178VQ32] MATIGTTAPTSAFVRTAVPRRPTAVSSPAIGLPRLGGRGGRVKCSTEAKPSAGAGASMLAAASVMAVSNPVLALVDDRMSTEGTGLSLGISNNLLGWILVGVFALIWALFFVYTSTLEEDDDSALSL >Ma03_p20600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25769934:25777433:1 gene:Ma03_g20600 transcript:Ma03_t20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCGCWSAIVGGISGACGSSDAAARASPNAIQPKTSVIYDAATETRYLNASNRELAASHESTFSMESTLDPTSKDKTSLQLLQFAFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGTGLTVAVKSLKPDALQGHREWVAEINFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMSRGSLENHLFRRALPLPWSSRMKIALGAAKGLAFLHGGADPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKNRPSGEQNLVAWAKTYILGDKQRLYQIVDPRLEFNYSIKGVQKIAKLAYYCLSRDSKSRPSMDEVVKDLMPLQDLKDMAALYVRSRTSRGKHHR >Ma03_p20600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25770359:25777433:1 gene:Ma03_g20600 transcript:Ma03_t20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLDPTSKDKTSLQLLQFAFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGTGLTVAVKSLKPDALQGHREWVAEINFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMSRGSLENHLFRRALPLPWSSRMKIALGAAKGLAFLHGGADPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKNRPSGEQNLVAWAKTYILGDKQRLYQIVDPRLEFNYSIKGVQKIAKLAYYCLSRDSKSRPSMDEVVKDLMPLQDLKDMAALYVRSRTSRGKHHR >Ma04_p37160.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35208485:35212094:-1 gene:Ma04_g37160 transcript:Ma04_t37160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISRGGAALFISTINTRLHHNKMVSVSARCFSIVRSQGTFTGRHFSLLRSSRTARLYCSDSPQSTAAEAASATAEEGAPPGPPPDPLRESADALDIRVGRILKAWRHPEADSLYVEEVDVGEPEPRTICSGLVNYIPLEHLQDIKVVVLANLKPRNMRGIKSNGMLMAASDESHENVELLIPPEGSIPGERIWFGSEDDKDKQPDAASPNQVQKKKIWESVQPHLKSTDDCVAVLGIHPMRTSGGMVTCKTLKNARIS >Ma04_p37160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35207887:35212094:-1 gene:Ma04_g37160 transcript:Ma04_t37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISRGGAALFISTINTRLHHNKMVSVSARCFSIVRSQGTFTGRHFSLLRSSRTARLYCSDSPQSTAAEAASATAEEGAPPGPPPDPLRESADALDIRVGRILKAWRHPEADSLYVEEVDVGEPEPRTICSGLVNYIPLEHLQDIKVVVLANLKPRNMRGIKSNGMLMAASDESHENVELLIPPEGSIPGERIWFGSEDDKDKQPDAASPNQVQKKKIWESVQPHLKSTDDCVAVLGIHPMRTSGGMVTCKTLKNARIS >Ma04_p37160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35207609:35212094:-1 gene:Ma04_g37160 transcript:Ma04_t37160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISRGGAALFISTINTRLHHNKMVSVSARCFSIVRSQGTFTGRHFSLLRSSRTARLYCSDSPQSTAAEAASATAEEGAPPGPPPDPLRESADALDIRVGRILKAWRHPEADSLYVEEVDVGEPEPRTICSGLVNYIPLEHLQDIKVVVLANLKPRNMRGIKSNGMLMAASDESHENVELLIPPEGSIPGERIWFGSEDDKDKQPDAASPNQVQKKKIWESVQPHLKSTDDCVAVLGIHPMRTSGGMVTCKTLKNARIS >Ma05_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32524557:32525824:1 gene:Ma05_g20820 transcript:Ma05_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAMASAAVHRSFLSSSSFSFERLFLCILGSSRLHSPGFKLTSTFSLPLHTYRRNVAIPPGVVIPRFSSGRPLLFASVRSFSASSSSKNTNSTASFDWSDDEEDGGDQIKTKAKEIDKSRLPPPYDPFNKKPVVEEPRDPSDLQEIFHRMRTEGLTNHAIKMFDALSKDGLIHEALQLLTIIKDKGTMPDVVAHTAVLEAYANAGGHAKEAIRTYERMLASGVSPNAYTFAVLIKGLAKDGRLPESRKYLLEMMGRGMRPNAGTYIAVFEAYLREQREDDARALLEEMREKGFMPEENAVRETIGKRGQVYRGIMNLLFGK >Ma10_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5349482:5349858:-1 gene:Ma10_g01850 transcript:Ma10_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVKSRASLLLTLVIIVFLVTARGETTPVKEAKAEIPYGALRPSSQPVLPGRPYTRGCTNNYYYCRPPSTP >Ma05_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24124891:24128227:-1 gene:Ma05_g18590 transcript:Ma05_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSVWEGLYRVLMRRNSVYVTFVVAGAFVGERMVDSGVHKLWEYNNVGKRYEDIPVLGQRQSE >Ma04_p34790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:33819585:33823077:1 gene:Ma04_g34790 transcript:Ma04_t34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASGDDDSSYPFSVTTPQPPPPPPPKKLSVLPLIALIFYNVSGGPFGVEDSVSAGGGPLLSLLGFLVFPFLWSLPEALVTAELAASFPENGGYVLWISSAFGPFWGFQEGFWKWASGTMDNALYPVLFLDYLCQSLPFFARPAARTPALLVLTAGLTFLNYRGLNIVGLAAVALTAFSLSPFVVMALLALPRLRPRRWLAVDLKRANFRGYFNIMFWNLNYWDKASTLAGEVEDPSRSFPKALFGAVILVMASYLIPLLAGTGAMDASITEEWKDGYFAQVGMVIGGAWLRWWIQAAAAMSNMGLFEAEMSSDSFQLLGMSEMGMLPAIFAERSKYGTPTISILCSATGVILLSWMSFQEIVEFLNFLYSLGMLLEFAAFIKLRIKKPDLHRPYKIPVETFGAVIICVPPTILLVLVMCLASMRTFIVSGSVMLGGFLLYPAIEHMRNKNCIKFLNTTLPPEGCSNTPQVQNQDVVDEASVSFLPDHSCVKKELQDLEINSDGILDKE >Ma09_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9033330:9033773:-1 gene:Ma09_g13400 transcript:Ma09_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAFTGSMASTAVEAKPGAACELCGGGAAVHCEADAASLCWACDASVHGANFLVARHLRRIVCACCQSLDDDRVISGASSPSVRSICRSCGPDAPELSSSASSPQESCVSTADSKAGQEEEMEGAATSRVEGRGGRGRARRRRSRP >Ma04_p05860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4395559:4398848:-1 gene:Ma04_g05860 transcript:Ma04_t05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPIFKFLSDDLGTYSSFSPGSTVHAKFKTSLAIWETTSMTNPIGNSSS >Ma08_p26830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39267431:39269094:-1 gene:Ma08_g26830 transcript:Ma08_t26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 25 [Source:Projected from Arabidopsis thaliana (AT2G41480) UniProtKB/Swiss-Prot;Acc:O80822] MIAALTVSFVILLIEFSVQAQGGLQKGFYSSTCPRAEEIVRSTVEKYFNSDSTIAAGLLRLHFHDCFVQGCDGSVLISGASAERSAVQNLGLRGFEVVDDAKSELEATCPGVVSCADVLALAARDAVDLSDGPSWSVPLGRRDGLVSSASDATSLPSPTDSVAVQRQKFADKGLTDHDLVTLVGAHTIGQTACVFVRYRLYNFTATGNADPTINQAFLGQLQTICPHDDGDFSNRVALDKGSMTKFDASYFKNVRDGNGVLESDQRLWEDDATHDIVGNYAGNLRGLLGLRFAYEFSKAMIKLSSVGVKTGTDGQIRTTCSKFN >Ma02_p12160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20999200:21008611:1 gene:Ma02_g12160 transcript:Ma02_t12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSIRCKWAVRGDITPSLRSLQQLNHLDLSGNCFTHKPIPKFFGAFRRLTYLNLSGAGFVGRVPDQLGNLSTLQHLDLSYNCYGDDEGDGFFCLYLENTRWISMLTSLRHLNMNWVNLTNASNWLQDLNVLPRVQEIELSSCDLGTFPRSLSHINFTFLITLDLRGNDINSTIPDWVFNITSLEFLYLGGNDLYGFFPNSIAKLTSLRALDLSGSVFQDGFMRLAPISNLCKLQILDLSYVPINDVLANLKMVFSGCLRNSMEELYLGGTQLNGSFPDWLGNIKNLKSLDLSFNSIYGSVPASIGNLSSLQHLYLYSNELKGTISKGIGQLKSLVDLDLSNNSLSLSEDDLANLSSLKYLDISYNFIELNKADDWIPSFQLQSLFMDFCQIGPTPQFPNWLRTQTTLRQLYLSSAGIKDMFFDRLPSSLEYLDLSNNEITYDAQQYFPNLIMLDLRNNSLSGHLPPKILNMMPRLKYLYLSNNKITGVKDMILDGHPSSLEQLDLSYNSLHESQLVSLGNLSMLQSLDLGSNNLKGMLPEGIKWLKGLVQLDLYDNSLRLSEDDLANLPSLKYLVISYNSIYLNKSDDWIPPFQLDTLLMGFCQILPIPQFPKWLRTQTTLSELYLSNTGIKETIPNWLPSGLQYLDLSDNKITGEIPQFLPKLKYLFLSNNSFSGNLSLRITNIMSSLQWFDLSTNNMSGEIPFSYCQLRNLEGLQLSENNLSGEVPNCWKNSSNLLLLDLSSNKLVGGVPDSLCNLQTLESLHLSHNNLSGPIPHCLKSCTELSTLDLGHNNFIGNIPTWIGESLLSLKTLSLRSNAFNGRIPQLSSLSSLRILDLSNNNLSGTIPQSFGNFSALRSTPTYHCCYFNDGRVYEDDMWLFIKGSEFKYTTSQLSIDALIDLSNNNLSGNIPEELGNLHGLRSLNLSRNYLIGQIPRSIDGMKQLEVLDLSRNNLSGEIPSGLAALNFLNQLNLSYNNLSGRIPTGNQLQTFTDPSVYAGNPNLCGPPLPKNCTVDIVKTDEEEQNDDSSKNRIETIWLYTSITLGFIIGFWTICGSLLLLRTWRIAYFHAIDNMFDKLYVMMVVTMAKYKRKL >Ma08_p21960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35725803:35726510:-1 gene:Ma08_g21960 transcript:Ma08_t21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPVGDNAVEYRGKKRVLRKNHSIEIPKQTPISAADEELGQVQPPFFSSRPFPLATRHDMPTKVLPFFLTMVFVLGRAHVFPAAAADVEAQKKVVVAVEGLVSCQDCGSVGTWNLAGAKPLPSARVSITCRDHRNRVVLYKSAAADDNGYVFAELYTTTMRGGYFDPVEACGVRLLVSSDIRCDRPTDVNNGVRGAQLRYENTTISGQYADIDVYVAGPLAFMPAQCPPKTIRS >Ma08_p21720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35528878:35541237:1 gene:Ma08_g21720 transcript:Ma08_t21720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRVSPFLLSPHLARFARFRFKPRTSSRPISVSPLLCSSSSTPSTSSSPLQPPPAAASATAETLGPDSLSEPRAASPLQWVPRTALCGELGLEDVGKRVRLCGWVALHRAHGGLTFLNLRDSSGIVQVATLPEDYPDAYSIANKLRLEYVVAVEGLVRARPQESVNKKMKTGDIEVVAEHVIVLNSVNRALPFPVTTADSVKDVTTEEIRLRFRFLDLRRAQMQFNLRLRHKVVKLIRRYLEDEHEFVEIETPILSRSTPEGARDYLVPSRIQTGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEDMLRLNEDLIRHIFQEIAGIQLPNPFPRLTYAEAMSRYGSDKPDLRFSLELRDVSSVFSGCNFKVFTDALEKGGIVKALCVPSGAQKFSNTALKKGAIFSEAIKAGAKGLPFVKVLDNGELEGVAALTSNLEPENREELLRLCSGKADDLILFAVGHHAMVNKTLDRLRLYVAHEMQLINYTSHSILWVTDFPMFEWNDSEQRYEALHHPFTAPNPEDMNDLPLARALAYDMVYNGVEIGGGSLRIYKREVQEKILEIVGISHMQAEEKFGYLLECLDMGAPPHGGIAYGLDRLVMLLAGSNSIRDVIAFPKTTTAQCALTKAPSSVDPQQLKDLSLAIL >Ma08_p21720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35528878:35541237:1 gene:Ma08_g21720 transcript:Ma08_t21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRVSPFLLSPHLARFARFRFKPRTSSRPISVSPLLCSSSSTPSTSSSPLQPPPAAASATAETLGPDSLSEPRAASPLQWVPRTALCGELGLEDVGKRVRLCGWVALHRAHGGLTFLNLRDSSGIVQVATLPEDYPDAYSIANKLRLEYVVAVEGLVRARPQESVNKKMKTGDIEVVAEHVIVLNSVNRALPFPVTTADSVKDVTTEEIRLRFRFLDLRRAQMQFNLRLRHKVVKLIRRYLEDEHEFVEIETPILSRSTPEGARDYLVPSRIQTGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEDMLRLNEDLIRHIFQEIAGIQLPNPFPRLTYAEAMSRYGSDKPDLRFSLELRDVSSVFSGCNFKVFTDALEKGGIVKALCVPSGAQKFSNTALKKGAIFSEAIKAGAKGLPFVKVLDNGSGCELEGVAALTSNLEPENREELLRLCSGKADDLILFAVGHHAMVNKTLDRLRLYVAHEMQLINYTSHSILWVTDFPMFEWNDSEQRYEALHHPFTAPNPEDMNDLPLARALAYDMVYNGVEIGGGSLRIYKREVQEKILEIVGISHMQAEEKFGYLLECLDMGAPPHGGIAYGLDRLVMLLAGSNSIRDVIAFPKTTTAQCALTKAPSSVDPQQLKDLSLAIL >Ma04_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9167295:9176645:1 gene:Ma04_g12170 transcript:Ma04_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPAAAPHGEGPIKGFPTHGGRYVQYNVYGNLFEVTAKYVPPLRPIGRGAYGIVCAAVNSQTREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPRRENFNDVYIVYELMDTDLHQIIRSNQPLTDDHCQFFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTASIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPKQEFCARFPTMSIGAIDLLEKMLVFDPSKRITVNEALQHPYLASLHDINDEPFSPAPFSFDFEHPSYTEEDIKELIWRESLKFNPDPVC >Ma06_p31650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32719819:32721041:-1 gene:Ma06_g31650 transcript:Ma06_t31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKPRTASAARSYEDFKPTTELVPGEVFDTYHIRLPGFRKDHVRVQVDNQGKLRTSGERPLDGRRWSRFYVEFTLPENCNLRDVRARFENETLQLRLPKLIAPEPVVQIEEREEEKKLQPPKEEKDEAAKSSGDKKMVPRTETDEVKEKEKEEAAKSNGDKKMVPRTETDKVKEKEKEEAAKSNGDKKMVPRMETEKVKEKEKEETSSKEKVEEQKLNGDKTTRPREEAEKEKEEVKDDKEKVEHGGVARAEQPAWPELGGMVMELSQTGKTLISKLAAAMLVLLVLVLYLKYKLTKGETRSAASL >Ma10_p15820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27774351:27781487:1 gene:Ma10_g15820 transcript:Ma10_t15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGSVGSSSNANRPPSSSAPQSSASQAAPTSREEDLEKHILEDQSSPEDPGEQSESTEDKTLKNDESSQGVKVEGGHNVYVEEEEKSSTHDVLVSAVLRDDMIELRSEQEDSVRSGSDSSQIVTGGSYPPPPPAPPPKPLLTNPSSRRTSMGSPNSVRIGPSRRQSAWPVVSTRSPSESRPSSPRSYGEGEGYNSADEQGPCYVSSYDDSERERLFETELRRAKGFEVKKMLEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMEKERDHFSQFVTEGFTSYCKRKRRDKVYGNNIEIQAFAEMYNRPIHIYSYSTEPINIFQGNYITDTPPIRLSYHHGNHYNSLLDSRRMTIGAGLGFSSLRGRNISKDQVKAAIKAQQDQQIDNALIAEGRFYSDLELTEKEIERMVMEASRAEYLAKEKLKQCPSFQESSTSRAEPSSSVATTGSSRSASEGDNEKALLPEMVLTSSMQMVLSMGFGYMQVMEAYSIFGDDVDSMICYLLEMGGSGGSPAGRNRHKGKAAE >Ma10_p15820.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27774351:27781487:1 gene:Ma10_g15820 transcript:Ma10_t15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGSVGSSSNANRPPSSSAPQSSASQAAPTSREEDLEKHILEDQSSPEDPGEQSESTEDKTLKNDESSQGVKVEGGHNVYVEEEEKSSTHDVLVSAVLRDDMIELRSEQEDSVRSGSDSSQIVTGGSYPPPPPAPPPKPLLTNPSSRRTSMGSPNSVRIGPSRRQSAWPVVSTRSPSESRPSSPRSYGEGEGYNSADEQGPCYVSSYDDSERERLFETELRRAKGFEVKKMLEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMEKERDHFSQFVTEGFTSYCKRKRRDKVYGNNIEIQAFAEMYNRPIHIYSYSTEPINIFQGNYITDTPPIRLSYHHGNHYNSLLDSRRMTIGAGLGFSSLRGRNISKDQVKAAIKAQQDQQIDNALIAEGRFYSDLELTEKEIERMVMEASRAEYLAKEKLKQCPSFQESSTSRAEPSSSVATTGSSRSASEGDNEKALLPEMVLTSSMQMVLSMGFGYMQVMEAYSIFGDDVDSMICYLLEMGGSGGSPAGRNRHKGKAAE >Ma05_p07460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5431025:5432636:1 gene:Ma05_g07460 transcript:Ma05_t07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:Projected from Arabidopsis thaliana (AT1G08700) UniProtKB/TrEMBL;Acc:Q0V7S4] MQRSVLDSLGVEIIGVISPVSICMLLVVLLVSTLSPSASDSAAAAASATTAATLVYDESPSDPPGRRLAGALLNAAAFVALITAATTLLVVLYYYRFTGFLRNYVRFSAFFVLASMGGSILLSLLRAAAFPLDAVSALVLLLNLSAVGVPAVLSPAVPVLLRQAYLVALAVIVAAWFARLPEWTTWTLLIALALYDLAAVLCPRGPLRVLVELASSRDEELPALVYESRPASASVSHAVAALGSVELQPVEPAPPNPTLSNRNVDPDRTIVEIESLEEETSPLVPNEPSSSGSGDARQQDIAAEREREREGERNQDPSSSSSLPGLAFESDAFDTSRGIRLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSICRHALPALPISITLGVGFYFLTRLLLEPFVVGASTSLVMF >Ma00_p03320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:28185474:28185722:-1 gene:Ma00_g03320 transcript:Ma00_t03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSEDAGGGPGKSYLFCLTAHAPWKRLSRRTECRPRPVVLITASGLQGEQPLAHGTM >Ma08_p21080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35079371:35083022:1 gene:Ma08_g21080 transcript:Ma08_t21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVKAAMGFQRSPAAPKADAPRRSSSSQASHHQTPPHSSSKDTAAAAFARSFGVYFPRASAQVRPRSPNVAELLRLVEELQEKESLLRVQLLEQKLLKETVAIVPFLEKEIATKSDELARAGDRIERLEAENRALRDEVEGLSSKIRVGEEEGQRSERRIRELETELDELRKALSEQGNGDWTQFCAGPVKVDECLSAQRFQGLIDASARSNLLKSLLKHPKSADIGPDQEFQKPECRFPKAEVGKAEGEHQQPRNGEKEEFLEPRAPRVPKPPPMPSAWSLSSYSSSSSETASAVATRKSPKLSCLPPIPPPAPLAVPAPSSGARPPPPPPPPPPMGSRSAAGSVRRVPEVVEFYHSLMRRETKRESCGGMQDAPPAAAANPRDMIGEIENRSAHLLAIKTDVETQGDFIRFLIKEVEQAAFAGIQDVVAFVKWLDEELSILVDERAVLKHFEWPEHKADAMREAAFGYCDLKKLESEASSFRDDPRQPCASALKKMQSLLDKLEHRVYELSRVRECATKRYKAFGIPCEWMMESGDVSQIKLASVKLGMKYMKRVCSELEMMAGSPEEEEMMLQGVRFAFRVHQFAGGFDVETMRAFLELRDKARCHRLRSKSHSQQKLYCRSTSCQPPTSVKVA >Ma06_p27150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29163449:29192347:1 gene:Ma06_g27150 transcript:Ma06_t27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDIDEDELLQIALKEQAQREVNYKRPSAKASKPVVNLIQPPPPPHFMAQDQHQQRNPNPRANPVPGKQPPQQHQRKASRGGGVDDDDDSDVEMLSISSGDEDSSKDRAAPQRGRSGEHRASRDDLDLGTDDDEPSSWKRVDEAELARRVREMRETRAAPGAQGLEQKTAPMARKGLANLQSLPRGVEVLDPLGLGVIDNKSLRLITDASVSSPVSRERSGTLDPTVREKVIYSSPHFDPKIFLSRVHQETSAADLESGALTLKNDLKGRTQQKKQLVKENFDCFVSCKTTIDDIQSKLRQIEDDPEGAGTARLYEATQNISQVANHAFQPLFERQVQAEKIRSVQGMLQRFRTLFNLPSSIRGSIAKGEYDLAVREYRKAKSIVLPSHVGILKRVLEEVEKVMHEFRGMLYKSMEDPELDLADLENIVRLLLELEPSSDPVWRYLNIQNRRIRSLLEKCTLNHEGQMEILHNEIREKVKSDARWRQLQEDSNKLLDTESPLDDSPEVDMHPDVEEVDALRGRYIHMLNSVLIHHIPAFWRLSLSVFSGKFAKVTTGGVLLDSETNAKPALSKNEEKVGEIKYSTHTLEEVAAMIQGTITAFEAKVQSTFRDFDESNILRPYMSDAIKEIAKACQTLESKESAPSSAVEALHALYFEITKIYILRLCSWMRATTKEISKDETWTPLTTLERNRSPYAISYLPLAFQAMTISAMDQIDIMVQSLRNETTKYQYVFEHIQEIQESVRLAFLNSFLDFAGCLERIGTELSQKRSSKKNSHLQNGYLHSLEKDSSILYGGRAAASDFHKKLLIVLSNIGYCKDELSHGLYSRYKHIWLQYRDKDEQKADMRDLVTSFSALEEKVLGQYTCAKSDLIRDAAQIYLLNSGIQWGGAPSVKGIRDATIDLLHILVGVHAEVFFGAKPLLEKILGILVEGLIDTFISLFDEHKNKDLKVLDTNGFCQLMLELEYFETVLNTYFSPQAHEALKRLQGLLLEKACESATEPSENPGHQRRSTRGSEDAMVEDRQSTVSPDDLLVLAQQYSSEILESELERTRLNIVCFMESSLQPASFTGPPKPAFASHQGSVASPSYRRQQTVGSPAYSRQRRK >Ma04_p02580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2172404:2175884:-1 gene:Ma04_g02580 transcript:Ma04_t02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLTVDGKVVKAQIWDTAGQERYRAITSAYYRGAIGALLVYDVTRHATFENVERWLKELRGHTDPNIVVMLIGNKSDLRHLVAVPTEDGEAYAERESLYFMETSALEATNVENAFAEVLTQIYRIVSKKAVEAGDDATSTVPSKGERINVKDDVSALKRVGCCSS >Ma11_p07370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5835374:5847429:1 gene:Ma11_g07370 transcript:Ma11_t07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAIHQFAQCITCHAWSPDQSMVAFCPNNHEVHIYKLFGEKWERVHVLQKHDQIVSGIDWSVRSNKIVTVSHDRNSYVWNQEASEWVPTLVILRLNRAALCVQWCPKENKFAVGSGAKTVCICYYEKENNWWVSKLIRKKHNSSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDSRESGASSSSNLKFGEQIAQLDLSFTWAFGVRWSPSGNTLAYAGHNSMIYFIEDVGSSPSAQNVALRDLPLRDILFVSEKLVIGVGFDCNPMVFTADETGLWSFVKFLDEKKIAPSSSKYGSQFSEAFGKLYGQSKPAINSDTVESSRPRGGAHENCISCIVPLRKPGDTIVRRFSTSGLDGKVVIWELDGHH >Ma07_p04310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3227767:3230171:1 gene:Ma07_g04310 transcript:Ma07_t04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQEKRRSHAKGSGLKMMEFPGGPYGFELVARFCYNNGSIVISPSNICLLHCSAILLEMTEKVSTCNLLRQTEGFLDGLLHWTWNDILTALKSCEVFFSAADSCGLLQKLVSSLVAKMSANSEQPILSATPFPSSSSSSSSPDTYGFRCSSFTKTPEPMKPCSNSDWWFDDLTAFAPNTIEKIMKTLGAYGTDNRNLVLTRFLLHYLKTAVRKPCPSGGSGNLGYCKEEYGGLSDTAVHGVVLMQRTAFSCRGLFWVLRVVSDLGISKECRHKLERPIGLMLDQATLDDLLVSGHDGAAYDVNLVLRLVRTFISTEEDGVAMQRMKKVGRLIDKYLGEISPDQSLQISKFLEVAESLPDSARDCFDGIYRALEIYLESHPTLSTEERTRLCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQSEMQIRSFMAEASQTPRRVARTTETAAEGVSPELLDEEQQLRLNLQRMQCRVKELEKVCKNMKGQMAKMAKGKSTSHGSRGMPRLC >Ma07_p04310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3227725:3230171:1 gene:Ma07_g04310 transcript:Ma07_t04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELCDLKVQINGQHIFLLHRRILCSFSGRLKKMVKQEKRRSHAKGSGLKMMEFPGGPYGFELVARFCYNNGSIVISPSNICLLHCSAILLEMTEKVSTCNLLRQTEGFLDGLLHWTWNDILTALKSCEVFFSAADSCGLLQKLVSSLVAKMSANSEQPILSATPFPSSSSSSSSPDTYGFRCSSFTKTPEPMKPCSNSDWWFDDLTAFAPNTIEKIMKTLGAYGTDNRNLVLTRFLLHYLKTAVRKPCPSGGSGNLGYCKEEYGGLSDTAVHGVVLMQRTAFSCRGLFWVLRVVSDLGISKECRHKLERPIGLMLDQATLDDLLVSGHDGAAYDVNLVLRLVRTFISTEEDGVAMQRMKKVGRLIDKYLGEISPDQSLQISKFLEVAESLPDSARDCFDGIYRALEIYLESHPTLSTEERTRLCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQSEMQIRSFMAEASQTPRRVARTTETAAEGVSPELLDEEQQLRLNLQRMQCRVKELEKVCKNMKGQMAKMAKGKSTSHGSRGMPRLC >Ma09_p19910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26804714:26816118:1 gene:Ma09_g19910 transcript:Ma09_t19910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSFRPRPLDIHKKLPIVKSIKEFEEDETLVTAASTRNSQLLWSAAENENEVHQTRSKASSQEIPTPQFIVVDTYERDYACTFTQTTSYIRGRGARAEIGEFVEYDLDNEDDEWLEEFNHDKMTLTPEKCETILFKLEVMDYKARERAGVITPTFGSPIPVLLQLDAAAEALQFLSVRYPVFQSVYSYWKAKREWWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHMRRMQRRENNVQSFEKLRQVRHNLDQAKKVLRSLIKREEKKREIVECKVKIQRIQIKYKHEAYLIEDGFRSFQQLSSKFTSSEEVYVDSDDTINGHQDVISATVHPKFADFKLVAVPTVRMKRELKQRTLSNGRTRKRDLYEPVMLFTKSLDPDKLAVAGIVPPVLPAEDSSVAPPYQFHGRIGRGGRIIFDRWNPLFEVPIGQESSLYLPPNPVPPSPIG >Ma09_p19910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26804714:26816118:1 gene:Ma09_g19910 transcript:Ma09_t19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSFRPRPLDIHKKLPIVKSIKEFEEDETLVTAASTRNSQLLWSAAENENEVHQTRSKASSQEIPTPQFIVVDTYERDYACTFTQTTSYIRGRGARAEIGEFVEYDLDNEDDEWLEEFNHDKMTLTPEKCETILFKLEVMDYKARERAGVITPTFGSPIPVLLQLDAAAEALQFLSVRYPVFQSVYSYWKAKREWWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHMRRMQRRENNVQSFEKLRQVRHNLDQAKKVLRSLIKREEKKREIVECKVKIQRIQIKYKHEAYLIEDGFRSFQQLSSKFTSSEEVYVDSDDTINGHQDVISATVHPKFADFKLVAVPTVRMKRELKQRTLSNGRTRKRDLYEPVMLFTKSLDPDKLAVAGIVPPVLPAEDSSVAPPYQFHGRIGRGGRIIFDRWNPLFEVPIGQESSLYLPPNPVPPSPIG >Ma08_p06550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4433419:4435018:1 gene:Ma08_g06550 transcript:Ma08_t06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPPLRPPSSSGWEELPPAAHRILHSLSTCTSPSHLPRILAQILLHRLHPNTTIASAFVNACLALRRLSPALSLFSRLRRPHVFLCNTLLRAALLVPSSCAAPPPLLILSHMLRSSVAPNNYTLPLVLKSLPDLPGGRMIHAFALRSGLASDHYVRNSLLHLYGGRGDMPSCERLFDEMPAREVVAWTTLIACYTNCRRPGDALIAFERMQYAGVAPNRVTMVNALGACAAHGTLDMGVWIHDYVRSRGWELDVVLGTSLIDMYGKCGRIDAGIGVFSSMAERDVYTWNSLIMGLALAKSGEEALSWFSRMEGEGVNPNAITLLGVLCACSHAGLVEAGRRVFDSLLRGSYGFRPEIRHYGCMVDLLGRAGFLGAAVELIETMPFAPNAVIWGSLMHASRTRGELSFGELAARKLVELEPTNVAYHVVLANLYAEMGRWREAEEVRRLVKEGGLRKDAGWSFAERVG >Ma01_p00070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:55458:60283:-1 gene:Ma01_g00070 transcript:Ma01_t00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSFLSSGIHYQLLEDSEDRMFGAQAQREVSDGFVPTRRPYWITSATPGSRATTITSSIPKKSSNFPVKFEDMYGFTVEGNIDDVNVLNEVRERVREQGKVWWDLEASKGANWYLQPQISWNGEGISVASLKLSKLANTITLKRLIRKGIPPVLRPKVWLSVSGAAKKRSTVPESYYDDLIRATEGKITAATRQIDHDLPRTFPSHPWLDSPEGQASLRRVLVVYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWLLAVLLENVLVNDCYTDNLSGCHVEQRVFRDLLAKKCPRIAVHLEAMEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAFFKMKEEELLQALQIGEVIDILQTTTHHLYNPDELLRVAFEKIGSMTSNTITKERKKQEPAVMAELDQRLRRLNMLKTNE >Ma06_p01760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1435125:1442246:1 gene:Ma06_g01760 transcript:Ma06_t01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIIFSAKGKLYEYSTDSSMEKILGRYENYWYAEKAFISSDLDSQGNWCQEYRKLKAKLEALSRSQRHLMGEQLESLNIRELQQLEHQLEISLKHVRSRKNQVMFDSIAELQSKEKALQEQNMNLEKQVCNCLLLTWAQFLLLGFIGFAVM >Ma02_p16110.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23587538:23595577:1 gene:Ma02_g16110 transcript:Ma02_t16110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDIYQNGSNGNAQHDPQRTYQVVVAATRDMGIGKDGNLPWKLPSDLKFFKEVTMTTSDPSKRNAVIMGRKTWESIPPRNRPLPGRLNVVLTRSGSFDIATAENILMCGSLNSALELLAATPYCLSIERVFVIGGGQVLREALNAPGCEAIHLTDIETSFQCDTFIPPVDCSVFRPWYSSFPLLENSIRYSFVTYVRVQSSGTEPHATRDGEVVDCKSIKDKFKVERFLFLPKLIYERHEEYAYLRLVKDIIANGARKNDRTGTGTLSKFGCQMRFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQGKGIHIWDGNASREYLDSVGLTHREEGDLGPVYGFQWRHFGAEYTDMHADYTGEGFDQLSAIIDKIKNDPDDRRMILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADLGLGVPFNIASYSLLTCMIAQVCDLLPGDFVHAIGDAHVYSTHVRPLEEQLQKQPKPFPVLKMNPLKKDIDSFVASDFELVGYDPHSKIEMKMAI >Ma02_p16110.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23587059:23595577:1 gene:Ma02_g16110 transcript:Ma02_t16110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKLAVPFDSPCVRQLGGGRRWFFFFVESTLERNCKAVGLLPPAERGDIYLTRISWFGLDVLGSCQLEIGAFAKVTRRTYFHRLAHMATDIYQNGSNGNAQHDPQRTYQVVVAATRDMGIGKDGNLPWKLPSDLKFFKEVTMTTSDPSKRNAVIMGRKTWESIPPRNRPLPGRLNVVLTRSGSFDIATAENILMCGSLNSALELLAATPYCLSIERVFVIGGGQVLREALNAPGCEAIHLTDIETSFQCDTFIPPVDCSVFRPWYSSFPLLENSIRYSFVTYVRVQSSGTEPHATRDGEVVDCKSIKDKFKVERFLFLPKLIYERHEEYAYLRLVKDIIANGARKNDRTGTGTLSKFGCQMRFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQGKGIHIWDGNASREYLDSVGLTHREEGDLGPVYGFQWRHFGAEYTDMHADYTGEGFDQLSAIIDKIKNDPDDRRMILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADLGLGVPFNIASYSLLTCMIAQVCDLLPGDFVHAIGDAHVYSTHVRPLEEQLQKQPKPFPVLKMNPLKKDIDSFVASDFELVGYDPHSKIEMKMAI >Ma02_p16110.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23586965:23595577:1 gene:Ma02_g16110 transcript:Ma02_t16110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRKFHQGAFPFRVFSKQTRDIYLTRISWFGLDVLGSCQLEIGAFAKVTRRTYFHRLAHMATDIYQNGSNGNAQHDPQRTYQVVVAATRDMGIGKDGNLPWKLPSDLKFFKEVTMTTSDPSKRNAVIMGRKTWESIPPRNRPLPGRLNVVLTRSGSFDIATAENILMCGSLNSALELLAATPYCLSIERVFVIGGGQVLREALNAPGCEAIHLTDIETSFQCDTFIPPVDCSVFRPWYSSFPLLENSIRYSFVTYVRVQSSGTEPHATRDGEVVDCKSIKDKFKVERFLFLPKLIYERHEEYAYLRLVKDIIANGARKNDRTGTGTLSKFGCQMRFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQGKGIHIWDGNASREYLDSVGLTHREEGDLGPVYGFQWRHFGAEYTDMHADYTGEGFDQLSAIIDKIKNDPDDRRMILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADLGLGVPFNIASYSLLTCMIAQVCDLLPGDFVHAIGDAHVYSTHVRPLEEQLQKQPKPFPVLKMNPLKKDIDSFVASDFELVGYDPHSKIEMKMAI >Ma02_p16110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23587538:23595577:1 gene:Ma02_g16110 transcript:Ma02_t16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRKFHQGAFPFRVFSKQTRDIYLTRISWFGLDVLGSCQLEIGAFAKVTRRTYFHRLAHMATDIYQNGSNGNAQHDPQRTYQVVVAATRDMGIGKDGNLPWKLPSDLKFFKEVTMTTSDPSKRNAVIMGRKTWESIPPRNRPLPGRLNVVLTRSGSFDIATAENILMCGSLNSALELLAATPYCLSIERVFVIGGGQVLREALNAPGCEAIHLTDIETSFQCDTFIPPVDCSVFRPWYSSFPLLENSIRYSFVTYVRVQSSGTEPHATRDGEVVDCKSIKDKFKVERFLFLPKLIYERHEEYAYLRLVKDIIANGARKNDRTGTGTLSKFGCQMRFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQGKGIHIWDGNASREYLDSVGLTHREEGDLGPVYGFQWRHFGAEYTDMHADYTGEGFDQLSAIIDKIKNDPDDRRMILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADLGLGVPFNIASYSLLTCMIAQVCDLLPGDFVHAIGDAHVYSTHVRPLEEQLQKQPKPFPVLKMNPLKKDIDSFVASDFELVGYDPHSKIEMKMAI >Ma06_p09660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6726013:6729740:1 gene:Ma06_g09660 transcript:Ma06_t09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMSTQAFMGTQAVPSVLHPDNLQQQEQLLLSLLTHIKDQYSTLITTEQTKQIDAIVDSFVSEGHKTEKEQYGGTRNHILTNLTQEPAISPGDYNEGGHSASTLEIVSVGGTTNSRKRKRVEEYVPLDHTTKKLTGSELSLNNSPFKSNETGKTPQSASMVRPGIQSLCDRFIYFFFFSYLFLPEAICKETLNGHVNVLTRNHCSYLLQSEWPCQCSDCNIVYCSPDSASTCCNLNGHVNVYLLLTRLKIFAFTCCNLNAHFNVLISSQNDRRTIHLKPREPRHSLFRNVAGASEPNKVNRVIAGPHDTTGHGKQAPTVASTTAVPHGNIRLAPRKASKVEDAKPTPTPAPAVASPESAKGDTNHPLDGRSLERKAAIQRERARRLVEQNRMFASRKLSLVLDLDHTLLNSTKEELFKRKEEQDRAKPQRHLFRLRHMGLWTKLRPGIWNFLEKANELYELHIYTLGSRPYATAMAKLLDPTGSLFGGRVMSGRDDGDRMVSQRKDLKGVLGLECAAVIMDDTPSVWPSSQPNLIAVERYHFFPSSRRKFGVPGPSLLEMDRDEREEDGTLASSLAIIQRIHDDFFSRHADGDFRKLLLRS >Ma05_p30610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40947434:40949917:-1 gene:Ma05_g30610 transcript:Ma05_t30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGHRKLSSLPNDPAAGCGAEAMETSSEAAAVLLELAASDDLAAFRRAVEDDGRPLDAAASWYGRSPGRGMGYQLRTPLMIAALYGSTAVMGYILSACPAEAVRHAYSDGATALHCAAAGGSAASLEAVKLLIGASADVVDALDAGGSRPGDVIARQFSSTVAKSLEVILKATSCPRVSSPSREEQAKQAEKKEYPPDLTLPDIKTGIYGTDEFRMYTFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYSYSCVPCPEFRKGSCRNGDACEYAHGVFESWLHPAQYRTRLCKDEIGCNRRVCFFAHKPEELRTVNPTAASVAGMVLPSSSQGLSSLDMAMALTLMQQPGSPMSPSASSGIGSAAAWMNQTGGVVTPPALQLPSSRLKASLSARDLDFDLKLLGLEEYQQKLVDEIAKTASPRASWGTNNLAAATTRAPDYTDLLGSVDPSLLTQLQGLSARKKTGPQMQSPAAIQMHQSQLLSGYGGGLSSSPPVSAASSFGLDHSLAKAIMNSRASAFAKRSQSFCDRGATAGRQSTLSAMSTAASAAAPPSLMSDWGSPDGKLDWGIQGEELNKLRKSASFAFRSSQAAIGGVAPATGATNEPDLSWVQSLVKDGPAAPVGRPGMGKQQNRYQLNGGGDLFSPWAEEKIMA >Ma05_p04760.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3620996:3625750:-1 gene:Ma05_g04760 transcript:Ma05_t04760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTVSAIWNEIDLSESYLVCCMFKEAASLASSTVHRVRSTPFADAVDDVQMAEMMESAGMVFVQSMKELGRTQELFVELRELFGSVETIPVQVFLTGYHKSVIQLYPSYFSKFVSSLLFYLLMCGDLNRVSFFSITRNDAVMNCRACMQISERFTSNLRAIFEEFLSKWKYVDGGIYVWTVAEPESSSDKVGMKQPIMGAKNYLEIAEVYTITVLGMVLCSPDLAISWTEKAELPDESRQVLLRRLHSLVSAKNSSSHSNLGGGLRPEQAHSLSSSTSGSTFSGNEAYPEAMKQELHSAGGRSMGGLFKTVRPSIRNILEQISCCFWWFRTIHLKFGNVHLVLPSGKQMLVCSLIVLTYYILRRKGTILKRSVATQAAAIKRALVDAWQLAFSVQVNPLAAIQQLPSAPHGSMQ >Ma05_p04760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3620996:3625731:-1 gene:Ma05_g04760 transcript:Ma05_t04760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMMESAGMVFVQSMKELGRTQELFVELRELFGSVETIPVQVFLTGYHKSVIQLYPSYFSKFVSSLLFYLLMCGDLNRVSFFSITRNDAVMNCRACMQISERFTSNLRAIFEEFLSKWKYVDGGIYVWTVAEPESSSDKVGMKQPIMGAKNYLEIAEVYTITVLGMVLCSPDLAISWTEKAELPDESRQVLLRRLHSLVSAKNSSSHSNLGGGLRPEQAHSLSSSTSGSTFSGNEAYPEAMKQELHSAGGRSMGGLFKTVRPSIRNILEQISCCFWWFRTIHLKFGNVHLVLPSGKQMLVCSLIVLTYYILRRKGTILKRSVATQAAAIKRALVDAWQLAFSVQVNPLAAIQQLPSAPHGSMQ >Ma05_p04760.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3620996:3625720:-1 gene:Ma05_g04760 transcript:Ma05_t04760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLFSPYPQSGMKSISLRDAVDDVQMAEMMESAGMVFVQSMKELGRTQELFVELRELFGSVETIPVQVFLTGYHKSVIQLYPSYFSKFVSSLLFYLLMCGDLNRVSFFSITRNDAVMNCRACMQISERFTSNLRAIFEEFLSKWKYVDGGIYVWTVAEPESSSDKVGMKQPIMGAKNYLEIAEVYTITVLGMVLCSPDLAISWTEKAELPDESRQVLLRRLHSLVSAKNSSSHSNLGGGLRPEQAHSLSSSTSGSTFSGNEAYPEAMKQELHSAGGRSMGGLFKTVRPSIRNILEQISCCFWWFRTIHLKFGNVHLVLPSGKQMLVCSLIVLTYYILRRKGTILKRSVATQAAAIKRALVDAWQLAFSVQVNPLAAIQQLPSAPHGSMQ >Ma05_p04760.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3620996:3625750:-1 gene:Ma05_g04760 transcript:Ma05_t04760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTVSAIWNEIDLSESYLVCCMFKEAASLASSTVHRVRSTPFADAVDDVQMAEMMESAGMVFVQSMKELGRTQELFVELRELFGSVETIPVQVFLTGACMQISERFTSNLRAIFEEFLSKWKYVDGGIYVWTVAEPESSSDKVGMKQPIMGAKNYLEIAEVYTITVLGMVLCSPDLAISWTEKAELPDESRQVLLRRLHSLVSAKNSSSHSNLGGGLRPEQAHSLSSSTSGSTFSGNEAYPEAMKQELHSAGGRSMGGLFKTVRPSIRNILEQISCCFWWFRTIHLKFGNVHLVLPSGKQMLVCSLIVLTYYILRRKGTILKRSVATQAAAIKRALVDAWQLAFSVQVNPLAAIQQLPSAPHGSMQ >Ma05_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3620996:3625750:-1 gene:Ma05_g04760 transcript:Ma05_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLFSPYPQSGMKSISLRVHRVRSTPFADAVDDVQMAEMMESAGMVFVQSMKELGRTQELFVELRELFGSVETIPVQVFLTGYHKSVIQLYPSYFSKFVSSLLFYLLMCGDLNRVSFFSITRNDAVMNCRACMQISERFTSNLRAIFEEFLSKWKYVDGGIYVWTVAEPESSSDKVGMKQPIMGAKNYLEIAEVYTITVLGMVLCSPDLAISWTEKAELPDESRQVLLRRLHSLVSAKNSSSHSNLGGGLRPEQAHSLSSSTSGSTFSGNEAYPEAMKQELHSAGGRSMGGLFKTVRPSIRNILEQISCCFWWFRTIHLKFGNVHLVLPSGKQMLVCSLIVLTYYILRRKGTILKRSVATQAAAIKRALVDAWQLAFSVQVNPLAAIQQLPSAPHGSMQ >Ma06_p37590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36590069:36593402:-1 gene:Ma06_g37590 transcript:Ma06_t37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYHHEEIVYSRSKVNKQKREGALVMMTTRLVQAIPVHLYHVYTRCITCVVTGVFELGAFYEIDHEMLPPKSPIQLKAIRVVKVGEATKLDVTVSFPSTLALRNYFAISPEPGRDPELDERFVMSSNQAGRILRRPVPPSELEEQKHLESFWLSTSNLHEFPLPMVPIGSVTAEDEIGKRASPVGNCLLTIKRAGFLGWGIRRKVKYIGRHREMSLSMSDQKDEEQQHEKKRKREVVEEEETEKKKEKQRGKKGEKKTKPRRDNEDKWRCVKEQQKGKGSRDRWSSERYEAAEMKLLEIMKVKKAGLGKPIMRQALREEARKHIGDTGLLDHLLKHMAGKVVMNGTERFRRRHNSEGVMEYWLEPADLVEDRRKAGVVDPYWVPPPGWKPGDATSACACGGACKPVIKQLREEILILKRDLEQLSSPKEVEKVVLAVLFLNHMHVCLLDRFTESWQRVIIHINGHMREDYSSFIERSKKLDEEISVIYNCLQSLKEEIRLMKEQENKNMEIEVMREDRGMQYEEDVAMKQEGNEKKRNGDASNSNNTTDSTTNDTNNNSSSNITNQTITTIGTSKSSKSTTTNNNKAGARRSGFRICKPQGTFLWPDMWSSNNGSATGGGGGGGELRSQGPVLVPNTMMTTTMAMTTAAIEEHLMLMGGVPTPPSASSATSAPRLLLLPSPTSPVLTRPPEVVAVPPPPPPYCSLQLQQTGSSHNSCPSVVYHHMVARVWAQTTSSPTMLPGVGDEPEGNTRSESATAASNWNAHKGGRSNITTDLSLATPSTTYQ >Ma08_p19280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33079234:33083346:-1 gene:Ma08_g19280 transcript:Ma08_t19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYVPSVASSNAATPMASLASHPYPPSKTLAIPKGFVGLRAGRTFGARSPRLASAPRSSSRGFTVKASSENDLPLVGNKAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYSDFEKLNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLISDVTKSISKSYSVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAI >Ma05_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27659131:27660126:-1 gene:Ma05_g19320 transcript:Ma05_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAIIFIVVYPNDLRLQCLVTAGCQPRPQLSAAPKPALRLLLGVFTVPDAYARRSLVRHAYLLQRASLPADAAVDVRFVLCNLTKEEQRVLVAMEIMLYDDIIILDCAENVNDGKTYDYLSSLPRVLGAGRAYDYVVKTDDDTYYLLDRLAETLRRLPREDVYLGLRVPCWGKDEVSGFMSGMGYLLSWDLVEWIATSEVVRKKMKGPEDIMTGAWLNEAGRGRNRFDMNPRMYDYPEGPDTCFRHGFTPDTIAVHKLKDDLKWAKTLQYFNVTQGLRSSKLFHIH >Ma02_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25514821:25515057:-1 gene:Ma02_g19300 transcript:Ma02_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWIPLRGSPDVSRSQAEAGRHHKIQRPASVQRLASLAGSCEQSTQACV >Ma08_p21330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35258051:35260231:1 gene:Ma08_g21330 transcript:Ma08_t21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGYWGADEDEDDAAERERAIAEAKKAAAAAAEAAARVVRLGGYDRPSREARAAVVIQAFYRGYLARRALRALRALVKLQALVRGHHVRKRAHVTLRCMQSLVRVQALARAHRLQLASHRNLLFSPSPTTAPAYHDPCHLRHLERGAAPRDRLQDLYFMKGGDVGDEVDGGGHRLSEWDGRQQSSDSITVNSQRKLGAAYDYAYQLPEHEKVQWGWNWLERWMGAQQWGGQHGAPPPQPVSSYVTATAMDGLSEKTVEMDTGRRSPVNPTHYSYHLRDEPAQPAAVPSYMAATQSARAKVRAQVPVAKPHEPKRNAATRRSRARSDNAADSSSSGGGTSTTINPAARSPSHTGVALGMQTRRHRAYSPDSSCGGHDRTPSSGGRGRLTAVND >Ma10_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27801630:27803060:-1 gene:Ma10_g15870 transcript:Ma10_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLEVPEYYLCPISLQMMRDPVTVTTGITYDRESIERWLFSGKHRDCPVTKQPLPPELDLTPNHTLRRLIQAWCVEHASDGVERFPTPRPTVDKSQIAAILDEVVIPQTRMSALQRLKSVVLESDRNRRFVEESGAADLLVSIIREQEECNDGDEALAILCSLQISGERLLDLLRRNDDLIGTLTSILSQRNDQSQAYALLLLKSLVPVMSPSKMSDLREEFFSGIVKGLQDKVSRQATKAALQILAGVCCCKRNKVKAVRAGAVFVLIELLLGETERRRCEMLLAVLDQLCGCAEGRAEVVAHAAGISVVSKKILRVSKLASAMSARILCSVAKLSPSPPVLQEMLQVGAVSKLCLLLQVDCGVRLKDKAQEILKLHSRVWRSSPCLPPLLLASYP >Ma03_p24450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28715667:28740666:1 gene:Ma03_g24450 transcript:Ma03_t24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTILNPVYHLLADIISRVNTEAAVMSFAYGPEYVPPMGSDELQSVFSSIIPRACNRSLVNKGLLHADILVKHGSLRLILESLKSLGNLITTIDNATKSKFSRKTVDSSCKEIAELHGLPGISCFVGVDEFIGDGDLCHSDEEGTEKCVSLRQYIQDEARGALPDLQVLLKLLSSLSYKHSAKRLKRTAVTPEVARKRLKSDITEENVDIIISRMDSEPTNVLPSYQNESRNAVSIPELDGDKDRRAIVAEIWGLNKQKPITTEPVDEQDFFYSRLLDVLALYMRILPSAFEGSFDFFRILPSNALSLPTDQQQSLLSLLVEYVGKSSGTSARARVPDFMYRHLQPLIHIFIYSSGTRIRDQAYALAKASLVSTGAFDQNLSEIDAWLISLPGYSRSVWSRENQGTEAIHSLYAVVISFLCDAVSTVGNNLYKHLDHMHKLISSLDDFQDNSPGFSPLIICILQKCLRLLESDSATFKLYERSAISLYVSNALNLILQSQVYMKILPGLIDLILNEKFVDDSKSSLCEWRPLKNLLYFAQNLLKQQRFTLLPMMESTSEGKNSFILICSKIKEFIGGTNLGKQDEVAFAFLSSIICASLEDVLRNLHLLLTIAPLHFTSYIQFLSYVLFLEPRFLAEVVNLWPNMFRACLEKIRNSDRNDCRGNNDHSLDRNDFMHLTEISLFSDSLVTEELAATSLGLFLRRAPFYALFSAFMCSGSYRSHSTRMMDILHSPDIVGLLKIKVTEGSTDDLVLFLRCVLFWAHQIRSSYEAEPSDTLEELFQICFTVVDCIFEQVLVDFAGPTGSVTVERSSSTKYVQDVIELILNHPLVALSVQYPICCSRTLAVDKLYDSTDSLLTYSKQNFHDMDSLVLQLLIKVFKEFLYGTIGSHCSSQTYVFDERVLKVARNLIQKTALLFREKFDASVERRDFSTVLPYFYIIHSMMQFFSPFDLLELAHWMFGKVEIDISGCSSLLSAVLFCLPIADGALDLLYGYLKWSHHTSELYHFYRISNRSFNVTILQKVYYSILDLVIRFDIKSANSCLLKAVNIVYNQRHLKPHTTCLPLYMLFSGMVIHSPLKLVLCCLSPTSKIKATILSLLMEVSPLHMSVFGQIFLAIFNKDSSDFDVLNTDGASPLRNEVAIKNFNYSLSEDDFVILLPAALSYVTSHKKDLKFIGSILIFYSKILLENLSNWKSYVSGSVFQEEYHELPVTSYEDFHNCLKRSLLGKAVTMLHYFFVLNGGSVTKKQRLKIFDSVFPHSFELLDHDIKILNSCSHQDSLKLVIEIYAKISFTRLLLSPVESLTQCLEPEESNEMTQKKESKRLNRAKLRFITILVNSLDQIVRIFPFDGDRSFRSCSSDNYSICRFLEHYILNNIIELSIESKGCLDQLPSVPFLDHFIRSCLLHRFEDPATLKAIRCFVAALPETKRTFSSSEILGLLLGHSQFVSTILSSDSFSNSSALMANESLLQPLPSILKSLDISCTDHKACEFRGTTNPHLEERKLELIKLLRVLYHYKSREYNVGHENIDGKDSRELLVLLLSAYGATLSETDLEILHLMHEIESSEGSEYDKISEMDYLWGLSILKIKKEVTLDQLSSSSMTPGCESAEDLRKLLFRENIPVDTKQCVTTVLHFCYNRSSLTASMSLENLLHDKFGDTIEQSLKGDLVLGYDPAFILRFSLHSLVMDFIKPVEFAQLGLLAIAFLSISSLDEELRKLGYEVLGRFKLAVENCRRNKDLLQLQLLLTYFQNGITEPWERVPSVFAIFAAEASFILLDPRQNHFLTINKLLMHSPNMNFKSVPLFHAFFGSTSIHFKMERTWILQLLHAGINLDDDAKIYRSNKLMEFLLSFHASSMSDSQSSFLVLQIVKKSVKVPILADYLLKECGLLSWLFSVLSFFGERLGRKEKQFSLSVMELVLKVVTDVVSTRSISEWLQECALEQLSQLSSYLHVLFVNELKVLKENVSVVNLFLHVMISTIRLSHKRMIYQPHFTISFEGLFQLYLAINDEFSSTHCAVTNELGVMTILMCTPVPVKSKLDKARLVKLVRWAISASLQSESQKNYLFKQSYPHLLLVHKEQQDNELLMSKLMRWVTASVILGSMSNKYLNIKTEFSLKRSSFATLHSLLEFIVKEKGSFREENFSADEAIAAMLLYLQQIMRSSINLPSVIFALCLLLLSDGSSTTGTDFLDENHIQISQLCSKIRCPVETNPAWRWSFDQPWRDATSEPTEINQMEEEQACRSLLVIFSTALAGKQSGFPVLSYLDLEKSGLFEWERCIYHNKKWNQEAVL >Ma03_p24450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28714347:28740666:1 gene:Ma03_g24450 transcript:Ma03_t24450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEVIREVDLDHGLYSLDVAGDLPGDDEEFSAQGEELQDEEDVIGGKEPRQPVNSSYRVKIIEILKNLHSPEIKIYSEASKEFIRLLKGETGGGILREYIQLAPKCLEIMEAWRLHQGKPGMSHILSLVSVILDHPDGKSLADPIGRNLDSLARLLVETKLNDVYIELSSQDSRRQSAALNLLASVVRRSGRLASEVAKVFDFKLPVLSKLSGIQKKKGRDERHGFRRSTRRAFVGFAMSFIEIGNPRLLRWVLQQRELYSGVLRGFGSDDTETIIYVLSTLRNKVLVEDSLIPPALRSVLFGSTTLEQLSYISGNPMAGRAADIAHVVLVMVCTDPSNGLMPSLTLKGNEKRLLDLMKKLKATEVDYHRELLLAIADKRPSLSAAYMDEFPYHLEPRLSSSWFTAISLAADIISRVNTEAAVMSFAYGPEYVPPMGSDELQSVFSSIIPRACNRSLVNKGLLHADILVKHGSLRLILESLKSLGNLITTIDNATKSKFSRKTVDSSCKEIAELHGLPGISCFVGVDEFIGDGDLCHSDEEGTEKCVSLRQYIQDEARGALPDLQVLLKLLSSLSYKHSAKRLKRTAVTPEVARKRLKSDITEENVDIIISRMDSEPTNVLPSYQNESRNAVSIPELDGDKDRRAIVAEIWGLNKQKPITTEPVDEQDFFYSRLLDVLALYMRILPSAFEGSFDFFRILPSNALSLPTDQQQSLLSLLVEYVGKSSGTSARARVPDFMYRHLQPLIHIFIYSSGTRIRDQAYALAKASLVSTGAFDQNLSEIDAWLISLPGYSRSVWSRENQGTEAIHSLYAVVISFLCDAVSTVGNNLYKHLDHMHKLISSLDDFQDNSPGFSPLIICILQKCLRLLESDSATFKLYERSAISLYVSNALNLILQSQVYMKILPGLIDLILNEKFVDDSKSSLCEWRPLKNLLYFAQNLLKQQRFTLLPMMESTSEGKNSFILICSKIKEFIGGTNLGKQDEVAFAFLSSIICASLEDVLRNLHLLLTIAPLHFTSYIQFLSYVLFLEPRFLAEVVNLWPNMFRACLEKIRNSDRNDCRGNNDHSLDRNDFMHLTEISLFSDSLVTEELAATSLGLFLRRAPFYALFSAFMCSGSYRSHSTRMMDILHSPDIVGLLKIKVTEGSTDDLVLFLRCVLFWAHQIRSSYEAEPSDTLEELFQICFTVVDCIFEQVLVDFAGPTGSVTVERSSSTKYVQDVIELILNHPLVALSVQYPICCSRTLAVDKLYDSTDSLLTYSKQNFHDMDSLVLQLLIKVFKEFLYGTIGSHCSSQTYVFDERVLKVARNLIQKTALLFREKFDASVERRDFSTVLPYFYIIHSMMQFFSPFDLLELAHWMFGKVEIDISGCSSLLSAVLFCLPIADGALDLLYGYLKWSHHTSELYHFYRISNRSFNVTILQKVYYSILDLVIRFDIKSANSCLLKAVNIVYNQRHLKPHTTCLPLYMLFSGMVIHSPLKLVLCCLSPTSKIKATILSLLMEVSPLHMSVFGQIFLAIFNKDSSDFDVLNTDGASPLRNEVAIKNFNYSLSEDDFVILLPAALSYVTSHKKDLKFIGSILIFYSKILLENLSNWKSYVSGSVFQEEYHELPVTSYEDFHNCLKRSLLGKAVTMLHYFFVLNGGSVTKKQRLKIFDSVFPHSFELLDHDIKILNSCSHQDSLKLVIEIYAKISFTRLLLSPVESLTQCLEPEESNEMTQKKESKRLNRAKLRFITILVNSLDQIVRIFPFDGDRSFRSCSSDNYSICRFLEHYILNNIIELSIESKGCLDQLPSVPFLDHFIRSCLLHRFEDPATLKAIRCFVAALPETKRTFSSSEILGLLLGHSQFVSTILSSDSFSNSSALMANESLLQPLPSILKSLDISCTDHKACEFRGTTNPHLEERKLELIKLLRVLYHYKSREYNVGHENIDGKDSRELLVLLLSAYGATLSETDLEILHLMHEIESSEGSEYDKISEMDYLWGLSILKIKKEVTLDQLSSSSMTPGCESAEDLRKLLFRENIPVDTKQCVTTVLHFCYNRSSLTASMSLENLLHDKFGDTIEQSLKGDLVLGYDPAFILRFSLHSLVMDFIKPVEFAQLGLLAIAFLSISSLDEELRKLGYEVLGRFKLAVENCRRNKDLLQLQLLLTYFQNGITEPWERVPSVFAIFAAEASFILLDPRQNHFLTINKLLMHSPNMNFKSVPLFHAFFGSTSIHFKMERTWILQLLHAGINLDDDAKIYRSNKLMEFLLSFHASSMSDSQSSFLVLQIVKKSVKVPILADYLLKECGLLSWLFSVLSFFGERLGRKEKQFSLSVMELVLKVVTDVVSTRSISEWLQECALEQLSQLSSYLHVLFVNELKVLKENVSVVNLFLHVMISTIRLSHKRMIYQPHFTISFEGLFQLYLAINDEFSSTHCAVTNELGVMTILMCTPVPVKSKLDKARLVKLVRWAISASLQSESQKNYLFKQSYPHLLLVHKEQQDNELLMSKLMRWVTASVILGSMSNKYLNIKTEFSLKRSSFATLHSLLEFIVKEKGSFREENFSADEAIAAMLLYLQQIMRSSINLPSVIFALCLLLLSDGSSTTGTDFLDENHIQISQLCSKIRCPVETNPAWRWSFDQPWRDATSEPTEINQMEEEQACRSLLVIFSTALAGKQSGFPVLSYLDLEKSGLFEWERCIYHNKKWNQEAVL >Ma07_p10920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8091366:8095461:-1 gene:Ma07_g10920 transcript:Ma07_t10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSCPEAEERDGGLRRAVTEIERLLGYVFRDQSLLAEALTHSSYPDHRSYQRLEFVGDAALSLAITNHLYLTNPDLGPGRLSALRAANISTEKLARVAVRHRLYRFLRRNSHALDQMVFDFTNLVMMEREEEIGWAPYGGSTVKAPKVLADIVESIAAAVYVDCNFDLELLWKVFRGILEPIITSENMDEQPVTTLYELCQKQGRSIEIKNWKRGFVNITNVFVDGDLMGIGCSEQKTIAKLNAARDALQKLSTLEEADMEVELSSAAGNGTAEEKDGSKQKLNQFCSKKHWIMPIYKVEKEQGPPHSKRFICSVQVETKGCTFITFGDPKSRVKDAENSAAFKMLSDILVGR >Ma04_p36430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34815642:34816752:1 gene:Ma04_g36430 transcript:Ma04_t36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYRSQLEEEEEEEEGVHHRTYQHYTQLVSALPSVDSGNGRPIFCYDGWYCLSSGIIGTMVAQKYFKARPTDVLVATMPKSGTTWMKALVFSTINRGSCVDSQHALESYNPHECIPYLELQIYTNNRVPDLSKLPPPRLFSTHIPFHSLPASVVDSDCRVVYLCRNPKDNFISLWHHKNWVRTKANLEPWTLEKAFDNFCKGFYFFGPFWDHMLGYWKAHLERPQKILFVKYEELLQDTVAQLKRLAEFLGCPFSEDEEKEGVIDGIVRLCSMESLRNLKVNRSGTTDFGQMTVDNSLFFRRGVVGDWLNHLTPEMADRLDEITKEKFAGSGLMF >Ma09_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10062498:10063950:1 gene:Ma09_g14730 transcript:Ma09_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRHMGGLTPAHAAGLRRLSARASAAPTSSTAAVSHHGGLLSFRPLAESVLARLRAASVPIRPGLSDAEVSRLEADLAVSFPPDLRAILVLGLPSAPGFPDWRPSSSCTDLLLRASLDVPLAAASLQVARGALWPRSWGPRPSDPDRALGVARAALRRSPFLIPVFDRCYIPSRPCLAGNPIFYVDEHRVFCCGFDLADFFQREPAFPPASRRDPHPISSSDPLLPPPPPPPARRSLDAVAGRTPRWIEFWSDAASDRRRRNSSSSASSSSSGSASPRLSDPQRSVDIRSQRRLPGWVDCYLDQIGSVLRSSGWGESDVIEIVGVPPSRFFDGDVEASTAAAIDSEAALDALLVNADRCSQSLRRAGWSPDEVSDALGFDSLRREGRRERPPMELPPEIAAKVEKLAEAVATS >Ma06_p14970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10198377:10202151:-1 gene:Ma06_g14970 transcript:Ma06_t14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMDGGNGVPGGMLPTSMGSGGLLGLDMSLHPHQQMQQQQQPLLHHQLQQTHHMLPFQAPTASDGDRQAEQQPVRHAQYVPPFGHVRDKQQPAMSSEEEPRYGDEVDDQVRRGGMSQPSPSASPWQRMKWTDGMVRLLIQVVYRVGDDGGGAEGEQQQPQGSVAKGKKSSSAAAAASASASASASASALLQKKGKWKSVSRAMMDKGFFVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQALLETMDLSPKAKEEARKLLNSKHLFFREMCAYHNAGAYSACAAPPPPQMPPPHSSDQQQHCFHHPPGRADTALSTRKVAGGSRAAIVADEEGMAEDMDDDDDVDSEDDDDYDDEDDDDDDDMEGHNHKRYGHHHQHKHDEVGEDEDNKKHRKTASQTSASPPLSLTLSSPSSSVQQLRSEMVAMSGGGEQQQRQWLKRKAAELEEQRVAYQCRAFQLERQRFKWLRFSTNKGREMERMKLENERLRLENDRMALLLRQRELELIQGGSGGGGGGGGVSSSAEQQLMLQNTNANPSSATTV >Ma07_p04460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3312273:3322497:-1 gene:Ma07_g04460 transcript:Ma07_t04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MGVKNLWDILESCKKTLPLHHLQNRRLCVDLSCWLIQFQNAGRLPACSKEKIYLRNLFHRLRALISLNCSLILVTDGSIPSIKLSTYRRRLGLCEVIQYDTNSQPMPSVSLRRNMGSEFSCMIKEAKVLGTALGVPFLDGLEEAEAQCALLNVESLCDGCFSTDSDIFLFGARTVYRDIFLEGSYVTCYEMEDIERQLGFGRNSLISLALLLGSDYSQRVHGFGPESACRIVKSLGDDSVLHQFISEGLKIARVYKGRKKITKELRSNVNNENKHGNQQSVCAEAQNAESDGQYLDVINAFLKPKCHSADSEAVKRVCTQHPFQRVQLHHVCEKYFGWSPDKTDQYILPKIAERDLRRFANLRSTSSELGARIPLHMMPVPCPVSAIVKLRKVQGQDHYEVSWQGFDGLGNSIVPADLIESACPERIAEYVGKKAEVKQQNRKPRRLTKALVNQIDLQLQGLLLSIESQSKTLPETANCLPPPSAAPEVIDLCSPSPPLRACQVAKCQKSIDMHVNVIDIHESDNDASPEHERKARELRLFIDSIREDLY >Ma07_p04460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3312273:3322497:-1 gene:Ma07_g04460 transcript:Ma07_t04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MGVKNLWDILESCKKTLPLHHLQNRRLCVDLSCWLIQFQNAGRLPACSKEKIYLRNLFHRLRALISLNCSLILVTDGSIPSIKLSTYRRRLGLCEVIQYDTNSQPMPSVSLRRNMGSEFSCMIKEAKVLGTALGVPFLDGLEEAEAQCALLNVESLCDGCFSTDSDIFLFGARTVYRDIFLGEGSYVTCYEMEDIERQLGFGRNSLISLALLLGSDYSQRVHGFGPESACRIVKSLGDDSVLHQFISEGLKIARVYKGRKKITKELRSNVNNENKHGNQQSVCAEAQNAESDGQYLDVINAFLKPKCHSADSEAVKRVCTQHPFQRVQLHHVCEKYFGWSPDKTDQYILPKIAERDLRRFANLRSTSSELGARIPLHMMPVPCPVSAIVKLRKVQGQDHYEVSWQGFDGLGNSIVPADLIESACPERIAEYVGKKAEVKQQNRKPRRLTKALVNQIDLQLQGLLLSIESQSKTLPETANCLPPPSAAPEVIDLCSPSPPLRACQVAKCQKSIDMHVNVIDIHESDNDASPEHERKARELRLFIDSIREDLY >Ma08_p16100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:17024352:17028702:1 gene:Ma08_g16100 transcript:Ma08_t16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYDLSRKIVIFGLRMWAVVGICVGAAFVLLLFLLSLWIAHKRSTEPSPVPAKQAEEESPVMLQRIHVETGKEHRSGGGGGSSHGSGESRSADQAPASVPEVSHLGWGHWYTMRELEVATGMFSDENVVGEGGYGIVYHGVLEDDTQIAVKNLLNNSLSQWKLEGQAEKEFKVEVEAIGHVRHKNLVRLMGYCAEGEHRMLVYEYVDNGNLEQWLHEDVGPSSPPTLVYSNEHYNWLLYLHEGLEPKVVHRDVKSSNILLDKLLDKHWTPKVSDFGLAKLLGTGRTYVTTRVMGTFGYVAPEYASTGMLNESSDMYSFGILIMEIISGRNPVDYSRPTGEVNLVDWLKTVVSNRNSEGVLDPKFSEKPSTRALKNTSSCIVVCGS >Ma03_p05760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:3964826:3965519:1 gene:Ma03_g05760 transcript:Ma03_t05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDRLWDDTVAGPPPLDELRKYNSFSPSSASAAVVQVVCSIAIVRAPDASLAPPGLWGDWKRLRRKPVPAEEGIQTAQPRFPTVYDWVVISSLDR >Ma04_p05970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4450672:4464001:1 gene:Ma04_g05970 transcript:Ma04_t05970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGNTQQSIRKALGALKDSTTVGLAKVNSDYKELDVAIVKATNHVERLVKEKHIRTIFDAISASRPRSDVTYCINALARRLAKTHNWAVALKTLIVLHRALREVDPTFREELITYTKTRGFMLNLSHFKDDSSPSAWDYSSWVRTYALYLEERLECFRVLKYDIETERSRTSEAETIELLEHLPALQQLLFRLLGCQPEGAAMYNNVIRYALSIVASESIKIYSSINTGTLNLVDKFFEMLRHDAVRAIEIYKKTGSQAERLSEFYEICKGLEVGRGENFAKIEQPPASFIEAMEDYVKDDTCASQNKSVVNSEISTTPKPVLAVVHYSKDKQNDQEATPASPEPPATEAVDAPLKAQTTDLLELDDFNHRAEEMEEKNSLVLAITADDNPLKSTSDETSGTSGWEFVLVGTPNSNENFVAQSKLAGGLDRLTLDSLYDDAFARTMNPGGGGYHTGQVAPNPFDTSHYFQDLFYASNGIAPSASVEMVTMAQQQALMQQQQQALGQDGTNPFGNPFGPTGVLYAQDPSHNPYTGYM >Ma04_p05970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4450505:4464001:1 gene:Ma04_g05970 transcript:Ma04_t05970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGNTQQSIRKALGALKDSTTVGLAKVNSDYKELDVAIVKATNHVERLVKEKHIRTIFDAISASRPRSDVTYCINALARRLAKTHNWAVALKTLIVLHRALREVDPTFREELITYTKTRGFMLNLSHFKDDSSPSAWDYSSWVRTYALYLEERLECFRVLKYDIETERSRTSEAETIELLEHLPALQQLLFRLLGCQPEGAAMYNNVIRYALSIVASESIKIYSSINTGTLNLVDKFFEMLRHDAVRAIEIYKKTGSQAERLSEFYEICKGLEVGRGENFAKIEQPPASFIEAMEDYVKDDTCASQNKSVVNSEISTTPKPVLAVVHYSKDKQNDQEATPASPEPPATEAVDAPLKAQTTDLLELDDFNHRAEEMEEKNSLVLAITADDNPLKSTSDETSGTSGWEFVLVGTPNSNENFVAQSKLAGGLDRLTLDSLYDDAFARTMNPGGGGYHTGQVAPNPFDTSHYFQDLFYASNGIAPSASVEMVTMAQQQALMQQQQQALGQDGTNPFGNPFGPTGVLYAQDPSHNPYTGYM >Ma04_p05970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4450505:4464001:1 gene:Ma04_g05970 transcript:Ma04_t05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGNTQQSIRKALGALKDSTTVGLAKVNSDYKELDVAIVKATNHVERLVKEKHIRTWDYSSWVRTYALYLEERLECFRVLKYDIETERSRTSEAETIELLEHLPALQQLLFRLLGCQPEGAAMYNNVIRYALSIVASESIKIYSSINTGTLNLVDKFFEMLRHDAVRAIEIYKKTGSQAERLSEFYEICKGLEVGRGENFAKIEQPPASFIEAMEDYVKDDTCASQNKSVVNSEISTTPKPVLAVVHYSKDKQNDQEATPASPEPPATEAVDAPLKAQTTDLLELDDFNHRAEEMEEKNSLVLAITADDNPLKSTSDETSGTSGWEFVLVGTPNSNENFVAQSKLAGGLDRLTLDSLYDDAFARTMNPGGGGYHTGQVAPNPFDTSHYFQDLFYASNGIAPSASVEMVTMAQQQALMQQQQQALGQDGTNPFGNPFGPTGVLYAQDPSHNPYTGYM >Ma04_p05970.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4450505:4464001:1 gene:Ma04_g05970 transcript:Ma04_t05970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGNTQQSIRKALGALKDSTTVGLAKVNSDYKELDVAIVKATNHVERLVKEKHIRTIFDAISASRPRSDVTYCINALARRLAKTHNWAVALKTLIVLHRALREVDPTFREELITYTKTRGFMLNLSHFKDDSSPSAWDYSSWVRTYALYLEERLECFRVLKYDIETERSRTSEAETIELLEHLPALQQLLFRLLGCQPEGAAMYNNVIRYALSIVASESIKIYSSINTGTLNLVDKFFEMLRHDAVRAIEIYKKTGSQAERLSEFYEICKGLEVGRGENFAKIEQPPASFIEAMEDYVKDDTCASQNKSVVNSEISTTPKPVLAVVHYSKDKQNDQEATPASPEPPATEAVDAPLKAQTTDLLELDDFNHRAEEMEEKNSLVLAITADDNPLKSTSDETSGTSGWEFVLVGTPNSNENFVAQSKLAGGLDRLTLDSLYDDAFARTMNPGGGGYHTGQVAPNPFDTSHYFQDLFYASNGIAPSASVEMVTMAQQQALMQQQQQALGQDGTNPFGNPFGPTGVLYAQDPSHNPYTGYM >Ma05_p22760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34555942:34557774:1 gene:Ma05_g22760 transcript:Ma05_t22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAQQEEAKADPKPEVDHKKEDKKEKQEGIKKEEVDEKKAAEAKPSPPSPIVLSLDLHCVGCARKIEKLILKCRGVEGVEMDMVQNQVTVKGVVDPQVVCSRIQKRTLRRAKVLAPLPPAEGDYKPDAVPPQVSEMTTVELLVNMHCEACAQQLRRKILKMRGVQTVETDFGAGKITVTGTMKAETLVEHIHRRTLKFASIVSQPPKEEEKKQEDQKKAEEKPAEEKKEESREKKEEQKATSEEEKTEGNKEGGDIGGGKEEKGGGEEANKEEDGGSKSIISEEDMMKRMMMYWNGGIIGGEDMAKRTVHWVPVYVIQQPLPPPQIFSDENPNACCIL >Ma05_p22760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34555942:34557774:1 gene:Ma05_g22760 transcript:Ma05_t22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAQQEEAKADPKPEVDHKKEDKKEKQEGIKKEEVDEKKAAEAKPSPPSPIVLSLDLHCVGCARKIEKLILKCRGVEGVEMDMVQNQVTVKGVVDPQVVCSRIQKRTLRRAKVLAPLPPAEGDYKPDAVPPQVSEMTTVELLVNMHCEACAQQLRRKILKMRGVQTVETDFGAGKITVTGTMKAETLVEHIHRRTLKFASIVSQPPKEEEKKQEDQKKAEEKPAEEKKEESREKKEEQKATSEEEKTEGNKEGGDIGGGKEEKGGGEEANKEEDGGSKSIISEEDMMKRMMMYWNGGIIGGEDMAKRTVHWVPVYVIQQPLPPPQIFSDENPNACCIL >Ma11_p14860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20561227:20567152:1 gene:Ma11_g14860 transcript:Ma11_t14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNRAQDLATTVLAAVAPPEIAAACSAVDSFLRRHASDQSRAFFSVALPALICRIFGFDDVPPPSSSASSAPPGRPASTAWIDQAASDPALAGRLLALLAPDGILISSISAVDRHALVRYVFPIERLPEWIRFALQSDRPSSVLSDLCPLLKGRVKEDAVQGSPYQMQLNAFEYFMFWFAYYPVCRGNSEGSDANVVRKSRKFRLEKWTSSLPVLCSSSRGSRQKPECNLYLQLLYAYLHVFVPKNRLSYQPYRSSLLHYSLSYDDTAFLQAEFLVHTFVHFWMIDNDFSPLPMNVCRSFGLSFPYKAVLGEAPPTAGLGDVLKVLVKYLNCDSTVSGTETRHMMYGESPKSKGSLDVLSSRNVMLCCENSVGSWNAVIQRPLYRFILRSFLFCPIGASIKNASQVFYLWMSYLEPWNTSPEEFSKFDPAEMKKEVGGNENIDKSSKGKQGRHIDLQYSPAWESYVLSNYLFYSSLVMHFLGFAHKFLHTNVESVIQMIHKVLKILTLSKELLDLIRKVDVVFHSKPARPSAYSSNDAYKYIPSICEQLQDWEDGLCESDADGSFLHENWNHDLRLFSDGEDGAHNLLQLLVLRAEHEIQISSGDVSNSIQALDAIRSQMNILFGGPLKKPRTSTSQADDPHHGRGEIFTPKHPGIGQRTWADVRYKGDWMRRPISDTEVAWLARLLIRFSDWLNESFGLGHVDDSDSAGPTIVEFGRTEVCNVEGPKEALNMVLALLGSWLSLFGNAVVRFMRAHRIKINLRVLASKKFMMLLLLYAVFCAAKKVLSVAFVTVAPA >Ma03_p18340.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23902074:23906126:1 gene:Ma03_g18340 transcript:Ma03_t18340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITRQAAKTLRKSGESTSNIFSALPLCKRSKRLRASKQTNCRHDKKLDSNLFQSLLEELWSRTPEQRRRSCIYLDCLWFFLYKDKLTRTKVLSWIKKKQIFSKRYIFVPIVCWSHWSLLILCHFGEKRQSRARKPYMLLLDSLHKTDPRRLEPDIRRFVLDIYRSEEREENEDFLSEIPLLIPKVPQQKKGEECGIFVLYFLHLFMQNVPRSYTEEGCPCFVNEDWFKLEELESFHNEIHSAWKSKGLMEVQ >Ma03_p18340.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23902047:23906126:1 gene:Ma03_g18340 transcript:Ma03_t18340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITRQAAKTLRKSGESTSNIFSALPLCKRSKRLRASKQTNCRHDKKLDSNLFQSLLEELWSRTPEQRRRSCIYLDCLWFFLYKDKLTRTKVLSWIKKKQIFSKRYIFVPIVCWSHWSLLILCHFGEKRQSRARKPYMLLLDSLHKTDPRRLEPDIRRFVLDIYRSEEREENEDFLSEIPLLIPKVPQQKKGEECGIFVLYFLHLFMQNVPRSYTEEGCPCFVNEDWFKLEELESFHNEIHSAWKSKGLMEVQ >Ma03_p18340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23904180:23906126:1 gene:Ma03_g18340 transcript:Ma03_t18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDSLHKTDPRRLEPDIRRFVLDIYRSEEREENEDFLSEIPLLIPKVPQQKKGEECGIFVLYFLHLFMQNVPRSYTEEGCPCFVNEDWFKLEELESFHNEIHSAWKSKGLMEVQ >Ma03_p18340.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23902074:23906126:1 gene:Ma03_g18340 transcript:Ma03_t18340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MITRQAAKTLRKSGESTSNIFSALPLCKRSKRLRASKQTNCRHDKKLDSNLFQSLLEELWSRTPEQRRRSCIYLDCLWFFLYKDKLTRTKVLSWIKKKQIFSKRYIFVPIVCWSHWSLLILCHFGEKRQSRARKPYMLLLDSLHKTDPRRLEPDIRRFVLDIYRSEEREENEDFLSEIPLLIPKVPQQKKGEECGIFVLYFLHLFMQNVPRSYTEEGCPCFVNEDWFKLEELESFHNEIHSAWKSKGLMEVQ >Ma07_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5997810:6000659:-1 gene:Ma07_g08050 transcript:Ma07_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTIGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFETSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAPEDEDGDEGDEY >Ma07_p09260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6954786:6955136:1 gene:Ma07_g09260 transcript:Ma07_t09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVFRYWGSHPDAIVAVIGSLGTVGDLFGYGCAAIFGSNPTLHDALTNTRTDGYGALFREGTAALLNSMTDSKYPFTTKQVKFSFAGAITSDGAAEAQADIFKQANEGKF >Ma02_p01550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10318575:10320871:1 gene:Ma02_g01550 transcript:Ma02_t01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWQWSPRPLARGAYKESHPGCMSGMLHYLHFQHLLFAGSSSKAQAVSSLSLPPRIDHNSQQLEGMDAPRNSLELDDGKASSSTSTVEDEFYDVPVGIEIAPRLVSLSKNKKKTLIEEEKRSSSAETPRTPCLVARLMGLEISADQASSPTPKTPPLREPQAKSRVRNNNKNVGGHQYTRRESPSPRQPLGSLSCNVAASPRVRMVDAGSRSLPETPRVSSATSCDVDPRFSLQLNKSTSKAVKEELSYFCKVTGNHLPSPPSAYSATRSMKNEFVWHQDENRSPRSHRYAREIVEQVKESISGRRGGRGGDGGCSGGDGLKAKSKRTRPTEKKLSNPPSPCSPPHIRVLEIRNNDIKDGTKKSRTLPPKSLRSQATDHMSSSRTSIGTPDYGEAKTVKMVLSKCKKADNDRFTERIIRKETQSPTPTSASVFQSAGNRSSRRSSPSTVERRVEEVSQPASVSRSSLPLNLIGVGGGKHQSNNPEFRYMKSIFERAGIAGIHTVRWYSPSLPIDPIVFHQLELEFPFFLVEEEERCKDIEEEEEEEVDLLVLGPLRYRSNRKLLFHLVEEILRDLLTGCCNLPPSFCRTSDREALLRQLWGQIESFPAADCRVVGDIDALVARDLPEAHVRLMLRHPSVVEEADDVVFEVEQDILDGLLGETAACLALPSSASSQGRGGGHYGLSTVMC >Ma03_p12630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9743006:9745291:1 gene:Ma03_g12630 transcript:Ma03_t12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPAAAAAAVADEEDESPESPAENLDLILHHLLPFLLAAALSARSLVGRWRLIHSKLSFLGAALADAAASPHWPSNPLFCDHLLPALRATLRSLRALSARCLDPSLSSGKLRLQSDLDVAASALTLHLHDLHLLLRSGLLHHDASPPNSSSASDDDAAIVLPLPGPSASRAEVVLFIRDLFARLQIGAIDLKHQALDSLLDLVAADPAKFSRLVAEEGDLPPLLRLLDASDHSLRDRAAAAVSLLASASDASRRAIFEEGVLGPLLRLVDSGSPALKERAAAAIQAMTADPACAWAVSAYGGVSILVSACHSGSGSPVVQALAAGSLKNVAAIDDIRSAMADEGAVPALVDLVLSGNLEAQKNAALCLASLASMGGAEIRAAIMQESGLLHLLQFLRDAPDPEATDHALRAISALAASSAAAKLLSSSAPFFAQLTDLIKRGSAGVQQLAATLVADLSPGEDIKRSMAESMPALIKMMECSKPASAQEAAAGALTSLLSVRSNRRELSRDEKSVTRLVQMLDPRNEAVGKELPVAVAVALTAGVGGGARKRITEAGACRHLQKLADADVPGAKKALQRIAGGRLKNLFSIAWPQ >Ma10_p27940.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34991076:34999094:1 gene:Ma10_g27940 transcript:Ma10_t27940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLHYSSRPDNKRKFDDPSAGAAPSPPARRATGFSAPIASSSPDGGSGGAAPGQPSYNSVPPPMDGIQLAKQRAQEIAARLFSDAEAKRPRVENGVGADDSRDKGFGFAASDLAQKPLSQPIPSQIGMMSQSVPAYGYQGSSKKIEIPNGRVGVIIGKSGETIKYLQLQSGAKIQVTRDMDADPNAQTRSVELIGTSEQISKAEQLINDVLSEADAGASGIIAARKFGSVQPGAEQFQMKVPNNKVGLVIGKGGETIKNMQARTGARVQVIPLHPPPGDTSTERTVYIDGTKEQIEAAKQLVNEVISENRARNPAMAGGYPQQGYHQPRPPTSWGPPGAPPMQQSGYGYMPPGAYPGPPPQYNMPQPPYGGYPPPASTGFSSGWDQTSNQPTQQAASGTGYDYYSQQSQQQQQPVGGSSAPAGSANYNYGQPLPNYTNQVSYSDAAYTQTSAGLQGYGQDGYSGGYNALAPQPGYSQPATNPQTGYDQQGYGSTPGYGTVANPSQDGSASAYGAQSGSTQAPPTQQAPPSQPSATQGYTGQPPSNTTASYPTQGSTPSAYGIPPTSQPGYGSQPPALTGYGQAPPPSYGQPSPVQKSPATQYGQGQQSVSTQAGYIQTATAQPGYGQPPSQSGYGQQQSYGGYGQQQQQPYSESYVGSGYSQPPAYSNDNGAQGAYDGSAATPAVSSGATVAKPPAT >Ma10_p27940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34991076:34999693:1 gene:Ma10_g27940 transcript:Ma10_t27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLHYSSRPDNKRKFDDPSAGAAPSPPARRATGFSAPIASSSPDGGSGGAAPGQPSYNSVPPPMDGIQLAKQRAQEIAARLFSDAEAKRPRVENGVGADDSRDKGFGFAASDLAQKPLSQPIPSQIGMMSQSVPAYGYQGSSKKIEIPNGRVGVIIGKSGETIKYLQLQSGAKIQVTRDMDADPNAQTRSVELIGTSEQISKAEQLINDVLSEADAGASGIIAARKFGSVQPGAEQFQMKVPNNKVGLVIGKGGETIKNMQARTGARVQVIPLHPPPGDTSTERTVYIDGTKEQIEAAKQLVNEVISENRARNPAMAGGYPQQGYHQPRPPTSWGPPGAPPMQQSGYGYMPPGAYPGPPPQYNMPQPPYGGYPPPASTGFSSGWDQTSNQPTQQAASGTGYDYYSQQSQQQQQPVGGSSAPAGSANYNYGQPLPNYTNQVSYSDAAYTQTSAGLQGYGQDGYSGGYNALAPQPGYSQPATNPQTGYDQQGYGSTPGYGTVANPSQDGSASAYGAQSGSTQAPPTQQAPPSQPSATQGYTGQPPSNTTASYPTQGSTPSAYGIPPTSQPGYGSQPPALTGYGQAPPPSYGQPSPVQKSPATQYGQGQQSVSTQAGYIQTATAQPGYGQPPSQSGYGQQQSYGGYGQQQQQPYSESYVGSGYSQPPAYSNDNGAQGAYDGSAATPAVSSGATVAKPPAT >Ma08_p26420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38981780:38983824:-1 gene:Ma08_g26420 transcript:Ma08_t26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHYTNFFEAFLSNNTFSSSTPSSPAVATTYGFSHGHTSSPPVREALPLLRLSPTRHPGEDNTSCSVFDEGGKDEAMCIDGEADAAVIALHLGPPSPGAVDLISRNSSEADTEQKGGGGGGGDGDVVPLGYPSNPIGRINKGQYWIPTPYQILIGPIQFSCPVCSKSFNRYNNLQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCQNNIDHPRSKPLKDFRTLQTHFKRKHGIKPFVCRKCGKALAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKSFGRGHAPVGADCFEDDDEPLSEIEQDSLQAHKGR >Ma08_p26420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38981780:38983900:-1 gene:Ma08_g26420 transcript:Ma08_t26420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHYTNFFEAFLSNNTFSSSTPSSPAVATTYGFSHGHTSSPPVREALPLLRLSPTRHPGEDNTSCSVFDEGGKDEAMCIDGEADAAVIALHLGPPSPGAVDLISRNSSEADTEQKGGGGGGGDGDVVPLGYPSNPIGRINKGQYWIPTPYQILIGPIQFSCPVCSKSFNRYNNLQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCQNNIDHPRSKPLKDFRTLQTHFKRKHGIKPFVCRKCGKALAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKSFGRGHAPVGADCFEDDDEPLSEIEQDSLQAHKGR >Ma06_p09320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6555593:6556291:-1 gene:Ma06_g09320 transcript:Ma06_t09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSVVALGLALLCLLIHSEVAEAATYVVGGNSGWTFNVASWPRGKSFRAGDVLVFNYNPSVHNVVAVSAAGYNSCSAPKGSRVYTSGKDRITLARGTNYFICSFAGHCQSGMKIAVTAA >Ma01_p13000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9510288:9511219:1 gene:Ma01_g13000 transcript:Ma01_t13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGELACTYAALLLHDDGIPITSEKISTVVKAAKLTIDSYWPPLFAKLLEKRSVDDLILSVGSGGGGAAVAVSAAPAAAGAAPAAAPAAEEKKEEPKEESDDDMGFSLFD >Ma10_p07020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21368816:21373872:1 gene:Ma10_g07020 transcript:Ma10_t07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHAHISKLGNVESDGNVHYIQHIEAIHKQGSEGKVVTPNNPHKFPEASNANLEAPNPNDEPRRHTETLVHHGSVTHKPTTKSPIHRHGYQPNYGDKRPGRISSESENSVERSPLHPRYQVKAATRGGVSSPSRGSSEGSHAVASNIAGRSRMRTGGRGDETPEKGSSVPRFGEWDESDPSSADNFTGIFNKVREEKKSGSAAMVINDTVYVNDQDRRSGSLNCCFGWCKK >Ma10_p07020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21368821:21373872:1 gene:Ma10_g07020 transcript:Ma10_t07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIEAIHKQGSEGKVVTPNNPHKFPEASNANLEAPNPNDEPRRHTETLVHHGSVTHKPTTKSPIHRHGYQPNYGDKRPGRISSESENSVERSPLHPRYQVKAATRGGVSSPSRGSSEGSHAVASNIAGRSRMRTGGRGDETPEKGSSVPRFGEWDESDPSSADNFTGIFNKVREEKKSGSAAMVINDTVYVNDQDRRSGSLNCCFGWCKK >Ma03_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27527520:27532564:1 gene:Ma03_g22790 transcript:Ma03_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLAGQFGDTTYTKIFVGGLAWETQSETVRQHFEQFGEILEAVVITDKNTGRSKGYGFVTFREPAAATRACVDPSPVIDGRRANCNLASLGAQRSRPTTPPYGGNRSFRVVKSFHTGYQGGMGAAFPSPASFPHYAIQHGVPYGLLYGYSPFSSDYSYPAGYYSVYGGATAQYPVYGAAAGIMTGNTAFYPYFQFGQGSGGTATAMASGHGYSVPYPPVLHYSAVASTAGLAGLVQHFGGPLSIAPTPPAHAGMTMALTPPALSSPATYAHRLIPAPFSASTVPEQPLA >Ma02_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17268249:17270303:-1 gene:Ma02_g06230 transcript:Ma02_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAEHENLFKRRCKWVNGPLIVGAGPSGLAVAACLKEHGVPFVILERSSCIASLWQNRTYDRLKLHLPKQFCQLPKLPFPDDFPEYPSKNQFIDYLESYARHFQLNPQFDETVLSAKYDSTCGMWRVRTAVGRRQAVGRKAEAEYICQWLVVATGENADCVIPEMEGLEKFGGRVIHSSDYRSGEAYRGKQVLVVGCGNSGMEVAFDLCHHNAFPTMVVRDSVHVLPRETLGRSTFELAVSLMKWLPLKMVDRVLLALSWMTLGNIEKLGIKRPSQGPLELKNTQGKTPVLDIGALSKIKSGEIKVVPGVKRFLHGKAELVDHTIIDVDSVILATGYRSNVHSWLQGTDFFNKDGFPRHPFPNGWKGSSGLYAVGFTRRGLSGASLDAVKIAEDIGRVWKEETRQAKHIIACHRRCTSQN >Ma05_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:152861:158287:1 gene:Ma05_g00210 transcript:Ma05_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLLRSAFSVIGGSRDCFPSDSSRVLRIRSSSSAQISSSFFGSSLTSVDIYSSHKHVARRSIQPVKATATEAPPVVKSSSRGGKTKIGINGFGRIGRLVMRIATTRDDIEVVAVNDPFIDAKYMAYMLKYDSTHGVFKGTIKVVDESNLEINGKRIAVTCKRDPAEIPWGDYGAEYVVESSGVFTTMDKASAHLKGGAKKVVISAPSADAPMFVVGVNEKTYKPSMNIVSNASCTTNCLAPLAKVVHEEFGIAEGLMTTVHATTATQKTVDGPSTKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYDDVKAAIRYASEGTLKGILGYTDDDVVSNDFVGDSRSSIFDAKAGIGLSDAFMKLVSWYDNEWGYSNRVLDLIEHMALVSAHH >Ma06_p26170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27814879:27827634:1 gene:Ma06_g26170 transcript:Ma06_t26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MADEKPISISSGFSDGEAPSRCELLSMVKKHSNLLGDSVHDEQDASDVVMDNEFWHEVLDLYFIRGRVSKGREEDDLVFFVRKMNLHGYGYSDDVEDGSPFFVRRWAPRLEKVVGEDSACVDWRRSIYLNLIAHTSYTVTVAICSKQDLEQRQSGQDISLSPIYKVVKTVYASPSRVDFQLDHKKAAETLPAYPNICFSVDDFDDTFDAVVLSERNHCYCVLLNAHGGPAFPTDEDLPECNSSRPVNVHKDVEEAKPSKLTLFSGFVSYQMVREAYDAGKSRFGSLLSMGHGHTKTDKIYMRGPGGRGEVEVAVSGIADQSHQNAALSSAVHLSRKGSGIGLGTIVHRAASAASVLAKHAYAATSPNRQSDGELLPLKCCLMSISLPWEYIAHDLLFKVSPPVDM >Ma06_p26170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27815103:27827634:1 gene:Ma06_g26170 transcript:Ma06_t26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MDNEFWHEVLDLYFIRGRVSKGREEDDLVFFVRKMNLHGYGYSDDVEDGSPFFVRRWAPRLEKVVGEDSACVDWRRSIYLNLIAHTSYTVTVAICSKQDLEQRQSGQDISLSPIYKVVKTVYASPSRVDFQLDHKKAAETLPAYPNICFSVDDFDDTFDAVVLSERNHCYCVLLNAHGGPAFPTDEDLPECNSSRPVNVHKDVEEAKPSKLTLFSGFVSYQMVREAYDAGKSRFGSLLSMGHGHTKTDKIYMRGPGGRGEVEVAVSGIADQSHQNAALSSAVHLSRKGSGIGLGTIVHRAASAASVLAKHAYAATSPNRQSDGELLPLKCCLMSISLPWEYIAHDLLFKVSPPVDM >Ma03_p21620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26592180:26594633:1 gene:Ma03_g21620 transcript:Ma03_t21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASKRRTRWSQASVEGRAPAFPGPIWGLTILGSLSLNLVTIALLASLYEGHGLLGCEAGSSGFVAEPEKAVLIAPPQSSAEEVEMVSAEEISVSSTAGSRPTSKDAIINLDHGDPTVYEAFWKRIGERGDIVFPGWQSMSYFSDASNLCWFLEPEFAHQVRRLHSLVGNAVVDDGRFIIVGTGSTQLFQAALYALSPPDAAEPMNVVSAIPYYSSYPTVTDYLRSGLYRWAGDASTFEGDAYIEFVCSPNNPDGSIREAVLSSKNGKTIHDLAYYWPQYTPITGAADHDIMLFTVSKSTGHAGARLGWALVKDKDVAKRMTKFIELNTIGVSKDSQLRVAKILKVVSDGHELPGNKHRLFEYGRRLMSVRWRKLRAAVKASGIFSLPEFQSSLCRFTGEETETYPAFAWLKCEKEGVEDCESFLRNHKLLTRSGRHFGVEAKYVRISLLDRDETFDLFIQRLLSLR >Ma11_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3405015:3405259:-1 gene:Ma11_g04320 transcript:Ma11_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMKEFQQALVEVETEAEHLLLARHQESSDNKE >Ma10_p07320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21736517:21743566:-1 gene:Ma10_g07320 transcript:Ma10_t07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFTRMFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRLVTAKDEFHAILEEEELKGAVVLVFANKQDLPGALDDAAITEALELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKPGGS >Ma10_p07320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:21737315:21743566:-1 gene:Ma10_g07320 transcript:Ma10_t07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFTRMFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRLVTAKDEFHAILEEEELKGAVVLVFANKQDLPGALDDAAITEALELHKIKSRQWAIFKTSAIKGEGLFEGLDW >Ma04_p09750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6915812:6930648:1 gene:Ma04_g09750 transcript:Ma04_t09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFHGEGLEGSFNYEERKERRSEVENSEDERRRTRIGSLKKKALNASTRFTHSLRKRGSRVDFRVPPLSIEDVRDADEERAVYSFRQQLIAKKLLPNKHDDYHTLLRFLKARKFDFERATQMWAEMLQWRKEFGTDTIMEDFEFDELEDVVHYYPQGYHGVDKEGRPVYIERLGKAEPNKLMNITTIERYLKYHVQEFERALNEKFPACSIASKRHIGSSTTILDVQGVGLKNFGKTARDLLLNMQKIDGDYYPETLHQLFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPDFLGGSCACYHEGGCLRSNKGPWNDPVIMKLVQGVDAAFLGESGYVIDGEKRGHSCARLYLSKGRSCDTSAAESVSDADDLYSPEPSIAEYAPLSPIHKEVGAADFTPHDDHFVMVDKDVDIGRRGSRFSVRTTEELKDPDLASSTAALHSLGYPVADRHSNIGKDAGEGKLRSFARALVSFLVKMLSFLRILRSRQDRRLENVHPSDALDLTYNNNSTMETINENCITSYVERLQKLELIMNELSNKPAEIPQEKEHMILDSIDRIKCVEYDLHKTNKVLQAAVMKQTEIEATLEALEDSNIRGKFC >Ma04_p09750.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6915812:6930648:1 gene:Ma04_g09750 transcript:Ma04_t09750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVFHGEGLEGSFNYEERKERRSEVENSEDERRRTRIGSLKKKALNASTRFTHSLRKRGSRVDFRVPPLSIEDVRDADEERAVYSFRQQLIAKKLLPNKHDDYHTLLRFLKARKFDFERATQMWAEMLQWRKEFGTDTIMEDFEFDELEDVVHYYPQGYHGVDKEGRPVYIERLGKAEPNKLMNITTIERYLKYHVQEFERALNEKFPACSIASKRHIGSSTTILDVQGVGLKNFGKTARDLLLNMQKIDGDYYPETLHQLFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPDFLGGSCACYHEGGCLRSNKGPWNDPVIMKLVQGVDAAFLGESGYVIDGEKRGHSCARLYLSKGRSCDTSAAESVSDADDLYSPEPSIAEYAPLSPIHKEVGAADFTPHDDHFVMVDKDVDIGRRGSRFSVRTTEELKDPDLASSTAALHSLGYPVADRHSNIGKDAGEGKLRSFARALVSFLVKMLSFLRILRSRQDRRLENVHPSDALDLTYNNNSTMETINENCITSYVERLQKLELIMNELSNKPAEIPQEKEHMILDSIDRIKCVEYDLHKTNKVLQAAVMKQTEIEATLEALEDSNIRGKFC >Ma04_p09750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6915812:6930648:1 gene:Ma04_g09750 transcript:Ma04_t09750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMFHGEGLEGSFNYEERKERRSEVENSEDERRRTRIGSLKKKALNASTRFTHSLRKRGSRVDFRVPPLSIEDVRDADEERAVYSFRQQLIAKKLLPNKHDDYHTLLRFLKARKFDFERATQMWAEMLQWRKEFGTDTIMEDFEFDELEDVVHYYPQGYHGVDKEGRPVYIERLGKAEPNKLMNITTIERYLKYHVQEFERALNEKFPACSIASKRHIGSSTTILDVQGVGLKNFGKTARDLLLNMQKIDGDYYPETLHQLFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPDFLGGSCACYHEGGCLRSNKGPWNDPVIMKLVQGVDAAFLGESGYVIDGEKRGHSCARLYLSKGRSCDTSAAESVSDADDLYSPEPSIAEYAPLSPIHKEVGAADFTPHDDHFVMVDKDVDIGRRGSRFSVRTTEELKDPDLASSTAALHSLGYPVADRHSNIGKDAGEGKLRSFARALVSFLVKMLSFLRILRSRQDRRLENVHPSDALDLTYNNNSTMETINENCITSYVERLQKLELIMNELSNKPAEIPQEKEHMILDSIDRIKCVEYDLHKTNKVLQAAVMKQTEIEATLEALEDSNIRGKFC >Ma04_p09750.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6915812:6930649:1 gene:Ma04_g09750 transcript:Ma04_t09750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMFHGEGLEGSFNYEERKERRSEVENSEDERRRTRIGSLKKKALNASTRFTHSLRKRGSRVDFRVPPLSIEDVRDADEERAVYSFRQQLIAKKLLPNKHDDYHTLLRFLKARKFDFERATQMWAEMLQWRKEFGTDTIMEDFEFDELEDVVHYYPQGYHGVDKEGRPVYIERLGKAEPNKLMNITTIERYLKYHVQEFERALNEKFPACSIASKRHIGSSTTILDVQGVGLKNFGKTARDLLLNMQKIDGDYYPETLHQLFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPDFLGGSCACYHEGGCLRSNKGPWNDPVIMKLVQGVDAAFLGESGYVIDGEKRGHSCARLYLSKGRSCDTSAAESVSDADDLYSPEPSIAEYAPLSPIHKEVGAADFTPHDDHFVMVDKDVDIGRRGSRFSVRTTEELKDPDLASSTAALHSLGYPVADRHSNIGKDAGEGKLRSFARALVLQAAVMKQTEIEATLEALEDSNIRGKFC >Ma04_p09750.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6915812:6930648:1 gene:Ma04_g09750 transcript:Ma04_t09750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMFHGEGLEGSFNYEERKERRSEVENSEDERRRTRIGSLKKKALNASTRFTHSLRKRGSRVDFRVPPLSIEDVRDADEERAVYSFRQQLIAKKLLPNKHDDYHTLLRFLKARKFDFERATQMWAEMLQWRKEFGTDTIMEDFEFDELEDVVHYYPQGYHGVDKEGRPVYIERLGKAEPNKLMNITTIERYLKYHVQEFERALNEKFPACSIASKRHIGSSTTILDVQGVGLKNFGKTARDLLLNMQKIDGDYYPETLHQLFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPDFLGGSCACYHEGGCLRSNKGPWNDPVIMKLVQGVDAAFLGESGYVIDGEKRGHSCARLYLSKGRSCDTSAAESVSDADDLYSPEPSIAEYAPLSPIHKEVGAADFTPHDDHFVMVDKDVDIGRRGSRFSVRTTEELKDPDLASSTAALHSLGYPVADRHSNIGKDAGEGKLRSFARALVSFLVKMLSFLRILRSRQDRRLENVHPSDALDLTYNNNSTMETINENCITSYVERLQKLELIMNELSNKPAEIPQEKEHMILDSIDRIKCVEYDLHKTNKVLQAAVMKQTEIEATLEALEDSNIRGKFC >Ma04_p09750.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6915812:6930648:1 gene:Ma04_g09750 transcript:Ma04_t09750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFHGEGLEGSFNYEERKERRSEVENSEDERRRTRIGSLKKKALNASTRFTHSLRKRGSRVDFRVPPLSIEDVRDADEERAVYSFRQQLIAKKLLPNKHDDYHTLLRFLKARKFDFERATQMWAEMLQWRKEFGTDTIMEDFEFDELEDVVHYYPQGYHGVDKEGRPVYIERLGKAEPNKLMNITTIERYLKYHVQEFERALNEKFPACSIASKRHIGSSTTILDVQGVGLKNFGKTARDLLLNMQKIDGDYYPETLHQLFIVNAGHGFKLLWNTVKGFLDPKTTSKIHVLGTKYQSRLLEAIDSSQLPDFLGGSCACYHEGGCLRSNKGPWNDPVIMKLVQGVDAAFLGESGYVIDGEKRGHSCARLYLSKGRSCDTSAAESVSDADDLYSPEPSIAEYAPLSPIHKEVGAADFTPHDDHFVMVDKDVDIGRRGSRFSVRTTEELKDPDLASSTAALHSLGYPVADRHSNIGKDAGEGKLRSFARALVSFLVKMLSFLRILRSRQDRRLENVHPSDALDLTYNNNSTMETINENCITSYVERLQKLELIMNELSNKPAEIPQEKEHMILDSIDRIKCVEYDLHKTNKVLQAAVMKQTEIEATLEALEDSNIRGKFC >Ma10_p12660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25684851:25705870:-1 gene:Ma10_g12660 transcript:Ma10_t12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MARYQVDGKVVQGVDLLKRRYWGWRLDVWPFAILYSIWLFAVAPSIDFTDALIVLGGLALLHILVLLFTAWSVDFRCFVQFSKVNDTRLATACKIIPAKFSGSKEIVSLHTRRPVAGSSASSVGNTDEIYFDFRKQCFNFSVENNTFAKLPYPTKEPFGYYLKSSGHGSEAKVAAATDKWGRNVFDYPQPTFQKLIKEQVMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKSRLKTLTELRRVRVDGQMVMVHRCGKWVKLSGTDLVPGDVVSIGRTTGQDGEDKSVPADMLLLAGNAIANEAILTGESTPQWKVSIAGRGIEDKLSIKRDKNHILFGGTKILQHTPDKSFHLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVFFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALAKRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVGLDDTENLETDMAKLRERTAQVLAACHALVFVENKLVGDPLEKAALRGIDWIYTSDEKAIPKKSGGHPVQIVQRHHFASHLKRMGVIVCIQEQFFAFVKGAPETIQDRLIDVPATYVKTYKKYTRQGSRVLALAYKTLPEMTVSEARNLERDVVENDLTFAGFAVFSCPIRSDSATVLYELKGSSHDLVMITGDQALTACHVASQVHIISRPALILARTKCGTSFEWVSPDETEMFAYSEKEVEALSDTHDLCISGDCFEMLQRTGAVFKVIPYVKVFSRVAPEQKELILNTFKAVGRMTLMCGDGTNDVGALKQAHVGIALLNAVPPAQSGDASSQKQPSKPENKAGKTKKPKPTAESSHSTEPAKSITATSNRHLTAAEKQRQRVQKMIDEMNAEGDGRAPMVVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGIFTAAFFLFISHARPLQTLSSARPHPNIFCAYVFLSLIGQFAMHLFFLITSVNEASKFMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSIPENKPFKYALFAAVGFFTVITSDLFRDLNDWLQLEPLPEGMRGKLMLWATLMFLGCFGWERLLRWAFPGRMPSWRRRQKQVAASMNKKLL >Ma10_p12660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25684850:25705890:-1 gene:Ma10_g12660 transcript:Ma10_t12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable manganese-transporting ATPase PDR2 [Source:Projected from Arabidopsis thaliana (AT5G23630) UniProtKB/Swiss-Prot;Acc:Q9LT02] MARYQVDGKVVQGVDLLKRRYWGWRLDVWPFAILYSIWLFAVAPSIDFTDALIVLGGLALLHILVLLFTAWSVDFRCFVQFSKVNDTRLATACKIIPAKFSGSKEIVSLHTRRPVAGSSASSVGNTDEIYFDFRKQCFNFSVENNTFAKLPYPTKEPFGYYLKSSGHGSEAKVAAATDKWGRNVFDYPQPTFQKLIKEQVMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKSRLKTLTELRRVRVDGQMVMVHRCGKWVKLSGTDLVPGDVVSIGRTTGQDGEDKSVPADMLLLAGNAIANEAILTGESTPQWKVSIAGRGIEDKLSIKRDKNHILFGGTKILQHTPDKSFHLRTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVFFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALAKRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVGLDDTENLETDMAKLRERTAQVLAACHALVFVENKLVGDPLEKAALRGIDWIYTSDEKAIPKKSGGHPVQIVQRHHFASHLKRMGVIVCIQEQFFAFVKGAPETIQDRLIDVPATYVKTYKKYTRQGSRVLALAYKTLPEMTVSEARNLERDVVENDLTFAGFAVFSCPIRSDSATVLYELKGSSHDLVMITGDQALTACHVASQVHIISRPALILARTKCGTSFEWVSPDETEMFAYSEKEVEALSDTHDLCISGDCFEMLQRTGAVFKVIPYVKVFSRVAPEQKELILNTFKAVGRMTLMCGDGTNDVGALKQAHVGIALLNAVPPAQSGDASSQKQPSKPENKAGKTKKPKPTAESSHSTEPAKSITATSNRHLTAAEKQRQRVQKMIDEMNAEGDGRAPMVVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGIFTAAFFLFISHARPLQTLSSARPHPNIFCAYVFLSLIGQFAMHLFFLITSVNEASKFMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSIPENKPFKYALFAAVGFFTVITSDLFRDLNDWLQLEPLPEGMRGKLMLWATLMFLGCFGWERLLRWAFPGRMPSWRRRQKQVAASMNKKLL >Ma10_p20620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30699924:30704504:-1 gene:Ma10_g20620 transcript:Ma10_t20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWPSSSRTSCAQDKQNCWYISQRRFQSGSHNFSPAAWGKLLS >Ma06_p31690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32741307:32742747:1 gene:Ma06_g31690 transcript:Ma06_t31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPETPESSETTTTLDLSLALAASSPSSDHETKDVKLFHCLFCNKKFLKSQALGGHQNAHKKERSVGWSSYLYLAPTADAATTIPPPQHLSAPPLPIASHASRYLPSGNCSESFGSRSAPRFAADHPLLATVSSGRAMCAAGDPSASGDGTIDLLNWRRGSRPQQEPPADFSAAAPADGEDQTDLDLSLRL >Ma06_p15610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10577909:10579804:-1 gene:Ma06_g15610 transcript:Ma06_t15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTLLKYWRGGGGGGGGGGGGSTVAENLRACSATPVVATAFLRPSSATTDDSGDNDDDEGPFFDLEFPALTVDDEVEEGEFNFELSSVGNGGGSGGGGGDDIRTEGFSPTEDLFFKGKLVPLGPSSIVIAASESDNKPQFPAASILRSATKFRVFLLGLRKPKPTAAEPNAAAVAGASPRQQLQQHQSRFFVKFKVEELPIISLFTRDNSSRNSSANRAVKPQAEDTAVSVTAAEEKRLAREVVQKYVNMIKPLYVRASRKHGEKPRLPGEPAPGEVEPEETAPAPAPAASAGGVKGLPAGLRVVGKRLGKIRSASATVAAVPPPPPPPQRRDDTLLEQQDGIQSAIAHCKRSFTAPEKGSQSPLARSKSDPIRAMGDQI >Ma03_p30040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32843384:32844429:-1 gene:Ma03_g30040 transcript:Ma03_t30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILSEILLSGFMINITLRRRTHLAQSFSVVFLYWFYVFS >Ma07_p04620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3396841:3397260:1 gene:Ma07_g04620 transcript:Ma07_t04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKPLIATLVDLLDALDDVSFTSMKDMLKLLFSLVLYSLNNTALVELGIMPPLFAPMVKDGRRGLVGDTMAMITRVAGCDESMKAFRRVNGVNVLEDLVVGRSGRARQNATTMLSNIVKSDKAIGDRGEGSREGSGQR >Ma03_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10130593:10132241:-1 gene:Ma03_g13130 transcript:Ma03_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLVMMGSMGGASSSSSGRCAGRGEVLKGMRKGGRGGGNVRCAAAAAAAGSMADHYRMLRIHPGASEKEVKKAFRKLALQYHPDVCKGSNCGVQFHRINEAYDIVMSSLRRAEEEQQQWQPQGWSEDNDRNPMSGMYDPSWDLWEEWMGWEGAGTRDYSSHINPYI >Ma03_p14100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:11258909:11260757:-1 gene:Ma03_g14100 transcript:Ma03_t14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDYYDILKVNRSATDEDLKKSYRRLAIRWHPDKNPSNEEEAEAKFKQISEAYEVLSDPQRRAIYDQHGEEEEPKGVPRDAEDIFTEIFGSTNPFGFESMNRAKSTRFQADGSGSAAPAARPWKAPAVERKLACSLEELYHGTEKKMNISRNVMQPNGRTVPENEVLTVEVKPGWKRGTKITFPNKGNDRLAADVVIVIDEKPHDVYKRDGNDLVVHHKISLVDALTGTRINLKTLDGRDLVIEMTDVVKPSYELVIQSEGMPVAREPRKKGNLVIKFDVKFPSRLAPEQRAAIRRILGG >Ma11_p05620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4312652:4315285:-1 gene:Ma11_g05620 transcript:Ma11_t05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKIGHLSSLEQRGSSAKEGGETKMRMNKETPRVMPNYMKPTTSSDARKEQRQVTRDPTAATDRKRSKKSSNRLSQASVCPSSQAGPKPARALRRKLSSKLLRLSSKRNFAVHPCPRPKVNRATCSSTLKDLKFPKALELRPGGTEAEGTSVAKVCPYKYCSLNGHWHADLPPLKCFLASRRKMLKAQKCMKQKGVSPFRRQGSRKDSKQMDTGQAAVKLSSLIEEIGSDYFVEIYVKQGDMECFKHEEDGKRNLEDVILEGDVDQSSDLSVDDLEVLMNFLEYESCDQEDGAAKEEFPSSTTEECWASAGTGKDSQDHAEVSESSEIELEEDVDPFADDKSSFSDDELGPILGMLFENEVYTDQSEAEACHEECSRAPEPESLQENGNGGSEDSAINSDKAVSIDIKEAETSSLLQVHVPCADQDDDIEEDHQIQSEPEEAESNDRSTDDAELTPITISNTEFNRSIDDDDDGDPNARTNTTRKRTDEEMEETRGFNPRPPNFLPEEPDPEAEKVDLRHQMMDERKNSEEWMIDYALQQAVTKLAPARRQKVALLVEAFETVIPLSVCDKPLRHATQSFSGPRTMQACS >Ma03_p02740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1878138:1884247:1 gene:Ma03_g02740 transcript:Ma03_t02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICAKISALDSSPEAITIDGNGFGDSFSMGHESYGKAESIYKTMPLEETVEKQSREGTFSRADMSDLRGIETVTGKQQLCKTSSHKSKSTGSESAASGNSGMTKVSEVSSLLGRAGTVGLGKAVDVLDMLGSSMVSLKSGSSFMKGVTTKGEKISILAFEVANSVVKGANLMQSLSRENIKHLKEVVLPSEGVLRMVSNDMDELLQIAAADKREELKVFVDEVVRFGNRCKDPQWHNLDRCFAKFDSELTPQKQMRERALGAMQYLMTLVRYTADLYHEMYDLGRSEKEYQQRLQDKIKLPVQRGDTHLILREELKSQHKNVKILKKKSFWSKSLEEVMAKLMVIVHFLYLEIHATFQLADGDKHVGVSNVHQRLGQAGLALHYANVITQIVALVSQSSSVPPSTRHSLYQGLPPSVKSALRSKLQFFQFKEELTVPQIKCEMEKTLHWLFPIAINTIRAHQGFGWVGEWAKTRIELNQIAAAQTGVVKIETLYHADKAKTETYILDLVVWLHHLTVRCRPGNAGFNPPVKSPSCSPATKRSSISSPVIKPIGSLSKITSVDQEMLRDVNLKKPTPGICKSQEFGTCGPTTSKQKISSSHSTTREYKEFSPTTVVSFDINRKRALRIPERVDRSTNLRSP >Ma10_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23183142:23185015:-1 gene:Ma10_g08970 transcript:Ma10_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVDGGSGDLGRPTTALMPFHLLEITIISAQGLYPATRSINTYASAWVDPKHKLCTRVDRAGHADPTWNDKFIFRVDDAFLRSDTACVDVHLHAARSRLSPLPDHLLGTVRVVLSSLSPAPGVRRFVALLVRRPSSLRPHGILNLSVAILEPHVRSMPLYFGLDSPAAFAYKDITVGRQAKANGRRWSSDDCSGWQLERNGSVSMESGVEEREREELETKLEMWKAEISPDHERDDRSNNSHGGHNRKRSGRLSFFSCAETVESE >Ma07_p24340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31730450:31734612:-1 gene:Ma07_g24340 transcript:Ma07_t24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMAFRPQALPCFSCPSKRRNARSPRVSMASTVGSSSSSAVSNKVETPKKPFSQQDVHSQVTHSLPPQKIEIFKSLEDWAENNILVHLKPVEKCWQPQDFLPDPSSEGFYEEVKELRERSKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFVSHGNTARLAKEHGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPNGTVLAFSDMMKKKISMPAHLMYDGRDDNLFGHFSAVAQRLGIYTAKDYADILEFLVTRWKIGDLTGLSGEGNKAQDFVCTLAPRIRRLEERAQARAKQAPAIPFSWIYGREVLL >Ma09_p00630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:441496:443661:1 gene:Ma09_g00630 transcript:Ma09_t00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPGRLVVAVEWDSGFGFSAMEVETLVRYQFPVVLIVFNNGGVYGGDRRSPGEITGPYKDDPGPTFVPHSAYHSLIEAFGGKGYRAGTPEELNSALSESFSARKPAVINVIIDPYAGAESGRS >Ma10_p21070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31042637:31043363:-1 gene:Ma10_g21070 transcript:Ma10_t21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPRAERPYVEPPLDARKDDYSLITLLGFAFLTYNSVTAAYRSIHDLWALSFVIVAYADLVSLFWCLRQFERSNQRHKERLKVAVWFLTALLTTMFSYKVASVMPWPVAVVVWCVGSATIIGGFYAFFVYREPPIHQTGNGNKH >Ma04_p02290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:1988851:1989123:1 gene:Ma04_g02290 transcript:Ma04_t02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRWAPTSAMAACLILVVAAMCSAALGREMGRKRRHAMEAERIPANESVVDAAAVNLCDCLDRPKRNDSLVDDYKRLVPTGPNPLHNR >Ma06_p06750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4852484:4853591:1 gene:Ma06_g06750 transcript:Ma06_t06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHSFSSSNGDGSLHHPVTTSSVPHGLLPLPSSSPPDSSSFPTGCYLHRSSSTHSLAFHHQLLKSIDAPQPAYSSSPSFSSCPVRRVVSTGDLQRMHGVRETPRVRRYSAEERKERIERYRSKRNQRNFRKKITYACRKTLADSRPRVRGRFVRNGETETGVETETEAAAGNSLECFSYGNDGQNQSRRMGGGNGGEWSSQLQTALETDEEHEDYYDEELLSIFADVFSMDILS >Ma01_p07030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5067471:5068097:1 gene:Ma01_g07030 transcript:Ma01_t07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFSGFSVKRGLISLALLLFLLLESSTSRQVMVMKKKMPYGGQENGMEMEMEMSTEDNRRLLWAASGKKYISYEALKGDVVPCTKLGVPYYNCHSFPSANPYNRGCQVISGCRGDSP >Ma02_p07130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17928637:17932033:1 gene:Ma02_g07130 transcript:Ma02_t07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCRSKSNGPADLHSMAELLVAETVLAVERSLLWLFLAVGSSSSQIGPVNDESEVIYQTLQRSKPEAMLENKDDGESDEDEDEDDNGDDGAGQEDGGEDDLSGEEGNDNQGDDNDDDDPEVNGEGGSEDEEDDDDDDEEEEEDEEEDEDEEDEEEEEELPQPPTKKRK >Ma08_p31230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42214033:42216284:1 gene:Ma08_g31230 transcript:Ma08_t31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFARETMALAILLFAILACSAELQRFESPAKPDGSLSILVVGDWGRKGQFNQSQVATQMGRIGEELDIDFVVSTGDNFYEDGLTGVDDKAFEESFTDIYTSKSLQKQWYSVLGNHDYRGDVLAQLSPVLRNIDSRWLCLRNFILNAEIVDFFFVDTTPLVESYWTNPEDHHYDWREVAPRENYIPNLLKDLDAALKESTAPWKIVVGHHTMRSVSDHGDTPELLALLLPVLKDNGVDLYVNGHDHCLEHISSKDSPIQYLTSGGGSKAWRGVFTPNSDKLQFFHDGQGFMSLQLTAAAANIVFYDVFGQELYQWSVTKNLHAAE >Ma06_p25820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:26339811:26342645:-1 gene:Ma06_g25820 transcript:Ma06_t25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGGRGLADRSQLERQMGCMTGLLHLFDRHQVLARKRLPPPPAAGSGSSSERPHASSAAMLVKETHSLAVNEVKDGVRHLSKRSRAMVVAKGKLRPREIRAAVPVASSSDGSDASEAVDESERLRRSPSVVARLMGLDALPDESDRAELRRSTSESRVPGDPAYVRFRDGSSFSKSSPVEAAPVSANEFIRMTNLGQLNVPVAEKTKSPARTPFLPPLQRKSFFDAGDFYPEPKRWGLLPIEFGKQRLTRGMDEAARDLDTLKQILEALQLKGLLHSDPLYRHINGRRGHLLNHHYDSPIVVIEPAPKPPRRPSSEPRSPLPPPGPAAIRGNPAVAAANPPVRRNRKSDQGPNGNNEQKNRGPSSPTKQRSSNAPESGKSRPPRRRISALNPSKTLPNQVGQSPINGRPPPNRRAKQEVTTKQRIGSRAEVDTAGSIANSPLGFERSWAADYGAGRQLLERCDRLLHSIVAFTAEDQVATGAVQVVAAAEQQPSPVSVLNSPILGEETSPRSPVSKRSIDFKEDRPAECNEDAVASDGGDGPEAQAEEEVVIDDDDDDYAYVVEILRLTHSHGDTTDVYAFVEKRRGVSSDASKSLRLRRRLIFDAVVEILDQKRGVSPPPWESFVRPGFLSVAAPNSAAMLLTEVWSELRQAREQASAADLSDVTSWAVRRDLAAENLECWARPAAEVADAVIQIERQIFKDLVSGAISDLADAKPRHKAVF >Ma11_p11970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15578566:15625500:1 gene:Ma11_g11970 transcript:Ma11_t11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVDKIKGVVRWKDGRKKRERELERRVHVEVRGDDGERRVGRGEGTSLDLNNFPEEYGQEAFDGSSPSTEAKSLKKPKKSGGKDDSYRVYECRFCSLRFCKSQALGGHMNRHRQEREVETLHRARQLVFSNEGLAAAGACVHMGLRDNYGVSLSHAIPLGYFQHGVNTNNDPCLPLEPVYRVMPTHCPSLSNPYIQTHPTPPHHPYIVGNFTGHAVRERSQNQLHANGNVFTGFGVPLANTTQMEGASGGTKFQRH >Ma04_p16160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14894883:14902976:1 gene:Ma04_g16160 transcript:Ma04_t16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDMDDILMEDEPISVVFQVGAHGCGLLDPGSETIDIEKGTKMDLPYWLAHQLHLRQAVSISVPASFSQKTRKEIQADAACVDLKGRCPYFYELGCKVAPLVGDKTIGSFLLYAFTDRYKEMLSKSHSASAVPRFSSRLTKEESQLFQAARSSMAAFKKWRTGGSRLEKASILGRKRKRTIPLGPSSP >Ma04_p16160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:14894890:14902976:1 gene:Ma04_g16160 transcript:Ma04_t16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDMDDILMEDEPISVVFQVGAHGCGLLDPGSETIDIEKGTKMDLPYWLAHQLHLRQAVSISVPASFSQKTRKEIQADAACVDLKGRCPYFYELGCKVAPLVGDKTIGSFLLYAFTDRYKEMLSKSHSASAVPRFSSRLTKEESQLFQAARSSMAAFKKWRTGGSRLEKASILGRKRKRTIPLGPSSP >Ma01_p03330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2158370:2160577:1 gene:Ma01_g03330 transcript:Ma01_t03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLHLAFYLLKAALAGLLLCVVGWILLFIVFYVKESIQGSNRPPIAGTVFHLLIHFNAVFDFQTAVARRHKTFRLIAPPHSDIYTADPANVEHVLKTNFSKYSKGQGNYTVMRDLFGDGIFAVDGEKWRHQRKLASFEFSARVLRDFSSVVFRSTAAKLAQKISDAAATASVFDMQDLLMKATLDSIFKVGFGVELDTLSGSDELGARFSEAFDDANSIVFWRYVDVLWKVKRRLDVGIEARLKRNIKLIDDFVFQLIRRKRDQMNNGEEDKVNDQEHLQNISFAMSKGDILSRFILAKEKEPESTSDSYLRDVILNFMMAGKDTTANTLTWFFYLLCKHPSIQEKVAAEVEDATKAEGNKSDMAEFAMSLTDEAIDKMQYLHAALTETLRLYPAVPVDGKSADEDDVLPDGFEVKKGDGITYLTYAMGRMTYIWGEDAEDFRPERWIENGSFKPQSPFKFVAFHAGPRSCLGKDFAYRQMKIVAAATLRFFRFHLEDESKTDRYRTMFTLHIRDGLPLLAFYRQI >Ma01_p03330.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2158371:2160577:1 gene:Ma01_g03330 transcript:Ma01_t03330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLHLAFYLLKAALAGLLLCVVGWILLFIVFYVKESIQGSNRPPIAGTVFHLLIHFNAVFDFQTAVARRHKTFRLIAPPHSDIYTADPANVEHVLKTNFSKYSKGQGNYTVMRDLFGDGIFAVDGEKWRHQRKLASFEFSARVLRDFSSVVFRSTAAKLAQKISDAAATASVFDMQDLLMKATLDSIFKVGFGVELDTLSGSDELGARFSEAFDDANSIVFWRYVDVLWKVKRRLDVGIEARLKRNIKLIDDFVFQLIRRKRDQMNNGEEDKMSKGDILSRFILAKEKEPESTSDSYLRDVILNFMMAGKDTTANTLTWFFYLLCKHPSIQEKVAAEVEDATKAEGNKSDMAEFAMSLTDEAIDKMQYLHAALTETLRLYPAVPVDGKSADEDDVLPDGFEVKKGDGITYLTYAMGRMTYIWGEDAEDFRPERWIENGSFKPQSPFKFVAFHAGPRSCLGKDFAYRQMKIVAAATLRFFRFHLEDESKTDRYRTMFTLHIRDGLPLLAFYRQI >Ma06_p15980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10855237:10856891:1 gene:Ma06_g15980 transcript:Ma06_t15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTTRRGGGRWSCRNECKRRCSGDCKCLGIPYRKESPVPGTSAKVSTSSHSVYVKFVAGNNFESRGFGADVARFGSGRRGSLRDVPRARGVGPVRWSDRDGVTVSSGQGLVVRATDGTLGFAPAQRMNSLSVEKGTISELKNAVNDVSKAAHRTFQHSLEYAFLSVHLLHKGHINEDPPM >Ma07_p13320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10052312:10054355:1 gene:Ma07_g13320 transcript:Ma07_t13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSKLSIDISSLIHGELSVLDCIRVGAVCKQWNFACKLKYHCPTKKPQSPWLVLPGECDSTTIKFFSILEKKTYKIPCPEPMIHRRVYIGSGHGWLVTVDDICNMHLLNPLTGAQIPLPPVTTLPFVSARHNSHGQIIEFVVEVPYGANIISTLVFSFERMRCIFFQKAVLSAAPDVDDNCLIMMICNNWKHLVVGRARGEAWKCISLYHHYTNIIHRKGKFHSISDTGIVEVWEHDGLILRPRIIGSKIRYFLNQFMHYLVESLDGNLLLIVRAQEDIKPSDNFLVFSLDEKEHKWKKHLEIGLELV >Ma11_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6862643:6873782:-1 gene:Ma11_g08650 transcript:Ma11_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10620) UniProtKB/Swiss-Prot;Acc:Q9CAF2] MVVASFGRRPVLFIWPAINRSLVSPTCPPRFVRFASPSAKPSKLVAASMENPPPGYRRNVGICLVNPENKIFSASRLDIPGAWQMPQGGVDGEEDPRVAAFRELKEETGVTSAEILVEVPYWLTYDFPPEVREKLNKQWGTDWKGQAQKWFLFRFTGKEEEINLSGDGSEKPEFGEWTWMTPEQVIELAVEFKKPVYEQVLQFFKPHLQPDSASQKKIISGDQPSFGDLTP >Ma10_p30710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36994469:36998444:-1 gene:Ma10_g30710 transcript:Ma10_t30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYTGVGTKSVSLEDIKNESVDLEKVPVSEVFEHLKCNQEGLTAEEGEDRLQIFGPNKLEEKTESKFLKFLGFMWNPLSWVMEIAAIMAIVLANGGGEPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDEIFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAFGMLVEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFVKDMDKDTVVLYAARASRVENQDAIDACIVGMLADPKEARAGIQELHFLPFNPVEKRTAITYVDSKGKWHRASKGAPEQIIDLCNMKEDARKKVHAMIGKFADRGLRALGVARQEVPEASKESAGAPWQFMGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGEKNDDTTGLPIDDLIEKADGFAGVFPEHKYEIVRRLQEKKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWRFDFSPFMVLVIAILNDGTIMTISKDRVKASPLPDSWKLREIFATGIVLGAYLAIMTVVFFYVAHDTDFFSEAFGVRSIKDHSDEMTAALYLQVSIISQALIFVTRSRSWSFVERPGLLLVFAFIAAQLVATVIAVYASWGFARIQGIGWGWAGVIWLYSLITYFPLDVLKFIIRYALSGRAWDNFLQNKTAFTSKKDYGKGEREAQWALAQRTLHGLQLPPDTSGLFDDNNNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIEGMPQHYTL >Ma02_p21230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26925164:26926280:1 gene:Ma02_g21230 transcript:Ma02_t21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGPWTAEEDEVLASFVRREGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSIKHGPITPDEEDIILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSRKLIKQGIDPRTHKPFTFSTNPNPTLPSTPPPRHPTANPDPTPFAPPAPVITGGGYFNVGCQNSEEGRKGADGCTVDFFSDLFDPFIHDDILMQQHHNAVNNHTDNSNSNNKLVEMLDPMGASLVPSFGFEGLWEDPFTYFG >Ma03_p24140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28482497:28486195:-1 gene:Ma03_g24140 transcript:Ma03_t24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADGKADGKGWGIFRLRFFGGGGGGGGGAGSSSSNSSSTLSLTHHRHSRSSGRSQMGQVYRGAAAAPAARSNPGASSISSVAWSLLPTRRRLRLDPTSKLYFPYEPGKQVRSAVRIKNTSKSHVAFKFQTTAPKSCFMRPPGGILSPGESIIATVFKFVEQPENNERPLDQKSNVKFKIVSLKVNGPVEYVPELFDEQKDHVSVEQILRVVFLDPDRPCSQMEKLKRQLAEAEAAVEARKKPPEDTGPRIVGEGLVIDEWKERRERYLARQQVEGVDSV >Ma03_p24140.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28482181:28486195:-1 gene:Ma03_g24140 transcript:Ma03_t24140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADGKADGKGWGIFRLRFFGGGGGGGGGAGSSSSNSSSTLSLTHHRHSRSSGRSQMGQVYRGAAAAPAARSNPGASSISSVAWSLLPTRRRLRLDPTSKLYFPYEPGKQVRSAVRIKNTSKSHVAFKFQTTAPKSCFMRPPGGILSPGESIIATVFKFVEQPENNERPLDQKSNVKFKIVSLKVNGPVEYVPELFDEQKDHVSVEQILRVVFLDPDRPCSQMEKLKRQLAEAEAAVEARKKPPEDTGPRIVGEGLVIDEWKERRERYLARQQVEGVDSV >Ma11_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24110990:24114597:-1 gene:Ma11_g19170 transcript:Ma11_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPALRLTVEKGPRKGETLECKPGTVARVGRFVRGNTLAIRDPGVSQKHLAFEFLADAPRWAVSDLGTSNGTLVNDRQIPPSAPFPLSDGDIIKIGESTVIAVKIVAEERHGLDGGVDDADEKERSGVGGRRGRSRRGAPPLPAVEESVAGATEGRIGGRGKGRPKKGASSAAAPAVPKEDEILERPAVEESVRYEAKNVVAAKGRMRGRRPITRSAAAKVSNDQKQTEEPHVSVRVDDSEAVESVEVFEKDIKMVVSGATEEAVDGGEKEEPARDEEKEAVEDMEKMTLGEWFDQMEKFLPLMINDAAEEIIASLRAKAQHFDEFISTSSTSH >Ma11_p24730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27620346:27620576:-1 gene:Ma11_g24730 transcript:Ma11_t24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMWYARQLELPDGMLLKGVTLLAIKPSEERTTGQSMDLSRTYMVARFQMPLKSHKRTAAKMLKNYRTYSLEMNSF >Ma02_p04900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16298681:16301199:-1 gene:Ma02_g04900 transcript:Ma02_t04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDLEVDVNGEEIFLVDKEVLSSFCGRVRKLIDQTSIASATKPLKVAFTDLPGGAEAFELMTRLCYNNVATRMTPRTTCLLHSVAHFMEMTDGGVSSSVNLLKLIQKSLEGIPYWSWSEIVRALRQCQDLFPVASTSGLMDRILESLAGRITAASDVSPAVSSPESSAFRFSFDTRSTMSTKNCNHRAWWFEDLVVLNPAMIEKIVRSMVLHKVDQVLISRFLAHYLKSAASNASSSDKKEAAETIINLLHSLDASCVSCKGLFGVLRISSSLKISKCCQSKLESMIGNKFDQATLDNLLVPAPSGTKSLYDVNLILRFLKSFLRIEGRKSITELKQAGSLMDSYLAEVAPDSSLKPLKFVALATALPDEARDSHDAIYGAIDMYLEVHTQLSGEEKMKMFCAINYEKLSSESCKHLASNRKFPSRTAIRALISQQSKLRSLLKEVNQLKKHSGALPKANPSKENRFSDGEQIILYAKKVDLSTENEKLKSQLQGMQWKVMELERICRKMQAQMARATKKNRTVSPSNARSLPRLCS >Ma02_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21760145:21764088:-1 gene:Ma02_g13310 transcript:Ma02_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKQTTVTDSPGASAADAGLFRFLPEIQVIEILMAIFIFVTIHSLRQGRRQGLVVWPVVGMLPSLLLGIRKDMYEWITGVLDGQGGTFVFRGPWFTNLHCVLTADPRNLEHLLKVKFPNFPKGEYFRSTVCDLLGDGIFSADDETWRRQRKTASLEFHSAEFRSMTAQSLVELVHSRLLPVLAVAEAQRAPIDLQDVLLRLTFDNVCMIAFGTDPGCLRPGLPEIPFAKAFEDATEATIIRFITPTAIWKALRYFDLGSERWLRRSIAVVDEFAYEVIRTRREELSSGEQTRTARSDLLTVFTKLRDEDGTPYSDKFLRDVCVNLILAGRDTSSVALAWFFWLLNRHPEVEERILGEIRKITDERGGDEDGELVFKPEEVKRLEYLHAALSEALRLYPSVPVDHKEVVEDDVFPDGTVLKKGTKVIYAIFSMGRMESIWGKDCRDYKPERWLKDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFVAASILHRYHVKVMENHPVVPKMALTMYMKYGLKITLCARDGTKVVGK >Ma05_p21110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:32822865:32825562:-1 gene:Ma05_g21110 transcript:Ma05_t21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESLLPVHHHQRIDEITTVSQRIEGVDPLVERVEALKIATPLLTSPPPSESSLSDILVRKPSSSSSSTSTSGTLNPNVLLELFSMYREWQEEKAKKISRKQEEIENKIETADALAVKLFQRFNYSVSAMRSTTQSLAEVQQLQVEVGELKGRLTEVISNCDMLCKRIAAEGPESLRSSLNPISTSNAEIPSICYLNNKVPE >Ma08_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37304058:37306039:-1 gene:Ma08_g23970 transcript:Ma08_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A4 [Source:Projected from Arabidopsis thaliana (AT3G19270) UniProtKB/TrEMBL;Acc:A0A178VA28] MRKLKKKSQDKLKLPPGSMGWPYVGETLQLYSQDPNAFFDTREKRYGEIFKTRLLGCPCVMLASPEAARFVLVTRAHLFKPTYPKSKEQMIGPWALFFHQGDYHMRLRKLVQSSVAPVALRGLVTSVERMVVSMLESWVGREVAAFHALKEFSFDVGILAVFGGRLDERSKAELKKNYSDVDKGYNSFPTCIPGTPYQKAIQARKRLGRIVGDIMNERRRKKGVWENDLLGHLMDSKDDKGELLTDDQIADNIVGVLFAAQDTTASALTWILKFLHDDPKLLDSVRAEQMVIQESNQLGARPLTWSQTRSMVLTHKVILESLRMASIISFPFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPEFFRDPWVFDPSRFEVAPKPNTFLPFGSGAHACPGNELAKLEMLILIHHLVTKYRWEIVGSQGEIEYRPFPVPKHGLPAKLWRRQQ >Ma02_p16270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23712839:23714028:1 gene:Ma02_g16270 transcript:Ma02_t16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCHGGRADLKLERKMAEKYRRLHMKTLYLKLSSIIPAEHRTTAKDIMTKQDNLDQAASYIKYLGERIEKLKQRRLVQTSAARNEMGTGYLLPIIEVKYQDLNLEILLISGVNKSFMFHEVINVLEEEGAEVIHASFSVVGDKIYHTIHSQAVSTRIGLEASRVSERLKELVK >Ma05_p07540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5485868:5490216:1 gene:Ma05_g07540 transcript:Ma05_t07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDPFGRSGGSGTAGHASRPTGPLDHHRHPRGVNWNLPLLLGRATVRRLLGSAPPAAAAVVQLEERHHGWGYSRPVVALDVVWNSAFVLVSVAVLLSTWKERPATPIRAWVFGYVLQSLLHVGFVCFEYRRRRRLRERRRSRWIEVEEDEDEESRAVKKLESLNAMISLLWWMLGFYWIVVGGQALLQDAPHLYWLTVVFLAFDVFFAMFCIMLACVIGIALCCCLPCIIAFLHAVAAQEGASETDLSTLPKFRFCQGNQPDKLDLENQHQIAITINEQNSLVDLALPAEDSECCICLSQYEDGVELHSLPCNHHFHSDCIVKWLRIKATCPLCKYNILEGDDLV >Ma01_p01410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:989036:1000513:-1 gene:Ma01_g01410 transcript:Ma01_t01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec24-like At3g07100 [Source:Projected from Arabidopsis thaliana (AT3G07100) UniProtKB/Swiss-Prot;Acc:Q9SFU0] MQPRGKEQANFPGRPTSPFLAAPQSSTPFRSPGPVGGIEASGPSRATTPFVTSGPMTGLGASGSPQNMSPFLSSGPAIGTQPSSYRAPPPSMRSNGPSSPPTSSFSAQDASTYQQSQALGFPPAPMHPPPIGQPHMPPSGTFRPQSQIPVVPMGPPPQSSSQLTSRSNMPPSSGSVFSAPRTPPQPLLQGYSNVPQRANVPPFQPDSQFQASRPVSQPLMQVYPAAHVPPTHTSQYHAHQSLVPPPPPVGGPMGFSSREQLQHPLTGPPIGGVQGLIEEFQSLTVGSVPGALDPGVDTKSLPRPLNGAEEPTKILEVYPFNCHPRFMCLTTHAIPNSQSLLSRWHLPLGAVVHPLAEAPDGEEVPIVNFGPAGIIRCRRCRTYVNPYVTFTDAGRKWRCNLCSLLNDVPGEYYCALDATGRRCDLDQRPELSKGSVEFVASTEYMVRPPMPPLYFFLIDVSVSAVCSGLLEIVAKTIKSCLDDLPGFPRTQIGFITFDSTLHFHNLKSSLTQPQMLVVADLDDVFLPLPDDLLVNLSDSRHVVDAFLDSLPVMFQGTSNVESAFGPALKAAFMVMSQLGGKLLIFQSTLPSLGVGRLRLRGDDLRMYGTDKEHTLRLPEDPFYKQMAAEFTKNQIAVDIYAFSEKYSDIASLGSLAKYTGGQVYHYPSFQTAVHQEKLRYELARNLTRETAWEAVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDCDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVADLSEMYRQADTGAIVSLLGRLAIENSLSQKLEDARQSLQLKLVKSLKEYRNLYVVQHRLGGRLIFPESLKFLPLYVLSLCKSVALRGGYADALLDERCAAGYNMMILPISGMLKLIYPDLFRIDENLLKDFKDGQEPLRQLPLSAQSLDPKGVYVLDDGFNFIIWLGRMLSSDLLNNIVGVELASFPDLSRVVLCQHDNEISKRLMRILKELRARDPSSYQSCRLVRQGEQPRELSLFLTNLVEDQTAGSSGYVDWILQVFRQSQGS >Ma06_p35970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35639847:35645038:-1 gene:Ma06_g35970 transcript:Ma06_t35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MEYYNSSSISSDRLGVLGLCLRDILAEIKPEDSDRIKRLNAINQFSDYLRTVQSLRGAVVRPFGSFVSNLYTKWGDLDVSVQLDNGLGDSASKTVKRNLLRDIMRALRRYGVARYIHFIPNARVPLLIFEGNYHNISFDVSIDNHLGIMKSKILLWISQMDERFRDMVLLTKEWAKAQNINDPKSGTLNSYSLCLMVIFHFQTCEPPILPPLKEIYGGNISDDITGWSSISERHIEDVCAANIERFRSRNFRRRNQSSLAQLVVSFFDKFSEVETVASEYAICTHTGRWERIDSNLGWVRTSRSMLIEDPFERPENAARTVGPSELRVVSNAFTDTYNKLSSSSMLANRNSLISSLSRPRVSSQLGVRSRVHYNTTGTAAIDSVVLDRFENVMRLDRQSHASTSAATVSRRANENNTLRW >Ma06_p34670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34817248:34819927:-1 gene:Ma06_g34670 transcript:Ma06_t34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQPAVFPGKRRTGAKSSEVKNGDGVKAPKKPPWQFVTAAQPRPKRAFGVARSTNVPVEKPHLTAKPRKVLAAAPPPPPQPPTGTRKIRAVCIQETKTAAVTKTSRKDEHQEAVGTPTSSTKPTVAGTPYLSALNCSKCKYDQLESSTYWLAQIRLAESTGKHFVSAAFFRLALECRAQPLHRLRIELRDYVTRHQVNSMESAWVDLSRAYGLGKGGLDSDSCDLIQNNSLLAEMNGSMDEPDVDLCNLDRGHVSLAEKDGCSEHDDVDGIAADKSLHDAAEAKANYGDEEKFGNMELIDSASIDTLTGNKCGDATNICCSSNKRVSSSPGKIETAKSCLSTGKDMVVGSVVTQEMSKASANNAARSNHGVGRRNRSCQDTSKNRETDA >Ma01_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8833280:8834475:1 gene:Ma01_g12150 transcript:Ma01_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDGAEVATEEVPMFTEEKPAKGKKAKVPKSPKDKKRTGSKPSAPSHPPYFQMIKEAIIALNEKTGSSPYAIAKFIEEKHKGVLPQNYKKVLAVQLRNFTAKGKLVKVKASFKLSEARKNHETKKMEKKQQPEREARKPREATKRKAYAGTAKKAKKAAAPKPKQPKSIRSPAAKKARKAAA >Ma01_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:61858:68096:1 gene:Ma01_g00080 transcript:Ma01_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDRQIEQLKRCEPLKESEVKALCLKAIEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGECPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLLKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRTIDRKQEVPHDGGMCDLLWSDPEDAVDGWGISPRGAGYLFGGNVVMSFNHSNNIDYICRAHQLVMEGYKWMFNNQIITVWSAPNYCYRCGNVAAILQLEEGLNKQFQVFEAAPQVCIENILLFLKKLLLF >Ma10_p11580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25050099:25053987:-1 gene:Ma10_g11580 transcript:Ma10_t11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERRRREGGEGGGSLSRREGRDCDGRRLSSRSEREKEREPSPKRFRRHGKPASARTYSRNHDLDIDDNRERKHLGRFQDTLPYENSLAADSKHDECGSAGHGGQSYVHIAADDGRSNLKEHNGSMVDKIEASDLQRKHDRSKACEDDDEWRHDGYFELEAEESAPRKRPAFSEKKMPAEDVPPTRSEFRNRHDRQTFGVSGRMEKENYFSHGDELERFFHQAGDKHDSWGDRYHQRNETYRAGYQSRERHGSRDTRGRETFAGRYGEKNTYRQSGLQVERWRHDLFDEANRSPTPKNEEEQIAKVEALLAL >Ma01_p22780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25911327:25917285:-1 gene:Ma01_g22780 transcript:Ma01_t22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDKDSNLNLSDLSSALPSASRTALSARDRAGVVEAIKSKVEGLAEQHPVMLDSLAPKVRKRVEALRAIQSQYDDLEANFFVEKAALEAKYQKLYEPLYIKRYEIVNGAVEVEGVTDEALTTDATEEKGVPDFWLTAMRTNEVLAEEIQERDAGALKFLKDIKWCRTDNPKGFKLDFFFDVNPYFKNSVLTKTYQMIDDDDEPVLEKAIGTEIEWYPGKCLTQKVLKKKPRKGSKNTKPITRTENCESFFNFFSPPQFPDDDDDDDEIDEDTAEHLQNQLEQDYDIGTTIRDKIIPHAVSWFTGEAVPEDDYEDMEEDDDDEDGANDEDDKEKGENDADDDEKEGKTRKKIH >Ma01_p22780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25912483:25917285:-1 gene:Ma01_g22780 transcript:Ma01_t22780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDKDSNLNLSDLSSALPSASRTALSARDRAGVVEAIKSKVEGLAEQHPVMLDSLAPKVRKRVEALRAIQSQYDDLEANFFVEKAALEAKYQKLYEPLYIKRYEIVNGAVEVEGVTDEALTTDATEEKGVPDFWLTAMRTNEVLAEEIQERDAGALKFLKDIKWCRTDNPKGFKLDFFFDVNPYFKNSVLTKTYQMIDDDDEPVLEKAIGTEIEWYPGKCLTQKVLKKKPRKGSKNTKPITRTENCESFFNFFSPPQFPDDDDDDDEIDEDTAEHLQNQLEQDYDIGRGCSGR >Ma04_p31520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31797697:31799808:-1 gene:Ma04_g31520 transcript:Ma04_t31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFPGRELGLGGNGEGNLLKRTLLDMERQQMQHAILLRSVRQRTQAVPSVSMFDPVVGGLSCNSSAAAAPERMGSSLSSVDCSEPGFTARTGLPPPVSATATVNLPAPESRSSGSMRDQLRELERRLLLDDEEEAEASAPSGSAVTHAEWGEAIQRLISPPPPLLPTAVSRLSPSPTDSSSSTVSFASCSPPSSLPSPPPSRQMLLDTATALGEGNLEAVRANLAVLKRAADPRGDPEHRLMAVMIAALLSRLNHPQVGSSHPITDLRSPEHFMATQMLYDLSPCFKLGFVAASSAILEATKEESKIHIVDFEVGQGGQYDALLLALAKRRCPPAVRITAVADPSSPFTNINIGDMRAVGDRIKNLAERAGVRLRFRVVSLRAAELDAASLGCEPGEEALIANLPFVLSRVPDESVSPDNPRDELLRRVRALRPRLVALAEQEINTNTAAFPARLAEACGHYGALLESLEAAARGSPDRGWAEAGLARRAVNAVAREGAERVERCEVFGKWRARMSMAGFQPVPLGPAVVELVKARVASTCSDPGFTVKEEAGGLALGLGWMGRVLTVASAWR >Ma01_p12840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9391599:9395702:1 gene:Ma01_g12840 transcript:Ma01_t12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSSSSRNHYGEFNQKIDYVFKVVLIGDSAVGKSQLLARFSRNEFSIDSKATIGVEFQTRTITIDHKTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHMTRWLEELRGHTDRNIVIMLIGNKSDLGTLRAVPIDDAKEFAQRENLFFMETSALEATNVESAFLTVLTDIYRITSKKSLVANDGSDSTGNSSLLKGTEIVVPGQEPSSGTKSTCCGST >Ma04_p29160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:30103715:30117458:1 gene:Ma04_g29160 transcript:Ma04_t29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MALMSLIIRRKFPVRTPIGNANPNFSILRLFSSSPPASAAAGDDRGIEKILVANRGEIACRVMRTARRLGIGTVAVYSDADSAALHVKSADEAVRIGPPPARASYLNASAIIEAALRTGAQAIHPGYGFLSESADFAQLCETEGLIFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGDNQDINFLKLEAEKIGYPILIKPTHGGGGKGMRIVQSPDEFVESILGAQREAAASFGINTILLEKYITHPRHVEVQVFGDKHGNVIHLNERDCSVQRRHQKIIEEAPAPNITNEFRSHLGKAAVSAAKAVGYYSAGTVEFIMDTISGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEHLPLVQEQVPLNGHSFEARIYAENVPKGFLPATGTLHHYRPVPVSPTVRVETGVEEGNTVSMHYDPMIAKLVVWGENRHAALGKLKNCLTNFQVAGLPTNITFLHMLSNHWAFDKGLVETHFIEHFKADFFPDEVPVETDVAAKLSATIVAACICEMDYTTLRKMIPSGNSLLPLWYSQPPFRMHHAAKRMIELEWDKELTGSFPMTLKLVITYQPAGSYFIEIGDSDSPGLEVKIMHARDRDYKIDVNGLQSNVTLAIYSKERSKHIQVWHGKHHYHFRQTVRLEQYNDDGLEHKKDFQASSHPKGSVLAPMAGLVVKVVLENGSFVDEGQPVLVLEAMKMEHVVKSPRAGYVNGLQVAAGQQVFDTTVLFNIKDK >Ma06_p10300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7126896:7128033:-1 gene:Ma06_g10300 transcript:Ma06_t10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETQSVSGGGGDVPTPPPPCQPQQQQQQQKPSRYESQKRRDWNAFLQYLANHKPPLTLARCGGVHVVEFLNYLDQFGKTKVHTHGCNYFGHPRPPGPCECPTKQAWGSLDALIGRLRAAYDENGGGRPESNPFGARAVKAHLREVRESQAKARGVAYEKKKRKQRLPFTVGEGSSRGAEDNITSTAPVTVATKVKPETASASASSSTGRDPDPGSWSAS >Ma10_p23580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:32430425:32434877:-1 gene:Ma10_g23580 transcript:Ma10_t23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECGAESSWKNEFPLGMRVLAVDDDRVCLRLLETQLKECGYQVTATQHALTALELLRENKDNYDIVISDVQMPDMDGFKLLEIIGLEMDLPVIMLSVKSETSYVMKGVLHGAVDYLVKPVRLEDLKLIWKHVIKKSLLVKKESNDPSNTHIQKQKADNDRYSITTRKSMDKIKEKEDSAHENSDGEPSKQKRQRVSWSADLHTHFVKAVNHLGLDRAVPKKILDLMNVKGLTRENIASHLQKYRKTLKRNGIGLQQQHCGGPHPHGFGVNSPGPSWYTNSSVAPSPFQHFASFPQAGHFKMEQDGYSSNSMGTERAYPLSGFKNLTYQSLRPTLDQVECSMKNFHQNSLIVGGISCPDSSSQLHHPPNENSFETLLENNNAGLDASAKLNPVMPSLDMSAQSSRDMLDMQRYDGDKFEDTFQSEFAKHQPEDSSIESLFSLDKCNSMDDLNTVLKQFQQQ >Ma10_p22630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31934460:31937974:-1 gene:Ma10_g22630 transcript:Ma10_t22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGGPVKAESTFRNLFTSGGVFSCLGVNSKSQSREGKKLSGSSSKVSAASVPATPRSEDDILQSVNVRSFTFNELKTATRNFRPDSVLGEGGFGSVFKGWIDEHTFAAAKPGTGMVIAVKKLNQEGVQGHREWLTEVNYLGQLSHPHLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYFQPLSWNLRMKIALGAAKGLAFLHSDMAKVIYRDFKTSNVLLDSNYDAKLSDFGLAKDGPTDDKSHVSTRIMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLASKRKIFRVLDARLERQYSPVGAQKAAVLSFQCLSLEASYRPTMDQVVAALEELQNATDIGMSPRNEQKSNGQSISSYPRKSNQRRSSEEQLHRKATRATE >Ma10_p22630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31934460:31937974:-1 gene:Ma10_g22630 transcript:Ma10_t22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGGPVKAESTFRNLFTSGGVFSCLGVNSKSQSREGKKLSGSSSKVSAASVPATPRSEDDILQSVNVRSFTFNELKTATRNFRPDSVLGEGGFGSVFKGWIDEHTFAAAKPGTGMVIAVKKLNQEGVQGHREWLTEVNYLGQLSHPHLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRSSYFQPLSWNLRMKIALGAAKGLAFLHSDMAKVIYRDFKTSNVLLDSNYDAKLSDFGLAKDGPTDDKSHVSTRIMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLASKRKIFRVLDARLERQYSPVGAQKAAVLSFQCLSLEASYRPTMDQVVAALEELQNATDIGMSPRNEQKSNGQSISSYPRKSNQRRSSEEQLHRKATRATE >Ma04_p38470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:35993381:35994083:1 gene:Ma04_g38470 transcript:Ma04_t38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTALSLLLFPLLFALSHAATFEIANQCSDTVWAAAVPGGGRQLDSGQSWTNEVSPGTAGCRVWARTGCSFDGSGHGSCETGDCGGLLECQAYGSPPNTLAEFSLNQDNNNDFIDISLVDGFNVPMDFSPTTGGCHGIRCAADINGQCPSELKAPGGCNNPCTVFKTEEYCCNSGSCGPTNYSMFFKNLCPDAYSYPQDGPTSLFTCPGGTNYRVVFCPTS >Ma08_p10590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7752384:7752919:-1 gene:Ma08_g10590 transcript:Ma08_t10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGAQQHRAWGIDSSRTAGYEDSSGCETMVVHPTFDTENN >Ma09_p10220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6964604:6966217:-1 gene:Ma09_g10220 transcript:Ma09_t10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGTSEREAGREQEREEEAEGETREYNPYYFGERSYEHWSRSEHGRFKVLERFSRRSELLIGIENYRLAIMEAEPETFIMPSHWDAEEVFYVMEGRGTITLLHEENRESHEIKRGDIMRIPAGVIVYAINKAKNERLHIAMLLHPISTPGHFEEFFGAAGSNPESFYNSFSNGVLEAAFNTPRDRLERLFERQKKGEIIKITEEQIRALSQTTGFGGGRHSARSNEPYNLLQKRPSHANEYGELYEARSSDYHRLQDLDVDVSIANISERSMMAPSYNSRATKLAMVVEGRGHFEMVCPRRSGDSRRSEDATEPEGQQRVRYRTVRSEVSRGSVFVIPPGHPVTAVAAANENLEVLCFGIRAGRNRKCYLAGKNNVMNLLDREAKQLSFGAPAEEVQEVFDAQPESVFLPGPGRRRGEAKRRQPSVESLFGFGGF >Ma04_p21220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23772674:23780416:1 gene:Ma04_g21220 transcript:Ma04_t21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSKSTASFPKVASGSRSSLGTPAFSGGHSASTSGSAGSPSSRSDPAARTPASENTLVRLNHLDIQGEDEGTPEGAVSGKKKKRGVRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVTEFTDPNNNPGSQDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKAERTGLKNRIEKKTAYLQELQDQFIGLQNLVQRNEQLYGSGHIPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKAMGLCERGQHDGAPEPSSNGGDCSSMSGMYQHETSQGSRSSSMGKMITSPPKPGILKARVKNEH >Ma03_p32480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34258104:34258229:-1 gene:Ma03_g32480 transcript:Ma03_t32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSMILQHFSFDLSPSYAHAPHTVLTLHPQHGAQIRFRKL >Ma08_p21650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35465840:35470454:-1 gene:Ma08_g21650 transcript:Ma08_t21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDASVQSDIKLWPFKVTSGPGDKPMIAVQYKGEEKQFSAEEISSMVLMKMKEIAEAYLGSVVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMDPVEKCLRDAKMDKSSVDDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYSYNMRNTIKDDKIASKLAAADKKKIEDAIDQAIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGGMDDDAPSAGASGAGPKIEEVD >Ma02_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:26612320:26621627:-1 gene:Ma02_g20770 transcript:Ma02_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSISSAPRSVEEIYKDYSGRRAGIIRALTNDVDEFYAQCDPEKENLCLYGHPNDSWEVNLPAEEVPPELPEPALGINFARDGMERRDWLSLIAVHSDSWLLSVAFYLGARLNRNERKRLFSMINELPSVFEVVSDRRQSREKSSMDSGSKSKTATKRTSDGQIKSNSKTADEGYGEDDDEHSETLCGACGGSYSADEFWIACDVCERWFHGKCVKITPAKAESIKQYKCPSCSSKKGRQ >Ma08_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1904208:1906264:1 gene:Ma08_g02450 transcript:Ma08_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGRMVMVKEEMRRGPWTEQEDLQLAWFAALFGERRWDFIAKVSGLNRTGKSCRMRWVNYLHPSLKHGRMTPQEECLILELHSRWGNRWSRIARKLPGRTDNEIKNYWRTHMRKRAQEEKRSFSPSRTTTSTSPADDFPIGSEPRAEAAAGCQLSSSCMSLGLDEDSQGADWCSMDQAWNELAMPEAIDGRSFDDCRESTTTAWPPSMPSPPMWECCTASLWKTDEEEEEEELNGAAFGL >Ma11_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16203016:16208762:1 gene:Ma11_g12310 transcript:Ma11_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTKTFPLLTVTLIFLLFITVAGGERGKGVEGWLPLGWNPSLSGCRGTIAECLAGYNPTLGSEASRHVLANSIYISYNALRWDSVPCSSRDASYYNCRPGAEVNPYVRSCSAITRCRS >Ma11_p14020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19172847:19210108:-1 gene:Ma11_g14020 transcript:Ma11_t14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDEKLLKDAKKLPWDERLLHKNWKVRNDANIDLAAVCDSITDPKDPRLREFGPFFRKTVADSNAPVQEKALDALIAFLRAADADAGRYAKEVCDSIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLEAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHQDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIARPTRKIRSEQDKEPEQEVVAEIVGADASEESTSDAPQEIDEYELVDPVDILNPLDKSGFWDGVKAAKWSERRDAVAELAKLASTKRIAPGDFSEICRTLKKLVTDVNLAVSVEAIQAIGNLAKGLRNHFAASSRFLLPVLLEKLKEKKPALTEALSQTLQSMHKSGCLTLVDVIEDVKVAVKNKVPLVRSLTLIWVTFCIETNNKATILKLHKDYVPVFLESLNDGTPEVRDAAFAALAAIAKMVGMRPLERSLEKLDDVRKKKLSDMIGSSGGAEASTSGSAPTSNSSASISSHGGADSSFVKRSAASMLSGKKPAQAALANKKSAAIKTSVKKADGVGQSKTFGSVETEDVEPGEMSLEEIEGKLGSLVKAETISQLKSGVWKERLEAIGLLKQEIENIQDLDQSAEILIRFLCAVPGWSEKNVQVQQQVIEVITYIVSTVKRFPKRFVVLCLLGISERVADIKTRSQAMKCLTTFSEAVGPGFVFDRLFKIMKDHKNPKVLSEGILWMVSAVEDFGVLHIKLKDLIDFCKDIGLQSSTAATRNVTIKLIGVLHKFVGPDIKGFMTDVKPALLSALDAEYDKNPYEGSAAAPKKTIKALDSGSSISASGSDGLPREDISAKMTPNLLKDIGSPDWKVRLESIESVNKIVEEAHKRIQPAGTVELFGALKGRLYDSNKNLIMATLATLGGLASAMGPPVEKCSKGILSDVLKCLGDNKKHMRECTLNTLDSWCLAVHLDKMVPYITVALAESKLGAEGRKDLFDWLTRHLSKANDLSDASHLLKPTATALTDKSAEVRKAAECCLGEVLRVCGQEAAIKCMKELKGPGLALVLERLKPSGLTEDSTRSVSGGLASRSTIKNGKPGSNISNDRGLRHGMKATTMRSVPSRASKFEPFVSAQDLAVQSQALFNIKDSNKEDRERFVVRRFKFEEPRVEQIQDMEYDFVKHFREDLHRRLLSTDFKKQVDGLELLQKVLLSNRKEIIELLDILLRWFVLRLCESNTTCLLKVLEFLPELFGILKDEGYTLTEAEAAMFLPCLMEKSGHNIEKVREKMRELMKQIVNIYSASKFLPYVLEGLRSKNNRTRIESVDFIEYLIDHYGAEISGQLKCLQLVAGLTSERDGEIRKSALNTMATAYKIIGEDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRKEGKPGEARAALRHSVRENGLDVAEQSGEVYLHSVSVPVATRENDVYADSDERHISARQFATAHGLTDWLKAIDIIAMGSPEQSVEGMKVICHELTQVTGDPDSGSIEDIAKDADRLVSCLATMVPNTFNFSLSGASSRSCKYVLNTLMQAFQIKKLAHAVKENTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLKPLDSSRCSSPVSSEALITRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRVLQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDTEPQPIILAYIDLNLQTLAAARMLTPSGPMGPTNWGDTASNSPTHATHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSLPMSTPPPLATLPSPKFGALSPVRTKSISAKSESIYSNVAVPYAEDDAGGTTAALRGQTDPSEFRSHLGDDRMDRYPAAPAVTMGTLDAIRERMKSIQAAAAAGSLDGSARPLAHINSNVLHGVDHIDGETLAQINVLPMDEKALSGLQARMERLKSGSLEML >Ma06_p27970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29802901:29803213:-1 gene:Ma06_g27970 transcript:Ma06_t27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFQRPYDVLVLNGSILVQPQLRGERCCDEDEQHDRFSPPSRFRDLFATKTSKRNEEKFT >Ma08_p10880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7981810:7989716:1 gene:Ma08_g10880 transcript:Ma08_t10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAFAQAQQQQQQQHQYQYHQPYDPARSQQQVLYGAAAYQPYRPQEAYYSHHPSSTHHYHPYHHSYRPLPPPPPGTDPFLQNHAFRGPHREHHRPPTPQTQQRPLALSKFGRAIGVGERPVAPPQHLAVGGESAHRGGSRRGRWPFRGGGGRGNVDFRPSRHDVGSPPFHGRGRGRKGRGGSRQNHLSAPVGPLPVTTPASVAESLSVIPPVMEELKAPLQSPALVAESGTLIPTRPFLPLAWCDICRVDCNSLEVLEQHKNGKRHKKTVQRIQEIQAQQKLMADLHIKYAAEPEMVLQSAEENKVSLPGEANKLFSRTDKAGETVAAAFSSDQVIEAGNAVVVALNTRCPALPASSQDTEANKGSISSATVILSEVNEANICSAALENLPPATTEMDHKMGPEMQSENITIQSDSSKEGETGSVAPTTSAPDYIDVPAAKGCGRRAGMNGYNRRHGSKRKMMRYWRNGKRLKMLEAVESNPQEHQKERPRVCTLCNVTCDTQAVFDCHLSGKKHISRIKRFQGQHTEFGPITVYIPPNQPSAHPPKAPDPLFYGLRSHEMLQPEACTEGCGVQPGDQAEQGGKTEPIALGFRSQQPSEKPEGRVPITEGQNSVNMYTEGLHNAAETVSKEKSELPVAFSSGISQVDEPA >Ma10_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14665222:14669062:-1 gene:Ma10_g04030 transcript:Ma10_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSKSCSPPVPISNRRALHLPFFPLPSTAPAKSPSALPKYPYSTTPHQPFFPLNSSPPPPPPPPPSQQPTFPANISSLTFPGSAPAPPSRQSFKVVVTVLLPLLVILAAAAAFFLHRRRRRLFSGKYDARSDSQRLVPAESAASDGGAPRPSPSAASSSSKFLYLGTLVDTRRDGGPRPGADGMVGSSVRKLESPELRPLPPLPRHYRHGYGNGEAEGLSEDEFYSPRGSSARKESPGRLAYGRASSSRPMFPKAAEKCGSLSWRMSTPSYSSSNMASSPRSSARFGSSPGQSTRRSLKSLSERFLTRDRELLAPPPPPPPLPVVVTAAPGPQQSRIPPLQIPPPPPPLPPVGYWESQVRQPQARQPPLLVPPKLKTEKNEEAERPKLKPLHWDKVQASSDRAMVWDQLKSSSFQVNEEMIETLFVRNATNATHRETNRRQVLPSSPREKRVLDPKKSQNIAILMRALNATKEEVCDALQEGNAESLGTELLETLVKMAPSAEEEHRLQEHKDDSPCKLAPAEAFLKALLDVPFSFKRVDAMLYIANFDSEVNFLIKSFETLEAACDELRSSRLFLKLLDAVLKTGNRMNVGTNRGDARAFKLDTLLKLVDVKAADGRTTLLHFVTQEIIRSEGSTGALRDELEGRKLGLRVVAGLGGELGNVKKAAAMDSATLGSYVARLAGGLGKIDEVLRLNEALGAEECRLGFRDAMVRFLKRAEDDVIRVQAQESVALSLVKETTEYFHGDSAKEEAHPFRIFTVVRDFLAVLDKVCKDVAKINERTTVTSARHLPVPMNPTLPPSAFPRLHALRHESSDEGSSSSSSS >Ma07_p23200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30937934:30943385:1 gene:Ma07_g23200 transcript:Ma07_t23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSMQPYWPVCRKPFLFCLSLATILVLPYLLFWGYAGKPLVNHVLPKEKIFSMIESQSTPARDHEDEPPLSTDSTFLDQNGPADEELERCDPSKAVLKVFMYDLPPEFHFGLMSWNDDGNSVWPDIRTKIPDYPGGLNLQHSIEYWLTLDLLSSKFSDRSGPCSAVRVEDSREADVVFVPFFSSLSYNRHSKIIPPKTVSTNKLLQKKLVQFLTAQKEWKRSGGRDHIIMAHHPNSMLDARTKLWPCMFILADFGRYFPHVANVEKDVIAPYKHLITTFVNDSSGFDDRPTLLYFQGAIYRKDGGSIRQELFYLLRDEKDVHFSFGSIGGNGISEATRGMHASKFCLNIAGDTPSSNRLFDAIASHCIPVIISDDIELPYEDILDYSKFCVFVRTSDAVKKGFLIKLIRDISREDWTQMWQRLKEVEGYYEFQYPSKKDDAVQMIWQAVARKVPAIRLKVHRSRRFSRFDVSNRTSTPFKVFI >Ma09_p18990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19585663:19589003:-1 gene:Ma09_g18990 transcript:Ma09_t18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQTYRSHPHPTPPTTNSAVTPQVPGISGFQVSPLVLPGMLPIQNPDYNEHGSGIYAIPYLPYTRPMAGFSPNTLIPLKYNIPTRPSSGGASDEHGQEARHQHGPQRQVAVRRFHFAFQLDLGLIIKLAAMVFLLSQDGSPQKLVLLIFFASLVYLYQTGALAPFIQWLQQAGAPRLQAPIRLQNGPPVGRDGQNNPQPVENHGVDDQNRNQPAENQEQPDTNDNNPEREGHGNNFWGVVKEIQLFVVGFLTSLLPGFHNNN >Ma03_p27560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30903998:30904135:1 gene:Ma03_g27560 transcript:Ma03_t27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRMLSVSVLFCNYICLLQLLWDESAMCNFTQLFTSSFWSFFWG >Ma05_p14840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10865078:10871122:-1 gene:Ma05_g14840 transcript:Ma05_t14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCLSRPDGCVGGQRSSAEGTRRRRRRRTIRRRAAARKVMETIDEAQADQAPYSNPAFRAMISTGSTEEAWFDTFSAVASDEEDFRSVQDDAFSINSFEGEDILSPSSFRDGSIGASHPNASSISSIDRQQKGRKLGEQSPKNSENTAKAFVSLEDLSIMPADANAGGHDGGILNNCGILPNNCLPCLVAPVSTAEKRKALCSSPPNSAKKTSLKLSFKWKSGEAHSTSTLFSTRPFLEKPLAGSQVPFCLLEKKILDSWSHIEPSTFKVRGPHYFRDKKKDFAPNNAAYCPFGVDVYLCQQKINHIARFVELPNLSSSGRLPPILVVNIQVPLYPATIFQSETDGEGMSFVLYFRLSEDYSKELPSHFLENIRRIIDDEEERVKSFPMDTFVPFRERLKILVHAANLEDLHLSTAERKLINTYNERPVLSRPQHDFYSGNNYFEIDLDIHRFSYIARKGFEAFLDRLKFCVLDFGLTIQGNKPEDLPEHILCCVRLNNIDYTSYLQLAVNSHLAQ >Ma05_p14840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10865078:10871122:-1 gene:Ma05_g14840 transcript:Ma05_t14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCLSRPDGCVGGQRSSAEGTRRRRRRRTIRRRAAARKVMETIDEAQADQAPYSNPAFRGSTEEAWFDTFSAVASDEEDFRSVQDDAFSINSFEGEDILSPSSFRDGSIGASHPNASSISSIDRQQKGRKLGEQSPKNSENTAKAFVSLEDLSIMPADANAGGHDGGILNNCGILPNNCLPCLVAPVSTAEKRKALCSSPPNSAKKTSLKLSFKWKSGEAHSTSTLFSTRPFLEKPLAGSQVPFCLLEKKILDSWSHIEPSTFKVRGPHYFRDKKKDFAPNNAAYCPFGVDVYLCQQKINHIARFVELPNLSSSGRLPPILVVNIQVPLYPATIFQSETDGEGMSFVLYFRLSEDYSKELPSHFLENIRRIIDDEEERVKSFPMDTFVPFRERLKILVHAANLEDLHLSTAERKLINTYNERPVLSRPQHDFYSGNNYFEIDLDIHRFSYIARKGFEAFLDRLKFCVLDFGLTIQGNKPEDLPEHILCCVRLNNIDYTSYLQLAVNSHLAQ >Ma06_p13470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9235957:9238021:-1 gene:Ma06_g13470 transcript:Ma06_t13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSSLSCLLFYLLMISTFLRLECLQHCDTGPHQVRAGYWFSQDDHYSPVSSINASLYTHLYYYSLSLDDASAVAVPRPDQLPILNTFSSTIKLSNPSLKTLLSIATDDRKNSASNAAFSAMAADPDLRASFISSALALARENEFDGLDLAWQFPSLPSDMTNLGILLAEWRARISKEAQNSSSVLLTVTVYFSNHLFEQSTDDLDYPVDVISENVDWVNALCFGYHKNSNATTNNAALFDKASHFSTSYGITSWLDAGIPPCKLVMGIPAYGRSWFLKNKVKNEPGAPVVATGPRQKMSNQTGMMAYSEIEELLKDPSSEFIYDNQTVISYFHSGGLWVSFDSPEVVECKIKFARHNRLLGYFLWPISFDDLHHTISRQASDVWLRNYKSSYKDDDGLEQAESPLEAPQEDAPTPSAAPSGSQHRLPMINNYQLGLYLSLCFLFT >Ma10_p31250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37452793:37455844:1 gene:Ma10_g31250 transcript:Ma10_t31250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPSDHASCGDLLCREDASVLTGDSPGGAVGLVEFPDESDESIAGLIAAETDYSPGFDYPDRFRSKSLDSVARQEAVAWILKVHVYYGFRPLTAYLAVNYLDRFLSSHRLPQNEWALQLLSVACLSLAAKLEETLLPSLLDLQVEGAKFIFEPRTILRMELLVLNALNWRLRSVTPFTFINFFVHKIDPAGKYARSLVSRVTEITLATTKASIICATDEVKDLTFVNPGIAASWCTGLIEEGIADCYQSLKQVIVDITRREPPMILPQLRVATPMNMGPSVSSSSSPPNKRRKLNNNC >Ma10_p31250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37452793:37455844:1 gene:Ma10_g31250 transcript:Ma10_t31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPSDHASCGDLLCREDASVLTGDSPGGAVGLVEFPDESDESIAGLIAAETDYSPGFDYPDRFRSKSLDSVARQEAVAWILKVHVYYGFRPLTAYLAVNYLDRFLSSHRLPQNEWALQLLSVACLSLAAKLEETLLPSLLDLQVEGAKFIFEPRTILRMELLVLNALNWRLRSVTPFTFINFFVHKIDPAGKYARSLVSRVTEITLATTKDIKFLSHRPSSLAAASIICATDEVKDLTFVNPGIAASWCTGLIEEGIADCYQSLKQVIVDITRREPPMILPQLRVATPMNMGPSVSSSSSPPNKRRKLNNNC >Ma07_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:20617680:20620784:-1 gene:Ma07_g17570 transcript:Ma07_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGGGEGLRVLGKYVLGRTLGEGNFGKVKYAKHVETGQAFAVKILDRSRVQSLNFSDQIKREIGTLKLLKHPSVVRLHEVSASKTKIYMVLEYVNGGELFDKIALKGRLSEREGRKLFQQLIDAVSYCHDKGVYHRDLKPENVLVHGKGNVKVSDFGLSALPQHVGNDGLLHTTCGSPNYVAPEVLKNRGYDGARSDIWSCGVILYVILTGSLPFDDRNLAVLCQKIFRGDTKIPRWLSPDAQDLLRRMLDPNPITRIDVAGIKAHDWFKQDYTPVIPIDDDDDDDDDDLVPYSEPSSTKMHNESDEKGTTPTQINAFQLIGMSSSLDLSGFFEKEDVSERKIRFTSNHSPKHLFEKIEHIVTEMGYQIHRGHGKLKVSHQIKSSKFPRISSSLSVAAEVFELSPSLYIVELRKSHGDSSLYRQLCTRLSGDLGVRKSQQHICKALSMPELNSFRGDIIEDREQGNDKIEYCNAIKR >Ma02_p08190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18619399:18620015:-1 gene:Ma02_g08190 transcript:Ma02_t08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARLDLPCAACRTLHRKCDHGCLLAPYFPADEPDKFASVHKVFGASNVVKMLQVVKEGMKEDAIKSMVYEAHARLQDPVYGCAGIVLCLQRCVEELQGQLRAVQEQVLEAHLQRDQLASALMGATEVDAFLYAHCSK >Ma03_p03410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2295369:2298933:-1 gene:Ma03_g03410 transcript:Ma03_t03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRGGKAPVPAKKKPEKVVNPLFEKRPKQFGIGGALPPKRDLHRFVKWPKVVRIQRQRRILKQRLKVPPALHQFTRTLDKNLATNLFKMLLKYRPEDRAAKKERLLKRAQAEAEGKTVEVKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGAIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEIRRKWGGGVMGSKSQAKSKSREKLLAKEAAQRMS >Ma09_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:19917453:19921016:-1 gene:Ma09_g19140 transcript:Ma09_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSNILGGSKGMAVKGTVVLMRKNTLDFTDFTASLLDGVQELLGQRVSLQLVSATVGDPKHGNRGVVGDPAYLDGFVSKLPSIAAGESTFDVTFHWQEKNGVPGAVIVKNRHASQFYLKSITLKDFPGKGRIHFVCNSWVYSADKYKYDRVFFANTAYLPGETPAPLKPYREDELLNLRGEDVTGQLQEWDRIYDYAYYNDLGNPDSDAALARPVLGGSSEYPYPRRGRTGRPPTKTDPNSESRLPLISLDIYVPRDERFGHLKMADFLTYALKALVQAVVPVLEAIADETPNEFDSFEDILKLYEGGLPVAKVPLLDELRDRIPFEMIRELFRTEGNQRLLKLPIPQIIEVNKYAWRTDEEFAREMLAGVNPVIIRRLEVFPPVSKLDPSKYGNQNSRITAAHIEHNLEGLTVDQALGGNRLFILDHHDALMPYVNRINSTASKIYATRTVLFLRDDSTLKPLAIELSLPHPDGEQHGAVSEVYMPEEAGVEGSIWELAKAYVVVNDSGVHQLISHWLNTHATMEPFVIATNRHLSVLHPIHKLLTPHYRDTMNINALARQILINAGGILEATVFPAKYAMEMSAVVYKNWNFVEQALPADLIKRGVAVKDSNNELRLLIKDYPYAVDGLAIWRTIETWVTEYCAIYYPNDAVLQADVELQAWWKEVREVGHGDKKDEAWWPQMQTVSELTQACTTIIWVASALHAALNFGQYPYAGYLPNRPTISRRFMPAPGTPEYEELKAHPDKAFLMTITSQLQTILGVSLIEILSMHSSDEVYLGQRDTPEWTTDQRALVAFNRFGSTLKRIEDEIIGRNGDESLKNRNGAAQVPYTLLFPTSERGLTGKGIPNSVSI >Ma04_p02920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2361443:2362211:-1 gene:Ma04_g02920 transcript:Ma04_t02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTADKETARRSLRRLRRICTLFRCLEGLAAAALLLSWSSSHLSVDLLRRLAAVLLGPRFVFILANAIVLLLLAESGRLSPSPASAASDGGGALYEEILEIRRRISDSLPPPKPAPTPEDAVFEDKAVCVETRALRRSRSGRTLRRQRARSELLRSETDVGRKKAEEETLAVEEDEVEDAEEFRRTIEAFIAKQAKFHREESMTAVVPGAEVNRTLVCSAGSPEPCNFEINKCQ >Ma03_p03070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2067034:2073305:-1 gene:Ma03_g03070 transcript:Ma03_t03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPSISADSPPRSARPPPMPAPDDEGRRDTPPSSVGAREIMDVDLDLDHPDSWPFDPLALIASPTSFPFPFPSPPSPLWLFEDRAFDASALIADCQRLLAGNSEATNGNTNLGDNKTVEVQLLAEDDSSALYLMKERMAQALRYLKESTDQHVLVQVWAPVRNGNRYVLTTSEQPFVLDPQSTGLLQYRTVSLRYIFSVDGDGDLGLPGRVFRQKMPEWTPNVQYYSSKEYPRLCHALNYDVRGTLALPVFEPSAQFCVGVVELIMTSQKVNYAGEVDKVCKALEAVNLKSFEIMKNPSVQICNEGRQAALAEILQTIAMVCEKYKLPLAQTWVPCRHRTILADGGGSKKSCSSFDGSCMGQVCMSTTDVAFHVIDAHLWGFREACVEHHLQKGQGVAGMSFALRRPCFSKDITKFCKIEYPLVHYARMFKLRSCFAICLQSSYTGNDDYLLEFFLPLECKTFGEQQALLKSMISLMTKCFHSLRPSIDVEPQEGKAFDLDVFAIEYQELEPKFINIVSYEGRHSESSEIKSNEGLDDPVHEENKVPEISEEHLTVNANVGKNGNIVVDTIGSASCSSSLINKKNKPLEKRRGKAEKTISLEVLQQYFCGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGADSAFNLTTLTGSLPVGVGSTTWTANVDELKQSKITKSLHLSERCKETSMRGPSYTEYPSTGLRESVDSHSNIQLEPGKDIPSSKSRSSSGQGSTSTPASEGSCHGSPINDTHECNQIVSSNLEAGTKPSLDATKPCARVPNAFVLCSTSDAILMEPQATIGGILIGDSGSAKDLNIICAPTREGCHDEDVAPVPAKPVQMQDLVTVTIKASYKGNIIRFRLPSTAGVVILKNEISKRLKMDVSMFDIKYMDDDREWVTLSCTADLEECIELSRQSGGNPIRLLVSDLHSNFGSSCESSRGR >Ma05_p25850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37665862:37672115:-1 gene:Ma05_g25850 transcript:Ma05_t25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILSGLLTEILIPVAAVVGIAFALVQWLLVSKVSLSPEKPAGSRDGVSDYLIEEEEGLNDHNVVVKCAEIQTAISEGATSFLFTEYQYVGIFMVAFAVLIFLFLGSVEGFSTKAQPCTYSKDKYCKPALANAGFSTLSFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDFTAMCYPLLISSMGIIVCLITTLFATDFFEIKVVKEIEPALKKQLIISTALMTIGIAIISWIALPSTFTIFSFGEQKKVKNWELFFCVAIGLWAGLVIGFVTEYFTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Ma06_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19115153:19118051:-1 gene:Ma06_g22640 transcript:Ma06_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSIDGLRTREICPRVGSPVALRSALPTKRSDHVVQTRWEVEALEAA >Ma07_p25500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:32539607:32545779:1 gene:Ma07_g25500 transcript:Ma07_t25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g29660 [Source:Projected from Arabidopsis thaliana (AT4G29660) UniProtKB/Swiss-Prot;Acc:Q94K18] MASRLWKMYADRQFYKWEKTVLWDLIEPYRRPKSFTPLISIYVAAFYTGVIGSAITEQLYKEKYWEEHPGEAVPIMRPKFYWGPWKVYQGGELPPNM >Ma04_p28860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29862376:29869267:-1 gene:Ma04_g28860 transcript:Ma04_t28860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDGLKEAVDMDALLKEAVDLENIPLEEVFENLRCTREGLTTQQAEERLAIFGHNKLEEKKESKILKFLGFMWNPLSWVMEAAAVMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWKEEESAILVPGDIISIKLGDIIPADSRLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIVVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEIFTKGVSQDTVILMAARASRTENQDAIDTAIVGMLADPKEARAGVQEVHFLPFNPTDKRTALTYIDNEGKMHRVSKGAPEQILNLAHNKSEIERRVHAVIDKFADRGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPGILTVLSFRQVSHYFFSWVAMYMFFLLPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAMMTVIFFWAAYKTNFFPRIFKVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVTAFLVAQLIATLIAVYADWSFSAIKGIGWGWAGVIWLYNIIFYFPLDIIKFLIRYALSGRAWDLVIEQRIAFTRQKDFGKEARELKWAHAQRTLHGLQPPDTKMFGDRSSVTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Ma04_p28860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29862376:29869647:-1 gene:Ma04_g28860 transcript:Ma04_t28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDGLKEAVDMDALLKEAVDLENIPLEEVFENLRCTREGLTTQQAEERLAIFGHNKLEEKKESKILKFLGFMWNPLSWVMEAAAVMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWKEEESAILVPGDIISIKLGDIIPADSRLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIVVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEIFTKGVSQDTVILMAARASRTENQDAIDTAIVGMLADPKEARAGVQEVHFLPFNPTDKRTALTYIDNEGKMHRVSKGAPEQILNLAHNKSEIERRVHAVIDKFADRGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFATGIILGGYLAMMTVIFFWAAYKTNFFPRIFKVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVTAFLVAQLIATLIAVYADWSFSAIKGIGWGWAGVIWLYNIIFYFPLDIIKFLIRYALSGRAWDLVIEQRIAFTRQKDFGKEARELKWAHAQRTLHGLQPPDTKMFGDRSSVTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Ma09_p00690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:469930:471466:1 gene:Ma09_g00690 transcript:Ma09_t00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDIGLAGELVGGGTEGEKTAAEMSAIMERIRLEDEESRVQAAGEIRRLTKTSSKHRRQLSDAIEPLVSLLRSGGPESGEAAILALLNLAVGDERNKIKIVEAGALQSLLHLLQSTNSSLQEYATAAVLTLSASPINKPRISASDAIPLLVKILGDGNPQAKNDAVRALYNLSAIAENLKVILPLQPVPSLINLLKTSKKSSRTAEKCSALLESLVNFEEGRTALTDAEGGVLTVIQVLEEGSLRSREHAVGTLLTMCESDQYCRYRELVLNEGVIPGLLQLTVQGTPKSQVKARMLLQLLRSSRHHKSELPADTIDSSVCSIVSKIDSADQTRKAKKMLAEMVQISMEMSLRHLQQRASI >Ma03_p24530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28831106:28832146:-1 gene:Ma03_g24530 transcript:Ma03_t24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRESWRKSAGSLAGKEARRNRKNSLDPDDFRDVFGGPPKSVLLRRFAGDLSAYRRTRPSPLSDEVLQPSAGTRRTSVRTETGFYDDIFGSHGDLRSARGSRSKSSESPSILSSEHVSPSIRGESTPADVVLSSSLAYKLRPIAAPRCHKSSSPLSANSREDHSNRSSITMQRPSRSFCNLFAQQSCFSYRETSNLEASFRGRHEKPLRCDSSATESPASGMSSVIFDHPLLAEAEAEAEAEDVMMEEEAAECSFSIEVGGHDMQESIDEAIAWAKEKFWN >Ma05_p29320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40023803:40029318:1 gene:Ma05_g29320 transcript:Ma05_t29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGFGWAAAFPDEVWEHVFSFLAADADRNAVALVCRAWHRIERRSRRRVFVGNCYAVAPAAAVRRFPEVRAAAIKGKPHFADFNLVPTDWGGGAEAWVEAMAEGWPHLEELRLKRMVVSDDCLELIARSFKNFGVLSLVSCDGFSTAGLAAIAANCRNLRELDLHENEVEDNCLNWISHFPESFTSLVSLNIACLEGDVNTSVLECLISRCPNLRTLRLNHAMPLEKLVSLLQRAPQLMDLGTSKFSADHHPELFFKLESTFAGFKHLKSLSGIWEAGPAYLPAIYSVCESLTSLNLCYATIQSPELFKLVSRCKNLQRLWVMDLIEDNGLIAVAASCKLLQELRVFPSDPYGVAPPISLTEHGLVAISAGCLMLHSVLYFCRQMTNAALLTIANNRPNLTCFRLCIIEPHTPDYISQEPLDAGFSAIVESCKDLRRLSLSGLLTDRVFKSIGASANRLEMLSVAFAGDSDAGLHYILSGCKNLRKLEIRDCPFGDKALLDNASKLETMRSLWMSSCSVTLGACRQLALKMPRLNVEVIDERRGRPLESWPDDFPVEKLYIYRTVAGPRSDTPPCVWTV >Ma05_p31470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41372638:41373977:-1 gene:Ma05_g31470 transcript:Ma05_t31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPFFDELKKQASYFLKEKVRAARLALTDVTPAELLTEEATDSDNPWAPDAMTMSRISRAAFEIDDYWRIVEILHKRFSKFDGKNWREPYKALILVEHLLTHGPESVSEEFQCDREVIQGIGNLRCIDEKGFNWGLQVKNKAERVLKLLEKGPLLKEERARARKISRGIQGFGSFNLSWPSSHATGAASCDYARSNSHSEDYTRREKNPILDVGKERSNSDTGTRAQLKKTRATYQATKEESKSIGATDGHLAEEPKLSSCEEGRKVSSQKEDHPFSNSDHETTSLLLMSQS >Ma11_p11980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15629309:15632697:-1 gene:Ma11_g11980 transcript:Ma11_t11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGKGSTLVHILAVALCLTAFGFAIAAERRRSTGTIVTDIRNATYCVYDSDVATGYGVGAFLFLLSSQSLIMGVTKCMCFGKPLAPGGNRAWSIIYFASSWLTFLIAEACLTAGATKNAYHTKYRHMVYAQNWTCESLRKGVFIAGAVFVVFTMILNVYYYMYFAKATSQSARKTNKASSTVGMASYA >Ma04_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11450221:11451103:-1 gene:Ma04_g15120 transcript:Ma04_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKVVHFIWLTLHDFLLLIRCRHGEIIRGNLDKELKERERERDERERERERSA >Ma09_p20480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29458783:29462589:1 gene:Ma09_g20480 transcript:Ma09_t20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPQSTRQTTCEAESKGVRKTVCLACLLPLFLIPVVNALPLLFDVIVGKIYHLFGWEYRKPERVPPACPYKPASKKNGDLNETENQVEPPKSATESSDKKD >Ma03_p16300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:18545965:18546980:-1 gene:Ma03_g16300 transcript:Ma03_t16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFIAVQCCRCSTMQVKQQKKSGNNWVCSVCNQRQSVRRIHARGHVASDLRSFVQAFNMARADIGSHGSDPVPPRSDGPRALSAAPDAREAVAHGSPFSTAKKRTDWSKYLDPTHEETDDDDAKGGGEQRVVPLESALATELPPEKSRRSSIPNCTRRAQLSAAGTDNCFGFKPSLAKRKRLQAALEDPTDAESTEMGGGKVAAALQGKKSGSSKSSEYLEEGDIQRLLAEEEVHPDFL >Ma07_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30725821:30728690:-1 gene:Ma07_g22950 transcript:Ma07_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRVPLFQIAATLLLASAVAAAALNGTATMSKPGCPDKCGDVDVPYPFGIGTNCSMQGYDITCGGTADHPRAFISTGNIEIVRISLVDHELTVRIYMAKWCYDRAFHANTSVDLPYLFSATRNKFTVVGCATLAYIGGQNDDTHSYASGCISICHEESSVSEGPNCDGLGCCQTSIPTEFNVFNTYFDFNFDKTNVRSFSPCSYAFLADQDWFQFDKSKLSEDFGKNNNDQSPAVMDWAIRSPSSCPDAQAQPETYACRGGNTTCLNSTNGDGYRCMCSDGFTGNPYLVDGCQDIDECQLPQLYPCYGVCTNTPGGYNCTCPPGTLGNASEYNCTEIPSKFPAPARLVVGFSALFVAVALMLILATHMTVRAGCSAIIVALGALISCVTIAIQKSKHKREREMFFRKNGGFKLYEEILSKRVDTIQVFTEEELQRATDNFDDKRIIGCGGYGLVYRGILDDHRIVAIKKSKKVDERQKDEFINEIIVLSQVNHRHIVRLLGCCLELDVPMLVYEYISNGSLFDVLHHDRSASRLSLQARLTIAEQTAEALAYLHSGTSRSITHGDVKSHNILLGTDLSAKVSDFGASHLVPVDEDEFIMFVQGTLGYLDPECMQTHRLTDRSDVYSFGVVLLELITGKKAIYTDAAGEKRSLATSFLAKVKEQRLKDILDDKMVEEGGEQLLGEVAAIAKECLSVKGEERPSMKEVVEGLHSLRRLRLLPREEYDRGEIEMVQVEETTRETETGSSAYHALHMNTGR >Ma05_p05220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3986439:3986709:1 gene:Ma05_g05220 transcript:Ma05_t05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKSSKRCSATTHTNVARTKWHWNRIEWSNMKTHEFAQPSKSNPLIAVSVHDSQ >Ma04_p24360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26375131:26378416:1 gene:Ma04_g24360 transcript:Ma04_t24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSSRPTQSSMEIQIHCPAPATKLPRKVCAPEEVTLLLRILALLLLLLVVMSIATTSMDDERLRSWRFRPREDDDDSMAYQPPADLMHNMSDGELFQRAMDVSQLSRHRLGMVPKIAFMFLTKGALPLSPLWEKYFAGHSGLYSIYIHPRPSYHADNASSSVFYRRQIPSKVVNWGSLSLVDAERRLLANALLDLSNERFVLLSESCIPLFNFSFTYQHLMSSRYSFVDAFDEPGPAARGRYQPKLAPEINVTEWRKGAQWFEASRQVAVIVVNETHYYAKFDELRDVMYLQDEHYIPTILTIKAPHLIANRTLTWAYWTGGPHPETFGKDDVSDGFLRKINQEKNCSYNDQPSTVCYLFARKFAPSALEPLLKLAPTSLGFG >Ma09_p19170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:20888576:20905685:-1 gene:Ma09_g19170 transcript:Ma09_t19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRNVALATGLLAFAAAGLLFPFFLVTSRSKPIIDASKPLPPQATFRGPYVNTGSHDIGPDTTTYTKK >Ma09_p07090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4564384:4571516:1 gene:Ma09_g07090 transcript:Ma09_t07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLVPSFTKKTEKPDHYKMFNARSESIKEKASFRRLVPTNRCLVAVEGFYEWKKDGSKKQPYYIHFKDNRPLVFAALYDAWKNSEGDILYTLTILTTSSSSALQWLHDRMPVILRNEGSVDVWLNKAIPEFETVLRSYEDADLVWYPVTTAVGKPSFDGSECIKEIQLSSADRNPISKFFAKKTDDKDQMEVKHGKSLKESPKKEIFDIAAELSISSEESPQGDHFDDLKEHLEFNTHANADESDHFSLLKNPSIEPEICGTKRGSGAIAPDSGLTSEKGSKPKKKARPVKNTGDKQASLLSYFEKA >Ma09_p07090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4564292:4571516:1 gene:Ma09_g07090 transcript:Ma09_t07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRTRCTLNTDQIARACGLTVNAASIRTHEIDRYRPSYNVSPGAYLPVVLVERATGEAEESPAIRCMKWGLVPSFTKKTEKPDHYKMFNARSESIKEKASFRRLVPTNRCLVAVEGFYEWKKDGSKKQPYYIHFKDNRPLVFAALYDAWKNSEGDILYTLTILTTSSSSALQWLHDRMPVILRNEGSVDVWLNKAIPEFETVLRSYEDADLVWYPVTTAVGKPSFDGSECIKEIQLSSADRNPISKFFAKKTDDKDQMEVKHGKSLKESPKKEIFDIAAELSISSEESPQGDHFDDLKEHLEFNTHANADESDHFSLLKNPSIEPEICGTKRGSGAIAPDSGLTSEKGSKPKKKARPVKNTGDKQASLLSYFEKA >Ma09_p16710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12130791:12137202:1 gene:Ma09_g16710 transcript:Ma09_t16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MSRLPLPSPARTEATTCGSLLQELQDLWDEIGENDRERDRTILQLEQECLDLYRRKVDQTRKHKAELHQMLADGEAEVSNLISILGERETFVRLEKKTGTLKEQLAMIKPLLDDLGQKKEQRIKEFLDVQLQIVRICAEIAGSIHQGSSTSAQVDERDLTLKRLGELKCQLHELQKDKNLRLQKVDAYIKLIQDLSLVLSVDFYKMISGVHPSFGDSSNNLTESISNDTLARLAGIVHSLEQEKLQRLQKLQNLGSTLVELWNLMDMPMDEQKRFDHVTCLISSSVDSVLGKGCLALELIDQAEHEVERLNVLKASKMKELVLKKQNELDKIYRSVHMDVDGEGARETLIGLIDSGKVDLAELLSRMDNQTVKAKEQALSRKDILEKVEKWTFASEEENWLDDYEKDENRYAAGRGVHKNLKRAEKARILVNKIPSLVENLASKIKTWENEKGMPFMYDKVRMLDSLENYVKLRQQREEEKRRFRERKKLQEQFATEQEALFGSKPSPMRQFPTKKPLGQSSSANIACGTPTGRRVSTPFGRQGIMSSGKEKKGGKGSVVTPDNYVSLQKDDSVSHNSSIL >Ma05_p06230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4637851:4638725:-1 gene:Ma05_g06230 transcript:Ma05_t06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAMAGGRRRGAVGLSIDGAEEAPEERVGRLIRENPVVIFSRRGCCMSHVMKRLLAAVGAHPAAIELEEADEEAAAAAAGGAGLPTLFVGGAAVGGLEGLMSLHLRGRLVPMLLEAGAVR >Ma03_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6452977:6456296:-1 gene:Ma03_g08780 transcript:Ma03_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRWSLQLFDVMLVVIAGIVFWELGRRRRTRAAAGRLPPGSVGLPVVGETLRLIAAYKTEDPEPFIDERVRRHGRLFTTHVFGERTVFSADPEFNRMVLGAEGRAVECSYPSSISTLLGAHSLLLMRGARHKRMHSLTLTRLASPAAIRDAALLPDIDRLVRRTLDSWSSSPSSSPSRVLLLDQAKKITFELTVKQLVSFDPGEWTESLRREYLLLIEGFFSIPFPSFLSFTTYGRALKARKKVEAALKEVIRKRKQEKAAAGATMTTAAGGDKQRKDMLEELMDGGDEEEGMTEEETVDFLLALLVAGYETTSTIMTLAVKFLTDLPHALALLREEQEGIRAKKGDDEEQPLSWSDYKSMPFTQCVINETLRVANIISGVFRRAMTDIHFKGYKIPKGCKVFISFRAVHLDPEYFEDARTFNPWRWQNNEVAQLQQTGGAGVYTPFGGGSRLCPGYELARVVISVFLHYLVTGFSWEEAERDRLVFFPTTRTLKGYPINVQRREKERRG >Ma03_p08780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6452977:6456297:-1 gene:Ma03_g08780 transcript:Ma03_t08780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRWSLQLFDVMLVVIAGIVFWELGRRRRTRAAAGRLPPGSVGLPVVGETLRLIAAYKTEDPEPFIDERVRRHGRLFTTHVFGERTVFSADPEFNRMVLGAEGRAVECSYPSSISTLLGAHSLLLMRGARHKRMHSLTLTRLASPAAIRDAALLPDIDRLVRRTLDSWSSSPSSSPSRVLLLDQAKKITFELTVKQLVSFDPGEWTESLRREYLLLIEGFFSIPFPSFLSFTTYGRALKARKKVEAALKEVIRKRKQEKAAAGATMTTAAGGDKQRKDMLEELMDGGDEEEGMTEEETVDFLLALLVAGYETTSTIMTLAEEQEGIRAKKGDDEEQPLSWSDYKSMPFTQCVINETLRVANIISGVFRRAMTDIHFKGYKIPKGCKVFISFRAVHLDPEYFEDARTFNPWRWQNNEVAQLQQTGGAGVYTPFGGGSRLCPGYELARVVISVFLHYLVTGFSWEEAERDRLVFFPTTRTLKGYPINVQRREKERRG >Ma10_p12380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25503514:25506033:-1 gene:Ma10_g12380 transcript:Ma10_t12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPDSHVLLIASFILLFPISSTGSSPPTTTIPAAGRTGEGASPTPTPWPPQFHSLLYINYSGALSFVDLWYDWPNSRNFNIIQHQLGELLYDLEWGNGTSFYYTLDGNCRCRTVDFDVGILRPDWLDGATYLGREAVDGFICDVWEKAQFIWYYEDVETRRPVHWLFYTGRSVHVMTFEVGAALEDAKWQAPAYCFDKDEEEDREYQSGPSQMLARSLKGLSQYDM >Ma11_p24190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27272322:27276218:1 gene:Ma11_g24190 transcript:Ma11_t24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIRENIAIVRLSKLTRYANPEPKVLTLCDLGRRREAIRSLLSDPSAPALPSSAYSSLLQLCIDSGAKEDGVSLHVHLLSAGHAPDLHLSTKLIIFYAKFGELAAARRVFDDMPERSVVSWTALVSGYSRNGRAEEALEVFSNMRSSGLKGNQFTYGSALRACTSLGCFGSGQQVHGCIAKSRFQDDLFVQSALVDMHLKCGSVDEALRLFGRMNKRDVVAWNSIVGGCAVRGLGDDAFGAFCLMIRDGMRPDRFTYASVLRASGVLRSPIYVNQIHASIVKLGHGSHSVVSGSLIDAYAKCRSLCQAQLLYDSMVDRDLISCTALVSGYALDKSCSWKAFEIFRGINHMGMRIDDVMLSCILNVCANVPSLSFGRQIHAHMLKEHPDSDVALGNALIDMYAKSGELQDACHAFYEMRHKNVISWTSLITGYGKNGCGEGAITLFSKMEDDGVKPNDVTFLALLFACSHSGLISKGLEYFHLMVSKYQIVPRVEHYSCAVDLLARGGQLKEAYDLVCKMNIRPNASLWGAMLGACRMYGDMNLGEVAAGYLLSLYPERSVNYVVLANVYTAAGLWESALKMREMMEQRSTKKDAGHSYI >Ma09_p08740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:5796317:5804554:1 gene:Ma09_g08740 transcript:Ma09_t08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDVRILRPLVHLLVPLFIHWIAEEMTVSVLVDVTTGALCPGERSCAEAIYITGLQQTVVGVFKMVVLPILGQLADEYGRKPLLLITISTSIVPFAILAWDDSRAAVYWYFVLRTISYIISQGSILCISIAYAADVIEVGKRSAAFGWITGLFSAAHVLGNILARFLPEGWIFEVSICLLVCSTLYLKIFLVETVMVSPRQSENRSCSSIVLKVFVERWYSMKDNIFVINSSATLKCISSISFFYELGMSGISSVLLYYLKSAFGFNKNQFSEILLMVSFGSIFSQILVLPLINPLMGEKGVLLIALGASIAYGMLYGLAWAPWVPYLSASFGVIYVLVKPSTYAIISKAAISSDQGKAQGLIAGVQSVASFLSPLVMSPLTSLFISGKAPFNCKGFSILVASVSLIISLAHACLLNSENPDKPPEHETSQCGDETVEAPLLAQS >Ma01_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11648187:11649123:-1 gene:Ma01_g16040 transcript:Ma01_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVISSESVIPVQPFRHNEYERFITTAFPYYGASFSTMVGFFVVTIFYLYNK >Ma04_p07990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5759387:5759615:-1 gene:Ma04_g07990 transcript:Ma04_t07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAACVANCHEVIQIHVEKEEDTPHQCKSTMYRFSTLHHPTRPIVCSQRD >Ma10_p16280.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28039659:28042964:-1 gene:Ma10_g16280 transcript:Ma10_t16280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVEHDENVGEEEGSANEFTEDNDTAVDNELHNDKASHDENGKEKEQFAVSDPRDKLEPRVGMEFDDDEQAYQFYNEYARRVGFSIRKQHLTKNKSGQVTFRRFVCYREGYYRNRPFDKDAKILRTDGRTGCNAHIGIKRLKSGKFCVHRFEPEHNHKLASSKKVHLLRSQRQADETQMSRAHAAVMAGMAPKPTLDLLSNNVGSDKSKNYFHGFRQHEMKVGDAGAMLHYLQKKAAEDQRFYSAIQLDMRDRITNVFWADSRSKIDYEHFGDVLCLDMTYKPNGYDRPFVQFLGVNHHTQTVIFGAAFLYADTVESFKWLFETFKEAMYGKVPHLILTDEDAAIIKAKDEIWPNTMYRHCAWHIYTSAAKNLSYVFQATPSFAIDLSKCLYDCEDEDEFVSEWERMLTQYNLTNNVWLSKQFEDRRKWALVYGRDIFSADIKSALRSEHMHSVLKTHLNAEKDLMSFFKVYERLVEDRRYNELQADIHASQSVFEVPLSRLLKQVASIYTPKVYEMFVKEFKLYVDCVIQCCGDDGNYYEVTNLEKQTKSYVRYDPAEDTLKCSCKKFEAIGILCSHAMKVLDYKNIKEIPEKYIIKRWRKDVKDGVLINKYDGTNEIDPTVAIANRYASLGRVYLHIIAKGSKCQEAYDIAIEEAEKLTRKVDLSLQNRAFDMSLSESSEDQLQDCVEVHAVRANKEAGRLTNQGASSFRNNNKQRRQRRVLSAEERTTKKRVAYCRSI >Ma10_p16280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28038117:28042964:-1 gene:Ma10_g16280 transcript:Ma10_t16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVEHDENVGEEEGSANEFTEDNDTAVDNELHNDKASHDENGKEKEQFAVSDPRDKLEPRVGMEFDDDEQAYQFYNEYARRVGFSIRKQHLTKNKSGQVTFRRFVCYREGYYRNRPFDKDAKILRTDGRTGCNAHIGIKRLKSGKFCVHRFEPEHNHKLASSKKVHLLRSQRQADETQMSRAHAAVMAGMAPKPTLDLLSNNVGSDKSKNYFHGFRQHEMKVGDAGAMLHYLQKKAAEDQRFYSAIQLDMRDRITNVFWADSRSKIDYEHFGDVLCLDMTYKPNGYDRPFVQFLGVNHHTQTVIFGAAFLYADTVESFKWLFETFKEAMYGKVPHLILTDEDAAIIKAKDEIWPNTMYRHCAWHIYTSAAKNLSYVFQATPSFAIDLSKCLYDCEDEDEFVSEWERMLTQYNLTNNVWLSKQFEDRRKWALVYGRDIFSADIKSALRSEHMHSVLKTHLNAEKDLMSFFKVYERLVEDRRYNELQADIHASQSVFEVPLSRLLKQVASIYTPKVYEMFVKEFKLYVDCVIQCCGDDGNYYEVTNLEKQTKSYVRYDPAEDTLKCSCKKFEAIGILCSHAMKVLDYKNIKEIPEKYIIKRWRKDVKDGVLINKYDGTNEIDPTVAIANRYASLGRVYLHIIAKGSKCQEAYDIAIEEAEKLTRKVDLSLQNRAFDMSLSESSEDQLQDCVEVHAVRANKEAGRLTNQGASSFRNNNKQRRQRRVLSAEERTTKKRGRKGRSDDGLAGSVASMPVRTQPHLAVSSNQYDHWPSVRCLSLQYPSNGQESLVSNSPQPPFGIINQLHQNESTCIMFSQSPVGSTFPVEPSDRS >Ma10_p16280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28038117:28042964:-1 gene:Ma10_g16280 transcript:Ma10_t16280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVEHDENVGEEEGSANEFTEDNDTAVDNELHNDKASHDENGKEKEQFAVSDPRDKLEPRVGMEFDDDEQAYQFYNEYARRVGFSIRKQHLTKNKSGQVTFRRFVCYREGYYRNRPFDKDAKILRTDGRTGCNAHIGIKRLKSGKFCVHRFEPEHNHKLASSKKVHLLRSQRQADETQMSRAHAAVMAGMAPKPTLDLLSNNVGSDKSKNYFHGFRQHEMKVGDAGAMLHYLQKKAAEDQRFYSAIQLDMRDRITNVFWADSRSKIDYEHFGDVLCLDMTYKPNGYDRPFVQFLGVNHHTQTVIFGAAFLYADTVESFKWLFETFKEAMYGKVPHLILTDEDAAIIKAKDEIWPNTMYRHCAWHIYTSAAKNLSYVFQATPSFAIDLSKCLYDCEDEDEFVSEWERMLTQYNLTNNVWLSKQFEDRRKWALVYGRDIFSADIKSALRSEHMHSVLKTHLNAEKDLMSFFKVYERLVEDRRYNELQADIHASQSVFEVPLSRLLKQVASIYTPKVYEMFVKEFKLYVDCVIQCCGDDGNYYEVTNLEKQTKSYVRYDPAEDTLKCSCKKFEAIGILCSHAMKVLDYKNIKEIPEKYIIKRWRKDVKDGVLINKYDGTNEIDPTVAIANRYASLGRVYLHIIAKGSKCQEAYDIAIEEAEKLTRKVDLSLQNRAFDMSLSESSEDQLQDCVEVHAVRANKEAGRLTNQGASSFRNNNKQRRQRRVLSAEERTTKKRGRKGRSDDGLAGSVASMPVRTQPHLAVSSNQYDHWPSVRCLSLQYPSNGQESLVSNSPQPPFGIINQLHQNESTCIMFSQSPVGSTFPVEPSDRS >Ma10_p16280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28038117:28042964:-1 gene:Ma10_g16280 transcript:Ma10_t16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVEHDENVGEEEGSANEFTEDNDTAVDNELHNDKASHDENGKEKEQFAVSDPRDKLEPRVGMEFDDDEQAYQFYNEYARRVGFSIRKQHLTKNKSGQVTFRRFVCYREGYYRNRPFDKDAKILRTDGRTGCNAHIGIKRLKSGKFCVHRFEPEHNHKLASSKKVHLLRSQRQADETQMSRAHAAVMAGMAPKPTLDLLSNNVGSDKSKNYFHGFRQHEMKVGDAGAMLHYLQKKAAEDQRFYSAIQLDMRDRITNVFWADSRSKIDYEHFGDVLCLDMTYKPNGYDRPFVQFLGVNHHTQTVIFGAAFLYADTVESFKWLFETFKEAMYGKVPHLILTDEDAAIIKAKDEIWPNTMYRHCAWHIYTSAAKNLSYVFQATPSFAIDLSKCLYDCEDEDEFVSEWERMLTQYNLTNNVWLSKQFEDRRKWALVYGRDIFSADIKSALRSEHMHSVLKTHLNAEKDLMSFFKVYERLVEDRRYNELQADIHASQSVFEVPLSRLLKQVASIYTPKVYEMFVKEFKLYVDCVIQCCGDDGNYYEVTNLEKQTKSYVRYDPAEDTLKCSCKKFEAIGILCSHAMKVLDYKNIKEIPEKYIIKRWRKDVKDGVLINKYDGTNEIDPTVAIANRYASLGRVYLHIIAKGSKCQEAYDIAIEEAEKLTRKVDLSLQNRAFDMSLSESSEDQLQDCVEVHAVRANKEAGRLTNQGASSFRNNNKQRRQRRVLSAEERTTKKRGRKGRSDDGLAGSVASMPVRTQPHLAVSSNQYDHWPSVRCLSLQYPSNGQESLVSNSPQPPFGIINQLHQNESTCIMFSQSPVGSTFPVEPSDR >Ma10_p16280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28039659:28042964:-1 gene:Ma10_g16280 transcript:Ma10_t16280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVEHDENVGEEEGSANEFTEDNDTAVDNELHNDKASHDENGKEKEQFAVSDPRDKLEPRVGMEFDDDEQAYQFYNEYARRVGFSIRKQHLTKNKSGQVTFRRFVCYREGYYRNRPFDKDAKILRTDGRTGCNAHIGIKRLKSGKFCVHRFEPEHNHKLASSKKVHLLRSQRQADETQMSRAHAAVMAGMAPKPTLDLLSNNVGSDKSKNYFHGFRQHEMKVGDAGAMLHYLQKKAAEDQRFYSAIQLDMRDRITNVFWADSRSKIDYEHFGDVLCLDMTYKPNGYDRPFVQFLGVNHHTQTVIFGAAFLYADTVESFKWLFETFKEAMYGKVPHLILTDEDAAIIKAKDEIWPNTMYRHCAWHIYTSAAKNLSYVFQATPSFAIDLSKCLYDCEDEDEFVSEWERMLTQYNLTNNVWLSKQFEDRRKWALVYGRDIFSADIKSALRSEHMHSVLKTHLNAEKDLMSFFKVYERLVEDRRYNELQADIHASQSVFEVPLSRLLKQVASIYTPKVYEMFVKEFKLYVDCVIQCCGDDGNYYEVTNLEKQTKSYVRYDPAEDTLKCSCKKFEAIGILCSHAMKVLDYKNIKEIPEKYIIKRWRKDVKDGVLINKYDGTNEIDPTVAIANRYASLGRVYLHIIAKGSKCQEAYDIAIEEAEKLTRKVDLSLQNRAFDMSLSESSEDQLQDCVEVHAVRANKEAGRLTNQGASSFRNNNKQRRQRRVLSAEERTTKKRGRKVAYCRSI >Ma10_p18580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29455972:29459048:1 gene:Ma10_g18580 transcript:Ma10_t18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVSLQNIKDFWNSQVNDEANWAVNSKLLRATCLFAGSIFIMRNFGNLMAV >Ma11_p23350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26722286:26725946:-1 gene:Ma11_g23350 transcript:Ma11_t23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKLNLRVVLFALLSLLCLSTVKAEDPYLFFTWNVTYGTASPLGVPQQVILINGQFPGPNINSTTNNNIVINVFNHLDEPFLFTWNGIQHRKNSWQDGMPGTNCPIAAGTNYTYHFQVKDQIGSYFYFPSVGMHRAAGGFGGLRVNSRLLIPVPFDDPADDYTVLIGDWYTKSHKVLAQLLDAGRSIGNPAGVIINGSPGKDAAGKDDAPLFTMEAGKTYRYRICNVGLKVSLNFRIQSHLMKLVEMDGSHTVQNDYKSLDIHVGQCFSVLVTANQEPKDYYMVASTRFTKYMRTATGIIRYAGSSVPPSPELPAGPVGWAWSFNQWRSFRWNLTASAARPNPQGSYHYGSINITRTIKLASSVGLVNGKRRFALNGASHVESPTPLKLAEYYGVADKVFKYDTIGDEPPESSATITVAPNVLNATFRDYIEIILENPERSIQSYHLDGYSFFAVGMGHGKWTPASRRSYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWSLRSELWERHYLGQQLYISVVSPARSLRDEYNIPDNTLLCGVVASLPKPPPYV >Ma11_p23350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26722286:26724150:-1 gene:Ma11_g23350 transcript:Ma11_t23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVLFALLSLLCLSTVKAEDPYLFFTWNVTYGTASPLGVPQQVILINGQFPGPNINSTTNNNIVINVFNHLDEPFLFTWNGIQHRKNSWQDGMPGTNCPIAAGTNYTYHFQVKDQIGSYFYFPSVGMHRAAGGFGGLRVNSRLLIPVPFDDPADDYTVLIGDWYTKSHKVLAQLLDAGRSIGNPAGVIINGSPGKDAAGKDDAPLFTMEAGKTYRYRICNVGLKVSLNFRIQSHLMKLVEMDGSHTVQNDYKSLDIHVGQCFSVLVTANQEPKDYYMVASTRFTKYMRTATGIIRYAGSSVPPSPELPAGPVGWAWSFNQWRSFRWNLTASAARPNPQGSYHYGSINITRTIKLASSVGLVNGKRRFALNGASHVESPTPLKLAEYYGVADKVFKYDTIGDEPPESSATITVAPNVLNATFRDYIEIILENPERSIQSYHLDGYSFFAVGMGHGKWTPASRRSYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWSLRSELWERHYLGQQLYISVVSPARSLRDEYNIPDNTLLCGVVASLPKPPPYV >Ma11_p13400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17739556:17743164:1 gene:Ma11_g13400 transcript:Ma11_t13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPNKNRHEICKYLFQEGVLYAKKDYNLGKHPEIDVPNLQVIKLMQSFKSREYVRETFAWQHYYWYLTNDGIEYLRTFLNLPSEIVPATLKKSSRPPPTRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRAGPPGELGDKGGAPAEFQPSFRGVGGRTGFGRGGGGYGPGYNLLAVGVAYAEMEGRLELTVVYGQNLVAI >Ma11_p13400.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17739566:17743164:1 gene:Ma11_g13400 transcript:Ma11_t13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPNKNRHEICKYLFQEGVLYAKKDYNLGKHPEIDVPNLQVIKLMQSFKSREYVRETFAWQHYYWYLTNDGIEYLRTFLNLPSEIVPATLKKSSRPPPTRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRAGPPGELGDKGGAPAEFQPSFRGVGGRTGFGRGGGGYGPVFSFLSRSCLSFLLRIERVFTLRNAERLQLVSCWCGVC >Ma06_p25370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:25671494:25673844:1 gene:Ma06_g25370 transcript:Ma06_t25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLDILLGRTSRQTSKLKTFLGLTVSRLAVLRNHRQVRRDQARGDVVQLLQLGHVDRALLRVEHVIKEQNMLDVFVMIEHYCHLLTERAPLLDRKECPEELREAISSLVFAASRCAELPELDKARGIFSSRYGKELVSAAVELRNNCCVDPKMIQKLSTRQPSLEIRHRVIKEIAAEIGIKLEFSESSETAEGNSMVSPPTHLNLEQLESDDNPAFRSHQKYDDVASAAQAAFESAAFAAAAARAAVELCRSESQEKGSADESKAGSQKRSDINGSESVEIENSADSKNFEKIHHDQNSGSDSDEGMKAKQDDLLHKERIRERFEMQLQRSSSSISDASDEDNAGSSEQHSAGFRGKNILFDESDNEEEKDRGLGTNLPYASHEELSPAGRIGYVVQAQRQFDASLDDSKPGKHQIYYRRNETRNSEMNNNSLHEETHKVGSRSGTESNYSTYNNAEKTYLDSSSTMRPLNLSSGKKPISVRTRRGL >Ma05_p15010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:11046397:11049807:-1 gene:Ma05_g15010 transcript:Ma05_t15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVMVMPAGSRLRVHSGASAVPSSAGSGRGGGARGVPEIDGGDQVSSWSSSLSPPFLRWPARITSASNPFVKHCVKLRLSSVYRRSCGSALVVGLTPILEICRFQQLKGNDDSMVDCLLLLDGADTLGGFHHFSAPVVHVSPIVMKKVSGMQSIDSIEAIALMRLPGTFLNLEENDEICQRWFPSSHRLLVLDGIQDPGNLGTLIRSAMAFKWDGAFLLPNCCDPLNEKALRASRGASFQLPVVSGTWSHLMTLKTKNKMKMLAGHPGSSADGSNTTRSLSHELAESLAGEPLCLVLGSEGHGLSKQTVQACKLVSIPMAGGFESLNVSVAGGIFLFMLQPETRR >Ma10_p08510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22841240:22842711:1 gene:Ma10_g08510 transcript:Ma10_t08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLARDPSALAYAIKCSCENKAEVVSLDEKETTLNLGHTFGHVSLQSTSNFIILSSVSKTIYLIIMLPYFLPFLLSRVQGCHFQGTV >Ma06_p22380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17918852:17922475:-1 gene:Ma06_g22380 transcript:Ma06_t22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDATPFKHWYLQHYGVEIGRKKKAPAAAKKEATEVPEGEAAAEEAKKSNHVTRKLEKRQQGRTLDPHIEEQFGAGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAGAAA >Ma07_p03610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2764733:2767570:1 gene:Ma07_g03610 transcript:Ma07_t03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKFRVIEQVLGFFESVLMSCFFGCFRIKDDARRKTDSCANSISSEDRVSLVSTKELASDSLFREQEAYSCVVENIEESSFQKDLEIQAKFLKNCGALSKIPEEILRTPNKIFSQASKDDSSLNFPLRLPGLYGMDDNLDEQSDPRAHSVEKLDDSFGLSENEFEGSILEEQETVRKVLQFDVNKRLSQVDPAPDLVNLDISPSRGDSKPQRVDSSDSPYPTPLNLTNEMQTPGTVYPVNLDSLRTGKHTRIRTQYVYPVLKPVENLPQWDALRRGSCQHVQSDDSFEQQLSSRTDSVERRNKVFLTPARQDSQFINSWLLSSRGKKNQDGDRIFTEKSVDKIMPPDLTLISSGRDRVDVEPDTPELIISSLSRWLKPKPLKNRNFDVTAFISNEQSCPVMNFDAERPIIGTVAAHWTDDDACSNSHGHWNGNGIPNSTTKYKEDQRVSWHATPFEERLDKVLSDEKLLPQRKLLYREPIESED >Ma06_p04990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3727305:3732775:-1 gene:Ma06_g04990 transcript:Ma06_t04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MAADIETSSSSSLQNQYPTIDPTTFDLIVCGTGLPESLLAAASASAAKSVLHVDPNPFYGSHFTSLSLASLSSFLELPSSSLDSSPLPYPPTAAEDSSSYEAVDLRRLRLYSDVETSGNFPEPSKGFLVDLPGPRILYCADAMVDLLLRSGASHHVEFKSVDATLIFWEGKLCAVPDSRQAIFRDRTLGLAEKNQMMKFLKLVQGHIDSDQCGNGGTAEEDGLVRIPADDLEMPFADFLRSKRLPPKIRAMILYAITLADYDQENAESSKKLIVTKDGIESLALYTKSVARFPNALGAFIYPMYGHGELPQAFCRCAAVKGALYVLHMPVCALLFDKETGKYKGVKLASGQDIFSHQVVMDPSFEVSSSISPPNVACEGLNASSLSGRVAKGVCITGSSILPDSSNVLVIFPPKSLYSDQTATIQVLQLSSNVAVCPPGLFVVYLSTPCDDAISGKKHINGAMNALFHITNVDGPVTCHLSTEGSEGENKPALKWSLVYGQELKQASFGAVCSSPMPDENLDYRNILETTKKLFTTMYPEEEFFRKIPAPDNAEDDSSLPE >Ma04_p08330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5981810:5992993:1 gene:Ma04_g08330 transcript:Ma04_t08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKPTQWGRGSLLSAMACDAVKTKVEVEETVVDDPVSPTGQYFNSSVLSIGILAIFESDVAIDDSPTMSTLENLFLPIHPRFSSVMVKDDHGVRRWKRVALKLEDHVNVPVFPAGLETYDDYIRGYISDIAVAEFSQSRPLWDLHILKYPTKSAAGTMVFRIHHALGDGFSLMSALFSCFRRADDPSLPLTFPSSRATKPVQGSGAWWRAWRNVPRALSVCMNTVRDFGWSLLKTNYLADDWTPVRSEEAGVEFRPMEISTVTLSLDEVRHVKAKLGGTVNDVISGTIFYGTQLYFQATAPGSKEARVTALVLLNTRMIASYQSLKEMAGPDATNPWGNRFGFLHVPVPVSGNPDASDPLSFVLKARQIIKAKKSSLAVHLNGRLLETIRKLRGAETAARYIHSTLRNTSMTISNLSGPMEQMIIAGHPIGSFYFMTVGSPQSLTVSVVSYMGKLKVAMGTEKGFIDAGLLVSCMEQSFRRISEAAAGKRADRDS >Ma10_p18080.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29182455:29186476:1 gene:Ma10_g18080 transcript:Ma10_t18080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLRKDDKECMKMMMLKHEETFRQQVHELHRLYRVQKFLMRDMKNNELKRQRLVNRTRFNLGDRDAGTVSCLLSERHRERRPRRRVLNLELPADEYIERAEEDTTLELEQESDIELTLTVGSSWRKREETSFTSDSGASFSSSSTESGGGLKVSAHRWETRQTEDANISYDNGIKDFLELEGPRDERLKQPSWHFQCLSLRMT >Ma10_p18080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29182455:29186476:1 gene:Ma10_g18080 transcript:Ma10_t18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLRKDDKECMKMMMLKHEETFRQQVHELHRLYRVQKFLMRDMKNNELKRQRLVNRTRFNLGDRDAGTVSCLLSERHRERRPRRRVLNLELPADEYIERAEEDTTLELEQESDIELTLTVGSSWRKREETSFTSDSGASFSSSSTESGGGLKVSAHRWETRQTEDANISYDNGIKDFLELEGPRDERLKQPSWHFQCLSLRMT >Ma10_p18080.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29182455:29186476:1 gene:Ma10_g18080 transcript:Ma10_t18080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLRKDDKECMKMMMLKHEETFRQQVHELHRLYRVQKFLMRDMKNNELKRQRLVNRTRFNLGDRDAGTVSCLLSERHRERRPRRRVLNLELPADEYIERAEEDTTLELEQESDIELTLTVGSSWRKREETSFTSDSGASFSSSSTESGGGLKVSAHRWETRQTEDANISYDNGIKDFLELEGPRDERLKQPSWHFQCLSLRMT >Ma10_p18080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29182455:29186476:1 gene:Ma10_g18080 transcript:Ma10_t18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLRKDDKECMKMMMLKHEETFRQQVHELHRLYRVQKFLMRDMKNNELKRQRLVNRTRFNLGDRDAGTVSCLLSERHRERRPRRRVLNLELPADEYIERAEEDTTLELEQESDIELTLTVGSSWRKREETSFTSDSGASFSSSSTESGGGLKVSAHRWETRQTEDANISYDNGIKDFLELEGPRDERLKQPSWHFQCLSLRMT >Ma09_p16540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11896819:11908386:-1 gene:Ma09_g16540 transcript:Ma09_t16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPWSSVFRVFLLVILLAAIVIAFVTLPIEKILRDFLVWIELNVGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPLGFVADSVGATIGATAAFLLGRTIGRPYVLSKLNDYAKFQAVAIAIERSGFKIVLLLRLTPLLPFNMLNYLLSVTPIGIGEYMMASFLGMMPITFALVYVGTTLKDLADVTHGWSELSPTRWVLIISGLVISAILITCVTRVAKASLEKALAENAVVDALTTSPSPVSPGAAGDLLEPLVVKIDPSRNNHEK >Ma02_p08590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18866092:18868683:-1 gene:Ma02_g08590 transcript:Ma02_t08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGTTTKCTACTKTVYLVEKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQIYKSTGSLDKSFEGTPKVVKPEKFVDNENANKVSSAFAGTREKCVGCKKTVYPIERVTVNGTAYHKSCFKCSHGGCTISPSNYIAHEGTLYCKHHHIQLIKQKGNYSKLEDEKEKTSDEAASPPQEEESDA >Ma02_p17090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24148447:24157531:-1 gene:Ma02_g17090 transcript:Ma02_t17090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFWELGWTHRIHRHQFCCSLISRDSSLMLVRVRLQMEKKGLFLRPAMGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLLLTLAGIGEEGMSVNIWGPSDLNYLVDAMRSFIPNAAMVHAHSFGVTVNGDGTMLSSSQFGKFRDPITIIDDEVVCISSIPLNPNYSNGSIPKPGDIAVIYACELPEIKGKFDPVKAAALGLKPGPKYRELQLGNSVMSDRLNITVHPSDVLGASSPGPIILLVDCPTKFHMAELLSAESLNCYYRDSDHQPKENTKTVNCVIHLGPAIVTKSADYQKWMKRFSTAQHIMAGHEIRNMEIPILKSSARISSQLNYLCPQLFPARGCWSSQQTNDLSLESNELCEDSSSTLCEIIQAENLLKFHLRPYSQLGLDRSAIPDSLNHEEVIANLLSEIPEIADVSKNVNQFQQSSAEVKLSKSEKDDNCIMVEEPWLSASSSVNNVHAEKEGRDSSEEDIPCLNDRWNDNLKDEHDIPACLENISREDMEIVLLGTGSSQPSKYRNVSSIFVNLFSKGSLLLDCGEGTLGQLKRRFGIKGADDAVKGLKCIWISHIHADHHTGLARILALRCQLLKDIPHKPLLVIGPRPLKRFLDAYSKLEDLDMQFLDCRHTLEASMDDFHGSLDSRGQFPENSDREGSEAENIASRRVESSLFAPQSKMQSYWKRPGSPVDTAVALPVLMRLKELLSEAGLEFLYSVPVVHCPQAFGVVLKAAERPNSLGKTIPGWKLVYSGDTRPCQALINASRDATLLIHEATFEDTMEDEAIAKNHSTTKEAVGVGKSAGAFRVVLTHFSQRYPKIPVFNEADMQRTCIAFDLMTVNLADLPMLPKFLPYLKVLFRNEMVGDESDDVHESFIY >Ma02_p17090.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24148447:24157531:-1 gene:Ma02_g17090 transcript:Ma02_t17090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFWELGWTHRIHRHQFCCSLISRDSSLMLGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLLLTLAGIGEEGMSVNIWGPSDLNYLVDAMRSFIPNAAMVHAHSFGVTVNGDGTMLSSSQFGKFRDPITIIDDEVVCISSIPLNPNYSNGSIPKPGDIAVIYACELPEIKGKFDPVKAAALGLKPGPKYRELQLGNSVMSDRLNITVHPSDVLGASSPGPIILLVDCPTKFHMAELLSAESLNCYYRDSDHQPKENTKTVNCVIHLGPAIVTKSADYQKWMKRFSTAQHIMAGHEIRNMEIPILKSSARISSQLNYLCPQLFPARGCWSSQQTNDLSLESNELCEDSSSTLCEIIQAENLLKFHLRPYSQLGLDRSAIPDSLNHEEVIANLLSEIPEIADVSKNVNQFQQSSAEVKLSKSEKDDNCIMVEEPWLSASSSVNNVHAEKEGRDSSEEDIPCLNDRWNDNLKDEHDIPACLENISREDMEIVLLGTGSSQPSKYRNVSSIFVNLFSKGSLLLDCGEGTLGQLKRRFGIKGADDAVKGLKCIWISHIHADHHTGLARILALRCQLLKDIPHKPLLVIGPRPLKRFLDAYSKLEDLDMQFLDCRHTLEASMDDFHGSLDSRGQFPENSDREGSEAENIASRRVESSLFAPQSKMQSYWKRPGSPVDTAVALPVLMRLKELLSEAGLEFLYSVPVVHCPQAFGVVLKAAERPNSLGKTIPGWKLVYSGDTRPCQALINASRDATLLIHEATFEDTMEDEAIAKNHSTTKEAVGVGKSAGAFRVVLTHFSQRYPKIPVFNEADMQRTCIAFDLMTVNLADLPMLPKFLPYLKVLFRNEMVGDESDDVHESFIY >Ma02_p17090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24148447:24157956:-1 gene:Ma02_g17090 transcript:Ma02_t17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLRLLFPSSSPLSKSSFLGYSLSRSLFCIPRPPLTPRSPLTALCYSGARRRRPTGGGGGGRKTSGGGGSRGGGVFHQRRRASTLREERDRARAPEMEGKEETLGFNKRRAEGRDKSDKPKALQLKTRKLNPVNTICYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLLLTLAGIGEEGMSVNIWGPSDLNYLVDAMRSFIPNAAMVHAHSFGVTVNGDGTMLSSSQFGKFRDPITIIDDEVVCISSIPLNPNYSNGSIPKPGDIAVIYACELPEIKGKFDPVKAAALGLKPGPKYRELQLGNSVMSDRLNITVHPSDVLGASSPGPIILLVDCPTKFHMAELLSAESLNCYYRDSDHQPKENTKTVNCVIHLGPAIVTKSADYQKWMKRFSTAQHIMAGHEIRNMEIPILKSSARISSQLNYLCPQLFPARGCWSSQQTNDLSLESNELCEDSSSTLCEIIQAENLLKFHLRPYSQLGLDRSAIPDSLNHEEVIANLLSEIPEIADVSKNVNQFQQSSAEVKLSKSEKDDNCIMVEEPWLSASSSVNNVHAEKEGRDSSEEDIPCLNDRWNDNLKDEHDIPACLENISREDMEIVLLGTGSSQPSKYRNVSSIFVNLFSKGSLLLDCGEGTLGQLKRRFGIKGADDAVKGLKCIWISHIHADHHTGLARILALRCQLLKDIPHKPLLVIGPRPLKRFLDAYSKLEDLDMQFLDCRHTLEASMDDFHGSLDSRGQFPENSDREGSEAENIASRRVESSLFAPQSKMQSYWKRPGSPVDTAVALPVLMRLKELLSEAGLEFLYSVPVVHCPQAFGVVLKAAERPNSLGKTIPGWKLVYSGDTRPCQALINASRDATLLIHEATFEDTMEDEAIAKNHSTTKEAVGVGKSAGAFRVVLTHFSQRYPKIPVFNEADMQRTCIAFDLMTVNLADLPMLPKFLPYLKVLFRNEMVGDESDDVHESFIY >Ma09_p02450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1719979:1729187:1 gene:Ma09_g02450 transcript:Ma09_t02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEGQASMSYNQASQQFSSSNQSGQVNLPPIFPSPSALNWGSQERLSAERNLAQTRMQVPVPVILGSQQFYSMVNQSIQIQPSYRNLTPMPTSVGLGQISLSNRQVLGTQSSLNTQPAMSANLAALPSSSIYKRHTLIRAPSKVQSVLPMNMGSQLSSTNKRPAQLEPPRKVQSESFESVRSKLRESLAASLAMESDRQHKQEIAEKCTSSDASSTIPKVITPMVELNSEAKSASSDKSALETVQNQAGDLPSKENASTDILLARSDVDGLQPKDILLQKEVSNDNSLVKDELLQGHGLCWVSNHGVGTVDNSANHDHDRKRLKMTNEQETADKETTVRNAGQLAFRIEAELFRLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVLSGAIAPERLCTMSIEELASEELSQWRLAKAEELVQMVVLPDSDVDLRRLVRKTHKGEFQVEVEQAESFPVEVELGTSVLSQVPLKPNKEVKTHSSLVPSKPSKEVKTQSKSNHKSDEPKAFKRRPSARKIDSADQNLPGDIEILSEKADLMQELMVDELKDPELLPPVVSLDEFMQDLDSEPPFGNLSVDSLQEVSLEPEEASESDSVEHKQNAASGSLGSKSDSSRGGSPSKQLLSQEGKQLKLDSADATSKDPTTTNPEKVDVECLKIDDNVKSGSVDIQLDTCLPEVASMSDKIWEGSIQLNVSAFATVIGFFRSGEKTSTQEWPSFLEIKGRVRLDAFEKFLKELPLSRSRAIMIAQFRWKEGSPESGRLNLLEIIDSYIADERVGFAEAAPGVELYFCPPHSRTTDMLEKLLPKEHAEALPTIATTDLISLVVWRRPHSTISPRLYSHHKHGSSKKQHSSRKQQSQPLPPEDYADDDVPPGFGPGEYDDLPEFDFVHGSSQTSKPAASVTRPHVLAPARPVDQIRELIHKYGHTESVKKPPFDVRPWNDAHEDDDDIPEWKPQYDHRLQPETLTSSQPPPPPTQFHTYQHPTFQSLHVNHQILPLSPLPNPHAPLHQPVGLQPPLPPQILVMPTSLNMPPGWQQSPLLPLSGGPADITLPAANAWQTSHYNAQVNADGRVYSLPNVGGAAQNVMGWRPDVFGSRGM >Ma08_p25040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38056135:38057818:-1 gene:Ma08_g25040 transcript:Ma08_t25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPPNVAAPAGVAPWHLAASMQDSEDIVDALTNDPQEIGLKCWNSLVDENDQSPYMYAVSRNNLSCNRLVFASAYLSRFTAYWFC >Ma03_p04380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2874444:2875369:-1 gene:Ma03_g04380 transcript:Ma03_t04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVEFARRRRLHHGGSTDSSTGTRRSSYCLHTIGCEAHLSATGSMQRTILNKEVHEGALGSMAREAKERLDARLKIKRYRGLESNSECGSEQVIPGSVQGEVFSSKSTRKFSWSKKLGWTASEQAECAICLEEFKRGDVLLRLPCAHRFHCDCVVPWLRSNSHCPCCRTTIFP >Ma04_p20860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23552684:23554024:-1 gene:Ma04_g20860 transcript:Ma04_t20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDLSSWLSNLSFGYRGDHRKSRNPSIYYDNPSNSSSSNDSYYYIEDSNNMIRRKMAKGRPLSLQTVELKVRMCCTGCERVVKHALQKLRGVDSVEVELELEKVTVTGYVDRNKVLKEARRSGKKAEFWPNPGLPLYFTTAKNYFHDEDSFRSSYNYWRHGYNGDKHGHVPAPHRGEDRVSNMFNDDDVNACSVM >Ma10_p06140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17599985:17600776:1 gene:Ma10_g06140 transcript:Ma10_t06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVKEELRRGPWTEQEDLQLACFVALLGERRWDFIAQASGLNRTGKSCRMRWVNYLHPSLKRGRMTTQEEHLVLELHSRWGNRWSRIARKLPGRTDNEIKNYWRTHMRKRAQEEKRNCSPSATSSAPPADDLPTRSEVAAESQLNGNGDGGNADSVDEVWDEIALSSGDRRDEAGGYACTPLTSATPVWECCSGSLWKTEDEELHGVSFGF >Ma06_p26210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27874200:27877365:1 gene:Ma06_g26210 transcript:Ma06_t26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAKQSEDVVGRSTKSALMAHSAAARVAEESSRNTWDPIDYSYNISLPQDQETNGLVAGGMSASCLSERYQLGSAPFPPHKAVISKHSIETNIKGNKRKGQAECGALPSQSPEDRAQNVKAEEQYDVSLKSSSKHPTANDEKKRKNEVRLGSNNQSPASKDDYIHVRAKRGQATNSHSLAERIRREKISERMKLLQDLVPGCSKINGKAMMLDEIINYVQSLQRQVEFLSMKLAAVNPELNFDLEQILSGDIHSCYGGSAVPAIGPGMSSFQPQLYGSTFQRITQPEMFYSAPSSGDLLQASLSQISNMSQLPIAWHNDLQNTLPMNSIPNETPTERNGINPF >Ma06_p26210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:27874119:27877365:1 gene:Ma06_g26210 transcript:Ma06_t26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAKQSEDVVGRSTKSALMAHSAAARVAEESSRNTWDPIDYSYNISLPQDQETNGLVAGGMSASCLSERYQLGSAPFPPHKAVISKHSIETNIKGNKRKGQAECGALPSQSPEDRAQNVKAEEQYDVSLKSSSKHPTANDEKKRKNEVRLGSNNQSPASKDDYIHVRAKRGQATNSHSLAERIRREKISERMKLLQDLVPGCSKINGKAMMLDEIINYVQSLQRQVEFLSMKLAAVNPELNFDLEQILSGDIHSCYGGSAVPAIGPGMSSFQPQLYGSTFQRITQPEMFYSAPSSGDLLQASLSQISNMSQLPIAWHNDLQNTLPMNSIPNETPTERNGINPF >Ma04_p20110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22830086:22831723:-1 gene:Ma04_g20110 transcript:Ma04_t20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEKEDLALSLSLSSSTNHFDHSSPSRPLPSSCVQKPRWSHLLFAPSEDRPTLDVWRGAGDAQPVLRMIDVNWASSAAAAAGRDSEEEADASSPDSATSSVGGKRAERDKKHEAERPCSRGAASDEEDGDLVSRKKLRLSKDQSAILEESFKENSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCGFLKRCCENLTEENRRLQKEVQELRALKLSPHFYTQMTPPTTLIMCPSCERASKSSTATSSTNAPLPEHHQFLPHHRQVSAPWAPISLRPSFLDAPPQRS >Ma07_p28370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34453175:34456219:1 gene:Ma07_g28370 transcript:Ma07_t28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFIIDELPLLLLPALVALFFYVNLVRWKRLKRLNLPPAGSGWPFIGDTFAYLKPHLATSTGLFMEQHISRYGKIYRSNLFGEPTIVSADAGLNRFVLQNEGKLFQCSYPKSIGGILGKWSMLVQVGEMHREMRMISLNFMSNVRLRSHLLPEVERHTLLVLRSWRENSPFSAQEEAKKFTFNLMAKNIMSMDPCEPETEKLRLEYITFMKGVVSAPLNFPGTPYWKALRSRSNILRVIEQKMEQRIQEKSERQGGAEDLLGWSLKESNLSKEQILDLLLSLLFAGHETSSMALALVIFFLESCPKAVRQLREEHSEIDRKKQRGEAGLNWEDYKQMEFTRCVINETLRLGNVVRFVHRKVLQDLQYKGYDIPCGWKILPVFAAVHLDSSVYDHPHQFNPWRWQNNSSSVTAATNNFMAYGGGPRLCAGSELAKLEMAVFLHHLVLRYRWELAEPDQAFAFPFVEFRKGLPIKVYSIHDSPV >Ma04_p02770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2286977:2294352:1 gene:Ma04_g02770 transcript:Ma04_t02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTIDEEEEEPEQPAYHAASPPAATPVDRALQVTPVCLELWHACAGPRIWLPKKGSLVVYFPQGHIEHFGEDGGRRGGVCRRDVPPHVFCLVVDVKLHADAATDEVYAQLSLLAESEEFELRMKKGEVEGNEEDEDVECISRSSVPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKLQRPSQELIAKDLHGTEWTFRHIYRGQPRRHLLTTGWSAFVNRKKLISGDAVLFLRGNDGELRLGVRRAAQFKSGSPVSAHPSGNMNLATLTIIANSVSARKVFHIYYNPRATSSKFIVPYWKFLKSFNHLISVGMRFRMIYESDDATERRSTGLITGISDIDPIRWPHSKWRCLLVNWDNDVDANQQKRISPWEIEPIGPVIGSGSSTTAGLKRAKITLPSVNMDFPIPNGNGCPDLRESASFHEVLQGQEVTRLTPPICVGVAASHFSENSGIKGKSADANNSIIGEFIPGSRVRVPHGKSDFSFNCTGFSESVGFQKVLQGQEVFSKVPPFLGAGSDAHGRYGVYGLFDGLHAYHTQSRLPAASLGYVTLVQQSLPSIQAFPPSSVLMFQEANSKNLLFQPMHSMNCQDRGDNECYSAKLDGSKTKTLHREEANSPFRPATGRHFTNQQHKMVKVYAPVLAGKSDLENEKSASRKGFRLFGFSLTEKIPVTNLVDPPPPVSQTKTDVKADIAFSTSMPQMCPLC >Ma04_p28550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29595904:29599361:-1 gene:Ma04_g28550 transcript:Ma04_t28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLVVHLHHPIHQLVLCKPGGVLLICLYACLWRLPSPLCKGVNSLVSPLVCHKRSDKDMEGDKHEVMEGEEARVTDVSLKELSKKLEEFARVRDWEQYHSPRNLLLAMVGEVGELAEIFMWRGEVAKGLPNWKDSEKEHVGEELSDVLLYLIRLSDVCGIDLGDAATKKIVKNAIKYPPKAT >Ma06_p20530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14643925:14645141:-1 gene:Ma06_g20530 transcript:Ma06_t20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKYLNYRILYFYFRNNRYIENWTDIKYLNYRILYFYFRNNRDIENWIDMYIDIEINNNTKTYQKKILIGWE >Ma00_p00360.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:956267:957688:-1 gene:Ma00_g00360 transcript:Ma00_t00360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKSKADASKKADNKLSAKKGSERASKKPRKLKAAKDPNKPKRPPSAFFVFMEEFRKSFKEKNPNNKSVSVVGKAGGDKWKSMSEDEKVPYVTKAAKLKTEYAKKLATYNNNQSGGGSYAAGDEDESDKSKSEEEEEDD >Ma00_p00360.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:956267:957688:-1 gene:Ma00_g00360 transcript:Ma00_t00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKSKADASKKADNKLSAKKGSERASKKPRKLKAAKDPNKPKRPPSAFFVFMEEFRKSFKEKNPNNKSVSVVGKAGGDKWKSMSEDEKVPYVTKAAKLKTEYAKKLATYNNNQSGGGSYAAGDEDESDKSKSEVNDDEEEEEEEEEDD >Ma00_p00360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:955985:957689:-1 gene:Ma00_g00360 transcript:Ma00_t00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKSKADASKKADNKLSAKKGSERASKKPRKLKAAKDPNKPKRPPSAFFVFMEEFRKSFKEKNPNNKSVSVVGKAGGDKWKSMSEDEKVPYVTKAAKLKTEYAKKLATYNNNQSGGGSYAAGDEDESDKSKSEVNDDEEEEEGSEEACEEEEDD >Ma00_p00360.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:955984:957689:-1 gene:Ma00_g00360 transcript:Ma00_t00360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKSKADASKKADNKLSAKKGSERASKKPRKLKAAKDPNKPKRPPSAFFVFMEEFRKSFKEKNPNNKSVSVVGKAGGDKWKSMSEDEKVPYVTKAAKLKTEYAKKLATYNNNQSGGGSYAAGDEDESDKSKSEVNDDEEEEEGSEEACVIVKIVP >Ma00_p00360.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:955985:957689:-1 gene:Ma00_g00360 transcript:Ma00_t00360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKSKADASKKADNKLSAKKGSERASKKPRKLKAAKDPNKPKRPPSAFFVFMEEFRKSFKEKNPNNKSVSVVGKAGGDKWKSMSEDEKVPYVTKAAKLKTEYAKKLATYNNNQSGGGSYAAGDEDESDKSKSEVNDDEEEEEGSEEEEEEDD >Ma06_p33050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33834589:33835943:1 gene:Ma06_g33050 transcript:Ma06_t33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASACVNNAALAPDSPFLDFAPACPGYGWLSPRISFSRDLADGGAAPSGLDVGDSDLGALVSSAAVVTERPDPNEPGVDLPDFEFRPDDPVTMLPADELFSDGKLVPLQIAAKRHQAEPADGIRSPEAERPRRVAEVLGLESCAQSPKAPRCSSRWRELLGFKTQQNPKPEAQKAATLTSKSVNHNTRSLRHLLHRLSKHSAADASLAIPLLRESDLEPVSISSRRSLSSSSSSSGADHDELPRLSLDAEKPAQRSISLSRVPHRARLARPRVATAEGHQAARTGRSPPRRGAELAPPRGASVDSPRMNASGKVVFQGLERSSSSPGSFHGRSTWHHHHQHRGKPYRAIERSYSANVRVAPVLNVLPVGSLRICTSKPGSVFGLAQLFSPHKKDRDASTARWNLHSGGNRSKIIDKEKARREST >Ma06_p02460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1916065:1924723:1 gene:Ma06_g02460 transcript:Ma06_t02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVKEEKKNEKIIRGLLKLPANRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRIKSISMAKFSSQEVAALQEGGNERARELYFKDWDPQHHSFPHSSNIDRLRDFIKNVYVYRRYTGESVGDRPQKMKDKRDNYNENQKAESYKSGLRSPPYEDRYSPSGRNSDRIFRYNYGERSPGYDQGKYKRSPAYFEVVDDRRRDNKVGNVRQNRRLEAPRFLEAPNPEGKSPDHQKNVNKSSDPVVNQAKDTSVDDIPPLKIGETAISMVTDDSTQMVPDDSTQMESNLSSSSICSADANSVQLKGSYFESLMDLIVDPNLPVATTEEQAVPQQTTSDTDDGGNSSASDISGQQKVSQVAPDANPLVSVLGQLSVSEPAAKENVSTVSVSGIDSSPKASGGENLPTIHQEQLSLLKSTGSPSNQPSNVPAVGTSNDQTSMSSVAPHEQGSFTAASINPSGHLPQITTKSSQETNAGISSQPTSAGSTHGGRKELPADFFTSLYPTTPLSAPGWQRGPYPGIGYNMQFPTRVTAATYTQTSKSVNPFDLTSDPAPTFPSVTPQQVPLPNMTSPLALIRTSSFGAPSPRWNPSEHLPYATSVSPGTFMMHQVPNNMPRELAMMSVGNQGGLGTDNRGTDCGTSGIHQQPAISYAQASTPNSVNPVGGNPFG >Ma06_p02460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1916052:1924723:1 gene:Ma06_g02460 transcript:Ma06_t02460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVKEEKKNEKIIRGLLKLPANRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRIKSISMAKFSSQEVAALQEGGNERARELYFKDWDPQHHSFPHSSNIDRLRDFIKNVYVYRRYTGESVGDRPQKMKDKRDNYNENQKAESYKSGLRSPPYEDRYSPSGRNSDRIFRYNYGERSPGYDQGKYKRSPAYFEVVDDRRRDNKVGNVRQNRRLEAPRFLEAPNPEGKSPDHQKNVNKSSDPVVNQAKDTSVDDIPPLKIGETAISMVTDDSTQMVPDDSTQMESNLSSSSICSADANSVQLKGSYFESLMDLIVDPNLPVATTEEQAVPQQTTSDTDDGGNSSASDISGQQKVSQVAPDANPLVSVLGQLSVSEPAAKENVSTVSVSGIDSSPKASGGENLPTIHQEQLSLLKSTGSPSNQPSNVPAVGTSNDQTSMSSVAPHEQGSFTAASINPSGHLPQITTKSSQETNAGISSQPTSAGSTHGGRKELPADFFTSLYPTTPLSAPGWQRGPYPGIGYNMQFPTRVTAATYTQTSKSVNPFDLTSDPAPTFPSVTPQQVPLPNMTSPLALIRTSSFGAPSPRWNPSEHLPYATSVSPGTFMMHQVPNNMPRELAMMSVGNQGGLGTDNRGTDCGTSGIHQQPAISYAQASTPNSVNPVGGNPFG >Ma10_p04610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15254738:15257704:-1 gene:Ma10_g04610 transcript:Ma10_t04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHATAAHSRLLLPRVISAPGASSNRRASAIRCVSAAPEEDQKTSGRVATVKNSGDSLMICRVLNGMWQTSGGWGRIDRDDAVEAMLRYADAGLSTFDMADHYGPAEDLYGIFINRVRRERPPEMLEEVRGLTKWVPPPVKMTSNYVRENINVSRKRMDVSCLDMLQFHWWDYSNPGYLDALKHITDLKEEGKIKTVALTNFDTERLHIILENGIPVVSNQVQHSVVDMRPQQRMAELCQLTGLKLITYGTVMGGLLSEKFLDANVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQVLLQTMKKVASKHGVSIPTVAVKYVLNQPSVAGSMVGVRLGLSEHIKDTNTIFSLELDDEDMNSITEVSKQGRDLLKVIGDCGDEYRRI >Ma03_p33440.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34790852:34797966:-1 gene:Ma03_g33440 transcript:Ma03_t33440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPTGNHHRVRGTGRGGGAVEALPNSSNHNESLPTPPELLLQWGSRKRLRCIKFQHKDNDAATGNQPTATTAAAARADRALRRATSAPYKQALRNNLEATEAAAIRGKRGVTSPERERERGVEAGGSASMDGAQKAAAWPKLALSLTNKEKEEDFLVFKGSKLPQRPKKRAKLLQRTINLVSPGAWLCDLTLDRYEVREKKISKKKPRGLKAMGNMESDSE >Ma03_p33440.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34790852:34797966:-1 gene:Ma03_g33440 transcript:Ma03_t33440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPTGNHHRVRGTGRGGGAVEALPNSSNHNESLPTPPELLLQWGSRKRLRCIKFQHKDNDAATGNQPTATTAAAARADRALRRATSAPYKQALRNNLEATEAAAIRGKRGVTSPERERERGVEAGGSASMDGAQKAAAWPKLALSLTNKEKEEDFLVFKGSKLPQRPKKRAKLLQRTINLVSPGAWLCDLTLDRYEVREKKISKKKPRGLKAMGNMESDSE >Ma03_p33440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34790852:34797966:-1 gene:Ma03_g33440 transcript:Ma03_t33440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPTGNHHRVRGTGRGGGAVEALPNSSNHNESLPTPPELLLQWGSRKRLRCIKFQHKDNDAATGNQPTATTAAAARADRALRRATSAPYKQALRNNLEATEAAAIRGKRGVTSPERERERGVEAGGSASMDGAQKAAAWPKLALSLTNKEKEEDFLVFKGSKLPQRPKKRAKLLQRTINLVSPGAWLCDLTLDRYEVREKKISKKKPRGLKAMGNMESDSE >Ma03_p33440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34790852:34797966:-1 gene:Ma03_g33440 transcript:Ma03_t33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPTGNHHRVRGTGRGGGAVEALPNSSNHNESLPTPPELLLQWGSRKRLRCIKFQHKDNDAATGNQPTATTAAAARADRALRRATSAPYKQALRNNLEATEAAAIRGKRGVTSPERERERGVEAGGSASMDGAQKAAAWPKLALSLTNKEKEEDFLVFKGSKLPQRPKKRAKLLQRTINLVSPGAWLCDLTLDRYEVREKKISKKKPRGLKAMGNMESDSE >Ma02_p16970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24064394:24064588:-1 gene:Ma02_g16970 transcript:Ma02_t16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTINSLAHCLQEETSTKEENTVGRKTGHHLPTSQPIDVSGWTKRTPVSHTHRRLPRLTCYVEG >Ma04_p13060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9887900:9890806:1 gene:Ma04_g13060 transcript:Ma04_t13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGVLKVIVSKGKSLAIRDFTSSDPYVVVKLGKQTAKTKVINSCLNPVWNEELSFSVKEPLGVLKLEVFDRDRFKFDDKMGHAFLNLQPLTSALKLKRALQLTTGETKLRKVAPDSDNCLLADSFITYANGEIIMDVRLRLCDVESGEVFVTVKWIDHPTTPIHVRKR >Ma04_p13060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9887892:9890806:1 gene:Ma04_g13060 transcript:Ma04_t13060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGVLKVIVSKGKSLAIRDFTSSDPYVVVKLGKQTAKTKVINSCLNPVWNEELSFSVKEPLGVLKLEVFDRDRFKFDDKMGHAFLNLQPLTSALKLKRALQLTTGETKLRKVAPDSDNCLLADSFITYANGEIIMDVRLRLCDVESGEVFVTVKWIDHPTTPIHVRKR >Ma04_p13060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9887903:9890806:1 gene:Ma04_g13060 transcript:Ma04_t13060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGVLKVIVSKGKSLAIRDFTSSDPYVVVKLGKQTAKTKVINSCLNPVWNEELSFSVKEPLGVLKLEVFDRDRFKFDDKMGHAFLNLQPLTSALKLKRALQLTTGETKLRKVAPDSDNCLLADSFITYANGEIIMDVRLRLCDVESGEVFVTVKWIDHPTTPIHVRKR >Ma04_p20030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22749651:22783969:-1 gene:Ma04_g20030 transcript:Ma04_t20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDVRNCQNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHTEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRMVINTVRNDIVGRNETFQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWSDRMSQLLDERDLGVLTSVMSLFVALVSSNIEAYWNCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRALFEVLQRILMGTDVVKNVNKNNAAHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLLVSDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLNTADFVMREELALKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLEKPALHETMVKVSAYLLGEYSHLLARRPGCSPKEIFAIINEKLPTVATSTVAILLSTYAKILMHNLPPDPELQEQIWSIFRKYESYIDVEIQQRAVEYFSLSRKGAALVDVLAEMPKFPERQSALLKKAEDAEVDTAEQSAIKIRSQQQTSNALVVTDQRPANGSLPVSQLGLVRMPSQNMEASTQGQASSREQGMTDENGSINKVVPQDAPSADLLGDLLGPLAIEGPQVPTVPGEQKDKNLLSALEATPEEAGPLALATVDDQPNSVQPIVNIAERFNALCLKDSGVLYEDPHIQIGIKAEWRAHHGRLVLFLGNKNTSPLVSVRALILPPTNLKMELSMVPETIPPRAQVQCPLEVVNLQASRDLAVLDFSYKFGTAVVNVKLRLPVVLNKFLQPILVSAEEFFPQWKSLSGPPLKLQEVLRGVKPLSLPEMANLFTSLHLAVTPGIDANPNNLVACTTFYSESTRAMLCLIRVETDPSDRTQLRITIASGDPTLTFELKECIKEHLVSIPMQTPPPAVSPVQPQSPVTPAAYNDPGAMLAGLL >Ma10_p15220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27426215:27429577:1 gene:Ma10_g15220 transcript:Ma10_t15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALAVLFLFQLCRLSLSLNQEGLYLLQAKRGLEDPDNALSDWNPRDSTPCNWTGITCSSAGATVTSVDLTGLGLTGPFPASLCRIPNLAFLSLSWNNINSSLPDSAVGPCAALAHLDLSQNLLVGPLPDALAALPSLAHLDLTGNNFSGPIPPSFGRFPVIQDLSLVANLLTSTVPAFLGNLTTLRQLNLSYNPFAPGGIPPSLGNLSSLEVLWLAGCNLIGDIPPSLGRLSKLADLDLSTNALSGRIPESIANLSSVVQIELYNNSLSGPVPPGFGKLSSLLRVDASMNHLEGSLPEDLFDAPFLESVHFYSNRITGSVPSGVSRSTSLIELRLFANRLNGSLPAELGKNSLLMVLDLSDNLLSGEIPQSICDRGVLEELLLIDNLFSGRLPEGLSRCRTLTRVRLRNNQLSGEVPAGFWGLPHLWLLELRGNSFSGGISPVISSAANLSKILIDDNQFSGSIPSEMGALSKLYEFSASNNRLSGPLPSSLGYLAELGQLDLHHNFLSGELLRGIQSWKKLSELNLADNEFTGRIPPELGDLPVLNYLDLSGNLLTGDIPLQLQNLKLNEFNLSNNDLSGPLPPLFARDIYRDSFLGNPGLCHEFSGLCPVSRGTGDRHGFIWLLRSIFMLATLVFVVGVAWFFWRYRKYKKAQLTPDKSKWTLTSFHKLGFSEYEILDCLDEDNVIGSGGSGKVYKAVLSNGEMVAVKKLWGTSKKDVENANQSVDDGFAAEVATLGKIRHKNIVKLWCCCTHKDCKLLVYEFMPNGSLGDLLHSNKGALLDWPTRYKIALDAAEGLSYLHHDCMPPIVHRDVKSNNILLDGEYGAKVADFGVAKTVEMMGKGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKFPVDPEFGEKDLVKWVTCAMEQNGVDHVIDPKLDVRQHREEINKALSIGLLCTSSLPINRPSMRRVVKMLQEVRAENKTQIETKDGKLSPYYREEVDPNSSV >Ma03_p13760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10842793:10844364:1 gene:Ma03_g13760 transcript:Ma03_t13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHREILKRRCRLVNGPLIVGAGPSGLALGACLKELGVPFVILERSNSIASLWRNRTYDRLKLHLPKQFCQLPKLPFPDDFPEYPTRNQFIGYLESYAAHFELSPRFNETVQSAKYDDTCGMWRVRTTASGPETANRSAEVEYICQWLAVSTGENAEPVIPEMEGLRKFGGQVIHASDYRSGETYQGKRVLVVGCGNSGMEVCLDLCHHKSFPVMAVRDSVHVLPREIFRKSTFELAVFLMKWFPVKVVDKILLALSRMILGNTERYGLKRPSLGPLELKHMQGKTPVLDIGALRKIKSGDIKVVPGVKGFLHGSVELVDGRVIDVDSVILATGYCSNVPSWLQDTDLFNKDGFPKQPFPSGWKGKSGLYAVGFTRRGLSGASHDAVQVAEDISRVWKEETRQAKHIVACHRRCISQI >Ma04_p17110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17297335:17298150:1 gene:Ma04_g17110 transcript:Ma04_t17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPRELADRSKYCRFHRQHGHDTEQCHELKRQIEELIRRGHLGQYLRPDKELSPCPEGPIERHVDVIAGGPASWGGSMSGRKAYARAAPDEAPGHGPEPEITFLTGVSEQPEHDDALVISARVANAQVRRIMVDTGSSADILYFDSFQKLDLARENMKPISSALTGFTRDSISPLRAITLPLTLGAPPRSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYYQTIKFPTHAGVGEVMGSPRESRRCYLIAVSLHKRARIEPPLEDP >Ma02_p24170.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28874568:28882921:-1 gene:Ma02_g24170 transcript:Ma02_t24170.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MPSFLLLSSLLFAPTLSSSSAAAAVPIPFPNPLRRPLSVCPAYPFWGSRRGPGSICYASSPPSSPLARALSSTGSMEDQAVSYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVAAAISEVYKSSEYRRVLAICGPGNNGGDGLVAARHLHHFGYEPFICYPKRTPKPLYNGLVTQLESLSISFISVDDLPQNLTENFDLIVDAMFGFSFHGQPRPPFDDLIQRLASLSRVDCGRKRSSAIVSVDIPSGWHVEEGDITGEGFEPDMLVSLTAPKLCAKKFVGPHHFLGGRFVPPSIISKYKLKLPQYPGTSMCVRIGKPPSVDIASLRENYISPELLEDQVLSHPVDQFHKWFDEAVAAGLREPNAMALSTSGHEGKPSSRIVLLKGADKQGFVWFTNYGSQKAKELSENPHASLLFYWNPLNRQVRVEGTVEKVSKEESETYFHSRPRGSQLGAIASKQSTTVIGRHVLDEAYKKLEEEYADGSLIPMPEYWGGYRLKPTKFEFWQGQPSRLHDRLRYSIRTVNGGEVWHIERLSP >Ma02_p24170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28875376:28882908:-1 gene:Ma02_g24170 transcript:Ma02_t24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MPSFLLLSSLLFAPTLSSSSAAAAVPIPFPNPLRRPLSVCPAYPFWGSRRGPGSICYASSPPSSPLARALSSTGSMEDQAVSYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVAAAISEVYKSSEYRRVLAICGPGNNGGDGLVAARHLHHFGYEPFICYPKRTPKPLYNGLVTQLESLSISFISVDDLPQNLTENFDLIVDAMFGFSFHGQPRPPFDDLIQRLASLSRVDCGRKRSSAIVSVDIPSGWHVEEGDITGEGFEPDMLVSLTAPKLCAKKFVGPHHFLGGRFVPPSIISKYKLKLPQYPGTSMCVRIGKPPSVDIASLRENYISPELLEDQVLSHPVDQFHKWFDEAVAAGLREPNAMALSTSGHEGKPSSRIVLLKGADKQGFVWFTNYGSQKAKELSENPHASLLFYWNPLNRQVRVEGTVEKVSKEESETYFHSRPRGSQLGAIASKQSTTVIGRHVLDEAYKKLEEEYADGSLIPMPNLPLHYVKPC >Ma02_p24170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28874569:28882921:-1 gene:Ma02_g24170 transcript:Ma02_t24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MPSFLLLSSLLFAPTLSSSSAAAAVPIPFPNPLRRPLSVCPAYPFWGSRRGPGSICYASSPPSSPLARALSSTGSMEDQAVSYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVAAAISEVYKSSEYRRVLAICGPGNNGGDGLVAARHLHHFGYEPFICYPKRTPKPLYNGLVTQLESLSISFISVDDLPQNLTENFDLIVDAMFGFSFHGQPRPPFDDLIQRLASLSRVDCGRKRSSAIVSVDIPSGWHVEEGDITGEGFEPDMLVSLTAPKLCAKKFVGPHHFLGGRFVPPSIISKYKLKLPQYPGTSMCVRIGKPPSVDIASLRENYISPELLEDQVLSHPVDQFHKWFDEAVAAGLREPNAMALSTSGHEGKPSSRIVLLKGADKQGFVWFTNYGSQKAKELSENPHASLLFYWNPLNRQVRVEGTVEKVSKEESETYFHSRPRGSQLGAIASKQSTTVIGRHVLDEAYKKLEEEYADGSLIPMPEYWGGYRLKPTKFEFWQGQPSRLHDRLRYSIRTVNGGEVWHIERLSP >Ma02_p24170.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28874569:28882599:-1 gene:Ma02_g24170 transcript:Ma02_t24170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MFGFSFHGQPRPPFDDLIQRLASLSRVDCGRKRSSAIVSVDIPSGWHVEEGDITGEGFEPDMLVSLTAPKLCAKKFVGPHHFLGGRFVPPSIISKYKLKLPQYPGTSMCVRIGKPPSVDIASLRENYISPELLEDQVLSHPVDQFHKWFDEAVAAGLREPNAMALSTSGHEGKPSSRIVLLKGADKQGFVWFTNYGSQKAKELSENPHASLLFYWNPLNRQVRVEGTVEKVSKEESETYFHSRPRGSQLGAIASKQSTTVIGRHVLDEAYKKLEEEYADGSLIPMPEYWGGYRLKPTKFEFWQGQPSRLHDRLRYSIRTVNGGEVWHIERLSP >Ma02_p24170.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28874942:28882921:-1 gene:Ma02_g24170 transcript:Ma02_t24170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MPSFLLLSSLLFAPTLSSSSAAAAVPIPFPNPLRRPLSVCPAYPFWGSRRGPGSICYASSPPSSPLARALSSTGSMEDQAVSYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVAAAISEVYKSSEYRRVLAICGPGNNGGDGLVAARHLHHFGYEPFICYPKRTPKPLYNGLVTQLESLSISFISVDDLPQNLTENFDLIVDAMFGFSFHGQPRPPFDDLIQRLASLSRVDCGRKRSSAIVSVDIPSGWHVEEGDITGEGFEPDMLVSLTAPKLCAKKFVGPHHFLGGRFVPPSIISKYKLKLPQYPGTSMCVRIGKPPSVDIASLRENYISPELLEDQVLSHPVDQFHKWFDEAVAAGLREPNAMALSTSGHEGKPSSRIVLLKGADKQGFVWFTNYGSQKAKELSENPHASLLFYWNPLNRQVRVEGTVEKVSKEESETYFHSRPRGSQLGAIASKQSTTVIGRHVLDEAYKKLEEEYADGSLIPMPEYWGGYRLKPTKFEFWQGQPSRLHDRLRYSIRTVNGGEVWHIERLSP >Ma10_p08110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22350304:22350674:1 gene:Ma10_g08110 transcript:Ma10_t08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYAKEVLTLFQNADDDDSRGASSQSFSKASSTRRLGQNISGCTTWCKKRTFYRKDILTSYRYW >Ma06_p35210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35166319:35170130:-1 gene:Ma06_g35210 transcript:Ma06_t35210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLTQGAIAAISEGRAEEGARPVVQVWDVKLVNTQQSTTERYRMLLSDGTHMQQAMLATQMNGLVKSGALQKYSIVQLNEFICNVIQNRRIIIVINLEVLVSSSNGIGEPKLYDNGPASQNRAPIPAQPSSTDQPVKAAVNPQTYGGPNSSKSNSGQNVAGVSLNPKSEPMTNNSSFGGSYGSGPMSSRNMNSPNIEQPKAEQGIGRFYESHPNSGNQNQRFSNPASVGAFRPPASSYGRPVQPAYQQPPPLYTNRGPIAKNEAPARIIPISALNPYQGRWTIKARVTTKSELRRYNNPRGEGKVFSFDLLDSDGGEIRVTCFNVVADQFYDQIEVGKVYLISKGSLKPAQKSFNHLNNEYEIFLEAQSVIQPCLEEDNTIPKQQFNFRPINEIEGLENNTMVDVIGIVVSINPSLSIMRKNGTETNKQTLQLKDMSGRIVEMTMWGKFCSIEGQQLQHMCDSGVCPVLAIKTGRVSDFNGKSVTTIGSSQLFINPDFPEAHRLRDWYNREGKSMTAASISRETTTTGRNDVRKTVSQIKDEGLGRSEKPDWITVKATITFIKVDNFCYTACPLMVGDRPCNKKVNNNGDGTWHCDRCDQSFPECDYRYLLQFQIQDHTGMTWVTAFQECGEEILGVTAKELYLLKYEEQDDLKFAEIIRTVLFHQYLFKLKVKEETFSDEQRVKSTVVKAEKINPSSESKYLLGLIEKLSSEDPSASKGTYGTSASTSGMANITYGTGESNFGNIHSVRNNFGNNSSSDGYRMGATSQFVQHANDYGGNMKHSFSRNEVQAFCNNCGSNGHSFQNCPRGVNRQGQSVGGGFSSTGSNICFKCQQPGHWASECPGMGAAGSAYGDGGSSGRYTNTRQHVGGF >Ma06_p35210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35166319:35170130:-1 gene:Ma06_g35210 transcript:Ma06_t35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLTQGAIAAISEGRAEEGARPVVQVWDVKLVNTQQSTTERYRMLLSDGTHMQQAMLATQMNGLVKSGALQKYSIVQLNEFICNVIQNRRIIIVINLEVLVSSSNGIGEPKLYDNGPASQNRAPIPAQPSSTDQPVKAAVNPQTYGGPNSSKSNSGQNVAGVSLNPKSEPMTNNSSFGGSYGSGPMSSRNMNSPNIEQPKAEQGIGRFYESHPNSGNQNQRFSNPASVGAFRPPASSYGRPVQPAYQQPPPLYTNRGPIAKNEAPARIIPISALNPYQGRWTIKARVTTKSELRRYNNPRGEGKVFSFDLLDSDGGEIRVTCFNVVADQFYDQIEVGKVYLISKGSLKPAQKSFNHLNNEYEIFLEAQSVIQPCLEEDNTIPKQQFNFRPINEIEGLENNTMVDVIGIVVSINPSLSIMRKNGTETNKQTLQLKDMSGRIVEMTMWGKFCSIEGQQLQHMCDSGVCPVLAIKTGRVSDFNGKSVTTIGSSQLFINPDFPEAHRLRDWYNREGKSMTAASISRETTTTGRNDVRKTVSQIKDEGLGRSEKPDWITVKATITFIKVDNFCYTACPLMVGDRPCNKKVNNNGDGTWHCDRCDQSFPECDYRYLLQFQIQDHTGMTWVTAFQECGEEILGVTAKELYLLKYEEQDDLKFAEIIRTVLFHQYLFKLKVKEETFSDEQRVKSTVVKAEKINPSSESKYLLGLIEKLSSEDPSASKGTYGTSASTSGMANITYGTGESNFGNIHSVRNNFGNNSSSDGYRMGATSQFVQHANDYGGNMKHSFSRNEVQAFCNNCGSNGHSFQNCPRGVNRQGQSVGGGFSSTGSNICFKCQQPGHWASECPGMGAAGSAYGDGGSSGRYTNTRQHVGGF >Ma08_p32030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42769962:42770069:-1 gene:Ma08_g32030 transcript:Ma08_t32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGNLWWGTLKKLTRSSGKGLCCVWRMSALHVDE >Ma08_p04510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3134741:3137269:-1 gene:Ma08_g04510 transcript:Ma08_t04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPAAALDGSATAEKNAPIPVEEKIEASAEVIEPALPSSVESKLKIFIVFYSMYGHVESLAKRMKKGVDDIEGVEGVLYRVAETLPPDVLDKMHAPPKDTAIPLISAAEMVEADGILFGFPTRYGCMAAQMKAFFDSTGQLWREQKLSGKPAGFFVSTGSQGGGQETTAWTAITQLTHHGMLFVPIGYTFGAGMFEMDEIRGGSPYGAGVFAGDGTRQASEAELALAEYQGKYMASVVKKLVQT >Ma08_p04510.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3134741:3137533:-1 gene:Ma08_g04510 transcript:Ma08_t04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPAAALDGSATAEKNAPIPVEEKIEASAEVIEPALPSSVESKLKIFIVFYSMYGHVESLAKRMKKGVDDIEGVEGVLYRVAETLPPDVLDKMHAPPKDTAIPLISAAEMVEADGILFGFPTRYGCMAAQMKAFFDSTGQLWREQKLSGKPAGFFVSTGSQGGGQETTAWTAITQLTHHGMLFVPIGYTFGAGMFEMDEIRGGSPYGAGVFAGDGTRQASEAELALAEYQGKYMASVVKKLVQT >Ma01_p18100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:13386525:13388934:1 gene:Ma01_g18100 transcript:Ma01_t18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADLLDINGQIFAEQGKALNAVASRNVKVLVVGNPCNTNALICLKNEPNISAKNFHALTRLDVTRAKCQTFLGCELVALKAGVFYDKVSNMTIRGNHLMTQVPDFLNAKINGMPVTEVITDIKWLKEEFTERVQKLGSVLIQKWGRSSAASTAVSTVDVIRSLVTPTPDGDWFFPGVYTTGNPYGIADDIVFSMPCRLKGDGDYELAKDVIIDNYLPDRIKKTEAELLAEKRCVAHLTGEGNAYC >Ma06_p00130.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:96157:99485:1 gene:Ma06_g00130 transcript:Ma06_t00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSIGQIQAPTYGNLITILSIDGGGIKGIIPATILAFLESELQKLDGEDARLADYFDAMAGTSTGGLVTAMLTAPNMQNRPLYAAKDIKEFYLNHSPKIFPQSSWPFNTAAKVIRAIIGPRYDGKYLHELIRRNLGETRLHQTLTNVVIPTFDIKRLQPTIFSSYKVKNNPLLDARLSDICIGTSAAPTYLPAYDFQTEDSQGNIREFNLIDGGLAANNPALVAMGEITKETFEENPDFFPIKPMDYHRFLVISLGTGSSKEERYSANHAKRWGVLGWLLSNGSTPLVDAFMQASADMVDIHISEAFQALHSGKNYLRIEDDALSGILASVDTSTKENLENLVKVGEMLLKKPVSRVNLETGHVEPVSEGEGTNEEALIRLAKLLSDERRLREMRSPHSKSSRTGK >Ma06_p00130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:96245:99485:1 gene:Ma06_g00130 transcript:Ma06_t00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSIGQIQAPTYGNLITILSIDGGGIKGIIPATILAFLESELQKLDGEDARLADYFDAMAGTSTGGLVTAMLTAPNMQNRPLYAAKDIKEFYLNHSPKIFPQSSWPFNTAAKVIRAIIGPRYDGKYLHELIRRNLGETRLHQTLTNVVIPTFDIKRLQPTIFSSYKVKNNPLLDARLSDICIGTSAAPTYLPAYDFQTEDSQGNIREFNLIDGGLAANNPALVAMGEITKETFEENPDFFPIKPMDYHRFLVISLGTGSSKEERYSANHAKRWGVLGWLLSNGSTPLVDAFMQASADMVDIHISEAFQALHSGKNYLRIEDDALSGILASVDTSTKENLENLVKVGEMLLKKPVSRVNLETGHVEPVSEGEGTNEEALIRLAKLLSDERRLREMRSPHSKSSRTGK >Ma05_p08380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6186090:6190602:1 gene:Ma05_g08380 transcript:Ma05_t08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAKQSSVSNKGEPVLKWQMG >Ma01_p11450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8308097:8308279:-1 gene:Ma01_g11450 transcript:Ma01_t11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACLHPLHARQHLHAWDVELRESDRRAQGKKRGKAKSFFTRESRRLRRGNLVTRWWQTA >Ma08_p34380.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44405251:44409761:-1 gene:Ma08_g34380 transcript:Ma08_t34380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPREEIPINDNEIRITAQGRMRNYITYATSLLQEKSSGDIVFKAMGRAINKTVMIVELIKRRIVGLHQIAAIGSIDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSAVGYQSPLPADQVKPSAEFEYEGEGSPAGRGRGNGRGRGRARGNGVVDYGDGGWDNRGRGFGRGSYARGRGWGFRGRGRGGFGGRPDYLHETGYNDQAPMPARGRGRGRGRGRGRGFRSNGPVQAVNGGT >Ma08_p34380.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44405251:44409761:-1 gene:Ma08_g34380 transcript:Ma08_t34380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPREEIPINDNEIRITAQGRMRNYITYATSLLQEKSSGDIVFKAMGRAINKTVMIVELIKRRIVGLHQIAAIGSIDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSAVGYQSPLPADQVKPSAEFEYEGGSPAGRGRGNGRGRGRARGNGVVDYGDGGWDNRGRGFGRGSYARGRGWGFRGRGRGGFGGRPDYLHETGYNDQAPMPARGRGRGRGRGRGRGFRSNGPVQAVNGGT >Ma08_p34380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44405251:44409761:-1 gene:Ma08_g34380 transcript:Ma08_t34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPREEIPINDNEIRITAQGRMRNYITYATSLLQEKSSGDIVFKAMGRAINKTVMIVELIKRRIVGLHQIAAIGSIDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSAVGYQSPLPADQVKPSAEFEYEGGSPAGRGRGNGRGRGRARGNGVVDYGDGGWDNRGRGFGRGSYARGRGWGFRGRGRGGFGGRPDYLHETGYNDQAPMPARGRGPGRGRGRGRGRGFRSNGPVQAVNGGT >Ma03_p18490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24109231:24109953:1 gene:Ma03_g18490 transcript:Ma03_t18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWPSLAGTPHAPLPTGVAGLSRSDLTLQPQLAICLLTSEVSLPGMAFFGSEPFHPMPPTPPLKHPSDPACLVDDEGVPVNGQAVRFAARMLELDSLGHGGVKISTAVSHTNRTIFGANSMKQVSQNTACLAFADASPKAEQAVVISGFQTERPRLSYRSTLYAMKTTCGNFDLTLRSWKLKWHYIASNKELQ >Ma08_p23360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36756958:36761154:1 gene:Ma08_g23360 transcript:Ma08_t23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIALYASPPSGVCSTHHHHSCQITASHSSTDLDLNPRAAAPVASSSASSAAAAVSQKPIVGGLSRIFSSTAPAAAASRHVSASGFVSTDELGSFRHDRSDDLGSLYSYSLSSSPFKGREHSPVSVFQGPVTCSGSGSSKSPPLVRTPREWMGGDWRTGKDRLFKGFIRNALSPCLVYDSPSFSTPNGGTVPVEELPFGLDDSMIDTETTCEPYAQELLAGAQARHKIFHEEIVLKAFYEAEKAHKGQMRASGDPFLQHCVETAVLLADVGANCTIVAAGLLHDTLDDSFMDYDHIFHMFGAGVADLVEGVSKLSHLSQLARDNNTANKYVEADRLHTMFLAMADARAVLIKLADRLHNMMTLEALPMVKQQRFAKETLEIFAPLASRLGISTWKEQLQTLCFKHLHPEQHRDLSLQLLKSLNEALVASALQKLGKALVDEGVSYHVLSGRHKSLYSIYSKMTKKKLNMDQIHDIHGLRLIVEKEEDCYKALSIVHRLWPKVIGRFKDYVTHPKFNGYQSLHTVVLSEEMLPLEVQIRTNEMHLQAEYGFAAHWRYKEGNCRHASFVLQMVEWARWVLSWQCETMKSEQCRSIGHTNLFRPPCQFPSHSDNCPYSYTQQCGHNGPVYIIILENEKMSVQEFPADSTVFDLMERAGEGNSRGSPCSFPVKLELRPRLNHEPVSDPSRNLRMGDVVELTPALPDKSLTEYREEIQRMYERGLSLSGRHG >Ma06_p37160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:36302692:36318199:1 gene:Ma06_g37160 transcript:Ma06_t37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSTAPPVLQDLLFPRPSAGRLSPLPLLRYYPLHSRRRFRRLPSRVVLRSAYDEEVAVTEVSPELDRYVGNGNGGARFGYKEVVNGSTNGSVELFLNGNGSTNGGLMKYSGENATIAEDVDKVSEEAKRKKRVEDIGKEDAWFKKDEEQPQVSVAPGGRWNRFRTYSTIQRTLEIWGFVFAFLFKTWLNDQKFSYRGGMTEEKKVLRRKALAKWLKESILRLGPTFIKTGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSIIEEELGAPLDGIFDRFDYEPIAAASLGQVHRARLKGQEVVIKVQRPDLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYMKEAANAEKFAENFTNMEYVKVPKIYWEYTTPQVLTMEYVPGVKINRIKQLDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISSNIREGLLEAFYGIYDKDPDRVVQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMASAELGFKKQLTKEERLEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGLEVIVKDIKKRWERQSSAFYNLFRQADRVEKLAQTIQRLEEGDLKLRVRTLESERAFQRVAAVQKTIGNAVALGSLVNLATILYFNSVRVPAMAAYLLCAFFGAQVLFGFLKVKKLDQQERLITGTA >Ma09_p11710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7943039:7943858:-1 gene:Ma09_g11710 transcript:Ma09_t11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSRKHQGVFCFSASSLDDEANAYRGDVNPESPHYLRWRHHRRVVAKILGSSAVSALKRSNRKRKESRQPSSQKGSDSTDDDDRKTASILSTSVSSNSSFFSIASSPSFRSTPDATEQKPQRKQAPHPVGPELRKTPSGGPVSTSDSGIAIFLLIGSLVVMVFWGRFLAILWTSSWLFFIACWCYARGTAAAAAIKQVDECTLTTELRRRWMEEAEEKKRVVRRGLLERSRRI >Ma06_p10190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7058700:7061579:1 gene:Ma06_g10190 transcript:Ma06_t10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSSPLRLPLLLLMVLAFVWSSRPSQALVFHIPSGRVKCLTEDIRAGAMSLAHFRVADDPAYGHNISASVMDPNGEDLRRVVGVESGEFAFVATETGKHTACFWSPHFQLEAAVTVDFEWKTGIAAKDWTSVAKKGKIDEMELELKKLEDSINSIHEEMIFLRNREEEARRLNETTTSRMGSLSILSFIVCMGVAGLQLLHLKTFFQREKIL >Ma07_p16250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:13021552:13022916:1 gene:Ma07_g16250 transcript:Ma07_t16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIVESSFVVPSEDTRKHSIWLSNLDLLLAPRSHTPTITLFPHNGDPDFFSVEILKAALAKALLHFYPLAGRVAVGEDGRLEIQCTGEGVLFVVARSTCTLDVLSDFTPSKEMRQLFVPSSDGNDHMCIMFQVTFFECGGVCLGIATHHGVMDGVSALHFINTWSDIARGAEGTVVVSHDRTLLRARSPPTVPLEHFEYQCCNPRRQLSTTMAAKLKLSKDQLTSLKTSIELGSGRRISTFEAVTAYVWRCACKARQLQADQETRLYLPVDVRLRLKPSLPPGYFGNAIVRTSVAVATGEIVSSPLQRTVEQMHDAVVRVDDEYIRSIIDLLELMKDIRDVDLGSWQESQTDLWVVSWLRLPMYEADFGWGKPAFLARAFVNLKGMLYILRSPEGDGGITLIMTMEPENMPRFKKVFIQELDCLKQAGA >Ma01_p13420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9812023:9816523:1 gene:Ma01_g13420 transcript:Ma01_t13420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEGDGRTTGSKPICTICYEDLKPLVEHLQAAPICGHVFHELCLQQWFEYCPAGKKPICPVCNQSCPLRRPTRLYFQSAGDSAAATQTTITSFVSQRPSSEALAAEVGRLELKLASLTAKFEIQETHLKELNDEVSSWKESATREEAKRLAIKKEKERIEQFLHAKTEELNRKSLECLRLEERNLGLGKELAALKLATDLNLGEEEMVKLASLGHGCNHDNAIDVMKRSLALRNKSYKELMAQCNNLGRAETRSRQNLDKAIEKIRKMKMRIQELEKALEDKENGFLRDLKASKKRKAKGISGGLQAHNDLASPADKDKNFAMDLNDDASFFDVDALKHRPSLHEDLYRQSDTGNQSELYKSADIQKRSSCEQDASSCPNREAYSICKPSDASGKSWIPDISKHRTENTDEHGGRSQIDSTWVKEVLSIDNITKKKPSAENITETQACNAAAIHGDGCFPGVLGTSIVNKNVGRWCKQDLKKPSSSLGMEASKSSGDLIAVGADGRGGRIKILRSGGHSLSMQPQSPSSKKSKYEANRTSQLQIERFFRKPKTHEG >Ma01_p13420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9812023:9816523:1 gene:Ma01_g13420 transcript:Ma01_t13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEGDGRTTGSKPICTICYEDLKPLVEHLQAAPICGHVFHELCLQQWFEYCPAGKKPICPVCNQSCPLRRPTRLYFQSAGDSAAATQTTITSFVSQRPSSEALAAEVGRLELKLASLTAKFEIQETHLKELNDEVSSWKESATREEAKRLAIKKEKERIEQFLHAKTEELNRKSLECLRLEERNLGLGKELAALKLATDLNLGEEEMVKLASLGHGCNHDNAIDVMKRSLALRNKSYKELMAQCNNLGRAETRSRQNLDKAIEKIRKMKMRIQELEKALEDKENGFLRDLKASKKRKAKGLDSNYTSDNLKLPHTADPPTEDHSKNTTLHYLNSISGGLQAHNDLASPADKDKNFAMDLNDDASFFDVDALKHRPSLHEDLYRQSDTGNQSELYKSADIQKRSSCEQDASSCPNREAYSICKPSDASGKSWIPDISKHRTENTDEHGGRSQIDSTWVKEVLSIDNITKKKPSAENITETQACNAAAIHGDGCFPGVLGTSIVNKNVGRWCKQDLKKPSSSLGMEASKSSGDLIAVGADGRGGRIKILRSGGHSLPQSPSSKKSKYEANRTSQLQIERFFRKPKTHEG >Ma01_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:9812023:9816523:1 gene:Ma01_g13420 transcript:Ma01_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEGDGRTTGSKPICTICYEDLKPLVEHLQAAPICGHVFHELCLQQWFEYCPAGKKPICPVCNQSCPLRRPTRLYFQSAGDSAAATQTTITSFVSQRPSSEALAAEVGRLELKLASLTAKFEIQETHLKELNDEVSSWKESATREEAKRLAIKKEKERIEQFLHAKTEELNRKSLECLRLEERNLGLGKELAALKLATDLNLGEEEMVKLASLGHGCNHDNAIDVMKRSLALRNKSYKELMAQCNNLGRAETRSRQNLDKAIEKIRKMKMRIQELEKALEDKENGFLRDLKASKKRKAKGLDSNYTSDNLKLPHTADPPTEDHSKNTTLHYLNSISGGLQAHNDLASPADKDKNFAMDLNDDASFFDVDALKHRPSLHEDLYRQSDTGNQSELYKSADIQKRSSCEQDASSCPNREAYSICKPSDASGKSWIPDISKHRTENTDEHGGRSQIDSTWVKEVLSIDNITKKKPSAENITETQACNAAAIHGDGCFPGVLGTSIVNKNVGRWCKQDLKKPSSSLGMEASKSSGDLIAVGADGRGGRIKILRSGGHSLSMQPQSPSSKKSKYEANRTSQLQIERFFRKPKTHEG >Ma01_p10320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7434913:7444000:-1 gene:Ma01_g10320 transcript:Ma01_t10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MEERGNGNPSSDPPPPTPAEDGEEETMIGPGPALRARSKRPLQFEHAYLDVLPCAHMYEKSYMHRDVVTHVAVSTADFFITGSVDGHLKFWKKKPLGIEFAKHFRSHLGPIEDLAVSVDGLLCCTISNDRSVKIYDVVNYDMMFMMRLPFVPGAVEWVYRQGDVKAKLAISDRHSAFVGIYDVRAGSNEPIISKEIHMGPIRVMKYNHIHDVVISADAKGVIEYWCPDNLQFPENGVSFKYKTDTNLFDIAKCKTTVSAIEVSPNGSQFAITSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKTENAPQPNAIFDESSNFLIYATLLGIKIVNLHTNKVARILGKVENNDRFLRIALYQGDKSNKKVRKIPAAAANANESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKAVTSSLPDNVVLHTSLGDIHLRLYPEECPKTVENFTTHCKNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKSDKPYQDVKILNVTVPKL >Ma01_p10320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7434913:7443922:-1 gene:Ma01_g10320 transcript:Ma01_t10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MQVSVDGLLCCTISNDRSVKIYDVVNYDMMFMMRLPFVPGAVEWVYRQGDVKAKLAISDRHSAFVGIYDVRAGSNEPIISKEIHMGPIRVMKYNHIHDVVISADAKGVIEYWCPDNLQFPENGVSFKYKTDTNLFDIAKCKTTVSAIEVSPNGSQFAITSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKTENAPQPNAIFDESSNFLIYATLLGIKIVNLHTNKVARILGKVENNDRFLRIALYQGDKSNKKVRKIPAAAANANESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKAVTSSLPDNVVLHTSLGDIHLRLYPEECPKTVENFTTHCKNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKSDKPYQDVKILNVTVPKL >Ma09_p02330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1656496:1662410:-1 gene:Ma09_g02330 transcript:Ma09_t02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRMFYHSAICLCSLAAVLGCCLGEVPVQITIGKEDLTKIGTDERILIGDVNISEPVSALSARTQRVDPLNSLKKYKGGYNITNTHYWSSTIYTGRYGYIIGAIWIIGGLVYASILLITRTHFVNKEPKHKKRFPFSDKYCVWPILIGIILAFLAMVPSGIVLGGSAKFCSRAKAIKNIIMETSEEAAQTIYNVTGAVEAMGRITEFYGGFKGSSYLNSTSQKLIKKASNIQRKAETSMLSLNKGIKILEAVTITSVVLNIVAVLAVLALRHPRLYKIFYLFIILCWLFTFLFWIYFGLYFFLYEFSGDSCVALAEYTLNPRNSNLSSIMPCSEQFSANAMLHDIRAGIHNTIDQVNKRISAAKSLPLPDLEFVCNPFSESPDYSYEPKNCSSNTIKIGDVPQILKKYTCMANDRGVCRGGEFISASDFMKVQVYTSSMQNILDGYPGIERLANCQLVKDASKILLKECKQLKNYAYLTWAGSVVLSIFMVFFVPTLIVEAHQQHKRHTSHWSVVKPQPGLEGSQVDMIEMASIEV >Ma08_p00090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:75404:83020:1 gene:Ma08_g00090 transcript:Ma08_t00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSITSGRPSSSDPGGDYGTKKTKVDQDGGGKILVEPHMSEKKSIELDHCSALNQMNFDASSSSSDPPMKIQEATVDQLPKEMNDMTIKVDKVNDHDEKENDGIMVNGNGTETGQTIVITIGGRNGQPKQTISYMAERVVGTGSFGMVFQAKLVETGEAVAIKKVLQDKRYKNRELQIMQLLKHPNVVELKHHFFSTTDKDELYLNLVLEYVPETVYRVAKYYSRMNHHMPLIFVQLYTYQICRALSYIHRVLGVCHRDIKPQNILVNPQTHQVKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSTGCVLAEFLIGKPLFPGESGANQLVEILKVLGTPTREEIKCMNPSYTEFKFPQIKAHPWHKLFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDELRDPNARLPNGQPLPPLFNFTAQEIEGVSPELIHRLLPVHIKRMM >Ma08_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:75404:83020:1 gene:Ma08_g00090 transcript:Ma08_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSITSGRPSSSDPGGDYGTKKTKVDQDGGGKILVEPHMSEKKSIELDHCSALNQMNFDASSSSSDPPMKIQEATVDQLPKEMNDMTIKVDKVNDHDEKENDGIMVNGNGTETGQTIVITIGGRNGQPKQTISYMAERVVGTGSFGMVFQCFFLYQAKLVETGEAVAIKKVLQDKRYKNRELQIMQLLKHPNVVELKHHFFSTTDKDELYLNLVLEYVPETVYRVAKYYSRMNHHMPLIFVQLYTYQICRALSYIHRVLGVCHRDIKPQNILVNPQTHQVKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSTGCVLAEFLIGKPLFPGESGANQLVEILKVLGTPTREEIKCMNPSYTEFKFPQIKAHPWHKLFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDELRDPNARLPNGQPLPPLFNFTAQEIEGVSPELIHRLLPVHIKRMM >Ma11_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25313863:25314850:1 gene:Ma11_g20990 transcript:Ma11_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEGGRVEIDTSAPFGSVKEAVMLFGERVLAGEVYANRLNEIRAAANRKENERPSLGSVIAELEDTKQNLEKAKEERSKMVSCLTSLAEELERTKMELKQLKAGEHERKVKDIEIEDVKFVEKADQVGVDTPSGGRELEFQKRRYVTFANPPSLARVLSAEEQVLERQFSVAKENAPGKKKKKSFMPWIAALFTGKKDRRQGSAAFGRTGGL >Ma05_p16340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16239369:16239825:-1 gene:Ma05_g16340 transcript:Ma05_t16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVKLLLFAALLLRISATADGQGCDLSSIQVQQTNTGEKVGHDPVFEVEVKNLCSCTISSVFLRCLGFASSMVVDPKLFRREGTDYVVNDRKGIPSSQSVKFRYAWDRAFAMSPASLQVNC >Ma09_p02880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1983463:1984871:1 gene:Ma09_g02880 transcript:Ma09_t02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGDRDWMQIYAIYGTDRWQTVAFLALHATLFAAIALLLLLRFPSSLALLRSLLPAAVPLTALRFLAGLAGSTAALSALCLLYAAGSVLHSSLALRWETAQRMVAAVPDWSAVRTALDVGCGRGILLNAVAMQMKKEGSGGRVVGLDRRRETAVEALRRAGAEGVQEYVTCREGDARRLPFADGYFDVVVSASGLGAAAAEERGRGLAEMVRVLKPGGVGVVWDLLRGSEYAQRLREMRMENVRLSDPVTAYMVGSHIVSFRKPMEQKQPLPQPVFHDPHWAADMC >Ma09_p15490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10808198:10816349:1 gene:Ma09_g15490 transcript:Ma09_t15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 35 [Source:Projected from Arabidopsis thaliana (AT5G35570) UniProtKB/Swiss-Prot;Acc:Q94BY4] MGYQPHFHHLHHHHNGGNNNHGDGASHNHHHQVVNSPRYTGSMTRRTHSFKRTTGSGEIEFQINSPRTASAENPGSPLGEAAESPVAAAAAAAGEKLHGGGAAVHHQNLRFRLGVPILGKKQLGATETGLRVRKKVANFLFFAFCSLCMVLGAVKIFAGGWFWLQTAEKERNYQDLSGTQSASSEVKITNTHRYRDASDSERTLMTITSSSGSSENSAVYSGIWAKPNSENFSQCIDHTKKKKMDAKINGYILINANGGLNQMRFGICDMVAVAKIVKAALVLPSLDHNSYWADDSGFKDLFDWKHFIETLKDEVHIVETLSASYAKIEPFMKTPISWSKVNYYKSEILPLLKRHKVIYFTHTDSRLANNGLPSSIQKLRCRVNYRALKYSSTIEELGATLISRMRKGGSPYLALHLRYEKDMLAFTGCSHNLTLQEGDELRQMRYGVSHWKEKEINGTEKRKLGGCPLTPRETALFLKALGFPSNTRIYLVAGEAYGNGSMQYLIDDFPNIFSHATLSSEEELRPLRNHQNMLAGLDYVVALESDVFLYTYDGNMAKAVQGHRRFENFRKTISPDRLNFIQLVDEFDEGNISWKKFSSKVKKLHEDQTGGPYMREPGEIPKLEECFYANPLPGCICEKHQRGEQDLGFQAHL >Ma01_p18780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14081053:14119354:-1 gene:Ma01_g18780 transcript:Ma01_t18780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MVSGGLTIRELNNLLDQLASTENRGEKAVILSSLIKKTNALEMKWILMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLKDHTQRQKKQDIEVGKPVRPQLAMRVGDATTAWKKLHGKHVVVECKFDGDRIQIHKNGEEIHFFSRNFLEHPEYAHGMSNVIVQNILVQRCILDGEMLVWDMATQHFAEFGSNQEIAKAAKEGLESNRQLCYIAFDILYVGDTSVIHQSLTERHSLLQKVVKPVKGRLEILVPDGGINDHRPPGQPFWSTVAYNVEDVERFFKDTIENRDEGIVLKDLGSKWEPGDRSGKWLKLKPDYIHAGSDLDVLIIGGYFGSGRRGGEVSQFLVGLAELSDHTSYPKRFISFCRVGSGLSNDELNALVAKLKPYFRKNEYPKRVPHFYEVTNNSKERPDVWIESPDKSIVISITSDIRTVKSEVFAAPYGLRFPRITRVRYDKPWYECLDVQSFIELVQSSNGNTRRADDAGLQNENPKHTRNTRRGERKKVTVVPAHFIQTDTSDVREETFIFANMVFYFVNIPPSYSVDYFHKVVVENGGTFSMNLNDSVTHCIAAEKKAGIKYQAAVHHGDVIHYSWVLDCCKQKRLLHLQPKYFLFFAGPSRKKILEEFDAFSDHYYWDLDVTDIKQIFSNIVGLESSDRVEYYRKKYCPVESLCLFRGCCIYFFKALPIINTDCKEVSELALRRMRLEITMHAGEVCDSLTRATHLLVYSTNESYNFDHLYKSFPLIHRHLLHSKKLHIVRYQWLEDSVKKAKKLPEDPYNLNPDSLEDLEVDNSEHGLPASTSNMENQSVSTLLDKYETGKRARPIQRNTGKGNPRSTRRMRARIGNRPAKIDREESVSSDSAVVTGREEALESRKYNNIMGEGESANDNTRIEGESAKTCESLGGTLVWGQTGSEDMHPAELHKKKDSDVGSDSMHVIEDRLGEGEKLEQMVDPLHAMLLDMVPSLSQRNVEGESCSLGGEKQQQQDINANPVKKVDGEKEKLNVDANPVKKKVSYKDVAGQLLEDW >Ma01_p18780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14085244:14119852:-1 gene:Ma01_g18780 transcript:Ma01_t18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MTDTTVKFGLLVSMFQAMLRDRTAAKKRKRFRTFLDRVYTGREYFSAVRLILPGLDRERGTYGLKESTLATCVVDALGLAKDSPDALRLVNWRKGGARSGANAGNFALIAAEVLQRRQGMVSGGLTIRELNNLLDQLASTENRGEKAVILSSLIKKTNALEMKWILMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLKDHTQRQKKQDIEVGKPVRPQLAMRVGDATTAWKKLHGKHVVVECKFDGDRIQIHKNGEEIHFFSRNFLEHPEYAHGMSNVIVQNILVQRCILDGEMLVWDMATQHFAEFGSNQEIAKAAKEGLESNRQLCYIAFDILYVGDTSVIHQSLTERHSLLQKVVKPVKGRLEILVPDGGINDHRPPGQPFWSTVAYNVEDVERFFKDTIENRDEGIVLKDLGSKWEPGDRSGKWLKLKPDYIHAGSDLDVLIIGGYFGSGRRGGEVSQFLVGLAELSDHTSYPKRFISFCRVGSGLSNDELNALVAKLKPYFRKNEYPKRVPHFYEVTNNSKERPDVWIESPDKSIVISITSDIRTVKSEVFAAPYGLRFPRITRVRYDKPWYECLDVQSFIELVQSSNGNTRRADDAGLQNENPKHTRNTRRGERKKVTVVPAHFIQTDTSDVREETFIFANMVFYFVNIPPSYSVDYFHKVVVENGGTFSMNLNDSVTHCIAAEKKAGIKYQAAVHHGDVIHYSWVLDCCKQKRLLHLQPKYFLFFAGPSRKKILEEFDAFSDHYYWDLDVTDIKQIFSNIVGLESSDRVEYYRKKYCPVESLCLFRAFHLYIDIFCIARSYT >Ma01_p18780.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14081053:14119852:-1 gene:Ma01_g18780 transcript:Ma01_t18780.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MTDTTVKFGLLVSMFQAMLRDRTAAKKRKRFRTFLDRVYTGREYFSAVRLILPGLDRERGTYGLKESTLATCVVDALGLAKDSPDALRLVNWRKGGARSGANAGNFALIAAEVLQRRQGMVSGGLTIRELNNLLDQLASTENRGEKAVILSSLIKKTNALEMKWILMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLKDHTQRQKKQDIEVGKPVRPQLAMRVGDATTAWKKLHGKHVVVECKFDGDRIQIHKNGEEIHFFSRNFLEHPEYAHGMSNVIVQNILVQRCILDGEMLVWDMATQHFAEFGSNQEIAKAAKEGLESNRQLCYIAFDILYVGDTSVIHQSLTERHSLLQKVVKPVKGRLEILVPDGGINDHRPPGQPFWSTVAYNVEDVERFFKDTIENRDEGIVLKDLGSKWEPGDRSGKWLKLKPDYIHAGSDLDVLIIGGYFGSGRRGGEVSQFLVGLAELSDHTSYPKRFISFCRVGSGLSNDELNALVAKLKPYFRKNEYPKRVPHFYEVTNNSKERPDVWIESPDKSIVISITSDIRTVKSEVFAAPYGLRFPRITRVRYDKPWYECLDVQSFIELVQSSNGNTRRADDAGLQNENPKHTRNTRRGERKKVTVVPAHFIQTDTSDVREETFIFANMVFYFVNIPPSYSVDYFHKVVVENGGTFSMNLNDSVTHCIAAEKKGIKYQAAVHHGDVIHYSWVLDCCKQKRLLHLQPKYFLFFAGPSRKKILEEFDAFSDHYYWDLDVTDIKQIFSNIVGLESSDRVEYYRKKYCPVESLCLFRGCCIYFFKALPIINTDCKEVSELALRRMRLEITMHAGEVCDSLTRATHLLVYSTNESYNFDHLYKSFPLIHRHLLHSKKLHIVRYQWLEDSVKKAKKLPEDPYNLNPDSLEDLEVDNSEHGLPASTSNMENQSVSTLLDKYETGKRARPIQRNTGKGNPRSTRRMRARIGNRPAKIDREESVSSDSAVVTGREEALESRKYNNIMGEGESANDNTRIEGESAKTCESLGGTLVWGQTGSEDMHPAELHKKKDSDVGSDSMHVIEDRLGEGEKLEQMVDPLHAMLLDMVPSLSQRNVEGESCSLGGEKQQQQDINANPVKKVDGEKEKLNVDANPVKKKVSYKDVAGQLLEDW >Ma01_p18780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14081053:14107377:-1 gene:Ma01_g18780 transcript:Ma01_t18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MRVGDATTAWKKLHGKHVVVECKFDGDRIQIHKNGEEIHFFSRNFLEHPEYAHGMSNVIVQNILVQRCILDGEMLVWDMATQHFAEFGSNQEIAKAAKEGLESNRQLCYIAFDILYVGDTSVIHQSLTERHSLLQKVVKPVKGRLEILVPDGGINDHRPPGQPFWSTVAYNVEDVERFFKDTIENRDEGIVLKDLGSKWEPGDRSGKWLKLKPDYIHAGSDLDVLIIGGYFGSGRRGGEVSQFLVGLAELSDHTSYPKRFISFCRVGSGLSNDELNALVAKLKPYFRKNEYPKRVPHFYEVTNNSKERPDVWIESPDKSIVISITSDIRTVKSEVFAAPYGLRFPRITRVRYDKPWYECLDVQSFIELVQSSNGNTRRADDAGLQNENPKHTRNTRRGERKKVTVVPAHFIQTDTSDVREETFIFANMVFYFVNIPPSYSVDYFHKVVVENGGTFSMNLNDSVTHCIAAEKKAGIKYQAAVHHGDVIHYSWVLDCCKQKRLLHLQPKYFLFFAGPSRKKILEEFDAFSDHYYWDLDVTDIKQIFSNIVGLESSDRVEYYRKKYCPVESLCLFRGCCIYFFKALPIINTDCKEVSELALRRMRLEITMHAGEVCDSLTRATHLLVYSTNESYNFDHLYKSFPLIHRHLLHSKKLHIVRYQWLEDSVKKAKKLPEDPYNLNPDSLEDLEVDNSEHGLPASTSNMENQSVSTLLDKYETGKRARPIQRNTGKGNPRSTRRMRARIGNRPAKIDREESVSSDSAVVTGREEALESRKYNNIMGEGESANDNTRIEGESAKTCESLGGTLVWGQTGSEDMHPAELHKKKDSDVGSDSMHVIEDRLGEGEKLEQMVDPLHAMLLDMVPSLSQRNVEGESCSLGGEKQQQQDINANPVKKVDGEKEKLNVDANPVKKKVSYKDVAGQLLEDW >Ma01_p18780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14081053:14119852:-1 gene:Ma01_g18780 transcript:Ma01_t18780.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MTDTTVKFGLLVSMFQAMLRDRTAAKKRKRFRTFLDRVYTGREYFSAVRLILPGLDRERGTYGLKESTLATCVVDALGLAKDSPDALRLVNWRKGGARSGANAGNFALIAAEVLQRRQGMVSGGLTIRELNNLLDQLASTENRGEKAVILSSLIKKTNALEMKWILMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLKDHTQRQKKQDIEVGKPVRPQLAMRVGDATTAWKKLHGKHVVVECKFDGDRIQIHKNGEEIHFFSRNFLEHPEYAHGMSNVIVQNILVQRCILDGEMLVWDMATQHFAEFGSNQEIAKAAKEGLESNRQLCYIAFDILYVGDTSVIHQSLTERHSLLQKVVKPVKGRLEILVPDGGINDHRPPGQPFWSTVAYNVEDVERFFKDTIENRDEGIVLKDLGSKWEPGDRSGKWLKLKPDYIHAGSDLDVLIIGGYFGSGRRGGEVSQFLVGLAELSDHTSYPKRFISFCRVGSGLSNDELNALVAKLKPYFRKNEYPKRVPHFYEVTNNSKERPDVWIESPDKSIVISITSDIRTVKSEVFAAPYGLRFPRITRVRYDKPWYECLDVQSFIELVQSSNGNTRRADDAGLQNENPKHTRNTRRGERKKVTVVPAHFIQTDTSDVREETFIFANMVFYFVNIPPSYSVDYFHKVVVENGGTFSMNLNDSVTHCIAAEKKAGIKYQAAVHHGDVIHYSWVLDCCKQKRLLHLQPKYFLFFAGPSRKKILEEFDAFSDHYYWDLDVTDIKQIFSNIVGLESSDRVEYYRKKYCPVESLCLFRGCCIYFFKALPIINTDCKEVSELALRRMRLEITMHAGEVCDSLTRATHLLVYSTNESYNFDHLYKSFPLIHRHLLHSKKLHIVRYQWLEDSVKKAKKLPEDPYNLNPDSLEDLEVDNSEHGLPASTSNMENQSVSTLLDKYETGKRARPIQRNTGKGNPRSTRRMRARIGNRPAKIDREESVSSDSAVVTGREEALESRKYNNIMGEGESANDNTRIEGESAKTCESLGGTLVWGQTGSEDMHPAELHKKKDSDVGSDSMHVIEDRLGEGEKLEQMVDPLHAMLLDMVPSLSQRNVEGESCSLGGEKQQQQDINANPVKKVDGEKEKLNVDANPVKKKVSYKDVAGQLLEDW >Ma04_p27160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28401658:28405958:-1 gene:Ma04_g27160 transcript:Ma04_t27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQRRHLEEREFEALKDRIDGKRIGFKRVSCINATLPLSPLLGHADGLCSACTFSQNGEDRVVVATLDINQCLKLADELTGKRPEVLGAGLMRAYLSNVCVAKELQRNGLGFAIVSKSKAVARRWGINDLYVHVAVDNEAALKLYGKSGFVYENEEPAWQARFLGRPRRFLLWADLSQIELVV >Ma10_p27930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34984936:34988199:-1 gene:Ma10_g27930 transcript:Ma10_t27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSGSIPVDVERISFGGKEHHLLTSHGPISVAVYGDLEKPALITYPDVALNHLSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAPFSSDEPVLSVDQLADQVADVLDFFGLVSAMCFGVTAGAYILTLFASKYRERVLGLILVSPLCKAPSWSEWLYTKVVSNLLYFYGMCGLVKEYLLQRYFSKEVRGSSQVPESDIVQACKSLLDEKQSANVWRFLQSLNGRHDLTGALKKLRCRTLIFVGEDSPFHSEALHMTTKLDRRYSALVEVQACGSVVTEEQPQAMLIPMEYFLMGYGLYRPSQLSYSPPSPLNPLCISPELLSPESMGLKLKPIKTRISLEV >Ma07_p13310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10046677:10047918:1 gene:Ma07_g13310 transcript:Ma07_t13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSFHELPPSKRFKLLHPHEHSHRSEPYNLKSLPAKKRLDSYHYQPATSPCLPAKKRVWAPHPTFPIDLNSPPLSDDQPLEEKHSKLATEEEEEEAEGEEDDGVICAVCRSTDGDPLDPIVFCDGCDLMVHASCYGSPLINNIPEGDWFCSRCEQEAKEEEKDSSCCLCPVRGGATKPTEDGRWAHIVCALLVPEVFFRDPQGRDGIDCSRVPSRRWNRDCYLCGATGGCAIDCSEPKCKLGFHVGCGLERGLCFEYKERRGAAIVAGFCADHTELWKKQQLTGKFKIVARDDDRQGKKKA >Ma06_p22220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:17455041:17456951:1 gene:Ma06_g22220 transcript:Ma06_t22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLQELQQENQKAMINKMIGELTEQYWGKCITGTPGNKFSSQKNQFALPIVLSVSWRLACS >Ma04_p00650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:626542:627739:1 gene:Ma04_g00650 transcript:Ma04_t00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIMARSPSESFSFSKRHFQWIAGKGGDDKRQEGGKAEEETFTFSTIASSCDDNAPMKVEVAPPPARKKGPSPSAAAAAVSRLRSVLAAAIAGRHRPVGIGPRVTGTLFGHRRGHVYFAFQVDPRACPAVLIELTTPTNTLVREMASGLVRIALECERRAGGGKKLLEEPLWRAYFNGKKCGYAVRRECGPAEWRVLRAVEPVSTGAGVLPGDGGAYGEMMYMRARFERVVGSKDSEAFYMMNPDNSAGPELSIYLLRV >Ma07_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7972269:7975609:1 gene:Ma07_g10680 transcript:Ma07_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase like protein [Source:Projected from Arabidopsis thaliana (AT5G57850) UniProtKB/TrEMBL;Acc:Q0WW83] MSSVSTSLRHIVPENSSTRAIAAFRRVPCCVDGRKLASRNARRWAPGLRADEKRWGNVKAVTSPDCDGPTTATGRSNVPILSGSEVVERLKAFHENRTGEQNYLAMYSSIFGGITTDSAAMVIPMDDHMVHRGHGVFDTAAIMDGHLYELDQHLDRFLWSASMAKIQLPFDRSTIRSILIQTVSASKCMQGSLRYWLSPGPGDFLLSPSGCPNPALYTIVIEGSSLPVCSGVKVITSSIPMKSQQFAVMKNVNYLPNALSKMEAEENGAFAAIWLDDEGFVAEGPNMNVAFVTADKELLMPYFNKVLSGCTAKRVLSLAEELVADGRLSGIKLRNITVREGKTAEEMMLIGSGIVVKPVLQWDDHVIGAGEEGPVAQALFELILDDMKHGPPSVRTSVPY >Ma04_p36170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34638512:34644545:1 gene:Ma04_g36170 transcript:Ma04_t36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MTSIANSIYFLPFQSIYLQNHFRDDKGWNSANLCWFRFDSSRPKWNCRRSRWFFAIRASALDSAGNDGPSVPVDGKGLDWERRINSFREMVNALPPATLVFKGTTPSKSVLILSIAIAILAIAARRIMLKKKDHSYQGSVADLVRRGQLRSDRRGISKPMKYDDPFNNPFVKIDKSSSTVEMFGKLYRLAPVTLTTEQKSVHQKRRSRVYQWKRPTVFLKEGDSIPPDIDPDTVRWIPANHPFATTVSDIDEDLAQNNVYQKDGVPFRVKAEHEAMQKKLQALQNEQRFNEVAINLNNIRDFERPSQSVKPHEQLEQDSSIYQQNSLINEGVDSSADNHQRN >Ma10_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23232085:23232748:1 gene:Ma10_g09020 transcript:Ma10_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEVEGRDEEETHSSSLSSRPLPFHRLLSYADAVDWALMGLGSVGAVVHGMAQPIGYLLLGKALEAFGSNVGDQTAMVEALKKVHKTCSTV >Ma04_p22060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24462753:24463205:-1 gene:Ma04_g22060 transcript:Ma04_t22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCASRRARRARGRRWREDEDAASDKVGCLAVAKEKRSRFYILRRCVVMLLCWHKYGKC >Ma10_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25084035:25085134:-1 gene:Ma10_g11630 transcript:Ma10_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHGSEASDMGLTAIGHWNACREAHVSVWLGARRTRTTLRGPSHCSRPHGRSRRGGGKPDSSGQATTVVALLSSDCGRWPVDEPPSNTWVLRPGSVLSCRRTRAVEAQCPRARPLMPSPRSGLTVLSGREGPQPKP >Ma06_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11968012:11969325:-1 gene:Ma06_g17660 transcript:Ma06_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTMALRCCFLGGLLLLLLLVASSKGVVGILDPVDFLALQAVRKRLEDMPGSDFFSAWDFTDDPCGFPGVFCAGDRVVALALGDPRAGSPGLQGRLDPALGRLSALAELSLVPGRVTGPIPDALARCSGLRFLALSKNLLSGPLPAGFGSFRRLRTLDLSYNQLSGPVPPALTAASALSNIILCHNQLSGPIPRFPRSAALLRLDLKHNQLSGHIPPLPSSLQYLALGSNALTGSVDAVLPRLTRLNFLDLSSNLLEGPIPGCVFRLRLEALQLQRNAFSGRVVPQGDVVIPVVDLSYNRLWGSVPPQLAGVDRLYLNNNRFTGEVPSRLVQRLSNGMQLLYLQHNFLTGIEFGPAAAAIPVGAALCLQYNCMVPPFDTPCPLKAGTQKMRPVDQCPNWRS >Ma07_p14040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10496284:10496736:-1 gene:Ma07_g14040 transcript:Ma07_t14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPAGASANRLVWDCGSSLYDSFELESLMRQLDSAGAARCFSMPHSAVPPPPPPPLSAPLGQARRKRSRVARWFKNMAWLVLRSKPLKEHGGNSSSGCLASISEAWGRDLGSPECGRKTMSERFTGRTIAAKEYSVHRSSCVLCGGHD >Ma11_p19940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:24601479:24606573:1 gene:Ma11_g19940 transcript:Ma11_t19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRAQDVENIVVLDTKLGAGEVNGDYVRLGDGEPRGVAGVLPQCPYRRCNSVWWWIRVVLLGVCLCAAAVPLIIFAGPLIIKKVVVPFLNWEMATFSRPVLGLLLFACIALFPTMILPSSPCMWIAGMTFGYGYGFLLIMAGSSIGMSLPFFVGSFFRHNIHRWLEKWPKKAAIVKLAGEGDWFHQFRAVVLLRISPFPYIIFNYAAVATNVEYGPYISGSLVGTIHETFITIYSGRLLQSLADATNTGGFLSLEQVIYDVIGFCIAAAATAAITIYAKKTLQTLQVEDELS >Ma10_p18400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29335982:29336993:-1 gene:Ma10_g18400 transcript:Ma10_t18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHWDSACVSKTTAEMLLLMPQQQVAVAAAAAAVTDFDSCSSLDTGTDAPSADGVIVSSPLSSELHESKKVQNQNQHGRVDHKRKTKDEASLVTGESVGIRDGKSKKQKRPKGGLKITEELRSSSDGYVHVRARRGQATDNHSLSERVRRKKISERMKMLQGLVPGCDKVTGKALILDEIINYVQCLQNQVEFLSMKIASLSPVLYDFDVGFADCINQPQVICKPHTSLVK >Ma01_p12320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8928055:8935381:1 gene:Ma01_g12320 transcript:Ma01_t12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVSRLIHSPSSVSRALSSGLLNYGRLLSTESNRVDEPLKVEEAETVKAPPPPSEKLLVLGGNGFVGSHVCKEALDQGLSVSSLSRSGRSSIRESWADKVEWLQGSLLVPESWKDVMNDVTAVISCVGGFGSNSYMYKINGTSNINAIRAAAEKGVKRFVYISAADFGLVNYLLQGYYEGKRAAEAELLTKFTYGGVILRPGFIYGTRQVGSMKIPLGLIGSPLEMVLQHAKPLNRLPLVGPLLTPPVNVTAVAKVAVRAANDPVFPPGIVDVHGILRYSERK >Ma04_p28190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:29283798:29285379:-1 gene:Ma04_g28190 transcript:Ma04_t28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGKDDLGLSLSLSSSNLNLQLNLMPPSSSPASGPSTSPSFYRHQKGRWSDLVVHSAENGPVLDVGGAAAESRPGPLLRGVDVNWTPPGGEERNSGEDAGASSPNSTLSSVSGKRAEQDLQGPASSRGVSDEEDAEGSRKKLRLSKDQSAILEESFKAHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTETDRELLKRCCDTLTEENRRLQKEVRELRDLKLSGPQCYMHTTPPTTLSLCPSCERISNSATTTAVFHRSRPFSMPIRRVLSSAHANRSSS >Ma01_p01950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:1340964:1342092:1 gene:Ma01_g01950 transcript:Ma01_t01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCFLKRRREKMNEEEEKGAQRRGARRQSAFIFFESHRPVRSYSTRKQRWRYLCWCWLDGWITATLINMKAAARLQNKRGKIITKTIVSELHFTKDINATNLFCDLIFFMQIY >Ma02_p23180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28294096:28294648:1 gene:Ma02_g23180 transcript:Ma02_t23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERFLIWYLCCLIHPTLFFRCFPLFMYGGERERERESSVCSWGVLVVLVNMICFNDLKPKKKKIKRWCCDLCLLDLITTKNWVHKATVRNQGINLCFLHPSNRIAFSDEDDCLQHINELPVF >Ma05_p08970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6604060:6604881:-1 gene:Ma05_g08970 transcript:Ma05_t08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGLRQRRYHQEEEDDEELFESDSYSSNSDDDDFTQDVTRSPPASSSPSSKPASDGPLREMSSLMEHLPIKRGLSKYYQGKSQSYTSLAVVSSVEDLPKKETPYARKMKTCRSYAGGMDASQKQPKKAPRVASCASLMSRSSSSSRPPSIPLQNPQCPR >Ma10_p18220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29243135:29243629:-1 gene:Ma10_g18220 transcript:Ma10_t18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVPIAHNSAGPKMDIILNEGGRKTGFLASNKVEYTEAILKVIKMAEAERLAVAAAARKHAQRFSEQKFLEDFKAAVQPILAPKSSS >Ma02_p19320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25518865:25520996:1 gene:Ma02_g19320 transcript:Ma02_t19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVQEANKAAVGSCHRVLSLLSQSQDQDQSRKLAAQTGEAVAAFKRVVSLLSDSAREARFRTVNKVKSPSDHKIGPSLTNSQLLPRDALHDNDRNANNLLQLSQRSFLENQKAGQDPSSAHRRFLPQQQQNNQTYRLQQQMNIQADMLRRSSNGISIKFDSSDCTPSASTSMNGKITSLEGKVFHLIEGHASSNPVNPHPPPKKTSMVKGEDNNGKCPNNGRCHCSRKRKLRIKRSIKVPAVSEKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSIRGCPAKKHVERCLEDPSMLIVTYEGEHNHTKLHTQSAQT >Ma04_p16550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:15949921:15954721:1 gene:Ma04_g16550 transcript:Ma04_t16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFVVRSIESALRRRGAASSNRRLFSSDSAPERKVAILGAAGGIGQPLALLMKLNPLVSNLALYDIAGTPGVAADVGHINTRAQVAGYVGEEQLGKALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKSLCTAIAKYCPSAVVNMISNPVNSTVPIASEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAKVPVADVNVPVVGGHAGITILPLFSQATPASNDLATEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDIVECSFVQSSITELPFFASKVRLGKNGVDQVLGLGPSLSDYEKEGLENLKPELKASIEKGIKFAKDN >Ma04_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26046450:26049680:-1 gene:Ma04_g23970 transcript:Ma04_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDNNGSPKIHQLDSNRWSVTYVLVVVGLCITFYILGAWQNTTPPTLDPTSNLATNAGCDCPCLRPGGSSSTNAVLDFDAHHESNLNVTPATNDKFPPCDLNFSEYTPCHDRTRGRRFERAMLVYRERHCPGNDELIRCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVDGDRFRFPGGGTMFPRGADAYIDDINALISLTDGSIRTAIDTGCGVASWGAYLLRRDIVTMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWHAFDGLYLIEVDRVLRPGGFWILSGPPIHWKKYYQGWERTQEDLKNEQDSIEEVAKRLCWKKYIEKEDLAIWQKPFNHMECIESRKIYETTPQICKKDNADAGWYKKMEACITPLPEVSSPDEVAGEVLEKWPERAFAVPPRISRGTIPGLDSRKFEEDNAMWKERVTHYKKIILPLPKGRYRNVMDMNANLGGFAAALVKYPVWVMNVVPASSNQDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADGVFSIYQDRCDITYILLEMDRILRPEGTVIVRDTVEVLTKVQAITDGMRWNNQIIDHESGPFNPEKILVAVKTYWTADPSTQQ >Ma04_p23970.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26046450:26049680:-1 gene:Ma04_g23970 transcript:Ma04_t23970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARDNNGSPKIHQLDSNRWSVTYVLVVVGLCITFYILGAWQNTTPPTLDPTSNLATNAGCDCPCLRPGGSSSTNAVLDFDAHHESNLNVTPATNDKFPPCDLNFSEYTPCHDRTRGRRFERAMLVYRERHCPGNDELIRCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVDGDRFRFPGGGTMFPRGADAYIDDINALISLTDGSIRTAIDTGCGVASWGAYLLRRDIVTMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWHAFDGLYLIEVDRVLRPGGFWILSGPPIHWKKYYQGWERTQEDLKNEQDSIEEVAKRLCWKKYIEKEDLAIWQKPFNHMECIESRKIYETTPQICKKDNADAGWYKKMEACITPLPEVSSPDEVAGEVLEKWPERAFAVPPRISRGTIPGLDSRKFEEDNAMWKERVTHYKKIILPLPKGRYRNVMDMNANLGGFAAALVKYPVWVMNVVPASSNQDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADGVFSIYQDRCDITYILLEMDRILRPEGTVIVRDTVEVLTKVQAITDGMRWNNQIIDHESGPFNPEKILVAVKTYWTADPSTQQ >Ma04_p23970.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26046450:26049293:-1 gene:Ma04_g23970 transcript:Ma04_t23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARDNNGSPKIHQLDSNRWSVTYVLVVVGLCITFYILGAWQNTTPPTLDPTSNLATNAGCDCPCLRPGGSSSTNAVLDFDAHHESNLNVTPATNDKFPPCDLNFSEYTPCHDRTRGRRFERAMLVYRERHCPGNDELIRCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVDGDRFRFPGGGTMFPRGADAYIDDINALISLTDGSIRTAIDTGCGVASWGAYLLRRDIVTMSFAPRDTHEAQVQFALERGVPAMIGVMATQRLPYPARAFDMAHCSRCLIPWHAFDGLYLIEVDRVLRPGGFWILSGPPIHWKKYYQGWERTQEDLKNEQDSIEEVAKRLCWKKYIEKEDLAIWQKPFNHMECIESRKIYETTPQICKKDNADAGWYKKMEACITPLPEVSSPDEVAGEVLEKWPERAFAVPPRISRGTIPGLDSRKFEEDNAMWKERVTHYKKIILPLPKGRYRNVMDMNANLGGFAAALVKYPVWVMNVVPASSNQDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADGVFSIYQDRCDITYILLEMDRILRPEGTVIVRDTVEVLTKVQAITDGMRWNNQIIDHESGPFNPEKILVAVKTYWTADPSTQQ >Ma08_p19800.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33673924:33675418:-1 gene:Ma08_g19800 transcript:Ma08_t19800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESESSQQGRHQEVGHKSLLQSDALYQYMLETTVYPREPECMKELREITANHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIEKAGVAHKIDFREGPALPVLDLLLEDVRIECIFQPLLLVSADKCCRRGWSQEKKQGSFDFVFVDADKDNYLNYHKRLLELVKVGGVIAYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLHLNRALAADPRIEICQLPVGDGVTLCRRIK >Ma08_p19800.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33673924:33675397:-1 gene:Ma08_g19800 transcript:Ma08_t19800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESESSQQGRHQEVGHKSLLQSDALYQYMLETTVYPREPECMKELREITANHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIEKAGVAHKIDFREGPALPVLDLLLEDEKKQGSFDFVFVDADKDNYLNYHKRLLELVKVGGVIAYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLHLNRALAADPRIEICQLPVGDGVTLCRRIK >Ma08_p19800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33673924:33675400:-1 gene:Ma08_g19800 transcript:Ma08_t19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESESSQQGRHQEVGHKSLLQSDALYQYMLETTVYPREPECMKELREITANHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKEKKQGSFDFVFVDADKDNYLNYHKRLLELVKVGGVIAYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLHLNRALAADPRIEICQLPVGDGVTLCRRIK >Ma09_p12990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8743801:8746002:1 gene:Ma09_g12990 transcript:Ma09_t12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRRGNQQQRHHHHPALDDVMKVLSRASRDLLLVQRQLDQEFQRSYPDDVNPCKIVARIKKIQEDLVSLKELCRELLAEKQDLIDKTRSVLVGQRHSLQMLLASSGLPSMSESDDMAYANLNQVIDEWTAQVRAKTGDEKDIGEDINQMLFSALVQNN >Ma05_p18930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:25554416:25557156:1 gene:Ma05_g18930 transcript:Ma05_t18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFAVPSRTARYVPVRQQYYSTRYTQVYRSVHRTVPVPSPGRNTGTVRYCEPWFRVILDEAQTIKNHRTQVARACCGLRAKRRWCLSGTPMQNAIDDLYSYFRFLKYDPYSVYSSFCASIKYPISKNTSSGYRKLQAVLKAVLLRCTKATLIDGEPILKLPPKSICLKKVDFSHEEREFYLKLEADSRQQFKVLTIQEVGLFTR >Ma04_p18120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19718342:19721154:1 gene:Ma04_g18120 transcript:Ma04_t18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNALHHRERGVRAGGHVRADGQLHGVPGKDLPYEADGRRQPVLRFRRHHQPGAATRCLRLRRLLGPLSHSRRRLHRYFPVQGTVVLTLTAAAPQLRPPPCSQAAEESGQCPGPSNSQLAVLFLSLALLVLGAGGVRPCSLPFGVDQFDRTTERGKRGLDSFFNWYYSISTAGTVVAMTVVVYIQDSVSWAIGFGIPTGLMLLAIVFFFAGVKLYLFVPPEGSVFSGVAQVLVAAFRKRRLRLPSPNDAVQQESLLYSNLAQESAEEMKLPLTLQFRFLNKAAIICEGERKEDGQPADPWRLCSVHQIEQVKCAMRVIPIWASGIICFVALSQQWTFAALQSLKMDRHLGPSFEVPPGSLGIISLLAIILFIPVYDRALVPMARSLTGIESGITLLQRQGAGMVVAIISMVVAGLVEKKRRDSAVAHGGVNGSSPLSAMWLAPQLCLMGIAEAFNAVGQVEFYNRQFPEHMQTIAGSLFHCSLAGASYVSTFLVTFIRKSTAGPGQASWLEDNINVGRVDYFYYLIAVLGAGNLLYFVVCAHFYRYKGTGELKEASKDMEGIL >Ma04_p18120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:19718342:19721154:1 gene:Ma04_g18120 transcript:Ma04_t18120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRPLLQEAATGDDLRSDRRRPRGWKAMPYIIGNEAFERVATYGLTANFMVYLVKIYHMKQMAAANLCYVFGGTTSLAPLLGAFVSDAFWGRFRTLAVASIATFLGTVVLTLTAAAPQLRPPPCSQAAEESGQCPGPSNSQLAVLFLSLALLVLGAGGVRPCSLPFGVDQFDRTTERGKRGLDSFFNWYYSISTAGTVVAMTVVVYIQDSVSWAIGFGIPTGLMLLAIVFFFAGVKLYLFVPPEGSVFSGVAQVLVAAFRKRRLRLPSPNDAVQQESLLYSNLAQESAEEMKLPLTLQFRFLNKAAIICEGERKEDGQPADPWRLCSVHQIEQVKCAMRVIPIWASGIICFVALSQQWTFAALQSLKMDRHLGPSFEVPPGSLGIISLLAIILFIPVYDRALVPMARSLTGIESGITLLQRQGAGMVVAIISMVVAGLVEKKRRDSAVAHGGVNGSSPLSAMWLAPQLCLMGIAEAFNAVGQVEFYNRQFPEHMQTIAGSLFHCSLAGASYVSTFLVTFIRKSTAGPGQASWLEDNINVGRVDYFYYLIAVLGAGNLLYFVVCAHFYRYKGTGELKEASKDMEGIL >Ma04_p12670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:9560756:9561259:-1 gene:Ma04_g12670 transcript:Ma04_t12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVFLCREEEEVLGTHKAPGSCPYCGGAVMATYVESAWRLCFLPLCHKIKRKFSCTCCSRRLVAYP >Ma03_p25590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29574091:29575932:1 gene:Ma03_g25590 transcript:Ma03_t25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAAAAAEEEKREMEVGKKRKEEEIKAVNGKAEVYEVDDDDDEEEENEDSDEIVELKDDDEDGGDDDDEEDGGDDDEGDNDDDDDDVEEVTPQEYHRQVQAAADDDDEEEEEEGGDGGDGDDDDDDDDDDDDDEDAEEEEEELGTEYLAQPVVRAEGQEDASDFEAGEETDDDIGDADGTRGNGGGHSTRDEMSSKRKRTAKDDSDDDDDDDDDDGDDDDERPPKR >Ma03_p25590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29573840:29575932:1 gene:Ma03_g25590 transcript:Ma03_t25590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAAAAAEEEKREMEVGKKRKEEEIKAVNGKAEVYEVDDDDDEEEENEDSDEIVELKDDDEDGGDDDDEEDGGDDDEGDNDDDDDDVEEVTPQEYHRQVQAAADDDDEEEEEEGGDGGDGDDDDDDDDDDDDDEDAEEEEEELGTEYLAQPVVRAEGQEDASDFEAGEETDDDIGDADGTRGNGGGHSTRDEMSSKRKRTAKDDSDDDDDDDDDDGDDDDERPPKR >Ma05_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34571174:34575434:1 gene:Ma05_g22770 transcript:Ma05_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKERNYESGSGNKRKRGRWENRTSSIKKKRRGMGHSSTVRSDRCVTCTTFNILAPIYKRISEESCRESQYRAYWLTRNKSIIDRLLGDRSSIICLQEVWLGNDELVNMYEKQLGDAGYVSFKLARTNNRGDGLLTAVHRDYFRILNHRELLFNDFGDRVAQLLDVESVVPFWHGQNSSIRQQLLIVNTHLLFPHDSTLCIVRLQQVYKILQYIETYQKEHNLEPMPVILCGDWNGSKRGHVYKFLRSQGFISSYDTVHQYTDSDADAHKWISHRNHRGNICGVDFIWLLNPNKQRKPLRTSWNEAVFGIIKYLLRAASLTETSAFAFLKVDSPGDYITYSGFCQALYQLGLSGHPDGLSSEDTKVLWVQADTDGNGVVDYVEFLQRIWNPKCSEQPEEKTVSGTKGSPITGGETQQQQQAFGFDVKDAVLFPPEVERGMWPENYSLSDHAPLTVVFSPVKMPCGGPVC >Ma05_p22770.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34571174:34575434:1 gene:Ma05_g22770 transcript:Ma05_t22770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKERNYESGSGNKRKRGRWENRTSSIKKKRRGMGHSSTVRSDRCVTCTTFNILAPIYKRISEESCRESQYRAYWLTRNKSIIDRLLGDRSSIICLQEVWLGNDELVNMYEKQLGDAGYVSFKLARTNNRGDGLLTAVHRDYFRILNHRELLFNDFGDRVAQLLDVESVVPFWHGQNSSIRQQLLIVNTHLLFPHDSTLCIVRLQQVYKILQYIETYQKEHNLEPMPVILCGDWNGSKRGHVYKFLRSQGFISSYDTVHQYTDSDADAHKWISHRNHRGNICGVDFIWLLNPNKQRKPLRTSWNEAVFGIIKYLLRAASLTETSAFAFLKVDSPGDYITYSGFCQALYQLGLSGHPDGLSSEDTKVLWVQADTDGNGVVDYVEFLRIWNPKCSEQPEEKTVSGTKGSPITGGETQQQQQAFGFDVKDAVLFPPEVERGMWPENYSLSDHAPLTVVFSPVKMPCGGPVC >Ma05_p22770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34571174:34575434:1 gene:Ma05_g22770 transcript:Ma05_t22770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKERNYESGSGNKRKRGRWENRTSSIKKKRRGMGHSSTVRSDRCVTCTTFNILAPIYKRISEEDQSCRESQYRAYWLTRNKSIIDRLLGDRSSIICLQEVWLGNDELVNMYEKQLGDAGYVSFKLARTNNRGDGLLTAVHRDYFRILNHRELLFNDFGDRVAQLLDVESVVPFWHGQNSSIRQQLLIVNTHLLFPHDSTLCIVRLQQVYKILQYIETYQKEHNLEPMPVILCGDWNGSKRGHVYKFLRSQGFISSYDTVHQYTDSDADAHKWISHRNHRGNICGVDFIWLLNPNKQRKPLRTSWNEAVFGIIKYLLRAASLTETSAFAFLKVDSPGDYITYSGFCQALYQLGLSGHPDGLSSEDTKVLWVQADTDGNGVVDYVEFLQRIWNPKCSEQPEEKTVSGTKGSPITGGETQQQQQAFGFDVKDAVLFPPEVERGMWPENYSLSDHAPLTVVFSPVKMPCGGPVC >Ma05_p22770.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34571174:34575434:1 gene:Ma05_g22770 transcript:Ma05_t22770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKERNYESGSGNKRKRGRWENRTSSIKKKRRGMGHSSTVRSDRCVTCTTFNILAPIYKRISEEDQSCRESQYRAYWLTRNKSIIDRLLGDRSSIICLQEVWLGNDELVNMYEKQLGDAGYVSFKLARTNNRGDGLLTAVHRDYFRILNHRELLFNDFGDRVAQLLDVESVVPFWHGQNSSIRQQLLIVNTHLLFPHDSTLCIVRLQQVYKILQYIETYQKEHNLEPMPVILCGDWNGSKRGHVYKFLRSQGFISSYDTVHQYTDSDADAHKWISHRNHRGNICGVDFIWLLNPNKQRKPLRTSWNEAVFGIIKYLLRAASLTETSAFAFLKVDSPGDYITYSGFCQALYQLGLSGHPDGLSSEDTKVLWVQADTDGNGVVDYVEFLQRIWNPKCSEQPEEKTVSGTKGSPITGGETQQQQQAFGFDVKDAVLFPPEVERGMWPENYSLSDHAPLTVVFSPVKMPCGGPVC >Ma05_p22770.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34571174:34575434:1 gene:Ma05_g22770 transcript:Ma05_t22770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRKERNYESGSGNKRKRGRWENRTSSIKKKRRGMGHSSTVRSDRCVTCTTFNILAPIYKRISEEDQSCRESQYRAYWLTRNKSIIDRLLGDRSSIICLQEVWLGNDELVNMYEKQLGDAGYVSFKLARTNNRGDGLLTAVHRDYFRILNHRELLFNDFGDRVAQLLDVESVVPFWHGQNSSIRQQLLIVNTHLLFPHDSTLCIVRLQQVYKILQYIETYQKEHNLEPMPVILCGDWNGSKRGHVYKFLRSQGFISSYDTVHQYTDSDADAHKWISHRNHRGNICGVDFIWLLNPNKQRKPLRTSWNEAVFGIIKYLLRAASLTETSAFAFLKVDSPGDYITYSGFCQALYQLGLSGHPDGLSSEDTKVLWVQADTDGNGVVDYVEFLRIWNPKCSEQPEEKTVSGTKGSPITGGETQQQQQAFGFDVKDAVLFPPEVERGMWPENYSLSDHAPLTVVFSPVKMPCGGPVC >Ma04_p17460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17806546:17807566:1 gene:Ma04_g17460 transcript:Ma04_t17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRVVCALLFVLSALSCTSPSTAHDNNIMLTGDVLCPDCQLSYLDATFTMQSDCNLVFYEQSEATFYSDTYDQGHVNCTVSLNQYGQLVISAPDGTTVWTSGTPASEGRYAAVLRPDKQVGIYGPVVWSAPDTGSASDVLMDDGEEGESDDDVIPTVDNTLFSSDILGENEGLATRDYSLTMLESCSLELVKGESQYLWVSGSVGRGKHCFLRLNRMGQLTIKDDQYQSIWSTKPSPQGDGDYVLILQSNGQAAVYGPLIWATGGYYQTTPFAFSPAPISY >Ma07_p12640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9523906:9530273:-1 gene:Ma07_g12640 transcript:Ma07_t12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQEESVPLLTNGGTGLARRVWEESKKLWAVVGPSMIGRLALYGMCVITQAYAGHVGDLELASFSIATTVVASFAFGFMLGMASAMETLCGQAFGAKRYHMLGVYMQRSWVVLFVCDILLLPLYLYATPLLELLGQPREIAEQAGYLSLWLLPMHFSFVFLFPLQRFLQCQLKNSVNAAFTVLALLVHVLVSWLLLEKLKLGLTVATLTLDFSWWVAVVGQFGYVVCGGCPRTWKGFSFEAFAELWGFLKLSVSSGVMLCLENWYYRILVLLAGNLKNAEIAVDALSVCMNINSWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSVATSTAVGLVFWSLIIGFHDKIALIFSTSRVIQEVVNRLCILLAFSILLNSVQPVLSGVAVGSGWQALVAYVNIGTYYFIGIPFGLILGWIFELGILGIWAGMIGGTGIQTLILAILTIRCDWDKEAMIARDRVKKWSVAADDIRTNS >Ma06_p26440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28289543:28290559:1 gene:Ma06_g26440 transcript:Ma06_t26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQGMAQEGKWETFEEDGEASLLSDFPTATDKSNDVEGKMDGAADDFEFRVSAGGGLLEGDGAAVAAMCSADEVFFKGHILPLRPSVDNGGSTGSRGPSRCGSRSDSMDRCSTAIASLGFDTSRSNSSNSSSRSSNCVSRSHSSNSTHSSNAFDLPRSSLSNNFYTHPSPKPQLHHARKASASRRSTTSAPPGWGIFWLGLIRPPHIELYDLRSRRSSNGTGGRKSDADAVHEKKSVRSNEDGSASARSSSSSKRLDVCDGEDKVEKKATRLVGRGFSCKCTLDAVEPRSMAEKKKQDGASLRRADSMCRSRIFEWLEELSIAKATGSRDSGGGVH >Ma03_p15530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:15643075:15644715:1 gene:Ma03_g15530 transcript:Ma03_t15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNVAVLLLAILSSAFTTTHGQILHNGRWKLLKRSIGISAMHVALLPNGKVIVFDRTDFGASNISLPSKRCRNDSRDQALRHDCWAHSVEFEPFGRSVRPLTVLTDTWCSAGALTANGTLVQTGGFNDGERAVRYFSPCEGCDWVEDEEGLSVKRWYASAHVLPDGRRVVVVGGRGQFDYEFVPKEMSSGAASTFELPFLRETKDASENNLYPFVHLSPDGNLFIFADTKAILLDYEHHRVVRRFPEMPGGISRNYPSTGSSVLLPILLPHNVSGAIEAEVMICGGTMPNASSLAVKGHFLPASNTCGRLKITDESPAWTMEEMPMGRVMGDMVLLPTGDVLIVNGAGKGSAGWYMGREPVLHPVLYRSGVAGVASQGFEVLSPTTTPRVYHSTAHLLPDGRVLVGGSNPNIKYEFSGVLFPTELSLEAFYPPYLGAPRRPRITGMEPGTEITYGEMLSIEAQLEEGVGEVVVTMVAPAFTTHSFSMNQRLLVLEVGGVRRSSSGCYMVEVVAPASAVLAPRGYYMVFVVQEGVPSRGTWIHVM >Ma03_p21520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:26519069:26521433:1 gene:Ma03_g21520 transcript:Ma03_t21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSPPVPLEMARPLLRLLLLVFFVAIGRLGPLAVRSEPIQDRAALLAFLDAIPHKQRIRWDANSSACDWVGVTCDANRTAVVALRLPAVGLVGPIPAGTLGRLSSLRILSLRLNRLSGPIPDDFAGLASLHGLYLQNNLFSGGIPSWLSQLTGLGRLDLSGNNLTGEIPFALSNLTHLTGLLLQNNRLSGSLPSISIDSLVGFNVSYNRLNGSIPRTLRRFPESSFVGNLDLCGRPLPPCNPFFPSPAPSPTAEENPVKSRKKLSKTSIVGILVAAVVVLLLVLLLFLICLSLLRRRRKQKAAMTAAAADEAAGRSGGTGITSSSKDDLSSGVAGSGAAAMAGAAEKNRLVLVGSGGGYRFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVSRREFEEHVETLGQLERMDNLLPLRAYYYSKDEKLIVLDYLPVGSLSSLLHGSRGSGRTPLGWESRMRIALAAGRGISHLHTSARIVHGNIKASNVLLRSDLHSVVVSDFGLHPLFGSAAPPNRVAGYRAPEVIETRRPTFKSDVYSFGVLLLELLTGKSPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYPTTEEEMVQLLQIAMSCVATMPDARPDIPEVVRMMEGIADRTAST >Ma07_p01280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:975230:987806:1 gene:Ma07_g01280 transcript:Ma07_t01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHKRPFSCSRSGGGGGDGGTTTTNSNSSGASGGSTLLSPDSSSSMKKAKPLPAAACSLEKEKNGLHHHFDTAAAAAAVAARPGKEEDAMLVDQEELKPGASVPVAMTGVAANLSRKKATPPQPSAKKQLVIKFVKGKPSVPANFEEDTWATLKSAINAIFLKRRDPCDSEKLYQAVDDLCLHKMGGNLYQRVQRECEIHISRALSSLVGQSPDLVVFLSLVEKCWQDFCDQMLTIRGIALVLDRTYVKQTPNVRSLWDMGLQLFRKHLALSSEVEHKVVTGLLRLIEKERQGEAIDKTVLSHLLKMFTALGIYMESFEKLFLECTSEFYAAEGVKYMQQSDVPDYLKHVESRLHEENERCFLYLDVNTRKPLVATAEKQLLERHTSAILDKGFTMLMEANRVDDLQRMYTLFQRVNALELIRQALSSYIRGTGQVIIMDEEKDKDLVSFLLEFKASLDKILEESFFKNEAFSNTIKDSFEHLINLRQNRPAELIAKFVDEKLRAGNKGTSEEELESMLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFKQSSQARTKLPTGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYHGRRLMWQSSLGHCVLKAEFPRGKKELSVSLFQAVVLMLFNDTQRLSLQDIKDSTGIDDRELRRTLQSLACGKVRVLQKIPKGREIEDEDSFVFNEEFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPVDLKKRIESLIDREYLDRDKNNPQIYNYLA >Ma10_p11360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24908760:24910438:1 gene:Ma10_g11360 transcript:Ma10_t11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADHLALVFFSFAVGFALFSMLLLLLRKRRWCTCHVCRAYLSSSWAAEFDNLCDWHAHLLRESPTGTIHVHVLGSTITANPTNVEYMLKTRFDNFPKGKGFSALLGDLLGDGIFNVDGDSWRFQRKMASLALGSISVRSYALGIVSSEISRKLLPLLSSVADRRDGVVDLQDVFRRFAFDTICKISFGLDRSCLERSMPMSEFAAAFDAASKLLARRGMATASMLWKLKRLFNVGSERKLRRAIRKINMLAEDIIRQRRRLGFTSGHDLLSRFMCLVDDDDKFLRDIVISFLLAGRDAVASGLTSFFLLLSQNPYTAAAMRDEIAGVIKDGNEDTVNYEQLKEMHYVHAVLYESLRLFPPVQFDSKFCLEDDVLPDGTFVSKNTRVTYHPYAMGRMESIWGPDCREFKPERWLRGGVFTPANLFNYPVFQAGQRVCLGKELALEEMKAVVVSVVSKFDVEVLQGAQPLKFDVGLTATINGGVSARVSRRS >Ma02_p13460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21840442:21846037:-1 gene:Ma02_g13460 transcript:Ma02_t13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASHVAAPPPLAPPAVGLAVALPPKQDERTEPQKVDWLNLPCPIPLSESRRVCFLSLKPELFEGLRFDFTKGINQKFSLSHSVFMGSMEMEVPSQSSDTIKVPTAHYEFGANFLDPKLILVGRVMTDGRLNARVKCDLIDNLTLKINAQLTNEPHFSQGMFSFDYKGKDFRTQFQIGNNAFYGANCIQSVSPYLSLGGEIFWLGHQRKSVIGFTARYNTDNMIATGQVASTGIVALSYVQKVFEKVSLASDFMYNRLTQDVTSSFGYDYILRQCRSRGKLDSNGVIAAFLEERLNMGVNFILSAEVSRAKDYKFGFGMTVGERSFSCNFFRRWNNW >Ma03_p28860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31908591:31921434:1 gene:Ma03_g28860 transcript:Ma03_t28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFVSGLVGTLKDMAKEKVDLLLGVPGEIQNLQSTLRNIHSVLLDAEKRRIEDKAVNDWLMEPKDVMYDADDVLDEWRTAAEKCAPGESPSKRFKGNIFSIFAGLSDEVKFRHEVGIKIKDLNDRLEEISARRSKLQLHVSAAEPRVVPRVSRITSPVMESDMVGEQLEEDAKALVDQLTNQDPSKNVVVLAIVGIGGIGKTTLAQKVFNDGKIKASFRTTIWVCVSQEYSETDLLRNIVKGAGGSHGGEQSRSLLEPLVEGLLRGNKFLLVLDDVWDAQIWDDLLRNPLQGGAAGSRVLVTTRNAAIARQMKAAHVHEMKLLPPEDGWSLLCKKATMNAEEERDAQDLKDTGLKIVEKCGGLPLAIKTIGGVLRDRGLNRSAWEDVLRSAAWSRTGLPEGMHGALYLSYQDLPSHLKQCFLYCALFREDFDFERPEIVILWIAEGFVEARGDVSLEETGEQYYIELHHRCLLQSLQPFSLDYHNYSKMHDLLRSLSHFLSRDDSLCISDVQNEWRSGAASMKLRRLSIVATETTDIQHIVSLIKQHESVRTLLVRGYAKDIDDYLKNFVRLRVLHLMGRNIQSLPHYMGNLIHLRYLTVSHSHITELPESICNLTNLQFLILFGCRRLTQIPQGIVRLVNLRALDCKGTRLESFPYGIKRLKHLNELQGFVVNTGIGMCPLEALCGLKELRDLSIHRLERAWLEAEPGRDTSVLKGNQKLKKLLLSCSFTSDGHTEEQIERIEKVLDVALHPPSSVVSLSLHNLFGRRYPSWMASASISSLLPNIRHLELIDCYDWPLLPPLGKLPSLEFLVIRGARAVTTIGPEFFGCEAAAATGNDRERNSKRPSSSYPTSPSLFPKLRQLELRKMTNMEVWDWVAEGFAMRRLDKLDLVNCPTLKSLPEGLIRQATCLTTLNLRDVCALKSIRGFPSVKELSISGESDLEIVTDLPALEVLELGEFLLPNNHLPEWLADCPACFTILQRLDVHGTTQLLRRCLQNGAYWPMIKHFPNFSIKDDRGNYINYIKHSGTFETNLDDAAAFAAAAAEEEEEGEDINEL >Ma06_p35450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35268850:35274907:1 gene:Ma06_g35450 transcript:Ma06_t35450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESVLEAIFQEPAFGGLEEILDDDDDGGIEEEGKEDVEMVDAETLEPGGGGGSAAGLAGGDTPQTEGGSGIQSRGRNGSRGRGKKKNRRKRKGGGGVGGGGGGATASIADINRFVIETCRHLKEHKSYLLWNAIGCLGVSVVKDLVKEVDAIQHCGGQKTADGRRFRTGGGILWNILKTREPKAYKEIMSKGREFEKQFKESKAKMRRNNEAQVSDTSIPNEAEVSDNSERGAYAEKKLESSESKTQHKKLMDRMRVPVSYEDLLEEGEIC >Ma06_p35450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:35268850:35274907:1 gene:Ma06_g35450 transcript:Ma06_t35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESVLEAIFQEPAFGGLEEILDDDDDGGIEEEGKEDVEMVDAETLEPGGGGGSAAGLAGGDTPQTEGGSGIQSRGRNGSRGRGKKKNRRKRKGGGGVGGGGGGATASIADINRFVIETCRHLKEHKSYLLWNAIGCLGVSVVKDLVKELQMQVDAIQHCGGQKTADGRRFRTGGGILWNILKTREPKAYKEIMSKGREFEKQFKESKAKMRRNNEAQVSDTSIPNEAEVSDNSERGAYAEKKLESSESKTQHKKLMDRMRVPVSYEDLLEEGEIC >Ma08_p19640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33395409:33397110:-1 gene:Ma08_g19640 transcript:Ma08_t19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMMGLLRVRVVRGVNLAYRDARGSDPYVVMRMGGQKLKTSVKKHNVNPVWNEDLTLSVSEPLRPIKLQVYDKDTFSRDDKMGDAEIDIQPFVEAVKMNFSGLPNGTIIKTLTPNRQNCLAEESAIVWKDDMIVQDVVLRLRNVESGELELQLNWINIPGALGL >Ma10_p28800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35560563:35569163:-1 gene:Ma10_g28800 transcript:Ma10_t28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MRRMAASRRQLQWVAKRMVAARNMRAVPQKSPPSSSEELMRLEEQCSAHNYHPIPMVFSQAKGTCVWDPEGNKYIDFLSAYSAVNQGHCHPKVVKALIEQAERLTLSSRAFYNDKFPTFAEHLTQMFGYDMTLPMNTGAEGVETALKLARKWGYEKKKIPKDEALIVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDVDALEQIFRDHGERICAFLFEPIQGEAGVIIPPDGYLKSVRDLCSRHNILMIADEIQTGIARTGKMLACEWENVRPDVVILGKALGAGVIPVSAVLADKEIMLCIKPGEHGSTFGGNPLASAVAVAALDVIRDEGLTERAAKLGQELRDQLMKIQQRFPHIIKEVRGRGLLNAVDLNNKALFPVSAYDLCIKLKERGILAKPTHDTIIRLAPPLTISMEELNEATKAFGDVLGLDLPEMQKQKPKAETPTPKQVCDRCGRDLYDPTDMEN >Ma08_p13030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10357014:10358956:1 gene:Ma08_g13030 transcript:Ma08_t13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVGEPGSSMHGVTGREPTLAFETSPSVPTDATAKFALPVDSEHKAKAFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLKKGDIGNAGVASVSGAIFSRLMMGAVCDLLGPRYGCAFLIMLSAPTVFCMSLVSSAGGYITMRFLIGFSLATFVSCQYWMSTMFNSQIIGLANGTAAGWGNMGGGATQLIMPLVYDIIRKAGATPFLAWRIAFFIPGLMHVIMGILVLVLGQDLPDGNLSTLQKKGNVAKDKFSKVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLNLRTAGIIAACFGMANIVARPFGGFVSDFGARRYGMRARLWNLWILQTLGGAFCLWLGRANSLPISIFAMVCFSICAQAACGATFGIIPFISRRSLGIISGMSGAGGNFGSGLTQLLFFTSTKYSTATGLSLMGVMIMACTLPTAFVHFPQWGSMFLPPSNDAAKSTEENYYVSEWSKAEREKGMHQASLKFAENSRSERGKRYVESAPAPPDASPTNV >Ma08_p25390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38247206:38252286:1 gene:Ma08_g25390 transcript:Ma08_t25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIMGSEQAVMEELQALQRKLGKKQSFEEAVSSIASLVRSRYPSASPSLRKSMYSTVCRVATVLQTRYTAPGFWLLGLRLFEEVENLVTEPSEKQNMTKCIARAQENLNEMETEVTLSDRRTTESRFLFEGHLTVDPEPALPAWLVAQNLLTTLAVAQDWASVSESSRGQEENSNTTESARGDELPGSVRELINNMQEIGGFLDLDNAIEASLQEIGAGPQRPPPASKEVVANLPVVTVTEEIMARLGSETECAVCRENLAIGDKMQELPCKHLFHPPCLKPWLDEHNSCPICRHELRTDDHAYESWKEREREAEEERKGAANALRGGEFMYV >Ma07_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1354738:1355020:-1 gene:Ma07_g01730 transcript:Ma07_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKTLSQTGINDCHLELGNPIKSLMWSNFCSFYLSGHVTIKKFMLDQLLDKMNV >Ma01_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:27537373:27553793:1 gene:Ma01_g23280 transcript:Ma01_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEEENLIFAKYNGITGAPEEDRRLDDCLAVFYTVSFDLRSFLALCELIDGYTIALLVGGVRRIGSSWMETPWEKLKYYYSKRNDSTVSKERQGRWAFGGQALADATSRHASPCDGTTPLDIIRPSYSIPWWRSMQQWDRMGAIWVVTGGTHRYMAFILFFVGALELDAFYKIDHEKLPPKSPIQLKAIRVVKVCEATKLEVTVSFPSTLALRNYFALSPEPGREPELDERFVMSSNQAARILRLPVPPSDLEVQKHLLSFWLSNSNLYDSPPFIGPPESVMEVDEVDNQTSLLGHCLLTIKQAGFVGWGIRRKVKYIGRQRDISWSSPEEEKEEVQADEEQLHSEKKRKREVVEEERKKNNENKRGKKGENKKEKKKKPRRDRDDNRRYEEKQQQGKGTRDRWSSERYEAAEMKLLEIMKEKGAELGKPIMRHALREEARKHIGDTGLLDHLLKHMAGKVVTNGTERFRRRHNSEGAMEYWLEPADFVEVRQKAGVADPYWVPPPGWKPGDAPACPCDGEIRQLREELSLLKRDMDHLNSLKQLEKVVNEAQPEPENGGNTWQEEIRLLKEEKEKKNMEMEAMKEDKGVQYEGDDTMQHDGNENKRDGDANDGNSNSNITDSIMKDTNNNSNSNISNLSITAVDITKSRSATTNSKRVAARRSGFRICKPQGTFLWPDMWSSTSSSSAADGGAGVWRPPGSQVVPNPMMTTMTTATIEEHLMLLGGVPTPSSASTATSAPRLLLLPSPASPAHTHPPEVMAVPPPPPPPHHHNHHLQMLQQTGGSCDICPSVVYRHMVARNGERGQQEHLQSACLLQTWKEQKRWRKKCVICSAGSYALRKQGTARANQVRPQADNSVLQIAYLNV >Ma03_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8440718:8441106:1 gene:Ma03_g11180 transcript:Ma03_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYHLNLPSISIPDLKKTPLTVRRTVTNVGDTDSIYRAMGESPPGVNMVVEPSLLQFNASTTMHTFAVTFTPLQMVQGDFNFGSLTWIDDGKHAVRIPIAVRVIIHDSFSDTS >Ma02_p10490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20025923:20029236:-1 gene:Ma02_g10490 transcript:Ma02_t10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPSWYGVINSGSSKSFVVYLLAASSLAVASTFFISHGPSSPYGFQDQHVILSSRSVRVWPELEVNWRSGLATVIGFLGSAFGTVGGVGGGGIFVPMLTLVVGFDAKSAAALSKCMIMGASASSVWYNLRVAHPSKEVPIIDYNLALLFQPMLMLGITIGVELSVVFPFWLITILIIILFIGTSSRSMFKGVQMWKKETLLKMEMRKQEEAKSNQEVVGTDTTSEPLLHRPEKSMLVSANSYALTSKINLPLYYVVYHLILLYSDAVDGLKEALRFNIRWKGISVLMVVWFSFLLLQILKNNSETCSLFYWVVNILQFPVALSVFSWEATKLWRESRQRRMQGNWESVCEASIEWTGMQLVFCAFCGLLGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYFLKRFPIPYALYLISVSVMAGFWGQFFVRKLVKFLKRASIIVFILSSVIFASALTMGVVGTEKSITMIKNHEYMGFLSFCEK >Ma02_p10490.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20025923:20029236:-1 gene:Ma02_g10490 transcript:Ma02_t10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPSWYGVINSGSSKSFVVYLLAASSLAVASTFFISHGPSSPYGFQDQHVILSSRSVRVWPELEVNWRSGLATVIGFLGSAFGTVGGVGGGGIFVPMLTLVVGFDAKSAAALSKCMIMGASASSVWYNLRVAHPSKEVPIIDYNLALLFQPMLMLGITIGVELSVVFPFWLITILIIILFIGTSSRSMFKGVQMWKKETLLKMEMRKQEEAKSNQEVVGTDTTSEPLLHRPEKSMLEALRFNIRWKGISVLMVVWFSFLLLQILKNNSETCSLFYWVVNILQFPVALSVFSWEATKLWRESRQRRMQGNWESVCEASIEWTGMQLVFCAFCGLLGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYFLKRFPIPYALYLISVSVMAGFWGQFFVRKLVKFLKRASIIVFILSSVIFASALTMGVVGTEKSITMIKNHEYMGFLSFCEK >Ma03_p24520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28823563:28830117:-1 gene:Ma03_g24520 transcript:Ma03_t24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASLASLGSARPVSSPGVFEVSSSAVTVRRIHVVSSIRFSSFYGSMQWRVARGRFCKCMVTTNLIEERGIPVSSESTFKVSNSSVSRNDDADLILKPPPKPVLRAPPNGQLDPSNSASSNWSSDKAVREKPAAPMEDGEEMMESLGEVLDKVEKLETANAVKFGGKDIRDTGVSNGSSKSGRPANATLATRNSKTTKSVWRRGNPVASVQNAVKEPAKVKEEEEKNSSTTTVTNALGAPPIAPLKPQMPSPARPKLQAKPPVAPPTIPAAKTPDVQRERKPILIDKFSSKKPGIDPIAAEAILATPLKPAKGPPPTKAKDDRRKKSSSTGSLRRRMVDDGKISEEEASELNVPIPGVTEPRKGRKWSKASRKAARLQAAKAAEPVKVEILEVGKEGMLTEELAYNLAVSGADILAFLYTRGVRPNAVQTLDKDIVKMICKEYDVEVIEVDPVRVEEMAKKKEVLDEEDLDMLEDRPPVITIMGHVDHGKTTLLDYIRKSRVVASEAGGITQGIGAYKVLVPVDGKPRPCVFLDTPGHEAFGAMRARGARVTDIVIIVVAADDGVRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERAMQELSSVGLMPELWGGDIPMVQISALKGENVDELLETVMLVAELQELKANPKRNAKGTVIEAGLDKAKGAIATLIVQNGTLRKGDVVVCGAAFGKVRAMFDDRGGRVDEVGPSMAVQVIGLGSVPIAGDEFEVVESLDVARQTAEACAESLQAARISAKAGETKVTLSSIASAVASGKQSGLDVHRLNIILKVDVQGSIEAIRQALQVLPRDNVNLKFLLQAPGEVSTSDVDLAVASEAIIFGFNVKAPGSVKSYADKKNVEIRLYRVIYDLIDDMRNAMEGLLEPVEEQVPIGTADVRATFGSGSGRVAGCMVTEGKVVKDCGVRVVRNGKTVHTGTIDSLRRVKEEVKEVGAGLECGIGVNDFDDWEAGDVIEAFNTVKKRRTLEEASATVTAALVGAGIEL >Ma02_p06270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17300371:17300822:-1 gene:Ma02_g06270 transcript:Ma02_t06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLCCKKSGKKAIRNALEINHFSILLSPVVSFWDCIFRKIRYSFRPEWA >Ma06_p16740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11349507:11351727:1 gene:Ma06_g16740 transcript:Ma06_t16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSASSSQSFLLFLFLCLLQPFTSDSHRVATTTNPTASKVPSLSLVLPLTVQKVPSLSLPTPPNKLLFHHNVSLTVPLAVGTPPQNVTMVLDTGSELSWLLCNSSSAAALSFDPQCSSSYYPVPCSSPACTDRGRDLPMPPVCDASSTAGFCHFYLSYTDASTAEGVLATDSFLLGSSSPMPTVFGCVASTYSSAGGDTDAAGLLGMNRGSLSFVNQSGIRRFSYCIPDDDASGVLVLGDAEPPFPLSLNYTPLIQISLPLPYFDRVAYTVQLEGIRVGDTLLPIPKSVLVPDHTGAGQTMVDSGTQFTFLLGPAYDALKSEFSRQTSGALVPLDEPDFVFQGAFDLCFRLPAERDAPPPGLPTVVLLLRGGAEVAVAGERLLYRAAGDAPGSDAVWCFTFGNSDLVPLSAYVIGHHHQQNVWVAYDLENARVGFAPARCDQASRLLGVAST >Ma01_p16760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12199859:12205626:1 gene:Ma01_g16760 transcript:Ma01_t16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSTAIAVEALTPNAASRGTLIPSLPDDLAAVILASIPYSHQSRLRATARSWRAFLAPRALLPLRRSLRLPCRHLLALFPADPAITPPCLFDPATAAWALLPPLPCSPYLYGLSNFVPVALGHHLYVLGGSQFDARSYPLGHPIASAAVHRLDLTAPPTLSWDHLPDMLFPRGSFACAPLRPSDGANNDEGTIIVAGGGSRHTMFPSVGSRMSSVECYCVRSGEWRIWTGLPRDRAGSVGFLVRREAGEEDEFWVMGGYGDYRTLAGVVPADVYYKDAMVLGLRSGKWREVEAMWEEGERRKLGAVVALDGEDGQTKEIFMLDTNDIFRYDFVLNRWIKESSLRKKIPGSSSCSFVAMNGELYVLTTAIQSLDISDHRRMPKKRWTLDIQIYNPEKKRWRFMTTNPPFNQTIDFKTVITCTIRL >Ma09_p09430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6208830:6210119:-1 gene:Ma09_g09430 transcript:Ma09_t09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSELLLLIALLLHEPLASVASPGFRVGLTHVDSARPFAFTERFHRAVRRSWHRREVLDAKMSSSRSGIAASVEWGDGEYLIDLAIGTPMLPITAVLDTGSDLTWTQCGPCFECLPQPSPYYDPSDSSTFAVLPCPCPSCTGIPTRCTLRRCHYNVTYEDGTISLGFLATEALTFGRNTSVAGFTFGCSTRNTGSLSNSTGIVGMGRGPLSLPSQLHPSKFSYCLTPFNSSATGHLFLGSMADLGGGFVHSTPIVLSPNNYSSSSFYYLSLQGISVGHTLLPIPNTTFQLKADGSGGLIVDSGSSVTSLEEAGYDILRKELISLVHLPVANQTSTGLDLCFSLPPGSSSPPAMPDMIFHFDGADMTIPPSNYMYSDFEQGLFCLVITRTTGTSVLGNYQQQNLHVLFDLDSDVLSFVPAMCDGL >Ma04_p30520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31169566:31172762:-1 gene:Ma04_g30520 transcript:Ma04_t30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSRTALVRFDFRWARVLGIAFLRRFFRAVWSHLLSCSSLGGRGRYRRLKAGLSSSVHLVVVGEEKPVTAAAAAVGRAVPCADVEADSDLVTLKISLLGDRHIGKTSFMIKYVGDVEEQRGLQMTGLNLMDKVLVVRGARIAFSMWDVGGDDQCLDHVPIACKDAVAILVMFDLTNRSTLNNAIDWYQRARKWNKTAIPILIGTKFDDFAQLPLEMQWTIVNQARAYARVMRASLFFSSATHNINVNKIFKFITAKLFNVPWSLERNLTIGEPIIDF >Ma02_p17050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24109832:24117558:-1 gene:Ma02_g17050 transcript:Ma02_t17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MERSRSKRSYHYDPDAHSQSPPPRSKPRYDGGGGGHHRRGNHHRRSGDRRGPPPPPPPPPPPPPPALPSSSAPSPSHKAQDGSPHPGAVTTFFRILCPDAKVGGVIGKYGSIIKAFRQDTGAWINVHQLAPDDDERIIETSDDRRREPDGRPPQYSPAEEALLLIHERIVDADFEFGGGNEEGYGGGGWGGGVRDRGRLTTRLVVPRSHVGCLLGKGGKIIEQMRNETKTHIRILPRDQYTPRCVSDTEEIVQVVGEGNCVKKAVAIILSRLKESLHRDRGPFRGRVYSPERYDEFVNNTQHISAVEESYLASRSSSGLDRARNNSYGSLPPGYSFDSDGNPVNDHSQAFPCEDLVFRILCPHDKVERVIGVTDGIIEMLRADIGVDVSVADPIPGSDECIITITSEEGPDDDLFPAQEALLHIQTHIVDLGPDKDNIITTRLLVPASEVALLEGMDGSLSDIRRLTCANIQILPKEDLPPCAMEADELVQIVGEIRAARNALVQVTAKLRDYLYRDNSVPRDALPPSISAPVHAVTGTGRDSNSPVKVSTSEAYQGGDPQAEVYQSIHATIGTWLPKDSGGCPSGSSEQKESNASDEVRPSSVRRFNVPLVTKSTLEVIIPEHAVPSLIMRSGSKLAQISEISGAAVTLIEDRPEQTGKIVQISGSPEQAEKAQSLLLGFILSTQDDIPSNQSK >Ma07_p24160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31602548:31607730:1 gene:Ma07_g24160 transcript:Ma07_t24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGKWFSVVKSVLIPNCCQGDHGKSKHSDPLPTKEVETTAHDAAQPLPLAPIEGDKLTEKGDEQSKHAYSVVALASAVAAEAAAVAAQAAAEVVCLTTSTTRLVGEPREEVAAIKIQTAFRGYLARRELRILRGLFRLKRLVDGNVVRSQTYNTLHCMQKMARVQTQIRARRIRMTEENQALQRHLQRKYEKELEKAKIEEEWDDSLRSKEKIEANLVNRQEAAVRRERALAYAFSHQWKSSSRSLTPTFTDPRNPQWGWSWLERWMAARPWENHRITETNDHDSIRSATFSLIGQTMKRRDTSLERTPSAIRKSSRPPSRQSPMTPQSKPRSRKISLSPRGGRRLVDEDARSMVSFQSERPRRHSIAGSSARDDESLVSSQSIPSYMASTESARARSRFHSPQSDMADTPGKRSICSVKKRLSFPMADDNSISSPAARIRRYSEPPKVDISPVNNEEQSVEN >Ma04_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3360001:3361805:-1 gene:Ma04_g04420 transcript:Ma04_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLISTQSLGLAMGGLLMFLLAGAISVFWVWPAGTWRRLRRNGFGGPSPLFPLGNLMEMSNQGEAPSPVSSSITHDIHSSVFPYFSRWRNAYGKVFVYWLGTEPFLYIADPEFLKRATSGSMGKKWGKPNVFKHDRKPMFGSGLLMVDGDDWTQRRHIISPAFSMTNLNAMMRVMEETTYKMLNEWSEQVASGQREIDVEESITKNAAEVIAKTSFGIREENGKRVFEKLQLMQKMLFKSNFLVGVPFSKLLCAKRSYEAWKLGKEIDQLLFAIISSRKEEDSSNTQKDLLGLLLAANQESAQLERKLTIRKLVDECKTFFFGGHETTALALSWTLLLLALYPEWQRTLREEVMEVSGGRPLDPSMLSKLTKMGWVWNEVLRLYSPAPNVQRQAREDVQVGDMVIPKGTNMWIDVVGMHHDPALWGDDVNEFKPERFKEDLHGGCKHRMGYLPFGFGGRICVGRNLTLMEFKIVLSLILRRFSLSLSPTYSHSPRIMLSLRPSHGVHLILSNIQESN >Ma11_p20570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25003993:25006760:-1 gene:Ma11_g20570 transcript:Ma11_t20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGKRALLLADDVSIPLNAVLSAFSGLDLGMDLVARDHDVRVITQAASLGGKLPIESSSVDLVVSVSEAPELVGEQSIEEFSRVLKPGGAIVIQASAEQTGSKPSSMLERKLLMAGFLEVQSLEAKPFLSLEQVHICTIKGKKASWTMGSSFSLKKATKTVPKIQIDDESDLIDEDSLLTEEDLKKPQLPLVGDCEVGKAKKACRNCTCGRAEEEAKVLKLGLTSEQINNPQSACGNCGLGDAFRCGTCPYKGLPPFKLGEKVSLSANFLAADI >Ma01_p00880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:613292:614308:1 gene:Ma01_g00880 transcript:Ma01_t00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPCKFPGTSTILWEALRIPFRNPNLILPIIILTLVSSSSSLLLLGDSLSMLFFSRPICSRVTDLFSLLAHVEGLSIPQWILLTLLFIVISLLTTATIHATAMAFTGKHPATPSDVILKTRHAWKGSLVTQICITILHLCFVLSTDPVLVGLAIISNNSKLLTLLLIVVSLLAVFYYLYIAIVLLLCLVVSAIEDECYGTEAMGRALELFSRSRKQGVVIAVLVVVVSSVATLVCEAVSSAQSDGSQLLIGFIHIGKDVVLNLVILATSTVLYYECKKCEGEQKFMEMDGHIVHASLLTDAYVDAVELP >Ma00_p04340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:36355056:36356431:1 gene:Ma00_g04340 transcript:Ma00_t04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKNELTGDSTNVDGNSKLRKGLWSPEEDEKLMNYMLRNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIAHLHSILGNRWSQIASRLPGRTDNEIKNFWNSTIKKRLKNSTNTGDPNPKAAIGSFAGAKEHQRQSVYTHSSPPSSSSSSSVHGFSVGNQYDLLPLPGAAGCGVTRVEDSYFHEPQDLAQVGMEMAMVKGGLMGAGCELFVPPLESSQEENAMNDTSGVDVSDGGGVFWEGENMRVAQWELGDLMKDASFPFPWFLS >Ma05_p23500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35563483:35569291:-1 gene:Ma05_g23500 transcript:Ma05_t23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHSPRVRLLLLVGGLIALSMAAEKFREFVGEEAASKSGKFTFLNCFDMGSGSLSCVVKEGVKLYAYNIRSAHVERIRQRETEIALTEALTEGLTASMAAKKAQKAGTKAAKEASRQAKRITGPLISSGWDFFEALYFGGTLTEGFLRGTGTLSGAYAVGYHGEQRLGRLGYLMGSHLGSWIGGRIGLMVYDVVNGLDYLLHSVQPEEDTSFFTDTGGSGFEDAYNTGKDIVYQSASELNGYYESVNENSEDSET >Ma08_p33330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43667276:43668295:-1 gene:Ma08_g33330 transcript:Ma08_t33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPLQLAVLCLLLALTGRLRAEPSCIAVYWGQNGYEGGLRDACATGYYKYVLVAFLNQFGNGRIPQMNLAGHCDPNSGGCTFLSGDIISCQQDHNVTVMLSLGGAIGNYNLVSEEDAREVATYIWNNFLGGSSANRPLGNAVLDGVDLDIESGGAAHYDDLVRYLKAYDTPKRKVYLSAAPQCVFPDAHLQPAIDTGLLDYLWVQFYNNYCQYSTGNEGTFVQVWNRWLSVNVSKVFLGLPASPAAAGSGYVAPDVLINKVLPLVKPSEKYGGIMLWNRYYDLINNFSARVKDYVCPDRRLYSIASTLVPSSAV >Ma09_p29050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39634566:39638049:1 gene:Ma09_g29050 transcript:Ma09_t29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGAGALLSRSVLPNFAAAGQVVRPLCVARMARRSVVAAALEKGVGSGNGGRGGAGMTNSNYVVPLDTASSLTRPLNEILRDLNKRVPDQIIDAADNSIPWYHTNRMLSFFAPGWCGEVRDVIFSDNGNVTVVYRVTIRGSDGVAHRESAGTVSMSDGQFKDPVAAAEELAFCKACARFGFGLYLYHEDEATENKSL >mito8_p00090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000019.1:444349:444462:1 gene:mito8_g00090 transcript:mito8_t00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPELSPGLTELGQRTTLLGLPPYLDRSFPNENSDN >Ma06_p21280.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15416078:15424185:-1 gene:Ma06_g21280 transcript:Ma06_t21280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDNEPPSKRVKTSSLELENLSNTSSLSEFINPLGGLMARPLPSQGREDMVGSKGVIKKVEFVRIITKALYSLGYERSGAVLEEESGIPLHSSAVDIFRKQVLEGNWDESVIALQKIGLVDENILKSASFLILEQKFLELLGKNRVMEALDTLRSDITPLSINKKRVHELSCCVISPSQHMLLGFASLEIETSNARMRLLEELQKLLPPSIMIPERRLEHLVEQALRVQREACYFHNSHESCFSLYTDHQCGKDQLPSHTTQVLREHHDEVWFLQFSNQGKYLASSSIDRSAIIWEVREDGELTLKHKLSGHLKPVLMVAWSPDDSQLLTCGMEEVVRRWDVHSGECLHVYEKNGVGLISCGWFPDGKELFSGVTDRTICFWDLDGKELDSWKGQRTSKTSDVAVTKDGRHMISLCRETVILLLDRETKTERLIEEEQTITSFSLSKDDKFLLVNLINQEIHLWNIKDDPKLVTRYKGHKRSRFLIRSCFGGTEQAFIASGSEDSQVYIWHRSSGDLIQTLPGHSGTVNCVSWNPSNPHMLASASDDHTIRIWGLNRVNLKRKEIYSNGTVHLCNGNIK >Ma06_p21280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15416078:15425226:-1 gene:Ma06_g21280 transcript:Ma06_t21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDNEPPSKRVKTSSLELENLSNTSSLSEFINPLGGLMARPLPSQGREDMVGSKGVIKKVEFVRIITKALYSLGYERSGAVLEEESGIPLHSSAVDIFRKQVLEGNWDESVIALQKIGLVDENILKSASFLILEQKFLELLGKNRVMEALDTLRSDITPLSINKKRVHELSCCVISPSQHMLLGFASLEIETSNARMRLLEELQKLLPPSIMIPERRLEHLVEQALRVQREACYFHNSHESCFSLYTDHQCGKDQLPSHTTQVLREHHDEVWFLQFSNQGKYLASSSIDRSAIIWEVREDGELTLKHKLSGHLKPVLMVAWSPDDSQLLTCGMEEVVRRWDVHSGECLHVYEKNGVGLISCGWFPDGKELFSGVTDRTICFWDLDGKELDSWKGQRTSKTSDVAVTKDGRHMISLCRETVILLLDRETKTERLIEEEQTITSFSLSKDDKFLLVNLINQEIHLWNIKDDPKLVTRYKGHKRSRFLIRSCFGGTEQAFIASGSEDSQVYIWHRSSGDLIQTLPGHSGTVNCVSWNPSNPHMLASASDDHTIRIWGLNRVNLKRKEIYSNGTVHLCNGNIK >Ma06_p21280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15416078:15424574:-1 gene:Ma06_g21280 transcript:Ma06_t21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDNEPPSKRVKTSSLELENLSNTSSLSEFINPLGGLMARPLPSQGREDMVGSKGVIKKVEFVRIITKALYSLGYERSGAVLEEESGIPLHSSAVDIFRKQVLEGNWDESVIALQKIGLVDENILKSASFLILEQKFLELLGKNRVMEALDTLRSDITPLSINKKRVHELSCCVISPSQHMLLGFASLEIETSNARMRLLEELQKLLPPSIMIPERRLEHLVEQALRVQREACYFHNSHESCFSLYTDHQCGKDQLPSHTTQVLREHHDEVWFLQFSNQGKYLASSSIDRSAIIWEVREDGELTLKHKLSGHLKPVLMVAWSPDDSQLLTCGMEEVVRRWDVHSGECLHVYEKNGVGLISCGWFPDGKELFSGVTDRTICFWDLDGKELDSWKGQRTSKTSDVAVTKDGRHMISLCRETVILLLDRETKTERLIEEEQTITSFSLSKDDKFLLVNLINQEIHLWNIKDDPKLVTRYKGHKRSRFLIRSCFGGTEQAFIASGSEDSQVYIWHRSSGDLIQTLPGHSGTVNCVSWNPSNPHMLASASDDHTIRIWGLNRVNLKRKEIYSNGTVHLCNGNIK >Ma06_p21280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15416078:15425226:-1 gene:Ma06_g21280 transcript:Ma06_t21280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDNEPPSKRVKTSSLELENLSNTSSLSEFINPLGGLMARPLPSQGREDMVGSKGVIKKVEFVRIITKALYSLGYERSGAVLEEESGIPLHSSAVDIFRKQVLEGNWDESVIALQKIGLVDENILKSASFLILEQKFLELLGKNRVMEALDTLRSDITPLSINKKRVHELSCCVISPSQHMLLGFASLEIETSNARMRLLEELQKLLPPSIMIPERRLEHLVEQALRVQREACYFHNSHESCFSLYTDHQCGKDQLPSHTTQVLREHHDEVWFLQFSNQGKYLASSSIDRSAIIWEVREDGELTLKHKLSGHLKPVLMVAWSPDDSQLLTCGMEEVVRRWDVHSGECLHVYEKNGVGLISCGWFPDGKELFSGVTDRTICFWDLDGKELDSWKGQRTSKTSDVAVTKDGRHMISLCRETVILLLDRETKTERLIEEEQTITSFSLSKDDKFLLVNLINQEIHLWNIKDDPKLVTRYKGHKRSRFLIRSCFGGTEQAFIASGSEDSQVYIWHRSSGDLIQTLPGHSGTVNCVSWNPSNPHMLASASDDHTIRIWGLNRVNLKRKEIYSNGTVHLCNGNIK >Ma06_p21280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15416078:15425226:-1 gene:Ma06_g21280 transcript:Ma06_t21280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDNEPPSKRVKTSSLELENLSNTSSLSEFINPLGGLMARPLPSQGREDMVGSKGVIKKVEFVRIITKALYSLGYERSGAVLEEESGIPLHSSAVDIFRKQVLEGNWDESVIALQKIGLVDENILKSASFLILEQKFLELLGKNRVMEALDTLRSDITPLSINKKRVHELSCCVISPSQHMLLGFASLEIETSNARMRLLEELQKLLPPSIMIPERRLEHLVEQALRVQREACYFHNSHESCFSLYTDHQCGKDQLPSHTTQVLREHHDEVWFLQFSNQGKYLASSSIDRSAIIWEVREDGELTLKHKLSGHLKPVLMVAWSPDDSQLLTCGMEEVVRRWDVHSGECLHVYEKNGVGLISCGWFPDGKELFSGVTDRTICFWDLDGKELDSWKGQRTSKTSDVAVTKDGRHMISLCRETVILLLDRETKTERLIEEEQTITSFSLSKDDKFLLVNLINQEIHLWNIKDDPKLVTRYKGHKRSRFLIRSCFGGTEQAFIASGSEDSQVYIWHRSSGDLIQTLPGHSGTVNCVSWNPSNPHMLASASDDHTIRIWGLNRVNLKRKEIYSNGTVHLCNGNIK >Ma09_p24310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35992871:35994136:1 gene:Ma09_g24310 transcript:Ma09_t24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARVSSFTQALLLASLLLFMTAMSCEAHLTPKYYAKSCPQALSTIRAAVRRAVERERRMAASLIRLHFHDCFVQGCDASLLLKDAEGIVSEQNAPQNFRSARGFEVIDSIKWAVEKVCPGVVSCADILAVAARDSSEYVGGPTWKVKLGRRDSTTAANKDLVQRDLPVAFDNLDELISSFARQGLSIKDMVALSGSHTIGQAQCATFRNRIYNEPNIDHGFAALRRRRCPSSQVLGNSTLAPLDLVTPNSFDNNYYKNLLQKKGLLHSDQVLLSHGPTGDMVKYYSKNQAAFFADFAAAMVKMGDIAPLTGSAGEVRRTCSAIN >Ma06_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9008778:9012536:-1 gene:Ma06_g13130 transcript:Ma06_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRVSNFEGVYKSLHLPAVIIGGTFVLIALALSTILILQHLRAYTNPAEQKWIIGVLFMVPVYATESIISLWNSKFSMVCDILRNCYEAFALYSFWCYLVACLGGEDRVVELLENAAREDISEQLLMEEDDEAQHQHSLNDFVFHPTVLGKDLYTIIKFGIVQYMILKTLCAFLALLLELFGVYREGEFKWYYGYPYVTIIINFSQMWALYCLVQFYNVTHSRLQAIKPLSKFISFKAIVFATWWQGVGIALVCYVGILPKWGKIQNGIQDFLICIEMAIAAIAHVYVFSAEHYKYLPVSGCGKITSVESKTKSKLVENAKSGPATVEQKETRVEAPGTRITESVQDVVLGGGEHVVKDVALTISQAIEPVEKSVTKFQDTIRHISVGSDKGKKPEVEVDERVTENFVDLKLEFSSELKVESENTENLGHHKSVVNSEVKYEAESCPR >Ma04_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7701344:7709051:-1 gene:Ma04_g10910 transcript:Ma04_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRLYCFVHKLPVCGQCICFPEHQICVVKKYSDWVVDGEYNWPPICSLCNAALEAGTDQTTRLGCLHLMHTHCLVSHIKSFSPQTPPAGYLCPACSSPIWPPISIKDTSSLLHSKLKEAIIQSGLEKNVFGNHLVSLPAVENRVPPPAFASDPLVHVSAVEDTEKGGATSVDPVEDSRPTLSLPVTDDKYSDEVYNSTIGVGSSKPIEPEIVEVDGPDALGNQFMQNQDHHLVKSTSLPGATTRKPTYHVDRQISETSYYVDDEDGTNKKYTRRGPVGHKFLRMLLPFWSNALPTLPVTAPPRKESNANSIPEGRIRHQRSSRMDPRKILLIMAIMACMATMGILYYRLAQRSLGENIPEDEAQ >Ma05_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34793:39108:1 gene:Ma05_g00060 transcript:Ma05_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYYLLTRDSVRRKKSREIDNVDKVICKNCKVIPGESLTNQHRLMVLDSFYFRMALTDPNWGNFLFDETTKMINLIDFGAAREYPKNFVDDYLRMVIACANSDRDAVIEMSRRLGFLTGEESDVMMEAHVQAGFIVGMPFAKGGGYDFRSSNITQSISNLGATMLKHRLTPPPEEAYSLHRKLSGAFLACIKLGAVVPCREILLQVYQQYQFGL >Ma04_p16780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16601103:16604968:-1 gene:Ma04_g16780 transcript:Ma04_t16780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTVKVSNVSLSATMQDIKEFFSFSGDIEYVEMQSADEWSQIAYVTFKDSQGAETALLLSGATIVDLSVIIVPAPEYQVPPAASSPPVQKDDNASSSAGSAVQKAEDVVSSMLAKGFILGKDAVNKAKTFDEKHQLTSTASARVASFDKKIGLSEKISTGTSAVGEKFKEMDQKFQVSEKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTGAFNKVAKAASEVGSKTKEKVVAEQEHKTRSEDDFAEVHLSETPKAASAEHSSKPTSAQGLIL >Ma04_p16780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16601103:16604968:-1 gene:Ma04_g16780 transcript:Ma04_t16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTVKVSNVSLSATMQDIKEFFSFSGDIEYVEMQSADEWSQIAYVTFKDSQGAETALLLSVGATIVDLSVIIVPAPEYQVPPAASSPPVQKDDNASSSAGSAVQKAEDVVSSMLAKGFILGKDAVNKAKTFDEKHQLTSTASARVASFDKKIGLSEKISTGTSAVGEKFKEMDQKFQVSEKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTGAFNKVAKAASEVGSKTKEKVVAEQEHKTRSEDDFAEVHLSETPKAASAEHSSKPTSAQGLIL >Ma04_p16780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16601103:16604974:-1 gene:Ma04_g16780 transcript:Ma04_t16780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTVKVSNVSLSATMQDIKEFFSFSGDIEYVEMQSADEWSQIAYVTFKDSQGAETALLLSGATIVDLSVIIVPAPEYQVPPAASSPPVVDFMQKDDNASSSAGSAVQKAEDVVSSMLAKGFILGKDAVNKAKTFDEKHQLTSTASARVASFDKKIGLSEKISTGTSAVGEKFKEMDQKFQVSEKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTGAFNKVAKAASEVGSKTKEKVVAEQEHKTRSEDDFAEVHLSETPKAASAEHSSKPTSAQGLIL >Ma04_p16780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:16601103:16604968:-1 gene:Ma04_g16780 transcript:Ma04_t16780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTVKVSNVSLSATMQDIKEFFSFSGDIEYVEMQSADEWSQIAYVTFKDSQGAETALLLSVGATIVDLSVIIVPAPEYQVPPAASSPPVVDFMQKDDNASSSAGSAVQKAEDVVSSMLAKGFILGKDAVNKAKTFDEKHQLTSTASARVASFDKKIGLSEKISTGTSAVGEKFKEMDQKFQVSEKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTGAFNKVAKAASEVGSKTKEKVVAEQEHKTRSEDDFAEVHLSETPKAASAEHSSKPTSAQGLIL >Ma06_p01350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1078894:1091017:-1 gene:Ma06_g01350 transcript:Ma06_t01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MQTRKKVLPRNASREHANTRSCRLQKKVTLISEKKVTELITSSAKKQKFVRIPSKQNPYEETGRNLGSDCCASQDENQSSCFAYQTSLSHKELSDVTTANCMSETIFSPVFHHPKDTSGSILEKELSKIKDKVNHHDSEIQELKASSFSCSETLQTQEISMKSSTAVACENNVNSASFEASDIQNTHSHPHDMGRHHAKTQSDDEYSDLGNLSSEVSAIYLAMQNSKLECVDEHSQDTISTDGCVEPDESDEFDDFDPYLFIKDLPDLSAVVPRFRPMLLPKQTRSCPSTTLVLDLDETLVHSTLEPCEDADFTFPVNFNFKEHTIYVRCRPYLKDFLEKVASLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVFVDGNYLKDLSVLGRDLAHVIIVDNSPQAFGFQLDNGIPIESWFDNRNDHELLSLLPFLESLAGTDDVRPLIAKKFNLREKVAAAAASYLALDFRR >Ma05_p22910.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34667436:34675224:1 gene:Ma05_g22910 transcript:Ma05_t22910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGPEHVLVDISSDEEYSGPDRLVSSSFDWVDELHDRVDERQVEESDDVVFVDEFSVPVAKRRKPNSDSYVPGTCGGSDGGDDDCLVLDSGPDKPVAVVHDKDSGVEEEDDVLVVAEKGQLACRDYPHSRHLCANFPFSTSPHKKYCDLCHCYVCDSPAPCNYWGNGDLGTDHCHSTDKGRWKSMRQSFKQKNMLTVPPQKFTSYNSSLNIPPPQDSVRLHHFNPVPLRPNLLQPCSATISNPDAIHQRNQYRPSTLSYRQRPLQQQTKFYQFVPRVQHVQKEGQHSGALTTQLMNSRSRFRRSGMAQAGFASMNNHSDNTISSNNHVTRAVPQKSTHATVTSQIPCPPEGQQRSQNLLIKTSVVSVGQMQTTAPSQFAPAKLQCSVCPRLTAADFSQKSWQDILASVASELGVSNCSDSCIMDNQQAPMLPSPSQPCDESFSDINANVDVHSAAAMTTNLSPLDHGSSLSSNTMSQIPEHVEGEDPDLVSNTTAGENWLDSLLASIEN >Ma05_p22910.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34667436:34675224:1 gene:Ma05_g22910 transcript:Ma05_t22910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGPEHVLVDISSDEEYSGPDRLVSSSFDWVDELHDRVDERQVEESDDVVFVDEFSVPVAKRRKPNSDSYVPGTCGGSDGGDDDCLVLDSGPDKPVAVVHDKDSGVEEEDDVLVVAEKGQLACRDYPHSRHLCANFPFSTSPHKKYCDLCHCYVCDSPAPCNYWGNGDLGTDHCHSTDKGRWKSMRQSFKQKNMLTVPPQKFTSYNSSLNIPPPQDSVRLHHFNPVPLRPNLLQPCSATISNPDAIHQRNQYRPSTLSYRQRPLQQQTKFYQFVPRVQHVQKEGQHSGALTTQLMNSRSRFRRSGMAQAGFASMNNHSDNTISSNNHVTRAVPQKSTHATVTSQIPCPPEGQQRSQNLLIKTSVVSVGQMQTTAPSQFAPAKLQCSVCPRLTAADFSQKSWQDILASVASELGVSNCSDSCIMDNQQAPMLPSPSQPCDESFSDINANVDVHSAAAMTTNLSPLDHGSSLSSNTMSQIPEHVEGEDPDLVSNTTAGENWLDSLLASIEN >Ma05_p22910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34667436:34675224:1 gene:Ma05_g22910 transcript:Ma05_t22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGPEHVLVDISSDEEYSGPDRLVSSSFDWVDELHDRVDERQVEESDDVVFVDEFSVPVAKRRKPNSDSYVPGTCGGSDGGDDDCLVLDSGPDKPVAVVHDKDSGVEEEDDVLVVAEKGQLACRDYPHSRHLCANFPFSTSPHKKYCDLCHCYVCDSPAPCNYWGNGDLGTDHCHSTDKGRWKSMRQSFKQKNMLTVPPQKFTSYNSSLNIPPPQDSVRLHHFNPVPLRPNLLQPCSATISNPDAIHQRNQYRPSTLSYRQRPLQQQTKFYQFVPRVQHVQKEGQHSGALTTQLMNSRSRFRRSGMAQAGFASMNNHSDNTISSNNHVTRAVPQKSTHATVTSQIPCPPEGQQRSQNLLIKTSVVSVGQMQTTAPSQFAPAKLQCSVCPRLTAADFSQKSWQDILASVASELGVSNCSDSCIMDNQQAPMLPSPSQPCDESFSDINANVDVHSAAAMTTNLSPLDHGSSLSSNTMSQIPEHVEGEDPDLVSNTTAGENWLDSLLASIEN >Ma05_p22910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34667437:34675224:1 gene:Ma05_g22910 transcript:Ma05_t22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGPEHVLVDISSDEEYSGPDRLVSSSFDWVDELHDRVDERQVEESDDVVFVDEFSVPVAKRRKPNSDSYVPGTCGGSDGGDDDCLVLDSGPDKPVAVVHDKDSGVEEEDDVLVVAEKGQLACRDYPHSRHLCANFPFSTSPHKKYCDLCHCYVCDSPAPCNYWGNGDLGTDHCHSTDKGRWKSMRQSFKQKNMLTVPPQKFTSYNSSLNIPPPQDSVRLHHFNPVPLRPNLLQPCSATISNPDAIHQRNQYRPSTLSYRQRPLQQQTKFYQFVPRVQHVQKEGQHSGALTTQLMNSRSRFRRSGMAQAGFASMNNHSDNTISSNNHVTRAVPQKSTHATVTSQIPCPPEGQQRSQNLLIKTSVVSVGQMQTTAPSQFAPAKLQCSVCPRLTAADFSQKSWQDILASVASELGVSNCSDSCIMDNQQAPMLPSPSQPCDESFSDINANVDVHSAAAMTTNLSPLDHGSSLSSNTMSQIPEHVEGEDPDLVSNTTAGENWLDSLLASIEN >Ma05_p22910.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34667436:34675224:1 gene:Ma05_g22910 transcript:Ma05_t22910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGPEHVLVDISSDEEYSGPDRLVSSSFDWVDELHDRVDERQVEESDDVVFVDEFSVPVAKRRKPNSDSYVPGTCGGSDGGDDDCLVLDSGPDKPVAVVHDKDSGVEEEDDVLVVAEKGQLACRDYPHSRHLCANFPFSTSPHKKYCDLCHCYVCDSPAPCNYWGNGDLGTDHCHSTDKGRWKSMRQSFKQKNMLTVPPQKFTSYNSSLNIPPPQDSVRLHHFNPVPLRPNLLQPCSATISNPDAIHQRNQYRPSTLSYRQRPLQQQTKFYQFVPRVQHVQKEGQHSGALTTQLMNSRSRFRRSGMAQAGFASMNNHSDNTISSNNHVTRAVPQKSTHATVTSQIPCPPEGQQRSQNLLIKTSVVSVGQMQTTAPSQFAPAKLQCSVCPRLTAADFSQKSWQDILASVASELGVSNCSDSCIMDNQQAPMLPSPSQPCDESFSDINANVDVHSAAAMTTNLSPLDHGSSLSSNTMSQIPEHVEGEDPDLVSNTTAGENWLDSLLASIEN >Ma07_p05100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3716296:3718878:1 gene:Ma07_g05100 transcript:Ma07_t05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRHLGMTAVAVLAVATSLFGLSDASAAKKVAFVKKTVSSHDIVIFSKSYCPYCRKAKAVFKELKKEPYVVELDQREDGYEIQDALSEMVGRRTVPQVFIQGKHLGGSDDTVEAYESGKLLTLIGIDSKDDL >Ma07_p09050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6780460:6782868:-1 gene:Ma07_g09050 transcript:Ma07_t09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGVIERMLLLAVVFFAEEVVDGKSSTLLTEKELLVEAKLNLINKPAVKSIQSEDGDIVDCVDVYKQPAFDHPQLKRHTIQMRPGDEHFGRRDVASSRSSVRMPAQVWQRKGSCPSGTVPVLRVQEHHLLNAPSITNYGRKPWKGITKHEFGVLVGSGFNYIGAKASINIWNPYMESDDEFTSGQIWLTNGEYNNSDSIEVGWMVNPSVFGDRRARLFVYWTADSGKTTGCFNLLCAGFVQTSSEIALGGSFSAVSIYDGPQYEFSVNVWMDIDNGRWWLMYGDNVTVGYWPASLFRGLSKTATLVVFGGDVYSPRMKQSPHTATAMGSGSFSSEHFGRAAFISKPRIKDYSGEYKYPYPFGTLSTQTSCYSAENFADILWTEPLFYYGGPGRSNPYCQ >Ma06_p19790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13861600:13871666:1 gene:Ma06_g19790 transcript:Ma06_t19790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYRDWSREELPEGDESHEPSVSQMLEHGSISFGRFPIESLSWERKSVFVHNRCQEELEKFNGLVAKKKAYFEERYRRIRAMKAQQNQQTELTLDYSGDGSISSQSGEEDGAASLDESARDAAANTGQSSEEVKPGPSFEQEINWNRPQQGCLDPESIFQNPTSSRGILQETEPDKTSIDTVLVQPLETESSLPLTGDVEIVSNCSSNLDNEETLQKHKNLMSNFESRCVTQETASGATKTKSRQLQSRKSLDNKSLSNVKDSVAVRHGQNVKGETKLNVVRPSKVLKNPSQKMANQTPRKSTASRIESNARTAMNASKAPLTEACSNITSPGPFTLVMERRANSRGSAAKLDPGSLNKSASSLSHAKGGLSVQNMLRKTSATSEITSRRGAEIKRDLKEVKKKPLAVDSRYASSKITESHVGPPKSRSVNLPARNKLTCNGGTEYKIATAKGTNENEGHARMRESQRVTGKTTGPSTSGMGTKKVLSAREADISCSSRKPGLDNLSLDGRKTRRAIPPWR >Ma06_p19790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13863470:13871666:1 gene:Ma06_g19790 transcript:Ma06_t19790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYRDWSREELPEGDESHEPSVSQMLEHGSISFGRFPIESLSWERKSVFVHNRCQEELEKFNGLVAKKKAYFEERYRRIRAMKAQQNQQTELTLDYSGDGSISSQSGEEDGAASLDESARDAAANTGQSSEEVKPGPSFEQEINWNRPQQGCLDPESIFQNPTSSRGILQETEPDKTSIDTVLVQPLETESSLPLTGDVEIVSNCSSNLDNEETLQKHKNLMSNFESRCVTQETASGATKTKSRQLQSRKSLDNKSLSNVKDSVAVRHGQNVKGETKLNVVRPSKVLKNPSQKMANQTPRKSTASRIESNARTAMNASKAPLTEACSNITSPGPFTLVMERRANSRGSAAKLDPGSLNKSASSLSHAKGGLSVQNMLRKTSATSEITSRRGAEIKRDLKEVKKKPLAVDSRYASSKITESHVGPPKSRSVNLPARNKLTCNGGTEYKIATAKGTNENEGHARMRESQRVTGKTTGPSTSGMGTKKVLSAREADISCSSRKPGLDNLSLDGRKTRRAIPPWR >Ma06_p19790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13863433:13871666:1 gene:Ma06_g19790 transcript:Ma06_t19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVDQAYRDWSREELPEGDESHEPSVSQMLEHGSISFGRFPIESLSWERKSVFVHNRCQEELEKFNGLVAKKKAYFEERYRRIRAMKAQQNQQTELTLDYSGDGSISSQSGEEDGAASLDESARDAAANTGQSSEEVKPGPSFEQEINWNRPQQGCLDPESIFQNPTSSRGILQETEPDKTSIDTVLVQPLETESSLPLTGDVEIVSNCSSNLDNEETLQKHKNLMSNFESRCVTQETASGATKTKSRQLQSRKSLDNKSLSNVKDSVAVRHGQNVKGETKLNVVRPSKVLKNPSQKMANQTPRKSTASRIESNARTAMNASKAPLTEACSNITSPGPFTLVMERRANSRGSAAKLDPGSLNKSASSLSHAKGGLSVQNMLRKTSATSEITSRRGAEIKRDLKEVKKKPLAVDSRYASSKITESHVGPPKSRSVNLPARNKLTCNGGTEYKIATAKGTNENEGHARMRESQRVTGKTTGPSTSGMGTKKVLSAREADISCSSRKPGLDNLSLDGRKTRRAIPPWR >Ma03_p20090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25275379:25280789:1 gene:Ma03_g20090 transcript:Ma03_t20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine and histidine-rich domain-containing protein RAR1 [Source:Projected from Arabidopsis thaliana (AT5G51700) UniProtKB/Swiss-Prot;Acc:Q9SE33] MAASGGAVRCQRIGCDAMFTDEDNAEGSCRYHDSGPIFHDGMKEWSCCKQRSHDFSLFLAIPGCKTGKHTTEKPVTNIVSANTRKPVPVQSPAQNKNSVDSCSRCRQGFFCSDHGSQGRPSKPSVVIETTDTEKSPAPVKKKVGINEPQTCRNKGCGKTFKEKDNHETACEYHPGPAVFHDRLRGWKCCDIHVKEFDEFLSIPPCTKGWHNADAA >Ma10_p08010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22324424:22327422:1 gene:Ma10_g08010 transcript:Ma10_t08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMRMEDTGEGGGRRRATTKAEEEEAVGLTEAPSIESEFDGERVPPWREQLTARAFAVSLVLGAMFSVIVMKLNLTTGVIPSLNVSAGLLGFFFVRTWTVALGRAGLLRQPFTRQENTVIQTCVVATSGIASSGGFGSYLFAMSETIAKQSTEANDSQNIKNPKLGWMIGFLFVVSFLGLFSLVPLRKIMIVDYKLIYPSGTATAHLINSFHTPQGAKLAKKQVRTLGKFFTVSFLWGFFQWFYTAGDDCGFAAFPTLGLQAYQNKFYFDFSATYVGVGMICPYLVNISVLLGGILSWGIMWPLIGAKKGDWYSADLPSTSLQGLQGYRVFIAIALILGDGLYNFFKVLSKTVAAFVTQIRSKSSATPLPFSDDTSAVTIDDQRRVQLFLKDQIPKPIAYGGYLVVAAVSTATLPHIIPQLRWYYVLVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFTIGAWAGASRGGVLAGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPSVFWLFLKAFEDIGVPGTEYPSPNALVYRNMAILGVEGFSSLPKHCLVLCYVFFLAAVLVNASRDVVGKKAARFIPLPMAIAIPFYLGSAFAIDMCVGSLMLFIWERTDKAKAEAFGPAVASGLICGDGIWTLPQSVLALAKVKPPICMKFLSRSMNEKVDAFIASVS >Ma11_p04440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3453141:3458857:1 gene:Ma11_g04440 transcript:Ma11_t04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVVSLFFFLSMSTVSELGGIYGSGGYPIVVSTWPFREAVRAAWRSVNDHGSSAVDAVVDGCSACEELRCDGTVGPGGSPDETGETTLDALVMDGTTMEVGAVAAMRNVKDGIKAAKLVMDYTEHTMLVGEKASIFAISMGLPGPTNLSSSESIEKWVKWKGNNCQPNFRKNVVPAKSCGPYHVHYDSSSALERSCGLSREGSGERSLFGDGNHLQTPEHKSNIISYHNHDTISMATIDKMGHIAVGTSTNGATFKVPGRVGDGPIAGSAAYADDEVGACGATGDGDIMMRFLPCYQVVESMRQGMEPRHAAADAISRIARKYPDFIGAVFALNKNGVHAGACHGWTFQYSVRNSSMKDVEVFTVVPSD >Ma11_p00020.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5598:8595:1 gene:Ma11_g00020 transcript:Ma11_t00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSCIASPRSISPFSSASPSSSSPSSSFSSSSYGCCSWRRERRKVAEWAQIKASAEGSHERINTEGAGEPRPRGFAAGGPVMEVSASPASASTTTTTVIERSFAGSGDSEFPVWERLGAVVRLSYGIGIYGAMALAGKFICSTAGIDCTGGFHPSLEAVLDGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVAASSIGEELFYRVAVQGALADMFLRGTELMKDARGIASLTGVLPLFVPFAQAFAAVITAALTGSLYYVATAPKDPTYVVAPVLNSGTGREDLRKLFAAWFERRQMKKIYSPLLEGLLALYLGFEWIQTDNILAPMITHGIYSAVVLGHGLCKIHDHRRKLRQRIQQVRVETKNNNL >Ma11_p00020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5609:8595:1 gene:Ma11_g00020 transcript:Ma11_t00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSASPASASTTTTTVIERSFAGSGDSEFPVWERLGAVVRLSYGIGIYGAMALAGKFICSTAGIDCTGGFHPSLEAVLDGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVAASSIGEELFYRVAVQGALADMFLRGTELMKDARGIASLTGVLPLFVPFAQAFAAVITAALTGSLYYVATAPKDPTYVVAPVLNSGTGREDLRKLFAAWFERRQMKKIYSPLLEGLLALYLGFEWIQTDNILAPMITHGIYSAVVLGHGLCKIHDHRRKLRQRIQQVRVETKNNNL >Ma02_p23970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28752866:28754649:1 gene:Ma02_g23970 transcript:Ma02_t23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRVCVWVILWVAAAKHGDGVTVSAPAPSLDCSSAFLELTDCLTFVENGSTVAKPAGKCCSGLKKVVKKEVSCLCDVFKQGASLGVNITKALTLPSACGVSTPPFSKCNTDIAGVPAAAPVPSPSPGAPSSTSTGAPSPLPGKSSAVSLFPGPCFRLLGSMGLTLWLHHQLQGL >Ma02_p09550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19470058:19471873:1 gene:Ma02_g09550 transcript:Ma02_t09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVNSGAVAQLTAAITGTPAAAAAALPSSAIHRQVKLHQGDTAPQRRPRDPSVVLAFDEKPERAAPDPDAALIRQRGREGRPLEALALFRESISSGLHEPDDLSVATVLNCCASLGAIRSGREVHGVMIRRTQQKTHACSSETSLVSFYAKCGILSQAREVFDQMTERDVVAWTVMLKAYADREGYEMEMMHLFADMLHQGMTPNCHTLSVVLGSAPLELGEQLHAWIVKLSFDSDAFIGSSLVDVYARNGNLGLAQLVFDRIQLKDVVCYNCLILGYGRTGVIEGLVSLFVEMCLVELAPNQSTFVGLLSGCAFSGFISLSKQFHAQAIVRGFDLDEVIQGIIVDMYAKCGNIEAAHAAFNGATIKQNVAIWNSMICGYGKHGNTEEALRTFNFMVSALIQPDHITFICLLSACSHSGLVDEGWRLFCLMHDFYGIPAREEHYSCMVDLFGRTGRLNDAYELISRSMFKFTPSLWGALLSACRVHGNTNMGEVAARKLFELEPECSGSYVALANIYAAGGQWEEANAVREIMDDRNIRKDTGCSWIEVGGLVHKFRAGGGIRDHNCMEEVYLMCHTLYSCACDQFYPEIVKITS >Ma08_p27360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39625863:39626181:1 gene:Ma08_g27360 transcript:Ma08_t27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISICNMWLLEVMKNLKSILSSTLLLLLPWEEHATLLEEVGLDQDLMITCRIWFSPQIQLHRLWA >Ma08_p10120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7377298:7377978:-1 gene:Ma08_g10120 transcript:Ma08_t10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQNQRARKKMAGLSQASPTGSPTTGPPKWLAHGHGRVLYPLFTILNIVLILLLYYYLWRIFCRRSQRLSDAAAAAAAASSSTASSPSPSLRNDRVNPDVFSSLPDFLYSASGEEKQECVVCLSEFKDGDKGRLLPICGHRFHADCIDMWFRSQATCPICRSAVGSKAQGSDEAA >Ma07_p10940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8100802:8105708:1 gene:Ma07_g10940 transcript:Ma07_t10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWEPKKGSLNKFQGQHPLRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIQTDPKNCEYVIISGAQRKTEDYDIEDAETFALPADEERGKLADPFYRLEHQEEDLRKKKEVEPLLVRLQRVSDSRHADDYSLNRALRARLRNQKRRVEEEEGVSRRMGLGIRLLPPSEEDAAVTAAVRFASKFDRNRKNKRAAIMASSIFPDSSASASGSKRSELESKRRKIKATAASASLAGRVKPLLWQHSAGFVKH >Ma10_p18850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29629370:29633167:1 gene:Ma10_g18850 transcript:Ma10_t18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVISSSRVENAHTPRNASYPPKVVASKRSLFSVSSSRATFSSPSTLSVPSFSDKTSNGNLSTPRTEGEILSSSQLKAFTVNELRNATRNFRPDSLLGEGGFGYVYKGWIDEQSFSATKPGCGMVVAVKKLKPESFQGHKEWLTEVDYLGQLHHPNLVKLIGYCSEGDSKLLVYEFMPKGSLENHLFRRSAQPLSWTTRIKVAIGAARGLTFLHDAESQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVIGTHGYAAPEYIATGRLSVKADVYSFGVVLLELLSGRRAVDKSKLGIEQNLVEWAKPCLGDKRKVFRIMDTRLEGQYPKKGALVVSMLALQCIDHEAKLRPRMSHVLASLEQLQDPKSAAFPPQADRHKTSCAISKSPTTHRHSSLHPAAAVRSPLPPIAITTGTLS >Ma01_p19050.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14484454:14495143:1 gene:Ma01_g19050 transcript:Ma01_t19050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTQFEIQVQPNDTIMAVKKNIEHVQGKDNYPWGQQLLIHNGKVLKDETTLEDNKVNENGFLVVMLSKSKAAGVGGASSAQPSATSTVQHPAAEQTPPSVPPQAPPQTQALESSATGPGGPQGPNAQVSADAYGQAASSSIEESKLEQMVSQLMEMGGGNWDRETVLLALRAACNNPERAVEYLYSGIPATAEIAVPASPFSSSQASPQGASAPDVAASGPLFGVPNSSPLDMFPQGNTDLGVGAGGGYLDFLRNNQQFLALRAMVQANPQILQPMLQELSKQNPQLLRLIQEHHAEFLQLINEPVDEGLEGDLFDQPEEDEMQHTVNVTAEEQEAIGRLEAMGFDRARVLEAFLACDRNEQLAANYLLEHAGDED >Ma01_p19050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14484454:14495147:1 gene:Ma01_g19050 transcript:Ma01_t19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTQFEIQVQPNDTIMAVKKNIEHVQGKDNYPWGQQLLIHNGKVLKDETTLEDNKVNENGFLVVMLSKSKAAGVGGASSAQPSATSTVQHPAAEQTPPSVPPQAPPQTQALESSATGPGGPQGPNAQVSADAYGQAASSSIEESKLEQMVSQLMEMGGGNWDRETVLLALRAACNNPERAVEYLYSGIPATAEIAVPASPFSSSQASPQGASAPDVAASGPLFGVPNSSPLDMFPQGNTDLGVGAGGGYLDFLRNNQQFLALRAMVQANPQILQPMLQELSKQNPQLLRLIQEHHAEFLQLINEPVDEGLEGDLFDQPEEDEMQHTVNVTAEEQEAIGRLEAMGFDRARVLEAFLACDRNEQLAANYLLEHAGDED >Ma01_p19050.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14484454:14494766:1 gene:Ma01_g19050 transcript:Ma01_t19050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTQFEIQVQPNDTIMAVKKNIEHVQGKDNYPWGQQLLIHNGKVLKDETTLEDNKVNENGFLVVMLSKSKAAGVGGASSAQPSATSTVQHPAAEQTPPSVPPQAPPQTQALESSATGPGGPQGPNAQVSADAYGQAASSSIEESKLEQMVSQLMEMGGGNWDRETVLLALRAACNNPERAVEYLYSGIPATAEIAVPASPFSSSQASPQGASAPDVAASGPLFGGNTDLGVGAGGGYLDFLRNNQQFLALRAMVQANPQILQPMLQELSKQNPQLLRLIQEHHAEFLQLINEPVDEGLEGDLFDQPEEDEMQHTVNVTAEEQEAIGRLEAMGFDRARVLEAFLACDRNEQLAANYLLEHAGDED >Ma01_p19050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14484454:14494765:1 gene:Ma01_g19050 transcript:Ma01_t19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTQFEIQVQPNDTIMAVKKNIEHVQGKDNYPWGQQLLIHNGKVLKDETTLEDNKVNENGFLVVMLSKSKAAGVGGASSAQPSATSTVQHPAAEQTPPSVPPQAPPQTQALESSATGPGGPQGPNAQVSADAYGQAASSSIEESKLEQMVSQLMEMGGGNWDRETVLLALRAACNNPERAVEYLYSGIPATAEIAVPASPFSSSQASPQGASAPDVAASGPLFGVPNSSPLDMFPQGNTDLGVGAGGGYLDFLRNNQQFLALRAMVQANPQILQPMLQELSKQNPQLLRLIQEHHAEFLQLINEPVDEGLEGDLFDQPEEDEMQHTVNVTAEEQEAIGRLEAMGFDRARVLEAFLACDRNEQLAANYLLEHAGDED >Ma08_p32390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43089811:43092041:1 gene:Ma08_g32390 transcript:Ma08_t32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPSMKHRRRAGGGRSWARGSSASPSWFLLFAFLCCALFAAVAFSTFRIFGVSFRPVLMLPTWQNSAINAMATDHPFFTFAATKNRSDNPPSVRIEEVISFPDQVLLFLQFPGSLPRSDLACLYYPPSNPTTTISSSSSSSSSSPVAQFHLPATIPSPLPSFVRCPLAPRGFFVSLSPDLPLFQPRPWDHLVYAAILDPHDNSSIVFAKGFNLRPARLADPSRYECVYGWNFAKPNYFMTSPVLTAAQEIIRCPTPHSILLRLRSHAVLNPPLVSVKTKGRGAVTLPSVAHHEILSPSRRQGRHTMCVCTMVRNQARFLPEWIIYHSRLGVERWFIYDNDSDDDTEQVLESMDASSDYNVTRHLWPWVKTQEAGFAHCALRARGHCRWVGFIDVDEFLYLPTNVTLHDVLRNYSRKPRIGELRIACHSFGPSGRRTVPSEGVMAGYTCRLSAPERHKSIVRPEVLNPSLINVVHHFHLKDGMRYVNMEKGLIVINHYKYQVWQVFKEKFYRRVATYVVDWQIEENVGSKDRAPGLGTKAVEPSDWPSRFCEVHDTGLKDWVSVAFANPRTGLLPWQKGEEFDLIHHFDT >Ma01_p23540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:28153181:28154846:1 gene:Ma01_g23540 transcript:Ma01_t23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKGQCDLSSGEWIPDARDPAYSNSTCSFISSFQDCLTNGRPDTGYLHWRWKPYGCELPRFDANKFLNRMRNKSLGFVGDSVFRNQMESLLCLLSEVEEAVLVYHDETFQTKTWHLPSHNATLGLIWAPFLIKSTQAISKNHIQLYLDVLDDTWTSQYHKYDYVMISGGQWFLKETIFWENNTVVGCHFCTGMNLMELGMDYSYRRALRSVFDFVSSSEHKPLVVLRTWTPTHFEHGMWYNGGICNRTKPYKESESTADPVDVVMRDVEEEGFKEGVRNGLRLRLLDTYHLSALRPDGHPGPYRRFHPDISKKPQNDCLHWCLPGIVDTWNDMLMEILMGEEELISAL >Ma03_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:28857651:28857779:1 gene:Ma03_g24570 transcript:Ma03_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLIRFFFFCSEYFNAQEEHAILKIMRLSFLILLYTICNST >Ma04_p25290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27059311:27064167:1 gene:Ma04_g25290 transcript:Ma04_t25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPTAFLSPFQFEISYECLIPLEDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVFQADPPDPTKIREEDIIGVTVLLLTCSYLGQEFLRVGYYVNNDYDDEQLREEPPPRVLVDRVQRNILADKPRVTKFPISFHPDPSENGGQQQQPPVSPESHTDDDPRSIQNSKC >Ma09_p25420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36881541:36882403:1 gene:Ma09_g25420 transcript:Ma09_t25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSPVEVGAQGTIASLILQEIEYLRRLDLDGREVSNQKEHKVNTDVASTSGSCKQKPCPSRAAHKKKKKAAAAAAAATSGGFLPSICSATDATDTSLIERIARIGYRNLRTDGKKLSED >Ma10_p20610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30689498:30694856:-1 gene:Ma10_g20610 transcript:Ma10_t20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPLPSSAAIDLPPLKPLSPYSYHQRHASKIPILTPRLGSRSKSHLSGRSTVSGRRGSMTELTRLAALGSDQDIEEDTAPGEVEAMLRTGPFNLDRDFTWRNLEAVLNGTSKWLVAALFGLAILWKHDAEILWDATGSVINVSLSVTLKRILNHERPVAGLKPDPGMPSSHAQSIFYIEAIFILSMVNHLGIDAFTVSIGSIALLFGSYLSWLRVSQQLHTYSQVLVGALLGSCCGVAWYWLWHSFVLEAFISLLWVQILVVLGSVMLCGAFVVYMIQHWLRDEP >Ma02_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19222599:19226215:1 gene:Ma02_g09040 transcript:Ma02_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSPASILSVTLGRRSWVMAMEKWLFRLISVSFVSVLLFLSAISGFTASYAFHARRPAPTDVHRGPAHLPAFAYYISGGRGDSRRVLRLLLAVYHPRNRYLLHLSADASESERADLAARVWLSIPAVRAFGNVDVVGKASAMTPMGSSGLAATLHAASALLRLDDGWDWFVTLSAEDYPLVTQDDLIYVFSNVPRNLSFVDHTSDLGWKENQRVQPIIVDAGLYLAKRKSFFKASQNRVTPESFKFFTGSPWVILSRSFIEYCILGWDNLARTLLLYFTNVMLSEEGYFHSVLCNSPNFQNTTVNSDLRYMVWDSPPMLEPHILNMTDFDQMTESGMPFARQFRQGDAVLDKIDSRILKRRYYKAVPGAWCSGKRRWWMDPCSQWGNADIVRPGPQAEKFEQLMKKVVEEWKSKSSSCR >Ma11_p12150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15975786:16002867:1 gene:Ma11_g12150 transcript:Ma11_t12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTTPLLEPSKEAFFHENCPGCKQDRRNQLHLGIPFREFFFIWIVALCSALPISSLYPFLYFMIRDLNIAKRAQDIGFFAGFVGSAFMLGRSLTSIFWGMVADRYGRKPVILISLFAIIVFNTLFGLSKSYWMAITTRLLLGLLSGLLGPIQAYASEVCRKEYQALGLSIVDTSWAMGLIFGPAIGGFLAQPAEKYPSIFAREWLFGRFPYFLPCLCISLFSVAAFIVCLWLPETIHMHSECSLEYEPIEVMEAPPHCPNLKGYGRETKQRRWFSEQSILRNRLLRSSIVLYCIFGLQSTAYTEIFSLWAVSNKSYGGLGFSSQEVGSVLAISGISLLVYQLVFFPCIAKHLGPINFTRVVAVLSIPLLASYPFMAKLSGLDLKLTVNCASLLRSIFSSTITIGLNILMNNAVSQHKRGAANGMAVTALSLFKAVAPAGAGTLFSWAQNHQGASILSGDYIVFFVLDIFGLVGLMLTFKPFLTQPGKEEITANN >Ma06_p20420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14525041:14526763:1 gene:Ma06_g20420 transcript:Ma06_t20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFQAGSCSDGSWWNTARTGGPMIPASVSCSTEITSSGGSFHWPAVGDVFEAKSRSRDESPVSISNSSITFQDLQNSHGSAPVITTPPAMDFGLSSPTADWSQPFFCSSMNGSSFLHDHHVLSSKINSMPLLSASGGLPSALLQDLLEPDSRLERSLHDAQVMKNKSSIDYHEQLLPPKQQTHFSDKTPFWDPSESSVTEAHSSYCNNPFAKANSGVGVSFPTLEKKAGSEPAGKKPRIEKQSPLPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVRVSSAPYLTNSQQMQQVNATKSLDKTKDCEGQHQDLRSRGLCLVPISSTFAVANEIPTDLWSTTFIGTFR >Ma05_p03240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2307511:2312238:-1 gene:Ma05_g03240 transcript:Ma05_t03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNDKRQAERTGRRGTPRAQYLQELVTEFQNATNEESKERIVANLANFAYDPYNYAFLRQLNVLELFLDCITEPNEKLIEFGIGGICNSCVDPENAAMIIQCGGIPLVIQCLSSSDGSTVTYALGALYYLCNSSSKKEILKPEVIEVIRRYAASGSPNVIYSNLANAFLDKHVNN >Ma05_p03240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2307511:2312238:-1 gene:Ma05_g03240 transcript:Ma05_t03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNDKRQAERTGRRGTPRAQYLQELVTEFQNATNEESKERIVANLANFAYDPYNYAFLRQLNVLELFLDCITEPNEKLIEFGIGGICNSCVDPENAAMIIQCGGIPLVIQCLSSSDGSTVTYALGALYYLCNSSSKKEILKPEVIEVIRRYAASGSPNVIYSNLANAFLDKHVNN >Ma03_p12310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9514771:9517613:-1 gene:Ma03_g12310 transcript:Ma03_t12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAYTIQISSNLINQLAGGENKVKKKTKKPKARVSDEPHQPQSNVKPAPGTQKSSPSGAWPPLQPPVFFAAPPPPPVVISELEAIRSVLEESERRVEKLEKQGDKMIQELTQRAKELRDKEFKLPYQNPTPCTAEREACLNCYKEHETDPLKCARVVKIFARCARQARLHGQFNSTKE >Ma08_p23290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36657459:36659989:-1 gene:Ma08_g23290 transcript:Ma08_t23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSMKKEGRQQRSGSRDLYSCFVSVTAILWVVLPVAAAKAFDYKDALSKSLLYFEAQRSGRLPYGQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTITMLSWSVIEYGDEIAAAGEYHHALEAIKWGTDYFIKAHTHPYVLWAEVGDGDTDHYCWQRPEDMTTPRQAYKIDTENPGSDVAGETAAAMAAASIVFRKSDAHYSHLLLHHAQKLFEFADKYRGKYDSSIREAKSYYPSWSGYEDELLWAALWLHKATGTPQYVEYVVSNGEGFGGTGWAMTEFSWDAKYAGIQILASKLLSVGNFSAAQRHVLKQYESKGEHYICACLSKNNGTNLHRTPGGMLFVRQWNNMQYVSSAAFLLIAYSDYLKEARRDVLRCPAGAVRPQELVELATSQVDYILGDNPMGISYLVGYGRKYPMRVHHRGSSIVSYKRSKGFIGCMQGYYDWYGRRGQNPNVVTGALVGGPDSHDRFRDHRGNYMQTEACTYNTAPLVGVLAKLHQQMSHQHVKEMTTSSSPSYLEFA >Ma05_p00580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:328833:329060:-1 gene:Ma05_g00580 transcript:Ma05_t00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAQALGRYVMEAAPPQVVSPVRYRVAKILDTIAEEERETDEASSSSSTSSASWPVHHHRRNPRTEMKPACGP >Ma03_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8881455:8890706:-1 gene:Ma03_g11430 transcript:Ma03_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELASNDLPIFEVEDCYLSDSEAFASVIHVLDGVRMASVLVAQSDRDQYDYLLSIVDPGKRMGPDEEALLATALKALSGAVSKIDIVYHGSLISNIFGLCLWNYGLDARNALLELITSLAAVPDKFLDGCLRMLVNNFLPPRRLRESISQSRWITRKKEVHSELHMALHYITDVVPLAPMKLKSIIEKRMPRCTDPKDMIVLYVECMLGLENDEIGEFLGSTLLAKLVDLLTDLDVNITWEDILQEEHNKGIFEMELEEWEDNMGTDAEVGVKPPMENGVLKGNAFADKLDGLMVIVCEHLKSRAENGHLLKVFEALSEIFRRAMLKLHKSKFAQFLMFYACSLDPDICGLKFAVLLTDIFVSKNEDPDSRMKAVAYLASYLARAKFVSSSMVASILKRLVDWCFEYCQFQDNQGKINPQAHRVFYSGCQAAMYILCFRMRSILDVPHLKHLLFHMPLGSILCHPTLDPLKVCLPSIVQEFLRQAKAARLFKTSVPYLYDYSLESEFSKAFGGIERLDMFFPFDPYLLKESDRFMRPNFEFWSMVKTTYSNCNSEDEYEFDDLDAPDFPENAGIYEEHDDLDLDNGDDLEYSLNKMSITPKPAFQHPINTNFHQPARMPARIRPSVSPTW >Ma03_p11430.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8881455:8890715:-1 gene:Ma03_g11430 transcript:Ma03_t11430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELASNDLPIFEVEDCYLSDSEAFASVIHVLDGVRMASVLVAQSDRDQYDYLLSIVDPGKRMGPDEEALLATALKALSGAVSKIDIVYHGSLISNIFGLCLWNYGLDARNALLELITSLAAVPDKFLDGCLRMLVNNFLPPRRLRESISQSRWITRKKEVHSELHMALHYITDVVPLAPMKLKSIIEKRMPRCTDPKDMIVLYVECMLGLENDEIGEFLGSTLLAKLVDLLTDLDVNITWEDILQEEHNKGIFEMELEEWEDNMGTDAEVGVKPPMENGVLKGNAFADKLDGLMVIVCEHLKSRAENGHLLKVFEALSEIFRRAMLKLHKSKFAQFLMFYACSLDPDICGLKFAVLLTDIFVSKNEDPDSRMKAVAYLASYLARAKFVSSSMVASILKRLVDWCFEYCQFQDNQGKINPQAHRVFYSGCQAAMYILCFRMRSILDVPHLKHLLFHMPLGSILCHPTLDPLKVCLPSIVQEFLRQAKAARLFKTSVPYLYDYSLESEFSKAFGGIERLDMFFPFDPYLLKESDRFMRPNFEFWSMVKTTYSNCNSEDEYEFDDLDAPDFPENAGIYEEHDDLDLDNGDDLEYSLNKMSITPKPAFQHPINTNFHQPARMPARIRPSVSPTW >Ma03_p11430.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:8881499:8890713:-1 gene:Ma03_g11430 transcript:Ma03_t11430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELASNDLPIFEVEDCYLSDSEAFASVIHVLDGVRMASVLVAQSDRDQYDYLLSIVDPGKRMGPDEEALLATALKALSGAVSKIDIVYHGSLISNIFGLCLWNYGLDARNALLELITSLAAVPDKFLDGCLRMLVNNFLPPRRLRESISQSRWITRKKEVHSELHMALHYITDVVPLAPMKLKSIIEKRMPRCTDPKDMIVLYVECMLGLENDEIGEFLGSTLLAKLVDLLTDLDVNITWEDILQEEHNKGIFEMELEEWEDNMGTDAEVGVKPPMENGVLKGNAFADKLDGLMVIVCEHLKSRAENGHLLKVFEALSEIFRRAMLKLHKSKFAQFLMFYACSLDPDICGLKFAVLLTDIFVSKNEDPDSRMKAVAYLASYLARAKFVSSSMVASILKRLVDWCFEYCQFQDNQGKINPQAHRVFYSGCQAAMYILCFRMRSILDVPHLKHLLFHMPLGSILCHPTLDPLKVCLPSIVQEFLRQAKAARLFKTSVPYLYDYSLESEFSKAFGGIERLDMFFPFDPYLLKESDRFMRPNFEFWSMVKTTYSNCNSEDEYEFDDLDAPDFPENAGIYEEHDDLDLDNGDDLEYSLNKMSITPKPAFQHPINTNFHQPARMPARIRPSVSPTW >Ma05_p08360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6179478:6183149:1 gene:Ma05_g08360 transcript:Ma05_t08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MVGPGRPVFVLFGSSIVQFSYSNGGWGAILADVYARKADIFLRGYLGWNSRRAVQVLDKIFPKDAAVQPSLVIVYFGGNDSMGPHPSGLGPHVPLPEYIENMRKIATHLKGLSEKTRIIFLSSPPLNEEMLRRSRSPALSELVRTNERCQRYSEACIELCKEMDVKVVDLYNAIQKRDDWSTACFTDGIHLSSEGSKIVVEEILKVIKEAEWEPSLHWKSLPTEFGEDSPYDVVSSDGMSTINISNCTFHRDMQWD >Ma03_p26420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30155272:30155851:1 gene:Ma03_g26420 transcript:Ma03_t26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRISQTLFVFFFLLILLFDASVSGRAEIKDDPTESPNPAQLFSKMQTTRALVEYALDYDYGGHNPKHEPRRGKPGTGSRNP >Ma09_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:244744:246956:1 gene:Ma09_g00290 transcript:Ma09_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQAGCEIEATGISYQISISKRCHPLKKIWSRDGEEGGGASSHRPASCSEEDNAQVVDAADEKPCDECEVRNVLQNVSCRAKPSEILAIVGPSGAGKSTLLEILAGKIIPSTPPTILINQRPVDKSNFRRISGYVTQKDTLFPLLTVRETLMFSARLRLGLSQSQLSSRVESLLRELGLGRVADARVGDDARLRGISGGERRRVSIGVEVVHDPRVLILDEPTSGLDSTSALQIVDMLKDMAETRGRTIILSIHQPGFRILKLFGCVLLLADGTVLHHGTIDQLHSHLRSVDLELPRHANVLEFAMDSIDTLRRWQLEHHQQQPPPARAPPPQFPKKLVDGADGKIDRCTLQQLFQQHKVVDEESLAGLDPDELSYEYANSRPREIAILTHRFLKNVMRTKQLFAFRTIQMLVSGLVLGSIFYRLKDENIRERVGLFAFILTFLLSCTTEALPIFLQEREILMKETSSGSYRVSSYVIANGLVFLPFLFILAVLFSVPVYWLAGLRPSCSAFMYFLLLIWLILYTANSVVVCFSALAPNFIIGNSIISGIMGTFFLFSGYFISKQWMPSYWVFMHYVSLFKYPFEGFLINEFSGSGKCLEYGFGVCLLRGDDVLRKEGLKEECRWKNVMMMVCFILAYRFFSYLILRVRCRCAQRGGLKGALA >Ma11_p15830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21479835:21484370:-1 gene:Ma11_g15830 transcript:Ma11_t15830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTKLSFSFSSTASKPSSRSTVTKAARAQADDGGVKPQFVTVFDPSAAPGSGDVKPVIPPIPNVDLNPALKRMKNLLPLPTSDDDPSAAAANTAHFVLDTSTGDAPAGHIPYGLTLRSAAAGDADGARSQREEDGPGRRRGRAQDDDFMHRRYREDVKDLPEDRGMEEFKDINVEDFGAAVLAGYGWTQGQAVGRNKNLVDTKVFEYKRKGGSEGIGYNPSSGDPKKRRGLWVSEEEKEKAKEKTKEKEKIVRVISGRHMGSKGKVLKRSGSSELFLKLLETGEEVKLRDEMVADLGSTEEEKFLRKLKTSENEGRDQRRDEKRNRHERREEKSEPVQESSRSNYRSEENKVRWLTSHIRVRIVSKDFMGGKLYLKKGEVIDVVGPTTCDISMDGSKELVQGVDQDILETALPKRGGSVLVLYGKHKGVFGSLVERNTDKDTGMVRDADSHDMIKVRLDAIAEYLGDPSYLGY >Ma11_p15830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21479835:21484370:-1 gene:Ma11_g15830 transcript:Ma11_t15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTKLSFSFSSTASKPSSRSTVTKAARAQADDGGVKPQFVTVFDPSAAPGSGDVKPVIPPIPNVDLNPALKRMKNLLPLPTSDDDPSAAAANTAHFVLDTSTGDAPAGHIPYGLTLRSAAAGDADGARSQREEDGPGRRRGRAQDDDFMHRRYREDVKDLPEDRGMEEFKDINVEDFGAAVLAGYGWTQGQAVGRNKNLVDTKVFEYKRKGGSEGIGYNPSSGDPKKRRGLWVSEEEKEKAKEKTKEKEKIVRVISGRHMGSKGKVLKRSGSSELFLKLLETGEEVKLRDEMVADLGSTEEEKFLRKLKTSENEGRDQRRDEKRNRHERREEKSEPVQESSRSNYRSEENKVRWLTSHIRVRIVSKDFMGGKLYLKKGEVIDVVGPTTCDISMDGSKELVQGVDQDILETALPKRGGSVLVLYGKHKGVFGSLVERNTDKDTGMVRDADSHDMIKVRLDAIAEYLGDPSYLGY >Ma11_p15830.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21479835:21484370:-1 gene:Ma11_g15830 transcript:Ma11_t15830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTKLSFSFSSTASKPSSRSTVTKAARAQADDGGVKPQFVTVFDPSAAPGSGDVKPVIPPIPNVDLNPALKRMKNLLPLPTSDDDPSAAAANTAHFVLDTSTGDAPAGHIPYGLTLRSAAAGDADGARSQREEDGPGRRRGRAQDDDFMHRRYREDVKDLPEDRGMEEFKDINVEDFGAAVLAGYGWTQGQAVGRNKNLVDTKVFEYKRKGGSEGIGYNPSSGDPKKRRGLWVSEEEKEKAKEKTKEKEKIVRVISGRHMGSKGKVLKRSGSSELFLKLLETGEEVKLRDEMVADLGSTEEEKFLRKLKTSENEGRDQRRDEKRNRHERREEKSEPVQESSRSNYRSEENKVRWLTSHIRVRIVSKDFMGGKLYLKKGEVIDVVGPTTCDISMDGSKELVQGVDQDILETALPKRGGSVLVLYGKHKGVFGSLVERNTDKDTGMVRDADSHDMIKVRLDAIAEYLGDPSYLGY >Ma11_p15830.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21479835:21484370:-1 gene:Ma11_g15830 transcript:Ma11_t15830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTKLSFSFSSTASKPSSRSTVTKAARAQADDGGVKPQFVTVFDPSAAPGSGDVKPVIPPIPNVDLNPALKRMKNLLPLPTSDDDPSAAAANTAHFVLDTSTGDAPAGHIPYGLTLRSAAAGDADGARSQREEDGPGRRRGRAQDDDFMHRRYREDVKDLPEDRGMEEFKDINVEDFGAAVLAGYGWTQGQAVGRNKNLVDTKVFEYKRKGGSEGIGYNPSSGDPKKRRGLWVSEEEKEKAKEKTKEKEKIVRVISGRHMGSKGKVLKRSGSSELFLKLLETGEEVKLRDEMVADLGSTEEEKFLRKLKTSENEGRDQRRDEKRNRHERREEKSEPVQESSRSNYRSEENKVRWLTSHIRVRIVSKDFMGGKLYLKKGEVIDVVGPTTCDISMDGSKELVQGVDQDILETALPKRGGSVLVLYGKHKGVFGSLVERNTDKDTGMVRDADSHDMIKVRLDAIAEYLGDPSYLGY >Ma02_p04850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:16261679:16262226:1 gene:Ma02_g04850 transcript:Ma02_t04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLLSAPLSMFRWPELGLANLTGSFLDFSGLIPSWRWAGLRWPGLDFSVVDDVLWSLVTAFESVALVAMLCFFFLCCGCTV >Ma09_p20770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29968691:29971748:1 gene:Ma09_g20770 transcript:Ma09_t20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MACGGAVVQVPNLGFGSQKKFYLQRYKMTQKYSPRYRSIRAVQENEGPRRLIDIIRLIPDASRNYFRSRPRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTRFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >Ma02_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:15058530:15059043:1 gene:Ma02_g03600 transcript:Ma02_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMQSIRLLVALVLLARAAAGEAGAELPLGWIPSSLSGCRGTIAECLAGEEFDLGSEAGRRILATSSYISYGALKRDTVPCSRRGASYYNCRPGAQANPYSRSCSAITQCRGG >Ma06_p19300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:13245502:13246108:1 gene:Ma06_g19300 transcript:Ma06_t19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETVLVFSCKGAAYGMASMGVTRLEPVMKSIMLVVSARDLRIDYRCDHKHQEQPTRRSRITSLMAMLISSLGLLVRLRAWRSASSATLVFGITL >Ma06_p34340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34613714:34614083:1 gene:Ma06_g34340 transcript:Ma06_t34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPDSGDSKGSTFSREECFQRNKVLKAKREAYCFPSTGEINNEREWKNRSTRRCRVGIGSQSCSIHETGGQPTLGGGVVACT >Ma03_p26070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29945026:29945982:1 gene:Ma03_g26070 transcript:Ma03_t26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVHVECFGHYKKWCRCRCRCNCCVHCHATHAAADPSRVHEYGPSIAPPHRVRHVLSGASLGSSAVPCAGLRPQYSSLTQRGYYPGWPDRANEDSFCVKTQFQGNPDLHFFGVFDGHGDFSAQCSAFVRDRLTDILAGDPRLWENTTEAYRSAFAATNMALHDSEIDDSSSGTTAVTVLVRGDTLVVANVGDSWVVAGVWDGDCVVAEDLSSDHKPLWEDERERLRQYGAMVSGEEDPNARSWSDDEETYRPDPPRLWVRDFGLALSRSFGDSDLESVGLIAVPELKVVKLTANHSFFVVASNGVFDFLSSQAMAGG >Ma08_p06780.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4591703:4595144:1 gene:Ma08_g06780 transcript:Ma08_t06780.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 [Source:Projected from Arabidopsis thaliana (AT1G56170) UniProtKB/Swiss-Prot;Acc:Q8LCG7] MEQLTQPSSPVIGVVSGAAQIAYAAPIMQPAALVTGAPAVSGAIPPAAQLTIAYPTNPGNIASQHQIAYQQVPQFHHELQQQLQAFRSDQMLEIEETTDFKNHSLPLARIKKIMKADEDVRMISAEVPVVFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAITNADMFDFLVDIIPRDEFKDEGFGIAGAAFPAVGGPANSVPYCFVSAPQVPDPAMTMGKPVDQTAAATLYAVQQPHSVAYMWQLPEMQPGQQVPESE >Ma08_p06780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4591703:4595144:1 gene:Ma08_g06780 transcript:Ma08_t06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 [Source:Projected from Arabidopsis thaliana (AT1G56170) UniProtKB/Swiss-Prot;Acc:Q8LCG7] MQPAALVTGAPAVSGAIPPAAQLTIAYPTNPGNIASQHQIAYQQVPQFHHELQQQLQAFRSDQMLEIEETTDFKNHSLPLARIKKIMKADEDVRMISAEVPVVFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAITNADMFDFLVDIIPRDEFKDEGFGIAGAAFPAVGGPANSVPYCFVSAPQVPDPAMTMGKPVDQTAAATLYAVQQPHSVAYMWQLPEMQPGQQVPESE >Ma08_p06780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4591621:4595144:1 gene:Ma08_g06780 transcript:Ma08_t06780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 [Source:Projected from Arabidopsis thaliana (AT1G56170) UniProtKB/Swiss-Prot;Acc:Q8LCG7] MEQLTQPSSPVIGVVSGAAQIAYAAPIMQPAALVTGAPAVSGAIPPAAQLTIAYPTNPGNIASQHQIAYQQVPQFHHELQQQLQAFRSDQMLEIEETTDFKNHSLPLARIKKIMKADEDVRMISAEVPVVFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAITNADMFDFLVDIIPRDEFKDEGFGIAGAAFPAVGGPANSVPYCFVSAPQVPDPAMTMGKPVDQTAAATLYAVQQPHSVAYMWQLPEMQPGQQVPESE >Ma08_p06780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4591621:4595144:1 gene:Ma08_g06780 transcript:Ma08_t06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 [Source:Projected from Arabidopsis thaliana (AT1G56170) UniProtKB/Swiss-Prot;Acc:Q8LCG7] MEQLTQPSSPVIGVVSGAAQIAYAAPIMQPAALVTGAPAVSGAIPPAAQLTIAYPTNPGNIASQHQIAYQQVPQFHHELQQQLQAFRSDQMLEIEETTDFKNHSLPLARIKKIMKADEDVRMISAEVPVVFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAITNADMFDFLVDIIPRDEFKDEGFGIAGAAFPAVGGPANSVPYCFVSAPQVPDPAMTMGKPVDQTAAATLYAVQQPHSVAYMWQLPEMQPGQQVPESE >Ma06_p31290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32464161:32465690:1 gene:Ma06_g31290 transcript:Ma06_t31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGACGWLRERKVRDLVRDKRRLVEVPYTATLAHTVNALVANRVSAVPVAAPPGQWIGAGGSMILESDRATGAVRKHYIGMVTMLDLLAHIAEEGDQNHGAGDESSAGPERDLERRMSVPVSSIIGHSLEGLSLWTLNPATSILDCMETFSKGVHRALIPLESQTNHAIAVELAEASPGYRMLTQMDVISFLREQNQELKGVLSHSVLELGAISEVIFSVSKHTKVMDTIRSMRAAGLGAVPVVEAPSDDQILQDSQGKGKRLIETFSATDLRGCPVVLLQSWLSLSVTEFKERLSMRGSNASIAPPMAGDDPSPETRRLITCSPETSLSDVIESAVSNHVHRLWVVDGEGLLQGVVSLTDMVRVVRDAVLRAEQELEGVVQHG >Ma08_p33450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:43746009:43746358:1 gene:Ma08_g33450 transcript:Ma08_t33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGRGCLIVAASMSAAVEAPKDQAGLYRWNNVLRSRQKPSSPSTRSSSTVVKSKGVEGGDERGKQSEESLRLVMYLSCWGPN >Ma04_p21800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24256243:24259574:1 gene:Ma04_g21800 transcript:Ma04_t21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDSSSLPSNPTRYILIRPKPLHSNRTLILNSSFFLPALPQPLLIAPSRSFALFVFPIMNREKLMKMAGAVRTGGKGSMRRKKKAVHKTPTTDDKRLQSTLKRIGVNTIPAIEEVNIFKDDIVIQFPNPKVQASIAANTWVVSGSPQTKKLQDLLPAIINQLGPDNLENLRKLAEQFQKQAPAASAATGEEDDDDVPELVPGETFEEAAEEKQAS >Ma05_p28690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39615785:39616027:1 gene:Ma05_g28690 transcript:Ma05_t28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGNSLAAAASVATVEAPEDQAELYRWNHAMWSLQQQAKDGMGVEQWRTGGASCAERAKRTEASLSKVMYWDCWGPK >Ma04_p11540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8143239:8155175:1 gene:Ma04_g11540 transcript:Ma04_t11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHAARLAFWFARRSLRSKLLDESPIIAIEVASGRLAPGVCSSSRGFRSGICDEAKVRHGIFDGAFQRHFVSSRSFHGTRSVSARDYYDVLGVSKNASASDIKKAYYALAKKLHPDTNKDADAERKFQEVQRAYEVLKDEDKRRLYDQVGPDAFEQAAAGGGAGPGGPFGGAGFGNPFEDVFGGGSRFNDFFKSMFGDRDFGGQDVKVPLEISFMEAVQGCTKTVTFQTAVTCEECDGAGFPPGTKPETCRACRGSGMIFMQNGPFRLQTTCSQCGGSGITVKNLCKSCKGQRVVSGVKSVKLDVMPGVDDDETIKVYRSGGADPDGNQPGDLYVTIKVREDPVFRREKADIHVDAVLSITQAILGGTIQVPTLTGDVVLKVRPGTQPAQKVVLRGKGIKTRNSSYGDQYVHFNVNIPVNLTQRQRMLIEEFAKEEQREDEKGATAAGASG >Ma03_p28960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:31995202:31995636:1 gene:Ma03_g28960 transcript:Ma03_t28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKPTPAPPSGCASQEFSETDLLGNIIEGAGGKYNREQSRSLLEPLVEGLLRGNKFLLVLDDVWDARIWDDLLRNPLQGGAAGSRVLVTTRNEGIATAHVHEMKLLPPEDGWSLLCKKATMNAEEERDAQDLKDIGMKIVEK >Ma10_p12010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:25327800:25329890:1 gene:Ma10_g12010 transcript:Ma10_t12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQPNRNAHLAVTAEKGEQRRHLAPKRNSKNDRHTKVDGRGRRVRMPALCAARIFQLTRELGHKSDGETIQWLLQQAESSIIAATGSGTIPASVLTSSSAAASTNLADSATASSGLHHNKFQESGQSRVNWATFGRPHPELWLPPVDGFDTSFFHSAAAAMPVASNLPRIGFPALEFPGTNPNQMSFTSLLGGQRPPMPGLELGLSQHGQVRIFNPQALSQFYQHIGQGSDHLHLHQHQQVQQEQHQKSPTPNEKSEVSAE >Ma11_p17780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22998239:23003986:-1 gene:Ma11_g17780 transcript:Ma11_t17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRRRRAPLKSRHPDLKEKLRQCCLERIKEERNQLLWKIRSNRQQSQETEDIVESTLREIVSDELQRMQPSLLENQRNIVSKNNDVIWEFDGLHLENSTETASEELMLEMQRLLYEDLREELIKRELEFFEKEDEYLAQAVLENMNLNDNQNAENNKVWCPICKRGELQENHHIICCTNCNLRFDAGIDKLNLNFLKSRLGEVHMDHLNRGCKATPSFCMQAMFNLNALFIQCEVCDTFEIVI >Ma11_p17780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22998239:23003986:-1 gene:Ma11_g17780 transcript:Ma11_t17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRRRRAPLKSRHPDLKEKLRQCCLERIKEERNQLLWKIRSNRQQSQETEDIVESTLREIVSDELQRMQPSLLENQRNIVSKNNDVIWEFDGLHLENSTETASEELMLEMQRLLYEDLREELIKRELEFFEKEDEYLAQAVLENMNLNDNQLGNHSFDSIEWSQWWGTVILLHPVNAENNKVWCPICKRGELQENHHIICCTNCNLRFDAGIDKLNLNFLKSRLGEVHMDHLNRGCKATPSFCMQAMFNLNALFIQCEVCDTFEIVI >Ma04_p23100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25284475:25286364:1 gene:Ma04_g23100 transcript:Ma04_t23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEEASGIDVGECGSCNGEFLQPAVVAKGKRTKRQRSHPRLHTVLADSSSTSSSEHSESITEEDEDVANCLILLAQGRARLTYSGRGPEGLPGEAADGDGGGVAEKSSSRRPPEAATTTGCAYECKTCSRWFPSFQALGGHRASHKKPKLTAATTIAIEERKESIHDHLLQTSTNPFSHPVRGVEQSNTKTKTHECSICGSEFSSGQALGGHMRRHRPPVAAIPESQDIKKERFLLPLDLNLPAPINDDAQIPPPPASPFAPGRPLVFAASASALVDCRY >Ma11_p15060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20766468:20774833:1 gene:Ma11_g15060 transcript:Ma11_t15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGAASPLDTTTGRRVNSNPSPSLDGAFLLQLLQNPPQPSRPSASHSSSFPHQWFDPAVAAVGPIDCDPRPTPPPPGHFSAPVLFHSPPLSLPQGLPPALFPPPGFFPLGGGDAASSSRGPGNQPFFPLDQQRLGVSAGVVHPLAASPPSSDLVGILGHPPNDRNLVDQTAPRRNISSSRGREDRSSLRPPPGFQKLQNGKKSTSRSSDGGEIQWKPRVDRSIGSIHRSHQFHTDESNQDAERQAIKEENGDRMHKLEIRNQGTHVSFYTKSKGSGDEDKLWESQMNSTTLKVDREVNNVMTHISSSGSKDSRSDHFRGHHVSTQRMRIQRRAIRCRHDMEALNSSFLSIFESLVPAEEEKAKQMQFLISLQNLVNKEWPNAKLHLYGSCANTFGVLKSDIDVCLAIDDHDLSKSDILLKLADLLRSGNLQNVQALTHARVPILKLIDPVTGLSCDICVNNLLAVVNTKLLKDYAQIDKRLQQLAFLVKHWARSRRVNETYQGTLSSYAYVLMCIHFLQLRKPAILPCLQAMDTTYTVTIDNTKCTYFDQVERLRAFGVRNKESIARLLWAFFHYWAYHHDYTNDVISIRTGSIISKQAKDWTRRIGNDRHLICIEDPFDISHDLGRVVDKFSIKILREEFERAADILQYDTNPCVTLFQPYAAVSPSS >Ma06_p07670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5446712:5448830:-1 gene:Ma06_g07670 transcript:Ma06_t07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYRTFDGNRPGLGGLYQDASMLTFEGDKIQGAAAIVAKLTSLPFQQCVHAVSTVDCQPSGPAGGMLVFVSGSLQISGESHALKFSQMFHLMPTPQGSFYVLNDIFRLNYA >Ma05_p04420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3382372:3385957:1 gene:Ma05_g04420 transcript:Ma05_t04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACEACRSVAGSISRRSARIAYCGLFALSLVVSWGLREVAAPLMESLPWINHFHKTPDREWFETDAVLRVSLGNFVFFTILAVVMIGIKDQKDPRDQLHHGGWMAKIVCWFIVVFLMFFVPNGIVSFYETISKFGSGLFLLVQVVLLLDFVHAWNENWVSKDEQFWYMALLIVSLVCYLATFSFTGVLFHWFTPSGHDCGLNTFFIVLTLILVFVFATVALHPKVNGSLLPASIISLYCTYLCYSGLSSEPRDYECNGLHNHSKVVSTGSLTLGLLTTVLSVVYSAVRAGSSTSLFSPPSSPRAGSEKPLLPFDKLDEQEDKKNDEAKPVSYSYTFFHLIFSLASMYSAMLLTGWSTSVGESGKLIDVGWPSVWVRIVTGWATAALFMWSLVAPLIFPDREF >Ma04_p11440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8080017:8084687:-1 gene:Ma04_g11440 transcript:Ma04_t11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLASYGKLHSEAELPIKKRLPPPQPQPPSSKVKLEIEDQLEDQHGPLNKRPKVADPHLQQQWGTGKDMPLTEGVQYDLLDEPSPLGLRLRKSPSLLDLIQMRLSQANAAVTSHSMENEGLGDEKNKETRSSSALATTEKMKASNFPASILRIGSWEYVSRYEGDLVAKCYFAKRKLVWEILEGGLKSKIEIQWSDITALKANCPEDGPGTLDVVSARQPLFFRETNPQPRKHTLWQATSDFTDGQASIHRKHFLQCPQGLLSKHLEKLIQYDPRLYALSQEPEIQESRFFEPRCYPFDNLKDSYGSSVQEFQDSASACARRSTSAKGGTSVDVSVAEIHPPSSVLEPPVSKGNSAVGTEETKNQSCWDHLTVPGLKPSMSINDFINQLGYCISDQFASRNPLSSGTVIRDQEILELVESLFTDSQMPASDERSIMSKVNSFCCLLQDAGMVQSQQMNWGGTSTTGNGFDPEEESNEASGRRTAANISRKDSFGELLMHLPRIASLPQFLFDIAEDGEDIGSQK >Ma04_p11440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8080017:8084687:-1 gene:Ma04_g11440 transcript:Ma04_t11440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLASYGKLHSEAELPIKKRLPPPQPQPPSSKVKLEIEDQLEDQHGPLNKRPKVADPHLQQWGTGKDMPLTEGVQYDLLDEPSPLGLRLRKSPSLLDLIQMRLSQANAAVTSHSMENEGLGDEKNKETRSSSALATTEKMKASNFPASILRIGSWEYVSRYEGDLVAKCYFAKRKLVWEILEGGLKSKIEIQWSDITALKANCPEDGPGTLDVVSARQPLFFRETNPQPRKHTLWQATSDFTDGQASIHRKHFLQCPQGLLSKHLEKLIQYDPRLYALSQEPEIQESRFFEPRCYPFDNLKDSYGSSVQEFQDSASACARRSTSAKGGTSVDVSVAEIHPPSSVLEPPVSKGNSAVGTEETKNQSCWDHLTVPGLKPSMSINDFINQLGYCISDQFASRNPLSSGTVIRDQEILELVESLFTDSQMPASDERSIMSKVNSFCCLLQDAGMVQSQQMNWGGTSTTGNGFDPEEESNEASGRRTAANISRKDSFGELLMHLPRIASLPQFLFDIAEDGEDIGSQK >Ma09_p19570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24914413:24923743:1 gene:Ma09_g19570 transcript:Ma09_t19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MPPPSALPLSSSCFSSSIASSSLRCSLPRPNHPLRPPLKLPPFQLQPPHHISTLSHEKKIPSSPPPPPAAPWLLPDNAESLKMPTAPWMTSPFLLPSDQVLDLSNPSRSTRNKKKVPGPADRPLTDKVRGGRSRHAMLGIIRNIKNLRQVHSAEPDEETPAAAFADAGQAAEFGVPLRPAKGGRGQRAPWVTAEEKLVFGREKKVKVVTSAESVLAPELLFRLRGEARRMTRWVKAKKAGVTQGVMEEIRRGWKENELVMVRIVEPLRRNMDRAREIVEQTKTGGLVVWCKRDFLVVYRGQKYEMFRNAPSSPNAVNKVNPGIFEEDSAIVSGSVVKMDGEVQIMESGIQMGDISIEGSLYEREADRLLDGLGPRFVDWWWQKPLPVDADLLPEVVPGFRPPLRMCPPGVRPKLTDDELMYLRKLARPLPTHFALGRNRKLQGLAASIRKLWEKSLIAKIAVKVGIQNTNNEQMSLELKRLTGGVLILRNKFFIILYRGKDFLPGGVTNLIDEREAELNEQQLEEEKARTGFTNSLRAMDNILPSFSIVGTYMEFQEIQANHISLNNLSYRGQIRIEAEREKLKKELREHEHKLFILKQKIERSEELLSKLSLSCCPSEQTADLEILTEEERQAFRKVGLEMNEIILLGRRGIYDGVFGSIHQHWKHREVVKVLTKQKAFHHITCTARLLEVESGGILVAVEKLRTSHAIIIYRGKNYARPLKPSNNLLSKREALQRSIEIQRRGSLKYFARQREKLIWELTQRLRKLE >Ma09_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:24914413:24923743:1 gene:Ma09_g19570 transcript:Ma09_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MPPPSALPLSSSCFSSSIASSSLRCSLPRPNHPLRPPLKLPPFQLQPPHHISTLSHEKKIPSSPPPPPAAPWLLPDNAESLKMPTAPWMTSPFLLPSDQVLDLSNPSRSTRNKKKVPGPADRPLTDKVRGGRSRHAMLGIIRNIKNLRQVHSAEPDEETPAAAFADAGQAAEFGVPLRPAKGGRGQRAPWVTAEEKLVFGREKKVKVVTSAESVLAPELLFRLRGEARRMTRWVKAKKAGVTQGVMEEIRRGWKENELVMVRIVEPLRRNMDRAREIVETKTGGLVVWCKRDFLVVYRGQKYEMFRNAPSSPNAVNKVNPGIFEEDSAIVSGSVVKMDGEVQIMESGIQMGDISIEGSLYEREADRLLDGLGPRFVDWWWQKPLPVDADLLPEVVPGFRPPLRMCPPGVRPKLTDDELMYLRKLARPLPTHFALGRNRKLQGLAASIRKLWEKSLIAKIAVKVGIQNTNNEQMSLELKRLTGGVLILRNKFFIILYRGKDFLPGGVTNLIDEREAELNEQQLEEEKARTGFTNSLRAMDNILPSFSIVGTYMEFQEIQANHISLNNLSYRGQIRIEAEREKLKKELREHEHKLFILKQKIERSEELLSKLSLSCCPSEQTADLEILTEEERQAFRKVGLEMNEIILLGRRGIYDGVFGSIHQHWKHREVVKVLTKQKAFHHITCTARLLEVESGGILVAVEKLRTSHAIIIYRGKNYARPLKPSNNLLSKREALQRSIEIQRRGSLKYFARQREKLIWELTQRLRKLE >Ma02_p15450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23159286:23163452:1 gene:Ma02_g15450 transcript:Ma02_t15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLMVLGLALAFLVTCSALLRWNEVRYRKKSLPPGTMGWPVFGETTEFLKQGPSFMKNKRLRHGSLFKSHILGCPTVVCMDPELNRFILMNEGKGFIPGYPQSMLDVLGRSNIAAVHGSLHKIMRSVMLGLVSPPLIRDQLLPKIDEFMRSYIDDWNGRVIDIQEKTKEMALMSALKQIASIETGPVSKGLRTEIFKLVLGTLSLPINFPGTNYYRGLKARKKVVSMLHGIIEQRRISRCSYNDILDHLLKIDPSSKVKLDDEQIIDLMIALIYSGYETVSTTSMMAVKYIHDHPRVLDELRNEHLKIHNEKSCKDAIDWNNYKSMTFTRAVILETLRMATVVNGVLRKTTQDMEMKGFTIPKGWRIYVYTREINYDPFMYPEPLTFNPWRWQESNLDSHQHFMLFGGGSRMCPGKELGTAEIATFLHYFVTRYRWEEVGGDKILKFPRVQAPNGLHIRVWEN >Ma06_p04240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3073024:3074684:-1 gene:Ma06_g04240 transcript:Ma06_t04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTPEEDQKLLAYIEKHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGEFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPCTHKAKSDALVSADGHSKSAANLSHMAQWESARLEAEARLVRESKLRTASNSTILQQQQEQPQHQMGSSSSSSSTTALPPALLASKPGAPPGPPPCLDVLRAWHGVWPAKPAIERVDLESPTSTLSFAAAGVGLVDGNAAAVRHQGGENLEPESADWKCLAKDRMDSFAGFSVDAFGGEAPWLPEPYTSQEGCAWGQFGAGLTGLLLGDSGAQKPLGYCGDSYATRRETCEEEDEEEEEEEEDEEEKEGEAKKSYWNSILNSVNSSSSSNSAPAPAFYNNSARVDFLRRSPVP >Ma06_p27390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29409126:29410794:1 gene:Ma06_g27390 transcript:Ma06_t27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAASSSFVLPLLLVLVGVSTVRTAAPVKGRGEWRLLRASIGISAMHMQLLPDDTVVIFDRTDFGRSNISLPGGRCRFDSYDLALTTDCTAHSVLLLLPSAAVRPLSLLTDTWCSSGALLPNGSLLQTGGFNDGDRVIRLFSPSRGGRSDWVERPFYLSVRRWYASNQLLPDGRVIILGGRRQFSYEFFPRELAGSRTVFQFPFLLDTWNRETENNLYPFLHLLPDGTLFVFANDRAVVLDAIRHRVLRRLPPVPGGPRSYPSSGSSVLLPLRPGAAPEVLVCGGTPWGSYQAALNGTFLPALRTCARIKPADSDPAWSLEDMPLPRVMGDMLLLPTCDVLIVNGAAAGTAGWELARNPVTRPVLYHPDRPAGRRFAVLKRSRIPRMYHSTAILDTYGRVLVGGSNPQAHYAFANVMYPTELSLEAFHPPYLAARTRPRMAAAPREVGYGERVALRFEAAGHYGAWEELVVEVVVVAPAFATHAVGMNQRAVMLEASRAVWAWGNFGYVAEVVAPPSPKVAPPGYYLWFVVHAGVPSNGVWVRIR >Ma10_p09040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23239147:23253492:-1 gene:Ma10_g09040 transcript:Ma10_t09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSSPPSFFHVRFEKPLASPSRYRVRPVAGSSSSPRALRTPRVTPTQHLRYDSASEPAAGSVVTEESKVTSEKDDDTPPRKERKGISGIYVPRQKYISVTKDDLLDAILTMFGSKQDAEEFKRLAMCLDAILHAEHKGILEEMRAYYSSTCSKERHAGLSSMKLEEDDYNRQHISSESSDSTGNGEANSSRNDDIDKLLYLSNGWDLRKLFGRSPVDSILGSRVAAANKFQHSFMKLLQDAQFEELSVEDLLLTNALNNDYLLTLPVYVDWKKAFESNAIIFRRGYATERQKGFLIVEKLDYLQSKLLQEIFFNLSKPVKRIGRWLNEALKRYHEVQNIEIWMDKLKIWLREKYSPETALSYCESTSRNHQDTDRITDIDLPVWLAAQKAVSRYEGLLSPVGPRGRLIRRMLVWFGIIPSLPEATTDFDDKVNPETKLRTNFLPRITLSNIWEPASRESCSYSVWKMLKTAAYILCSQSTLQEPAFQELVLLYNEKEDQNENDIPGIDPLQLKIYEKIPIPDLPVIFPHKKLSFRILDTVRLDVASLLGLLAYFINYKFENIASSPYACDTLLTSFSS >Ma10_p09040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23236862:23253492:-1 gene:Ma10_g09040 transcript:Ma10_t09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSSPPSFFHVRFEKPLASPSRYRVRPVAGSSSSPRALRTPRVTPTQHLRYDSASEPAAGSVVTEESKVTSEKDDDTPPRKERKGISGIYVPRQKYISVTKDDLLDAILTMFGSKQDAEEFKRLAMCLDAILHAEHKGILEEMRAYYSSTCSKERHAGLSSMKLEEDDYNRQHISSESSDSTGNGEANSSRNDDIDKLLYLSNGWDLRKLFGRSPVDSILGSRVAAANKFQHSFMKLLQDAQFEELSVEDLLLTNALNNDYLLTLPVYVDWKKAFESNAIIFRRGYATERQKGFLIVEKLDYLQSKLLQEIFFNLSKPVKRIGRWLNEALKRYHEVQNIEIWMDKLKIWLREKYSPETALSYCESTSRNHQDTDRITDIDLPVWLAAQKAVSRYEGLLSPVGPRGRLIRRMLVWFGIIPSLPEATTDFDDKVNPETKLRTNFLPRITLSNIWEPASRESCSYSVWKMLKTAAYILCSQSTLQEPAFQELVLLYNEKEDQNENDIPGIDPLQLKIYEKIPIPDLPVIFPHKKLSFRILDTVRLDVASLLGLLAYFINYKFENIASSPSAVLLDVIAISALIIYLSRVVLGYKQTWDRYQLLVNRTLYEKTLASGFGSVHFLVDASEQQQFKEAVLVYAILLHPETYQISCRKNIKDSCEKFMYDRFKEKIEMPVDKAVDTLMRLGLMSEISVQGNIALKVLPFSEAFETLRKRWTELLESKS >Ma07_p08410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:6251067:6252394:-1 gene:Ma07_g08410 transcript:Ma07_t08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAPPPSLPPPTKYFWGDEPGEDEYYASQGVRNRQSYFQSPHGRLFTQSFHPIDPATGADRPVKALVFMSHGYGSDTAWLFQKIAIAYANWGYAVYCADLLGHGRSDGIRCYLGDMEAVAGASLSFFVSVRRESPGLPAFLFGESMGGAATLLMYLRSDPGTWTGLIFSAPLFVIPDDMKPSRLHLFLYGLLFGLADTWAAMPDNKMVGKAIKDPDRLRVIASNPRRYTGRPRVGTMRELARLCDYFKTQFRGVTAPFLTVHGTEDGVTSPEGSKTFYEMASSADKKLIMYEGMYHSLIQGEPEENSSRVLADMRAWIDERVERYAGAAVN >Ma09_p20060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:27488282:27493065:1 gene:Ma09_g20060 transcript:Ma09_t20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein E1 [Source:Projected from Arabidopsis thaliana (AT1G55850) UniProtKB/Swiss-Prot;Acc:Q8VZK9] MRGGVGDEAVLFETKRAKGRIWYKLYFCSVLAGLCMIWVYRALQIPGGGGGGRGRWWAWIGIFGAELWFSLYWILTQAVRWNPTYRYTFKERLSQRYEDKLPNVDIFVCTADPTIEPPIMVINTVLSVMAYQYPVEKLSVYLSDDSGSELTFYALLEASRFAKAWLPFCKKFKVEPRSPAAYFEETLVSPREGAEAVDWLATKSLYEEMENRIDVAVKLGRVPADQHKQHKGFSQWNSAITRRDHQAIVEILINGRDEKSLDDEQFALPTLVYMAREKRPSHHHNFKAGAMNSLLRVSSEISNGAIILNVDCDMYSNNSEIVKDALCFLMDEEKGHEFAYVQLPQIFKNITKNDIYGNSLRLMTEVDFHGLDGVGGPLYTGSGCFHRRECLMGKKYDANSKAQLELSRKNLEANTSILEEKAKHLVTCSYENNTEWGKEMGLKYGSPVEDVITGLSIQCRGWKSIYFNPSRTGFLGVAPVTLAQTLVQHKRWSEGDLQIFFSKYCPFIFGHDKITLGLKLAYTMYCLWSPCSLPTLYYVVILPMALLHCISLYPNINSIWFMPFAYVIVATKLYSLSESLWAGYTLKGWWNEQRMWLFKRLASYPFAVVDTFLKLLGVNKSAFVVTAKVADKEVSKRYEQEIMEFGSHSLMFTILATIAILNVICLMSGVKQMVWEGEVGSPDSLLVQLVVCGTVVLINIPVYEAMFLRSGGGRMPNSITFISIALAILAYVLPMRQNGSASLVFP >Ma09_p19880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:26674964:26675122:1 gene:Ma09_g19880 transcript:Ma09_t19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLIEMHHSTILVLALQSQWLMMHTNIILLSYASLLCGSLLSFALLVITF >Ma04_p04820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3678128:3680055:1 gene:Ma04_g04820 transcript:Ma04_t04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFRRLVRAGLLLHCLLRWIYLVNSSPPPDPVQCSSGTSDCTVTNAYGAFPDRSVCSAGDVAYPTSEAELVEAVAEAAASKRKMKVATRFAHSIPKLACPGGSDGLIISTRDLNRIVSTDVAAMTITVESGVVLEDLIKAAAASGLALPYTPYWWGLTIGGLLSTGSHGSSAWGNGSAVHEYVVGIRLVTPASAAEGYAMVRKLEVGHPDMEAAKVSLGVLGVISQVTLALQPLFKRSITFSKYDDADLAEMAVTFGNRHEFADMAWYPGHSKVIYRIDDRVPSNASGNGLFDFTGFRSTVTLAIEVNRFAEEKLEAKGNALGKCLDSKLTTSVISLGGYGLTNDGLSFTGYPVIGNQNRLQSSGSCIRSPRDALLTACPWDSRLKGEFFHQTAVSIGLSKAKDFILDVQKLRDMNPKALCGVELYDGILMRYVKASTAYLGKQEDAIDFDITYYRSHDPMTPRLHEDVLEEIEQMALFKYGGLPHWGKNRNLAFDGVINKYAKAQDFLRVKDAYDPQELFSSEWTDQVLGVRGTTTIVREGCALEGLCICSEDSHCAPDKGYYCRPGKVYEDARVCTRLSK >Ma07_p16850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15633115:15634909:1 gene:Ma07_g16850 transcript:Ma07_t16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVYNEILKQAEDAAANEDVRDEHSRSKRHKKRLKQMMGPAPQSTRC >Ma09_p07520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4941016:4961444:-1 gene:Ma09_g07520 transcript:Ma09_t07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MVLVFALNRESGRYIPHKASGHSIRRTASRRRSCSISVREMKLVPREVEKIALHNAGFLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKKVSDLMDLGKQLLGRRQVLPAVRHLLDTVQVEATFPDGTKLVTIHDPIASDNGNLELALHGSFLPVPSIDKFVGNEHDSFPGEIIYGSGNIVINTGRRAVTITVVNKADRPIQVGSHYHFIEVNPYLIFDRRRAYGMRLNISAGTATRFEPGDAKYVTLVNIGGGKVIRGGNGLVDGPVNDSYIEKVMENVIAKGFGHADQSDSSEGVTGTDSNLTTEVSRESYVNMYGPTTGDKIRLGDTDLYAEIEKDFAVYGDECVFGGGKVLRDGMGQATGYPTSCCLDTVITNAVIIDYTGIYKADIGIKEGNIVAIGKAGNPDVMDCVDANMIVGVSTEVIAAEGMIVTAGGIDCHVHFICPQLVQEAISSGITTLVGGGTGPADGTRATTCTPAPFQMQLMLQSTDDLPINIGFTGKGNSAKPEGLVEIIKAGAMGLKLHEDWGSTPSAIDNCLTVADAYDIQVNIHTDTLNESGCVEHTIAAFRDRTIHAYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTLNTVDEHLDMLLVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISVISSDSQAMGRVGEVITRTWQTAHKMKKQRGRTLEPSGCDNDNFRIKRYIAKYTINPAIVNGISHCIGSLEAGKFADLVIWKPSFFGVKPEMVIKGGVIAWANMGDPNASIPTPEPVMMRPMFGAFGKAASSNSIAFVSKVAKDAGIANQYGLKKLTKAVGNVRGLTKLDMKLNDALPEINVDPESYKVTADGEVLKCDSATSVPLSRNYFLF >Ma09_p17010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:12488332:12489511:1 gene:Ma09_g17010 transcript:Ma09_t17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRKQHKKDIHAEAVKKRCRTTKKPYSRSIVGATLEVIQKKRSEKPEVCDAAREAALREIKEQVKKTKDEKKAKKAELIARSQKSTQTKGSMAKAPQGL >Ma10_p19990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30322652:30333454:1 gene:Ma10_g19990 transcript:Ma10_t19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEAALDLVKKGATLLLLDVPQFTLFGIDTQMFSVGPMFKGMKMIPPGTHFIYYSPSSKEGNEFSPTVGFFLTTHPAEVVVRKWHHQEERLIKVSEDEEGRYSEAVRRLEFDPHLGPYALDRHGEWKQLSNYITQNIIERIEPIGGDIMIAYESGLIDRVPISVMERRLMEQLKDSKFSNSEAEGSHRRGCYYTSIPHAVKHKGISGEELTAINLDKTKLLEAILMKDYGGEEDSLLGELQFAFVAFMMGQSLEAFLQWKSLISLLLSCTEAPLRTRTQLFSKFIRVLYSQLKHGFHKKQNHGNDSGKGISLFLDDVWFSKDIFLFRLCKDFFPLVLESPVVDGDLLLWV >Ma06_p08980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6320910:6324384:-1 gene:Ma06_g08980 transcript:Ma06_t08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKRVPTVLSNYQEDSGEPRGCDRNCLSKCCLAVSKLPLYAFESDARPKISSPGDDEPPSDFFLNTLLLGQWEDWMSRGLFRYDVMACETKVIPGEYGFVAQLNEGRHLKKRPTEFRVDRVLQPFDRAKFNFTKVGQEEVLFRFEPGESGSGRLLEDDAVGDANAPNVVAINVSPIEYGHVLLIPRVLDCLPQRIDPDSFLLALHMAAEAGSPYFRLGYNSLGAFATINHLHFQAYFLSMPFPVEKNSTQRIPIVGGLSHNGVKVSRLLNYPVRGLVYEGGNTLKDLSDVVANSCICLQENNIPFNVLISDSGRRIFLFPQCYAEKQALGEVGQDLLDTQVNPAVWEISGHIVLKRKKDYDEASEHYAWRLLAEVSLSEARFEEVKVYIFEATGLVESEKEKMEPGDDRGAPFQSPSPVGTASPHLKVA >Ma01_p03780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2514735:2517040:1 gene:Ma01_g03780 transcript:Ma01_t03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCSSALASIAVLLCYWYGRCCQGEAAVSAVYVFGDSLADVGNNNHLELSLLKADFPHNGVDFPGHKATGRFSNGKNSADFLAESLGLPTSPPYLDITSSSNNTDVFLGGVNFASGGGGVLDSTNKDQCISFNKQIDYYSSVYTALVQQLGSAQAQAHLSTSIFAFVIGSNDILNYVKSGSANKLKNPPQQFVDSLISSLRGQLKRMYNLGARKFVFIGTGPIGCCPAQRHQNKTKECNVEANYLSVQYNKGASSLLQEMSAELGDMSYSFFDTNTALLESINNPDAHGFVEVKAACCGLGDLNAKIACLPISSYCSNRNDHIFWDFYHPTEATAEMLTSTAFDGSVPYVYPMNIRQLVAI >Ma04_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18913355:18916126:-1 gene:Ma04_g17810 transcript:Ma04_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRLLLPLLLLLHLLHFSASSSSGVHDVLKDHGLPKGLIPHSARDYSLADDGQFVVHLKAPCYVQFSDLVYYDQTITGKLSYGAITDLDGIQVKKLFVWFPVSAVKAHPESKTIEFKVGFLSQSFPLKEFKDVPDCEKKTRTSCRGATGFSHEELLPVAAV >Ma04_p02490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2129166:2130051:1 gene:Ma04_g02490 transcript:Ma04_t02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGENNGHLPSPTDARHKLERRRRRRKLCCLLCLLILALLIIAAVVLALTVFKVRDPTMELVSTTVSGVSPNISLSSLRVEFNITLDLAVRVRNRNYASFAHASGGHTQLLYRGAEVGKARVEPGRIPARGTELLRLALEVEVDQLATDLGSLLADAASGAVAFDAETRMPGRVTLLGFIKHHAVATSDCHVVIGVPDLSVQSQECAMKTKL >Ma07_p20290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28210993:28213352:-1 gene:Ma07_g20290 transcript:Ma07_t20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRRPIPAPVPGSAAAATREVSGAERRKPRGTGAEEERATRIPQSPLMEWTDEKHNLYLNSLEASFINQLHSTDCGSRKLFGWFPTMTQKDRHAYGSGSNYMLYGQNQVNLGALEEVSDQNFVDMDPEAENLSRSIRRKRAKK >Ma05_p12480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9043427:9045941:1 gene:Ma05_g12480 transcript:Ma05_t12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTQLLFFLPLLLQHPTRAETCSDCFTQSRAAYYPNSDKTGTERKIQTPASGSFGATLNDGDVLASSSLYRNGVGCGACYQVRCTDAKYCSNDGVMIIITDAGVSGNIEFILSQHAFARMGQNADLGASLLSLGAVGIEYRRVSCSYPSKNITFKIDQSSNHPSYFAFQIWDQQGNKDVTAVQLCETENLTCKLLERSHGAVRAVVSPPSGPLSVRILQSGGDDRDETWVVPPNNIPQNWTSGAIYDSGIQV >Ma01_p20510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:18968464:18973918:-1 gene:Ma01_g20510 transcript:Ma01_t20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRGSPSSYRVSSNAKSECQKVENPLVKEEEKEGSKLPSNPEEVEDLRGTTATNLLIAFTFDELKAITGNFRQDYVLGGGGFGSVFKGFVTQDLKEGLQPLQVAVKVHDGDNSFQGHREWLTEVIFLGQLSHPNLVKLVGYCCEDEHRVLIYEFMARGSVESNLFSRVLLPLPWSIRMKIAFGAAKGLAFLHEAEKPVIFRDFKTSNILLDQDYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSFGVVLLEFLTGRKSLDKSRPVREQTLADWAAPLLSQKKKVLSIIDPRLGGDYPEKAVQKTAMLAYHCLDRNPKARPLMRDIVESLEPLQEAVEVPISSIS >Ma07_p13120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9855055:9855744:1 gene:Ma07_g13120 transcript:Ma07_t13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYYYCSYSEGMQSRRLSSNESGLRRRCLMMVKQQRTRFYILRRCVSLLLCWHD >Ma05_p21880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:33641561:33644818:1 gene:Ma05_g21880 transcript:Ma05_t21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional L-3-cyanoalanine synthase/cysteine synthase C1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G61440) UniProtKB/Swiss-Prot;Acc:Q9S757] MARNMMELVRRGRSGLHLLRMASPFSTQQAVDPAVASPNLKDLSGLRINKEATQLIGRTPMVYLNKVTEGCHAQIAAKLEYLQPSFSVKDRPAVAMIEDAEKNGLITPGKTTLIEPTSGNMGIGLSFMAALKGYKLVLTMPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVKKAYQLMESYPDAFMLQQFENPANVKVHFETTGPEIWDDTLGQVDIFVMGIGSGGTVTGVGQYLKSKNPNVKIYGVEPAESNILNGGQPGPHLITGNGVGFKPKILDMDVMEKVLEVKSEDAVKMARELATKEGLLVGISSGANTVAALQLARMPENKGKLIVTVLPSLGERYLSSALFEELRQEAEQMQPVPVD >Ma05_p16320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16138466:16141770:-1 gene:Ma05_g16320 transcript:Ma05_t16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPYPIFSRSEVALSAHSSFFSSSGVGSRLTVAKMNVEKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKKLQDLLPGIINQLGPDNLENLKRLAEHLQKQAPGAGAPAKQDDDDDVPELVPGETFEAAADENQAS >Ma02_p08710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18974502:18978684:1 gene:Ma02_g08710 transcript:Ma02_t08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGARGDEGEMTGVAPGDGGGPERGSTAAAGPSSERQVIRWERFLPRRSLRVLLVEHDDSTRHIVAALLRKCSYHVAAVADGLKAWAVLKLKCYKFDLVLTEVEMPSLSGIGLLSKIMAAEECKNIPVIMMSSQDSIGVVLKCMLKGAVDFLVKPVRKNELRNLWQHVWRRHCSNNATNASDNNAASNHVSVNAGDGSKTGENSDEDDAQSSGSKPEAQSKSVQKHIEILQSVEENRESEPKAEQLNDGTIIVANQLKNYKDKTSGPTVQVNHSVQSTILAEQREDKNFWCNRSICKEQKQVCVRLQKDEDFDPKPYHQPDATNESFQNMIEFIEPTTSRRCVPAAMERAASMEDIPCEASTCSHGKCISDFGSSQLLELSLRRPQLDGCVNLEFKEKHVLNHSNASAFSRYSDKKMQHSSQKPVLTSLCIGTKESVEETQQRANLYSSFSETCHALSSKEMLTSDRGKAIEAAIYFQISSDSNKEDGGILSSHPIHDDASHPQYNFLSLPVPVGAIPYQRLSTRFGATVQPLFLHPNNSTSSEKRMVQDSSVQYIHHESQIMNNTQQLEYHGHEDYHQFSYSRHHAETELGGPGDSSCIPSEQAIQSASSSLDIYNDCGTNDCDKTTEAPASTFNAIESGNESGVQNSGRKGLDCHLSPREAALIKFRLKRKDRCFEKKVRYQSRQKLAEQRPRVKGQFVRQKVIDSTTAAEAED >Ma02_p08710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18974502:18978684:1 gene:Ma02_g08710 transcript:Ma02_t08710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGARGDEGEMTGVAPGDGGGPERGSTAAAGPSSERQVIRWERFLPRRSLRVLLVEHDDSTRHIVAALLRKCSYHVAAVADGLKAWAVLKLKCYKFDLVLTEVEMPSLSGIGLLSKIMAAEECKNIPVIMMSSQDSIGVVLKCMLKGAVDFLVKPVRKNELRNLWQHVWRRHCSNNATNASDNNAASNHVSVNAGDGSKTGENSDEDDAQSSGSKPEAQSKSVQKHIEILQSVEENRESEPKAEQLNDGTIIVANQLKNYKGNEARDKTSGPTVQVNHSVQSTILAEQREDKNFWCNRSICKEQKQVCVRLQKDEDFDPKPYHQPDATNESFQNMIEFIEPTTSRRCVPAAMERAASMEDIPCEASTCSHGKCISDFGSSQLLELSLRRPQLDGCVNLEFKEKHVLNHSNASAFSSDKKMQHSSQKPVLTSLCIGTKESVEETQQRANLYSSFSETCHALSSKEMLTSDRGKAIEAAIYFQISSDSNKEDGGILSSHPIHDDASHPQYNFLSLPVPVGAIPYQRLSTRFGATVQPLFLHPNNSTSSEKRMVQDSSVQYIHHESQIMNNTQQLEYHGHEDYHQFSYSRHHAETELGGPGDSSCIPSEQAIQSASSSLDIYNDCGTNDCDKTTEAPASTFNAIESGNESGVQNSGRKGLDCHLSPREAALIKFRLKRKDRCFEKKVRYQSRQKLAEQRPRVKGQFVRQKVIDSTTAAEAED >Ma02_p08710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18974502:18978684:1 gene:Ma02_g08710 transcript:Ma02_t08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGARGDEGEMTGVAPGDGGGPERGSTAAAGPSSERQVIRWERFLPRRSLRVLLVEHDDSTRHIVAALLRKCSYHVAAVADGLKAWAVLKLKCYKFDLVLTEVEMPSLSGIGLLSKIMAAEECKNIPVIMMSSQDSIGVVLKCMLKGAVDFLVKPVRKNELRNLWQHVWRRHCSNNATNASDNNAASNHVSVNAGDGSKTGENSDEDDAQSSGSKPEAQSKSVQKHIEILQSVEENRESEPKAEQLNDGTIIVANQLKNYKGNEARDKTSGPTVQVNHSVQSTILAEQREDKNFWCNRSICKEQKQVCVRLQKDEDFDPKPYHQPDATNESFQNMIEFIEPTTSRRCVPAAMERAASMEDIPCEASTCSHGKCISDFGSSQLLELSLRRPQLDGCVNLEFKEKHVLNHSNASAFSRYSDKKMQHSSQKPVLTSLCIGTKESVEETQQRANLYSSFSETCHALSSKEMLTSDRGKAIEAAIYFQISSDSNKEDGGILSSHPIHDDASHPQYNFLSLPVPVGAIPYQRLSTRFGATVQPLFLHPNNSTSSEKRMVQDSSVQYIHHESQIMNNTQQLEYHGHEDYHQFSYSRHHAETELGGPGDSSCIPSEQAIQSASSSLDIYNDCGTNDCDKTTEAPASTFNAIESGNESGVQNSGRKGLDCHLSPREAALIKFRLKRKDRCFEKKVRYQSRQKLAEQRPRVKGQFVRQKVIDSTTAAEAED >Ma07_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10502639:10505559:1 gene:Ma07_g14060 transcript:Ma07_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKLSKAEKKVVYDKKLCGLLDEYSKVLIAVADNVGSNQLQNIRKGLRGDSIVLMGKNTLIRRCIRIHAEKTGNKNYLNLLPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIRKGEKVGSSEAALLAKLGIRPFSYGLVILSVYDNGSVFSPEVLDLTEDDLIEKFAAGVSLVTSLSLAISYPTLVAAPHMFINAYKNVLAVAIATEYSFPHAEKVKEYLKDPSKFAVAAAPVVAEVAAAASSSAPAEEKKEEPAEESDDDMGFSLFD >Ma03_p31870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33922386:33923550:-1 gene:Ma03_g31870 transcript:Ma03_t31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPRVAELLLLLPSLLLLLLLPQQVVSDPDDEACLSNLRSSLTDPNGSLRNWTADTFAAPCNGFTSYLEGVTCNNGRVYKLSLQGLSLGGALSTSLANCTNLQSLDLSSNALSGAIPPELASLLNLAVLNLSSNRLSGRIPPELALCSYLNVLDLHANLLTGLIPDQLGLLVRLSTFDVSDNRLEGPIPALLANRTAVGGAGAAALPRFNASSFVGNKDLYGYPLPPKRGGGLSVLAIVGIGVGSGLLSLVLSFTAVCVWLRVTEKAAPMPGEEGKISHLMPDY >Ma04_p09900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:7041936:7045505:-1 gene:Ma04_g09900 transcript:Ma04_t09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKLKPLKQPKSEKKEYDEADLSNIQKKKEEEKALKELRSKAAQKGAFGGTGLKKSGKK >Ma05_p02060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1299152:1300265:-1 gene:Ma05_g02060 transcript:Ma05_t02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHQLHVFPSQLLTHREIINSAENQPSLYDMQTVCAPPDSIAAAVYNSPAPVITVVASDSGVTEPSRKRSRPVSFRGVDSSSHLQQQMLDIDGLILQHAEKVRAEVAERRKRFVRQIVAAMEEGASRQLKAMEEEITRLRELNRALEGRMKSLCVENQIWRDLAWSTEATATVLRTNLEQLLATQARAKAAAALTAGDAVSCCCGDNGDDGDEEGKTGARRGWGRACRSCREGEPSVLLLPCRHLCLCAACGPAVDACPICNCSKNATVNVNMS >Ma10_p17070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28600128:28614634:-1 gene:Ma10_g17070 transcript:Ma10_t17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPHVAARCSRRGGESPITRSSYSSNGKFLCQGMADRNQHIFYCRFSKKRFSRHVRSEYGKANPTCGWLEIKKIHSPIYQARRMTQSIALASTDDSVVVNGTPQATSNSEVEEMRIKLDEYLQGDDLSSGLVQSIHDASRAVELAIQEHSSLSKSSWFSKAWLGVDKNAWIKRLSYQAAVHSFLQAVIEISSRGDGRDRDVNVSVQRSLLRLCAPLESTVQDQLSSKHTATYEWFWNHQHPVVVTTFINLFERDIRFNSATKLYQKGESSDSGIASDLSLIMLALSCLASVRKLGTAKVSCSQFSSMVPDITGRFMEMLLDFLPIKKAYSSMKDIGLCREFLVHFGPRAATGEFDNDHKAEERAFWVNLVQKQLRLAIDREKIWSRLTTCESIEVLEKDLAIFGFFIALSRSTQSFLSSNAINMSNEQIEGIIRYLIGGSVLYYPQLSSISSYQFYVEVVCEELDWLPFYRSSISNVKIDNKDNKEGITKREAISQVLKVCSYWITSFIKYSTWLENPSHIKAARFLSRGHSMVNECMEQLGVLMNRSKEDIVELQVQHGLETNLLEQSELESFDEALESVEEALRRLEDLLQELYLSNSNNGKEHLRAACSDLERIRKLKKEAEFLEASFRAKAASLEQGESDDCSLPSASDPGRVKEIGKTSGEGVSIQNPEDEKPRGFWSFLVQSSNRKNEQAFKPDQNVSNVNVDNQDLEINEIRRFELLRNELIELEKRVQRSTDESQNEEESEFIDAKDKHSSANKHHLLVPATKKENVIAKSIEKIKATTTDVWQGSQLLAIDVSAAMALLKRAATGDELTEKEKKALRRTLTDLASVIPIGFLMLLPVTAVGHAAILAFIQRYVPALIPSAYAPERLDLLRQLEKVKQMEITDTSTDRVTEVVSSGSSRAE >Ma10_p17070.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28599979:28614662:-1 gene:Ma10_g17070 transcript:Ma10_t17070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPHVAARCSRRGGESPITRSSYSSNGKFLCQGMADRNQHIFYCRFSKKRFSRHVRSEYGKANPTCGWLEIKKIHSPIYQARRMTQSIALASTDDSVVVNGTPQATSNSEVEEMRIKLDEYLQGDDLSSGLVQSIHDASRAVELAIQEHSSLSKSSWFSKAWLGVDKNAWIKRLSYQAAVHSFLQAVIEISSRGDGRDRDVNVSVQRSLLRLCAPLESTVQDQLSSKHTATYEWFWNHQHPVVVTTFINLFERDIRFNSATKLYQKGESSDSGIASDLSLIMLALSCLASVRKLGTAKVSCSQFSSMVPDITGRFMEMLLDFLPIKKAYSSMKDIGLCREFLVHFGPRAATGEFDNDHKAEERAFWVNLVQKQLRLAIDREKIWSRLTTCESIEVLEKDLAIFGFFIALSRSTQSFLSSNAINMSNEQIEGIIRYLIGGSVLYYPQLSSISSYQFYVEVVCEELDWLPFYRSSISNVKIDNKDNKEGITKREAISQVLKVCSYWITSFIKYSTWLENPSHIKAARFLSRGHSMVNECMEQLGVLMNRSKEDIVELQVQHGLETNLLEQSELESFDEALESVEEALRRLEDLLQELYLSNSNNGKEHLRAACSDLERIRKLKKEAEFLEASFRAKAASLEQGESDDCSLPSASDPGRVKEIGKTSGEGVSIQNPEDEKPRGFWSFLVQSSNRKNEQAFKPDQNVSNVNVDNQDLEINEIRRFELLRNELIELEKRVQRSTDESQNEEESEFIDAKDKHSSANKHHLLVPATKKENVIAKSIEKIKATTTDVWQGSQLLAIDVSAAMALLKRAATGDELTEKEKKALRRTLTDLASVIPIGFLMLLPVTAVGHAAILAFIQRYVPALIPSAYAPERLDLLRQLEKVKQMEITDTSTDRVTEVVSSGSSRAE >Ma10_p17070.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28600077:28614634:-1 gene:Ma10_g17070 transcript:Ma10_t17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPHVAARCSRRGGESPITRSSYSSNGKFLCQGMADRNQHIFYCRFSKKRFSRHVRSEYGKANPTCGWLEIKKIHSPIYQARRMTQSIALASTDDSVVVNGTPQATSNSEVEEMRIKLDEYLQGDDLSSGLVQSIHDASRAVELAIQEHSSLSKSSWFSKAWLGVDKNAWIKRLSYQAAVHSFLQAVIEISSRGDGRDRDVNVSVQRSLLRLCAPLESTVQDQLSSKHTATYEWFWNHQHPVVVTTFINLFERDIRFNSATKLYQKGESSDSGIASDLSLIMLALSCLASVRKLGTAKVSCSQFSSMVPDITGRFMEMLLDFLPIKKAYSSMKDIGLCREFLVHFGPRAATGEFDNDHKAEERAFWVNLVQKQLRLAIDREKIWSRLTTCESIEVLEKDLAIFGFFIALSRSTQSFLSSNAINMSNEQIEGIIRYLIGGSVLYYPQLSSISSYQFYVEVVCEELDWLPFYRSSISNVKIDNKDNKEGITKREAISQVLKVCSYWITSFIKYSTWLENPSHIKAARFLSRGHSMVNECMEQLGVLMNRSKEDIVELQVQHGLETNLLEQSELESFDEALESVEEALRRLEDLLQELYLSNSNNGKEHLRAACSDLERIRKLKKEAEFLEASFRAKAASLEQGESDDCSLPSASDPGRVKEIGKTSGEGVSIQNPEDEKPRGFWSFLVQSSNRKNEQAFKPDQNVSNVNVDNQDLEINEIRRFELLRNELIELEKRVQRSTDESQNEEESEFIDAKDKHSSANKHHLLVPATKKENVIAKSIEKIKATTTDVWQGSQLLAIDVSAAMALLKRAATGDELTEKEKKALRRTLTDLASVIPIGFLMLLPVTAVGHAAILAFIQRYVPALIPSAYAPERLDLLRQLEKVKQMEITDTSTDRVTEVVSSGSSRAE >Ma02_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27155982:27170176:1 gene:Ma02_g21590 transcript:Ma02_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLRFRGGSASTPSSKNAPTSPRSGPRSSPNPNPNPYDAASACVTPGSVGPARPLRLVYCDDNGKFRMDPEAVATLQLVKGPIGVVAVCGRARQGKSFVLNQLLGRSCGFQVASTHRPCTKGLWMWSAPLKRTAIDGTEYNLLLLDSEGIDAYDQTGTYSIQIFSLAVLLSSLFIYNQMGGIDEAALDRLSLVTEMTKHIRVRATDRMSMVSELGQFSPMFVWLLRDFYLDLSEDNNKITPRDYLELALRPMQGGGKDVLAKNEIRESICALFPERECLTLVRPLNDENDLQRLDQVPVARLRQEFRSGLDALMNFVFERTRPKQVGDIVMTGPVLAGITQLFLDAINNGAVPTISSSWQSVEELECRKAYDFATGIYLSSFDRTRPADEAILRKVHEDAVHKSLAAFNTCAMGAGSARLNYEKLLKNFFRKTFEDYKRTAFLEADKRCSNTVRSMENNLRQACHDADARVDRVIKVLNDLISEYESSSHGPSKWKTLATFLFECLEGPIKDVFEKQLHQTDSERGILALKCCSNEDKLGLLKKQLTANEKHRSEYMKRYEDAIIDKKRMSEDLSSRIVNLKSKCSTIEECCICLSKDLDDVRRESSDWRNKYDQRDLELQREKEKFYAQKTILESSYTVTEGRFAVAHEQVISAQEEALEWKQKYDIAAGEAKAGLERSGLVQEWANDKALEREDVIREEWGTELLEKEEEIKNLNAKLENTESLIGTMGTQLEDVESKVAHHKSEHLGLRNEIKELLEKVDSMKVMAQSHENQSKILEQEKEHLEEKFLAEIKKFDETEERIKYAERGAKMAIELADAARAELVIIQKDKSEAQRLALERLAVIERVEKKIDSLEHEKAKLTDEIERLHQSDALSKVSSLERRVEEREQAIEDLLCQSNEQRSSTVHVFESLLATERAAQAEANRRAEVMSLQLQATQGKLDKLHQDLTSILLVETALDSKLRTVSHRKRSRDDDFGTGSMENTEDGKDQARGAKRSKSTTSPLKYVSS >Ma08_p17310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24407702:24422576:1 gene:Ma08_g17310 transcript:Ma08_t17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLVLKPCCDGCGSTSDLYGSNCKHTTLCLSCGKTMAANRGRCHLCGAFITKLIREYNVRASANMDKAFYIGRFVTGLPPFSKKISENRWSLHKEGLQGRQLTDNLREKYKNKPWILEDETGQHQYQGQIEGSQSTTATYYLLMMQGKEFLAFPAGSWYNFSKIAQYKQLTLEEAEEKMNKRRHNATGYERWMMKAATNGPAAFGEIKNEDVTKAEADGSVRLKKGKSNDDGNHSDKGEEDDDEEGARKNRLGLNKKAIDDDEEGAKGGDFDLDDEDIEKGDDWEHEETFTDDDEAVGNDPEEREDLAPEIPAPPEIKQDDEDEDEEDGGLSKSGRELKKLLGRAAGLNESDGDEDDEDDDVSAVGIPQFDDEIGMSPVLAPKQKDAPKDEPTENTTSKASPPSTSARSSPVTSKSSKSKRKAGSDDAKISNGLPAKKVKADNSNAKAEPPTSSKRNVPPKSLHATTSKLGSMSSTAPVTEDEIRTVLLSATPLTTQDLVAKFKARLKSQEDKKAFADILRRISKIQKANGHNYIVLREK >Ma08_p17310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:24407702:24422576:1 gene:Ma08_g17310 transcript:Ma08_t17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLVLKPCCDGCGSTSDLYGSNCKHTTLCLSCGKTMAANRGRCHLCGAFITKLIREYNVRASANMDKAFYIGRFVTGLPPFSKKISENRWSLHKEGLQGRQLTDNLREKYKNKPWILEDETGQHQYQGQIEGSQSTTATYYLLMMQGKEFLAFPAGSWYNFSKIAQYKQLTLEEAEEKMNKRRHNATGYERWMMKAATNGPAAFGEIKNEDVTKAEADGSVRLKKGKSNDDGNHSDKGEEDDDEEGARKNRLGLNKKAIDDDEEGAKGGDFDLDDEDIEKGDDWEHEETFTDDDEAVGNDPEEREDLAPEIPAPPEIKQDDEDEDEEDGGLSKSGRELKKLLGRAAGLNESDGDEDDEDDDDDEIGMSPVLAPKQKDAPKDEPTENTTSKASPPSTSARSSPVTSKSSKSKRKAGSDDAKISNGLPAKKVKADNSNAKAEPPTSSKRNVPPKSLHATTSKLGSMSSTAPVTEDEIRTVLLSATPLTTQDLVAKFKARLKSQEDKKAFADILRRISKIQKANGHNYIVLREK >Ma09_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1935351:1936494:-1 gene:Ma09_g02820 transcript:Ma09_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLSLKAALASAVVVVTAAAMLLHAGPPIKRLLAAEVPRAFASIVVWLTPPYLYVVLNAVILCIAASFRFDKPHAESTELASSLTPEMVVHLMEYMPPYEEELVEDASSRRFVTATTEDDRGDQEAIVLGEKETVSKAETVGVEQEVGQEFVTSSSNFSPEQRPSTEFPMEYLAGLEKPLVSIRFGHRKSLKPGPDGKALRRVARPRREETLESTWRTITEGRAMPLARHLKKSDTLDTRVVREDAAEPDAAAAIRKSETFSDCATARPLADGGVGGSSSSLTSKTSGVGRGRLRREPSLGQEELNRRVEAFIKKFNEDMRLQRQQSLQQFMEMINRGSH >Ma10_p05290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:16365296:16369319:-1 gene:Ma10_g05290 transcript:Ma10_t05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGNSMSQPLIPIFSGKSYEFWSIKMKTLFKSQDLWDLIENEYADPDDEIKLRENRKKDSKALFFIQQAVHETIFLRIAAATTSKQAWLILQNEFQGSSRVITVKLQTLHHEFEILFMKSNESVQDFLSRVTEIVSQMKSYSEHLSDHIIVVKVLRNLTPKFDHVVTAIEESKDLSTYSFDELMGSLQAYEVRLNRSLEKNEEKVFQVKGEVFRLQPGHKHCLLGRLSSEVGWNHYDTIIKLGEKRKERAKVAYERRKQLAKLRLKAEKTAGEKLRPQLDILSPL >Ma10_p05770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17163772:17170259:1 gene:Ma10_g05770 transcript:Ma10_t05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIVAQLITYPLLTVNTRQQTERDPSRSAVGDGAVRQMLEVVKQEGWGRLYGGLAPSLVGTAASQGVYYYFYQIFRDRAERSAQDRWRKGIGDGSVGMFQSLVVAALAGCVNVLLTNPIWVVVTRMQTHKKTSNGPPIHALSCLREEAVNLAVVEHQPCRTSHVVQELYNEAGLWGFWKGVVPTLIMVSNPSIQFMLYETLLKKIKRRRSLNTKGAEGFTVHEIFLLGAVAKLGATIVTYPMLVVKARLQVKQGLHDDKRRQYTGTYDAITKMIRYEGLSGLYKGMGTKIVQSVFAAAVLFTVKEELVKAARVLVTGELRRINNSRMKTPS >Ma10_p17400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28817151:28820355:-1 gene:Ma10_g17400 transcript:Ma10_t17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFVLLLIASSFLQIWASDPLYYESFDEPFEGRWIVSKKEDYEGLWRHSKSDGHEDYGLLVSEKGRKHAIVKELDEPIILKDGTVILQFEVRLQNGLECGGAYLKYLRPQKAGWTAREFDNESPYTIMFGPDKCGGTDKVHFIFLHKNPKTGQFVEHHLKYAPTIPYDKLSHVYTAILKPDNELRILIDGEERRKANFLSSDDFEPAFIPPKTIPDPDDKKPEDWDERTKIPDPDAVKPEDWDEDAPEEIEDEEAVKPEGWLDDEPEEIDDPGSIKPKDWDDEEDGEWEAIKIDNPNCEAAPGCGEWKRPMKRNPAYKGKWHAPLIDNPNYKGIWKPREIDNPDYFELDKPDFEPIIAIGIEILTMQDGILFDNILISRDEKVAESYRAETWKPKYEVEKEKEKAEDGAAGFSDMLSGFQKKVFDVLYKIADIPFLEAYKNKIIDAIEMGEKQPNLTIGILVSIVVIIVTVISRVLFGGEKPKVCHFGFNPSLPFVDLCAFCRLLLHLSVKLRLLELVKLKFPKATKRKKRLTKKMNQPRAPGGPGGRRRPDMS >Ma05_p03090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2196966:2217278:1 gene:Ma05_g03090 transcript:Ma05_t03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPPEAGGGAHDAESLFRSKSIPEIRAGEAATRREIKAKEEELRQLVGESYRDLIDSADSILLIRSSCESIDSNLAAIDAAVGSLSAPAAAPVLSPNPARARVYGIASRVKYLVDTPENIWGCLDESMLLEASGRYLRAKEVHGLFADDAADREVLAKFPLLRHQWQIVEGFKAQISQRSRERLTDQGLTVAAYADALAAAATIDDLDPKQVLGLFLDSRRLWISQKLAGTSLDPDSSSCLLCDVVRTIRSSLGQVGELFLLALNEMPLFYKMVLGSPPGTQLFGAIPHPEEEVRLWKSHREKLEAVMVLLEPEFIAQTCSLWLRNCCDEIFGVLAGGAYIIDAIASGQGLSTAEKLVCKALDDRGDLEDSLEQWLKSVFGSDIESPWNQIRGIILKDGKDIFEDRLEEAFVKRMKEIVHSEFDNLSQDINLKNTIQAIVETTDPKEDQDDFQAYLKKPSNGGGIWFSEPIQKKTGLLYALKLTIYENDFQNSLNTYLGPEVTRIRDVVDNKCRSIIEDLICFVESHNSIIRLKELAPYLQEKCYNIISVLLKEIGDELAKISTSLGSNKQENHSLPHSMLVERSLFLGRLLFALHNHSSYIPLVLGSPRQWVNDMTGVVSASLPSFPLPVQSKMVFESPISSIPKRHTFDSSKSPRRQFLDNPRKQTISAAAALFALDDSTSPKLDELNKIFRELCIRAHSLWTIWVSKDLGLILSKDLNRDDALSASTPLQGWEVTIIKQDESNEGPLEMTIALPSVPSLYITSFLFQACLEIHKIGGHVLDRYTLQMFAWKLLEKVVGIYESFLSAVKSGESHVSEKGILQILLDLKFIADVLSGGKDSTTSSPELNAAENSSRNVSLSPSLRWKHPYVQSDSANVEAVTRLINSFSLRLDPIDWATYESYLWKNEQQSYKRYAVLFGFLVQLNRMYTDTIQKLPTKSNTGSNIMRCSTVPRFKYLPISAPALSSRGVHKSALQAADDSTMRSSWKANTNGEQLSKFEFDDGTNFGVAAPLLKSIMTQVGSKFGESTSRWGSMLSDAQVGKLKDRSAAAMSTFGDILPGPAAGLLSSLTSGAAMFDT >Ma05_p03090.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2196966:2217278:1 gene:Ma05_g03090 transcript:Ma05_t03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPPEAGGGAHDAESLFRSKSIPEIRAGEAATRREIKAKEEELRQLVGESYRDLIDSADSILLIRSSCESIDSNLAAIDAAVGSLSAPAAAPVLSPNPARARVYGIASRVKYLVDTPENIWGCLDESMLLEASGRYLRAKEVHGLFADDAADREVLAKFPLLRHQWQIVEGFKAQISQRSRERLTDQGLTVAAYADALAAAATIDDLDPKQVLGLFLDSRRLWISQKLAGTSLDPDSSSCLLCDVVRTIRSSLGQVGELFLLALNEMPLFYKMVLGSPPGTQLFGAIPHPEEEVRLWKSHREKLEAVMVLLEPEFIAQTCSLWLRNCCDEIFGVLAGGAYIIDAIASGQGLSTAEKLVCKALDDRGDLEDSLEQWLKSVFGSDIESPWNQIRGIILKDGKDIFEDRLEEAFVKRMKEIVHSEFDNLSQDINLKNTIQAIVETTDPKEDQDDFQAYLKKPSNGGGIWFSEPIQKKTGLLYALKLTIYENDFQNSLNTYLGPEVTRIRDVVDNKCRSIIEDLICFVESHNSIIRLKELAPYLQEKCYNIISVLLKEIGDELAKISTSLGSNKQENHSLPHSMLVERSLFLGRLLFALHNHSSYIPLVLGSPRQWVNDMTGVVSASLPSFPLPVQSKMVFESPISSIPKRHTFDSSKSPRRQFLDNPRKQTISAAAALFALDDSTSPKLDELNKIFRELCIRAHSLWTIWVSKDLGLILSKDLNRDDALSASTPLQGWEVTIIKQDESNEGPLEMTIALPSVPSLYITSFLFQACLEIHKIGGHVLDRYTLQMFAWKLLEKVVGIYESFLSAVKSGESHVSEKGILQILLDLKFIADVLSGGKDSTTSSPELNAAENSSRNVSLSPSLRWKHPYVQSDSANVEAVTRLINSFSLRLDPIDWATYESYLWKNEQQSYKRYAVLFGFLVQLNRMYTDTIQKLPTKSNTGSNIMRCSTVPRFKYLPISAPALSSRGVHKSALQAADDSTMRSSWKANTNGEQLSKFEFDDGTNFGVAAPLLKSIMTQVGSKFGESTSRWGSMLSDAQVGKLKDRSAAAMSTFGDILPGPAAGLLSSLTSGAAMFDT >Ma11_p18900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23936933:23937728:1 gene:Ma11_g18900 transcript:Ma11_t18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSLTAAFLVLVTTISSHLVTSTAAPDPDDYLNAACNATRYPSLCVRSLAGFSRAAAPENPCLWARAAVVVTAVESERVGGYLAGLQRGGRIRGRRARAALADCVECLADAVSELRDALAELRQLQRTTFALQMSNVETWVSAALTNQDTCLDGFAELQGKGAAVSVITSKVLNATHFTSNALALVNKLASTGGTS >Ma04_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3226173:3227472:-1 gene:Ma04_g04200 transcript:Ma04_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDDAAGVEFRDVWADNLEAEFAVIREVVDDFPFVAMDTEFPGVAIRPLGDFKTVADSNYHILRANVDLLHLIQLGLTFSDAEGNLPASATGGRPIVWQFNFREFDVDRDISNPDSIDLLRKSDIDFKRNREHGVDANRFAELLMASGVVLNDSVRWVTFHCAYDFGYLLKILTCRRLPETREGFSELIRTFFPVMYDIKHLMRFSNSLHGGLNKLAEQLEVDRVGTCHQAGSDSLLTARAFRKLMEKHFDGSIDRYAGVMYGLDIE >Ma06_p06060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4451986:4456200:-1 gene:Ma06_g06060 transcript:Ma06_t06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFTGNFTTVASQCLQKLPATSNKFTYNCDGHTFNYLVQDGYTYCVVAVESAGRQIPIAFLERVKEDFNKRYGGGKASTATAKSLNREFKSKLKEHMKYCVEHPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMKRKLWIENMKIKLIVFGIIIALILLIFLSICHGFQCF >Ma04_p36360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:34768602:34770580:1 gene:Ma04_g36360 transcript:Ma04_t36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEMMSRRDFQLFADGFLDGNVRRPTEIEGIATINVTSSLPSPSSPSSSPGSSAGSSHIHHRVSKMDTLAGVAIKYGVEVADIKRLNGLVTDLQMFAHKSLQIPLPGRHPPSTYLCDGSVENGEHSPPYQPRNDVLDLIESLNWKPSACKVSPAMSKLQGYYGLTPNKRRPELGGAEMADYKVGRRCLNDELSSKESPTSDPFPRRHRKSRSMANGFSTENGDITGENTVLEAADNNETERSIRRRQKTDTPEFLDSNGGFSGRVGKGIAPRPKPGSRTDTDTKRLSAILSGDSVKTEGLFSVRKSSSTSCLQSQDPDNNSSLWPRSKWILKPDVLARPIFDGLPKSMTAKRNKAALD >Ma04_p03770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2907927:2910239:-1 gene:Ma04_g03770 transcript:Ma04_t03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEEANRAAVESCYRVLSLLSETQDRALAYKDLMADTGKAVSRFNKVISMLSNGVGHARPRRLHDPQQLHFNHGIFLDSPAVSRADQSPLLLQLLPGNLPQKPVNEFGSTANVPSRTLPRTDAASWITPQAALSNPTLTHLHFLQQQHSSQMFQLKLQSAMCGRSNSGMNLKFDNSSCAATVSSSRSFLSSLSMDGSMDGKAFNLIGGSQSSNPMNWQLHNRRRCTGGGEDGNGKCARTGRCHCSKKRKLRVKRTIKVPAISNKLADIPADDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCVEDPTMLMVTYEGEHNHAKLATQSAHT >Ma04_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2907927:2910239:-1 gene:Ma04_g03770 transcript:Ma04_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEEANRAAVESCYRVLSLLSETQDRALAYKDLMADTGKAVSRFNKVISMLSNGVGHARPRRLHDPQQLHFNHGIFLDSPAVSRADQSPLLLQLLPGNLPQKPVNEFGSTANVPSRTLPRTDAASWITPQAALSNPTLTHLHFLQQQHSSQMFQLKLQSAMCGRSNSGMNLKFDNSSCAATVSSSRSFLSSLSMDGSMDGKAFNLIGGSQSSNPMNWQLHNRRRCTGGGEDGNGKCARTGRCHCSKKRKLRVKRTIKVPAISNKLADIPADDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCVEDPTMLMVTYEGEHNHAKLATQSAHT >Ma03_p20790.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25886085:25894111:1 gene:Ma03_g20790 transcript:Ma03_t20790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGYTVEVTNLSPNATERDLYDFFSFSGAIEHIEIIRTGEYASTAYVTFRDPHALETAVLLSGATIIDQHVCITRWGSYDEDCSFWNRPSHEDNTQSTMPEVNHLNTTPREAVTMTQEVVKTMLAMGYELSKDALIKAKAFDESHHVTATAAAMVADLSNRSGLTDKINAGLNAVTSVDERYHLSATTKAVVSATTRTAANITNSVVSSSYFSAGALLVSDALNKAAKVAADLATHGAKR >Ma03_p20790.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25886184:25894111:1 gene:Ma03_g20790 transcript:Ma03_t20790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGYTVEVTNLSPNATERDLYDFFSFSGAIEHIEIIRTGEYASTAYVTFRDPHALETAVLLSGATIIDQHVCITRWGSYDEDCSFWNRPSHEDNTQSTMPEVNHLNTTPREAVTMTQEVVKTMLAMGYELSKDALIKAKAFDESHHVTATAAAMVADLSNRSGLTDKINAGLNAVTSVDERYHLSATTKAVVSATTRTAANITNSVVSSSYFSAGALLVSDALNKAAKVAADLATHGAKR >Ma03_p20790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25886110:25894111:1 gene:Ma03_g20790 transcript:Ma03_t20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGYTVEVTNLSPNATERDLYDFFSFSGAIEHIEIIRTGEYASTAYVTFRDPHALETAVLLSGATIIDQHVCITRWGSYDEDCSFWNRPSHEDNTQSTMPEVNHLNTTPREAVTMTQEVVKTMLAMGYELSKDALIKAKAFDESHHVTATAAAMVADLSNRSGLTDKINAGLNAVTSVDERYHLSATTKAVVSATTRTAANITNSVVSSSYFSAGALLVSDALNKAAKVAADLATHGAKR >Ma03_p20790.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25886116:25894111:1 gene:Ma03_g20790 transcript:Ma03_t20790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGYTVEVTNLSPNATERDLYDFFSFSGAIEHIEIIRTGEYASTAYVTFRDPHALETAVLLSGATIIDQHVCITRWGSYDEDCSFWNRPSHEDNTQSTMPEVNHLNTTPREAVTMTQEVVKTMLAMGYELSKDALIKAKAFDESHHVTATAAAMVADLSNRSGLTDKINAGLNAVTSVDERYHLSATTKAVVSATTRTAANITNSVVSSSYFSAGALLVSDALNKAAKVAADLATHGAKR >Ma03_p20790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25886116:25894111:1 gene:Ma03_g20790 transcript:Ma03_t20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGYTVEVTNLSPNATERDLYDFFSFSGAIEHIEIIRTGEYASTAYVTFRDPHALETAVLLSMPEVNHLNTTPREAVTMTQEVVKTMLAMGYELSKDALIKAKAFDESHHVTATAAAMVADLSNRSGLTDKINAGLNAVTSVDERYHLSATTKAVVSATTRTAANITNSVVSSSYFSAGALLVSDALNKAAKVAADLATHGAKR >Ma08_p23770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37146079:37148286:-1 gene:Ma08_g23770 transcript:Ma08_t23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKKIAKVMKVDGTTFKLKPPVQAVSVLRDHPGYNLLDADDVTRLGVRAMPLEPDTSLKPGKLYFLVELPRVPNQRAPRRAWSGALQVSAKERLESLRLTRRSLSDLSLATQSPSVEAEEAKDGTVRLKMRLPKAQVEKLMQESKDAAEAAQKIMQLCAASDGATPSASPEPTTPTVKVGRKEKRTRFVAMPNEIIA >Ma11_p08050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6401681:6411361:-1 gene:Ma11_g08050 transcript:Ma11_t08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGDRTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHQINDMAEKTEGRCPACRTPYDKERIVRMAANCKRIVAEINSEKKQKSQKAKLKTSAEAKKHLSSVRVMQRNLVYVTYAREEEAVRCIKAVHNYVLEGKTLRACFGTTKYCHAWLRNMTCSNPDCLYLHDIGSQEDSFSKDEIISAYTRSRVRQIASNNSQQHSGTVLPPPADDFSNSITASSRYHIRSASNSISSQAKGSPPNSNAGKPTVLPAGASWGLQTSNCRSPVASAACSQVSHAKQKVEMVGNLSLPPVLTESTDHPSAWNDEVATTSKVPENQTLTTNKSPLLLTESTKQSSLRHNFVDMTPKMPANRESLQVGDISQPVEPLRSSLEAVVTNNCSSRSSLDDIVVTSKLGEEKPMSHSDCEFMPIIDEQSQTVVSDVSTIDLSDIPRASQVASNFSYSLPVSASEDNETSIYANGDNTKAINSTISKGFDRQFSRSGSGSATQGSSIVNGVTHSLCSSLSSVTIDSHVRADQLHVNQHQFSNVNSFTAVMPLTNDSDSASLNKALLLLDKDASNRLRDRSCELLKEKSTSAVNNKDVLLLPSDNKVLRVSDTVDRLSSSIYLNHSHNSGNCSSSTSWNTYVQDKQTPRVGRNMDRYSETAMLPFFPLGDKESALPNGHKAYEQNSCSPGRGFQCPEMNCNEEKVKSSGRVNDIASSNKYATVEIKRESSIISDILSLDVDPWDDSSATSNSFARLLGETEKQESSFKLLSSWRSNNSNQSRFSFARQECQGSVVQPTRGEAHAQMFCSSHDSFEHGLRNGTMFDTFESPNTVINSNPVVSFDKAAGTLKAKISAPPGFSTPSRVHLPDFSSQDRFYQTHEAVFSENHLLSSAVENHYQTQISGNPSDIGFIDPAILAVGKERMSLGINNAGLGLKSTFSAQISVSDSDPRIHLLRPQSLSSYHNMDIPESAGDRFLQLGDTYITSQLSAENRRGLSPIAQISFQQLRNKQFFNKQWDGLNDLRTGSDMGLREALRNERFGLTNGYSSNEERKFLFPNGDLYNREFRM >Ma11_p08050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6401681:6411361:-1 gene:Ma11_g08050 transcript:Ma11_t08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGDRTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHQINDMAEKTEGRCPACRTPYDKERIVRMAANCKRIVAEINSEKKQKSQKAKLKTSAEAKKHLSSVRVMQRNLVYIIGLPTNLCDDSFLESKEYFGQYGKVIKVSISRPASTPTQQASSNSTCSVYVTYAREEEAVRCIKAVHNYVLEGKTLRACFGTTKYCHAWLRNMTCSNPDCLYLHDIGSQEDSFSKDEIISAYTRSRVRQIASNNSQQHSGTVLPPPADDFSNSITASSRYHIRSASNSISSQAKGSPPNSNAGKPTVLPAGASWGLQTSNCRSPVASAACSQVSHAKQKVEMVGNLSLPPVLTESTDHPSAWNDEVATTSKVPENQTLTTNKSPLLLTESTKQSSLRHNFVDMTPKMPANRESLQVGDISQPVEPLRSSLEAVVTNNCSSRSSLDDIVVTSKLGEEKPMSHSDCEFMPIIDEQSQTVVSDVSTIDLSDIPRASQVASNFSYSLPVSASEDNETSIYANGDNTKAINSTISKGFDRQFSRSGSGSATQGSSIVNGVTHSLCSSLSSVTIDSHVRADQLHVNQHQFSNVNSFTAVMPLTNDSDSASLNKALLLLDKDASNRLRDRSCELLKEKSTSAVNNKDVLLLPSDNKVLRVSDTVDRLSSSIYLNHSHNSGNCSSSTSWNTYVQDKQTPRVGRNMDRYSETAMLPFFPLGDKESALPNGHKAYEQNSCSPGRGFQCPEMNCNEEKVKSSGRVNDIASSNKYATVEIKRESSIISDILSLDVDPWDDSSATSNSFARLLGETEKQESSFKLLSSWRSNNSNQSRFSFARQECQGSVVQPTRGEAHAQMFCSSHDSFEHGLRNGTMFDTFESPNTVINSNPVVSFDKAAGTLKAKISAPPGFSTPSRVHLPDFSSQDRFYQTHEAVFSENHLLSSAVENHYQTQISGNPSDIGFIDPAILAVGKERMSLGINNAGLGLKSTFSAQISVSDSDPRIHLLRPQSLSSYHNMDIPESAGDRFLQLGDTYITSQLSAENRRGLSPIAQISFQQLRNKQFFNKQWDGLNDLRTGSDMGLREALRNERFGLTNGYSSNEERKFLFPNGDLYNREFRM >Ma06_p24000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22321073:22328483:1 gene:Ma06_g24000 transcript:Ma06_t24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRSFDLRLASHKLEPEISYSANKSSPPSSTQLDLLTNDSPTSSCMGTTTRPTAQILPRAQSVALELPHDEPIQLPLARQPPPSLSIFLSHTTLLPRNSRTARRTSPVLPWRVCSRPPPPPSPLPEFEPSPLLSPTSLPAGICHQRNLNGRARTAHKHRACRSLVSGAAATELTTAEEGKKLTIGESQRPVFPFSSIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVVGDPFNSDPEDPESMSMDVRERAARGEPLPVAATKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKGFRESYRAEQEKLQRQITSARSSLASVQIDHDLRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Ma06_p17480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11872689:11876113:-1 gene:Ma06_g17480 transcript:Ma06_t17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGCGLHRAHCPCRLPSSLELSSKLGDSVLVNYLFSPQCRGAEAETPLDPRLLRHPAFEISDDRCHLLGLKMIGSDAELLRYITGKVRLGAGKNITFCILRAHS >Ma02_p22860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28087873:28094617:-1 gene:Ma02_g22860 transcript:Ma02_t22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSSRRFPVREIRTEGFHTRGRSLESKFPLRAKDDDLVLFNEMQNRERDNFLLHSSDDFDDSISKLKYFPNFKLGITIPAQGESSDLLNADGEKHDYDWLLTPPETPLFPSLDDDEPQPTNVSRGRIRSQPISISRTSTSEKTSRTNRSSASPCRLSSSPTSSSSVTHSRTRPSSVPRSSPLPVPRSATPSRRPSTPPAKPSPLTQRSLTPTVRRMSTGSTGPAYSSTRGTSPVRTNRGNSSSPKLRGWQSNLPGFSTDAPPNLRTSLTDRSTSRVRGLSPASTNGTGSSKFRRQSISPSASRSASSSQNSERNPFSSISKPSVSTSCDDDTDSHASVGVSPIAATRKYGAFANSRAVMFSKKPSRSSSASSVPKRSFDSALRQMDHHKTPQDMFRPLLSSVPASTFYVGKTTNVHRPMFSRYSSLTTSSNTSSEHGASVVPDLEDNDHDRSVLTGELEKTQDPSFQEEVFILDEISEHIGNDTCSAKLQSGNEISDSSVPNKINTKLDHSSGNDSDSLNTAVACRGSHGAECSKIDSNETMSICLKCDRHFVVTDIDVDVCEECSGTYGSIGSEEPRTIRVGNQGDRNDTMDPKVQPQIEIPELTEIRTGIEPCQHEQSSEEVTHISVDSGLFLLANDKRDENLSEQEVLIPAELYAPESSSNYESQQSQPTPSQIDQVDNSESTGIAVVLMNKSSSRKWPVVQGKAFSAANIFLAESSHGRDNINVMKHSLGRDSSSASSSLDMGSRGQTDGHILRQLSSTKRETQDARGESHTGTQGCGPHSKTSSIAIETLVHSQIEAEEVSCSIIGAVESDAVEKILSDAQNPERSFGDTDLNVMKHTSTEQAVVGINVSGNDDTSLATRVLPSQSSQHISLQMCDDIVESSDSSEHAKEVLSGNSERSPEVEAPIATPDSSSIEGNHTPSATGCQDDVADIATHCSSVATLDEQNDMVGFQDLHDDCTSQMPNSVEDFQEDSISTSDKDVLISEVEPKIAEDPSSEEPIITVEAPRKIVQRSFTLEEATDTILFCSSIVHDVAHKAATIAMEKESALYESSYRAVTFMGNSVSNKKDLQKMATKNNHRSRKINRKKLAAANEMPSVEVRDNVKNSELASCNAEALHTGESVKPPKLESKCNCTVM >Ma02_p22860.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28087873:28094611:-1 gene:Ma02_g22860 transcript:Ma02_t22860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSSRRFPVREIRTEGFHTRGRSLESKFPLRAKDDDLVLFNEMQNRERDNFLLHSSDDFDDSISKLKYFPNFKLGITIPAQGESSDLLNADGEKHDYDWLLTPPETPLFPSLDDDEPQPTNVSRGRIRSQPISISRTSTSEKTSRTNRSSASPCRLSSSPTSSSSVTHSRTRPSSVPRSSPLPVPRSATPSRRPSTPPAKPSPLTQRSLTPTVRRMSTGSTGPAYSSTRGTSPVRTNRGNSSSPKLRGWQSNLPGFSTDAPPNLRTSLTDRSTSRVRGLSPASTNGTGSSKFRRQSISPSASRSASSSQNSERNPFSSISKPSVSTSCDDDTDSHASVGVSPIAATRKYGAFANSRAVMFSKKPSRSSSASSVPKRSFDSALRQMDHHKTPQDMFRPLLSSVPASTFYVGKTTNVHRPMFSRYSSLTTSSNTSSEHGASVVPDLEDNDHDRSVLTGELEKTQDPSFQEEVFILDEISEHIGNDTCSAKLQSGNEISDSSVPNKINTKLDHSSGNDSDSLNTAVACRGSHGAECSKIDSNETMSICLKCDRHFVVTDIDVDVCEECSGTYGSIGSEEPRTIRVGNQGDRNDTMDPKVQPQIEIPELTEIRTGIEPCQHEQSSEEVTHISVDSGLFLLANDKRDENLSEQEVLIPAELYAPESSSNYESQQSQPTPSQIDQVDNSESTGIAVVLMNKSSSRKWPVVQGKAFSAANIFLAESSHGRDNINVMKHSLGRDSSSASSSLDMGSRGQTDGHILRQLSSTKRETQDARGESHTGTQGCGPHSKTSSIAIETLVHSQIEAEEVSCSIIGAVESDAVEKILSDAQNPERSFGDTDLNVMKHTSTEQAVVGINVSGNDDTSLATRVLPSQSSQHISLQMCDDIVESSDSSEHAKEVLSGNSERSPEVEAPIATPDSSSIEGNHTPSATGCQDDVADIATHCSSVATLDEQNDMVGFQDLHDDCTSQMPNSVEDFQEDSISTSDKDVLISEVEPKIAEDPSSEEPIITVEAPRKIVQRSFTLEEATDTILFCSSIVHDVAHKAATIAMEKESALYESSYRAVTFMGNSVSNKKDLQKMATKNNHRSRKINRKKLAAANEMPSVEVRDNVKNSELASCNAEALHTGESVKPPKLESKCNCTVM >Ma02_p22860.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28087873:28094617:-1 gene:Ma02_g22860 transcript:Ma02_t22860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSSRRFPVREIRTEGFHTRGRSLESKFPLRAKDDDLVLFNEMQNRERDNFLLHSSDDFDDSISKLKYFPNFKLGITIPAQGESSDLLNADGEKHDYDWLLTPPETPLFPSLDDDEPQPTNVSRGRIRSQPISISRTSTSEKTSRTNRSSASPCRLSSSPTSSSSVTHSRTRPSSVPRSSPLPVPRSATPSRRPSTPPAKPSPLTQRSLTPTVRRMSTGSTGPAYSSTRGTSPVRTNRGNSSSPKLRGWQSNLPGFSTDAPPNLRTSLTDRSTSRVRGLSPASTNGTGSSKFRRQSISPSASRSASSSQNSERNPFSSISKPSVSTSCDDDTDSHASVGVSPIAATRKYGAFANSRAVMFSKKPSRSSSASSVPKRSFDSALRQMDHHKTPQDMFRPLLSSVPASTFYVGKTTNVHRPMFSRYSSLTTSSNTSSEHGASVVPDLEDNDHDRSVLTGELEKTQDPSFQEEVFILDEISEHIGNDTCSAKLQSGNEISDSSVPNKINTKLDHSSGNDSDSLNTAVACRGSHGAECSKIDSNETMSICLKCDRHFVVTDIDVDVCEECSGTYGSIGSEEPRTIRVGNQGDRNDTMDPKVQPQIEIPELTEIRTGIEPCQHEQSSEEVTHISVDSGLFLLANDKRDENLSEQEVLIPAELYAPESSSNYESQQSQPTPSQIDQVDNSESTGIAVVLMNKSSSRKWPVVQGKAFSAANIFLAESSHGRDNINVMKHSLGRDSSSASSSLDMGSRGQTDGHILRQLSSTKRETQDARGESHTGTQGCGPHSKTSSIAIETLVHSQIEAEEVSCSIIGAVESDAVEKILSDAQNPERSFGDTDLNVMKHTSTEQAVVGINVSGNDDTSLATRVLPSQSSQHISLQMCDDIVESSDSSEHAKEVLSGNSERSPEVEAPIATPDSSSIEGNHTPSATGCQDDVADIATHCSSVATLDEQNDMVGFQDLHDDCTSQMPNSVEDFQEDSISTSDKDVLISEVEPKIAEDPSSEEPIITVEAPRKIVQRSFTLEEATDTILFCSSIVHDVAHKAATIAMEKESALYESSYRAVTFMGNSVSNKKDLQKMATKNNHRSRKINRKKLAAANEMPSVEVRDNVKNSELASCNAEALHTGESVKPPKLESKCNCTVM >Ma02_p22860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:28087873:28094611:-1 gene:Ma02_g22860 transcript:Ma02_t22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSSRRFPVREIRTEGFHTRGRSLESKFPLRAKDDDLVLFNEMQNRERDNFLLHSSDDFDDSISKLKYFPNFKLGITIPAQGESSDLLNADGEKHDYDWLLTPPETPLFPSLDDDEPQPTNVSRGRIRSQPISISRTSTSEKTSRTNRSSASPCRLSSSPTSSSSVTHSRTRPSSVPRSSPLPVPRSATPSRRPSTPPAKPSPLTQRSLTPTVRRMSTGSTGPAYSSTRGTSPVRTNRGNSSSPKLRGWQSNLPGFSTDAPPNLRTSLTDRSTSRVRGLSPASTNGTGSSKFRRQSISPSASRSASSSQNSERNPFSSISKPSVSTSCDDDTDSHASVGVSPIAATRKYGAFANSRAVMFSKKPSRSSSASSVPKRSFDSALRQMDHHKTPQDMFRPLLSSVPASTFYVGKTTNVHRPMFSRYSSLTTSSNTSSEHGASVVPDLEDNDHDRSVLTGELEKTQDPSFQEEVFILDEISEHIGNDTCSAKLQSGNEISDSSVPNKINTKLDHSSGNDSDSLNTAVACRGSHGAECSKIDSNETMSICLKCDRHFVVTDIDVDVCEECSGTYGSIGSEEPRTIRVGNQGDRNDTMDPKVQPQIEIPELTEIRTGIEPCQHEQSSEEVTHISVDSGLFLLANDKRDENLSEQEVLIPAELYAPESSSNYESQQSQPTPSQIDQVDNSESTGIAVVLMNKSSSRKWPVVQGKAFSAANIFLAESSHGRDNINVMKHSLGRDSSSASSSLDMGSRGQTDGHILRQLSSTKRETQDARGESHTGTQGCGPHSKTSSIAIETLVHSQIEAEEVSCSIIGAVESDAVEKILSDAQNPERSFGDTDLNVMKHTSTEQAVVGINVSGNDDTSLATRVLPSQSSQHISLQMCDDIVESSDSSEHAKEVLSGNSERSPEVEAPIATPDSSSIEGNHTPSATGCQDDVADIATHCSSVATLDEQNDMVGFQDLHDDCTSQMPNSVEDFQEDSISTSDKDVLISEVEPKIAEDPSSEPIITVEAPRKIVQRSFTLEEATDTILFCSSIVHDVAHKAATIAMEKESALYESSYRAVTFMGNSVSNKKDLQKMATKNNHRSRKINRKKLAAANEMPSVEVRDNVKNSELASCNAEALHTGESVKPPKLESKCNCTVM >Ma06_p05190.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3852496:3861044:-1 gene:Ma06_g05190 transcript:Ma06_t05190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEERKRRPHMWPVPAPGTALSASSAAHPPPPPTLAQPDNASSESDSPLSSRDSFLKDGRKIRVGDCALFQAGNAPPFIGIIRWFTKGKEDYLKLCVNWLYRPADVKLAKDVLLEAAPNEIFYSFHKDVIPAASLLHPCKVAFLRKGVELPAGISSFVCRRVYDITNKCLWWLTDQDYINERQDEVNQLLDKTRLEMHATIQSGERSPKSHNSPTSTQQLKSVSDSVHNTGFSLPSQTKGKRKDRSDQGTEHIKRERSFPKPDDGDSASFKCENMIKAEIVKITEKGGLVTTEGVEKLLNLMQLDRTEKKIDVAGRVLVADVIAATDRYDCLGRFVQLRGVPILDDWLQEVRKPKAGDGSSPKESDKAVEELLLALLRALAKLPVNLNALQTCNIGKSVNNLRNHKNSEIQKKARSLIDIWKKRVDAEITKTDDAKSVAPSQPVWQVKPGSSDISNAGNRRAGSTEVGVKSPATQIASCKIMPGKPGTSDAVVKSSSVTQGSLKKGSTLTTSTAVVLKDPLCKAAANIGSAEMPPTAGKEEKNSSLSQSQNNSQTCSTDRAKVGTSLKEDTRNSSAGSINAAKAVGSSRHRRSSNGVSGTSSSGVQKETNLGKSGSLNKTTTLEKSSLSGLTCDKPIDTPAVDNGNNQRLILRLPNPAQSPAQSASGGSFEDPSISGSRASSPGVSDKHEYNDRRTKLKGDVCPNTATDANAESWQSNDVKELAVGAGGFISPAVDEEHVLTTEDTGKAAEAPIAACSSSGNYRGVFLTEPRTRGSFSSINALIESCVKYSEANTPLVVDDDIGMNLLASVAAGEMTTSDLISPTSSPGTSPVTEDPSTEAKPRLPSDDAAQSHFESDEVVVADSNKQENSVASILTKDASYQDGANFSGDNGIAVPLQDNKLISGHAEKSFAALSPKTEDYYATSELKLEGERDRHFSMSKPVKREKQDTDRAFLLEEQRLTDEKVLDCHTDCKLKERGLSADGSMHVECAYQTIEDGNPCNSEIAFKNGCDFDLSSSGINTEKLFVEESQICTTGKETTEVVTSSDQQQLLITDDRSGDAVMSSHDVPCPENADESRTCVPGNIGGSHLESNDKQVDNSLNPSNLDESARPATASDTAGVEDLKVTEAHETSPVGSTSQEPPSSCTNQDTGYQSEAAGSRLSMGLASQETPLCCMNQDTENQSKPAGCRLSGIVGDGREDLASSLEASLLAVTADSDVASKLDFDLNEGIPGDDGNNGETAVSVATFCSTAIDLPCLSPFANPMSNVSPAPITVAAPAKGAFVPPENLLKSKDEPGWKGSAATSAFRPAEPRKVLEMPPSSPDMPPSDSAGKECRAPLNFDLNEPDEGVLEDMTMQSFSKTTGFELGTESNLDVPPQISGGLDLDLNRTDEGTENGQFLASSSHRLEVPLLTVGPALTVLPSREANMLRDFDLNNGPIPDEVSAESVTRSQNIKNISSMPFLFPVSSIRTNAAELGSVSSWFPPGSSYPAVAIPSLTNREQPYPIVAAPGTQRILGPITASGPFGGDVHRGAVLSSSPAMAFTPAAAFPYAGFTYGSNFPLASTSFSGAPTTFVDSSSGAGSSFPAIPSPLVGPAGTVLSNYRRPYTMSFPEGSTSGGSDNTRKWITPSLDLNAGPGNADIDGSSWASRQLLVTTSQAFTEEQVRMYTVPGGGLKRKEPEGGWDADRSAYKQLSWQ >Ma06_p05190.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3852496:3861044:-1 gene:Ma06_g05190 transcript:Ma06_t05190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEERKRRPHMWPVPAPGTALSASSAAHPPPPPTLAQPDNASSESDSPLSSRDSFLKDGRKIRVGDCALFQAGNAPPFIGIIRWFTKGKEDYLKLCVNWLYRPADVKLAKDVLLEAAPNEIFYSFHKDVIPAASLLHPCKVAFLRKGVELPAGISSFVCRRVYDITNKCLWWLTDQDYINERQDEVNQLLDKTRLEMHATIQSGERSPKSHNSPTSTQQLKSVSDSVHNTGFSLPSQTKGKRKDRSDQGTEHIKRERSFPKPDDGDSASFKCENMIKAEIVKITEKGGLVTTEGVEKLLNLMQLDRTEKKIDVAGRVLVADVIAATDRYDCLGRFVQLRGVPILDDWLQEVRKPKAGDGSSPKESDKAVEELLLALLRALAKLPVNLNALQTCNIGKSVNNLRNHKNSEIQKKARSLIDIWKKRVDAEITKTDDAKSVAPSQPVWQVKPGSSDISNAGNRRAGSTEVGVKSPATQIASCKIMPGKPGTSDAVVKSSSVTQGSLKKGSTLTTSTAVVLKDPLCKAAANIGSAEMPPTAGKEEKNSSLSQSQNNSQTCSTDRAKVGTSLKEDTRNSSAGSINAAKAVGSSRHRRSSNGVSGTSSSGVQKETNLGKSGSLNKTTTLEKSSLSGLTCDKPIDTPAVDNGNNQRLILRLPNPAQSPAQSASGGSFEDPSISGSRASSPGVSDKHEYNDRRTKLKGDVCPNTATDANAESWQSNDVKELAVGAGGFISPAVDEEHVLTTEDTGKAAEAPIAACSSSGNYRGVFLTEPRTRGSFSSINALIESCVKYSEANTPLVVDDDIGMNLLASVAAGEMTTSDLISPTSSPGTSPVTEDPSTEAKPRLPSDDAAQSHFESDEVVVADSNKQENSVASILTKDASYQDGANFSGDNGIAVPLQDNKLISGHAEKSFAALSPKTEDYYATSELKLEGERDRHFSMSKPVKREKQDTDRAFLLEEQRLTDEKVLDCHTDCKLKERGLSADGSMHVECAYQTIEDGNPCNSEIAFKNGCDFDLSSSGINTEKLFVEESQICTTGKETTEVVTSSDQQQLLITDDRSGDAVMSSHDVPCPENADESRTCVPGNIGGSHLESNDKQVDNSLNPSNLDESARPATASDTAGVEDLKVTEAHETSPVGSTSQEPPSSCTNQDTGYQSEAAGSRLSMGLASQETPLCCMNQDTENQSKPAGCRLSGIVGDGREDLASSLEASLLAVTADSDVASKLDFDLNEGIPGDDGNNGETAVSVATFCSTAIDLPCLSPFANPMSNVSPAPITVAAPAKGAFVPPENLLKSKDEPGWKGSAATSAFRPAEPRKVLEMPPSSPDMPPSDSAGKECRAPLNFDLNEPDEGVLEDMTMQSFSKTTGFELGTESNLDVPPQISGGLDLDLNRTDEGTENGQFLASSSHRLEVPLLTVGPALTVLPSREANMLRDFDLNNGPIPDEVSAESVTRSQNIKNISSMPFLFPVSSIRTNAAELGSVSSWFPPGSSYPAVAIPSLTNREQPYPIVAAPGTQRILGPITASGPFGGDVHRGAVLSSSPAMAFTPAAAFPYAGFTYGSNFPLASTSFSGAPTTFVDSSSGAGSSFPAIPSPLVGPAGTVLSNYRRPYTMSFPEGSTSGGSDNTRKWITPSLDLNAGPGNADIDGSSWASRQLLVTTSQAFTEEQVRMYTVPGGGLKRKEPEGGWDADRSAYKQLSWQ >Ma06_p05190.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3852496:3858141:-1 gene:Ma06_g05190 transcript:Ma06_t05190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFNPTKGKRKDRSDQGTEHIKRERSFPKPDDGDSASFKCENMIKAEIVKITEKGGLVTTEGVEKLLNLMQLDRTEKKIDVAGRVLVADVIAATDRYDCLGRFVQLRGVPILDDWLQEVRKPKAGDGSSPKESDKAVEELLLALLRALAKLPVNLNALQTCNIGKSVNNLRNHKNSEIQKKARSLIDIWKKRVDAEITKTDDAKSVAPSQPVWQVKPGSSDISNAGNRRAGSTEVGVKSPATQIASCKIMPGKPGTSDAVVKSSSVTQGSLKKGSTLTTSTAVVLKDPLCKAAANIGSAEMPPTAGKEEKNSSLSQSQNNSQTCSTDRAKVGTSLKEDTRNSSAGSINAAKAVGSSRHRRSSNGVSGTSSSGVQKETNLGKSGSLNKTTTLEKSSLSGLTCDKPIDTPAVDNGNNQRLILRLPNPAQSPAQSASGGSFEDPSISGSRASSPGVSDKHEYNDRRTKLKGDVCPNTATDANAESWQSNDVKELAVGAGGFISPAVDEEHVLTTEDTGKAAEAPIAACSSSGNYRGVFLTEPRTRGSFSSINALIESCVKYSEANTPLVVDDDIGMNLLASVAAGEMTTSDLISPTSSPGTSPVTEDPSTEAKPRLPSDDAAQSHFESDEVVVADSNKQENSVASILTKDASYQDGANFSGDNGIAVPLQDNKLISGHAEKSFAALSPKTEDYYATSELKLEGERDRHFSMSKPVKREKQDTDRAFLLEEQRLTDEKVLDCHTDCKLKERGLSADGSMHVECAYQTIEDGNPCNSEIAFKNGCDFDLSSSGINTEKLFVEESQICTTGKETTEVVTSSDQQQLLITDDRSGDAVMSSHDVPCPENADESRTCVPGNIGGSHLESNDKQVDNSLNPSNLDESARPATASDTAGVEDLKVTEAHETSPVGSTSQEPPSSCTNQDTGYQSEAAGSRLSMGLASQETPLCCMNQDTENQSKPAGCRLSGIVGDGREDLASSLEASLLAVTADSDVASKLDFDLNEGIPGDDGNNGETAVSVATFCSTAIDLPCLSPFANPMSNVSPAPITVAAPAKGAFVPPENLLKSKDEPGWKGSAATSAFRPAEPRKVLEMPPSSPDMPPSDSAGKECRAPLNFDLNEPDEGVLEDMTMQSFSKTTGFELGTESNLDVPPQISGGLDLDLNRTDEGTENGQFLASSSHRLEVPLLTVGPALTVLPSREANMLRDFDLNNGPIPDEVSAESVTRSQNIKNISSMPFLFPVSSIRTNAAELGSVSSWFPPGSSYPAVAIPSLTNREQPYPIVAAPGTQRILGPITASGPFGGDVHRGAVLSSSPAMAFTPAAAFPYAGFTYGSNFPLASTSFSGAPTTFVDSSSGAGSSFPAIPSPLVGPAGTVLSNYRRPYTMSFPEGSTSGGSDNTRKWITPSLDLNAGPGNADIDGSSWASRQLLVTTSQAFTEEQVRMYTVPGGGLKRKEPEGGWDADRSAYKQLSWQ >Ma06_p05190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:3852496:3861044:-1 gene:Ma06_g05190 transcript:Ma06_t05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGREGEERKRRPHMWPVPAPGTALSASSAAHPPPPPTLAQPDNASSESDSPLSSRDSFLKDGRKIRVGDCALFQAGNAPPFIGIIRWFTKGKEDYLKLCVNWLYRPADVKLAKDVLLEAAPNEIFYSFHKDVIPAASLLHPCKVAFLRKGVELPAGISSFVCRRVYDITNKCLWWLTDQDYINERQDEVNQLLDKTRLEMHATIQSGERSPKSHNSPTSTQQLKSVSDSVHNTGFSLPSQTKGKRKDRSDQGTEHIKRERSFPKPDDGDSASFKCENMIKAEIVKITEKGGLVTTEGVEKLLNLMQLDRTEKKIDVAGRVLVADVIAATDRYDCLGRFVQLRGVPILDDWLQEVRKPKAGDGSSPKESDKAVEELLLALLRALAKLPVNLNALQTCNIGKSVNNLRNHKNSEIQKKARSLIDIWKKRVDAEITKTDDAKSVAPSQPVWQVKPGSSDISNAGNRRAGSTEVGVKSPATQIASCKIMPGKPGTSDAVVKSSSVTQGSLKKGSTLTTSTAVVLKDPLCKAAANIGSAEMPPTAGKEEKNSSLSQSQNNSQTCSTDRAKVGTSLKEDTRNSSAGSINAAKAVGSSRHRRSSNGVSGTSSSGVQKETNLGKSGSLNKTTTLEKSSLSGLTCDKPIDTPAVDNGNNQRLILRLPNPAQSPAQSASGGSFEDPSISGSRASSPGVSDKHEYNDRRTKLKGDVCPNTATDANAESWQSNDVKELAVGAGGFISPAVDEEHVLTTEDTGKAAEAPIAACSSSGNYRGVFLTEPRTRGSFSSINALIESCVKYSEANTPLVVDDDIGMNLLASVAAGEMTTSDLISPTSSPGTSPVTEDPSTEAKPRLPSDDAAQSHFESDEVVVADSNKQENSVASILTKDASYQDGANFSGDNGIAVPLQDNKLISGHAEKSFAALSPKTEDYYATSELKLEGERDRHFSMSKPVKREKQDTDRAFLLEEQRLTDEKVLDCHTDCKLKERGLSADGSMHVECAYQTIEDGNPCNSEIAFKNGCDFDLSSSGINTEKLFVEESQICTTGKETTEVVTSSDQQQLLITDDRSGDAVMSSHDVPCPENADESRTCVPGNIGGSHLESNDKQVDNSLNPSNLDESARPATASDTAGVEDLKVTEAHETSPVGSTSQEPPSSCTNQDTGYQSEAAGSRLSMGLASQETPLCCMNQDTENQSKPAGCRLSGIVGDGREDLASSLEASLLAVTADSDVASKLDFDLNEGIPGDDGNNGETAVSVATFCSTAIDLPCLSPFANPMSNVSPAPITVAAPAKGAFVPPENLLKSKDEPGWKGSAATSAFRPAEPRKVLEMPPSSPDMPPSDSAGKECRAPLNFDLNEPDEGVLEDMTMQSFSKTTGFELGTESNLDVPPQISGGLDLDLNRTDEGTENGQFLASSSHRLEVPLLTVGPALTVLPSREANMLRDFDLNNGPIPDEVSAESVTRSQNIKNISSMPFLFPVSSIRTNAAELGSVSSWFPPGSSYPAVAIPSLTNREQPYPIVAAPGTQRILGPITASGPFGGDVHRGAVLSSSPAMAFTPAAAFPYAGFTYGSNFPLASTSFSGAPTTFVDSSSGAGSSFPAIPSPLVGPAGTVLSNYRRPYTMSFPEGSTSGGSDNTRKWITPSLDLNAGPGNADIDGSSWASRQLLVTTSQAFTEEQVRMYTVPGGGLKRKEPEGGWDADRSAYKQLSWQ >Ma08_p01850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1532398:1533227:-1 gene:Ma08_g01850 transcript:Ma08_t01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSQTARIGACVFCLGFISFLLAIFAETSKKISILLRPHTVVSVHVLDRQPPFGTPIQAKNAVICKFPDDPSILLGTLSVVTLVLAAIAGHVAVYFPYKGKSVPRNALFRSATLSTFFVLAEVLTVLALVMLLWTTISESLHRSRNVHRDLTTQCPTAKTGLFGGGAFLALDAALFWLVCQMLTLNARSDYLDEDDTKGEYVDVCTTEFDVAEGHLPTA >Ma05_p07170.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5218730:5227147:1 gene:Ma05_g07170 transcript:Ma05_t07170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKDAGGDRSAITVQVKFCGRTLPVSLPMDATVADLKSLLQPVTNVLTRGQTLICKGKVLADKVSLKSVPVTNGSKVMLIASQGLHQGDGPITKDAATQSISPRKLIDTKQTQVSHTRTNVEKSRSERWKLTGVVALSECHLEAMPEEVWSCGHSVRVLDVSNNLIRDVPTKVGDLKLLNKLLLNANNITDESISWEGLSSLKSLTILSLSHNYLTTLPSAVGTLTSLCQLHIANNKITSLPDELGLLNQLQILKVANNRLSSMPSSIGNCRALVEIDLSCNLLVDLPETVGNLQDLKALILRNNGLKSLPPTLFKMCYQLSTLDLHGTEITNDFLRQIEGWEDFDERRRSKHQKQLDFRVGSSGVFDEGADDDRALK >Ma05_p07170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5218730:5227147:1 gene:Ma05_g07170 transcript:Ma05_t07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKDAGGDRSAITVQVKFCGRTLPVSLPMDATVADLKSLLQPVTNVLTRGQTLICKGKVLADKVSLKSVPVTNGSKVMLIASQGLHQGDGPITKDAATQSISPRKLIDTKQTQVSHTRTNVEKSRSERWKLTGVVALSECHLEAMPEEVWSCGHSVRVLDVSNNLIRDVPTKVGDLKLLNKLLLNANNITDESISWEGLSSLKSLTILSLSHNYLTTLPSAVGTLTSLCQLHIANNKITSLPDELGLLNQLQILKVANNRLSSMPSSIGNCRALVEALILRNNGLKSLPPTLFKMCYQLSTLDLHGTEITNDFLRQIEGWEDFDERRRSKHQKQLDFRVGSSGVFDEGADDDRALK >Ma08_p22670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36207357:36211463:1 gene:Ma08_g22670 transcript:Ma08_t22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFPCFRSPGQGKEKEVKKKIEGIGGADCKQEFSAAPSSHHGSSDKSKLRTDSVSKTGASTPKEGNAGNIAAQTFTFRELATATKNFRRDCLLGEGGFGRVYKGRLETGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPADVEPLDWNTRMKIAAGAAKGLEYLHDTANPPVIYRDFKSSNILLGKGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDNSRPVGEQYLVAWARPLFKDRRKFAKMADPLLQGHYPTRGIYQALAVAAMCLQEQAATRPLIGDVVTAVSYLASQNYDPIAASTRSTRNGPSTPRSTSRIDNQHAVHSPHQNSPDSRQRDPIMIACEDAKIGIGSSGGCSGHTWVLEELETRESQMDSPVQVGSVRDSPKDICHNLDRERAVAEAKEWGETWRERQRKNTPGSFHSTT >Ma07_p18200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24730937:24739731:-1 gene:Ma07_g18200 transcript:Ma07_t18200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAPISLPPSRVLRLRSRSTWSDSLLPLEHLNQPLSSRPLVARAALPGSGSSGSNGPHAPSISPSSASSAIDFLTLCHRLKTTKRKGWINHGINHPESIADHMYLMALMALIAGDIPGLDRERCMKIAIVHDIAEAIVGDITPSDGVPKAEKSRREQEALNEMCKILGGGLRADEIQELWAEYENNSSMEASLVKDFDKVEMILQALEYETEHGKVLDEFFLSTAGKFQTDVGKSWAAEVISRRNKRSLSMPIKDAALLVQNNCLLHHGSVRSTGDAPGWRSLLLVRLAIFVSQKKRYQKSFCFIQENIENGAS >Ma07_p18200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24730937:24739731:-1 gene:Ma07_g18200 transcript:Ma07_t18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRKGWINHGINHPESIADHMYLMALMALIAGDIPGLDRERCMKIAIVHDIAEAIVGDITPSDGVPKAEKSRREQEALNEMCKILGGGLRADEIQELWAEYENNSSMEASLVKDFDKVEMILQALEYETEHGKVLDEFFLSTAGKFQTDVGKSWAAEVISRRNKRSLSMPIKDAALLVQNNCLLHHGSVRSTGDAPGWRSLLLVRLAIFVSQKKRYQKSFCFIQENIENGAS >Ma07_p18200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:24730890:24739731:-1 gene:Ma07_g18200 transcript:Ma07_t18200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAPISLPPSRVLRLRSRSTWSDSLLPLEHLNQPLSSRPLVARAALPGSGSSGSNGPHAPSISPSSASSAIDFLTLCHRLKTTKRKGWINHGINHPESIADHMYLMALMALIAGDIPGLDRERCMKIAIVHDIAEAIVGDITPSDGVPKAEKSRREQEALNEMCKILGGGLRADEIQELWAEYENNSSMEASLVKDFDKVEMILQALEYETEHGKVLDEFFLSTAGKFQTDVGKSWAAEVISRRNKRLGELA >Ma09_p10600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7200751:7206659:1 gene:Ma09_g10600 transcript:Ma09_t10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVATSEEDPALAVIRFTAELSWADAGPEVAETQVSELCMEAEEFMFRSRWLDLVSLILTSADLITSRVSEKDLECIFTVTCNLVTRANTPDEALEMAKLISSKVVQQPTDRPALRLKILFNLYNLLENPYSRFFVYKKALDLVASGKVAESIIPSFKNIDSFLQEWNIGKIDQRELFRSISNILKDNKSMAKDSFAFLTRYLATFSGAGEDAYTMNEAKEEAVQAIIEFVKSPDIFQCDLFDMPAVAQLEKDGKYALVYQLLKIFVTQRLDAYFDFHAANSTLLKSYGLVHEDCITKMRLMSLLDLSSHESGEIPYSLIRDTLRITDDEVEYWVVKAITSKQLDCKMDQLNQVVIVSRHTERVFGLSQWENLRLKLGAWRRNIANGISTIQANKISEGLQGMQGLAIR >Ma06_p16730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11348187:11349038:1 gene:Ma06_g16730 transcript:Ma06_t16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKAHLFVFCLCSLTSLSFAGQIQLILVNNCNYSVWPGTLGNAGHLTPKDGGFHLARFEEAVIDVPEGWSGRLWGRTGCCFDEHGGGYCDTGDCGRRLHCMGKSGAPPASVVEMTLGTAASSLHFYDVSLVEGFNLPVTMAPVGGGIGCGVAGCEADVTACCPAEFEVRRGRRVVGCKSACLALKADEYCCTGRYGSPTSCKPTRFSHLFKSICPRAYSYAFDDPTSLKKCRASRYLITFCPPQH >Ma06_p16730.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:11348055:11349115:1 gene:Ma06_g16730 transcript:Ma06_t16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHKAHLFVFCLCSLTSLSCQIQLILVNNCNYSVWPGTLGNAGHLTPKDGGFHLARFEEAVIDVPEGWSGRLWGRTGCCFDEHGGGYCDTGDCGRRLHCMGKSGAPPASVVEMTLGTAASSLHFYDVSLVEGFNLPVTMAPVGGGIGCGVAGCEADVTACCPAEFEVRRGRRVVGCKSACLALKADEYCCTGRYGSPTSCKPTRFSHLFKSICPRAYSYAFDDPTSLKKCRASRYLITFCPPQH >Ma09_p16080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11394924:11395794:-1 gene:Ma09_g16080 transcript:Ma09_t16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATCIDILVAIILPPLGVFLKFGCKVEFWLCLLLTILGYIPGIIYAIYAITK >Ma09_p16080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:11394924:11395786:-1 gene:Ma09_g16080 transcript:Ma09_t16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATCIDILVAIILPPLGVFLKFGCKVEFWLCLLLTILGYIPGIIYAIYAITK >Ma11_p07010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5604913:5606734:1 gene:Ma11_g07010 transcript:Ma11_t07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVTTSVLSIAIFFLLAASFSLHVFRHLLPSGNAINSRTLNQPVDRLRNYAVGEAAVSVLRVLRSPPRHRPPPTESVLLLDWDVLLLPSPNTSTAASGRPLSCLFHTGATSLARPAGPVAFLCSLPNSLRRFRPFYTPRLSEASSNASGWVGQEDPPREMLRSTQLAYESVSTADDVIVFVKGVNRRRDRDLPASSLRCVFSPASGGAAVATTSVTSSAQEAFRCAHPPAAEVSSIGPFRVSISTGPEAAPIPTLANYHRPGGPASGGRLSICACTMVFNAAKFLPEWVVYHAAIGVERFFLYDNGSEDELESVVSRLGSQGFDVTTRFWPWPKTQEAGFSHCAVVNRDECEWMAFIDVDEFVYSTDWDESDQPNRSMMGSLVAVGPEVGQISIKCLEFGPSGHRAHPPGGVTQGYTCRRRNEERHKSLLRLDAAAVSLVNSVHHFELREGFETKQVEVGEARLNHYKYQAWKEFKAKFRRRVSAYVVDWKEPMNLASKDRPPGLGSDPIEPKGWTDMFCEVNDTRLRDATRRWFTEVKLDGAERMAWDS >Ma02_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24250501:24252200:-1 gene:Ma02_g17260 transcript:Ma02_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFCLDRVLAFCFLALLACAADGQLSPTFYSSTCPNLQSIVRSAMTQAVNKERRMAASILRLFFHDCFVSGCDGSILLDDTSTFTGEKNAGPNANSVRGFEVIDTIKSNVETACKATVSCADILALAARDGVVLLGGPTWTVQLGRRDATTASQSSANSNLPSPGSSLSQLISSFSSKGLSARDMTALSGAHTIGQARCTTFRSHIYNDANVDASFASTHKHTCPASGGDSNLAPLDLKTPTTFDNRYYQNLVVRRGLLHSDQELFNKGSQDSLVRQYSTNAAAFSCDFAAAMVKMGNISPLTGTKGEIRLNCRKVNN >Ma02_p11530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20651016:20654888:-1 gene:Ma02_g11530 transcript:Ma02_t11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 35 [Source:Projected from Arabidopsis thaliana (AT2G34830) TAIR;Acc:AT2G34830] MENDRGDLADIVRAAGSRSGPPHANFDPVVSRCHLPSQPVVFPERTEAPTNNSGEYLLNLRDPLPDQYTGVEFFYGAEAMVAPAKTDTDSSSVHGGGRRPLVSPELQSGEQENDRPCNNFSRVLQISPGGSRRPFPLSPRLMKPSPAVAREMMMMKLMNVSSSSAAGPVDHGGGVQILCPQTPGVKRRKSQAKKVVCIPAPAAATSRPTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHPFKNALKIPGDDVLKSPTAPKEERREATTSNAHLDLEQEQEEEEMDKPTEDDDFNQNMHRSYKPMIPEADQPDDFFADLAELETDPMSLIFSKGYMDAKPDEERGHKASDTMDMFDWAGSS >Ma08_p29950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41374068:41381899:1 gene:Ma08_g29950 transcript:Ma08_t29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGLGLAVGCAVVTCAIAAALVSRSVRRRWRWGQAVALVRELEVACDTPVGRLRQVVDAMAVEMHAGLASDGGSKLKMLLTFIDNLPDGTEEGTYYALDLGGTNFRVLRVQLGGKGSMILSHKVESQPIPQELMTGTSEELFTFIASILKRFVQSENDGFQQLPDDRKDLGFTFSFPVKQLSISSGVLIKWTKGFSIEDAVGKDIAQCLNEAMTKIGLNMRVAALVNDTVGTLALGHYNDEDTVAAVIIGTGTNACYLERTDAIIKCQGLLTNSGAMVVNMEWGNFWSSHLPRTSYDIALDDESPNRNEQARITGFEKMISGMYLGDIVRRVLYRMAEESDIFLDPAKNLSVPFILRIMLLEKKCRHHPEGSSTNFLDDSPDLTEVGRVLEEHLKISRVPLKVRRLVVRVCDIVTRRASRLAAAGIVGMLKKTGRDGSGGVASGRTRGKPRRTVVVVEGGLYIGYTMFKQYLNEAIGEILGDEVAPYVLLKVSEDGSGIGAALLAAVYSSNR >Ma10_p29600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36137760:36140848:1 gene:Ma10_g29600 transcript:Ma10_t29600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHPLDSGMRHHAYSRKQKSLGLLCANFVSLYDRDGVDSIGLDDAARRLGVERRRIYDIVNIMESVGVLARKAKNAYSWIGFSGIPKALDRLEDEARSGITRSEGSGFKEDLEDELDESPDLYADEGDEKPSQIINSSSLSSGACCKARSANRREKSLGTLTENFIKLFLTTDRDTISLDDAARLLLGAIHDASYMRTKIRRLYDIANVLSSINLIEKTQVEARKPAFRWLGLKEGKMKADNNVTVVAPGPKKPTKRAFGTEITNVDSKKSRPNLTADKKPTKVQTKDEDLNEGNRVALKHLGSKSGYAFGPFHPNGVMKRGDDVEVKCGKGVQDWESLAASFRPQYHNQALSELFAHYVEAWKTWYVEATKGSRNLQQPFSKLVTSDLL >Ma10_p29600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36137673:36140848:1 gene:Ma10_g29600 transcript:Ma10_t29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHPLDSGMRHHAYSRKQKSLGLLCANFVSLYDRDGVDSIGLDDAARRLGVERRRIYDIVNIMESVGVLARKAKNAYSWIGFSGIPKALDRLEDEARSGITRSEGSGFKEDLEDELDESPDLYADEGDEKPSQIINSSSLSSGACCKARSATANRREKSLGTLTENFIKLFLTTDRDTISLDDAARLLLGAIHDASYMRTKIRRLYDIANVLSSINLIEKTQVEARKPAFRWLGLKEGKMKADNNVTVVAPGPKKPTKRAFGTEITNVDSKKSRPNLTADKKPTKVQTKDEDLNEGNRVALKHLGSKSGYAFGPFHPNGVMKRGDDVEVKCGKGVQDWESLAASFRPQYHNQALSELFAHYVEAWKTWYVEATKGSRNLQQPFSKLVTSDLL >Ma08_p25450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38273446:38274140:-1 gene:Ma08_g25450 transcript:Ma08_t25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDSTQVVDAYVRMTRGEVRTSPTRRRRRTARGPRTSSTTATSPLTTSSRSPGSCAPGPWPRTSPAPSRRSSAPASPSPATSTGRTPRISRQRSPAATWRCRSSDTSLIAVQYHRRFFVWLQTVTFQSKCHLFLATDAFLFVDLIYAGK >Ma01_p17040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12459617:12467541:1 gene:Ma01_g17040 transcript:Ma01_t17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILKGSVVCHTDAVCANYVGSSAPLINIHFAKARNLQSAFWGSSCRLRTITRTYGRFLQPCKKKLRSIQSSFSSSSDGNGSIAGNFSENDEEYINSSVIEAVEVRSRSDGLMIKMRDGRHLRCVHNDPQAGNLLYYAPRPVIVLKMEDGSDILLPILVLEMPCTMLMAAINNVQLVRPTVYEVVKEMIEKMGYAVQLVRVTKRVNEAYFSQLYLTKIGNGKDTISFDLRPSDAINIAARCKVPIQVNRHLVYSNGMRIVEPTKSAASTVQSDIVLFTELDRPDDQPCYEAEEFDMLRNMMIASVEERYRDAARWRDKLFMFRAKRKNWT >Ma01_p17040.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12459617:12467651:1 gene:Ma01_g17040 transcript:Ma01_t17040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGILKGSVVCHTDAVCANYVGSSAPLINIHFAKARNLQSAFWGSSCRLRTITRTYGRFLQPCKKKLRSIQSSFSSSSDGNGSIAGNFSENDEEYINSSVIEAVEVRSRSDGLMIKMRDGRHLRCVHNDPQAGNLLYYAPRPVIVLKMEDGSDILLPILVLEMPCTMLMAAINNVQLVRPTVYEVVKEMIEKMGYAVQLVRVTKRVNEAYFSQLYLTKIGNGKDTISFDLRPSDAINIAARCKVPIQVNRHLVYSNGMRIVEPTKSAASTVQSDIVLFTELDRPDDQPCYEAEEFDMLRNMMIASVEERYRDAARWRDKLFMFRAKRKNWT >Ma01_p17040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:12459617:12467651:1 gene:Ma01_g17040 transcript:Ma01_t17040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILKGSVVCHTDAVCANYVGSSAPLINIHFAKARNLQSAFWGSSCRLRTITRTYGRFLQPCKKKLRSIQSSFSSSSDGNGSIAGNFSENDEEYINSSVIEAVEVRSRSDGLMIKMRDGRHLRCVHNDPQAGNLLYYAPRPVIVLKMEDGSDILLPILVLEMPCTMLMAAINNVQLVRPTVYEVVKEMIEKMGYAVQLVRVTKRVNEAYFSQLYLTKIGNGKDTISFDLRPSDAINIAARCKVPIQVNRHLVYSNGMRIVEPTKSAASTVQSDIVLFTELDRPDDQPCYEAEEFDMLRNMMIASVEERYRDAARWRDKLFMFRAKRKNWT >Ma02_p12610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:21261656:21264723:-1 gene:Ma02_g12610 transcript:Ma02_t12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSPLLVIVFSLAVLCIELGISNGFSMIRCQETERRALMEFKQRVRDPSHILSSWVGEDCCSWEGIRCSNDTGHVIELHLRNRHRSDVSYMGCSETNFDDDDVGCRWALHGGITPSLLSLQHLNHLDLSGNNFEGNRIPEFLGSFGRLTYLNLSGAGFGGKVPDQLGNLSTLHQLDLSYNFYLDDDLYIENIGWISRLTSLQHLNMNQVRFRNVSDWLQALNALSRIQVVEMASCGLETFPPSLPHVNFTSLTTLNLEDNIINSTVPDWLFNITSLEVLSLGSNHLYWQTLDSIAKLTNLRQLDLSYNTFHDGFKPEPLSNLCKLQILDLDKVPVNNVLANLEQVFSGCLMLILEELNLSGTQLRGSIPDWLGNFKNLKFLDLSHNSLYGSVPRSLGNLSSLRSLFLYSNDLNGSIAEGIGGLKGLIYLVLSYNSFHLSELHLVNLSSLKYLDISYNYIDLNKGDEWIPPFQLRSLYMTFCQILPRPHFPRWLRLQTTLRDLSLSSTSIKEGIPNWLPSSLEYLSLSNNEISGDVPQYLPNLMNNTTYNFSSLKRSSSSSDLYFNNHSYEDVMWLFIKGSELEYTTRLLSIDKVIDLSNNGLSGSIPEELGNLHGLRSLNLSRNYLTGKIPNNINGMQRLEILDLSRNNLSGAIPSTLAALNFLNYLNLSYNNLSGRIPTGSQLQTLTDPSFYAGNSDLCGPPLTKNCIDNIPTKEEENENSMDRIESIWLYMCRALGFIVGFWTICGSILLKSRWRIAYFQAIDNMCDRLYVVLVLNVAMFKRKLMVGRQVD >Ma02_p19780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25967608:25968705:-1 gene:Ma02_g19780 transcript:Ma02_t19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDGGAGEDPVRLDDEQLAELREIFRSFDRNNDGSLTQLELGSLLRSLGLKPSTDQLDALIQRADTNANGLVEFSEFVVLVSPELVAAKSPYTEEQLLRLFKMFDRDGDGYITAAELVHSMAKLGHALTATELTGMIKEADTDGDGRISFQEFSQAISSAAFDNSWS >Ma05_p11270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8148545:8149991:-1 gene:Ma05_g11270 transcript:Ma05_t11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPSKGITVPASLLLLTAAAALLLFLLLSSLSPAPSSSSAASFSCPTTSAASSIAAATGPPDPISPTLDDIAWLKSQLVLNSIQEPPSSPAAWHSLRKGINPRTRDQQLEDLRRFKGVSHYKGDDAENHTALPCPEELLVEEHHSNYGEPWAGGRDVFEFLATAASLSPADHVLEIGCGTLRVGLHFIRYLDPARFHCLERDELSLMAALRYEIPSQGLLHKRPLILRGEDMEFDRFGSDVLYDLIYASAVFLHMPDALVWVGMERLSARLKLEKGRIFVSHNIKFCSRLGGDVCTNRLTNLGLEYVGKRTHDSLLFNHYEIWFEFRKLKA >Ma03_p00290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:279913:281953:1 gene:Ma03_g00290 transcript:Ma03_t00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLSPFPVPRWPPPPLAHSASSSFSSSSSSSRSTVTSFAPPSLNPETLQQRLNALIEGGPENWTYAIFWQSSPAGGAAALSWGDGYYRSCEEDKRKPAGVGASSTAEQEHRKRVLRELNALISGAGGEDAADEEVSDTEWFFLVSMTQTFAPGAGLPGQVLLSGETVWVAGEDRLAAAPCERAQQAWAFGLRTMACVPMGSGVVELGSTQDIFHNSEILSKVRLLFGRGRGGGGHATGSLPPPPEQGLVDPCMLWISEPSAPTPPSHPDKRSSSCLTEDPSSIRVHYSLNLTGEGDAGDALYVETNKSTSRGSDDGGFLPSSTAVAATAPKPEGGGLDSDHSDLEGSAREATSSATLEREKRPKKRGRKPANGREVPIDHVEAERQRREKLNQRFYALRSVVPNVSKMDKASLLADAIAYINELHTKVGTMESEKRRLRSELSALKEAKSKATANEWTTSETGTSGEGEGEVEVEVKLLGREAMIRVQCERRAHPAARLMVALRELELEVYYANVTVVKELMIQQATVKMGSRIYNQEQLTAALFARVA >Ma09_p22180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34161081:34166181:-1 gene:Ma09_g22180 transcript:Ma09_t22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLTLKCAACGYVSDVDMRDKLTTFILKNPPEQKKGAKDKKAMRRAEKERLKEGEAADEEQKKLKKETKKKGATSTKDGASKATTTAAKKKAGGGSDEERSTSPVGSQNGDANADNGDDDVQWQTDTSLEAAQQRIQEQLSSVTAEMVMLSTNEPDQEKKSEKANKELTNGSAKQVDKNGAGQNGSPANSYNKLLVEIKSNLSKRSNPTQLQTCLGSLTGSPQEVMTALFEALFEGVGKGFSKEVGKKKNFLAAAVKGEDSQMLLLHAVEAFCAKCSPDAVKEVALVLKVLYDGDLMEEETIIKWYEKGSVGGKSSQVFKNVKPFVEWLQSAESESEEE >Ma09_p22180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34161081:34166231:-1 gene:Ma09_g22180 transcript:Ma09_t22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGVSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLTLKCAACGYVSDVDMRDKLTTFILKNPPEQKKGAKDKKAMRRAEKERLKEGEAADEEQKKLKKETKKKGATSTKDGASKATTTAAKKKAGGGSDEERSTSPVGSQNGDANADNGDDDVQWQTDTSLEAAQQRIQEQLSSVTAEMVMLSTNEPDQEKKSEKANKELTNGSAKQVDKNGAGQNGSPANSYNKLLVEIKSNLSKRSNPTQLQTCLGSLTGSPQEVMTALFEALFEGVGKGFSKEVGKKKNFLAAAVKGEDSQMLLLHAVEAFCAKCSPDAVKEVALVLKVLYDGDLMEEETIIKWYEKGSVGGKSSQVFKNVKPFVEWLQSAESESEEE >Ma02_p24570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29122222:29127196:1 gene:Ma02_g24570 transcript:Ma02_t24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVGFVSGAVPLMCRHSQSLLRRSLRRRLEGNPLDLNSLPEEHGKQPLEESSMTTAASADITRTGFKKKKSAVKDDSAKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSNDVLEAHKSLLGASNIEAAASEKHVCNSNPSTQVSQTRHQCHSTCTLPPPHARFRIHRPTNLLIRITTSVMSSQGVPNASRVTTAIVLIPALLAMELQFLIASPPWKKDEHQQSEATNSDESVTGIVVRDVSTITCIVE >Ma08_p01440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1273260:1275684:1 gene:Ma08_g01440 transcript:Ma08_t01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSRESSDRNGSEASTSSRYRLVFPPARFIESPLSATLERLGASLARPGRSESDSSVRGQLRGHYSGRIDESTTSTSSGDGHSIGMAWSDSPDGRSAGSRSSDGVSSPMVGSSSGSDGVARQAALSSSSMPTSASSSGSMSTEGEFNDTADNFMNILIQRSEVQDLARWIERVLPFSLLLLIVFIRQHFRDLFGPIWIAAVMVKSNDIVRAQTALEGGRKVYVLAGITLAFIIHVYGVYWWHRNDDLLYPLVMISPKGTLAFWRALFIILVNDTMVRQVAMAVKCMVLMRYTSSRSNDHRMQGKILTVVEYFLLLYRFWLPSPVWYRFFLNKDHEISFSYLIAGLYLIFKLASASEKVQLFFAALKALSRSEGDDGGSYATTEQVNEAGDLCAICLDRMRAPVVLHCEHVFCEDCIFQWFERERTCPICRAQIRRPAALRSYADGSTSLLYQLF >Ma08_p04760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3305134:3307083:1 gene:Ma08_g04760 transcript:Ma08_t04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPVSLLLILHFFLPLHVSSQTINTNTTLFSCSSNTSMSCSTFVLYRTQPEYTDLGSISDLFGVSRLSLEEANDLRSEEVTLPADQLLVVPVECGCTGNRSSANITYTIKEGDNFFLVSTNAFGNLTDYHLVEDLNPTLEPTSLRPGQEVIVPVYCKCPEKTQLDRGIKFLVTYVWSAEDTVFQLSKKMNSSRDAMEATNNYRNFSAAVFHPILIPVPEKPHLPLLLYNTTPPAVDRSSKSNRKAIIVSTMAGAALAVVIWSLLLLVCTKFCHKTPIIGTRSAADLLTRNQSMSKGKKLLAGVSQFIDKPLMYEIKDIMEATMNLSEALRIGSLVYQAILNGEVYAVKQAKGHVAEEMRISQIVNHANIIKLAGFSIHEDGRIFWVYEFAENGSLDKWLFANPSSSNSTCHLSWRQRLNIALDVANGLQYLHEHTRPSMVHRAIKTSNILLDAHFKAKISNFSMAKPATIGIRPSRDVFAFGVVLLELLSGKEEAIEAAEVRVLSREIRTVLEAEEERAERLRRWMDPNLKGLYPLDGAITLATMARACTTEDSTERPRISEIVFGLSVLSQSCTDPFERASMMTNSGERLPIKDIVVAR >Ma09_p25960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:37316990:37317463:-1 gene:Ma09_g25960 transcript:Ma09_t25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASVPILYLIHILLYSKQLWSQNLQLLFFILCFNVMFSCMVNLITSVAGR >Ma08_p11630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8633353:8641115:1 gene:Ma08_g11630 transcript:Ma08_t11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGRRTLTSNFTHLTRRFHPSFAHILRDDREYTEPSNPLPLPPTPSRRHASSSAPSVSGFGRWRSLGFSLPLGLEPGLLRTYSSGAEGSNEINYIKDVAEVLSDSSLETATVAAAAVPTPFPGEVAAAAADSFLPVAALQHLIDAVHSFCGLNWWASIVLTTLLIRGVTVPLLLNQMKATVKLNVMRPELEQLKEQINSMDPESVQEGQKQMKALFQKHGITPFTPLKGLLIQGPIFISFFLAISNMVEKVPSFKGGGAFWFTDLTTPDPQYMLPALTGLTFLATAELNMQEGMEGNPMANTMKNFSRVLAFMTVPFTANFPKAIFCYWITSNLFSLGYGFVLKLTRVRKFLNLPDSVPEPTKSAAQPNFTLFGASKPILPAASPVPPAKDSGQTNTPARRLSSSSVISQRIRNLEKTVKARNKHKKK >Ma08_p11630.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8633353:8640692:1 gene:Ma08_g11630 transcript:Ma08_t11630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACGRRTLTSNFTHLTRRFHPSFAHILRDDREYTEPSNPLPLPPTPSRRHASSSAPSVSGFGRWRSLGFSLPLGLEPGLLRTYSSGAEGSNEINYIKDVAEVLSDSSLETATVAAAAVPTPFPGEVAAAAADSFLPVAALQHLIDAVHSFCGLNWWASIVLTTLLIRGVTVPLLLNQMKATVKLNVMRPELEQLKEQINSMDPESVQEGQKQMKALFQKHGITPFTPLKGLLIQGPIFISFFLAISNMVEKVPSFKGGGAFWFTDLTTPDPQYMLPALTGLTFLATAELNMQEGMEGNPMANTMKNFSRVLAFMTVPFTANFPKAIFCYWITSNLFSLGYGFGDA >Ma08_p11630.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:8633483:8641115:1 gene:Ma08_g11630 transcript:Ma08_t11630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACGRRTLTSNFTHLTRRFHPSFAHILRDDREYTEPSNPLPLPPTPSRRHASSSAPSVSGFGRWRSLGFSLPLGLEPGLLRTYSSGAEGSNEINYIKDVAEVLSDSSLETATVAAAAVPTPFPGEVAAAAADSFLPVAALQHLIDAVHSFCGLNWWASIVLTTLLIRGVTVPLLLNQMKATVKLNVMRPELEQLKEQINSMDPESVQEGQKQMKALFQKHGITPFTPLKGLLIQGPIFISFFLAISNMVEKVPSFKGGGAFWFTDLTTPDPQYMLPALTGLTFLATAELNMQEGMEGNPMANTMKNFSRVLAFMTVPFTANFPKAIFCYWITSNLFSLGYGFVLKLTRVRKFLNLPDSVPEPTKSAAQPNFTLFGASKPILPAASPVPPAKDSGQTNTPARRLSSSSVISQRIRNLEKTVKARNKHKKK >Ma08_p08780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6233645:6246695:1 gene:Ma08_g08780 transcript:Ma08_t08780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIECNFCHSKFPLPASKTVSRAYDNHKSKISSKQRALHVMLVVGDCILVGLQPILVYMSKVDGSFKFSPISVNFLTEGTKVLFAIMMLLFQAARNNILLAVPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKTIMRRRFSIIQWEALALLLIGISVNQLRSLPEGTTALGLPVATGAYMYTLIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFIAIIGIAVLKGTSSFHILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFVLGISIVFISMHQFFSPLAKVKDETPNGDLEMRDAQDYRSKETSFIDMAAGATEDASHHSGPDEKQPLLPT >Ma08_p08780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6233645:6246695:1 gene:Ma08_g08780 transcript:Ma08_t08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECNFCHSKFPLPASKTVSRAYDNHKSKISSKQRALHVMLVVGDCILVGLQPILVYMSKVDGSFKFSPISVNFLTEGTKVLFAIMMLLFQSRRQKVGERPLLSVSNFVQAARNNILLAVPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKTIMRRRFSIIQWEALALLLIGISVNQLRSLPEGTTALGLPVATGAYMYTLIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFIAIIGIAVLKGTSSFHILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFVLGISIVFISMHQFFSPLAKVKDETPNGDLEMRDAQDYRSKETSFIDMAAGATEDASHHSGPDEKQPLLPT >Ma08_p08780.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6233632:6246695:1 gene:Ma08_g08780 transcript:Ma08_t08780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIECNFCHSKFPLPASKTVSRAYDNHKSKISSKQRALHVMLVVGDCILVGLQPILVYMSKVDGSFKFSPISVNFLTEGTKVLFAIMMLLFQSRRQKVGERPLLSVSNFVQAARNNILLAVPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKTIMRRRFSIIQWEALALLLIGISVNQLRSLPEGTTALGLPVATGAYMYTLIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFIAIIGIAVLKGTSSFHILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFVLGISIVFISMHQFFSPLAKVKDETPNGDLEMRDAQDYRSKETSFIDMAAGATEDASHHSGPDEKQPLLPT >Ma00_p00800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:3032594:3036817:-1 gene:Ma00_g00800 transcript:Ma00_t00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQRIRLGGSSSAELPDLGERPPCDETHDERPTAVSERYWQLFNDPGLSPPGGAPADPSQVSPKAFHDLAHQRETPARTHVPLPELPGSPRNPTARPGSREAEDTASRPEPEAPTADSASALRAQLRLVSQRLDEVQQEVRKSKGELGADGHQGSPFIPKIQDQAIPPHFRLPSLDTYDGAADPADHVAAFRAQMALFGTSDALMCGAFPTTLRGPARTWYSGLKPGTIASFDQLAKDFELNFLAYARPKPSMALLLGLNQKEDEPLSHFVNRFTTQIRGLSDAHPSLLMQAFMTGLRPSRFFWSLVERPPAAVPEMLQRASQFIAAETWMAGKQEEHKKAKSEPPRQQQPAASRRKLDRPDPRPPLPALNSSRTEIFLHERGKGLLRDPHPMRNPRELADRSKYCRFHRQHGHDTEQCYELKRQIEELILRGHLGQYLRPNKEQSPRPEGPVERHIDVIAGGPASGGGSMSGRKAYARAAPDEASGHEPEPEITFPTGAAERPDHDDALVISARVANAQMRRIMVDTGSSADILYLDAFQKLGWARENLSPMCSALTGFTGDSISPLGAITLPLTLGTPPRSKTVMTTFLVVDLPTAYNAILGRPTLNKVRAVVSTYYQTIKFPTRAGVGEVTGSPRESRRCYLTFVTLGKRARTESSLEDPREAKKLAPHPEPKGSTTDVPLREAWPDQTVKIGSELPEREREQLVGLLRKNADIFAWSPSDMTGVSPEVAEHHLNIPLDARPVKQKPRRQAPDRQHAIQEEVDRLLAAGFIEEAKYPKWLSNIDQLVDATAGHARLSFMDAYSGYNQIRMAPEDREHTAFLTGQGVYFYKVMSFGLKNAGATYQRTVNKMFAHQIGRNMKVYVDDMIVKSREAGTHLADLAEAFATLRKFGMRLNPTKCAFGVTSGKFLGFIVHQRGIDADPEKVQAIINMQSPRTIKDLQRLNGRLVALSRFLARSGDRCLSFFKALKSPKNFQWTSECEEAFKQMKQHLASLPRLASVSPREKLALYLAASPHAVSSVLVKESPGQQLPIYYVSHVLSGPKERYPPIEKLALALVLSARKLRPYFQAHPVEVVTDQPLRQAPEAWTLHVDGSTNSRGAGAGLVLLAPDGRSFERSLRFWFKATNNEAEYEALLAGLRLALEMQVDAIHVHTDSQLVAEQLNGGYEARDTTMAKYLARVRDLTAKFHYFTLSNVPREENERADALAKLASRPTPEAGTEVEELPARTIEIATTAPSSTPITWVQELLRFKRDGTLPLDEGAARRLRRTHAWYTEECDRLYKRSFTYPLL >Ma09_p25520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36959239:36965010:-1 gene:Ma09_g25520 transcript:Ma09_t25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGNTNKNGFKALIAAEYSGIQVELVKNFVMGVSNKTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVTRLKADNPLYGCSLIEYAHIEQWIDYASMEIDANLARWLYPRLGYMPYLAVAEEAAISSLKRALGALNTHLASSTYLVGHSVTLADIVMTCNLYLGFSMILVKSFTSEFPHVERYFWTMVNQPNFHKVVGEVKQVDSVPPVPSQKKPVQPKEPAKPKEVKKEPKKEPAKPNVQEVLEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVSGFLQRMDLTRKYAFGKMLVIGSEPPFKVKGVWLFRGQEIPKFVLDEVYDMELYDWAKVDISDEAQKELVNAMIEDQEPFEGEALLDAKCFK >Ma06_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6362659:6364891:-1 gene:Ma06_g09020 transcript:Ma06_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDGRNAQWQQQPGFGQTVAGILLMALLSYFAMKFFGPKRPAELFQLISNLFHKGDSLDMWVYLSENEKLKDFGGEYALVWHESNIPSIKDCDSFLFLHAKVVWLLSDS >Ma09_p28350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39136509:39137048:1 gene:Ma09_g28350 transcript:Ma09_t28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQYSNHQCSLGNVTRGPVQKLCWGAIGSISPSFPFFFSLSVLLPLGCAFSGLNNSLCQWPLPCTRSMRVFLFVHVKRKHEAKEFIAALERGLQLQA >Ma06_p10680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7390885:7395594:-1 gene:Ma06_g10680 transcript:Ma06_t10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR4 [Source:Projected from Arabidopsis thaliana (AT3G27820) UniProtKB/TrEMBL;Acc:A0A178VES2] MGRAFVYVILGGGVAAGYAALEFARTGVAHGELCIISEETAAPYERPALSKGYLLPEAPARLPSFHTCVGANDERLTPKWYKEHGIELVLGTRVKSADVRRKTLITATGETISYEILIIATGARALKLEEFGVRGSDAENVCYLRNLADANRLVAVMQTCTGGNAVVIGGGYIGMECAAALATNRIKVTMIFPEAHCMARLFTPKIAEFYENYYKSKGVKFIKGNVLTSFENDSEGKVKAVILKDGTRLLADMVVVGIGIRPNTGLFEGQLHLEKGGIKVNGRMQTSNTSVYAVGDVAAFPVRLFGNEIRRLEHVDSARKTARHAVAAIMAPKTTGDLDYLAFFYSRVFTLSWQFYGDNVGSVIHFGDFNSGRFGAYWTNKGVIVGAFLEGGSREDYEAIAKAVRFKAAVKDTHELESAGLEFALRESQQAAPEGGLLVERLSYTRYATAGVAVALSIAAFAYWYGRRRRVW >Ma10_p01700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:5032461:5036538:-1 gene:Ma10_g01700 transcript:Ma10_t01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEGTLHSDIQSLSELEHLDLSYNRNLGGPLPPSIGNLKQLVVLRLIGCNFGDRIPIEVGNLLNLHVLSLNSNRLTGEIPASLGRLSNLTWLDLAGNQLTGHLPTTSSDEASGLDQLVNTLHLHLNQNQLSGRIPNSLFGSNMKFIHILLDHNNFSGEIPESIGLVRSLKILRLDNNYLNGFVPSSIGNLTLLDALNLANNKLIGPMPNLTGMHALNYLDLSNNTFDPSELPEWLLDVQNLTTLIIESGRLHGEVPPSLFSFPQLQQLRLNDNAFSGTLDMGSNISHQLEMVDFRNNALSSVTLSSDYNNTILLVGNPVCSNAQLHETEYCHEHQDSGSDSSITDCLHPYEGPIVCRAPSFSDISHNLAPMANRIASLLNGTPVSFSLPNYYFDGNAYLRVQLTICPSSAKYFTRREILLWFDLSSENLALSAMYGPCYFDPWQYSFRRKVNRGLIVGIVVGCVAAVLAIAGLGIYALWQKKRAKKAIILHNPFASWGSTGGDAGDAPQLKLARCFSLDELRKCTDDFSKDNEIGSGGYGKVYKATLQDGTTVAIKRSQKGSKQGGVEFKTEIEMLSRVHHKNLVELIGFCFEKGERMLVYEYISNGTLTENLSGRRHTQLDWKRRLHIALDAAKGLAYLHELAKPPIIHRDVKSCNILLDDDLAAKVADFGLSMLVNDSNLGHVSTSVKGTMGYLDPEYFMTQQLTAKSDVYSFGVVMLELMTGRLPIQKGKYIVREVKMGLDEKDEEHCGLKHMIDPSILNDGCIVGFGKFVELALRCVEDASDDRPTMDEIVKEIEIMLKDDKLKENSASSSSSSADTELRQTYDELLLLSREVNSDGVRRTDRYLFSDLSETNACAVSDRGNE >Ma11_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:19466604:19472406:1 gene:Ma11_g14190 transcript:Ma11_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKVLSDLNGELVLAFGDILRSLWAPERTPVFPWVFKAKLAHFSPQFNGFNQHDLQELLAFLLDGLHEGLNHVKHKPYVEAKDASGRPDEEVADEYRGNHLARNDSIIVDICQIIGIQLMNPFKTSKDTGSVDVQDRPQTVFDSGSTDEAVKETTDGEDEFQFNR >Ma02_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:22712966:22713537:1 gene:Ma02_g14770 transcript:Ma02_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHSYNTLIHITILWHISLVSPLLVVSFEVEIHRKFLWFVIRRERWCRAKADRRFGRTDMVFKQC >Ma06_p18530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:12670940:12672146:1 gene:Ma06_g18530 transcript:Ma06_t18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEQGDKYRDFMYGEGEKDTVWRFGAPPNYDVVNKLFEEGRTQVWPVGSLEERVQRLVKTWEMELVHKVRPEDYKSINPQKFRLSLNGKEGLTVQQIRDMGGSYNAFLQTSLPPELRIYDPSVETAESSGKEFATTFPRGFAVEILQVLSGPPVIVYKFRHWGYMEGPFHGHAPTGEVVQFIGTGIFHVDDGMRVEKVEFFYERGDFLAGFLKGGPLESILASGSRCPIMNA >Ma04_p20100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22818369:22824563:-1 gene:Ma04_g20100 transcript:Ma04_t20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative dual specificity protein phosphatase DSP8 [Source:Projected from Arabidopsis thaliana (AT2G35680) UniProtKB/Swiss-Prot;Acc:Q9ZQP1] MYIEELREGDTEGGGGEQERSILEVYGRRNGLLYVKRAVVGVGARVLFYPTLMYNIVRNKIEPDFHWWDRVDEFLLLGAVPFPTHVPCLKQLGVCGVITLNEPYETLVPTSLYVSHDIEHLRIPTRDYLFAPSFGDICQAVDFIHRNSTCGKTTYVHCKAGRGRSTTIVLCYLMQHKQMTPTAAYEYVKLIRPRVLLASAQWKAVQDYYNLRVNTVGRSVHPLDLISNPPVLAATGNFAALDESSFEVVSRSDLDGYDTNTNIGNTVWAELRLVYRVQHAGQAALTRFSCFLIRCHPRGRLSNSRMTIPVYCEG >Ma09_p27900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38763964:38765320:-1 gene:Ma09_g27900 transcript:Ma09_t27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDGWAVGRTTSSSSSPVATEKLLAQHKEKHFTAGDMVRDVIMGVSDGLTVPFALAAGLSGANAPSSLILTAGFAEVAAGAISMGLGGYLAAKSEADHYMRELNREQEEIITVPDTEAAEVGELLSQYGLEPHEYGPIVNSLRKKPQAWLEFMMKFELGLEKPDPRRALESAVTIAVSYVVGGMVPLLPYVFIPTALQAMFTSIGLTLVALLFFGYIKGRFTGNRPFLSAVQTAFIGALASAAAYALAKAVQAV >Ma11_p17570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22870534:22871371:1 gene:Ma11_g17570 transcript:Ma11_t17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWSNLHQDVLNLIFSELSLHGLLCCTVICGTWLRTIRDLRRYCSKLCHQSPWLAFSGSSDGIGSATDDPFAAHFFSLSEQKVYTIPLPQPPIRNRLFLGSSYGWLITIDECFKIRLLNPINGAQINIPFILTLDNIGSFRDPWGRIRGNANNIIHNQYLGEEIPKLNFKAMLSSDPSRGDYIVTLIHYPYGGISIARSNDNKWTTMSFPGLYQDAIFYKDQLYATFYGRIDIWDDLDQEWKTVVPEPKLPRVISSMFGGR >Ma11_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5879903:5882520:-1 gene:Ma11_g07390 transcript:Ma11_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFIISGNFLVQVTYGVYMVFLNGVFNAGVNPLFLVVFGNSVTAVVLLPFALAFEKKKWPSRLSATLLSHFLLLSLGGVTLFQALMLVGIKKASPDVASAMPNLTPGLIFIISACLRFEKFDACCWYSRAKIMGTLVCLAGVFTMCFLQGTSETPRLANNWSFLLAKPLTLDKAINRDWVLGCFYLLAGVFILSCTTVLQAATMLKFPAPLSLVVITSVMGSSLTALLQLITEGKISVGPTTMSITSIVAIVLLGGVVMGTCMAFQGWCITKKGPVLVSIFCPIQTVSTVVVSAALLGQIISLGSLAGIVLMFAGLYIVLWAKKNETFSMLYVDAEPEVLVEDVEKPLLS >Ma08_p22750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36254811:36257855:1 gene:Ma08_g22750 transcript:Ma08_t22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRKGPSHSWWFASQDRLQSSTQSSWLSSTLSELEEKTKQMLNLIEEDADSFAKRAEMYYKRRPQLVDMIEDFYRAHRSLAEQHDQLKSGSAVRRSISVCPAFFDRSCSRSISSSDADEGPKFSTDSFYSEESEVDDPEQEENEAENKPRVMSDEGTDRYLVMKLKHELERLREENAKLKAEIAGKDEEKRDVIRQLALSLDILKEENVTLRRSIKHPEKKGGGFFDLKKLTKDTFSGRRLFHGKSKPQTTIVAL >Ma07_p01310.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1009914:1012346:1 gene:Ma07_g01310 transcript:Ma07_t01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKTSDSTRYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMRGGGGGHNPFDIFESFFGGSPFGGGSSRGRRQRRGEDAIHPLKVSLEDLYSGISKKLSLSQKVICQKCKGKGSKSGASMSCSGCEGSGMKVTIRQLGPGMIQQMQHPCSECKGTGETINEKDRCPQCKGEKVIQEKKVLEVVVEKGMQNEQKITFPGEADEAPETVTGDIVFVLQQKDHPKFKRKGDDLYYEHTLSLTEALCSFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPDLLTLDQCKALEAALPPKPASQMTDMELDECEETTLYDVNIEEEMRRKQAQAQEAYEEEDDVSGRAQRLQCAQQ >Ma07_p01310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1009914:1012346:1 gene:Ma07_g01310 transcript:Ma07_t01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKTSDSTRYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMRGGGGGHNPFDIFESFFGGSPFGVGGSSRGRRQRRGEDAIHPLKVSLEDLYSGISKKLSLSQKVICQKCKGKGSKSGASMSCSGCEGSGMKVTIRQLGPGMIQQMQHPCSECKGTGETINEKDRCPQCKGEKVIQEKKVLEVVVEKGMQNEQKITFPGEADEAPETVTGDIVFVLQQKDHPKFKRKGDDLYYEHTLSLTEALCSFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPDLLTLDQCKALEAALPPKPASQMTDMELDECEETTLYDVNIEEEMRRKQAQAQEAYEEEDDVSGRAQRLQCAQQ >Ma09_p29240.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39741787:39744929:1 gene:Ma09_g29240 transcript:Ma09_t29240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTVMAGIKLEASKPYYTTTSLVVGYALCSSLLAVINKFAITMFNYPGLLTALQYLTSALGVWVLGKLGFLYYDPFTFETAKKFFPAATVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFLSLVIILGGAIGYVMTDSAFTLTAYSWAIAYLITITTEMVYIKHMVTNLGLNTWGFVFYNNLLSLMMAPVFWFVTGEYADVFAAYGSSSGNWFDFIAFVAVVLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHASQFGLICLLLTLVGGILYQQSVTGIGNPSQQHGSMASKQVNVMAGNSDSEVEKQGKGLSAMKMTNNIFKELSYPKFFSLSS >Ma09_p29240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39741787:39744929:1 gene:Ma09_g29240 transcript:Ma09_t29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTVMAGIKLEASKPYYTTTSLVVGYALCSSLLAVINKFAITMFNYPGLLTALQYLTSALGVWVLGKLGFLYYDPFTFETAKKFFPAATVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFLSLVIILGGAIGYVMTDSAFTLTAYSWAIAYLITITTEMVYIKHMVTNLGLNTWGFVFYNNLLSLMMAPVFWFVTGEYADVFAAYGSSSGNWFDFIAFVAVVLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHASQFGLICLLLTLVGGILYQQSVTGIGNPSQQHGSMASKQVNVMAGNSDSEVEKQGKGLSGKDSAV >Ma05_p13650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:9913394:9917074:-1 gene:Ma05_g13650 transcript:Ma05_t13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATNPYSGVWKLYQPFWKRTNLLRLGVVAILCSASYYLGIWQHGSGATSVTSTVVTAVSCDRNPAASPITGRAAALDFAVHHGADQAAAGAPSVREFPACDIKYSEYTPCEDRDRSLRFDRDRLIYRERHCPTKGELLKCLIPAPPGYRNPFPWPASRETAWFANVPHKELTVEKAVQNWIHVDGDKFRFPGGGTMFPNGADAYIDDIDRLISLSDGSIRTAVDTGCGVASWGAYLLSRNVLTMSFAPRDSHEAQVQFALERGVPAMIGVLASIRLPYPSRAFDMAHCSRCLIPWHLYDGQYLIEVDRILRPGGYWILSGPPVNWKKHWKGWDRTREDLNHEQSAIEAVARSLCWNKLKEKGDIAIWQKPINHIGCKANRKTVRSPQFCQSQNPDTAWYTKTESCITPLPEVVRVEEISGGELKKWPERLTAVPPRIARGSIDGATPEVFLQDTELWKNRVGYYKTVINQLGQKGRYRNLLDMNAKFGGFAAALIDDPLWVMNIVPTAADVNTLGVIYERGLIGTYQDWCEAMSTYPRTYDLLHADSVFTLYKDRCEMEDILLEMDRILRPEGTVIIRDDVDALVKIKSIADGMRWNSRIMDHEDGPLQREKLLLVVKTYWTAPGPNQE >Ma02_p09860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19638660:19640434:-1 gene:Ma02_g09860 transcript:Ma02_t09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVSEAKYECLLFDMDDTLYPLSCGINLACRKNIEDYMLHHLQIEESQIPKMCLELYKEYGTTMAGLKALGFVFDNDEFHAYVHGRLPYETLKPDPLLRNMLLSMPQRKIIFTNADQAHAAKVLSRLGLEDCFEGVICFETLNPPPKKTELDDKLTATPAYNLPDDLNNHGFYSETDSITNTESNTTPHERILCKPSVEAMQTAIRIANIDPRRTVFFDDSARNIAAGKAAGLHTVLVGSSVVVPGADNALESIHNIREALPEIWHDEGDELKPVLETTSVEAIVLA >Ma02_p09860.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19638660:19640428:-1 gene:Ma02_g09860 transcript:Ma02_t09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAVSEAKYECLLFDMDDTLYPLSCGINLACRKNIEDYMLHHLQIEESQIPKMCLELYKEYGTTMAGLKALGFVFDNDEFHAYVHGRLPYETLKPDPLLRNMLLSMPQRKIIFTNADQAHAAKVLSRLGLEDCFEGVICFETLNPPPKKTELDDKLTATPAYNLPDDLNNHGFYSETDSITNTESNTTPHERILCKPSVEAMQTAIRIANIDPRRTVFFDDSARNIAAGKAAGLHTVLVGSSVVVPGADNALESIHNIREALPEIWHDEGDELKPVLETTSVEAIVLA >Ma05_p17510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:20460052:20461616:1 gene:Ma05_g17510 transcript:Ma05_t17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease AED3 [Source:Projected from Arabidopsis thaliana (AT1G09750) UniProtKB/Swiss-Prot;Acc:O04496] MRPPMKTHHLITIPPIPTPPGAMATPHSLFLLFILLFSTSNAADLRIFHAERHSPTPLDSVLSLARNDLTRLAFLSRKVTSVPVASGQQVLQSSSYVLRAKLGSPGQLLLLALDTSADAAWTSCSPCSTCPSSSALFLPANSSTYSPLPCYSSWCPQFKGQSCAADSAPSPCTYYQPYGGDAAFTATLSQDSLTLASDVIPNYLFGCVTAVNGSSANLPKQGLLGLGRGPMSLLAQTGPLYQGVFSYCLPSFKSYYFSGSLRLGPLGQPKNIRFTPLLKNPHRPSLYYVNLTAVLVGRFEVPVPPGSFAFDPETGAGTVVDSGTVITRFVTPVYAAIRDEFRRQVNASGGYTSLGAFDTCFSTDEVASVPAVTLRMEGLDLVLRVENTLIHSSATPLACLAMAAAPDNVNAVVNVIASLQQQNLRVVVDAANARVGFAREVCN >Ma04_p01060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:924699:929353:-1 gene:Ma04_g01060 transcript:Ma04_t01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVFRSASRTARAFLSASRTAPLHCQWRTAAAATATVFRGSARSLSSYCEKADCKNTTSRGWLYGTLAFPAAVYLLQDHQLHAAELERTFIAIKPDGVQRGLIAEIVSRFERKGFKLVAIKIVVPSKEFAQKHYHDLKERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVAVGRNIIHGSDGPETAKDEIALWFRPIELVSYTSNAEKWIYEVN >Ma04_p31410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31723040:31726297:1 gene:Ma04_g31410 transcript:Ma04_t31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSVMGREGEAEDHETGLGNLPESCVAAVLLHLDPPEICRAARLSRTFRGAASADFVWEAKLPENYMYLVELASGGKSPSEKDLLCLKEVYAWLCRPNPFDGGNKEFWLDKSWGGFCMSISSKALLITGIDDRRYWNYIPTEESRFYTVAYLQHTWWFEVDGEIDFYFPAGTYSLFFRLHLGRASKRLGRRICSSEHIHGWDIKPVQFQLSTSDGQNTISHCFLDEPGRWILYHAGDFVVDNSNISTKIQFSLTQIDCTHTKGGVCVDSVLIYPKGFILKRSLLPTCKSVQLVSE >Ma06_p20920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15035616:15040031:1 gene:Ma06_g20920 transcript:Ma06_t20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDTIPLHSSAQSDIDEIENLINAGSHPVTVLPARPPSPPRASIPVSFVPSAAPPPLPSYQKVPSSTAPTPPPLPITPTAAGSRLGVGIAADGFGSPPDTLTEPVLDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIIFLGLVLSWSASVKKSEVFAVAFAVLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGALICMLKDNVVIKIVVVSITLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >Ma10_p22700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31966433:31970112:-1 gene:Ma10_g22700 transcript:Ma10_t22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCASSSYDHDFFTAVQAGDLQAVDSSLKDDPSLLLRTTIYDRLSALHIAAANGRVEVLSMILDRSVSPDVLNRDKQTPLMLAAMHGKIECVQKLLDSGANILMFDSVNGRTCLHHAAYYGHSDCLRAILSAAKSTHVASSWGFARFVNVSDGTGATPLHLAARQKRPSCVHILVDNGALVCASTGRYGFPGSTPLHLAARGGSLDCIRELLARGADRLQRDSSGRMPYEVALKQNHGECAALLNPSAADPLVWPSALKFISELDSETKALLEAALMEGNREREMKILKGTTDSLTSPAHSDKAFDDDVSEGSDAEFCSICFDRVCTIEVQDCRHQMCAQCTLAVCCHSKPNPTTLFLPSPACPFCRSSISRLVTTKARDTGDQNTTSKPRRFRHCSSEGSSSFKGLSSAVGSFGLMGCGSGRITDSSDMVDKL >Ma03_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:16800675:16808976:1 gene:Ma03_g15680 transcript:Ma03_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKLKDQKRQDAANSSGNAPAKKQSAGELRLHKDISELNLPKSTIISFPNGEDDLMNFEISIRPDEGYYQGGRFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLILLFMQPNDEDPLNLDAAAVLRDNPRLFASNVRRAMAGGYVGEVHFPRCI >Ma05_p23330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35367776:35368264:-1 gene:Ma05_g23330 transcript:Ma05_t23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGITKLKFGNEHKMSTNSFSHYLLFLGLFVEFTYNKKHPPEFGAVLAFWCILIPFLGLSFCYILNNLSDYNVLTASAPFFYQISGTWSNHEGSILSWCWIPSLMDSFFVTPVSICCMDWIHAGRVMDQHESGTQSVDPCSITKMNVKFSVIVSPHKTHDFA >Ma04_p19080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21633049:21640013:1 gene:Ma04_g19080 transcript:Ma04_t19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVMPEKGRAERIAVGNGFVETDPTGRYGRFDQVLGRGAVKIVYRAFDELNGTEVAWNQAKLSEVIRSPEALQRLYSEVHLLSSLCHESIIKFHASWIDAANRTFNFITEMFTSGTLREYRQRYPRVHIRTVKTWARQILQGILYLHSHDPPVIHRDLKCDNIFVNGHLGQLKIGDLGLAAMLREAHCAHSVIGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPDAFHRIRDPQAKRFIGRCLETVSKRSSAKELLHDPFLAFEDRPTTSPSLKSPSHEVKNIVHGDYDPSQATDGPGATSPVAKRTDMTITGKMNPEDDTIFLKVQISDREGKMSNIYFPFDIVGDTSIDVANEMVKELDLTDREASEIAEMIAQEISRLVPGWREGAPEDCHHVYNYVEDDQDGSNHPFYCLSSPSSSQGSEFGGSSSQGIFCQQHNPRQVDWLGDSLFQDDDDKSSVHSSKYSAVNYTSGGELESDGSFHRKEPMPLVPSSSRCKWTRFCPEDSSAEDDYISHKLHEKCNILTEASHVGGGKRRVDSRRLMRNQSMMDVRSQLLHKALVEELNKRMFKTVGAVENIGFQVPYDGLRGVSRGDYRKKKYGPERQRC >Ma04_p19080.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:21633049:21640013:1 gene:Ma04_g19080 transcript:Ma04_t19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVMPEKGRAERIAVGNGFVETDPTGRYGRFDQVLGRGAVKIVYRAFDELNGTEVAWNQAKLSEVIRSPEALQRLYSEVHLLSSLCHESIIKFHASWIDAANRTFNFITEMFTSGTLRENGFRYRQRYPRVHIRTVKTWARQILQGILYLHSHDPPVIHRDLKCDNIFVNGHLGQLKIGDLGLAAMLREAHCAHSVIGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPDAFHRIRDPQAKRFIGRCLETVSKRSSAKELLHDPFLAFEDRPTTSPSLKSPSHEVKNIVHGDYDPSQATDGPGATSPVAKRTDMTITGKMNPEDDTIFLKVQISDREGKMSNIYFPFDIVGDTSIDVANEMVKELDLTDREASEIAEMIAQEISRLVPGWREGAPEDCHHVYNYVEDDQDGSNHPFYCLSSPSSSQGSEFGGSSSQGIFCQQHNPRQVDWLGDSLFQDDDDKSSVHSSKYSAVNYTSGGELESDGSFHRKEPMPLVPSSSRCKWTRFCPEDSSAEDDYISHKLHEKCNILTEASHVGGGKRRVDSRRLMRNQSMMDVRSQLLHKALVEELNKRMFKTVGAVENIGFQVPYDGLRGVSRGDYRKKKYGPERQRC >Ma05_p20020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:30970618:30977249:-1 gene:Ma05_g20020 transcript:Ma05_t20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNMISITRIKIFDHLSISLGAVLYIKKEFKLESEPTIEGLIVAMSLIGATIITTFSGAVSDLVGRRPLLIISSVLYFVSGLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPVYISETAPSEIRGLLNKLPQFSGSGGMFLSYCMVFGMSLMANPAWRVMLGVLSIPSLLYFLLTIFCLPESPRWLVSKGKMLEAKLVLQRLHGREDVSGEMALLVEGLGLGGETSIEEYIIGPANELPDDQGATADTEQITFMEMQSSVPLMDPLVTLFGSVHEKLPEMGSMRSTMFPNFGSMFSVAEQQLKIDQWDEESLQQGSEDYAADAGGGESDDNAVGSMGIGGGWQLAWKWSERESEDGKKEEGFKRIYLHQEAVPESHRGSRVLLQGAMVHPSETAGKGPRWSDLFEPGVRRALFVGIGIQILQQFSGINGVLYYTPQILEQAGVEVLLSNIGISSDSASILISAVTTLLMLPSIGVAMRLMDISGRRFLMLSTIPILIASLVVLVVANLVELGNVVHAVLSTVSVIVYFCCFVMGFGPIPNILCSEIFPTRVRGVCIAICALIFWIGDIIVTYTLPVPETKGMPLEVIAELFAVGTKQAAPQN >Ma07_p21730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:29796267:29800161:1 gene:Ma07_g21730 transcript:Ma07_t21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVDMALGKMSEYTFREFTLILNVGDEVEVSRSTLRLLLSFLKDVDQKSRYEHSELIQEWVSQIRSLAYDIEDVVDEYTLRVGRLRRKCSLKCIANLPTRILARYQLAKSLQHINRNLQETSKHASELGIQGIPSSSLSPIKDTNLTLRISISFFTYDNNTLYKYRDDVADEVFGFDHDIQVITDQLRDLQVTRRAVISIVGMGGSGKTTLAKKVYNSQAVKDHFQCRAWIVVSQSYTATALLTNIMKQTMNIDNSQIREMDEAEMKNKIREHLKQTRYLVVMDDLWKASDWETIKRAFPEEFTASRVLLTTRKMDVAETADPDSPPHHLKLLESEESWNLFCKNAFSNACCPPHLQHFQDKIIQKCGGLPLAIVVLAGLLRSKHGAYEWSQTLERISHAPNKTDDQTYKILALSYNDLPHHLKSCFLYFAAFPEDDDIDADRLMRLWIAEGFVGSDQEGQTMEDVAEMYLIELINRCMIQVGIRNKMGGVGYVRIHDLLLDLARYEARKLNFCRSISDKGDSTDLRRLSITDDEGVLQYTSLGLSMPKLRSLLFLLTDDVDMPSKSMIHGFKFLRVLDLQFVSIRSLPSEIGDLILLRYLALSLPDVKVMPSSIGNLCHLQTFILVGDEFKIPDSFWKIQTLRHFMVDSPIEPKAGCCLKDMHTLLQVQSGEWVRDGSLEKMRILRRLELYKISSSDSKGLDNALGRLNRLVWLEMEGEALPANILCSSNHPHLRYLQLRGPLERLHMDNIHYDAPFLRNLAILNLDGTRLESDDVSSKLATLPNLERLYLVDEAVVGSVLVFPKGGFPRLRYLSLGTLQDLEEWRVEEGAMPCLREVRLWYCSNMRMLPEGLRGLTQLKLFDLNGMPVIKRRIEKDTGEDYYKIQHVPSIKMPD >Ma08_p01560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:1339580:1340896:1 gene:Ma08_g01560 transcript:Ma08_t01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVPVAIGRGRKVVRIHCTDADATGSSGDENEAAMRRVKRHVQEIGFEVAAAKAQVDRRPLAAVSGSCCGKRFRGVRRRPWGRWAAEIRAPSRRKRVWLGTFDTAEEAAAAYDAAAIRLRGDNAETIFPAGTAAAAGNRDLSSVGDAAASDNPFSSPSSVLCNGMDKTPFDVLGHGDVDAFGLSVDPPLHTRELYPPKWPCGDTGDEFAEFAAEDFLLPAAPSSLSNADTALALSQSKREHMARVNGKSCYVRVSRVLSPKVVLRTCKPPYCGMRGTI >Ma01_p20900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19756641:19765482:1 gene:Ma01_g20900 transcript:Ma01_t20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDSEAPKNNASGSDNNLDSTSRYVFTWNDTLKILAHQASVYGVAAGYCISASLLSIINKWAVMEFPYPGALTALQYLTSVLGVLLCGWLKLVEHDSLNLKTMWRFLPAAFMFYVSIFTNSELLLHANVDTFIVFRSVVPIFVAVGETLFLEQPWPSLKTWASLATIFGGSVLYVLTDYQFTVTAYAWAAAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLVMGELNQMKSAVSDGTNRFSIGVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLMIWDKHSTFVGTVGLLICMLGGVLYQQSTTKPKKAETEAKAAVTDDEEQQRLLELQATAEKDSAAKHAMAVNPK >Ma07_p07270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5320427:5324636:-1 gene:Ma07_g07270 transcript:Ma07_t07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAEISSSSPSYKCSQLIAKRFVRSALPLNFRMVGVKSGTWVCPLICLLYLLAFLQVEGDNVPMTLLKGAVAEGAVCLDGSPAAYNLAPGSGSGANNWLLFVEGGGWCSTVNDCVVRKGNFRGSSNHMPPVSFSGMLGGVQQRNPDFYNWNRVKIRYCDGASFTGDIEKVDPATGLYFRGARVWRAIMKDLLARGMNKAQKALLGGCSAGGLTTILHCDSFRSLLPASATVKCFSDAGYFIDAKDISGADSIQSFYSDVVNLHGSANNLPSSCTSRLPANKCFFPLNVVATMKTPLFILNAAYDQWQIKNILVPPSADPNNAWGDCKLDIKKCSSDQLQTLQGFRTTFLNALPGAGSSATGLFILSCHTHCQSGDADTWFAADSPRIDNTPIGKAVGDWYFGRSSAVRKIDCPYPCNSSCRKVAKNSMED >Ma07_p11430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8484608:8486916:1 gene:Ma07_g11430 transcript:Ma07_t11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MMIASKPIHLRGGPSCSMMSQIKMVYFMLACAVSQLKLSRNANMEKLRNGYLFPEIGMRQQEHLEKYPDAKIISLGIGDTTEPIPNIITSAMAEYSHALSTREGYRGYGAEQGNKTLRKTIAATMYGDMGIEDTEVFISDGAQCDISRVQLLLGSDVTIAVQDPTFPAYVDSGIIMGQTGDYVAGSSKYGGIEYMKCGPENLFFPDFSRIPRTDVIFFCSPNNPTGHAASREQLVQLVETAHRNGSLIVYDSAYAAYISDDSPRSIYEIPGAKEVAIEISSFSKSAGFTGVRLGWTVVPHELSYSDGFPVHKDFDRIICTCFNGASSIAQIGGLACLSVEGRKAMSKVIDVYKQNARTLAETFGSMGLEAYGGSNSPYVWVRFPGRRSWDVFTEILEKTHVITVPGCGFGPGGEGFIRVSSFNSEECVREACHRLTRLLGRDVLLHIL >Ma05_p14300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10399988:10423735:-1 gene:Ma05_g14300 transcript:Ma05_t14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMPSRLSGSSASVSNHLDGLSGGEDGAGAGDAASQRTEPEVAAPAGANLGVRASGATATGMAYLPHTVVLSDFRHEGFEDCAAVGPSDNGLVSKWRPKDRMKTGCVALVLCLNIGVDPPDVIKISPCARMECWMDPYSMAAPKALETIGKALQVQYERWQPRARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTSNGEIWVFNKSYTQYIPLPISELDSWLKSPSIYVFDCSAAGMIISAFLKRQDSNSSGASVSSLKDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCSRSLFHGSLTHSLIDQIPGRQNDRKTLLGELNWIFIAVTDTIAWNVLPHDLFQSLFRQDLLVASLFRNFLLAERIMRAANCSPVSYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGAWAVDLALSVGIFPYVLKLLQTTAMELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLDSMDAYPEQRAMAAFVLAVVVDGHRRGQEACIQANLIHVCLKHLQLANPCDGQTEPLLLQWLCLCLGKLWEDFPEAQIIGMQADGPATIAPLLSEPQPEVRAAAAFALGTLIDVGSVSFGDGHGGDEDFDDDEKIKSELNIVRNLLQASGDGSPLVRAEVAVALARFAFGHNKHLKSIAAEYLKPQSNSLLSSLPSLANVNNFSGYCPSQLMQVGSTISSRIGPVLRSSSDSTAAGRDGRISTSSPIASTGMMHGSPQSDDSSHHSDSGILIKETTTNGIINCSRSRTLDSALYSQFILAMCNIAKDPSPRVANLGRRTLSIIGIELVVARTARYGGGGIHQGDSSAPSQYSNLSGLARSSSWFDFNAGHLSMTFRTPPVSPPKQNYLTGLRRVCSLEFRPHQLNSPDTGLADPLIGAVGSSESSERSLLPQSIVYNWSCVHFSRPLLAGTDDSEETVARREERERMALDGIAKCQHSSNCKLGNQIASWDTKYETGTKAALLLPFSPFVVAADEKEQIRVWNYEEATLLNCFDNRESSDRGISKLCLVNELDDSLLLVASSDGNVCFWKNYTMKGKQKLVTAFSAMQGHRAAVRGTNVVVDWQQQSGYLYASGEISSILVWDMDKEQLVSSIPSSSDSSISALSASQVHWGHLAAGFVDGSVRIFDIRAPEMPVCTARPHTQRVERVVGIGFQPGIDPTKIVSASQAGDIQFLDIRNQTEPYLTIDAHRGSLTALAIHRHAPIIASGSAKQIVKVFSLRGEQLSIIRYYPTFMAQRIGSVSCLTFHPYKVLLAAGAADACVSIYADDSYQAR >Ma04_p08720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6209348:6210355:-1 gene:Ma04_g08720 transcript:Ma04_t08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFRSRSYNDGRMAAVPPPSSLYDYRSNSTSSYAYGGYGSKGIKEKEAAAPSSSSKKGGWVLGDPDFQRRKRVASYKAYAVEGRVKGSVRRGFRWLKDKYTQIVYGWW >Ma06_p28220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:29948478:29954667:1 gene:Ma06_g28220 transcript:Ma06_t28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANPFDLLGDDDNDDPSQLIAAQVRKMVVKKPAAPAAAASPATARLPTKPVPPAQAVREARETRNNATPARGGAGRGGPGRGRGGRSGGMGPNRDFGNDNGFSRGYGGAGGGGGEDGDADRPQERERPPRQPFSGGRRGGYGGRGGYGNDESGVDSEWPPRRSYERRSGTGRGYEMKRQGAGRGNWGTVADETHIQEKDEILNSDDNKLATEKQQEQEMSPLSEEIKDNKEGAINESEEKEEDKEMTLEEYEKIKEEKRKALLAMKSEERKVEIDKELQSMQQLSTKKENDPIFVKLGSDKDSGKKKENIDRDERSKKSLSINEFLKPAEGGRYYGPSGRGRGRGRGDRGPFRGSYGSGVSSFMAAAPSIEDPGQFPTLGGK >Ma04_p05830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4356214:4357769:-1 gene:Ma04_g05830 transcript:Ma04_t05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEAKAVIPESVLKKRKREEQWALAKKQEADAKKKKARENRKVIFARAEQYAKEYDSQEKELIRLKREARMKGGFYVSPEAKLLFIIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATMNMLQRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIPLTDNSIIEQGLGKYGIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRRHYVEGGDAGNREDYINELIRRMN >Ma06_p26970.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28991495:28993881:1 gene:Ma06_g26970 transcript:Ma06_t26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATEKKDPKDRERVEDVLGVLKKQAPLTVKQEKFCSYACVERFLRAEGDSVKKVAKRLRAVLSWRESIGTDHLIADEFYGELADGMAYVAGHDDEARPVMVFRIKQDYLKLRSQKSFLRLLVFTLEVAVSSMARNVDQFVLLFDASYFRSPKSFLQLFMGTLKIISDYYPGRLHKAFVIDPPSLFSCLWKGVRPFVELSAVTAVVSSLDFEDSLEDGSFTSLLRTASFRFDPAAAKVGSSASSRFSFTVSHLNSLKPWYLSTATTTRSAVVPTASPSLIGASPLNARSFSFASSAPQSTPWVGIAGAAISRSIPSTPSSAPPCRPRQPQPRTPMPSFFQSPATLFSFKKEGRLSRVERERESFLPFLRFYRRPYDEAVYRANMRPPLSGLTSIVSHHDQLKQRRNKIQSPALTASTKQQRPPPH >Ma04_p21580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24110477:24111528:-1 gene:Ma04_g21580 transcript:Ma04_t21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVLALVFVFDVIAFALAVAAEQRRSTAKVVTDSENTYNYCVYDSDIATGYGVGALLFLLLSQAVLMVVSKCFCFGRSLGPGGPRACALLFFLLSWLTFVIAEACLLAGSVRNAHHTRYRNMFFDGNLSCETVRKGVFAAGAAFVFFTAILSELYYVTYAKAAGDSIIPSYGGSVGMSSYH >Ma10_p14720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27063260:27069484:1 gene:Ma10_g14720 transcript:Ma10_t14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAAPPAKTEEKRSWADEESDEEKEAAAPPSQAADEAQPSELKKIESLSISDGKDSGERLLDDPDDSEIKAVTSGDTVYTSAVTFEDLKLSDELIKGLYVEMGFSKPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPKRKIPQAICICPTRELAQQNHAVLLKMGKYTGITSMCAIPSDSANYIPINKRPPVTEQVVIGTPGTIKKWTSAKKLSTRDMKILVFDEADHMLAEDGFRDDSERIMKEIQRSSGGCQVLLFSATFNEAVKAFVSRVIKDGNQIFVKKEELTLEKVKQYKVQCPDELSKVEVIKDKIFEFGQKVGQTIIFVRTRNSARMLHQSLTEEGYECTSVQGALKQEDRDQIIKEFKDGLTKVLITTDLLARGFDQRQVNLVINYDLPIKHDNPSEPDCELYLHRVGRTGRFGSKGAVFNFLCTDRDRSVMEKIERHFQHHIPEIPNWRSEEDFESALKDAGLL >Ma10_p14720.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27063258:27069484:1 gene:Ma10_g14720 transcript:Ma10_t14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAAPPAKTEEKRSWADEESDEEKEAAAPPSQAADEAQPSELKKIESLSISDGKDSGERLLDDPDDSEIKAVTSGDTVYTSAVTFEDLKLSDELIKGLYVEMGFSKPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPKRKIPQAICICPTRELAQQNHAVLLKMGKYTGITSMCAIPSDSANYIPINKRPPVTEQVVIGTPGTIKKWTSAKKLSTRDMKILVFDEADHMLAEDGFRDDSERIMKEIQRSSGGCQVLLFSATFNEAVKAFVSRVIKDGNQIFVKKEELTLEKVKQYKVQCPDELSKVEVIKDKIFEFGQKVGQTIIFVRTRNSARMLHQSLTEEGYECTSVQGALKQEDRDQIIKEFKDGLTKVLITTDLLARGFDQRQVLSSTSCALIGTDQSWRKSSVISSIIFLRFLTGGVRKILSLL >Ma10_p06660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20100533:20101134:-1 gene:Ma10_g06660 transcript:Ma10_t06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQAMIESHREGAEVHRGADLCKKQSMDLLAELHLPKALLPLAAVEEVGYNRATGFVWLRQNKSTTHVFKAIGKTVSYASEVTAFVEDRRMKRMTGVKSRELLIWVSITDMYIDDPESKRITFKTPAGIGRSFPVSAFEADADKEEERDGGGGGK >Ma04_p13300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:10054525:10056321:1 gene:Ma04_g13300 transcript:Ma04_t13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVYSLGHAMQLHALIIKTRNSHHHYSSPGHSFATNAVVRAYARSERPRDALLFFLHLQQHAPLPDHFTVTFLLASCARRCAASEGRQLHALAHKCGFKADRHVQNSLIHMYCSCGQVDQAAKVFDGMANRDVVSWTSMIGGAVEVNRPLYALRLFDSMQSDGVTPNDVTVMSVLGACAEVGSLSVGRRVHQMTVQARLDSKPKVATSLIDMYAKCGCIVCAERLFEQMGNKDVYAWTAMISGLASHGRCDDALSLFHQMVDVGVQPNERTVTAALCACRSAGWVTEGYRIYNYMHRYGLRPKIQHYGCMVDLLARAGHLDEAEGFLRRMPIEPDSVLWRTLIWASRLHGEHDRAERLMTEWQQLEVNTTDSGSYVLIGNIYASMGDWGKKARIRESMASKNINKLPGYSRIEVDGVLHEFEAGDSGHPEAHKIYEKINEMMEKIKSEGYHPKASEVLLDMEDNKKVLQLHHHSERLAVAFGLLSTNPGEKILVVKNLRSCEDCHTVMKLVSKVYDREITVRDRIRFHHFINGSCSCRDFW >Ma06_p20410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14521199:14521619:1 gene:Ma06_g20410 transcript:Ma06_t20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSVMAHGKVAPAVQASFLAGIWRRRWIACGYVG >Ma05_p22790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34580047:34586622:-1 gene:Ma05_g22790 transcript:Ma05_t22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELQPLEAAAAPAPRKRKPKHKKSKNPSKRVKQKASPMATAKPRQPSKKMKKLFRKRAREYHSDEEDDGDVEREEEPSSGDEEDFDVRDDGSGGSECDDEEDERGAQHGITRFVGGCRAFRVAFMKIMKKHLPDDPLGPILSAHKKLVAEKLAEDDAEHKKKGETKKEKQLAAENGHIKPANFLDAKEKFLIGVATKGVVKLFNAVSKAQNAQIGLNPSKSKDTKVLAKRRKQAFFSELQKTNTQVSNSRKEEKSNVTGWAPLRDSYMLTSSKLKDWDKMAEPAAVVGQEHESSESSDE >Ma07_p24500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31857819:31858801:-1 gene:Ma07_g24500 transcript:Ma07_t24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNSACGNPNPNPTLGPSKLMLAFRFLRALSLVHRTTPASSRTCRGRRIERAAYASMAYSAGTGRGWSRAVLRRLHHRARRRAVAPRRLSTTAGNRAEALRQLVPGGAGMDYCTLLEETADYIKCLTMQVELMQGIVDSSSSYGP >Ma08_p23900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:37262975:37267979:-1 gene:Ma08_g23900 transcript:Ma08_t23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGLMWEIMGPGIAGAVFGAGWWFWVDAVVCSAVKISFLHYLPGIFASLAALMFNCVDKNEIGYDYYSPYGETEWRVKLWLFVAYVVSFVSLAGAVGLLVQDALTEKSPSVWTGVAGVLQCVFVLISGLIYWTCHSED >Ma05_p30600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40924708:40945003:1 gene:Ma05_g30600 transcript:Ma05_t30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRFIKKVLKQHTEENEHTLSGLAAQVTIHYGIPYTASILAFDPIQRLLAIGTLDGRIKVIGGDNIEGLLICPKKVPYKYLEFLHNQGFLVTITNENEVQVWNLELRQLVHCFQWEANVTAFSVIYGTYLMYIGDENGLLSVLKYDEENGELLKLPYQLPANAVAEAAGISIPDIQSIVGILPQPGTSSTRVLVAYEKGLLILWDIHKGQAVTTRGHTNLQLKGAEGPDSLSETSDQLQSNAANHDGDNEICCLCWVSTNGSIAAVGYMNGDILLWDFSYSFSVKGQQPQISSSNVIKLQLASGDRRLPVIVLHWSANCKGNIDKGGQLFIYGGDEIGSEEVLAVLTLEWSSGVETVKCISRVDLNLNGSFADMILIPDVGASDKNSTAALFVLTNPGQINVYDGAVLSVLKSEGNHSAQAENFPLVVPTIDPCMTVAKLCLLSKGSSASKVLFKKFYARTTRSSTLSAGTKWPLTGGVPPEMSYEDYEVAKLFIAGYQDGSLRIWDATYPILGLMFVLEGKLPVIQVDGAFAAVSAVALCSLSMTLAVGDERGLVRIYKLQENTNGSSFQFVTETNHEVHIIHHGQGFHCIAAFSILNSPVRDIHFTNSGSHIAVGFESGQVLMLDMTSLSVLFRTDCTSGTNSAVISASMPSISQCILQVSSPEQLSPCITNPTEVVLTLTKDSQIAIMDSRTGAIIGSRTVQPKKESVVISMHVIEGSVSASKAVAEPEKCSQNLPDEPSSQSGPERSNNREPRETKELQGCRPEDAPYSCETLIDPLLVLCFADSLCLYPLKSVIEGNSNFFHKVNLAQRICWSTILKVDAKAPELILLFETGTIEIRCLPGLEIKAESSLMSILRWSFKTNMDKTMSSSDDGLIALVNGCEVAFISFLDDQSRFAELMPCLHDKVLASATAAALNHSIDLKKTQMTSPGIFGGIIRGLKGGRTDINDIVNDGIPSYSSTKQLEQLFSRFPFSDQSTTIIRNEEVGELSIDDIEIDDPLPAPSTSTTVTKSKNKDEEKEREKLFQGSTNDSKPRMRTPQEILTQYRFAGDASAAAAHAREKLVQRQEKLERISRHTEELQNNAESFAAMANELVKTMENKKWWKI >Ma01_p09950.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7129887:7138168:1 gene:Ma01_g09950 transcript:Ma01_t09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64150) UniProtKB/Swiss-Prot;Acc:Q94AX5] METRDDDNSFTETTSRSCTTHSCQQSKSPLSDLWKGIELTFLVGLLTFQVSQQAIAGTDFSGVQPTAYIGDLGDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSGLVVFLGTFGALAAMSVVSVVLGRTFHYIDGVVPFRFGETDFPIDDFAAVCLLVYFGVTTLLEAASDDGQQTTDEQKEAELAVSEFSGDGAGIMAAASTVLSTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGATAGHALATLLAVLGGSLLGTFVSEKVISYVGGVLFLVFAAVTLIEIVN >Ma01_p09950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7129887:7138168:1 gene:Ma01_g09950 transcript:Ma01_t09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64150) UniProtKB/Swiss-Prot;Acc:Q94AX5] METRDDDNSFTETTSRSCTTHSCQQSKSPLSDLWKGIELTFLVGLLTFQVSQQAIAGTDFSGVQPTAYIGDLGDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSGLVVFLGTFGALAAMSVVSVVLGRTFHYIDGVVPFRFGETDFPIDDFAAVCLLVYFGVTTLLEAASDDGQQTTDEQKEAELAVSEFSGDGAGIMAAASTVLSTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGATAGHALATLLAVLGGSLLGTFVSEKVKQIFMNCCGPHQTQMLKY >Ma01_p14190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10371181:10373744:-1 gene:Ma01_g14190 transcript:Ma01_t14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDKTVARNMSRIEARKLSVALLAGCCLVILTYFISMSETTVDQQPSEAFGVGADEEVEEQMLSLQKPEREKKTEDAHETSAEERVHDDSSSTITHATAKEEPTETNKPNEVLIAAERSDGERIQMMKPPAEPICDFSNPRTEFCEMKGDVRIHGKPSSVVFVSPQQQSNEWKIMPYVRKQMENIEKVSVRTASSPEGVPSCTINQTVPAIVFTLGGFIGNYYHDFTDTLLPLFMTARQFDGEVQFLVTNVQMWWFGKYQQIIKRLTRYEFVDLDNSDEVLCHPHVMVGLRFHNDLMIDPARAPNGYSMTDFTKFVRSAYSLEREYAISLREQPDRKPKLLLVTRKGSRRFTNVPEIVAMAKGLNYEVVDEDASFGDVAGFVGMVNSCDVIMGVHGAGLTNFVFLPMNAVLVQIVPCCELEAMATHTFGFPSMAARLHYLEYNITVDESTLLQLYPRDHAVFTDPQSIHKLGWMDMEKIYLRKQDVKLDVNRFRPVLQKAMDLLRR >Ma06_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4060736:4062867:1 gene:Ma06_g05460 transcript:Ma06_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFWTSMAFLMGVFAFFQSILHAVFPPELRFAVLKLFHRVFLCFSTYCYFDITEIDGVNTNELYHAVQLYLSRSASVAASRLSLSRGLNSSAFTFGLTSNDCLVDTFCGATATWEHVVTQRQSQTFSWRPLPGEKRSFTLRIKKKDKPLILPAYLDHIMETATDLRRRNQDRLLYTNSRGGSIESRVVPWESVPFKHPSTFDTLAMDSSRKELIMADLKDFAEGKAFYEKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDVYDLELTEVHTNSELRKLLMKTTPKSIIVIEDIDCSINLTNRSSKKLASPYDLRPTGGCGAEDVHAAAKTMTLSGLLNFTDGLWSCCGSERIFVFTTNHVEELDSALLRSGRMDMHILMSYCSFPALKILMKNYLGLEDGELGGDTQENCGLLRELEEVIDDAEITPADVSEILIKNRRREKREAMAELLEALKARAEKRKKERGGSSATARKKSLDDEMEEEEEQEKRALESPKESGEEMDGCNGKEEKREED >Ma08_p25500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38315337:38316732:-1 gene:Ma08_g25500 transcript:Ma08_t25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKEEDRLPPGFRFHPTDEELIAHYLTNKMTEPNFGARAIAEVDINKCEPWDLPGRAKMGEKEWYFFTLRDLKYPTGVRTNRATEAGYWKTTGKDKEIFGSSSSSTSELVGLKKTLVFYKGRAPRGEKTNWVMHEYRIHSKSPFRGNNKDEWVVCRVFMKSSGGKRYPSHQPRATTNTMMHSLIQNDLFHLGAARSYLSNAELMELSRFARGGHGANLPIQPQLNFHGGGFPLAGLNLNLVAPTPAPQGVAELPSSVLANGVMGGADGGFGTDVSSMITGLHNVDSCVELIDEGYWPSY >Ma10_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:19397317:19400821:-1 gene:Ma10_g06510 transcript:Ma10_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRGVSYKKAHREPKRRRDASDDEEDKEYLFGAEDEDVEEEGFSESLDADATEEEFEFGESTCGSEEEREIDYDDEEEDQDEAVAVEEEVESPRSMPWNGRAQRSGALVDDEEEETKDWFRSCRRNLVALDYAEEEEDDDDHDEDFLPDDGGDDSHDEEEVSLASGKKGDLKRRTKKRKSRVFKQKRSEKRIRRRKSDDDDDDSDFPYSKEGLLVKNRIAFHKERNKLKNVKRRKRSLVISESSSDSDYMIFELDDLVVGGAINPPKPINTTEDEENGKEKEGDELQKQICGICLAEERTRTIQGELDCCAHFFCFKCIMEWSKVESRCPVCKRRFSTITKSSRSDPGFGMRKVVSIQVRNQVYQPSEEEIRQMLDPYGSVVCTECQQDGDDGLMLLCDICDSCAHTYCVGLGREVPEGSWYCDCCRSATNGSSTSQNQGTVTDERARNGECLGLGTETEVAEHIHATSYFQQSISLPPLVAVQRIDLNAPPTLEDDHGATLQDAGGVASTLSGRRAIHHRICILLSNSRRRPMFSPIGLLTDKVESDVLTQKTEESGHHLHSAG >Ma07_p14370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10798956:10799126:1 gene:Ma07_g14370 transcript:Ma07_t14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNLGQLGNGSYGSSRRGKKSSSDERKQPRRGLGVAQLRRAGCKMRCYEDQVAK >Ma07_p03800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:2904263:2904574:1 gene:Ma07_g03800 transcript:Ma07_t03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMRLASQRAVTVFSFSSCCMCYSVKSLFSELGVDAAIHELDEDPSGAEMERALVGLLGRKPPVPAIFIGGRLVGSTDRIMQLHLGGNLVPLLRDAGALWL >Ma03_p20200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:25367208:25371732:-1 gene:Ma03_g20200 transcript:Ma03_t20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSAQLSKAAFQKSGDLRCLSAISIYEYDKNGVYHTRSSISSEFRPPISVLDEPKPRGDLLRRYHLGEELGRGEFGVTRRCTDAATGEALACKSIAKQRLRSSVDVEDVRREVQIMRGLPEHPNVVRLRDVFEDHEAVHLVMEICEGGELFDQIVGRGHYTERAAATVMRTIMEVVQHCHTNGVIHRDLKPENFLFADSSETSPLKVIDFGLSVDFKPGSGEIFSEIVGSPYYMAPEILKRYYGQEVDIWSAGVILYILLCGVPPFWAETDEGIAKAIIRSVIDFEREPWPKISENAKDLVRCMLDPNPHTRFTAQKVLEHPWLQNTSTVPNIPLGEAVRSRLKQYSVMNKFKKKAMLVVTEHLPVEEIAAIKQMFHTMDTEQNGTLTLEALKKGLDLIGYRIQDLDVQMLMEAADIDGNGTVNCEEFVTVTVHLKRIDSEEHLPKAFNYFDKNQSGYIEIDELREAIGGLELSEQVILDIISDVDKDKDGRISYEEFEMMMKAGTDWRNGSRQYSRAMFNSLSHKMFKDGSLKEHT >Ma03_p15020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14796449:14800735:1 gene:Ma03_g15020 transcript:Ma03_t15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNRKGGTAPTGCFKCGRPGHWSRDCPSSSGNPNPSSGLNKATAFSSRSSQQPPPEKPSAAAATSAKKAPRTRPKLTPDLLLSDDGLGYVLRHFPRAFKYHGRGHEVADLGNLIGLYAQWHSHLIPYYSFDQFVHKVEQVGASKRVRRCISELNERVARGGDPTKLHEPPVEQVAPETEQDDTVVEDPVADAVDPFLESHEADHIQEMFEEIFHKATEEPCESLQQEQTHASEVNRQLCSTKEPVSQNQEAASCVSTPSKSRITEEQRARMEANRLRALERAAARSRSAAS >Ma04_p09560.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6767965:6778780:-1 gene:Ma04_g09560 transcript:Ma04_t09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYDWIFTMQLVSNDCQEISDTEPILPKLTAAGSSQELTSSSEIRPVLSDCDQNVDDDIDDERRNLVNQDPPQCRICLDIGGDDLIAPCYCRGTQKYVHRSCLDNWRSTKEGFAFAHCTECRAVFLLRANVPPDRWWLRLKFQLLVARDHVLIFVIVQLVVAFLGMLVYRFYGEELREMFGYEEHPYGFYTMAILAVILVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVENRDENKNLPELDPSHVTELRMLGLY >Ma04_p09560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6767965:6776025:-1 gene:Ma04_g09560 transcript:Ma04_t09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRCLPVMKSRPFTASIFLPDELVSNDCQEISDTEPILPKLTAAGSSQELTSSSEIRPVLSDCDQNVDDDIDDERRNLVNQDPPQCRICLDIGGDDLIAPCYCRGTQKYVHRSCLDNWRSTKEGFAFAHCTECRAVFLLRANVPPDRWWLRLKFQLLVARDHVLIFVIVQLVVAFLGMLVYRFYGEELREMFGYEEHPYGFYTMAILAVILVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVENRDENKNLPELDPSHVTELRMLGLY >Ma08_p25250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38176236:38190781:-1 gene:Ma08_g25250 transcript:Ma08_t25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAQKLSYREDVGTVGMSEIFDPPDLLQQKIEELALMIKKSKHLVAFTGAGISTSSGIPDFRGPKGVWTLQRAGMGLPEASLPFHRAMPSLTHMALVELERADILKFVISQNVDSLHLRSGIPREKLAELHGNSFREVCPACGIEYIRDFEVETIGMKETSRRCSDTKCGAKLKDSVLDWEDALPPKEMNSAEKHCRTADLVLCLGTSLQITPACNLPLKCIRNGGKIVIVNLQPTPKDKKASLVIHGLVDKVIAGVMNFLSLCIPPYIRIDFAQLSLSHSPKKNTYVKWTLRITSIHGPRAPLAFLKSVEISFPERPDLKAAFLDKQPFHLKRCRH >Ma08_p25250.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38175274:38190781:-1 gene:Ma08_g25250 transcript:Ma08_t25250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAQKLSYREDVGTVGMSEIFDPPDLLQQKIEELALMIKKSKHLVAFTGAGISTSSGIPDFRGPKGVWTLQRAGMGLPEASLPFHRAMPSLTHMALVELERADILKFVISQNVDSLHLRSGIPREKLAELHGNSFREVCPACGIEYIRDFEVETIGMKETSRRCSDTKCGAKLKDSVLDWEDALPPKEMNSAEKHCRTADLVLCLGTSLQITPACNLPLKCIRNGGKIVIVNLQPTPKDKKASLVIHGLVDKVIAGVMNFLSLCIPPYIRIDFAQLSLSHSPKKNTYVKWTLRITSIHGPRAPLAFLKSVEISFPERPDLKAAFLDKQPFHLKRETARKRPFKMLLTFHFCDGCSCPSTSIEWPIDFQVTKGSFVQDKDEVLRSLKNAAGEESHGGQYAALEAKFLPRSEVTTIAIATSICRFDGLADVNRRLDMISNNCFPLIKRCNEGTNGNTTSEKRFKRT >Ma08_p25250.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38175648:38190781:-1 gene:Ma08_g25250 transcript:Ma08_t25250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAQKLSYREDVGTVGMSEIFDPPDLLQQKIEELALMIKKSKHLVAFTGAGISTSSGIPDFRGPKGVWTLQRAGMGLPEASLPFHRAMPSLTHMALVELERADILKFVISQNVDSLHLRSGIPREKLAELHGNSFREVCPACGIEYIRDFEVETIGMKETSRRCSDTKCGAKLKDSVLDWEDALPPKEMNSAEKHCRTADLVLCLGTSLQITPACNLPLKCIRNGGKIVIVNLQPTPKDKKASLVIHGLVDKVIAGVMNFLSLCIPPYIRIDFAQLSLSHSPKKNTYVKWTLRITSIHGPRAPLAFLKSVEGNSKKKALQDVVNFSLL >Ma08_p03260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:2415333:2422401:1 gene:Ma08_g03260 transcript:Ma08_t03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIFLLLAAAVVASAVPDLYMVTSGEGHSEQVSGRSEEEVGLLYLEWVAKHRPSRNALAEEASRFEVFKDNLRYIDAHNAAADRGEHAFRLGLNRFADLTNEEYRAKYLGVRAAASRRRRASSEGSNRYRLRDGDDLPDSIDWREKGAVVGVKDQGSCGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTYYNQGCNGGLMDYAFEFIINNGGIDTDEDYPYKARDGTCDTYRKNAHVVAIDAYEDVPVNDEKSLQKAVANQPVSVAIEAGGRTFQLYDTGIFTGSCGTALDHGVTAVGYGSKNGQDYWLVKNSWGEDWGEAGYIRMERNIASATGKCGIAMEASYPIKKGQNPPNPGPSPPSPVKPPTVCDNYYTCPESTTCCCLT >Ma06_p15750.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10660853:10664782:-1 gene:Ma06_g15750 transcript:Ma06_t15750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQILAFLAALLSSLPHCRAAEAVATCAGIVPMRWRREVVSITEFGAVGDGKTLNTWSFHKAIYRIQHLRKRGGTLLYIPPGVWLTGSFDLTSHMTLYLARGAVIKAAQDIWNWPLVDPLPSYGRGRELPGSRYRSFILGNGIRDVIITGENGTIDGQGDVWWNEWRQRTLLHTRPNLLELMNSRDVLVSNVVFLNSPFWNVHPVYCSNVVIKHVTVLAPSDSPNTDGIDPDSSSNVCIEDSFVATGDDLVAVKSGWDEYGIAYGRPSSGITVRRLTGSSPFSGIAIGSETSGGVENVLVENINLYDVGIGIHIKTNVGRGGYIRNITISDVNMNNVRKAIRIAGDVGDHPDENFDPNAVPTVDGVTIKNVWGEEVEQPGSIEGIKNSPFTRVCLSNVKLWGVGASPWKCTDVTGAALGVNPGPCPQLTSSFAMSYCSNDF >Ma06_p15750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10660853:10664781:-1 gene:Ma06_g15750 transcript:Ma06_t15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLQILAFLAALLSSLPHCRAAEAVATCAGIVPMRWRREVVSITEFGAVGDGKTLNTWSFHKAIYRIQHLRKRGGTLLYIPPGVWLTGSFDLTSHMTLYLARGAVIKAAQDIWNWPLVDPLPSYGRGRELPGSRYRSFILGNGIRDVIITGENGTIDGQGDVWWNEWRQRTLLHTRPNLLELMNSRDVLVSNVVFLNSPFWNVHPVYCSNVVIKHVTVLAPSDSPNTDGIDPDSSSNVCIEDSFVATGDDLVAVKSGWDEYGIAYGRPSSGITVRRLTGSSPFSGIAIGSETSGGVENVLVENINLYDVGIGIHIKTNVGRGGYIRNITISDVNMNNVRKAIRIAGDVGDHPDENFDPNAVPTVDGVTIKNVWGEEVEQPGSIEGIKNSPFTRVCLSNVKLWGVGASPWKCTDVTGAALGVNPGPCPQLTSSFAMSYCSNDF >Ma01_p22500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25226734:25237497:-1 gene:Ma01_g22500 transcript:Ma01_t22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDERKRKRTRREKGYGQRKLLPGENVEVLCCEEGLRGSWHAGTVISCQGCSRLIEYRDLLCEDEGSNLQEMILVSAAVEGQARKNPKNYRGLIRPLPPYYDIQIFEMRYGLCVDALVDDAWWEGVVFDHEEGSTKRLIFFPDQGDQQMVMVDHLRLTQDWNETYGHWKPRGGWLLLQVLQAFEEEDALPVSIREIWYDLSTMVSFREKIGLWMFGSLSVWEQLVSGLIRELLSVVHVLSEVSYDQPVDAPTYSGNDEIPSDDVPRQVGSLIQSDTAGILSGPVRIMDKVQCSQLLTPDDCFVQGNGNSSEWNHDEQNNVLETSDVEDVQNDKSGIPSSFVYHEEVVFAHGSHKKRRCRDMQHQAKASIPTVAQKFKKSKFDSINEVSPDGNHRRDMVKAFEPCKVTEPRDMKYEEANNNLQSDALCIKVISPFSHQNNKEEDTGNRTGQTSWQSLYAEAERCPEAVAFYAFRSADRDSQVKPLKVAEKVKKHLFALGWKIEYRRDRLLRVRFVSPEGKNYYNLRKACIDVLKRELEGDQSCKQGRKSFGNCSGFGKPDSKTGNVCPELASLVQNFLEHPSIMGTERTSDHSGHCFRQFQTNIGMKTERKLERLRPFSKLPDNSHSLLDSVRMKLHPDEFHESKQPDSSVSGKENLELIVSENVPTYKHIEPEYGPQAISNYKRYIESSREKGFEKLPNVDIELMKLNVQKHLLYMGWRFAERRRKLRFASPGGEIFHSLYTACEAYLEKEENMGKTYGSSLKGTNVSQNSWCASIGNKINDSKEVSLLCKNQCFSTSMDPDEFQKSDEVSNNGTENRSILVFSSPECGEGFGNSCLEKLKKSKTGIKISSLLPLKVVGCRRLLEHYCSQKSKKRKVQDLRSKGYEAGSIFLQPEQQLSERCQFVPVTSPTQKVVEATWSMLIENRIVLARQKVRYISKRDGHVLMEGHITHDGIKCRCCRKLHSLTGFEAHAGSDKCKPGANTFLLDGRSLLQCHLQMVYGKDLINFPHPRLKHVYAHSQSDSVCSVCQYGGTLMLCDHCPSAFHVGCVGLKDLPKGKWFCPSCRCGICASGAFSSADQFTAKTMLYCDQCERKYHVGCLRRRGTNLKHCPTGNWFCSKKCSEIFLHLRNLLGKSNPTTKEGFSWVLLRSKTETDANLNQIDFATVSRNCRKLHIAQKLLHECFVSIIEPRTQSDLLADLLMNKESELNRLNFWGFYTMLLVRGDEIISMATFRVFGEKIAEMPLVGTRAKYRRQGMCHIILDELEKLLSALGVERLCIPAVQTLIETWMSSFGFTKMSNYERLNLLEYTLLNFQDTIMCQKPLRTAPEVTDENKGKCHQHQDSPSKNLD >Ma09_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4862222:4874187:1 gene:Ma09_g07410 transcript:Ma09_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSDAFLRNDSFRSNSLRSESFSDEKDTGIVQEVGCLSIIVLGASGDLAKKKTFPALFHLFKQGFLQENDVHIFGYARSKLSDEDLRERICGYLGQAASTEPTEVLSRFLQLIQYVSGSYDSEGGFQLLNKQISEHEISKRSEPGTSRRLFYLALPPSVYPSVCKMIRRYCMNQSDLGGWTRIVVEKPFGKDLKTAEDLSSQLGELFDEKELYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLYPEHIRDEKVKVLQSVIPIKLEEVVLGQYEGYKDDPTVSDSSNTPTFATIVFHIYNERWEGVPFILKAGKALNSRKAEIRIQFKDVPGDIFESKRHGRNEFVIRLQPSEAMYMKLTVKKPGLEMSTIQSELDLSYGLRYQDANIPEAYERLILDTIRGDQQHFVRRDELRVAWEIFTPLLNSIEEGKLRPIMYKPGSRGPAEADELLAKVGYVQTHGYIWIPATL >Ma04_p03890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3006335:3007082:1 gene:Ma04_g03890 transcript:Ma04_t03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILSEILLSGCMINSTIRHRTHLVQSFSVVFLYWFYVFSELVLRSEHSGPVHSLI >Ma05_p29930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:40475875:40476019:-1 gene:Ma05_g29930 transcript:Ma05_t29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGRINYDEFAAMMRKGNPETNPKKRRDVFY >Ma02_p11380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20557583:20558102:1 gene:Ma02_g11380 transcript:Ma02_t11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTSLKGKGKAGKGSKGSEEGSSAAKCVKEWSTWAMKKAKVITHYGFIPLIIVIGMNSEPKPQLYQLLSPV >Ma03_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2638754:2642199:-1 gene:Ma03_g04040 transcript:Ma03_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITLDSKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHTNPNMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFTRTAAMIYKKIQDGVFDVSNESNGIKVGYGGIPGPSGGRDGSSSQAGGCCS >Ma10_p25240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33491631:33494787:-1 gene:Ma10_g25240 transcript:Ma10_t25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLPFRSNGYNFWTWKGRKIHYVEQGMGRPVVLIHGFGASAFHWRYNIPELAKKHKVYALDLLGFGWSEKAIIEYDATVWKDQVSDFLKEIVKEPAILVGNSLGGFAALMTAAESAQHVRGVVLLNSAGQFENPSEQPKSNEEETVLQKFVFNTLKEFIQRIILGFLFWQAKQPARVEKVLKSVYINASNVDNYLVESITKPAEDPNAGEVYYRLMTRFMSNQSKYTLNSVLSKLLCPLLLIWGDLDPWVEPAKAARIKEFYPNTTVVNLQAGHCPHDEVPELVNEALLNWLSSL >Ma06_p07110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5083028:5087609:1 gene:Ma06_g07110 transcript:Ma06_t07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSPKGSVSGSSLIDAKLEEHRVCGSKQCPGCGHKFDQRPDWVGLPAGVKFDPTDQELIEHLEAKVKEEDLRSHPLIDEFIPTIDGEDGICYTHPERLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQSECDLQKGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGELEEEKEGELVVSKIFYQTQPRQCNLSERSTAVAAAMEGIDQRRDSGSGSCSSKEAGVSGYSGMEMHQHMKPDNFSFAPFRESFNEVAMGEAPKARDEHAEHERRARPPPHYLAQEKQQQQRRQQRQGAPATAFHITRPMNPISTVISAPPLHHASVVLGDPFHVSRILFQADKSQQQQQQQAQRQQQKLEGGSASGLEELVLSCTSAGHRGETPIPHSQETEWQYSYWSSDNPDHHG >Ma07_p04640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3414223:3420234:-1 gene:Ma07_g04640 transcript:Ma07_t04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGEASSSSEPKGAKKDFSTAILERKKAANRLIVDEAINDDNSVVSLNPETMEKLQLFRGDTVLLKGKKRRDTICIALADDTCEEPKIRMNKVVRSNLRVRLGDVVSVHQCQDVKYGKRVHILPVDDTIEGITGNLFDVYLKSYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKARSHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVTNEHFKTALGTSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRCQIFKACLRKSPIAKDVDLMALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRKSENPEAMEEDDADEVAEIKAVHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFTDRADAGAGATGSDPFAASGAAADDDDDLYS >Ma05_p25650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37517873:37518436:1 gene:Ma05_g25650 transcript:Ma05_t25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRISKQISPEQLTLTVQRGLKAVLSLEQPKSSRSGLDKEHNRSRRRRDRSREREREMEGRNLSGFLIGCLGTALTLGAYSQTLVSSTQCIAIGLLILMFGLFVKEGFLSL >Ma06_p03630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2636252:2637906:1 gene:Ma06_g03630 transcript:Ma06_t03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPTTPISQTAHAKASREREREREREGMTTRTNEDQSRTS >Ma09_p05320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3436387:3442318:-1 gene:Ma09_g05320 transcript:Ma09_t05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARHERRWASDTVPRGSAISAGSSPGTSGKAPDESEEEFVEVTLDLQGDDTIVLRSVEPAFVADAGYLSDATESAASRSPSIVRSSSHRLRQFSQELKAEAVARARQLKEDLKAELKRFTWGHGPSRAGAAAASSSSSSAGIVGAVSPALDSALAARAARRQLAQLDRSRSGAKKALRGLRFISGNKANGVDAWNEVQSNFDKLARDGYLSRSDFAQCIGMRDSKEFALELFDALTRRRRLNAERIGKEELHEFWCQITDQSFDSRLEIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQRDTYLNYSQALSYTSQALSQNLAGLRKKGPIRKLSTKLGYYLEENWKRLWVMALWIGVMAGLFSWKFIQYRNRYAFQVMGYCITTAKGAAETLKFNMALILLPVCRNTITWLRSTRLARALPFDDNINFHKTIAAAIVVGVILHAGVHVTCDFPHLISASREKYAPLSPYFGKTKPTYLDLVRGLEGVTGIIMVVCMLVAFTLATHWFRRSLVRFPKPLDRLTGFNAFWYSHHLFVIVYVLLIVHGERLYLIHEWYRKTTWMYLAVPLLLYVGERSLRALRSGYYSVRLLKVAIYPGNVLTLQMSKPVAFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTRELRRVFAAACEPPVAGKSGLLRADEATKKSLPKLLIDGPYGAPAQDYKKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEENEALLDDCPPKPQNGERVDLATLMRASRRVTWTLRTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMIQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSRICSKHPYAKIGVFYCGAPVLAQELNKLCYEYNQKSSTRFEFHKEHF >Ma06_p01370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:1100277:1103895:1 gene:Ma06_g01370 transcript:Ma06_t01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKAIASPPSRLLLLLLLLFLCGSHAAQQPIRAVVVLIMENRSFDHMLGWMKSALGLPIDGLSGTECNRRSVADPTSPSICVSDDARYVDPDPGHSFVAVREQVFGSSNSSESTAVPSMSGFVQQALSVSEDLAGTVMKGFKPENVPVYAALAQEFAVFDRWFSSLPGPTQPNRLFVYSATSHGATCHDKVQLAKGYPQKTIFDSLHDDGLDFGIYYESAPATLFYRNLRKLKYVFKFHKFGTFKDHARDGKLRSLSVLEPRYFDLLGKAANDDHPSHDVANGQKMVKEVYEALRASPQWNESLFIITYDEHGGFYDHIATPTVGVPSPDGIAGPAPYFFGFDRLGVRVPAILISPWIKKGTVVSRPQGPAPTSEFEHSSIPATIKKLFNLTSDFLTQRDAWAGTFQNIFSELTSPRTDCPEVLPDVPPLRATKAKEHRVVSEFQSEIVEMAAILNGDHRLTKHGDKTIKRMTVREADAYVSHAVARFFRASKDAIEKGADESKTVDMAAAKHG >Ma04_p01160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:997144:1005519:1 gene:Ma04_g01160 transcript:Ma04_t01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGGFAIPLLLSVLVLSLVFSASAAADGLIRIGLRKKALDENSRLAGRLLEKEGKALMGPRYGLRGGLESNDEDADIISLKNYMNAQYFGEIGIGTPAQKFTVIFDTGSSNLWVPSSKCYFSIACYFHSKYKSSQSSTYEKNGKTAEIHYGTGSISGFFSGDHVALGDLVVKDQDFIEATREPGVTFLAAKFDGILGLGFKEISVGDVTPVWYNLVKQGLIKEPVFSFWFNRNAEEGEGGEIVFGGVDPNHYEGDHVYVPVTQKGYWQFNMGDVLIGDESTGFCAGGCAAIADSGTSLIAGPTTVITEINQKIGASGVVSQECKAVVAQYGQQILAKLMSQTQPSKICSQVGLCTFDGTHGVSIGIESVINENEDASAGLHSDGMCHACEMAVVWMQNQLRLNQTQESILNYINELCERLPSPMGESSVDCASVASMPSVSFTIGDKTFELKPEQYILKVGQGTAAQCISGFTALDVPPPRGPLWILGDVFMGVYHTVFDYGNLRVGFAPAT >Ma03_p25220.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29341050:29343613:-1 gene:Ma03_g25220 transcript:Ma03_t25220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEAGEMTDLYIPRKCSATNRLITAKDHASVQINIGHLDESGVYTGQFTTFALSGFIRAQGDADSSLDRLWQKKKAEVRQQ >Ma03_p25220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29341050:29343522:-1 gene:Ma03_g25220 transcript:Ma03_t25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEAGEMTDLYIPRKCSATNRLITAKDHASVQINIGHLDESGVYTGQFTTFALSGFIRAQGDADSSLDRLWQKKKAEVRQQ >Ma10_p27040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34461091:34468112:1 gene:Ma10_g27040 transcript:Ma10_t27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRMT10 [Source:Projected from Arabidopsis thaliana (AT1G04870) UniProtKB/TrEMBL;Acc:A0A178WKU5] MMGSTANGSCEPSASRAGAGAVVDKDVDFANYFCTYSYLYHQKEMLADRVRMDAYFNAVFQNKHHFQGKVVLDVGTGSGILAIWCAQAGARKVYAVEATKMADHARLLVKGNNVEDIVEVIEGSMEEVTLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWMAPIRSGLGDQKMNDLESAMSDWHGFVEETENYYGVNMNILTKPFREEHEKYYLKTSLWSNLHPDQVVGVPIVMKEIDCLTATVDEIRIVNSTFSLPINIDRTRLSALAGWFDVHFRGSKQNPATCEIELTTAPSVENTTHWGQQLFLLHPALRVNEGDELLISFLMCRSKENHRLMNVDLTYELQQPSGKRLPAVNSKFYIE >Ma03_p29950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:32767000:32768194:1 gene:Ma03_g29950 transcript:Ma03_t29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYEYVPNSSLDKFLFDAVRGKQLTWGIRYKIICGIARGLLYLHEESQLKIIHRDLKASNILLDADMNPKISDFGLAKLFDIDQTQGTTNRVMGTFGYMAPEYVMQGKFSIKSDVFSFGVLVLEILTGRKSNGSHNPEVTEVLLSYIWEKWQDGSALEIVDPALGGHYQQSDLLRCVQIGLLCVQEDPSDRPTMSTIVVMLDSETVSLRAPSQPAFYMGNGDKVATMSVNQVSMSEPELR >Ma02_p08660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18936424:18939910:1 gene:Ma02_g08660 transcript:Ma02_t08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQCSAGRNESLQSVEEKIARHAQEGTKTSRELVVQFNADVADGMPWKFTPTQREVRVKLGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNIILSYTFFKVNED >Ma02_p08660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18936410:18939910:1 gene:Ma02_g08660 transcript:Ma02_t08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLRHTGTFSLLLRGAGPLAPHSVALLRNFSPPRFSPRPDRLLIPHATAAAFSASSGASASSTASKDRSSRNTLVYLLGLVAAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAQEGTKTSRELVVQFNADVADGMPWKFTPTQREVRVKLGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNIILSYTFFKVNED >Ma11_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21358109:21359232:-1 gene:Ma11_g15680 transcript:Ma11_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEKAMTEPLEEETAAEACFADELLFMDFTSDPYHPPLEAASSAAATAAAGELHLHALLFQELFDPSGDGLPGLPTEGGDGGDEEEEELEWLANKDAFPALETSFEIPAPSRSGGGGGSGIKAGAAMCAVGERPSPVSVLAAAASFSAPVRPRSKTRRRGRRVPTCFPPKPAAMAGAKRVCTLERRRCRHCQAEETPQWRAGPEGPKTLCNACGVRFKSGRLVPEYRPASSPTFSAAIHSNSHRRIVEMRRQKAVCERRSTTAPRRPSLLLR >Ma08_p27440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39667446:39667613:1 gene:Ma08_g27440 transcript:Ma08_t27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKCLIGLDEAVLKNIEACRQLSVITRKSLGPNGDLSALKFSYEILMFFLFTLV >Ma02_p11840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20828637:20831695:-1 gene:Ma02_g11840 transcript:Ma02_t11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHGAKVFLGLSCSFLILLLLYAWHHCFRRRFLSRRTKEGSLEDGGGVRYAVEEELVTEELIKFAGWETLTAHDILDAPGEVVAKSSYGTLYRACIRRSKSVALLRFVRPDCIGRIEEVLPAVRMLGSVRHPNLVPTGAMYVGPRGEKLFVHPFYASGTLAQFLRAGVAEAHRWDIIYKLACGVARGLDHLHNGYEKAIIHGNLKSNNILLDADFQPRLSDFGLHIILNPAAALEMLEAPASQGYRAPELIKMKDASRETDIYGLGVVFLEMLTQKDPLTNNFLQSKDLHLPTSLRILVLEHKVSDVFSSNLLEDSINQNSTNEEGLLMLFQLAMTCCSPSPALRPDIRAVIRRLEDIGR >Ma02_p11840.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20828637:20831701:-1 gene:Ma02_g11840 transcript:Ma02_t11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNHGAKVFLGLSCSFLILLLLYAWHHCFRRRFLSRRTKEGSLEDGGGVRYAVEEELVTEELIKFAGWETLTAHDILDAPGEVVAKSSYGTLYRACIRRSKSVALLRFVRPDCIGRIEEVLPAVRMLGSVRHPNLVPTGAMYVGPRGEKLFVHPFYASGTLAQFLRAGVAEAHRWDIIYKLACGVARGLDHLHNGYEKAIIHGNLKSNNILLDADFQPRLSDFGLHIILNPAAALEMLEAPASQGYRAPELIKMKDASRETDIYGLGVVFLEMLTQKDPLTNNFLQSKDLHLPTSLRILVLEHKVSDVFSSNLLEDSINQNSTNEEGLLMLFQLAMTCCSPSPALRPDIRAVIRRLEDIGR >Ma07_p04320.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3234798:3242426:1 gene:Ma07_g04320 transcript:Ma07_t04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G18620) UniProtKB/TrEMBL;Acc:A0A178V949] MQKQIQEENSVSLMLEDHKTTCWGCGLHLVLESYSPIFKCGWCGAITDQCKTLRKPDSTWFSWWRCVRDRLFVTILIFFMLFVICTGVWAVYPAVFSISKFCGIVHCLLTSVLSIITVSSFCLAAFRSAGAPANIPWGSYPIVEKDGLENYTYCAYCSKPKPPRAHHCRSCRMCVLDMDHHCPFIGNCVGAANHRYFIAFLISVVISCAYVFLMTLYAGFRVWPPLEIRNLALSGFGIGSAASIVKEIVAALASSALLLSARGLILIYLSFASLSVEIGIVVLLWQQLYWIYEGNTYINQIASHNVAHGEKGWQNLLRFFGCPYSVYRVLLGTGNAGKSQDISSSKLL >Ma07_p04320.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3234798:3242426:1 gene:Ma07_g04320 transcript:Ma07_t04320.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G18620) UniProtKB/TrEMBL;Acc:A0A178V949] MQKQIQEENSVSLMLEDHKTTCWGCGLHLVLESYSPIFKCGWCGAITDQCKTLRKPDSTWFSWWRCVRDRLFVTILIFFMLFVICTGVWAVYPAVFSISKFCGIVHCLLTSVLSIITVSSFCLAAFRSAGAPANIPWGSYPIVEKDGLENYTYCAYCSKPKPPRAHHCRSCRMCVLDMDHHCPFIGNCVGAANHRYFIAFLISVVISCAYVFLMTLYAGFRVWPPLEIRNLALSGFGIGSAASIVKEIVAALASSALLLSARGLILIYLSFASLSVEIGIVVLLWQQLYWIYEGNTYINQIASHNVAHGEKGWQNLLRFFGCPYSVYRVLLGTGNAGKSQDISSSKLL >Ma07_p04320.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3234779:3242426:1 gene:Ma07_g04320 transcript:Ma07_t04320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G18620) UniProtKB/TrEMBL;Acc:A0A178V949] MDEAAVREENSVSLMLEDHKTTCWGCGLHLVLESYSPIFKCGWCGAITDQCKTLRKPDSTWFSWWRCVRDRLFVTILIFFMLFVICTGVWAVYPAVFSISKFCGIVHCLLTSVLSIITVSSFCLAAFRSAGAPANIPWGSYPIVEKDGLENYTYCAYCSKPKPPRAHHCRSCRMCVLDMDHHCPFIGNCVGAANHRYFIAFLISVVISCAYVFLMTLYAGFRVWPPLEIRNLALSGFGIGSAASIVKEIVAALASSALLLSARGLILIYLSFASLSVEIGIVVLLWQQLYWIYEGNTYINQIASHNVAHGEKGWQNLLRFFGCPYSVYRVLLGTGNAGKSQDISSSKLL >Ma07_p04320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:3234779:3242426:1 gene:Ma07_g04320 transcript:Ma07_t04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G18620) UniProtKB/TrEMBL;Acc:A0A178V949] MDEAAVREENSVSLMLEDHKTTCWGCGLHLVLESYSPIFKCGWCGAITDQCKTLRKPDSTWFSWWRCVRDRLFVTILIFFMLFVICTGVWAVYPAVFSISKFCGIVHCLLTSVLSIITVSSFCLAAFRSAGAPANIPWGSYPIVEKDGLENYTYCAYCSKPKPPRAHHCRSCRMCVLDMDHHCPFIGNCVGAANHRNLALSGFGIGSAASIVKEIVAALASSALLLSARGLILIYLSFASLSVEIGIVVLLWQQLYWIYEGNTYINQIASHNVAHGEKGWQNLLRFFGCPYSVYRVLLGTGNAGKSQDISSSKLL >Ma01_p04810.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3292476:3303943:1 gene:Ma01_g04810 transcript:Ma01_t04810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPTLFSLCVKTITAALVHGNEHVEDIVELPSELFDGLATNLPPLALQNMHELLERLPDSCGGVGFTNVGVDHGRKRKRKEDFNMTWKMLYMNRWPEDITITQQIGCVATQDGCEIAKLSNQSVDWQQLYWEKHLQNCLDEAAETALLPSFDGYISDLTISDNIMDVIGHSGGTFCTCMKLSFHCNKFGQYIRYLRLQNVLCVAETCELLRDCKLQGLVFRRIISKNQVNGVCMHLNQHRQTLHSLEFMYSQIPPAMIDQIFGSIHRRDVSQTHGVRELHVKSSRIFDSKLSTIPAGLLSFISSGRDLRTLCFCDSKLLPKCAKMIFDVLLGSSSDVVTLEISENNLAGWLSMVDRKPLEFALLVESNVSLRSLRKLSLRGNNFQKEDAEDLHNILVHMPVLSSLDISDNAIMDDGIRSLIPYFVWAVEKSYPLSDIKLNNCNLSCTGVAELLRSLPTLKGSFSVAENNLGSSIAAPLAKFLASSSVRKLNIEDVELGTLGFQQLEDQIPKKMALQSINISKNRGGLRAAYFIVKLLMYSPSIAYINAGGNLMPPESSEVIYGALKQSQGKLETLDLGGNTQLCQSNYASRLTEFRLHGRPIVIVPLLPASSTPYDDDP >Ma01_p04810.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3292476:3303632:1 gene:Ma01_g04810 transcript:Ma01_t04810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPTLFSLCVKTITAALVHGNEHVEDIVELPSELFDGLATNLPPLALQNMHELLERLPDSCGGVGFTNVGVDHGRKRKRKEDFNMTWKMLYMNRWPEDITITQQIGCVATQDGCEIAKLSNQSVDWQQLYWEKHLQNCLDEAAETALLPSFDGYISDLTISDNIMDVIGHSGGTFCTCMKLSFHCNKFGQYIRYLRLQNVLCVAETCELLRDCKLQGLVFRRIISKNQVNGVCMHLNQHRQTLHSLEFMYSQIPPAMIDQIFGSIHRRDVSQTHGVRELHVKSSRIFDSKLSTIPAGLLSFISSGRDLRTLCFCDSKLLPKCAKMIFDVLLGSSSDVVTLEISENNLAGWLSMVDRKPLEFALLVESNVSLRSLRKLSLRGNNFQKEDAEDLHNILVHMPVLSSLDISDNAIMDDGIRSLIPYFVWAVEKSYPLSDIKLNNCNLSCTGVAELLRSLPTLKGSFSVAENNLGSSIAAPLAKFLASSSVRKLNIEDVELGTLGFQQLEDQIPKKMALQSINIR >Ma01_p04810.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3292476:3303943:1 gene:Ma01_g04810 transcript:Ma01_t04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPTLFSLCVKTITAALVHGNEHVEDIVELPSELFDGLATNLPPLALQNMHELLERLPDSCGGVGFTNVGVDHGRKRKRKEDFNMTWKMLYMNRWPEDITITQQIGCVATQDGCEIAKLSNQSVDWQQLYWEKHLQNCLDEAAETALLPSFDGYISDLTISDNIMDVIGHSGGTFCTCMKLSFHCNKFGQYIRYLRLQNVLCVAETCELLRDCKLQGLVFRRIISKNQVNGVCMHLNQHRQTLHSLEFMYSQIPPAMIDQIFGSIHRRDVSQTHGVRELHVKSSRIFDSKLSTIPAGLLSFISSGRDLRTLCFCDSKLLPKCAKMIFDVLLGSSSDVVTLEISENNLAGWLSMVDRKPLEFALLVESNVSLRSLRKLSLRGNNFQKEDAEDLHNILVHMPVLSSLDISDNAIMDDGIRSLIPYFVWAVEKSYPLSDIKLNNCNLSCTGVAELLRSLPTLKGSFSVAENNLGSSIAAPLAKFLASSSVRKLNIEDVELGTLGFQQLEDQIPKKMALQSINISKNRGGLRAAYFIVKLLMYSPSIAYINAGGNLMPPESSEVIYGALKQSQGKLETLDLGGNTQLCQSNYASRLTEFRLHGRPIVIVPLLPASSTPYDDDP >Ma01_p04810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:3292476:3303943:1 gene:Ma01_g04810 transcript:Ma01_t04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPTLFSLCVKTITAALVHGNEHVEDIVELPSELFDGLATNLPPLALQNMHELLERLPDSCGGVGFTNVGVDHGRKRKRKEDFNMTWKMLYMNRWPEDITITQQIGCVATQDGCEIAKLSNQSVDWQQLYWEKHLQNCLDEAAETALLPSFDGYISDLTISDNIMDVIGHSGGTFCTCMKLSFHCNKFGQYIRYLRLQNVLCVAETCELLRDCKLQGLVFRRIISKNQVNGVCMHLNQHRQTLHSLEFMYSQIPPAMIDQIFGSIHRRDVSQTHGVRELHVKSSRIFDSKLSTIPAGLLSFISSGRDLRTLCFCDSKLLPKCAKMIFDVLLGSSSDVVTLEISENNLAGWLSMVDRKPLEFALLVESNVSLRSLRKLSLRGNNFQKEDAEDLHNILVHMPVLSSLDISDNAIMDDGIRSLIPYFVWAVEKSYPLSDIKLNNCNLSCTGVAELLRSLPTLKGSFSVAENNLGSSIAAPLAKFLASSSVRKLNIEDVELGTLGFQQLEDQIPKKMALQSINISKNRGGLRAAYFIVKLLMYSPSIAYINAGGNLMPPESSEVIYGALKQSQGKLETLDLGGNTQLCQSNYASRLTEFRLHGRPIVIVPLLPASSTPYDDDP >Ma08_p27520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39728248:39729324:-1 gene:Ma08_g27520 transcript:Ma08_t27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRHQCRLLSAAFALLLFAAAALGVVFVGIDQEVEERRLGSPEQEHWERLVGPGSSPPSCRARCGRCFPCQPVRVVIQPGQSVPQDYYPEAWRCRCGNKLFVP >Ma09_p03910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2577749:2579996:-1 gene:Ma09_g03910 transcript:Ma09_t03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEDLPMDKQDGHQKRLGLEEEVAELQDELEKEQKLNQILQCALHGPYVCHSCVSSLVPLQVQVLLAELAMVEEEIITLERKIEDLKMCIYQERKQKKERSFRPAQQHQWWQRQQRHFLCGFGGRRDIEKLQQLPGLQHEDTTDDETERSRRCGEPNRESSHHTRQWCGDEEAFPDTPNQVSEELLRALLSIFHTLSQRSGQLDCEPQNSAKLHISCMRSNSLVGRSSHCQTSTSSSKGTSPEADGTGRDMGSNQKLVNVTQASLDMSRLSLCIPTIGKLRAVIHKLSAINPSFLTYKQKLAFWINIYNACIMHAFLQHGLPPSPEKLLALLNKATINVGGVVLNALAIEHFLLRHSFESESEAMNEKEGLLRHAYGLGYPEPNVTFALCRGSWSSPALRVYTAEDVVNELERAKIEYLETSVSITSKKKIIVPKLLHWHMRDFADGMDSLLEWIYSQLPRSGSLKRLLKECLSRDPRIPLAKMVVVQPYRAEFRYLLPSQEAAHFMQFF >Ma05_p27100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38525242:38534511:-1 gene:Ma05_g27100 transcript:Ma05_t27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGGETGAPTPQGPPVVPTAPPSVQMQMPMPMPTAAGFGDVHPTHPPLEQLPNLQFCLHSNPSWPEMFALAFQHYIVMLGSIVMLASFLVPLMGGNHGDKARTIQTLLFMSGINTLLQTLIGTRLPTVMNSSFAFIIPVMSIIRDFNSRAYNDEHQRFVHTTRTIQGALIISSFVNIFIGYTRAWKKLFRFCSPVTIVPVVCVVGLGLFERGFPQLGKCVEVGLPVLILLVLLVIAQQHTKHVNERAYFVLERFSIIFCVKIVWAFAAILTAAGAYNNVPEKTKLHCRTDRSYLISSAPWIKIPYPFQWGAPIFAASHVFGMMGAVLVSGLESAGAHYAAARLAGATPPPPYVLSRSGALPLASLNHISLVCRSVERSLDFYQNVLGFLPIRRPGSFDFTGAWLFNYGIGIHLLQSEDPEKMPRKKEINPKDNHISFQCESLSMVEGKLKEMGIPYIQRRVEEGGIYVDQLFFHDPDGFMIEICNCDNLPVISLSGEPIMACKRVMSLLPQQQQQKAALQLENVGLLGLTRVGSRRIVQISAGFMIFFSIFGKFGALFASIPLPIFAAVYCILFGLVAAVGVSFIQFANNNSMRNLYIVGLSLFLGISVPQYFNEFTASAGHGPAKTNAGWFNDILNSIFSSAPTVAFIVGIVLDNTLEARTSFSDRGFLWLNPLNKNDARNEEFYSFPIRVHEWMPTRFLR >Ma04_p03960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3030043:3031700:-1 gene:Ma04_g03960 transcript:Ma04_t03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPSAGPSGRVQISNRKYSVAFAAGETPEPASLDPLIGRKVMTRWPEDDNFYEAVITDYNPLEGLHALVYDIGTDNETWKWVNLKEICPEDVRWEGEDPGITHQSGQGPPHHGVKRTTGHGGGIPGAGRGRGSLKSQTKRDFPPSQNGNAKKSTGDIEILHTETLIKEVEKLLGESHIDTLEIDKAKKMLKEHEQALMDAIARLADASDCDSPIAASCFAEEAKHQFSHGQPVDRDRE >Ma04_p03960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3030043:3032423:-1 gene:Ma04_g03960 transcript:Ma04_t03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAIPYANIPNDMEVQIHRLEQDAYSSVLRAFKAQSDAITWEKEDLITELRKELRVSDEEHRELLSRVNADDIIQRIREWRQAGGLKTGMLGNAQPDVAAPNVSASQKRQKISQPISTVSVGAPSPALHSQLAASMQPSSSIAKSGAAAGTKSKKPKSGWTLSGASSVKSMQYPSAGPSGRVQISNRKYSVAFAAGETPEPASLDPLIGRKVMTRWPEDDNFYEAVITDYNPLEGLHALVYDIGTDNETWKWVNLKEICPEDVRWEGEDPGITHQSGQGPPHHGVKRTTGHGGGIPGAGRGRGSLKSQTKRDFPPSQNGNAKKSTGDIEILHTETLIKEVEKLLGESHIDTLEIDKAKKMLKEHEQALMDAIARLADASDCDSPIAASCFAEEAKHQFSHGQPVDRDRE >Ma04_p03960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3030043:3032417:-1 gene:Ma04_g03960 transcript:Ma04_t03960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAIPYANIPNDMEVQIHRLEQDAYSSVLRAFKAQSDAITWEKEDLITELRKELRVSDEEHRELLSRVNADDIIQRIREWRQAGGLKTGMLGNAQPDVAAPNVSASQKRQKISQPISTVSVGAPSPALHSQLAASMQPSSSIAKSGAAAGTKSKKPKSGWTLSGASSVKSMQYPSAGPSGRVQISNRKYSVAFAAGETPEPASLDPLIGRKVMTRWPEDDNFYEAVITDYNPLEGLHALVYDIGTDNETWKWVNLKEICPEDVRWEGEDPGITHQSGQGPPHHGVKRTTGHGGGIPGAGRGRGSLKSQTKRDFPPSQNGNAKKSTGDIEILHTETLIKEVEKLLGESHIDTLEIDKAKKMLKEHEQALMDAIARLADASDCDRRGQAPVLTWPTRRP >Ma03_p06600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4540798:4542104:-1 gene:Ma03_g06600 transcript:Ma03_t06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALVSCRLSSAYPFHSISRGRVALPRQLIASSSSSRTHRLSAARAMASSNTSGGSFGKAERSKGWRNAAAGAVGSSGSGFPISNIPVWARWVLGSLVIAAIPFYTRIIKKGGGIEKVAEVALETVEKVAEVTEKIASDVAAALPEGDSLKAKALLIEKIAEKVEHDAKLAESIIHEVDVVKEEVDTLVKPLLEKEQSEKEIQVEENESTDQSKAN >Ma06_p06530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4720980:4729575:1 gene:Ma06_g06530 transcript:Ma06_t06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRCELSRPWIIFVVVFASVICRLEGLGMAPSPAAFDYPSQKGMASSPAHLTNSGSAIAPTSDSFPEVSSPTYGAVPPSFQPVIPSMLSPAPSTLVATGHKWPRAVSPSVEAQPIAPISSAVFGSPGYPQPSSSNKPPIVPRIAPKSALAPHSTYFHGSVAPPTSISSPISSRQRHGVPVASPPAEGYRHLTPANGSPSEGSSPMLSPVHHQAKPSNLAHGPSTSHLQPPAMSTSDGPAVSPLNPVQQWRPRKGVGNSASSPTSPFWSPSHLPAPMVTPTPEAFPKYRRPHHASPPSHEDPMVTEAPEAFPKNSQPHHAYRPSHQGPSFSSIQAPSPSPSSSVSSDSNAWLGHSPITTPSSSHPRASSAAPPPPIWVLPPPPPNLDCMSLVCVEPLTIPPPGSPCVCVLPIRVGLRLSTTLYTFFPLVPEFAQEIAFGIYMKQSQVRIMGANVASDQPENTIVLIDLVPVEEKFDTATAFLSFEKFWHKDIVINTSLFGDYTVLYVLYPGLPPSPPRAPGGSNVGSFGNNSNAMAIKPLGVDVRKQKVKTNRNLIAVIILSSFSALILCVGAAWFLWLKQRDHSHLPATNLHKMLPLFAKSSGTRHMILGQRLSSESASFNSSIATYSGSAKTFSVSEIERATNRFDEARIIGEGGFGRVYQGTLEDGTRVAVKILKRDDQQGGREFYAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELIPNGSLESHLHGLDKETSPLDWSARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLDHDYTPKVSDFGLARAALDEQNLHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPIDMLQPPGQENLVTWARPLLNNMDNVEMITDPALGMNVPFESVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDENKGTGSCSHDDLSTRDTAARLSNVSGLEAERVLLGSCLSSTSTRLDIDESGSFQRHSSSGPLITTSRQFWQRLRMLSTGSISEHGAALKYETSSDCGERWS >Ma06_p06530.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4720980:4729575:1 gene:Ma06_g06530 transcript:Ma06_t06530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRCELSRPWIIFVVVFASVICRLEGLGMAPSPAAFDYPSQKGMASSPAHLTNSGSAIAPTSDSFPEVSSPTYGAVPPSFQPVIPSMLSPAPSTLVATGHKWPRAVSPSVEAQPIAPISSGYPQPSSSNKPPIVPRIAPKSALAPHSTYFHGSVAPPTSISSPISSRQRHGVPVASPPAEGYRHLTPANGSPSEGSSPMLSPVHHQAKPSNLAHGPSTSHLQPPAMSTSDGPAVSPLNPVQQWRPRKGVGNSASSPTSPFWSPSHLPAPMVTPTPEAFPKYRRPHHASPPSHEDPMVTEAPEAFPKNSQPHHAYRPSHQGPSFSSIQAPSPSPSSSVSSDSNAWLGHSPITTPSSSHPRASSAAPPPPIWVLPPPPPNLDCMSLVCVEPLTIPPPGSPCVCVLPIRVGLRLSTTLYTFFPLVPEFAQEIAFGIYMKQSQVRIMGANVASDQPENTIVLIDLVPVEEKFDTATAFLSFEKFWHKDIVINTSLFGDYTVLYVLYPGLPPSPPRAPGGSNVGSFGNNSNAMAIKPLGVDVRKQKVKTNRNLIAVIILSSFSALILCVGAAWFLWLKQRDHSHLPATNLHKMLPLFAKSSGTRHMILGQRLSSESASFNSSIATYSGSAKTFSVSEIERATNRFDEARIIGEGGFGRVYQGTLEDGTRVAVKILKRDDQQGGREFYAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELIPNGSLESHLHGLDKETSPLDWSARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLDHDYTPKVSDFGLARAALDEQNLHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPIDMLQPPGQENLVTWARPLLNNMDNVEMITDPALGMNVPFESVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDENKGTGSCSHDDLSTRDTAARLSNVSGLEAERVLLGSCLSSTSTRLDIDESGSFQRHSSSGPLITTSRQFWQRLRMLSTGSISEHGAALKYETSSDCGERWS >Ma06_p06530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4720980:4729575:1 gene:Ma06_g06530 transcript:Ma06_t06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRCELSRPWIIFVVVFASVICRLEGLGMAPSPAAFDYPSQKGMASSPAHLTNSGSAIAPTSDSFPEVSSPTYGAVPPSFQPVIPSMLSPAPSTLVATGHKWPRAVSPSVEAQPIAPISSVFGSPGYPQPSSSNKPPIVPRIAPKSALAPHSTYFHGSVAPPTSISSPISSRQRHGVPVASPPAEGYRHLTPANGSPSEGSSPMLSPVHHQAKPSNLAHGPSTSHLQPPAMSTSDGPAVSPLNPVQQWRPRKGVGNSASSPTSPFWSPSHLPAPMVTPTPEAFPKYRRPHHASPPSHEDPMVTEAPEAFPKNSQPHHAYRPSHQGPSFSSIQAPSPSPSSSVSSDSNAWLGHSPITTPSSSHPRASSAAPPPPIWVLPPPPPNLDCMSLVCVEPLTIPPPGSPCVCVLPIRVGLRLSTTLYTFFPLVPEFAQEIAFGIYMKQSQVRIMGANVASDQPENTIVLIDLVPVEEKFDTATAFLSFEKFWHKDIVINTSLFGDYTVLYVLYPGLPPSPPRAPGGSNVGSFGNNSNAMAIKPLGVDVRKQKVKTNRNLIAVIILSSFSALILCVGAAWFLWLKQRDHSHLPATNLHKMLPLFAKSSGTRHMILGQRLSSESASFNSSIATYSGSAKTFSVSEIERATNRFDEARIIGEGGFGRVYQGTLEDGTRVAVKILKRDDQQGGREFYAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELIPNGSLESHLHGLDKETSPLDWSARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLDHDYTPKVSDFGLARAALDEQNLHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPIDMLQPPGQENLVTWARPLLNNMDNVEMITDPALGMNVPFESVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDENKGTGSCSHDDLSTRDTAARLSNVSGLEAERVLLGSCLSSTSTRLDIDESGSFQRHSSSGPLITTSRQFWQRLRMLSTGSISEHGAALKYETSSDCGERWS >Ma07_p20950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:28943025:28944781:1 gene:Ma07_g20950 transcript:Ma07_t20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLYESIKGRSILSPVPLQLAFLPPPPPPPIVNFENRITPSILLIIVILAVIFFVSGLLHLLVRYLLKPNDREPDAISNVTVFQGQLQQLFHLHDAGVDQSFIDSLPVFNYKSIIGLKDPFDCAVCLCEFEADDKLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRCLLLDFSHNDSRRPVVLVLESSSESSREIASDRGEHGPSPNIGLPGDDDGLRSLINDTSQKPEEIAAEEEVGLGISVAEVAEAKVVPVKLGKFRRLDIGGGQCQGTTSGNSGLDQRRCFSMGSREYVMDDRSLLRVAIRPTKKKPALKKSIHRVAMSECDCHSKRDGFSGFDASRRMELGGDDGNASMSANLHKKESFSVSKIWLRSRTDEPIAEDASRRAFSFRLPLGMADRDERKLKKSVSTVTAPSEFQSRSDLDLEMDAGSCNNSVVSRADETPSFARRTLLWIVGRQNRIGDHL >Ma01_p00570.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:412731:416781:1 gene:Ma01_g00570 transcript:Ma01_t00570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR2 [Source:Projected from Arabidopsis thaliana (AT5G25320) UniProtKB/Swiss-Prot;Acc:F4JWR0] MDLFITKSYISSDARWFMDVFHVKDKLGNKVTNGKVIKYVQQAISERRELQNSEEAEYCSDDDSGIESSSERTAIEMIGVNRPGLFSEISAALAEQRCNVIEAHAWSHNAFLACIACISDESTSTCIDDPQRLSAIEDHLSNILGPSFGGDDSGRSARTCFLGCDNWTSHAERRLHQLMLANQDFDGPQGPLNMSSWTMNVDNYEEGRRTVVSIDRCKEKGYTVVNVECLDRPKLMFDTVCTLTDMQYVVFHANITSHGPFAHQEYFIRRKDGRLLDTELEIQRVSKCLEAAIERRVCEGIRLELDLQNNVRVLPYVTQTLREHGLTVAQADIAAHGEKTKNVFFIQDISGKEIDMGMVESMKKELEPLSIQVENAMLPQKFNSMERDRFSFVSLLRTQLERFSHNFISI >Ma01_p00570.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:412782:416781:1 gene:Ma01_g00570 transcript:Ma01_t00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR2 [Source:Projected from Arabidopsis thaliana (AT5G25320) UniProtKB/Swiss-Prot;Acc:F4JWR0] MLMYRMEVCTPYFDPEYENLNDKIFGPRVSVDNESCEKCTVVKVDSINKQGLLLKVVQVLTDMDLFITKSYISSDARWFMDVFHVKDKLGNKVTNGKVIKYVQQAISERRELQNSEEAEYCSDDDSGIESSSERTAIEMIGVNRPGLFSEISAALAEQRCNVIEAHAWSHNAFLACIACISDESTSTCIDDPQRLSAIEDHLSNILGPSFGGDDSGRSARTCFLGCDNWTSHAERRLHQLMLANQDFDGPQGPLNMSSWTMNVDNYEEGRRTVVSIDRCKEKGYTVVNVECLDRPKLMFDTVCTLTDMQYVVFHANITSHGPFAHQEYFIRRKDGRLLDTELEIQRVSKCLEAAIERRVCEGIRLELDLQNNVRVLPYVTQTLREHGLTVAQADIAAHGEKTKNVFFIQDISGKEIDMGMVESMKKELEPLSIQVENAMLPQKFNSMERDRFSFVSLLRTQLERFSHNFISI >Ma01_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:412731:416781:1 gene:Ma01_g00570 transcript:Ma01_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR2 [Source:Projected from Arabidopsis thaliana (AT5G25320) UniProtKB/Swiss-Prot;Acc:F4JWR0] MEVCTPYFDPEYENLNDKIFGPRVSVDNESCEKCTVVKVDSINKQGLLLKVVQVLTDMDLFITKSYISSDARWFMDVFHVKDKLGNKVTNGKVIKYVQQAISERRELQNSEEAEYCSDDDSGIESSSERTAIEMIGVNRPGLFSEISAALAEQRCNVIEAHAWSHNAFLACIACISDESTSTCIDDPQRLSAIEDHLSNILGPSFGGDDSGRSARTCFLGCDNWTSHAERRLHQLMLANQDFDGPQGPLNMSSWTMNVDNYEEGRRTVVSIDRCKEKGYTVVNVECLDRPKLMFDTVCTLTDMQYVVFHANITSHGPFAHQEYFIRRKDGRLLDTELEIQRVSKCLEAAIERRVCEGIRLELDLQNNVRVLPYVTQTLREHGLTVAQADIAAHGEKTKNVFFIQDISGKEIDMGMVESMKKELEPLSIQVENAMLPQKFNSMERDRFSFVSLLRTQLERFSHNFISI >Ma03_p12770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:9819445:9821560:1 gene:Ma03_g12770 transcript:Ma03_t12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEIEVNSSVLDDEFIAHRLGLIPLTSDDAMPVRFSRDCDACDGDGFCEFCSVEFFLSVRSDSDHTLDVTSADLHTTNPRVCPVDVAAKAPSSTEQKYSLSLPIIFYSTSGVIIVKLRRGQELKLKAVATKGIGKDHPE >Ma03_p13640.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10743252:10747567:1 gene:Ma03_g13640 transcript:Ma03_t13640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAAVVGGRPPFTATQWQELEHQALILKYLMAGVPVPPELIIPIRRSFETLPGRYYHPSLSYYSYYGKKPDPEPGRCRRTDGKKWRCSKDAYPGSKYCERHMHRGRNRSRKPVESQTVAPSQTSSSVLTSLSPSASNASGTGVGSFRGITLQPITGPSNAQSLCFGGASSSQLPMDTGTFGNRYFSGLKPDADEHSFFSGASGTARALGVDSSLDSSWRPMPPPVSSFSLSKTHDLSILQNAYPQLQSVQDLGQVTINSLSRQQQQHHSFFGSEFGSSEPVKHETQLLRPFFDEWPKTRDSWSDLEEDRSNRTSFSTTQLSISIPIASSDFSSTSSRSPNGGSPS >Ma03_p13640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10743252:10747566:1 gene:Ma03_g13640 transcript:Ma03_t13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAAVVGGRPPFTATQWQELEHQALILKYLMAGVPVPPELIIPIRRSFETLPGRYYHPSLSYYSYYGKKPDPEPGRCRRTDGKKWRCSKDAYPGSKYCERHMHRGRNRSRKPVESQTVAPSQTSSSVLTSLSPSASNASGTGVGSFRGITLQPITGPSNAQSLCFGGASSSQLPMDTGTFGNRYFSGLKPDADEHSFFSGASGTARALGVDSSLDSSWRPMPPPVSSFSLSKTHDLSILQNAYPQLQSVQDLGQVTINSLSRQQQQHHSFFGSEFGSSEPVKHETQLLRPFFDEWPKTRDSWSDLEEDRSNRTSFSTTQLSISIPIASSDFSSTSSRSPNDD >Ma05_p22710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34505748:34507297:-1 gene:Ma05_g22710 transcript:Ma05_t22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNPHPWQLHLLLFLWSSSEDALMTPLYDPQLVGDELSSKGKEAGVARSRFPGHFEMSCGSLFSRQMPFLQLLQGAMQAEEEMVGKEAQLHLQQYQQRSLSTSYPQETNFQLHQMRTQSLKQQRTTEMDSSPAAEQLESCITHLSESETRTVVHELPTMAATTGLIVRSAAATCSGERKKRMRRRPASASKSAEKEESQRMTHIAVERNRRRVMNYHLATLRSLMPHSFVQRGDQASIVGGAIEFVKELEQHLISLRAQKRLQASVSVSSRSDDNDKCSAPALHDGFFTSSQYMGYSQSQEEDATAVDVEATLVQGHVNLKVAAQRRRGQLVRAMAAIEELRLSILHLNITTLEPPSILYSINLKMEEECKLGTADEVATAVHQIFSYINTS >Ma04_p27250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28458025:28459462:1 gene:Ma04_g27250 transcript:Ma04_t27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADLMSRQKMDELVAIQEAAAAGVRSIEHLVSRLSRQSSPSDCREITDLTVSKFRKVISVLDRTGHARFRRGPMLATTDATEDKTLSLLPQPFESQPQPNLPVASKGLTMDFTEAKGEPAATTLSLGFSASTTMSSGNSSFLSSLTGDGSVTDGKMGPAVLLEALVVAAAAVTVGKPPLSSSHKRKSPGDCHDRVHCDVALRKHSGDLCHCSSKKKKTRVKRTIRVPATSLRNADIPSDEYSWRKYGQKPIKGSPYPRGYYKCSSMRGCPARKHVERAPDDPSMLIVTYEGEHRHASNPEPISTGA >Ma01_p03100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2032889:2033358:-1 gene:Ma01_g03100 transcript:Ma01_t03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRRRAAPGAPPPKGWMAVRVGGEGEEKQRFLVPVGYLNHPLFVALLREAEEEYGFHHAGAITIPCHVEHFLHVQGIIDRDTSSCSTSGPAAASSGHRHHHFHLCFRA >Ma02_p01200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:8461167:8463090:-1 gene:Ma02_g01200 transcript:Ma02_t01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCEAAMRDEGGGGGGKHKVPSDAKPVAPNNTKPLSVWGVLGGDGHHHHNAKPLPRPWASRDCKSFFTLHRLGFLLFLLSVSLLYFLHTYNLLFSPLPSCPVSSTAVLHLSSANFTTSIGNGSSADVGRSSPSTPVPIAAPRSPSSAAAASPATTGLQHIVFGIAASAKLWEKRKAYIKVWWRPRRMRGFVWLDKPVKELKATDPGLPLLKISGDTSRFPYTHRKGDRSAIRISRIVSETFRLRLPNVRWFVMGDDDTVFFPDNLACILSRFDHRQPYYIGSHSESHLQNIYFSYNMAYGGGGFAISAPLAAALARVQDKCLYRYPALYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLISLHHLDVVQPLFPGAGSRAAAIRRLFDGPVRLDSGGVMQQSICYERRQLWTVSVAWGFAVTVVRGVMSPREMEMPARTFLNWYPRADYTAYAFNTRPVARNPCQKPFVYYLASARYDNARQTTVTEYKRHRETHPLCKWRMADPSALVDRIVVHKKPDPGLWDRAPRRNCCRVLSSPKDAKKRDRSMVIDVGTCQEDEISGIN >Ma01_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4089845:4093002:1 gene:Ma01_g05780 transcript:Ma01_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERAEYLHQFVEETDWYNGIVLDALLPGGGAAWRRLPRPLQSWLRNYLGGNILYLASGFLWCFYIYHWKRNVYVPKDSIPSKRAMLLQIYVAMKAMPWYCALPTLSEYMIEKGWTKCFSSISEVGWPIYLTYLAIYLVLVEFGIYWMHRELHDIKPLYKYLHVTHHIYNKQNTLSPFAGLAFNPLDGILQAVPHVIALFIVPTHFMSHILLLFIEALWTANIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPEEDPKKTE >Ma06_p38410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37167127:37168529:1 gene:Ma06_g38410 transcript:Ma06_t38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESKTSAARKVGPMVPPAEILHKASQFKRWGRKYPFLRYGLPLISLTVLGSVGLAHLIQGSKEVIKEKDDLEWEIIETTKALTRTGPKDSYKPSKLSLEEELKALQQKIDISNYEYKRIPRPNEGKDSKN >Ma06_p38410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37167127:37168372:1 gene:Ma06_g38410 transcript:Ma06_t38410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATESKTSAARKVGPMVPPAEILHKASQFKRWGRKYPFLRYGLPLISLTVLGSVGLAHLIQGSKEVIKEKDDLEWEIIETTKALTRTGPKDSYKPSKLSLEEELKALQQKIDISNYEYKRIPRPNEGKDSKN >Ma10_p25880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33814795:33815247:-1 gene:Ma10_g25880 transcript:Ma10_t25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLNFILVNRLSGKRKSIHLSLFSVTELDG >Ma06_p14540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9957666:9958189:-1 gene:Ma06_g14540 transcript:Ma06_t14540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAAAADKPSGSPASGDFVISHWRRFRPATRPVALDVGRASPGDFERGHRTGYTSLRDLIGSPPLPSGALSPASPCGGDGGGEIRIKNRLVKQAAYAYLQPTPSAGGHDLHRRRRCFRSLRQALAFLTCGIAVEPLDSCIEFLRRLFRRPRR >Ma06_p14540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9957668:9958189:-1 gene:Ma06_g14540 transcript:Ma06_t14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAAAADKPSGSPASGDFVISHWRRFRPATRPVALDVGRASPGDFERGHRTGYTSLRDLIGSPPLPSGALSPASPCGGDGGGEIRIKNRLVKQAAYAYLQPTPSAGGHDLHRRRRCFRSLRQALAFLTCGIAVEPLDSCIEFLRRLFRRPRR >Ma08_p19140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:32947351:32948337:1 gene:Ma08_g19140 transcript:Ma08_t19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWPDELPREMKLVVGPHKLPLGYNRNLGTDKLFQPLGSACRRFREELAGYMTYEVGGECPSDEVFAQRLMLKGCEPLPRRRCHPKSPKGYVEPAPLPESLWATPPDTSVVIDSVLATRPAGTVRIGLDIGGGSGTFAARMRERDVTVVSSTMNFDGPFNSFIASRGLVPLHISVAHRLPFFDNTLDVVHSMHVLSNWMPEATLELALFDIYRVLRPGGLFWLDHFFCAGEQLNTTYVPMLARVGFHKLRWVTGRKLDRGIGKNEWYLSALLEKPMT >Ma01_p09540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6861646:6870425:1 gene:Ma01_g09540 transcript:Ma01_t09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNGRSGLGPVSGDMNPGVLNSTGNSSGPSVGASSLITDANSALSGPQLRRSTSINNESYMHLPASPMSFSSNNISGSSMMDGSVMQQSLHQEQACNKQGASSATSEAMVQEPLNSTNTSKKARLDLKKDGIMQQQVIQQLLLRPDPVLQGHQNPQLQAILQQQRLAQLHQQHLMQSLPQMQQSPITVQQQQQQRHHMRQHAVEAVAPVERYVDSVIGSRRLMQYLFHQRHRPAANSILYWRKFVTEYFAPLAKKRWCLSLYENIGNHALGVFPQSAKDAWQCELCGLKSGKGFEATFEVLPRLFQIKFDRGLIDDNLFLGIPRECHLPSGIIVLEYEKVVHESVYEHLRVAREGKLRVTFTPELKILCWDFCARRHEEFLPRRVLATQVNQLLQVAQKYQTTVNENGSAGVSHQDLQASCNMFIAAGCQLAKSMEPQSLNELGFSKRYVRYLQISEVVNSMKDLIDFSQEHRIGPIDSLKNYTSQATAKLQRQTLQDSGQLMAGAHSLPSDQSMLNKVMGIQSGLNNHMNNNLVARRVLNSNQPSVLALNNYHNLLRSSPNSNQSMLPQEQLGLIGGPKQNNLHPSQVNQHLQQHVIQQMLQQVINNNRGGLQQSVGAPNANGLVAPEVPGGGITGVPVRMNPGSVGKGTEFLNMHGDMPNNSMGMVPSRSNSFKSVSSHPSISGNNLNSRADSTHNMDVPELGHIAKDFENGMFSGDPSDMGYGWKV >Ma01_p09540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6861646:6870425:1 gene:Ma01_g09540 transcript:Ma01_t09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNGRSGLGPVSGDMNPGVLNSTGNSSGPSVGASSLITDANSALSGPQLRRSTSINNESYMHLPASPMSFSSNNISGSSMMDGSVMQQSLHQEQACNKQGASSATSEAMVQEPLNSTNTSKKARLDLKKDGIMQQQVIQQLLLRPDPVLQGHQNPQLQAILQQQRLAQLHQQHLMQSLPQMQQSPITVQQQQQQRHHMRQHAVEAVAPVERYVDSVIGSRRLMQYLFHQRHRPAANSILYWRKFVTEYFAPLAKKRWCLSLYENIGNHALGVFPQSAKDAWQCELCGLKSGKGFEATFEVLPRLFQIKFDRGLIDDNLFLGIPRECHLPSGIIVLEYEKVVHESVYEHLRVAREGKLRVTFTPELKILCWDFCARRHEEFLPRRVLATQVNQLLQVAQKYQTTVNENGSAGVSHQDLQASCNMFIAAGCQLAKSMEPQSLNELGFSKRYVRYLQISEVVNSMKDLIDFSQEHRIGPIDSLKNYTSQATAKLQRQTLQDSGQLMAGAHSLPSDQSMLNKVMGIQSGLNNHMNNNLVARRVLNSNQPSVLALNNYHNLLRSSPNSNQSMLPQEQLGLIGGPKQVQPMQFQGSASSIQTNASVNISAQQQTPLDRRLPLQNNLHPSQVNQHLQQHVIQQMLQQVINNNRGGLQQSVGAPNANGLVAPEVPGGGITGVPVRMNPGSVGKGTEFLNMHGDMPNNSMGMVPSRSNSFKSVSSHPSISGNNLNSRADSTHNMDVPELGHIAKDFENGMFSGDPSDMGYGWKV >Ma06_p11930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:8324632:8325303:-1 gene:Ma06_g11930 transcript:Ma06_t11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVEKPESHADVEDPICKLTLALGESSSLPCPFSIAPASPAPVQSFGRTRPAPAPKGRGKTSIIPPPFPWATPRRATVHTLSHLRAIGIAEIHGETQCKHCKVSRVIRYDLEAKFEEVAGFIMARKHLMHDRAPPSWMHPTFPHCEACGLPNCMQPIVAAKKRDINWLFMLLGQTLGCCNLRRLKYFCKHTENHRTGAKDRLLYLTYLTLCKQLHPSLPF >Ma08_p10560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7725724:7733142:-1 gene:Ma08_g10560 transcript:Ma08_t10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGPVTAGQVSFMLGIIPLLAAWVYSEFLQYRKNSAPLKAGRNSDVNLVVLDKEANKEDDQAVLLESGLQAASPKAYHLSTTSHFLRFFLMDEAFLLENRLILRAISEFGAYLLYFYVCDRTNLFGESKKNYSRDLFLFLYFLLIVVASMTSFKVHQDKSPFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGILNKYNELGAVIAIKVVACFLVVILIWEVPGVFDIVWSPFTFLLGYSDPDPSKPKFPRLHEWHFRSGLDRYIWIVGMIYAYYHPTVERWMEKLEEAETRKRISIKTSMVTVSLVAGYLWYEYIYKLDRVTYNKYHPYTSWIPITVYISLRNFTQPFRSCSLTLFAWLGKITLETYISQIHIWLRSGVPDGQPRWLLSLVPDYPMLNFMLTTAIYVAVSHRLFELTNTLKMAFVPSRDDKRLAHNVIAGIAVSVILYSVSFVLVSVPKMLV >Ma06_p26680.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28534152:28538586:-1 gene:Ma06_g26680 transcript:Ma06_t26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYQLFSATSDSPTAAAEPGKSKSAAVVQDSTTFDGEFDPQVFIGFLEVFIYQARDIHNICIYHKQDVYAKLCLTSDPDVAVSTRIINGGGRNPVFNENLRLSVRTIESSLKCEIWMLSRVKNYLEDQLLGFALVPLSDVLLAKAKLVQEFSLSSTDLFHSPAGFVQLSLSYVGASPDVMAITSVPKTVITEANLPDAETDDSIPCEYEKIEFPDLKVANENQQMVSEYFGLQSTDMETQSSESLVTSDSGNCVDDDAGVRLVESFSSAKRLGSLDSDNALKNDTTISSVSMAEYSPVTLPVASQSISDVLSVTASPRRKDKSSEATDGEADSSGPSKVEFMRPIISINIEPEQTVVQQDIVDMYMKSMQEFTESLAKMKLPMDMETSSSPSVENGSPDSERKVTTPKGIGSKVFYGSRAFF >Ma06_p26680.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28534152:28538585:-1 gene:Ma06_g26680 transcript:Ma06_t26680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYQLFSATSDSPTAAAEPGKSKSAAVVQDSTTFDGEFDPQVFIGFLEVFIYQARDIHNICIYHKQDVYAKLCLTSDPDVAVSTRIINGGGRNPVFNENLRLSVRTIESSLKCEIWMLSRVKNYLEDQLLGFALVPLSDVLLAKAKLVQEFSLSSTDLFHSPAGFVQLSLSYVGASPDVMAITSVPKTVITEANLPDAETDDSIPCEYEKIEFPDLKVANENQQMVSEYFGLQSTDMETQSSESLVTSDSGNCVDDDAGVRLVESFSSAKRLGSLDSDNALKNDTTISSVSMAEYSPVTLPVASQSISDVLSVTASPRRKDKSSEATDGEADSSGPSKVEFMRPIISINIEPEQTVVQQDIVDMYMKSMQEFTESLAKMKLPMDMETSSSPSVENGSPDSERKVTTPKGIGSKVFYGSRAFF >Ma06_p26680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28534152:28538033:-1 gene:Ma06_g26680 transcript:Ma06_t26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYQLFSATSDSPTAAAEPGKSKSAAVVQDSTTFDGEFDPQVFIGFLEVFIYQARDIHNICIYHKQDVYAKLCLTSDPDVAVSTRIINGGGRNPVFNENLRLSVRTIESSLKCEIWMLSRVKNYLEDQLLGFALVPLSDVLLAKAKLVQEFSLSSTDLFHSPAGFVQLSLSYVGASPDVMAITSVPKTVITEANLPDAETDDSIPCEYEKIEFPDLKVANENQQMVSEYFGLQSTDMETQSSESLVTSDSGNCVDDDAGVRLVESFSSAKRLGSLDSDNALKNDTTISSVSMAEYSPVTLPVASQSISDVLSVTASPRRKDKSSEATDGEADSSGPSKVEFMRPIISINIEPEQTVVQQDIVDMYMKSMQEFTESLAKMKLPMDMETSSSPSVENGSPDSERKVTTPKGIGSKVFYGSRAFF >Ma06_p26680.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:28534152:28537850:-1 gene:Ma06_g26680 transcript:Ma06_t26680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYQLFSATSDSPTAAAEPGKSKSAAVVQDSTTFDGEFDPQVFIGFLEVFIYQARDIHNICIYHKQDVYAKLCLTSDPDVAVSTRIINGGGRNPVFNENLRLSVRTIESSLKCEIWMLSRVKNYLEDQLLGFALVPLSDVLLAKAKLVQEFSLSSTDLFHSPAGFVQLSLSYVGASPDVMAITSVPKTVITEANLPDAETDDSIPCEYEKIEFPDLKVANENQQMVSEYFGLQSTDMETQSSESLVTSDSGNCVDDDAGVRLVESFSSAKRLGSLDSDNALKNDTTISSVSMAEYSPVTLPVASQSISDVLSVTASPRRKDKSSEATDGEADSSGPSKVEFMRPIISINIEPEQTVVQQDIVDMYMKSMQEFTESLAKMKLPMDMETSSSPSVENGSPDSERKVTTPKGIGSKVFYGSRAFF >Ma03_p17470.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22838321:22842092:-1 gene:Ma03_g17470 transcript:Ma03_t17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSSSSPPAAAAVVVDGGEGELDPESNSLQQPLLKRSPTLTSSHYAMVGAKVSHIESLDYEINENDLFKHDWRSRSSTEVLQYIFLKWTLAFLVGLLTGVTASLINLAIENIAGIKMLYLARFVKDQRYITGFVYLAGVNLALTTVAASLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGVSTLVVKIIGSIGSVSAGLDLGKEGPLVHIGACFASLLGQGGSENYRLRWKWLRYFKNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRGFIEYCNSGRCGLFGRGGLILFDVSDVTVTYHVNDLLPVALVGVLGGLLGSLYNYVLHKILRVYSLINERGRMAKLLLSLAVSLFTSVCLYCLPFLAPCTPCDPSSETICPTPEGSGNFKQFNCPNGYYNDLASLLYATNDDAVRNIFSTSTPNEFRSISLLIFFALYCVLGLVTFGIAVPSGLFLPIILMGSAYGRLLALALRSFIHIDQGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDSFNPSIYEIILELKGLPFLEASPEPWMRNLTVGELAAAKPRIVSLRGIERVARVVDVLKHTSHNGFPVVDQGAPSSPAGTTELHGLVLRAHLVAVLSKKWFLKERRRTAEWEVRAAFTSVDLAEKGQKVEHVKLTEEEMDMYVDLHPFTNTTPYTVVESMSVAKAVVLFRQVGLRHLLVIPKYQGAGISPVVGILTRQDLRAHNILGAFPHLANKRGEEGRSKKGEVGKSAESCVGRK >Ma03_p17470.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22838321:22842092:-1 gene:Ma03_g17470 transcript:Ma03_t17470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSSSSPPAAAAVVVDGGEGELDPESNSLQQPLLKRSPTLTSSHYAMVGAKVSHIESLDYEINENDLFKHDWRSRSSTEVLQYIFLKWTLAFLVGLLTGVTASLINLAIENIAGIKMLYLARFVKDQRYITGFVYLAGVNLALTTVAASLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGVSTLVVKIIGSIGSVSAGLDLGKEGPLVHIGACFASLLGQGGSENYRLRWKWLRYFKNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRGFIEYCNSGRCGLFGRGGLILFDVSDVTVTYHVNDLLPVALVGVLGGLLGSLYNYVLHKILRVYSLINERGRMAKLLLSLAVSLFTSVCLYCLPFLAPCTPCDPSSETICPTPEGSGNFKQFNCPNGYYNDLASLLYATNDDAVRNIFSTSTPNEFRSISLLIFFALYCVLGLVTFGIAVPSGLFLPIILMGSAYGRLLALALRSFIHIDQGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDSFNPSIYEIILELKGLPFLEASPEPWMRNLTVGELAAAKPRIVSLRGIERVARVVDVLKHTSHNGFPVVDQGAPSSPAGTTELHGLVLRAHLVAVLSKKWFLKERRRTAEWEVRAAFTSVDLAEKGQKVEHVKLTEEEMDMYVDLHPFTNTTPYTVVESMSVAKAVVLFRQVGLRHLLVIPKYQGAGISPVVGILTRQDLRAHNILGAFPHLANKRGEEGRSKKGEVGKSAESCVGRK >Ma03_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22838321:22841805:-1 gene:Ma03_g17470 transcript:Ma03_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSSSSPPAAAAVVVDGGEGELDPESNSLQQPLLKRSPTLTSSHYAMVGAKVSHIESLDYEINENDLFKHDWRSRSSTEVLQYIFLKWTLAFLVGLLTGVTASLINLAIENIAGIKMLYLARFVKDQRYITGFVYLAGVNLALTTVAASLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGVSTLVVKIIGSIGSVSAGLDLGKEGPLVHIGACFASLLGQGGSENYRLRWKWLRYFKNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRGFIEYCNSGRCGLFGRGGLILFDVSDVTVTYHVNDLLPVALVGVLGGLLGSLYNYVLHKILRVYSLINERGRMAKLLLSLAVSLFTSVCLYCLPFLAPCTPCDPSSETICPTPEGSGNFKQFNCPNGYYNDLASLLYATNDDAVRNIFSTSTPNEFRSISLLIFFALYCVLGLVTFGIAVPSGLFLPIILMGSAYGRLLALALRSFIHIDQGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDSFNPSIYEIILELKGLPFLEASPEPWMRNLTVGELAAAKPRIVSLRGIERVARVVDVLKHTSHNGFPVVDQGAPSSPAGTTELHGLVLRAHLVAVLSKKWFLKERRRTAEWEVRAAFTSVDLAEKGQKVEHVKLTEEEMDMYVDLHPFTNTTPYTVVESMSVAKAVVLFRQVGLRHLLVIPKYQGAGISPVVGILTRQDLRAHNILGAFPHLANKRGEEGRSKKGEVGKSAESCVGRK >Ma04_p24710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26669135:26673416:1 gene:Ma04_g24710 transcript:Ma04_t24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCEVEGHRLTAAAIMGQDGSVWAQSASFPQFKPEEFTNVMNDFNEPGSLAPIGLFIGSSKYMVIQGESGVVIRGKKGSGGITIKKTGQAVVIGLYDEPMTPGQCNMVVERLGDYLIDQGL >Ma10_p15790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27759841:27759993:-1 gene:Ma10_g15790 transcript:Ma10_t15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGWHTDVRHVGHVTFDRFHGFLGLPVEFEPEVPRRAPSARSALSLFFC >Ma05_p03420.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2484252:2488396:-1 gene:Ma05_g03420 transcript:Ma05_t03420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKVEGNLPVYGFHDPASFVNSIQKPRVIIMLVKAGAPVDQTIATLSAHLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSLMPGGSYEAFRYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLTNDELQHVFSEWNKGELLSFLIEITADIFGVKDDKGDGHLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIAASLDSRFLSGLKEERVEAAKVFQSGGFSDVLESKPVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNAELSNLLVDPEFAKEILDRQSAWRRVVCLAINHGISTPGMSTSLAYFDTYRRERVSANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAKQSKI >Ma05_p03420.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2484252:2488206:-1 gene:Ma05_g03420 transcript:Ma05_t03420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGLRLATSFGKISFCCHFDVVLIKDARLWFGCYQKFRVGFRFSLSRRVSVSLRLNMALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKVEGNLPVYGFHDPASFVNSIQKPRVIIMLVKAGAPVDQTIATLSAHLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSLMPGGSYEAFRYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLTNDELQHVFSEWNKGELLSFLIEITADIFGVKDDKGDGHLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIAASLDSRFLSGLKEERVEAAKVFQSGGFSDVLESKPVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNAELSNLLVDPEFAKEILDRQSAWRRVVCLAINHGISTPGMSTSLAYFDTYRRERVSANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAKQSKI >Ma05_p03420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2484252:2488398:-1 gene:Ma05_g03420 transcript:Ma05_t03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKVEGNLPVYGFHDPASFVNSIQKPRVIIMLVKAGAPVDQTIATLSAHLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSLMPGGSYEAFRYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLTNDELQHVFSEWNKGELLSFLIEITADIFGVKDDKGDGHLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIAASLDSRFLSGLKEERVEAAKVFQSGGFSDVLESKPVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNAELSNLLVDPEFAKEILDRQSAWRRVVCLAINHGISTPGMSTSLAYFDTYRRERVSANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIAKQSKI >Ma07_p10660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7938860:7949710:1 gene:Ma07_g10660 transcript:Ma07_t10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MLCVLRPSPCLPLRRFLCKCSAPDMSRYREAFARRMAMAGIKPHHRIAFGVSGGPDSMALCVLTAGWKLDGSVTKNECSGFIDGLLGIVVDHRLRAESTEEAILVRDRVNKMGVKCEIGTCNWSGGRPKHGHLQEAAREMRYQIFEDVCIKQHIGILLIAHHADDQAELLILRLSRNSGVLGLAGMAFVSQLFPVSLRYGENPAYDGILLVRPILEFTKDDMYKICQGANQEWVEDPTNQSQLYARNRIRSSLRCLSSDLFQLELQRLISACRLARSYVESICHKMIKHSVTIMEHGYAVIDLEKLKPSSVDDLCLSRYLAWILQYISQRHRPIRGSISQLLLGYIHNFPCKTSLTAAGCYLSPAPRSKGTKLLVCYSVDSPQSSRTEELCCKYSLEEEHFMLPSEIHQIVIDAKLYSDQFLPEASGVPILHARSSTAILTEARKLNLISDSTLESISSLQAEEYKKFSSEGEVKPPYDLRYKVQCVSPSSVNIHPSQSCLFMNRFLVTWKHHNKQDHACQFCMVEQETSVGIRHMVDADWLFLAELSKGQGLEYDEDHAETPVSNLNKNVEQRGESSSFIQLSAQRALKALKSIPVPARKALPVLVSSKGLLLSIPCICFRRCPCLSVATVFKPRVPLGGGYSSYI >Ma07_p10660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:7938860:7949277:1 gene:Ma07_g10660 transcript:Ma07_t10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MLCVLRPSPCLPLRRFLCKCSAPDMSRYREAFARRMAMAGIKPHHRIAFGVSGGPDSMALCVLTAGWKLDGSVTKNECSGFIDGLLGIVVDHRLRAESTEEAILVRDRVNKMGVKCEIGTCNWSGGRPKHGHLQEAAREMRYQIFEDVCIKQHIGILLIAHHADDQAELLILRLSRNSGVLGLAGMAFVSQLFPVSLRYGENPAYDGILLVRPILEFTKDDMYKICQGANQEWVEDPTNQSQLYARNRIRSSLRCLSSDLFQLELQRLISACRLARSYVESICHKMIKHSVTIMEHGYAVIDLEKLKPSSVDDLCLSRYLAWILQYISQRHRPIRGSISQLLLGYIHNFPCKTSLTAAGCYLSPAPRSKGTKLLVCYSVDSPQSSRTEELCCKYSLEEEHFMLPSEIHQIVIDAKLYSDQFLPEASGVPILHARSSTAILTEARKLNLISDSTLESISSLQAEEYKKFSSEGEVKPPYDLRYKVQCVSPSSVNIHPSQSCLFMNRFLVTWKHHNKQDHACQFCMVEQETSVGIRHMVDADWLFLAELSKGQGLEYDEDHAETPVSNLNKNVEQRGESSSFIQLSAQRALKALKSIPVPARKALPVLVSSKGLLLSIPCICFRRCPCLSVATVFKPRVPLGGGYSSYI >Ma07_p14700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11049287:11049770:1 gene:Ma07_g14700 transcript:Ma07_t14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRINLTVDNRVGEIGGSSNLGSWKSIKWWKRCGKLELILGQSVENILGLQTLQTLHSKLLRSKTKLWSEAVASESPG >Ma01_p09710.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6952039:6967421:-1 gene:Ma01_g09710 transcript:Ma01_t09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKIKPRDVCVVGVARTPMGGFLGALSSLSAMQLGSMAIKYALRRANIDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIELGINDVVVAGGMESMSNAPKYIAEARKGSRFGHDSLVDGMLKDGLWDVYNNVSMGICGEICADHHSITREEQDAYAIRSNEHGIAAQDSGAFIWEIAPIEVSAGRGRPSVIIDKDESLEKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLQIIAKIRGYADAAQAPELFTTAPALAIPKAISNAGLEASQIDFYEINEAFSVVALANQKLLSLPSEKVNVHGGAVSLGHPLGCSGARILVTLIGVLRERHGKVGVAAVCNGGGGASALVLELVPHAGHKRSLL >Ma01_p09710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6952039:6967388:-1 gene:Ma01_g09710 transcript:Ma01_t09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKIKPRDVCVVGVARTPMGGFLGALSSLSAMQLGSMAIKYALRRANIDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIELGINDVVVAGGMESMSNAPKYIAEARKGSRFGHDSLVDGMLKDGLWDVYNNVSMGICGEICADHHSITREEQDAYAIRSNEHGIAAQDSGAFIWEIAPIEVSAGRGRPSVIIDKDESLEKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLQIIAKIRGYADAAQAPELFTTAPALAIPKAISNAGLEASQIDFYEINEAFSVVALANQKLLSLPSEKVNVHGGAVSLGHPLGCSGARILVTLIGVLRERHGKVGVAAVCNGGGGASALVLELVPHAGHKRSLL >Ma01_p09710.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6952305:6967421:-1 gene:Ma01_g09710 transcript:Ma01_t09710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKIKPRDVCVVGVARTPMGGFLGALSSLSAMQLGSMAIKYALRRANIDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIELGINDVVVAGGMESMSNAPKYIAEARKGSRFGHDSLVDGMLKDGLWDVYNNVSMGICGEICADHHSITREEQDAYAIRSNEHGIAAQDSGAFIWEIAPIEVSAGRGRPSVIIDKDESLEKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALELGLQIIAKIRGYADAAQAPELFTTAPALAIPKAISNAGLEASQIDFYEINEAFSVVALANQKLLSLPSEKVNVHGGAVSLGHPLGCSGARILVTLIGVLRERHGKVGVAAVCNGGGGASALVLELV >Ma02_p15710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23306815:23308561:1 gene:Ma02_g15710 transcript:Ma02_t15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDGDERRGDDGSTPRCSEVSGGDEALKRRRMGRHGRTLLLLLAIVGGDSLYCEMTIMPSVSEPNLFRCSPGAHCDVGPAAYLL >Ma10_p30180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36538299:36540849:1 gene:Ma10_g30180 transcript:Ma10_t30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCFDCSSSTLLCAEDNDSILGFDDEEEKGGHRLSWVPEPKRCDFYGDLLVDFPLQSDEFLSLLVKREPEHLPREDYGERLRSGTLEPSIRRDAIDWMWKVHAYYNFGPLSAYLSVNYFDRFLSEYELPQGKTWMTRLLSVACLSLAAKMEKTDVPQSPDLQDGEAKYVFEARAIMRMELMVLNTLKWRMQAVTPFSFIDFFLHKFNGDDVPNQSSIVRSSELILSTTRGIDFLAFRPSVTAAAIALLVLGEIQNVDVQKALSCCSHVAKEGVLECYEVIQDKVLMRKQSAKDVSLSASSVPQSPIGVLDAPCLSYKSDDATVVSHATCLGPSPASKRRKISR >Ma05_p23120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:35123278:35136286:-1 gene:Ma05_g23120 transcript:Ma05_t23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTPTLLVYPLHRTLASISPSGFFLSCARFLGLRTWGRSINVLRSPVGSRVSSKRWNNGIIYFSLSDDLDSGNGTSVDGYVKKRNIVEHILLLRAKENLSDVEEKDMLDYLYTSQYQMSGIIAISLGRIECPNLDNFTHAVYMRFQRKEDLAKFYSNSYYSRILKEHVTPYCYGSISVDYESEVEDDIIPIFRRGEEFNYGVECMLLISISKTAPEHAVEDALASLWNLTLDFGSDIVQATQGCNLNVADSEYTHAAVMRFPSFEAFKIFTESPIYKDMWKLKFHAITGKALLLHFLVDPVGTELM >Ma03_p33310.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34716877:34719736:1 gene:Ma03_g33310 transcript:Ma03_t33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTQWSFGLRCALLSVLIASLHGMTTESFRHKHHRGNGTSARRRERANGISCNMFQGSWVYDDSYPLYDSSTCPFLEAEFDCQRYGRPDKEYLKYRWKPDACELPRFNGQDMLGRLKGKKIMFVGDSISLNQWESLGCMLRAAVPNAKTTYTRKTPLSTITFEDYGVSVMLYHTTYLVDIVSEPIGRVLKLDSIQSGSAWLGVDVLVFNTWHWWTHKGSSQPWDYVQDGDQVYRDMDRLVAFNKGLTTWAKWVDSNIDSAATKVFFQGISPTHYQGADWGEQNTNNCNKQTQPVAGSTYPGGPLPAQGIVNSVLGAMSKPVYLLDITLLSQLRKDAHPSAYSGDHSGMDCSHWCLAGLPDTWNQILYAALV >Ma06_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10446424:10447810:-1 gene:Ma06_g15400 transcript:Ma06_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTHPLASMIPWQISTASDSRTKMAKTSRLCSLLLRLLAAAATLCATVVMATSRDSTTIFGLTLDAKFQYTPSFEFFVVANAVGCGYTLLVLFVPPTTSLSRLVIVFDVTVAMLLTAATAAAGAMAQVGKKGNSHAGWMPICGQVPSFCDHVTGALICAFVGVIAYFLIVLHTIYTLLSPLFP >Ma09_p30740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40711388:40711737:1 gene:Ma09_g30740 transcript:Ma09_t30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINDAAPFPPIVGSIAGSLSSSSAQDADLR >Ma03_p18540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24133954:24134851:1 gene:Ma03_g18540 transcript:Ma03_t18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIRSLFRCHRSKRPTGHSHSEAPRPAAPPAADPGDLERVFNKFDANGDGKISSAELAAVLEILSGQPPSEEELGRMMREADVDGDGFISFAEFVDLNTAPAALEEDLRLAFAVFDLDRSGSISADELAHILGGIGEGASLAQCRRMIDGVDRDGDGLVSFEEFKAMMTAGGSSAHAFAATT >Ma06_p05680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4227417:4228614:1 gene:Ma06_g05680 transcript:Ma06_t05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDHMLVQYIQKHGHGSWRALPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFAPEEEQAILNLHSILGNKWSAIAAHLPGRTDNEIKNFWNTHLKKKLIQMGFDPVTHRPRTDFFDALPQLFALAQLGELVDGQPRDDLAALLQAEALDQAAKLQFLQSLLQSACTMPNTSNTTISTNTLLSTRMTSSFPSILSPTPPQNIIDSHNQIDQLPSSFFEPPTPNETTQYSHSTGFGESPLPPLTDISVANQGNACSSSSISSCGGTETLFFWPDVLLDDQFMTESAEIF >Ma04_p02710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2257660:2263552:-1 gene:Ma04_g02710 transcript:Ma04_t02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLGPHNPPPPEQGAFVPAVPSSHPEMAQDKASVVEGNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGNGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRSIDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLRHYSSVSQRMPLIYVKLYTYQIFRGLAYIHTVPAVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPLEAIDLTSRLLQYSPSFRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELIDKLIPEHVRRQSGLSFLQMPGT >Ma09_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:7383364:7387423:1 gene:Ma09_g10900 transcript:Ma09_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGLLGGKFSNKCKHAAKCIKARMGPIRNRKQALVRIVRKDVANLIAAGHETKAFEKIDLLIVDINHISCYDMIEQFCEYILSQLPSLQKQRDCPPEAMETISTLIFAAARFSDLPELCNLRHAFTERYGSQMESCVDAEFVEKFQKKSFPKEKKLQLMQNIADEFSVRWDSKTLGHQSHNAMAHGSTQPKDVAPSHTENNGASPAQVTIQEAIRSKGKYGSNPIGVVQRQQVAMELDNIQVISAMSSRQSHDPVEKTQKVVVSHDRVDTEPYQTKNAAPSYVKPRRDRDGIHKDDLSRSRAKKVQNELDPSTEKPQVGSVKSWNVKPGTMDLPDTKSNGDEYHVEETRRHGIVPPYTKVNEIKNGNHVEERSGNVPEYDSLQRHEEGSHPTGTEKRSVRPATNNGRTAYVIPPYVKPRFNDVDTNADKTDRNTGISLDKSDGTEDADHRNEQVVHDEKPKPVSVRRKFQKPPVTETNGSTIDVEKPTSHAPGGQRRYKSRPSTNTNDDNYVEEKATIRESRPPIDDEMSNSIDYGKLLHRAPDGRRRHGGRHIAAVYDEEEMVMDNLLLRYSRKGAAKEPSKERTRARTPTTNHVDPDRVENPGNDKIHPVPREKVPPPERILSLPMEPVTPAKVKEPARVTSMQPSGGRLHPKLPEYDYFAARLTDLKKT >Ma01_p06070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4320419:4327306:1 gene:Ma01_g06070 transcript:Ma01_t06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEESPSPTSSGKHCRSADDHEAETSSKRRKHRHRHHHRHHSRRGRESDDGAEEAARLAEAVTASCPVPKPADEEREEGEILEDDEEMANLDHTKVDGFVTDGVSDSGSGEIKPDGVDVYSNLIVPGVKDSSGKNVLVLSNKQNTLKDEKMLDLAWSCENSSLNDTAWMEVDTSDGENCVWKAESITKSLELNDNKQMQTDRNDSESQVRSRSPVSKESSHAAKDRMSYDHVKKTSDSDSDKVDSKQYMESKDANRRATDRNRSVSPKSSQERYKNTRRSPASIRYHVEDKYRDKSRPIDHRKRRSYEKVSEHSDMGDDQVGLQESKRDYSNRQHKLDRCAARNRVSDIEIPNERESSGKRRHDDRHRSQERDKERERSSSSVRDTDKTQLDKRSHREKERSSNHSRYDRQADKHEIWDRESGKEKYSFSTRDRVRDKPRDLNREKYVERERLRESKHEKKRDDSDRDKNKSRDREIIAHKGKEHGDQMETDRISSRYRHRESRHPKYDEVEHHKDKSRSEAGFKKDNSDKDTQKSIREEEEEEDYQDKIEQQLAKQDEDDVDMIKNESRKRRQAILEKYQQRQLQQVESSSDGNVNESEKATSDKENLQGLLGMSVDNKVNNEELENRDDSRNVFDVGPSFSVGKSPVQNGDLADKKMNNVGGLGEGTPKSEISADMFTDDMFGESPAGVCVKGKCEGPQIDRSYLHDNWDDAEGYYSYRFGEVLDGRYEVVAAHGKGVFSTVVRARDLKAGKGDPEEVAIKMIRNNDTMYKAGQDELVILKKLVGADPEDKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLRNCGVLHCDIKPDNMLVNEPKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPMDVWSVGCCLYELYTAKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTDHHFDQDLNFHATEEDPVTKKPVKRLLINIKPKDIGALMSGFSEEDPKMLSNFKDLLDRIFVLDPEKRMTVSQALSHPFITGK >Ma11_p24150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27248892:27250673:1 gene:Ma11_g24150 transcript:Ma11_t24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPLLGSLLRGRRLESCLEAKVRSVEEHEEAAVRRPEKADDGWVSVAVSGARVVACFLTMMVTTAVWAGIMLLLLPWPYERIRQGNLYGHVTGRLMMWILGNPIKIQGSEFSTTRAIYICNHASPIDIFLVMWLTPIGTVGIAKKEIIWYPLFGQLYVLAKHLRIDRSNPAAAVESLKEAARAVRNNDLSLVIFPEGTRSRSGRLLPFKKGFVHIALQTRLPIVPMVLTGTHLAWRKDSLRVRPAPITVKYLPPIKTDEWEKDKMNEYIEMIHSLYVQHLPEAQKPSA >Ma05_p14250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10347235:10350271:1 gene:Ma05_g14250 transcript:Ma05_t14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKRWLEQCQNPREGNMERRKQRSSKAAPYQHSATRSSLRHFQLDRISMLFHLHIALSLLLALPSRASIESWDGIIISQADYQGLQAFKHALDDPRGLLRSWNDTGLDACSGAWLGIKCVMGKVIAIQLPWRGLGGHISEKIGQLSALRKLSLHDNSIGGQIPSAIGFLPDLRGLYLFNNRFSGAIPPSIGNCVVLQTVDLSNNSLTASIPSSIANSTKLYRLNLSFNNLSGALPVNITRSASLTFLSLQHNHLSGPVPDTWGATNGGSQVYQLQTLYLDYNSISGNLPISLSRLRMLTEITVSNNRLSGSIPEDIGTLPMLQILDLSHNNIGGSFPATLCNLSSLVRLNLEGNHIDNQIPDAIDGLKNLSVLSLKRNLVGGVIPATLGNITNLSQLDLSENNLTGKIPASLTHLTALTSFNVSNNNLSGQVPLLLAQKFNSSSFRGNIQLCGYSSSVPCPSSPAPNLPPPSNSAGQPHAKLSTRAIILIVAGAVLAVLLLLCCVLLWCVIRKRRSSGKKTDGDAAATGRAEKPGAASGAEVESGGEAGGKLVHFEGQLAFTADDLLCATAEILGKSTYGTAYKATLEDGNQVAVKRLREKITKSQKEFEAEVSELGKVRHQNLLSLRAYYLGPKGEKLLVFDFMHKGSLAAFLHARGPEISIDWPTRMKIAKGITGGLLYLHGDLNMIHGNLTSSNVLLDESANAKISDFGLSRLMTNAASSTVIATSGVLGYRAPELSKLKKANTKTDVYSLGVIMLELLTGKSPGEVMNGMDLPRWVASTVKEEWTNEVFDLELMRDAEGTTTGDELLNALKLALHCVDPSPAARPEVQQVLQQLEEIKPDAAGGATGSGDDGGSATAASTSID >Ma08_p09180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6654426:6656053:1 gene:Ma08_g09180 transcript:Ma08_t09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLNSSFTGASSACLLHRERSRRLNVPVVTCRQGNNDDRSDAARQQKSPSLLDRRDMLLGLGGLYGLTAGPKVLAKPIMPPDLSKCHDAKAPALDNHCCPPYNPSETISEYGFPATPLRVRRPAHLVKDDQEYLDKYKEAVRRMKNLPADHPWNYYQQANVHCQYCNYAYYQQNTDDVPVQVHFSWIFLPWHRYYLHFYERILGKLIDDDTFTIPFWNWDTKDGMTFPAIFHEESSPLSDTKRDQRHVKDGKIVDLKYAYTENPASNSEIIRENLCFIQKTFKHSLSLAELFMGDPVRAGEKEIQEANGQLEVIHNAVHMWVGEPCGYKENMGDFSTAARDSVFFSHHSNVDRLWEIYRNLRGNRIEFEDNDWLDSTFLFYDENEKLVKVKMGDCLNPTKLRYTFEQVPLPWLGKINCQKTTETKSKSTTEMSLTRVGEFGTTPKALDASNPLRVIVARPKKNRKKKEKQEKVEVLQINDIKVTTNETARFDVYVTVPYGDL >Ma10_p08570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22913189:22916037:1 gene:Ma10_g08570 transcript:Ma10_t08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALACVDAAAPPPGYVFAWDGALPAPGIFPGDTPTTAGGVTADRPSDWSTDLSASLYRIEGWGAPYFCVNSDGDIAVRPHGAATLAHQEIDLMKVVKKATDPKSAGGLGLRLPLLVRLPDVLKHRLESLQQAFDFAIRSNVYGSRYQGVYPVKCNQDRYIVEDVVEFGAPFRFGLEAGSKAELLLAMSCLTRGSPDAFLICNGYKDEEYIALALFARSMDLNTVIVLEQEEELDTVVDTSQRLGVRPVIGLRAKLRTKHSGHFGSTSGEKGKFGLTTAQILSVARKLQRLDMLDCLQLLHFHIGSQIPTTSLLSDGVGEAAQIYCELARLGAAMRVIDIGGGLGIDYDGSHSDASDMSVGYGLDEYAGAVVRAVMFACDRKRVRHPIICSESGRALVSHQSVLIFEAVSSNATRAAPLSSVGPNSALFLDELADDARSDYNNLMAAAYCGEYETCAVYANQLKQRCIDHFKDGVLGLEHLAAVDGLCDLVAEELGVADPVRTYHINLSLFASMPDFWAIGQLFPVVPIHHLDQQPGVKGILSDLTCDSDGKVDRFIGGQSSLPLHEIKGGEYYLGMFLGGAYQEALGGLHNLFGGPSVVRVAQSDGPHCFAVTLAVPGRSCADTLRAMRHEPEAMIAALGHRAGDCAAGDAVLCAIARAFDSMPYLVRGAEEEEERESMMRCLGL >Ma09_p23370.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35224292:35225808:1 gene:Ma09_g23370 transcript:Ma09_t23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRSKRRRACSPEPNAVVYAGFPCKYVGYLLPALARATSGAKEQKIEQVVRFQVDMALVLSATGFKWSRALKHKLERSDHIIELQRHPIADSIDSKLQLFLPPTVVVPRPLSLSLVRPKSLSRRSYISCSNNSVRGDDEFSRRMRALQRILPGGSEMRPRELLSEVKSYMVCLQLQVNILRTLVEIH >Ma10_p10180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24235274:24236893:-1 gene:Ma10_g10180 transcript:Ma10_t10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSGKEWPQMMDDRLLVTEKYNSGSNGTNTNKNNTIHAGSATLDVSGVMNKPLSASEHAQAALRCPRCDSSNTKFCYFNNYSLSQPRHFCKACKRYWTHGGALRNVPVGGGCRKNKRAKMPVAASTGPPNRPQPHLLAPPDPIPSISVQISSSSYLGDAASLYALQTAAASSSSDMSPTLPIVASSNQIPSFTSAAFDLQSHLGSLGLDLSSKPQRDYEYYLGELQPLPSLSSTAMPLLNDHPLSGSSLQSPPLVAPSTKHPKRTEDYQALCSFNELQASGRNWRMDRMMKSVKLEGQTNDMINSNISSYIDWQIPNPTEISLDNFGPAAAMYWNSAIGSGSSWPENTNLGSSLTPLI >Ma10_p30380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:36706666:36708804:-1 gene:Ma10_g30380 transcript:Ma10_t30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIDMYNRNPFTTADPFDEELMKALEPFMTSASASSPLPPLPPPPPPPPPSSTSSTTTLTYSYPSLTSTLPPSTFSSSPRFSTPSSLQNPNLGCYSLQNPTVGGYLCQDPALDACSPSPSVAGSVPERFCSLDRLGVGSVGRVGLTQLSPAQIQQIQAQFLFQQQQNLLAVRQLQANRHHHQAASFLGLRPQLMKHTGSPAAPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHAAAAAPGPLLSTVDAKLQALCQGLANSSKSKKRSSPLPSSTLKPITESATIGSGDPPPTVTKEAAPKAGSEDNKSGSSSEGEDSSCSPPVLEMQHLDFTEAPWDESETFMLQKRPSWEIDWDSILSSSS >Ma11_p15160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20853004:20856662:1 gene:Ma11_g15160 transcript:Ma11_t15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSHPFLHLLLLLFLFDSYLLRQKLVHGNEELTALMELKASLDPENRILTTWAGDGEACRRGFEGVACDEKGKVSNISLQGKGLSGCISPAVARLKSLTGLYFHFNNISGEIPRGIANLTELSELYLNVNNLSGSIPEEIGDMDGLQVLQLSNNKLSGSIPPKLGLLKKLNMLDLQSNHLSGAIPATLGDLIGLTWLDLSFNQLFGSIPVKLSQLPQLTVLYVQNNSLSGSIPPELKRLGENFKYGSNTDLCGTGFTDLRICTSADLLNASRPEPFSAGLTPQDIPHFVNISSHCNTTHCSSSSKSANLAVFITITVVVFGIMISGLMAFVWYRRRKQKNGLLSTDLAKFSYQRSASPLISLEYSNRWDPMTDQSSGISLSQEISQNYKFNLEEVECATQYFSEVNLLGRKGSFAATYKGILRDGTKVAVKRISKTSCKSDEVEFLKGLKILTLLRHENLIRLKGFCYSRARGECFLIYDFVANGSLSQYLDVKGDEIQKVLEWPTRVSIIRGIAKGIEYLHSNRTNKPPLFHQNLSATKVLIDHQSNPLLSGSGIHKLLADDVVFSTLKTSAAMGYLAPEYATVGRFTEKSDVYAFGVIVFQILTGKTRITHLESGKLEDLIDENLQGNYPKPEAAKLAGVALLCTSEVPDQRPTMEAVLQELSSINSSSSY >Ma02_p03400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:14758241:14760888:1 gene:Ma02_g03400 transcript:Ma02_t03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDFAQGFGLATFPNPSFVHDFICFALGEGSQVEGICTIRMAKGLIWATTEDLARNRAKVLSLYRQILRSLNSPELPLTHAARLAKKAEVRSIFLFGAEERSLHNIADLIDAANYSLSILKQGRLP >Ma11_p15120.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20822087:20824233:1 gene:Ma11_g15120 transcript:Ma11_t15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRRASILTHPFAALILMVLTSFFPVATTANRQRHQHSHPDVQHERRKMATKKKKKKPPPSPPTETQLPPSSSSSWEQFKGLLSCRITAASRVHDPASTAGKLGRAACGPSICALRDVVVHRSDTDPNSDAGSTSQRETAPLAPAVRPARHLPPPVSSLGCGSYYSRGGMQLPKLAGCYECHAVSIDPSSRRYPRPRTTLCSCSECGEVFTKPETLELHQAVRHAVSELGTEDSACNVVEIIFKSSWQKKDRPICKIERILKVHNAPSAVAPFEDYRAAVKFRRRHHPSRCAADGNELLRFHCTSLACALGARGSTSLCSGSSSSAPCSVCTIIRHGFGGAHHPRGVRTTASSGRAHDASGGGERRAMLVCRVIAGRVRRPCDEEEDEDEDAYDSVAVGDSGGNLEELLVANPTAILPCFVVIYRALLD >Ma11_p15120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20812691:20824233:1 gene:Ma11_g15120 transcript:Ma11_t15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRRASILTHPFAALILMVLTSFFPVATTANRQRHQHSHPDVQHERRKMATKKKKKKPPPSPPTETQLPPSSSSSWEQFKGLLSCRITAASRVHDPASTAGKLGRAACGPSICALRDVVVHRSDTDPNSDAGSTSQRETAPLAPAVRPARHLPPPVSSLGCGSYYSRGGMQLPKLAGCYECHAVSIDPSSRRYPRPRTTLCSCSECGEVFTKPETLELHQAVRHAVSELGTEDSACNVVEIIFKSSWQKKDRPICKIERILKVHNAPSAVAPFEDYRAAVKFRRRHHPSRCAADGNELLRFHCTSLACALGARGSTSLCSGSSSSAPCSVCTIIRHGFGGAHHPRGVRTTASSGRAHDASGGGERRAMLVCRVIAGRVRRPCDEEEDEDEDAYDSVAVGDSGGNLEELLVANPTAILPCFVVIYRALLD >Ma08_p13130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10427188:10429269:1 gene:Ma08_g13130 transcript:Ma08_t13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTARRAQLHHQKALQLWKYYKWILWLALSVYLFLTTTSSSSSSFLSHPLHLKPNLPARALIEAREPSSSPLPKVYVYELPSRYNKDWLSDPRCGSHLFASEVAIHQALLGYPGRAVDPNDADFFFVPVYVSCNFSTPNGFPSLHHARPLLSSAVDFVSSRFPFWNRSHGSDHVVVASHDYGACFHAMEDVAMADGIPEFLERSIILQTFGVRSPHPCQRAEHVLIPPYVPPGIEDVRPAPEKARRDIFVFFRGKMEVHPKNVSGRFYGRRVRTEIWRRYSANPRFRLQRKRSGDYRAEMARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADDIRLPFPEAVRWPEISVTVAEADVGRLEATLDHVAATNLSAIQRNLWDPARRRALLFHRSMEQGDATWHVLRALEGMRLRRRPWRPR >Ma01_p22580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:25420018:25445788:1 gene:Ma01_g22580 transcript:Ma01_t22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAAMGEEVAAMSKKSTAMRKAVVAEQSSTPEQEAATPEERCDKNGDGKLTEDEVKRENKLSKVKAHAVTYAALVMKELDPDNLGYIEIAQLETLVRGLVNSQGSERTLRRSHGLGKSMTTRLYRHRTNRPVRKTADFIHENWKRKWVLSLWMMLNKALDAWKFEQYRRRSAFQVMGYGVCIAKAAAETLKLNMALILITVRRNMLTRLRLTALNSIFPFDDNINFHKIIASAIAIGTVVHTLAHVTCDFPRIITCPKSKFMTTLGLNFNDKQPTYPSLLASFPGVTGTLMILIMTFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWHAHHLLALTWMYLTIPVLFYACEGLIRKLHEKSYCVAIIKAVIYPGNVLSIHVKKPPGFKCKSGMYLFIKYPDVTPFEWHPFSITSTPGDGYLSVHIRS >Ma05_p16500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:16817329:16820114:-1 gene:Ma05_g16500 transcript:Ma05_t16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYSLSLSLSLSLSLSPSLSFLDARSALHYDVYLIARRLMDSSEKGFIIISTTINYRSDLKYTSFFFVNVISHFCYSGVHIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQFTGAHPIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKLSIGCLFWLLARMVLQMRGTIPLGRKWEVMVDLFFYRDPEEAKEQEEAEAPVAPEFGAVPDYGAMVPNDQWTTEQWMPDAAVPAGVPPVAGVEWTTGQAPVAAEGWDAAAAPPVEGVVSPGVPSGWEAAAPAAPAPSGWE >Ma00_p01380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:6846741:6847163:-1 gene:Ma00_g01380 transcript:Ma00_t01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVSSSLSRLFLVGLPLFFLFYFCFAAVPSLAAYGGGGRMVGGRTEVRDVESNKEVQDLGRYSVEEYNRHQGPAHPLTFARVVGAQRQVVSGIKYYLRVLATEGDGGSAGQQRTYDAVVVVKAWLGSRELISFVPITH >Ma02_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10810328:10812110:1 gene:Ma02_g01680 transcript:Ma02_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKVAVLSISAIVLVAVVATVAVTVSNRRSQSADPQLSTSVKNVQDFCRPTDYKETCENTLSSVAGPDADPKELLNLAFNITVKHINDAIDHSTVLSEAAKDPSTSEALENCRELLDYAIDDLRRSVDRLGDFSVANLDKFLDDLKIWLSATITYQETCVDGFEGTTTNAGESMRKMLNSSSELTSNILAIVNNFDDTLSSLNLPINRKLLAEGYPSYVTPGKRRLLATSTADLKPNVVVAQDGSGDVKTIGEAISRVPNKGADIFIIHIKEGEYKEKVHVNKSATNVMLIGDGPTKTKITGSLNYVDGTRTFDTATVAVVGDGFVAKDLWIENSAGAEKHQAVALRVQSDMSVFYNCRIDGYQDTLYVHTHRQFYRDCTISGTIDFIFGDSASIFQNCLILARKPLDNQQNIVTAQGRKMRQQASAIILHNCTISADPAYYDFRTKLPTFLGRPWKMYSRTFILQSQIDDLIHPDGWLPWFGDFGLNTCFYTEVDNRGPGADKSKRVTWKGVKNIDYAHAKKFSVQQFLHGGKWLPRTGVPFTAELLPEGSLK >Ma09_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:10727877:10732546:1 gene:Ma09_g15400 transcript:Ma09_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLGGGGGGGDGGSPLHDPDDDEATGAGTPGTRQAIKALTSQIKDMALRASGAYRHCKPCSGAAAGGRRRHHHGGYADSEAASGSERFHYAYRRAGGSAASTPPPAMGRELQARLKALSSGERTPSVSGRTEASLEAASAFLEDGDEEEPKEWVAQVEPGVLITFLSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNRHAMPLPTPPRSEDESSKEDSPVTPPLSKERLPRNFQRPLIGGGGGGGGGMGYSSSDSLEQHPSYRAHGHHHPHHLRHYYDSGGLTSTPKLSSISGAKTETSSMDASVRTSSSPEEVDRSGELSASVSNASDLEREWVEEDEPGVYITIRELPGGIRELRRVRFSRERFGEMHARLWWEENRSRIQEQYL >Ma06_p09090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:6411067:6413566:-1 gene:Ma06_g09090 transcript:Ma06_t09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLSPYKMGKFDLSHRIVLAPLTRSRSYGNVPQPIAVLYYSQRTSNGGFLIAEATGVSDTAQGIPHTPGIWTREQVEAWKPIVNAVHAKGGVFFCQLWHCGRFSKPEYQPNGEDPVSSTDKAAPPYVYDNGYVDEFSPPRRLRTDEIPGIVNDFRVAARNAVEAGFDGVEIHGAHGFLIEQFLKDSVNDRDDEYGGSLDRRCRFALEVVAAVADEIGADRVGVRLSPFADYGGCRDSDPEALALHLIRQLNQNGVLYCHMVEPEMTVVDGRLRIPHRLLPMRQAFKGTFIVAGGYDREEGNRVVADGYTDLVAYGRLFLANPDLPKRFELDAQLNKYERLTFYTHDPVVGYTDYPFLESSASSMR >Ma05_p06840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5024014:5025654:1 gene:Ma05_g06840 transcript:Ma05_t06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMATASSYWCYRCSRFVRVWPQDAIVCPDCDGGFLEEVEIPPTRLSPANESRRRRRIPSDGAAHALGSDGSNVAARPREPSELRYRRNRRASAGDRSPFNPVIVLRGPSDGPRDADRAATTSSFELFYDDGTGSGLRPLPESISDFLMGSGFDRLLEQLAQIEINGIGRGMGSAHSPASKAAIESMPTIEIVDDHIGRDCHCAVCMDPFELGTEAREMPCKHIYHQDCILPWLSRRNTCPVCRHEVPTDARGRAVAGDEQAAVSGNEGETVGLTIWRLPGGGFAVGRFAGSRRAGEREFPAVYTEMDGEFSNNGAPRRISWTSRGSEGGGIGRAIRNFFSFFRLSRSTSSSSSFSSRPSSESQPSFSRRHEGGSVFRWRSRSRGNAIA >Ma07_p00480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:431367:433670:-1 gene:Ma07_g00480 transcript:Ma07_t00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRSREKEITTKQEGVPSDDILSATSSRLWPGLKESRIVRVPRAFGGKDRHSKVSTIRGLRDRRVRLSVPTAIQLYDLQDKLGVNQPSKAVDWLLNAAQHEIDKLPPLPFPPASFTRFCGSLPISQGANLSGACTTADDVACTTHRNVKCAADEIGDCHLALFSSKTNDEGIGNKVFEPVKVASFALSSRAGTDATEGGNSIRVMEAENDGVGRYHARVSADVSLPRPNDSSLAGLGSNVISYDSCYHLDSASLDGYYEHQLGGHSVPSPLSLSSGSQLVFYASGGMPSVFSPYMTTLNGLNPVQLNHFQAAASQSSSANLLRSGSPIMVRPSHPRSSSDHHNPYNHQPN >Ma00_p01840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:12569886:12572309:1 gene:Ma00_g01840 transcript:Ma00_t01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSAPEELATATQVQGPYMPIARQKPTVELVKVTDEMKSFKAYATLRVERMNKRQVGARLKKAAEAEKEEKK >Ma01_p00770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:556260:557903:-1 gene:Ma01_g00770 transcript:Ma01_t00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIHITLIIMSLFNTHRCLVPDLEKASHSCTLSPTFAPGHGLVLLIPGARVSRMVFLQNGASCSRFHIFRWEIMFLVSIFSWMMMMIVMVVVVDSAPSKW >Ma10_p26750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34282142:34283752:1 gene:Ma10_g26750 transcript:Ma10_t26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCFDLSIPFLEGDSGGGGASSENKARKDAWLKAVVRAMELGYAGVLSDADRCKIAPPSFFPPSSRPPLPSTATSSGAPPSPPPFRHYTRLTVSAHGGAFTVALNGSALLRTYDLVALRPLNQDAFDEACESSEVDIISMDFSQKLPFRLRLQSIKACYSDSHGLYFEITYTHLIADAHVRMQILSDAKVKPVIFLSPLI >Ma05_p08030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5963870:5969625:-1 gene:Ma05_g08030 transcript:Ma05_t08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTQASLLLQKQLRDLMKNPVDGFSAGLVDDSNVFEWNVTIIGPPDTLYDGGYFNAIMSFPSNYPNSPPSVRFTSEMWHPNVYSDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRESRDEFKKKVSRIVRRSQEML >Ma04_p23210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:25388043:25398553:-1 gene:Ma04_g23210 transcript:Ma04_t23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEELPIPIFSSLEPVFGEGSPLDEAQLRFQTLKAKFVEFFGHEPDVYARAPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKRDVGESAKLLRIGNVNPKYSMCTYPADPDQEIDLKNHKWGHYFICGYKGIYEFAKSKKADVGLPVGLDVVVDGTVPTGSGLSSSAALVCSSTIAIMAALDKNFPKKEIAQLTCECERYIGTQSGGMDQAISIMAKTGFAELIDFNPIRASDVQLPSGGTFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLAIKLGMSSNDAVSKVKTLSDVEGLCVSFAKNHRSSDPVLAIKELLMEEPYTAEDIEKITGESLTSIFANSPSSLDVLTAAKHFKLFQRASHVYCEAKRVYAFRDTVLSKLSDEDKLKRLGELMNESHHSCSVLYECSCPELEELVNICRDNGALGARLTGAGWGGCAVALVKENIVPQFILNLKESFYQSRINKGIISKNDLGLYVFASRPSSGAAIFKF >Ma04_p25980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:27590685:27593232:-1 gene:Ma04_g25980 transcript:Ma04_t25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSRP2 [Source:Projected from Arabidopsis thaliana (AT3G52150) UniProtKB/TrEMBL;Acc:A0A178VFQ5] MAAISSFLSPNLYHNPRECSGIPKVSAWLVAGASPANYRSLSSFSLSSSASCSVRRRRAFSILAVDSTATAEPEVARRLYVGNIPRTVDNEELTKMFAEHGSVEKAEVMYDKYSGRSRRFAFVTMNSVEDANAAIEKLNDTEVGGRKIKVNVTEKPFQTIDLSLLQAEESTFVDSPHKVYIGNLAKTVTTDVLSKFFSEKGKVLGAKVSRVPGTSKSSGFGFVSFSSDEEVEAAIASFNNAMLEGQKIRVNKA >Ma09_p20300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:29007392:29016447:1 gene:Ma09_g20300 transcript:Ma09_t20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRLNSPSTSAVMLEVLGHRLQLSQDPNSKHLGTTVWDASMVFVKFLEKNCRKGRFCPSKLKGKRAIELGAGCGLAGLGMALLGCNVISTDQTEVLPLLMRNVERNTSRMMQANPDSGLAASFGSIEVAELDWGNEDHIKAVDPPFDYIIGTDIVYAEHLLEPLLQTILALSGPRTVILLGYEIRSTVVHERMMDVWKQNFVVKTIPKAKMDSKYRHPSIQLFMMEVKDQSRYKNPGPTANQIPESSRGSDEDGQSCEAEEDARDATAGENIMDLEPGKIDDWEIRRLGSMAARLLRDVKIT >Ma08_p31270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42233756:42235813:1 gene:Ma08_g31270 transcript:Ma08_t31270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASGLRSPRCLRKAPGFSSRSCLLAIHGTNSSLSFDPKPRYSTLWLKSDSSISLSKQGRRLFGARRSIGVRASSSSSESSLPIAPLQLESPIGQFLSQILVSHPHLLPAAVDQQLEQLQTDREAEKNKEEPAPSGTDIVLYRRIAEVKANERRTALEEILYALVIQKFVEADVSLVPAISQSTDPSSRVDHWPPQDEKLERLHSPEAYEMIKNHLALILGQRLSDSNSVAPISKLRIGQVYAASVMYGYFLKRVDQRFQLEKSMKTLPWGSDKEEIAIKQAMPDESRPFTESRISNPELPSWSSPGFNKGAVGNGAKSSLLRSYVMSFDSDTLQRYVTIRSKEAFTIIEKHTEALFGRPEIVITPQGTIDSSKDELIKISFGGLRRLILEAITFGSFLWDVEGYVDSRYHFVTN >Ma08_p31270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42233756:42235813:1 gene:Ma08_g31270 transcript:Ma08_t31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASGLRSPRCLRKAPGFSSRSCLLAIHGTNSSLSFDPKPRYSTLWLKQGRRLFGARRSIGVRASSSSSESSLPIAPLQLESPIGQFLSQILVSHPHLLPAAVDQQLEQLQTDREAEKNKEEPAPSGTDIVLYRRIAEVKANERRTALEEILYALVIQKFVEADVSLVPAISQSTDPSSRVDHWPPQDEKLERLHSPEAYEMIKNHLALILGQRLSDSNSVAPISKLRIGQVYAASVMYGYFLKRVDQRFQLEKSMKTLPWGSDKEEIAIKQAMPDESRPFTESRISNPELPSWSSPGFNKGAVGNGAKSSLLRSYVMSFDSDTLQRYVTIRSKEAFTIIEKHTEALFGRPEIVITPQGTIDSSKDELIKISFGGLRRLILEAITFGSFLWDVEGYVDSRYHFVTN >Ma04_p17560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18009245:18016362:-1 gene:Ma04_g17560 transcript:Ma04_t17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVGPESSHEDGASKKEVHKVPFLKLFAFADTWDYFLMALGSIGACAHGASVPVFFIFFGKLINIIGVAFLFPTTVSHRVAKYSLDFVYLGIAILFSSWTEVACWMHTGERQAAKMRLAYLRSMLDQDIAVFDTEASTGEVIAAITSDIIVVQDAISEKVGNFMHYISRFIAGFAIGFARVWQISLVTLSIVPLIAIAGGIYAYVATGLIARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRSYRSALMNTYEYGKKGGLAKGLGLGSMHCVLFLSWALLVWFTSIVVHKKIANGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYSIFEMIERNTVNRTSAKTGRKLAGVDGHIKFVNIHFSYPSRPDVLIFNGLNLDIPSGKIVALVGGSGSGKSTVISLIERFYEPQRGHILLDGHDIKDLDLKWLRQQIGLVNQEPALFATSIRENILYGKDDATIDEIAQSAKLSEAINFIKHLPDRYETQVGERGVQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMIGRTTVVVAHRLSTIRNADIIAVVQGGRIVETGTHDQLMSHPTSAYASLVKLQETAHHQRPSPAEGPSIGRPLSIKYSRELSAKNTSLGASFRSDKDSGSRYAPEATDVAKAKPVSLKKLYSMVRPDWIFGVIGTLGAFVAGAQMPLFALGVTQALVSYYMVWETTQREVKKIALLFCGGAVLTVFFHVIEHLNFGIMGERLTLRVRERMFGVILRNEIGWFDDMSNTSAMLTSRLETDATLLRTIVVDRSTILLQNIGMIVTSLIIAFILNWRITLVVLATYPLMVSGHISEKLFMRGYGGNLSKTYLKANMLAAEAVSNIRTVAAFCSEQKVIDLYVEELREPSRRSFRRGQTAGIFYGVSQCFLFSSYGLALWYGSVLMGKGLASFKSVMKSFMVLIVTALAMGETLALAPDIIKGNQMAASVFEVLDRRTEVPPEVGEDVGRVEGAIEMRGVEFCYPSRPDVLIFRGFDLRVTAGKSMALVGMSGSGKSTVLSLILRFYDATAGKVMIDGKDIRRLRLKELRKHIGMVQQEPVLFATTIYDNIVYGKDGATEAEVVEAAKLANAHSFISALPEGYSTKAGERGIQLSGGQKQRIAIARAIIKNPAILLLDEATSALDVESERVVQHALERVMRNRTTVMVAHRLSTIHNADVISVLQDGRIVEQGSHSTLVENRNGAYFKLISLQQKH >Ma11_p15960.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21572508:21576825:-1 gene:Ma11_g15960 transcript:Ma11_t15960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNLDHDARQSELDRLFSKYGRVDRIDMKSGYAFVYFEDERDAGDAIRGLDGIPFGYSRRRLSVEWSRQGDRGPRYHDGSRSTGNTRPTKTLFVINFDPIRTRVRDIESHFEPYGNITNVRIRRNFAFVQFETQEEATTALESTNMSKILDRVVSVEYAFRDDDDRDEMRGSPRRGGHGRRDDSPCGRSGSPGYSRGSPSPDYGRARSPVYTRDNGFTYDRSRRPDYGRHRSRSPARRS >Ma11_p15960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21572508:21576827:-1 gene:Ma11_g15960 transcript:Ma11_t15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNLDHDARQSELDRLFSKYGRVDRIDMKSGYAFVYFEDERDAGDAIRGLDGIPFGYSRRRLSVEWSRQGDRGPRYHDGSRSTGNTRPTKTLFVINFDPIRTRVRDIESHFEPYGNITNVRIRRNFAFVQFETQEEATTALESTNMSKILDRVVSVEYAFRDDDDRDEMRGSPRRGGHGRRDDSPCGRSGSPGYSRGSPSPDYGRARSPVYTRDNGFTYDRSRRPDYGRHRSRSPARRS >Ma11_p15960.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:21572508:21576839:-1 gene:Ma11_g15960 transcript:Ma11_t15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLPTVYHALPSMNCTLALFMVKHVQQCLLTLDLTYTTSCFSCHYRRAPFSHSICSSGLQINAGYAFVYFEDERDAGDAIRGLDGIPFGYSRRRLSVEWSRQGDRGPRYHDGSRSTGNTRPTKTLFVINFDPIRTRVRDIESHFEPYGNITNVRIRRNFAFVQFETQEEATTALESTNMSKILDRVVSVEYAFRDDDDRDEMRGSPRRGGHGRRDDSPCGRSGSPGYSRGSPSPDYGRARSPVYTRDNGFTYDRSRRPDYGRHRSRSPARRS >Ma03_p01730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1207899:1213633:1 gene:Ma03_g01730 transcript:Ma03_t01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVSTTVAIYIDQACDLLSALATAEVLNRAATAWAAVRAAVVVPSMKAAVVVCLAMSVMLVVEKLSMALVALYVKVFGRTPERIYKWAPLSQDVELGSLAYPLVLVQIPMFNEREVYQMSIGAVCMLEWPYDRLIIQVLDDSTDLKIRELVQEECEKWSKKRRNIHYISRDNRNGYKAGALKEAMDLDYVKKCDYVAIFDADHEPPSDFLMRTIPFLIHNPEIGLVQARWKFENANECVMTRIQEMSLNYHFKVEQQSGSSTMAFFGFNGTAGIWRILAISEAEGWKERTTVEDMDLAVRATLQGWKFVYIGDLKVKSELPSNYKAYRYQQHRWACGPANLFKKMTTEIIMAKKVPFVKKFFLLYNFFLARRILSHNVTFFFYCIIIPASSFFPEVVIPKWGVFYIPIAITLLNSIGTPRSLHLIIIWIFFESVMSLHRCKAVFIGLFDAGRVNEWVVTEKAGNASKTIQTSTAAKKCPNKFWKRFHFLELGMGVLLLISACHNCIFRTNLYFIFIFPLSLSFLTMGSGFVGTYTAQQSS >Ma03_p17260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:22491734:22493065:-1 gene:Ma03_g17260 transcript:Ma03_t17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSHCSLVLPFFCIFVCYAAVAHGVRKQLPYALVVGTVYCDTCFHRELSKPTHFISVECGDGANKFSYRTVATTNQRGVFRVPLPPRISKHLHLIQACSVKLMKSEEPFCAVASSATTAGLRLKSWSHGVHVYSAGFFKFKPLDQPELCHRKPALRDRKIDQPALSFPLPTINLPSSPPGAGGVPLPTNPLFQPPSLLPPNPLQTPPTVLPQFPLQPPSPSFSYPPLPFLTPPPPPALPFPATPFLPLPRFPGLPLAFSSKKASP >Ma08_p14200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11303693:11309915:1 gene:Ma08_g14200 transcript:Ma08_t14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAADGEKRKVTLKSSDGEEFEVAEAAAMESQTIRHMIEDGCAESGIPLPNVTSRILAKVIEYCNKHVDASSKSGEDGATGRVADDDLKAWDAEFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >Ma06_p04030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2891159:2908442:-1 gene:Ma06_g04030 transcript:Ma06_t04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGQQTVEFSAVVRRAAEDSYLALKEMVERSRAPEDQRSDSEKKIDLLKFIAKTRQRMLRLHVLAKWCQQVPLIQYCQQLAATLSSHDTCFTQTADSLFYMHEGLQHARAPIFDVPSAIEILLSGGYKRLPKCIEDLVIQSTLSEDEQKPTLKKLDTILRSKLLEVVLPKEISEVTISDGIAVLRVDGEFKVFLTLGYRGHLSLWRILHIELLVGEKSGTIKLEETRRYALGDDLERRMAATENPFLILYTVLHELCVALVMDTVLRQVQVLRQCRWKDAIRFELISDGTAAQGANTSALQLAQDGELDSTGLKTPGLKIIYWLDADKNAGGSDFSSRPFLKLEPGQDTQIKCLHNSFVLDPLTGKEAIFSLDQSCIDVEKLLLKAIACNIHTRLLEIQRELSKSVNICRGTGDVVLKNDGSMVADLRKKDENSSIEDYFGDEVLKVRACGMSFITLGINIRNGRFLLQSSKNILLPSTLVDCEEALNQGSFSATEVFTSLRSKSILNIFASTGRFLGLEVYDQSLTSLKIPNSILQVSDLLVMGFPQCANCYYLLMQVDKNFKLVFNLLESQSDQDGKSSSFGDANQIIRFSRIDIGQMKIVDDELNVSLFDWEKLHSLPNIGTFNQVGEHNIGVDAALQRPGFSQSAFSSVVDEVFEFGKGPFPTTNHLASSYRMPPFSHLGTPSSGYQGLNAGVSSSNFEGELQQSQVHKVKKVSSSLTSSSLFDEANNLKGLIQNSATGSLSSSSPVRISPIHKLSTLRSDQDISSLKPPYSADVGQRPPVDEPPKEFNMIEGSGLGQLLPPLRTSCSPVSAPSMTPNIIESSSLNVPRSNSSPLAKPCQTPEIGAPTLDDNVAHKHVRKGRKQSLLDFVKLLPSFQGSEASFPQHKRRKILKLADSSSAASPSLPSIVSCRTGGCTYGDLLAEANHGITPSNLYVSVLLHVVRHCSLCIKHARLTSQMDSQDISYVEEIGLQIPSSNLWLKLPFARDDSWQRICLRLGKPGTMCWDVKINDPYFRELWELHKGSTTTLWGSGVRIANTSEIDSHICFDPEGVVLSYKSVEDDSIQRLVSDLRRLSNARLFAHGMRKLIGLGTDDSSDHITNLDLKVQTKGTGDLVDKLSEQMRKAFKIEAVGLMSLWFSYGSMPVIVHFVVEWEADKDCCTMHVSLDQLWPHTKFLEDFINGGEVASFLDCIRLTAGPLLALCGAIRPARIPVPVSAGHSLVQKQNNFMSSHGLMANPSATAIQVSSSAAATTTLMTQLGSNSLQAAAVLSATGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFAVDMRCFAGDQVWLQPATPPKRGPAAGGSLPCPQFRPFIMEHVAQGLNALEPNFSGASHAGVQLGSSNANVNSVSQPLAPNTNRVNSATGTGTSRSNSVLGNPVGGTIGRAGSAMLASSGLASGISGLPLRISPGTCFPVHVKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLIKEILGILRDNEGGLLNLDQEQPALRFFVGSYVFAVSVHRVQLLLQVLSLRRFHHQQQQQQQQNQSATQEELAPGEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLISWKKGLSLAHGGDIATAQRSRIELCLENHSGSVLENSETTSCSKSNIHHDRVHNLVDFALTFVLDPAHIPHMNVAGGAAWLPSCVSVRLRYSFGENAHVSFLAMEGSHGGRACWSRHEDWEKCKQRMTRAAEYANGNSAGDASQGRLRLVADALQRTLQVALQQLRDCSLSSSSIGT >Ma11_p14570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20306793:20309351:1 gene:Ma11_g14570 transcript:Ma11_t14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSLLISLLLLFSSFASQAAYLPSDSILLNCGFSSEATDADGRKWLADSTSKSSLTSKNSAPATALYQDPALPSTVPYMSARVFNSESTYKFAVNGSERHWVRLHFYPSAYNGLPPENSFFSVSTSDGITLLKNFSAYITAKALTQAYIVKEFSVLPTSAGSISLTFTPSADHNSSFAFVNGIEIVSMPDIYSEPAELVGFSDQAVEVGSSALLTMYRLNVGGQYLPASNDSGLSRTWYDDSPYIYGAGFGITTSASNKLKISYPEEGTAESAAPTDVYRTARSMGPDPKVNMNYNLTWIFQVDANFTYVVRLHFCELQMTKVNQRVFDIFVNNQTAQAQADVIAWASSKAVPVYKDYAVYVADGPGDEQLWVALHPSAAAKPEYYDSVLNGLEIFKLNDSAGNLAGPNPEPSSMLAEAESEEAQRSFSSESSSNVHIIGSAAGGVAAASVFFAICVVVYQRKKRVGGNDSSGGSGWLPLYGGTSTSSSTISGKSSGSSHLSNLAAMCRHFSFAEIKKATKNFDESLVIGVGGFGKVYKGVVDEGTKVAIKRSNPSSEQGVNEFQTEIEMLSKLRHKHLVSLIGCCEENGEMILVYDYMAHGTLREHLYKSGKPPLSWKQRLEISIGAARGLHYLHTGAKYTIIHRDVKTTNILVDENWVAKVSDFGLSKTGPMVNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPNLPREQVSLADWALHCQKKAVLADIVDPLLEGKIGPECLKKFAETAEKCLLDHGVDRPSMGDVLWNLEFALQLQESFESGKPVTEETTERPVAVGNGSVGGGSEVSEESDNSAVFSQLINPVGR >Ma00_p02870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22492818:22493147:1 gene:Ma00_g02870 transcript:Ma00_t02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTSDALMCRAFPTTLRGPVRTWYSGLKTGTIASFDQLVKDFELNFLAYARPKHFVALLLGLNQREDEPLSYFVNRFATQIRGLADAHPSLLMQAFMIGLRPSRFF >Ma07_p24410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31786269:31791348:-1 gene:Ma07_g24410 transcript:Ma07_t24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPPWDLAELDRDAVPSLGSLVAGPTGGSAPRSPLSGPGCSIDLKLGGVGDSGSSYRWKDHPRISSTTMVSSSSGPSKRQRASSNASQNASCLVDGCKADLSKCREYHRRHKVCEAHSKTPVVLVGGQEQRFCQQCSRFHLLVEFDEVKRSCRKRLDGHNKRRRKPQPSSVNSGTKYLAYPQIFPPTSTESNWAGIVKTEDSTGYTQCTVADLIINRNPFLSDSSHRYSKERKQFPFLQESETSLSSISTLGSLVGQTHFMTSTMSGVGSSSNKMFSNGLSQVLHSDCALSLLSSPTQTSGIKLSHGMPSSDQIPMAEPLVPSLQYGNVTRYSHSAPRNVSPVEYSCSVMGDNHVSTVLASDDATDADIHCQSIFHIGDEGPSNGTSQALPFSWQ >Ma09_p04040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2652395:2653690:-1 gene:Ma09_g04040 transcript:Ma09_t04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLELKAKRTYRFIVFKIDEKQKEVVVDKVGEPSSNYDDFAAALPTDECRYAIYDFDFVTEENCQKSKIFFIAWSPDSSRVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVFRSRAN >Ma09_p04040.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2651690:2653690:-1 gene:Ma09_g04040 transcript:Ma09_t04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVNDDCKLKFLELKAKRTYRFIVFKIDEKQKEVVVDKVGEPSSNYDDFAAALPTDECRYAIYDFDFVTEENCQKSKIFFIAWSPDSSRVRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVFRSRAN >Ma02_p22090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:27531159:27532784:1 gene:Ma02_g22090 transcript:Ma02_t22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNGIPGGMLPSSMSSGGLMGLDMSIHPQQKQQQMLHHQQQLHAHHMLPFQAPVGSDGDHQAQYANRHAQYVQAFGQAVRGKQQQPAMSSEEEAGYGEDVEEQARRGGVSQPSPSTSPWQRMKWTDNMIRLLIKVVYRVGDDGGAAGEGEQQAHVALAKGKKSAAAAAAASSALLQKKGKWKSVSRAMMENGFCVSPQQCEDKFNDLNKRYKRVNDLLGKGTACRVVENQTLLDTMDNLYPKAKEEARKLLNSKHLFFREMCAYHHAGGASSCAAPPPPQIPPPHPPDQQQQICFHHPPPAAAPPAKLPGDSRGRGTFAEEEGMAEEADDDDDVDSEEDEDDYEEEEEEEDDDMEGHGHKRHCHHHQQQPKNEEGEEDEDSKGFTAGAKRLKRASSQMSASPPHSLTLSSSSSIQQLRSEMMAVSGGGEQQQQQWLRRKATELEEQRVAYQGRAFQLERQRFKWLRFSSNKEREMERMKLDNERLRLENDRMLLLLRQKELELVHGGGALSTVTVISVQQQHISNADHAAVGN >Ma03_p01810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1234670:1235633:1 gene:Ma03_g01810 transcript:Ma03_t01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIEQSMTGVHRPSVSATTVVMVAGVIASTALFVLVFFLYLRAKRYWGAIPVSIRGRLAEPAAAQQRSGLDAAAAVGALPSVVVRAGDCKEGLECAVCLCELSRGEAARLLPTCGHAFHIECIDAWFSSHSTCPLCRSPVVHEKPQEAGSAAESVPGRFHSEEPPPEIEDQAGRSSSPSDHPSGSTPAVSVSETPRIAVDGFQTLNSSAATLRSLRRLLICGSRTGGASCSSRECDVEQGRLPV >Ma10_p05040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15758736:15759239:-1 gene:Ma10_g05040 transcript:Ma10_t05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTCLLVSILSIPFSNLLIFLTRTLLRLAMPIIQMHTRAHFTWPPISQFLGHDAPWDDQVLPPCDVGLGIAQYKRGVDAPNEDVDCVFCLCGIEEDDEVRELRCQHLFHRRCLDRWLAHRRAVCPLCRDALVPHGSVATKSGEMDEGELDDMAGVWLASYSWWMW >Ma07_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:5840444:5842988:1 gene:Ma07_g07870 transcript:Ma07_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNLKFDRDHGSLKGINFLHLRIEQQRTISCFLILAKPTHDSISQFAPPPSISLEELNIASTAFSDVLEIDLPKMQKQKPKSETQTSKQACDRCGRQLYDSTDMNN >Ma00_p03770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:31196598:31196826:-1 gene:Ma00_g03770 transcript:Ma00_t03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSFDSHNCYVDEYYLPTLLNMVDHTGIANWSVTHVDWSEGK >Ma11_p04450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:3460069:3465436:-1 gene:Ma11_g04450 transcript:Ma11_t04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT3G48150) UniProtKB/Swiss-Prot;Acc:Q9STS3] MSSKESYRVELRSAARQLSDRCLYSAAKWAAELLIDIEPDVHAPASSASSSAASARATPSTSSAARPLSHLHPDASAYRRRLRSAAASGDIAATPPAGVSYISTPVPDDDGFNSDGGNDRYLLAKSYFDCREYRRAAHTLRGQTGKKTGFLRCYALYLAGEKRKEEEMIELDGSLGKSDVVNSELISLERELSSLRRIGSIDSFDLYLYGLILKDKGCESLAVTVFVESVNSFPWNWSAWSELQSLCTNTDTLTKLNLKNHWMKDFFLASTYQELKMHEEALKRYECLLGVFRSSDYIQSQIATALYSLRELDEAEVIFEDLLRNDPYRVESMDIYSNLLYAKECFSALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFRRALKLNRKYLSAWTLMGHEYVEMKNTPAAIDAYRRAIEINPRDYRAWYGLGQTYEVMNMPFYALYYFRKSSCLQPNDSRLWVAMAQCYESAPLQMLEEAIKCYTRAANSNDSEGIALHQLAKLHGVLGHSEQAAFFYKKDLERMEAEGRQGPNMVEAMLYLARYYKSEKKFEEAEFYCTRLLDYTGPERETAKSLLRGLRIAQTAFPSMDIGHFGS >Ma08_p25730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38460694:38470113:-1 gene:Ma08_g25730 transcript:Ma08_t25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEEALRAGVEKHGAGKWRTIQKDPEFSRCLATRSNIDLKDKWRNMSISAGGHGSREKIRTLKAKSLPAIPLSSSKSLVVSAPHKDAAPVIADPTKSLQESKTPPRYATMIIEALASMKESNGSEIGAICSFIEQRHEVPQNFRRLLSSKLRRLVTQNKIEKVQKGYRLKESCFATKTPTPKQKELASRARVPQTSGPANSIDPMKEAAITAAYKIADSEAKSFLASEAVKEAEKISKMAEETDSLLLLAKEIFERCARGEIVTIA >Ma05_p26740.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38260631:38261620:-1 gene:Ma05_g26740 transcript:Ma05_t26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEEASKTYPEMIMEAIEALNEKNGSNKSAISKYIETKYGELPPSHSSLLTAHLARMRESGELLFIKNNYFRPGADVPPKRGRGRPPKPKPELPTGAVLPQHRPRGRPPKPKDPLAAAVAKATAGLPRRRGRPPKAARSAASGTAAPAAAAAGSGVKRGRGRPPKVKPALVTDVV >Ma02_p06640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17581458:17581682:1 gene:Ma02_g06640 transcript:Ma02_t06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKIIGSVVAFFAFAYVCDTLVADSKIFGADNEWWEATD >Ma01_p01030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:726052:728045:-1 gene:Ma01_g01030 transcript:Ma01_t01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT5G65730) UniProtKB/TrEMBL;Acc:Q0WUU2] MAMAKTAFFRAAVFSLLPLLSRLPPSMARPADFARDFHVTWADSHVSPTGGGAAIQLLLDRSSGCGFASKNKLMFGHVSMKIKLISGDSAGTVTAFYLSSETDAIRDELDFEFLGNRSGQPYTVQTNVYAHGKGDREQRVNLWFDPSADFHTYSILWNHHHVVFFVDAIPIRVYKNNQARGVAYPDAQPMGIYSTLWNGDDWATRGGLEKIDWGKAPFRAYYKDFDIEAACAAPAGGLGSCATTAANWWEAPSFQQLNAAQARAYRWVRVNHLVYDYCTDRTRYPVPPPECLAGY >Ma09_p26910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:38052676:38052989:1 gene:Ma09_g26910 transcript:Ma09_t26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATQCDIQVGPLVMWMMTSTTYPWFLTPKKLPSLNSRGRDSNNWSHLLHSATITAENLCCSTTALPSAINK >Ma06_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4613459:4615379:1 gene:Ma06_g06360 transcript:Ma06_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKGNKVEVWNRREVPSGSWWSAEIISGDGHHYSVRYDGYPTDSSVAVDRLPRKAIRPCPPPVGSLKDVMSGDVVEVFDNNSWKLAQVLLVIDENHCFVRLLGSSGEFAAHKSQIRTPLSWQGDKWVTIDKDSGKQNGGMPSSHWRGGKSGYHIVQSCVEIDNSAQKTCFPIENHDFSKKTEWRFCRGTKKRAVDISFPAELCNYGRRKMRAIKKDGRNQGITSEHTIRFMEKLDDVASSEKVLDGKYVHSSFNNRTSSKVDSGRGIPSSDKQNHLVRISGPSDAESTSSSVGSCSVSSSPCRSSEQYVIYPSGELYGHSDHAETYCGLENESSLPRKDLQEEIHQLELNAYRSTLIALYASGPISWEWEAFMTNLRLMLNISNDEHLMEIRNLIRSEIATTIS >Ma03_p06350.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4400840:4412216:-1 gene:Ma03_g06350 transcript:Ma03_t06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFVPYIGGVEFAYVLLPPLETLCIVDETCVRDKAVESLCRIGTQMKESDIVEWFIPLVKRLAGGEWFTARVSSCGLFHIAYPSATELFKAELRSMYGQLCQDDMPMVRRSAASNLGKFAATVEANNLKTDIMSIFEDLTRDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTCSDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPHLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEELLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQFGVGFFNDKLGALCMQWLEDKVFSIREAAANNLKRLAEEFGPEWAMQNIVPQLLEKISNPHYLHRMTILQSISLLAPVLGSESTCQKLLPVIITASKDRVPNIKFNVAKVLQSLIPIFDHSVVEQAVRPCLVELSEDPDVDVRYFASQAIQTCDSVMMSS >Ma03_p06350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4400840:4412038:-1 gene:Ma03_g06350 transcript:Ma03_t06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFVPYIGGVEFAYVLLPPLETLCIVDETCVRDKAVESLCRIGTQMKESDIVEWFIPLVKRLAGGEWFTARVSSCGLFHIAYPSATELFKAELRSMYGQLCQDDMPMVRRSAASNLGKFAATVEANNLKTDIMSIFEDLTRDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTCSDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPHLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEELLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQFGVGFFNDKLGALCMQWLEDKVFSIREAAANNLKRLAEEFGPEWAMQNIVPQLLEKISNPHYLHRMTILQSISLLAPVLGSESTCQKLLPVIITASKDRVPNIKFNVAKVLQSLIPIFDHSVVEQAVRPCLVELSEDPDVDVRYFASQAIQTCDSVMMSS >Ma10_p11180.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24789819:24795588:-1 gene:Ma10_g11180 transcript:Ma10_t11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVDAVAVDGRPLKRARRRDEADGMLGLPDGISAGEIAPCGPFRHCVRYFIARQARVAPPTLPRLRTWRMSSRVGDTAVDLDVVEEDVARSRRIYCDHCRIVGWSTNPVCSTRYHFIIRNESSPSSSYEHHPCRHCGAPRRFSELRCSTCNYESTSLDLEDWASLQLEDPTHLLHGVVHANGYGHLLRVNGREGGSKNLIGCDLMEYWDRLCKLLNVRKISVMDVSKKHGMEFRLLQAVTAGQPWYGNWGYQFGSGSFGHTTEAYRKAVDGLSSTPLPDDRSLSTQLQKTIALYVFLSPRRLKTLRDLFCFITQQIKDCYGEKNLGADATEKSQDPESLPMCTWRQEDINRAENAIIKILQAVKESKWVTWRALRGATFSSIGSLELLDYCLRKLAGRAVADGLVVAVKCNAESNSVEYRLERASDERSSARRLCRPSKECLLHDLRVLYDALLNPATMQPYEPRSVWEASRSSSAKILDCKQFIKHYDKNKDLVDPHPFTLRVWCRMELADKPKNYLAPPPELLLLPLHATVADLKSEATKAFQETYLILQSFQAEQVLVNGEYADDATQISFLLGPDDSVQVRGRCLGGGCKLEQLRMERGTESWTVDCTCGTKDDDGERMLTCDRCGVWKHTRCSGIDDLDEVPAKFVCGSCLSMSKRGGRGRRMYRYDTSSSWQDDDASSNTGRDRQLAVKS >Ma10_p11180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24789819:24795588:-1 gene:Ma10_g11180 transcript:Ma10_t11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVDAVAVDGRPLKRARRRDEADGMLGLPDGISAGEIAPCGPFRHCVRYFIARQARVAPPTLPRLRTWRMSSRVGDTAVDLDVVEEDVARSRRIYCDHCRIVGWSTNPVCSTRYHFIIRNESSPSSSYEHHPCRHCGAPRRFSELSITCRCSTCNYESTSLDLEDWASLQLEDPTHLLHGVVHANGYGHLLRVNGREGGSKNLIGCDLMEYWDRLCKLLNVRKISVMDVSKKHGMEFRLLQAVTAGQPWYGNWGYQFGSGSFGHTTEAYRKAVDGLSSTPLPDDRSLSTQLQKTIALYVFLSPRRLKTLRDLFCFITQQIKDCYGEKNLGADATEKSQDPESLPMCTWRQEDINRAENAIIKILQAVKESKWVTWRALRGATFSSIGSLELLDYCLRKLAGRAVADGLVVAVKCNAESNSVEYRLERASDERSSARRLCRPSKECLLHDLRVLYDALLNPATMQPYEPRSVWEASRSSSAKILDCKQFIKHYDKNKDLVDPHPFTLRVWCRMELADKPKNYLAPPPELLLLPLHATVADLKSEATKAFQETYLILQSFQAEQVLVNGEYADDATQISFLLGPDDSVQVRGRCLGGGCKLEQLRMERGTESWTVDCTCGTKDDDGERMLTCDRCGVWKHTRCSGIDDLDEVPAKFVCGSCLSMSKRGGRGRRMYRYDTSSSWQDDDASSNTGRDRQLAVKS >Ma06_p38250.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:37084085:37085597:-1 gene:Ma06_g38250 transcript:Ma06_t38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFREDRAPLVRAKVPVGVLGLPFVSGVTAAAGRGGDEDARELRLDLSTAFRAGPSVRLSYRPNDTINPFSLVLKIGVGALGSPSAGSPLSMAAEFGLLGSRPTFSLLLKPRIGDFSFRKSVSAAVPATATGASNAVAVTVAPVGDGHAIGAPIEFRSDNGIHPGRKYSSFPVDLSAFAAGSGGGIDGLLSGYEISAKSVLPLQNRTTVRFKWGLKVPPELRTSFDDPTAGISPNKLPLLVMNKISIERSTDDKKAKEKKPCGITDVNDACMSVKREVETLQVECGLLRSSVDGLRAETGSRKHASVASPVVRKRDCRSDGKSLQNTGKSEGTTEELMQLSTASTAAMAP >Ma03_p31960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:33975840:33976401:-1 gene:Ma03_g31960 transcript:Ma03_t31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLQKQASRSCVVQGAHHDKMVIYDVICI >Ma06_p07140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5095708:5098342:-1 gene:Ma06_g07140 transcript:Ma06_t07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLAKLYEVNDTNTIFVFKFRTHFGGGKSTGFGLIYDTVENAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKVRGVKKTKAGDAAKAGKKK >Ma10_p17340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28776753:28778598:1 gene:Ma10_g17340 transcript:Ma10_t17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAQYPANAFSPDFRYRARSGALDDPLMMQEPRDLLQLHGNNLGTGEQQHQILNNAGVFSDRQSELTCNASGCRKRSREEAMVLPGLHNPALSTLFRYPNATAVPVKPTAAQTFSVGLPHSRSIESGATSTSGRHVSSSHAAPPPPCDLVSLLFQQNTEIDALVRLQNERLPTGLEEARKRHCRALLTVLEQQVAKRLMEKEAELLMATRRNAELEEKVRQLSEEIQIWFAMAKNNETIVCNLRTNLEQVLLQGASGAAGRGGYDDSEGGGFPADDAQSCCFEFDAAAAPAADSEVATWRRACKACGERDVSILLLPCRHLCLCKDCEAKADACPVCGSAKNAYLQVFMC >Ma03_p32920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34516607:34517103:1 gene:Ma03_g32920 transcript:Ma03_t32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVVAVAAGEAHTLALTADGIVFSWGRGTFGRLGTGKDVDELFPVPIASCGASSQRKKGGLKAPQPNFVGIAAGAYHSLALRGSHRSISLLFFQF >Ma06_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:2754845:2759619:-1 gene:Ma06_g03810 transcript:Ma06_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSWPWFWWRMGSGGREWRRPDGGFDLVGGLVEDLFAEELRQRMAGPTMEEQLPGLMNGLEEQWGSRRRRMTLDDSELGDRLPKGWKLLLGLEGKVKYCRCYIRSFTSNGLKKIFHGSNQS >Ma05_p18410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:23823580:23830880:-1 gene:Ma05_g18410 transcript:Ma05_t18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQPRRRIPYPPLISVCASLWWLAAAAAAASVHEFPTDGTVVELDDASFDRAISAFDFILVDFYAPWCGHCKRLAPELDKAAPVLAQLNEPIVIAKINADKYTKLAAKYEIDGYPTMKLFMHGVPMEYTGPRNADLLVRFLQKFVAPDISFLESDSAVQNFIDMAGANFPMFIGFGLNESVILELARKYKKKAWFSVAKDFSEEIMVAYDFDKVPALVSLHPKYNEQSVFYGPFEGEFLEDFIRQNQLPLTVPINSETLKLVKDDERKIVLTIVEDELDENSLKLVRILRSAATANRDLIFGYVGVKQWGEFVDTFDISKRSKLPKLLVWDGKEDYHIVVGSEDLDDNDQGSQISRFLEGYRDGKTIKKEMNGPSLIGFINSLVSIRTVYLIVFIVAVLMVIQNFSQTEENPQFRGIQDGAEGTDASTSHIKNRRDYQPGNKED >Ma10_p19070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29774272:29775844:-1 gene:Ma10_g19070 transcript:Ma10_t19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTFPSPLPSPSEDCEQLHKAFEGWGTNEGLIISVLAHRPAAHRREIRRAYAEIYGEDLLKPLDKELTRDFERAVLLWVLDAAERDAVLANEVVRKWSPGNRVLIEIAVVRKTEELLAAKRAYQVRFKRCLEEDVAAHTRDEYRKLLVPLVSTYRYEGAEVDKSLSKSEAKILHQKIKDNDYSHEDVIRILTTRSKAQLLATFNDYHNEFGNPINKDLKADPKNEFLSAMRAIIRCIICPEKYLEKVIRLAISKTGTDEGALSRIITTRAEVDMKQIKDLYYKRNSVALDSAVKKDTSGDFEDFLLALIGHEGA >Ma02_p10900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:20325696:20328768:1 gene:Ma02_g10900 transcript:Ma02_t10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMDVDRHMNQNAETAVPSSQQEEEAVKKKDGGILPKKTTSHIRGWALGKVSLSAHTKLFRAKTLGELAHKPKESLEALRPKLQPTPHLQVHSRRTAYAHSDSKEGGSATSEDT >Ma05_p18720.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:24617522:24627939:1 gene:Ma05_g18720 transcript:Ma05_t18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVVLATGFMAICALSFSPAGAASLSPAPPPSDCSDIVSALMDCFSYVTNDSTTPCPSRSCCAGVADVVTESPECICQTLQEANDLGVAVNMTRALDLPAACSVKAPKIHCDASSPSAAPPSPPRPSPGPSPSPRPSPVPSPTPRPSPVPSPNPRPSPVLSPPPTTPPSPPPATPSPTPATPLPNSAVTPSPTPASAPMSSEAPRLASVVVAIAGLASAIFYQIHFCPNVRVECRSKATGTKTCSFDGTTDHTGTYNILVADEHEHEICECYAH >Ma07_p23040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:30799600:30802694:1 gene:Ma07_g23040 transcript:Ma07_t23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAIQAAKVYRSLLKAVKKYIGNDGSKRHFRNYITEEFRKNASVSDQATVENKIKLAHEYTFLLNSVHHHKELLFSYNIAVDRSDEMKKVLHKSAASVGLRLPDVYQP >Ma10_p25490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33591282:33592202:1 gene:Ma10_g25490 transcript:Ma10_t25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTTRLLSLKLAVMILNPFLALLLFSATPLHGLNIGVQSVNSGLTASKQQCSRKCQSDHCTVPPLLKYGKYCGILYSGCPGEKPCDALDACCMVHDACVQSKHDYLSQECNENFLDCIAAVRASGKGTFKGNKCMVEEVVEVMTLVIEAALLAGRVLHKP >Ma07_p28600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34597683:34600432:-1 gene:Ma07_g28600 transcript:Ma07_t28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLLRDSVKVIVNKGGVCIPFNFNEDFPSRGLVFT >Ma04_p24590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:26563459:26566276:1 gene:Ma04_g24590 transcript:Ma04_t24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWSTRNPPTPSTSRSSAGRKNLAFETLTSIREATSMAGTGPIAQDWEPVVLRKKAPTAAAKKDEKAVNAARRSGADIETVKKSNAGTNKAASSSTTLNTRKLDEETENLSHGRVPSELKKNLMQARLDKKLTQAQLAQQINEKPQVIQEYESGKAIPNQQIITKLERVLGVKLRGKK >Ma00_p01430.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:7700994:7702636:-1 gene:Ma00_g01430 transcript:Ma00_t01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYGDIGNRLSMRGIDEPGKDLETLKQILEALQLKGLLHSKPSDHGATGRRIRIYDNQGQDRHHEADLQASTAAIKRAAAAQIRRRSSECSASPLRADLLPVRSPRRRRPTANASPMERVHPPAEDDASTTRSGRSLLERCDKLLQGIAAFTEAEQDTAADQQPSPVSVVDSSSYLGEEGSPWPLAKRSIDFKEEPWSTYELGEIGAPVAMDPDYAYVCDVLRASERYGDASDTAYAAVENGRCRQRGGPARYPSKTARLHRRLVFDAVAEILDRKHHVSLWDVFARGDGEEGEKALPRVWAEFQRLREQAAAADDHEGAACGAVRNDIAAARPDGWVRPAAEMSDVVLEIERLIFKDLVAETIRDLGAREPLPLHRRKLVF >Ma07_p14920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:11203913:11210791:1 gene:Ma07_g14920 transcript:Ma07_t14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMGKLRWGELEDDAEDLDFLLPPRVVVGPDENGVKKVTEYRFDDEGNKVRVTTTTHVRKLTRARLSKRALERRSWPKFGDAAHEDAGARLTMVSTEEILLERPRAPGSKAEEPKVAGDPLAAMGKAGAVLMVCRTCGKKGDHWTSKCPYKDLAPQTDSFVDKPPGAESAASSAGTGKGAYVPPSMRAGAERSGTEMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVTRVYVAVDQKTGVSRGFGFVNFVNREDAERAINKLNGYGYDNLILRVELATPRPN >Ma05_p26590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38151962:38156258:-1 gene:Ma05_g26590 transcript:Ma05_t26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSMLTQYDIEEVQEHCNHAFSQQEIVSLYHRFCQLDRNSSGFIPGDEFLSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRTSLQQKIEFIFRVYDFDSNGRVTFDDILGVLRDLTGSFISEQQRQKVLTRVLEEAGYTKDSSLVLSDFMKILGNSDLKMEVEVPID >Ma04_p38990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36270448:36276438:1 gene:Ma04_g38990 transcript:Ma04_t38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP58 [Source:Projected from Arabidopsis thaliana (AT4G37130) UniProtKB/Swiss-Prot;Acc:Q8RWH9] MAFSFHTPPPQLQQPQPSPFQTPQQPSSLFQTPQPPQQNSLFSSVGQQQSPFQLQPQQHIQFQQPQQAAAAAPQQQLLLYTKDRAPAGYNTKWDDLHPDSQKLLLQIEVRILEYKDESQRLDQCSRLYDSSISGDSFELTASRIIQELGVISTAMEREKVSIQELMASVNKMMWDTEFAIRSYMMLRPKFHRQNVPAITNVASGSQNTGSGIASNPTNQLATGSVAPVFDFYSGLPKRPSIFMEHTVARFEKYLTECCQWIEEVEQLVMMDTSKMSSSSLEALPQVISNVHDFFIHVAAKVENLHQYVESMKTAYLADQRRRGDGNDPFLEANRREAAKQEAAARRVHPTLHLPAISAQSTTQVSGAFASSAIPVSSTLQPSGAPSAASSGGGFSLFSTPSSAPAASSSSLFSTPTASAPSTLFGSSGFSPQSTPFGTPSASLFGSTQTPSGFATSTPFGSTPAAGSSSLFSTPTLTATGAAIGSGASFGPSKSSRPKTRTSRR >Ma07_p17800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:21969299:21970259:-1 gene:Ma07_g17800 transcript:Ma07_t17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGGLSFLLLPLLFVVSHAATFDIVNQCSFTVWAAAVPGGGRQLDPSQTWSINVNPGTTGGRVWARTDCSFDGSGSGSCQTGDCGGLLECQGYGTPPNTLAEFALNQFQNMDFIDISLVDGFNVPMDFSPTSGDCRGIRCSADINGQCPAELRAPGGCNNPCTVFKTDEYCCTSGSCGPTNYSMFFKNNCPDAYSYPKDDATSTFTCPGGTNYRVVFCP >Ma08_p17930.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27402373:27402573:-1 gene:Ma08_g17930 transcript:Ma08_t17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTHLLHRLPPDLVPGKLVGDEADALNLGGSCEADDADEGIGVLLALFRAPSPLMSCRYVQVKN >Ma06_p23830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22026662:22030194:-1 gene:Ma06_g23830 transcript:Ma06_t23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MAFSTLFVHPCVLPRKPSCVSHPLVHKPSAFVPFASLRGLAALSTAYDLDPVHQVPPNFTLKDLLDILRRQKDAESSVRLLNWASKQSHFTPTSPVYEEILQQLGKEGSFEKMIALLKVMQTSDCKISQGTFQIFIDSYSRFQLFDEAADVVVNLMDEFGVEVDTFICNFLLNILVEGSKIKLIEAVYSMMLDRGIQPDVSTFNILIKALCKAHQIKPAILMMEEMSSYDLAPNEITFTTLMQGFIEEGNMEAALRVKTRMLDMGCSPTNVTVNVLVNGYCKQKRLEEALGFIQEESTKGFCPDNFTFNTLVNGLCRAGHVGHALDILDVMLQEGYDPDIVTYNTLIAGLCKLGEIEEAMAVLDQMVERDCLPNMVTYNTLISTLCAENRLDEAMELARALTLKGLLPDAYTFNSLISGLCRAGSLKIALQMFEEMKISGCPPDEFTYNILIDHLCFNGKLGKAVALLKEMESNGCARSIVTYNTLIDGLCKNMRIEEAEDVFHQMEMHGVSRNLVTYNTIIDGLCKNNKVDEATELMDQMILEGLKPDKLTYNSLLTHHCRQGDIKKAADIVQTMTSNGCEPDIVTYGTLIGGLCKAGRTQVACKLLRTIQMKGMVPTPKAYNPVIQALFKQRKTREAVRLFREMVEKAEQPDAITYKFVFRGLCRGGGPIGEAVDFLVEMTEKGFLPESSSFSMLAEGLIALAMEDKLNMVVELVMEKANFSENEIAMVKGFLKIRKFHDALTTFDRLLNSRRPGSRYK >Ma06_p23830.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22026598:22030220:-1 gene:Ma06_g23830 transcript:Ma06_t23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MAFSTLFVHPCVLPRKPSCVSHPLVHKPSAFVPFASLRGLAALSTAYDLDPVHQVPPNFTLKDLLDILRRQKDAESSVRLLNWASKQSHFTPTSPVYEEILQQLGKEGSFEKMIALLKVMQTSDCKISQGTFQIFIDSYSRFQLFDEAADVVVNLMDEFGVEVDTFICNFLLNILVEGSKIKLIEAVYSMMLDRGIQPDVSTFNILIKALCKAHQIKPAILMMEEMSSYDLAPNEITFTTLMQGFIEEGNMEAALRVKTRMLDMGCSPTNVTVNVLVNGYCKQKRLEEALGFIQEESTKGFCPDNFTFNTLVNGLCRAGHVGHALDILDVMLQEGYDPDIVTYNTLIAGLCKLGEIEEAMAVLDQMVERDCLPNMVTYNTLISTLCAENRLDEAMELARALTLKGLLPDAYTFNSLISGLCRAGSLKIALQMFEEMKISGCPPDEFTYNILIDHLCFNGKLGKAVALLKEMESNGCARSIVTYNTLIDGLCKNMRIEEAEDVFHQMEMHGVSRNLVTYNTIIDGLCKNNKVDEATELMDQMILEGLKPDKLTYNSLLTHHCRQGDIKKAADIVQTMTSNGCEPDIVTYGTLIGGLCKAGRTQVACKLLRTIQMKGMVPTPKAYNPVIQALFKQRKTREAVRLFREMVEKAEQPDAITYKFVFRGLCRGGGPIGEAVDFLVEMTEKGFLPESSSFSMLAEGLIALAMEDKLNMVVELVMEKANFSENEIAMVKGFLKIRKFHDALTTFDRLLNSRRPGSRYK >Ma02_p09350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19398706:19399217:-1 gene:Ma02_g09350 transcript:Ma02_t09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAQGVMKAINVNKLTSAGCTVKIWIAN >Ma06_p22770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:19551982:19552697:-1 gene:Ma06_g22770 transcript:Ma06_t22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWICFCLHPESDDWAQLSQIETEKLPVQLVESEMYKRSKEGTRTRERSLMQCATFFGYQARGSLPSKFDCDYAFFLGMFAITLVSMVTWQPLQRSEKPCE >Ma01_p12100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:8795206:8799743:1 gene:Ma01_g12100 transcript:Ma01_t12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLAKAAVLWIHFNCLLYGTYKAALGYDTGGCFYKSAVFGCPGVRAFLSVLGLYETLAAYQSVYFVGTILPISIILLRLHHQTRKSFLFRLYWEKSGQRAAVGGLRGCNCSSSSSAAAEFGPMKEWEVRPGGLLVQKRAPEADPSAAPVPTVCVKVKHGAGNHEIRISSQATFGELKKALSARTGLHPLDMKLVYRDKARESNEFLDTAGVKDKSKVVLVEDPVAQAKRLLEMRKSDMMEKAARSVSTVTLEVDRLATKASALEAIVNRGGRVVENDVTDLIDSLMNELIKLDAVVADGDAKLQRRMQMKRVQKYVETLDAIKIKNSSPRANGQSSKQQHHRIQPQHQEQMHQKTDLQQTHPRFQQQPVVVTKNWETFESLFAQSTSATTTAASSAPHASFDWELF >Ma03_p32190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34106840:34107516:-1 gene:Ma03_g32190 transcript:Ma03_t32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQRHRLRRLSAAVVVFVFAVALGERSASVVIAQEAGDTGLTLWEKENRVQVLVARRRLGGPGSSPPICRARCGRCLPCRPVHMAIQPGRSFPLEYYPEAWRCKCGGKLFMP >Ma02_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18089557:18090134:-1 gene:Ma02_g07390 transcript:Ma02_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSMSTTTVIVFLLSLIALEDFTVIAKSDMAVYGCNYVQEHKRNEELGATSQKWLRGRKMVEEVRSTVNTGAKHWIKEHEHGQDGRSSVGSEVRHQIRHSRVHFAGFVSFSSDYRAPKNHPPKHN >Ma07_p05660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4115493:4116032:1 gene:Ma07_g05660 transcript:Ma07_t05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLSPNRWSKIARYLPGRTDNEIKNYWRTRVQKHAKQRDAGGAARRRWTPCLPEPIHAASCVFPSASYVGPIAAPGHPPPPYSFGQPEQAIAACKETAASSSSSTTSKDSIPITEQGGGMIEGGEEMLGVGGGGDGWAESQLPCPLNHDELENVGWESEGLLSGDYLWAVDDSWSMNQ >Ma02_p19350.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:25535135:25536811:-1 gene:Ma02_g19350 transcript:Ma02_t19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGSVIGCHTIAQWNRQLQLASESGKLVVVDFTSSWCGPCRMIAPFFAELANKFTDAIFLRVDVNELKRVALDCAIETLPTFIFLRQGNIVDRVVGARKDLLPKKIELHMRN >Ma11_p15290.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:20990403:20992554:-1 gene:Ma11_g15290 transcript:Ma11_t15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPSSPPHPSKKAKMSSSATTSDADEDPSSAPFAAGEPRRRYKRRKVAIFLGYCGAGYQGMQKNPGARTIEGDLEEALFLAGAVPEADRGLPRRFDWARSARTDKGVSAAAQVVSGRFYVDPPGLVARLNAHLSDQIRVFGFKRVTNSFNAKKFCDRRRYVYLVPVFALDPSAHPDREAVMASMGSDNELARCLECSERGRKIPGLMGRWAGSSIAQKRVDLAADGSADQTVGVACFSLEESDKSEANKLEIFDLGSGGVDDEQHDFSIMIAETNSANMGRNEVEAGKLVSAATDAVAPGNMNPDATVDPVASYVEEYGKLSNEAITMKENSTMEPSASLENQRLEPIVADRTNGEQVTVKSKFCYGDEQRERFNSVLKHYVGTHNFHNFTTRTKAEDPSARRYIISFDANRVVCIEGIEFVKCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESLMDIALKKDVNINVPTAPEVGLYLDECLFTSYNQKWKDSHEVLTMEAYAEEAEEFKMKYIYPHIASMEHKEGAVALWLHSLNQRNYPDFCFMETSRECASATSKDQADNSGEQLL >Ma10_p09220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23406320:23413960:-1 gene:Ma10_g09220 transcript:Ma10_t09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEDELRDYKIIKEGEAEILMHSSNTVFFNKAQVYNRDMSIAVLRTYIAKCKEEHDAYLSKKGRLENKVPEEKNSGSVAKNDSITQDAEANGDTDVQQDQSLDETESISEGFIRMPSWKGSQELKAPRVLEALAASGLRALRYAREVDGIGQVIALDNDKASIEACQRNIKFNGSVACSKVEAHLADARVYMLTHQKEFDVVDLDPYGSPSVFLDSAVQSVADGGILMCTATDMAVLCGNNGEVCHSKYGSYPLKGKYCHEMALRILLACIESHANRYKRHIVPILSVYMDFYVRVFVRIYTSASAIKETPLKLSYVYQCVGCDSFHLQSVGRTINKDKSVKSAPGYGPVVPQECSDCGKKFNMGGPIWSAPMHDKEWIFSILANVKAMKERYPAYEKISAVLTTISEELHDIPLFVSLHNLCSTLKCTSPSAVLFRSAVLNAGYRISGSHVNPLGLKTDAPMDVIWDIMRCWVKNHPIKAQQPDKSGTVILSKEPKLQANFARAAASLSKAKVKKEVRYLPNPERHWGPKVRAGRQITSKHCSLLGPDVVSGSLDQEADGSSEAVADKLAEGPATKRQKTVDVSASQS >Ma02_p07070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:17901494:17902201:1 gene:Ma02_g07070 transcript:Ma02_t07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding REEEGDSTQQLIRKDESKTNFLLDLGLSANDGSSSSSPLLELNLIGSLGWSNSEPPPPEEAASPESEPRVFSCNYCKRKFYSSQALGGHQNAHKRERNLAKRGGLGLGDHAAVGYRLSESMGNLPLHGSYAGGPLGMQVHSMIHKPYIGTAAGLMYGRHGWLRSPIANSQPAIGRLLTEEFYGGGRAQPAAKFEEAIAAAAAVGGKHWVGGGGGGGNHLNPRQEELPKLDLSLKL >Ma02_p09240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:19346194:19347429:1 gene:Ma02_g09240 transcript:Ma02_t09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSRKMKKPPVFPKDKNGNRRAIGYDDLQSGFPKIVEEGRNQAKDREFSLPNSDSFRKKVGMKERKNKTWKRSLSSWFKFHQKKAAEEAPPTTKFSKGSNPLDTERSLFSGPLFGNSRRFGMVQQHNWLAASGPLACCFTPTRAEETEVPYMYLDNHNNPVGTQAFGPVYLVT >Ma05_p22270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:34005488:34006203:1 gene:Ma05_g22270 transcript:Ma05_t22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHRNSATTTGSLSCLCVLLLLFSVHLKACNGARVAPQDSKSTEFIRASCAATMYPDLCFSSLSSYASTIRTSPVQLADVALSVSLAGARSASAAMSRSIAGRGMAPRVAAAVKDCLETMGDSVDELRESLAAMGHVAGRNAAYQINSIQTWVSAALTDEDTCVDGFASGAMDGEVKNMVRSHVVYVAQLSSNALALVNGLATSISRP >Ma05_p00570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:328381:328473:-1 gene:Ma05_g00570 transcript:Ma05_t00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATSTVCDFLSQSSKFCLLEKGYFGGEE >Ma05_p09020.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:6630882:6634649:-1 gene:Ma05_g09020 transcript:Ma05_t09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATNGVFYPILGLASCAAFIYMSFGDLAWDIGTHVRGPEMSFVGRNGTRFTVDGKAFYVNGWNSYWLMDQAVEEVSRPRVRAMLQAGAKMGLTVCRTWAFNDGAYNALQVSLGHFDERVFKALDWVIVEAKRHGIRLLLSLANNLEAYGGKTQYVKWAWEEGIGLSSSNDSFFFDPSIRSYFKTYLKTILTRKNHLNGIQYKDDPTIFAWELMNEPRCMSDVSGDTLQKWIEEMAAYVKSIDKNHLLTIGLEGFYGSTSPPEKLNVNPGQWFSTVGSDFVQNSRVPEIDFASVHIYPDQWEVGAGLDQKMKYISRWLTSHIEDGDKELKKPVLFTEFGLSDKTKGFDHSHRDVFYKSIFNIVYRSARKGRSGAGAFVWQFMVAGMQEYCDDFGFVPEERPSMYRLIKKQSCRMAKLSYGKGLLKTRFGKLCTE >Ma03_p02480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1685852:1693999:-1 gene:Ma03_g02480 transcript:Ma03_t02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 3 [Source:Projected from Arabidopsis thaliana (AT5G50320) UniProtKB/TrEMBL;Acc:A0A178UGS1] MASPEPRKKPNPGRGGVSIPLGISEEEARVRAIAEIVSAMAERSRRGESVDLNALKSAACRKYGLARAPKLVEMIAAVPEADRDALLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVRYSEHGATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKVKPDEVELVRRDYAANEGWETFLSYEDTRQDILVGLLRLRKCGRNTTCPELMGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARREHRSTKLAVISGVGTRHYYRKLGYELEGPYMVKYVT >Ma03_p17920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23526981:23528345:1 gene:Ma03_g17920 transcript:Ma03_t17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGCLIAMYGGGRDADVAWKVGQIMLRYRPIAPKPTSPAGSPKISPVPAETRAAARRPKRKGSANPSGGGRGRKTGKVDVTAGSSSSINDDEKSSSTTASSSMIVTLPLMPETPERQCELAESPRKPSSPITPDAAALPRVVPPWMGQKQVGGAVVALGSWVTVACVTDSWREEDVPWRSDEAVTAALALDESPGFVSDGWDRVMWTNEAYRRMVMGREEGEEQAEEVKVGLVTGGLVPAAAACRAFTCRVRVRPGTERTSSSPLAAPCDVWRLDSGGCAWRLDVKAALSLSL >Ma03_p17920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:23526981:23528339:1 gene:Ma03_g17920 transcript:Ma03_t17920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGCLIAMYGGGRDADVAWKVGQIMLRYRPIAPKPTSPAGSPKISPVPAETRAAARRPKRKGSANPSGGGRGRKTGKVDVTAGSSSSINDDEKSSSTTASSSMIVTLPLMPETPERQCELAESPRKPSSPITPDAAALPRVVPPWMGQKQVGGAVVALGSWVTVACVTDSWREEDVPWRSDEAVTAALALDESPGFVSDGWDRVMWTNEAYRRMVMGREEGEEQAEEVKVGLVTGGLVPAAAACRAFTCRVRVRPGTERTSSSPLAAPCDVWRLDSGGCAWRLDVKAALSLSL >Ma04_p17660.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18489200:18509231:1 gene:Ma04_g17660 transcript:Ma04_t17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta-carotene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT3G04870) UniProtKB/Swiss-Prot;Acc:Q38893] MASASCPPICVPATSFSSPRRGMLLSNSRPSRLAAVRCSLDSQVSDMRINAPKGLFPPEPEHYTGPKLKVAIVGGGLAGMSTAVELLDQGHEVDIYESRPFIGGKVGSFVDKQGNHIEMGLHVFFGCYSNLFRLMKKVGANENLLVKDHTHTFVNRGGGIGELDFRFQLGAPIHGIRAFLATTQLKPYDKARNAVALALSPVVRALIDPDGALQEIRDLDNISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLNGPIRKYIIDKGGRFHLRWGCREILYEKSPDGNTYVTGITISKATDRKTVQADAYVAACDVPGIKRLLPSQWREWDVFNNIYQLVGVPVVTVQLRYNGWVTELQDLEISRQLTQAVGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLIQVVLTPGDPYMPLPNDAIIERVQKQVLNLFPSSQGLKVLWSSVVKIGQSLYREAPGNDPFRPDQRTPVKNFFLAGSYTKQDYIDSMEGATLSGRQAAAYICSAGEELAELRKKLALIDSERIKKDSTTAEELSLV >Ma04_p17660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:18489200:18508917:1 gene:Ma04_g17660 transcript:Ma04_t17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta-carotene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT3G04870) UniProtKB/Swiss-Prot;Acc:Q38893] MASASCPPICVPATSFSSPRRGMLLSNSRPSRLAAVRCSLDSQVSDMRINAPKGLFPPEPEHYTGPKLKVAIVGGGLAGMSTAVELLDQGHEVDIYESRPFIGGKVGSFVDKQGNHIEMGLHVFFGCYSNLFRLMKKVGANENLLVKDHTHTFVNRGGGIGELDFRFQLGAPIHGIRAFLATTQLKPYDKARNAVALALSPVVRALIDPDGALQEIRDLDNISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFALFATKTEASLLRMLKGSPDVYLNGPIRKYIIDKGGRFHLRWGCREILYEKSPDGNTYVTGITISKATDRKTVQADAYVAACDVPGIKRLLPSQWREWDVFNNIYQLVGVPVVTVQLRYNGWVTELQDLEISRQLTQAVGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLIQVVLTPGDPYMPLPNDAIIERVQKQVLNLFPSSQGLKVLWSSVVKIGQSLYREAPGNDPFRPDQRTPVKNFFLAGSYTKQDYIDSMEGATLSGRQAAAYICSAGEELAELRKKLALIDSERIKKDSTTAEELSLV >Ma04_p08940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:6382868:6385966:1 gene:Ma04_g08940 transcript:Ma04_t08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTETGCSSTEPEVTGGLGYAETELTLGLPGAGGSRPSETDRKRGFAEAVGLNLEAASRGEHCGARSSDSSDGPVSGAVKPSAAKAQVVGWPPVRSFRRNALRSCTYVKVAVDGAPYLRKVDLEAHGGYEQLLAALEEMFSCFTVRHYPDERRLVDPVNGTEYVPTYEDKDGDWMLVGDVPWKMFVASCKRLRLMKSSDAINLATRMPQECSNK >Ma01_p07410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5358361:5362353:1 gene:Ma01_g07410 transcript:Ma01_t07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g02750 [Source:Projected from Arabidopsis thaliana (AT4G02750) UniProtKB/Swiss-Prot;Acc:Q9SY02] MFPRCKDFTRRFHAAVAASNPPSVLNPTKRRRTTKRRRTTKRGKNPPPLAFDPEVVRWNKAITAHMRHGRMAAAAAIFRFMPRCNTVSWNAMLSGYLSNGCFSLALRLFDAIPDPGLVSFNTMIHGYVLNRDLASARRLFDQMPVKDTVTWNTMISAYAQSGLVEEAREIFDRTPHKNAISWNGILAAYVQNGRLELARRLFDSNSDWETVSWNAMIAGYVQRRSLAEALQLFDRMPERDIVSWNTLISGYAQNGDMSVATRLFDESPSRDVFTWTAMVSGYAQNGMLEDARRVFDEMPDRNSISWNAMIAAYVQCQKMDQAKELFDVMPCRNVNSWNSMITGYAQAGMVERARWIFDTMPQRDSVSWAAMIAGYSHEGSSEEALQLFIEMGRYGERINRSSFTCVLSTCADISMLECGKQVHGRLVKAGYRMGCFVGNALLAMYCKCGSIDEAYEAFEEMTEKDVVSWNTMIAGYARHGYGKEALKVFNLMRMTDTRPDDVTMVGVLSACSHAGLVDKGIDYFYTMHRDFGVTAKAEHYTCMIDLLGRAGRLDDAQALMKDMPFEPDATMWGALLGASRIHRNTELGEKAAKRIFEMEPDNAGMYVLLSNIYATSGKWANVNKMRVMMRERGVKKVPGFSWIEANNKVHTFSVGDMLHPEKDKIYAFLEELNLKMKKEGYVSATEMVLHDVEEEEKEHMLKYHSEKLAVAFGILNVPSGRPIRVIKNLRVCEDCHNAVKFISVIENRLIILRDSNRFHHFSGGSCSCGDYW >Ma11_p10410.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9789491:9803342:-1 gene:Ma11_g10410 transcript:Ma11_t10410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIVAIFVPLIASLILWKSNNGKKRGLPADVGGEPGYAVRNYRSTSLAESSWEGVSTLAELFEQACKRFTYKPSLGTRKLITREMEVSQNGRSFEKLHLGDYEWVSYGEAFKAVCSFSSGLVHLGHKKDERVAIFSDTRAEWFIALQGCFRQNITVVTIYASLGGEALCHSLNETEVSTVICGHKELKKLIDISGQLDNVKRIIYIDEEGVSSEVSLAKKNTSWTITSFVEVETMGREKPIDAYLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATASAVMTIVPSIGTNDIYMAYLPLAHILELAAENVMVAAGVSIGYGSPLTLTDTSNKIKKGTKGDASVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLSKKLFDAAYGRRLSAINGSWFGSWGLEKVLWDFLIFRQVRAVLGGRVRFLLSGGAPLFGDTQRFINICLGAPVVQGYGLTETCAGGTFSDYDDTSVGRVGAPVPCSYVKLIDWPEGGYLVTDSPMPRGEIVIGGPNVTPGYFKNEEKTKEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVDNIMVHADPFHSFCVALVVAAQHASEEWASKQGINYSDFSDLCQKEETVKEVHESLFKMAKQARLDKFEIPAKIKLIPEPWTPETGLVTAALKLKREVIRKAYADDLAKLYA >Ma11_p10410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9789491:9803342:-1 gene:Ma11_g10410 transcript:Ma11_t10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIVAIFVPLIASLILWKSNNGKKRGLPADVGGEPGYAVRNYRSTSLAESSWEGVSTLAELFEQACKRFTYKPSLGTRKLITREMEVSQNGRSFEKLHLGDYEWVSYGEAFKAVCSFSSGLVHLGHKKDERVAIFSDTRAEWFIALQGCFRQNITVVTIYASLGGEALCHSLNETEVSTVICGHKELKKLIDISGQLDNVKRIIYIDEEGVSSEVSLAKKNTSWTITSFVEVETMGREKPIDAYLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATASAVMTIVPSIGTNDIYMAYLPLAHILELAAENVMVAAGVSIGYGSPLTLTDTSNKIKKGTKGDASVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLSKKLFDAAYGRRLSAINGSWFGSWGLEKVLWDFLIFRQVRAVLGGRVRFLLSGGAPLFGDTQRFINICLGAPVVQGYGLTETCAGGTFSDYDDTSVGRVGAPVPCSYVKLIDWPEGGYLVTDSPMPRGEIVIGGPNVTPGYFKNEEKTKEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVDNIMVHADPFHSFCVALVVAAQHASEEWASKQGINYSDFSDLCQKEETVKEVHESLFKMAKQARLDKFEIPAKIKLIPEPWTPETGLVTAALKLKREVIRKAYADDLAKLYA >Ma11_p10410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9789491:9803266:-1 gene:Ma11_g10410 transcript:Ma11_t10410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIVAIFVPLIASLILWKSNNGKKRGLPADVGGEPGYAVRNYRSTSLAESSWEGVSTLAELFEQACKRFTYKPSLGTRKLITREMEVSQNGRSFEKLHLGDYEWVSYGEAFKAVCSFSSGLVHLGHKKDERVAIFSDTRAEWFIALQGCFRQNITVVTIYASLGGEALCHSLNETEVSTVICGHKELKKLIDISGQLDNVKRIIYIDEEGVSSEVSLAKKNTSWTITSFVEVETMGREKPIDAYLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATASAVMTIVPSIGTNDIYMAYLPLAHILELAAENVMVAAGVSIGYGSPLTLTDTSNKIKKGTKGDASVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLSKKLFDAAYGRRQVRAVLGGRVRFLLSGGAPLFGDTQRFINICLGAPVVQGYGLTETCAGGTFSDYDDTSVGRVGAPVPCSYVKLIDWPEGGYLVTDSPMPRGEIVIGGPNVTPGYFKNEEKTKEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVDNIMVHADPFHSFCVALVVAAQHASEEWASKQGINYSDFSDLCQKEETVKEVHESLFKMAKQARLDKFEIPAKIKLIPEPWTPETGLVTAALKLKREVIRKAYADDLAKLYA >Ma11_p10410.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9789491:9803257:-1 gene:Ma11_g10410 transcript:Ma11_t10410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIVAIFVPLIASLILWKSNNGKKRGLPADVGGEPGYAVRNYRSTSLAESSWEGVSTLAELFEQACKRFTYKPSLGTRKLITREMEVSQNGRSFEKLHLGDYEWVSYGEAFKAVCSFSSGLVHLGHKKDERVAIFSDTRAEWFIALQGCFRQNITVVTIYASLGGEALCHSLNETEVSTVICGHKELKKLIDISGQLDNVKRIIYIDEEGVSSEVSLAKKNTSWTITSFVEVETMGREKPIDAYLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATASAVMTIVPSIGTNDIYMAYLPLAHILELAAENVMVAAGVSIGYGSPLTLTDTSNKIKKGTKGDASVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLSKKLFDAAYGRRLSAINGSWFGSWGLEKVLWDFLIFRQVRAVLGGRVRFLLSGGAPLFGDTQRFINICLGAPVVQGYGLTETCAGGTFSDYDDTSVGRVGAPVPCSYVKLIDWPEGGYLVTDSPMPRGEIVIGGPNVTPGYFKNEEKTKEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVDNIMVHADPFHSFCVALVVAAQHASEEWASKQGINYSDFSDLCQKEETVKEVHESLFKMAKQARLDKFEIPAKIKLIPEPWTPETGLVTAALKLKREVIRKAYADDLAKLYA >Ma11_p10410.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9789491:9803266:-1 gene:Ma11_g10410 transcript:Ma11_t10410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIVAIFVPLIASLILWKSNNGKKRGLPADVGGEPGYAVRNYRSTSLAESSWEGVSTLAELFEQACKRFTYKPSLGTRKLITREMEVSQNGRSFEKLHLGDYEWVSYGEAFKAVCSFSSGLVHLGHKKDERVAIFSDTRAEWFIALQGCFRQNITVVTIYASLGGEALCHSLNETEVSTVICGHKELKKLIDISGQLDNVKRIIYIDEEGVSSEVSLAKKNTSWTITSFVEVETMGREKPIDAYLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATASAVMTIVPSIGTNDIYMAYLPLAHILELAAENVMVAAGVSIGYGSPLTLTDTSNKIKKGTKGDASVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLSKKLFDAAYGRRLSAINGSWFGSWGLEKVLWDFLIFRQVRAVLGGRVRFLLSGGAPLFGDTQRFINICLGAPVVQGYGLTETCAGGTFSDYDDTSVGRVGAPVPCSYVKLIDWPEGGYLVTDSPMPRGEIVIGGPNVTPGYFKNEEKTKEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVDNIMVHADPFHSFCVALVVAAQHASEEWASKQGINYSDFSDLCQKEETVKEVHESLFKMAKQARLDKFEIPAKIKLIPEPWTPETGLVTAALKLKREVIRKAYADDLAKLYA >Ma11_p10410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:9789491:9802962:-1 gene:Ma11_g10410 transcript:Ma11_t10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYIVAIFVPLIASLILWKSNNGKKRGLPADVGGEPGYAVRNYRSTSLAESSWEGVSTLAELFEQACKRFTYKPSLGTRKLITREMEVSQNGRSFEKLHLGDYEWVSYGEAFKAVCSFSSGLVHLGHKKDERVAIFSDTRAEWFIALQGCFRQNITVVTIYASLGGEALCHSLNETEVSTVICGHKELKKLIDISGQLDNVKRIIYIDEEGVSSEVSLAKKNTSWTITSFVEVETMGREKPIDAYLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATASAVMTIVPSIGTNDIYMAYLPLAHILELAAENVMVAAGVSIGYGSPLTLTDTSNKIKKGTKGDASVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLSKKLFDAAYGRRLSAINGSWFGSWGLEKVLWDFLIFRQVRAVLGGRVRFLLSGGAPLFGDTQRFINICLGAPVVQGYGLTETCAGGTFSDYDDTSVGRVGAPVPCSYVKLIDWPEGGYLVTDSPMPRGEIVIGGPNVTPGYFKNEEKTKEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVDNIMVHADPFHSFCVALVVAAQHASEEWASKQGINYSDFSDLCQKEETVKEVHESLFKMAKQARLDKFEIPAKIKLIPEPWTPETGLVTAALKLKREVIRKAYADDLAKLYA >Ma08_p30600.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41799016:41812440:1 gene:Ma08_g30600 transcript:Ma08_t30600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASPSLPSSDSRLKWRKRKRDANSRRQKPPDDDEDDEDNEDAGPAAEEAQEDGHDSPANPAAADPVLDLRGSEVLSDGGHRISDFPAAVRHIVNLPHPSVLALVAAERSNFAARPWVPPLLENISYGQLQALSAVLPDNPSLLQPSDLEKPSAYVCTPPPLMEGKGMVKRFGKEQLLLVPMHSDWFSGSTVHRLERQVVPHFFSGKSGDHSPEKYIGLRNKIISKYLENPGKRLSFADCQALVPNNELYDLSRIVRFLDHWGIINYLAASSVHRGLRMAGSLLKEDVSGELQLQTAPLRSIDSLILFDRPKCSLRLDDVALLSHSASVDFDAGIGDLDSRIRERFAEHTCNFCSCPLTNLHYQSQKEDDIMLCLDCFHDAKFVTGHSSLDFIRMDSRNDHPDLDGDNWTDQETLLLLEALEKYNENWNEVAEYVGSKTKAQCILHFLRLPMENGLLENIELPHMPTSVDSSNVPDPVIQNSNSNGNIVGNRDFCNGSELPFSNSANPVLSLVAFLTSAIGPRVAAACASAALSILTREDSRSESWHSEVGICGPHGNLGPHKDGTLEGQVPQSTSLAPELVKYAAMCGLSAAAVKAKLFADQEEREIQRLAATIINHQLKRLELKLKQFAELETLLLKECEQAERMRQRLSSERLRMMSTRFGSAANNLSSSSSSSSSSSVAAAAPTAVSANTVPPTMSPSVGQVNVPATYGSNLPGHRQMQFMQRQQMFGFGPRLPLSAIHPAPAPSQNVTFSSGVPNTSTPNHHPLLRPSSGNNQ >Ma08_p30600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41799016:41812440:1 gene:Ma08_g30600 transcript:Ma08_t30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASPSLPSSDSRLKWRKRKRDANSRRQKPPDDDEDDEDNEDAGPAAEEAQEDGHDSPANPAAADPVLDLRGSEVLSDGGHRISDFPAAVRHIVNLPHPSVLALVAAERSNFAARPWVPPLLENISYGQLQALSAVLPDNPSLLQPSDLEKPSAYVCTPPPLMEGKGMVKRFGKEQLLLVPMHSDWFSGSTVHRLERQVVPHFFSGKSGDHSPEKYIGLRNKIISKYLENPGKRLSFADCQALVPNNELYDLSRIVRFLDHWGIINYLAASSVHRGLRMAGSLLKEDVSGELQLQTAPLRSIDSLILFDRPKCSLRLDDVALLSHSASVDFDAGIGDLDSRIRERFAEHTCNFCSCPLTNLHYQSQKEDDIMLCLDCFHDAKFVTGHSSLDFIRMDSRNDHPDLDGDNWTDQETLLLLEALEKYNENWNEVAEYVGSKTKAQCILHFLRLPMENGLLENIELPHMPTSVDSSNVPDPVIQNSNSNGNIVGNRDFCNGSELPFSNSANPVLSLVAFLTSAIGPRVAAACASAALSILTREDSRIRSESWHSEVGICGPHGNLGPHKEGQVPQSTSLAPELVKYAAMCGLSAAAVKAKLFADQEEREIQRLAATIINHQLKRLELKLKQFAELETLLLKECEQAERMRQRLSSERLRMMSTRFGSAANNLSSSSSSSSSSSVAAAAPTAVSANTVPPTMSPSVGQVNVPATYGSNLPGHRQMQFMQRQQMFGFGPRLPLSAIHPAPAPSQNVTFSSGVPNTSTPNHHPLLRPSSGNNQ >Ma08_p30600.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41799016:41812440:1 gene:Ma08_g30600 transcript:Ma08_t30600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASPSLPSSDSRLKWRKRKRDANSRRQKPPDDDEDDEDNEDAGPAAEEAQEDGHDSPANPAAADPVLDLRGSEVLSDGGHRISDFPAAVRHIVNLPHPSVLALVAAERSNFAARPWVPPLLENISYGQLQALSAVLPDNPSLLQPSDLEKPSAYVCTPPPLMEGKGMVKRFGKEQLLLVPMHSDWFSGSTVHRLERQVVPHFFSGKSGDHSPEKYIGLRNKIISKYLENPGKRLSFADCQALVPNNELYDLSRIVRFLDHWGIINYLAASSVHRGLRMAGSLLKEDVSGELQLQTAPLRSIDSLILFDRPKCSLRLDDVALLSHSASVDFDAGIGDLDSRIRERFAEHTCNFCSCPLTNLHYQSQKEDDIMLCLDCFHDAKFVTGHSSLDFIRMDSRNDHPDLDGDNWTDQETLLLLEALEKYNENWNEVAEYVGSKTKAQCILHFLRLPMENGLLENIELPHMPTSVDSSNVPDPVIQNSNSNGNRDFCNGSELPFSNSANPVLSLVAFLTSAIGPRVAAACASAALSILTREDSRIRSESWHSEVGICGPHGNLGPHKDGTLEGQVPQSTSLAPELVKYAAMCGLSAAAVKAKLFADQEEREIQRLAATIINHQLKRLELKLKQFAELETLLLKECEQAERMRQRLSSERLRMMSTRFGSAANNLSSSSSSSSSSSVAAAAPTAVSANTVPPTMSPSVGQVNVPATYGSNLPGHRQMQFMQRQQMFGFGPRLPLSAIHPAPAPSQNVTFSSGVPNTSTPNHHPLLRPSSGNNQ >Ma08_p30600.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:41799016:41812440:1 gene:Ma08_g30600 transcript:Ma08_t30600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASPSLPSSDSRLKWRKRKRDANSRRQKPPDDDEDDEDNEDAGPAAEEAQEDGHDSPANPAAADPVLDLRGSEVLSDGGHRISDFPAAVRHIVNLPHPSVLALVAAERSNFAARPWVPPLLENISYGQLQALSAVLPDNPSLLQPSDLEKPSAYVCTPPPLMEGKGMVKRFGKEQLLLVPMHSDWFSGSTVHRLERQVVPHFFSGKSGDHSPEKYIGLRNKIISKYLENPGKRLSFADCQALVPNNELYDLSRIVRFLDHWGIINYLAASSVHRGLRMAGSLLKEDVSGELQLQTAPLRSIDSLILFDRPKCSLRLDDVALLSHSASVDFDAGIGDLDSRIRERFAEHTCNFCSCPLTNLHYQSQKEDDIMLCLDCFHDAKFVTGHSSLDFIRMDSRNDHPDLDGDNWTDQETLLLLEALEKYNENWNEVAEYVGSKTKAQCILHFLRLPMENGLLENIELPHMPTSVDSSNVPDPVIQNSNSNGNIVGNRDFCNGSELPFSNSANPVLSLVAFLTSAIGPRVAAACASAALSILTREDSRIRSESWHSEVGICGPHGNLGPHKDGTLEGQVPQSTSLAPELVKYAAMCGLSAAAVKAKLFADQEEREIQRLAATIINHQLKRLELKLKQFAELETLLLKECEQAERMRQRLSSERLRMMSTRFGSAANNLSSSSSSSSSSSVAAAAPTAVSANTVPPTMSPSVGQVNVPATYGSNLPGHRQMQFMQRQQMFGFGPRLPLSAIHPAPAPSQNVTFSSGVPNTSTPNHHPLLRPSSGNNQ >Ma08_p22950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36423762:36425414:1 gene:Ma08_g22950 transcript:Ma08_t22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAVVIHQSIPVLDVQYRCTAKEIEGIVNMSAAAAAPSSPAFRQAERGLEAAAAAAVDLTVPEMDLSASTEPPQFVPSIRSGSFSDIGHRSFMEDEHIRIDDLSAPLGSLLRCPAPSAFYAVFDGHGGPDAAAYIKRHAIRFLFEDAKFPWASSEADKDFVESVENSVRQAFLLADLAMAEDCTVSSSSGTTALTALVFGRLLLVANAGDCRAVLCRKGKAVEMSQDHKPIHASERQRVEQCGAFVYDGYLNGVLSVTRALGDWDLKVPPSPLIPEPEFRHAVLTEDDEFLIIGCDGIWDVMSSQYAVSVVRRGLRRHDDPQRCARELVMEALRLNTADNLTVVVICFSESMAIRLRPVATGSSVPD >Ma03_p14770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:14544577:14547637:-1 gene:Ma03_g14770 transcript:Ma03_t14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFDGAFDVDDDEVAVGASVRPFDDDGYIGYDPRLPSQRFDAFSSLVDDVDSQDPDADDPSGGVGGFHHVPVIHVSGDDGSFPPSPEGYGIRAGPHPSVFSSAPSPFSVPESNGKTYGESGDEGIFGSDGPILQSPGEMQPEEGFVLREWRRQNAILLEEKERKEKEVRNQILAEAEEYKIAFYEKRKLNCEMNKIQIREREKLFLANQENFHANAYKDYWKAIAELIPREIPSIEKRRGKKEQERKPSIVVIQGPKPGKPTDLSRMRQILVKLKHNTPPHLKPLPPPAPAKDGGGGGGGGAKPETSTNSTSSTYAPAKDGAVAAAGAKQETSANSSTSST >Ma01_p20630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:19168258:19169964:1 gene:Ma01_g20630 transcript:Ma01_t20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNGVEPEFVKGTLEKDSVDDGLGSNIENEVSDNCVDAIQANDGPPEKPVGEAIDSSGIMEFGLGDTLMSRNQDLMEAFHAPSSQEIINWPRGAAVSGMLMELEMVLLYMQEETLFLGLISDQRSMLRNTRSFS >Ma11_p08830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:6993061:6995490:-1 gene:Ma11_g08830 transcript:Ma11_t08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRPSLRLAVNIVGWGDLSTLERNQIYGRSAKVERAIFSVEKSGDARRHGHSWIQRRFGSFTEDFLAINQRNDGNIKQLVKSMDDHKATRIRILSLNLEM >Ma02_p07330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18061174:18065006:-1 gene:Ma02_g07330 transcript:Ma02_t07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta chain [Source:Projected from Arabidopsis thaliana (AT5G38530) UniProtKB/TrEMBL;Acc:Q9FFW8] MATALLPSSPFSDRLRRSFPGVERSLLGSAPSLKFTVHKNLSHAHGLRTRAVYGDVNKVEIPRQWYNVVADLPIKPPPALHPKTHKPLKHEDLSPLFPDELIRQELSDDRFIPIPDEVIDIYKLWRPTPLIRAKRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAQQGVKNVVTETGAGQWGSSLAFACSLFGLNCEVWQVRASYDQKPYRKLMMQTWGAQVHPSPSSVTNTGRKILQEDPSSPGSLGIAISEAVEVAATHEDTKYCLGSVLNHVLLHQTLIGEECLSQLEALGETPDVIIGCTGGGSNFGGLTFPFIREMLKGRMDPLIRAVEPAACPSLTEGVYTYDYGDTAGMTPLMKMHTLGHDFVPDPIHAGGLRYHGMAPLISHVYEMGFMEAIAIPQTECFQAALQFARTEGLIPAPEPTHAIAAAIREALHCKDTGEEKVILMAMCGHGHFDLAAYEKYLQGNMVDLSHSNEKVQASLAAIPHIVP >Ma06_p07920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5615443:5625175:1 gene:Ma06_g07920 transcript:Ma06_t07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQQGRPSANGYNHRRVDTVTGSRMDSKMQSRKSAFPSFGSSNGIKAGRVTSPSRDRLTYVLTCLVGHRVEVHVKNGSIISGIFHATNADKDFEIVLKMAQVVNDGSVREQKSVHDTIKTPQPMIIPARELVQVLAKDVSLSSDEFTSGHAREKRKDLMIDSVISHSHHMEMERQLAPWMPDEDDPDCPELDNIFDGTLDRNWDQFETNETLFGVKSTFNEELYTTKLERGPQMKELERAASRIAREIEGEETHDFHLAEERGFYSHDDFGLDEESKYSAVRREANDSGFREKKKSGNEAQASYVETQATSSSVDEEMDLHFLADKDLGLSSVNHNTELMSNSISWSSPSLDVDIRLDANQIKDQVGKECMAIQESQASDDKHLSTESEGLSLSATLSDPSSCNQGNIITEPSDSPVLGKLPAASKPIDPPIRLGGSAEHACADSALSHPCLSPSSSCGSLSSDKSTLNPNAKEFKLNPNAKSFTPLSSMRLHTAVSDGSIYYPSNATAVPHMHGLPIGVGVGPSFGHQPVLYNPQAAPMQSSQAYVHPSGPMYGQQMIVGQPPQFYYVQSYPPEMPQKGRKF >Ma06_p07920.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5615443:5625175:1 gene:Ma06_g07920 transcript:Ma06_t07920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQQGRPSANGYNHRRVDTVTGSRMDSKMQSRKSAFPSFGSSNGIKAGRVTSPSRDRLTYVLTCLVGHRVEVHVKNGSIISGIFHATNADKDFEIVLKMAQVVNDGSVREQKSVHDTIKTPQPMIIPARELVQVLAKDVSLSSDEFTSGHAREKRKDLMIDSVISHSHHMEMERQLAPWMPDEDDPDCPELDNIFDGTLDRNWDQFETNETLFGVKSTFNEELYTTKLERGPQMKELERAASRIAREIEGEETHDFHLAEERGFYSHDDFGLDEESKYSAVRREANDSGFREKKKSGNEAQASYVETQATSSSVDEEMDLHFLADKDLGLSSVNHNTELMSNSISWSSPSLDVDIRLDANQIKDQVGKECMAIQESQASDDKHLSTESEGLSLSATLSDPSSCNQGNIITEPSDSPVLGKLPAASKPIDPPIRLGGSAEHACADSALSHPCLSPSSSCGSLSSDKSTLNPNAKEFKLNPNAKSFTPLSSMRLHTAVSDGSIYYPSNATAVPHMHGLPIGVGVGPSFGHQPVLYNPQAAPMQSSQAYVHPSGPMYGQQMIVGQPPQFYYVQSYPPEMPQKGRKF >Ma06_p07920.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5615443:5625175:1 gene:Ma06_g07920 transcript:Ma06_t07920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQQGRPSANGYNHRRVDTVTGSRMDSKMQSRKSAFPSFGSSNGIKAGRVTSPSRDRLTYVLTCLVGHRVEVHVKNGSIISGIFHATNADKDFEIVLKMAQVVNDGSVREQKSVHDTIKTPQPMIIPARELVQVLAKDVSLSSDEFTSGHAREKRKDLMIDSVISHSHHMEMERQLAPWMPDEDDPDCPELDNIFDGTLDRNWDQFETNETLFGVKSTFNEELYTTKLERGPQMKELERAASRIAREIEGEETHDFHLAEERGFYSHDDFGLDEESKYSAVRREANDSGFREKKKSGNEAQASYVETQATSSSVDEEMDLHFLADKDLGLSSVNHNTELMSNSISWSSPSLDVDIRLDANQIKDQVGKECMAIQESQASDDKHLSTESEGLSLSATLSDPSSCNQGNIITEPSDSPVLGKLPAASKPIDPPIRLGGSAEHACADSALSHPCLSPSSSCGSLSSDKSTLNPNAKEFKLNPNAKSFTPLSSMRLHTAVSDGSIYYPSNATAVPHMHGLPIGVGVGPSFGHQPVLYNPQAAPMQSSQAYVHPSGPMYGQQMIVGQPPQFYYVQSYPPEMPQKGRKF >Ma06_p07920.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5615443:5625175:1 gene:Ma06_g07920 transcript:Ma06_t07920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQQGRPSANGYNHRRVDTVTGSRMDSKMQSRKSAFPSFGSSNGIKAGRVTSPSRDRLTYVLTCLVGHRVEVHVKNGSIISGIFHATNADKDFEIVLKMAQVVNDGSVREQKSVHDTIKTPQPMIIPARELVQVLAKDVSLSSDEFTSGHAREKRKDLMIDSVISHSHHMEMERQLAPWMPDEDDPDCPELDNIFDGTLDRNWDQFETNETLFGVKSTFNEELYTTKLERGPQMKELERAASRIAREIEGEETHDFHLAEERGFYSHDDFGLDEESKYSAVRREANDSGFREKKKSGNEAQASYVETQATSSSVDEEMDLHFLADKDLGLSSVNHNTELMSNSISWSSPSLDVDIRLDANQIKDQVGKECMAIQESQASDDKHLSTESEGLSLSATLSDPSSCNQGNIITEPSDSPVLGKLPAASKPIDPPIRLGGSAEHACADSALSHPCLSPSSSCGSLSSDKSTLNPNAKEFKLNPNAKSFTPLSSMRLHTAVSDGSIYYPSNATAVPHMHGLPIGVGVGPSFGHQPVLYNPQAAPMQSSQAYVHPSGPMYGQQMIVGQPPQFYYVQSYPPEMPQKGRKF >Ma06_p07920.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5615443:5625175:1 gene:Ma06_g07920 transcript:Ma06_t07920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQQGRPSANGYNHRRVDTVTGSRMDSKMQSRKSAFPSFGSSNGIKAGRVTSPSRDRLTYVLTCLVGHRVEVHVKNGSIISGIFHATNADKDFEIVLKMAQVVNDGSVREQKSVHDTIKTPQPMIIPARELVQVLAKDVSLSSDEFTSGHAREKRKDLMIDSVISHSHHMEMERQLAPWMPDEDDPDCPELDNIFDGTLDRNWDQFETNETLFGVKSTFNEELYTTKLERGPQMKELERAASRIAREIEGEETHDFHLAEERGFYSHDDFGLDEESKYSAVRREANDSGFREKKKSGNEAQASYVETQATSSSVDEEMDLHFLADKDLGLSSVNHNTELMSNSISWSSPSLDVDIRLDANQIKDQVGKECMAIQESQASDDKHLSTESEGLSLSATLSDPSSCNQGNIITEPSDSPVLGKLPAASKPIDPPIRLGGSAEHACADSALSHPCLSPSSSCGSLSSDKSTLNPNAKEFKLNPNAKSFTPLSSMRLHTAVSDGSIYYPSNATAVPHMHGLPIGVGVGPSFGHQPVLYNPQAAPMQSSQAYVHPSGPMYGQQMIVGQPPQFYYVQSYPPEMPQKGRKF >Ma06_p07920.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5615443:5625175:1 gene:Ma06_g07920 transcript:Ma06_t07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQQGRPSANGYNHRRVDTVTGSRMDSKMQSRKSAFPSFGSSNGIKAGRVTSPSRDRLTYVLTCLVGHRVEVHVKNGSIISGIFHATNADKDFEIVLKMAQVVNDGSVREQKSVHDTIKTPQPMIIPARELVQVLAKDVSLSSDEFTSGHAREKRKDLMIDSVISHSHHMEMERQLAPWMPDEDDPDCPELDNIFDGTLDRNWDQFETNETLFGVKSTFNEELYTTKLERGPQMKELERAASRIAREIEGEETHDFHLAEERGFYSHDDFGLDEESKYSAVRREANDSGFREKKKSGNEAQASYVETQATSSSVDEEMDLHFLADKDLGLSSVNHNTELMSNSISWSSPSLDVDIRLDANQIKDQVGKECMAIQESQASDDKHLSTESEGLSLSATLSDPSSCNQGNIITEPSDSPVLGKLPAASKPIDPPIRLGGSAEHACADSALSHPCLSPSSSCGSLSSDKSTLNPNAKEFKLNPNAKSFTPLSSMRLHTAVSDGSIYYPSNATAVPHMHGLPIGVGVGPSFGHQPVLYNPQAAPMQSSQAYVHPSGPMYGQQMIVGQPPQFYYVQSYPPEMPQKGRKF >Ma05_p27820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38951834:38966100:-1 gene:Ma05_g27820 transcript:Ma05_t27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINO3-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G24490) UniProtKB/Swiss-Prot;Acc:Q9FYL3] MASVLFAELQFLPLPSFAGRPSARPPSLLLLRHRQDRFGLLGGHPSPSLLRGSFVARVGPAPYLPDFEAAEGLARELFGRVEGLVYTVADAAVASSDAAAESSKQSGDWLSGITYYLETVLKILKDGLSTLHVPYSYGFAIILLTVLVKAATFPLTKKQVESALAMRSLQPQVKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPIWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTFAYLVLPVLLVISQYISAQIMQPTQGNDPSQQNAQAVTKFLPLMIGYFALSVPSGLSLYWLTNNILSTAQQIWLQKLGGANNPVQDYINSATRDEASERQKTPSLMQKSVSEIEMSKSSQGQQEEISDGLRRGERFKQIKEEEAWRRKQREEEKKRMQEGAEDSSVIIMQSLEATSIDSDKSVEKTDNMVLKKETELHVSPSENGGLNSKTMTTNKDGLFLQNVHKEQAASNGDQTSENSLDERDEETEIDKI >Ma03_p08650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6359150:6373226:1 gene:Ma03_g08650 transcript:Ma03_t08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFPSRDHSFLLLNPKDADSHSKSLLQQVLELYLEELPTMNYAANTGKKSQFLEKCTTSGKYTTLVLRSDPVNGCGEVIAAVSYQIIPNDTQYAEIPLTAVSSKNQKMGFGQALYKELRERLQNVGILTIFCWADKTSEGFWLKQGFITIGEVNSKGKVSRLPIRADIRRELSFPGGSMLMVAHVKKDTNNIGCNSGKINLREAVREFDVDVKDNSSQQRIKRPIWEASLSSLKSKRVRGAHLIGCCQNSNQSLACDNCILQSSRHTDMANVLPDHSTSPSCFGIHDAGRRSGDLCDHLVSKENCPRIMFMDIADDVKKTWLTKIVEELGGSVTCDGSNSTHVITGKARRTLNFSIALCSGAWIVSPKWLKASFREGRFLEESQFILEDEDYLLKYKTDLRNVVNRAKTNPKSLLRGYHVCLTKHIQPSVGIVSTIIRSAGGNVMHGFGCINEPSRTIFLACEEDMSEALLAAKKGAWTFSSDWLMNCVMKQELDLEAPQFAESL >Ma10_p09690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23783756:23785039:-1 gene:Ma10_g09690 transcript:Ma10_t09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLALSQHEEETLSQFVTRFATEIRGYPDAHPSLIMQTFLTGLKPSRFFWSLIEKPPATIPEMLQRASQYVAGEALVAGRRADGKKPRIEQTRAITSTVALQPRRRPDHPEPQLPRPPPLPLNAPRTEIFLQIREKGLLRPPNPVKTTHKDRSKYCRFHRDYGHDKEDCRDLQNQIEELIRRGYLGHYLKEPREATPRPMIPVERHIDVIFGGPAAGGSSSTARKSYARSTVEKRPRPKLEPEISFGAEEVERSHHDDALVISIQIANTRVRRVMVDTGSSANVLYLDAFKKLGLSTKDLSPMSSALTGFTGDSISPLGTTTLPVTIGEEPRTKTIMTTFMVVDLPSAYNAILGRPTLNKLKAVVSTYHRAIKFPTSVGTGESRSDPGESRRCYLIAVTLPKKARTQDPDPREEVVPPTRLEPPE >Ma10_p04790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15450293:15453036:1 gene:Ma10_g04790 transcript:Ma10_t04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEKCEKKLAKVIVPDKWKEGASNTTESGGRKINENKLLSRKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >Ma10_p04790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15450293:15452603:1 gene:Ma10_g04790 transcript:Ma10_t04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEKCEKKLAKVIVPDKWKEGASNTTESGGRKINENKLLSRKNRSLVSPSILPSLLRFRFSWKPSFFVLVDTVWKY >Ma04_p06360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4682711:4686229:-1 gene:Ma04_g06360 transcript:Ma04_t06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAPIAFLDTWNATCQSSVSSILLIPAGKTFLLNPIVFQGPCQFDVRVQVDGDIIRPSQLWGGKIDHWLLFSHIDRLSIVGSGKIDDQRSSSCFLRAFSSFGFCRSNAQLLPTRALVAGLRLINSSQMHLVVGFSSAIRITGVTITAPGDSPNTDGIHIQQSHHVNVSDSTIGTGDDCISIGTGSFHVNVSWVTCGPGHGISVGSLGMNNSRAEVSDIQVGHCNISSTMNGVRIKTWQGGYGYAKAIIFENINFTAVNNPIIIDQHYCATGVCAEKSSAVQVTDVQFIEVRGTSSSQVAINLNCSQNVACTGITIQNVEIQPAQQGGQTSSYCFNAHGTVTGVAMPAVPCLMP >mito6_p00060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000017.1:186534:186710:1 gene:mito6_g00060 transcript:mito6_t00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSWNLLPNDRRIWTSSDQYGIMTPCSHTLSLDFLPITPCSHTLSLDFLRSRERFK >Ma05_p28450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:39455870:39461267:1 gene:Ma05_g28450 transcript:Ma05_t28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKQSDRSPGETESSGSASSEMHSGDQEALISSSPRHAQSPEVASKDVSQDNETIRTLNEKLSAALVNISAKENLVRQHAKVAEEAVLGWENAEKEVSSLKQQLEAASKEKSSLEDRIVHLDAALKECVRQFWQTKEEQGQKVHDTITKKTREWESDKLELEIRLTELQAQLEAKAEITTSVDHQLCSKVDILEEEKSALKVELDTLTRDLQMRTLELELSARVAETASKQHLDSIKKLTKLEAECRRLRATARKSSLANEQKLLSSSHYSESVTDSQSDAGEQLLSLDNEQSCSDSWASALIAELDQFKNEKANATSVTTSAEIDLMNDFLEMERLVALPEDDHGSSSIEHDNGSDHTFNRGSSSRKELDTIRLHMVELEEPVEKMTTAKIGMELSLPVLNNQLKNTHDQLEATEAKLVELQRQLNLVNGENHVLERELEAAEGKTNELQLQLESANTKNAELQERVNLLERKSEEEQELSAKLKVRCQNIGATEANKRKEAEHQLESAFGEIAELKETISLLERKFEEEKALSTELASRCWKSEALKGKIEELECQLELANLEIQNLRGMASSFEMKLEEEKAYSTELLAECQSMEAMEAKKKELECQLTAEHLEVGKLQEKVNILERKVEEERALCLGLAANIEAIEAKRKELVVQLESAHMEVGILQEKLIALEKQVEEERALSADYATNYHRLEHELSRKQQAAEFHLSASSNRVLKTRQEKDIALAAGKLVECQKTIASLNRQLKTLAMFDELMLETEKPESNGELLDLRGDSKIIDPSISPE >Ma04_p05500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4099767:4102736:1 gene:Ma04_g05500 transcript:Ma04_t05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGHYGGDSELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGSYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDDVEYEDEEEGDYQEA >Ma06_p10910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:7616616:7618176:-1 gene:Ma06_g10910 transcript:Ma06_t10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKDRIWEGSQACPLYGGEASIQRLNPPSLGCMMIGEGEGSDFHGSSWSSLLPFLSDPKNSFSSTYTLEAVDALPQEALSILDCNATTSEHWAYSNASVLCFEKGGRMPRAGPPSLDHDDDCAAWIDAMDQNCQLSDLDIKCPTADSTLIHEQDCFAVENWCAVVGTPEKDKRQCQDRFGLIYPGAAAVDGLRESIGRATVLQKRPYTDASDRPSPKKQCRGNTGTTKDKSSPSKDPQSTAAKNRRERISERLKILQDLVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGVKAPDVGKVKEALDAILSSHRDGSSSSKNAIRTFESAC >Ma09_p22880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:34743094:34747102:-1 gene:Ma09_g22880 transcript:Ma09_t22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNIRKLKDLLLKSDNRNCADCGATDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDEWSDEEINSMIEVGGNSYANAIYEAFLPKGFSKPKPDSSHQERTKFIRSKYQLQEFLKPSLRIVSSKGSFTSCDSGKDTDSISPSESSTSISQAGMVEFIGILNVKVIRGTNLAVRDMLTSDPYVVLILGQQKAQTAVIKSNLNPVWNEELKLSVPQNYGALKVQVYDHDLISSDDIMGEAEVDLQPMITSATAFGDPDLLSDMQIGKWLLSNDNALIRDSVINVVDGKVKQEVSLKLQNVECGELDLELRWIPLIQ >Ma05_p24320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:36564241:36565564:-1 gene:Ma05_g24320 transcript:Ma05_t24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCMSCRGARTFSCVRVIHMNGYVEDFEAPVTVGEVTGKPPTHVLLSSAHLIAFGSNLLRPDDCLEPGRLYFLLPHAVFQSESSPVDLATLMTRLTTAAKRGGVAAPPAAPPPPPSGPVWLGLGRGNPMASRARTWRPELDAIEERSFGRSMGRDSMNSSMRSFIAPET >Ma11_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:25679070:25681551:-1 gene:Ma11_g21590 transcript:Ma11_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSCVASPRGLYVFPSTSASSGCGFCRRDRRKVAGWSRRINASTEGSPEPIHTAGANKPQRRDFATGGPAMDVSASPVSSGTTSTTVVERSLAGGGDYDFSVLEKLATVVRLSYGIGIYGAMALASKFVCAISGTDWTGAFHPSPEAIVSGLCYAAPPIVALLFILDDEVVKHSPHARAIRDVEDEELQSFFHGMSPWQLMLIVTASSIGEELFYRVAVQGTMADVFLRGTELMKDAHGITSLTGVLPLFVPFAQAFAAAITAALTGSLYYVATAAGDPIYVAAPVLSSPTGREDLKKLLAAWCERRQMKKIYSPLLEALLALYLGVEWIQTRNILAPMITHGMYSAVLLGQGLCRIHDGERQVKVEPKNSNQPIVEQTR >Ma10_p17470.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:28855212:28857386:-1 gene:Ma10_g17470 transcript:Ma10_t17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHALLSAEIVNRGIESSGPDAGSPTFSVRVRRRLPDFLQSVNLNYVKLGYRNLVGHAVYWATVPLLAVVGSLSREALWRKAWEETSYDLATQLAFLVALAFTVAVYFMWKPRPIYLLDFACYRPSDDLKVSNEEFIELARKSGKFNEESLAFQSRILKSSGIGDETYVPKSIFSPGNCATMTEGRAEASTAMISALDELFDKCRVRPKDIGILVVNCSLFNPTPSLSAMILNHYKMRSNILSYNLGGMGCSAGVIALDLARDMLRANPSSYAVVVSTEAVSFTWYTGRNRSMLIPNCFFRMGCSAVLLSNRRRDFRRAKYRLEHIVRTHKGGDGRSFRCVYQEEDEERKKCLCISRDLMEVGGHALKAHITTFAPRVIPFSEQLLFVATLFYRHLLPKKTADSAGTKPYIAEYKLAFEHLCVHAGSKAVLDALQKNLRLEDRHMEASLATLHRFGNTSSSSIWYELAYLEAKGRVRGGDRVWQIAFGSGFKCNSAVWKATRRVRRPNRSPWLDCVDRYPEGG >Ma09_p03810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2513920:2522727:1 gene:Ma09_g03810 transcript:Ma09_t03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGFAELFSSRLFVKISALALTAIVSFYLGRRWSDSYPQLVFFTSGGASDRLASPSVAVSPNANLTLDVSALASNTTLLPGDADALPPLDADLPPSSPPPEARKVGIVDANGTMSVGFDAGELDPDMADGWDDEGSAINETAGMGSGEGERRARVKIDKFKVCPQEMREWIPCMDNEEAIKKLNSTERGERFERHCPLAGKGLDCLVPAPQDYKKPIPWPQSRDEVWYSNVPHTRLVEDKGGQNWISRDKDKFKFPGGGTQFIHGANQYLDQISRMVPDIAFGNHTRVALDVGCGVASFGAFLLSRNVVTMSVAPKDVHENQIQFALERGVPAMVVAFATHRLPYPSQAFDLIHCSRCRINWTRDDGILLLEVNRLLRAGGYFVWAAQPVYKHEEIQQEAWKDMEDLTASICWQLVKKEGYIAIWQKPLNNSCYMNRDSGVQPPLCDAKDQPNKVWYVDLKPCITRLPGNGFEANVSSWPARFHNPPQRLQEVDMDAYVAKNDLFKAESAYWNEIVESHIRIFRWKEMELRNVMDMRAGLGGFAAALINQQIDCWVMNVVPISGPNTLPVIYDRGLIGVTHDWCEPFDTYPRTYDLLHASGLFSQEQKRCNISSILLEMDRMLRPGGRVYIRDSKYIMEEILDITLAMGWRSDLRDTSEGPYASRKLLLCNKPFS >Ma06_p31900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32908014:32908674:1 gene:Ma06_g31900 transcript:Ma06_t31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTALLLFSLLLAAAVAARELDDKRSKSAKAGVDAAAYIPGFGADPGGFFGPGGGFNMPGFGGGWGAGYGGWGAGYGRDGVAVPSVVCSDWGPCYNKKLTCPAKCFTSYSRYGRGYGGGGGGGGCTIDCKKYCVAYC >Ma09_p02570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1814974:1815847:1 gene:Ma09_g02570 transcript:Ma09_t02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLSLSSFLFRLRDSPKPSCYFSSPPPPSWPWPSCRHPRTSSFRDVDGGAIYKTVNSVYFDSTDSFFTRSSEEQESFSTASEDSDGDSVETVVRGLRSDRLFFRPGETSSILEEAKTGELPFKDSVVLAMESEDPYRDFRLSMEEMVVAHGLRDWERLEELLEWYLRVNGKKTHGFIVGAFVDLLAGLASPLPSSFSPSKSMEMEEIKEEEGSRSS >Ma09_p02910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1995329:1995406:1 gene:Ma09_g02910 transcript:Ma09_t02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNDVFRLVCLAFFCLEHGMTDFL >Ma02_p17850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:24603794:24609861:1 gene:Ma02_g17850 transcript:Ma02_t17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSGQDQEHRNGVGPQPPPPPPQSFPAWARSTAECQAEFAVSAVAGLRSEEVAWRREIYGWNELEKHSGPSIWRLVLGQFEDTLVRILLAAAVVSFLLAWYDGDRGGGGEAGLTAFVEPLVIFLILVVNAVVGVWQENNAEKALEALKEIQSEHAAVRRDGKLIPTLSARELVPGDIVELRVGDKVPADMRVLQLISSTFRVDQGSLTGESAAVNKTNRAANSEDTDIQGKECMVFAGTTVVNGSCVCLVTQTGMNTEIGKIHLQIHEACQSDDQTPLKKKLNEFGEVLTAIIGVICAFVWLINVKYFLTWEYADGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTSLALGTRKMAQKNALVRRLPSVETLGCTTVICSDKTGTLTTNQMSAVRIVAMGRQTNDLRKFKVVGATYDPCDGEIHDWPAENMDDNLQMIAKIAAVCNDASISNSGHQYVASGMPTEAALKVLVEKMGIPGGFDPSSLESSEILRCCQWWNGCARRVATLEFDRVRKSMGVIVKSDSGSNSLLVKGAVESLLERCSYIQLLDGSVMQLDESTKNLVLEALHEMSTNALRCLGFAYKDDISEFATYDGEDHPAHKLLLDPSNYSSVESELIFVGLVGLRDPPRQEVHKAIKDCKAAGIRVIVITGDNKETAEAICREIGVFSPNEDISSASFTGKEFMSLSDKKNRLRQKGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKSFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDRDIMKKPPRRTDESLISAWILFRYLVIGLYVGIATVGVFIIWYTHGSFLGIDLSGDGHTLLTYSQLTNWAQCSAWEGFSVAPFTAGNHLFSFDTNPCEYFQSGKVKATTLSLSVLVAIEMFNSFNALSEDGSLLTVHPWANLWLLLAMCISFGLHFLIIYVPFLAQVFGIVPLSFNEWLLVLVVAFPVILIDELLKFVGRYRSSFGIQRLSKRNKVD >Ma09_p20990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:31419383:31422122:-1 gene:Ma09_g20990 transcript:Ma09_t20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSLFLVASEPIVQVLLIGLLGAYLASGYSNVLSPSARRDMNKVVFSVFTPSLMFASLAKTVTFEEIISWWFMPINIGITFLVGGVLGWIVVKILGPPRHLEGLVIATSSAGNLGNLLLIIIPAVCEENGNPFGDQQICSARGLSYVSFSMALGGFYIWTYTYSLIRNDGQTYHGSQSQSNGSIEADRNGSCINEGANLVEEDDQELSTNQENILLSIEVAENQIELPLLSSGNLQGQRVSIWHKMKETLHKIVDELLAPPTIGAIAGFVVGVIPWLKSLFVGATAPLRVFQDSIKLLGEGTVPCITLILGGNLTQGLRKSQIKPVVVFAILCIRFAILPAFGIAVVKAAYELGFVPYDPLYRYVLMIQFTVPPAMNIGTMAQLFDVGQEECSVILMWTYVVAAIALTIWSTIFMWILS >Ma06_p07800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:5528937:5534069:-1 gene:Ma06_g07800 transcript:Ma06_t07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARNLLRRHCDSYGACFSGGDPRFHDLDGLSSLKLNKLMADEPSPAPEDEQFGRDDGGSGDWLQLGLGTPPPLVPTDAAPGRTATTQLELFSARPSSSASPGPGTCPVAWPGVSGFRAPMMGMAMTMVPWMSHRREMPWGRCNPNLAMGGSSATTTLPPVMPEFVARQFVYPIPGSATPSGPEVLPEMRVVTPPRRPQSGVWIMLQAARDQGREPFLPQIPRSYLRIKDGRMTVGLLMKYLVNKLGLENAHEVEITCREQLLLPFLTLLHVRDNIWRSREETTTTTTLLRDSGSGTDHVMMLQYGRSAYTEARSRA >Ma08_p31050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42090888:42103533:1 gene:Ma08_g31050 transcript:Ma08_t31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLVWLMDVFVRRAYTAAGLRSHTVAVDADTTVHCWISTSLLPTPSSAGADPGRGSRRQPKPPLLLIHGFGPRATWQWRSQIAPLAARFDLIVPDLLFFGGSTTRSPQRSEAFQAAALARLLDALGVAPPRRARVSVMGTSYGGFVAYHVARAMGPDRVERVVIASSDLLKGPEDDKALLERAGGRGDVADLLLPRTTADMRRLVRVAVHRPPRFMPEFILRDMLRNLFSDKLEEKLELVKGISLANKDQFQLTPLPQSLFLAASSDDLGRG >Ma08_p31050.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42090888:42103418:1 gene:Ma08_g31050 transcript:Ma08_t31050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLVWLMDVFVRRAYTAAGLRSHTVAVDADTTVHCWISTSLLPTPSSAGADPGRGSRRQPKPPLLLIHGFGPRATWQWRSQIAPLAARFDLIVPDLLFFGGSTTRSPQRSEAFQAAALARLLDALGVAPPRRARVSVMGTSYGGFVAYHVARAMGPDRVERVVIASSDLLKGPEDDKALLERAGGRGDVADLLLPRTTADMRRLVRVAVHRPPRFMPEFILRDMLRNLFSDKLEEKLELVKGISLANKDQFQLTPLPQQVLMIWGEDDQIFPVDKAFEMQKRFGENARLEVVQKTGHMPQMEDAGRFNKVVLSFLLGYSDMSSL >Ma01_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7646740:7654036:-1 gene:Ma01_g10650 transcript:Ma01_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVASSSSSSCYDEQLDRRRRCSDHEGDELAESSAASRRHSRILSRWAARQAEEMITTIERRNRETELMALAGLHTVSALDSSFLRESGRSTSSSPVERPAAARASAILQMWRELEHVTAAARGRRSSAAESVQGDRSRAEGRRIDGSSVAASESDYNDYDQWSHGDVDLSRRSGEEEDNQRSSREQSPELGDNERGVRQIVRGWMTESGVSDTESRISPRNDTQRAEWLGEIERERVRLVRQWVQMASQQHRDARVSRRESERMRERDGSIMDHDDGQPQHVHRELLRLRGRQAHLELIMRMVTERQRELQRLSQQHAVSEFAHHNRIQSLLRGRFLRDRRPSEDDEERPLSAAAREIVQLRQHRRVSGLSEGFRFRLENIVRGQANNHNDFLASQSMGNVGTDHSQEGIALELSNDDEDQNQSSIETISVHQVIETHATTELESEITNNTIDVEESVTQVAGLQEEAAQERGDQEPSNDDAFSDWHAEAGEEFDRNWHENIVEDWPQETSENRDGEDAHLPGLHEEWREDESHDTEETWHNEQSDGLRDSRSRPGRRLDGFILRDDGNVYSMELRELLSRRSVSNLLHSGFRESLDRLVQSYVERQGRTPDGWDLQRPLPIPNPPEEDQDDEGDNLDEDIQDAVVRPQSAFPPPPLPPQQPIWHSGLHHNWARQNMHRPETQWDAINDLRADMARLQEGMNNMQRMLEACMDMQLELQRSVRQEVSAALNRAVGRQGVNEESSDDGSKWSQVRKGTCCICCDSHIDSLLYRCGHMCTCLKCANELVRSGGKCPLCRAPIIEAVRAYSVL >Ma01_p19270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:14732294:14765908:-1 gene:Ma01_g19270 transcript:Ma01_t19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASSILASVFGSREPVASATVATIFVYPIKSCRGISVPRACITSTGLRWDRQWLVVNSKGRAYTQRVEQTLALVEIELPTDAFREDWEPNDISYMVIKAPQMEPLKIPLNREHAAIDDISVWEWSGSALDEGNEAFEWFTKYLGKPSRLVRFNTVSEIRAVDPDYAQGYKTAFSDEFPFLLASQGSFNSVNELLEEPLSVNRFRANIIVDGCDPFSEDLWKEIKINKLTFCGVKLCARCKVPTINQENGSVGNEPTQTLMKFRSDHALHLKEKKGKVFFGQNLICKDSLSPTGRGKYIAVGDPVCVLQKYPSYADAPV >Ma10_p30900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:37125690:37126802:1 gene:Ma10_g30900 transcript:Ma10_t30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRASTAACFFLALCLSSIALAGNFYQDVDITWGDARAKILDDGQLLMLSLDKYSGSGFQSRNQYLYGKFDMQLKLVPGDSAGTVATFYLSSQGNTHDEIDFEFLGNLSGDPYVVHTNLYTQGKGDREQQFYLWFDPTMDFHTYSVLWNPRHIVFSVDGTPIREFRNRESAGVPYPKSQAMRAYASLWDADDWATRGGLVKTDWSKAPFTASYRNYTANGCVWNSGASSCAMGSNSWMWQELDSNAMNRLNWVQKNYMIYNYCTDPKRFPQGLPRECNAS >Ma03_p06630.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:4559662:4561440:1 gene:Ma03_g06630 transcript:Ma03_t06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKAQDHNPKSFSNQRTSSLPAHSTKRSLCFVTTFITLLLLLLLQIETLRSSIASSSLSWALFPKALHGNKPPCHAMEELNLARSRLRELVTFLPLKDVRFSKQPMSGHTWFMSSINDTFEDDDTQHLYFPSESSNGRLLCLSARDVSDGARNSYALAWPEALPRNATLLAGLTFVSDTHYDYSNLWHGVSAMVPFVSWHQRKQCVVPDRWVLFHWGELRTRMGRWVQTLAEAAIGEVRIEDFKEYGGGPTCFEKAVVFRHNEGAMKKQRRRDVYDMMRCKARAYCGIAAEAADPKAIRMTLLLRLGPRSFKNESEVIRIFREECEKVGGCRIKVAWANNMTFCEQVKLMSETDVLVSPHGAQLTNLFLMDKNSSIMEFYPKGWKEVAGVGQYVYHWMADWAGMQHKGSWRDPQGEKCQHTDNLQCFDFYKDKQIGHDEAHFAAWAAKVLTEAKEHKLGEASKTSSQPDLGSGRCPCS >Ma09_p11790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:8010703:8011075:1 gene:Ma09_g11790 transcript:Ma09_t11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVMGLKEQAVKSIKDTAGGVTSGSHRARRLSGGLDSSSHDFKPAEARGEGKVKRAEESLRTVMYLSCWGPN >Ma06_p24380.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:22913231:22920187:1 gene:Ma06_g24380 transcript:Ma06_t24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGLRSLAKHLKTMLSSTSSYPFPPPPPLSSPYKALHLGPSRTLIPLLLSGRIRLSAVACLLPRRCHRQIRLPLPGFLCHPTVDRFPRPFSSISSDPVFERREERQQPTSSCSADGEYQDHLLQVQQEKQSRFVPVKAYFLCTSIDLRSLQAQNAFNVIPPTSRATNYVVLRYYDVKNDPHVMESGFPNESVCHYMVVFHYGSVVLFNVTDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMEGGLDYIVLKTLNIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTGINRGLEKTGTFTMKRKKLFQLVGKANSNLADVILKLGLFERSDIAWKNAKYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSVFLEWLIIVLIAVEILISLYNIFFHPTPVVIQQKTNNQKISQEGSVDYDG >Ma03_p33200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34629253:34638643:-1 gene:Ma03_g33200 transcript:Ma03_t33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSTGESAVTTIVNLAEEAKLAREGVKAPGYAVVSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIQYNGTIQGLKYIWKSEGLRGLFRGNGTNCARIVPNSAVKFFSYEQASSGILWLYRQQSGNEEAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALGTVYREEGFRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKSNPYGLVEDSELSVVTRLGCGAVAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGQGRSSAPLEYTGMVDAFRKTVHHEGFGALYKGLVPNLVKVVPSIAIAFVTYEVVKDLLEVEMRISD >Ma10_p10650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24493411:24496328:1 gene:Ma10_g10650 transcript:Ma10_t10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAGGGGKVSFKVILTSDPKLPYKVFNVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGGSVSDGYCY >Ma10_p01500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:4721722:4726626:-1 gene:Ma10_g01500 transcript:Ma10_t01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLSGDIPPNQTIYINNLNEKVKKEELKRSLYALFSQYGRILDVVTLKTAKLRGQAWVVFAEVTAASSAVRQMQRFPFFDKPMRIQYAKTKSDCVAKADGTFVPREKKQKQEEKAAERKHRTDEAQQSASAFSGPNAQTGGVSASQASRQGKTGSQEPAAAPNNILFIQNLPHETTSMMLQILFQQYPGFSEVRMIEAKPGIAFVEFADDVQASIAMQALQGFKISPQNPMAITYAKK >Ma09_p20890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30369790:30386374:-1 gene:Ma09_g20890 transcript:Ma09_t20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGYAGNVEPCFIIPTVVAVNESFSNQSRSSAKGNWLAQHNASVMADLDFLIGEEALMRSRFSTAYNLSYPIRNGQVENWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSIKSIPIAGKDVTQFIQQLMKERGEHVPPEDSLEVARKVKEMYCYTCSDIVKEFNKHDRLPGKYTKQWTGVKPKTGASYTCDIGYERFLGPEIFFHPEIYGSDFITPLPVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARIVASAARLDGDVKSQPIQVNVVSHPIQRFAVWFGGSILASTPEFYRACHTKAEYDEYGASICRTNPVFKGMH >Ma09_p20890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30369616:30386374:-1 gene:Ma09_g20890 transcript:Ma09_t20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGYAGNVEPCFIIPTVVAVNESFSNQSRSSAKGNWLAQHNASVMADLDFLIGEEALMRSRFSTAYNLSYPIRNGQVENWDAMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPENREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSIKSIPIAGKDVTQFIQQLMKERGEHVPPEDSLEVARKVKEMYCYTCSDIVKEFNKHDRLPGKYTKQWTGVKPKTGASYTCDIGYERFLGPEIFFHPEIYGSDFITPLPVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARIVASAARLDGDVKACHTKAEYDEYGASICRTNPVFKGMH >Ma07_p23700.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31252805:31254682:-1 gene:Ma07_g23700 transcript:Ma07_t23700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELAAQLDETSASATPTPSNPINGKPAVVRIKRYSSRRQQQKDKKRTPLADLTNATSSSSPRLHLEEREPDPKSALPPPSIPPATSVRGAEGEHGGTSVVPTSPQGVKESFLGPGGDGVTCDKSVHSVVYTRSILRSTKSKGKEPCTTSSCPPAEKIRTVEIFLFLLSFPPPIRGSWNIG >Ma07_p23700.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31252805:31254682:-1 gene:Ma07_g23700 transcript:Ma07_t23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELAAQLDETSASATPTPSNPINGKPAVVRIKRYSSRRQQQKDKKRTPLADLTNATSSSSPRLHLEEREPDPKSALPPPSIPPATSVRGAEGEHGGTSVVPTSPQGVKESFLGPGGDGVTCDKSVHSVVYTRSILRSTKSKESSSSY >Ma01_p15760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11447784:11448325:1 gene:Ma01_g15760 transcript:Ma01_t15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNSKPHISASISSSEDVTVSGHSGATTRFSSRPEETASSSSSSSALAKSTNRLNLLKERRAQLVNDLQVARNSLGPGSDGPPPRTNSR >Ma04_p39920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36905433:36911224:1 gene:Ma04_g39920 transcript:Ma04_t39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVALPWKLLCWSTLHQQHLLFGKTMTHQILSLNNGDSWMMPEAKDIIKTTGTRDNKMNLVANDCNLRTNNEKQEPKDIPNKISNAQQAIQTLDKTISNLELELAAARAAQESILNGAPLAETLKATETTAPRKYLMVIGINTAFSSRRRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDKAIEAEHRKHGDFMRLDHVEGYLELSAKTKVYFATAVSMWDADFYIKVDDDVHVNIATLGATLAKHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLATYISANQHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICNSAERIKEVHRRCGEGEKTVWKAVF >Ma01_p00420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:299217:304498:1 gene:Ma01_g00420 transcript:Ma01_t00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MRRAPSSGADFVALLLLLLLLLFLFGRVRCSPEYSLGRSSSPATDSLCALLIRPSGYPCSEHIVETKDGYLLTIQRIPHGRKALKGKPGPPVFLQHGLFQGGDTWFMNSFEESLGFILADSGFDVWVGNVRGTRWSHGHTTLSVYDKAFWDWSWQELAQYDLLAMISYVYSVTNYKVSYIGHSQGTIMALAAFTMPDMVEMIESAALLCPISYLDHITSSFVLRAVFMRLDEMLLSMGIHQLNFRSDMGVQIIDSVCDGHMDCNNLLSSITGENCCFNMSRMAYYLEFEPHPSSTKNLHHLFQMIRKGTFAKYDYGFWGNLLHYRSLHPPAFDLADIPDSLPLWMGYGGKDALADPTDVQHTLEELKSKPELLYIDNYGHIDFILSVKAKDDVYGDLIRFLKSRGCSSSY >Ma04_p15360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11649525:11649856:1 gene:Ma04_g15360 transcript:Ma04_t15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHDKEMLKWLLVFNKSDLYSKSKVKINLEEVKPYYLSLSDKYFPAKLRW >Ma05_p14800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10830964:10831356:1 gene:Ma05_g14800 transcript:Ma05_t14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSDPSKQRRIDPSMSRRTRKAIEVFQEEPQPEEATDGFRRMSLQELMSQGAGRGINGAAAVRKLMTNASRDQEDAAAATSNDEWEKTLPIVPKQGGVRAHGILNRYVKVLNNLIKARSNSKEKARSQ >Ma08_p34070.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:44157961:44159802:1 gene:Ma08_g34070 transcript:Ma08_t34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKGIRSETALHKTNHSPSLHPIGLSSISSRSVTPSHTSSLATSIDGKAIARSFRNEIAVEVRSVPGLAVVNVGVRKDSQSYVSMKRKSCAEVGIRSIDVDFPEQISGVDVVAKVHELNDDPDVHGSSSRSSLFSTLLVISDDQELVPTEKDADGFHPLNFGKACNEEKRALISAMHSKGMS >Ma08_p05780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3922219:3928321:-1 gene:Ma08_g05780 transcript:Ma08_t05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRSRAPPFSLLLPFLLFIVLLQRSLPYPIIDPSRSKLLSWKPRAFVYERFLTDEECDHLISLARLELKRSAVADNLSGKSTLSEVRTSSGMFINKGKDPIVEGIEDKIAAWTFLPKENGEDIQVLRYEPGQKYDPHYDYFSDKVNIARGGHRIATVLMYLTDVAKGGETVFPSAEEPRHRGEDAKDDSFSECAQRGKAVKPKRGNALLFFSLHPDATTDQGSLHAGCPVIEGEKWSATKWIHVASFDKIITSQGNCTDENESCERWAALGECTKNPEYMVGTADLAGFCRRSCNVC >Ma05_p07870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5813299:5816109:1 gene:Ma05_g07870 transcript:Ma05_t07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENNKCYLLDCGAEVFIWVGRVAQVEERKAASKAAEDFIISQNRPKTTPVPQVIQGYETHSFKSKFESWSAGTVTGMGNSTGEEGQGKVAVLDIVNWFKSYSAMFIFMKIFSLRKFVKYGFIYFNIYSADVYMVLTIPLLDLIQVAHIPGAIFFDIDQISDPTSNLPHMLPSDEAFAASVSALDIQNKDAVIVYDGKGQFSAARVWW >Ma04_p11750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:8365436:8368832:-1 gene:Ma04_g11750 transcript:Ma04_t11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPLYPLVLLFNRDEYHDRPTKPVAWWGEGHRKILGGRDVLAGGTWLGCTKDGRLAFLTNVLEPDHLPCARTRGDLPVRFLQSWKSPLEFAEELVMEARDYNGFNLILADIPSKLMVYISNRPKEEPISIQVVSPGLHVLSNAKLNTPWYKAQRLAMRFRDLLVKYDEEEIPEKEMVVKLMSDTARADRDRLPNTGCDTEYEFKLSSIFVQFETKQRQFGTRSTTALSVKTDGNVRFYEKYLEKGVWKDHAVSYNIEKMQ >Ma09_p29780.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40132485:40145579:1 gene:Ma09_g29780 transcript:Ma09_t29780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRMFRGLKHQGHEAKEGKKQHRARTNQDELVQNTRDLQDLKSCYDSILSAAATTANNAHEFSEALEELGTCFLEKTALNDDEDSVIHAGRALMMMGKAQFKLQKLFDVYRVHILQTMDKPSRSLLRELQVVEEMKRLCDAKREIYRNSLEAHRGKWMLRYSKSGTFSSKELQEAQANYEEEENMFMFRLKSLKKGQFQSLLTQATRHHAAQLTFFKKGLKMLEMVESHVKAIAEQHHIAYQFSDLEDDVSDYDDDDDDYDYGGSDVGELSFYYKKNFQGKNVIYTSQNSIEESIDRSQLDMLSFRPGYSSQSAPIFADKKLDLTEKTETPPLSTRKFHSYVLPTPVGDRNPESNPGTAPCVEKNDSSPPLWNSSPLEAKRPVKDFRERELSSSTTFKNSVLRGSNINSGPISMPSYFSEKLSMPQINQKTAYDTNNFRRQAFSGPLTSKRFSSKPIVSTPDYRSSVEFPPVGSSASQHVFKPQSSPPHKETHRTSPPPVSYPKISELHELPRPPVGSEKSTGASTLIGYSGPLVSRSKVLNARNNMPSDVSYKTSLPAPFVHISRSFSIPSNSQRISMLTAARLLKSPHNLVTVEEITSSPLAI >Ma09_p29780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40132485:40145579:1 gene:Ma09_g29780 transcript:Ma09_t29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRMFRGLKHQGHEAKEGKKQHRARTNQDELVQNTRDLQDLKSCYDSILSAAATTANNAHEFSEALEELGTCFLEKTALNDDEDSGRALMMMGKAQFKLQKLFDVYRVHILQTMDKPSRSLLRELQVVEEMKRLCDAKREIYRNSLEAHRGKWMLRYSKSGTFSSKELQEAQANYEEEENMFMFRLKSLKKGQFQSLLTQATRHHAAQLTFFKKGLKMLEMVESHVKAIAEQHHIAYQFSDLEDDVSDYDDDDDDYDYGGSDVGELSFYYKKNFQGKNVIYTSQNSIEESIDRSQLDMLSFRPGYSSQSAPIFADKKLDLTEKTETPPLSTRKFHSYVLPTPVGDRNPESNPGTAPCVEKNDSSPPLWNSSPLEAKRPVKDFRERELSSSTTFKNSVLRGSNINSGPISMPSYFSEKLSMPQINQKTAYDTNNFRRQAFSGPLTSKRFSSKPIVSTPDYRSSVEFPPVGSSASQHVFKPQSSPPHKETHRTSPPPVSYPKISELHELPRPPVGSEKSTGASTLIGYSGPLVSRSKVLNARNNMPSDVSYKTSLPAPFVHISRSFSIPSNSQRISMLTAARLLKSPHNLVTVEEITSSPLAI >Ma08_p32060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:42773067:42775631:-1 gene:Ma08_g32060 transcript:Ma08_t32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRVGEERRRGRRRGSGRASAYGCSAQSKKREDYFIVRTDCLGVPGDPSSSSVFAVLDGHNGIAAAVYTRENLLNYVMIFGRKSGFKLYLVLWLLVLLKLIKKSREKEYETSGTTVTFVIVDGWASLLLQLETPGAF >Ma05_p25760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37599759:37603587:1 gene:Ma05_g25760 transcript:Ma05_t25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPKSHLLDLLFLFLCFLCFLCSDGVAGQTPVFACDVASNPSLGSYGFCNTSYGTEQRVADLVKRLTLQEKVGFLVNKATAVSRLGIPSYEWWSEALHGVSYVGPGTHFSTLVPGATSFPQVILTAASFNTTLFQAIGKVVSTEARAMHNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPLLASKYATGYVRGLQEADEPEKLKVAACCKHYTAYDVDNWKGIERYTFNAVVSKQDLDDTFQPPFKSCVIDGNVASVMCSYNQVNGVPTCADPDLLSGTIRGDWKLNGYIVSDCDSVNELYNRQHYTKTPEDAAAISILAGLDLNCGSFLRDHTLAAVQGGKLTEKDVEKAITNNFAVLMRLGFFDGDPRKLPYGGLGPKDVCTPANQELAREAARQGIVLLKNDNGCLPLDSTRIKSLAVIGPNANVTFTMIGNYEGTPCKYTTPLQGLAANVKTVYAAGCANVGCTGNSLQLDSAKAAAAAADFTVLVVGADQSIERESFDRVSLLLPGQQTTLITEVAKVAKGPVILVIMSGGPFDISFAKTNGNISSILWVGYPGEAGGAAIADVIFGYYNPSGRLPVTWYPQSFADSVPMTDMRMRADPSTGYPGRTYRFYTGDIVYEFGDGLSYTDYTHHLVKAPRLVSIPLEEGHSCDSRQCKSVDLAGTGCNDLGFDVHLRVRNSGNRAGSHTVFLFSTPPAVHGAPRKHLVGFEKVFLGPKAVGQVVFKVDVCKDLSVVDELGNRKLALGSHVLHVGSLKHSLSLKV >Ma06_p20960.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:15079889:15085799:-1 gene:Ma06_g20960 transcript:Ma06_t20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRPEAVHFDKITARLKKLSYGLTQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTKKSFSETIKLMYHHFNERSGQEAPLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVSGMVVERPQHMLMRVAVGIHKDDIKSVIRTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKEDSIEGIYDTLKECAIISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADMYEFLELRKNHGKEEHRARDLFYALWIPDLFMERVQSNGQWSLFCPNEAPGLADCWGDEFEKLYLKYEDEGKAKKVVAAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPLESHPSRLVGSSGSKNRYFDFDKLGEVTAIITENLNKIIDVNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALKSSSEIAISSGPYETYQGSPVSKGILQPDMWNVTLSDRWDWAALREMISKNGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPALKNKIIYEDGSVLKIPEIPDNLKAIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSLLQKTTKPVEDDDVEAKMAQVVCSLENREECMACGS >Ma10_p08890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23144347:23146553:-1 gene:Ma10_g08890 transcript:Ma10_t08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLPPASSLLSPSSAAAASSSSSRHRFIFPSIGNLRKSSHRRKFFLQAAPAVLDESPVFDPSPGFQESHDVIAPLKLKLLSVISGLNRGLAANEEDLRRAESAAKELEAVGGVVDLTKHQDKLQGQWKLIYSSAFSSRALGGSRPGPPTGRLLPITLGQVFQRIDILSKDFDNIVELQFGTPWPLPQLEATAILAHKFELIGTSKIKITFIKTTVKPRGSYSQLPPLEVPQLPDALRPPSNTGSGEFEVTYLDSDTRITRGDRGELRVFVIS >Ma10_p13420.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:26231929:26236035:-1 gene:Ma10_g13420 transcript:Ma10_t13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDMIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEAFPGDNVGFNVKNVAVKDLKRGFVASNSKEDPAKEAANFTSQVIIMNHPGQISNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPSKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >Ma04_p20940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:23605464:23605918:1 gene:Ma04_g20940 transcript:Ma04_t20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTARREQKGNGRQVNPTLPTSHTNITAPNKGEIIMLSDTDLPSYWQRGAEPPRHASICLQVWKTK >Ma05_p25580.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37465371:37466571:-1 gene:Ma05_g25580 transcript:Ma05_t25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIGLVMIAVVMLCSQCLISVEARPIVERRNLLANGLGMTPPMGWNTWNHFYCDINETIIRESADALVSTGLAKLGYRYVNIDDCWAEHDRDSTGYMVPKRLTFPSGIKALADYVHGKGLKLGIYSDAGHQTCSQTMPGSLGHEQKDAETFASWGIDYLKYDNCNNDDLKPMKRYPEMTRALMRTGRPIFVSLCE >Ma09_p06900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4391103:4396447:1 gene:Ma09_g06900 transcript:Ma09_t06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLERSLVFVVLLVVFGAEKSLAQSGGLSRGSFPKGFVFGTASSSYQYEGAVKEDGRGRTVWDAFAHSFGKVIDFSNADVAVDQYHRYHEDIQLMKDMGMDAYRFSIAWSRIFPNGTGVVNQAGIDHYNKLIDALLANGIEPYVTLYHWDLPQALADRYNGWLDRQIIEDYANYAETCFKAFGDRVKHWMTLNEPHTFAVQGFDVGLQAPGRCSIPLLLFCRAGNSATEPYVVAHNVLLSHATVSDIYRRKYKQNQQGSVGIAFDAMWFEPMSDSPTDIDATQRAQDFQFGWFMDPLFFGDYPSSMRTRVGNRLPKFSTAEAALVKGSLDFVGVNHYTTYYAKHNSTNIIGILLNDTLADSGAITLPFKDGKAIGDRASSIWLYIVPQGIRRLMNYIKQRYGNPVVIITENGMDDFNNPFISIKDALKDDKRIRYHNDYLSNLSASIRQDGCNVQGYFAWSLLDNWEWAAGYTSRFGLYFIDYNDNLKRYPKNSVDWFKKLLKSA >Ma07_p27920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34094177:34101479:-1 gene:Ma07_g27920 transcript:Ma07_t27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISEERVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPILACRRIPNLVQIYLVGFYEEREFALYVSAISIELRIPVRYLREDKPHGSAGGLYSFRDFIMEEDPSHIVLLNCDVCSSFPLPDMLEAHKTYGGLGTVLVIKVSAESANQFGELVADPVTNELLHYTEKPETFVSDRINCGVYIFTPDIFPAIQSVFTLRKDTANLHRMNSFEALQSATKTVPADYVRLDQDILSPLAGKKKLYTYETLDFWEQIKTPGISLRCSALYLAQYRCISPHLLATGNGSKSPTIIGDVYIHPSAKVHPSAKIGPNVSISANARIGAGVRLISCIILDDVEIKENAVVMHAIVGWKSSIGRWSRVQAEGDHNAKLGITILGEAVAVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Ma01_p03300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:2140657:2144036:-1 gene:Ma01_g03300 transcript:Ma01_t03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKGNSRDPPYNCYKVPGLSNPILETPHISYLEDRYVLLEELGWGQFGVIRACSDMLTGESVACKSIAKDRLVTPEDIRSIKLEIEVMARLSGHPNVVDLKAVYEDNDYVHLVMELCAGGELFHRLEKHGCFSEHEAAVLFRHLMEVVMYCHDKGVVHRDLKPENILLATKSLSSPIKLADFGLATYIKPGQSLSGTVGSPFYIAPEVLSGGYNEAADVWSSGVILYILLSGMPPFWGKTKSRIFESVRSAELRFPSDPWQSISDSAKDLIGGMLCRDPTQRLTAKQVLDHSWITEHTQQPEVSCGQCHEVSFTPGDLGNSSFSTPLVSASRDVSFSTSSLIPCQRVEDHSLPAFTCRSSCSSFIVDVPFSSTISFSFQNGCESDGMRFCSQMPVVPSFAFFMPEQQKPFSFTSEELKIKASQTDSSLRKLSVLPYSAACSSRDLRELDHKGLEARRGLGTNSRAIGIHSRRNHTIGLGERDQLDLVVSESVIRWASCTHLSSAPSLRSSLVC >Ma04_p32730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:32660565:32662171:1 gene:Ma04_g32730 transcript:Ma04_t32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREPRRTARGFGGRKKDECEPQLWAPPKELKSLHSLDLSNSGRKELPKSIGELKHLRCLRLHNTNMSTLPESLGRLYNLKLVNYKFYSKGKNTFLKLEKLVFENCSQLKDLPELKNLPSLVHMEISDCPIVCLPKKGLPTTLQFLSINNCPKLRQRRRDERGEDWPKLVVWTDEELVISRVAAKCLTMQSRHNTKAHAKTFLFLHSMPISQIIKLQNLSLFYKVPNNLQTCQDLIRAKILEITIYGYHFLAEVVVKY >Ma08_p17810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:27107832:27108524:1 gene:Ma08_g17810 transcript:Ma08_t17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIGYCPSKNSSSPRLKLFGFNVSEGEETGVGSDSFSPTTTTASGSGRGSGGGGEERKYECQYCYREFANSQALGGHQNAHKKERQQLKRVQQQQLHHSGVGFGGFLYPRNPIVSAFTPPPHLLSAGPPSPGPGHADWVYQPSPFHVSHGCAFPSSSAPGVTPGPAVFSCTTAGYGRAHVYDVAQVTTAPSSCSKFSINKVPTSTAVDSAVRDDSSGLDLKLSLATTGL >Ma11_p05750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:4454241:4459076:-1 gene:Ma11_g05750 transcript:Ma11_t05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEDSAPSTAELLSRLLDSVDETASLAGPTDVAESSHHVPSALPYFSALVGRLNPILHVLLQQPSELADAPTATIKRSAVESLDSELRRSRCLAERSTGYPSTLAARLLDGAARNLARGVQVLAGAWADAPANIRSEMEALQMELMEARFQGPASDKGGGTYVDTEDLVVRIKNGEEDELWVVISEIEVLLGEGLVWEEGGRLISALLNRLASAKSANRLKMIPVLRSLASYSTENKERMAGIEALSTIVRSLSRYVEESREAVGLLLDLSVVPKIRQRIGRIQGCMVMLVTLQNGDDPIASQDSGKLLRVLSGNAHNVLLMAEAGYFVPLVHYLKEGSEMNKILMANAISRMKLTDQMKSTLGEEGSIEPLVKMFTMGKLESKLSALGALRNLSGLRENIHRLIKSGAVEPLLQLLFSVTSVLVTLREPASAILASIAQSDLILIKKGVAPQILSLLNHSSPAIQIHLLQALNSIASHPNAKRIRTKMKENGAMQLLLPFLTEDNPEIRIAALNLLFHISKDFDPAIECFEQLGEAHLNILVNIVSTSISESEKSAAVGILSNLPVNDKRVTEILARENLLPVLISLLGETIIGSLGTTKMLLLESIAGVMIRFTVPWDKKMQRISASHGIISCLLKLLSCGSAVAKSKAAISLGQLSQNTIALSKVKSTRWLCVPPSSETFCEVHKGTCIVKSTFCLVKSGAIPPLVQVLEGKEREADEAVLDALATLLHDETWESGSNAIEKVSGVQALVRVLEVGNLKAQEKAIWMLERIFRLEAHTEQHGEAAQVVLIDLAQKGSPTLKPKIAKILAHLQLLQTQSSYF >Ma10_p21590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:31327544:31334680:-1 gene:Ma10_g21590 transcript:Ma10_t21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPVTFPLPLLIAFIFPSLLLLIAGRCADGRPISPLAEEEAFDLPISDGFSGRTDAAYEYGPFLVLPRGSATESCEPTYGFLPCTTTVVGNLFLVLVYGFLMFKAATYLSSGSELLLQILGPGIVGGLFLPILGALPDAMLILVSGLSGSKETAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSDSSTSVDLQDTRGFSLFASGISTDVQTSYAARIMAISVVPFIIVQLPRIFKFPSGQRLAVLVALIVAIALLLSYCLYQVLQPWIQRRRLEFAKHRLVMSGILKHVHTQSLGHLMDDNGKPNSHVIKKLFHKVDLDKNQSISRSELRALIIGLKMGEIDLDKDGAVDKVMNEFDTSRDDNIQEEEFIEGISKWIDEAYRSVAFSGSYSQKLHHFHVKARNEHNMLHDQSEEAADGTESPAWICFKAILLLVLGTFIAAVFADPLVDAVDNFSSATKIPSFFISFIAMPLATNSSEAVSAIIFASRKKQRTASLTFSEIYGGATMNNVLCLAVFLSLVYVRHLTWDFSAEVLVILVVCIVMGIFTSLRTTFPLWTCFVAFLLYPLSLVLVYVLDFVFGWS >Ma10_p05980.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17422768:17424760:-1 gene:Ma10_g05980 transcript:Ma10_t05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGILEVQLIDAKGLKDTDLIGKIDPYVVIQYRGQERKSKTARGQGSNPSWNETFKFLVNSAAAGNHQQHKITMRVMDHDTFTSDDFLGESTIHVGDLITQGMENGTGELRPTKYSVVLSDRSYCGEIRVGVTFTAKMEEENTEEFGGWSHSFHA >Ma10_p05980.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17422768:17424768:-1 gene:Ma10_g05980 transcript:Ma10_t05980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGILEVQLIDAKGLKDTDLIGKIDPYVVIQYRGQERKSKTARDSGGAGQGSNPSWNETFKFLVNSAAAGNHQQHKITMRVMDHDTFTSDDFLGESTIHVGDLITQGMENGTGELRPTKYSVVLSDRSYCGEIRVGVTFTAKMEEENTEEFGGWSHSFHA >Ma06_p06950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:4973905:4976026:1 gene:Ma06_g06950 transcript:Ma06_t06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAELSLDYKPNSYTMIQKQIGEQPDQTQKIQDFLARLEEERHKIDAFKRELPLCMQLLNNAIECYKQQLETYQTNQGPRPVLEEFIPLKHMNMDGSDKDPSAHSEKASWMVSAQLWSPPVDAAKQQPVPPPKETEQAFDVSPKLSLDTKQRNGGAFLPFSKEKSKAARSASRALSELALASPEKVVVEDKKCVELENGGVITRRENGTGGGGAEHGKGGSSSATEGQAAAPPTHRKARRCWSPDLHRRFVNALQILGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPAPAPQAAAAAAPQLVVLGGIWVPPEYATSAAAAAAAGPAIYGAHAAPAHYCAATPVPQEFYPPPPPVAHHHHLHPPLHRGAAAYKGRSSGSPESEVRSGGERSESIEEEEEGEEREEDEEEEEEEEGTPSMEEKALLPLPVKAEDGNGGGNVALKF >Ma10_p08140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:22414324:22415106:1 gene:Ma10_g08140 transcript:Ma10_t08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDTFISRYVNDVAPFVEGEICKVLGVKKEIKALQETLETIRCFLQDAEKKSRSGDPVMELWVRKLKEVMYEADDVIDLCVIEGGKPLEVRASASAPGVVSLPFSFVSSCFRCTKYRHKIAGQIEAINGRLKRIAEDSSILRNLQPASQQLHPKKPPPPRETSPLEVEEDIVGEQIEEAADDLINRMLENTEQKCRVFGIVGMGGTGKTTLASKIYNDERIKANIPIRKWLYISNDYSEIKLLRELIRCAGGENIFP >Ma08_p09910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7218669:7220025:1 gene:Ma08_g09910 transcript:Ma08_t09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEPSEPLNYKTWVLKVSIHCEGCKRKVKRILKSIPGVYDVEVDARQHKVTVKTVVDAETLIKRLGKSGKHAALWPEKKPGNQSPGNGETTKKKEDKESSVSKEPAESSEKKSIPSESSSASTAAPAEAEAKPKPPTEPAKPDSKTEESNVNEPQTTDATKVDTSAQTPEKPAATVDEKASAAAGEISSDKGVGKKKGHKAQKENSEDAGKDPDAGSINSSPPRHAYSHPAYVMSYNMAQPSASQAYYASPAPPASHGYVYMPFPPPPEFYYGSMDPSLPAPVQPAPHDDMFSDENPNACNIM >Ma11_p24220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:27292404:27292745:1 gene:Ma11_g24220 transcript:Ma11_t24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPEEPDVPKAQQHQVRGRRQQHLVRVTHDGSPPGTLQWEVQRRLHPGLPSRHPDAIQLHRSSAEQHEGEQGDQAGGASVQHRRRVGDAGHELTSMATTSSSSGKGSTTTIR >Ma03_p18590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:24153498:24161947:1 gene:Ma03_g18590 transcript:Ma03_t18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIGRRRQVVDEKYTRPQGLYHHRDIDEKKLRKLILESKLAPCYPGGDECALDLEECPICFLNYPSLNRSRCCMKGICTECFLQMKPPHATRPTECPFCKTLNYAVEYRGVKTKEEKGLEEVEEQKFIEAQIRMQQQEIQDEAERLKKRKDISSPSRIMNDAEVEYCDIVPSLRCTTQINDFVSSQASCSVPAGMLPSHCRQNRADNFDRDLDDIMVMEAIWLSIQEHGHQGYPVYLGSFFPGPSFSEECYSSHGIAPPEVSPYSGLACAASALNEHQHIYVESSANISSSATSMLDMLHQSGSLGNMRFMQNNPSSYWNEIPPDSGREVLREELGECSTDHWSDMSEAGTSYAGSDVMVDPRTAVIPFPSGAIMTPGHFAPENFEEQMILAMSVSLADTRARMPAQGLTWL >Ma01_p08540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6133467:6137485:1 gene:Ma01_g08540 transcript:Ma01_t08540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVADHVEALVRCLSLHLNRKVTGVIAVLFNHQGAGSLGAIAGFAIAVLFAWRFLRPSPGRRASDRRKRGAAPSTSRLAGGLEPSGSRGLVPTGPSNDTVAVEAITSPAERLGGCGKITCQLLGVILEEKTPEELQKHATVRLSVLQIVEEISKYFDLFLMETVLDDESEEKVLSALENAGIFQNGSLIKDKVLFCSTDIGRKSFVRQLEADWHIDTNLEIISQLSRFIRFQLYISEIDSGQVPRNVSTSTSLERFFS >Ma01_p08540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:6133467:6137537:1 gene:Ma01_g08540 transcript:Ma01_t08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVADHVEALVRCLSLHLNRKVTGVIAVLFNHQGAGSLGAIAGFAIAVLFAWRFLRPSPGRRASDRRKRGAAPSTSRLAGGLEPSGSRGLVPTGPSNDTVAVEAITSPAERLGGCGKITCQLLGVILEEKTPEELQKHATVRLSVLQIVEEISKYFDLFLMETVLDDESEEKVLSALENAGIFQNGSLIKDKHRYWAKIFRPTIGGRLAYRYKPGNNISAFAIHQIPTLYFGNRFWASTTKCEYIYKFGTLLFITSNCKRELELAQAVSCFRSLPFLFP >Ma09_p28490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39227335:39228917:1 gene:Ma09_g28490 transcript:Ma09_t28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAIFCVISLLALSISSPTTAQTPPAPFLPPSPAPAPAPAPHFVNLTELLSVAGPFHTFLNYLLQTQVIETFQNQANNTKQGITIFVPKESAFASLKKSDLGNLTQDQLRILFLYHAFPKYYSLSDFKNLSNLNSVSTFAGGQYALNITYTFGLISIGSDWANPKITSSVYSTAPVAVYEIDGVLLPLAIFSSDPPLAPAPAPAPEATKTSDITPTQSAIGAAPKSSESSTSGGSSYIASVPLLNCFVFALSGSLMLTM >Ma08_p21640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35456148:35459232:1 gene:Ma08_g21640 transcript:Ma08_t21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRHLLSIAGNSSAFPSCRTRVIHRSSSSPLVAHLRHLYAQGCDDDCCKKSNALVLQRDRNLVIYGPALWATGTRVLVSAGVVVTQKSTSAVGKPKNKSISIHGK >Ma10_p09150.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23352218:23355036:1 gene:Ma10_g09150 transcript:Ma10_t09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g47530 [Source:Projected from Arabidopsis thaliana (AT3G47530) UniProtKB/Swiss-Prot;Acc:Q9SN85] MKLWQDKREQEMYNSFANLYAIIKTTNKLEKAYVRDLVSSVECKVVCLKFIAQFCTLHSALHGTVPSLDRFIEAYHLGAPAIINHLLSPASRPPSISSAPLRPLPPPPISPLPACSPFMASARMLRPNATLRSLPHIAPVLIPRLLHCHRSNTSSPDNLLEHDSPSPPSGDCIPLIRSCSTKAHLLQIHARLLRSDLVHDPTISTAFLSRAALAPLRDLGYSRLVFERIPRPSVFHCNALLRGYAESGSPAEALRFYNRMRRHLGVRGNPFSASFLLKSCTSICFLSGGKQVHGRVLRDGHQCDSVLLTSLMGLYASCGDCEGAHRVFDEMPFRDTVTWNVLISCYSQNRRSKDALHLFDVMQRPDHGCKPDNVTCLLLLQACAQLSALDFGERVHEYAAREGYDRALNLRNSLIAMYSKCGSLDKAYTVFSDTSQKNVVSWSAMISGLAMNGYGREAVEAFGAMLNAGVTPDEHTFTGVLSACSHSGLVDQGLRFFDMMRDKYGIAANARHFGCMVDLLGRAGLLNQAYELIAKEKAVELDSTTWRTLLGACRIHGHAQLGEHVIGHLIELKAQQAGDYVLLLNTYASAGNWEKVAEVRKLMKDKGIQTSPGCSTTEINGVVHEFTVDDDSHPRKAEIYRMLDEINSQLKIAGYVPNVSSELHRMDDEEKENALSCHSEKLAIAFGILATPPGRTIRIAKNLRTCIDCHTFAKILSAVYDRLVIIRDRSRFHHFRGGGCSCDDYW >Ma08_p26900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:39320247:39323520:1 gene:Ma08_g26900 transcript:Ma08_t26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDARFPPHRRSKAPFRDLPPRSPFGRRYADDDEDEEGEEEEDNEEEHNDMHQPMDDDYDDDSSSESRGKRKRIDELALGFEFAPRVNPEASAPSSKPAARTSTFDLLDPWGDRFAQNGRRSFRADEGSDIAKKVSLASKANRTNAYRGNRFEKYKKEKPSTGEDGNVGSKWVYFKKMDALMSSPSPLPGRQQPHLFSSSSVYQNRCSGNHEKRDGLGNTRYDGDCDDEDVDSDGLPPKRMNVLRCSDSSFRMLAESIQKFGDIYEKMEIHQRQQMAELERMRKEFQRDLEVQKRQILEKAQEEIAKLTEEGRGDDEEDREDKEEDSDDGDSADNLSGFVSASFFLTAFV >Ma10_p08910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:23152811:23153085:-1 gene:Ma10_g08910 transcript:Ma10_t08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTKRLLKRDVSRYDLQLK >Ma08_p28560.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:40413948:40415052:-1 gene:Ma08_g28560 transcript:Ma08_t28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSKVFLGLVAIVAFIVAVALPAVQAQAPAPAPASDGTSIDQGIAYLLMMVALVLTYLIHPLDASSLYKLF >Ma07_p14490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:10905149:10905361:1 gene:Ma07_g14490 transcript:Ma07_t14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPRGFTLLAVVPLLLVRLYTPSAIESPQYTVVHSESDFEVRLYRESVWMSAPTDEISFEKATKFGFHR >Ma09_p01480.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:1066674:1069243:-1 gene:Ma09_g01480 transcript:Ma09_t01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARADGQEKVIAAAKHIVSSLATSKNAAEDMIRILSGFDNRLSTINDLFAPSSDRSGGGADVDGDGHDLSVAELRLEAAQKVVLRWDASDSLLWESCPEDAEEYLAAVDCLISLAELSISTGAGAGAAEDLVGRAEIALQMAMSRLGEEFRHLMVRNAVPLDSNDLCSSIRRLSLSFASDSGDAIEDFESSVDDEHHHHQPTPQQEEGSPEDRSGSSLMDDRNLDLIHPEVVADLKAIADRMIEAKYDREHRHVYCTVRRDILDECLSILGVDRISIEEVQRIEWRMLDDKMKKWIQAVKIVVRVLLWGERRLCDQILAASEELREECFAETTKGFVMQLLNFGDAIVICQRSSEKLFRILDMYEALADVLPDLHALFAADPKDLICDEADGILKRLGDAVKGTLMEFGNAIQKEPSRKPTQGGEIHPMTRYVMNYVKFLVVYINTLNILLDDGGVCGTDEGCSEGWENKNTDGENFENMTPLGRRMLLIISYLESNLDEKSKVYEDGAMRYIFLMNNILYIVNKVKDSELGRLLGDHWIRRHRSQIRQYATSYLRTSWTKVLSCLKDDGYGSGSSSSISKVALKEKFKNFNMAFEEIYRVQTTWKVPDPQLREELRISISEKVIPAYRSFMGRFGGQLEGGRHGKYIKYTSDDLESHLSDLFEGLPGLTPRKKT >Ma08_p15870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:16146338:16148434:-1 gene:Ma08_g15870 transcript:Ma08_t15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGIVVEMTTTTSTEPLLPSKVSYARNVSRANDKLRSLRFCLRWMCINQSDARHVKVSWSLFLLLGIVSTTSHFFLSYAPTCRTHDVVVQLFLTSASGLTYLYLSAFVRRYVLRRFLFLDKLVGEREQVWEGYMDQLNHSFHLLSVFVMLYFVREVAYKVRWYSLGLEWVLFVVTSNAMVGDVVPCTLKLMSDIRKLLSSSSRWERLRAYDALLGNNGSVMLVVVTSTMTPPPAIDGGLSFFF >Ma00_p01900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:13461464:13463670:1 gene:Ma00_g01900 transcript:Ma00_t01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRSNSAEAFVPSMVSFAGLEGNNQKRHLWDWATASHNLNAAVPTTNHEAIDLQSRPIFPPAPALDCPPPLFPMNHFAFCPPPPVPDFPVALIKTEDGIDSGGRIGLNLGQRTYFSSGDGLEMDHLFARSRGVYSLSHQPPRCQAEGCKADLSGAKHYHRRHKVCEFHSKATVVIAHGLQQRFCQQCSRFHALAEFDEAKRSCRKRLADHNRRRRKPQLATGIAESSASVIPTANSNIEKTKQAQDITPTKSITPVYLTGISTKRSGHLRNEPALSIRGVGADERRGMDSNTMYQSQGTLGAMLEDKFPQQQHIFSLSESSGTFFHHHNPPLSSDSNEATQSTGGCSSHSTHLQQANLLHLGQAMFELDFM >Ma06_p31810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32829998:32830129:1 gene:Ma06_g31810 transcript:Ma06_t31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSISSQSHQLLALYTKKIVSHDVNVDPLYLLEEACMYIFND >Ma05_p25790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:37621161:37625214:-1 gene:Ma05_g25790 transcript:Ma05_t25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDAFFNKGFRGAKCKTLLKLTIPRIRLLRNRRESQLKQMRKDIAKLLEDGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIESQRECPLDLKEAISTICFAAPRCADLPELQQVQMLFAAKYGKEFATAATYLLPDCGVNRQVIELLSIRVPSVETKMKLLKEIAEQHELDWDPSATENEFRKPHEDLLNGPNHVTNGSSLPLPPQKHDEHDDALSSDHTDESHIEPGSDADLDSLDLPQVPKDSVRATIDVLSALGNVSSRPPSAAHDLGFGHPEVTKSISSEDMAQVPPVEPAVSDTEPSSTSSPNQSNAVIAANKQFIPFLSPPPLSSFSAPPKQNEFSDPTSLSSTSQPAPSPYSISPSPNGGECVLPPPPLSSFSDYQAQNEPASSHPSFSSRAKCEIDVDLQDVLAAAQSAAETAEHAAIAARAAANLAQVRLTDLLAKSENSGNESHGEGFEEQTDLANPIFHHQQYSFGNNEKSTDYGQGQIWDSPTHRSHEPQSSPVSEDEPYLSYPNLFASKDSDLKSDIHD >Ma09_p28520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39244433:39250268:-1 gene:Ma09_g28520 transcript:Ma09_t28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELLDLQRDPPASCSAGPVGEDLFHWQATIMGPSDSPYAGGVFFVMIHFPADYPFKPPKVNFQTKVYHPNINSNGSICLDILKDQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHIYKTHRSRYEEIARSWTQKYAMG >Ma09_p28520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39244433:39250268:-1 gene:Ma09_g28520 transcript:Ma09_t28520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELLDLQRDPPASCSAGPVGEDLFHWQATIMGPSDSPYAGGVFFVMIHFPADYPFKPPKVNFQTKVYHPNINSNGSICLDILKDQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHIYKTHRSRYEEIARSWTQKYAMG >Ma09_p28520.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39244412:39250262:-1 gene:Ma09_g28520 transcript:Ma09_t28520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELLDLQRDPPASCSAGPVGEDLFHWQATIMGPSDSPYAGGVFFVMIHFPADYPFKPPKVNFQTKVYHPNINSNGSICLDILKDQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHIYKTHRSRYEEIARSWTQKYAMG >Ma07_p00210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:213546:215692:1 gene:Ma07_g00210 transcript:Ma07_t00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSRGEQAEEVNGSSIKRGDEEDAAEGSAGFKLNVHAPEFVPRSQLQAVPPLSGCFYPYLPFFENGCGGSALGPGWFYFAEQEPIHFIPDFHGKVAGHSKDSNDVIQKIVKQVEYQFSDTNLVANDFLMKIMNKDPQGFVPMSVVASWKKIKSLGANHHMLIKALGTSTKLALSEDGKKIRRKQLFTERDKEELQSRTVVVENLPEDYSRQNLGKLFSVVGSVKNIRICHPQEPNSATSSKSDVLINNKLHALVEYESTEQAEKAVEKLNDERNWRKGLRVRTMLRCSPKSVIRSKKLDFDHFDLYAEDDQSPSSPTLGSPRIEHLLDHSTEDNQSGSRKGRGRGRAKSHGLLLQSHSESGLLPHSPHSGGALGHGEASSKQSPQGPRMPDGTRGFAMGRGKPLSPVLGRAPSPAAAGP >Ma04_p30280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:31004348:31006278:1 gene:Ma04_g30280 transcript:Ma04_t30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEMEEEEEEEKAGCSLRQLEGISIRRATEVIGSLISSSYCSRSFPAKWQLIRDKLEQIRSALAAAADGGDSAANTELVGLLQAITSTANETRLLANKCSDESYGGGRLRLRSDLDVVACRLHLHSKRLEEIYASGVLTLSRAIVVSRPGVGASREDMRFYVKDLISRLKIGNLEMRVGALKALNQVLREDEKYVRILVVEVAEGVALLASFLESGIEGVAEEAAEAISAIAGFDSHRAALATAGAVAPLIRLLEIGTESAKERAAGALKRMTENSDNAWSVSAQGGVSALLKICGDSGSSGELIRSACGVLKSLGGVEEIRRFMVEEGAVSIFVKLSRSKEETSQVQAIEFLTAMAYEDDAIKEKAMKEGVLGSLVELLDPNSPCSSKAKEVALRAIEAFCFSSPSTMNVLMSSGFLDRVLSLLRSGEMSLQEPALKAVARLSALSQAIKKAMGDAGFIPELVKLLENRSFQVREMAAEALSGMISIQTNRRRFIKQDDNVNRMLQLLHPGEKSMTKTHVLSALVCLADSGGVRRKIAASGCVKHLEELAESDVRDAKRIIKRLSTSRFRSMLSGMWS >Ma08_p14230.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11322131:11324118:1 gene:Ma08_g14230 transcript:Ma08_t14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREAEATESPPPAKKKKEITLRSSDGALLSADEFLAEQSAVVRRAINSNPTDIPLNVPSVTESVLHLVIEFCKKQAEFTAEINVASSAGKKAKGVIVDSVDNTTEGKDAIAVDNGTAAIEKKRRDWEEDFLLVDHDVLYYLIMAADDLEIDSLRNLTCRKLADLTKNKSPEQIRGMLGIDDDFSEEEKREIRKELQLF >Ma07_p27840.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34055582:34056528:-1 gene:Ma07_g27840 transcript:Ma07_t27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSEEREAIEEEEVSLSPSDLPVAAGKGDDGECKSSDAEDDFEFRISVAGGLLSHAAETDMCAADEVFFQGQILPLRPSVGSDSGFFVASRAPSRSRSMSDSLDQYSSTVLGLGFNSTSRSNSSGSSSRSSSGCVSRSHSSNSHGSSTCEHPRVSLSNNFYAHPSPTPQVRILRNAHARRRSTSSAPPGWGIFRLGIAKAPEIELYDIRSRRSNSGSVSGRKSNADAEDAKKALRGTNRSTSKNAADVQKKAASQAAGRGFSCKCSPDALEPVAIPKLGVTKKKKEQQTTHRNRGELNG >Ma08_p13870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11014390:11015723:1 gene:Ma08_g13870 transcript:Ma08_t13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLSFLLLLLPTLLLAASPFPRGSKIKAATADFQAARMRSAQGAGSGALLSSSKRYEGSSDLVHLRYHMGPVLSSPINLYLIWYGPWPAAHRATLRDFLLSLSDPSPPPPSAAQWWSTVALYSDQTGANVSRRVSVAAEAHLRGLPRGASLTRLGVQLVIADALASGSLPVDHGRGAYLVLTSPGVAVQDFCRAACGFHYFTFPSLVGHTLPYAWVGHSGVQCPDVCAYPFAVPTYMTGVGAMRPPNGDVGVDGMVSVLAHELAELSTNPLVNAWYAGEDPTAPTEIADLCEGVYGTGGGGGYTGQVSKDELGRSYNLNGRNGRKFLVQWVWSPIVKACRGPNAMD >Ma01_p15400.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:11143591:11147146:1 gene:Ma01_g15400 transcript:Ma01_t15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKVEGSEERLVLRIALLSRLLLLTLIVLWRLLFLPYDTSASLNPSCLSAAAGDGLPKTGAVRWPWVGAAIESSVVWDGVYFVRIAECGYEYEQTYAFLPLLPACIALLSRSVFVPLVPIIGYRAVLALSGYALSNLAFLLAAVIFYRLSVLVLKDTAAALRSSVLFCFNPASIFYSSIYSESLYALLSLGGIYFIFSGANTVAMLLLALSGSARSNGALNAGYFCFQALKLYYDAIIHKKNHILAAQAIVTAAIRSIFIFVPFIAFQAYGYLNICLGGSSDELRPWCKQRLPNLYGFLQSHYWGVGFLRYFQVKQLPNFLLASPILSLAVCSIIHYSSLLHGTFRLPHVHEENDMVTTPKSVETNKSSDAICASMNNLSSRNAQGHHMIKQRKQERNKKDSESIQELRPLVQVLQSKQRLDSILLLPFILHLAFMTFTSLFVMHVQVSTRFLSASPPLYWFASHLLISTNTTSRRWGYLICVYFASYVLLGSLLFSNFYPFT >Ma03_p27160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:30634157:30635215:1 gene:Ma03_g27160 transcript:Ma03_t27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGNHYDSFAGSDEEFSDMAGKLELDDLFLASSIQSQEITVGEASLDSNKIGEMVDASIPPPDTMNRTVLHLQDMIRLDAHPHELMESGAVWGDALLSQACAASQPISGYCNEARADGISATFSGSENFRNLSCTGDISSGKSKNHGSFDQHRWSRGAVASEVSPNPLPNAKRKFEECTGIGENKTSLLQPSSLKKPRPEKHSGSSTINFGRDSNYEPDTEAVAQVKEMIYRVAALRPVTLEMVDAVEKPRRKNVRISSDPQTVAARQRRERISERLRKLQSLVPGGSQMDTATMLDEAANYLKFLKSQVRILETLDNRHDPGNNSTMHPFPFHLSQAFAVQQLYPTQKP >Ma10_p04410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:15071499:15072902:1 gene:Ma10_g04410 transcript:Ma10_t04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSNSVNSFFSFLAHGIDDLDESLTSNTFMSLQFLQRAVALLRSLHSRLTNLVQKLHLPVGERWLDEYMDESSRLLDVCHVIKLGVSGIENYCSAAADMISSLDEWRHNPSPHLARQVMRAVSICRREAMGLEEENRVLVETRIEPMSFRFDDRLSMESRYNGFNGFRGVLYALRNASSLLLLILLWGSVSCCPELAVTDGSLFFGSELMVSMASLQQRVVGEVEGLDGRSGILMLEFRQARAATEELRDELETAAAMRCGPEIAGGGLKEKVEELKGWFGMLRSGTENLVGQMDDFFDEIVEGRRKLLHLCSHR >Ma05_p01680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:1025378:1034380:-1 gene:Ma05_g01680 transcript:Ma05_t01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNDRVAMRHMDVYMEQEEKYTEAVMVASGADRLQRKLHIEMAPRLASDPCTPPVRWGPWLYYRRAEDEKQYPVLCRRSASLHDEFISYSEPSAGFDFQTGKRIEQKLIDYNQEAERFGGYSYEELSEVSPDHHFFAYTMYDKDKDSFTLSVKDLTTGSLCDKPQADRVANLSWAMNGKALLYTVTNNDKRPYRIFCSILGSNKDDVLILEEHNENVYINIRNTKDFQFVTVNVFSNFSSKVYLINAADPLSGMTLVWECEPHAHCIVEHHQGYLYLFTDAARGGEPVDSHYLLRRVAKDYDSGNWECVLLEEPGVTIEDVDFCETHMVLILKEGKSFRICSVALPLSMGGNVPVHLSALQPCFLPLPEHVCQIAPGPNYDYHSSIMRFTISSPVMPDAVVDYNLLNGKWHIVQQLNMLQERTKTLYGTAAAASSLKRSSLPNNLGTNCQHHDADGTWHELSEFYACEYYDVPSNNEVVVPLTIVYSRKHKQEGSPGLLHGHGAYGELLDKRWRSELKSLLDRGWVIAYADVRGGSGGGKKWHHDGRRTKKQNSIIDYISCAEFLVGEGIVQKNKLAGWGYSAGGLLVASAINIRPDLFRAAVLKVPFLDVCSTLLYPILPLTPVDYEEFGYPVELEDFLAIRKYSPYDNIQKGVPYPAVLITSCFNTRETRYLN >Ma06_p31000.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32228187:32228647:1 gene:Ma06_g31000 transcript:Ma06_t31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLVDQRRTTRSSKPAAGICSRCGACARVADMETTTRFCYVPVYRKTWRAIICTFCGALLKSYNR >Ma07_p01690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1323925:1327520:1 gene:Ma07_g01690 transcript:Ma07_t01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSHPSICSIPVKKTRTSLLPLKIPLSTSLVVTSWTYLGCCW >Ma07_p27770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34010036:34014975:1 gene:Ma07_g27770 transcript:Ma07_t27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSDGKDIRFVATDLAADIIIRVGNVKFHLHKFPLLSKSFRLQKLITITNNEKTDEICISDIPGGAAAFEICAKFCYGMTVTLNAHNVTTARCAAEYLQMHETVEKGNLIYKIEVFLRTSILRSWKDSIIVLQTTRSLLPWSEDLKLIDHCIESIASKASTDSSKVEWSYTDNRKKLPSENCLETHWKGIRKENSVPKDWWVEDLCELEIGFFKKIIMAIKVKGRVSSEVIGEALKAYTYRRLSSLGKEKGGDPNKNRSLLETIILLLPSEKGSVSCCFLLKLLRAGSLLDCGECSKRELVKRIGRQLEDASVPGLLIPSTSGENTIYDVDMVLNIVEEFIMQDNSDVQLSTNEELHELNAPALASRISKITVAKLVDDYLIEIAKDPNLPLSKFIDLAEILPSDSRPVHDGLYHAIDTYLKEHPGLGKSEKKKLCGLMNCKKLSGDVCAHAMQNERLPLRLVVQILYFEQMRASAATTSRAESGNSYGSSRSAITTNTEDECDGVPNAEDGKARKFTKLSEGGKGCEWSSGGNNENRSNGQGKSGNSQVKGVVMPKKMLGKFLLSSKGQAGGSSSSSDTSGSPNSAKQGEPKLTPSRNIRYTVL >Ma07_p27770.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:34010467:34014975:1 gene:Ma07_g27770 transcript:Ma07_t27770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSDGKDIRFVATDLAADIIIRVGNVKFHLHKFPLLSKSFRLQKLITITNNEKTDEICISDIPGGAAAFEICAKFCYGMTVTLNAHNVTTARCAAEYLQMHETVEKGNLIYKIEVFLRTSILRSWKDSIIVLQTTRSLLPWSEDLKLIDHCIESIASKASTDSSKVEWSYTDNRKKLPSENCLETHWKGIRKENSVPKDWWVEDLCELEIGFFKKIIMAIKVKGRVSSEVIGEALKAYTYRRLSSLGKEKGGDPNKNRSLLETIILLLPSEKGSVSCCFLLKLLRAGSLLDCGECSKRELVKRIGRQLEDASVPGLLIPSTSGENTIYDVDMVLNIVEEFIMQDNSDVQLSTNEELHELNAPALASRISKITVAKLVDDYLIEIAKDPNLPLSKFIDLAEILPSDSRPVHDGLYHAIDTYLKEHPGLGKSEKKKLCGLMNCKKLSGDVCAHAMQNERLPLRLVVQILYFEQMRASAATTSRAESGNSYGSSRSAITTNTEDECDGVPNAEDGKARKFTKLSEGGKGCEWSSGGNNENRSNGQGKSGNSQVKGVVMPKKMLGKFLLSSKGQAGGSSSSSDTSGSPNSAKQGEPKLTPSRNIRYTVL >Ma07_p24540.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31876494:31878189:1 gene:Ma07_g24540 transcript:Ma07_t24540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSQLTRPPSFGGSLKALEADINHANTLADAIRRTYGGACLQMRVSCSPLAPVFLFLMQWLDCTCSYSLLRYLGLFQILVHKVYVDGMRTISTFERRASIREFYAIIYPSLQQLESNLAEREQSNEKGRAKEMVGRKRMEEWKKLADRDLDRDDECGICLEVCTKMVLPSCNHAMCIKCYRDWNVRSQSCPFCRGSLKRVQSRDLWVLTNRDDVIDTVALEMDNYNQET >Ma07_p24540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:31876494:31878189:1 gene:Ma07_g24540 transcript:Ma07_t24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSQLTRPPSFGGSLKALEADINHANTLADAIRRTYGGACLQMRVSCSPLAPVFLFLMQWLDCTCSYSLLRYLGLFQILVHKVYVDGMRTISTFERRASIREFYAIIYPSLQQLESNLAEREQSNEKGRAKEMVGRKRMEEWKKLADRDLDRDDECGICLEVCTKMVLPSCNHAMCIKCYRDWNVRSQSCPFCRGSLKRVQSRDLWVLTNRDDVIDTVALEMDNVRRFYRYIDSLPLIIPDTLFFVYYDYVV >Ma03_p00590.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:489125:490971:-1 gene:Ma03_g00590 transcript:Ma03_t00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRGYAQDDDDDDDGDGSEEGSRGEEVEREGEKPDPNAAAMEEEAEGASSPDSSPPRLLAKSSAPRVDDTSLALAAAGSARALHRPLDPTQHAVTFNPTYDQLWAPIHGPAHPFAKDGVAQGMRNHKLGFVEDAAIQPFLFDEQYNTYHKYGYATDPSGLSYVGDLETLTKNQALSVYNMPQQEQKRRRIQMKASGAEEADNLAAGHEVENPATDEWLLKNRQSPWAGKKEVGQGELTEEQKKYAEEHAEKKAEKERGEGRAGNKAEHMDKSTFHGKEERDYQGRSWIEAPKDAKAKNDHCYIPKRWVHTWSGHTKGVAAIRFFPKQGHLLLSAGMDSKVKIWDVFNSGKCMRTYMGHSKAVRDISFSNDGTKFLSAGYDKNIKYWDTETGKVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIIQWDMNSGTITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVVKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYGTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVLSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGMIKYW >Ma03_p00590.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:485749:490971:-1 gene:Ma03_g00590 transcript:Ma03_t00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRGYAQDDDDDDDGDGSEEGSRGEEVEREGEKPDPNAAAMEEEAEGASSPDSSPPRLLAKSSAPRVDDTSLALAAAGSARALHRPLDPTQHAVTFNPTYDQLWAPIHGPAHPFAKDGVAQGMRNHKLGFVEDAAIQPFLFDEQYNTYHKYGYATDPSGLSYVGDLETLTKNQALSVYNMPQQEQKRRRIQMKASGAEEADNLAAGHEVENPATDEWLLKNRQSPWAGKKEVGQGELTEEQKKYAEEHAEKKAEKERGEGRAGNKAEHMDKSTFHGKEERDYQGRSWIEAPKDAKAKNDHCYIPKRWVHTWSGHTKGVAAIRFFPKQGHLLLSAGMDSKVKIWDVFNSGKCMRTYMGHSKAVRDISFSNDGTKFLSAGYDKNIKYWDTETGKVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIIQWDMNSGTITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVVKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYGTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVLSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGMIKYWD >Ma08_p21690.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35494200:35497626:1 gene:Ma08_g21690 transcript:Ma08_t21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASDDVVVIEVAKRAGEPSVVTVNCPDQTGLGCDICRVILEFGLCITRGDVSTDGRWCYVVFWVVKLSASMNIQWENLKNRLMSICPSCSIPFYFDLVNPPTSAQVYLLKLFSVDRKGLLHDVTQVLCELELLIHWVKVSTTPDGRVVDLFFITDGMELLHTKERQDETCERLIAVLGESVNRCEIQLAEDFQQGVSSLPPVAAEELFSLELCDDEFCSQALSPDMKKLKKANVNIDNSLSPSHTLLQIHCVDQKGLLYDIMRTLKDCDIQIAYGRFLSDMKGSREVDLFIQQTDGKKIVDPVKQDILSRRLRLEMLHPMRVMIANRGPDIELLVANPVEMSGKGRPLVFYHVTLALKLLGICIFSAEIGRHTTSERQWEVYRFLLDDSLELPLANSQSRSQIVDTVRRTLMGF >Ma05_p07190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5241526:5242519:1 gene:Ma05_g07190 transcript:Ma05_t07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSLDGVDTSAILSEASKTVARLIGKPEAYVMIVLKGSVPMSFGGTEQPAAYGELVSIGGLNSDVNKKLSAAVAAILETKLSVPKSRFFLKFYDTKGSNFGWNGSTF >Ma01_p06830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:4919798:4932914:-1 gene:Ma01_g06830 transcript:Ma01_t06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTSVGPSELHLKRELTALQRARFLRDPETCSSWRSPLSSKSFVANSKVKNGNGMMENFIGEINYSSPLNVSLRGRNGRQNRYLYNLRHHTTERVQKLDEEDRDDLVKGSPKDNTTLSNSLIEDSKSDTCLGIPVNFHNVTSTYSGNPVRRNIREFRRTISVRGMTKHPTVSKLMGTASCSLGIIDSGEQSDDGEDYNSEDLQQLVHELTQQDGYISHSSSPLLYGSGFGSRLSSSKFHRTSRKLLSSQSCTPASTSSYYKYGGRDTSTVGSCNGTVASFDVDELDQPELSKVQRCGIPCYWPKRTKDMGVGGLSSPSLSDTLKKRGSGILCGSQHSLKKKLSGCHKQSYLAKSSQGLPLLTNSCDIGQLSLDTTSDELSSKLGELDLEARSRLDGRRWSSCKSQEGLQIDMPGGAAFDIVDQRSLSQKYQPRFFQEIIGQNIVIQSLGNAISRGRIAPAYLFHGPRGTGKTSAAKIFAAALNCLSIEENKPCWFCRECTAFSSRNGTNLKEVNATNKMSIDQVRHLLKSLSLAKTISQYKVFVIDECHLLSSKNWSMFMKFLEEPLPPIVFIFITIEPGSLPHSIMSRCQKYIFSKVKDVDIVCRLKKLSIKENLDVELDALNLIALNSNGSLRDAEIMLDQLSLLGKRITSSLVTDLVGVVPGEKLLELLEIAMSSDTAETVKRSRELIDSGIDPIALMSQLAGLIMDRIAGTYRMTKSNSGGTALGGQSLTEAELERLQLALKILSDAEKQLRHSSERSTWFTAALLQLGSSHNLELNRISSSSTSNKRNAIKNSNTVSGMEKNSPFCENRRSHERILIDSGQTNDATCKEIFRSANPENLDKIWTMCIHRCHSQTLRQLLSTSGRLLSITENEGTLIAFIGFEDRIIKSRAQRFLSSITNSMEIVLRQNVDVRLGVVPEGLRSMPSLVSNQMEKDKRRNVDSDNLSSHSNQERINANKILDSYERISEKSYEQCDRSAPGDSNNAVTSIPTLLSERKNGSYNNKDKGQEVSAQGPLKMGPDEQRLETAWLQAADPRHVNQSKLDMNQVLPLNGVDHQCVKLSSIVTVKSTRHWDDDLDDKVRCLKVSATGGHNKKQIEGADHYATSPSLLHCNKKSVNCEKENKEYKPRPCCIGLHCWTTPKNQEKKVKHGIRVQSPKASHFLCLRQCGKLKSAESRFRK >Ma11_p06920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5533984:5534436:1 gene:Ma11_g06920 transcript:Ma11_t06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPKKLIKMVRKWQKVAGLGRTRRRIMTGKPDDSAAAVAESCSAPLVASRGHVFVYTADGKRFMVPLKYLSSSILRELLRMSEEEFGLPTDGPITLACEAASMDYIIALLRGGITSDVEKAVLASIAGRRCTVSAVPREPHQHLILYGF >Ma08_p21270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35201381:35215983:1 gene:Ma08_g21270 transcript:Ma08_t21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSDVKAIVLTGEGGKFCGGLDINIFAAVQKTGDLSLLPDTSVELVVNVIEDAPKPSVAAIQGLALGGGLELAMGCHARVSTPEAQLALPELALGVVPGMGGTQRLPRLVGLPKAIEMLLLSKSIIAKEGKEYGLVDAIASPEDLLKTSRLWALEIAEQRKPWIISLHRTDRLGSLSEAFETLTAGRQQAKRIAPNVPQHKACLDAIEEGINFGGYAGLLKEAKVSKELICSSTTKGLIHVFFAQRATSKVPNVTDVGIRPRKIKRVAIIGGGLMGSGIATSLILNNTPVILKEIDSNLLQKGLKMIEANLQSLVKKGSFTIEKMNKALPLLTGVLDYSEFKNVDMVIEAVVEKVSLKQSIFAEIEKACPPHCIFATNTSTIDLHIVGEKTHSQDRIIGAHFFSPAHVMPLLEIVRTDKTSPQVILDLMTVGKTIKKVPVVVGNCTGFAVNRTFFPYTQAAQFLVNLGVDLFRIDRVVSSFGMPMGPFQLQDVSGYEVALAVKDFFASSFRGRTLESELVGLMVKHGRNGKNNGKGYYIYERGQNPKPDSSVQPIIEECRRRANVMPGGKPVTLSDQEVLEMIFFPVVNEACRVLAEGVVIQASDLDVASVLGMSFPNYRGGIVYWADSVGSSSIYEKLNKWTELYGNFFKPSPYLEERAMKGLPLSMLHVAESPQMKARM >Ma08_p21270.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:35201403:35215983:1 gene:Ma08_g21270 transcript:Ma08_t21270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVTMDVGSDAVAVITIRNPPVNALALPIVAGLKEKYAEAMSRSDVKAIVLTGEGGKFCGGLDINIFAAVQKTGDLSLLPDTSVELVVNVIEDAPKPSVAAIQGLALGGGLELAMGCHARVSTPEAQLALPELALGVVPGMGGTQRLPRLVGLPKAIEMLLLSKSIIAKEGKEYGLVDAIASPEDLLKTSRLWALEIAEQRKPWIISLHRTDRLGSLSEAFETLTAGRQQAKRIAPNVPQHKACLDAIEEGINFGGYAGLLKEAKVSKELICSSTTKGLIHVFFAQRATSKVPNVTDVGIRPRKIKRVAIIGGGLMGSGIATSLILNNTPVILKEIDSNLLQKGLKMIEANLQSLVKKGSFTIEKMNKALPLLTGVLDYSEFKNVDMVIEAVVEKVSLKQSIFAEIEKACPPHCIFATNTSTIDLHIVGEKTHSQDRIIGAHFFSPAHVMPLLEIVRTDKTSPQVILDLMTVGKTIKKVPVVVGNCTGFAVNRTFFPYTQAAQFLVNLGVDLFRIDRVVSSFGMPMGPFQLQDVSGYEVALAVKDFFASSFRGRTLESELVGLMVKHGRNGKNNGKGYYIYERGQNPKPDSSVQPIIEECRRRANVMPGGKPVTLSDQEVLEMIFFPVVNEACRVLAEGVVIQASDLDVASVLGMSFPNYRGGIVYWADSVGSSSIYEKLNKWTELYGNFFKPSPYLEERAMKGLPLSMLHVAESPQMKARM >Ma03_p16790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:20484047:20484988:-1 gene:Ma03_g16790 transcript:Ma03_t16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGPKMSSLGAKTGNTAGDDPQAEVEDLLRATEDDVLLKLRVGGHIASRHLSCSLLDYDLARRFEALKAPPPHPPSAAQRRPSAPEPVEKGEASAAADDGKWGRVLGDDLAARFAALKGSSGSRGSDRGLPRSDLLPEGKRSHDDDDDDKEEVEDDDDTDEDGVSKKEVDKLLQWAIDAARLDPSKSDDDEEDGGDGGSSEDEEDFEVKRKVGEERIKNKRKPKKWFFF >Ma11_p22260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:26089338:26095780:-1 gene:Ma11_g22260 transcript:Ma11_t22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADWFRTQQGFRSRRRGRRRERAYRVGGGVLRVSGCDYLMEQRNGLEMESESTCSSSFQKEEDYSCQSPYDKTSVKPSEKHMDQETTREAEIRWSSPSIIAKLMGLDEQPPVQEVNKQKKVINDCFQEISAVGLQDKYLRREEHSPWMSTTEHQGFKDVYDVTETRKVEREKKKPDNKALPSLKQYKFDVNKQFKPDVSSVDQSFMGVKQFHSDKSQRTFIKSNNRLADHDCKKHHFFKAFQEPNFLFKKYFHDLKWLAPSHLTSKIAIFKSSSGAKIESDKVCCPSERKTDGFTNLLNDVMITFRKPVTGMVGHSLKEHNDSLLQKSAGNSNPCVHPNHIVLLKPSTNKAHSKGRSVLETPKVIQFADRRLTTQTVFQEPDNVEREWSNFSHNMEDFGCKTKGLREISREITEQPKDSKSSSNKHVSVLGLNRFSRDESSCIMPGANNLCNSEASCRPSNRCNYWNSTYGSSATSTEVRRESSKNLSRKWKVTDHIKEVGDCGKGSSTLAEMFALSDLETQNPAPGSSMVHTVSDEKLSKLDMRAPWGSPSSISSRDSWVDGFFINLPKSTALPASSTNYGSRNLSSMHRFGVEHFGTFHDMLRPRQKKCIPRESSSLKSIKSGNLKLYSNFGREENNLPSKEIHMNQERMRKGALCETPAELNFERTSVPHSADVHMSITDQELAQPTMTHMILMNPKFSSPNLKEAPTDGSQLYIELLTPVRTKEASQPCPVSVLDLPVREDDLGCPKILNGGLLELNTKTLPSESGDPCAEASEVITLSNEDDSEDCQSIQQNSYLEEEFMDEEERDYTYLLDILIVSGVHSAKQGKLCNACYSPEHPVKPTLFEKLERKYSKLVAWSHSERRLMFDLTNSTLAEILAPCMDRHPWVNSTRRIAPMWGSEGLVEKTWQMLVEKRMELSGGNAEDKVLDIKWLDLGDDIDEVGVEIERTLKEELLEELVVEFMAG >Ma07_p01330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1014524:1025780:-1 gene:Ma07_g01330 transcript:Ma07_t01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKAGDASAEKGSPPPQPPEKATDDKGDAVSVELPAPTGWKKKFMLNEDGTPRRNEIVFVSPTGEEIKSKRQLQQYLKSHPGGPPSSEFDWGTGDTPRRSARIRERAKAIETSEDEKPKKRERKSSSSKKAAKQKKDDSDVVDGTPGAKEDVTVEETEVFADVQMKEADDANKVKDGNTATEAANEDAAGEQDSIVKSNGSVEEKTEASSKNDGTETATGVDAVISDKPADTKVLPSSGDPEEASTGKEGHEREVVQGNSIDEENPDDAVVTKEVPPANCGDGQHLPKASPVNC >Ma10_p06080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:17485404:17487170:-1 gene:Ma10_g06080 transcript:Ma10_t06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWKKRARRDIEDNYGSPAKELLHLFCWNQPSSLSSTALNPQEISASVDVATCSDGKDLPLKPFEGEDTIEAELMRLHRLAGPPRFLFTIKEETMEDLESEDGWCGEGRNRRGSRGKSLSDLFLSSDTPFLTPLSSPSLFTPPLTPLDCYRQSGFNPLFESSKEEDFVRMWSSPPPTFKFLKDAEEKLHRKKLTEEARQAHRSASHPSTSHSRGPEEKDATFITIVIEKNRDRGQQHHSSASQVIPLPSSPSSVGPLHKK >Ma08_p05880.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:3976193:3976369:-1 gene:Ma08_g05880 transcript:Ma08_t05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRCIELPQRKRCRLLGSDASVTSRRLDQQTLVGDVLQIDGDRSTSSSVGSCSP >Ma06_p14520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:9953483:9953728:-1 gene:Ma06_g14520 transcript:Ma06_t14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFCVCPRERGRVMAKKMETILLGQAVKIWNKEKG >Ma05_p19440.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27901434:27902473:1 gene:Ma05_g19440 transcript:Ma05_t19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAGMLPGVECARRRRFQNGGSVDSLTSCSRRSAFPLCTTGHDMHPSSSISMRSIMNKEIHEEALGHTAREARERLDARLRIKRHNSLGCMKLGKSEGCSHGRLHRILGSVQRQVFSSKKSTRKFSWSKLRWKASEQADCAVCLEDFQEGDMLVHLPCAHRFHWNCVLPWLESSSHCPCCRMTIFLG >Ma05_p19440.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27901421:27902473:1 gene:Ma05_g19440 transcript:Ma05_t19440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAGMLPGVECARRRRFQNGGSVDSLTSCSRRSAFPLCTTGHDMHPSSSISMQRSIMNKEIHEEALGHTAREARERLDARLRIKRHNSLGCMKLGKSEGCSHGRLHRILGSVQRQVFSSKKSTRKFSWSKLRWKASEQADCAVCLEDFQEGDMLVHLPCAHRFHWNCVLPWLESSSHCPCCRMTIFLG >Ma10_p28060.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35067789:35072252:-1 gene:Ma10_g28060 transcript:Ma10_t28060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKQQDSLNLADLGSALSAEDRAGLVDALKNKLQSLAGKHTDVLETLSPAVRKRVEVLREVQSQHDELEAKFFEERAALEAKYQKLYEPLYTKRYEIVNGVVEVEGIKDESPEETGSEDKASEEKGVPGFWLAAMKANEVLTEEIQERDEEALKYLKDIKWCRIEDPKGFKLEFFFNPNPFFKNAVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFKPPQVPDDDADIDEETAEELQGQMEVDYDIGSTIKDKIIPHAVSWFTGEAVEGDELEIEDEDEDDEEDEEEEEEEEDDDEDDEDEDEDEEDQTKTKKKSATGQKKSGGEQAQRPAECKQQ >Ma10_p28060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35067789:35072252:-1 gene:Ma10_g28060 transcript:Ma10_t28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKQQDSLNLADLGSALSAEDRAGLVDALKNKLQSLAGKHTDVLETLSPAVRKRVEVLREVQSQHDELEAKFFEERAALEAKYQKLYEPLYTKRYEIVNGVVEVEGIKDESPEETGSEDKASEEKGVPGFWLAAMKANEVLTEEIQERDEEALKYLKDIKWCRIEDPKGFKLEFFFNPNPFFKNAVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFKPPQVPDDDADIDEETAEELQGQMEVDYDIGSTIKDKIIPHAVSWFTGEAVEGDELEIEDEDEDDEEDEEEEEEEEDDDEDDEDEDEDEEDQTKTKKSATGQKKSGGEQAQRPAECKQQ >Ma10_p28060.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35067789:35072252:-1 gene:Ma10_g28060 transcript:Ma10_t28060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKQQDSLNLADLALSAEDRAGLVDALKNKLQSLAGKHTDVLETLSPAVRKRVEVLREVQSQHDELEAKFFEERAALEAKYQKLYEPLYTKRYEIVNGVVEVEGIKDESPEETGSEDKASEEKGVPGFWLAAMKANEVLTEEIQERDEEALKYLKDIKWCRIEDPKGFKLEFFFNPNPFFKNAVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPITKTEDCESFFNFFKPPQVPDDDADIDEETAEELQGQMEVDYDIGSTIKDKIIPHAVSWFTGEAVEGDELEIEDEDEDDEEDEEEEEEEEDDDEDDEDEDEDEEDQTKTKKKSATGQKKSGGEQAQRPAECKQQ >Ma07_p26810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33435416:33436338:-1 gene:Ma07_g26810 transcript:Ma07_t26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAPPAGGNGNGIGPPDYPSEDGHSIRTSILSTVLVLLALVMAVIVFVRLYVRYVFTNRFPGDDRRRRRLAAIDFLRFHMAADAVPQAVGLDPSAIAALPAFAYQMATGGEDEGSVAECAVCLSTVEEGEMVRLLPTCKHLFHAGCIDMWLASHVTCPVCRAMVEPPEAAAIDVGETSAPQPSRAEGTSAEETSGSKEGSSVSSRLSASLRRMLSRETSTSRRSQGVAAEDLERQ >Ma10_p09890.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24009627:24030523:-1 gene:Ma10_g09890 transcript:Ma10_t09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRAYSSVWMIGGFSFIIYMGHLYIWALIVVIQIFMARELFSLLRRSNEERQLPGFRLLNWHFFFTAMLFTYGHFLTQQLVNTVTSDKLLCQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYRYQFSQFAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASVTTLLSAFVLANIMGHFQWLTCPRKDLSTGWLHCDPGPLFKPEYFSLPALMHQWFPWKEVAILPVQCHALAFGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPPSFSVEMFLDQILRNLTIEEQQLLYEQLGNFFQARQLLQA >Ma10_p09890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24009627:24030523:-1 gene:Ma10_g09890 transcript:Ma10_t09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLYIWALIVVIQIFMARELFSLLRRSNEERQLPGFRLLNWHFFFTAMLFTYGHFLTQQLVNTVTSDKLLCQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYRYQFSQFAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASVTTLLSAFVLANIMGHFQWLTCPRKDLSTGWLHCDPGPLFKPEYFSLPALMHQWFPWKEVAILPVQCHALAFGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPPSFSVEMFLDQILRNLTIEEQQLLYEQLGNFFQARQLLQA >Ma10_p09890.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24009627:24030531:-1 gene:Ma10_g09890 transcript:Ma10_t09890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSYSDTSNYLGGVRHRKHPSEVSPDADKANRSNLLVNDRNKYKSMLIRAYSSVWMIGGFSFIIYMGHLYIWALIVVIQIFMARELFSLLRRSNEERQLPGFRLLNWHFFFTAMLFTYGHFLTQQLVNTVTSDKLLCQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYRYQFSQFAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASVTTLLSAFVLANIMGHFQWLTCPRKDLSTGWLHCDPGPLFKPEYFSLPALMHQWFPWKEVAILPVQCHALAFGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPPSFSVEMFLDQILRNLTIEEQQLLYEQLGNFFQARQLLQA >Ma10_p09890.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:24009627:24030523:-1 gene:Ma10_g09890 transcript:Ma10_t09890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSSYSDTSNYLGGVRHRKHPSEVSPDADKANRSNLLVNDRNKYKSMLIRAYSSVWMIGGFSFIIYMGHLYIWALIVVIQIFMARELFSLLRRSNEERQLPGFRLLNWHFFFTAMLFTYGHFLTQQLVNTVTSDKLLCQLVSGLIKYQMFICYFLYIAGFVWFILTLKKKMYRYQFSQFAWTHMILLMVFVQSAFTVANIFEGIFWFLLPASLIIINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASVTTLLSAFVLANIMGHFQWLTCPRKDLSTGWLHCDPGPLFKPEYFSLPALMHQWFPWKEVAILPVQCHALAFGLFASIIAPFGGFFASGFKRAFKLKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVMPPSFSVEMFLDQILRNLTIEEQQLLYEQLGNFFQARQLLQA >Ma06_p15130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10292987:10294097:-1 gene:Ma06_g15130 transcript:Ma06_t15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCALKIVALLFLVYGWWGWQTTLGSTPVDMFEQWIAQHGRAYEDKAEKLYRLGVFTRNMEHVNAFLQAGGRSYTIGLNRFADLTKEEFLSTYATGRMRPSDASYPGLQPFRYVNMTAPSSIDWRNKGAVTPVKDQTTCGACWAFSAVASMESINKIAKGSLIPLSEQQLLACDDNDNGCSGGLHYHAFSYVVSNGGITTEANYPYHPNVSTCNSTKQSDHAVSITGYGIVPTNDEKLLMNAVANQPVSVSIDASELQFYAGGIFDGPCDTYLNHEAALVGYGTDENGTAYWIAKNSWGTSWGDHGYILLKKDVAQKEGLCGVAIRASYPII >Ma05_p07390.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:5376073:5381827:1 gene:Ma05_g07390 transcript:Ma05_t07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQWPTTLEDEESCPPPPPPPSSHLPKPISEPPLRLDSFPPPASSRSQSSLENTVPFPLPPRIRHGSCAESERFATSQRELTDADSRMVLVGDPDRTDPRLELSGNAIRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVLPLAFVLLVTAVKDAYEDWRRHRADRIENNRAASVLDLPTGRFRPKRWKDVRVGEVLKVLADDTIPCDMVLLATGDPTGVAYVQTINLDGESNLKTRYAKQETMSRAPDAHPFVAANFIRCERPNRNIYGFLGNMEVDGKRVSLGPSNIILRGCELKNTAWAIGVVVYAGCDTKVMLNSSGAPSKRSRLETHMNRETLLLSALLIVLCSVVSVCNGLWLGDHRGDLELSQFFRKKDYSDGEEKNYNYYGIGMQVFFTFLMSVIVFQIMIPISLYISMEMVRLGQAYFMSGDTNLYDESSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFLCASIGGIDYSGGIAPPQGNDKVHPVLDVDDQCWKPKMLVKTDPELVDLLRSKGDTEQGKRAHEFFLALACCNTIVPLVVETSDPKQMLIDYQGESPDEQALVYAAASYGFLLIERTSGHIVIDVLGHRQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADSSMLGVLRKGIDLDIICATETNLRAYSSLGLRTLVIGIRDLSINEFEEWQSAYENASTALIGRGRFLRAVASHVERDLQILGASGIEDKLQKGVPEAIESMRQAGIKVWVLTGDKQETAISIGFSCKLLTSEMTQIVINSKSRESCKKSLQDAVAMSSKLAAPGNVLTGAGSARSLLALIIDGTSLVYVLETELEEELFKVATVCDVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGIGLSGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNSVFVFVLFWYVLYTAYTLTTSITEWSSVLYSVVYTALPTIIVGVLDKDLSRKTLIKYPQLYMAGQRDERYNLKLFILTVMDTVWQSAAIFFIPYAAYRHSTIDGSSLGDLWTLAVVILVNIHLAMDVYRWNWLTHASIWGCIVATFICVIIIDSIWMLPGYWAIFHIMRTGLFWLCLLGIIVAGMVPRFTAKALTEYFMPGDIQIARELEKFQDVNAATTSEISMSTLSQQP >Ma07_p02100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1620324:1621694:1 gene:Ma07_g02100 transcript:Ma07_t02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAETFLFTSESVNEGHPDKLCDQVSDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYRNDHGAMVPIRVHTVLISTQHDETVTNDEIAVDLKEHVIKPVIPERYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKEHFDFRPGMITINLDLQRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPAA >Ma03_p03650.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:2433990:2436073:-1 gene:Ma03_g03650 transcript:Ma03_t03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGNKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIKKFAQKAMGTTDVRVDVKLNKHIWSRGIRSVPRRVRVRIARQRNDEEDAKEELYSLVTVAEVPPEGFKGLGTKVLDEAD >Ma05_p04260.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:3242389:3246297:-1 gene:Ma05_g04260 transcript:Ma05_t04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARFAFFPPEPATYEVFREGGAEGRLCLSGLSPERNVAVHLVETKVGNRVVATFWRHPLARFTLLYSHGNAADLGQMLDLFFELRAHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYDCLKKEYGIKQEDLILYGQSVGSGPTLHLAARLQKLRGVVLHSAILSGIRVLYPVKLTFWFDIFKNIDKIRQVNCPVLVIHGTADDIVDWTHGKRLWELSKEKYDPLWIKGGGHCNLESYPQYIRHLRKFINAMEKLSVAKQTTQSSLPTSTITEVKHNKCLRFGKR >Ma01_p09780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:7015224:7020101:-1 gene:Ma01_g09780 transcript:Ma01_t09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFSGPVRKWKKRWVPLAHPSSSASSAASANGVRSHLLLYKWAPVSSPANGAPHPEEPPPRKFRFVPISVIEEQKQEAAEKLDDKNKPNEADPSSQPTQNDSSEKKPDMNDVAMEEAQASDKDDDINQTNLDLNLGLKATDGDLETKSRHTKQDEGDQLVKASTREGAAMKTTTNSETHKKLKRKAVTPDLEMKV >Ma07_p06280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4517702:4532911:-1 gene:Ma07_g06280 transcript:Ma07_t06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGGRDRLNNEHTSRLEEKERRTGWGVAPPSRHLWVGNLSSHVTQNTLYEHFLRFGDIENIAYMPGRSYAFVNYKKEEDAVIALRGLQGSIVAGNSLRVEFAKGDRASVSSQDDGYSQLEERYSIERGEPLFRRDVRAHRQSPEKSHDKNKGSRSTEPSEVLWIGFPVYLNVEEEALRRAFSPFGEIENIATFPGRSYAFVRYRSIVAACRAKEALQGKLFNNPRVHICFARSEFSTESGRNSSSAPILPHLKLNYQPGLSGQSPEPSHWGRGFDSHIGEFPIASPQDASFIRPGDASFTGFEGNSSIRPGAGPGSIFTGDIEHNRLQELGSERRMSEELYERYRNSPAAERHGRWHDVPFERSQRTPPLDDSWGVEDHTFPLTKKPKIDTFSDKELPEYPFSDMEQGKRDFGLPKFSPNLPYGTAYNKSFESVPFDHKGVPQHLRTINGPLADSDESWRMLDSSSAGPGPLPLNAAKLQRPSPELHQPPRILEWKWEGTIAKGGTTVCRARCFPVGKVLDFMLPEFLNCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNEFMHYLGEKQRAAVAKLGEKVTLFLVPPSDFSEQVLKVPGKVSISGVILKFQQPGSNFGSLHHPLEAGEPKLPPLVHQPIDVVRRHEDTSFAKPKSPDLRAFSQGQNYFSASSGLLPPPPPTFPPPQKRGDNFPYSGSMHPMEKLPDYHIESRQDQPQPPSPAISSKWSNQMHIPTSDHGDFPSTMPSAVSHLSSNSDAESYLLGNHKVAQGSASSNYAPESSGIPTLNSKYPTQEGTKPQVSSNLPLSLQPEQLAQLAVLLGQQKQAGKEPALSADGQTKLANLLQISSSHAQSPVMSVQATDPHAQTSTTHAYSSLPPNLLGAQLNQVPQYQQHPSNVPAVQPVVNPGQQNNQQAPNNSREDAEADPQKRLQATLQLAAALLQQIQQQSKTADQH >Ma07_p06280.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4517519:4532911:-1 gene:Ma07_g06280 transcript:Ma07_t06280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRDRLNNEHTSRLEEKERRTGWGVAPPSRHLWVGNLSSHVTQNTLYEHFLRFGDIENIAYMPGRSYAFVNYKKEEDAVIALRGLQGSIVAGNSLRVEFAKGDRASVSSQDDGYSQLEERYSIERGEPLFRRDVRAHRQSPEKSHDKNKGSRSTEPSEVLWIGFPVYLNVEEEALRRAFSPFGEIENIATFPGRSYAFVRYRSIVAACRAKEALQGKLFNNPRVHICFARSEFSTESGRNSSSAPILPHLKLNYQPGLSGQSPEPSHWGRGFDSHIGEFPIASPQDASFIRPGDASFTGFEGNSSIRPGAGPGSIFTGDIEHNRLQELGSERRMSEELYERYRNSPAAERHGRWHDVPFERSQRTPPLDDSWGVEDHTFPLTKKPKIDTFSDKELPEYPFSDMEQGKRDFGLPKFSPNLPYGTAYNKSFESVPFDHKGVPQHLRTINGPLADSDESWRMLDSSSAGPGPLPLNAAKLQRPSPELHQPPRILEWKWEGTIAKGGTTVCRARCFPVGKVLDFMLPEFLNCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNEFMHYLGEKQRAAVAKLGEKVTLFLVPPSDFSEQVLKVPGKVSISGVILKFQQPGSNFGSLHHPLEAGEPKLPPLVHQPIDVVRRHEDTSFAKPKSPDLRAFSQGQNYFSASSGLLPPPPPTFPPPQKRGDNFPYSGSMHPMEKLPDYHIESRQDQPQPPSPAISSKWSNQMHIPTSDHGDFPSTMPSAVSHLSSNSDAESYLLGNHKVAQGSASSNYAPESSGIPTLNSKYPTQEGTKPQVSSNLPLSLQPEQLAQLAVLLGQQKQAGKEPALSADGQTKLANLLQISSSHAQSPVMSVQATDPHAQTSTTHAYSSLPPNLLGAQLNQVPQYQQHPSNVPAVQPVVNPGQQNNQQAPNNSREDAEADPQKRLQATLQLAAALLQQIQQQSKTADQH >Ma07_p06280.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4521365:4532911:-1 gene:Ma07_g06280 transcript:Ma07_t06280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGGRDRLNNEHTSRLEEKERRTGWGVAPPSRHLWVGNLSSHVTQNTLYEHFLRFGDIENIAYMPGRSYAFVNYKKEEDAVIALRGLQGSIVAGNSLRVEFAKGDRASVSSQDDGYSQLEERYSIERGEPLFRRDVRAHRQSPEKSHDKNKGSRSTEPSEVLWIGFPVYLNVEEEALRRAFSPFGEIENIATFPGRSYAFVRYRSIVAACRAKEALQGKLFNNPRVHICFARSEFSTESGRNSSSAPILPHLKLNYQPGLSGQSPEPSHWGRGFDSHIGEFPIASPQDASFIRPGDASFTGFEGNSSIRPGAGPGSIFTGDIEHNRLQELGSERRMSEELYERYRNSPAAERHGRWHDVPFERSQRTPPLDDSWGVEDHTFPLTKKPKIDTFSDKELPEYPFSDMEQGKRDFGLPKFSPNLPYGTAYNKSFESVPFDHKGVPQHLRTINGPLADSDESWRMLDSSSAGPGPLPLNAAKLQRPSPELHQPPRILEWKWEGTIAKGGTTVCRARCFPVGKVLDFMLPEFLNCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNEFMHYLGEKQRAAVAKLGEKVTLFLVPPSDFSEQVLKVPGKVSISGVILKFQQPGSNFGSLHHPLEAGEPKLPPLVHQPIDVVRRHEDTSFAKPKSPDLRAFSQGQNYFSASSGLLPPPPPTFPPPQKRGDNFPYSGSMHPMEKLPDYHIESRQDQPQPPSPAISSKWSNQMHIPTSDHGDFPSTMPSAVSHLSSNSDAESYLLGNHKVAQGSASSNYAPESSGIPTLNSKYPTQEGTKPQVSSNLPLSLQPEQLAQLAVLLGQQKQAGKEPALSADGQTKLANLLQISSSHAQSPVMSVQATDPHAQTSTTHAYSSLPPNLLGAQLNQVPQYQQHPSNVPAVQPVVNPGQQNNQQAPNNSREDAEADPQKRLQATLQLAAALLQQIQQQSKTADQH >Ma07_p06280.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4517525:4532911:-1 gene:Ma07_g06280 transcript:Ma07_t06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGGRDRLNNEHTSRLEEKERRTGWGVAPPSRHLWVGNLSSHVTQNTLYEHFLRFGDIENIAYMPGRSYAFVNYKKEEDAVIALRGLQGSIVAGNSLRVEFAKGDRASVSSQDDGYSQLEERYSIERGEPLFRRDVRAHRQSPEKSHDKNKGSRSTEPSEVLWIGFPVYLNVEEEALRRAFSPFGEIENIATFPGRSYAFVRYRSIVAACRAKEALQGKLFNNPRVHICFARSEFSTESGRNSSSAPILPHLKLNYQPGLSGQSPEPSHWGRGFDSHIGEFPIASPQDASFIRPGDASFTGFEGNSSIRPGAGPGSIFTGDIEHNRLQELGSERRMSEELYERYRNSPAAERHGRWHDVPFERSQRTPPLDDSWGVEDHTFPLTKKPKIDTFSDKELPEYPFSDMEQGKRDFGLPKFSPNLPYGTAYNKSFESVPFDHKGVPQHLRTINGPLADSDESWRMLDSSSAGPGPLPLNAAKLQRPSPELHQPPRILEWKWEGTIAKGGTTVCRARCFPVGKVLDFMLPEFLNCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNEFMHYLGEKQRAAVAKLGEKVTLFLVPPSDFSEQVLKVPGKVSISGVILKFQQPGSNFGSLHHPLEAGEPKLPPLVHQPIDVVRRHEDTSFAKPKSPDLRAFSQGQNYFSASSGLLPPPPPTFPPPQKRGDNFPYSGSMHPMEKLPDYHIESRQDQPQPPSPAISSKWSNQMHIPTSDHGDFPSTMPSAVSHLSSNSDAESYLLGNHKVAQGSASSNYAPESSGIPTLNSKYPTQEGTKPQVSSNLPLSLQPEQLAQLAVLLGQQKQAGKEPALSADGQTKLANLLQISSSHAQSPVMSVQATDPHAQTSTTHAYSSLPPNLLGAQLNQVPQYQQHPSNVPAVQPVVNPGQQNNQQAPNNSREDAEADPQKRLQATLQLAAALLQQIQQQSKTADQH >Ma07_p06280.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4517525:4532911:-1 gene:Ma07_g06280 transcript:Ma07_t06280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGGRDRLNNEHTSRLEEKERRTGWGVAPPSRHLWVGNLSSHVTQNTLYEHFLRFGDIENIAYMPGRSYAFVNYKKEEDAVIALRGLQGSIVAGNSLRVEFAKGDRASVSSQDDGYSQLEERYSIERGEPLFRRDVRAHRQSPEKSHDKNKGSRSTEPSEVLWIGFPVYLNVEEEALRRAFSPFGEIENIATFPGRSYAFVRYRSIVAACRAKEALQGKLFNNPRVHICFARSEFSTESGRNSSSAPILPHLKLNYQPGLSGQSPEPSHWGRGFDSHIGEFPIASPQDASFIRPGDASFTGFEGNSSIRPGAGPGSIFTGDIEHNRLQELGSERRMSEELYERYRNSPAAERHGRWHDVPFERSQRTPPLDDSWGVEDHTFPLTKKPKIDTFSDKELPEYPFSDMEQGKRDFGLPKFSPNLPYGTAYNKSFESVPFDHKGVPQHLRTINGPLADSDESWRMLDSSSAGPGPLPLNAAKLQRPSPELHQPPRILEWKWEGTIAKGGTTVCRARCFPVGKVLDFMLPEFLNCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNEFMHYLGEKQRAAVAKLGEKVTLFLVPPSDFSEQVLKVPGKVSISGVILKFQQPGSNFGSLHHPLEAGEPKLPPLVHQPIDVVRRHEDTSFAKPKSPDLRAFSQGQNYFSASSGLLPPPPPTFPPPQKRGDNFPYSGSMHPMEKLPDYHIESRQDQPQPPSPAISSKWSNQMHIPTSDHGDFPSTMPSAVSHLSSNSDAESYLLGNHKVAQGSASSNYAPESSGIPTLNSKYPTQEGTKPQVSSNLPLSLQPEQLAQLAVLLGQQKQAGKEPALSADGQTKLANLLQISSSHAQSPVMSVQATDPHAQTSTTHAYSSLPPNLLGAQLNQVPQYQQHPSNVPAVQPVVNPGQQNNQQAPNNSREDAEADPQKRLQATLQLAAALLQQIQQQSKTADQH >Ma07_p06280.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:4517519:4532911:-1 gene:Ma07_g06280 transcript:Ma07_t06280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRGGRDRLNNEHTSRLEEKERRTGWGVAPPSRHLWVGNLSSHVTQNTLYEHFLRFGDIENIAYMPGRSYAFVNYKKEEDAVIALRGLQGSIVAGNSLRVEFAKGDRASVSSQDDGYSQLEERYSIERGEPLFRRDVRAHRQSPEKSHDKNKGSRSTEPSEVLWIGFPVYLNVEEEALRRAFSPFGEIENIATFPGRSYAFVRYRSIVAACRAKEALQGKLFNNPRVHICFARSEFSTESGRNSSSAPILPHLKLNYQPGLSGQSPEPSHWGRGFDSHIGEFPIASPQDASFIRPGDASFTGFEGNSSIRPGAGPGSIFTGDIEHNRLQELGSERRMSEELYERYRNSPAAERHGRWHDVPFERSQRTPPLDDSWGVEDHTFPLTKKPKIDTFSDKELPEYPFSDMEQGKRDFGLPKFSPNLPYGTAYNKSFESVPFDHKGVPQHLRTINGPLADSDESWRMLDSSSAGPGPLPLNAAKLQRPSPELHQPPRILEWKWEGTIAKGGTTVCRARCFPVGKVLDFMLPEFLNCTARTGLDMLAKHYYQAAGTWVVFFVPETDADIVFYNEFMHYLGEKQRAAVAKLGEKVTLFLVPPSDFSEQVLKVPGKVSISGVILKFQQPGSNFGSLHHPLEAGEPKLPPLVHQPIDVVRRHEDTSFAKPKSPDLRAFSQGQNYFSASSGLLPPPPPTFPPPQKRGDNFPYSGSMHPMEKLPDYHIESRQDQPQPPSPAISSKWSNQMHIPTSDHGDFPSTMPSAVSHLSSNSDAESYLLGNHKVAQGSASSNYAPESSGIPTLNSKYPTQEGTKPQVSSNLPLSLQPEQLAQLAVLLGQQKQAGKEPALSADGQTKLANLLQISSSHAQSPVMSVQATDPHAQTSTTHAYSSLPPNLLGAQLNQVPQYQQHPSNVPAVQPVVNPGQQNNQQAPNNSREDAEADPQKRLQATLQLAAALLQQIQQQSKTADQH >Ma06_p31010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32232526:32233608:1 gene:Ma06_g31010 transcript:Ma06_t31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKVQHEQSVPAGLEFVHRTSILGRKRVVLSKTMDSANLSSPCGAPSKRRVTRWRTQTLNRLEALPLDILVKTLSKVDHSDLKQLLLVSKTVNGATLIARESHFVFSTPISKSLFKKQSNTIDSDLDIINEEAPHAPNQQRVARSRLNGVQLSSVAIALFT >Ma00_p01510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:8400199:8416514:-1 gene:Ma00_g01510 transcript:Ma00_t01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCYDALSAAIIQKSGFRAGFISGYALSASLIGKPDFGLLTPPEMAETARSVCAASPLIPIIADADTGGGNALNVQRAVEDLIATGVAGYFLEDQAWPKKCGHMRGKQVIPAEEHAVKIASASDAIGDADFFLVAPTDARAISVNSGLSDAIARANLYMEAGADACFVEAPRDDDELREIGRRTNEYRVCNMIEGGITPLHTPQELQEMGFHLIVHPLTTLYASTRGLIDILRDLKEAGTTRGQLHKLATFEEFNKLIELDSWFELEARYSKTRKFRSQVKN >Ma09_p10130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:6923468:6924864:1 gene:Ma09_g10130 transcript:Ma09_t10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEDVGLSTDLLFFKSKSSSKGTPRITYATIYKCDNFSMCIFFLPPKAVIPLHDHPGMTVFSKLLVGSMHIKSFDWLDPATSSFSTPSAKLRLAKLVLDSEFTAPCNTSILYPTTGGNIHTFTAITPCAVLDVLGPPYSKEDDRDITYYQDHPYRKYSDGDTDQGGVEDHCHGWLEEIDVSRYLKMDGVAYLGPQVIDG >Ma07_p12780.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9610307:9611747:1 gene:Ma07_g12780 transcript:Ma07_t12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYTRKCSRGVEKLSVMEVTQVVGVRRRARVPAVAVAADAASARSSKRRKTAPQRTTEVVQTSSYLQLRSRRLFMTFRKPRLLAANAAAYSTGPAVEGVSRCSSNVSGDVVVDEQEGEGLERLTCNFGSRRARETTPSRDARREASDRKSTTATSTSRTKTEVEIEEFFAAAEREQAQRFAAEYNYDVIGDVPLDGRFEWVRILR >mito5_p00080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000016.1:361549:361842:1 gene:mito5_g00080 transcript:mito5_t00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLRNTGPVQSSPQGEQKQFRPSERLLIGLYYLGLYAKVFCPLLSGGIIVWALTESILDDSLLLFGGGTYGHPWGNALGAVAYMVALEASVCTSS >Ma05_p16040.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:15180339:15185337:1 gene:Ma05_g16040 transcript:Ma05_t16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVPIEEGEAKAQELGVMFIETSAKAGFNIKALFRKIAAALPGMENLSSAKQEDMVDVNLRSLNANSSQSQSQSGGCRC >Ma01_p07300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5278622:5286659:1 gene:Ma01_g07300 transcript:Ma01_t07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKHVVKHPPGYEDPVILASETAFTVHEVEALYELFKKLSFSIIKDGLIHKEEFQLALFRNSNRKNLFADRVFDLFDIKNNGVIEFGEFVRSLSIFHPNTPKAEKIAFAFKLYDLRQTGYIEREELKEMVLALLDESDVFLSNDIVETIVGNTFVQADLNGDGKIDLDEWREFVKKNPSLIRNMTLPYLKDISMAFPSFVMHSEGSDDPDTT >Ma00_p01610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:9786945:9787211:-1 gene:Ma00_g01610 transcript:Ma00_t01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPGFRFRPTDAELVNHYLEEKIAGRIKSADEVISEIDICKSEPWDLPGDLPAPKSYLLPLLHCLIHLFVCDLWSVFVFPIFFGKN >Ma04_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:5421348:5435648:-1 gene:Ma04_g07510 transcript:Ma04_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRGLGFGLASLLRPERFAATSLGRKVGALSFLSRRSISSTGGDVNAGCCYRWQRGFCGYAVEQFSDDEYECEFESHKPSSEANIDEWRWKLSLLLRGTEEQEIISRDKRDRRDYEQISNLAKRMGLYSELYGKVVVASKVPLPNYRPDLDDKRPQREVMIPLSLQRRVEGLLQEHLDRVLLASNIVNDELGRSSSSKDVEDVDVDENQDSLVDSSVMEKILQRKSIRMRNLQRTWQDSPEGVKMLNFRNSLPAYKEKDGLLSAIARNQVIVISGETGCGKTTQLPQYVLESEIESGRGAFCNIICTQPRRISAMAVAERVSAERGENLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLGDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSNYFGGAPTIHIPGFTYPVRAQFLEDILEKTGYKLTSFNQIDDYGQEKLWKTQRQLMPRKRKNQITALVEDALQNSSFEGYSSRARDSLASWTPDCIGFNLIEAILCHICRKERPGAVLVFMTGWDDISCLRDQLRAHPLLGDPNRVLVLTCHGSMATSEQKLIFENPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPEPLTVQNAVEFLKMIGALDEQENLTNLGRYLSVLPVDPKLGKMLIMGAVFRCLDPVLTVVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSFILKDSGLLDADSSINNSLSHNQPLVRAIICSGLFPGIASVVHREKSLSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFSEKVKVNTVFIRDSTGVSDSVLILFGGTLIRGEMAGHLKMLDGYIDFFMDPSLTECYWNLKAELDNLVQRKLLDPRTDIHKEGRYLMLAVQELVSGDLCEGRFVFGRETRRTRFSGNEGNKNNIVKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRAIVEFKGMQFVGKPKKNKQLAERDAAIEALEWLTHTSDKSHQVEDDDSPLDITENMLKLLNRPRRRSRRRSG >Ma11_p16530.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22030772:22039047:-1 gene:Ma11_g16530 transcript:Ma11_t16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPQPPRRLGYSAAVASSSNLTPGPNQRPTSSAFTSPESARLSPQISQQGQLPPSSGDGVHAGSPVHQFSTPPGPPVFSSPLRPAAVPFRTSPATPQPVSFSTGSSLPTSSSSHYSNGSHELPLHHSADEFTFECPYVFFSAHKVLKRKKLANVPSLGFGALVSPGREITPGPEVVHREPHRCRNCGAYANLYCEILVGSGQWQCVICKNLNSSDGEYIAPSKEDLRHWPELSSSAIDYVQTGNSQLGFVSVSDSRVTAPIFLLIDECLDEAHLQHLQGSLHAFVDSLPPATRLGIITYGRTVSVFDFSEGSVASADVLPGDKSPTQESLKALIYGTGVYLSPIHASLPVMHIIFSSLMPYKLKMPEASRDRCLGTAVEVAVAIIRGPSAEVSRGVIKRSGGNCRIMVCAGGPNTYGPGSVPHSFVHPNYAYMEKTAMKWMEHLGQNALQHETTVDILCAGTCPVRVPILQPLAKSSGGVLILHDDFGEAFGVNLQRASTRAAGSHGLFEIHCSDDILVTQVIGPGEEATADSHETFKNDSSFCIQMHSIEETQSFSLSMETKGDIKNDYVYFQFAVCYSNIYQADISRVITVRLPTVDSVSLYLRSIQEDVAAVLIAKRTVLHAKNFSDAIDMRLTVDERVKDIALKFGSQLPKSKLFRFPNELSTLPESLFHLRRGPLLGNIIGHEDERSVLRNLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSNAAVVLDHGTDLFIWLGAELAAQEGKSAAALAACRTLAEELTENRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFLQLRSLTPEQRARLKSSFLHFDDYSFCEWMRSLNLVPPKHS >Ma11_p16530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:22030772:22039046:-1 gene:Ma11_g16530 transcript:Ma11_t16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPQPPRRLGYSAAVASSSNLTPGPNQRPTSSAFTSPESARLSPQISQQGQLPPSSGDGVHAGSPVHQFSTPPGPPVFSSPLRPAAVPFRTSPATPQPVSFSTGSSLPTSSSSHYSNGSHELPLHHSADEFTFECPYVFFSAHKVLKRKKLANVPSLGFGALVSPGREITPGPEVVHREPHRCRNCGAYANLYCEILVGSGQWQCVICKNLNSSDGEYIAPSKEDLRHWPELSSSAIDYVQTGNSQLGFVSVSDSRVTAPIFLLIDECLDEAHLQHLQGSLHAFVDSLPPATRLGIITYGRTVSVFDFSEGSVASADVLPGDKSPTQESLKALIYGTGVYLSPIHASLPVMHIIFSSLMPYKLKMPEASRDRCLGTAVEVAVAIIRGPSAEVSRGVIKRSGGNCRIMVCAGGPNTYGPGSVPHSFVHPNYAYMEKTAMKWMEHLGQNALQHETTVDILCAGTCPVRVPILQPLAKSSGGVLILHDDFGEAFGVNLQRASTRAAGSHGLFEIHCSDDILVTQVIGPGEEATADSHETFKNDSSFCIQMHSIEETQSFSLSMETKGDIKNDYVYFQFAVCYSNIYQADISRVITVRLPTVDSVSLYLRSIQEDVAAVLIAKRTVLHAKNFSDAIDMRLTVDERVKDIALKFGSQLPKSKLFRFPNELSTLPESLFHLRRGPLLGNIIGHEDERSVLRNLFLNASFDLSLRMLAPRCLMHREGGTFEELPAYDLAMQSNAAVVLDHGTDLFIWLGAELAAQEGKSAAALAACRTLAEELTENRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFLQLRSLTPEQRARLKSSFLHFDDYSFCEWMRSLNLVPPKHS >Ma11_p02410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:1741805:1742856:-1 gene:Ma11_g02410 transcript:Ma11_t02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQERQREESLCSQSPPLRSSIEALQLSPIPASLGLAFVWASLVLVRSQTLKPLSTAIKLADSAIKGTEALRLLHSPSPQSVFLATKALVKSYKASKLLHPSKFHPKIPSVVAARLKACLGAVSLLGYAKSPSVVDGLLGIGFLKGGYKVSKNTAKVLEGFVGFQLNSALRDGIDALGLLVKAATVGREIKRWFSIEWRTRNRRVCLFLTADLKHGVRLSYKRLDFDGIRGCTRVFYSEPFPLWEAAVIGEMAVAFHPSELLCLSIPVNEVIPTKSM >Ma02_p25010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:29381354:29387154:1 gene:Ma02_g25010 transcript:Ma02_t25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPEQDDGCGGEFERDRQTHASSSFVWDDGSKLYYHASSGFYHDPSAGWYYSSRDGLYYTFEDGRYIPLPCDEKGKETHALENARCDSPWTTQDKASGVEENPTADGMVCPPSEWLEETLIDLYLSGCSNRNAHADVSSTCLQTDDASSEEKNWQAQYGQVVRSDDEGMPCFPVVDLWDWEMVTKPVKKSNIVSKLIGRTVRCSNKLHPSVSAGGLVKTAAIDAVHLDLVHVASGKVYRLRSPNRRYLASISTFDSSNPTKDWGFPDLYANFQSVVFHTLDPKCQSYLANEVIGEVSSSMIDKVPNTLEKHQNFTYKDRAAARRTLYGDFSIGPGQKDTENRSFDEASSPSRYSSAEDAAAEAINMSFGSGSYARRILENMGWNDGEGLGNSRKGILEPLRAVGNKGCAGLGWSDSLRHAS >Ma09_p13620.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9234740:9241449:-1 gene:Ma09_g13620 transcript:Ma09_t13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVAKNPFQWDWETLDLFCGKESEIYKTAQEPELKIEGGMGICNGFVCSSGGGASSVLQLGNRSSKSSISASIDSLSKAEKGNSDFNYDSAERDVNSSSKIILARVEGFGTSPVPLAAGRPKEPVTGLKLGRTFFEDGGAENNIKSSSSSASMILSTAVAKKSRVSPQNLQSPKCQVEGCNVDLTTAKDYHRKHRVCESHSKSPKVIVAGRERRFCQQCSRFHGLSEFDQKKRSCRRRLSDHNARRRKPQPATISFSSSRLSSSYYDGRHHMNLVFGGNPLGHGMTSSPLEDDPSSFKLIQPKHSWIKSNKAGDVNGQLQFSSTCQTHNISTLHHDLNRLLPFKGTTAEVLNQGLEAPVFASSLGGAPDLRRALSLLSNNSWSSANPGPSSNVKFVNTHPAANTIDSTAGFLQVEQPIGPQSTMLPFNLQNHNGQFQEFQLLKAPYQASFFDFTQIH >Ma09_p13620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9234740:9241449:-1 gene:Ma09_g13620 transcript:Ma09_t13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVAKNPFQWDWETLDLFCGKESEIYKTAQEPELKIEGGMGICNGFVCSSGGGASSVLQLGNRSSKSSISASIDSLSKAEKGNSDFNYDSAERDVNSSSKIILARVEGFGTSPVPLAAGRPKEPVTGLKLGRTFFEDGGAENNIKSSSSSASMILSTAVAKKSRVSPQNLQSPKCQVEGCNVDLTTAKDYHRKHRVCESHSKSPKVIVAGRERRFCQQCSRFHGLSEFDQKKRSCRRRLSDHNARRRKPQPATISFSSSRLSSSYYDGRHHMNLVFGGNPLGHGMTSSPLEDDPSSFKLIQPKHSWIKSNKAGDVNGQLQFSSTCQTHNISTLHHDLNRLLPFKGTTAEVLNQGLEAPVFASSLGGAPDLRRALSLLSNNSWSSANPGPSSNVKFVNTHPAANTIDSTAGFLQVEQPIGPQSTMLPFNLQNHNGQFQEFQLLKAPYQASFFDFTQIH >Ma09_p13620.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9234740:9241449:-1 gene:Ma09_g13620 transcript:Ma09_t13620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVAKNPFQWDWETLDLFCGKESEIYKTAQEPELKIEGGMGICNGFVCSSGGGASSVLQLGNRSSKSSISASIDSLSKAEKGNSDFNYDSAERDVNSSSKIILARVEGFGTSPVPLAAGRPKEPVTGLKLGRTFFEDGGAENNIKSSSSSASMILSTAVAKKSRVSPQNLQSPKCQVEGCNVDLTTAKDYHRKHRVCESHSKSPKVIVAGRERRFCQQCSRFHGLSEFDQKKRSCRRRLSDHNARRRKPQPATISFSSSRLSSSYYDGRHHMNLVFGGNPLGHGMTSSPLEDDPSSFKLIQPKHSWIKSNKAGDVNGQLQFSSTCQTHNISTLHHDLNRLLPFKGTTAEVLNQGLEAPVFASSLGGAPDLRRALSLLSNNSWSSANPGPSSNVKFVNTHPAANTIDSTAGFLQVEQPIGPQSTMLPFNLQNHNGQFQEFQLLKAPYQASFFDFTQIH >Ma09_p13620.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:9234740:9241449:-1 gene:Ma09_g13620 transcript:Ma09_t13620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVAKNPFQWDWETLDLFCGKESEIYKTAQEPELKIEGGMGICNGFVCSSGGGASSVLQLGNRSSKSSISASIDSLSKAEKGNSDFNYDSAERDVNSSSKIILARVEGFGTSPVPLAAGRPKEPVTGLKLGRTFFEDGGAENNIKSSSSSASMILSTAVAKKSRVSPQNLQSPKCQVEGCNVDLTTAKDYHRKHRVCESHSKSPKVIVAGRERRFCQQCSRFHGLSEFDQKKRSCRRRLSDHNARRRKPQPATISFSSSRLSSSYYDGRHHMNLVFGGNPLGHGMTSSPLEDDPSSFKLIQPKHSWIKSNKAGDVNGQLQFSSTCQTHNISTLHHDLNRLLPFKGTTAEVLNQGLEAPVFASSLGGAPDLRRALSLLSNNSWSSANPGPSSNVKFVNTHPAANTIDSTAGFLQVEQPIGPQSTMLPFNLQNHNGQFQEFQLLKAPYQASFFDFTQIH >Ma10_p04200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:14910767:14915177:1 gene:Ma10_g04200 transcript:Ma10_t04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPMDLNERPITSWTIDMLFRDFLGRRAGLIKALTTDFIKFYEQCDPEKPYMCLYGYPNETWEVKEAPVVPHEFPEPSLGVNFAKDEMKLKDWIAHIAIHSDIWIYSYAFYIAARSGFDNETRSRLFNMINSNPTIYEVVFGTVKMPKTLSKSNEGESSSKSGSGLQNLGLPLSLIEKEEEDEDDVELYTMGDDTSSIICGACGNRFAFGESWIFCNMCVTWYHGRCVKTTPERYERLKDYRCPRCCRQKRTGT >Ma11_p18520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23565037:23570867:1 gene:Ma11_g18520 transcript:Ma11_t18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAIRSYGGTFARAIGSAGGAYGGIPKETADVVVIGAGVVGIAVARELALRGREVLVVEAASTFGTGTSSRNSEVIHAGIYYPPKSLKASLCVKGRKLLYKYCCERGIPHRQTGKIIVATSFAEIPKLEHLLRSGKENGIEDLRLMEGFQSMQMEPELQCVKALLSPSSGIVDSHSFMLSLVGEAENSRTTFSYNTTVIGGHIEDNFLHLHIYESKGLQDGTNDAQLLPQFVLIPRLVINAAGLSAVPLAKRFHGINQGILPTAYYARGCYFTLSKTKTPFSHLIYPLPEDGGLGVHVTLDLIGIVKFGPDVEWIDGVDDISCFTNRFNYCVSSDRSEKFYPEIRKYFPNLKDGCLEPGYSGIRPKLSGPGKPPKDFVIQDEDIHGVPGLVNLLGIESPGLTSSLAIAQCIASKYSK >Ma11_p18520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:23565056:23570867:1 gene:Ma11_g18520 transcript:Ma11_t18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAIRSYGGTFARAIGSAGGAYGGIPKETADVVVIGAGVVGIAVARELALRGREVLVVEAASTFGTGTSSRNSEVIHAGIYYPPKSLKASLCVKGRKLLYKYCCERGIPHRQTGKIIVATSFAEIPKLEHLLRSGKENGIEDLRLMEGFQSMQMEPELQCVKALLSPSSGIVDSHSFMLSLVGEAENSRTTFSYNTTVIGGHIEDNFLHLHIYESKGLQDGTNDAQLLPQFVLIPRLVINAAGLSAVPLAKRFHGINQGILPTAYYARGCYFTLSKTKTPFSHLIYPLPEDGGLGVHVTLDLIGIVKFGPDVEWIDGVDDISCFTNRMRISMVFLDWLICSE >Ma09_p21950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:33917850:33919137:1 gene:Ma09_g21950 transcript:Ma09_t21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPISYITPPFELVIEPLPNLFFRTFVHIFATSVQTDLFTRRDMETSSIGDAWGESGKRDESRKLPSSRYKGAVPQPNGRWGAQIYEKHQRVWLGTFNTEAEAARAYDVAVRRFRGRDGVTNFKPLNESDDDDAAELSFIGSRSKVEIVDMLRRHSYHHELQQSRRSFGADKMKTAPSRGAAVAGATESPVGAKRQHLFDKAVTLSDVGKLNRLVIPKQHAEKHWPLQEAGGGPSNGMLLNFEDACGRVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLEAGDVVSFQRSTGPEKQLFIDSKARAGRGHTAVPMPRPPVQHFRLFGVNIARNPATHRDDMEFISSQDMFKRQRTEAL >Ma10_p25340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:33531137:33534489:1 gene:Ma10_g25340 transcript:Ma10_t25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKSDLNPHAAPYIPRSKLFSGTIYKSAEMAISPGKYKATKKPMEYQLPNSLNYDTKDFERLNLSSELASKIGF >Ma07_p11110.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8262980:8264078:-1 gene:Ma07_g11110 transcript:Ma07_t11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPEEDEKLKELVTRYGPHSWNALAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRVHGNRWAAIARLFPGRTDNAVKNHWHVIMARRHRENSRPFSKTASRSESRNINQKPIPKDQYSSKIHGKECSIQFHDFLEVNTEPNGSKCQSSIPEQEEVPSSISWKSDVPHKMV >Ma05_p19810.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:29271558:29272261:1 gene:Ma05_g19810 transcript:Ma05_t19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSLLAAPTSLSSRPAASPSPSLVATIANAVPGSSQAVSRRELGVILSSSVALLLVPVPPSAFAASDEEYVRETADMIGKLRITIGMDKKDANVAAAVAELREASNSWVAKYRREKALLGRASFRDMYSALNAVSGHYISFGPTSPIPAKRKTRILEEVDAAEKALIRGR >Ma06_p32050.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:33030478:33039075:-1 gene:Ma06_g32050 transcript:Ma06_t32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKDAFSWNTVLSMYAKHRMIRMANNMFDKMPQKDSVSWTTMIVGLNLMGQFERAVCMFSDMIRIRAPPSRFILTNVLSSCAALEALDFGYNQNNLNKEALELFSRMLNDQSAVPDNFTLTSALAACAYLGKLELGKQIHSHILRTAVPCHGQVRNALISMYSKSGGVEMARRVFKQTMVSDLNVIAFTSLLEGYVKLGDLQPAREIFDSMKYHDVIAWTAMIVGYVQNGLNSEAMELFSNSLITMYARSGSIAGAKRVFNQICQSKETFLWTSMIIALAQHGLGEEAIGLFEKMINIGVRPDDITYVGVISACTHAGLVAKGKHYFRQMQTKHMIQPTQSHHTCMIDMLARAGLLQEAQEFINMMPMEADAIAWGALLSACKVHKDANMAKIAAEKLLAIDPDNSGAYSVLASVYSACGRWDDAAKIWKLMKDKGVDLISGGIINNTIRPKIPTWCDPEWKSLMESCWSSGPALRPSFSVISQKLRKMAAAINLK >Ma01_p01300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:929849:930061:1 gene:Ma01_g01300 transcript:Ma01_t01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTFTACICPACLTNIHLICIRFLCSTKKYLFIEIARSSGGFFNKILFLNGEEITQLKQMKISILLSLD >Ma08_p06680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:4533510:4534598:1 gene:Ma08_g06680 transcript:Ma08_t06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAKNSDGGEGGGETKEMRFRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDTVALQFRGPKAKTNFPIFTAAAGAGTAPSSPSSSGNTVESSTPSAPPPLELELGHAAARFPFLHARPFLFLGAASRSDAAAASAALTGFKGIGTRVSPTVMAAQARRLPFDLDLNLPPPPEVA >Ma09_p18750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:18933906:18938713:1 gene:Ma09_g18750 transcript:Ma09_t18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDEANRDGDDEVVAGNAGGMGGEPAVFQGTDYSLLQTIVALILWLGAIHLNVALVLVALLFLPARLAAAVFGFLLFFMVIPLNDRNRLGRRLSRYICKSACGYFPITLHVEDITAFDSDQAYVFGYEPHSVLPIALCALADHAGFMPLPKIKVLASSAVFYTPFLRQIWTWMGLIPASRKNFYEYLEAGYSCIIVPGGVQETFHLNRDTEVAFLKTRKGFVRIAMETGRPIVPVFCFGQSYVYQWWKPGGNLFVQIARVVKFTPIVFWGRFGTPIPFRHPLHVVVGRPIELMKNQEPSADEVNEVHAQFVDALQELFEKYKSRFGYPDLQLRIL >Ma02_p16010.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23489308:23498706:1 gene:Ma02_g16010 transcript:Ma02_t16010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEGDKSFLERMLSHLRSTSKYYTGYPKDLGPSRIVHFTSERHFVQLLHEGSPVVVAFTIRCPYTKHLDKVLEEAAAEFYPHFKFVRVECPKYPAFCLTRQRNEYPFIEIFYSPEQATGQGKVVDPNITKYSVKVLPFNYDVSVYGFREFFKRHGMNLPETK >Ma02_p16010.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23488460:23498706:1 gene:Ma02_g16010 transcript:Ma02_t16010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKWLNSLPPPSRSRSQPQQHNSSRPSPPSWQQAFLPTIRKREEMTAEEGDKSFLERMLSHLRSTSKYYTGYPKDLGPSRIVHFTSERHFVQLLHEGSPVVVAFTIRCPYTKHLDKVLEEAAAEFYPHFKFVRVECPKYPAFCLTRQRNEYPFIEIFYSPEQATGQGKVVDPNITKYSVKVLPFNYDVSVYGFREFFKRHGMNLPETK >Ma02_p16010.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23488812:23498706:1 gene:Ma02_g16010 transcript:Ma02_t16010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEGDKSFLERMLSHLRSTSKYYTGYPKDLGPSRIVHFTSERHFVQLLHEGSPVVVAFTIRCPYTKHLDKVLEEAAAEFYPHFKFVRVECPKYPAFCLTRQRNEYPFIEIFYSPEQATGQGKVVDPNITKYSVKVLPFNYDVSVYGFREFFKRHGMNLPETK >Ma02_p16010.6 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23488460:23498706:1 gene:Ma02_g16010 transcript:Ma02_t16010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKWLNSLPPPSRSRSQPQQHNSSRPSPPSWQQAFLPTISFCCRKREEMTAEEGDKSFLERMLSHLRSTSKYYTGYPKDLGPSRIVHFTSERHFVQLLHEGSPVVVAFTIRCPYTKHLDKVLEEAAAEFYPHFKFVRVECPKYPAFCLTRQRNEYPFIEIFYSPEQATGQGKVVDPNITKYSVKVLPFNYDVSVYGFREFFKRHGMNLPETK >Ma02_p16010.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23487826:23498706:1 gene:Ma02_g16010 transcript:Ma02_t16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDECIGSIEALRRRPRERSVKKLVRPPAARRNSRVLYLITNEDEEEKQREETTRKRPSSEGTLCRKREEMTAEEGDKSFLERMLSHLRSTSKYYTGYPKDLGPSRIVHFTSERHFVQLLHEGSPVVVAFTIRCPYTKHLDKVLEEAAAEFYPHFKFVRVECPKYPAFCLTRQRNEYPFIEIFYSPEQATGQGKVVDPNITKYSVKVLPFNYDVSVYGFREFFKRHGMNLPETK >Ma02_p16010.7 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23487826:23498706:1 gene:Ma02_g16010 transcript:Ma02_t16010.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDECIGSIEALRRRPRERSVKKLVRPPAARRNSRVLYLITNEDEEEKQREETTRKRPSSEGTLCRFCCRKREEMTAEEGDKSFLERMLSHLRSTSKYYTGYPKDLGPSRIVHFTSERHFVQLLHEGSPVVVAFTIRCPYTKHLDKVLEEAAAEFYPHFKFVRVECPKYPAFCLTRQRNEYPFIEIFYSPEQATGQGKVVDPNITKYSVKVLPFNYDVSVYGFREFFKRHGMNLPETK >Ma02_p16010.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:23487824:23498706:1 gene:Ma02_g16010 transcript:Ma02_t16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDECIGSIEALRRRPRERSVKKLVRPPAARRNSRVLYLITNEDEEEKQREETTRKRPSSEGTLCRFCCRKREEMTAEEGDKSFLERMLSHLRSTSKYYTGYPKDLGPSRIVHFTSERHFVQLLHEGSPVVVAFTIRCPYTKHLDKVLEEAAAEFYPHFKFVECPKYPAFCLTRQRNEYPFIEIFYSPEQATGQGKVVDPNITKYSVKVLPFNYDVSVYGFREFFKRHGMNLPETK >Ma09_p20830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:30179626:30179883:1 gene:Ma09_g20830 transcript:Ma09_t20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVPFSANTLAKIAGGYCDNLLTCIVAAWDYSKASPYM >Ma05_p31450.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41361511:41366008:1 gene:Ma05_g31450 transcript:Ma05_t31450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPPPPPPPLHPHLRIPYSAPARLLLFLLLITHPVSLAVNITAVLSAYRDLSDFNRLLSSTSVPGDLAGRSSLTILAVPNAYLLRSSAARTAAASDIADVLRYHVLLEYLSWPDLRRIPTGGKLVTTLYQTTGRAAGNLGAVNLTRDEGGGVTARSPAPFSASNATILDLVGTHPYNVSVFAVNALLLPYGFDLAASETRPPVGVNITRVLFDGRDFNVAASMLEASGVAAEFEADERGAGITVFVPTDEAFADLPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNITRVNGSVAIDTGVVQASITRTVFDQNPVAVFAVSKVLLPREIFPGETSGAMQAAAAAPPPVEAAGLPPQGAEDWDTPPARLSSPPGLREELTSGAAVRDGVAVSCIASLFLMQLLIKQTSTIEGAVEVSVNREICVMILTVFEGLYDFGQER >Ma05_p31450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41361511:41366188:1 gene:Ma05_g31450 transcript:Ma05_t31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPPPPPPPPLHPHLRIPYSAPARLLLFLLLITHPVSLAVNITAVLSAYRDLSDFNRLLSSTSVPGDLAGRSSLTILAVPNAYLLRSSAARTAAASDIADVLRYHVLLEYLSWPDLRRIPTGGKLVTTLYQTTGRAAGNLGAVNLTRDEGGGVTARSPAPFSASNATILDLVGTHPYNVSVFAVNALLLPYGFDLAASETRPPVGVNITRVLFDGRDFNVAASMLEASGVAAEFEADERGAGITVFVPTDEAFADLPATERLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEDTGAGRFTLNITRVNGSVAIDTGVVQASITRTVFDQNPVAVFAVSKVLLPREIFPGETSGAMQAAAAAPPPVEAAGLPPQGAEDWDTPPARLSSPPGLREELTSGAAVRDGVAVSCIASLFLMQLLVEGLGDSNQHSIAILRNWEMLLTHFFVHHGERI >Ma05_p14730.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:10784907:10785645:1 gene:Ma05_g14730 transcript:Ma05_t14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGLHLVHPFTFLYSFRSNSSTAVLLQSLTASFLLTKSGLFLPNHAKTTRQNRAHPTPSPRYINPTSLSPSTTSSHSQFHLLLFPSQANRFPLSELPSLPTMEAGRKLVLFTVAMMAVVMASSLVEKVAAVDAPAPSPTSGAVTAPAAPAAVLASISVLLFGYFLC >Ma09_p00790.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:535127:543016:1 gene:Ma09_g00790 transcript:Ma09_t00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANACGAAAAAAATTTLLRPSPSREARHLSLAGPALRRSILRAERGSPSLSCRCGNSATTSSGDDGGRSCSHWDWNRWSRHFSDTDQAESLSSALKFQLQEAVEKEDFLEAAKLKRAIEEATSKDVVAEVLSALKNAIKEERYHDASRLSKLAGSRLIGWWACWAEDSDDPFGRIVQITPAFGRYVAKSYSPRQLLNGSSGSPLFEIFIVRDDDGVYKTQVVALQRVTENLTQFPSLVSKTIDRPAASSSKYSSTEINPTREVAADNSDDDTAEKREKSATETSSKDNINNEDSSEEVLDTIINFLKERIPGFKVKVVNVTVPDEIKTDSESLEQLVKEDDEKNASSKDTKYEDRKSENIQGKMFSADGDSDSNETKDTAQVFVGGVTYNKEDDLSKSYMRVPAEMDDVKKDSFFLHIRGKSVETGAGALKPSKVRVAAVAAQSASDIMPSDLAKAILSGDKSISKVSKEVREVIKLAFSHAQRRNRLSETTFFNRIISDNRGLDPFEGLYAGAFGPYGTEVVHLRRKYGNWNDVNGLNSDMNFFEYVEAIKLTGDLNVPAGQVTFRAKIGKKYHLPNKGLYPEELGVVSIYKGQGRIAEPGFKNPRWVDGELLQGWGHISETCYLGFSMLYLRRVSWCCSSR >Ma09_p00790.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:535127:543016:1 gene:Ma09_g00790 transcript:Ma09_t00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANACGAAAAAAATTTLLRPSPSREARHLSLAGPALRRSILRAERGSPSLSCRCGNSATTSSGDDGGRSCSHWDWNRWSRHFSDTDQAESLSSALKFQLQEAVEKEDFLEAAKLKRAIEEATSKDVVAEVLSALKNAIKEERYHDASRLSKLAGSRLIGWWACWAEDSDDPFGRIVQITPAFGRYVAKSYSPRQLLNGSSGSPLFEIFIVRDDDGVYKTQVVALQRVTENLTQFPSLVSKTIDRPAASSSKYSSTEINPTREVAADNSDDDTAEKREKSATETSSKDNINNEDSSEEVLDTIINFLKERIPGFKVKVVNVTVPDEIKTDSESLEQLVKEDDEKNASSKDTKYEDRKSENIQGKMFSADGDSDSNETKDTAQVFVGGVTYNKEDDLSKSYMRVPAEMDDVKKDSFFLHIRGKSVETGAGALKPSKVRVAAVAAQSASDIMPSDLAKAILSGDKSISKVSKEVREVIKLAFSHAQRRNRLSETTFFNRIISDNRGLDPFEGLYAGAFGPYGTEVVHLRRKYGNWNDVNGLNSDMNFFEYVEAIKLTGDLNVPAGQVTFRAKIGKKYHLPNKGLYPEELGVVSIYKGQGRIAEPGFKNPRWVDGELLQLSGKGLGPHIRDMLLGFLYVVPEKSFLVLFQPLRLPD >Ma06_p31540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32656885:32664364:1 gene:Ma06_g31540 transcript:Ma06_t31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSGDPQAVMREFLHQVGGCAVIDGGLATELEANGADLNDPLWSAKCLIGSPHLIRKVHLDYLEAGAHVIITSSYQATIQGFKSRGFSQEESEDLLRRSVQIAREARDIFYNDCLRGYGGQSDIKKHTVLVAASIGSYGAYLADGSEYSGHYGKDMTIENLKDFHRRRLEVLAEGGADLIVFETIPNKLEAQAYAELLEEDNISIPAWFSFNSKDGVNVVSGDSLTECVSIADSCKKVVAVGINCTPPRFIHGLILSIKKVTKKPILIYPNSGERYDADKKEWVESTGVTDEDFVSYVQVWHEAGACLIGGCCRTTPNTIRGISLALQKEHAIPRESVP >Ma03_p23080.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:27735170:27741352:-1 gene:Ma03_g23080 transcript:Ma03_t23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSAASPSSFFLPHARSHLGPSRFTSLPVLIPASKKRPGFCCATKQQQQTGAAKKKAGRRKAPERPPVDDGGRLVDVVPGPQNRRSHSSPLPKPPAGFVLDDHGRVLLASSKRIVTIMDATNNLPLECVIRRVFQSSEGDECMLLCPVDPPVQILKSTNFDGWSAVDDEEIEAIIPAAAYALAKIHMHLVASGFCYTARGGFCYSDEDILEFHTDDGEAIEGFPTEAVKITCFNLDGTHYMIYTPSDPLLFVAVRDKNGVLQIADDDLLEDPAIISAIDEETEFNALVEEEAALLESLLGE >Ma09_p05200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3340298:3348529:-1 gene:Ma09_g05200 transcript:Ma09_t05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEAATPPKSNKASSPVQSRETPANPPYADWATMQAYYGHGMIPPTYLSGHVPHPYMWGPQPLIPPFGSPYTAIYPLGGVYSHASVPLSSHTHCQGIAPSPSPAASEAVVMATPLSVEMPAKSPRNKDRRLVKKLKGLDGLALSGGNGSTENRDQADGNSEYNSTEGSSYGSDGDNAEGGIKDQRKRRSEDVPSSDNATNSEQVNPGHTEETATSSKPAAGVTGAAAITAKPVGNIPSSVPAAGMDIRVSNASKMKAIGLPVPVATGAVFPSHNGVTPELRMQDERELKKERRKQSNRESARRSRLRKQAETEELSAKVETLSAENTSLRSEINCLMKNSDKLRLENSALMEELNNVQSDHVGNTMGVRIRPAVAENFLSMIENQSSISRMTQQDGDSSDQSSGKLHQLLNNSPRRDAVAAS >Ma09_p05200.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3340298:3348899:-1 gene:Ma09_g05200 transcript:Ma09_t05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEAATPPKSNKASSPVQSRETPANPPYADWATMQAYYGHGMIPPTYLSGHVPHPYMWGPQPLIPPFGSPYTAIYPLGGVYSHASVPLSSHTHCQGIAPSPSPAASEAVVMATPLSVEMPAKSPRNKDRRLVKKLKGLDGLALSGGNGSTENRDQADGNSEYNSTEGSSYGSDGDNAEGGIKDQRKRRSEDVPSSDNATNSEQVNPGHTEETATSSKPAAGVTGAAAITAKPVGNIPSSVPAAGMDIRVSNASKMKAIGLPVPVATGAVFPSHNGVTPELRMQDERELKKERRKQSNRESARRSRLRKQAETEELSAKVETLSAENTSLRSEINCLMKNSDKLRLENSALMEELNNVQSDHVGNTMGVRIRPAVAENFLSMIENQSSISRMTQQDGDSSDQSSGKLHQLLNNSPRRDAVAAS >Ma09_p05200.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3340298:3348898:-1 gene:Ma09_g05200 transcript:Ma09_t05200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEAATPPKSNKASSPVQSRETPANPPYADWATMQAYYGHGMIPPTYLSGHVPHPYMWGPQPLIPPFGSPYTAIYPLGGVYSHASVPLSSHTHCQGIAPSPSPAASEAVVMATPLSVEMPAKSPRNKDRRLVKKLKGLDGLALSGGNGSTENRDQADGNSEYNSTEGSSYGSDGDNAEGGIKDQRKRRSEDVPSSDNATNSEQVNPGHTEETATSSKPAAGVTGAAAITAKPVGNIPSSVPAAGMDIRVSNASKMKAIGLPVPVATGAVFPSHNGVTPELRMQDERELKKERRKQSNRESARRSRLRKQAETEELSAKVETLSAENTSLRSEINCLMKNSDKLRLENSALMEELNNVQSDHVGNTMGVRIRPAVAENFLSMIENQSSISRMTQQDGDSSDQSSGKLHQLLNNSPRRDAVAAS >Ma08_p13920.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:11043427:11047336:-1 gene:Ma08_g13920 transcript:Ma08_t13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYRPSSNYNSPFWTTNSGAPVWNNNSSLTVGIRGPILLEDYHLVEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPIIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLFDDVGVPLNYRHMDGSGVHTFTLLNREGKATYVKFHWRPTSGVKCLLEDEAVTVGGNNHSHGTQDLYDSIAAGNYPEWKLFIQTMDPEHEDRFDFDPLDVTKTWPEDIFPLQPVGRMVLNKNIDNFFNENEQLAFCPAIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLMLPVNAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRYDPVRHAERFPIPSSVVTGRREKSIINKENNFKQPGERYRSWAPDRQERFVHRWVDALSDPRVTHEIRDIWISYWSQCDKSLGQKIATRLNVKPTM >Ma05_p06510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:4837325:4838308:-1 gene:Ma05_g06510 transcript:Ma05_t06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFILTIEVDRSLRCLHL >Ma09_p23280.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35175927:35178464:1 gene:Ma09_g23280 transcript:Ma09_t23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAHPPTCRDLAPAPALKSPDKGKRDEWSEGGVRCLLEVYESKWVLRNRAKLKGSDWEEIAHHVSVRCSGPKALKTPTQCKNKIEAMKKRYRSESAAHDPSSGSPWQFYASMDALLKGTGNCAAHPKPNHDADLPPLPKAEMEVEADGHLHDSNHDDGSNTVPMDININGDNNNETKMENRGTDSHLSAPRSKEAASDDGARDPNSSSKRRRILGSEVAESIRLLAQSMLQVERARLEMYKDSERMRAEAEIKRGEMELKRTEIIAKTQLQIAKLFIEGMRRSSKSSNPSSRTELDMLPEMDGEGD >Ma10_p29210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35861207:35864750:1 gene:Ma10_g29210 transcript:Ma10_t29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGRSMLYSLLSFAIILSLLEMYREKLGSSELLTIFGGFISSLLFLLLLTFIGNYQESSGTRTGWGAVVLAEIAALIAAATVHRVCITTCFLFSAGLLYEVNKLSGMMLSKNEPKGKRY >Ma10_p29210.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35861280:35864750:1 gene:Ma10_g29210 transcript:Ma10_t29210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGRSMLYSLLSFAIILSLLEMYREKLGSSELLTIFGGFISSLLFLLLLTFIGNYQESSGTRTGWGAVVLAEIAALIAAATVHRVCITTCFLFSAGLLYEVNKLSGMMLSKNEPKGKRY >Ma05_p03520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2570539:2573114:-1 gene:Ma05_g03520 transcript:Ma05_t03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLHLGLPRSPPPRRRDLGSDLSLSSLPPRPSPSTTVEDGRAPIPMSGAVEPSESHPPHANSQAEYGPELPVVLPSDRVYTPEYASCFAPIQPVVGDPEGTDAPYSPIRVEHLPALQEPGEAYLPPFVRGSANHQDHGAATFSFYPSITVQTGESLRPEDGPSSRTGPSCYPDLCFSRLMQSSNRWPNRRFRSSLPHSGERLSFGSSLLPNPEQRVPDIVSSQRPSECNDKHKLIAENKAMEASEDEREDKSKSAANFECNICFDMAAEPVVTSCGHLFCWPCLYQWLHIHSDHKECPVCKGEVTEPKIIPIYGRGSSQTGVEKKNGEDAESAVKIPPRPHGNRFESFRQQVWSVSRRLDEGIAASWRRILDQQIRTGNRYGAFADPSQQDIYDSVHGRSLIRLRMRRMSREVNPESGSITGELGLPVNSMPTPIASNTDSIFQDGARHQFSMYDVGRDRSAAITANFGRVVGQFTSSGNGYGASTSSVDPPNSSPFVSGPRVESALAADQASASSTMADIQGDVASSDALAEPYNAGSSWFNMRRGRSSTSGSFDADGGVPDSSKRRRLN >Ma05_p03520.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:2570539:2573114:-1 gene:Ma05_g03520 transcript:Ma05_t03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLHLGLPRSPPPRRRDLGSDLSLSSLPPRPSPSTTVEDGRAPIPMSGAVEPSESHPPHANSQAEYGPELPVVLPSDRVYTPEYASCFAPIQPVVGDPEGTDAPYSPIRVEHLPALQEPGEAYLPPFVRGSANHQDHGAATFSFYPSITVQTGESLRPEDGPSSRTGPSCYPDLCFSRLMQSSNRWPNRRFRSSLPHSGERLSFGSSLLPNPEQRVPDIVSSQRPSECNDKHKLIAENKAMEASEDEREDKSKSAANFECNICFDMAAEPVVTSCGHLFCWPCLYQWLHIHSDHKECPVCKGEVTEPKIIPIYGRGSSQTGVEKKNGEDAESAVKIPPRPHGNRFESFRQQVWSVSRRLDEGIAASWRRILDQQIRTGNRYGAFADPSQQDIYDSVHGRSLIRLRMRRMSREVNPESGSITGELGLPVNSMPTPIASNTDSIFQDGARHQFSMYDVGRDRSAAITANFGRVVGQFTSSGNGYGASTSSVDPPNSSPFVSGPRVESALAADQASASSTMADIQGDVASSDALAEPYNAGSSWFNMRRGRSSTSGSFDADGGVPDSSKRRRLN >Ma08_p13160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:10449673:10452009:-1 gene:Ma08_g13160 transcript:Ma08_t13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGPTDRPVWATPNLFPALKTLHPSLPCIKRAALLLRFNLLRLLSSSWKRGRWRCCSYGRSHVDYLAYCLFLVCAEAMEGSDGGGRQKGVQRTSVQPRPLKKIRSPDRRHHYSSTSTSSSSSSSQCSSNSNPVSSSFSGPPVSSSSTLYSKPLFPFASAGSSRAVVDPTLSPPARSSLHHQPPPWQQQQMISFGRHHPHQIRTYPPSPPFMTTEEAAATQQQLQHRVYQEQYLLRYWSEALNLNPRARMVMAMSRPLHPSSLCPRPLLPPILTPAKLYRGVRQRHWGKWVAEIRLPRNRSRLWLGTFNTAEEAALAYDREAFKLRGENAKLNFPHLFLGTGGGSGDRGGASCSSSSSSPPATPEEARPQTIHHQSQPRPPPPVAFETTGVTATVIGSSSSGDGSASGLDESGGSEPTAPETTTPEMVWGNTAAAAEEWFSTWGPGSSIWDDIDGANSLLLHSRFTSFADMDPSNAAPPSSAATTTASPDADASSTAGPGPSSSVFLWKE >Ma06_p34800.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:34910136:34916786:1 gene:Ma06_g34800 transcript:Ma06_t34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVHLVVFNLLLLFVVCSAQMPGFVSIDCGGSETYTDYIGLVWTPDSQFIYGEAANISVPNENRKQYKTVRYFPADNRKYCYTFNVTIRTRYLVRTSFLYGNFDRSNVYPKFDISIGASHWSTIVIYDEKAIVTQEAVILASFPTVSICLSNATTGQPFISTIELRQFNGSLYHTEFETQFFLSLSARLNFGADNNGSIRYPDDPYDRIWESDSLKRPNYLVDVAPGTERISTAMPIDVNNDERPPVKVMQTAVVGQNGTLNYRLNLNGFPGYGWAFCYFAEIEELGVNEIRKFKLVLPGNKELTRLIVNVQENAQGKYRLYEPGSYNISLPFVLSFAFKQTNDSSRGPILNALEIYKYMGIHYGSMDASTMQSFTSHYPQAVWAQEGGDPCLPAPWSWVQCNSDPQPRIVSIELSKKNLTGDIPLELANLTGLVELWLDGNMLTGPIPELGGCLNLKDIHLENNKLTGDLPSSLVGLPSLKELYVQNNMLSGTVPTGLLGKNIVFMYSGNAYLDGEKNSKRHIIIVLCCVFGFSVLLAAVLAYLFAHRKRELLSGKDDLATVLPLQKQSASFSELGTDVAHRYMLSEIKCATENFAKKVGFGGYGTVYYGKMTNGKEIAVKVLTNDSCQGNRQFSIEVSLLSRIHHRNLVQFLGYCQQEGKNILVYEFMHNGTLKEHLHGSASQRQHISWIKRLEIAEDVAKGIEYLHTGCSPAIIHRDLKTSNILLDKNMRAKVSDFGLSKPAADESHVSSVVRGTLGYLDPEYYTSQQLTVKSDVYSYGIILLELISGQEPISNTSFGESFRNISPWAKFHCESGEIEAIIDPSLGDDYNDIQSVWKVAEVAVRCVNREMRNRPAMSEVLKEIQEAIALEHAPANTQPNNFFGEVYLDALNNPGPDAYSSDSFTQPELR >Ma08_p20820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:34900640:34900894:-1 gene:Ma08_g20820 transcript:Ma08_t20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRYSSMSFCTLCFGRSPRGDDEVEWEWEREREKKHTRRVRPSDEDRGRWIGEPDVDKKASDFIARFYASRFMDSEPQAIVV >Ma04_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22144894:22147140:1 gene:Ma04_g19510 transcript:Ma04_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSKYSLSRWEAAAAAGVVASFGLVLVAVYLSMPSSDYSFLKLPRSVEDIQDLRDNLESYTSDYTIQVLVGYCTVYIFMQTFMIPGTIFMSLLAGALFGVLRGVALVVFAATAGASSCYFLSYLIGRPLVFSLWPDKLSFFQEQVAKRREKLLNYMLFLRVTPTLPNTFINVASPIVDVPYHIFFLATIIGLIPAAYVTVRAGIALGELRSVADLYDFQAIATLFFIGIVSVTPTLINKSQTVNDA >Ma08_p09490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6865287:6867186:1 gene:Ma08_g09490 transcript:Ma08_t09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDRPRKRIQLWKKALIHFALCFVMGFFTGFAPASTASIFSRRIGSSDRPTKPVPALEKLADPGIANRSIMAEIPVGESPEPHLDRQLIIITTTRSDDRLQEAFLRRLACTLKLVPPPLLWIVVQARAAAPVTAEMLRKTGVMYRHLTFEKNFTDPAAEADHQRNVALNHVEYHRLAGVVHFADASNVYDLEFFEEIRQIKVFGTWPLATASANRRRVVVDGPVCSSSKVVGWLFKDSSNDKATVRSPMTDADMKGKSLQIDISAFAFNSTILWDPERWGRPTSVPDTSQDSMKFVQKVIREDDIKLKGIPADCSKIMLWHPYRPRVIPLPFQNPR >Ma03_p25990.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29876878:29881900:-1 gene:Ma03_g25990 transcript:Ma03_t25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRVNNDEAVTRCKERRQWMKAAVVARNAFAAAHSAYAASLKDTGAALSEFGQVAGHDSVHSSSSSSTGGGTSATGAPVFAAPVQPPTETLLPPPPPLPEFSPSPLHRSISMPDLPKKFPSKIHKAAPILEEDNEGDDEAEEENDGGLNRRRRPSEAAGGSPSLSPSPASPPPPSLQPTSQPEAWGLTDENVPVPSLTQAGGIRPEREESPEKKTVPAAPPSSIDLMKVLTDLDDLFLRASESTHEVSKVLEATRMHYHSNFVDSRGHVDHSVRVMRVITWHRSFEVTPGADGSKGDFIDDEWETHATVLDKILAWEKRLYDEVKAGRLTKIEYHRKVALLNRQKKGGASGESLQRTKAAVSHLHTKYVVDMQSMDSTVAEIQRLRDKRLYPKLVELVEGMAKMWQAMHMHHYSQLKMMEGIKALDISNASKETSEHHHKRTLQLLDTVREWQSQLHKLVTHQKQYVGALDSWLKLNLIPIDGSLKEKVSSPQRPAHAPIQTLVHAWHEYLEKQLPEELANTALLSFSAVLNTIEAVQQEELKQKERCGEIQKAYLRKKRAFEDWCQKHSQKRMGEPETGGEAASQNDPVGERRRVVESLKSKLDEGVEAHKGICKQVREKSSVTLKTHLPELFRAVSDFAELCSKMYTSLKLVTDQNPLTKAT >Ma09_p17520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:13077980:13088628:-1 gene:Ma09_g17520 transcript:Ma09_t17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGAAAADALTSGASDVQVMSSDPGARAPDGMAADGGAVARNNDEPNDASFYLHVFELYETQSNFYMIRKNRTSWRLLKIGRLRASELNICQESTTYTESECKELLNRIHEENKSTGGLKFVTDCYGIVGFVKFLGPYYMLLITEREEIGAILGHTIYAITKSKIIALPNSSVQSNMANSREERRYKKLFCTVDITQGFFFSYSYSIMQSLQKNMCEGKTGQLLYESMFIWNEFLTREIHSHLKSTLWTVYLVYGFFKQVKLSTHGKDFWLTLIARRSQHCAGTRYLKRGVDEDGNVANDVETEQIIFEDIPGEIPTCFSSIVQNRGSIPLFWSQETSKLNLKPDIILNKDKGYEATKLHFDNLAERYGKPIVILNLIKSEEKKPRESILRSEFASAVKYVDKNLSEDSHLKFLHWDIHKHYRSKAKNVLTMLVEVAACTLKLTDFFYCEMAPALRYESDLRWPTSVMDDAGDQSSNNICSSSSCSTTDKDDVDMQENGSQENMDSDISGSETKTIAVSNGGLCSVKSLRCQKGVLRTNCIDCLDRTNIAQYAYGLVALGHQLHALKLSPCPSIPLDATLADHFMTYYEKMGDTLSLQYGGSTAHNKIFSERRGQCKATIRYQELLRTFQRYYSNAFTDVDKQAAIDLFLGRFQPQLDKPAIWEHCGVGKSGHGFTNEISRYTAVVPHGQHFAESADSSLYKDWYSNFLDFESILSSRSFLEGEMNDRSTLNSPIESLSTQNIADGRSNEASSDLVENGSLTEGDQVIEEQPQDTVRTANKRNKFPDSFAHWVHHGEALYR >Ma06_p15680.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:10610947:10615390:-1 gene:Ma06_g15680 transcript:Ma06_t15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRIQRVVLLIDLYPLLDLQNPYSYLSPILSAARRILSFAPLASSLFAYKLFFSSLSPILSTSTVHRLLGKSSTFLSFDCPASTLDSLSRTLDSLRSVSGSCHSAGHGFSRAPLLAKSLLQLEHDYGWEPHHQDRKGAQELLAIRPNLVVLFSPMYPGPNFLSEHVDLELAGESDSAMSSDAFLKRFLECFGLVKERLAFCDIHLSWVDVNFDHHCERDVSGSEFFERGVRELGWGFCSTDAIVLGSALVPFGLVFPYIGCAMGFAADSGLNKGTSELVLEIVDVYGEPLECKSCELEVLDLKPVRERSDMTGSLLKFLGSKTSNIRIREVWRSDDGRKVMSDPSNLYLIRGTTGECNKVSRNHAKGEFFADSVLKLLCREKGELVADKPLWQILLTFLYNKSYWASVSIYDSDDKSMEGMLIPFTVNYALLAVPQKNSLNSCQLVARKSRSTSETLHTPALAGSREDSRRKRKKLHLNQIQNATLSSFRELVSSQTDDVALGVGLEQLYSGKIHDKSKKLMFLKCWMRQIVKSNTNCEVTLDEQKEPLDIKEDVETRNVASQDASEADAIPSSTEDAHQSASSANKDAPLFSCVEDMETFLRSIPQKIEQGLCSLDTDIGNLAGRLVGLSIRALDAQIGKNVEDIISDETGDASYVKIVDEVSRLLLVKPRDLILKYKTTNPARIASSNPVFDIYNTEYKIREHELQILFRMEILCSSVGPSIEDNTKQKMIKDICSLLQFIDINLEGDSFCGQSIVEFAERTIKCRYSHSLKDVIDKIYNQMEFGLFDEDEVGASDSLPNSNSEDFKRGDFDIGNRFPTDPIGAAGSEVGHQEMYARTQQEKLDNAHERRLMKAQQRRNRDRRFSSFTSWVPDLQRVWALKQPKAERLMRSSLPKPLKRRRRHSSTDDRVCETPMMDRKVQSQSQEDACGGMNRSNPLSKALFRIEGEPGSGV >Ma01_p14060.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:10302680:10312547:1 gene:Ma01_g14060 transcript:Ma01_t14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETARSGASADSYIGSLISLTSKSEIRYEGILYSINTEESSIGLSNVRSFGTEGRKKDGPQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTPVNNDPAIIQTHYPRPASTSTSMPLVGSGSVADLSSHTAQLGLPRSTFQGSLPLYQPGGGLGTWGSSPTPPTANGSGLAMPPMYWQGYYAPSGGLPHLQQPSLLRPPPGLPMPHSMQHPFQYPAMNPSLPSGSQTLPELPLFPHASSNTTLTSSPLPSVLDPSSTSTLSVETSSTLLPNKSPATTTPVLTFNVSLPLVPPLTSNLEKTAAMPHSTPVVSSKPGAVPGSTLAYLSVSEPVPSSVVSSSSSQVEKPVALVMPGKLLQTGPSVLPSSQPLQTSRMDADGKALEDKSKPLLPEPSLAAATEAKEPILPLPKSTIQKLNGATSHNYYSRGRGRGRGNEYSRPATKFTEDFDFMAMNEKFNKDEVWGHLGKNKAHVKDKDGELQEDETDDYLDEDDEALKLEIKPVYVKDDFFDSLSCATLDRGTRGGRTRFSEQLKIDTETFGDFARHRASRGGGRGLRGGGRGRGSYYGRGYGYIGRGRGYGHPHRAS >Ma08_p26270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:38884731:38885305:1 gene:Ma08_g26270 transcript:Ma08_t26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGAGGGDGSALKRALLVSGGLALAWLAVETAFKPLLDRLRGAISRSDPAHDPDDDDDDDDLRGDEKGDTRGRNKALNEEQQDGGAPPSN >Ma04_p27220.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:28432188:28433255:-1 gene:Ma04_g27220 transcript:Ma04_t27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSSSHRRHNPSPALVSTGCSRFKSYLSLCRPPPAHRQPPSLDAHPQPPAREPSLCGDGETAAQAYWRRARRLEQELRKLDMWLSTEKRLTVCLKNSPAVKAENGRAEMARLADGSYLHEIRRIGRPWGSLVMQVSTPLIEENATTAAEIEQVVCGMASLRRGDLWKCLHESMSMSNLSGHQQGQIKGKKVIMGSEDHFVEAVLLDSMENMEGLALEGLRIQMGTSGGRAGEVAEKKGKAATRKDGMVLVMMIQMRDPKENYEAVGEPMIGFIEAAAVGSRRFDVQGVLVAGMKPSMRAGCDGRGFIWSASLKGCERSSSGCCLQYVRHPNRFLA >Ma08_p09300.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:6730436:6731911:1 gene:Ma08_g09300 transcript:Ma08_t09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLLLILSSLLLFCSSFCSAASPHALVLPVKKDAATLQYVTRVYQRTPPVLLELVVDLGGRYLWVDCDAGYVSSTYRPARCRSAQCSLANSFSCGDCSAAPRPGCNNNTCGLAPENLFIRTSTFGELAGDVLSLASTDGSNPGALATDPRFLFSCAPTFLLKGLAKGAKGMAGLGRNKVGVPSQLAAAFSFHRKFALCLSSSTSSDGVMFFGDGPYRFLGTLNLDASQSLSYTPLLINPVSTAGTYVQGEKSVEYFIEVKSIRINGNSVPLNKTLLSIDKDGVGGTKISTVTPYTTLQTSIYRAVTNAFAAALAGVRRVPPVAPFGLCFDPSSIGSTRVGPAVPQIDLVLPSQNVYWRIFGANSMVQVRDKVLCLGFVDGGAEPRTSIVIGGYQLEDNLLQFDLATSRLGFSSSLLFRQTTCANFNFTSSS >Ma10_p18180.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:29228128:29229164:-1 gene:Ma10_g18180 transcript:Ma10_t18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQESDPGTYTDVTIKGLIVELMAAGTDTSAVTIEWAMCLLLIHPEVLHTARAELDARIGQGRMVEEEDIPNLPYLNCIINETLRLYHAVPLLVPHESSQDCTVGGYDVPCGTMLLTNAWAIHRDPNIWDEPEEFKPERFQCEGGKEETGLRMLPFGSGRRKCPGEGLAIRLIGLALAILIHCFEWEKLPGEEVDMTEGQGLSMPKVKPLEVVCTPRRTMLHALSQL >Ma06_p29340.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:30743806:30750394:-1 gene:Ma06_g29340 transcript:Ma06_t29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPMVRSFLQAVSSEEVTPPLRVVQMEGLAVLKIIKHCNEFSPALVTGQLLGLDVGSVLEVTNCFPFPTREEDEEVEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSRSSQGALALKALKLTDSFMDLYRNNNFTGEKLREKKLSWVDIFEEIPIKVSNSALASAFMTELEPESPVAQCDYDRLKLSTAPYVERNLEFLIDCMEDLSAEQNKFQYYYRNLSRQQAQQQAWLQKRRVENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLDSYLITNQISNYCNQINGVAGQNFNRLYMMKALQEN >Ma09_p05460.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3506567:3515163:-1 gene:Ma09_g05460 transcript:Ma09_t05460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRSPNIARRSLMRDLIVLQKSRSLRDPSASPPSWASPYNVGGFARKSERKATTNGRWISIGDNCRREAGRLSVSLPLVRSVATAKVTAAEATGDYVFEEIDRDAEITKVEEAGIRSHKSDSLSGNQVSSKDTHKKVVGQRKREPNKSADGQAVCPKTLSEQLEEVPGQTDDFNKKKSGLFQHGRHESKRRTNNAVEENTCDHYRRSNRGKKCRLKGARRACRSIDLRGIGDYRNLTNASDSVAVASKNQKAHLENVEEDTELKAAWTQRNVCGIPWNWSRIHQQGKTFLDIAGRSLSCGLSDFRTKKAEGLVPQREGNTSNMATALDHLTPTSSDSEVLPLLTEVLEFQGNGANCLPSKGYSGELEIFSNHSLRHYTDSDLSSDARSSQKRYRLFAHGRHRSLTQKYMPKTFKDLVGQNLVVQALSNAIMRRKVGLIYVFHGPHGTGKTSCARVFARALNCLSEEDFKPCGVCSSCISNDLGRSRDVLELGPVGNFDFESIKDAFDNMMLLPRSSMYRVFILDDCDGLPSNLWSTISKVIDRSPRHVIFVLICSNLDSLPHIIISRCQKFFFPKVRDSDIISTLQWIATSEGLEIDKDALKLIASRSDGSLRDAEMTLDQISLLGKKISLPLVQELVGLVSDEKLVDLLDLSLSADTVNTVKSLREIMETGVDPLALMTQLATIITDILAGSYVFTQDRLCRKFFRRQTLSKEDMERLRKALRTLSETEKQLRASSDKLTWLTAALLQLAPDQQYMLPSSSTDRSLDHSPMLLNNSHVIDIHGGSTNKLDDMQLLDRSFSRGVGQGYNNNISGNELSHSVTMANGRGPAKPSEAIEASDLHNTGKRYDNIEKIWQAVLKHIPSDTLRWFLYNEGSLKSVSLGAAPTVQMAFSSNANKYRAEKFRGQLLQAFESVLASPVMLEISCRSRNTVRLDAPAAPLLPSSESGSSKMTVKQQYVKNKNSLNSVSENLAGKLIEENILGRICSGQARWLHPGPPVMTEDEIVEAGPHELRLTNKTVGSMEKGFENVWEEASASCHHSNLVSLSERKDNEQNQRKNLVSGQVSLAHVIQQAEGGWSRCKAMSVAEKLEQENFRLEPRSRSLFCWKVHRTTRAKCLNMKIRRKPQSLSKLIICGRCLHTASPK >Ma09_p05460.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:3506567:3515163:-1 gene:Ma09_g05460 transcript:Ma09_t05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRSPNIARRSLMRDLIVLQKSRSLRDPSASPPSWASPYNVGGFARKSERKATTNGRWISIGDNCRREAGRLSVSLPLVRSVATAKVTAAEATGDYVFEEIDRDAEITKVEEAGIRSHKSDSLSGNQVSSKDTHKKVVGQRKREPNKSADGQAVCPKTLSEQLEEVPGQTDDFNKKKSGLFQHGRHESKRRTNNAVEENTCDHYRRSNRGKKCRLKGARRACRSIDLRGIGDYRNLTNASDSVAVASKNQKAHLENVEEDTELKAAWTQRNVCGIPWNWSRIHQQGKTFLDIAGRSLSCGLSDFRTKKAEGLVPQREGNTSNMATALDHLTPTSSDSEVLPLLTEVLEFQGNGANCLPSKGYSGELEIFSNHSLRHYTDSDLSSDARSSQKRYRLFAHGRHRSLTQKYMPKTFKDLVGQNLVVQALSNAIMRRKVGLIYVFHGPHGTGKTSCARVFARALNCLSEEDFKPCGVCSSCISNDLGRSRDVLELGPVGNFDFESIKDAFDNMMLLPRSSMYRVFILDDCDGLPSNLWSTISKVIDRSPRHVIFVLICSNLDSLPHIIISRCQKFFFPKVRDSDIISTLQWIATSEGLEIDKDALKLIASRSDGSLRDAEMTLDQISLLGKKISLPLVQELVGLVSDEKLVDLLDLSLSADTVNTVKSLREIMETGVDPLALMTQLATIITDILAGSYVFTQDRLCRKFFRRQTLSKEDMERLRKALRTLSETEKQLRASSDKLTWLTAALLQLAPDQQYMLPSSSTDRSLDHSPMLLNNSHVIDIHGGSTNKLDDMQLLDRSFSRGVGQGYNNNISGNELSHSVTMANGRGPAKPSEAIEASDLHNTGKRYDNIEKIWQAVLKHIPSDTLRWFLYNEGSLKSVSLGAAPTVQMAFSSNANKYRAEKFRGQLLQAFESVLASPVMLEISCRSRNTVRLDAPAAPLLPSSESGSSKMTVKQQYVKNKNSLNSVSENLAGKLIEENILGRICSGQARWLHPGPPVMTEDEIVEAGPHELRLTNKTVGSMEKGFENVWEEASASCHHSNLVSLSERKDNEQNQRKNLVSGQVSLAHVIQQAEGGWSRCKAMSVAEKLEQENFRLEPRSRSLFCWKVHRTTRAKCLNMKIRRKPQSLSKLIICGRCLHTASPK >Ma10_p06860.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:20397320:20401239:-1 gene:Ma10_g06860 transcript:Ma10_t06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPLTDATSLPAAKAPLPRRTSSSSPDPGRTPRRSVCARGGVKDEAKERVIRVSDPLRERGLLSPPLLSVPLISGASSASPPSPSVTPQQQMDDGDERHSYYVNMGYAIRTLREDFPDTFQREPNFDVFRDDIVFKDPLNTFAGIDNYKRIFWALRLSGRIFFRAIWINIVSIWQPVENVIMIRWIVHGIPRVPWESHGRFDGTSEYKLDKNGKIYEHRVDNVALNTPTKFQVLPVEELIQLLGCPSTPKPTYFETSLSSGFSCLKFMLRLSWIKCYLAFYLVASRCAEKD >Ma03_p13360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:10518181:10521880:-1 gene:Ma03_g13360 transcript:Ma03_t13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSETSLESEKKISLTLEDTQSNINWDDIVCPICLDVPHNAVLLHCGSYDQGCRAFMCDTDDYHSNCLDRYKCAHGLPTITTVSSTTTGTPTQSIRVIPLGSGSSPTCPLCRGNVTGLVVVDEVRAYLNMMKRCCEEKQCLYVGNYMELQRHAKQEHPHSRPSKVDPDRQQDWENFQRSSEMIDVLSTIHSEMPHGVLFGDYIIEYGDESSDEFEDFPGDDGNWWTSCILYHVFDNFRSTIDRQRLRNEETSRVQARSFYDAHMDDGSTSSADMPEYHFDGSDEEFGEADDGAASRGSQSHRSYRRRRSRFQEH >Ma10_p28320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:35239809:35244948:1 gene:Ma10_g28320 transcript:Ma10_t28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLGDGGGGAVGKNGERSDGRPPNPFAGAYRQSYDVALGGSPGVATLIRHGSLTKTEGLDVPVEDEKSNEDFETDFLPIVRSGAWADIGIRPTMEDAYVCCDNFIQEYGLKNSGGPNAFYGVFDGHGGKHAADFACSNLPRFLLEDEDFPGEIERAVASAFLQTDTAFAEACSVNSSLASGTTALAALVVGRSLVVANAGDCRAVLCRRGKAIDMSHDHKPDRSEERKRIEALGGFVCDDYLNGLLNVARAIGDWHVDGMKDRDGLGPLSAEPEVMTRRLTEEDEFLILGCDGFWDVFRSQNAVDLARRKLQEHNDPTTCCKELVDEALKRESSDNLAVVLVCFQTKPPPVMTAPRPRVRRSISGEGLRELQSFLDRLADGA >Ma05_p19210.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:27172373:27194858:-1 gene:Ma05_g19210 transcript:Ma05_t19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFFQSPAVNIHAQVPNDPSLQQAALFNQMQFPDPSFVNSSNRNTNSNGFSATNVAAAAAAVAPLMAPSSFLNCPNILPVVQNNRLSVFPQQHVQFGAAQHLNQQLLALQLLGISRGMPQNAQQFQGQLQNLNMFTPLPPGVGPFSVNNRPQNFNFIGGLPNGQICFPTTMMHRNQIADLNSPASSCLGSNKPEHLGNHPMVAVGNSHTSLCNGALCTGGKKISFTHEGKHESLVNPKKLHQPNIWSPTKNHHPMKYNQPGNLWPQVDCLEDGGSDIISVNSAKKGFTRHLHGQRKTKYTRKPQFQDSKSIESNKRMFNGTSGRVQKKWKGEPQFGKCNKPILTERPRCLPVTYSEHEIQQWIEARRKNFPTTANIKKKLVQSDVNNEDADDDAQLRRQQLKQVLAKQAELGVEVAEIPQGYLSELENQSGGQENNSKVLNMTNNFPNKHICKRVRHQEKWQAKRLKLTNESSADAASILRREPTLLRKLLSSDIRRDNSRLLQAFRFMILNSFFEHWPGKLLEFPSFTVRDIQCENGTAVEKTSSLNCINNVEFEVGQCSVVKEIEGPKQNCVRDDAGFSSENGQ >Ma10_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:30202490:30203844:-1 gene:Ma10_g19770 transcript:Ma10_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAETPTRPADPAAESTAVAAAGATAPRGEAKGSLLHNFSFPILKTWGSHRVLRCMSVNGKREVVAGGGRRSAVPPEPAGPRIHEEVESEDGDDPGIEEVREKLLVHLREAADRMKLVVPSPPKDAGRKAQPAPAQEERLDPEVGTSAAPPARPWNLRTRRGAARASTGVEWHLSGSPPGQVVKRTVRRRSEDPWWRERPKFSISLTREEIEEDIYAVTGRRARQRPRKRARVVQKQLDALLPGSWLTEITADTYRVPDSR >Ma08_p07760.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5311223:5316262:-1 gene:Ma08_g07760 transcript:Ma08_t07760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKETASRENHPVPRLNERILSSLSRKSVAAHSWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLQARAIGLMPMIDQGEKDDKIIAVCANDPEFHHYNDLNELSPHRLAEIRRFFEDYKKNENKEVAVNEFLPADTAREAIQYSMDLYAQYILQSLRQ >Ma08_p07760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:5311223:5316261:-1 gene:Ma08_g07760 transcript:Ma08_t07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKETASRENHPVPRLNERILSSLSRKSVAAHSWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLQARAIGLMPMIDQGEKDDKIIAVCANDPEFHHYNDLNELSPHRLAEIRRFFEDYKKNENKEVAVNEFLPADTAREAIQYSMDLYAQYILQSLRQ >Ma05_p27030.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:38489375:38490635:-1 gene:Ma05_g27030 transcript:Ma05_t27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAKGGALPLASLNHISLVCRSVERSLDFYQNVLGFLPIRRPGSFDFTGAWLFNYGIGIHLLQSEDPEKMPRKREINPKDNHISFQCESLSLVEGKLKEMGIPYIQRRVEEGGIYVDQLFFHDPDGFMIEICNCDNLPVISLSGEPIMACKRVMSLFPQQQQQQAALQL >Ma07_p19570.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:27524695:27526023:-1 gene:Ma07_g19570 transcript:Ma07_t19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQLRRLRCGQPKTTTSRQKEPRRSTRLHLAHACVVTFPWRKEMRGTSKLAMGAALTVAVSLALVFTLLLVLLVDLFCSLLCCRSRRCETTTTTSPGLSSAAASAAEKMEPPPPFSTTLGFPGVLQAPTSFLLSIPKLEAAAAMPPTSERVDCHFSMATSSPGIRRISSASSDSISADHFVRISNPIYDGVGGSLTTPFETPGTSPSHSRFEEDEAECSPALTAMRKLPPMRRTASFVGLRSAATSVSAAETCRVSVSSSSDSSPSW >Ma11_p13540.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:17943870:17944148:1 gene:Ma11_g13540 transcript:Ma11_t13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVQMLEGVLAIDRPPAPKAADGGLAAVTSSSANTSITVFATSSPLQPSSGSSYSIANSPLVSKRNLVIPGRPRPIFLAQCYRDTRCWIV >Ma04_p22410.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24742248:24758799:-1 gene:Ma04_g22410 transcript:Ma04_t22410.3 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSSAPSSQTPPAAPRPAKRPRIALSSQAPPALSTRSRRSQNPPPSPPSSSSSAVANAVMDSSGGDFIGRRRRTSGKSRQPSGDRDRDASDKGKEAGASRARERDRDAERILGLSFDGGGADDDNDGDGGLGILHQNLTSASSALQGLLRKLGAGFDDLLPSSALTASSSLQQSGRLKKILSGLRADGEEGKQFEALNQLCEMLSIGTEDSLLSMSVDSFVPVLVGLLNHESNPDIMLLAARALTYLCDVLPSSCSAVVRYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLSNLLNYHDSKVVEHASVCLTRIAEAFALSPDKIDELCNHGLVAQAAGLISLGNSGGQASLSTSTYTGILRLLSTCASGSPLAAKTLLLSGISATLRDILLCSGLVSGSSVSPSLTRPPEQVYVIVNLVDELLPSVPQGTISLPLPSNVLVKGSAAKNSLPSSSGQHAEPKGTTSEVSAHGKLLQDQPELLQQFGMDLLPVLIQVYSSSINGPVRHKCLSTIGKLMFFSSADMIQSLLSVANISSFLAGILAWKDPQLLIPALQIAEILMEKLPGTFSKMFVREGVVHAVDILISSDPSLAPICEKDDDALPGITWRSRRSRRCSGGLNTENSSVDDSKGSSSEISVSPLPSVEVPNANSSLRAAVSAHAKAFKEKYFPAGSSAVEAGLTEDLLCLKNLCSKLNSLVEDARSKSKGKSKALGLCSFDVSFSSEEQLDEVIADILAELCKGDGVSTFEFIGSGVVLALLDYFTCGTFGREKISEANLPKLRQQALQRYKFFIATALPMELKEGNRTPMSLLVQKLQNSLSSLEHFPVVISHLSRSSSGSARFSGLGSLFQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDSVPKSSTSTGNSDSGAAVGSAAPTPPTPGHRPSTRSRSSVTIGVPAKKDASDGSANSSKGKGKAVLKCTPDELRGPQTRNAARRRAASDKEMEIKPSQSESSSEDEDLDMSPVEMDDTLMIVDDDVSDEEDDHEVFRDDSLPVCVPDKVHDVKLGDSADDGAVSCSASDNQVQTTSGSSDRSVTDKGAEYTELQRESAFGSRGAMSFAAAAMAGLASIGGRGIRVGRDYRGLASFSTKSNHQNKLIFTAGGKQLSKHFTVYQAFQRQLILNEEGDEKFNGSDLPSDGNSLWGEIFTITYQKADGQVDKASQGSSNLSKSSKPAFASDSVGDNKWQEISLLDSILQGELPCDLERSNPTYNILALLRVLDSLNQLSTRLRMQAVSDEFAEGKISNLDKLYRIGPKVLPEEFVNGKLTPKLARQIQDALALCSGSLPPWCYQLTKACPFLFPFETRRQYFYSTAFGISRALRRLQQQQNSDNQNSASEREFRVGRLQRQKVRVSRNRILDSAVKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVKLGLWRSNSASESSAMQIDGDETDGGRSDYGSETKKPGTELPDGRIDLIQAPLGLFPRPWPPSADTSDGSQFSKVIEYFRLAGRTMAKALQDGRLLDLPLSTAFYKLVMGQELELHDILLFDAEFGKILQEMQALVGHKQFLERNPGNNQMAIADLRFRGAPIDDLCLDFTLPGYPDYILKGGGENIMVDINNLEEYVTLVVDATIKTGIKQQMEAFRAGFNQVFDIYSLQIFSPHELDNLICGLRELWEPETLVDHIKFDHGYTAKSPAITYLLEIMGEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHSTPANAANENGVPESVDDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAIREGQGSFDLS >Ma04_p22410.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24742248:24758799:-1 gene:Ma04_g22410 transcript:Ma04_t22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSSAPSSQTPPAAPRPAKRPRIALSSQAPPALSTRSRRSQNPPPSPPSSSSSAVANAVMDSSGGDFIGRRRRTSGKSRQPSGDRDRDASDKGKEAGASRARERDRDAERILGLSFDGGGADDDNDGDGGLGILHQNLTSASSALQGLLRKLGAGFDDLLPSSALTASSSLQQSGRLKKILSGLRADGEEGKQFEALNQLCEMLSIGTEDSLLSMSVDSFVPVLVGLLNHESNPDIMLLAARALTYLCDVLPSSCSAVVRYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLSNLLNYHDSKVVEHASVCLTRIAEAFALSPDKIDELCNHGLVAQAAGLISLGNSGGQASLSTSTYTGILRLLSTCASGSPLAAKTLLLSGISATLRDILLCSGLVSGSSVSPSLTRPPEQVYVIVNLVDELLPSVPQGTISLPLPSNVLVKGSAAKNSLPSSSGQHAEPKGTTSEVSAHGKLLQDQPELLQQFGMDLLPVLIQVYSSSINGPVRHKCLSTIGKLMFFSSADMIQSLLSVANISSFLAGILAWKDPQLLIPALQIAEILMEKLPGTFSKMFVREGVVHAVDILISSDPSLAPICEKDDDALPGITWRSRRSRRCSGGLNTENSSVDDSKGSSSEISVSPLPSVEVPNANSSLRAAVSAHAKAFKEKYFPAGSSAVEAGLTEDLLCLKNLCSKLNSLVEDARSKSKGKSKALGLCSFDVSFSSEEQLDEVIADILAELCKGDGVSTFEFIGSGVVLALLDYFTCGTFGREKISEANLPKLRQQALQRYKFFIATALPMELKEGNRTPMSLLVQKLQNSLSSLEHFPVVISHLSRSSSGSARFSGLGSLFQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDSVPKSSTSTGNSDSGAAVGSAAPTPPTPGHRPSTRSRSSVTIGVPAKKDASDGSANSSKGKGKAVLKCTPDELRGPQTRNAARRRAASDKEMEIKPSQSESSSEDEDLDMSPVEMDDTLMIVDDDVSDEEDDHEVFRDDSLPVCVPDKVHDVKLGDSADDGAVSCSASDNQVQTTSGSSDRSVTDKGAEYTELQRESAFGSRGAMSFAAAAMAGLASIGGRGIRVGRDYRGLASFSTKSNHQNKLIFTAGGKQLSKHFTVYQAFQRQLILNEEGDEKFNGSDLPSDGNSLWGEIFTITYQKADGQVDKASQGSSNLSKSSKPAFASDSVGDNKWQEISLLDSILQGELPCDLERSNPTYNILALLRVLDSLNQLSTRLRMQAVSDEFAEGKISNLDKLYRIGPKVLPEEFVNGKLTPKLARQIQDALALCSGSLPPWCYQLTKACPFLFPFETRRQYFYSTAFGISRALRRLQQQQNSDNQNSASEREFRVGRLQRQKVRVSRNRILDSAVKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVKLGLWRSNSASESSAMQIDGDETDGGRSDYGSETKKPGTELPDGRIDLIQAPLGLFPRPWPPSADTSDGSQFSKVIEYFRLAGRTMAKALQDGRLLDLPLSTAFYKLVMGQELELHDILLFDAEFGKILQEMQALVGHKQFLERNPGNNQMAIADLRFRGAPIDDLCLDFTLPGYPDYILKGGGENIMVDINNLEEYVTLVVDATIKTGIKQQMEAFRAGFNQVFDIYSLQIFSPHELDNLICGLRELWEPETLVDHIKFDHGYTAKSPAITYLLEIMGEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHSTPANAANENGVPESVDDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAIREGQGSFDLS >Ma04_p22410.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:24742248:24758799:-1 gene:Ma04_g22410 transcript:Ma04_t22410.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSSAPSSQTPPAAPRPAKRPRIALSSQAPPALSTRSRRSQNPPPSPPSSSSSAVANAVMDSSGGDFIGRRRRTSGKSRQPSGDRDRDASDKGKEAGASRARERDRDAERILGLSFDGGGADDDNDGDGGLGILHQNLTSASSALQGLLRKLGAGFDDLLPSSALTASSSLQQSGRLKKILSGLRADGEEGKQFEALNQLCEMLSIGTEDSLLSMSVDSFVPVLVGLLNHESNPDIMLLAARALTYLCDVLPSSCSAVVRYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLSNLLNYHDSKVVEHASVCLTRIAEAFALSPDKIDELCNHGLVAQAAGLISLGNSGGQASLSTSTYTGILRLLSTCASGSPLAAKTLLLSGISATLRDILLCSGLVSGSSVSPSLTRPPEQVYVIVNLVDELLPSVPQGTISLPLPSNVLVKGSAAKNSLPSSSGQHAEPKGTTSEVSAHGKLLQDQPELLQQFGMDLLPVLIQVYSSSINGPVRHKCLSTIGKLMFFSSADMIQSLLSVANISSFLAGILAWKDPQLLIPALQIAEILMEKLPGTFSKMFVREGVVHAVDILISSDPSLAPICEKDDDALPGITWRSRRSRRCSGGLNTENSSVDDSKGSSSEISVSPLPSVEVPNANSSLRAAVSAHAKAFKEKYFPAGSSAVEAGLTEDLLCLKNLCSKLNSLVEDARSKSKGKSKALGLCSFDVSFSSEEQLDEVIADILAELCKGDGVSTFEFIGSGVVLALLDYFTCGTFGREKISEANLPKLRQQALQRYKFFIATALPMELKEGNRTPMSLLVQKLQNSLSSLEHFPVVISHLSRSSSGSARFSGLGSLFQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDSVPKSSTSTGNSDSGAAVGSAAPTPPTPGHRPSTRSRSSVTIGVPAKKDASDGSANSSKGKGKAVLKCTPDELRGPQTRNAARRRAASDKEMEIKPSQSESSSEDEDLDMSPVEMDDTLMIVDDDVSDEEDDHEVFRDDSLPVCVPDKVHDVKLGDSADDGAVSCSASDNQVQTTSGSSDRSVTDKGAEYTELQRESAFGSRGAMSFAAAAMAGLASIGGRGIRVGRDYRGLASFSTKSNHQNKLIFTAGGKQLSKHFTVYQAFQRQLILNEEGDEKFNGSDLPSDGNSLWGEIFTITYQKADGQVDKASQGSSNLSKSSKPAFASDSVGDNKWQEISLLDSILQGELPCDLERSNPTYNILALLRVLDSLNQLSTRLRMQAVSDEFAEGKISNLDKLYRIGPKVLPEEFVNGKLTPKLARQIQDALALCSGSLPPWCYQLTKACPFLFPFETRRQYFYSTAFGISRALRRLQQQQNSDNQNSASEREFRVGRLQRQKVRVSRNRILDSAVKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVKLGLWRSNSASESSAMQIDGDETDGGRSDYGSETKKPGTELPDGRIDLIQAPLGLFPRPWPPSADTSDGSQFSKVIEYFRLAGRTMAKALQDGRLLDLPLSTAFYKLVMGQELELHDILLFDAEFGKILQEMQALVGHKQFLERNPGNNQMAIADLRFRGAPIDDLCLDFTLPGYPDYILKGGGENIMVDINNLEEYVTLVVDATIKTGIKQQMEAFRAGFNQVFDIYSLQIFSPHELDNLICGLRELWEPETLVDHIKFDHGYTAKSPAITYLLEIMGEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHSTPANAANENGVPESVDDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAIREGQGSFDLS >Ma08_p09620.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:7017509:7019986:1 gene:Ma08_g09620 transcript:Ma08_t09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISALSTSLYSSIPHRGVVPRSFRPLPRLLSLSFSPLPSPLIRRSLFLLPSKPFPASWRSRKAVAEGEAAASEEEPTAEAVAAAATTAEEEDATVAVAVSPSDMLTMFFQAEGTMDESAISGVSKALEGQEGVSDLNVRVAEGIATVELTKQTTVQATGVASNLLEAIQGAGFKLQALNLSFEDEEDAVS >Ma04_p03950.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:3026807:3038691:-1 gene:Ma04_g03950 transcript:Ma04_t03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGITQLWRASGETCPHGTVPIRRTTEEEALRVRKLVEGVGESPFNNHEYAIGTVEDENLYYGAEATYNVWAPAVANNGEYSLSQFWLTSGTYATNLNTIEAGWQVLYGKSYPRFFIYWTADAYQNTGCYDLECAGFVQTNNDIVVGGSINPTSTYDGQQSEMKLLVWKDHKDGNWWLEWDSTVVGYWPSSLFSHLAKNATSVQFGGEIVNNGPSGVHTATQMGSGYFPEEGYRRAAYTRNLQVVDATNTLFPVQSLSVTAGKPNYYTITEGVNSDWGTHFFFGGPRRSDYAFGTVEDENLYYGAEATYNVWAPAVANNGEFSLSQFWLTSGTYATNLNTIEAGWQVLYGNSYPRFFIYWTADAYQSTGCYDLTCPGFVQTNNDIVVGGSINPTSTYDGQQSEMKLLVWKDHKDGNWWLEWDSTVVGYWPSSLFSHLADSATSVQFGGEIVNNGTSGVHTATQMGSGYFPEEGYRRAAYTRNLQVVDANNTLFPVQSLSLTAGKPNCYNITKGVNSDWGTHFFFGGPGRSDVCP >Ma09_p30760.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40713921:40715340:-1 gene:Ma09_g30760 transcript:Ma09_t30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGPTILVFLGFLSCVHADLKLGFYDDSCPKAEKMILDFVEEHIPNAPTVAASLLRMHFHDCFVRGCDGSVLINSTSTNQAEKAATPNQTLRGFDFIDRLKSVVEEECPGIVSCADILALATRDAVGVIGGPIWRVPTGRRDGLISNLTEALSEIPAPTSNLSSLQTSFANKGLNAADLVLLSGAHTIGVAHCESFSDRLYNFTGNGDQDPSLDGFYATNLKKNKCRDATDETTLVEMDPGSFRTFDVGYYRNLLKRRGLFESDAALTTDAAAKAAIVDLVDSPPQTFFAGFAMSMEKMGSIGVKTGSSGEIRRNCAVINS >Ma06_p31200.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:32391910:32394029:-1 gene:Ma06_g31200 transcript:Ma06_t31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQLQKRQRSQQPPLVPCARCGSSDTKFCYFNNYNTSQPRYYCRTCKRHWTHGGTLRNVPEGGSSKKSKLKSSASSSSSPSLKLPQAQAMVDQMAAVAVGTSTNPDRAAMPPPLLYGGGARAAGWGGILGDEPALPAFNSGGLDGDFGPSNPATTPSGFNLLQQLVQQPRLTLALHRNLFMFQQQMNAPAFATAAPAIVAADAAATTEESNQNYHNNSRMSNMSGAPHPPPSSSSM >Ma05_p12170.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:8833542:8834968:1 gene:Ma05_g12170 transcript:Ma05_t12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVKLGANKFSERQPIGTAAQDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYITILTVMGVVKSNSKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAVCGAGVVKGFQKGVYESNGGGANVVASGYSKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPLLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWIFWVGPFIGAALAAIYHQVVIRAIPFKNRS >Ma01_p19770.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:15545834:15551850:1 gene:Ma01_g19770 transcript:Ma01_t19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKKVEKPREQTPINENEIRITSQGRVHSYITYATSLLQEKGSSEIVFKAMGKAINKTITVVELMKRRIVGLHQITATGTTDITDTWEPLEEGLLPVETTRHLSIITITLSKKELDTSAVGYQSPLPADQVKPMQEFENGGDIEVSPTGHGRGRGGRGRGRAPRNGVIEDDGDGGSDNQGRGYGRGGNVRGRGRNYGRRGGYGGQLNNLHETGYNEQTLMPPRGRGRGRGRGQTRGRGLDSRSKEPVQAVNSGA >Ma01_p08240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000001.1:5907349:5918050:-1 gene:Ma01_g08240 transcript:Ma01_t08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQAKNIMVSSYARTKEASQAKYISILNIIQGDVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYIQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREVIESLVEEYKACESPDYIKWGMEEQGEASVAAALDSKLVM >Ma04_p14850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11277040:11278573:1 gene:Ma04_g14850 transcript:Ma04_t14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSDQAKPAGKSMLKRLFERQFASAPRISPEERLDSWADLEPSSVSLDRMIRNYMEGESNNTRNPPDDDLAAEPTIASADARDAAEAGLVLCTSQTEIKLLADASNLMEKSRNCKRKEEYIKAVTAGLRSLGYDASICKSRWKKTSSVAAGEYQYIDVIVGGGERLLVDVDFRSEFEIARSTKRYREVLQSLPSVFVGEEDRVNQIVAVVSQAARKSLKKKGLHVPPWRRPEYMRAKWLSTYSRLTPSKPKRQAIGSGESVEG >Ma07_p11670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:8687002:8688042:-1 gene:Ma07_g11670 transcript:Ma07_t11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRTHSPPQLNLAVPFLALLLSVTTPVHGLNIGVRSVDPGIATSKQQCSRKCESDHCTVPPFLRYGKYCGILYSGCPGEKPCDALDACCMVHDACVQAKHDYLSQECNENFLNCIEAVRASGKGTFRGNKCMVEEVMDVITLVIEAALLAGRVLHKP >Ma07_p18330.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:25083296:25090001:-1 gene:Ma07_g18330 transcript:Ma07_t18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIPSAAAAGDSSARCKENERAALLKLKQGLFDSSGRLSSWAGDDCCRWRDVRCSNRTGHVVQLRLRNPSPSPDGDDNFLSGKISPSLLELRHLSYLDSSRNRFDGASIPRFIGSLTSLRYLNLSDATFGRTIPHQLGNLSRLQYPDFHWLYIPPRPLVVDNFHWISRRSSLSYLDTSAINLSAAGDVYDEVLQLGGNDFNDTASWNIKILCNLQILDLLYNGISADISNFDKQLSGCVRNSLQVLNLAYNALGGGVPVWIGELRNLKSLHLEDNLLSGLIPPSLGTLSSLSFLYLSHNMLRGSISESFGQLSELIVWVVSYNQLSGIVSDVHFSSITRFEALLPS >Ma02_p02500.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:13759187:13760708:1 gene:Ma02_g02500 transcript:Ma02_t02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNVSSCFSTFILLLLSFTSFVSSQSDSCSSKLTVSNLIPFNTSSLTCMSAWSSEGFILRYENAGPSLWNFVLSAPDKGAYIAIGFSGNGRMVGSSAVVGWKSSSGVAIVRQYYLGGYSSNQCPPDKGSLSLVQGSSFIVSQSSRLYLAFQLSTAQPESSLVYAVGPSNSLPSSGGYLSTHRDMASGTLSSPAGGGGGGDDDGDDDEHGRKGDGEGESSSGRKRGGDNDSDGEDAVARRSGGGLSLAKKHALLTILGWGILLPIGAATARFLKHHDPLWFYSHVLVQGVAFVVGVSGVLAGFKLEHNLGDGRDIDAHKTLGIFVLAFGGLQVMAVLMRPKKEAKARKYWNWYHHNVGRAAIVCAVANIFYGLWLAKEARDWSYGYGIFVGVWVVGCFVLEEWRRKHVAECTVC >Ma07_p12830.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:9683997:9684521:-1 gene:Ma07_g12830 transcript:Ma07_t12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILEFRYNVEKLKEKKWISRKDSQASDLKPTFEPDKEGMEQVFRKISNGKEMIEFSDLRQLLHKMNVADADREAKQMMQAADFNNDGFLDFDEFMKVTKDVSMRELKDAFGMFDENRDGHISAEDIKRMMQKLGESCTLEDCARMVKHVDKNGDGLVDMDEFVAMMTGTRKPI >Ma04_p14890.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:11290881:11293305:1 gene:Ma04_g14890 transcript:Ma04_t14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRNPNEVGMPSSPSGYNSSLIRGGSAFSKPTLSPTSSLLSPRVGGGGLGGGGGGGGGARNGNILGSTRSPPSPPTLEPTTTMDPSPQSLTKNTGQSFVSNSSTGAAGAGVPSKTEPAAITSKNSTTTTTADISTRYRECLRNHAAAIGGHVVDGCGEFMPSGYPDTAEALNCAACGCHRSFHRREVDGGTNAAGSYYHATDHLPVLLPPPHPLAHPHHHHHHQKPFQLGGFSSPSPTVPGSSGFFQFGSNRPSGSGGTTTESSSEERINAAAPTAATMPRKRFRTKFTAEQKNKMLAFAERIGWTIQRQDSEMIEQFCAEIGVRRQVLKVWMHNNKHTIIRKQPPPQHQQEPPVQQQHQQPPESRLPYSSCSQDQTLI >Ma09_p07510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:4932184:4938394:-1 gene:Ma09_g07510 transcript:Ma09_t07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCVPLNKGTLASLELPKASQYGWVRGVGKARVQPCHGRRTLSPILCSVQGNGNVAVKPPATLPPHVTLGRSSFPPDFAFGAASAAYQVEGAWNEAGRGPSVWDTLTHDHPEKIADKKNGDVATDSYRRYKDDIEIMKNMGMDSYRFSISWSRILPKGTIEGGINQEGIKYYNDLINELLKNGIKPYVTLFHWDVPQALEDAYGGFLSSKIVNDFKNFASVCFQKFGDRVKHWITLNEPWSFSSMGYSLGKHAPGRCSQLLGCPVGDSLKEPYIVTHNLILAHAAAATLYKKEFKAIQEGEVGITLVSMWFEPYSTSHQDIEAANRAIDFMLGWYMDPLVYGDYPFIMRALVKERLPYFTNDEAEMIKGSYDFIGLNYYTSRYAKATPMSPNYTPILSITDSYAEQLDSKNNVPIGELQGTWINVYPHGMKELLLHMKKRYQNPHIYITENGTCELDNPKLPLDEALEDQFRIDYLSVHLAEVRQAIRQGVCVKGYFAWALTDNFEWEQGYTQRFGLTYIDYDNNLNRHLKSSANWYTRFLHS >Ma00_p02820.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:22205085:22205572:1 gene:Ma00_g02820 transcript:Ma00_t02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLMQCGSFQYLYYVPFLLWKAQFPTSLRLILFAGVELCWNMYPSNSYSSFLLLCIHLFIDCGVFGLPHLKVNSLMKNPQGKRRNDCNG >Ma04_p17530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:17908019:17910953:1 gene:Ma04_g17530 transcript:Ma04_t17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSPSTAAGMNLCADDSASMMEALIATAADLQGCPWAVVSPPPRPPAPVTPSDVSRSFSATATAPVPAPHLDKEMLHERLQALIEGVRDSWTYVILWQSSVDTDTGESLLVWADGCYKGCEEDKRKQQPAAASAASAAEQVRRKRVLRELNSLIDGDERSSSANEAAEDEVTDSEWFFLVSMTQSFVNGSGLPGQALFSGDPSWLAGADRLAVAPCDRARQATVFGIQTMVYVPVSPGVLELGSTQLISHSSEITSKIRILFDLNSLQMPLANAAAGSVLSPLSLAASTPVNQGEIDPSELWFADPSLVEIRDFVLPNSASVEISASKPLIHFDSNHSSRTLRENPSPFQIQQSNGQRHQQRQQHQSSSGNDSQTQPFFARELNFSELLHTGPAAPLQSVKPESDENGNFIGSKRNSSAAIVASNLFSSHQIAAAVPDDNMNNSSTGAMSMPRSNDEAKLVFSSAPARPSSIALMKCSPGGGSIGDFLEGADSDHSDTERSMRKMGSSLLTDPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRSVVPNVSKMDKASLLGDATTYINELRVKLQSLESEKEGLEAQVEALRKDRQSPPARSPHLGETTNGNGRCYGVEMEVKMLDSEAMIRLQCQNTNHPTAMLMSALKDLNLDVYYASVSVVKDLMIQQATVKMSSREYTQEQLSSALYYRVAAEPPPASR >Ma07_p26550.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:33305738:33309326:1 gene:Ma07_g26550 transcript:Ma07_t26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNPFDLLGDDDSEDFSQLLASQQQKIASMKAAAPPAAAAAARAPAKLPTKPLPPAQTVREAREVRNNTGAVRGGALRGGFARGRGGRGGGMGQNRDFVNGSVGGASRGYDVADGAGGGEDADSARERERAPRQPFSGGRGGGYGGRGGYGNGEAGGDSERPPRRIYERRSGTGRGYETKRNGAGRGNWGSATDETVAQEKEETLNTDDKTVATEKQVELDGVPSSEVNKDNKEGATNETEEKEEDKEMTLEEYEKLREEKRKALLALKNEERKVEIDEELQSMKQLSVKKGNDDVFVKLGSDKDTGKKKENADRDERSKKSMRITEFLKPAEGERYYSPGGRGRGRGRGGRGQYGGGFGGGVSTFPAAAPSIEDPGQFPTLGGK >Ma09_p04360.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:2868193:2872632:-1 gene:Ma09_g04360 transcript:Ma09_t04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEMEQRMPPKENTSMPVIVVQDGNKGELDSSSKVNDVVEPPSAGMIFNCIDELYEFYKTYGNQEGFPVFKKSTKNDKQGRLHFVTLSCGRGGKSRCKREKLVNPSLTMKIECNAKLNARVYDDGVVRVTSVFLEHNHELSPTRARYLPRRRSVGTSMKRRREKIDEAGVTVAKTLTSSAVECGGHESEPSLEKVCQNYIDNIDKRRKLCLGVGDAGVVCNYFNRMQEQNSNFYYSLDFNEDGHIRNIFWADERSRAAYKSFTDVVSFDTTYLTNKYDLPFVTFVGINHHGQPLLFGCGLVSGEDTETFVWLFKQWLACMSGNAPKAVITDQDMAIHKAIEIVFPEARHRWCLWQIMKKIHGKLSCHSNNEQVKATLMQAVYDSMSKEEFDFNWGQMIQKYSLQSNAWLSTLHDDRHRWVPVYVKDSFWAGMSTTLRSENVHEFFEGHVNSKTTLKQFVERYDKALKTKVEKELQEDLNSLNCYCPCVSHYNIEKQFQQAYTNNKFKEIQEEFKGKMFCYVHSMKEEDDFGVYEVIEDMVVENGLSNQKVCFTVYFKQAEFDVRCSCRLFEFQGILCRHIITLLTVKGVYLVPQKYILPRWRKDFRREHTKVKVSYNNWNSTPEMRRYDLISKKSAVFADFGCTSEDNTQIALDFIEQFRQKFNIADPLNVNGQPIFSVSGSCQPYQ >Ma04_p19900.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22571879:22576754:-1 gene:Ma04_g19900 transcript:Ma04_t19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDYIFQEEDDDLFFDSRDDISSVSDSCPSSPSKNGLSLEDQFISWPSNNPRFEVWIKDPVSVRERRDKFMKILGVDVINNLPRGSDNLDEELKVDGEIQPGIDRVMSNRGAVLRSSCSRSKYSMSSWSSEDTSTLCDGVFEEGFVCRIKNLDDGTVFVVDDLDKDGGLRSLREVGSNRTLTFHEFERNFGPSSLIQQLMRRDIDAPSTSEKSVRKKRTGWLRRLGAAVCIVDRQQENSSSSISDSCGRRSTRPGRIKVHLYKKQSKELSAVYNGQNFKAHDGAILTMKFSPDGEYLATGGKDGVVRMWHVMECRRTGEMRIPGNDPSCIYFTVNRSSELTPLYVDKDKKPKSTNSRITSKSVCVIIPPDAFRLSEVPLHEFHGHCGDVLDLSWSNNKCLLSSSVDKTVRMWKIGSDTCVKVFPHNNYVTCVQFNPNNEDYFISGSIDGKVRIWEISRSQVMNWVDVREIITAVCYRPDGKGAVVGTMAGNCRFYDASDNHLQLDAQVFLQGKKKSALKRITGIQFCPSDPHKLMVSSADSQIRVLDGFDVTSKFKGSQKSGSQIFASFTADGQHIISAGEDSNVYMWSYASHAAPSSKHGKSTWCSERFFSSDATIAIPWYGLQSEKSAVPATSEVLHSRKDVFRDKAAVTSCSSDRHVEDLCGNDTFYLSPSRSFSLSHEFLECLPKGSATWPEEKLPSSFASSTLDKSHYKFLRTSCQNTSHAWGQVIVTAGVDGWISWWYLFLPEPGDWQN >Ma02_p01530.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:10184663:10186866:1 gene:Ma02_g01530 transcript:Ma02_t01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIESTLPPGFRFYPSDEELVCHYLYKKVLSNGGSAEGTMVEVDLHTREPWELPDAAKLSANEWYFFSFRDRKYASGSRANRATKSGYWKATGKDRTIYDPTTHTMVGMRKTLVFYQGRAPNGAKTGWVMHEFRLETPHSPPKEDWVLCRVFHKRKGEGEEHEQLSASSAMPAGVCHGQVGPSFSTLPRQEDSSLNPFLNVALLQCNSLGFPQETGSRFVTGISPRCEEEEEEEDDDDELGFLLDLGFEHGVSYQGGKAT >Ma07_p17130.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:16270295:16275927:1 gene:Ma07_g17130 transcript:Ma07_t17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEFFNIIKSAACLKSSADTGKGQSKLSSTKVSHGFHLVKGKSGHDMEDYHVAQYSYENNHELGLFAIFDGHMGDSVPSYLKANLFNNILEEPLFWKDPQSAIMNAYCSTNKSILENSKQLGPGGSTAVTAIVIDGKDLWIANIGDSRAVLCERGAANQLTVDHEPHVERSRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLQAHLSSEPDVRRVPIDFTMEFVILASDGLWK >Ma06_p20160.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14206295:14209296:-1 gene:Ma06_g20160 transcript:Ma06_t20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPERRRPPEASSKSSCSMMSESPRNRKPDLPFAPFDSTNLWCGDRHGRSFLEFSPPLVTQSSGLFGPDRETKSKKQHEPSPVDDPVMDWNPRLMLSNLSFLEQKIHQVQDIVRSIISHEDQFLDQANELAAQQQLVTADLTYIIIQLISTAGTLLPDIKNALLSTNPAVGRPGSNQKQHEILPVEVAKASQYDSLIKDLNSCGGKEDELIKCSNNSGVEASEPIPIEDHDTKDNDDGMDGENLPPGSYEVLQLEEEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKSPAALAKPSKEASIEPQPLRRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCRTKKFSIIADLKTHEKHCGRDKWICSCGTTFSRKDKLFGHVALFQGHAPAIPMDDAKVLVTSDKAQVSEASSGMPGNMGYNFSGNTSLDAQSPDIKGVDDGHGCFSPMTLDACNIWGLAEFPHSAYEVSESTFSFLATGSCSSIQRNGEN >Ma06_p20160.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000006.1:14206295:14209296:-1 gene:Ma06_g20160 transcript:Ma06_t20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPERRRPPEASSKSSCSMMSESPRNRKPDLPFAPFDSTNLWCGDRHGRSFLEFSPPLVTQSSGLFGPDRETKSKKQHEPSPVDDPVMDWNPRLMLSNLSFLEQKIHQVQDIVRSIISHEDQFLDQANELAAQQQLVTADLTYIIIQLISTAGTLLPDIKNALLSTNPAVGRPGSNQKQHEILPVEVAKASQYDSLIKDLNSCGGKEDELIKCSNNSGVEASEPIPIEDHDTKDNDDGMDGENLPPGSYEVLQLEEEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKSPAALAKPSKEASIEPQPLRRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCRTKKFSIIADLKTHEKHCGRDKWICSCGTTFSRKDKLFGHVALFQGHAPAIPMDDAKVLVTSDKAQVSEASSGMPGNMGYNFSGNTSLDAQSPDIKGVDDGHGCFSPMTLDACNIWGLAEFPHSAYEVSESTFSFLATGSCSSIQRNGEN >Ma10_p15240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:27435145:27436163:1 gene:Ma10_g15240 transcript:Ma10_t15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPMLIGLTTFLLLTASALFDQAESKVAPGPKPAPLNLTGILEKGGQYGTFLRLLRETQVGEQIESQLNNSFNGLTIFVPTDNAFSNLKAGTLNSLTTQEQVSLVLYHVLPRYYSPTTFETTSNPVNTQASGRSGVYTVNITSTSNQVNVSTGVNETPINTNLYLEFPLAVFSVDKVLLPAELFGAKPPASSPSPAATPVKPKKSAPAADGPSASADTAPSAATRKGRSVERVLLLGIGLACVGSLL >Ma11_p06610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:5341341:5341709:-1 gene:Ma11_g06610 transcript:Ma11_t06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTPPPQSEASPYAAAKVAAHWYTVNYRETYGLFACNGFLFNHESPRRGQNFVTRMNHPCRLPHPPRPPDQGLPRQLLGRPRLGVRRRLRRGHVDDATWWPPRSPTPWRSCSRPPSATSA >Ma08_p19510.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:33275106:33284214:-1 gene:Ma08_g19510 transcript:Ma08_t19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAATKKKRGRPRKKREGGHLHYSPPSPPRPSTAPRRTLRPRRRRTLLDDFADFDDYLDEEEEEEEEEEEEVMEEQEGKGKRRKLNLILKLPPAGPTTATTEEERPRRGAPVVPASSFSSLASFSSYVDDDDEDEEAEGETMKPPKKRRLDGCDDGVRSGGSGDRETRKIILLQSLKGSATGVSTSSQVAGTCLPERKFLEAVLDKIQKKDTYGVFAEPVDPEELPDYYDVIGHPMDFGTVRKKLATQAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQARSMQDIGRKEFQKLRIEGKCMETYSKCEEKTVFNPIEKKLLQMCPPRVAQENFVSDISSATTIASGGEPCTGLSTAEASGVDPATTSNGLADGSSSLGESKSEKVDDLRVKGSPSKLGKKSLEGDENRRASYNVCDEQSPQVSGMVCDVLDGEQRKLVPVGLDAEYSYARSLARFAGNLGPIAWRIASQRIESALPSGVKFGSGWVGEYEPLPTPILSFENIPQLQHQQLDTNTTLQSKMPLKDKATALGKKANGNSREVNYGIQSKLGTTIYNRGSGPVTGGNNLCGFTEVKQQSPSFISETQLRPNAAVLQQKNKQVTSKLAKVSGTFLEQAREHQQSSSSCSLVDQSVQRPEIFTGVAAFKPPGRISLDRKLGQPEPLKQTVAMVPCSTTDGRVPVGQSSNGKVLGGSSSNILGNTMGFSSKYQKGNVGDEHQTLHEYGLNHPSRLMGWPIEMLNQSNISNNSVDSSKFLPSAVPPTGRESPNTADAAAAGAWMSIGASTQSKTSVNAVNGRDSPNASASTYFYGSTSRAPKVSSRVSDDSNTTSMSQAFRQPIQVVGSEPQFCNKGLVIFPQLGATGMSRFQGQTPQQGLLRQTENRHPKSVCPPDLNISFQPPGSPVPHSSGILKDSQQPDLALQL >Ma04_p39520.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:36635213:36639471:1 gene:Ma04_g39520 transcript:Ma04_t39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKSSVPQESAPEVAAAAGEEEGEEKEYRPVEEVALVVPETDDPSLPVMTFRAWFIGLASCTILIFLNTFFTYRTQPLTISAILAQITALPVGRFMASVLPNREIRVFRNWGFNLNPGPFNIKEHVIITIFANCGVSIGGGDAYSIGAITVMKAYYKQSLSFLCGLIIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKDSRSKGLTRMQFFVLFFVASFAYYTLPGYLLPILTFFSWICWSWPRSITAQQIGSAYHGLGVGAFTLDWAGISAYHGSPLVTPWFSILNTAVGFIMFIYIIVPLCYWKFNTFDARKFPIFSNQLFTTRGQKYDTTKILTPNYDLNVAAYESYGKLYLSPLFALSIGSGFARFSATIVHVLLFHGSDIWRQSKSAMNSAKVDIHAKLMKKYKQVPHWWFLILLAASVILSLMMSFVWKEEVQLPWWGMIFAFGLACLVTLPIGVIQATTNQQPGYDIIAQFIIGYVLPGKPIANLLFKIYGRISTIHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVSGVVNLAVAWWMLGSIENICDVDALHPESPWTCPKYRVTFDASVIWGLVGPGRLFGHGGLYRNLVWLFLVGAALPVPVWALSKIFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLITGTIFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLLFFALQNANHNLRWWGTELDHCPLASCPTEPGISVKGCPVF >Ma03_p09120.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:6725778:6731789:-1 gene:Ma03_g09120 transcript:Ma03_t09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAALKMVKVPPNSASVGEARKRTLEFFKMACRSLPSVMEIYNLDDVVTVSHLRSAISAQIRKNAHINNPKVIDLLLFKATEELSNIVTHSKQRHHVIGQYVLGHEGLMQDMGTKDEGISEFLKQFYTSNYF >Ma08_p22640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36160115:36161356:1 gene:Ma08_g22640 transcript:Ma08_t22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G05410) UniProtKB/Swiss-Prot;Acc:F4J7A7] MAFRAVTCPRSSLPIPRRIRPSAAAGGGRPESTAVQFASLAAVFRRRLLTGIGSASLVALGANFGGVTSFLLGLSPELGRSLRLDVLYPVQGFTRCLDSSNGFEFIYPESWVGDQTVLYRAAGKAESERPLDPPPLVNGRPSSRPPRGVSEPVAAFGPPGSNGELNVSVIVSDVPRDFAIEDFGGPKEVGETVLRRIAGSRRGSDVSAALVDATVREDPSKNVNYYRLEFRVEGPSFRRHNVAVCCARRGKLFTLNAQAPESVWPRVKQEFYRIADSFNLTEA >Ma09_p25100.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36678341:36680988:-1 gene:Ma09_g25100 transcript:Ma09_t25100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFRPGTCSGGSWWTAAELVEAKARSFDESAGSACGSSITFQETHKMQHPDGGLSPSSMTWTQALLNGAMPQEDLGSRPTGGESSTSNPYGDMNQNLLLQQQHHLSDVGGGYQIITPASYASSSMMLQDLLESETKQQQFIYDGRVRNQYQSPMSADGGSSDELWQPSWLSHLLKSSLSNNTPLWNASASDMRPVFRSPTPSKYVMQPSEPKIVCGSTLTAKMESSGGDRDSCSSSTKKTGSEAATKKPRIETHSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLQEAIEYIKFLHDQVGVLSSPYFKNGQPMKPKQIPEESKDCDEPKQDLRSRGLCLVPVTSTYPVASETTTDFWHPTLGGTFR >Ma09_p25100.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:36678341:36680988:-1 gene:Ma09_g25100 transcript:Ma09_t25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFRPGTCSGGSWWTAAELVEAKARSFDESAGSACGSSITFQETHKMQHPDGGLSPSSMTWTQALLNGAMPQEDLGSRPTGGESSTSNPYGDMNQNLLLQQQHHLSDVGGGYQIITPASYASSSMMLQDLLESETKQQQFIYDGRVRNQYQSPMSADGGSSDELWQPSWLSHLLKSSLSNNTPLWNASASDMRPVFRSPTPSKYVMQPSEPKIVCGSTLTAKQMESSGGDRDSCSSSTKKTGSEAATKKPRIETHSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLQEAIEYIKFLHDQVGVLSSPYFKNGQPMKPKQIPEESKDCDEPKQDLRSRGLCLVPVTSTYPVASETTTDFWHPTLGGTFR >Ma00_p03270.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000024.1:27583527:27592829:1 gene:Ma00_g03270 transcript:Ma00_t03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPLTYTKSTAAFSVSLRTKAERLKRWDLLFCTFCSLVLVFIIFTIRSSGFTYRSSGFTYRSSDSFFFFFLTDLPNLKNKLLLIHTLFLLSI >Ma02_p07940.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18409007:18409800:-1 gene:Ma02_g07940 transcript:Ma02_t07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGSQDLDVATIVSHGTSLAIAAPSPACLLKAFVLTTSHASSSLFQLQEKLLSSCLTALTSPSIINHISNAILMEIDLHQPQPFRE >Ma07_p00600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:514716:518439:1 gene:Ma07_g00600 transcript:Ma07_t00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 58 [Source:Projected from Arabidopsis thaliana (AT3G01080) UniProtKB/TrEMBL;Acc:A0A1I9LLS8] MAEPQGGAQRPPPRPAIALPSRSSMESLFRPADVSPGLSSLLADPDLEYPSFSQLLAGAIGPLASAPPPFWHEARRESGGGANEVALSLGQSRPVNLTATRLPLITFPSGISPSSLFQSPPSLFSPAQGQYGILNQQNQPQATVQAARSHAYDPTSYSSTLSAAPATTAVASLRHLPTPFNLSSAQQMPQYNSTSESAHGDRRSQPSTLVVDKPADDGYNWRKYGQKQVKGGEYPRSYYKCTHQKCPVKKKVERSFDGQVTEIVYKGQHNHQPPQQNRHAKEGGSLASGSNGSKPEPASQGLYESFGKSSETTYKRNLESDHDSSKYISDSSDDEEAGEIAMRTDELDENQPDLKRRKMDIRNNQSASYCTVTEPKVIFQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTNSGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARSSNHSMLNANASASIISPNTLHNYSRNQISLGSTDLGHNIERPVAIQLKKERDGV >Ma11_p12090.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:15896808:15904503:-1 gene:Ma11_g12090 transcript:Ma11_t12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAVNTRTGSGVAFLKGKGKSAVEALPPPPPLQALLGENGSIGVVDQGDAEVWRSFREAGLLDESSLQRKDRDALVQRISELEKELHEYQYNMGLLLIEKKDWASKYEEIRQALAEVDETLKKEKSACLASISEFAKREENLQKALGVEQQCVSDLEKALREMRSELAEVKFTSDKKLDDAHALEIGLEEKYLEVEQKLHAADAKLAEASRKSSDVDRKLEDVEAREHKLQKEYLLFDSGRKLHEKDITEQREHLRDWEQKLQDSQKRLVETQRYLNEREDRTNEADRVLKKKEADAEEARKMIEATKKSLKTKEEEITKRLGSLAAKEKEVDVKVESLENKEKDLISREEKLNARERVEIQKLLDDHNLLISSKKEEFELDLEKRRKSLSKEIECKIREVEKKRREIDSMEEQITKREQALQMNLQKLMDKEKDVDLKSNDLKKWEESVQNDEKKLEKERQQLASDSEEFLKSKSDLESLKAAIESRKEQIMKEEENLRLTKGEREEHLLLQSNLKQESEDCRILKESLLRDTEDLQQQREKFEEEWEVLDEKRLALEAERKKFNDEREKFEKWRHDEEERLNNEALVARANFERELEELNQKTEAFGEIMEHERLEALEVLKRERADMARELELCKHELEMDMQKRQEDTEKKLLDKENDFQRKRDLDFNQMISLSSSNDLKIQKLKMEEDRLEREKEDLSSYRKRLEIDRLEIQKDIDALRMLSRNLKEQREEFMKEKERFLAQAEQKTCKNCGLLVGDLDTFCIQDAGDVQLPNLGFEEHLNDTNAETTNAKVSPAASGGRMSWLQKCSRLFNLSPGKKVLDSSQHPLDNSNLYSSLDREAFDGEASHKPAASYGVVDSSDSQRAQSVTGIGDNVESKRLCGVVEEPEPSFEVANNSIHIMRTQTQMDNGVRDVVDQLAMPSVSLNDREKYAPAGSDNLRVSFKQRQSQPGRRGRPKAVKRTHTIKAVVKDAKAILEQSSDEKNHGPHNGEAKDPVNAPENIQGDLVHSTRTVRSAGRKRRVAQTSGVTNSDPDAEDSEAHSESISLGGHRKRRQILASAVPVEKRYNFRRSTM >Ma10_p03190.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:11818261:11818559:-1 gene:Ma10_g03190 transcript:Ma10_t03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGISGTFNFMIVFQAEHNILMHLFHMLGVALVYSALVLCMVPWSTLSIVVAHGYLSRLNCQYASFNNSTS >Ma04_p06240.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:4590889:4592711:-1 gene:Ma04_g06240 transcript:Ma04_t06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANSCPELQNGSRTSLQNTSRIVLLLTATLLLLTIIPLYLFPLLATSLAWRSLSDSSSSSSSSSSSGDMARDSIAGLGVAQHSCDIFRGEWVPNPNAPYYTNESCWAIHDHQNCMMFGRPDTGFMKWRWKPTHCELPVFNPAQFLELVRGKSLAFVGDSVGRNQMQSLICLLHRVTYVVDASLTQDEKFRRYHFPTHNFTVASFWSPFLVRAHEADPNGPTHTGLFNLYLDEPDTNWTTKMTEFDYVIVSAGHWFFRPAMFFEGGQLVGCHYCLDPNVTDLTMYYSYRRAFRAAFRVFNSLEGFRGTVFLRTYAPSHFENGEWDKGGNCVRQQPFRSDETRLDGINLEMYMTQVEEFREAEMEGREKGVRFRLLDTTDAMLLRPDGHPSRYGHRPHENVTMYNDCVHWCLPGPIDTWNDFLLHMLKNDGGRARSRNARKSTIK >Ma03_p02320.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:1578772:1591282:-1 gene:Ma03_g02320 transcript:Ma03_t02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKYVLPFIAFVAVGMAIGLLFQLAFIWRLEEFSGTNNHWENDKESALLRLGFVKPEILSWSPRIILFHNFLSMEECDYLKSIARPHLEISTVVDPETGESIKSKVRTSSGMFLSSKDRQIPIIKAIEKRIAVFSQIPTENGESIQVLRYETNQYYTPHHDYFSDSFNLKNGGQRVATMLMYLNDVDEGGETIFPQAGSSECSCGGNKVKGLCMKSRKGDAILFWSMGLDGQSDPNSLHGACPIVKGEKWSATKWLRQGAIS >Ma02_p08640.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000002.1:18921010:18927211:-1 gene:Ma02_g08640 transcript:Ma02_t08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRALHRSKPLLRSHLSASASLRWVSSSTALQRPESAGLAAEPADPPPRTPVGGARFHLAKPEDAIEVFVDGYPVKIPKGMTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRIIPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGSDGRFKAVTWRDALAVVAEVAHQVIPEEIVGVAGRLSDAESLMALKDFLNKMGSNNVSCEGNGISPNADLRPSYLLNTTIAGLEKADAFLLVGTQPRVEAPMVNARIRKTVRATQAKVAYIGSPSDFNYDHQHIGTGPETLLEIAEGRHPFCSTLLTAKNPVIMVGAGIFERKDKDAIFSAVETIAKSAKVVRPDWNGLNILLLHAAQAAALDLGLVSSPIESIQSAKFLYLMGADDVNLDKLPDDAFVVYQGHHGDKGVYRANVILPSAAFSEKEGTYENTEGCSQWTVPAVPTVGDARDDWKIIRALSEVAGVRLPYDNLEAVRARIGMVAPNLLHVDEREPSTVSLEMKPSVQHQMSHAPFEAVVDNFYMTDSITRASKIMAQCSSMLLKK >Ma09_p23850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:35578359:35581845:1 gene:Ma09_g23850 transcript:Ma09_t23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRRIAGLLGLAREEDDARRGKEGSGGGGGGGGGGDGGGGEERTRTSGAAGCSAAAAARGGFGVQVPVAVEKPALSPVLVPCEPGEGGVQGFRWYTRRLRIDEDGDVANEFLDEVAPEVSPENLVVLPKFQVKHNTQPTAMAMRKQVIAVDGNIHQSLEYQGKLQWA >Ma03_p24870.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29077574:29082454:-1 gene:Ma03_g24870 transcript:Ma03_t24870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPSRPVIGVVSGAAQTAYAAPTYQRAAVVTGDPAVTGAVPPPTQMTSAYPTNPANLASQHQLAYQQVQQLHHQQHQQLQAFWANQMLEIEQTTDFKNHSLPLARIKKIMKADGDVRMISAEVPVVFAKACEIFILELTLRSWIHTEENKRRTLQKNDIASAVTRTDIFDFLVDIVPRDELKDDGIGIARAAFPAVGAPADSIPYYYVPAPSQVPGPAMMMGKPVDQAAAATMYPFQQPHSMAYMWQQPPVQQQSAQQQQQVPDGE >Ma03_p24870.5 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29077574:29082459:-1 gene:Ma03_g24870 transcript:Ma03_t24870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPSRPVIGVVSGAAQTAYAAPTYQRAAVVTGDPAVTGAVPPPTQMTSAYPTNPANLASQHQLAYQQVQQLHHQQHQQLQAFWANQMLEIEQTTDFKNHSLPLARIKKIMKADGDVRMISAEVPVVFAKACEIFILELTLRSWIHTEENKRRTLQKNDIASAVTRTDIFDFLVDIVPRDELKDDGIGIARAAFPAVGAPADSIPYYYVPAPSQVPGPAMMMGKPVDQAAAATMYPFQQPHSMAYMWQQPPVQQQSAQQQQQVPDGE >Ma03_p24870.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29077574:29082456:-1 gene:Ma03_g24870 transcript:Ma03_t24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPSRPVIGVVSGAAQTAYAAPTYQRAAVVTGDPAVTGAVPPPTQMTSAYPTNPANLASQHQLAYQQVQQLHHQQHQQLQAFWANQMLEIEQTTDFKNHSLPLARIKKIMKADGDVRMISAEVPVVFAKACEIFILELTLRSWIHTEENKRRTLQKNDIASAVTRTDIFDFLVDIVPRDELKDDGIGIARAAFPAVGAPADSIPYYYVPAPSQVPGPAMMMGKPVDQAAAATMYPFQQPHSMAYMWQQPPVQQQSAQQQQQVPDGE >Ma03_p24870.3 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29077574:29082454:-1 gene:Ma03_g24870 transcript:Ma03_t24870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPSRPVIGVVSGAAQTAYAAPTYQRAAVVTGDPAVTGAVPPPTQMTSAYPTNPANLASQHQLAYQQVQQLHHQQHQQLQAFWANQMLEIEQTTDFKNHSLPLARIKKIMKADGDVRMISAEVPVVFAKACEIFILELTLRSWIHTEENKRRTLQKNDIASAVTRTDIFDFLVDIVPRDELKDDGIGIARAAFPAVGAPADSIPYYYVPAPSQVPGPAMMMGKPVDQAAAATMYPFQQPHSMAYMWQQPPVQQQSAQQQQQVPDGE >Ma03_p24870.4 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:29077574:29082428:-1 gene:Ma03_g24870 transcript:Ma03_t24870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQPSRPVIGVVSGAAQTAYAAPTYQRAAVVTGDPAVTGAVPPPTQMTSAYPTNPANLASQHQLAYQQVQQLHHQQHQQLQAFWANQMLEIEQTTDFKNHSLPLARIKKIMKADGDVRMISAEVPVVFAKACEIFILELTLRSWIHTEENKRRTLQKNDIASAVTRTDIFDFLVDIVPRDELKDDGIGIARAAFPAVGAPADSIPYYYVPAPSQVPGPAMMMGKPVDQAAAATMYPFQQPHSMAYMWQQPPVQQQSAQQQQQVPDGE >Ma09_p28710.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39367714:39376990:1 gene:Ma09_g28710 transcript:Ma09_t28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVLFFLPKPPPLHLHHHHRHQHLQQLVGLRLPGPSRRRQWRRFDSYPVRASKNGDAADPPDRLLAAVCYLYPFLDGVHYGRFVVAQFPAFQVLLQPLVPAIRLFRSSPFTPFLLFLTLYFAVVRNPSRFSRYVRFNTMQAIVLDVLLIFPDLLERSFNPSEGIGLDLLQSLDSTVFLFLLLSLIYGSSACLLGQVPRLPIVAEAAERQVM >Ma05_p32140.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000005.1:41839201:41845562:-1 gene:Ma05_g32140 transcript:Ma05_t32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPDVRQVGKFQPRNKSQPIKGTAKSVSFILPDASITGPPPMGSFSEITNPSSVQAKIDIPVDNPLHSSIITSDCNEGVQIDHREVGEENREEIRLPKGLEDIHTESQSQIVQKLKQRIHEGRPTSENDEDDDAHKPCRKLRKRIAKCSTDQIEVGSNDERDIDNFNVSQMDNSQSDDCHRDEDMPRPKRTKRKSKRQTIEIEKPTRKRKKAFEKPDSVVENSPKKKFPHATRRRRRQVNKVLLQTPEDEIDPRQISIRDLIMLAEAKERIASKEAFLMGFKRSRFFWSLIEKPLATISEMLQREPVRRRRGAGGGDVNERFHRDYGHDTEDCRDLQNQIEALIRRGHLGRYLKFPKATPHPKGPVERQIDVISGGPAAGGSSSAAKKAYARSTVEKRPWLELELEITFGAGEAECSHHDDALVISIQIANARVKRVMVDTGSSVDVLYFNAFKKLSLIEGDLTPMTSALTGFTGDSVSPLGTTVCPVTIGEEPRAKTIMTTFMVVDLSLAYNVILGRPTLNKIMTVVSTYHRTMLWGGRTIGTRGPCM >Ma07_p16610.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:15056356:15079338:1 gene:Ma07_g16610 transcript:Ma07_t16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEDDFGDLYAGLDDQVNAEITAIGESHSAKEFDSTSEECCADERAGEAVERQMGCHAGRDLDLEADDSESEDELHIVLNEEDGSNLPTSERCNGGMGVAWCEEEEDEDEDLSGFENCMLCINLDGLVGPAILSSPKTPETNSKATTEAVVTKLRHKIDDTEDPSSANGSKHKAASHSAIQANNNSGSSDSDSHYKASKDLDCSKKMHSFVKKFPMNAVTRSRESSKSSCYYSNGSRSNILKSKEKDWKCNSDCLNLSPNYYRDHIGSRLDCMVASASCEEASVLSYRTSQNGIDTFGVGSRTREGKHDSDLDVGGYSSFDYERRKSRNYKSRIYAEKHLSVKSSTRASQRKGNHDTNHKRHLVAGDCSRPKSVASEENGTAFEDHFHRWYHDEREIVSDACEDSNESILKYNTIFLEKDPFLCHQKRKVVQDHFRIKDGRDVNTIQHRYREHNLHVVQRRPVANFIREGGSLYHKSDGHVPLPATEIRNSKRRYNDTSSCSEMDISNWYIEHDAIPHQRDLHGAISPREYVDQKQSLVVRDRHSRNKGYGNHFPNGNYHGHSSYKNDIKLFSNEYRMDERGLYSDENVEFEFSPLTTRQLCSNDEIWLEHREQVGLSTNEGSFKCTKNSRNEKSHVNQYATSYSDDDVLVNDRNKIFAEESAAPLSKEYNRFVLDNFDKRRHELGTLEHQEAVNLHLNGLKRKHLRQGNLDTSKTGRQSNTQHQGDKKARSSRLRKRACQQGVASCISKLKRSHQHAVSLDAVRDFDRDNPDDHTMKKCQDKKSIPQNHEDDEIEEGQLIEEPDDQVVGSSTKDQIPMAVKPILSVHLEEKNKQSKESTTDSDTSGGYYNKRILETLAKMKKRLKRFKEPIAPKRVERCLKLQHDVAAVTDEVKQQRPARKRRWGQSGPL >Ma09_p28450.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:39208280:39209386:1 gene:Ma09_g28450 transcript:Ma09_t28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVQVQSTATEVEEEGGRWSQLPLDLMETLLKCLSISDRICVTAVCKPWQSVTSTLGFLEAARPPWLIASTFYNWPWSLKTVVGEPVSDIKFLDNLQNKWLCGSSTGWLLISWRKHNHDCLHICLLNPITGAKVELFTCFANIANGILSASPLTKDYMFAATGYTKYHRRKVAIVRTTMGDYRSLEALNPMNIYFHGGRLYVLTQSWEVIVYEFDPDPVMLSTIRVPLRKEHDCWYDGQLAELNDDILIIAYGLHLCQEFDIKVFKLPEMADDPVVQVNSLGGGTLLISQFSEAVSTKGSAAFREDCIYGFSKCSRETMMAYSMKDEGMFELAGIGLRHQTLAWFTPDLSKMETSNIETPAMNLEA >Ma04_p03600.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:2780579:2786038:-1 gene:Ma04_g03600 transcript:Ma04_t03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRKPWWAPSCLSVVFVRLAKRNRQSRVVSMKLEP >Ma04_p19750.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000004.1:22398167:22400193:1 gene:Ma04_g19750 transcript:Ma04_t19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLLPEYSPVTVSVSESETNRSMASISSAAAHLSRSRGPLLFLSSPSPSALNRPACLRISSLPGGAHSIKKSNGAKRYGCCKIPSPTLRCSAQLLGDLAPATSAAYGTLLLGGGLFAYVKSRSKGSILGGLSGACLMAVAYYLMQSPETKELGIAIGFGSAFLFASVFGIRLVATRKFMPSGLLLSLSVGVLAVFLSAYTQAKV >Ma09_p30660.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000009.1:40688726:40689285:-1 gene:Ma09_g30660 transcript:Ma09_t30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASKASLIIAASMAAVEALKDQAGLCRWNYTVGSLHQRAKRSMATLSQAKRMSWSSVDQWRKGGEGAVERAKRTERSLSKLIYLDCWGPK >Ma11_p12910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16879039:16881057:-1 gene:Ma11_g12910 transcript:Ma11_t12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKATVSSHDRGMCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKELNDHSFKNAAALELQRNAASSSAMMGRHMNENVHAEAIRMQMEVHRRLHEQLEVQKHLQLRIEAHGKYMQSILERACQTLEAESLVSGSYKGHGDQGVADMGAIKEMGSPMSFPSLQDLHLCGGDQLDLQSQVDGPLDGFYPISDSILGKKRMIWADDLRLQELGSTSACVGPKEEPCCKSEQLQIVPSVIDAAISSDPMANVYEGKPVLSMERPGEKQYEGSLKLDRPSPRRASLPMERINAMMAGGAVPQASNRSYG >Ma11_p12910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000011.1:16879039:16881057:-1 gene:Ma11_g12910 transcript:Ma11_t12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKKATVSSHDRGMCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQFRLGKQPHKELNDHSFKNAAALELQRNAASSSAMMGRHMNENVHAEAIRMQMEVHRRLHEQLEVQKHLQLRIEAHGKYMQSILERACQTLEAESLVSGSYKGHGDQGVADMGAIKEMGSPMSFPSLQDLHLCGGDQLDLQSQVDGPLDGFYPISDSILGKKRMIWADDLRLQELGSTSACVGPKEEPCCKSEQLQIVPSVIDAAISSDPMANVYEGKPVLSMERPGEKQYEGSLKLDRPSPRRASLPMERINAMMAGGAVPQASNRSYG >Ma08_p23490.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000008.1:36865514:36867849:1 gene:Ma08_g23490 transcript:Ma08_t23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATKQSLNVVSDLMVLPPLLRTRRTKVCLFAFVFAFVACTAYLAFYPRAKAARRFNNPLSSASISTATYRSPLSSLFSHVFHNSSSSPSPRKAAPLSENMSDDGVSGRKNNPEDGTRANKGGSLDAKNRPRMRIRSRGSDVTKMNQTVGEIGWREGGDSTKNHTSNGGNGISTNDQGKDGVGSGKGSVLPAKKQAGSGVPPMKEPTSGVGPPKTGGDSTAPNPTKIGGASLNDTVLATTNETTTGDAAGANGDLPAENQTGRGFARKGHRVDGDAAKNHFSSGPASTRNRTRTARPKVEKKGVSSSAEAEWISAMKGCDIFEGRWVKDDSYPLYPEGTCPHIDEPFDCYHNGRPDRSYQKLRWQPSDCQIPRLNATDMLERLRGKRLVYVGDSLNRNMWESLVCALRNSVKDKRKVFEASGREEFRTEGSYSFVFEDYNCSVEFFRSPFLVQEWEMPITNGEKRETLRLDIVERSSSKYKDADVIIFNTGHWWTHEKTAKGKDYYQEGNHIYSELNVEEALRKALSTWAKWVDTNVNREKSLVVFRGYSNSHFSGGQWNSGGQCDQETEPIQNDEYLTTYPSMMTLLESVIKGMKTPVSYLNITRMTDYRKDAHPSIYRTQNMTEEEMRDPEKYQDCSHWCLPGVPDSWNELLYAQLIIKQHNQLL >Ma07_p01910.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1484243:1494350:-1 gene:Ma07_g01910 transcript:Ma07_t01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSRFHAGFTRLCKGLAVVLVGGYVLLQIFPSILPYVVLIPSRTIPFAWNLITAGYVEQSILGVAISTVGLLLFGRWLEPLWGSKEFLKFIIVVNLLTSICVFVTAIALYYITRQESYLYTAFSGFHGVLSGFLVGLKQILPDQELSLFILKIKAKWMPTLVALISVATSFFTMDSVSYLPTVLFGIYMSWIYLRYFQRRPETSHKGDPSDDFSFSSFFPEFLRPVLDPIASLFHWVFCGRISDSSADTRGDTLDGSLMPGSDSIDAIRRRVVWCIKRCM >Ma07_p01910.2 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000007.1:1483847:1494345:-1 gene:Ma07_g01910 transcript:Ma07_t01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSRFHAGFTRLCKGLAVVLVGGYVLLQIFPSILPYVVLIPSRTIPFAWNLITAGYVEQSILGVAISTVGLLLFGRWLEPLWGSKEFLKFIIVVNLLTSICVFVTAIALYYITRQESYLYTAFSGFHGVLSGFLVGLKQILPDQELSLFILKIKAKWMPTLVALISVATSFFTMDSVSYLPTVLFGIYMSWIYLRYFQRRPETSHKGDPSDDFSFSSFFPEFLRPVLDPIASLFHWVFCGRISDSSADTRGDTLDGSLMPGSDSIDAIRRRERGARALEQRLAAEKLSATGKLEGTSHEGAAENV >Ma10_p26850.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000010.1:34346268:34353848:1 gene:Ma10_g26850 transcript:Ma10_t26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90-6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G07770) UniProtKB/Swiss-Prot;Acc:F4JFN3] MLGASRRSVASAVRSAGARCRVVVPFVSASAPSATETDYKLPDSPYRYFSSFSAPKNNGSKFGMHLNSRHSMLACSCLESTVAATDSSEPPSEKYEYQAEVSRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRFLSVTKPELLKDAVDLDIRIQTDKDNGIITITDTGIGMTRQELVDCLGTIAQSGTAKFLKALKDSKDAGVDSNLIGQFGVGFYSAFLVSDKVVVSTKSPTSDKQYVWEGEANANSYTIREETDPEKLVPRGTRLTLYLKRDDKGFAHPERIQNLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPSEAKKEGDEDPTVEKKKKTKKVVERYWDWELTNETQPIWLRNPKDVTTEEYNEFFRKTFNEYLDPLASSHFTTEGEVEFRSILFVPAVKKDEIVDIKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISLSENKDDYDKFWENFGKNLKLGCIEDHGNHKRIAPLLRFFSSHGDDELIGLDEYVENMKPEQKDIYYIAADSLTSARNAPFLERLHEKDFEVLFLVDPMDEIAIQHLKSYKEKNFVDISKEDLDLGDKNEEKEKEIKQEYGHMCDWIKKRLGDKVASVQISSRLSTSPCVLVSGRFGWSANMERLMKAQTLGDSSSLEFMRGRRVFEINPEHPIIKDLNVACRSHPDDPEAQKAVDLLYNTALISSGFTPENPSELSGKIYEMMGSALVGKWTTIPAHPASPQATEPIEAEVVQPLEAGGQK >Ma03_p32670.1 pep primary_assembly:Musa_acuminata_v2:CAJGYN010000003.1:34379754:34380041:1 gene:Ma03_g32670 transcript:Ma03_t32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRWNMFWFHLHKVLCVLLMIYVISNECKSINLEGLALLEFRSRVESDPFGALQNWNPRDDNPC